>cds.KYUSt_chr4.25090 pep primary_assembly:MPB_Lper_Kyuss_1697:4:157701899:157711822:1 gene:KYUSg_chr4.25090 transcript:KYUSt_chr4.25090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Na+/H+ antiporter [Source: Projected from Oryza sativa (Os11g0648000)] MEKEAMGGHVMLPLENLSLDVPNGEIVLGHDKDMSTLQEEISAMRSRHRHLNRRRREALDKLIDLKGSIRVFCRVRPLISTSNFKIKSPVTVEQEKITVRAVGVKKDFSVDRVFDQESTQDDLFHEVKPIIRSALDGHNVCILAFGQTGTGKTYTMEGTNDNLGVVPRAIQELFSHASQDSSSTYSFSISMLEVYMGSLRDLLAPRQHLFRSTECNTTCNLSILATKSGAVEVEGLTDVAMPDIKKANQCLTRITIRRSGGVTEEVSKLWLVDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGGGSKVLMVVHISPSKDDVGETICSLGFAKRARLIESCRELSEDLNMLKQKRLSELDKEICDTEHELKDLSEEISSAEVSLEERKKVSPSVCQALCDEKGSPRSTLVVGHIDATDSPRATEKAKSRVSRGSVPHFMSPTECSRQRHGIASHSVSKPRLTKSVNRYPAELRGSLSHSSCKNKSKARSLEGVMMGLGLGDPPADYGSIAAVGLFVALMCVCIVVGHLLEENRWMNESTTALLIGLGTGTVILLASSGKQSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFAVVGTLISFSIISLGALGLISRLNLGALELGDYLALGAIFSATDSVCTLQVLSQDETPFLYSLVFGEGVVNDATSVVLFNAIQNFDLGDLSTLKFFQFIGNFLYLFGASTFLGVATGLLSAYVIKKLYFGRHSTDREVAIMMLMAYLSYMLAELLDLSGILTVFFCGILMSHYTWHNVTESSRVTTKHAFATLSFISETFLFLYVGMDALDIEKWKIVSETYSPMKSVALSSVILALVLVARAAFVFPLSYLSNLTKKTPGEKISVRQQVIIWWSGLMRGAVSIALTYNKFAKSGHTQLPSNAIMITSTIIVVLFSTIVFGLLTKPLIRLLIPTRHLTREVSALSEPSSPKSFLEHVSVDGPDADLENAVTIRRPTSLRMLLASPTRSVHHYWRKFDNAFMRPVFGGRGFVPFVPGSPTESSVPLLAPVSEN >cds.KYUSt_chr7.877 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4671529:4674302:-1 gene:KYUSg_chr7.877 transcript:KYUSt_chr7.877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MATAVTLLRAASPGPAGDAGRDGGSSFQCPRLPRNKKLPSKILCSLKYGCLGGVDPADAARTAASPVYSSLAVSPAGDAAVAVASSSSSEQKVYDVVLKQAALLRRQLRPQPAAREMAEAMPRGGLSEAYARCGEICEEYAKTFYLGNSAPPSCSVFTELRLREADDVLMVIVELVCSCVSHRRGRDVADDGGAAARHLGNLRRTDELVDGPNASHITPQALDRWERRLEDLFVGRPYDMLDAALSDTITKFPIDIQPFKDMIDGMRTDLKKARYKNFDELYMYCYYVAGTVGLMSVPVMGIDPESKATAESVYGAALALGLANQLTNILRDVGEDASRGRIYLPQDELAEAGLSDEDIFRGVVTDKWRKFMTRQIKRARMFFEEAERGVTELRKESRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKAKKVLALPVAYGRSLLLPYSLRNSQT >cds.KYUSt_chr1.29309 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177378133:177380675:-1 gene:KYUSg_chr1.29309 transcript:KYUSt_chr1.29309 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQVEVQEVQGEVDDVHAVLKEIHAEMKGVHGEVDVVHGKVQFVDGKVEVTHGQPFPDSKHAVSSTHNVTSGDFWNLPPPDVFNTALVAEQNAPLVLQWPPIPLQNDSYYVALYFADTLDDNSRTFDVNINDYRFYENLTVTSAGHSVFATQWILSGLTRVILSSKSGLPPLINAGEVFGLFPIGRLTITRDALALESVKRSLQNTPDDWIGDPCMPHGYAWTGVTCQEGLNIRVISLNLSSMGISGSISPEIANLTALTDLSFANNSLSGPIPDLIKLGKLQRLHLHDNKLNGTILQTLGRIQTLREL >cds.KYUSt_chr6.15887 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99881960:99891027:1 gene:KYUSg_chr6.15887 transcript:KYUSt_chr6.15887 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCAAQRVGKGRRKERPNQGEGFHSNQAKSQGRGQGKKRELGRGRPTDMGIVETWVREKPIRTFLARLSSQSAAASTSSAAADGDSGGADGGIPQLSSIANSVVSRCSGILALATENLQQSFEADFPDNHKEPNTYARELLEYCCHKALHAVTTRPDYLADKNLRCLMFDMMLAWEHPGAEDGLLETGLTLHNAVEIEDEDEGSIFYANSTSLAVQVDDMKTVGLRAFTRIAPWCPIIADLVTVHNLFDALTCSSGGRLHYFIYDKYLKSLDRVFKSVKGIMQSPLASSFHLNAGECILAVEGDRPIHPVLQHIGISAWPGTLILTTHALYFQSIRVGYGDKIVKYDLSTDSNQVIKRDFTGPLGVRLFDKAMMYKSSTLTEPIYFDFPELGEPSRRNYWLAITREVMQVNKFIRKFNLEDIQKAEALSKAILGILRYSAVKEAFHIAPSHVKTTLTFSLAEKLPKGDMVLEALYNNYFQLLDTPLSHLATAAVNRTSKTHTVPFALYALSRMGFILLNRKDETEKEISFCAACVGVTKSLEAALEESFCYSERIEAARATVDQVKVEGLDANLALMQELLFPFIQVGKLIHSLTKWEDPVKSFLFLAFVLYVIKSGLINYTVPSVFVLSATVMLWQKYSGEGKLLEVLEVRAPPSKNPVEQILTLQEAISKLEETLQAINIVLLKFRAILFAAVPKTTQTVAVASLAAAALVILTPPRHLLLMVVLEVYTREMPLRKQNTEKFRRRIREWWARIPAAPVQMNLRRRETTTQILPRMATAASSSSPPAAITCRAAVAWGPGQALVMEDVEVAPPGPMEIRVKVVSTSICRSDVNQWQNTAQPDLFPRIFGHEASGVVESVGEGVTEFQVGDHALTVFIGECMSCKHCVSGKSNMCQKLGLERKGVMHSDQKTRFSIGGKPVYHYCAVSSFSEYTVVHSGCAVKVSPTMPMDRICLLSCGVSAGLGAAWNVADITKGSSVVIFGLGTVGLSVAQGAKLRGASKIIGVDTNPDKQEKGKAFGVTDFINPGELNEPVQQVVKRFTDGGADYSFECVGDTGVVSTALQSCSDGWGLTVTLGVPKAKPEVSAHYGLLLSGRTLKGSLFGGWRPKSDIPSLVEKYANKEIQVDDLVTHDMPFSDINKALELMLENRCLRCVIHMPK >cds.KYUSt_chr2.45253 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282195199:282196212:1 gene:KYUSg_chr2.45253 transcript:KYUSt_chr2.45253 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARLHFSSSLLAPKPLSNSTSSPNHVKPITASPSSRRRLRISATSTIDSPAAKALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQASVIRDLVLLSCVGLRPILVHGGGPEINSWLLRVGVDPQFRNGLRVTDAVTMEVVEMVLVGKVNKNLVSLINVAGGIAVGLCGKDARLITARPSPNAAALGFVGEVARVDATVLHPIIASGHIPVIATVAADETGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVSDGQVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >cds.KYUSt_chr2.46545 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290949697:290955527:1 gene:KYUSg_chr2.46545 transcript:KYUSt_chr2.46545 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIEEFDKHCMFGNDMYLTADNRSFLNEFCEKSGRNHMRFYAHRMTYSNVVSKRAKMVFGARFSKLHLQRYLDDAIRVEVHCTSSDEIFEVRMKKGMGTNQAIMTSGWDDVVKEYNFEEDDIVLFCFDPKRSGEEEGHEIDDDVAQDDHDIHEISDDVAQDDHDIHEISDDDSGEGEVADDAGQGDPYFQGTCVFGNKFSMNNTRIKNLKVVVDYYPNAPIPQYVYCLTQSSLNKMEFSEDFTETYLLQFLHKSVLVVVNCTTSLQTMYAEMKLEEKKAVMKANWSDTVEAHELQVNDVCAFSFRDESKSRHRDPSAFLRLFFFFFFLFLFLFFFFFFFFFFFFEFFESTSYSSRDLRICFSKVKGNMEGEACRVCKQPITNCRRSDRRASSFKIIVPSTYREYVTIPCYFKEQLNKYADKWLHGLVGGQRYEFYLEKKQTCTTLYGPFWYYFEKAHPLEVDDVVTFKLPSEDEKADDTDEEDSEEDYEEEITADVFEVTVTNPDGIIKPYDMRDAAPHVPESVRVPFYKTIYTDMLSVGEVDLAAITFQMLKDVNNIGHDEEAIADLQYFVHRVGLSDLFFNRFMMPSHILSGYQYKPRGIDVYSSRVMNDEISGQYILHRGNQNKVEFRMNQEQMSYICRENYIKHGSLILVRVDKWEDNIHLFLEKIDD >cds.KYUSt_chr4.43321 pep primary_assembly:MPB_Lper_Kyuss_1697:4:268582384:268584809:1 gene:KYUSg_chr4.43321 transcript:KYUSt_chr4.43321 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGGYDMRRQPTAAEVVGRLKDDGDFDALRRAIIRKVKDNEVLRNNIIAEVKQSMVLSEDGSEKLKLKELSDAIFQDVGSKIMGQISDEVWSVIQSKETDIRGTVEVIFNRIMNPEQQEDAGPSSKKLKRNGKEEQVSPPKASTSVTAKTEEEEEDDPEAPPGFGFSNHQGSNGTVKLDQPLNVENHSQVKPTEDKPVDAGSLGDADDDDPDVPPGFG >cds.KYUSt_chr4.40365 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249107840:249109210:-1 gene:KYUSg_chr4.40365 transcript:KYUSt_chr4.40365 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIRLTDPGLDFFCMINSNWAARWATCLITVCGPPGLAGSRHCRWYTHEVYPQQLVLCNAVLDNLATYYMCSYLLPQGVIERLDKRRRAFFWTGKDSCSGARCLIAWDKVLLSKQEGGRDLGEPSPSPSFLGRIVEECLPLYRDITRVTVVSGTTTSFWHDRWLPGEPLAKRFAALYSHCTRPHASVATVAALGLDLQARLSSVAETELRLALQHLHATPLREGPDLRRMASPTAPVFSTRQAYRLLSPVHPHDTSACRSWALRLPTKLRIFAYLTDIDRLSTRANLFFKSCAPSDVCAACPQVETGRHLFFDCLLARETWRCLGVRIPQMDFSIWELPSPLPIPAQVWHAGVAAVLWGLWKARNDLVFNACTATSAVVLRRICDDLTLWRWRYRIDDRASLDQLRSFLLTCIRSV >cds.KYUSt_chr4.54513 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336799361:336806848:1 gene:KYUSg_chr4.54513 transcript:KYUSt_chr4.54513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to cDNA clone:J033091P14, full insert sequence [Source: Projected from Oryza sativa (Os03g0109400)] MAAAATAMRGGSSDRGGGAGPDSGKYVRYTPEQIEALERVYADCPKPTSSRRQQLLRDCPTLANIEARQIKVWFQNRRCRDKLRKESSRLESVNRKVAAMNKLLMEENERLQKQVSQLVHENAQVRQQLKSSSMPNDTSCESNVTTPQNPPRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTRVVEILKDRPSWFRDCRSLEVFTVLPGANGGTIELVYTQLYAPTTLVPARDFWTLRYTTIVEDGSLVVCERSLSGSGGGPSAASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLEFEAWNVPEVLRPLYASSRVVAQKMTTAALRHVRQIAQETSGEVVYPLGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGIEDVVVACNSTKKIRNISNGGNAFAAHGGTICAKASMLLQSVPPAILIRFLREHRSEWADYSMDAYLASALKTSTCSFPGLRPMRFSGNQIIMPLAHTVENEEILEVVRLEGQPLTHDEVLFSRDIDMLQLCTGIHEKSVGSSFQLVFAPIADFPDDAPLISSGFRVIPLDTKTDIVSSGRTLDLASSLEVGSIATQASDASPDNCSVRSVLTIAFQFPYELHLQDSVAAMARQYVRSIVSAVQRVSIAISPSQSGLNAGQRILSGLPEAETLARWICQSYHFHIGVELLTQSDGAGEQLLKMLWHYQDAILCCSFKEIPVFTFANKAGLDMLETSLVALQDLTLDKIFDESGRKALFSEISKLMEVGHVYLPSGVCMSGMGRHVSFDQAVAWKVLGEDSTVHCLAFCFVNWSFV >cds.KYUSt_chr2.503 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3050875:3051237:-1 gene:KYUSg_chr2.503 transcript:KYUSt_chr2.503 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQAKTHGRKAAAAHHHGGREHHGHGRSARWPAKLMDGFRKKLVGLFSFPRRPPTVTFSVDDRSGRGGGGEATVAKRPSCSSNLQPVSNAHYDEAIADCVEFFNRSARVDVRSRSSQS >cds.KYUSt_chr5.22312 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145688879:145693845:1 gene:KYUSg_chr5.22312 transcript:KYUSt_chr5.22312 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEAKKATSPSSLFSFSNASFGFGFDAAPGPPPPPPPPAVEVLLSEESPVAAGNVEPLVVDDSLSIYKGRVNTCDVFGVKNSDLVPGKYEGGLKLWEGSLDLVKTLNSDIKDDRLLVEGKQVLELGCGHGLPGIFAGLKGAGLVHFQDFNAEVLRCLTIPNVKANLFKESSQGTCTSQSVGFYAGDWSEIDKLLLCGDAVHDKTTKHHTENEGSSGYDIILMAETVYALDSLPSLYRLIKKCLRYPGGVVYMAGKKHYFGVGGGTRQFLRLVTEDGAMQSDLLAEVTDGSSNVREDTGICGSRTGGFFQYQRLECRDEGVSPRPRRRWLPSLNGKAAYSCFSPLKKLKWSRISSVLLLRKAPQASSKIRHATLDDSCPTIVFLSQWGLPVLSRPSMASSKGKYPHGKGF >cds.KYUSt_chr6.25782 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163335843:163337240:1 gene:KYUSg_chr6.25782 transcript:KYUSt_chr6.25782 gene_biotype:protein_coding transcript_biotype:protein_coding MATESVAFVAVPFPAQGHLNQLMDLSLLAVSRGLSVHYAAPAAHVRQARSRVHGWDAKALGSVHFHDLDVSSFESPAPDPTAVSPFPSHLIPMFEAFTAGARAPLAVLLASLSATHRRVVVVYDNINYFAALEAARLGNGEAYGLQCVAMSYNVAWLSAGPGHQLLRDHGLQALPFDAPMSKEFMEYMYRATAEAREDGGAARAGLVINTCRVLEAEFIDAIPEHPEYKGQKLFPVGPLNPLLVDDAVPGRTRHECMDWLDAQPPASVLYVSFGTTSSLRGEQIAEMAAALKGSKQRFIWVLRDADRADIFADSGESRHDKLLCEFTKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSALESLSHGKPMLAWPMHSDQPWNAELLCKYLRVAILVRPWEKHSEVVPAEAIQKLIEEAMLSENGMAVRQRAKVLGDAIRASMAEGGSSRNGLDDFIAYITR >cds.KYUSt_chr6.28284 pep primary_assembly:MPB_Lper_Kyuss_1697:6:179352546:179354147:1 gene:KYUSg_chr6.28284 transcript:KYUSt_chr6.28284 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIALHGGAGDIPRSLPPERRDPRMATLRHSLDLAVAALQAGRTALDVVELVVRELENCPHFNAGKGSVLTSDGTVEMEASIMDGNTMSCGAVSGLSTVVNAISLARLVMEKTPHIYLGFHGAEAFARDQGVETRDPSHFITDENIERLRLAKQANRVQVYTIDYTQPMKAEPPSDDNSRIGTVGCVAIDSSGNLATATSTGGLVNKMAGRIGDTPIIGAGTYANPLCAVSATGKGESIIRHTVARDVAALMEHRGLPLKEAAARVVAGVPRGDVGLVAVSAAGEVTMVYNTSGMFRACATEDGYSEVGIWPQADSDANANGGN >cds.KYUSt_chr4.24452 pep primary_assembly:MPB_Lper_Kyuss_1697:4:153957582:153961815:-1 gene:KYUSg_chr4.24452 transcript:KYUSt_chr4.24452 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIEGLLARDFGVRRQGKDAPMAAARGAGSAWPNPRSTPAPSYDGFFAAPGPAPPPSASASDPSLDSIFDSFKGTSSSTSTSTKPAFDDDFFNPTSGLRPSNSTSSTARYDAEDVFGTGAGPAFDDVFTASSNRSAPPSYDDFLGGFGEKPQAQQTKRSAVVEDDDLLAGFGMKPAGEKKKPVMEEEAPCDDLIPGFPRSSPPKSRNTNDDNTKKQSVSTSKSTASMVDDPFVVLEGESASGSTYPSPGGFTDPLEDLNKPVKTEGKNFDSTADDDNLFEESSTFDQVPKSYPSFTSESNGDTNLQSKSRDSRPVQSSAKRNPGSRSSLEDFENGMHKSQSARYSDIHVDDSSERYSGNGMGDQSPISTESEDDIWLTVSEIPLFTRPTSAPPPSRSPPLLRQKPRAAKANGKNDESAQQSGQKRNHYKYSPEQADISSIDESEGVAMGKPQRPVHYDTNAFEEDEEYGTNSSAREERESQERLEQAQEMRLREEQRRREKERELEQEREKQAVERATKEARERAAAEARAKAERDARQRSQRAAVQRAHQEARERAAAEAKDRVARAAAEEREKATAEAKERERAAARERAAAEAKERERTAARERATAERAATERVQQEARKRAERAAVERAAAEARQRHAAAAAAVAAKEKQSTPDDLDSFFSNSAPKQRTPTAESMFDPQPQARSSSDVFQDVEGESEERRRARLERHQRTRERAAKALAEKNERDMQVQREQAERDRIGDSLDFEIKRWAAGKEGNLRALLSTLQYILWPECGWQAVSLTDLITGAAVKKQYRRATLCIHPDKVQQKGATLQQKYIAEKVFDMLKPAFSCTHMKHWRIKTGESVMA >cds.KYUSt_chr2.27815 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170766706:170767797:1 gene:KYUSg_chr2.27815 transcript:KYUSt_chr2.27815 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMVVSLLLFASLTALLLMAPRVSPPPLLVRPDDKPPASAGVAAAVAASGGGAAGVGEGAEDLALFRRVTLDAGEGSAVAAAPKVAFLFLTNSDLTFAPLWERFFAGHAHRFNVYVHADPAATLLLPPTPSFRGRFVAAKPTRRGDPSLIAAARRLLAAALLDDAANAYFALLSQHCVPLRAFPRLYATLFPPAAPHHHRLPSYIEVLAGEPQMPARYVARGDDAMLPEVPFERFRVGSQFFTLARRHAVLVVRERRLWRKFREPCLPEARDACYPEEHYFPTLLDMADPAGLARYTLTSVNWTGRVDGHPHTYAAPEVSPRLIAELRRSNSSTHEHMFARKFAPDCLAPLMDIADTVIFKD >cds.KYUSt_chr4.46825 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289545712:289548118:-1 gene:KYUSg_chr4.46825 transcript:KYUSt_chr4.46825 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSSSCCGDQSGADNQLPEPFQLPAPLPAWPQGGDFAKGVICIGELYVTNVSEFQNIWSYSGATFYEPKEVPDGFHCLGHYAQQNDQPLQGFLLVAREATSSQLVNSKPALVKPLDYTLVWTDADSYEDENGECGCIWSPSPPEGYEALGYVVTRGLKKPSLETVRCARHDLTDACENFRSVLNMKSSFQVWNTRPSDRGMTGRGIPVGTFFCQTDSDISEESGIPCLKNFDPNLRAMPNLDQIHALIEHYGPTVFFHPQETYLPSSVSWFFENGATLYKKDIKMGDAILAGGSNLPAGGTNDGEYWIDLPDDDRNGYVKVGNLKSAKLYAHVKPAHGGTFTDIAMWVFCPFNGPATIKIGLASFALQKVGRHTGDWEHFTLRISNFSGELSSIYYSEHSGGGWVDVSDLEFISGNKAIVYSSRNGHASYAHPGCYLQGSEALGVGMRNDVARSDLSIDSSTMYEVISAEYLGDTVVEPCWLQYMREWGPAVTYNSRSEVDTVLSFLPFFLRFTVEAIFDSLPAELYEEEGPTGPKEKDNWEGDERC >cds.KYUSt_chr1.3796 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23264874:23272349:-1 gene:KYUSg_chr1.3796 transcript:KYUSt_chr1.3796 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRGGGGAAACSEASGVACSPPTPPPAAAHPSTPDLTELMKAQIAGHPRYPSLLSAYIECRKVGAPPEVAALLEEIGRPERRSGGAAPGEIGLDPELDEFMDAYCRLLSRYKEELSRPFDDAASFLTTIRSQLTTLCGGGATATSPHSDEMVGSSEDELCSGDADVSDAGMHEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARLALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGGGSSSGTTLYFDTGTIGP >cds.KYUSt_chr5.8280 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52351335:52371766:1 gene:KYUSg_chr5.8280 transcript:KYUSt_chr5.8280 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHQLWMYVGKDDKSRVSSADLSDDEVRDEVRRLTCFSMKDNIVLTSARSPYDFKHLPAEASTVAQCYPPTPESGVELEDDDDDSEETEDAQHALEDSNVQGDEAPEDDVLARSAADVPINRARKLREDSMSDALSLATDANIQVLGLLQKTKGALSRLFSMIFPKIKQDKTLGEMADAFFIDPFEPIEVLKRRSLVASDESEENKRIHKKIEAMTNVAHPKHELWSHQPKAVVVAKFEHRAEKVHYYFDKWKSSFWPGTTQFDSFAGDNQHVGADVFGEVAEVVGGSRLDDDSSNAPNGRLEQVMFFISDDMPSLADLELQPSHGGRRLVDVLRKPAMPPPALGDEAAQRSGLLISLVTIRALVASYIAHQKDCTLVDFVRGIILVKHNARNLLLSPSATNVSLTSGVHMPLSQGTRHHRFSCAGLMYLSQFIEKVGGKLPKWRVSVCDASAKYQLSRMSSLLSKTETTLYQKSPDAARRVEDLHEPNGIVCYPMKLIQPELLRLILFTLSGYFQRLQPSTLSPYVLETFWEMLLGQTYFISLASDDFRNTGSWHKGTTPFATGTGDMRQSLIAKVFGKRSARLLKLFITGRLPSKSGGAAYHLLRPVVPVINNVVINNVTAATSSATPPPPPPPDRQFPELTMAGFADALRPDKFTGVHFKRWQVKATLWLTHLKVFKVSNGLPEGTISKQDQNKFKENNILFIGCVLSILTDRLCDVYMHITDGKELWDALNAKFGATDAGSELYFMESFHDIKMVNNRSVVEQAHEIQCIAKELELLKCSLPDKFVAGCIIAKLPPSWRNFATTLKHKRHEISVENLIASLDVEEKARAKDTTEKGEGQSSANMVQKKSYSKNKGNNKPSFNKPMKTTTFKKKKMIERSRCRLVGGE >cds.KYUSt_chr4.34789 pep primary_assembly:MPB_Lper_Kyuss_1697:4:213778144:213778764:-1 gene:KYUSg_chr4.34789 transcript:KYUSt_chr4.34789 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVMVMVMGEYDDVGRCNKCTASIKTPMVGVGNSCPKHQNVRTSSLSPASALSTESTKLCRKPAPFSRHSPPLQSTIQEKAMSLPRLLAAASRRLRFLSTAAASRRPWAMVARVAMAYKSEPPTFYLAEPPHYSYLMMPTDLIHTSDEPGPDSDVEQLLGGMVC >cds.KYUSt_chr6.19675 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123789957:123796147:1 gene:KYUSg_chr6.19675 transcript:KYUSt_chr6.19675 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGASDAGHVAQGQLCAAQMEARLSGSDADSFTPPREVLNWWWLPVGTLDRELGFPAAARDIQRHRFRAKKLISVGVSGSTASVQKLVRMERERRGKRPFEHVGGDEGRRRELDLRQRLDREQEEQHRQQFQRDSEAQRRREEEGRARERRGREQRRFHQSSAQNQQGRDSGRAAPRPSGSAHGERGNPSRAPTEGGTPATGDTAHIVCYHCRKTGHIQADCKDEPFCVKCNTSGHLSAMCASLSKASDPFWAGYGVDGKGFTCCDVPEEELLPPAPNAALVILEGGDLSAAQMEEELKDLVDEDWEWRVQKINRSDFSMFFPSKESLCMAIRGGGLTLPSSKLHVIVTSNAGDPAAAEQLEECWIKLFDVPPPYRQAVRILLATRELGRPIVVDAQSLESPLDPVRVKIGCRAPVRLPPSTVFFVNSQGFKVRIVREDGEREEPSDPPPPQRKLSDDREEDLEESEGEGWDGRRGKNLKKDKGVTLVGGGSASEPKRKSVPILAHDALPGGKDAASVLDPGGIIRRQEGSVGDLPSLSPSLVSFQDSPVAGGDSVKSRPSLWKAQQLPEEDRIEAGISTPVTWESDPQAMRSKERRSKANADRPSLALKMVAQQLAFPDGDAPVPEGGESRRIEEKALEGELWAEGVAAAELAAPISRAPSSRASPVAAARTSTRGSGSSATPILEKAIQRAKDKTPGTSKSVDNFVILQDIPDSSLLSVARDSCIVFPSAAGNPAPLLSMMRARELAQAELALARDKALAEALQKKEAEDKGEHKQPSNTSSPPVGSCGSRAKAIRQVKKKKIQKKSPVTELSSLEIGDKFVWCWLPACGHSGGMLMGFRDSTFDVGSIERGRYFISTDIICRADKLRCKVMGIYGPADHSRSADFLQEISNKVDASEQHIYEFYRNLMGTEEARVCGLHPNAWSNENRVSQEENDNLMRTFSEKELEELVMDMKSDSAPGPDGFPVFFFKKFWGLVRLGVLHILNDFILGRIDIARLNFGVLSLIPKVPGADKITQFRPIALINVVFKIVSKALASKLDPIANRIISPNQTAFIKGRFILDGVLALHEIVHELKIRKQGCLLLKLDFEKAYDHVNWNFLQEVLRAKGFDVGVVHRISQLVMGGQTAISINGEVGPFFCNKRGLKINYHKSEVVVMGASELRKQQVADWLNCKRGAFPFIYLGLPISDRKLTMEQWLFLVRKLAGRVEPWWGSGPKRRYHLVNWPTVCRPKECGGLGLLNSKKMNIALMLKWVWKLFQPENPIWVQIIRAKYPSANNIFAGSGHGGSQFWRSLHKIKHFFKLGASRLVQDGNRTLFWMDKWFGDVALKDRFPTLFSISDSQMITVGQVCRGGGALSFRRSLDQLGIADWHLLRSIIDQIHLVPGQDRMWKPLAKPLDRPWIDHAIVELKLLHDAAAPRRDDQDT >cds.KYUSt_scaffold_1700.428 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2740175:2747469:-1 gene:KYUSg_scaffold_1700.428 transcript:KYUSt_scaffold_1700.428 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKIVEVARVVVPATAALPPGESSALLRLSALDAPWLLVPLIQRVLIYDGQLPPFASLVGSLRASLAATLARFPPLAGRIVFLPSTGDAAIDCSGSELDGGVRFLVAEMDEADAEKLAGDADHDVDTFQQLLPELATSPLPVEVLAVQVTRLRGGVAIGVALHHAVVDGRALWMFLEAWAAACRGDAVAVEPTFDRAAIALPGGEELTRSTLRKYMPNLPQLSLGNIPTEAPVCPPMCPYVIEERRLVDDVARELNPRPAHQLLVVLGNAEPALRLAVAVTILIVEEARRWREELGLLEQLHVQKVEVAPRAPESPHDHNVAPFPAGPIVPRRTFTVAEERIGSLKQRIGQFIRPTHESSAKAARPPSSFVAVTALAWVSYVQSKQHAAAISADQDVYLYFFFDIRGRRGIVPPVSESYFGTCVTGCLVKAKAGDLLAEDGIATAAAAVQGEVRRAVEDPLANWDWIALAASTLSRDTALVSISGSTRFPAYEVADFGWGPMGRTELVTMNSAGQVVLVAAKGGGGGVQASVCMHPDHMEAFDKHFTNSFD >cds.KYUSt_chr7.25913 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161847124:161847372:-1 gene:KYUSg_chr7.25913 transcript:KYUSt_chr7.25913 gene_biotype:protein_coding transcript_biotype:protein_coding MATAANPATDLFSRLSFRRAAAPSPLRLPSRPPRAPRLSAAIVAVHKRNPKRLKYASQRQFTVRPQPPSIPHVSSSQIPGAN >cds.KYUSt_chr3.4071 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23131822:23132907:1 gene:KYUSg_chr3.4071 transcript:KYUSt_chr3.4071 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCGSRNEQYDMSPICSFNNLIYLRIRGIGCKKLLKQLRKLRNLKTLEIVGDDIRDSLHLEELPLTLSHLIVPHTMQPVGEISRMRALRTLGELSIDLKDVKNIRGLGDLGYLRELKLVLCRGVPEEACNDLAPSLCRLGRLQSLTIRMYGSLETDDVLACWSLPSRHLRRLHVLGLPFSTVPQDLVGHLDNLRSLKIHVFFLPRDGAEVLARLTLLVHLTLHVKKDVPEERVVFRAASFPNLQDFVFRYEDVCLEFEAGAMDKLQSLTVECYEEAERHAGKLLDGIVRLGSLVSFKAIFYKEERKDDYYIFHSGPTGNASFQSYAPPQPKFWDRGSLEAELRKAISKHPGSPHVCIESV >cds.KYUSt_chr4.51533 pep primary_assembly:MPB_Lper_Kyuss_1697:4:319767935:319769535:1 gene:KYUSg_chr4.51533 transcript:KYUSt_chr4.51533 gene_biotype:protein_coding transcript_biotype:protein_coding METKVELQESTLVAPSEQTPRHGLWLSNFDVTAARTHTALVYYYPAPAPTTGFFSPDRLRAALAKALVPFYPLAGRLGRDEDGRLQVDCHGEGALFVVATADCAGEDIFGNFVPSPKIRQAFVPVVPSGEPPCVMSMFQVTFLKCGGVVLGTAIHHALMDGIAAFHFIQTWSGLARGLSLSEACPSPPSHDRTPLRGRSPPHADFDHLAYSSAYLTGPTRPSNTIVYSVSPKLLADLKSRCAPGVSTYGAVTAHLWRCMCVARGLAPGSDTRLRVTVNVRHRLQPPLPRHFSGNAILRDLVTVKVADVLAQPYPGYVADAVRKSLDGVNDAHVRSVIDYLGMESEKGTLEAAPWQLLPESDLWVTGWLGLPMYDADFGWGTPRLVAPAQMFGTGMAYVMQRPHKDDGIVVFVALEPEYARCFEDVFYNQ >cds.KYUSt_chr3.33175 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208172576:208174274:1 gene:KYUSg_chr3.33175 transcript:KYUSt_chr3.33175 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSPIPDELPHPPLQERCKEAFQIIARRRNVLIRWYRRWVEMADAALSKYAKQTAADYQLHIIHGLGTMKDEFNVDKSFHINFMAWPMDPSSAREAPVFFFAEALRPSGSHFCEEDITLCCTVQPSSSEVDCCHSCLTKHYIIDHPDNSENFGGGQYFKMDGTSKEWDYPATLDIDFRYIATRIMEWSEYIIDHLDKSENFGGGQYFRMDGTSKDWDCPATLDVDYRCFDTDRDADLVEYLNQDYARYISSSAWHSPIA >cds.KYUSt_chr5.38733 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245169544:245177473:-1 gene:KYUSg_chr5.38733 transcript:KYUSt_chr5.38733 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGKNAQTQVGVAAAAGHVLLLPYPSQGHVHPMLQFAKRLAHHGLRPTLAVTRYILATCTPDAAAIGAVRLAAVSDGCDSGGFGECDDVTAYLSLLESAGSETLCELLRAEAAAGRPVRAVVYDALLPWARGVAQQHGAAAAAFFTQPCAVNVVYGHVWCQHVGVPVKAGATVALPGLPALEPEGLPWFLKVGPGPYPAYFEMVMSQFKGLELADDVLVNSFYELEPQEAAYMASAWHAKTIGPTVPASYVGDDRLPSDTKYGFHLFELTAAPCVSWLSTHPPRSVVFASFGSLSDLDPAEMREVAHGLLDAGHPFLWAVRSSESMKLPAGYADAVAPCGGMVVSWCPQLEVLAHPAVACFLTHCGWNSTSEALVTGVPMVALPQWTDQPMNAKYVEAVWKVGVRVRPKAEDGLAWREEVTSGIKKVMAGERSGEYRRNAAAWAEKARAASREGGSSDRNIAEFVAKYSSQ >cds.KYUSt_chr3.32598 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204948349:204948831:-1 gene:KYUSg_chr3.32598 transcript:KYUSt_chr3.32598 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARAESPAPAAPPPPVPEKRAAPPDDVDDEERPGPKRRRAFVATLDGVPCAAAAAAAEEDGQRDGLSFSFQHSRGGFVPLETTPKFGSFNPPAPAEQQEAPDLNPAPPAAEDSPAVEEDGEDPASPRGVGDHQDGNSEAVAAEVDQGEPECADVHHP >cds.KYUSt_contig_2825.71 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000528.1:444009:446640:-1 gene:KYUSg_contig_2825.71 transcript:KYUSt_contig_2825.71 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVAPSALMPERGGRAGAVHRCGAQAVRPMAASRTTPRRSFAMSAGVGAMWNIDSPTPVASFKCHPGYAYGLDYFCPGGALPYLVTHTKYENRVNFNLGDVRDFAYITMTKRAGLEKATKSCRRVSKRAVSFMKK >cds.KYUSt_chr5.26625 pep primary_assembly:MPB_Lper_Kyuss_1697:5:168612812:168627837:1 gene:KYUSg_chr5.26625 transcript:KYUSt_chr5.26625 gene_biotype:protein_coding transcript_biotype:protein_coding MVMCLPPKSTLRSCRYNHGRGIGEYHHDKDKRFIPRAGLTMSLTRGTVVSGMMAEGQVTSNKRTCDTMEASCQEASGRCPVDTAAVAPSTSQSPGLSRRKTASAETNPSEAAATSLAGALARERTRRRGEPEEDEVPFWQRTWFLALLLAMAAASFALALLLYLGLDFPEASPAQSYAAEPDTAVEISYGSVIKLMHERTKFRLHSHDVPYGSGSGQQSVTGFPNVDDANSYWIVRPQPDTSAKQGHPITHGTIVRLQHMRTRKWLHSHLHASPITGNLEVSCFGGEGESDTGDYWMLEIEGSGKTWRQKQRIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNVWVAAEGVYLPAFGRQDNTDGSKPCLGMFSPYYAEMRRETREVDGLASNASRDLPMDSPGWTSSPCQSYMSPNSNMQMVSRSGAHRSKGQEDATSAGEEVATSEEAAPVLETGARAPLQPQDGFEKEKEELIKVKRFCSSILKTLAPLLLKEFENASGLRADAETFTPKRVTRRSTAAVASTKVKKASVANTTLLKALGICPKNMSVNEEDLRRFKEFFDSPMREAHIKVPAAIFGKEMPSSFERQEGCRGMVHALE >cds.KYUSt_chr4.2858 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16281861:16287268:-1 gene:KYUSg_chr4.2858 transcript:KYUSt_chr4.2858 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAPRAPPDSAPSPIYSPRRENPITESHDTRKVPEPPPPPIPSRGIQEIAFGTLPERGIITGGLYITMPTSGLMCSTLAPESLVLRRTTLLHQQPSCGLHLLLGARHKELWPTLRTFAAAGLPIYAGNVMVDRLNKQGTIISVGKKDPIEKSFKIDSEEEYRKFYGVKRAPLELSTKVNDLRGVNEKSGNLNSVDSSMNSSYVNTVHEKKDKFDAVNDVSMMSTTKFKDVKGVDEAKAELEDIVHYLRNPKHFTRLGGKLPKGVLLVGPPGTGKTMLARAVAGEAGVPFFACSGSDFEEKYVGVGAKRVRELFNAAKKRSPCIIFIDEIDAVAGRRNSDDSKSRRQTLNQLLVEMDGFKQNDGIIILAATNFPQSLDKAVVRPGRFDRHVQVPNPDVEGRRQILEGCILKVKAKGLDVMTIARGTPGFSGADLTNLVNDAALKAAKDGADAVMMHHIEYAKDKIMMGSERKSAVIPDNCRKMTAYHEGGRALVAIHTDGAHPIHKATIVPRGNTLGMVTQLPEEEDMYKFSRRKMLAKLDILMGGRVAEELIFGNTEVTSGTLSDLSEATQLATDMVTKYGMSQRVGFVSYGNNDEGGVGKKMTTVSGHTIALVDEEVKELLAKAYKNAKKIVTGHSKELHVLADALLVHGTLTGDQIKQLVSPSNSEQNQQTHSP >cds.KYUSt_chr6.16896 pep primary_assembly:MPB_Lper_Kyuss_1697:6:106435013:106444445:-1 gene:KYUSg_chr6.16896 transcript:KYUSt_chr6.16896 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGEACLRRCGDIDIPYPFGVGSGCHLETGDWTFSLSCNRTSDGRQRLYNYQIEVVDMSVRHGQLRIYSLINPWCYNSTTGAMNGQNNWWYDMSITNFRINDALNRFTVVGCNSLAYIRSLNDTTDLYMTGCMAMCPGVGRLENGSCAGVGCCQTAIPSGLNGYQISFEEKFNTSGTSSFSPCSYAVLLEAAAFDFRTTYITTDEFMAANGNQVPLVLDWAIGNKTCQEAKRNASAYACVSGNSECVDSKYGRGKGYLCNCSAGYDGNPYLLNGCQDINECQDKSSRYPCSVPGSCVNTIGGFNCVCPDETSGNAYNGTCERRKSQLGWEIAIGVSGGVIVLIITASCVYMIYAKRRLAKIKSEYFKQHGGHTLFDEMRSRQGLSFKLFTQEELEEATGRFDERHVIGKGANGTVYKGTTMDGCVVAIKKCRLASERQKKEFGKEMLIVSQINHRYIVKLYGCCLEVEVPMLVYKYIPNGTLYRLIHGRRDGPRIPFTARLKIAHQTAEALSYLHSWASPPIIHGDVKTSNILLDEDYMAKVSDFGASTLAPTDEAQFVTFVQGTCGYLDPEYMRTCKLTDKSDVYSFGVVLLELLTCRKALNLEELEAEKYLSSQFLLVLGENRLEEMLDPQIKSEQSIEVLEQAAELARQCLEMLGEKRPTMREVAEELDRLSKLAQHPWGPPDSGELVGLLRSSPSPTTYSGHSRLELGSSTRNVSFGDTAYIGIQSPR >cds.KYUSt_chr4.7081 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41917072:41918892:-1 gene:KYUSg_chr4.7081 transcript:KYUSt_chr4.7081 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPLTATPTPLLPAKSKTPPPPHPLLPYLPQCTTLRALAQLHAATVKSGLTTHPAFVTRLLTLCTDQGTAPAHLAYARQVFDRIPSPGDVVWYNTLLRGYARSGAGAAAAFPEAARVFVRMLEEGVAPDTYTFVSLLKACAAARAAEEGRQAHGVAVKVGAAGHAYVLPTLINMYAECGDVQAARAMFGSVDGDCVVSYNAMITAAVRSSRPGEALVLFREMQGKRLKPTSVTLISVLSACALLGALELGRWIHEYVKKVGLDSLVKVNTALIDMYGKCGSLEDAISVFEGMESRDRQAWSVMIVAYANHSYGREAITLFEEMKKQGIKPDDVTFIGVLYACSHSGMVTEGLQYFDSMREYGIVPGIKHYGCVADLLARSGQLERAYKFIDELPIKPTAILWRTLLSACGGRGDVDLGRRVFERILELDDTHGGDYVIFSNLCANTGEWEEMNRVRKLMNEKGVVKVPGCSSIEIDNRVHEFFAGDGRHPQSQDARRMVDQVIEQLKLVGYVPNTSHVFHVEMGEEEKATSLRYHSEKLAISFGILNTSPGTTLRVVKNLRVCPDCHSMAKLVSMLFNRRIILRDLNRFHHFEDGVCSCGDYW >cds.KYUSt_chr1.27445 pep primary_assembly:MPB_Lper_Kyuss_1697:1:165449977:165452098:-1 gene:KYUSg_chr1.27445 transcript:KYUSt_chr1.27445 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPPKTVTVHGALLPRTVGPPLHHPHPRARIPIRASPQTYTTNASFPSPRLATATSHHNAKESTNCSPAGQTMAPSKLRKALGAVKDQTSIGLAKVGSGSGAASTELEVAIVKATKHGESFPADERHIREILALTRYSRAYVGACVASLSRRLGRTRSWDVALKTLVIVHRLLADGEPAFEQELFYATRRGTRMLNMSDFCGRARADAWDFSAFVRTYAAYLDDRLEYRMQGRQGGANRCKLLRDELYRSPGSRFSNDGANESRREDAAADADADADAKAVALVPRDTPTSEMTLEQLLGKVHQLQHLLDRFIACRPVGAARTNRVVTVSLYPLVKESVQLYCELTEVMAALIEQFPDMETADCERVHGVFCGLVKQIDELDAFYAWCKDAYVCRQSDVPDVEVITQKKLELMDDFIRDRRGAESQQKLSPPSPELPSPEPEAEELDMSATRALPAPAEPQPVQEEHNSGEASHAEQELPLIATGVVEEEADFLNLKADAMSGEEHGQLLALALFDGNPAGSAPTCDLFDTSSADWETALVESASALVNQRAVLGGGLNMMVLDGMYNHATAANTQVFSGSASSVALRPPGTPMLALPAPPGMCSVAAGADPFAASMAVPPPTYVQMSDMQMKQQLLTEEQMVWQRYGNNGMQGQGALSMLEQRPPGVYHRAS >cds.KYUSt_chr7.2635 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15490649:15491851:1 gene:KYUSg_chr7.2635 transcript:KYUSt_chr7.2635 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDGAPAMTMPGDGRGAGTELDDLPEEIVTDKILMLLPPKDVGRCRAVRASWRSATSTLEFMLAHHRRQPSFPIVEREYRLGVFRASRSSGTAYLQPLCPRGHNVSLHAAGDGFLVMSVPSRFYIYNPVINQIAPLPQPEFQHTNTILGLYSHDATGEYRVLWSSVVDRTQNERTLHVTTVGSNQSRDIGVRMSTAASSPSRERALLEALPRDRYSAYNPPVRHRGNLHWMPEREIIVFDTATELFQCMRGATHSGSRQKLFDVHGKLGLYSPDTRFKYMDVWVMEDYEAEMWEFKYRIDMSSIEASLSLHLTSPKKEKRKGTVVAINPMARIISEMCMLNEHELLFGYNDKNVLRCNIDGKLLGAANIERRQYIMELTHHRFKESIIPIPSSKMQEER >cds.KYUSt_chr7.18319 pep primary_assembly:MPB_Lper_Kyuss_1697:7:113510808:113512835:1 gene:KYUSg_chr7.18319 transcript:KYUSt_chr7.18319 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGRGQAAAPPPPPSPPTPPPPSSSSEEFDDDDSTDDLLDPLAASPLPASTEHFFHNADVYADDPETLTSRYPSGPARAYGESKNWYFFCAVKPTIARDGRKPRIVGGGKGTWKAERGEAVVDPSSGDTVGRLERFTYTPKPKEAGKRPEWLMVEFSLDQQLVVTTQADTTNKMGHQMVRDKAQPEMHKT >cds.KYUSt_chr1.6102 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37471368:37472306:-1 gene:KYUSg_chr1.6102 transcript:KYUSt_chr1.6102 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAERAETMAQIADGCENWGFFQLVNHGIPLELLDRVKKVCSESYRLREAAFRQSEPVRTLEALVEAERRGEGVAPVDDMDWEDIFYLHDDNQWPSDPPAFKETMREYRAELKKLAERVMEAMDENLGLDKGRMKAAFTCDGIHAPTFGTKVSHYPPCPRPDLVTGLRAHTDAGGVILLFQDDKVGGLEVLKDGEWLDVQPLADAIVVNTGDQVEVLSNGRYRSAWHRVLPMRNGNRRSIASFYNPAFEAAISPAVAEGGAAASYPEFVFGDYMDVYSKHKFEAKEPRFEAVVKAPKTAQA >cds.KYUSt_chr7.38799 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241479877:241484607:-1 gene:KYUSg_chr7.38799 transcript:KYUSt_chr7.38799 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRGQYDADELAIAGEFLTTWLPFLSAGLCPSCADSLRGRVESLLPRADESPPEPHTPIEPSGWDLDPAPPQHLPFEASGWDSDPPPPQQPAETPRMSWADMAQEDELAAAAEEDAAATAADDGEEAGKPRAKPSREQRELHRFRKVARKDDFICFERVKGRLVNILAGLELHAGVFSAAEQQRIVECVYDLQEKGKRGELGDRTYTEPEKWMRGKGRVTIQFGCCYNYATDKNGNPPGIIRTFVSDPIPELFKVMIKRLVKWRILPPDCVPDSCIVNMYDPGDCIPPHIDSHDFVRPFCTVSFLSECNILFGSSLKIAGPGEFTGSFAIPLPVGSVLIINGNGADVAKHCVPAVPSKRISITFRKMDPAKRPFSFKDDPELLNITPLEAAPQETSRAAPQETSRAAPQETSRAAPQESSRSSDDGKGKQLDVPNGNLGSRSSRSRKSKGRTSAGKPSWGGILGDQPPQRPQSPMTSTSSDRERDSIGRSREPRYPQSSDRERDSIGRSREAGYLPSSERGRDSIGRSTETGYSPSSERERDSIARSREPRYPPSSGRESDSIGRSREPRYPRDAPGMRSHGEDLRDRLNRLPHERTYGSGVYFINNGAESQERKQRMEHRQLLMINRTINDDMDSLSTGSHDSPDQPRMSIRTIHNKPRTRINMGG >cds.KYUSt_contig_1781.65 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:396712:399629:1 gene:KYUSg_contig_1781.65 transcript:KYUSt_contig_1781.65 gene_biotype:protein_coding transcript_biotype:protein_coding METTPALERRPALIHHILCMLGDAPSARMVADEAKPTALVAEREKEAPPIWTKSVTSQAVKAAAAAAAAAAEGRKLSAETSVRCDGLPEEEATCARCRPWTRMKNPHPVEAAATSQPARTTKSATAARVAPIGSGVPVEPLMTRAKASAMVPPAESIPVEPLMTRAKASAMVPPAESIPVEPLMTRAKASAMVPPAESIPMESGGGRPSQQSSSSTERILQKGPAPREDPQSDQFKSSAAVGIQKGLSALEGVTVATSSEEDGISAHNVYNQYLDLYIYGFPVSSFWFDMWIVKPLILHDREFIGPLQYLKSRGRNECPPLDGTPNFSHEVTAVLNSHPGPIEYFRLDSSEWSSDQLLQWMRILRSKSVNEVIVVNIACSPRTSFPVLEMHSTEKLSIGFFSITSIEGVSFRLSLKVLRLMCCSFTESCLYEVVNYWPVLEELHISFSLVNIRICSNSLRILQATSCEASSLIIESAPRLSVLVASISPKKSHVYLKIDGGNSLEELHWIMLPYHQFSENTLLAALLTVPLKGKRMDELELNELAEIASDASFGNLRDTYCVKHHLRCLQLESFLGGDAETDLIIAVMRGAKSLQRVLLLRSTSSCAETISKALDEMKVVKAISAECRIILKRPDMGN >cds.KYUSt_chr3.22722 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140490402:140492087:-1 gene:KYUSg_chr3.22722 transcript:KYUSt_chr3.22722 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWKKRTRKNVVFESANATFRDALKESNATSRDALKEHSPPSSTPSPQLSDRNFQKSERRDVLPEIQPTGESLQQKPKHKAHSSEGDILQHFKINSAVPEIQPVNEPLLRTRDDGALRKKTNDHGAASAQPRREMETRPRSVPPHQSNQPDNSSWSLETLKELVDFSPQELTQAISATEFNRLLASILIAFIVVLSNWGLDVGGIITRVLVGTRPLLFLIITNITLVISFMMENKDARGRQASGGLGSADSLMLMLEVGTLLQKASGALLIDVSVCAVIMICFLGF >cds.KYUSt_chr4.37831 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233314701:233315090:-1 gene:KYUSg_chr4.37831 transcript:KYUSt_chr4.37831 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTMAFMATFPTPRTVAQPSATLPPRQNLVSFAAMSVRARGRRLVAVAGSASTPPELAKKVTESIKQAEETCAGDPVSGECVAAWDEVEELSAAASHARDRNKDSDPLDEFCEDNPAADECRTYED >cds.KYUSt_chr3.2124 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12316680:12319261:1 gene:KYUSg_chr3.2124 transcript:KYUSt_chr3.2124 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLAGGLDSGTSGDALTRSDRNAVKLLMLELLSDGCAQDLEIPHASHHGGESGVEILLLHKLWLADLRQGIHATAS >cds.KYUSt_chr6.6507 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39286770:39287330:-1 gene:KYUSg_chr6.6507 transcript:KYUSt_chr6.6507 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLEHEFLLPNSEQENSLFLRALISVVSGDAVVPTLHIEPSTLPFAVAAPAAACARCGVDGCLGFDVVATTGSSSEGEEFTAASFVKDGGVRKRRARKGGKFRCVRQRPWGKWAAEIRDPHSAVRKWLDTFDTAAEAARAPTTSWRSSSAATAPSSTSLLPKRLQQRRPLLHISTEPRTRDQCH >cds.KYUSt_chr7.6848 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41297676:41301803:-1 gene:KYUSg_chr7.6848 transcript:KYUSt_chr7.6848 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSPYSGFLADPAKCRLLNIDAKRELLSELSKSPDRALELLQEWRRRDIMQIFCSEFCKDRKYQGTSKQDILHYLFNAVNGKSCARGKCSHGKYMKRLGPELNSSDIQLPYKRRKKCDVPALPVIASTPVTDAKDARRLDVLCHRILLGHKILISTKKYLVLHEVVDTAMKMLEGELGPITGLPGKGWGIVDRLPVGAAVQKLCTRAIETLESMLNGALTADSQIQGSRMVPSDFVKLEDISHESVTVVFHLDACSMLSQGLTGFNLWHRKASEEDYPSNLTGIIPMTSRMLVVRGLAPCTSYVIKVAAFTGSKEIGSWEVRTNTIGCPKGLDAKDSLPVDVGKDPNNKSVKAKSSVLFNASSEGVELEEVSTDHADLNDLSESDIGRYKSLDILYSVKAPHYCNETTSYSQDRKLHVAGVTKVDEPDETPRVQASALDDKKEEPGSAAQAVSLMRPRELMACSQRALKKNVGKIGSNIASVAHTGIKLVAPPEYKGSFLHVIRKESKNCKRVSGMSFEAKSGDHVPQDDSSKTETDPGCLSCKSTPGRIEDGGHKDGPSEPNTSAQVTSLAKSSNLVPHKQGILLEKAAASLAPRTGNGIELGDGIIGTGSRSSNDDHVPQPGPLKPATEPWSPSGSNPSGSTPDAIEQTDENAYVSCVKMIRQLECDGHVDANFRVKFLTWLSLRATHREKKIVSVFVDTFTDDPASLAGQLCDTFSEAIYSKRPPIAP >cds.KYUSt_chr7.35462 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221567579:221567824:1 gene:KYUSg_chr7.35462 transcript:KYUSt_chr7.35462 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQLRFLFGGRHQGTLLGGAPLLPGPTVGLTTDDSVDTPGESLAPLVPMLAVPLGTVFPVEGFIGELGLLLSRVLAFSG >cds.KYUSt_chr3.743 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3827816:3830143:1 gene:KYUSg_chr3.743 transcript:KYUSt_chr3.743 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQRLAYYNMQWYAGSSHVASGAGRGGEPSAEGTSQVRSSAEGCTASTSPLTPVGSIAQNLPQPRRSSLPGADATAPPTGVAGGEGERGAGGNSKSPASSQEQNPSLSSMFSSLLQIVRYVSDLNVLHFCIYTAVDGTPFVHLLIDNLVLSIYCRHSLLTGPKRKQPPQCAAKEKAAIESVSGTVVVAVSMPASPSMTTSGSAPAMTLSWNPLPQRGHVKEYIASRIAAAMASVVCDAGKCCGKKLSGKKYVTPSSANKK >cds.KYUSt_chr5.6621 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41078491:41083057:1 gene:KYUSg_chr5.6621 transcript:KYUSt_chr5.6621 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQKKHKNAETGNNVFHRIVLNQIAGNIGFEEEENVPCNTPRHSNRSRFCGSSGKFAASTSGSNGAESVSPGEYVRDPGSILSLQPWIFKRSSAQNNDEMVVPSGGRAVGRGKNLMDGFRDGSTVDVSARSHGLGPGSGRGRGALRSRRPQRHFMKPLVAAENSYIPQLYDENFEFEEYTFAPVPSPASARPFIVTDGRRIISKSRYEPVPVPFEIGVDKKDCQNGSKEPENIVGIAPLPQLNKSRRDIRVSQDARLSLLGSQGGLVERLLMFSSGVQIGIISSSLSSKKDVDSLKGTLKRMENLVQDLQDELDMKEGLTVKELPNEMSGEQNDDIIKAHTVDSEPMSKIEAELEAELVRLEFNITSNHLEEQPFDLSEGELKIDMIRRDIADYSSESDHGRDSRHSSPDYTRDAKYPVSPRDLSIRLHKVIQCRLEDRIKELEIALAQSQKQTKLQIMARERVFSERSCSNSDSGSSLNQDSPLFMQETSSSAEPFCLNLAGDALEAYDEAYEEFMRIADSPCTSSTNGKPQVNEDYSVEIWGLEEDSSTDLKNVPTWERDAKSGEPNNAQESDGDGEDESGDDDDHDIANVDDGYGDDTVKVEAHPAEFDEGDDTNTGDKCDHANTDDERNDANTDDEGNDANTDDEANIGSMLENINDKYMIEYFISRNTTDDVGGMTPAKPVSPRGVYRNQEGKMPEHRKEEHAD >cds.KYUSt_chr3.19999 pep primary_assembly:MPB_Lper_Kyuss_1697:3:123303429:123304571:1 gene:KYUSg_chr3.19999 transcript:KYUSt_chr3.19999 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAMDPWAFARPPPPGFGFSCARQPVADLSARLRPPPPGFVFSCVRQPVADSLPARLRTPPPSIKFPCAQLAVDDVPARVRPPPPGFSRLCNKVLPPSPREIPVPPKFSKRAAPPVSTTVSDKPSAKRQRLCSDYEDDIEANLRRTERSPEERPRPDYMKTVQQGRVSPSDRTRLVGWMDAFVRHHDLVDGTLHHAVAYVDRVLSVRAMKTHTEYELRLLGAAAVFVAAKYEDGWRTMPKLDPDKIVSYGRFASRKEVLDMERHMVAALGYQLGGPTAHTFVRRFTKHAQEGEEDLKKIQRMAHHLADESLRNYACLGYLPSVLASSAILMARSALNPPDVPAWSTEMQELTGYNVMNLAGCLHAMHSFSQSLLCDPHC >cds.KYUSt_chr5.18505 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119573039:119586836:1 gene:KYUSg_chr5.18505 transcript:KYUSt_chr5.18505 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSFASASSGELAAAAVSGLGRPVRVIPLRHPTDTAARGPASSAAAPSSLLSAAMERARGMGPWEWAETALPCLAWMRRYRWKEDLQADLTAGITVGVMLVPQAMSYAKLAGLHPIYGLYTGFVPLIVYAIFGSSRQLAVGPVALVSLLVSNVLGGIVNSSSELYTELAILLAFMVGILECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYSVTRSSKIIPLIESIIAGIDQFSWPPFLMGSVFLAILLIMKKLGKTNKKLRFLRASGPLTAVVLGTLFVKVFRPSAISVVGEIPQGLPKFSIPRGFEHLMSLMPTAVLITGVAILESVGIAKALAAKNGYELDSNKELFGLGIANICGSFFSSYPATGSFSRSAVNHESGAKTGLSGIIMGIIICGALLFMTPLFTDIPQCALAAIVISAVTGLVDYDEAIFLWGIDKKDFFLWAMTFITTLVFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNTLQYPEAYTYNGIVVVRIDSPIYFANISYIKDRLREYELKLPVSNRGPDVGRVYFVILEMSPVTYIDSSAVQALKDLNQEYKARDIQVHLLLSTAGIIDMIGAGWCFVRVHDAVQVCLQHVQSSSSNSIKLSPQASGNLTDSPKAQQRHGFLKNLWKAQDGNGSTDEAQSLLRQNLV >cds.KYUSt_chr5.29618 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187851264:187852743:1 gene:KYUSg_chr5.29618 transcript:KYUSt_chr5.29618 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQGGRGNPPGILDGLYGVQSSVAAKAVMLEANPLQHHMKNLNAAIYANSLVGVGIASGLYHSSKGEVRKILRWADYTMIATTTLCLSRAVRNENPGLLMAASTLLLPFQPFMVSAVHTGMMEVSFAKRASVDPELRMVHNLHKMSSLLGGALFIADDYFPETPYIHAAWHLAAAMGIGTCNKLLG >cds.KYUSt_chr2.3693 pep primary_assembly:MPB_Lper_Kyuss_1697:2:22324503:22330888:1 gene:KYUSg_chr2.3693 transcript:KYUSt_chr2.3693 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNQLSQPTLVTGDSENGNFIQQEQHHYQPEEQPRSENQLQQSEKGTEYVSQQSLTGSMEDASQPRLDQQHVNPVAGQQAPPGAQETRKKGYQPSIPFNMLIPILQEHLDRDKDMQLQSRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFAQMQAQAQRNKQGNANQHSFSPQASTQQSSASGSAKLPDQQVRMPTPPNQVQKSQASSSPQTFVPLSGTQMHNSMHYFAHDNSIQTPDAKGVHAMPNRPPIMNPSTPLQTTTAVEEQTSRQQQPWRSRPAAAGGGADQQQPTVEELVVDKDLVLEELVEEEAVPKTSTPPAAKTNKKSGGQKKPLEMAGSAPAPSSKKQKTAGAFQEQSIDQLNDVTAVSGVNLRMNQKAKEEWDKKQAETDKNKKQTEDEGSGAAELDREKEESRPKNAKPNKEEDDKMRTTAANVAARQAVGGSDMLSKWQLMAEQARQKRAPVPRPAHGSGKGSAEQNEASKRSHFAAFGTGGTKRQGKGSFATRHSHGPQRTVSVKDVICVLEREPQMTKSRLIYRLYERLPGDFTTD >cds.KYUSt_chr2.18562 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116884865:116888088:-1 gene:KYUSg_chr2.18562 transcript:KYUSt_chr2.18562 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVIAVLLLLLLLPPAMPFEGSECDGSKYAPNSTFQANLNLVAAALPGNASSMPTGFATATAGTSPNRAYAMALCRGDVNASTCDACVAAAFTAAGANGNCPNNTGVTMYEDACVVRFSSVQFLDFLRADQWQPGELAFLITPAFGNVTTVPGAWFSAAATAILTAVADHAVANSTFRKYFATGEMDFQPKIYAIAQCVPDMTPSQCQSCLGTLVIQSTGLLVNTKPRWIMSFVAWCNLRYSVQPLYEGRSMLQLEAPPPPAVVPPSAAPDSGGAGKKTKAVGIAAGIGCSVVLILVCVFAFVVCKRRAKATKDGHPLQKIAGAQCTIFDLPTLQEATENFSEKNKLGEGGFGIVYKGILPDGQEIAVKKLLERTGHGLKELHNEVLLLAELQHKNLVRLHGYCSHRDDTLLVYEYIKNGSLDNFLFESREESALNWEQQYNIILGIAKGILYLHEDSSLRIIHRDLKPNNILLADDMDPKIADFGLARLLGEGHTHTKTARAAGTLGYMAPEAMHGRMSPKIDIYSFGVLVLEIVTRRKNSSSGDRDAVNLLTDVWNCWTKGSISEMIDQSLDEYARSQALRCIHIGLMCLQPDPDDRPLILSVIFMLTRDNMEIQAPAQPAFFFRRESLLASLSSYDQSDIILDDNVSVNGVTITDLYPR >cds.KYUSt_chr4.14769 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91143229:91145897:1 gene:KYUSg_chr4.14769 transcript:KYUSt_chr4.14769 gene_biotype:protein_coding transcript_biotype:protein_coding MFCNDLARMCNSIGMQMNMKPCVDITQPSRQDSVESAIRTIHRNSSQVLAQQGLAGKQLELLIIILPDVSGSYGRIKRLCETELGVITQCCLPRNVQKGGKQYLENLSMKINVKVGGRNTVLENALYKRIPLLTDVPTIVFGADVTHPSPGEDASPSIAAEGYLPPVTFVVVQKRHHTRLFPENHGARDLTDRSGNILPGTVVDTKICHPSEFDFYLCSHAGIQGTSRPTHYHVLLDENRFTADALQTLTYNLCYTNIFQLSKFPADLALDTLVTVPPAYYAHLAAFRARYYMEDEFSDQGSSLATLRTDERSAPVRQLPKIKDNVKEFMFYC >cds.KYUSt_chr3.24700 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153293064:153293497:1 gene:KYUSg_chr3.24700 transcript:KYUSt_chr3.24700 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRPQWTAKQNKQFEQALAVYDKETPDRWHNIARAVGGKSADEARRYYELLVEDVKRIEAGRVPFPAYRCPDGAMGGFEADRLKHLKI >cds.KYUSt_chr7.15817 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98224441:98225283:-1 gene:KYUSg_chr7.15817 transcript:KYUSt_chr7.15817 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFFDVLCSLGLWQKEAKILFLGLDNAGKTTLLQMLKDERLAQYMPTQQPTCEELSIGRIMFKAFDLGGHQIARRVWKDYYAKVDAVVYVVDAFDKQRFAESKKELDGLLSADELSGVPFLVLGNKIDLAYAASEFELRHHLGLDYYTTGKGNVDLAGTGRRPIEVFMCSIVRHMGYYEGFTWMTQYIK >cds.KYUSt_chr3.5836 pep primary_assembly:MPB_Lper_Kyuss_1697:3:33139689:33143351:-1 gene:KYUSg_chr3.5836 transcript:KYUSt_chr3.5836 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKPCSTHHTDDVPIIARRTETQFGFQAIGFVALASAVARRRGGAAAVAAATVRGMSFGGASSVAAGAKRPYEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAIFGGFPSTAWFDVADLSEDSPDDVEGLDSSAAHVANLLSTEPADIKLGVGGFSMGAATALYSGTCFAHGKYGNGNPYPVNLSVAVGLSGWLPCARALKNKIESSQEAAQKASSLPLLLCHGKADDVVLYKHGERSAEALKSTGFANVVFKSYNRLGHYTVPEEMDEVGKWLTTNLEIGTSSS >cds.KYUSt_chr4.22033 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138505154:138510352:1 gene:KYUSg_chr4.22033 transcript:KYUSt_chr4.22033 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQKPKGSDPWHWRVRYSAHDSDRHCTREILDFTDEEFNDTDTGNTLQGEEDMEMSVIKDMVLGKPAPLISTFRLSYYTILNLLSRAEGQFTAEHVIRNSFHQFQYEKALPEVVQKITSLENEASLLESSGVNDLAEYHKLVLDISELEKKIMSEMIRPERALLYLVPGRLDMGIEEPELVDVVHKLEVLEQKLGSHPLHKDSTFGEVIEMTGIFEGSIIRLVRRLDEFLNQLKAAAEAVGEVNLENKFGSASESLRRGIMFANSLYL >cds.KYUSt_chr2.51153 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319887082:319888308:1 gene:KYUSg_chr2.51153 transcript:KYUSt_chr2.51153 gene_biotype:protein_coding transcript_biotype:protein_coding MPASDDDLAPPPPIHHLPPDALNNVLLRLPLRDAVVCRPVSRLFRDTLSDPFLALLPSLRLLLLRHPRPDGGGCLHAFDPHRRCWLRLPFTSFLPHHSFSPVASSGSLLYLWIDNSTSTSTSTPNPALPSPSTTTSAHPPKALAVCNPFAGTYRLLPTLGSAWARHGTVLAGPGGLVLVLTELAALSYTPSEGSAKWMKHPLSLPSKPRSPILAAGARAVFALCDVGTPWRSQWKLYSCPLAALTGGWAPVERAAWGDVFEILKRPRLLAGAGGRRVLMIGGLRSSFAIDAPCSTVLILRLDLATMEWDEAGRMPPNMYRCFTGLCEAAAQGSAMPAPAAGGNNKVKVFGGDGKVWFAGKRVRGKLAMWEEDDLGTSGGKWDWVDGVPGYGDGVYRGFVFDGGFTAMP >cds.KYUSt_contig_319.1020 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:6744895:6751201:-1 gene:KYUSg_contig_319.1020 transcript:KYUSt_contig_319.1020 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRANHFLVGFADKDICHYDVTISPEPKTRRVNRVIMTELMNKHRTSSLGGRLVAYDGSKSLYTAGLVVSEALLLTNALPCHFLPALKNSEYKVTVRFAARANLYHLQQFLSGRQRDCPQDTIQALDVVLRESPCQNYVTVSRSFFSATFGHGDIDISSTSFYKPIPVMEFARDCLNLRTVDANRPPSDRDRLKVCTIIKGQRFSRKLNEKQVTAILKATCERPKQREESILKMVDHNNYAADTLAREFGVDVTNKMVNVQALAEIRSASANNIEGALKDVHQRVPELQLLIVILPDVSGQYGKVKKVCETELGIVSQCLKPDKVNKANKQYFENVALKINVKVGGRNTALQQALSRKMPFVSDIPTIIFGADVVASMDWPAITKYRAVVSAQPPRQEIIQDLFWTTTDQEKGIMPGGMIRELLLAFYRQNNNIKPQRIIFYRDGVSEGQFAEVLMYEMDAIRKVMLSIHHGLGLFKSVAILFQRIHSVSFFCPKACQSLQKDYLPKVTFVVVQKRHHTRLFPEVHMKETDRSGNILPGTVVDTNICHPTEFDFYLCSHAGIQGTSRPAHYHVLYDENRFTADGLQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARYYDEPMEGSDSTSVVSAGSRAAAGATGPPVAYRRLPQIKENVKDVMFYC >cds.KYUSt_chr2.39853 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247347072:247350704:-1 gene:KYUSg_chr2.39853 transcript:KYUSt_chr2.39853 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDGSGFCGFAKGFLVSGSSGSLSDRPPLAKNPGGGRTGPPREVVEISSDEEEDDGSTPVARKLRFDDAADERATGGSDLGVGKKRESRGVGKEDDDCVVLDSDPDGAVPVGEDKGTAGLDGGLDELQIVAEKGQVACRDFPHSRHLCSKLPFSTTLHVTHCSMCYCFVCDAPAPCTYWGKGLSTDDHCHATDKETRWTTLRQAFKRKHLPASHSEKHQNVVYPAMVPLRQQDIQRRVSALQSDPSFLSGFSRFSLTDGSPIMDVVHQNQQRHILQGVLPNAGQTVGAPRVSHLTREGRGRDNVQTTQATRLHTPCGQEPYQQEDDEEYTSEEEDEEYTSEEEDEEYTSQEDGEYTSEKAKVYVGNLHYDIVREDLDELFGQAGFVEFSEVVYSRQTWQSCGFGFVTMSTVKEAELAVEMFNGFKMHGRRLTVQHKAARRVARVEAPLCQSKSPFRLYVGNLPSQASDSWLKALFSQHGKVVEARVVDKEHDGRALCSRGFGFVKMATEEESYVAIEALDKQIMEGRPLRVEVAKERPR >cds.KYUSt_chr6.10360 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63883564:63886749:1 gene:KYUSg_chr6.10360 transcript:KYUSt_chr6.10360 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGSGDAEAEDPATPAPRCSTPALCSRPSVSGGGFGGRFWVLTPDVDDAEATSEPSEEGSPVRAPGRGAGTPFGVFLRAAEELGGSLRSGRRAAFAPGGRGSRFAATSRGGAAVGECPRWKQRWPSARRREALGDRGVRRRAPAPAPAPSAPAGAASRPDVACSPRSAPEAAADERDRPPLDPLGFPPLHSRPVDGPLLGPAQVSAGGFQVGASAAAHVPGAEPRTDGAHLRSTSAGGRETLFAGWWWIRKDASTLELGFPASIPDVRRHRKSARRVRVPPPPSPLRLSFAAVVAMERPQGEGGQRGRNQSGNKRRHDERAGSGSGTGGGRSNPQQHEADLRARALAEKNKNRSGTEGARAGGQGGISNHTPPAWWVEREKKRAAKEAARLAQVASGAAAPPPPSASGGGRAHEAATGKKVAEPGRSTASSGSRPASGVAEAAAPSTNMECFKCGRMGHFQAACTFPPVCLLCGVEGHNSAACTSKGRQPELRVMGQAVAGESFFALEFEEDDEESEEMSNGAVISFKHVVLSVSDLTRELHHLVEADWDWQVEETSAHEFSVVFPSRESLQISARSGRLFLPLSGTVADIHLADSDPAPVEMLQEVWIRLTGVPRRMRRADRLLAGMRMLGWPVRVDEDSIRRRQPVRMLLACRNPDKLRGSVQLFHKKLGYNIGVHVEPDSGASTSAPPPPPQAGPEDDDDEDDDDVDDLSPSRKEWDELGARDQARAAAAGAPSAAPSVDAPVDVAATPATAGPAPSARAACAPVDLGGSTAAPGPVEPVVSDQYGSNLRGWPLPLLQLEQARAADLASAECRPSLILEDGEAPASPLLPDSSTLLTAESDGEDSADSPSKNTDGVEAMDAEAAGGDDEMLEEGGQVVDQGAPAAGRQVIPRRRRTKTVPAEPARKSARLTDSTPVMQRAQERAAAKNLEPGTISDFAVLPSLSDSHLVSVTHDCGMAFETESRPVAESISLIRANEEAQAVLALAVFRKEVEVARKAASSSAPGPVATGAAPVSGDDGDPSLVEVPVLAATRANTGGIPRASLASRSGRRKAPRI >cds.KYUSt_chr4.49812 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308519829:308522916:-1 gene:KYUSg_chr4.49812 transcript:KYUSt_chr4.49812 gene_biotype:protein_coding transcript_biotype:protein_coding MLELFLFYRCLVNSYSIDAAAVCRANGAPLVVEEIVVDPPKAYEIRVKIICTSLCHTDLTFWRVKEDFVIPPLFPRILGHEAYGVVESVGEHVEEFAVGDTVVPTFLGQCDTCSSCASAGNNMCSSVPFAVGPGMRRDGTTRFRDAQGEPLHDFLAVSSFSQYTVVDVNQVVKVHPDVPPKLACLLSCGAGTGVGAAWRLAKVEPGSSVVIFGLGAVGLAVAQGAKMCGATKIVGVDLNPAKQELGKMFGVTDFVNPSELGESSVIEVITKMTDGGADYCFECIGVAAVMIDAFRSAKQGKGKTVILGIEKDGKPICLPSIEFLFGKCVMGSLFGGIKPKTDIPILAEKCMNKELELEKLITHEVALHDINTAFDLLVQGKSLRCIIWMDKLVV >cds.KYUSt_chr6.10921 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67675173:67675739:-1 gene:KYUSg_chr6.10921 transcript:KYUSt_chr6.10921 gene_biotype:protein_coding transcript_biotype:protein_coding MATILENIQKARFLPARPLRDDLPTFQGGVGPKSESHLMGLRKRLSSFSGKIQPISSASAEWAFRRSQSAPSLAAAFGSTGPIKRWWDWGVGWLLSKKLGFADDLEMNEEEVAALGRQSRGTFAHVLYKMRSGVRRFVVSSHSLPTTHTYKNSLPTSSSSHKAQCKPAAQQQFAYTQRSFQYGQAMAH >cds.KYUSt_chr1.19623 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115354897:115358105:-1 gene:KYUSg_chr1.19623 transcript:KYUSt_chr1.19623 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWQRKPRPPRDILKHCLGHPDQNLVRKETIQRPARRPEAMPLGTCKAPSIGTIRPHHIRSAKDEKQRSFPMEEGQRPGLGTDLPCEICRNMRLGRGRSVRKVPHRRDPGLAVSGGALADLHSFIITNTGSGHAVAGARYKEYRLQDYSSWFIKQLNDTEKWTHLRSCLVKSDDCSSLSKRYKTLKQYKLADLTPIESGCCRPPAECGYPALNASNFDLSFHPVSTNVDCKLYKNDKSLRCYDCNSCK >cds.KYUSt_chr2.54411 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339497062:339503401:-1 gene:KYUSg_chr2.54411 transcript:KYUSt_chr2.54411 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTCMAPSGTAATSEKKLGSEFEPSPWGDFFIEYEPKPQQRSEEWMMTRADKLKEDVHMMFNRSNSTNGIFLLDTLQHLGIDHLFEEQIHNTLSKTLENKLTSSASLHEEALRFRLLREHGHWVSPDVFNKFKGEDGTFKKDITSQPNGLLSLYNAAHLLIHGEPALEEAISFARHHLELLSGSLMSPLAQQVKRALHRSLPRSCKRVETLHYISEYGEEEGHNPVLLELAKLDFNLLQHVHLKELKAITEWWKNIYDHIGLSYIRDRVVESYTWAYVIYYERCFELPRIIITKMMLLITILDDTYDTHGTIEECRKLYEAVQRWDDSAVSLLPEYLKKFYIELLRTFKNIEGEMPVDINYDIAHLKKAVQNNVTGYLQEAEWSHKNHKPRFIDQRGKCNNDVASSVDCYINEYSVTSDVAIARIGSLMEDEWRTLNQARFGNRVLLPAMQRIISLALSASFIYDNRNDAYTASKHLQKTIERLFIKPM >cds.KYUSt_chr1.9936 pep primary_assembly:MPB_Lper_Kyuss_1697:1:60871690:60873363:-1 gene:KYUSg_chr1.9936 transcript:KYUSt_chr1.9936 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIRPTRKAEQIAITISSRRIFTLYRVESTHSYSPRQSTLGQGQTRVTSNAMLPMERRQDEFAAAKEKQQQPPHFLIVTYPAQGHITPARHLARRLVYAADGGEARVTICMPVSAFRKMFPEATAAGADGEEMIEDEGGIAYAAYSDGYDGGFDRATDDYARYMSQVRLEGARTLSGLLRRLGDRGRPVTCAVYTLLLPWVAAVAREHGVATVAVFWIQPATALAAYYHYFRGSRDAVVAAAASRDPTAEVRIPGLPPLMFRDLPSFLAVTSDDDPFACAITEFRELIDALERDGDSGKPPTYVLANTFDAMERDALASLRPHVEVITVGPVLSFLHGGDNGESPNDLFEHDTAEYLHWLDTMPAKSVVYISFGSTSVMSKTQVAEIAVAMKQADKPFLWVLRKNNCREQGDDDAIKKLLAAATGGGGGKVVEWCDQARVLSHPSVGCFVTHCGWNSTLESVACGVPTVAVPQYSDQGTNAWLVDRVLGVGVRAAARSEDGVLEARELTRCVEVAMSEAVTARAGDWKEKARAAVADGGASDRSLRKFVRRIASGS >cds.KYUSt_chr3.42510 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268773320:268778661:1 gene:KYUSg_chr3.42510 transcript:KYUSt_chr3.42510 gene_biotype:protein_coding transcript_biotype:protein_coding MPPITFFSLLLFLCSAAHRADTVLGFTRSDFPRDFVFGAATSAYQYEGAVAEDGRSPSIWDTFTHAGKMSDNSTGDVAADGYHKYKEDVKLMVDTNLEAYRFSISWSRLIPNGRGAINPKGLEYYNNLIDELVKNGIQVHVMLCHLDFPQILDDEYGGWLSPTIVADFTEFADVCFREFGDRVSYWTTIDEPNVSALGSYDNALFAPGRCSDPFGITKCTVGDSTVEPYIAAHNMILAHASATRLYREKYRAAQKGVVGINVYSSWSYPMTNSNADLEAAKRYLDFVFGWILEPFASGDYPAVMKTNAGARLPSFTKSQSQLVKGAVDFVGINHYYSMYVNDRPLDKGIRDYSADMSVNQRASRTERPSGKQVPSASPSDPEGLQFVLHYLSEAYSDLPIYVQENGNASNDTLNDTDRVEYLKTYMGSTLKALRNGANVKGYFVWSFLDVFEFIGGYQSRYGLHRVDFEDKALPRQARLSAGCYNCWTKHGERGVILEDNEEEEDSDNYPSLFTEDGGSRMGEDEVEEELIFDEPIFDDPDDDLGRAILDAKMNCGNEKERLKLEKMLEDHNKLLYPNCENGRKSWVPRWNCLQWKAREWYFDKGFEKLLKIIKKMLPWENVLPSSTYEAKKVVCPLGLEVQKIHACINDRILYRGSTRI >cds.KYUSt_chr2.54068 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337473762:337476476:1 gene:KYUSg_chr2.54068 transcript:KYUSt_chr2.54068 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQNAPATFDFLAVKCVPESHVWTEQKDYPVVPESAGPDAVPVVDMADATDGAIAAVARAAEEWGGFLLVGHGVPIELLARVEEQIKRLFARPASEKERAARGEGFKNGYGVPPYALYFSKLMWSEGYTFSAADVRSEFRRIWPDGGDDYLRFCDVMEEFHKEMRALGVKVLDMFYKALGLSADQIAGGEVERQIRDTLTATMHLNMYPKCPEPERAIGLAAHTDSGFFAFIMQSLVPGLQLLRRGPERWVTVPALPGALAVVIGDLFHVLTNGRFHSVLHRAVVNQDRERVSVPYFLGPPKDMKVAPLDAAILQGSKAVFPAVTWAEYMVVREKTFALSFITGDSSAAPVLLGPFFGPWCLSVDTVYVGLPLFVDLRGLFPRLWPPFADFVYVDLPLYIDFRGIFTWLWPRFTDSVYVGLPLYIEFCGLFMRLCPRFADFVYVGPSLYIDFCGLFPWLWPRFVDSVCVGMPLYIDFRGLFTWL >cds.KYUSt_chr4.47816 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295992791:295993453:-1 gene:KYUSg_chr4.47816 transcript:KYUSt_chr4.47816 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHPSTTPGGAKKDLRRLPHVYSKVLELPLPADADVAAFEGPGAFHFVASCGSTGEVRARAVRINPGVVKVVVVQAGTGDGEDGVDGGSGMELDRWRSRLPEASCPALAVAGYVDGQLVVTVPKASGGDGSDGAWRSVLSVLSLGWFKEELFHLFRTFLHFRASIQAT >cds.KYUSt_chr6.23666 pep primary_assembly:MPB_Lper_Kyuss_1697:6:149589401:149591596:1 gene:KYUSg_chr6.23666 transcript:KYUSt_chr6.23666 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASTEHLEQGQETDENIVYVMDEQGVAAVAAGDAASARKVASLFSQKGKKGPNQDSVILCQGFGMEDGVFCGVFDGHGRNGHLISKLVRDYLPFMVLSHRNALFLADADLDDAEHFSDASPSSSTDSSGNSSPHPSQLLEEWREACTNAFKAMDNELKAQANMDCSFSGTTAVCAIKQGKDLIIANLGDSRAVLATMSNSGYLKAVQLTTDQKPCLPEEAERIKRCDGRVFALKEEPSVMRVWLPGENCPGLAMARALGDSRLKHHGVISTPQVTAHRITGADLFIILASDGVWDVLSNEEVVSIVCATPRKQHASKAVVEAAVQRWRTKYPSSRVDDCTAVCLFLQDQLTWGSAAAASRKV >cds.KYUSt_chr7.29208 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181761841:181766646:1 gene:KYUSg_chr7.29208 transcript:KYUSt_chr7.29208 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQASLEETLLPRSRPEWKEEESLVASEEVKRQLWLAGPLIAGNLMQNLVPMISVMLVGHLGELPLAGASMANSFATVTGFSLLKNEIYTLVSKACIVKQLCRFTSTTDRPDICSTTDRPDICSAGSRLVMGYPGPRRHDPGAQ >cds.KYUSt_chr3.36570 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229944429:229947026:1 gene:KYUSg_chr3.36570 transcript:KYUSt_chr3.36570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron(III)-deoxymugineic acid transporter, Translocation of iron in reproductive organs and phloem in joint [Source: Projected from Oryza sativa (Os01g0829900)] MESIGDPREGPSTERAFEDQPLPPWWQQVTLRAVVASLALGMVFSGVMMNLVFTSGIIPTLNISAGLLGFFLLRAWTRLLDQLGVSYSPFTRHENAVVQTCVVACASMTYSGGFGSYLLAMDHRTAEKINTGEFVGKNVSEPTFPRMMAYYFLVSFVGLLAIVPMRKTMIIRHRLTFPSGSATAHLINSFHTPNGAMQAKKQVSLMIRSCLGSLFMSIFQWFYTGGPHCGLTAFPSFGLQAFNRGFYINLNGTYVGVGMISPYLINISMLVGAIISWGVMWPYIETKKGSWYAADLQENSLRGINGYKVFGAIGMILGDGIFQLVVILVKTLQTMRNHQREAAETLRSFSDVDAIPRNVLSFDDRRRTQVFLREHIPSTFAVCGYAVLASLSTVAIPHIYSQVRYYHVATAYLFAPLLAFCNAYGTGVAETNFSAQYNKLVILLFASWIGIKNGGVVGSLVICGIVSSIVSTASDFMSDFKTGYLTLTSPRALFVSQVVGTGIGCIINPAIFTVFHHFYEDMGNKIYQVPLAKIYRAIAVLGVGDLELPRHCLAMSVSFFALALVVCALREVAAHCRWRAQHYIPSITGMAMSFLLVPAVAIDMCVGSLILYLWARTDKDGAQVFGPVLASGLICGDGLFSIPYALLARYDVTPPICIRFVAREQNEKLDAYLATVLPKSR >cds.KYUSt_chr1.13146 pep primary_assembly:MPB_Lper_Kyuss_1697:1:79940065:79944476:-1 gene:KYUSg_chr1.13146 transcript:KYUSt_chr1.13146 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGQLGDTFFQALAECPLLNTLTVSDASLGSGIQEVTVNHDVLRELQIVKCRALRISIRCSQLQILSLRRTGMAHVSLNCPQLLELDFQSCHKLSDTAIRQAATACPLLTSLDMSSCSCVTDETLREIATACHSLSVLDASNCPNISFESVKLPMLIDLRLSSCEGITSASMSAIYFSRILEALQLDNCSLLTSVSLDLPHLKNISLVHLRKFADLNLRSPVLSYIKVSRCSALCSVTITSNALQKLVLQKQESLSSLYLQCHNLTEVDLSDCESLTNEICEVFSDGGGCPMLRSLILDNCESLSAMELNNSSLINLSLAGCRSMTFLKLACPKLQMVNLDGCDHLERASFCPVGLESLNLGICPKLSVLHIEAPNMSILELKGCGVLSQASIICPHLTSLDASFCRQLMDDSLSRTAEACPLIEHLILSSCLSIGISGLSSLQCLHKLTFLDLSYTFLMNLKPVFDNCPQLKVLKLSACKYLSDSSLDPLYREGALPMLVELDLSYSSIGQNAIEELLACCTNLVNVNLNGCTNLHELVCGSEYSWSIDMPVDVYPSDSAPEKIKDINGRPDYLLEVLNCTGCPNIKKVVIPSMANYLNLSKINLNLSINLKEVDLKCSNLYSLNLSNCSALEILKLDCPRLANLQLLACTLLQGEELESALSFCGALEILNVHSCLKISAWDYGRLQAVCPTLKRIQSGPIA >cds.KYUSt_chr2.31053 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191530771:191531775:-1 gene:KYUSg_chr2.31053 transcript:KYUSt_chr2.31053 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPLLLLLLLRPCAAVAGKVRHSPSFALDFFPGDGAIAQLALTAANATPAGAIAMRSPHARVQYHTPIHFAAAADAGFSTYFSFSFSLRPAAPKSRASLAFFLKPAAAPPTAHALSVAFDAADPTNIRIQTDFAGAKAGLSTGLSAAGAHKLHSWIDYNATSATLRVGLSASGPRDGASHLLQHLDLSALLHGGSSGRNRLLLAGLASAHANCTLFSWAFRANTGHPYLMHSLPLDPAGLSLATPPADRLHRAAAAASSPYHWLSLLLAAAAGAALTFFVLFVWYSMAARRPVAPVEYPMHPSSDDVVYEKIVLVGVKDIPSAHLASAGNNK >cds.KYUSt_chr4.3903 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22348687:22349756:1 gene:KYUSg_chr4.3903 transcript:KYUSt_chr4.3903 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVWDWNMCGAELKKVDESVLHTANEDGERGEDDATALPEDGGDGSEDVGSGPEVDVGSDPENSGSDPEDGGGLDDSGPFGGRAVQVLAICANFPICEIIGSDWQQARYIYERREGEVQEEGMVDLVLTGPHKIFMACGYFRVKVYTDHGSGPPVITEAWDVQEDDRIKEYTRTIRAGPGSKLKITYLVIPKAIEANVEVNLKLKGLGSRSRAIYGKIKASVPHYRNKSIPLFSCERGTSLSFPSGSTSILPLSLSRIAVPSLWQLRLHIQVDLTVITYDSQEEQDKNLKFSLDFTREIVSQEREVDDDQVEVKIVWDQIY >cds.KYUSt_chr4.26965 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169327678:169339338:1 gene:KYUSg_chr4.26965 transcript:KYUSt_chr4.26965 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISLDPRDGIGGGGVSGKVFRIVVLVTGVSSRRLFLRLPPPTLPTTTTTMDAPGRRLRAVSAHLQPPATAARRSSDGLAANPTAGEYAHGTNSVRILSPVLGYSAVLPEKLQTGKWNVYRSAKSPISLVDRFPDNPDIGTLHDNFVYAVETFRDHRYLGTRVHADGTIGDYKWMTYGEAGTSRTAIGSGLICHGIPEGARVGLYFINRPEWIIVDNACSAYSYVSVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFITQMPCVRLIVVVGGIDENLPSSPAAAGMEIITYSRLHSQGYMSPQPFRPPKPEDAATICYTSGTTGTPKGAVLSHANFIANVAGSDFGIKFYPSDVYISYLPLAHIYERTNQIWLLNRGVAIGFYQGDSLKLMDDLATLRPTIFASVPRLYNRIYAAITNAVKESGGLKERLFNAAYNAKRQAIINGRNPSPVWDKLVFNKIKARLGGRVRLMSSGASPLSPDVMEFLRICFGGEILEGYGMTETSCVITTMDVGDKLIGHVGSPNPACEVKLVDVPEMNYTSEDQPYPRGEICVRGPIIFHGYYKDEVQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQSFVYGDSFNSSLVAVIAVEPEVLKAWAVSEGIQYDDLRQLCADPRARAAVLADMDSIGKEAQLRGFEFVKAITLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEITDMYAQLNEAESARPKL >cds.KYUSt_chr5.8382 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52981656:52982496:-1 gene:KYUSg_chr5.8382 transcript:KYUSt_chr5.8382 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQGDRELQGQGQPERSQLPMDFFGNMVPRLQVRDLLGWSYGGVVAAIRDAAACVKAEPPYLWAEMAYKNGHEVLCYELTEFGSDANEDDAWEMRAVVDHLVRSAPIEVAAIIT >cds.KYUSt_chr1.3664 pep primary_assembly:MPB_Lper_Kyuss_1697:1:22335134:22336592:1 gene:KYUSg_chr1.3664 transcript:KYUSt_chr1.3664 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVVMPHLDDGIVSEILYRLPSKEAYSMAAVCRQWRAVLCQPTFLCRHLSPPPLLDDRPYALIVQPQQGTRFTHLTIVAVDPADPVPVSVPVQPEYTDPPKPPPTSNLILKRTPPLFDIAKENSVFRLLDPEGAEEVDDHDVPVELPANGLISSDLTSATTMMEVSTTEEATTRPPPRIRHYVCDPAANRWLVLPPSTISPSSEVNYGLHYDVDASSGRFVFTVVVLVRRKEHRVLVDTFSSTTGRWNSRELPKSGAIHCLPAASPGIYVGTCFYWLSRPWGRGGRDLGRRILRYNAAQCRASLLREPPLAVNAKSRVKRSLGSVDGRLRLCAFDIRDKNEPHNYYMEGVHSVWLMDDSSSAWRRVHEATVENVLAQYIRMELIMYDEERPVDFAGGCSEFIVVATDQKILSRYDLESGSNVKLAILGQGSQRLDALYSGSGYNVFPFFK >cds.KYUSt_chr3.34363 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215555184:215560287:1 gene:KYUSg_chr3.34363 transcript:KYUSt_chr3.34363 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREHGGEESIVAAGNGKEEEVGVMGVSGAADGDDEEQHGGGKFSVTSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGIVLQLFYGFLGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGTVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAALVNGQVEGVAHTGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAYGDQLLTHANAFALLPKTAWRDAAVVLMLIHQFITFGFACTPLYFVWEKVIGMHDTKSLCLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHILTYRTASARANAAEKPPFFLPSWTAMFMINAFIVVWVLVVGFGLGGWASMVNFVRQIDTFGLFAKCYQCPKPAVIAAAPSQH >cds.KYUSt_chr6.7689 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47165309:47168728:1 gene:KYUSg_chr6.7689 transcript:KYUSt_chr6.7689 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSATAMKPSSDDELLRVIKSEIKFAEDCDDHDRVEEIPENFPFKITDKKGLNEITLTRTYQGEKIEVLVSMPSLVTGDEAEHDQDEDDKEKDDDQEDGEKAPKSTIPLTVTVSKSDGPSLEFTCTAYPDEILIDTLSVKQPAANEDEELIAYEGPDFNDLDENLQRAFHKYLELRGITPLTTNFLHEYMINKDSREYLFWLNKLKDFVKQ >cds.KYUSt_contig_1658.175 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1156358:1157946:-1 gene:KYUSg_contig_1658.175 transcript:KYUSt_contig_1658.175 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTLTVILILQQHRESTMPSVAKHFDQYLLICSSETGAAVDPSDHPSMAPELKAPLLEGWGGGATPAQTLGNIVVSIVGTGVLGLPYAFRTAGWLAGALGVAGAGAATFYCMLLLVSTHTLHAPFHVQSPLLRFQKIQSASLILYCDNSAQPKDKLREQEETEEEEGHETGHRPAGGNYTYGDLGERCFGPTGRYFTEAIIILCQTGGTVAYLVFIGQNISSVLPSLAPATVVLAILLPAQVALSFVRSLSALAPFSIVADACTVLAVAAVVKEDVLLLVERGGQPFGGRSAFAGLWGVPFACGVAVFCFEGFCLTLALEASMADRWKFRSVLLQAIAGVTVVYVGFGVCGYLAYGDATRDIVTLNLPSNWSTAAVKVVLCVALALTFAVMMHPIHEIVEARLFGPDGWVRKRFRSEGVVQRAALHLSRVAVVAALAAIACFVPAFGEFAAFVGSTVCALLSFVLPALFHLRVVGPTAGPWVRAVDYFFLLSGLVFAAHGMYTVLSPQ >cds.KYUSt_chr1.6633 pep primary_assembly:MPB_Lper_Kyuss_1697:1:40878874:40883698:1 gene:KYUSg_chr1.6633 transcript:KYUSt_chr1.6633 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTSDGDSELEAYGSDTYALLLSGDIQVMNDEGLYKCPFCSDEKDDYNKYDLLQHALGVGAAHDQQVKEKVDHRALAKHLKDDEPAKSHSPLLQPIVIDPQPPQHNRDDLFVWPWMGIIVNMPSEYVGKSANRLKEHFSRFYPVKVHHVYSKGRPTGNAIVEFGKDLVGFRNALTFENQFEKEGHGKIGWQEKQHGGPEPFGWIARADDYNAPGAIGDFLRKNGDLKTADGVEDEETMKNNKLVASLSFKVIETDMHIQELKSVYQERTASLKRMMEQREQQLQSYNQEIQKMQQLSVEHTKTIVDENKKLSLDLQSMTHELDARSKQIDELAAQTDCDRRNLELEKQRNAMKFNHLTLAEQEYQKADENVLKLVEQHKREKETALNNIKKLNEKLHLTHKLQLDIKHLTGKLEVIKLTPGNETSESGKRIAELTEELRDKIEEMDYTENYNQDLIVQEKKTAVELQEARKLAIDAIQRFPGQTSGKAHIGIKMIGELDLKAFSNVCRQKFPKDDAEVESVKLCSKWQNEISNPNWHPFVAAMVNGKESEVIREDDKKLQELKEEYGEEAYTAVTMALTELNEHSSSGSRVPFPEMWNYKEGRKAKTKEIVQHVIKLAKASKKGR >cds.KYUSt_chr3.21941 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135212590:135214541:-1 gene:KYUSg_chr3.21941 transcript:KYUSt_chr3.21941 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEENAKFPSCDAYFDTIQSKKKLPLALQESLTAAFAQIPVASFPDVPSGRVTEIPGETSVLDAVRILSEHNIRAAPVLNPQPGAPADWQGRYLGIIEYSAIILWVLDNADLAAVALSAGSATAAGVGLGAVGAVGVAALGATGPAAVAGLTAAAVGAAVAGGLTAEKGVAKDGVTAADHLGGDFYKVLLQQEPFKSTTVRSIVESYPWSPFVPVTLDSSMLTVLLLLSKYRLRNVPVIESDKPVIKNFITQTSVVKGLQQCKGRDWFDYISALPLSDLGLPFMSFDEVITVNSDDLILEAFKCMKDNKIGGVPVVEGPKRKLVGSVSIRDIRFLLLRPDLFSNFRQLTVLEFMKALGSTLPDSGDNGLVKPPLTCAPDASMGSVIDSIGSRITHRIYVVDGDYEVVGVVTLRDVISCFIHEPPGFCDSYLASAMEKLDDKGTAGSVENS >cds.KYUSt_contig_988.397 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2357814:2363889:1 gene:KYUSg_contig_988.397 transcript:KYUSt_contig_988.397 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGLLVVAAVLARGAPAGGGRPSNMDNKGGQTVALGAAGRQQGDRRRKAWCGGGLTRMLQPATTSPDLLPTPAATSLDLLLPTPAIHLSVPLLPPNLPHICVLLCSFMSVAQLTIWERLDTEKSRAAADYLALADLWEHFAESSAYGITVLVRLLGHVLPLRHPAIHSDQPHHLQVEDSKDIAKIPADTSFRGQHEEDQASGSASQSIEVDATEQSLELRHISPTAKTLIKEHGLKISLLKASCRCGTLLKGAKTSQGDGGAPQRLGAQSALQGDAFEAALLEAKHQLGRMSTGKQRQSRQEEVHHIHTPDTTKAPREAVMEAVHCFPSGRGMHQWEESPEAWPELGRPADEGAAADLSRVGEVA >cds.KYUSt_chr6.7707 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47303381:47306055:-1 gene:KYUSg_chr6.7707 transcript:KYUSt_chr6.7707 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLMDHPGMIPVTTAQGEELRKQVGALYYIECSSKTQQNVKAVFDAAIKVERLRRKEDAMLQILKIMSLA >cds.KYUSt_chr2.3308 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19689125:19693205:-1 gene:KYUSg_chr2.3308 transcript:KYUSt_chr2.3308 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTELGNGRKWPPRPPPRPRCASPTTTTALTDDVLALVVFKTGIADPQGRLAAWTEDDDRRPRSNHRPRNPREEGKRGGARSWLRRDQRFGKEEHYEWFKDLSHFRHLLSPLLSPSISVLEVGCGNSRLGEDLLREGVAGGITCIDLSPVAVQKMRHRLAEQGTSGVDVVVADMLDMPFESESFDLVIEKGTMDVLCVDVGDPWNPNPTTVDNIMKMLEGIHKVLKPDGIFVSITFGQPHFRRRFFEAPGFTWSVEWSTFGDGFHYFFYTLRKV >cds.KYUSt_chr2.48960 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306361631:306363739:-1 gene:KYUSg_chr2.48960 transcript:KYUSt_chr2.48960 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTACQVQRSEDDYGGHLFDLEGEATAPTSAPHDGGSRRRYILQKINRLIWATPQTFQGRRVLLFLAPLLRSMIEELLFLAARLRSILAASASASIPKSAIEGDMKLRVVVMDSEERYYRPRYRPWYRNRVQTLLDSKRYQSGTGAVLP >cds.KYUSt_chr6.3411 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19750263:19750595:1 gene:KYUSg_chr6.3411 transcript:KYUSt_chr6.3411 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDTVATPIIPNARPPTAGPSSQTLEEGHMTEGRDDVGEESHRDQEARRPMRPFVGATSSCPAFIYLLAVVEGALVEPVRAVVRLVLADIDILVLEGGRVSADAGLVDV >cds.KYUSt_chr2.48032 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300288903:300292945:-1 gene:KYUSg_chr2.48032 transcript:KYUSt_chr2.48032 gene_biotype:protein_coding transcript_biotype:protein_coding MGLETEAAAAGGAVHGHRLSTVVPSSVTEVESYGLADPDLAFRLHYLRGAYYYAAGEAVRGVTTKVLKDPMFPWLDAYYPVAGRVRRPADDQPQQQEDGGGASRRPYVKCNDCGVRIVEAQCDRSLDEWLRDDEVDRVAQLCYDKVLGPELFFSPLLYVQVTNFKCGAMALGFSWAHLIGDVASATTCFNNWAQILAGKKPDAITVNPVKEPQDRAPAAAAPPRSIRQVGPIEDYWLAPTGVDMACYSFHVTEPMLKRLQQQESAAAAGAFELTSALLWQTVAKIRVNKDVKTVTVVKTDMSARSGNSLANEQKVGYVETGSPPAKTDVSELAAMLAKNLVDETAAVAGFPGDVIIYGANLTFVDMEQVDLYGLEIKGQRPAHVEYGMAGVGEEGAVLVQPDSDGRGRVVTAVLPKDEVGSLRAALGSSLLQCP >cds.KYUSt_chr3.28940 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180709151:180709756:1 gene:KYUSg_chr3.28940 transcript:KYUSt_chr3.28940 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNMFLTDQVSMADKKSDDPEPIEILDPRIEAVIRARNRIICVLLAEVLVAAIVALMSTPTTGDAAFTMELVSFSGLNATVGPTVSPAFTLNLHVENPFILQPWCSNGGEVVVSYSGVALAWCNAPAFCVRRRSASELTVLPWGWDVGLSEDLQRRLLSEWRNGTAQVSVEMMLFSPDCWMPSETCGSETLYRFRPFSLS >cds.KYUSt_chr6.26458 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167553887:167562386:-1 gene:KYUSg_chr6.26458 transcript:KYUSt_chr6.26458 gene_biotype:protein_coding transcript_biotype:protein_coding MLVISEILKECNARVFRNQFITSTMLISKIKDKAAMWCLARAKALSNPYPFDPEATVQIRRWAHKTSYVAEPSPTFLFLRFSLLLLPLLQASPQKTAFAPAQQHTQIAIASCHSQALKNPLAMALRAASRLSRAARATAAARRLAVEPVPRALAALAGESSAFFSTAAKVSRPAWLTPPAARLPAAGGRGLLVPPRRLFHSTPPAQYSASGSSSQIAPGEFTEMAWEGVIDAVEAARLSKQQIVESEHLMKALLEQKDGLARRIFSKAGIDNTSVLQATDDFISRQPKVSGDTSGPVVGPSIVSILDNARKHKKEYGDEFVSVEHLLRAFTADKRFGQQLFKDLKIDENELKEAISAVRGSQRVTDQNPEGKFQALEKYGIDMTELARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLQNRKLISLDMGALLAGSKYRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGATGGAMDASNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPEVEDTVSILRGLRERYELHHGVKISDGALVAAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEITTKPIELDEVDREIIRFEMEKLSLKNDTDKASKERLSKLEAELESLKQKQKNLSEQWEYEKSLMTRIKSIKEEIDRVNLEIEAAEREYDLSRAAELKYGTLLSLQKHLDEAEKNLTEFQQSGKSMLREEVTDTDIAEIVSKWTGIPVSNLQQSEKEKLLLLEDVLHKRVIGQDIAVQSVANAIRRSRAGLADPNRPIASFMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEAVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVVIMTSNIGSPLILDTLRNTTDSKDAVYEVMKKQVTEIARQTFRPEFLNRIDEYIVFQPLDSTEINRIVEIQLNRVKNRLLQQKIHLQCTPEAVEHLGYLGFDPNYGARPVKRVIQQMVENEIALGVLKGDFKEDDTVVVDVSSVEIAKGLAPKKKLVVKRLENGSTELQRLEIGNTELVAND >cds.KYUSt_chr5.19433 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126048565:126057758:-1 gene:KYUSg_chr5.19433 transcript:KYUSt_chr5.19433 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSLAKSSSRPQRFPENLFVLDNPRPEDKLTPQIFLAAGDGNIRSMKKLAEGLAREGKSLGEMTVNDPLHRRLGPLHFAAWSGKLEMCRFLIKDLHLDVNADADRGSSPLLFAACGRAPKEAVRLLLDRGANPNIACGKGYTVLHVVATMTKRDSSGVAEILLSRGANVDPMCELGTPLHYAAECGNVQMLDMLLQYHANPNRVVRLFYAPLTLAIFAHSLKCVELLIKAGADVNAGRPVTPLIIAAADGLADCVKCLLEAGADANIPDEDYKKCEGSALKAQGDAAFQAKDYPHASDLYTKAVETDPHDSTLYAKRSLCWLHMGEKDKAFNDANTYKGMDVDLSSSCHEQAAALILTKEYGLACKALLSGLKLDFGRGKMPPPTIEYLNWSEQDIGFTIADHPQQVPRPGQSALILPAVIAGFDVSRVFIDGGSSLNLMYADTLRKMNISLANLKPTDTRFHGITPEKPSYPLGKINLDVQFGTRENYRIERLEFEVMDFPLQYHALLGRPAYARFMAVPHYTYLLWRLPGPKRPITVKGSFALADKCDKDFHRLSETFGMQAEYMASRLTTDYDVLPNVGRPNKEPTFNTAKDSKKVQIHPTDPKKTTSIATDMDLA >cds.KYUSt_chr6.32783 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206578047:206579186:1 gene:KYUSg_chr6.32783 transcript:KYUSt_chr6.32783 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRTILTRLLSSPTTSPIASLHRLLSAASPNPSFAVEDYLVGTCGLTRAQALKASAKLSHLKSPTNPDAVLAFLGGLGLSRADIAAVVAKDPKFLCASVDRTLASTVTDLTGTGLSHTEIARLVSLAPFSFRSRSIGSNLPYCVRLFGSYENLTRALKFSNYLLTRSLDKVVKPNVAFLHECGIDATGIASLCLAEPWLLGTKPEQVRAMAVRAEAVGVPRGSGMFRLALQAVAFRSDETIAVKVEQLKNAFRWSDAEVGTAVCKLPMLLTMSKDTMQSKSEFLISEVGLEPGYIARRPAMLGLSLKGRLRPRYYTVKFLKDNGLLDRERDYFNTFAASEKVFVEKFIYRHKDAAPHLAEDYVAACRGEGPTNFRFT >cds.KYUSt_chr3.13332 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80312337:80315930:-1 gene:KYUSg_chr3.13332 transcript:KYUSt_chr3.13332 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSQLSSACVLLLLLVLLAGRQQAAAKKYAAIFNFGDSLVDAGNLVVDGIPDYLATAKLPYGMTYFGYPTGRCSDGRLVVDFIAQELGLPLLPPSKAKNATFHYGANFAITGATALDREYFVAKGLGKTIWSSGSLHTQIKWLQEMKPKICKSPEECKDLFRRSLFIVGEFGGNDYNSPLFAFQPLEEVHKFVPDIVDSIGEGIEKLIAEGAVELVVPGVLPIGCFPVYLSIFRKQADGYGGKSGCIKDLNTLSWVHNVALQRKIAQLREKHAGAGVRIMYADYYTPAIQFVLHAEKWGMLKQKPRACCGAPGVGVYNFNLTSKCGEPGAYSCEDPSNHWSWDGIHLTEAAYGHIARGWLYGPFAEPAIVETRNHQ >cds.KYUSt_chr4.45265 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280341798:280346091:1 gene:KYUSg_chr4.45265 transcript:KYUSt_chr4.45265 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGEPDAAAAAAWVTVEEWGGSSGSALSRTAVLTASASSLTSHRFGSRWGRIGSRVLGAFVPEGFPGSVTPDYVSFQMWDTLQGLSTYIRAMLSTQALLGAIGVGEKSATVIGATFQWFLRDLTGMLGGILFAFYQGSNLDSDAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMSGMGLGMLLAHVTRGHDFVVWVSFLSLTIFHMFANYKAVQSLSLSTLNYERTSILLHSFMEDDEVLTPQQVSKQEHILPFWSTWRKFLRVKLPHELVHLGAKASTLTHSDMLLIAKTRSYYTNANYFLLDKEGSVHIFIHKQAVATDVLKSFIHGLVLARLMQKSKSCHEEAHQWMDEKYSTFISKDRELRL >cds.KYUSt_chr7.23423 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145864063:145868902:1 gene:KYUSg_chr7.23423 transcript:KYUSt_chr7.23423 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPSPSSSTAPAAAPDDPTSLAPGFRFHPTDEELVSYYLKRKVLGRALKVDAIAEVDLYKLEPWDLPARSRLRSRDSQWYFFSRLDRKHANRARTNRATAGGYWKTTGKDREVRHGARIVGMKKTLVFHAGRAPKGERTNWVMHEYRLEGDGAAGIPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEADEDDDVGLLPVERDAVVEHEAPGAMEKGYLQMTDLIQDLAGHNENGGVALPVSDTSNNSNQSEDVEGNSGDILNDPNIGSNFLQYVHPAGQNNLTVNENMFSNANGGEFLNSSTPSDEFLELKDLEFPLDNGSTIWPSDAWAWKTPYASDAVNGANNEVLPIMGEQPFQPDELAQLLQTLQDDSSPLGSTITDLPHSSVTNSFKPEDDLLFFDAPFDSTMLSDAFTQPNRFLSSPATNLSGMDMVDDGMPYYDAVEDNLFNDMMCSVHQSAGSSSHVFKGPVLTQEVNNTTYTYGPTQKVLEPNFVVGTPSATRLPEAGSQLNCVALPDSQPKNSSIGKRFVRMLDSISAPPAFAAEFPANLRNTVSFSTEVIISRQDKWSVQKDEGVELLFSTAIQPNNRIHCGGCNTVTAVLRGGFCLFFFLSAIMLLVSYEVGLCIYGK >cds.KYUSt_chr5.35078 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221770564:221773173:1 gene:KYUSg_chr5.35078 transcript:KYUSt_chr5.35078 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSAGLREREEEEDGRAGGRADGLSSGGAEDCRPGGLRRGGAPLVRAARGFGQDGDWGGNTIETVMNNIDMDVISVPEQGTIKEPNTMFLEETSQAYTQSKEMHSRHNKPKKANTHVIPEDYVCTSEDFAIIESIMSAPKDTKFVDIGDSLLSNDDLRCLTRDDAFLPGDVINAYIYCISACDHLRERPGGKVYLDTTFAAAVLKKSEHHRAMKRVHLYLKHDMVLFPINISKTHWYLLVVNAKKRVIQILDSLGDSMGRSDISVMGLEKHLKIASQMKDFNKGDRWMDLDVTTWPVVEQFQQRMQTDGQEWVRSSKPYPIGLSLKKIQDILRMDQHMDNDCFNLGIRIVACDEILQIAETDVHYMDLRFCVSYFNLAFSLLSLCF >cds.KYUSt_scaffold_1259.77 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:570650:571143:-1 gene:KYUSg_scaffold_1259.77 transcript:KYUSt_scaffold_1259.77 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAATGLPAGSAASSTVKTAGHAAAASSLISGKGGDNGGDRKAAAALPIAVASGDPAAVNAWWMGKLFPLVHRRYVLFCGLLDVMPERRGS >cds.KYUSt_chr7.1411 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7707893:7713093:-1 gene:KYUSg_chr7.1411 transcript:KYUSt_chr7.1411 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRSENPDCGCPQLFDPFVRRPVLPDAISNWQFRSTKRLQVNAEESSDELADLPKVEEKLGAVPHGLSTDSEVVQRESESISRKTLRNSAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKDVLGEGDAAKLEIQIKLDKENKILSIRDRGVGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVVSKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIKLHLRDEAKEYLEEGKLKDLVKKYSEFINFPIYLWATKEVDVEVPADEEESTEEEDTTTETPEEEETEDGEEKKPKTKTVKETTTEWELLNDMKAVWLRNPKEVTEEEYAKFYHSLAKDFGDDKPMSWSHFSAEGDVEFKALLFVPPKAPHDLYESYYNANKSNLKLFVRRVFISDEFDDLLPKYLSFLMGIVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPEEYSNKEKTDEEKSAMEKKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESSKSDGKLVSLDEYISRMKSGQKDIFYLTGSSKEQLEKSPFLEQLTKKNYEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKVLTDWWKKALDTEGIDSVKISNRLHNTPCVVVTSKYGWSSNMEKIMQAQTLSDASKQAYMRGKRVLEINPKHPIIKELRDKVAQDSEDVGLKQTVRLVYQTALMESGFNLPDPKDFASSIYRSVQKSLDLSPDAAVEEEEEVEEPEVEEKEATKAAEDEPEYEQYDKDEL >cds.KYUSt_chr4.4397 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25173724:25181285:-1 gene:KYUSg_chr4.4397 transcript:KYUSt_chr4.4397 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVGENGPDNPQLYTKPLAASAIPAFHPDERLAPQFVPALLAPRLRPLPSRAPGRDPLLPRRRLAGARHERRPAEPARSLPPQMRPLLALAVCALLAAAAAAEQANTTNKFRQREASDDMLGYPHLDEDALLNTKCPKHVELRWQTEVSSSIYASPLIADINSDGKLEVVVPSFVHYLEVLEGSDGDKLPGWPAFHQSNVHSSPLLYDIDKDGTREIVLATYNGVVNFFRISGYLMMDKLEVPRRKVHKDWHVGLNPDPVDRSHPDVHDSSIVNKAASEESHPNIQEKAVADESSKEPQPRATNTTTQGVDSTIGKENMELPNNPNTTVAGSISSTNTTTESGSHAQRRLLQTADKSDDQTGSAETHGNDAGTTGEATAENSEPLEDDANASFDLFKDAEDLPDEYNYDYDDYVDESMWGDEDWTEHEHEKAENYVSIDAHILSTPVIADIDSDGVQEMIIAVSYFFDREYYDNPEHIKELGGIDIGKYIASGIVVFNLDTKQVKWTADLDLSTENGNFRAHAYSSPTVVDLDGDGNLDILVGTSYGLFYVIDHRGKIRKNFPLEMAEIHAPVIAADINDDGKIEMVTADVHGNVAAWTAEGKEIWEVHLKSLVPQRPTVGDVNGDGHTDVVVPTVSGNIYVLSGKDGSKIQPFPYRAHGRIMSPVLLLDMSKRGEKTQGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYTMVLADNVDGGDDLDLIVTTMNGNVFCFSTQSPHHPLKEWRSSNQGRNNAAYRYNRQGVYVKHGSRTFRDEEGKHFWVEFEIVDKYRVPYGNQAPYNVTVTLLVPGNYQGDRHITVSQVYNEPGHKRMQLPTVPVRTTGTVLVEMVDKHGLHFSDEYSLTFHMHYFKLLKWLVVLPMLGMFCVLVILRPQEGAPLPSFSRNID >cds.KYUSt_contig_97-2.104 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:466249:468655:1 gene:KYUSg_contig_97-2.104 transcript:KYUSt_contig_97-2.104 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSPEYYALCFGGGMLAAGTTHLAITPLDVLKVNMQVNPVKYNTIFSGLSVLVKEEGASSLRRGWGGKLFGYGAQGGCKFGFYEFFKKQYSDVLVGSNKSTIYFLSSASAQIIADVALCPFESVKVRVQTNPMFAKGLVDGFPRVYAAEGLSGFYRGLIPLWGRNLPFSMIMFSSFEHTVDFLYKKVIQKRKEDCSTAQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAKSVIHAVKSIGLRGLFTRSLPIRITLVGPVVTMQWFFYDSIKIFTGLPPSGGLPRELEEANK >cds.KYUSt_chr2.730 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4383287:4383811:-1 gene:KYUSg_chr2.730 transcript:KYUSt_chr2.730 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGEPLPPPQDGNGMNMTRALEALAPYLKHPRRTVIVIEALLSSAVFMLFLQLFLAPQRRRSDRWFLQAILWFVYHISFPLMTYTFSQMATSPVKNVLYPFWAVLLLWAAGWTNVFTVYSLEDSKQWNLYVFYLLQYFVYSAITYTLLEPAYSSRPQHPFEIQKDIIFPPLII >cds.KYUSt_chr4.42349 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262076099:262079906:1 gene:KYUSg_chr4.42349 transcript:KYUSt_chr4.42349 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGSELRIWHCIIFFLDLMELRRSEPKVWRWREMKISVNKAVSRGSGNRRCSGGLLLSVHHGGEREEGWLLSACGWFPHMQQGSIMASRRRTSTVPLSSYFMAEGWPLHPRATVLGCPQGISNLQAFLPVRRPSASFAGFVSHLCAPSGFVPGAVEVDSGELWRGEEGDGPDRFFWFYFKVPSAIFKDSVALGQARSKSPQGGTPHCRRLRESRSLLASVLSMDHQPVHPGPESPVVDLEMGHQAAESTAVNQQRIGWHRPNPWASHDANTLLVVATLITTLSYQIGTSLPGGYWQETQMQDGKMLYRAGDPIMRDLQNARYWMFMVASWTGLISSMLMTMSLLVGIAVDSWHVRWSFVVAYSSLLLTFVLSGNRTKLHIDIIFWAGSLAFFWVVIYFRPEHRKRIVQVLRSIPATTATNSTS >cds.KYUSt_chr7.39059 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243125489:243131548:1 gene:KYUSg_chr7.39059 transcript:KYUSt_chr7.39059 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVVNGITMEAAAATAAATTDPDADADSPKSVLEDEKMPDAKDEKKAVDLKIEGEEQLLNIREDTADGFLDTSSSLPIDLEAKNGDACFITEAMTKEEEQLHQARLKVEEEEEAKRREAARQQALDPKARFSKLDELLTKTQLYSEFLLEKMEQITDKVVEIKDEEEPMEEQKKGRGRKRKTKAKPQYNDKKAKTAVAAMLTRSREDHSADDGTLTEEEKWEKEQANLVPLLTGGKLKPYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGNGLEGPYMVIAPLSTLSNWLNELSRFVPSLNGLIYHGDKVARAELRRKFMPKKIGPDFPIIITSFEMAMYDAKYLANYEWKYVVVDEGHRLKNTNCKLLRELRRIPMENKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGDDKQQEETDENKRVHVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTEHQKQIQTHLIGKTFDNYLHENTDIILRRPGIKTKLNNLLVQLRKNCAHPDLLDAAYDSNSFYPPVDKLLEQCGKFQLLDRLLDALLKRKHKVLIFSQWTKVLDLLDYYLDVKGLKVCRIDGSVKLEERRKQIAEFNDLNSNMNVFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTHPVHVYRLATSNSVEGRIIKRAFGKLKLEHVVIGKGQFQQDAAKPNTLDEGELLALLRDEQGEEDRMIQTDISDDDLLKVMDRSDLTGPPAAVDAAPLVPLKGPGWEVVLASKSGGGMLSALAS >cds.KYUSt_chr3.34454 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216146778:216149376:-1 gene:KYUSg_chr3.34454 transcript:KYUSt_chr3.34454 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLQLGLGLILLAAQYGPGAAVPSSECRRKCGNVDIPYPFGIDPKIPNCSLAEGFDLSCDEVQGGVSMPFRGAFEVLNISLTNSTVRVLNYIVGYCYNTSTKSMEQLGRYGGVNIGSPSSPYRVSNIRNRFTVIGCCALALMSDYDITGYRGYGVATCRNLSDLVDGVCSGIGCSQTTIPKRIYFYETQFQDSVNASQSQTWKFSPCSYAVVMEAAQFRFSAEYISTKKFNDSYGGGRAPMIFDWAIRDANSCDVAKQNKTGTYACLSSNSMCVDSINDLGYMCNCTHGYEGNPYLPGGCKDVNECYKNPCPSDGFCRNTVGGRKCSCRVGKKYSEKSNTCNPDTSLIIGVTIGSFGLMIIIIIIVFWVQMIIQKRKLNKVKQEHFLEHGGLLLFDRMKSEKGLAFTVFSEAELIQITDNYDNSRILGKGGHGMVYKGVVKNNIPAAIKRCFLVDERQKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPILVYEFVPNGTLFELIHGKNRALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKSSNILLDENYMAKVSDFGASILAPSDKEQFVTMVQGTCGYLDPEYMQTCQLTDKSDVYSFGVILLEILTGQLPLKLEGCEKPRSLSLLFLSAMKGNNLDDVLVSHVKGQESMELLSGLADLAKRCLDMCGDNRPSMKDVADELNRLRKLSVHPWIRVGVETEEESLLVGESTPVYEFEQSTGYTADESEDRPINPRSVNYAR >cds.KYUSt_contig_6443.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001303.1:83695:84411:1 gene:KYUSg_contig_6443.14 transcript:KYUSt_contig_6443.14 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEASAELISPRISFSHDLATFAATATTCPTTPSRSDTSSFLMSRRRRRLPAEPEFDFANAAAADVAPADRLFADGKLLPVPPLPPTPHARLKQPQPPAWQKTTRPPAVRSWGSPFARSSSVNSATTATSGGRFTCPAFPLMRSQSAGAGHRPHCKKVAPATATAAAPGVHSGGGGGGRSVYYYGYGGGGSSRGGGGVRVSPVLSVTSIGSSVVNMLSYLLCDCGEIKGAKSRGIAV >cds.KYUSt_chr6.30196 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191410392:191411918:1 gene:KYUSg_chr6.30196 transcript:KYUSt_chr6.30196 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTRNEPQQQPLRILFLPYFAPGHLIPAADMAAVFAARGARCTILTTPVNADIIRPAVDRANNANDSAPAIPIDISVVPFPDVGLPPGFENVRYMNQSHGPEYYGKFLHAALLLREPFDRFLAASRPRVDAVVTDSFFTWSPDAAAEHGVPRLVFLGISVFARSCFESTLRNNPLEACPDGDEDPDTFVLLPGLPHRMELRRRQILDPRKRPLEWQFYESASAADRRSFGEVFNSFRELEPDYVEHFHATLGRRGWLVGPVALATDSRDVAATGGISTDGVANSCLRWLDAKPAGSVVYVSFGTLTTFLPAELVEIARGLLDLSGKNFLWVISGTESSEWMPEGFAELLARGDRGFVIRGWAPQTLILKHSALGGFVTHCGWNSVLEAVSAGVPMVTWPRYGDQFHNEKLVVEVLKVGISVGARDSAAAIDTHEVIGAEMITAAVKRLMDDSVEGNALRKKVRELRTMATKALEKGGSSYNDVGRLMDELMARRSCNSVEENVRAS >cds.KYUSt_chr6.19538 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122978648:122980220:1 gene:KYUSg_chr6.19538 transcript:KYUSt_chr6.19538 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHSGLNKYLLYGLLVAGSWLLPCLLHLQFFHISLFPYSYFSSHRRADPAALPVSIFSPPPALHNDDTKPSCEGRRVYMLDLPSRFNLLRDCVDGSPAFEDETSACVFMSNAGLGPVLAPAAGNDSDRVIPDNGWFNTDHHALEVIFHHSVRRYECLTDDPAAATAVYVPYYAGMELNHYSCDTNASVRDAPSAEFLRWLSSRPQWAALGGRDHFILASKTTWMFRRVPSNNDPKGCGNGFLERAECLNMTVLTIESNIWNRRDMAVPYPTYFHPSSAAEVAAWQARARGTRRPWLFAFAGARRPNGTLVLRDRLIDKCVSSPTRCGMLDCSHGQVCRSAEKLMSLFASSRFCLQPRGDSFMRRSSIDAVVAGCIPVFFHEGSTFEKQYRWHEPDNNGGDVDGRRRYSVFIDAEDLVGGKVDIEEVLTRYSDEEVAAMREEVIKMIPRFVYKDPRVRFEGDMKDGFDIAMDEVMARTRRIRNGEDLGWDV >cds.KYUSt_chr3.13270 pep primary_assembly:MPB_Lper_Kyuss_1697:3:79822550:79823104:-1 gene:KYUSg_chr3.13270 transcript:KYUSt_chr3.13270 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIRTGELVSLSEQELVDCDTGSSGCGGGRVDTALDLVAGRGGITSETKYPYSGFNGRCDVDKLLFDRDVAVKGFKAVPINDERQLALAVARQPVTVYIDASAWDFQFYSSGIYRGPCSGDPAKVNHAVTIVGYCEEFGEKFWLAKNSWSNDWGEQGYIKLAKDVPWSTGTCALASSPFYPTA >cds.KYUSt_chr7.29760 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185306319:185306642:1 gene:KYUSg_chr7.29760 transcript:KYUSt_chr7.29760 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDSEGPQELIAAAIFRSSSSFAHPPWMSSEDPEIYDLVAAPAQPNQMTKKEEEGLIVGDAAPPPLRLPPALPPERNGVEEEGEDGLDLAREIQPPTPILHKGKQQ >cds.KYUSt_chr5.39577 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250435956:250437334:1 gene:KYUSg_chr5.39577 transcript:KYUSt_chr5.39577 gene_biotype:protein_coding transcript_biotype:protein_coding KLADGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKSLRKHLLEELEEAFPEDVEAYRQIRATSAAVS >cds.KYUSt_contig_2868.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000549.1:164177:168217:-1 gene:KYUSg_contig_2868.22 transcript:KYUSt_contig_2868.22 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLSRLLRRAPSPSHPHHHLQLIRALSSPSSPLPSSDADLRKYAGYALLVLGCGAATYYSFPFPADALHKKAVPFKYAPLPDDLHTVSNWSGTHEVHTRVLLQPDSIPALQDALSTAHRERRRLRPVGSGLSPNGLGLSRAGMVNLALMDKVLHVDAKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGIIQVGAHGTGAGLPPIDEQVISMKLVTPARGTLELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEIKKNHKKWLSENKHIKYLWIPYTDTVVVVQCNPPSKRKTPKLTSKYGKDEALQHVRDLYRESLKKYRTEAESKDPLIDQLSFTELRDQLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSETCFPTGTLAKPSMKDLDYMEELLQLIEKEDIPAPAPIEQRWTARSRSPMSPASSSEEDEIFSWVGIIMYLPTSDPRQRKDITEEFFNYRSLTQTSLWDDYSAYEHWAKIEVPKDKDELAELQVRLRKRFPVDIYNKTRMELDPNKVLSSVKLDKMFPGTRTVQHAK >cds.KYUSt_chr5.19353 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125556402:125563485:1 gene:KYUSg_chr5.19353 transcript:KYUSt_chr5.19353 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSKRSPSAMEKDLVPHPIMRAALEGNLRVLKEMATVVKNESGIWNRALMLAAMEGRLDVCRLIVEDVRVDVNQPVTDDEGNHTAVCISAMLGTAAATRYLLDCGADPTVAGSMGTALHGAVLNGQYETIELLLSRGIDVDLFDSVHGTALHAAASKGEAGTVKLLLEHHADFKNPLALEAKIAEVKSEATEAFRRKEYMRAAELYHYTLKLEDRVKEHAFLLANRSFCFLRMGKGEDAVSDATKCIECLPYWPKGYYRQGAAYMLLKDYGKACKAFEDGLKLDRTNVDIKNALREAQEALKSADCVENNDLFGVN >cds.KYUSt_chr2.31284 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193139696:193140502:1 gene:KYUSg_chr2.31284 transcript:KYUSt_chr2.31284 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVRVTRKNIVKASVTRPSTIVAVSNLDLLRQGLPIVLFCAYPSTAAGGCGFHEVAAAFEAKLPSLLDHFFPLAGRIVADPRSGLPELHCDNQGAELVVGEVAVALGSLDYGDLDASLLRIGVPVQYGADVVLSLQLVSFACGGFAVAWGSHHLAVDGCALSMIANAWAELAWSGTIAAAPNLDRSVFRPRVPPTYSSSVGKLFMPLERERLVNALTASTSKIGRTYYVEEQDLANASRPGGWPRNPSGSGVCVHVEGVRRRGGLI >cds.KYUSt_chr4.7833 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46629250:46630699:1 gene:KYUSg_chr4.7833 transcript:KYUSt_chr4.7833 gene_biotype:protein_coding transcript_biotype:protein_coding MDEARAEPPAAGMDVDKLTYEIFSILESKFLFGYDDPKLLFAGGSPHTPAAMAAVKGTPPRAQSGKVCILSIDGGGRAADGLLAGAALVRLEASLRRRTGDPKARLADFFDVAAGSGAGGVLAAMLVARGADDARPLFSAEDALAFLVRSLRRGWSSSSSSGGGIRALFRRPAGAAFRKAFGELTLRDTARPVLVPCYDLATGAPFLFSRADAAERPAYDFRLRDVCAATCAGSDRASAAVEVRSCDGATRIVAVGGGVALGNPTAAAITHVLNNKREFPLASGVEDLLVISIGSGEGEQRPTGTGGGGASTSEIVRIAAEGVSDMVDQAVAMAFGHSRASNYIRIQAMGSPRARGGVAVAAEEMLSQKNVESVLFRGKKLGEQTNADKLERFAHELVKERDRRASSTGAPAVVKQQPAAEDAAAPASYSNLVSQMFTSCRGPI >cds.KYUSt_chr7.36035 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225164272:225165143:1 gene:KYUSg_chr7.36035 transcript:KYUSt_chr7.36035 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVECARRRRMWQGGGTGAEQTAGGARRLSFCLYAAGHGAAAHHHPVGAGNSGSKQRSDAMELVHGWTLDSNAREAKERLDQKLRSKTADTVIKRWHHSTGSLKLSRANGSGAGAGGGSGVQREVYSKKGVMRRLMRWSRLRWEAAEQAECAVCLDEFAAGDVLAHLPCGHRFHWACALPWLEGAVSNSCPFCRAAVDTGANAAS >cds.KYUSt_chr4.8889 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53335247:53335785:-1 gene:KYUSg_chr4.8889 transcript:KYUSt_chr4.8889 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLRHGQAKSEPVGWPSTANLHRTCRGLLWLLQAAVLRDGGGQTLRVDLVLSSDIAAGGWRSRSRAPKIVPAVPSHRGPPNWAKFTWLREQVVPRLALTPHRAKQPTPRFSSAALKARQSLIHHPCGAS >cds.KYUSt_chr2.17557 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110594412:110599492:-1 gene:KYUSg_chr2.17557 transcript:KYUSt_chr2.17557 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSGHRWVSRLLPLRTLQLCRQRPRLLPDYITCFSTPTPVVETRLFPDYTTCSSTPTPVIDTSSTSLLRHGTHFVMFPTPARPVLATPLRAFVPDASPGLASSDRRLVFIGFDDVFIGIASTTASTRHHRVLLCVLGPGKTRVCLRPRCAPGSGKTMVALRPRWLRLHRLRHRHPLHDCLDASPSLSSHTTSPAATSTPAPGHDIDHGIPSRGYPDQGCSTHALGYLDIGRRATTSHEHIGFFYSPSIRDATPSTTLPLRLRGSVSLLGSTSVYLQSDHPRRSCCSRRSRYDCGVVLEYTYGLVFSVREFLFFLGFQPPSLHSSSAPPPMAAATWVPPTILESYGYADAAPLDMRCYIANLRNPTTAWGGTSSGLQIQVTFRAARPPLLSYLCVHCPGLDFLHTEPRVVATDADLVLLRVPINLNAPLDVQSWDYFLYRWRAHHLDLLPNPHLPSLDDSATALLSREHGASYAVAAISSWRPVHEGNSDSIIRWDFLLHLYRSFESKGWITMPMSVEKLVRDKLVPVPCDVKGDMLYHKTTKTIAIGGERGTIAWVDLWRGILLCDVLGEQPVLFQDIPLPIPAKGNWGRLLEQCEPNCIRDVAISRHKDTIKYVEMEIWPPRRLKTRKSSIIQVIPSGWKATTWKMALPVGSFTNWQLDCEVEIKDVTMDAINPYHCNLLSMLSGSNSDSTLTLQKVPMAYPSISMDDDIVYFVSSTRSRRIGELELVISVDVRNKSLRGVAKLDFQKKFIIMPASGTSEISRYPRHNTGTSVELIGTEEETVKPTDKDLGTDEPTRVHVLEPTCTSRPVHKKALKILELLEARSWRCPMQLGEQMEEAEIATKELA >cds.KYUSt_chr4.24115 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151774420:151774875:1 gene:KYUSg_chr4.24115 transcript:KYUSt_chr4.24115 gene_biotype:protein_coding transcript_biotype:protein_coding MATDASNPAQLLHRGDGEGEWCNLGAAYVAVKVLRPQGHSIVMYSGPDGHPHQRIIFAYPILPGDAFERLDGSTLSWTESGSGNEFALCFLDEAACAAFCVAISPVTAALAALDGVAERLAGLRVAAAEGGAAAGGDIVGRLSQLSIGRRP >cds.KYUSt_chr7.32517 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202522212:202527765:1 gene:KYUSg_chr7.32517 transcript:KYUSt_chr7.32517 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLLSSSRPSLLTPSSSPSIAHRRYASFGSKLPPRRLLSVPPRARRWTVAPRAASELFDGIHSQDKPPGVGRGGARRRAYREAQGEASAPPVGAAARGLTRYVVPAGGLLALSFVIWKVVQHFVPGKRKDRVSGEPVSSGAMWSFAAGSNLSTSTSFKAEKESKKNLNKFYKELRTLKTVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNAITAVGIEAFDGILQINTALKSLNLSGNDIGDEGAKCLSGILVENVGIQKLLLNSTNIGDEGAKAISDMLKKNKTIRFVQLSNNTIEYSGFASIADALLENNTLRSLYLNGNYGGPLGASSLAKGVLGNKSLRELHLHGNGFGNEGLRVLMSALSAHKGKITALDIGNNNITSEGSHYVAEFIKMTKSLRWLSLYMDDVGDEGAEKVADALKQNKTISTMDFGGNNIHSRGVTAIAETLKENAVLTTLELSYNPIGPEGVKALCDVIKFNGKIQTLKLGWCQIGVSGAESIADCLKYNTTLSTLDLRANGLGDDGAICLARSLKIINECLKSLDLCFNEIRDDGAFALAQALKANEDLTVTSLNLSNNFFGKFGQVALTEARDHVYEMTGKEIDIYF >cds.KYUSt_chr6.20429 pep primary_assembly:MPB_Lper_Kyuss_1697:6:128683948:128684535:1 gene:KYUSg_chr6.20429 transcript:KYUSt_chr6.20429 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVFTMADNAAAVWAAKFCVPMTVVHAAIDALQLKIALFWVRSLLWATPTLHSSLRPVETERGWADRVCSGQSIEECPCAVRSSLAHANSWCSAAERPDWIGDAQIGGCARRPSGCLAIVIPASSGVCTSWLFEEVLVAVPERYARRHRRARYHGGCHPKISSAILRVDSITGKASIRHYKQEPSCRERQRRAT >cds.KYUSt_chr7.12749 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78560122:78561258:1 gene:KYUSg_chr7.12749 transcript:KYUSt_chr7.12749 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLIPGLPEEVARECLIRVGFDQLPTVRRISRQWKEEVESPDYSRLRRAEGLARPVIAMVQAQPEHVVETGPAQKHSSASSAVNGGPANNYRMVLLDPVEGRWASLPVLPGPTGSLPLFCQVAAVDGGQGRKRLVVVGGWDPESWAPTDSVYVYDFLTGAWRRGAPMPGPRRSFFATAAVGGTVYVAGGHDEEKNALRSALAYDPDSDAWTALPDMGEERDEPRGLCIGGKFLVIGGYPTPEQGRFAGSAEAFDPATGAWSPVGEALLEDGACPRTCCVAPGAERVYMIRDGNLVARDGGAFAAWRTVASVPEDARTASTVSAIPDGRVVVIGSGCHGGEQTVYMLCDVAGKPASWARAPAPPEFSGHVQAACFLEI >cds.KYUSt_chr3.48922 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305650038:305654480:1 gene:KYUSg_chr3.48922 transcript:KYUSt_chr3.48922 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLSAAQWMVGKALASVADGVLEAWDASKNFGLNIEALRTELLLVQATLEAASRKKIGGKAMEELLRKLRHSAHGAEDLLDELDYFRVHDELHGTYDAADQHAKGGVHDLALNARHTAKAVLGLSSAATPDEPGKVLEDARQRVGCCAWPLAMHKSRGSSSSSLNSNQADEEVVSGCMPKLGKLLALSSSPHVRDDNSGQSTLCDAPQREHAEETPMLGFNRADFSERMKLIVEQLQPVRRDCTKILQTLDRITLPDSAQSRPITTGRSIEPKLYGRDNTMNSIIHDMTKGKYHRQDLTVLPIVGPGGIGKTTLIQHIYRNQQVQNHFQVIIWVCVSLSFNLNKLIEEIKTYIPQVEGEKDGRAEDLIEQRLKFKRFLLVLDDIWEFSDVDDWKRLLLPLRASQEKGSMIILTTRFPAIAKMVKTTDHIELEGLEPEEFRKLFLAFVFGDEPFRSDHKFLLETGDKIMEKLKGSPLAAKTVGTLLRKDLNFRHWRRVLESKEWEGQTGANDIMPALKLSYDYLPFHQQQCFSYSALFPEDYEYSATELINLWIGLDILQPGGPNQTSEDIGLSNLNDLVIHGLFRKEETDGRLHYVMHDLLHDLALKVASHECLTVHHSNVGSVKIQSSIRHLSIIIDDDKVTHENFKSQLRKLKVGLKVKQLHTLMLFGEMDESFASILEDLCKEANALRFLRLVNMPSSAESILHSFSALFHLRYLCLGTKYGREMHLPLTISRFYHLRILDLGSWYRCRDFPKDLSNLAKLRRFYTPSDELHSEIFNVGKLVLLEELKVFRVNKESEGFEPKQLENLTELRELGIYNLENIRTKEEAAKTKLTEKSNLKRLTLDWDIKRSNTDPYVEAVILESLQPNRYLLELCIRGHGGPSCPTWLGDNLSVEALQSLHLVGVSWQRLPSLGKMWGLDTLKLYNIVPMKELVIDESFDRLIRLELVGLASFEKWLPSQDAHMFPLLQVLIIRGCPKLLELAFSNHIVYSPNEDWNIGWFPKLQELEIYNCPEFLLVVHMPWTETLCSVKIRGVKLLEKFEYSKLSYRIELEIFGKDDLQSLDQILAFSNLMGLEKLTLKKCPSLDSKHLLMLTSLKTLAIENSDGLVGSLEGEGDVEWQLPIEILMVEELRGASGKELTQLLTHLPKLSKLDIRNCTTITHLAMGVDVQQTTLAATSEVGEEDRLLALPAHLYGSLQDLVISLCPELVLVEPSTFLPARGFQALRSLQTLEIQHSPKLLSRCSFSGCLFPSSLQELVLQGMEGMGTLEPLSNLTSLTRLDLWYCGEDLRCKGLGPLLTTGGQLRKLLVYGSPRFFADPRQVLLQEDEGEEHQLVSPAAVHSCKLQDFSTDDVVGFLVTPICSLLSSSLTCLQLYQNFNYKVERFTSEQEDALHLLVSLQQLEFREYNKLQHLPAGMHKLTNLKRLEVFSCSGVQSLPKDGLPESLQLLDVSDCGNEELKQHCMGLVGTIPKIIL >cds.KYUSt_contig_1275.177 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000124.1:616979:617869:-1 gene:KYUSg_contig_1275.177 transcript:KYUSt_contig_1275.177 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRREMVFFDVDAAASSPDRKEWHLLEFGAVLVCPRRLVELSSYSTLIGPSPGQDLADSTRFSDELPSSASVAPSFPDIAADIFALLDGRVWAGYGIHRFHIREAFAAAGMDAPVPAGVVDSLDVLAHPRFGRRAGGVLEMATLATYFGIGVQPAHRCLDGARMSLEVLKRCAGALLLESSLSAEQASAVTTKRKTTGKSTSATATPKSNSNTLEMAFARAAATRTAPSSAAAVQKTKVDGSSSSCNKRDSMGKVVVEKKGSATTTTATGGRRVRAPAPPTFSMVLRPSRAIVR >cds.KYUSt_contig_824.121 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:747129:749982:1 gene:KYUSg_contig_824.121 transcript:KYUSt_contig_824.121 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVWRWGAVRLVLVSAIAWALLVLLALVFHIWSCTSSVSFLSALCKNDSKVLYALDPTGLSSKPLHRCSIPIADDPDAVVIPKRTPNAIVKKLSFITVDEQDKDSPPLFGGRQSWKQREESFKLNATMKVHCGFMKNSGADMDDVDMEYIQKCKFVVASGIFDGYDVPHQPSNVSLRSQKLFCFLMVVDEVSLDFIENNITVKVDGAGGKWVGIWRLITLHHLPFDEPRRNGKVPKILTHRLFPRAWYSIWIDGKMELMVDPLLILERYLWRGKYSFAAAVHKHHRSIYEEGDAIKRRKRYARPLVDLQLKIYYHEGMEPWDAKKRMPSDVPEGAVLVREHTTVTDLFSCLWFNEVNLFTPRDQLSFGYVAYRLGDAPKFFMFPNCEYNSLFVLHRHTREHSSKVEWAKTVDEIVKKGLKESRGGLGLWTPYPTDLGSLDLPAVKRTYQAG >cds.KYUSt_chr5.10345 pep primary_assembly:MPB_Lper_Kyuss_1697:5:66428768:66430158:1 gene:KYUSg_chr5.10345 transcript:KYUSt_chr5.10345 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPRGEGRPLTRAFAMRIRREEGRASTRARSSASSESAMAPTFERLTEDLHLEILSRVPAKSLCRFKCASKNWLRLVDHADHSTRLPKSMAGFFCNSTISDDDDDDEGFPGSALHFVDASAGSGAPFIYPSSSAILPDRRRLHLLDCCNGLLLCRRYDDVSTTTQDDYDFITFTYVVCNPATEEWAVLPDRVQDDKVVGTACLGFDPAAAPHFHVFLFSLDARRFISGVDVYSSQTGTWTYKVKRRGETLRLADHQSAVFLNGYLHLSTACAQRYPSILAVDTKGEEWRYFDTPCDMHGGDEFLGSIHQSQGRLHYASFNTTGDWDEVQIQLQVYALDDYATDEWILKHTLELSETDVHGGIIERKFDWIEMHPESNLIFFCEESDSTLKCYSMRSQEETELRTLGEGQPPYLPYVPLYSSLESLRIGHQDETADKFI >cds.KYUSt_chr5.42324 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266858827:266859679:-1 gene:KYUSg_chr5.42324 transcript:KYUSt_chr5.42324 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLRRALSLAPAAPPGLPSFSSSTATATAVAPLRSPFDDRLLRLLRSEISYISDRRPPYRPPTSFKSFAVEDRPGEQWVRLRAAHGGTDEIKIDATLFDGAAEPGPDASLFHRVEALEQGPRLHLSLIVEVARADRVLGFICSAWPDDLTIRHVLTLRGAGAAASDRSWRDFAKLEPAEREAVKKFLQEREVDAELAEFLHEYVANKEKMEMLRWLKTVESFVEK >cds.KYUSt_chr6.20971 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132248411:132252533:-1 gene:KYUSg_chr6.20971 transcript:KYUSt_chr6.20971 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVFPNRGQGLSTRWGGKDEPTFAGVAVELAVALVLGACSSPNTARGVGKTGEDGYEMDKVNDGIDGRSRADHLLLSISTTVDWIGSRVVARRGNFGQEMVVAGVTNRPKSFLGPKSLWNCRPNVFLDSIVSVSAGELLDTDLVVALEDAAHPRASQVASWAAAQHQAFDINSAINSRVLLLNSSSPDHLFPNPGSHWTEISPFPLPTTNCSGRLAPARRTYPWKGTPTMAAVGDEDHKTVAARHLKSGQPQIDRRTAPNRTERMSQMTTVVAIEVRARRPGIRTPATRLCPLSRVGRAPARHARSTAMSSGSYLCSLSRSCSSRKRCRSFEFCCRIMMHSVLKTDLRFGVIFVGAGGASDVGCVREVAEHEHLAEDRFIHISGAVSCRPQQVLPRCHRGVAQRPQNRGDCLQPCELFSQFSLSVRIVRLLSLQSLFFSDDVCV >cds.KYUSt_chr4.33897 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208114380:208115577:-1 gene:KYUSg_chr4.33897 transcript:KYUSt_chr4.33897 gene_biotype:protein_coding transcript_biotype:protein_coding MKARHLSRTTVKTSAVLASEEDRVRPRAHRGQDRMLFVDDAAFMETMNVGSSFLHDEAVDGEEEYEDVDVYLIFVNAK >cds.KYUSt_chr6.20791 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131179030:131180550:1 gene:KYUSg_chr6.20791 transcript:KYUSt_chr6.20791 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKGRLPSPSPSPPPPTPPREESSSDEDDEEEEEPTPAAQKAPQNPKHLSTAAADADSSDAGEEEESDDSETDANAFQILQAARSPGKPSESDADEGDGSSSDSPDPVHTKPARKPGKKRQAAESIPSAVKPKKAKADAAPLSGKAPSEPNSTSKGRKKHKEKAAPDRSPSKPAGRRWTVEDEIKVLEALVSHTKANGTQPSAVELIAAVGDSLERKTCTKIEMYEKVRHLKQRHEKAASTGTLPDNDDDLRKFNLSEAVWGESAKEVAAAPVSQNDAATSMSKKGQTNKEKKGGTAKEATSTVNGNVGTVTESKKELATKEKLDGATKGRLSNKAATTDTPVKSKKRGNHKDDLEGDAKAKETTNTATQNGSTSVRSKSGKSDKEEKDGDADSLGPKEATAVTQNDEENHEDKMDIDPNVKNTRREFDELQNLYPNLASYVESIQAQHPCGETLKRAFEFIADDKACALESKIKKQRVVEMKTEIRRADTKKEVTNIFIGLLD >cds.KYUSt_chr5.22631 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147703873:147706318:1 gene:KYUSg_chr5.22631 transcript:KYUSt_chr5.22631 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRLRAAPSHGVQEGVLLQNVLDKVVAAKRAAPAADWYGDGPNAMVRKESQDSVGAEWFSRAPRDQLAKKNLESLAVQGQELEEGEISDTLDSLEDMANDLGERRMAVQPHLVTPCCSTSAATIHVSSVASNKEKSKFEYVFVAKDKLNPKSVVYLDYDDDTLMAVASHQGKLQLCRSIRRQGRYGGANDDVRRVRVRRICRRFEFLCRFLEQAQGSINLSRVDLAAYKVIKNLPDFIKYRSMVGEVDGVEVGDEFVFRVELAIVGLHTPLQAGIDTTKDTDGEPIAVSIVASGGYLDEYCSSSGELIYIGSGGKAAGTDQDGDQKLEQANLALKNSMERGIPVRVTHGIRPEEGSHSVVKEFPKFIYDGLYDVVAFWPDAANVLRHPDVDAE >cds.KYUSt_chr1.6990 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42922322:42924696:1 gene:KYUSg_chr1.6990 transcript:KYUSt_chr1.6990 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLVRQDTSQRPRPNVERTVEERRRDGALTRTTAAPLPSWTRPTLRGTRPPPPRTSRTAHRAAAVNGSGTIQQKRLELRWRSTSLGDVLMDGGDLGLEEVVDESGCLEPLFYDEAEVVAEVTAKAERRRREAEEKAAEHARKVEECTRRNAANQAVLDRIREYDPKTGRVCYTRFYHKDFSRFDIDEESPLPPMRYTHTSPSTFINPSGKRVYSLWESANIFSVKISASDVPFPLEVYGTVIARDYLDFKCVYLFRRSREDFQVINSEDESLILTGPTRGLVLLDNIYLEVDLKVKDKRNKDQELSKGLCAIDGVRLGGLKHSEVGCVDLESRLSTVEVKFAVVAFAVEAIIEIKVIKGSFCGEITACTSRIQDFLVLYDSKAGGVTCDGSGIIQLWRHVVCVGKKEKLLLRIATASATQTVSFTPDVNGAAQDEITCGDVTMLVKVNWSLFD >cds.KYUSt_chr4.3726 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21224724:21225558:1 gene:KYUSg_chr4.3726 transcript:KYUSt_chr4.3726 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAATAMATATPAMATAGKKQTKPKKAAKDMTEEEHRTEYSKRAGRREAAKPRKAAAKLEQERIIVERQHPNSELLAHKVAQQAVMLMKQESLNVAFGHWAVLPLAAGTSSTSSVTSTPSGRPPLQPRPSQHGGGYGRAGCALSRAEEATLNLYVDMSRPANLFDGMTGELLPATKMPAHGEAIMQDMIYEGADEKQGDYTEYDGTQYEDVHEGY >cds.KYUSt_chr3.33431 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209897909:209900479:1 gene:KYUSg_chr3.33431 transcript:KYUSt_chr3.33431 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRVHRLVKSTIHCIWRGLALSLESFASLNCCLMLRSVVISVLSTAILKYEMFREIHQPWKTRNCSIPRPLWLLEKQAEHRDSLRKKRREHREGQIRDSAETETTSEIFFLAS >cds.KYUSt_chr2.47292 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295851044:295851425:1 gene:KYUSg_chr2.47292 transcript:KYUSt_chr2.47292 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCSQNKGRPLPKFGEWDVKNPATADGFTVIFQKARDNKKTTGGPGQSGIPPAFRNGNGYGSGSGSPYKTGNSYPYSRVASPKRVKKKWFFCGSF >cds.KYUSt_chr3.41454 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261483624:261488452:1 gene:KYUSg_chr3.41454 transcript:KYUSt_chr3.41454 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGATTQLGAMGISSSLEVLLGNRGCRLRAVKLAGQRRPKFTELTTQNLKILCDALELCYPGHGDIVPGISSTVLLCRSGMTRRVRKNTSNPLPASTTNLLFLGRESGGKTAVARELARLVFGSYAEFTALRADNSDESTRSGKLTLKRRRSTGDGNGGHALGSLFKAIVENPHRVILIDGVDRLDPDSEMCIKDAMMKGTVRGCNGDVVGLEDAIVVLCSDLLDARCVVSSPQVKGRLEDGDATGKDVRSCRRLGLDLNAFPEVGEDEEDILADDDEAILNFVDGVFFFK >cds.KYUSt_chr7.24222 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151018686:151019375:-1 gene:KYUSg_chr7.24222 transcript:KYUSt_chr7.24222 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPQANEAEVEQELLSIEASISSSTTISTMCDDLRRLGDIYNGVEDIIGLPSNHVGKMLDGEMERSLELLDLCSSMQEIFMEMKAIIQELQVALRKGDDATTQAKIMSYTRLAKKAKKHFKKTTKKATSEGGRMVMLLTKAREISVSLLESTIHLLSKQIEMPKKSLVSKAFHKKKAVVREEELQELECSIGDIESGAGHLFRKLVQNRVSLLNILSS >cds.KYUSt_chr5.18625 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120429662:120431504:-1 gene:KYUSg_chr5.18625 transcript:KYUSt_chr5.18625 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIELADPRVHLPGALQHEPADTASTLPAAQSLRAGGPQRPLPGALQHEPADTASTSPAARAFEPAVLGDHFRVLFKHEPADTASTLPAARAFELAVIGDHFRVLFEHEPADTASTLPGDPGPEPSSWRSSATTSGCSSARAGGHRVLRRFVRLRLRASSGCAIVRLRLRRLVRLRLRRLVRLRLVRLRPRRLVRLRLRRLVRLRLVRLRPVASSGCALSGCAFAVSSGCAFVVSPGRASPPRPAAPFAASSGRAFAASSGCASSGCDLSPRPAALCPAATSPPRPAAPSSSRSAAPSPPRPAAPSPPRPAAPCPAATCRLVRSHLRCLVRLRLVRLRPRRLVWLRLRRLVRLRLVRLRFRRLVRLRLVRLRLVASSGCAFAASSGCALSGCDLVASSGCALSGCTFVASSGCAFAASSGCAFVVRLRLRRLVRLRLRSLSDRAFGASFGCPFTVSPAAPSSPRPAAPSPPCAWLRSALATPRLSPTPRHGLLRHRHHHLHQQHRVRDPPRDSTALRVPRRLGG >cds.KYUSt_chr5.41391 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261270481:261270735:1 gene:KYUSg_chr5.41391 transcript:KYUSt_chr5.41391 gene_biotype:protein_coding transcript_biotype:protein_coding MDSELGLAPPAAKHPPPAPTTITDIGDDILHEIFLLLPSLPSLVRAALACPTFLHAVRSSPAFRRRFQAKHSPQLLGFFNHSGG >cds.KYUSt_chr2.15360 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96764715:96767915:1 gene:KYUSg_chr2.15360 transcript:KYUSt_chr2.15360 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNRTCRRRAAATPPKPWIRRSPSTDGKSTSLELQEPPPWNSTTIAMLQQVFKLQNSAHPAPPHPGHRRRGNTQHSTRGLRARRWWKIRGEAPPSRATEEDDLQPQTPPRPRRLPSAARGPTQTYLYTRRDPGFPYPPAAGAAAGGQGNQRAAAGEESGKDTSLSLLLSCGNAQRQTEALNELTENQSPGYMGRHPMTGMDDVERQAPHGDKDILEKKTKAATDWWIGCIAVTVAFAGAAVIAAGILVPVAYYHYRVDAHYYIAIDSVSDLSPVKGLSFNLTLGVASRSHGSKACIRPGTYAEVSYHGVKVAASEPEAGWLCAGPRKSAEQRVAARVTAVPVARVLDELTLDMKKGAAVFDINLYLPTGSYGLGGGPGGDGWVSGCKGSRVGAAAVWCNAPSIEPTL >cds.KYUSt_chr7.14381 pep primary_assembly:MPB_Lper_Kyuss_1697:7:88883183:88884761:1 gene:KYUSg_chr7.14381 transcript:KYUSt_chr7.14381 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPESFTTTSATPGDLDTTQGRKAKAQIGPAVAQIDPALRLSFAGMAKTNGSGGAASSVTAALMLHGRVAIVTGGAGGIGSAVSKHLASLGARVAVAYFGDPAPAKKVVGGINATHGADPPQAIAVEADVNDAAQVKALFDAAAAAFGGEVHILVTTAAVLDFSYPTLAETSEASYDAMFGANTRGTFLCCREAANRLARDGRGRIVTFSSSGVGSLRPGFAAYAASKAAVEVMTKILARELRGTGITANVVAPGSTATPMFYNGKSPEEAERYIAEAPLGRLGMPEDIAPLVGFLASDAGGWVNAQVLRCNGGTI >cds.KYUSt_chr7.20714 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128490972:128491322:-1 gene:KYUSg_chr7.20714 transcript:KYUSt_chr7.20714 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQQQQGNMAYPPQGQQQQAYVAPPPPVGYPQQGDQQYPAAGGAAETTSRGHHHHHHNGGGFWRGCCAALCCCCLLDACF >cds.KYUSt_chr7.34235 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213935513:213935845:-1 gene:KYUSg_chr7.34235 transcript:KYUSt_chr7.34235 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTRLLLFASIFAALIVSRGVVVVATAGTHAAMPAHAELLRGSVEDDAAFAEREEAAAYPQRRVLYSPQYIGYGGLNENKAACYGSCPGRGQAYTGRGCQAFYGCRGR >cds.KYUSt_scaffold_869.1549 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:9985477:9987450:1 gene:KYUSg_scaffold_869.1549 transcript:KYUSt_scaffold_869.1549 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGGKKAPSGAGSGQGGHGAATAAQDQRGNTGQSILPPPVPAGLLMAPSAGGGEQGNLGERFSHPKPSGCAPVAPMARRRAILDAAMEVEEDSNWDDGDAVEGMGFGPGTRAQIGGIVDMQDDVYLEFDEEEETVKEEPAEPTTWKLLARFMANFKPNTRAMFNRFIKEVWHLRTGIRYSEKGKNYYIVTLFSKGDYEFVKRGGPWIFNQHALILKDLDEAAQPSESKLNSVPVWVRIYDVPWGKQDDVWGRRYGNSLGEAMEVDVPATEQDKKEFLRVRVLLPYDRRLQTQITTGVKGKPTELKVFKLKYERVPYYCSHCGFMGHKTDECENRRLGAPSLDYDAHELRCSPYKKFEHRTYFVPPEEQASARRELSFASFGSAESYKHFDRRQAHKRRRNRVTPDRVHSQSDSAVDEMPPLMDDPIIQPATDGSNNPAAAYDGMEGVGTPALNEVELNLAAKVDAMTMPSQDALFPSRLGGRDASQPIIQFPDDEGQGTEADHGHQVQITMTTDMLAHMKRLKPRQGAGSDGSTREHGPRPSDMIPALQGLSSLQVSFGSLNDVSMSPADTVLGKRTAEEQEVQGGRLELSLGLNYEGKETGGTPKKGKMQGLERGQAGQRHMDVVYRRNKKTTNTGHEPAGNLARPNVWSRQEQ >cds.KYUSt_contig_528.221 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1408068:1409018:1 gene:KYUSg_contig_528.221 transcript:KYUSt_contig_528.221 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKKAARAGAGAKVAAPLLPEKDNALPPPVVVVEEEVKEVLPETAVQRPRPPEPEPEPEHEKVKRIQEHEEGEASDTVSVGSSSVVDKPMVKSGSEQEVEKRTLDVPEKEKAKMKKAPDQRNPNDVTNGRARSPSPASKQRRQSGVAVVEQPVAARPRREQPAVVSGIGCRSGRFSPSAARRAAESAVRRTYSAREADMALPSSTAKRSLNASITGVRRDPGERSGRRPDSPARRTPSSPAANGTISRQSSATRKVPKENTSPEQPKRQCSRARPTEEIGLGDEHDEAPLAGKGHKEAAENPSVAMECFIFL >cds.KYUSt_contig_2724.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000465.1:112156:122227:1 gene:KYUSg_contig_2724.13 transcript:KYUSt_contig_2724.13 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVNGGGSGESADHERQATTAASRVPLYRMFAFADMTDGALMAVGAASAVANGMAQPLMTFIFGDVIDAFGSAADSPEDVLHKVSKVIINFVYLGIGAGLVSTLQVSCWTITGERQAARIRALYLKSILRQDIAFFDKDMSTGQVVERMSGDTFLIQDAIGEKVGMCIQLLSTFFGGFIIAFVRGWLLALVMLSSIPPVAVAGAIVSRIMTNLSSRMQAKYGDAGDIVEQTIGAIRTVVSFHGEKQAITTYNKFIRKAYESARREGAVSGLGVGSVMGILFCSYGLAVWYGSKLIVDRGYNGGLVINIMMAVMVGAMSLGQASPSITAFAEGQGAAYRLFKTIERQPDIDVYDTTGIFLEDIKGDVELKDVYFSYPSRPEHLVFHGFSLRVPSGMTIALVGESGSGKSTVVSLVERFYDPQSGEVLIDGVDIRRMNLGWIRGKIGLVSQEPVLFSSTIRENIAYGKDNLTTEEIKRAIELANAANFIDKLPNGIETMVGERGTQLSGGQKQRIAIARAIIKNPRILLLDEATSALDMESERVVQEALDRVMLERTTIIVAHRLSTVKNADVISVLQNGKIVEQGSHAELVKKPEGPYSQLIHLQETLQEAEAPNVDPDVIMKNGLGSRSTTKKLSSQSTSFRRSTSKGSSFGHSGRHTVPAPFGLSDPMEFNNGQDLEETADKISSSRKKAPIRRLFYLNKPEAVILALGSMAAAMHGTILPVYGILISSAIKSFYEPPAELLKDSRFWASMFVILGASAFVLIPIEYFLFGLAGGKLVERIRSLTFQCIIHQEIDWFDKPEHSSGAIGARLSTDALNVKRLVGENLALNVQTVSTIIVGFTIAMVANWKLALIITVVVPFVGFQAYAQMKFLKGLNKNAKLKYEEASQVATDAVGGIRTVASFCAEQKVMDAYAKKCQSPTRQGMKEGVVGGLGFGFSFLVFYLTYALCFYVGAKFVHDGKATFPEVFRVFFVLVLAASGISRTSAIGADSTKANDSAISVFEILDRKSKIDSSSEEGMVIASVRGNIEFQKVCFYYPLRPSVQIFNDLSLKIPSGQTAALVGESGSGKSTAIALLERFYDPNSGKILVDGVDLQTLKVSWLRLQIGLVAQEPVLFNDTIRANIAYGKQGEASEEEIVAAAEASNAHQFISGLPDGYATVVGERGIQLSGGQKQRVAIARAIVKDPKVLLLDEATSALDAESERVVQEALDRVMVGRTTVVVAHRLSTVKGADIISLVKNGTIVEKGRHEELMRIKDGAYASLVELSAT >cds.KYUSt_chr6.7542 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45871445:45871705:-1 gene:KYUSg_chr6.7542 transcript:KYUSt_chr6.7542 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNSFGTSWADQWDTGSDPSPRAASDGGKKQGGGGSVEKTKAAAATGLKKVKEGTAHGFQWIKGKVQKKKQGGAGASDGIAAGY >cds.KYUSt_contig_686-1.1110 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6871009:6872058:-1 gene:KYUSg_contig_686-1.1110 transcript:KYUSt_contig_686-1.1110 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFSTRLGRQASGYLQDKYKQARLALGDITPAELYVCSLALHLNVNVVAHDMMHGLTVHGFGRLVQEATNGDPCVPDAKTLACIADAAFDIDDYWRIANVLHRRLGSVHDWKEWRPVYKALVVLEFLLTHGPEELPRDFLPTMKALRDLRGFTYIDDKGFDWGASMQRRADSVVSLLTDADRLRDARHRAAVGVRSFPFSHAADHHGVVSPTASASPVSSASSGSSRGSRGTWSFASASPHYSDSPAFVCLCSPNADYRHDKKFDAYTADDKRDGGVVVEEEADDYPTPHSQGSWLEESPSQSGSPASCCSSAKSTSSRRASGFLSLSQPERRNSSKKLQRQLSLDY >cds.KYUSt_chr5.16681 pep primary_assembly:MPB_Lper_Kyuss_1697:5:107328143:107329727:1 gene:KYUSg_chr5.16681 transcript:KYUSt_chr5.16681 gene_biotype:protein_coding transcript_biotype:protein_coding MYAQLCYEVHDYLPSFPAEEPGGRNIIFRRLLLNNCQQVFEDPDSLRVEIARLIGPDQVMERGDQDMIFKLITLGNIRLIGELMKSRMVPEKIVHHIIKELLGSDKKACPDEEHIEALCQFFNTVGKHLDENPGSCRMNDTYFIQIKDRVANPELTPRSKFMLLDLIALRSNNWAPRRGEVGDVPCDIWHWQDASTNYLSNLGHIRAETEKLGSEMKRIALEGQQKQTCKLSDEDMKITRMTMLLTALLQDNKDCKNEILKVLKLAVAIQFAILCVCVVGVMKK >cds.KYUSt_chr1.42703 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261290829:261291119:1 gene:KYUSg_chr1.42703 transcript:KYUSt_chr1.42703 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKEAEVYEEELLDYEEDDDKAVDGAAAAKADVAKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEGKFHFPSCSRTLTSSSLACGDVEAR >cds.KYUSt_chr6.10465 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64621445:64624850:-1 gene:KYUSg_chr6.10465 transcript:KYUSt_chr6.10465 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEGDRRTEALLEKLSESSYNPSEEPQVRRTGTVWTAMAHIITAVIGSGVLSLAWSVAQLGWVGGPTAIVFFAGVTVVQSSLLADCYISRDEDGHGVVVRNKSYVQAVRLYLGEKSRLFCGFFLSISLFGSSVVYTLTSATSMRAIQKANCYHTEGHGAPACSAAGGGSDVYYMVLFGVAQLVLSQIPDFHNMAWLSIFAAVMSFSYSFIGFGLGAAKVIENGVIKGAIGGIALASTTQKVWRVAQALGDIAFAYPFSLVLLEIEDTLRSPPAESETMKKASRASIAVTTFFYLGCGCLGYAAFGDATPGNLLTGFGFYEPYWLIDLANLCVVLHLLGGYQVYSQPAFALAEQWFATEGSSVDLNVFRLCYRTAYVAAATAVAVWFPYFNQVVGLIGAFSFWPLAIHFPVEMYITQAQVAPWTARWLAIRAFSAACLLVCAFASLGSAVGVFGSKES >cds.KYUSt_chr1.29832 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180637882:180666018:-1 gene:KYUSg_chr1.29832 transcript:KYUSt_chr1.29832 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVAALEAAAEKTARAASAAKEAAVAASAAATEAAGAAAAAKETAVAASAAATEAAGAATVAKEAAMAALATAEQAAAASSAAKEAAVAAEAAAAATARELAAAMRCSKKRRFHQIDEGGSRSSRDDRGNLDLISALPDDVLGSIISRLPTNDGARTQAISRRWLPLWRSAPLNLADDFMGSALVSKILSEHRGPARRLSLKWLWPIEVPVIDGWLHSQALDSLEELDLADIRDIPLSVFRFAPTLRFARFSRCGLPNSISTLRLNFRCLKQLTLQGIRIMEDALHNLLSGCTALESLELTYIYGIGAICISSQTLRSLAFNHYGSKTLQLVIKDAPSLERLLPLYQDCDQGTIEKMIAVSLTTKIHTMKVLVLDSTGPNLDAVVDFLKCFPCLVRLYIISHPTKDMNNARKYDRLDPIECLELHLKKVVLMNYDGSKRPSVEFAKFFVLNSKLLKEMEIEVLNKRNDKWMANQRKQLCVDNRASQDARIELKIAKEAAVAAEAAAAATARELAAAMRYSKKRRFHQIDDGGSRGDNRDDRGNLDLISALPNDILGSIITLLPTEDGARTQAVSRRWLPLWRSAPLNLADDFIGSVSSSKGMALVSKILSGHRGPARRLSLKLFPVINGWLHSQALDSLEELDLANLMDMPLSVFRFAPTLRFARFSRCGLPNSISTLRLNFPCLKQLTLHGIPIMEDALHNLLSGCTALESLELTYFSGIGAICISSQTLRSLAFCSNPGWNSLELVIKDAPSLERLLPLYPDWGRVTIEVIRAPKLEVLGLVSKGLSKFQFGTTIFEKMISVSLTTKIDTMKVLVLDSIGPNLDAVVDFLKCFPCLVRLYIISHPKKDMNNARKYDRLDPIECLELHLKKVVLMNYDGSKRPSVEFAKFFVLNSKLLKEMEIEVLNNRNDKWMANQRKQLCVDNRASRDARIELKIENCPEQELCHAEKCWCEGRHLIVTLRYLMKLVEMWQLHGEVLFLFERQHVVFHYKDMLTKSSATEFITNPRKWPNQ >cds.KYUSt_chr5.38328 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242379785:242380339:1 gene:KYUSg_chr5.38328 transcript:KYUSt_chr5.38328 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGNFKKVAALCKSKTVVLTARLLVLASLRRRIATIGVISQGIHSLMVGNDRGTDSDKAIMPRKGLGGGALHRGHTVDISNQLALFHEEDGDAGVCPNWTLHSIFDDDNCCYNDYDLDDGDHDIHDMLLDACDDDHNENEPSVMDVIRSNREVEGLEFNVDDEIDQAADMFINRFRNRMSQSL >cds.KYUSt_chr6.12097 pep primary_assembly:MPB_Lper_Kyuss_1697:6:75302528:75303078:1 gene:KYUSg_chr6.12097 transcript:KYUSt_chr6.12097 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTLELESKVAASRKFRACVMDWHNLAPKLAPHIFDSAHHAEGDGGIGSVRHYNCNSAMPFNVMKKKVEFLDVDKCECKYTIECDGTETATFNVKVKPTANGGSVAKVECTYKGGEGKDKMLKAKDSVAEMFNTAEAYLLANPDAYN >cds.KYUSt_chr7.7837 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47419207:47424014:1 gene:KYUSg_chr7.7837 transcript:KYUSt_chr7.7837 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLTAAVAVGWGMKAAGWVASPIISDLFKKASSYLGFDASEKLSELEPKILLLERVMGAVEESPYRPRLEGLYSKLKSAFYEAEEILDDVEYYRLEKKIQDGKVKSEVAGPSRPLKQIWSVVVKSSPLKHQESGMSTVELKKKLERIEKVINDACKVLKLMNLPSISGGNQSHVVAANLRGSVTTSRPVSKIIGRDEDCDKIVAMLHEKEEHGQPDSNSVPCYSVVGIHGIGGSGKSSLAQLVCAREKKDSHFDLVMWVHVSQDFSVRTIFMEMFEAATGTLCPQLENLDTLQDKLEEKLRGRRFLLVLDDVWYNIRDATQSENLQQILSPLKAGEAGSKILVTSRTEDALLALGAVKQRCIPISVLDEDVFWKLLMHYALHGVPADDHARRTLGDIGKDIAKNLKGSPLAARIVGGQLHIRPNVEFWRSVRDRDLLNETMGALWWSYHHLREQVKRCFAFCSIFPRRHLLERHELVKLWVAEGFARLTSEGEDMEDVCQEYFDELVSASFLQLKAKKNSHEKDYYLVHDLLHDLAEKAAGSDCFRIENSWEQHGKFPAVEVHPNVRHIFVQRYDEELITKKICQLYNLRTLIIGSGYSWETVGEQVLKCMFKRLRKLRVLTIIAKDFIGSDVSPTVPVPACIGRLRHLRYLAFRPCHEARGRRMILPATFAKLCHMQILDFDSIKKVVFSSCEDISSLVNLRHVICFEDVDLGSIGSLTSLRTMAAFDVKRGQGHELKQLGNLNKLRGQLLIGGLEKVESKAEALEANLASKEGLSTLELSWAWSGEAGLEVQAEVLEGLCPPKDLKSLIIENYKGPRYPSWMHNGGPKHVNRLVLSHCTEPGPELVGFCAHLRQIMISCCSWDALPDYMEHLTSLHTLHISHCRNIRSLPALPQSLEHIHLYECDELSMSSCRIEHLTSLQMLTISSCDGTLSLPTLPQSIKNFNLLTDNEVLLSSCRTAGDPDWQKIKHIPYASIGNSMEIEETAMKMGVVQDQKEAKKIFYRQFLSDVVLRLSRDS >cds.KYUSt_chr5.38142 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240983393:240985627:1 gene:KYUSg_chr5.38142 transcript:KYUSt_chr5.38142 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPDLQRLLEQEKERMMVNEIVSKLTSECWDKCITGAPGSKFSSGETTCITNCALRFLDMSQLIARKLGGSQ >cds.KYUSt_chr3.39075 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246178453:246181636:1 gene:KYUSg_chr3.39075 transcript:KYUSt_chr3.39075 gene_biotype:protein_coding transcript_biotype:protein_coding MEDADADLERSLLASAVLAFAYDKAGRARELVDLARQTAAAAAEVDHPASGRLTEAADSMERTVLALSVADVYTRPRAAAELVACAADLYIAAREVNADLADAAYVLAGQSEELTRMAADLRAAMGFARQQNHGLLARIAAFCTYRVQPSSVRSFAEGGTSAMQSLGLGMSLGLLPYMGRQGILSADNFSDNELWWINAVFSSWWVLVSLGVAASLCPETRLQMECSWWYFFGDHDTAFPNDNGSKK >cds.KYUSt_chr1.39877 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244081845:244083632:-1 gene:KYUSg_chr1.39877 transcript:KYUSt_chr1.39877 gene_biotype:protein_coding transcript_biotype:protein_coding MHLILAIFSESRRREDRSWKRLLVWVAYQLTDWGPAYVISNLYLETKPPEKMIIAFWVPFLLLHHARPDNISAYTIEDSVLWIRLIVSVLPKSGGSIIIVYRFILTDCAADWSLRWASGIMLFLGILKYLESAAALWLCNLGHIREWLPKKHINLSNQKDDEGTVIETDDEALLVAHKLFEICKGAFCDYTVDTDRKVVRDVFSGKWESMCTVVEMELSLMYDFLYTKAAVVYTWWGYAIRLASPPLTATALLLFGLHCKDGMKGVDKVISYILLLTTFLLDVRWLFRALASAWTQSFLKAMPHNWLKHAFLCRERWKKLRVFVMSLCLSRLSLCLWTCAYRKEPKSYRRWSGTFGQHNLLDQCTGGQHVFHNIFRWGRKSEWEDHSSGLEIPDYVKKLVFNNICVKLFTGPARPTKPGQQGHNIMDLPPSPPSQGKPPIVLTNGPAVVTDTVMDQPLGTPDSREQVCHGMDNKPCLWPPCSREQICNCVDNEPPPWPPCPGSRICNGVDNETSPWPPCPTEQMCHGLDSESPPWSPYPGPGERICIGINNELPMWSPYPGDQICIGINNEPPMSPPCPRQVCACMRNVPFDQSK >cds.KYUSt_chr7.12547 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77330838:77335957:1 gene:KYUSg_chr7.12547 transcript:KYUSt_chr7.12547 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVLIPGGAVIIIMSSSSESTFSPFFRLAWGRCGLELHEGCERSQANMPWMGVAVIVGERVGKMAHVNLLRTAVLSTMSILIMPLEASAETCQPANSFADMPIFIAVALIGAAVGGLLARQRKEELKRLNNQLRQINTALRRQAQIESFAPGLTYAPVGRATETEVIVDPRKQQLVVNLKNGKNYMRNQDLDKAVTEFRTALELAESIGDRFEEKKGASLQRLGQYKEAMSWYYKVLELSKETGEDSGCTEAYGAIADCYAELGDLERAAKLYDKYISRLQPHD >cds.KYUSt_chr1.15844 pep primary_assembly:MPB_Lper_Kyuss_1697:1:92064951:92065541:-1 gene:KYUSg_chr1.15844 transcript:KYUSt_chr1.15844 gene_biotype:protein_coding transcript_biotype:protein_coding MACTKLVSLSLIFLFSIGLASAARVVRYSSSQGTGSGAGEGGGAVNGGGGGAGSGQGAAQSGSGGVSASASGGGGGGGYSQDGGTGFGRGGGTGSSSGQMSQSYGGYGGSSDAGGQGGGGGGGSSGGEDDDCPADDSAGYGTGDGTGSGSSEADNSGGTSYSNANASGNGGGSGGGKNGGTGGGAGNGNGYGNANP >cds.KYUSt_chr1.5776 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35596338:35604187:1 gene:KYUSg_chr1.5776 transcript:KYUSt_chr1.5776 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAGLFASPAFPFPSTSASSSSSCSCRFRPAVACAPRHPPASRRVMRRFDEVEGASKKRRGGNGGGGGGGSLASSTRKDKGLSVDFKEPQVADFEDLEEDKFLNAVVKVYCTHIRPDYGLPWQKQRQHSSTGSAFMIADGKLLTNAHCVEHDTQIKVKRRGDDKKYVAKVLARGTECDLALLSVENEEFWCGTEPLQFGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGVQIDAAINAGNSGGPAFNEEGECIGVAFQVFRSDEAENIGYVIPTTVVSHFLNDYRKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGILVRRVEPTAPASSVLRKGDVITSFDGVSVGCEGTVPFRSTERIAFRYLTSQKYAGDVAQLGIIREGNFMKVPTILHPRKHLVPFNVEGGQPSYLIVAGLVFTPLTEPFIEEECEDTMGLKLLAKARYSLSTFEGEQIVIVSQVLANDVNIGYEHMGNQQVIKLNGTMVKNIHHLAHLVDNDFLVVLDREEAVAASSDIQKEHAIPSVRSSDLLEPYVDTNHEVQNQGEDFGDSPVTNFELGVDCLLWA >cds.KYUSt_chr4.24781 pep primary_assembly:MPB_Lper_Kyuss_1697:4:155953745:155955199:1 gene:KYUSg_chr4.24781 transcript:KYUSt_chr4.24781 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLIRTEMRIRRRNWTVFVHPDEIKSRHGDLVGETAEDALNMCMHPYTRMSTCNVPGTAAFRVAVVAAVLALLVLPSMGRCPSLGPAPPPPAPVTPPSPPPPASVTPPAFEPVQAPGTTPPVSCIDCIRAVIPGCESDCSADATASCSRTCNVPACDECRARISNCTACCDAGTCSCDCKTTGDFDCRGACGDQVRNCRPCFESSMGLCMSNCISRALISPCHAPNCTEETPPPPTETPPPPVLETPPPPPPPALVTPPALAPVLAPGPAPPVSCNDCRAPLIPACTSNCSAAVSATCLKTCSPQNQTLCDECRTMNSNCTACCDDGTCSCDCKTTGDFDCRGRCYVQYTGCNDCKRSGAMYCMLDCLSPCSAICAKEP >cds.KYUSt_chr5.38263 pep primary_assembly:MPB_Lper_Kyuss_1697:5:241956362:241960069:1 gene:KYUSg_chr5.38263 transcript:KYUSt_chr5.38263 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGTKPLQIWDKEVVDGHIKRPQDEDIQSNVLEIIGTNVQSTFITCPADPAATLGIKLPFLALVVKNLKKYFTFEVHVLDDKNVRRRFRASNFQSVTRVKPYICTMPLKLDDGWNNIQLNLADLTKRAYGTNYVETLRVQVHANCRLRRVYFSDRLYSEEELPPEFKLYLPIQVKIVELGRSMEALLWLQAPGAQETTTASYA >cds.KYUSt_chr1.20412 pep primary_assembly:MPB_Lper_Kyuss_1697:1:120322298:120324417:1 gene:KYUSg_chr1.20412 transcript:KYUSt_chr1.20412 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRQGSYQSEWRYWYNFRHLRYSINEYRFINLIEFYFSSSHFFSEKFFLKKGLVLSAWRELQIIGGSSLVFPHPLKAKEAQTYNGKLVLGGTSFLLRPWSRLRQALTGSLPYKVRVCLEGVPEHAHDIVSVTPLFGGEAMVDYFDDMIWSEKESGCVRIWLWMEDVDKLARRATLMLEEPMVDGPAVAHHSSVGIFSDVAYRSGPVAVIEHQVLIHLDRVVDRSADASNGDVSPVSHVTYVSDINGMASESSTDIDGTVTWTYTWVLGEEA >cds.KYUSt_contig_528.577 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:3559392:3559694:1 gene:KYUSg_contig_528.577 transcript:KYUSt_contig_528.577 gene_biotype:protein_coding transcript_biotype:protein_coding MHLASISKSYKGGGPRVSWKVQRRERVGLVGGTGAGKTTQLRLIADLEEPSGICVAKDKEKMKIDLLIQKIEVRATQTGTERNSSTPSRRRWESRTTSSR >cds.KYUSt_chr2.37715 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233408432:233411628:1 gene:KYUSg_chr2.37715 transcript:KYUSt_chr2.37715 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGLSQSTVVFGLHLWELIGIAVGAAFVLLLVLLSLLCLLANRRRRRRRAPPTPVLHLSTVAPNAHPKHPTKPPKDIQEVPSRGAAAPAAASKVPLAQVLQATPQESIQIETGKEHRITFPEQPPPHHQRSGGTSSRGASGESRGGGGEPGVPEVSHLGWGHWYTLKELEAATAMFADEKVIGEGGYGIVYHGILEDGSQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEFVNNGTLEQWIHGDVGPVSPLTWDIRMKIILGSAKGLMYLHEGLEPKVVHRDVKSSNILLDKRWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSSRNSEGVLDPKMTEKPTSRALKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPYRDLFKLDARGCNRFDSQGLHGMVWYYTIIAGSTWRRKKEELVAYDGLTRPNLPGIV >cds.KYUSt_chr5.34967 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221193441:221196957:-1 gene:KYUSg_chr5.34967 transcript:KYUSt_chr5.34967 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVARTMPPNSMFFDAVPCIRCIDYLVATTVKNYPRLNSLQCLRASTSGLTARQQIHGRVRACSDEDQTEERYLDLDARWSTGEDRWTIRTYVGAGRARLEQCDVTVAAVEVEEEEHDGATKSAAAAVTAPAADGGEAAADVEAVAGGSPVAPSHLLARLPWHYFDYLAVSIYHGLGYNHDCTPDSGVMVAIELNEPT >cds.KYUSt_contig_534.181 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:1160778:1164266:1 gene:KYUSg_contig_534.181 transcript:KYUSt_contig_534.181 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVLLLLHLFSEEEECACFHDPEEGLFSATGVASSTTLPSEAALSSAHPPIGPVWWSGDTHRARKANIVLIMISMSAMKLVPVVSAYIAAKKSKFDVSDTEAQHVDLESRIITNAKALQVLTAEATLPLRDQQGRLSSSPHLTRRMAMRRTMELQTDRLQQNTDNFGH >cds.KYUSt_chr7.21303 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131947575:131949348:1 gene:KYUSg_chr7.21303 transcript:KYUSt_chr7.21303 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGEDKVSWALEESGCFSTNSLYLKLSHGAAITHFKEVWRTRVPPKIKVFLWQLIRGRLPSGEQLAKRHGPSNGDCALCGEFEDCNHIFFNCHLAKFLWAGVREILSCTWNPTGVGDFIAIVQGLSGRLRRLAWFTFAAQCWTLWNVRNKLAIEGKILGSPADAMFKMSIHMQGWRVLVRHKDRRLLDVATAEAMSSDPSPLQDFCVADKYSPVLVNGFVCKDPKVMSADDFFMAANLDKPMDTTNKVGSNVTLINAMKIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGALYVGFVTSNPENKLFTKKLEKAGAITIANAVFGSKPPISDDVLAKSFQLEKNTVKWLQAQFWADNQN >cds.KYUSt_chr7.17410 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107803700:107809532:-1 gene:KYUSg_chr7.17410 transcript:KYUSt_chr7.17410 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEADLAEAQARIAADLAGHPRSNPLTGCARVDTRSQRHPFLKEGLSAAPNFSPNGTAPSPYGSGKCTWKGRRRWCQPCHPLPSLLRAGSIPAVSARNKAASSADPQPVKKKRPRRSEATSLESSFARSKRKTIELCDGPTKQSWILLLRIPWIAHLGLAVRCGKI >cds.KYUSt_chr4.47297 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292643711:292644562:-1 gene:KYUSg_chr4.47297 transcript:KYUSt_chr4.47297 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPGLADVPGAAPPPYASKSAAFPIAIVIAIGFMVTSLILVTYYLLVVRCWLRAGANGPGGSRLRRRDGDVMDRVSAVFFTDGLGADDPPRGVDADVVAALPVVKYHRRRRAASSAALECAVCLSEFVEREPLKMLPACSHAFHIDCIDTWLFHNVSCPLCRTVVTAEAASSNKQDNLDAAAGDRRISRLAGGSCRFPKHGDVQEPIRRSLSMDFLPGHRGRKPHKEAVLPSHADVAGTSSSVANTPGVGETSGRFRRLMSSFGLGRSSRSTVLPIDHLDP >cds.KYUSt_chr5.27943 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176788445:176790601:-1 gene:KYUSg_chr5.27943 transcript:KYUSt_chr5.27943 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLTATSLVSDANNWFDFLGTTFSAVSELLSRWRHKQERRHGEEERRQLQWKEEDGKMKQLHYCLLDLPDLINHAEWLSYIKDDKEVAKLLPELKGRVNDAYDLLEEFSYHHQLQPEQPADDFLKTITDGNHVREILDDLNCLRNTIGCIIDRRTRAEPQQFGKLLRPAMSSFYDKSKVCTLHKEVDKVLELLEVETCCSPRTRKRRITRKSTTISKRARRTDTLPCSASARAERTSEEASTIVLAICGIGGMGKTTLARQVFNDERSKRNFDLRIWISVSDDFNVRRLTKEFIQSALENLMQSDNLCSLQQTLTESIVSLKFLLVLDDVWDDVYTNEDNRWHDFLEPLKSAQQGSAILLTTRSQRVADLVNGNMHFHLEGLPTTMFDEFFEACAFGVDHCVTNPELNPIGKRIIPQLKRSPLAAETLGRILKPMLDREHWGWVAGRELWELKQEKYDILPILRLSYLYLPAHLRSCFLFCSMYPKGHQFDKDTLINTWIAAGLIESCKGGKLESKGHQYFAELLHRSLLHIDASSPTSSIYVIHELMHDMAKLVSENEWFVVKDETDLQKIPEDVRHLSIIGGTGLNETNLQMLCKYKKLRSVVCHGLDSEILTPAAKCWFEVLTKIRMLGFVSCKVNYLPENIGNLKLLQYLNIGECTFEELPPSFWQLKSLRIVDAQKCRIQHIPEEFNQLRGKLQRFKLRGIIIREPGNYAV >cds.KYUSt_chr7.38917 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242328064:242335115:-1 gene:KYUSg_chr7.38917 transcript:KYUSt_chr7.38917 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVWSGVLDLFGQIWHQVGFLFAVWLLQWPELLVGKRSIVSFNKADGVLAGDGLGRGSCRSSQPLSCRGGEGRRKREASFFSGRFLIRWRRFVIDDGGSSSSSLLELGTLSPSSGAHGQLRRPAPMALSRTAPANSSCFHPRALASPASSLSVGTKVFVGLKAQTRLGSSESSCPNVNARFYTAVNRRVSLGLSNKRATRARISMMPVGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDTIDEEFSNQLLASMLYLDSVDDSKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGQKGSRTGMPLCRVSLQSPAGAARGQADDIENEANELNRIKNYLYGKLAEHTGHSVDQVHEDLSRVKRFDAEGALEYGIIDRIVRPSRIKKEGGSTTGQKKDLRNLGLG >cds.KYUSt_chr7.9354 pep primary_assembly:MPB_Lper_Kyuss_1697:7:56917993:56933452:-1 gene:KYUSg_chr7.9354 transcript:KYUSt_chr7.9354 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATGGEDVGDQDLDIGRNLLRNPHRHLVFGRDLELVLCWKNGVLHWPMINYGTTIGMVLPSNLVMCATDKIKLGSPAEIPGEGPTKKRRGRPAKVGHFHDEPGPDHFLKIIFKPTFGRLIIPKAFVKWFGDIPSNIIVTTNTGCNWRMTTRREGDDAFIDQGWSAFAIAHQLKVGQFVTFRRVSTLGYSVVIFDHTCTEVVTRCPYHGGHTSRQRGIRLFWEDRWLDGRSIKEMAPEIPDIVGAPSALALWQYVQLWGRLRDTQLSGDPDRTVWFEVLSWIRSTSSPPTAEGDFAEWWSQVEMDETMSVVCEVEKVPGIGVHGDESDNSRTGLGNESLSGRENIFVGSRSDNSMSSEHGMEAIINMDIDNDYERDISDDVALEENSNEEIFGLENVYDYYGESDVENYFYDESVVGKHYVEAKPSKSNESHVDDGDDANLSQACQAEDTIELYMMIKEMTFPSEEAAFVFYNSYANDNGFSIRLDKDYEYYGDVLVFDSTYKMNRYGRARPPLPRRGPRRGDHSPPPRVAALLVAPGAAVLAAAADAQLLVDLKAQPPARSDEAGDGSHEGFPARVARLRLPGVPAPHVPRGANPFSPLFDVGSCITSRNRGTRWASRSCCRASRAAGRRVALRRWAGGERPRRPQVLQVFYAASGVDSVASAALSFASTGDSTPPALLSAAVAAAAVGVRRLLHLSGKRNSSSGKW >cds.KYUSt_scaffold_6468.759 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3672400:3672672:1 gene:KYUSg_scaffold_6468.759 transcript:KYUSt_scaffold_6468.759 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLFQHLMPCILLLLLAMSHLPSSSHGLRTLREEEAGGELRSRGLAPAASPPLPSQEVGVDEDTGMVDAGKYAVSRRVVPQGPNPLHN >cds.KYUSt_chr2.6778 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42260209:42269023:1 gene:KYUSg_chr2.6778 transcript:KYUSt_chr2.6778 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAPRDEEEAPMEEEEEETEVEDDDGDEFEWSDDDGPHPDETADQQRALVESFESEKKLQDDARAREEAQIRRAVELSPPSGAARRGETPTRLLGATASRPPPYARRGGARSKSCGGGDDGAESSNAPPGGKAAVEVRGAGNSQRGGIPGSSVRKRRDAQSTDEDRLSTLTDDIILYILGRVDITTATRTSVLSKRWRVLPRLLPELNLRVWDFMPIPRPGPIEAHHMDQGMACLTKATRSFLADPTRKSTSTTNLLLQLYGGRNYSREIGLLVRDAIDSGALKQLELRIVHEKEVPVHCRHEDMVQQARDVIDFFTTYPCVHSCLVSLLLHNVRFTEQDIHHVLFDSCKELKKLMLFHCDVGDCSIWQINAPDSKLAVLEIAITCLERVEVVCLPKLRRLHLDNWLYFEAPLRFGFVPSLNELALIRSGTLDNQEFRLSAVLHGTTNIHTLTLDFEGGELWIQPEGKQLCPAFNNLRELSICGISVDFDLLWTINLLEAAPSVEIFLVQAQHEDRLSELTDDIILSILMRVDTTTATRTSVLSKRWRNLPQLLPELNLRVWDFLPIPRLEPVEAHKMDQAMTCLAKATRSFLADPSRKSSVTRLSLQLYMTGGHVCEIGPLVHGAIDCGVVKELNLSIVDDKEPSICSHEDMLHQAQAVNGFFTAYPCVLRCLTTLLLHNVRFAEWDINHLLFDCCNQLRHLTLENCDAGDFSVWQINAPNSKLRILEVWMSCLKRVEVLCLPKLERLHWDEWFRFESPLRFGSAPSLKELALICGATLDHEVFSLSEVVRGTTNIHTLTLDFQGERLWIQPEGRQLCPAFQKLRKLYIWGIFVEFDLLWTINFLEAAPSIEIFSAQVFDHACQDDEEVRTTELYEAQKTKPQWNIPKFTSSKPWQLKELELVGFRPLEQQFLFVRSVMERAANLKAVLLKEDDEPCQDCERLSRPHPPPIGGFFPRDKDEQETIVKQLRDGVWSSARIIFSKYCNS >cds.KYUSt_chr4.54914 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339177622:339181408:-1 gene:KYUSg_chr4.54914 transcript:KYUSt_chr4.54914 gene_biotype:protein_coding transcript_biotype:protein_coding MTGADSGAASLENTPGEGGSVEWRRIYDRVEALLPRVEELAAGKARRDSSGARDNALQARLRQAEASRSRWKAAYRELSHDASGNKLAALQQSDLEASSTRDALSIVDASSQQKEADHEDVARDLRAELRKLKQACKTLSSDKDKEVSALGAVRDYLWNQLRTMDKENTVLLKIKEVEAAQATEAAKQLQHKIEELEMAARNKDDVVARLRAENKMCIFVKIHDGKTISLEVADSDTINSVKAKIQEKEGIPAGHLRLIYINKLLVGSRTLKDQNIQEEDILDIVFRGIHIIAKMRSGKSTTLEVESSDTIYSVKAKIFDQTCMAPAGQRLFFADKLLEDGCTLADYNIQNDSVLGVEFLFPLERLRVSVRTQTEKSVIEHAFMRSDTVDDIKARIYAELGIPPDEQRLLSYGDLLQDGQPLFPEICGAGTVHLHLRPPGGQ >cds.KYUSt_chr5.9006 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57236734:57240741:-1 gene:KYUSg_chr5.9006 transcript:KYUSt_chr5.9006 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLIRTACLRARVRAMATLPTAAAFPATRTLSPSSPSRARRLLLAASFLPSAPPPAGARAFRTSAAAAAAPVEVGGVKIAREDVVKEDDPTNNVPDTIFSKIGLQLHRRDDHPLGILKNTIYSYFDKSFPGKFVKFDDLCPLVSTKQNFDDVLVPADHVSRSYNDTYYVDSQTVLRCHTSAHQAELLREGHTHFLVIGDVYRRDSIDSTHYPVFHQMEGFRVFSPEDWSASGMDGTAYAATDLKKTLEGLATHLFGAVEMRWVDTYFPFTNPSFELEIYFQGDWLEVLGCGVTEQEILKSNGRTDHVAWAFGLGLERLAMVLFDIPDIRLFWSNDQRFTSQFSKGKLGIKFKPFSKFPPCYKDVSFWINDAFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDMQWNVREAVKSKLEVELR >cds.KYUSt_chr3.48407 pep primary_assembly:MPB_Lper_Kyuss_1697:3:302814468:302815326:-1 gene:KYUSg_chr3.48407 transcript:KYUSt_chr3.48407 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPLPLGFADFSITMDASLASLWPLAQDDAPHCYQHMESVEEMQQKLAAATAELQVATEGVRLKDQNIAALMELIRRTAQERDLLQEQHLLLLAHELAAATSSSSDSDPAPGDDRSPLFKLPSSPEATALVDRPSALFEPSYVDITTAAAAAAGRLELLAAKRPLPHKGRLLQAVMEAGPLLQSLLVAGPLPRWRNPPPVQVSLTNAMISAPSGSGWSFSQRRREASQFVVCIRQVVSHIYPVCTYAFLCIHI >cds.KYUSt_chr4.45627 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282331752:282333984:-1 gene:KYUSg_chr4.45627 transcript:KYUSt_chr4.45627 gene_biotype:protein_coding transcript_biotype:protein_coding MVMARGVCVCRAAAPPCVPESDVTRKEEAGSGTRGMDDDDRPVAGGFVYHKSHGLRRRPVKPAAVEKEPVRARSVRPVTVSESAQEVPVSDKFEHGGSRLHFLEERDEEMLSRRLMRLGQSNKVRSAMELFDSMRASGLRPTAHACNSLLASFVRRGYPADARKVFEFMQEKRLATGHTYTLMLKAVAKTEGYISALQLFSEIEEGEESREALDVIVYNTMISACGRAKDWRQVEKLWRRLAESNSLTGTLMTYDLLVSTFVQCGQSELAVAAYEEMLRNGLDPSEDIMKAVVASCTREGRWEFALATFRRMLSAGMKPNIIAFNSIINSLGKAGEDELAFRMYHLLTSSGLEPDQYTWSALLSALHRSGRCWDALDLFHGIKSKHPSVLNSHLYHIALMSCERLGQWEHALQLLWMMEKHGLQISAVSYNHVIRACEVACEPKVALKVYQRMTRERCSPDTFTHLSVIRACIWGSLWDEVEDILEEVEPDSSIYNTVIHGLCLRGKPILARKVYKKMQSIGLTPDGKTRSFMLQHITSAE >cds.KYUSt_chr5.17276 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111594235:111602096:-1 gene:KYUSg_chr5.17276 transcript:KYUSt_chr5.17276 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLRRPAAGGAVPRLQQPYAGLATAAARHDALDTVGEESEGPDKGNKNRWMELPPFAPVDAAAAARAIFRGEGGDEKEGGSNSTAIKWVRRCCPQLPASLVQKLFRLRKVKKNAMTAETSSADASAEQFRLKRVSAKDHLVPGDTLFLPINVQESSVAEKTRKFDNRNEIEFLRSLEIHKDEAIIVVNKPHGMPVQGGVGIKNSIDVLAPMFEENSSDAPRLVHRLDRDCSGVLVLGRTQLSASILHAIFREKTADALADGTQQVLQRKYVALVIGTPRHPKGLLSAPLAKVLLQDGKSERLTVRAGPNTTSVQDALTEYRVIESCPQGFTWLELFPLTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQNWAPLPVPRTVDRELLRRRRLPFGLVQGGGSVAEEQPQLHLHCKQMMLPDISAAIQGLQSEDADRDFSGLEKLSFVAPLPVHMRLSWEVLKSPNK >cds.KYUSt_chr1.33691 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204659501:204660700:-1 gene:KYUSg_chr1.33691 transcript:KYUSt_chr1.33691 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYANLPTSHLLGSVPAVLTPDERKPGAPAEVGNAAATSRLQQFPPAPGASGGGYLPPGPPPLDGDVETQTSWKGYFNVASYAPYFNVDTDVVVDRLVSSVYPMEGFVRKIDANPDM >cds.KYUSt_chr7.7862 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47535834:47536286:1 gene:KYUSg_chr7.7862 transcript:KYUSt_chr7.7862 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVTAAVAIGWGMRAAGWVASPIISDLFKKASSYLGFDASEKLIELEPKILLLERVMGAVEESPYRPRLEGLYSKLKSAFYDAEEILDDVEYYRLEKKIQDDKLKSEVTGPSRRLKEIWSAVAKSSPLKHQVLPYLYVFVFLSVPKVT >cds.KYUSt_chr3.27221 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169946195:169952781:1 gene:KYUSg_chr3.27221 transcript:KYUSt_chr3.27221 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLEATRAAHEDLERLERLAVRELQRDPANARDRLFQSHRVRNIIDLLVTTSDRLVEIYEDKDGARKEEISNHLTAPAQDDIFHKFFDRLKDIRESHRRNHSARFVSDTDDHEELLKEEPAIEFSGEEAFGRYLDLHELYNEFINSKFGSLMEYSAYVGTFSQTEKITHSLKATRQYKEYLEHILEYLTYFLRRTEPLQDLQKIFTKLESDFEEQWANGEVPGWENKGTEKESVMQESEVDLDYYNSVEELVELGPEKLKEALAARGLKGGGTVQQRAERLFLLKHTPLEQLDRKHFVKGSRSSVSNVPSNGNNSKDDLKKEIALIEVKMRRLCEILDEIIVRTKENAEKKLTLTYEEMEAEREEEEVQADSESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKALWERIQSKQGLNKWRPDLEEEYEDTDGNIYNKKTYTDLQRQGLI >cds.KYUSt_chr6.26492 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167790938:167792092:1 gene:KYUSg_chr6.26492 transcript:KYUSt_chr6.26492 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKTDHPRLNERILSSLSRRSVAAHSWHDLEIGPGAPQVFNVVVEITKGSKVKYELDKKTGMIKVDRILYSSVVYPHNYGFVPRTLCEDGDPIDVLVLMQEPVIPGCFLRAKAIGLMPMIDQGEKDDKIIAVCVDDPEYRHFNDLKELSPHRLAEIRRFFEDYKKNENKEVAVNDFLSSNTAQDAIKHSM >cds.KYUSt_chr2.6619 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41306961:41308489:1 gene:KYUSg_chr2.6619 transcript:KYUSt_chr2.6619 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVERSGAGVQGTPAERRLTLFVRTVAFLERAGNGIGTVAFMWATVVVLGGFSTLLNERDYLCSTILVFLEAARGPLEFESAGGSLGEEEEEGEEEDEGVEDDDDDAEEGSDEEDDEDEDAAENAQDLVEVDVAGVRKK >cds.KYUSt_chr3.8862 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51680151:51684782:1 gene:KYUSg_chr3.8862 transcript:KYUSt_chr3.8862 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDDMAKLDVLVSEKDAPVQPPLPRYATGVMPSGLSEDEALRRALQDSAAPQPPPYNPWAPPPQPQPWAPPPPPSQPYPWAPPQPQSWAPPPPPQTQPWAPPPPAPPAGPAYAPPDGNWPWAIPELIVLNNDSDVNRRARTLKCIAPPERAGEEFGAPIPGAVGRSAHSILRPHATPVHIQSSRHHPPQPLPHGPHPETTKPTDTPTEGAMVVRMSPAPRRRGVTVGPAKLEGLPAAWSARAVAAVKVKWPGAGGALSQMLTGRRGGRGVTAVVPVAADGAVRWDAAADANRFRVDVVDTGATPRAGAGAPGAGGGRHDRGVFFSILYGFQDRGRGNDSPVRLEEIGTAMISLEECCWEMQLQQQKGGAAPQQQLVVVPIRVRKDGWASDAILYVNVELVDLNTPAAEIERSASFSCRDKPRASLPPPAMRDIYKSSTYHEVLDLKQLLDLADKQGRVAVYRNKRNSDSSSSVSSVGLSSSSSAVSLSSGSTSTSGGASPEPGSTSKRRYLPWRRRSRESLSQEIPLKCLVSDDGDGWETREFTSRDAEASLRTPVFFASIDQRDDSAGGESACTALVAVLAAALHANHPAMPTRAELDALIRDGSSEWRSLCGDEAHMARFPNRHFDLDTVLAARTRPIAVRHDRAFVGFFQPESFASLSGAMSFDDIWREISTASRGPGHADVYIVGWNDHFFVLKAESDCYYVVDTLGERLFEGCDKAYMLRFDATSEMRSVPSSPLEPEEVTVTGKECCGEFIKRFLAAIPLREELEIEQRGCLAAGAPHQRLQIEFHFTVLE >cds.KYUSt_chr5.42612 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268399377:268400117:-1 gene:KYUSg_chr5.42612 transcript:KYUSt_chr5.42612 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDPLGLVTAILTAVQLIRSAASTASQNKEKCLELAERVKNLGDVLPSFAHAAANDAATARVLERLKDAVGEALTLIQSCKSAGTFSGKYSSRKAGDLDSVDKRINNCIMDLSLINQARMNGGAAAGNGDGKVPAAQAGAHVDHHSSYYQAQGGGASTAHVASPPTPTLQHAWSTPPSYYQQPPPTPTLQHAWSTPPSYYQPPGYVQYVPAGPPHYAAAPAPPGSNLSSYCTLPTVNKIIKRVFR >cds.KYUSt_chr5.11317 pep primary_assembly:MPB_Lper_Kyuss_1697:5:73496761:73501399:-1 gene:KYUSg_chr5.11317 transcript:KYUSt_chr5.11317 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMPIVNVVTLLLLSATVAESSGTVAGTLGTSLWYSYCSPGNYTANSSYEQSLKYLFSGLPQKVSSSSTLFARDTVNSDTEVIYAVAHCRGDANASACNRCVTEALHEADVFCAFKKAAALYADLCTVRFSNETLSWRSDVENFRDRMAIKVDSSDITDLEMGVNALYTAVTRMANSSHSFVSASEKIYAYGTSYEAYALVQCVGEMTHAECGRCLDHLRVSCSLAGQMVHRKATTWCSYRFELYNFFTGSPMVRLPPFTYRAHTGHRMKKKKLLILVAIGVGVLILILALITFFFFWRKQQKRNGRAKDRASEEDLLSAGDTTCCEKEHFIKRCAMDSES >cds.KYUSt_chr2.17576 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110731331:110732419:-1 gene:KYUSg_chr2.17576 transcript:KYUSt_chr2.17576 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKRATKKSAQPPPPPPPPPPPPAGSSEEEDSRSRSEESEDEAVSHTPTPTPSKPLAPPQRADESDSSDDDEEGDDEEEEEAPNNPPAPPQKGEESESSDDEEEGDDEEEEEPAHLAAPSAPKNQPPPPQQSKESDASDEDGESSESDEEAPPPPKQAPKRQADAIKPPSAKKARLAFHRIWSTDDEVRILEALAAHQRQHGALPQPDALIGALAGKLDNRAYGSKELQSKLQTLKKRYVVLSSRGELPSKEHDRRLLDLSKMVWGGDKAAPAAAAEAASGREVKGFDEMCELYPYLAEEVKKLEAAHPGMFKRVFGKMDDGKARAMDEKIKKQRVAQMKVELRRTDLTKEVSKAITELVD >cds.KYUSt_chr7.36181 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226158327:226161543:-1 gene:KYUSg_chr7.36181 transcript:KYUSt_chr7.36181 gene_biotype:protein_coding transcript_biotype:protein_coding MLPELQEEIVSDEEMAIIEAALAAAAARPLLSAAAVRGAATLSCAAYSTAGDIEDSAPPLRRSLLSRFRERRALAVTDITATEWCDKQMEFVLEHGKPERTEAMKAGSDRHAQLEQEVIERVDIAIRSAEESWAVKFMNFIVGTNQLLFNGLTRELPVLGIVEGSWMVGIIDELRMPVDGISFHPSLVDTKTRFKATFPSEAQKRNGRLQLMCYKYLWDSLIAEKFPAENFFSYFDLNPDFLLSDDVKRYISSLGFNAQTFGDVLKYYKITCHTLSRSQEKLILRYELQADHSLLEEYEFSYDDQWFKDQIQEALRFWLGARDPKFVTEEELWKCKFCKFAPSCPKMVSNSRC >cds.KYUSt_chr2.9160 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57634766:57635394:1 gene:KYUSg_chr2.9160 transcript:KYUSt_chr2.9160 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWWRWSLGFSMLPAAVMQLLVVLRWSEPDASGGTTASSSPIKAFFESPDLGKIVVDPPLSSHRGGGDWERISDDPAFGRSAGSPLDWRRRPRLCFGYCDDLFCNWIHGDLKNPIQFASWVARLLLWLPQDSPELLLAPSFNLRREALFTLPFDGKDAAGDGGKCIAGEVLPAVL >cds.KYUSt_chr7.18896 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117123486:117128148:-1 gene:KYUSg_chr7.18896 transcript:KYUSt_chr7.18896 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRNDAGFLTQQQREQLRVAVQNAETLSLASPRSPTGGTTSALLQQYELQQQERRAAAAAARAGGGGGGGGGAAPVRHPRRSHSGKAVKLKKDGAGGKGTWGRLIDADTATFLDQNDPNYDSDEEPYELIEVPATTPLEDYKKSLVTIIEEYFSTGDAKQTASDLKEVGYDDFHRYFVKKLVSMAMDRHDKEKEMVSVLLSCLYGDVVSSTQIKLGFVMLLQAVDDLSVDIPDAVDVLALFIARSVVDDILPPAFLNKAKGSLTESSKGMQVLQIAAKSYLSAPHHAELLERRWGGSVYVTVDEVKRRITDLVKEYIRNGDTAEACRCIRELAVPFFHHEVVKRAVTLGMESPAAEALIIKLLKEASEEGLISSSQMVKGFSRITESLDDLSLDIPSAKSQFQTLVSKAVSEGWLDSSYVSSGANGNVQDDDHEKLRRYKRDAVSMIHEYFLSDDTPELIRTLKELGVPEYNPIFIKKLVTIAMDRKNREKEMASVLLSSLSMELFSTEDIVKGFIMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEIGSELPPKCSGAETLNMARSLASARHAGERLLRCWGGGTGEAVEDAKDKIAKLLEEYESGGDVGEACNCIREMGMPFFNHEVVKKALVMAMEKKRERPLVLLHECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAREKFLSYVEYAKKNGWLALSFGGAAST >cds.KYUSt_chr2.55506 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346208954:346211061:1 gene:KYUSg_chr2.55506 transcript:KYUSt_chr2.55506 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSDPSPSSSAAASPLGLLRAHHPHPHHLHLSTPPPPVALAHAYPPAAPPPSPASAPRDYRKGNWTLHETLVLITAKRLDDDRRAGGAMSGGSSASSSPLTPRSAEQRWKWVENYCWINGCLRSQNQCNDKWDNLLRDYKKVRDHETRRAASTAPAPHAALPDAPGAGVAPPPQHQHQQLPSYWTMERHDRKERNLPTNLAPEVYDALVDVLSRRAARRGGNAIAPSATTPPMLALPPPPLPTPPPPPPPPPPPPSPPKPQPQPLLAQQQQQHRSQHHPQHHAPPLLQLPPPVSLPSATSVSAEDDMSDSSMSGSDGGGSEGSRDEPEAKRRRRVERLGSSVVRSATVLARTLVACEDKRERRHREVLELEERRLRLEAERNEVRRQGFAGLVAAVNGLSGAIHALVASDHHHGRSGDSSRRS >cds.KYUSt_chr6.22335 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141088821:141089132:1 gene:KYUSg_chr6.22335 transcript:KYUSt_chr6.22335 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLVSSCDCRCFGIDGHLDFGGRRPAAPLRCHLHGDEESMSVGRLFHLQHDVEVGLLACGLYRRLFDNLFGRPIGVQLRLGVALCCHELAAALLQGRHLVG >cds.KYUSt_chr6.14182 pep primary_assembly:MPB_Lper_Kyuss_1697:6:88557000:88557272:-1 gene:KYUSg_chr6.14182 transcript:KYUSt_chr6.14182 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPWTKRQNKLFEKVLAKYDEDTPDRWQKVARDVGDGRSAEEVKRHYEELEQDVKHIEEGDLRQYGGSRTGSANTNGGSSRSGSRQEQR >cds.KYUSt_contig_815.28 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:148160:151502:1 gene:KYUSg_contig_815.28 transcript:KYUSt_contig_815.28 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGGAREQERTPYSLASLGSPRSRGNCFASRWFDALRSGARDWESFPEARGSILSFLLHCYTLAQSEPNQFTTQYQIELEPDPTTPRHRTMAAATRAGSASLRRALAATRPAPPQQLRSIHEGPDTIDELLDRHLSKKSPSSSSSSSILDDDALEAQARRRLTSSRREALGLYRDILRASRLFEWPDERGVPWRETLRANARREFEEARGERDPEVVARLLIGGRDAVDQALERVAQASRRMVQAEEAKRRGGA >cds.KYUSt_chr6.22852 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144365174:144365650:-1 gene:KYUSg_chr6.22852 transcript:KYUSt_chr6.22852 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKIAPLYQQQLPSGAPPWCPCAPQRPALLATTVQQKWVIPSAQDGRGRRRQERLSEHACDGTAAGVARVRGCGGPSSCGRFAGWQWGEQDLGVPWPHLAAARDGTRSMAGARRREWLGCGGAWQGCYGKPELAHGAAPSRPLHCVARIMAEMRSTW >cds.KYUSt_chr5.34005 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215939186:215950192:-1 gene:KYUSg_chr5.34005 transcript:KYUSt_chr5.34005 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGLAARLCHSWVADSIVEEASAAAVVNRRDILVSETVRHFVVRLCDVAEAADDGGGRLFLPARLAFAVRLRDATTAKMLKPALSPEKVKVSAASAHGSLAGGMRRVEEQVGGVVSACREVGQVAVVARANLCRKRSILGDGPSLVAAEVDMAKARGSSLPSVCCRRCHRPLTTSFIQFKNPRSRFTRELVLNLDKNRQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRITPLRNFFLIPENYQHSKSPLVHRFGELTRKIWHARNFKGQISPHEFLQAVMKASEKRFQIGVQSDPVEFMSWLLNTLHAKLRSCKKKNWSIIYDCFQGELEVVKDIHRHHILGDQQNGEAASQIETTINGLDTETSRVPFLMLSLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGEAVTEVVRPSISRDCKRYEEDEAEHMPMVKEEEQNNRRQ >cds.KYUSt_chr5.38682 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244821336:244821569:1 gene:KYUSg_chr5.38682 transcript:KYUSt_chr5.38682 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNECRLLCRRAMLRRDDIGDEKLAMPSKGIARPRFQQTSRTLASSSAMGMTEALVGDGMALTPSKEIDQPRPQRE >cds.KYUSt_chr1.41478 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254418716:254419790:1 gene:KYUSg_chr1.41478 transcript:KYUSt_chr1.41478 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGGDWGLEAVVRSCVGGSGAVDVPSSEAAEPPPVAAPCREVILGCVAPVQPVRAAASSSPLYDVLEYLDLEHEQLSRAPFSITPSSSAREREVLISFPAASTSEQPLPASARKQAGRKPSGRAHRPKRSKSKKSQVKKVVREVPVADGGVSGPEDQWAWRKYGQKPIKGSPYPRGYYKCSSLKACTARKLVERSPANPGVLIVTYIADHCHAVPTHINALAGTARNPPQSPLSDDTSKREEYSADVSSSVAADTGADGDSELWAPVEMDDMFGAFDDDFDHFFDDGDVFGQSVSL >cds.KYUSt_chr2.42189 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262754856:262761741:1 gene:KYUSg_chr2.42189 transcript:KYUSt_chr2.42189 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGDGGDDGEDDDDGDGDDVQLDGGDDGVDFPLREGISPADLSLPESSFLSGVLRPAEAAVTLREMNLCAICLGGMGVGGGQAIFMAECSHTFHFHCISASVAYGHLLCPLCNAPWRELPFVRPAAASVPATQPPSVDVMPLPIPPRLSVSTQPADPDVFDDDEPVASAAGAPSSRAVVVKTYTDYYTRPSAESLTALRGTNIAEGLRTAAKVLDERRYRNGGVSSVVLLSDGQDNYTPMRQAFGRGPPNHAALIPPYFARTDTAAGDRTAPIHTFGFGSDHDAAAMHVVAEASGGTFSFIENEAVIQDAFAQCVGGLLSVVVQEARVEVACVHPGVRVCSVKSGLYESRVEEDGRAASIVAGELYADEERRFLLFLVIPRAEETDGDATTLLKVTCAYRDAAAGGDINVTAEDTVVARPEHAADVARSVEVEREHVRVEATEDIAAARAAAERGAHQEAVEILENRRRAVAQSDAARGGDAMIAALEMELRDMRRRVSSRQTYARSGRAYMLAGMSAHMQQRGSSSQLQLPSVIGFDSGGVTTSMAGTNQVSQQVAATLPYATPATLAMLLRSRKAREAAAESEQQQHKVQEGAEGSEPKVPEELNQ >cds.KYUSt_chr5.43528 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274254711:274257856:1 gene:KYUSg_chr5.43528 transcript:KYUSt_chr5.43528 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDFCPPNLGFAMAAALEEQQGYQHNQLNDPLQESALGLSMEGFELCGTETETRQQRARRGGPCPAARGGGGRSGGGGGGGGGWFRWFSSGGFWDAAKQTVLTILGIIAAFFLIANFNVLVAAAVNPLLLVLRQIRRAITFAAYCVSRGMAAPGSRPKSTPIASSELAAMPVKGSAELSAKERVIRKWGSD >cds.KYUSt_chr2.2787 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16614758:16620014:-1 gene:KYUSg_chr2.2787 transcript:KYUSt_chr2.2787 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTPFTNVDPATAAAARLQGADPHELDQTMEFMLRLIYTNLPDFPIYDCPRLPPPLALADDHSSAVDRLSTLPRELLRGIVSRLPVKDAARTAVLSSRWRPLWLSTPLLLHDAHLLPKGHRWPLTPANSPAITAAVSHILEAHPGPVHCVRLVCTNMSLYRPQLARWLQLLADKGVEDLVLVNRPWPRDLPLPAALFSIATLTRLYLGQWKLPDTAVLRGASFPHLRELGICCVFMKRGDVECLVARSPVLEILNILGCMEEMRLRIVSDSLRCVQISMSSIEHIQVVKAPLLERLVMYRSSPNAARGLYTKLRIADAPKLRVLGYLEPGQVLEIRDTVIMPGIRTSASTMLTSVKVLSFNVRFGVHSDVRKVPTFLRCFPNAERLHIMSKRCDQPSDNLALNFWEESGPIINVILRINTMSIREFRGDPGEVAFLEYFFRTARVVRTVVVAMANPKSTPFSTDEALSKVEKCSKNTACITLVLRNKGPAGGELWKFKDGADFSFHDPFSVAEVSRKQRSE >cds.KYUSt_chr6.9223 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56862426:56862824:-1 gene:KYUSg_chr6.9223 transcript:KYUSt_chr6.9223 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTLPGLDALRHGMITVVRGALKPMMSVVPFCLFLLMDIYWKYETRPTCGDEHACTPSEHLRHQKSIIKSQRNGLLILAALLLYWILFSVTSLVVKLDALQHRVDRLKKRDD >cds.KYUSt_chr4.19640 pep primary_assembly:MPB_Lper_Kyuss_1697:4:123574696:123575432:1 gene:KYUSg_chr4.19640 transcript:KYUSt_chr4.19640 gene_biotype:protein_coding transcript_biotype:protein_coding MMFYPSETPKTTAVSGRQEVVFFAQHVYVFSQEHQRGRRGDCRRGPSGQEAGRREVCSSYPYTFSAVVVGRDEEEFACGVRAGAQANGACTGCDCTSGFIFRTEGGGQVVACGVRAEGDEEELGVDCHRGREGEGERCTDQFVEGRGDGDASAEFLGEFVRAYF >cds.KYUSt_chr7.37578 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234391393:234391797:1 gene:KYUSg_chr7.37578 transcript:KYUSt_chr7.37578 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLAAGVILRRCASGWFLLGYLDFHLEPQLDLAVSASSILWWFDGVVWCCCCSLTVASRSMVRSADPRFDDLAAIPCRGSDRRFGRSLATSGIAASRSPPLMLLPGVVLPRISLPSTAASTPPLPRTCALRQP >cds.KYUSt_scaffold_6468.471 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2240176:2244373:-1 gene:KYUSg_scaffold_6468.471 transcript:KYUSt_scaffold_6468.471 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGGATAIRVPYRHLRDAEMELVSLNTTNSSSPRAPKDHQPRREGEDGGGAGSRTGDTPKYRVVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFIVQPCVGVWSDKCRSKYGRRRPFILAGCMLICAAVTLIGFSADLGYMLGDTTEHCSTYKGLRYRAAIIFILGFWMLDLANNTVQGPARALLADLSGPGQSNSANAIFCSWMAVGNVIGFSAGASGNWHKWFPFLMTRACCEACGNLKAAFLIAVVFLVFCMSVTLYFAEEIPLEPKDVHRLSDSAPLLNGSRDNDGASSEQTNGRVNGHADANNVPASSSPEDFIDVGSNSNKDTVEAFNDGPGAVLVNILTSMRHLPPGMYSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPNGNLSERKAYDDGVREGAFGLLLNSVVLGIGSFLVDPLCRMMGARLVWAISNFIVFVCMMATTILSWISFNLYSSKLHHIIGADKTVRNSALVLFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVAPQIVVSLGAGPWDALFGGGNVPAFALASVFSLAAGVLAVLKLPKLSNNYQSAGFHVG >cds.KYUSt_contig_2619.29 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000416.1:107446:108470:1 gene:KYUSg_contig_2619.29 transcript:KYUSt_contig_2619.29 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSARSLRCLSSDARSATLARCSEARCSYILAHTPCAPAGYIDYLRLFFYCGFGAAPAAVEYTAVLLWLVLLFYLIGETASWSPSTPLLRASPPAVAGATLLSLGNGAPDVFARVISFATAKAGAVVWASTMRSTARSSCPSVVAEVVTLAAGSRGGAVLELRGIRLCSLVVLVRILLTKQV >cds.KYUSt_chr3.30234 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189302246:189302803:1 gene:KYUSg_chr3.30234 transcript:KYUSt_chr3.30234 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDIEGHHLASAAILGHDGTVWAQSADFPSFKPEDMAGIMKDFDEPGTLAPTGMFIGGTKYMVIQGEPGAVIRGKKGAGGVTLKKTGQALVVGIYDEPMTPGQCNLVVERLGDYLVEQGM >cds.KYUSt_chr4.7744 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46167369:46170323:-1 gene:KYUSg_chr4.7744 transcript:KYUSt_chr4.7744 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDMRLRDFPSFIRTMEHDDVFLSMMLRLMECHRTIPSAVIFHTFDELESQVMAAMSAILPPSYVVGPLPLLLKQVTAAGSASDRLGSNLLKENHSCMEWLAGKRPNSVVYVSFGSIAALASEQLLEFAWGLANSGHEFLWVLRVEEVKGGHAAAALPLPPEFWEETRARSHVASWCPQEEVLQHEVKTAIGETMKGDKGKEMKRMATKWKEKATAAALPGVQYLWVRHTGCPRINTNIRSRDNRNRPSIAISGHTSIRIDLGHSQRWGNPGSYRTTTAFAIFPDFVFVCLS >cds.KYUSt_chr2.33827 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209043470:209044405:1 gene:KYUSg_chr2.33827 transcript:KYUSt_chr2.33827 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTAADDNEVAHEFGLVRVYKSGRVVRPFVAPPAAPGLDPATGVDSKDVHLGDYSARLYLPPSAATAAAKLPVIVYVHGGGFVAESVASPNGHRFLNSLAAACPALAVSVEYRLAPEHPLPAAYDDCAAALRWVLSGADPWVAAHGDLGRVFVAGDSAGANACHYLAIQPDAARLKGAVLIHPWFWGSEAVGEETRHPLARAMGGRLWMFACPGSTGVDDPRMNPLAPGAPGLETLACEKVMVCTAEGDHLRWRGRAYAEAVAAARGGDGQGVELMETEGEGHVFHLVKPDCDKAKEMFDRIVAFVTAP >cds.KYUSt_chr4.12372 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75565799:75569075:-1 gene:KYUSg_chr4.12372 transcript:KYUSt_chr4.12372 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSGGRKLSFELLSSDLTADDVDDTSPRSLPETSSDGRRRRRRRSKRKHGFQSPPIVEEEPRVDGSAAAAFRVTDLRSVVEKVCQSSDAEGSAASCVTRVGVELRQRSVAANGRLSAAASGDDAASSCGSSTRESVAAAVAAADVIDAARRPEANGVLKKLEKDESLDWEKFIKENSNILGEVERRDNSPFRYFIGELYSGNSLRSTIAVGNDKKRQRVYNTMFHVPWRCERLIVAGFFVCLDSFLSLLTIMPARIVMTVWRVLKTRQFLRPNAADLSDYGCFIVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSTCSTDNATFELMRFLLDEAIAVVAFDILCC >cds.KYUSt_chr1.7415 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45454814:45455857:1 gene:KYUSg_chr1.7415 transcript:KYUSt_chr1.7415 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALMDGELGNILKVSAAVWAAMWYARMAARRISPGAGRLAALLPAVGLFYGIPFAFSTTTFRGSSGFFLSWLGTFKLFLLAIGRGPLDPSLSLLQFVCSASLPVKLRQSTGGGKSKKQDPASAPARKILVAGAVIPFIIYAYQFKETMSRWQLLLLYTVHIYLSLELLLASVHAVIHGVLGMEMEPQVDRPYLASSLRDFWGRRWNLMVPAILRPSVYGPVRARFGDAAGVLASFLVSGLMHELMFYYIMWQQPSGDVTAFFVLHGVCTGAEAWWGRHAGWWRPPRVLAVPLTLAFVGGTGLWLFFPAMIRGGLDALVLQECQGMVVLMEQAGRWLAAGPVLSSR >cds.KYUSt_chr3.16298 pep primary_assembly:MPB_Lper_Kyuss_1697:3:100047399:100051367:-1 gene:KYUSg_chr3.16298 transcript:KYUSt_chr3.16298 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRLAEANDGDFGSEMAHDVPRIDLKMHNSMIALYITLCSVLFIISKMLISFLCYKKWARKKRIIETSLTGGKLVIFRSAAMQSLSPKSFMRMIMGLSSKDVIGSGGYGTVYLLRLDEKSAFAIKKLSRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMPNGSLDGILHGKKEEKQAALLDWPARYKIALGVARGLAYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPNESHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFIENGTRLVTWVKETMEEKREEHAVDSALPSFPAEEVKFVFAVAEKCLESDPRDRPTMAQVAKMLEQAKLA >cds.KYUSt_chr3.46122 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290375357:290376762:-1 gene:KYUSg_chr3.46122 transcript:KYUSt_chr3.46122 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEGDWSSCERRLHVSARSADRSLIPEWASLPPELVQGIAYCVLSTAGGVDTYTDMRAVCPSWRSAIAKPSPHAAFADHRFRPRHWVMLDLKSESRDDDHHDRLFLHVPTGRFRRLRLPVLRDHLVLTASDGLIVLRDRERPRLARVLNPLTGDMLHFAAPLWEGLGSVATLHAAVSGGGARPALVVWRKWDRRVHTVLYGDPTSTEFAEGYIGKDLLTTMVTFQGSIYLAGQQGSVWKLVPAEHRDPELLVAAQMSPDADIYLQENNIANSYLVESAGELLLVRHRDQALKVFRVDVEHKMLEEVKSISCRALFLGAERSVKSIGC >cds.KYUSt_chr2.1916 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11500096:11501178:-1 gene:KYUSg_chr2.1916 transcript:KYUSt_chr2.1916 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPNHTQSVSGWAAMDASGEVVPFSFKRRETGVDDVTIKVQYCGMCHTDLHFIKNAWGITMYPVVPGHEITGVVARVGTNVSGFRPGDRVGVGCIAASCLDCDHCRRSEENYCDKVTLTYNGIFWDGTVTYGGYSNMIVAHKRFLVKIPDSLPLDAAAPLLCAGITVYSPMKQHGMLLGEAGRSLGVVGLGGLGHVAVKFGKAFGLRVTVISTSPGKEREARESLKADNFVLSTDNKQMQAMARSLDYVIDTVSAQHSLGPILELLKVNGKLVLVAAPDKPVELPSFPLIFGKRTVSGSMTGGMKETQEMMDLCGEHNITCDIELVSTDRINEALARLERNDVRYRFVVDIAGNGSRL >cds.KYUSt_chr3.7932 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45509952:45512430:-1 gene:KYUSg_chr3.7932 transcript:KYUSt_chr3.7932 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRLSAKRRRCRATEAAAAEADALISLPPDVLDDILTRVGIRDAVRTSALSRAWRRRWEALSSLDLNFPSLGYDKVPLGAVDCILLRFPGSVRRFCANLDNAYAGRIHDWLRVLCRRGIEILDLRFGYGFALPSSVFSCSRLTSLTLTSCVIPLLPQGFVAFPELRRLTLVYVQLQEYGGYQLEEIIDTSPLLEYLSLISVLIRSDYVRKWVIRAPNLRHLVACSDYDDDGWILKKLTSLRCAEIAFTVFLVHRNFAKFLSGLVQVTELSVVTGYIPIYDEEELEFEANGEFLNALWADGMCANLQVVQMSGMKLFLCNVKVIRLLRVQES >cds.KYUSt_chr1.30744 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186156991:186164961:1 gene:KYUSg_chr1.30744 transcript:KYUSt_chr1.30744 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTATATAVAAFPPHTSICSLISFLYHHLRALLADPTQLLATRRRCFALLASARRRGSSHSHTASADDEDDDNAVLAALHGAIDSFPSADAEGASSLLDDVEAALQAPALLPEDGRTAGRDNRLVAACAYFYLALVRAAQGDAWQTATHFLQAVLVSPAAVSAGELAPRVLWDGLFDEAVLARAGGASEEDAARRAARRYKDWLMYYRVVAAVPDGAGAAASADSAGCLQLGKSASSVIPRWRNERTIQPVGYEEKSMATVSNFCSYGGFAELKDFLSCADQEFQEDTKESSDSRCLHEILEEAQSDSPVSFYSHLDSSEGSDDEAAPHENGRSAKIMPIDADFLSSKLHERSCHKKSLTWCTSPENAMIYAPESPLYLVDDSQMQPNYLQTSSSHGSLNNLSKSVFDLHNADSYAVSKYFNKDDIDMPPQCTPRNDIRCFSNFSTKFIKRSALSDLVSRGSMSRKFRAFSNSDDWSDITSRCGNSSQVDFLERFEKAVSKLLVADGLESCLDAGSEVTTIWQLLNHNSEVRHKSSVGQDILDQLFDSISTGKKEKVIRASVYVLVLMISEDRNVMRGIKRKDFHLTNLATALKQDVHEAAILIYLLDPSPSEIKNLELLPSLLHVACNSTTQKWPTLLPLTPTSASIALIEILVTAFDYVTNNVHLATISSPPILSKLVDVAKNNNLEEGVALAAILVRCVRLGGNCKKFLSQATPVEPFLHLLRRKEQRAKCAALEYFHEILQIPRSSANSLLQKIRQLGGIAIMHTLMACLHQTEPEHRVLAANLLLQLDMLGEPDGRSVFKDEAVEVLLESLSSQEDLTVQALAASFLSNLGGTYSWSGESYTAAWLSKKAGLTSISHRNMIRNIDWLDTCLQDTEISPWSNRFARTIVRIGVPAITTLAKGLQSTVKGISRDCLVCAAWLGSELASFGENDVRYFACEILLHDIVRHLHPGCELDEKLLACMCVYNYTSGKGKQKLMSLPESSRESLRRLSSFTWMAEELLQVTDYYLPRRPSVSCVHTQILEIGQPGNGAATAITFFRGQLFVGYFDGTIRAWDIKGQRAVISREVKEHKKAVTCFALSESGEKLLSGSSDKSIRVWKMAQRKLECVEVIRIKDAVQKFGIYGDKIIVLTQKKVLKFSCSARSTQTFYKSKHVKSLALFQGKAYLGCGDLSIQELDVSVESKIEIRAPTRSWRISKQSISSIVVYKDWMYCAGSQVEGSAMKDWKKRCKPAMTMPISKGTNVDAMAVVEDFIYLTCNKSPSIIQIWLREKQQKVGRLSAGSKITSIFAANDIIFCGTETGLIKGEVQGTVESKIMAISIPAIA >cds.KYUSt_chr1.25526 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153197543:153202042:-1 gene:KYUSg_chr1.25526 transcript:KYUSt_chr1.25526 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTEAYKQTGPCCFSPDARFLAVAVDYRLVVRDVVSLKVVQLFSCVDKINFVEWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGSAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHAARGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMGVFAVDTLDLAGVEWSPDDSAIVAWDSLLEYKVLIYSPDGRCLFKYSAYESGLGVKSVGWSPCGQFLAVGSYDQAVRTLNHLTWRTFAEFTHAASIRSPCNAAIFKEVDAPWELDMSELCLSEGFSRNMRDNGTENGTEGGSRVKYAVMDVPITLPSQKPTSDKPNPKQGIGMLSWSSDSHYFFTRNDNMPTALWIWDICRLELAAVLVQKDPIRAAAWDPTCPRLVLCTESSHLYMWTPSGACCVNIPLPNFRIVDLKWNSAGSCLLLKDRDSFCCAAIVSALPEGEPDDQSDDTSEDE >cds.KYUSt_chr1.33920 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206173921:206177212:-1 gene:KYUSg_chr1.33920 transcript:KYUSt_chr1.33920 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRSCVSVKPAAGPARYRSARLGAASLEPRSLRISASCSSSLPSAADGCSSSRGVGCAASSSGRGDGAVAGLVGHGGSARRRMGGRDVAAMCSASLEGVRHAAVPTVPALPERVKAVALMAAVMLLCNADRVVMSVAVVPLAAQHGWSSSFVGIVQSSFLWGYVFSSMVGGALADKYGGKKVMAGAAALWSLATFLTPWAASQSAAVLLAVRVLFGIAEGVAFPTMSTFLPKWFPTHERATAVGISMGGFHLGNVVSFLATPVIMSHIGLAGTFAFFASLGYLWIAVWLLNVESDPIDSRTISKSELQLILAGRSKSKVKGDKFPSLREVFSKMGMWAIIVANVINNWGYFVLLSWMPVYFKTVYDVNLKQAAWFSAIPWAVMALSGYVAGASADYLIKSGVSTVRVRKIMQSIGFIGPGVSLLCLRFAQTPSVAAVIMTAALGLSSCSQAGYFCNVQDIAPQYAGSLHGMTNGIGVVAAIVSTVGAGYFVQWLGSFQAFLTLTAVLYFSATIFYNTYATGDLIFD >cds.KYUSt_chr3.10036 pep primary_assembly:MPB_Lper_Kyuss_1697:3:59194807:59199836:1 gene:KYUSg_chr3.10036 transcript:KYUSt_chr3.10036 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKRARPSAPPKSPGPPPQPLQDAPAEDPRALLRRRWELASVLHFLQVFEPVIEADLGLSADEIETALIENNRDLARIHIALLKGIPPVSKNLNVDDGWVAEGTNPFKQSPGKEVETFKELDPVSRLFILKALCEVRSEQNDAVWYINDEMKKGVNISNFRKEKLGSASDGSVYWYVGDSTVGHRLFKEDVTVDYKQNWKGKNGRLTKPVLNIHWETAATNLDEFLDISEKLCSKKGRSESAIGQHLKENTIPAVEKFEKKKERELKRRQQKDERALANIFQTRALRERKPVSYNYSDYDRSIKEAIKATAKGKESDPPKEAGKKRRHVPDQGDNGANVGSDISPENNEVREQVDAKDLDDPSSDDGEVSDYNDKDDGSSSSDEDTDASDSHESNSDEEEVVVTRKRTRLAARKVDSKPRQQGLRRSRRNMKSDDEEMVQPGQVTPEAMTKKTTRQRPTPISKQFAMSGSEDEDDVVADSGSESEDDVVADSGSGSEEDDVVADSGSGSGSGSEDDAVAESGSGSEDDVVAEPDADSGEESGSP >cds.KYUSt_chr1.18162 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106031482:106033719:-1 gene:KYUSg_chr1.18162 transcript:KYUSt_chr1.18162 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSEAAATAWCRLRQPLPEILTEIFARLPAKSVARFRCLSYEWFATLSSDYFVDLHVRRSNRPGSPRLLLAPVGSLYDGHIYSWKPGGPVERLMTDDFASNGLLVPNTKPCHGLVLMRCTDHGGYFVCNPCTGEVLALPDSKLPLKMTLRIATRGQPEAPYFFEVAYGLGYCSITRQHKVVRLFRNIEVSSCEVFELDTPAYWRPTVEQPPLCHVKEQKMAVFFNGYLHFLCTDASITTFNTCSETFGSLPPPTGFVNASPVLTELDGYLCFCYGEPDTDDPYHVFLLRDYMKGRWEKLCCIDRMAWPESERMLLRSLCIAPLAMYHSDDGQRKIMFGTGSCKVFGVDFDSNTPEILFTPDGTIIGSCEDDSNLPLCLFEEYLGPVGRTIDEMVLSSPITKAWSDILKWLPGRSVSELSLVCREWRAMIMADCFIHSHVIHANLNKSPRIMVVLDPRFGHYMDLKDFVNAGVPHLLGNLVCNPQPCHGLNVGSCSSWDYVCNPVMGYCEYIEPDVDDGTLFAGRMGLGFDSAINKHVLVHITYKEKNLETREYELQCKFRYVDCKEWILVDPPPRPIADVPPVYASGKIYWMVDPELGQFSLSCEIVAFNVETDGFEVLQGPPCSHEKGRMSILQIGGALCVACSNKSMNVIDIWRMKDIGTWLIEHHIELSGFSPEYSSENTTPLVVNPKDGRIFLNTGLSLGYYDPKMVALETIYSVGILEHAGKICPIICDESLVRPLGRT >cds.KYUSt_chr4.53328 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330205766:330214403:-1 gene:KYUSg_chr4.53328 transcript:KYUSt_chr4.53328 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGTNVGGMAPGSHDRCRWPPGRPSSLSIAAVSPAFTLHLYSSPRLRIIHLLVVFFILPFPFANSRGSRVELSPLPGARMDGKEEQPPSVESPKGVEANGLSAMDAISRETVDLEHIPVEEVFDHLKCTKEGLTSEGAQQRVNIFGYNKLEEKRESKILKFLGFMWNPLSWVMEAAAIMAIALAHGGTNLRGKKMGVDYHDFIGIMVLLVVNSTISFIEENNAGNAAAALMARLAPKAKALRDGTWNELDASFLVPGDIISIKLGDIIPADARLLQGDPLKIDQSALTGESLPVTKHPGGGVYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAIGMTIELIVMWALQSRGYRKIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDNNIIEVFTRGYEKNDVVLMAARASRLENQDAIDFAIVAMLPDPKEARAGIEEVHFLPFNPTDKRTALTYLDAEGKMHRVSKGAPEQILNLAWNKSEIERKVHQVIDNFAERGLRSLAVAYQEVPEGTKESAGGPWQFIGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDKIDGDIAVLQVDELIEQADGFAGVFPEHKYEIVKRLQSRKHICGMTGDGVNDAPALKVADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLACFWEFDFPPMMVLLIAILNDGTIMTISKDKVKPSPSPDSWKLAEIFATGVVLGTYMAVTTVLFFWAAYKTEFFVRIFKVSTLNIDKIDKDSETIARNTEMMASAVYLQVSTISQALIFVTRSRGWSFMERPGALLMCAFVVAQLLATVLAAMVNWKLASIRGIGWGWTGAIWVYNIIIYLLLDPIKFAVRYGLSGRAWNLVIDRKVAFSSQKNFGRETREAAWAHEQRTLHGLESAGTGREKAASVELGHMAEETKRRAEIARLRALHTLKGKVETAAKLKGIDLDDINNQHYTV >cds.KYUSt_chr5.36550 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231005029:231014570:-1 gene:KYUSg_chr5.36550 transcript:KYUSt_chr5.36550 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGNASSNRGIYVAAPAVSTNKPLVGSRFSPTVPTLVWVRKELVQNRSFAPADCFPARSGFLPKSKVIKFSDLWGAAEGKRSFVEVVKMAGGGRGAGRFGGGTGRGSGGGRAPPAAAATSSAATSLGAANEPAGVKSEFPLQMMQQLGAGQGMIPMLQPNMWNMPMSQWSQFFGSQQIPQAGFNPVMMVPQGIPPSLPQSNSQGSSASMVPSQKPQGSGAGKIKKKNQKNVVSDGSKQSGDRGGANLRVSVLVVLGLSWIPSSRIKTGHYMDNCLMWYSLLPTAQYWGSANPGLGFFHVEVEGPEAVQWLNMDNIGVVVIKEGEISADELEKCFNDMWKENWFWQIRQIGPKKFLVRFPPSKRIKELVEYPSINLKKDGVVIYFVNWEGHIQKLLQGENEGEAIDVHEDDDEDPGQGNEGDVVDDDNEIGDDFKSLDKGKNSGTNSKMETEPSIPLGGRSEVQSAVHQKVEASVQDKVFGKEPSVQVSNALVLRGAEENIGKNLLQHFDDESDEEADDGNQENDSLVPKNPVLVMPPMAWKEKKNWGHVQDTRMSSRITRDGKSAIEKAQDLKKAKNLEIPKGNKIHGFSNSFAALDNPTLYDNAKISGIILGHKSLNVDSVIDEIKKPETKRLVDFHNSNPESFLPSDISLSLEELRVGLDDKDKVVSDQEDYISDVPDEDEPWTLVHNRKKANQRRRKKQICQLQGDEGIVEDNKDDFWDPEDMVSQNHNEMLDAPFSEKEYLGVPLHHCKLKKEDIQPVVDKIIKRAAGWRGKLLNHAAKLELMAHCLWDNYEGHHKYHLANWGLVTRKKEYGGFVETLGMQLCSVEDFAEGRGKRRADVYAEQGGGSGQDGTTDIVAGSWVIPQKKARTILEVCDMNSSRGFGPMLMTAEDLARTLEANDLVLVGDGVCFQVMDQLSEAPFPSLPPSSQTEQPEMESLPPRPRVCVTGGGGFIGSWLVKLLLSRGYAVHATVRDPGDPKNAFLMQLDGAPANLRLIKADMLDYDTVAAAFAGCQGVFHVATPKEMMEPTVKGTMNVLKASSAMKVHKLIMVSSLAACCFNPDWPQDKIKDESCWSDKEFCKESEDWYSLAKTEAEEMALKFGEKNGLHVTTLLPSLVCGPLLQHVAVNTTSKVLLYIIKGGPDMINNKFYPMVDVRDVAEAMLLLYNKAGTSERYLCSLDQMDLKDLLGIMKTMFPNYSYANKMVDVDFNVTFTSDKLKNLGWKPRKLEEMLTESVKSYDKAGLLRVSDGEPCRLPVFFRAPPV >cds.KYUSt_chr3.35842 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225275875:225277411:-1 gene:KYUSg_chr3.35842 transcript:KYUSt_chr3.35842 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAGTDLSGDRISNLADSILVTILSRLCMDEAARCSILSSRWRHLFPSTLLNFRAFTRSGRNVVKAVTSILAAYPDEPVLSLRTGMLFFRPQDKAAVDVWLQDLSNRGIEELSLWFGFDEKLRPIPESLFACSSLRRLHVINGTFPDTTEAAAASLASLTKIELSDVRISQKSINSLLSQCTVLEHLTIKFTGKLRKLRLRSRSLKVLNSTGDFETLAIDDAPNLERVVDKLMNQREVDIEVVHAPKLEFLGCLGMSNEIDVGDTIFAGKVMRVETLMPSIKTLAVEMSYMNKGYTMWLMQLLKLFPHLETLYIKRDNSYVVEHTANGSWEMRRPIPCMMNHLEKVVFEVFRGHKWEMEMAKFLHERCDFLKTMEFHCMDDASRKNYGGPPSEEWVRKRKELLCLDNRAAGDTRLQFFNRQLAENHHEHCDDEQYQRDYYRDMYDV >cds.KYUSt_chr2.50286 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314407586:314410357:1 gene:KYUSg_chr2.50286 transcript:KYUSt_chr2.50286 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGTWIEPEIEKHEAAEGDMESDPALAAARERELEPVPRWQDELTVRGMVAALLIGFIYTVIVLKIVLSTGMLPTLNVSAALLSFLALRGWTRLLDRFGIVSRPFTRQENTIVQTCGVACYTIAFGGGFGSTLLGLTKNTYELSGDSVGNGPGSYKEPGIGWMTAFLFSCSFGGLLTLIPLRKVLVVDYKLTYPTGTATAVLINGFHTGEGDKNSKKQIRGFLKYFGGSFVWSFFQWFYTGGDACGFVQFPTFGLKAWKQTFFFDFSLTYVGAGMICPHIVNVSTLLGAILSYGILWPLISKNKGDWYPANVPESSMKSLYGYKAFICIALIIGDGLYHFTKIISITFKGMYRQFSGKRADNRVRNVDNTVSLEELQREEIFKKGNLPAWMAYTGYALISIIAVVTTPIIFQQVKWYYVVIAYAVAPMLGFANSYGTGLTDINMGYNYGKISLFIFAGWAGRDNGVVAGLVTGTLVKQLVLISADLMQDFKTGYLTQTSPKSMMVAQAIGTVMGCIVSPLTFMLFYTAFDIGNPTGYWKAPYALIYRNMAILGVEGFSVLPKYCLALSGGFFAFAALLSMGRDVMPHKYRKYVPLPMAMAVPFLVGGSFAIDMCVGSLVVFVWNKINKKEAGYMVPAVASGLICGDGIWTFPSSLLALAKIKPPICMKFTPAP >cds.KYUSt_chr4.23507 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147998551:147998878:-1 gene:KYUSg_chr4.23507 transcript:KYUSt_chr4.23507 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSPTNPLLLSLFNRIFLSIPISTAAIDPNTTTSQERVQRTVARRVAPTHATAGLLLEPAWTECCGKLLREIDAQGMEGEG >cds.KYUSt_chr1.5931 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36476238:36477560:1 gene:KYUSg_chr1.5931 transcript:KYUSt_chr1.5931 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSVARIMSLGHLDLRIMHKLLALSPGSHMKFRKDPPLMETETETVVQNSPELPLDVLIDIFALLEIPDFIRAGSVCSAWHSAYTILHSQLEQYRRGQTPCLLYTSESVGDNVACLYSLAEKRVYNITLPDPPIRSRHLIGSSHGWLVTADDKSELHILNPITGQQIALPPIITIEHVKPILDDAGAISKYKWCDDVTIYALDEFRDGLYFKAFVFPDPSTGSYIVVLILISECQLLFARVGDCKWTLLPPGSDCYQQCIHIDGLLYAFTRTGGIDAFDLTGHTITRSIIVDEMENYISDKDGWIYAVQTPCGDLLQVCRQTEVTKDILIETEKILVYKADMTAKKLVKMNGLRDHVLFLGRSQSQCLSAEEYPQLKTNCVYFTDDETYVSKYKNDRRDIGILNLENDDREEIASKLWCNWPNPIWITPNITRMNMGL >cds.KYUSt_chr2.32051 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197657233:197658477:-1 gene:KYUSg_chr2.32051 transcript:KYUSt_chr2.32051 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFPRIRGHEMAATILTKFNMRYKKEHIRVQLVRSHGLVVVRGERAVAGNRWSRFRLEFRVPDGCDVKGIHAKFEGGVVRVTMPGIKAGPAAAVGGKLPEPAASIRDARGGDKKEDENAQKQPEEERVVKDGGRFDHGAGAVGGMEALAAPASGRGYSYLLERRKLLTTVVGAVLVLFSLGIYVRYSFGA >cds.KYUSt_contig_815.34 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:202687:204901:-1 gene:KYUSg_contig_815.34 transcript:KYUSt_contig_815.34 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGQDGDDVDHYEVLRLPSGAEGAALTVEQIEKAYRTQSRLRHPDKRPDDPNATADFQTLASSYKLLRDEPLRRQFDARLRGRREAAARAAATGVRRRRAVSDLEERERAFAAGGGPYVDPDELARREDKRKAADIDRELSELKARKSSRISGSASTSARGDKKGATRENGVKTDKGNYLKVSWEGGADYYTAAKLEEIFKQFGKVEDIVIKTKKSRNRGSAIVVMATKEAAKTALKNHSVYNVFPVPVMVTPIEESGGSPAFATPPSEPPSTINIDGTGFVDMEELVFRKLQEVQKRKQCG >cds.KYUSt_chr4.30710 pep primary_assembly:MPB_Lper_Kyuss_1697:4:192517917:192521491:-1 gene:KYUSg_chr4.30710 transcript:KYUSt_chr4.30710 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMGCISTATRRRTRSTASIWFLAAPSLIPLSFVRTVVRSAAALVGPGMAWPGAAVPSARAAVAATATPAVCSLQMLPPELLKWFPGSKSTTNRIPTFFRVERIFRPVLVRLASPDQNLSQVSSKLVCVCFAILWGSASRLKHLNPI >cds.KYUSt_chr6.19577 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123216325:123218998:-1 gene:KYUSg_chr6.19577 transcript:KYUSt_chr6.19577 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRSSDAPAAAAVEKPDDSAPERPARTLFGFKDKPDSAAVEPASRDGAPFRNKEKVLVTCSRRIIYRYRHLMQNVVSLLPHAKKDSKVESKQSKGGQLNELVELRSCSSCLFFECRKQKDLYLWMVKSPAGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSSNFDQQPHWKLLKEMITQIFATPKDHRKAKPFHDHVFVFSIVDDHIWFRNYQISVPHNEIDKVDKGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKRKKAGKYAHKVKAKVRRREHEKVHHLEPDEFADIWKGED >cds.KYUSt_chr3.16457 pep primary_assembly:MPB_Lper_Kyuss_1697:3:100949076:100950489:1 gene:KYUSg_chr3.16457 transcript:KYUSt_chr3.16457 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRRQHGRHMGMCGVHERGATQKDGVVAASCLGKFKEDVETLEVDARFGNSGCFIGLKRNQLFWKGSHGVDGRGEHKYASALHRRTHRVTSALAQPALEWTLIALLLINGLLSHAVARFAAYFGLSPPCLLCTRVDRLYGAAHEDDDEAAGDARWLRGVLCGAHAAEISGMGTASATAGLSPRPPTCARGACLLGTRKRVETVQGRPRCAPAAKLLWREFLRALHIQGKILLLYTRRQPERRK >cds.KYUSt_chr2.42607 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265408347:265410395:1 gene:KYUSg_chr2.42607 transcript:KYUSt_chr2.42607 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNLFGRALSYVVNQFLVEGLANNRAFQRFAVRTNRTFENLSSKAKEVKQDVSEKWRDVRGQDDHFRQ >cds.KYUSt_chr5.20348 pep primary_assembly:MPB_Lper_Kyuss_1697:5:132228798:132231845:1 gene:KYUSg_chr5.20348 transcript:KYUSt_chr5.20348 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVMAPERQLVVAVEGTAALGPYWPAIAAEYVEKIVRSFCSADQKLAAVPPELALVVFHTHGPYSAFVVQRSGWTKDMDAFLSWLSGISFSGGGFSEAAICEGLSEALMILQGSSSNSQNNQNHEIQKHCILVAASNPYPLSTPVYRPYVQSSDQKKNNEASKESCLADAEAVAASFAQCSVSLSVVSPKQLPTLKALYNAGKRNPQASDPSVDHAKNPHFLVLLSESFMEARTALSHSLPGNLVPNHTITKMDITPAATVPGPTLNANSSG >cds.KYUSt_chr1.28623 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173017626:173018804:-1 gene:KYUSg_chr1.28623 transcript:KYUSt_chr1.28623 gene_biotype:protein_coding transcript_biotype:protein_coding MLASICRRRLLGTHQILGSGGGGGSDPLRIILSTIPFAHTYSSSAVADVPNSEPCPATVSYLISCGLSPAGAAVTATTKKIRILSTDNADAVRSLLRDHGFDDDDIVRTVRSAPTILLADPERVILPKIQFFASLGFEPRKLATAPLLLTRSLDEHLVPSIQFLRGVIGSEDDLRLGFSRAPRALLADVEENMRPVVEALRRCGFTDAAISELLVTQMGVLLTSPDRISEVFEQLKAIGMCISDPRFMHCFREMCRLKKDAWLRRLALYQSFGLSEGEVLEIFKAQPMILQFGNKNMEKKVRFLLDELKLGTSFIIAHPEILCSGLNECILPRCAVLFVLMREGKIQGGIELVEALLVDSSVFSERYVLSHADDVPDVVKAYEGQIRFEGFR >cds.KYUSt_chr6.19882 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125394457:125395116:-1 gene:KYUSg_chr6.19882 transcript:KYUSt_chr6.19882 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIFGCCRVPSSTAATSPLFAGASAAARPAPTYGGTAEPEATISEPSRRFGWQNQQQQEPTYEYWQHAGFGMEQQFPMPNLGWRPRMDEPEGEGHMSTGSGSRSFWSSAHDQDETQQSYQDWISSQQQTPPPDPTQYSQHEQGYSLPPDIASHLFVCTRRHLFRLDRHRGEVEGGAVVSEMSTICMHHV >cds.KYUSt_chr7.3054 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18112344:18113354:1 gene:KYUSg_chr7.3054 transcript:KYUSt_chr7.3054 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDLDFILDRSPVLETLCVGENMFKIPLRLVSQSLRCVQIVGCSFEEISVVHAPCLERFIYSGGWGFGAASNKMSIGHAPKLQLLGVIKVGAKASPSIMVPSVRILALEVCFGVRNDVKMIPTMLRCFPSIETLHLMSAEADQPSGKVNLKFWNESGTIECIRSRIKQLVFHYFKWGRSELAFLKFFLGSALVLKEAVLVIAHTSFTSEEDLHSKVAPLGSMKRASAGSSITVFIHPKPEGDNMRRYKRSSEFSVGDPFAV >cds.KYUSt_chr3.41292 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260534262:260535533:1 gene:KYUSg_chr3.41292 transcript:KYUSt_chr3.41292 gene_biotype:protein_coding transcript_biotype:protein_coding MHENQPNVRCPLQYPDLNTSQQRHETSLIGHGRVKLACIRMPFQLARSSLDGTATYTHRGARSIAHQAAAPPLHCTVSPSAFVHPSATSNKTEMEYQNKEVDALVQKITGLHAAISKLPSLSPCPDVDALFTDLVTACVPASPVDVTKLGPEAQQMREGLIRLCSEAEGKLEAHYSDMLAAFDNPLDHLGMFPYYSNYINLSKLEYELLVRYVPGGIAPARVAFIGSGPLPFSSFVLAAHHLPDTVFDNYDLCGAANERASKLFRADTDVGARMSFHTADVADLAGELGAYDVVFLAALVGMAAEEKAKVIAHLGAHMADGAALVVRSAHGARGFLYPIVDPEDIGRGGFEVLAVCHPDDDVVNSVIIARKSNDDVHADGLQNGHGQHARRTVPVVSPPCRFGEMVADVTHKREDFAKAEVAF >cds.KYUSt_chr4.43881 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272009368:272010072:-1 gene:KYUSg_chr4.43881 transcript:KYUSt_chr4.43881 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSAQEPCEDQLSDISSQEASNSSSCPPATLELSLTVTAAATTTESSTTDSNAGRGAQAAAAAREPARVFTCNYCQRKFFSSQALGGHQNAHRRERTMARRAVHRLDAYPYGYADVASLPLYGSHGLYPIGIQAHASAHHQGVAVPAPADRQQQLQQQDQARARALLGPMPFLVGADEEASFGWPGSFRPPPSAGGVLPAGAALHHSGSFFGSSSGSVVVQADEEPDLTLRL >cds.KYUSt_chr3.12288 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73371211:73372766:-1 gene:KYUSg_chr3.12288 transcript:KYUSt_chr3.12288 gene_biotype:protein_coding transcript_biotype:protein_coding MRSELSPFAKVFPYGPSPTRLRATHSNPFQTSTPQQTKAELNSPPAAAAAAAAAAATSTTLQLVSFLGRIEDQPATMAEAVAAEAEPLLPGLPDAVVVWEILVRLPPKYLLRCRAVRRAWRAVTSARDFLLAHHGCQPSLPIFSGDDERILGVRYHNILAFDHRAATADDQLHSVARLDEAFHPVAACDGLLVLSKLSMLGSGSCLSICNPATRQHALLGLPSDFRVMGMYLHRPTAEYRLLLLRKRCQEADVVHKDQVGCYVFSLGSGHPPRYIGGPEMVSGNFSAPVRVRDRLHWYPVYFLTRRNKLSLYESQLLVFDTIAESFLQMHELMVNGCSYIFDMDGTLGIYTRNLSTKAVEIRVLQSYETEVWDFKYQIKLPVAKIRRVFQDCGDYGNWDLDVLSVDGGVLLLVKLPQVLLHVDSNGKMVNSIYKGQRCLSMTGRHLKQSLVQHTFFPALEGYAVNASPFIGPVVE >cds.KYUSt_chr3.46970 pep primary_assembly:MPB_Lper_Kyuss_1697:3:295034590:295035106:-1 gene:KYUSg_chr3.46970 transcript:KYUSt_chr3.46970 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLDEAEVASDEVQAQLQLPGSKKILADKDREIDELKAKFDSGESEGVLRSENEELFKELEWINTKHKDELKAMETSSELAMNKQLQEITSLKTALEKAQKLAEEHAADAEARRE >cds.KYUSt_chr5.28050 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177479424:177483038:1 gene:KYUSg_chr5.28050 transcript:KYUSt_chr5.28050 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFIPAYFKKTQASKLGDAQGIPFFIDKLSGLHERDIFDLFLPEFDKPWVIHLRENLLLFYKPLLLEAQHCLQERRGNVDIRALLPEIAAAETCHRLESAPEVSCFRDLEHHLCKIVAVARPLLSLVTEAS >cds.KYUSt_chr7.37774 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235514457:235516882:-1 gene:KYUSg_chr7.37774 transcript:KYUSt_chr7.37774 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISKKKSKRGKSKHAKVAAQRNGANLPQQKPNSGPSSSSDPEDNAALEDWAATRIQNAFRRYKARKTLRCLKGLKRLRIVGHSNPVNKQTTATLSYIQSWNKLQAELTHRRAFMVTEGRNRKKKEENQVKLDAKLQNLQVEWNGGSKNMGEMLAMIHLREEAAVKRERAMAYAFNHQWRARSATSLGNFNYGVGNGGWGWSWMDRWIAARPWEPRSMVHPENPKKGQSKKDSISTNKSALKLQGAISLSNNTNDRKVPKKKSSPSPDKKKPIAKMEQKSKVAGPPSANAKDMRKKQVKQQQPPVPAITA >cds.KYUSt_chr7.10258 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62802346:62804844:-1 gene:KYUSg_chr7.10258 transcript:KYUSt_chr7.10258 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSDPECVNSSNPFHECSDYCHRKIAEAKRRLLEEQESWKKPAAQRTVHPDCVNASNPYHDCSEFCFKNIANAKAALERGEQEPAAGGSGKSEVAPEQADGDDDSEQQQEDAAANDGYPQMTEKQKKLFQLQLKMNEARKANQQAMVAEKKRMEPRGESKGVSKEKWLEERKKKIGRLLDSNGLDMSKSYMLDTQDMAEAKYKKWEKEPAPDGWDVFNQKTLYTAYKKRTKNIEVNMDAYNRAKETDPEFYREASSLQYGKVSKVAEPNIDRMVNELKERDEKRKSFSRRRKFNEDKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >cds.KYUSt_chr5.43447 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273739126:273741516:-1 gene:KYUSg_chr5.43447 transcript:KYUSt_chr5.43447 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASLPAAAPPLEDDDLLSEILLRLPPDPSSLPRARAVSRRWRSLAADPRFCARFRAHHRRNPPLLGCFAVEHFHSISHQPILREARFCPVLEAPNRVPEGRITFPIACDYLMILGCRHGLVLTFHRWREQPIVWDPLTGDQHRLDTPPGFDRKYPISGAVLRAAAGDDFQVVLIGRCVTQQARAIASVYSSKTGAWGKLVSTPLLVDDRYGDSVLSPGFFMPAVMVGNSLYWFFVGGRNPAVIVEFDLDSWSLALIPTPVEKIYSAWRPTHIWVIPAQGGGLGFLVLSEFSAQFWKRKKDCDGAASWVLGRTIALDKLLPINLGKEIEHLWIIGLAEDNNTVLLKSSVGVFTLHLESLESKKIFESNYQSPCSFPFEGVYTAGAGHLSLLPAAAAVGWALSLPWGSARSLLVRRLWPSRLLLLQSLFHLITVVTITSLSCRLQH >cds.KYUSt_chr6.11678 pep primary_assembly:MPB_Lper_Kyuss_1697:6:72654204:72658149:-1 gene:KYUSg_chr6.11678 transcript:KYUSt_chr6.11678 gene_biotype:protein_coding transcript_biotype:protein_coding MANSAALLHSLLPSTASTPRLRHRASPAPPAGLFCRRRPTFPAGPMATAAVADASAPPGLNEGIAGLYDESSGLWESIWGEHMHHGFYDAGQAADMSDHRRAQIRMVEEALAFAAVPDDPANKPKMIVDVGCGIGGSSRYLANKYGAQCRGITLSPVQAERGNALAAAQGLSDKASFQVADALDQPFPDGQFDLVWSMESGEHMPNKKKFVGELARVAAPGAPIIIVTWCHRNLALSEDSLKPDELNLLKKICDAYYLPDWCSPNDYVKIAESLSLEDIKTADWSENVAPFWPAVIQSALTWKGFTSLLRSGWKTIKGALVMPLMIQGYKKGLIKFTIITCRKPQTAIEGEETPIASPA >cds.KYUSt_chr7.17097 pep primary_assembly:MPB_Lper_Kyuss_1697:7:105963823:105965379:-1 gene:KYUSg_chr7.17097 transcript:KYUSt_chr7.17097 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRTLVLAVLISFLACYAPVSSLASSDGFLKCLSAAIPKQLLYTQSSPSFTSVLVSSIRNPRLFTPTTVRPLVIVTPTNASHVQAAVVCGRQNDVRIRVRSGGHDYEGLSFRSVRPEVFAVVDLVNLRSVSVDQKTATAWVDSGATLGELYYAISKASKQLGFPAGLCPTVGVGGHFSGGGFGMLLRKYGVAIDNVLDATLVDAKGRLLDKQAMGADVFWAIRGGGGESFGIVLSWKVKLVPVPPTVTMFSVPKSVNEGAVDILTKWQEVAPALPDDLFIRVVIQKQVADFQSMYLGTCDTLLPLMSSRFPELGFNRSHCKEMTWIQSVPYIYLGSTATVEDILNRTTSLDTFNKAKSDYVLQAIPKDVWVQIFAWLAKPNAGLMITDPYGGKISSIPESATAFPHRGGVLFNIQYMNFWSAATDGSAQIKWLKDFYAFMGTYVSKNPRQAYVNYRDLDLGENVVVGNITSYQAGKVWGEKYYKGNFQRLAMAKGEVDPSDYFRNEQSIPPLGASK >cds.KYUSt_chr4.48592 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300956111:300960028:1 gene:KYUSg_chr4.48592 transcript:KYUSt_chr4.48592 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPLTSISPENGDLVALSGRCSTKRNLRLLHGALLRRRHLLPAADAVAALAKLLRFAAVSPAGDLRQAAAILSTHLPFISSASSHPTFFYNTLMRGLAASSSPSDAIELFTVMRRSGAAPDAFTFTFILKSCSRCPSRRRLPSDLHAQAIKHGCLGKLSAHTHVHNALLHAYACRVSVDDACRVFEEIPVRDVVSFSGLLTAHLKANDLDAARVVFDQMPCQDVVSWTAMISAYAKACMPREALALFDAMPMQPDEVTMVSIVSACTTLGDLATAERVRRLIDSHGFGWMVSLRNALMDMYAKCGCLPEARALFDGMMVKSLASWNTLISAYASHGDLDNTIDVFHQMLAEGNTVRPDGATLLAVLMAYAYKGCVEEGRAMFNAMQRGDYGKVQLTIEHYGCVVDMLGRAGKLEEAYQMIEQMPIPSNAVVWGALLGACRTHGDIIMAERAVQELSNLKPEEGGYYILLSDMYTSAGRIAEATEIRRAMNESRVQKTTGRSAAFLPQLGVMIDVIVNTVRLLLTAVQVDIVGVSCYSSKDQWAWKNERVVLRCVEKNVTHDLHKSNVLPKAIYNYRTAKYVMWMHIDDTNYTKASVGVAVSDSPTGPFLYLYSKRPHHYESRDMTIFKDDNGKAYLINSSEDNSEVHISHLTDD >cds.KYUSt_chr6.22614 pep primary_assembly:MPB_Lper_Kyuss_1697:6:142883564:142886739:-1 gene:KYUSg_chr6.22614 transcript:KYUSt_chr6.22614 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDISKPAPAAAGDESAKGARGGGEGLRQYYQQHIQDLQLQIRTKTHNLSRLEAQRNDLNSRVRALREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRGDILKIHSRRMNLMRGIDLKKIAGKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDNEKNMSLRKLWK >cds.KYUSt_chr6.3676 pep primary_assembly:MPB_Lper_Kyuss_1697:6:21209523:21211759:1 gene:KYUSg_chr6.3676 transcript:KYUSt_chr6.3676 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEEDEAAAIELQLEQHLQEQRSSLEAVDEALAADASNADLLEVHEELLAAIKDAEEGLLHLKRSRLVKQIDDIFPNQGSESQEAEAAIDPLDPDDVELEPLEPPEFSVGSKCRFRHNDGRWYNGCVIGLEGSSDARVSFLTPTSENMSMCKFFLQQRCRFASNCRLSH >cds.KYUSt_chr2.14624 pep primary_assembly:MPB_Lper_Kyuss_1697:2:92403917:92405221:1 gene:KYUSg_chr2.14624 transcript:KYUSt_chr2.14624 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYSSALPIEADVMAQFFEAGAHSHHCFAYEQQDESMDAMAAMFLPGLDTESNSSSSCLNHDMSAQCWPQPQPGNSSSVTSFLDPAHGYESFEFPVMDPFPHADFAIPFLGEDLSHLHGNHSLVGEEETTNDHTPVTNKRKSRATTTVSKKAKKAGKRDSAGNNEDAYVVDPQSSSSCTSEDGDLDGNTKSSLKKAGTRASRGEATDPQSLYARKRRERINERLKTLQNLVPNGTKVDISTMLEEAVQYVKFMQLQIKLLSSDDMWMYAPLAYNGMNVSSLDLHISALQK >cds.KYUSt_contig_2984.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000578.1:68036:69714:-1 gene:KYUSg_contig_2984.18 transcript:KYUSt_contig_2984.18 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYNADRYMVPNKNSNLIYKFTEYSLGSTSSPLSLNAPCSTVPSSSFSRARRRRDAALRGPCSASLAAAPSIGHVDGLGATELFDRLLPTMPWNTLIDTVMGELHARGKTLHDVAETILDHHGLRGYFSEINTNPSHVDADGRLRIAPHHDYHAGPHGSGLGTCPPNMCKGQVLDRTRVGISSNTLSAVATKTLSTAAGLGIGVC >cds.KYUSt_chr5.7818 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49286708:49287370:1 gene:KYUSg_chr5.7818 transcript:KYUSt_chr5.7818 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMRPPLVACFAVLLAAAAVAPPAGAVCVPRKPGAAAKVPPPAKVAPKPTPTPKPTPVAPGGDVVKAMCAKADDPKFCQDSIAKQPPLPGGKKLDGAGVLKLAMNAVRAKAAEAKKTATALAADPKTPKLAVGPLNDCADSFDDISYSLDHAEKAIAAGDKDTTGTMLDTCHTDVDTCDQGFEDREELKPLMAKQDAELGKLASNCLAIAEAAGLIPPS >cds.KYUSt_chr6.11021 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68377747:68378193:-1 gene:KYUSg_chr6.11021 transcript:KYUSt_chr6.11021 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPASSEESYPRLGLCRSWRRARGFRLCTRKHRFSVRRLRAKLLAFLGIVGRLARHLRRHLSASSARRSTPCPRSGSARAIVGQGRYSQGGEATAAKTAPRRATPFMRSSSFYAQAVTDCLEFIKQNSVPVQDYPNPVVGVRAAGR >cds.KYUSt_contig_2686.50 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000449.1:267797:269293:1 gene:KYUSg_contig_2686.50 transcript:KYUSt_contig_2686.50 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILSAALGELGSRSISFLVDRYLKQTAAPTEAQRLCNLQRLLLRLRVIVEEADGRLVTNQAMLHQLRIMKKEMYRGYFTLDLFRCRAHGEDRTKDHEVNYSFAQSEFNPAKRVCFCSANNEGAARAELLEKVIGNIRDTIEDATARSCIVSGSKIIVASRSDKIASFGTTQPIRLQFFTQEAYWYFFKARTFGSTRVEDHPKLAAMAMDIAGLMNKCFMGAAIFTGLLKANFNLHFWSMALEFLRNIRQKNILLYGERFANGWQFEEPVYLRRAKKTSSECFAIFAIYQACSDDTEPEDLEMVSVQDLCFGSVRPQGNFKVHAWTSHLPPHYNYMFHCGIQRPERMVANMTRHK >cds.KYUSt_chr4.18947 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119008218:119009051:-1 gene:KYUSg_chr4.18947 transcript:KYUSt_chr4.18947 gene_biotype:protein_coding transcript_biotype:protein_coding MYHIIPAQPFLQTEFYQLGNGGEIIFERDLFALSEFLGRPPPEIFGGQVNDQPGGQLQWVIMVDLRGRFTLPMSQRIQFSFRENNWTDGLARGLQEGLARLCGQNAMDLEGNRFAHYARHNSLGVPMNLPSHPQLRHHVDHLDFMLNETRIELDNSREYANHTHLQLAQQAETIKVIAGERRTLRRLNLKKDYAINHLKARIATLKATIETQAEQIKELEGEGEGENIQGGGYSYVSNDDDYEEEEEDDLEFHPYEDGHEHLAAGIDNVYPINVDGE >cds.KYUSt_chr2.4301 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26435444:26441914:-1 gene:KYUSg_chr2.4301 transcript:KYUSt_chr2.4301 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRTSIPFQLLQEYMPVMSVKVFAFNPKSTYPQISSVHQEWDGDNELHQEAIISHGLGQPVCSSALSTTSQTIRRYSITPRDLECMLCDETADPMALPLSLLEEITDGFSDKQKIGEGAFAVVYKGKLENRTVAVKRMSNTYMYEKEFRREVECLMMAKHKNVVRFLGGYLAPESFNRHTQVTYQHSYRLDIYSLGVIIMEILTKEEYHAVDNVVESWSNMLENSLRDGELEQVRVCAKIGIECTNLNPAKRPDTQHIINRLDEAEHALNELHQGMPNSPGDTSLKENDTAFRVTGTSPCNSIWENTTNLDKLYETIHCLNPDIRRCFEFCSIFPRGSKLRRDELVCLWIAEEFVKTSCATEDMEDIAQGYIQELVSMSFLQPSGTSYDTDCFTIHDVMHDILDQVAGNCFRIENPVSHRGQGWEGDVPRHIQHLFIQNYDGKLITETIIGLEYLRTLIVYVVGKDKPIEEEVIESICKRLPKLRVLAIAFSQKHDPIKQHKEFSFPESVGQLKYLRYLAFRTSKFGRITLPSTLNQLKHIQHLDFGDGHILEVNFVELVNLRHILCSGLSKLPYVGNLISLQTLPGFQVSNEPGCELKQLRDLNKLQGKLLIRGLENVKSKEEALQANLAAKRLTQLVVQWGNPYATRCSPEVEADVLEGMCPPVGLQILYLKNFEGSRYPDWMMGNHSGGPKYLQELRIVQCNQLIPASGLADAFPHLRVLYLLNCSWDALPDNMEHLTLLEKLVIFRCMNIQSLPTLPQSLEEFTASWCNQEFLESCQTVGHPNWQKIEHIPKKMIRFTNRKTEIPLLLHCFSIFFLSVTDIVLLSLFMIVLLRLGIVLEGLLGCDVM >cds.KYUSt_chr2.10708 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68144803:68147981:-1 gene:KYUSg_chr2.10708 transcript:KYUSt_chr2.10708 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHGRPLLALLSLLLCLALLRASSAASVTAGTPDGTERWGFVEVRPKAHLFWWYYKSPQRVSTPSKPWPTVLWLQGGPGASGVGLGNFMEVGPLDVNLKPRNSTWLKKADLIFVDNPVGVGYSYVEDDSLLVTTDWQAATDATTLLKALAKELPTLQQGSPLFLVAESYGGKYASTLGVSVARAIRAGDLKIKLAGVALGDSWVSPEDFTLSYAPLLLEVSRLDDNAGDAAKKKAETVKDQIAAGQLADSQASWSELLGFIDSKSGSVDVYNFMLDSGMDPVAAADLPATSWPSTSTNVQLMKYSTYLGGDLGADSNTLGGIMNGVIKEKLKIIPKDVEWHELSDPVYNALVNDFMKPRIDEVDELLSYGVNVTVYNGQLDVICSTRGAEAWVQKLKWDGLKNFLNLPRQPLYCGSSKLTKAFVRSYKNLHFYWILGAGHFVPADQPCIALSMIGSITQSPAS >cds.KYUSt_chr6.16462 pep primary_assembly:MPB_Lper_Kyuss_1697:6:103528192:103528563:1 gene:KYUSg_chr6.16462 transcript:KYUSt_chr6.16462 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRKKLGVLVLALPSLELRGGDAGRGGDDWDVSRAQVLARGVMVLMAAVVAGGVLRWFCPGRSGGGFSQLGGGREVGFLAGVSWLVLALRGKEEDRWMEEEKNVESRELSLPDMVRLSHVER >cds.KYUSt_chr2.1457 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8724932:8725507:-1 gene:KYUSg_chr2.1457 transcript:KYUSt_chr2.1457 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSGRRIATQEEADRATVRRRRRRARSDLLTAFPEEIQQEILARLPPKSVLRCRAVCRSWRRLASDPAFLLDHHRHQPKLQLISSCRTAGGDDGHIRRLEAFHLRGAQARRPVFGFPNHFASRYSRFPVTASCYGLLVVGRYSICNPATRQWGSLSTNPKLHIEKVVSLFRHQPSGEYRVLYWTHSSMP >cds.KYUSt_chr1.32557 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197708380:197709493:1 gene:KYUSg_chr1.32557 transcript:KYUSt_chr1.32557 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASASTGMVYFRDGYPVRLRNIAHGTYLHADKDGRGVSLSSRRSSMKVAWYAHHYHGDEYNVLLHSVAYGRYLYATEASAPLGCIGFRVEQRNYDELDDEAIRWEPVMCRSGHKIMLSRHIEEGDRYGFLRGNGRHRTWNNSVVSVDDVKNISTDMVQWVVERVRSSERIPRLPRPRFHLSDLLLSRVVMCTGDGQVFRANAVSFTFRGRSVYRLKNELVRQLGIPGIAYDNLVMYVRAGTHGRNIPLVVNLSRSRQTIVITFDMPGETL >cds.KYUSt_chr6.7434 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45171583:45175150:-1 gene:KYUSg_chr6.7434 transcript:KYUSt_chr6.7434 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSGRFSVSWLSAQADAPPDHNHVHRRLRPRKRRRLHIPLPRPYISLPLVFFSDFAGVGLAKLQEGGVAGATGRGADAAGIAGTSGIHRLLPLLFLPHHHCKRLALVELTTGCKDKADDMYMYASAGGATSSDSVRYNRHRWPLQPPTVQASVVGAASCAGGCYKGRWRLLQGAVAAATIGVGACIKARCRLLPSTVSDLHQRRRLLQGVSLPLQGVVVVATIDDGGWFKGLHRSLHGASPAATE >cds.KYUSt_chr5.38409 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242829413:242829643:1 gene:KYUSg_chr5.38409 transcript:KYUSt_chr5.38409 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAATAFRPFPGLGAPSGDGCGGVMARLEGGPGEGGDHQQLRPAARQGQRDDLPALVEILRDLNKRIPDKVHRS >cds.KYUSt_chr5.37116 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234829983:234831729:1 gene:KYUSg_chr5.37116 transcript:KYUSt_chr5.37116 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSVERADEVGFEDCFDLVILLLELLDRRHSLQPPAAVTSLDHFPYCRCQTRAQREVPPAVCMEPPPPQQEQQLLRVLDSAKLSPAKQPPASSLPLSGLDADRNMLDVSFRTVRFFPPHPVSLDPLDVLQDAFAAALAHFP >cds.KYUSt_chr7.39905 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247889202:247889471:1 gene:KYUSg_chr7.39905 transcript:KYUSt_chr7.39905 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRQGELYLPLIEARREQRPRLPLCAGAGGSTPVHPYVDSLLDLRVADEGNVMRPLRDGELVGLVLEFLGAGMGLVSACLEWTLVLDN >cds.KYUSt_chr3.38319 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241351254:241352534:1 gene:KYUSg_chr3.38319 transcript:KYUSt_chr3.38319 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARRGQAAAPPPPPSRPTPPPPASSSSEEFDSKDSTDLLHPVRDAAALALAAQEAEEELAGHAALDAELEQRRLAAAAAAEDSDSEISWSSDDPDALTSEERAAEQRALVEYFKDEAANARLEQCLQEDSAAHRAIAAARETAEKQATERRNDGAGPKGLTKRKEKAIEPFSFRTDEQEISQVAVELQSGDETTCFKVGVGCDRQPLKGPPVEVEDLLGFTGMDNGRQMYR >cds.KYUSt_chr4.41670 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257733501:257734074:-1 gene:KYUSg_chr4.41670 transcript:KYUSt_chr4.41670 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVLQFAHITCVQAVLDHLRGTGCIDVLDLYIAMGEHFAADLSVFFQFTVFNIDTLNLADLVAIAVHIPVGAAHAAAMPAILRLVKRLGAKVIVVVDRGCDHTELPFAAHLFQAFQSTVFQPVSGPAGRRAVRRRAPPRVCR >cds.KYUSt_chr2.35476 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219086919:219090031:1 gene:KYUSg_chr2.35476 transcript:KYUSt_chr2.35476 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKWKEGEATLLSALALSAPRAHLPGPHHLQLSPVRHRRQPNRRGHGEVKHRYSPRSSAPSRRRRPRASSRQLLLHLMLLAPAGSARGCGGRGSRGGDWPRVSRGEKWDWGLGERMGSAIGWAADPYAHDDMPDRSCLSRSDRRVDLNNGGSHKQPQPVVPCRPHGQGRAAENLHVPEPPLRPPSAAAEQTPQVDSSLASGSQPAPRPPEKRRRRAAFHPPRHTSTMAGRWHNRRDAAKLGPPLRDRRAEAPTCSMDLHATTASRHRPAANHPRAVQTPQTARRRRAPGAAAPASPTPAESMPAPGTPSASTRHEQQRSPVWARQGPETARPGQTGARPAAVAPSSTPTAARSFARRRRATVAARGGHQQLPAAARPADKSSLRAQGSAAGPPSRGCRPGVRARSGHADPSQPRSSRRRGPEDAAAEPSACPRAATRDELPAAAHSRTSFARRSAPAAAKGRGGGGGGGEPGG >cds.KYUSt_chr4.16398 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101889032:101892184:1 gene:KYUSg_chr4.16398 transcript:KYUSt_chr4.16398 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGARRRVVVEVCNARNLMPKDGQGTACAYAVVDFDGQRRRTATRPRDLNPQWGERLEFLVHTPGAMASETLELNVYNDKKAIAASGGGGGRKSGGTFLGKVKVAGASFAKQGDETLVYYPLEKRSVFSQIKGEIGLKVWFVDEPPPAPPAAPAADEKADNAPSDKKDAADDKGKDSAAAAAAPAAEEKKPDAAPPAEEKKPEEAAAKPEDKKPDNNGKKKSPEKGKKDGDKPKEEPKPKDDNKKEVAAAAAAPASPSKAPPPSPSKMQLATAGVAGDLEIRPQTAAERSMAASGGGSASYDLVDRVPYLFVRLLKAKHHHHQDGKRPLYAQLCIGAHTVRTASAGEWDQVFAFHKASLTASSLEVTVHEEARKPEKEGEATPEDANLGFVCFDLQEVPKRSPPDSALAPQWYTLEGHAAEDGAAACDVMLAVWVGTQVDEAFQEAWQSDSGGNLVHTRSKAYLSPKLWYLRLSVIQAQDLRLPAPPDGKAKQFGPAFPELYVKAQLGAQVFKTGRIALGSAAAGASNPSWNEDLLFVAAEPFDPFLTVAVEDVFSGHLVGQARVPLSSVHRRSDDRAEPPSRWLNLCGDEARPYAGRVHVRVCLEGGYHVLDEAANVASDVRAASKQLSKPPVGMLEVGIRGASNLVPMKIAKDGASGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLSIAVFDNVRYKVADDGKLPKDARIGKLRIRLSTLDTNRVYVINYALTAVHPVGVRKMGELELAIRFTCPSWLTLMQAYGSPLLPRMHYVKPLGPAQQDVLRHTAMRIVSGRLARSEPPLGPEVVQYLLDTDTHAWSMRRSKANWFRVVGCLSHVATAVKWAHRVRTWEHSPTTVLVHMLLVAIVLCPEMILPTVCLYLFLVLLWRYRSRPREPTGMDPRLSHVDSVSPDELDEEFDGLPSGRPADVVRMRYDRLRAVAGRAQTLLGDVAAQGERIEALLSWRDPRATGVFAVVILLTALVLYAVPFKVLLLGMGFFYLRHPRFRGDMPSAGFNFFRRLPSLSDRVL >cds.KYUSt_chr7.18156 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112666439:112667719:-1 gene:KYUSg_chr7.18156 transcript:KYUSt_chr7.18156 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPTVGRLSAALISPAGPTPGGTLPLSSIDKTVGGLVNLIQVFPPSSLSSAHDGQGAAAAVAAMRMGFARALVPYYPVAGRISSSGLAVDCTGMGVWFVEAAAGCTLADVDGLECCPLLIPGELLLPRPPPGEKLDGLILMAQATRFACGGFAVGISFSHAVFDGQGAAQFLTAVGELARGLPTPSVAPVWGRDAIPDPPSPLPPQLTEFRFVNQVADISAESIQRVKDEVKQAAGEGCSTFDAVTAVVFKCRALALAAALPDDAEVGVGFAAGTRHLLRGVLPAVDGYYGNCVYLASVACTGRAVRESPLAVLVGAVREAKEAVAAGFADWMRGVVRPDVPLDYSTAILSDWSRLGFDEVDYGFGVPSYVFPHNHHVDFVPALNYVSPPAPRRGGGGGGIRVVLRCVEEPHAAVLAAELAKFA >cds.KYUSt_chr2.18292 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115232273:115235802:1 gene:KYUSg_chr2.18292 transcript:KYUSt_chr2.18292 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPWKSLSVIVVTAFFFDMAAAGGRGRRRRGWTAGTMSLSVRRGDGDGDDNVDEDDGDDDNDGDDDDDDDDDDDDDDDDDHTGGGWSPPEAIPAAFAPFDLRQWRPPDSLFISF >cds.KYUSt_chr1.27723 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167069303:167071373:-1 gene:KYUSg_chr1.27723 transcript:KYUSt_chr1.27723 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKTANGPSRNKKKVCDRLIGDDVSEVDPSYKLFLEHLSEVGSSYFCDVPDGDHGMPASVRYEVDKDCTSVLNNSLHRNRRGPKTKRPRVSVTSGRDAHISVKEMDTPIDESYAAFLSTMRIEDGFMVVEPEPGVSVTSGTDAHINVKEMDTSIDESYAAFLSMMRIEDGFMVVEPEPGVTFVYGKEDETPAGYGKMRTASSTKERKHSINALENMTEDRLGKNDNIISECGINGPAPESSAFNVCEDHQGEPAAFSSGVPSTFDEKLHSVLSQPYDGSEHKKLMRKATEQKPVSRLKHLRNACKRYDTDILGLSYLDHYPGDLAKQIHSADTDEGRLNLLRKFFFWLELRFTGEAAEVGIHWENTP >cds.KYUSt_chr7.37969 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236728615:236729429:1 gene:KYUSg_chr7.37969 transcript:KYUSt_chr7.37969 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNVARVLLCLEEVGAEYELVTVDFLAGEHSSPQHVQRNPFGKIPAFQDGELVLFESRAIAKYILRKYRTADLDLLRENSGIEESAMVDVWTEVEAQQYYPAMSPVVFECIIYPVMRGTATNQTVVDESLNRLRGVLGVYEARLEKSRYLAGDSVSFADLNHFPFTFYFMATPYASLFDEFPKVKAWWEGLMARPAVQRVCKNMPTEF >cds.KYUSt_chr3.46004 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289630944:289631183:-1 gene:KYUSg_chr3.46004 transcript:KYUSt_chr3.46004 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPRWCRAEFIQALWNNDPSAIRLKCCIKLVCVNDNDCFRVLNDLCDYRRCIPYCSMLPPLRSSNNSSKPVPPPGHHH >cds.KYUSt_chr4.16875 pep primary_assembly:MPB_Lper_Kyuss_1697:4:105057031:105057666:-1 gene:KYUSg_chr4.16875 transcript:KYUSt_chr4.16875 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRYAANARARMYGATARTRTADVSPVPDGSPGGDAARLGAVDAPPRASCRRSCASAYKWANFCGEELLTWALDPKSGVSLPSSTRTVMALSEVEAFALHAEELKFVAGQFRRMHSKQVQHTFRFYSQQWRTWAATYIQAAWRRHLKRKAAELRRKEEEDEGRSSSFKTTILVSRFAAKMHRQRSKRDEEVMIHVPVPKPREPDFGIDD >cds.KYUSt_chr4.46885 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289921560:289925031:1 gene:KYUSg_chr4.46885 transcript:KYUSt_chr4.46885 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFDLGAGASARVAARDGSPVRGTQSDRKEYADFKTVSGNMKRSSSDRLGGSGTPMKMLIAQEMAKEGDTNQKTTNVIARLMGLDDGVALPKPAQPSSRRRSSEGHLSTTMARVNSQMSFHKHASSAENVEYKDVYEVGYQPQRSEHLSSDSSRRGTPHEDHDRRRMDLVRQKFVEAKQLASHENLLQSKEFHDALETLNSNKDLFLKFLEEPNSLFAKQSGEFHSAPTSPQRKQITVLKPAKSAEVKSENAIQSHAVNGGRTEKRNLHRKPNADHARAERFPKNTRIVVLKPTSAITSVEQFQQNGHGNSDDSRRLSDEIHWSAHGMCHQHDECLQGSIQSNPVDQDRSYCNRAEEEGSSFSGSEIGSPTSRHSWDYVYRFSNPYFGSSLSHASCSPEAHVARDGKKHTSDRWPSASCNEINREKVLTRRSLSTLGEMLAMSDMKKEEVVDQLAANASNQVCGNELTLDVPSNCSIDGAQAETSIKKISRSKSVPVSSSAFDSLRLDTRSSDPQRREPTTPKEEAKPRNGKSSLKGKLSSFFSMRKKSGKEKLTSSPLVGLNGRIPPDSNAVAGVSLQDDVASENSEDKLQYATIVLPVKEAEAPSTSKAIISLEKALSFEIRNSRFDQPSPTSVLDASFESPSSSESAITAKQEPLSRCLPIGSVARTLSWDDSSEEAVLCSSKEDCHEQEQHEFVEKILSSAGLCKQTTSNIFVRWHSPDNPLGPNVPDQFLERKVEDAKCRERRSNQRLLIDSVNSALLDIGQSKLWGAYPGTGSVVNAQRVAAGDELVADAAWKLVRGWLSDEETCMVNGLDSVGAAADWVVGREIEGRGWSETLRLEVDEISKEICGEVLGELVEEAFSEFARCH >cds.KYUSt_chr1.23783 pep primary_assembly:MPB_Lper_Kyuss_1697:1:141665006:141666067:-1 gene:KYUSg_chr1.23783 transcript:KYUSt_chr1.23783 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVATPATGAVASPAVSDVGKATRSVGLGLPALPSWPSLPGLASHGQPRVASFCKRLARNVGAMAAGEPAAPLADNAELTEFISALKQEWDRVEDKYAVTTLAVAATLGMWSAGGVVSAIDRLPVVPGLMEVVGIGYSGWFAYKNLIFKPDRKAFFAKVRNIYEDIISG >cds.KYUSt_chr2.51718 pep primary_assembly:MPB_Lper_Kyuss_1697:2:323093937:323096247:1 gene:KYUSg_chr2.51718 transcript:KYUSt_chr2.51718 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKKIKLHDHHCSGMMPLRDPSPAPQPLASFTGLSSPFYEGFGSYAAHHQHQQQGSAGWPREEYPGPAAATRPAFAQSCVGSSTAAFYAAENLLGMAQFDCAPAGMFPPTTTPFRSSADRELYRSLDPPLMLRAADQSSSVRTYYVRPQRRDAAELPLPVAPPQHQQERAHNHHVPFPASATRDREAPSSSVQSQMDHQLSARSFNGSVARPATPPPSKTRIRWTQDLHERFVECVNQLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRTAKCMPPPSSLSEGKQDEKRGDSMQDPERNTAMPITEALRVQLDVQRRLHEQLEIQRKLQVRIEEQGKRLQQMFEDQLKASRNVASCSPSTDGPIGVLPFPSATVEQDDPVFVDIVIDDDDEDQVQLLSVAKGGSYDDEF >cds.KYUSt_chr1.3491 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21165267:21168182:1 gene:KYUSg_chr1.3491 transcript:KYUSt_chr1.3491 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVSSWRVTYARRPLAGGNQNNPFLDGVLIVHHARGRLLLLNRLELVVDSRSLREQESIKFGGPVALPRHDVRIPLKPLPPGSLFDSEFHQFPMGRDPQQNNSSTSFPASIEELFYAKAPSRSPTRSGMVAPPRPRGGHAIDPGRWSSQGLLGASPHSIVQGSPVPSVELGLGPEAAESMAAIDGDGNTCSDPPPSIVHGGHRQAGPSNPKAYIPPGGGAAAWSNLDLLLEHFWSDSRVSTSPPRSADSFGWWRGKVAGDPRSFAQVAAAPPMGDGGGRFGGGRGRNQPNRGRGRNVWQRDEAPQTSSNNLRASNSHSQQSNDRWEAAAWDSERRRQEASSAGGGKSVASQGPGANPPAAAGDPAPCLHCNIVGHYTARCPTIRCDRCKKLGHIAQICQTVLPWECVPSMCGFQAPGRGFFYMPDQSSSKLIKERATSVVITIIEGNATSREIEQSFNMIFGDSWRCTARAIGPNQYTMRFPTPREVERAVCYGASMKLKTVDAIVSLSPWTASVGAKAPLQKAWVKISNIPLDKRCETNVFYAGGLVGVSLDLDASTLHKPEYVKVLIGCRDIDMIPSNAEGCLGDNFYDFYYEVDKIVVGKLSDEANTVAVGNSGAPSPKRQRVEQSNMVTEENSESQLVSSQTESVQQSHHRERMIITDVTEEIDTQESEDDSTGGELLIETIAREHADAQRETGTSPNKWLVPCPILDLNKHVICEPVSPMAAPLSSLLLPYSQALSVVTWPPLPMVTDVSDLSSSPLGDPPGYLVQSPDASLEDNVEEAQEESDPRMNCRRASADMNSSIMEKVEIVAKKRSLEGNAVPTSNNSNSFSVLSNSELMCRAHKMGVNIPDNDFAAIDILRDLEASRNDLAEKCMSRNNEDTLFIENNVGNSTPLSMDWNPVSDNEEPFTIVRNKGRKASRKKPVVVISRPNTRSQTNEDLLSGDKSAPIPGRVARKRTKPSRFK >cds.KYUSt_chr3.4101 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23337733:23345747:1 gene:KYUSg_chr3.4101 transcript:KYUSt_chr3.4101 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYSKKTQASKLGDAQGIPFFIDNIIRFHIGAGIPGVAPHYIPPPSTFNVLLGSSWPLIKARDGPSFCSEYRAPHQLAFWAPLVSNWTKFSQPNWLAHPLPLDERRKEHEASPAAMSPPSLCAAACSTPLRAAPPPLLRFRRPASSSVSVSASRAAAPSVSDDLVLRIAEQLEDSAAAAPLLGSLRAASALSLLSTPWPTRRSNEAFRFTDISYLRSLPISLPAHTPGLAPPPSPDAESHILFSDGLLVSASGAHVAALADMPPGVARDRAAAAVAASAQFSHRDIFYDFNAVGASDVAVVYVPEGRKMGDDPVHIVFGYSGSEDGASMMMSNPRVLVIAEKGAEVAIVEEHFGTGEGCYWANPVVDIIVEEGARVVHSYVQRQSSAAAHTKWTTVQQNTSSTYEFVEVSTGGRLNRHNLHIQQLGPETVTELSTFHLTSQNKQIHDLHSRLILDHPRGYSRQLHKLIACGSGNGIFDGNIQVNRYAQQTDAGQQTKCLLLSPKAVVNVKPNLQIIADDVKCTHGAAISGDLDPNELFYFQARGVDVKTATDALLFSFGAQVINRIPFKPVEQKALAQLKELLTLSRQSN >cds.KYUSt_chr4.29983 pep primary_assembly:MPB_Lper_Kyuss_1697:4:188368628:188369003:-1 gene:KYUSg_chr4.29983 transcript:KYUSt_chr4.29983 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTKLNRVGTLEHWSPTRTVVLDADGFDGWECTTRSEWSQGMRLDALNLMVVVLGAGTTGMSPETGTCDRRTVFSDGRSKKRGNGMGKRLKRSSTSP >cds.KYUSt_chr6.31135 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197334283:197335870:1 gene:KYUSg_chr6.31135 transcript:KYUSt_chr6.31135 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLFPRWPLDNTLPAEILRVASLRRLYLGLWDEFPDTDHLPRGAHVFPHLLELGFCRTDIKDKDLDSLLQRSPVLEKLALILNYATPRNVRVRSRSLRCVLFWMSIAGGGARLAGGGARLAGTGRMAGDGEEGLRKGGGEGWRPKLPAGGDALVWPAAALVWQGTGRMAGDGEERARGTRSPIQNQYRVVLLLGAVAVVVLVATHLVEHLDAHVPVAEVGEHEHEAGFEAVVARELLPADVELHLSARVVDHVDDPPAVVAAILAQMQRARALVVGDEVGEVIRKPLDTVWVTLEDDDERE >cds.KYUSt_chr3.16804 pep primary_assembly:MPB_Lper_Kyuss_1697:3:102978606:102981573:1 gene:KYUSg_chr3.16804 transcript:KYUSt_chr3.16804 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTQVFPPVQQQQQQQLAVAPAPLPPVQPKPTRASLSYEEISKLFSLPIAEAASILGVCTSVLKRICRTHGIVRWPYRKIVSGKAGDSAEREKAKELLELSKIAKQKAIINSSGSSTTSSVAFQGVPKSQQGSASAKAGQVPGRQNAPNGSQSLFPVSQPKDIPTYMDDFKYGFPSSGLSSETLKWWGTDSHTETAAAKDDVREAPESTNEASKGMTDDELDWGADEPEADADADADGVATTNPSAQLCSLRRKAAGDGRRLLNGDTGRVEQLCRLNKRQKIILAQVFGASLPEQWRSKLA >cds.KYUSt_chr3.43529 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274690488:274691027:-1 gene:KYUSg_chr3.43529 transcript:KYUSt_chr3.43529 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRRLLLAAAVSTLCVLASTVAGDGGKPLVTAITKDAATFLYSAPVIRNGQPGHHLVLDLSGPIIWSTCAADHRTLECNSVACMRAHRFHPPGCRHTGYGKPDDDNPYRCKCTAHPHNPVCGATVSGDVTRTALSANATDGRNPLRPVSFVAVTSCAPDSLLARCRPAAPAGLRPVA >cds.KYUSt_chr4.5824 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34073503:34074660:1 gene:KYUSg_chr4.5824 transcript:KYUSt_chr4.5824 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVLPDELVEDILSRLPLKSICRFKCVCKSWLAFSSNPHRRQKFPRTPAGLLYRKCEPDGPPSCLDTSIHIARLPASDREIDARLNFVPARYKYSNLEDCSNGLLLCYQGGGYKFEAFSDAIVCNPATKEWMAIPHTEPGPPVRLIDPRLCFDPLWSQHFYVFKFQIRHTGNIEVEVFFSENSSWSGCLWETQDPFCNASLFVNGVLYVMHLCDQEILALDAPDTSAQWLNHRVIQLPGFATRTETFNCCDGCLSQSSGILCYAKQELDGCAVRIWCLESPDKWVVKHRVRMTDVFKRDVLISRKVFWRFDYDILAFDMERELVILDDKKADKIISVSISTGKGSQFLKIPKTFTEPCRSLFYVPYYGEVPSLVRSMAQDELC >cds.KYUSt_chr1.40108 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245624914:245626463:1 gene:KYUSg_chr1.40108 transcript:KYUSt_chr1.40108 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTDVCSSRILSLPRYESGDEELTVIPRHTKVIVTGNNRTKSVLVGLEGVVKKAVGLGGWHWLVLKNGMEVKLQRNALTVLEAPTGFEDDDEIDGNNSFCSSFDMGEKDMNYSSIEYQKPTKSRVRHTRPWSSSTTSSSRGNFHSSSKLRARVNLTKLGTPTLWRYWNHFNLVNVNASPSEEQLFHGVQQHFQSQQLDELQVILGFIQTAKRLKTLYNS >cds.KYUSt_chr4.23479 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147825450:147826508:-1 gene:KYUSg_chr4.23479 transcript:KYUSt_chr4.23479 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDGVLSSAGSAVADEVARLLGVPREVEFIRNELEMMQSFLRVASARPDAAARNDTVRTWVKQVRDLAYDVEDCLFDFALYSTTAASSWSRWLPDSLAARHSIAQRIRDLKHSVEELNKRNLRYHVLADPRAGAADADVVQLQLPPYHDVLSATELAFQEWEIIGRSSEKEKLVKELMSGGDGSALAVVSVWGMGGMGKSSLVSMARTDPELLDAYDCSAWVTVPHPLDNTYEFMRRLRKQLGLGAAAHDENDDDDDDIKEHLKEKRYLIVVDDLLKRDEWDQVRPKLFNFQNAKGSRVIVTTRRKDVALYCAGEVHDHVYELKPLGDKESRNLLCKKVNT >cds.KYUSt_chr3.44600 pep primary_assembly:MPB_Lper_Kyuss_1697:3:281488022:281491872:-1 gene:KYUSg_chr3.44600 transcript:KYUSt_chr3.44600 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLARLLQRRLLLPSATLLPSPPSAAAPFSTSKRAPYASRAKPRPPPPPPTDSPAEDDSQQADAAAWQREKLPSELPRPPTIPFQPRVANAIRLVGTVGAPVQLQRLPDGRFSAVSVLVQDRRADFPKFWIPVIFQDDLAQIAASHLQENDLVYVSGQLTGDVPPFKHADGQANIQVLANLLSFVDSKAVATDFMVDEEEGFKEIAEAEKKVEPTKVIPKSPRRQTFSEFKAKQDKFKELWNDVLASPLDWIDNRPAKANGSKNPKYPDFKNKISEDALWLDSAPTHVLEKLDTVTFNSGYNAAKTYKPANYSMGRADLLKDLVDNPQKWWDNRIDKRSPKAPDFKHKDTGEAIWLNTRTPSWVTDALPPAKASMGSKGGRRPETLLS >cds.KYUSt_chr4.8805 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52833576:52834115:-1 gene:KYUSg_chr4.8805 transcript:KYUSt_chr4.8805 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSYDAAADGVATARVVLPSGELREYSHPATAAMALEEVGQGKQGWFLCDADTMGFEGSVTAVAGGEELRPGQIYFVLPDEMLRRRLTLEEVSALAVKASAALVKAATASSAGGRRRRGSVAPLVFEPSEEDYSDDAVMTFIAAKPVVARKRVVAYRAGRSPPRFSPDLTAIPESE >cds.KYUSt_chr6.21480 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135594010:135594460:1 gene:KYUSg_chr6.21480 transcript:KYUSt_chr6.21480 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSNHNIPLLLREKLPNNAELFHYAAIRVDGHLGRQHDDHGERILGQGGCWSGPPPAGCWGLSRGMEQGKGRGRPDHLSHRQLPGRRGGR >cds.KYUSt_chr7.32992 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205793498:205796288:1 gene:KYUSg_chr7.32992 transcript:KYUSt_chr7.32992 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKVRRMLYNHTEVGWCVLLSLKNELYPEKEELMVLNTRNEMLIASKPHNKGQVYLHTGWDKFARNLELERGCQLTFLYEGDGEMIVKVFDDTACRRHYHTSESGSDTDS >cds.KYUSt_chr3.10660 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63331502:63332236:1 gene:KYUSg_chr3.10660 transcript:KYUSt_chr3.10660 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYLQAYLLGFKAGKSVRARMPRRPTSVDAHPEPLEAPVPCLPLFPSSPPPCAPASSAGVVCSPMAPGMAAIKGQHSPSPPPATGEKLGSASPEYTPGAPTSACQGHLSASPDYVRRSQARRSLSPTTAARDAVGSASPDYTPGVPSSGDHGQAREPLSLPALPGAWYWRYAGSFQPGLHAWRGGLTAPGVVGVHSDERCLASFSAPLAYTSIGKLHPCCIVAVRVVRCWPIYPTSIAFSAFL >cds.KYUSt_chr1.37881 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231366974:231368241:1 gene:KYUSg_chr1.37881 transcript:KYUSt_chr1.37881 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGREPRRLLLLVMALLAAAGPSAAELVFGFYNATCPIAEGVVFAEMRAILQDDPTLAPSLLRMHYHDCFVQGCDGSVMLRSSKGTAERDATPNRSMRGFDAIEQIKAKLEMLCPLTVSCADIIAMAARDAVHLSEGPWYEVETGRRDGNVTVAEYAANDLAPPDSNIVDVKTFFSVKSLNSKDIAVLFGSHSIGTSHCAAFQKRLYNFTGAMDQDPSLDARYARRLKKLCPRTGGRGRTKVPIDPSSGFTFDLSYYRHVLETGGLFQSDGSLLHDAATRGYVEKMANASSPDEYYKDFAAAMVKMGRTDVLHGSLGEVRATCGVFVD >cds.KYUSt_chr3.49196 pep primary_assembly:MPB_Lper_Kyuss_1697:3:307559754:307560566:-1 gene:KYUSg_chr3.49196 transcript:KYUSt_chr3.49196 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAGGYDFDFLGGGGGGGDEYEGRVAEWEAGLPGCDELTPLSQPLVPPGLAAAFRIPPEPGRTLLDVHRASSATVSRLRSSPGSGSSPSSGPNAHATGGDGSFPSFSSKGDGNSAESAGEKTAAKRARLVWTPQLHKRFVDVVAHLGIKHAVPKSIMQLMNVDGLSRENVASHLQKYRLYVARMQGSASSDRPSPSDDHIFASTPVPREPVPAAPMPPAVYHHPAPMTMGGITGGGHSGYYQHQQHAVYNGYGGGGGHSYPHYHHGDR >cds.KYUSt_chr4.47850 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296192189:296200625:1 gene:KYUSg_chr4.47850 transcript:KYUSt_chr4.47850 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSPRAGEGGDVEFDPGMANHLNKPDRPTMDTDNTTSLSDDSVWSSSDDSDIEELLQDDDVEMMSLLVDVQEFEDRTNLMDQRRGPKMGRVTIYLNRALGHEQLMHDYFAEVPTYPRRLFRRRYRMRCSLFVKIVTDCAVASDYFKRRRSAAGLVRPATRRKRLAMETLVISQQQQQRSHHHHSGRRRRPSPHFSSPHPMRAYQAVNCRAFHSSVSIGILPSPPTPPPARPTRNYSPEPKTPKLHNGKKRSRAIPISPSAGSPPTGPELWAGPAYSNSPPPSSLPIPKFSSLHQKRSVSLELPPADRSDHVELLVHAKSAPSTPTAGSTLGFFGGDDTAIATENLRRILHLDIA >cds.KYUSt_chr6.7555 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45922181:45923203:1 gene:KYUSg_chr6.7555 transcript:KYUSt_chr6.7555 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLAAAAVLAAAVVAVHAGPPPPVKLSPDFYSQTCPRAERIIAEVVQSKQMANPTTAAGVLRVFFHDCFVSGCDASVLIAPTHYAKSEKDADINHSLPGDAFDAVVRSKLALELECPGVVSCADILALASRVLITMTGGPRYPVPLGRKDSLSSNPAAPDVELPHSNFTVGRIIELFLAKGFTVQEMVALSGAHTLGFSHCQEFASRIYNYRDKGGKPAPFDPSMNPTYAKGLQAACQNYQKDPTIAAFNDIMTPGKFDNMYYVNIQRGLGLLSTDEDMWSDMRTKPFVQRYAANNADFFDDFSKAMEKLSMYGVKTGADGEIRRRCDAFNSGPITQ >cds.KYUSt_chr6.31222 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197739770:197740627:-1 gene:KYUSg_chr6.31222 transcript:KYUSt_chr6.31222 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSAAAVLEDGNLASEILLRLPPQPSSLPRASAVCRSLRNVTSDPGFSRRFRIHHRRSRPLLGCFMRTGNELRFEPTLDPPNRVPQGRFPFPIDASDLSFRMLGCRHGFLRAPLRTFQGTEELLVWDPFNGHEHHLAIPPVLGRKSIHGVVLRAAPGVDHFQVVLVSTDVKQGVVACVYSSETGLWGNLITTPLPSRGRIDPNKPAVLVGGSLYMLLLRLEAPSMIVEFDVGRAEPSSDTSACQLGFRAQSLLLSYARRWWWAWYGLCLNFRRQRPVMEEDRRL >cds.KYUSt_chr4.10641 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64640513:64641085:-1 gene:KYUSg_chr4.10641 transcript:KYUSt_chr4.10641 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLDAAASGSASFLQGVSGGEHRVNSAPTVSSAVSSLAGRISVNLDTATGVWLQEGRGDAGISILVRQHDGPWMRWHRRRGPRAQGFASASGIGIMSRGGRRCRWHLHRGRAASGLNLGLGRFTAAGHGGRYHVLLADSHWFCVATVD >cds.KYUSt_chr5.12535 pep primary_assembly:MPB_Lper_Kyuss_1697:5:81674514:81677772:1 gene:KYUSg_chr5.12535 transcript:KYUSt_chr5.12535 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSGPSPTSRTSVQKVLSVISQFTEYKKFLVEQTGFAGILKLPQITRLNLRFSKWLLQKIDVGNRSIVFGENPTRQIRFFAADVHKVFGIPCGPRDIHAPESQCSPNTIKFIRTALGMNDKGNQILKVSESVIARPLDEHTSSNLEKDCFKIAFVIFLMGHLLAPSTKHDNRSIDFWGALANTDNIQDFNWCEYVLQDLFAAVRTVKDDIAKNRTSTHLYGCHLWAQVFYLDNLELGIFNLRHSVMPRVAAFDDTQMRRMILQCSTTINGVEQWSCATASSELGIILKQHNALDVQQANAARNNSINESTRFLDKLVEVLSATCICCSLRSLPCLVQQRPQDSHSNSSASNLFRRRLDQADSDEQAESDSSYLTPNDKIIRHKSTRDGKIKNWASAVRDSILMYNDDTSTPRDAVLIGQTAEPPTKACAEHTHYVIDHWSALADVEYQTIAQQDKLSFLVMSIPTTDCPRSESGICILHFGRHFNGSSLEQQLTNDSIIREKGLFLHQLMKLDGNLIEVPTGIKSIISSP >cds.KYUSt_chr2.36591 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225906375:225908936:-1 gene:KYUSg_chr2.36591 transcript:KYUSt_chr2.36591 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSEIVEMADDPKDSRPGGGGVTHLRVRVKPAGQEHGARSCSVEDDLDRLLRSFNVRTSARASGQTSTDRRLIALGKAPMSSSEIMESVSLKQALRKMCISQASEMAALKRISKPAAAAAVSSSNASDSGAIKKLYDSVVVVHAIGEEQDAEANKVGKASVLPEKAVVSSSVVKSAEPSSKKVVPPSKSSANKHLRSASPTKAKVQKTRIQDVMVSEDPSAGKTVAKQRKGKSPKASSPRAVPVGGSRLVRPVFRSKSSTKKKVKPEPSAAVPAIKHSEAKGSSSHANKLQEAIQDEPRTPPTTNKKGADSSFSAEGYGVSGIPGPQPGDLSRSKEKGESSQSSKSSIGDYSNSTSTSISEDSYGSFSANGSRPHMSKDVRWGAMKRVAIQQGTLGLKNFKLLKQLGCGDIGTVYLAELVGSDCMFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPTLYSYFTTDNLSCLVMEYCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVSPMLVRTRRPRAEPPKKPSLLQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGKTPFRGPGNDETLTNVVSQGLKFPDSPAVSYHARDLIRGLLVKEPEHRLGSRKGAAEIKRHPFFQGLNWALIRWTAPPETPKSVDTSTIAAAVARKKKEGKCLEFRMNGDDIEFELF >cds.KYUSt_chr1.15358 pep primary_assembly:MPB_Lper_Kyuss_1697:1:89427319:89428465:1 gene:KYUSg_chr1.15358 transcript:KYUSt_chr1.15358 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRFRRPWCLSGLPVTSIVFPEFMRSPDTISSIPISGSHASVYVEAFHNHNHHPAKLVCPLLLIRSSAYWASARLPASFFDANRAAGCYPLLSICFFPDRITKWCGEIRQVLRMSLSKL >cds.KYUSt_chr3.40885 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258084255:258087740:1 gene:KYUSg_chr3.40885 transcript:KYUSt_chr3.40885 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPRPDGGAAAPSDVDVITTGSRRKIPAHSSVLMSASPVLESILQRRLQRVKESGKPGRAVVRIRGVTDDVAAAFVRLLYAGRRDGEVVDEDVEKYAEQLLVLAHAYGVPWLKRWCQEAIGSRLTPGTVVDALQLADLCDAPQLHLRCMRLLAKEFRAVERTEAWRFLRDNDPWQELDILSRLHDADMRRRKWRRKSAEQKVYMELSGAMDSLHHICTDGCTEVGPVGQAPATTPCPSYATCRGLQLLIRHFSRCQTRSSCPRCQKMWQLLRLHAALCRLPEGHCNTPLCTQFKCKEEQKEAMPTPVAAKTGDGGDGRWGLLVKKVKAVRVMSSLAKRSPAVSTECVHEDQSALRLETMCC >cds.KYUSt_chr5.3290 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21234385:21235656:-1 gene:KYUSg_chr5.3290 transcript:KYUSt_chr5.3290 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAALRRAIAPGPTLLLRRQILVRLLSTSTQRQPQPQPAATSPAELARIKNSIRSAATAPDDLAAHFLQALPHPAFLGDGPIFSLAVSRLVSAGRRDLVTSLLSTSLTALPAPHPSEGFLLRLIALYAQAGMPDHSLSTFRLVRPPTDRALSALLAAYHDAGQHDRAVKAFRDLPAELSIAPGLVSHNVLLKCMVATGDVAGARKVFDGMPDKPDIVSCNEVLRGYLKTADYPAFDQLLKDVTGSKRRLKPNVTTYNLRMAALCAKGRSFEAEELLDAMAANGVPPNRESFNTVIGGLCKEGEVDAATRLLKKMPEVPRPGGKGVLPNSETYIMLLEALVEKRTFSPALEVCRECLQNKWVPPFQAVKGLIVGLVKSRKIKHAKELGLAMKKAAKGDAKQEWEKVETAAFQLALGEAKA >cds.KYUSt_chr4.49877 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309026343:309029138:-1 gene:KYUSg_chr4.49877 transcript:KYUSt_chr4.49877 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASRPPGFSHRWLSAGSPESVSSASPGRADHVSGLGILSESSGGSVAPGPEKEVAVAEGRGRVQDRLAWEVPRPSRGKLWTRRIEARAEENAAGWGAAAPEMEGLCFRCFLPGHRKKDCTNAEICMRCWQKGHPAKECKRPRSPSTEEELRSLALAKLARRRSPERERPARPPGRERRAPSPPRQRQRRASSPQRQLIPNPPPPPPPPAIPLQVPASRLPPLGEWPPIVVEPVRVCPVLVEEVRELGAPPLCVVRRTAGMCDLERRLQFAMVASVGGRRPAVSCEQVAVALRWRGIPEGAFSVHSFAPEDFLIIFASGELRNHVAGLPPVLVAGAPLSFRAWNRQSQAELVNMESKVMLFVEGIPPHAWEAAVVEELLGRSCAIDEVAPETKARTDLSLFKLTAWTSDLEAIPVARKLAIPEPTEGGGNLAPSASGGQGGTGIPTLQYSVLVHLVCVEEDDPGLSRRENAGGRGPRGLPEHGAGGGEDGRGNGGAGTTRRRTRNLEWQRGVPDARKGPGSCTAAHGRRVSLRAAAPEQGQSWALPCLESPVQMTVQSGRDGPKSAPVQPDKAGGPPVQQLSFDQNQCKGDKGGLPLEGGVAGAADTGRDVEDPHSLLHGMRKETREDPVGPDRVLDRSVEERLHAWPESRGEQLEWEDARDSLPLVGMDPEGIGREVEKEERAPVDGSPSETESGPSLVDARDRREGNQYFVVPLSDEEMNRSLEGDGRVGSLGREEESSWSGSPCQSFTGPNSKMQLVPRGEAQSGSREEEANSRELEQEPDLEVLRETQARVGFEKEKEELHKVKRFCEAILKTLAPPLLQEMEKASELRADAEPFTPKRVTRRSTAVLASTKVKKASVAESTLLKALGICPENLSVNEADMTRFKEFFNSPVREAHIKVLAAIFGKEMPNNFGRQEGCGVVVQAH >cds.KYUSt_chr3.47247 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296417921:296418769:1 gene:KYUSg_chr3.47247 transcript:KYUSt_chr3.47247 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGALVWLLCCVCVGGASAYYSVPADPRHPTWQPSPSPGRRGYHHGPAASPRQYHHHGISPAPASPHYSAPAPASPRHSAPVPASPHYSAPAPTAGSDDMPSLPPPATPVYSRAPEPQQTTTTPHFGFPLEPTVGVASARPAGAPASGEGYPFIGSNPTVPLPTGVTDTATVRPLPDTGGHADADGKVVGRAAAPVRARAAMIGLLVVFSSVLLSSCSWSS >cds.KYUSt_chr7.17125 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106097078:106097344:-1 gene:KYUSg_chr7.17125 transcript:KYUSt_chr7.17125 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCGPRWAPPSSVFLFGGRQQGTLLGGTPFLPGPTMGLTTDDSVDTPGESFAPLVPMLAVPLGTVFPVEGFIGELGLLLSRVLAFSG >cds.KYUSt_chr4.9165 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55249978:55254760:-1 gene:KYUSg_chr4.9165 transcript:KYUSt_chr4.9165 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGAIRSGGGGGLMRTRLRLPVVLVCCSLFFLAGFFGSLLFTQDPQGEEDVERPLRRERLMEAAWPEMAYGESGDPAPSLIPHQILSWQPRALYFPQFATAEQCDNVVKTAKARLRPSTLALRKGETEETTKGIRTSSGTFLSADEDPTGALAEVEKKIAKATTIPRSNGEPFNILRYEIGQKYASHYDAFDPAQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGENMDIGYDYEQCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVVKGEKWVATKWIRDQKVKMD >cds.KYUSt_chr5.32406 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205606519:205606974:1 gene:KYUSg_chr5.32406 transcript:KYUSt_chr5.32406 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGTVDVGGGSSGLGRFRVVGPIQSPSFPRKAVLIPNKRGCPPSSPLSPAVPHLCGSSPPSRPRPARSGACLRSEELLSETLARTSTRDACRAAADSDSVWPASCPASSRRSPPRKKDLFLRLTDCPVLLPDKRVWYVYVDPPTQQAVPL >cds.KYUSt_chr7.5906 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35319038:35320689:-1 gene:KYUSg_chr7.5906 transcript:KYUSt_chr7.5906 gene_biotype:protein_coding transcript_biotype:protein_coding METWKLLREYSGMALTSPPGHIHRHQDLRRWCLEWLGKLKDKELAVGVMALYQLWLARNDAREEVQVSDPREIAKRSLFLVEEWAELQPSATPKTPQPVEHWQALRKGGTSSAPSKLHTDGSGSGLGRGWGTALHRLEKAPTPPPRRKTGISPAFKQRAFGLSFRCLTPDHFVTGCRGIVRCLGCRLSGLRERNCKASRSCEQQVLQWLASGTGSLLGIGCCSSGQLCGYSR >cds.KYUSt_contig_1130.109 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000106.1:678450:679655:-1 gene:KYUSg_contig_1130.109 transcript:KYUSt_contig_1130.109 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPSPSAAAAEAADNDSAVASAKPAELLEAAANCDGVQGHALFFDALVQLIPPRFYLSVDDEDRPYYQGLSKSAKAAMKAQSRANIKAARRARLDPAGPPSSTLDLLKKSVADQEAEEEEEEEEQDKSQDEIQETGDEATTEGDDDGNDDAEEEEEDDGEKEEMLMAPAAVVSEDRSVTYEELRERLHRRITELRGNRCTRPEFLNKPQKEKSKKVKGSKVKNGKDEGTKRKREDADDAEGKDGKKHKKEADGKAPDIVYGNVLVDPKDARRRKKRKIKNKKKELEQAKRMQRAKEDPKKATKMAWDLATRRAAGEKVHDNPTLIRQSMKKDKKRQEKAAEQWKDRQKTVDSKRKEKQSARTENIRERAQEKKARKIEKREKKLMRPGFEGRKEGYVNK >cds.KYUSt_chr1.4764 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29318175:29321281:1 gene:KYUSg_chr1.4764 transcript:KYUSt_chr1.4764 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKLEDLILGKRELVDDRVWMEVVYANSYALFMGYLSMAVKGLGFLVFTWTTVVLLGGFVSDLPKKDFWCLVFITLVQTAGIFDVFLNEKLGYVGYSFVGYTQVVFNHGYLFGALAVVQIVACVVLLCPLVAVYMFGLLISSGIAVWRLIQHDYVSVAREHRNMKPALDILYSLALLQGTIFCFKLLFGLSKGFIVNKVLKSRNSSGQCRGGVEDYLRETMIICEKEPSRSIGRNLITYAVDLMGSKSPDDYLSGLRILDEFASCLAEIIEKEWQEENCIMFDSYLFMKQEHILMKHLIVPGYSTSSDDILQKLLQTLGYRSMYDRETKKRAARIVASIAEDIRLEEFPGAIRCISSLVDTLEQYHLLQPYQRDWLNGSYEKDWMEVAFANRFYEDDYKEEKNDTDPQNTYKELMVQGFLILGKLATNVDNCRVMVDTPYLLSKIMAPMTSDLLHHIDHGAWSDIVEGSLKVMAQLTAATGKIGSKLRSEISSSKEAIRTMMSILECPSDECKRQQKHVIWILGHLYMDTSLSLENASRKMFTEMLVNIMTDDKEATHARQVTEANGRMEMKRVERAKRGKEARRSTAANTLVALSSKFETTAMVIMKANDNAVDNLTTMIFQKHKCRLPAAQILKYLCIHYTIDDEILRKLQKAMIDALPKVLREIVCGVSQVNGLSDIPSSSNQRDYMVRDYAMIEMLSLCVTVCNMFIHEDQDLARQFDAISPEDASFSFPKKLKEIVDNNKKPNPDRLRMLKLSCKMVITMMKHRGTYVKEDLESLMDALSSASKEMFILDGSMIFDFRHDETATPKPCTSLASLVKEARELVDTHDRVLTCDLSLWSPV >cds.KYUSt_chr7.23879 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148762381:148763481:-1 gene:KYUSg_chr7.23879 transcript:KYUSt_chr7.23879 gene_biotype:protein_coding transcript_biotype:protein_coding MARVIVVTSAMALLLLVFLLAAAPAPAIALDFTEKDLASEESLWALYERWRAQHTVSRNLGDKARRFNVFKENVRLIHEFNLGDEPYKLRLNRFGDMTADEFSRAYASSRIAHHRAFRGDRTSGDFMHGTAAASSLPSSVDWRAKGAVTGVKNQGGCGSCWAFSAVAAVEGINAIRTSNLLSLSEQQLVDCDTSDSGCNGGLMDNAFQYIATNGGIATEDAYPYTGQQASTCNTAPPDVVTIDGYEDVPANSEAALQKAVAAQPVSVAIDASGSQFQFYSEGVFAGKCGTGLDHGVAAVGYGVTVDGTKYWIVKNSWGADWGEQGYIRIKRNVKDKKGLCGIAMAASYPVKTSPNAKQGGVLRDEL >cds.KYUSt_contig_2660.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000441.1:1117:3772:-1 gene:KYUSg_contig_2660.1 transcript:KYUSt_contig_2660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAQPSASLVLLSLGLVLLCFTSVSTVRLADAQAQPAQSPASPAAAAARPPKTWCVARPSADEKALQGNINYVCQNVSCSVIQPGGPCFNPNTLASHASIAMNLYYAYNGRHSWNCYFRDSGIIVQSDPSKPHPATTTTTLLAVAEISNNHYLKLQPSSQHYASLTENLVLQLREASCNFCPWTVEVLFDGQGKMYLHTGWDKFARDLHLEPGCQLTFLYEGDGEMIVKVFDDTACRRHYHTDDSGSDTDS >cds.KYUSt_chr1.3557 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21661814:21663962:-1 gene:KYUSg_chr1.3557 transcript:KYUSt_chr1.3557 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLFSAAKTLPLSHFPPPPSPPPRLQLRHATATDTDAGDDAPPAASGTTARERRLAKAREERHRREYERQHTYPGWARVLENACRDDEEMRAILGDSIGNPELMKQRIQERVRKKGREGFNRPKTGSVAAFKVSFRDFNPLNAFIWFELFGEPTDRDVDLLGGVIQAWYVMGRLGAFNSNNLQLANSMLDFDPSYDSEEASAVMPSSFHDISDVEFQDSWGRVWVDLGTSDYLGLDVLLNCLTQLSSE >cds.KYUSt_chr3.27703 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173048347:173048634:-1 gene:KYUSg_chr3.27703 transcript:KYUSt_chr3.27703 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCAVLLLVVILAASGTVCSASRAARAECDPLALRPCVPVILWGDQPSTACCAKLRKQRRCLCKYAKNPYVGRYINSENSKKVAAACRVRVPRC >cds.KYUSt_chr5.19872 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128920010:128933335:-1 gene:KYUSg_chr5.19872 transcript:KYUSt_chr5.19872 gene_biotype:protein_coding transcript_biotype:protein_coding MLELGSDVNLYALVFGESVLNDAMAISLYRTMSSVRSNAAGGENIFMMVLQFLEIFVGSMSSGLRGAMAFALALQSVHELPEGHGKAILTTTTAIVVLTVLLIGGSTGTMLEALDVIGDENTSIENYEDNNGYVPPTYEEGMSSGGGLRMKLKEFHKSTTSFTALDKNYLTPFFTSQTDDDADADDFDHETTNVGETGASRDDQYIKRLKPVIGMEFENEHMAYEFYNTYAGHVGYKGQSSTSGPCQRKRADTRVGCKAEMTIKISEIGKYVVSSFEDAHNHELVTPSKAHLLRSQRRITEAQKAQIDILNDSDNEWLHRLFQSKEKWALVYGRQTFCADMISTQRSESLNAMLKRYLHVRLDLLDFFKHYERAVDDRRIQLVYASTTEGGNYNVQALPGAMPQLPHGGNYNFQALPGAMPQLPQGGNYNFQALPHAMPQVENYNMQVLQRAMPPLHQANATFQQLLGLQPQQPYSYYNTQPSQSSGSVGLPDMGWTTYQNGQLPSKRQAQSTGHLPWSGAQSRPPPLRRGPAAASRRHCSELRRGRAASSIAEAAVASSVEDAVASSVEDAVASSVEAAAASSIAETAVASFLEDVASSVKAAAACSITEAAVAIFSRSSGAQLGVAMCAGELLEQSEQK >cds.KYUSt_chr5.28942 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183204272:183208722:1 gene:KYUSg_chr5.28942 transcript:KYUSt_chr5.28942 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGTRGVESYTIRGTTKVVQVGDCVLMRPSDTDKQPYVARVESLESDGRGGVRVRCRWYYRPEESKGGRRQFHGAKELFLSDHFDTQSAHTIEGKCIVHSFKNYTKLDNVGQEDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPTCMGMTIEQAKKIDNFLCADCAKENGTKRPSNSYPSSPSSDSKISDLPVEGNGAPDPVLPVLPGNVAEVPSHVPAGLTFPCASMLNSTL >cds.KYUSt_contig_1253.275 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1827118:1828419:-1 gene:KYUSg_contig_1253.275 transcript:KYUSt_contig_1253.275 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTASPVSGATSSEDELMPPVDDDWSDDDHATSSEDELMPPVDDDESDDDTMDRIPELTINKILTLLPPTSVTRCKTVCKSWFSLISSRPFLRDHAAARAVRRRRSDPSILLFDGAARFPATIVDENRTPRLALRRWRAEPREGYDVQNCCGSIACLRSGQGDAELLNPATGHCLGLGGGEFVGDRHTRTGSKQLPWYCLGRCPSTGEYKVMRLDVRLPYSSRPHVTCDVCALGRRGGSKGLRPYGARWQEVGLWDVNYCPSGRGVHVHGVVYFLVDFYGNSVVSFDLSTHVRNHIDLPVVEDAVASLSKLDGKLCASLVPSGGPSCGEGGTNMDVWVLGDGGQQGWIHRYRFELDGAARHVPRPLFVSGRRTLVMKCADGSLCSYDIAANSSDGSDVLVFPHKSRPQMMSGITADVFVESLFPLRTILWS >cds.KYUSt_chr4.53722 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332384585:332386568:-1 gene:KYUSg_chr4.53722 transcript:KYUSt_chr4.53722 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAARHMFNALRHRRRRPSRGEDLNNSRPPLDSPRESRSPVRALRRMAGALLATARLRGSFSASKCKTEARMATARMKLLRNHHDTQLRKMRGDVVALLRDGRDDTARIRDVFGVAPDTTTSTEQRSL >cds.KYUSt_chr4.45650 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282483536:282486671:1 gene:KYUSg_chr4.45650 transcript:KYUSt_chr4.45650 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPTKNDYYVLIAGSIGFATGNVLEIPQFEMQSYKSTFNVLSLRRLLLDTSCSWVQFSGVGKADKGARQSSTIQTQEDTAFGRAQMNSDEEYSAAFAATIAAAAYAIAAREEKLEAQKKPFPVDVPTAAPHVQPPIKRGESTRKPTGGSKVSRWFSAKETAEDDYDGQANVSVRRPLKPEQRKPGGTGSDQKVPLPLPPKMLDSSVSAKKPSGSSRKSPDRRGSKRFEQEQAIQRAPSAVRPATSYQSRRNDDVAAGVTAIAGTQTKAEAWEKAKLARIREEYEKMIETISEWETEKKVKAKRQKEQKEVELDKKRAKTLAEYNQEMTRINKIAGGARSMAEERKYDDEKRIREKSKKIRSTGKSPRGCCF >cds.KYUSt_contig_988.84 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:554416:554924:1 gene:KYUSg_contig_988.84 transcript:KYUSt_contig_988.84 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAYVVFFLAAALAAACGAEAALVEHTFVVSQVKMHHMCNDSLVTLVNGQFPGPAIEVTEGDSVVVHVINKSPRGVTIH >cds.KYUSt_chr2.51465 pep primary_assembly:MPB_Lper_Kyuss_1697:2:321614373:321619111:-1 gene:KYUSg_chr2.51465 transcript:KYUSt_chr2.51465 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRSEERYAKVPSLVVGCPPLQVLTIKLEAAAKNRRDTRTPPLGVHLTGSRTAPQSPRIPLSYSEELGPQQRRTSRIPLSYSEELAPQQRSASQLQHPHAAQPARTVSLVGKFVSVVGAIPELLSRKKSHIRYLFDDNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNGSIRKPN >cds.KYUSt_chr6.19865 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125246748:125248856:-1 gene:KYUSg_chr6.19865 transcript:KYUSt_chr6.19865 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSTADIAKLVADTVGALPGHMEVVRHHPDAFLLTFIHVQAGERGGGGRVLGCGGLVAGARSAGARARPPSGTATAAVPVHPCTTPVPPHPSRIPPRHPHRESHHRRPPPCSAPAGRPRTSWFHAVAERPRSSCSSRPQGRDPPARRAAAGRPKLLLSALPLGGCEAPVIRAAKVVTLLLAAPPPGGREVLLAASPPGGREAFARSAAKVASLVLAVQPPGGSAPAVPTPSPEAGPAVPHVSIRRPSTSCLMLLYWLGTPGPLVLPAITRNYGDGPCVGSKGCSFDMLYIWYTCIQPLCAKSEGSPHAWSS >cds.KYUSt_chr5.19481 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126351074:126358774:-1 gene:KYUSg_chr5.19481 transcript:KYUSt_chr5.19481 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPELDVEEYAEKRQTDVLSLLLNAQQEEQMVPPTWGRHVGCHCRDRRGQQAKSRKAYNLSVHDNEVLKASDSLLKVNSMEWLGKEEEAPVVDVVGSRLTVAFSSRRQVDVGDHLEQLAHQKDAPGYRGAKGHTAWAIWGLQRSGEERKRRARCRSLKCPCESIRNTHAKVGTEKRLKSSVKKAMLDVGCRDQEQKGLALCSQMLPMSMAFAARDVAVQGTILYYVRIVIKCCFVRSILPRKTGRPSSSFMEPFAAPCFFRRFS >cds.KYUSt_chr4.23284 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146667522:146670109:1 gene:KYUSg_chr4.23284 transcript:KYUSt_chr4.23284 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGFDFWFGIDLNEPADQDFAPGSNDNEAGSSHAHPVWEGTPDQGFVPGSNSNAAGTSHGGPNWENVDATGPFGPYSAGQSSDAQVDPKDTSDRGTNAGSGFQTGVTLPSEDSGEEGEVQSTPEGFSPKTPFLGMKFDTWEAALSHYNRYAHHVGFSVRIESSRKSTKDGEKDKCLFVCNKTGNNSLPPTPVKIRNRAITKLADCKAKMRIKRSGARWEVTQFVEEHTYEFVEKFALKKFLRSHNKIPKEEKKFIDLLSNVNLSSGRIMQIMAELYGSKQNVPYSTKTISNYRAQHSEERKIKDIPELLKYFEKLKEDDPRFYYDYKLDDDNRVENIFWVDGAARDVYKLYNDCISFDTTFMTNQYNMPCAPFIGINRYGQSIQLGCGFLRNEKVANFEWLFRIFLVAMDGLHPLNIITDQDVAMRTAIEMVFPDTIHRNCRWHIMQKVQEKIGPMAAKREDLRRDFNDVIDYSVTEEEFETRWAEMIQKHDVVDNDHFKDIYDPRKCFVPAYFMKRFFPFLQTTARSEGFNAVLKQYISPRESLLNFFKQYMKLQEKIDSAEDGHDFMGMDKVVRLWGDFPMEDQILQTYTLPIYNIFQLELRKITSYNARDCGGGVFEVFPVQVSEKTKEIADKHMIAMSKELAALKKANADALKRRKNKSVATEDISDSSPFEGRMDEDVSQSRNKKAKDPPVTAAKGRPCSKRKKGGLQPKKPNPTTCSVCGEIDHDARNCPVRLANPEKFPFHQFFQ >cds.KYUSt_chr5.29641 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187947502:187948161:-1 gene:KYUSg_chr5.29641 transcript:KYUSt_chr5.29641 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSHGKGKMKKKSAADSTIFTMWGKASKAKKIDERSTPSQPESSLQLVLFQAPDAGLEPESSGANPVPIMVDNEEIDEDDDEPTQADLGALEHDPGKRIPISRYDVNDQDRVRRRYIELGPCQPKNHKFKVTNKSGWIVAFAILGLKNFHGLSIV >cds.KYUSt_chr2.5974 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37112436:37113512:1 gene:KYUSg_chr2.5974 transcript:KYUSt_chr2.5974 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHTMLPAPPPQRVKDMVELIEEILLRLPSGEPDSLVRAAVVCKPWRRLLSSHRFRRLYRDFHKKPPMLGFFQNCLLLGSAAESTFDTYLTTKGSLLAPASTNGFLPRDPDGRYGVFDCRHGRVLLKSWDYNNCWAGVSKYVVWDPMKGSQRPLLTPYQRGGVNHGVAVLCAVGDCDHSACHMGPFHVVYITVDNENELGDGAWAYVYSSKTRKWSERAFLQLPGDNDHDMDKRPFVLLVAEALFSLIITFTPTKTRQVIKYDLGDKSLSVFNVPPMVGDWFEIPPALVTAEDGGLGLAHVDKFGLHLWSYDDGVAEWTLDRVIDLNKMSLPIGDPMIAPQVAGAVEGTRTIFVVVL >cds.KYUSt_chr7.20645 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127981315:127982031:1 gene:KYUSg_chr7.20645 transcript:KYUSt_chr7.20645 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYSQPKEESATSDPPPAYAATTYPPLVMVSGAPPAGTVFPPGSQGVPAYPANPVQLSDQNQIPFQVLQQQQQDHQQQQLQALWAEQMAEIEQMSEFKLHSLPLARIKRIMKADEDVRMIAGEAPAVFAKACEMFILELTLRGWFHAQEKKRRTLQLNDIAAAVARTDIFDFLLDIMPEDALKENGVGLQPATMQAMVPSYALGMYGNQQPVPFAWSQPEQQQQQQQPYNGGQDEGA >cds.KYUSt_chr1.666 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3558866:3568988:1 gene:KYUSg_chr1.666 transcript:KYUSt_chr1.666 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGIVLLMVATVRRMLLEEILVVSLVPAKFLLPAAASSVRPLCCGTARHLVSILAVSANIRAGNKATPVEATQPVHWHVSKVLLISAALGPGAPPLPDLLAPAATRGDTGCHDGSGKAPKQPQLTRHWRWMNSSMCSICLCRPHTSWSYARMHLRNVNCRSKKVWSMYVYRRKLWSRVATLRHRFAGRYRRKCQDDLKQLVYVRVIFLVALESDPAWKAGLALAVPLLTREFCNAPDQGVIVIV >cds.KYUSt_chr5.15703 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101308104:101309687:1 gene:KYUSg_chr5.15703 transcript:KYUSt_chr5.15703 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSNNVSRQQQRRTGDALLIPKPEVKEEQDDETVRKSALLAEGDLDSAIAMSIRDTRKPLVDLTDDGEAGPSGAVKDEPDERGKYAIGNTRGQGGALLVFARSRSNGKECRWCRRGHGRRPLYSSCRSGYVNTLSVFIGEATCVNCLKCSSTPTHSHTRVVAHGRTSEDRIVHTIWMVEMSDMIALDLPDIFAKVNKFKAVFYEDEYESAM >cds.KYUSt_chr5.5348 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33541694:33542806:-1 gene:KYUSg_chr5.5348 transcript:KYUSt_chr5.5348 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLHRVWTPNTGAFPYSVARHARTGSGWMVGSAQVRPRCRGSQAPPTAAPEQTHYLTRRTGASKVVSKLAYTDQFTCLDKAAMLTPEEDALCADGKTPTDPWRLCTMQQVEEVKCLARIIPVWSSGIVYFVVVTQLSTYVVLQAAQTDRRITASSSFQIPQGSFVVFVMLALTLWIPVYDRLLVPLLRRITKREGGITLLQRIGIGMVLSVVTMLVAAAVERRRRRIGPGSPMMSCFRLVPQQVLAGLSEAFAAIGQTEFYYRQFPENMRSVAGALYFLGWALASYASGLMVTILHRTTGWLAQDLDEGRVDLFYLVTGVIAAVNLVYFVACARWYRFKKSDDDHTGSGAGDAGLDESPKKAANAAPV >cds.KYUSt_contig_6320.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001280.1:117946:118266:-1 gene:KYUSg_contig_6320.21 transcript:KYUSt_contig_6320.21 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESSTSAYSPPTLRHKLRTTVCGCFGSPGNDGGRAKWRRRVAATGEFRYDPLSYALNFDDGGSDDSDEGADAAAAAFRYRDFSSRLPPSPKPAAARRLTAVAIA >cds.KYUSt_chr5.39992 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252719295:252723008:1 gene:KYUSg_chr5.39992 transcript:KYUSt_chr5.39992 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLGLGLLLLLAVAAAADGLRFRRKSGTFKVLQVADMHYADGRSTPCEDVLPAQQRGCSDLNTTAFLYRLLRAEKPDLVVFTGDNIYGPDSTDAAKSMDAAIAPAIAMRLPWAAVMGNHDQESTLSREGVMRHLVGMNNTLSRFNPEGLEIDGYGNYNLEVGGVEGTPMANKSVLNLYFLDSGDYSTVPSIPGYGWIKTSQQAWFKQTSASLQANYTNEQPKQKEAAPALAYFHIPLPEFGSFTASNRTGVKRDPISSASINSGFFTSMVEAGDVKAAFVGHDHLNDFCGNLTGIQLCYAGGFGYHAYGMAGWSRRARVVSVQLDKTQDGKWQGVQSIKTWKRLDDRRLTTIDTEVLWKRGSN >cds.KYUSt_chr7.9531 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58129444:58134715:-1 gene:KYUSg_chr7.9531 transcript:KYUSt_chr7.9531 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQARLSSMTVALLLVAAAAVQMLVVDAATETASPSASAKKRPVVPAVIVFGDSTVDTGNNNAINTVLKSNFPPYGRDMHIPGGGATGRFCNGRLPPDFVSEALGLPPLVPAYLDPAYSIQDFATGVVFASAGTGLDNRTASVLAVLPLLKEVEYFKEYQQRLAKYVGPDRAKHIVSNAAYIVSVGTNDFLENYYLMVTGRFLEFSVGEYSDFLVARAEEFLTSIYKLGARRVTFAGLPAIGCVPLERTLNVLRGGGCNEEYNQVAREYNVKVKAMIARLRAKLKGFRLAYINIYDAMVDLIDHPEKLGLENVSEGCCATGKIEMGYLCNDVCPLTCDNADKYFFWDSFHPTEKVNRFFSKQTTEVWLSLLR >cds.KYUSt_chr5.15997 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103054948:103058167:-1 gene:KYUSg_chr5.15997 transcript:KYUSt_chr5.15997 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLATYPFPFLSSKTLNPTTTSKLNPPHALSLSLLTTPAPLLLPSGRGRRQRDVSAAYGDGDMDDDFGDAGDFDLDGDDGVGDDEDLDNEQDYDVDYDRLLAPVKPRRQLSVRTGGAGEEGEGDIAMVAADSFMSTGESAFDTVVDYTVDEDEFHKISLLHCDFFIRKVPDPDHDVYDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCAKKNFARYHVSEPPVEHMRDPLYKTEREIMKVFLTKHYRNRRFSDTDFFLDFEEIYVIDSKSRSITRAKVVVNVPEGKKRDRRNDLLLIRDGGDSFRVTDKTQRDDASTIIQREEWKKSRQDTEKHFRKLRDFDYSNWF >cds.KYUSt_chr2.51003 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319003762:319005801:-1 gene:KYUSg_chr2.51003 transcript:KYUSt_chr2.51003 gene_biotype:protein_coding transcript_biotype:protein_coding MMESPSARRRRSPPPPNGASSGRLQPSSDRISALADDMILLILARLGSVRAAARTGLLSRRWLGLWTRLADLAFRADAADKIEAALARFSAAASAVASSIRISFPVTPREPSPLLRAAALLSPAELVLVLREEPRQAYGGAVVLPCFHRAASIEVDAGLHIIRPPPAGEFPALESLSLAGNVAGLGALLGRCPRLRVLAVTFRGVDPDSLDAELAALEPAAARGLELSLFGVHFDTRHRHGVDAARFHRLLCTAARLSPQKLRFTHDFALDMEAAAHLPCFHRATSIELDVGLLRISPPPSGDFPVLENLSIAGNIVDLRTLLGRCPRLRILGVVFRGVLHLRALKAALKALEAAAAALGLTLSLLGVDSRSTDNLYAPGFRDLLRAMARISVQKLVFTHHFDSPIEANLPCFQRAMSIELDLENVYFTALLDGEFSALEGLSISEGCSIVDLSTLLSRCPRLRVLKVAMASGDVTVHSASVQDLHVHSNTECHTIDIVTPKLKKLEMEISAGSGSELSVSISAPMVEKVSWKRRYTTSAVMFGFWRLECASLESGQELDTCDPRVHTLCLHISCILSGRLGSRPILAKETEKLLITNFSVLDLRLCTCGHIFGALVLRILGMERIRAATEKLKIVIQPSCPPVTFTHV >cds.KYUSt_chr4.143 pep primary_assembly:MPB_Lper_Kyuss_1697:4:816492:819225:-1 gene:KYUSg_chr4.143 transcript:KYUSt_chr4.143 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPYVEEEQPVASRDHFSSCSSPEDNDAQDCPIELVDDFSAIDGEVGKRLNDMLPVPHVPKTNGEIPSVDEAFSDHRRLLDRLVLYGLVELKVNGDGNCQFRALSDQFYRTPEHHRFVRQQVMKQLESHPEIYAGYVPMDYREYLKKMPKNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSKRVICLSFWAEVHYNSIYPEGELPVVENRKKRWWHLEF >cds.KYUSt_chr4.9341 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56415916:56424048:1 gene:KYUSg_chr4.9341 transcript:KYUSt_chr4.9341 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLGLISDDEKDIRFLEFRSEVMDIRRRYGSVILYSCRMFGAPGFHIKLINKSDSRKQINQGSGEANVTERFGISVRSVFMSLVLCQLMQKCESKGAGKFCIPSIIGIVYYCQVPRWRRNPWCCAALHSATINLHVHLDSYCRHQNLTIFITVCEGFLGIDPHWGSWKKIFFIKRHSGNNEPYVVGGVGFVVWKEVNYFNFPMKESVQGWRSKWFYLRDRPASGHRSDLPKFSDVLEATPKKSWQNILTAEENR >cds.KYUSt_chr1.31174 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189103216:189104470:-1 gene:KYUSg_chr1.31174 transcript:KYUSt_chr1.31174 gene_biotype:protein_coding transcript_biotype:protein_coding MSARARRIARSREEMLGLLADFSCDGDDSDRELSFSDLVDALGRPPNAGHVPKALPAAVAAEEDAVTSKQQQQAAAAAGKQRRLRRRRSDNRGSCGGSCDGVLLNFYVPGLLTRSMTAPRHGRGTLPASAAGGPPAKAAAGKSRIQASLAIGCWPTLWGRSRDHGNKPV >cds.KYUSt_chr5.35945 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227101993:227103527:1 gene:KYUSg_chr5.35945 transcript:KYUSt_chr5.35945 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFQGQQHGHPTTRLDEYGNPVTAGYGEGLGHLQGQQHGHATTGRLDEYGNPVTAGHGEGLGHLQGQQHGHQTTGRLDEYGNPVMAGHGTTGTGAHGAGQAGYGSTGTGSYDTAGHGRQVGYGATGTGTHDAGGYGGSGQAAGYGATGTGIHDAGGLGTGRRAGHDAGGFGTTEHTAGYGATGTHGTGQTTGYGTGEGTGITGTHGTGHTTGYGTGTGHGTGITGTHGTGHTAGYGTGTGITGTHGTGQTAGYGTGTGTGITGTHGTGHTAGYGTGTGTGITGTHGTGHTAGTGTGITGTHGTGHTAGYGATGTGITGTHGAVGTHPHGGLAEHKTSGTGGILHRSGSSSSSSSSEDDGMGGRRKKGMKEKIKEKMPGGHKDQTTATGGYGPGYTGTTGTGGTHGYTEGTHEKKGVMEKIKEKLPGGHKDDHPHTTATGGYGAATTTGTTGTYGTTEGTHEKKGMMEKIKEKLPGGHH >cds.KYUSt_chr5.37309 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235909664:235911221:1 gene:KYUSg_chr5.37309 transcript:KYUSt_chr5.37309 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMAGVSPLRPLLFLLLAAFACHADGHGHAHGLGVNYGRVADDIPSPRRSVQLLRAAGAGSVKIYDANAPVLRALAGTGLTVSIMVPNEIIVDLAASYAAADKWVADNLLPYLPRTRIKYLLVGNEVLSDTSAANTTWPRIVPAMENLHRSLQRHGVSRVKLSTTLAMDALVTGAFPRPPSAAAFRPDIAEAVMRPLLRFIERTNSYYFVDAYPYFAWAGSNGTVPLDYALFRAGSTTRYVDPGTGLTYTNLLDEMLDAVGAAMSKLGHGGVRLAVAETGWPNAGDYDQIGASVRNAATYNRNLAARFARNPGTPARPGARMPVFVFSLYNEDLKPGPGTERHWGIYYPNGTAVYPVDLAGRRPLWAYPPLPVPQNDTPYKGPIWCVLAAHAAEKLNETAVGDALTYACGQGNGTCDAIQPGGACFQPNTGAAHASYAFNSYWQQLRKTGATCYFNNLAEQTIKDPSKLLLKLTTALSTF >cds.KYUSt_chr4.13908 pep primary_assembly:MPB_Lper_Kyuss_1697:4:85603005:85603367:1 gene:KYUSg_chr4.13908 transcript:KYUSt_chr4.13908 gene_biotype:protein_coding transcript_biotype:protein_coding MQALARAARGLWPAAAAATGRGHYGQVQPSRGIMVQVRDGNLERALQVMERKMRSSGIERLIKRRTEHHVKNSEKRVLAHKALMARVRSQELGKKLREILIKKIRSVPFSSHHFRLLVRH >cds.KYUSt_chr4.41467 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256473868:256477943:-1 gene:KYUSg_chr4.41467 transcript:KYUSt_chr4.41467 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKLPGFLFVLVILHCVAREARALNTDGEALLAFKKAVTNSDGIFLNWREQDADPCNWKGVRCDIPTKRVINLSLAYHKLVGPIPPEIGRLNQLQTLSLEGNSLYGSLPPELGNCTQLQRLYLQGNYISGYIPSEFGDLVELETLDLSSNTLRGSVPQSLDKLTKLSSFNVSMNFLTGAIPSDGSLANFNETSFIGNRDLCGKQINSVCKDAVQSPSDGTQLPSPGDGSKSSSTRLAISAIATVGALLLVALMCFWGCFLYKNFGKKDIHGFGVELCGGSSVVMFHGDLPYATKDILKKLENMDEASVIGSGGFGTVYKLAMDDGNVFALKRIVKTTEGRDRYFDRELEILGSVKHRNLVNLRGYCNSPSSKLLIYDYLPGGSLDGVLHVPVEPLDWDTRINIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDANFEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATETTDVYSFGVLVLEILNGKRPTDASFIEKGLNIVGWLNFLVGENREREIVDPNCEGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >cds.KYUSt_chr2.3442 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20586940:20587662:-1 gene:KYUSg_chr2.3442 transcript:KYUSt_chr2.3442 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMSCLAQPPASFLPTDYYTDAAMARALHYSMPDHYSPAAASTSSPSSSSSLLTDFAGSSGGNNNWFASAPPPTGLTCDSVLVASDAAPRPPSTPINTSVNPAATSNKRRLGLGVAGRAGKRRPRASKRAPTTYISTDPANFRLMVQHVTGIQAEPGTGDDVLMPASFNASAAAMLNCPTYTGASFGDALHLPSDADAATLHHRLQQQHQHHQQQQQQTCFPTLDSSWNVMYDSAAHLL >cds.KYUSt_chr6.15263 pep primary_assembly:MPB_Lper_Kyuss_1697:6:95657328:95661566:-1 gene:KYUSg_chr6.15263 transcript:KYUSt_chr6.15263 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGDFEQAAAHFTDAIALAPGNHVLYSNRSAALASIHRYADALADARKTVDLKPDWAKGYSRLGAAHLGLGDAASALAAYEQGLAIDPSNEALKAGLADAKKAAAAPPPRRSPSGSGGADAIGQMFQGPELWSKIAADPAARAYLDQPDFMHMLREVQRNPSSLNMYLSDPRMMQVLSLMLNIKIQTGPPQDPDLPQTSSPPPPQQEQPETRAAREVEPEPQPEPMEVSDEDKDRKDRKAAALKAKEAGNASYKKKDFETAIQHYTKALELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMVARALTRKGTALAKLAKSSKDYDIAIETFQKALTEHRNPDTLKRLNEAEKAKKDLEQQEYYDPKLADEEREKGNEMFKQQKYPEAIKHYNEALRRNPKDVKVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAVQFFMKEHDKAMETYQAGLKHDPNNQELLDGIRRCVEQINKANRGDISQEDLQEKQSKAMQDPEIQGILTDPIMRQVLMDFQENPSAAQHHLKDPGVAQKIQKLISAGIVQTR >cds.KYUSt_chr2.10304 pep primary_assembly:MPB_Lper_Kyuss_1697:2:65305235:65307117:1 gene:KYUSg_chr2.10304 transcript:KYUSt_chr2.10304 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHGVVVVPEDTVVGVAAGRHFSFPPPRTGDSCKMLAGQIDLGAAMMGSWLDSMKASSPRYKLVAPRVAAAGDVEHDDWMEKHPSALGEFESLAAAASGKQIVMFLDYDGTLSPIVADPDSAVMTDEMREAVRGVAEHFPTAIVSGRGRDKVFNFVRLAELYYAGSHGMDIQGPTADSNHHLNTPSKEGQARSVLCQPASEFLPMIGEVHDLLVEKMTAIPGAMVENNKFCLSVHFRCVEEKKWGTLAEQVRSVLREYPRLRLTQGRKVLEIRPVIKWDKGRALEFLLGALGFADRADVFPIYIGDDRTDEDAFKVLRSRGQGAGILVTRFPKDTLASFSLRDPAEVKDFLHELVIAKS >cds.KYUSt_chr4.9995 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60422142:60426443:1 gene:KYUSg_chr4.9995 transcript:KYUSt_chr4.9995 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLSDVLVLLDGVLGCEMNCRNGLAGVCIVWYGWATALLLYCWTVVVVVTTHTLSVVTMLLADGPQLWGLVCMGYDVNISMVAIGVHHNGVMLYRGTDQWAIGQVRRHVGVDQVQIANMAWEFMAGGSPVTAPEQGCSPGRVTTPNRTTVLLI >cds.KYUSt_scaffold_2697.234 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1552757:1555861:-1 gene:KYUSg_scaffold_2697.234 transcript:KYUSt_scaffold_2697.234 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVAVDVGGLIASRAGEAVGLPSCGKEVAALIGMVECRICQEEDLPKNLESPCACNGSLKLMALLLLRHTLTITSSDDEDDASAIFSEEEMLLPTEVAIILHPNGTMQFTVSPEIPASPRLEPAQ >cds.KYUSt_chr3.41664 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262951374:262955000:-1 gene:KYUSg_chr3.41664 transcript:KYUSt_chr3.41664 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFFHHVVGDLTVGKPQIVELSDADTLHDAARAIAASPEGAVPVWRARAAPEEPPSGARFVGMISAVDIAAFVATAGDHAMQATVGEVVQPNPDLLREVDPGTRLIDALELMRNGVKRFLVRKNGSWRGLTKRFSMLYNGKWLKNSESGSPSAASSSNRQLSPFISSVNRFCCLSREDILRFLIGCLGALAPIPLSPICSLGAINPHYCHVEASAPAMEAIQKIPRDPCGVAVVETTPDGVRKIIGDISAYKLWKCDYVAAAWALANLSAGQFVIGADENGSTPISAFLEPSISSSVVDETEPVRSPRLLKKFSSRSIGFLNSHANQMRSMYRGRSAPLTCRSTSSLAAVMAQMLSHRATHVWVTDAETEEDGVLVGVVGYTDIFGAVTRSD >cds.KYUSt_chr1.18226 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106412939:106415790:-1 gene:KYUSg_chr1.18226 transcript:KYUSt_chr1.18226 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRAIFQDRPQLDFSNPNWKRQFQEEFDRRFSLPHLTDVIDVESRPTTFSLKSRTPLENVNGSLEESWNGYVNDDDRALLKVIKFASPTSAGAECIDPDCSWVEQWVHRAGPRKEIYFEPQCVKAGIITCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLSEVPLNRNVVQNINLAGGSFLGVSRGGASISDIVNSIQARRLDMLFVLGGNGTHAGANAIHAECRKRKLKVSIVGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLRHLEHLIQTKGFALICVAEGAGQEYLQKSNATDASGNMVLSDIGVHLQQKIKSHFREIGVHSDVKYIDPTYMLRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGICNTHNVYLPIPEVIKTPRLVDPNSRMWHRCLTSTGQPDFH >cds.KYUSt_chr3.39509 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248933260:248934963:-1 gene:KYUSg_chr3.39509 transcript:KYUSt_chr3.39509 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPAPLLPPPPPGALPPSPFRPTVFSSAQRLLLSSRCPPAPAVNTQLPEPPCASPPAALARPCEEEGWQQVGAGRRSGRALTSPSPSREALERSLAFKRWARGRCFRCLERGHHVGTCREPFRCIRCRRPGHRERNCRRRSPVGRSPSPRRCSPSDGSPRPTQARSWAEVVRHSSPCASVPPSSPPGVGGDASVNAIRDSDLQAQFASLRMELLQLVADRIEEVTRPLRDEVAAIKLWLERAVGSWERAEDAATCGVRRAPVSASDARSGDAELLEFYGPFSPVRRPCDSSPLGFDVFRVPLEGCSGLAPFLPDAVVDKVASPAGPQSLISDDVEGFGLAEFFVEASASLSVEHSRLEETAFEHDVVVDVLAAPLVPFAADPEVADSTKLCDFLANLASKKLALMSPLCESLEEIPAACVVVPETVPAEDIQVDPGDPAADKLNAFLSSVFRPVPPPILTSPPPRRPRAPEEVATTPRRSGRIEKLKRLRKDATSQELLARVLGILKENAEFDDNALAAFIDNFKTPLSPRSIMMLGSLVKNLEKVKKPKGNKVGAKKKAVEIT >cds.KYUSt_chr1.8185 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50426320:50429642:-1 gene:KYUSg_chr1.8185 transcript:KYUSt_chr1.8185 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQILGLAAAGAASSPPSAGGGGGELFDLMGANPQEEDVVESHDDLHARRGGTGADEVVMPSYDFQPIRTAAAPAPAASAPAPNAWGSLDSNAASPSLKSAAIVEPRVLKKVSHEEERSNFNAVTIADIDRTMKKYADNLLHALEGVSSRLAQLEGRTHHLEGSIGEFKLTVGNYNGSTDGKLRQFENTLREVQAGVQILRDKQEIVETQIQLTKLQVSKAEDVQPENASAGQIDSQQQLTAPQPIVQPQYQAQPPSQPPALPTLPAPNAPPPPMVHNQPPPQFQAQLPHPQMQQVPPAPSVPTMPQESYYPPPAQPTEAAHQQYQAPPATQPQAHPAAPQHYQPPPQYAPYSQPPPPGSVNPQTAAPSLPQQQEEPAPYGPPPQSYPPNMRPPSPYMPPPGGPAPPFYGPNPGMYEPPAIRPNSGPPPSYNAGYKQQGGGGYPEQYGYNGSPSHRGNAGVNAPSPFGPTGAPSGGSGNYGKLPTAQILPQATPVSSSPSASSGNRVAVDDVVDKVSTMGFSKEQVRATVRRLTENGQNVDLNVVLDKLMNGTDAQPPQKGWFGR >cds.KYUSt_chr4.12952 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79593429:79594380:1 gene:KYUSg_chr4.12952 transcript:KYUSt_chr4.12952 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLGSSPAFLTRPAAKPQVSCAPPSRPPSAQPPSEQPPSPPPQQQAMQAETQPQPQAVPSRAPKRAATSADSTDWVASSLTRRFGIGAGLAWVGFLAFGVVSEQLKTRFEVAQQQANTKDVEEEKEVVLPNGIRYTELRVGGGDVARPGDLVVIDLQGRVAGGEAFVDTFGDGKRPLALVMGSRPYTRGMCEGIEYVLRSMRNGGKRRVVIPASLGFGEDGADFGEEHVQVPPGATLEYVVQVDKVSIAPA >cds.KYUSt_chr4.46134 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285076466:285079915:1 gene:KYUSg_chr4.46134 transcript:KYUSt_chr4.46134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein [Source: Projected from Oryza sativa (Os03g0208500)] MASRDLAESLLPGAGPSTSHSDEYDERAYDSDDKVSIFVSDDEAADDDGSGARPAFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLLWATVMGALVQLLSARLGVVTGKHLAELCREEYPTWATRALWVMTELALVGADIQEVIGSAIAIKILSGGIVPLWGGVVITAFDCFIFLFLENYGVRKLEAFFAVLIATMGISFAIMFGETKPDGKELLIGLVVPKLSSNTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTKKKSRVQEAVYYYNIESIIALIVSFFINICVTTVFAKGFYGTEQAKNIGLENAGQYLQDKYGSALFPVLYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRAMITRSFAIIPTMIVAVFFDTEDPTMDVLNESLNVLQSIQIPFALIPLITLVSSEQLMGSFVIGPITKVISWIVTIFLMLINGYLVLSFYTDEVRGTFVRSSLSVVLAVYLAFVVYLIVRNTTLYSRLRSSVSKSS >cds.KYUSt_chr7.12520 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77077824:77090982:-1 gene:KYUSg_chr7.12520 transcript:KYUSt_chr7.12520 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTSQRSSWRRAAAGRAPRWRARGGAAACDLDDEDEDEGDDYEEEARGRGKAASRSRAASGGGAGPRKRSRQDNFIDDSAIEDDDEEDEDDGGGRPRKKGGGGVRGFFDEEAQVDEDEEEEDEGEGEDGLFKLKPIWFLLFRFVGVLRFLKFKGMTWLLQFGTEIQTTRQAPLFLIGSSSICCLDDNAADANDEKCEEKLKKENIPYAYEYNYIHIYFLNYMLVDASSKCMKHAKRTVLNADDVDGALSLRNVKLKHIWLHIDGDCESNHLERAAGLLSPIGSDFKLSKGVSGTLGHHILLLLFASSQAAQGESTSHPSAAKHMPLRAMVYRSVERATITTATGDEAPGIGGDGEGSVQQFQANNDGRFDQFGAGSSSSANNGEMEMQMMMTPLPGFDDADLEMMMMDWMELGLGFPDPC >cds.KYUSt_contig_97-2.37 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:159349:162065:-1 gene:KYUSg_contig_97-2.37 transcript:KYUSt_contig_97-2.37 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKSLLYRMIALVLLSGCLEELGQVGELQPGISENKAMSSFTVLVCLRVARRWLLVFFVASPRRKTKGESSSIGYVNKRSHLLVSDLYALLALLAGRGGEGEREEGLLVAGVGRCWGVVVSACSPAISKRRRCPASAILGQRDGLAMLDCDSCYYFLQRRIFSDLSAAGSAPAAPSGSVPGGDRNGRSCRFSIGGDEQGLDRFFAISSRVLVVKVMGVISLFFGVLHVIVYLPPY >cds.KYUSt_chr5.22571 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147294982:147297041:-1 gene:KYUSg_chr5.22571 transcript:KYUSt_chr5.22571 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKAPRVSYEINGNKYDKPYYLVDGIYPDWATLVKTVRNPNTKKTKRQMEAGDVTHHKEKKDKEHTGDAKPAKEKKDKKEKKDKTKEKKEKVGEATDATKLRAKLEKIDVKIDDLKAKKQEIVTRLQELEGAAANVAAEAPASG >cds.KYUSt_chr1.19014 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111661219:111665691:-1 gene:KYUSg_chr1.19014 transcript:KYUSt_chr1.19014 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDEYVDLPVSDDDDWEDGEEADEEEEDVKGSSKKKAQQHVDQLKRLQEKDPEFFKYLEECDQDLLGFNEDDIDDDQESDEEPRSVPKEEPKESVKPITMEMVDSWCDGAEDGKIGSIRSILQAFRRACHYGEDTADNSAPKFSVMSGSVLDKVMHFVLKNMDNILRQLLHAPSSGGRKEKINELMVTTPWKRHGNLMRVYLANALHMITEMTDEQMIAFTIHRVRASAVFLAAFPSLLRKYVKALLHSWARGRGAMPLVSFLFLRDLCIQVGPDCLDACLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVKELYSVDPKSAYQHAFVFIRQLAVILRGALTERGPKTSKDKKQKERNKPTNKQLEKSYQKVYDWQYIFCLELWTSVVCGCSSHEDFRPLAYPLTQIIHGVACLVPSARYFPVRIRCVKMLNCIAQATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLINVKQVDKKIVKTRAFQEACIYSVVDELAKHLAQWSYSVAFFEMSFLPLVQLRNFCKTIKAERFRKEMKDLIHQVEANVEFISPKRAGITFSPNDAAVDSFLQVEKEEQSSPLSRYVATLHQRAQNRIDAMDETSVIVGAESSTFSRRLSEVQKQQDDNEETMAFSKNLLAEKKKTETAKKKNKKRARDDDDLPTDEDVVEDLILSSDEEEEDKDSDLESDEDGSAPVEDDSDEDFVDPDSAWKKQKKEKLKKRSKYQPSNKASSKTKRKPHPKKKAKH >cds.KYUSt_chr1.20323 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119786469:119787127:-1 gene:KYUSg_chr1.20323 transcript:KYUSt_chr1.20323 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDKGTKSVVGEIPLLSTRAGPRDGDAWRQRLKEEYRALIAYTSVNKSKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVTYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGLAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPADAAAASGSAAASS >cds.KYUSt_chr7.34386 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214749078:214750037:-1 gene:KYUSg_chr7.34386 transcript:KYUSt_chr7.34386 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIDAACPSEVEIELFPFIRVYKNGNIERLFGTRTVPASLDATTGVASKDVTIDPATGLSVRLYLPPAAAGAAKKLPVLVYVHGGGFMVESAASPTYHRYLNAVSARAGAIAVSVEYRRVPEHPLPAAYDDSWAALAWAVSGCVPEGPEPWLAAHGDASRVFLAGDSAGGNIAHNVALRAAAEGAVIAGVMLLHPFFWDPSNTMSPELEVRIRREWRFMCARPDAKLDDPRICPTSAWAAPLLAAMPCGRVMVAVAEDDFLVTKGRAYHAALLASGWRGEAELVDTPGQDHVFHLLRPGTEAAAEMLGRVADFISRA >cds.KYUSt_chr5.35524 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224459799:224464375:1 gene:KYUSg_chr5.35524 transcript:KYUSt_chr5.35524 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEEARSNNKRQKDERTIYCLPSDIIERVFLTLPFSTLLRCARVCKQWRNFIHDPEFVASQLQHAPQYALLFFQQETVSRQRCPSDAILVDEALSQSTCAVPVIGPDEFLFGLCNGLLALYTKTSTIKIANFSTGQCLHLEKPVKNLRGDHFSLYTFGFHPATKQYKITHFLRDCTQTGRPHNNDNVSIIQVYTLGDEKWKDIPIPIALNLNIVRNSGVVNNDGTLYWLTEDMIANCRHAIMSFDVSKEIFARIQLPEVLQDSAHGYPRRYWIREIDDRLMQCFHVEFTKPEIVEASPSKLQKPCPLVARCCHRKPTMAPHATVLPAPPSVPVRCPDVHSSALADAYPAAHGPR >cds.KYUSt_chr4.18975 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119183432:119184352:-1 gene:KYUSg_chr4.18975 transcript:KYUSt_chr4.18975 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPAEVPSLPQPAASLQPRLSIAAVPASAADDYDQDIYAYLRSMESAPRRRPAPDYMALHTKRGHPVTPALRAVLVEWLSSLAPILRFESRTLHLAVLCLDRFLSTARARPAFRSVRVLITITAAALLVASKYEEVYPAEVEEFVDETSRTNGGCSTEELLAAEVAVLKALRHELGSPTACTFLELFMERIGIGDQAAAQLTSRLIELSLLDYGILRFRPSVVAASAILVALMTARPSDDNPWGHEHERATGYTAEELKECAERLNRLQQNSVQYASLSGFENMRKKYSKSNFKCVWTWPPLASI >cds.KYUSt_chr4.13636 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83989841:83992639:-1 gene:KYUSg_chr4.13636 transcript:KYUSt_chr4.13636 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDNGASTTIAFKQVHQSSPRLIVSPRLAPSFPSIRAMPFSSVSLLSLDPAAHLLPAAGCVAGQRTPPRPPRLRRVVLRAASVSAVAEESSAAAAARGRLESLSQVAGVLGTQWGDEGKGKLVDILAQRFDVVARCQGGANAGHTIYNSEGKKFSLHLVPSGILNENTQCVIGNGAVVHLPGFFKEIDGLESNGVSCEGRILVSDRAHLLFDFHQVVDGLREVELGNSFIGTTKRGIGPCYSNKVIRNGLRVSDLRHMDTFGAKLSTLLKDAAMRFKGFEYSSKTLKEEVEKYEKYAERLGPYITDTVHFMNESILQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSLGDLIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLTGLKEIKLGTSYCTEDGKTLESFPADLDLLEQTKVKYEVLPGWEEDIALVRDYNDLPETARLYVERIEDLVGIPVHYIGVGPGREALIYK >cds.KYUSt_chr7.6386 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38505309:38507380:1 gene:KYUSg_chr7.6386 transcript:KYUSt_chr7.6386 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAIQHDSASSPGNVECADDPGSSSSQSKPAKTMSTDTWRWCLGLIYIVAIAGIWIAASYIVQSVVDGGVSPFLITYICNSLFVVYIPIVEFARYFEDSIDSMWAKLKGKDGGANSKKPADLETVNLLQRSEQEGNAASSQSLTSLPEDNVGSDANSPDHAELAVVDCSKGLDAKGRWTRARTARVSMLVCPFWFLAQLTFNLSLRYTTVTSNTILSSTSTLFTFLVALVFLGEMFTWLKLISVLLCIAGTIIVSLADSGSTLNAIATNPLLGDFLSIVSAGLYAVYITLIRKKLPDEKEGQGQVSMAQFLGFLGLFNMLFFLPVALVLNFAKLEPFHKLTWEQVGLIVGKGLLDNVLSDYLWAKAILLTTTTVATAGLTIQVPIAALVDTLTGHAPHLLNYIGAAAVLVGFAGINIPSDVLQPSQHEQETPIVTMVDDPHCTDAV >cds.KYUSt_chr4.45931 pep primary_assembly:MPB_Lper_Kyuss_1697:4:284063331:284063735:-1 gene:KYUSg_chr4.45931 transcript:KYUSt_chr4.45931 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDELSSPAAAAAAGADGEPAPFSFSIWPPTQRTRDAVVRRLVDTLAGDTLLCKRYGAVPAADADPAARAIEAEAFDAAAVTGGAAASVEEGIEALQLYSKEVSRRLLDFVKARSAAAKDAVKDEPAEEPAAA >cds.KYUSt_chr2.33378 pep primary_assembly:MPB_Lper_Kyuss_1697:2:205989546:205990583:1 gene:KYUSg_chr2.33378 transcript:KYUSt_chr2.33378 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISLAVGPRFGSDNNAADRQPPATMLGGVMGSLRVIELQLVAFIMVFSMSGLVPLIDLAFPVFTTLYLLVLSRLAFPSLHHKLDDDAAATHSAASQEIFRGSTLFQVYVVIGTTVGLFLPLAHVLGGFARGDDAAVRSATPHLFLLSCQILTENIIGSLGVFSPPLRALVPLLYTVRRVFVIVDWVYDVWTNKLVTRTAPVQDKAWVWFGRYLAVANLVYFSINLFVFLIPKFLPRAFETYFHTRDEVYAKTAEDKRSRDMSSVDDDGAAKSNVSKKAD >cds.KYUSt_chr6.5888 pep primary_assembly:MPB_Lper_Kyuss_1697:6:35319241:35320806:-1 gene:KYUSg_chr6.5888 transcript:KYUSt_chr6.5888 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELQQPHAVLIPQPAQGHVTPMMHLAKVLHARGFHITFVNSEYNHRRLLRSRGPGSLDGVAGFRFEAVPDGLPPSDDDDVTQDIAALCLSTTKHSAAPFGELLVRLNGTPGTPPVTCVIADGVMSFAQRVAEEMGVPALVFWTTSACGFMGYLQFAELVKRGYVPLKDASDLTNGYLDTAIDWIPGMEGVRLRDIPSFIRTTDPDDVMLNYDGPEAQNARGARGVILNTYDALEQGVVDALRREFPRVYTVGPLQTFAKTAAGGGDLDAIGGNLWKEDASCLRWLDAQARPGSVVYVNFGSITVVTPAQLAEFAWGLASCGRPFLWVVRPDLVSGEKAVLPEEFIRVTKDRGVLASWCPQEQVLSHPSVGLFLTHCGWNSTLESVCAGVPMVCWPFFAEQPTNCRYACTKWGIGMEIGNDVTREDVARLVLEAMDGEKGKDMRAKAAAWKEKAVAAAAEGGSSSENLDRLVQFLRAGCV >cds.KYUSt_chr4.48179 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298359345:298359728:-1 gene:KYUSg_chr4.48179 transcript:KYUSt_chr4.48179 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFDEKIHPSRFHFVLNFCPDGTSRRSTSQAPARQPVSHAAAAGPHAPPPPWILPLPPPWAPPAAAAPDSIDRRRRCRKIDGDRSSSRPWPDLRILPQRLVARPLRPTLLAPTPIVRNCYQASASP >cds.KYUSt_chr7.19993 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123867944:123877726:-1 gene:KYUSg_chr7.19993 transcript:KYUSt_chr7.19993 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGVGGVSGRFHVGAGIPGVAPHYIPPPSTFNVLLGSYCRGRWNLQRLRRRSYTAVGVADAVDFTSPSADAFVAFASPSADAFVDFASRSADAFVADGFLALAVDIFAFAFASAVPESDAFEDLWEEEFLQSIEITHPQMITENDDSGGVSNSRFKLGHKELVLTLDNYDNDIITEKIMGFESVVSLIEQGLAAGELYHMEMTEHVTSQILPLLRSLTEIEIRMSEDYYHAFLPGDYESVSELCIWEVRAEDGEANHMQIRALVDALGIPLILENLDGSSPVRLNPHHIYPSQESKAEELEQSIDSDDTTTPMAHVEREGSRNLSPAERRRLVTLLYRPGHYDIIYPK >cds.KYUSt_chr1.35113 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214003451:214003975:-1 gene:KYUSg_chr1.35113 transcript:KYUSt_chr1.35113 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLWRWYAERQFHKWEKTVLWDMVEPYRPPRSFAPLVGTYVAAFYTAVVAAALTEQLYKEKYWEDHPGEAVPIMQPKFYWGPWRVVNGEVPRFIQPPDEATKTA >cds.KYUSt_chr4.7936 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47300842:47301504:-1 gene:KYUSg_chr4.7936 transcript:KYUSt_chr4.7936 gene_biotype:protein_coding transcript_biotype:protein_coding MASNASLLAMIMACTLLAGHTCHGARNLADTTTPAAAPAASVPSLPAAPTLPTLPPVPAVPAVTVPTMPQAILPPMPAVPTVTVPTVPQATLPPVPAMPAVTVPQATLPPMPSVPAVTVPTVPQVTLPPMPAVPAVTVPTVPQVTLPPVPTIVVPKFALPPMPALVVPTTTMVPMPAIVVPKVTLPPMPSIVLPKVTLPPMPFIPNVNVPMPFLAPPPSA >cds.KYUSt_chr3.19780 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121725528:121728237:-1 gene:KYUSg_chr3.19780 transcript:KYUSt_chr3.19780 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTSAKTTTFALPRLRDLIEHDDEEDELVEEEEDDNDEDDWDVSRRMSRLSVAGSDGDDADDEDDGRRGVDDEDEEDDDEVRSDGAHGEYGSRPWHPYGSPGRLQPPSSASLPGTPERGAPSQSQSPWGYGYSKDYASETEAARWPGGAGPHEMRRQQHRRQRMMREVWLDRAWQIRKQRRELGERGDQATVLVGGESPARAGAVAMDMDELRACKDLGFDLPCDWTVEIPSYAVPAVDTGSSGGNSPASGGSWRISSPGDDPKEVKARLKVWAQAVALTSASRLGA >cds.KYUSt_chr2.3424 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20496401:20501861:1 gene:KYUSg_chr2.3424 transcript:KYUSt_chr2.3424 gene_biotype:protein_coding transcript_biotype:protein_coding MHCPELRLSHSATASDILSPPNTIFSITYEPHPIAPLRSLLAGGSLEVADVNSGYHGQDHLPMKERFSRMLERSQPAPLASAAAPTQFTGVRALVPSRSTDPHPAPATMADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVFPDGMYPAGGKSDIEGIFPPPYFEWFQFNKEFTEYTNLDECISYLCDYMVKNGPFDGLLGFSQGATLSALLIGYQAQCKVLNDHPPIQFMVSVSGSKFRDPSICDVAYKAPIKAKSVHFIGEKDWLKVPSEELAAAFDDPLIIRHPQGHTVPRLDDASIKQLSAWRSSILEDLKSSDAPLVSESVNPSDKEYVGTETTENLVEQVAA >cds.KYUSt_chr3.34056 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213668616:213673528:-1 gene:KYUSg_chr3.34056 transcript:KYUSt_chr3.34056 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRRGGGRLPGNGDFAAMAARGRGGSWIKTPGQAAGRGQRAPVSSSSNASPAIARQAWNRNEGSSCPPWNNSTVPSDRRPTARDNPKPPSQTNSREPERKAVPNYVVTPPLANGWKWRSRPSGPESNKVNVPSSSFDPEIDSSDVEDSSDDDMSDEYDSDASAKSFKTRKMNKWFKSFFEEINSLTVEQVIEPNKQWHCPACKNGPGAIDWFKGLQSLVTHASTKGSRRVKLHRELAALLEDEMSGRGTTMVPSGEQFGKWAGLRGVTDREIVWPPMVIVMNTLLEKDDADKMHSQEKFFFDQIENIQKAMEEKEAEFDELLQVESAKFEKLLQEERAESEKLLQEERAKARQCDVDSGTSENCRLRKEQAQRFIDCHVKDVEDFKAERDELIKAHEKKKAELEKELDAALTALMEKHKPDTFQASNS >cds.KYUSt_chr3.36545 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229765930:229771268:-1 gene:KYUSg_chr3.36545 transcript:KYUSt_chr3.36545 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRCGSGDITGLRYGSNMHIREKEGAHGTRCNVKSLGSGLSKRILEIISLSYVFDWPNNSWIFFGHGKEHINLADEHLQKLIYSGQLDQKELDSFDLDLGKENSHRRINSPRLEKPSTTGSWRSSLAPEPEVACPVSGRARPRRPLAKGARATAASLAAVHLGERRSSAGGGREQRRRRLEAAGISEYDGWTQQPR >cds.KYUSt_chr5.11925 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77497171:77498265:1 gene:KYUSg_chr5.11925 transcript:KYUSt_chr5.11925 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHGPGAGADHIQPPAPLPPGVYFSPTKEECLALLNRSIAAGHTAPPDARGYVFRADVYDESPDALRRRHPPASTREGDRTWWFLGHTRFRSQTSPAKRADRRVRTGGCWRVESKKKLDADGVQSCFRFYTAGTCKLADRTPWLMQEFTSAMDDGAGQRGVPALYRVYVTPHATDEQLRDTYGQDGLNKTPDGKKKPARALLPEEYFDAIAARLPHGENVQFPQALLPGTAHGVESVQLPQALLPGTAHGVENIQLPQALLPGSAHGENGQLPQALLPGTAHGENVQLPQAPPPSPANLRQYRQQQEQYLREYYSRHQQQNQQAPFFGVPPPLPLPVTPDFLSELAADTQEEPADTVMEDRAG >cds.KYUSt_chr5.11458 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74325741:74326649:1 gene:KYUSg_chr5.11458 transcript:KYUSt_chr5.11458 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTDSFVGAAGAAQAQQGGGSASAAAASGDHGAEKLQAFLAEAEAAKNEMAALRDELSQLQSAHEASKSLFRPGSSRAATQAALVRLLGSARRLRTRLASMDRRAPAPAAQATAGLRGRLQDLTAGVQTLRRQVSSDRRGDAARRYLAVAGEAPTEEQLDRLVASGGAGGADVDEAMRAAMLSSSEAAEVEGGLLEVQQLFLDMAALVESQGPRLDDIEGQVAAAAQDVGAAEGELLDARQMQLAARRRKMWLGAGIAVFLLVVLAVAAVMVALALARQNGGSVQLAGAGLSDLMDLPL >cds.KYUSt_chr6.15107 pep primary_assembly:MPB_Lper_Kyuss_1697:6:94676797:94677995:-1 gene:KYUSg_chr6.15107 transcript:KYUSt_chr6.15107 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGCGLLDCLRRGERDKHDTGRTDSRISDEPGGGSADGKSGRVRRLEWAEVESVTGGFSSRVIGQGGFSTVYLASLSSSRLGAVKVQRSSERLLRVFRQELDVLVSVRHPHIVRLLGYCDEREEGVLVFEYAANGDLHERLHREREGGPKRAPLPWARRMAIAFQVAMALEYLHESRDPAVIHGDIKASNVLLDAALDAKLCDFGFAHVGFSAAVRPAAATTAARASARHVMGSPGYLDPHLLRSGVPTKKSDVYSFGVLMLELVTGREAMCAETGHRLTATVGPTVSEGKVDDVVDRRLGDAYDREEAATVGALALRCTNASPGLRPSMADVVRVLQEKTSALIAAVGPKPVRKTVS >cds.KYUSt_chr7.10966 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67434391:67441418:1 gene:KYUSg_chr7.10966 transcript:KYUSt_chr7.10966 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGGGGRLFQSPAPEDETIARKRSRRVSFADTTAVHVFQRDEDFETPPEERPASPSPSPAPEGDETEEGDEEFVRAPFGFLGDVDLGSDSPASAAGSLSSFDGDGNFFGVVSTSFIQPGRPSDSGMSEDNYHDQTLDSHTFSMHYNNLIPPDDCSAHSAGSLRLPDLESTTPLKELKGSESVKSSAGRDGLTDMSLCAENDERYDYTKLSPTLNNLLQEVQEPESPKDGANQIMNSETVLHTPRTLVQPLPISEGSISSLRSKRQQVFTPIPHSTSNAADQETGSLGSEFMKHGKRISALGHVLKFRLHESPAPPRQELNEATEFQDTSCNVLALPNREHNSYMDSDGSGRKRSSEENVCAEHALPEKRAKGPRSPITSQKQLPCVSLFSRKAEENQSEPHDSGQSLGDDCNKRIQDSRLAEARSLHDKLLHEKTKLQINSVKLDKLQNKAQLCHVGIQECSYLKSKISQLRRSTAGATQDKGGPLGAATSINAQEVHARIAEKKLVLSMVQKKAEKLKSSLEHFCDIKGDIGEVVRVAEEQLEMRNRRQIISQQASLWTLNDIVKRENKRDVILNYHNLLFQRIILNISDMSTIFVNNSLLGSKIEQETSLLLGNLVDVLDEIDDAKGELLNLTSANFSMDSQTGLLSIPYFCILEWGCMHIHFQTNRQDYAVSCYFALRKGGQLIFSLRFMSYKSAKRVAFTIDMTDLSRAVYPAEPSELPIKVCQAQTTLSQPSVDKLTASIRDLQPGRTMILRLCRMLSRLVNTLPG >cds.KYUSt_chr2.32133 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198191041:198192607:1 gene:KYUSg_chr2.32133 transcript:KYUSt_chr2.32133 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVVPRMKLGSQGLEVSAQGLGCMGYGEGTPEADMVALLHHAVAAGVTMLDTSDIYGPHTNEILIGKALQGGVREKVDLATKFGISFADGKWEIRGDPAYVRSACEGSLARLGVDCIDLYYQHRMDTNTPVEITMGEIKKLVEEGKVKYVGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRFQPENMEKNAAIFERVSEMAARKGCTASQLALAWVHHKGSDVCPIPGTTKIQNLNQNVGALSVKLTPDEMAELESCAAMDAVQGDRCNNNFLNTWKDSETPPLSSWKAT >cds.KYUSt_chr3.41154 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259744331:259745572:-1 gene:KYUSg_chr3.41154 transcript:KYUSt_chr3.41154 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKTPAGFIDNGGRRRQAFANRREGLLGSEDGTTRLGKARELAAVCGVRVAVVCADLNGGGAPAVLETQPGVLDSYRALPDAKRAEHTHVRYLADLLRKEQAKLARVRQAGPRDLAPPHEEMSRMTLEELQGLLGSVDAALAATAERRRELGLPGDDDAVVVPCGDSGPVAAGGSESDEGCFYPTQQGQADHGLLDQPTIWQGLQAHGGGGMIPREHYYGGTNMMMQPAYDPQPQYTVGNGAVHLGGYQQQMPSYVDGNYHARPAPPAYFQPHNAIGLPWNGAFQHDGGSSSSHVGTSSSWMQPPSNGNFNNGAVHDLATTWSPAESSNALAVYPYMHTSGNPPRAQYPHQFPGDNNFADAPAEFLNMGGGGTGMNYLGGYETRGSSNNLHYSGASQNSSSNDLFGYGSDD >cds.KYUSt_chr3.6552 pep primary_assembly:MPB_Lper_Kyuss_1697:3:37677757:37678395:-1 gene:KYUSg_chr3.6552 transcript:KYUSt_chr3.6552 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIKLRLPDAAAHRRLASFLAPRLLRTDAQRNLFFDAAARPLAAATAALRIRLYSLENQPPSRAVLALKRRPRLEAGVSRVEELEEPLDPALALACADDPARLGGVDSPIVRLVADEYGVGGGSAPFVCLGGFRNTRGVYELQEGEGPRLVLELDETHFDFGTNYELECETADPDQAKEVLERLLTVAGVPYEYSRSNKFGCFMAGKLLP >cds.KYUSt_scaffold_719.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:48927:49535:-1 gene:KYUSg_scaffold_719.5 transcript:KYUSt_scaffold_719.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCRCILLFFAPVFLVSVSLAVDLKEACSTTPYPEVCVAVLSTNPESKAAADARGLALIAIRTAGKMADDASTAVDVELRANPGVIDTTTMKNNNPTNECFLGCKGPIQSARENLYSKVGKDDNTTLATARYFFQADPTGAWNLGNCDRCHINGAPKLPSIITKDGDFNRFTKVTWKLVMQVPDVLIPPPPPNEFLNGTS >cds.KYUSt_chr4.47157 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291866117:291870851:-1 gene:KYUSg_chr4.47157 transcript:KYUSt_chr4.47157 gene_biotype:protein_coding transcript_biotype:protein_coding MFETPELAARAFDGMVWWLDGPLNFPKVESHEEAEFLAPPFEVVRRDDDRAICREYMWMAVCQSDEAEVAVPLRAPGALSPGSILRLPVSVRGLVVVVPFPCLPVLSSPPLLLATGHPTRSSPSLPSPLISNNASPHQDRRSPKMSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPNESLYQGGVWKVRVELPDAYPYRSPSIGFVNKIYHPNVDELSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALLMRDRPAYELKVKEFCEKYAKPEDAGIAPEDNSSDEELSEEDDDDSGDDEPIMGHPDP >cds.KYUSt_chr1.2182 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12692943:12698632:-1 gene:KYUSg_chr1.2182 transcript:KYUSt_chr1.2182 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALISDTDQWKALQAHVGAIHKTHLRDLMADADRCNAMTAEFEGIHLDYSRQQATTETVDKLFKLAEAAKLKEKIEKMFSGDKINTTENRSVLHVALRAPRDAVINSDGVNVVPEVWAVIDKIKQFSETFRSGSWVGATGKPLTNVVSVGIGGSFLGPLFVHTALQTDPAAAESAKGRQLRFLANVDPVDVARSIKDLDPATTLVVVVSKTFTTAETMLNARTIKEWIVSSLGPQAVSKHMIAVSTNLKLVKEFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGASSIDNHFRTSSFEKNIPVLLGLLSVWNVSFLGYPARAILPYTQALEKLAPHIQQLSMESNGKGVSIDGVRLPYEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVIKSQQPVYLKGETVSNHDELMSNFFAQPDALAYGKTPEQLRSENVSENLIPHKTFQGNRPSLSFLLSSLSAYEIGQLLSIYEHRIAVQGFIWGINSFDQWGVELGKSLASQVRKQLHASRMEGKPVEGFNPSSASLLARYLAVEPSIPYDTTVLPKV >cds.KYUSt_chr3.48668 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304214270:304219323:1 gene:KYUSg_chr3.48668 transcript:KYUSt_chr3.48668 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRKRNSSHTWRAILHGREALDKGLIKRVGDGSTIRVFEDPWIPDNWNGQPLAKPSGAKASVVEELIDDNQMTWSEEKLEENFIDADRQAIRRIPLGRFSEDEYAWTYKGGLRVHELEVKNMSLLACKALHASADQHGVALSAPSALTKLQQLASNNIATTPSLAAAAGGAGGGGGNNNHPSQDGAMATATGGGGGGGGGGEGDGDGGPNKPMSMSERARLARVPQPEPGLNCPRCDSTNTKFCYFNNYSLTQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRSTKPKASAGAAAAAGTTSATSTTPSSATNCTGSATAAVPPGMQYSMFSNSAPPQGRFADSFDPASLGLSFPARLLFGDGNGAYATDGGVHHHQANGNAMEQWASMAQMQGFPFLHAMDHQMAGNPPPTTTMAAMQGMFHLGLQSGGGRSNGEDARDHQFHHHETPQAKRDNHHQQQQQEQQDYPSGRSMYGDVVNGNGGGYNFYSSASNAAGN >cds.KYUSt_chr4.24721 pep primary_assembly:MPB_Lper_Kyuss_1697:4:155563864:155565413:-1 gene:KYUSg_chr4.24721 transcript:KYUSt_chr4.24721 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQVRFMNLWMSVMPSALREIRAGAAPAEDHAGEVDQLPRGTAAGMDDLTTSRTTSTERNCRGDEPTTTMAAIKEELGLVKSWCGAIWGGEDTAVGSSFGRKRSTSQLLQTDFVEKLYGRDVSGSGTTRTKRRRRWMRPRLVVTFKYLSSFRLLNPINSPPSFAVALAVSSAPLIIQRRPTG >cds.KYUSt_chr7.23027 pep primary_assembly:MPB_Lper_Kyuss_1697:7:143082431:143082853:-1 gene:KYUSg_chr7.23027 transcript:KYUSt_chr7.23027 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVASIRINRGCRTKGGAASSRRLSRAHGVDAAISGVAESSGIRAYGSGCRELGVCGGRQRRRMQSTVMKDAEDRPNLRQRRRSAFPVATLERTGGLGVSASKDETPRSAAANAEQKGSTDDSLVLRPSQTAAQTGVLG >cds.KYUSt_chr3.9813 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57675213:57675923:1 gene:KYUSg_chr3.9813 transcript:KYUSt_chr3.9813 gene_biotype:protein_coding transcript_biotype:protein_coding MLETLWTCNEESKMKALVLMWEWWGVRNKANAGEMVRSAAEVCHSVERHMMDFQSIRPPRKPPKPPNILKWEKPKENMVKVNFDGAFNKVSGTGGWGFIIRNHRGEFVAAGAGKVGFLRDPLHAETIACLAAVDGATNLGADRITFESDSLNLVQALRSNGYNRSAIGTLVMEARRKCMANFKSFDFSFCRRACNSAAHELAQYGVKSEMSDYFWVDSAPNCVTNFVASDLAEPVE >cds.KYUSt_chr4.35175 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216166011:216167567:-1 gene:KYUSg_chr4.35175 transcript:KYUSt_chr4.35175 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGVWPWRSWRGSRWLVVVWLLAAALRWSEPEAEGGGATTTPPIKLSGFSLDLDRSVLDLAFPGHHGGGEEEATLDGAVLCRSTERRWRWFCFILFFTARGTSASAIHGRHGGLKSTTSDSEALQLPSCWSSARCCYQVAIAREARAFELGEARYYTVLVWSSIFWQFFFLGAVGVIFCVHTLLTGILIAAFIPVTEMLGVLLLHEKFSSDKGVALVLSLWGLASYSYGEYADARAKKKKAAMWEAQAS >cds.KYUSt_contig_7395.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001504.1:42226:44885:-1 gene:KYUSg_contig_7395.14 transcript:KYUSt_contig_7395.14 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVKVKPPSPAPALVLLLFAASFAVSQARIICGDSAASPSPAPAPSSTTSNSTAFAANLQTLLNALPQATAPTGFASLSLGTGRDQVFVRGLCRGDTAQSTCLADLIEAVQDLSGRCPSSRSAGLWSWRCYISYADTNDSAAYEQRIYDTVYDSRTLADPDSYQRSYYALMSRLVARAAGGGGNRSTRTSMFATGEAVYAPGDPNGTLYGMVQCMRDRSDAECERCLQGLVPRLPACCWRNQGGVAQNFNCHLRVQLYTYYDLALDAPPPAPAAPPSPPVPIRGNRRSSNRAVVLAAALSSLGALLVLLFALVCLYTQRRIRSNKTPPRARDDAREDTSEQFTLPLLRAATGNFAAENKLGEGGFGQVFKGILPNGQVIAVKRLSQSSAQGFHELKNELLLAAKLLHRNIVRLHGVCLEEREKLVVYEYLPNRSLDTVLFDDGRRRRRHGLDWQKRYTIICGIARGLLYLHEESQLRVIHRDLKPSNVLLDENMNPKISDFGLARAFRGDQSRDVTKRPAGTLGYMSPEYAYSGHVSTKSDIYSFGVIVLEIVTGRRNNGPCQDADADNLLSEVWDKWRSGKAAEMADGSLGDHYPRSEMLNCVHIGLLCVQKKPAMRPDASEVVLMLSSQSMSRRTPSRPAFYSGHSSTSGSDSHVSGVNVSENGVTMSDLQAR >cds.KYUSt_chr3.13739 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82854584:82855274:1 gene:KYUSg_chr3.13739 transcript:KYUSt_chr3.13739 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARYVGKYADSVTLGISPPRSPQRTPRGRYVDGITLGVSAPRRDQRTPRRTVCQRSPPRHRGDGHQTDDDVKVATPRAD >cds.KYUSt_chr7.39187 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243856755:243857195:1 gene:KYUSg_chr7.39187 transcript:KYUSt_chr7.39187 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSQAQNSAQDYLSPHNSVRAAVGVGAVSWSTRLQSYAQTYANQRIGDCKLQHSGGPYGENIFWGSGSGWKAADAVNLWAGEKSDYDYGSNSCAAGKQCGHYTQIVWRATTSIGCARVVCNNNAGVFIICSYDPPGNFVGQKPY >cds.KYUSt_contig_3136.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000604.1:22077:24802:-1 gene:KYUSg_contig_3136.4 transcript:KYUSt_contig_3136.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGETAQPALTWRKLDVVGAACRLLDFTGRPGADSAKFGSERKYYGTEFCFGKQKREQYPAPPPPPPPSPSLVSPPDSTPTESSIPSLQSAARANLLRLPCIPPLLPRSSSYAAGPLQLRRPSVEKDEDLRTVAAGPEAPNDLLQTARTRRPPPRPPATGHIRDLLLLKAGDAPNLLHPSSSGQACLVEKKCGLCRCSPDTASMAIEKEFELLHTSESSCIFFSGYYNFFQPVDGDEMDFDYEE >cds.KYUSt_chr6.18942 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119214744:119218238:-1 gene:KYUSg_chr6.18942 transcript:KYUSt_chr6.18942 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISRIPRDGIGGGGVSVREELLDFLAEACLRDPALLSRIAASAASDAAHRRLFVHGLGPGATSAALASSFSPFGALDECHAVADRATGRCRGYGFVTFRHRSSARRALADASKRVDGRSVACQLASLGPSPERTDRKLFVDKVPPRASHDGLKRFFCEFGEIEAGPLGADHATGQFRGYAIFLYKSPEGLTKALEEPRKVFDGCELHCRPAHRHIKKKHASAAPADPCNQRNVTGAMALPISLLKGIASTSAKQPLLASNSPLCRGTEDWRLMKLLQNSGLTLLYAAGMRRDNL >cds.KYUSt_chr3.1223 pep primary_assembly:MPB_Lper_Kyuss_1697:3:6800615:6809184:-1 gene:KYUSg_chr3.1223 transcript:KYUSt_chr3.1223 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGIIMNFRFMHNQGGREIGITVIILFLFFIVFHHNPSFSVLGPTIVTGHETGSQNVAVNEPRLYIVYLGHVKHGHPDDVVASHHDMLATLLGSKEDSAASVVYNYKHGFSGFAAMLTPKQAKQLAEFPEVVSVELSKRHTTTTTRSWDFLGLNYQTPASGQLHGTNYGEDACGQKINYGEDVIIGVVDTGIWPESRSFNDEGYGPVPSRWKGKCQVGPDWGINNCSRKIIGARFYSAGIPDEILKSDSLSPRDHSHSGHGTHCASTAAGSAVEAASFNGLAEGVARGGAPRARIAVYKALWGAEGAGGSATVLAAIDDAIHDGVDVLSLSLTVPDENSFGALHAVEKGITVVYTAGNDGPRPQTVGNTSPWAITVAASKMDRSFPTVITLGNKHQIVGQSLYYQAKNSTSSSFTGLVSAPQCTADALNGTDVEGQILLCVPQSRDQTALIPGTNFVQALQYVQNRGGIGLIFPQYTTDNLGAIQDICQGIACVLVDRDTGKQIANYLDATSIPVAKIAPATTVTGKEVLAPKVALFSSRGPSPDFPDIIKPDIAAPGANILAAKEDSYVFMSGTSMAAPHVSGIVAVLKALHPHWSPAAIKSAIVTSAHVTDERAMPILAEGIPRKTADPFDYGGGNINPLGAADPGLVYDIDPRDYTKFFGCTVFNKTAVFCDETALPAYHLNLPSLAVPDLRRPVIVSRTVTNVGEVNSVYHAMVQSPVGVRMEVEPHVLVFNAANKVHTFKVTLSPVWKLQGDYTFGSITWRSDRKVVRIPVAARITAQDFYADVA >cds.KYUSt_contig_2197.137 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:776525:778252:-1 gene:KYUSg_contig_2197.137 transcript:KYUSt_contig_2197.137 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASVNAADTYDELQTAADDNGNGSATVGSICRPAVHYVLAFHDLTYSVKPPRRSLYRNRVVEASDTGTGVPHAHGEGVRMKTLLDGISGEAREGEIMAILGASGAGKSTLIDALANRIQHESLRGTVTLNGDALDSRLLRVISAYVMQDDLLHPMLTVAETLMYSAEFRLPRSLSPSRKRSRVEALIDQLGLRAAANTIIGDEGRRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIARSGSVVVMSIHQPSCRILGLLDRLLFLSRGRTVYYGPPAALPRFFSELGLLIPVGANPAELALDHIRELEGTLAGPEELVELSRSWSWQEKTLSAASTVPLPLKEAIGLSIARGKLVSGATPSEVATYANPWWVEVRVLMRRGFTNTRRTPELFLWRFGTVVVTAFILATIFWRLDDTQKGVDERFSFFVIAISTMFYTSADALPVFVKERDIFLRETAHNAYRRSSYTLCNTIVCFPPLAVLSLAFAAITFFAVGLAGGAEGFVFFVLIVFASFWAGSGFVTFLSGVVPHVILGYTVVVAVLACFLRFSGFYITRDRC >cds.KYUSt_chr6.3257 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19056711:19060257:1 gene:KYUSg_chr6.3257 transcript:KYUSt_chr6.3257 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIFGATVFRLAVCLQGRTTRVWPPSAEKARSCSRAWHAHGHRLRCYHALVRHVRPGVFQDTTTQPNARQPCQPAYSLTATGMPAAELVRLRRDRHALPYKNPWPKPAQTRRRPEEDEGNQFDWLSNLPDDMLLNIVERLDTADATRTSILSKRWKQIPNMLSKILLMVGPTDSDQDRSDDDVARATATMLAATQSLLEHRSTSASAYPIHHLCMHFFLGDESIRIGRISANTIATHKVGVAEFTILTEKEGKRCSTDDRLAYGKKLNSLINDSPNAFSCLTRLKLENLTLGESDFPKIFRLCKRLEFLHLENCDMGFQSLLEVEHQRLRELEIFRCDFERVDLNWLPELTTLTISSFTSLHDPLSCGYVPLLHTVSIRNSALSWQKMLKLSEFLGKATVSNLTLGFEKEKIWVTPEDPRELSQVFSKLRLMNLAAISKECDLTWTMFVLHGAPSLEELCIRVCDCLGVWDKDEREKFGYSEERKDVGAKWEAYDFKHCNLAVLRIFGFQSKDKFLNYATAVIKAAVNLKDIYLHEKPACKVRCGYISRQSNKYPRSTMEKNSVRTSLSMHTHQLLRLHFSL >cds.KYUSt_chr7.40683 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252482254:252483831:-1 gene:KYUSg_chr7.40683 transcript:KYUSt_chr7.40683 gene_biotype:protein_coding transcript_biotype:protein_coding MITTGSTMSCTTLDNNAAGKRLHGKSPEPTSVLYNRSPSPPTSSSHSHSEPPPISAEDWEAVLLSGGSDMAAPAPAAQDSSFLRWIMDAGYADGDGDAFGFKGPAFFDPNFLSPLPPPPMPQLQQHGEEVFSLPQLPQQLPVPVPPHPLPVPLEGDLEPQAAVDELLEAARRADAGDSTGAREILARLNQRLPAPPAPPGHPPLLRAAALLRDALLQRLPPAAVPPAPVRSPLDVALKLAAHKALADASPTVQFAGFTSTQAFLDALGAGARRVHVVDFDVGFGGHWPPLMQELAHQWRRSAAPAPAPTLKVTALVSPGSRHPLELHLTQESLTRFAAELGIAFEFAAVAFDPFSPSPPLTGFSSDEAVAVHVTVGTDALALAPASLRVIKQLRPAVVVCVDHGCDRADLPLPNHALNVLRSCAALVESLDTAGTSLDVVAKVEQFILRPRVDRLIIGGDKLPPWRSMFASTGFTPLQLSNATEAQAECLLRRSTNHGFHVEKRQAALALWWQRSELVSVSAWRC >cds.KYUSt_chr7.24872 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155121184:155122431:-1 gene:KYUSg_chr7.24872 transcript:KYUSt_chr7.24872 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVYGGLANVPYDSKDVSNFMAKIDEEHTHKDMSLLLAHFARIKKEDPDFYFNIHTDHADKVDRIFWVDGPAIAAYKNYSDCLSFDSTNMTNMYNMPFAPFVGINRYCQTIQLGCGFLKNENIESFVWLFQEFLEAMGGLLPDNFITDQDAAMRSAVLVSFPNCCHRNCRWHIIQNAQAVLGNFLSKHEELRTELNEIIDYSMSVEEFETRWAQMITKHNVVDNTHLYDLYHIRATFVPAYFKERFFLFLQTTARSEGFNAVLKTYIDPHNNFHHFFLQYMKLQEKIDVAEDAVEFKDEDKTLRAWGDFPVEEQALQVYTRPIYLRFRAELRKVTSYNVQHVGHETYDVSPIKNYVYGYGSRSYRVEANLEAENYNCECCKFSRDGLLCCHIFRVMMQLGNIDRIPEKYILKRW >cds.KYUSt_chr3.5682 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32139427:32140009:1 gene:KYUSg_chr3.5682 transcript:KYUSt_chr3.5682 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLEGYHWIVLKWPGTPVNIAGKTERGTGHAGRRKRGAVVAHPGVLDDEGEEAEELAGDEDEGEAGVDEGELDALELLPALASLKKTRMERRRRGGSVSQLGWARR >cds.KYUSt_chr5.38044 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240252084:240253949:-1 gene:KYUSg_chr5.38044 transcript:KYUSt_chr5.38044 gene_biotype:protein_coding transcript_biotype:protein_coding MEATFLVHRVNTCVDGAVLRRARFGKAVRDLVLEAPLPLLPGALGTLDPYRHGRVNGYVVVALGRRNGSMEAVGLTVTGIQPAVVEVPFAIPFCLATPASPTDRPQLPFFSSPTSFCLPRACSAAWNQMEKVAARRRQVPAFGEWNYYHHYDDPEAAAVAPPALAYCYATPEPEACSDVWFRYSPPQRKPMPTKKPRRRVPDGDSDASLEKKGRRARPASEAVGGCGGGGLARATRVVRPVDEDLYQVPPLDLAASHKRRPRRRSLWMGCLGC >cds.KYUSt_chr1.8331 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51257466:51260384:1 gene:KYUSg_chr1.8331 transcript:KYUSt_chr1.8331 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSGGVRLQCADATAADWTCCFLSLPPPASPGDVDSNGGFNLAWTLHQSFHPPAGLFASVGFPASSSTATSPGNPQDPYMKYVSSELGHQAVETPLLGEGVGLREKGKKQAVRIKIKVGNSHLKRLISGGIAGAVSRTVVAPLETIRTHLMVGSNGNSSAEVFESIMKHEGWTGLFRGNIVNVIRVAPSKAIELFAFDTANKFLTPKSGEKQKIPVPPSLVAGAFAGFSSTLCTYPLELIKTRLTIQRGVYDNFLHAFVKIIREEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKAYKKIFKTNEIGNVPTLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRKVYKSMLHALLTILEDEGAGGLYRGLGPSCMKLVPAAGISFMCYEACKKILIEEADE >cds.KYUSt_chr3.20468 pep primary_assembly:MPB_Lper_Kyuss_1697:3:125883887:125900499:1 gene:KYUSg_chr3.20468 transcript:KYUSt_chr3.20468 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIPEPAKKVAEYRYRLHCSTSAKTGQRFSQELEDKDELSTSALNEALTPKADDDVKASVSYLPNVASPLLAEAYAMKEGLSLAMHMGYNMIVAESDSMERAPTLETVMRSGGASAEVIDLSSDDDEEPVPVPSTSTSTSSVAIAIFPSSPRDIKPYELADVKPPLLYPPQPPGCRALVPVKNEDPVPVPLAFEPSESEPPRVLPPPRLCRQFWKSGEYVVARRNPDADAPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEVNNGATFVRVNKFTNPRDGNPALLIQDDGGGMDPDALRCCMSFGFSEKQSDAFIGQYGNGFKTSTMRLGADVIVFTQSNKNWAPTRSIGLLSYTFLMETGCDDVLVPTVDYQLDIATASYTQLLRHNQKLFSSNLAILSKWSPFASEAELLEQFDDMGEHGTKIIVYNLWFNDDGDMELDFNSDTKDILITGGEKKVKSNKPEKIAMQNYVANRLRYSLRAYASILYLRVPDNFRIILRGRDVEPHNVVHDLMYRECVLYKPQISGLTELSIITTIGFVKGAPDTDVQGFNVYHKNRLITPFWKVASNSYGKGRGVVGILEAGFIKPTHDKQDFEKSVLYQRLENRLKEMTYEYWGLHCHRLGYDNKALPKASRAQYRAKQIDAGSSPASASHQLLDADIPTSSRTQSNIGLKRNFDALGAITEINKHQTKHRDVIQRKRFSEHKTLTLENDRLRDECLQYEESAKQLTVKRLPPYPNLIWTTRTKIKLQDLMQIKDPYFHTDSLVLASAAAATDMTRSLEAQLQSREPVNRAWDRTIRRRVRSDRATGRTIQLAPERAPGHDRDGSRTYSICPRLSPEQGSVCAGAAGAQAGPTEREVGPGRSRPGRTPRQRRAKGKTPLDGAWCAPVQGPVGTGPSGGTTGHAGQGTGLAGKHVIQKL >cds.KYUSt_chr1.30160 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182547604:182549003:1 gene:KYUSg_chr1.30160 transcript:KYUSt_chr1.30160 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMLAILLIAMADSHPQPEGTCSSSVECACAERCVREYPSVDSDPPFKISPVCTHRTEHELYARLYLHHAYLGHHMSQHKVLSTEFKNGFGSMTVNDWLVTDGPSRDTKVVARAKGVHIQAGMDTHSYYVSFNMVFEEGRFKGSTLQVMGTVVEEGEWAIVGGTGELTLARGVIYKHDSEFVRDEGDCIELDIHCLYTPMERSKGTSWTFEA >cds.KYUSt_chr1.40800 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250226269:250233770:-1 gene:KYUSg_chr1.40800 transcript:KYUSt_chr1.40800 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTAAEAGSGAVGDAQIKPLPQQPPQPSGSEALEMPATPLPVARELDWSEHFSFFNSEGGTGATDGARPADSVTQHQRHLDSGADERVEELTVRNCISSEAQPEAPAAGSSGGGERPVVMRGLWGNFTRMAWRTGDAASREALLAASRGDTANASRDNLAASRGDNANLRSGDLVSRENLPVNLGNGMISHNIDASSAKEMLFSRGENATNMDFSMSFGSQQQQQHILSSRLNQSEQQQHILSSRPNQSEQQQHILSTRPNQGDQQQHILSTRPNQGEQQQHILSTRPNQGEQQQHILSSRLNQSEQQQHILSSRPSQSEQQQHILSSRPSQSEQQQHILSSRPNQGEQQHHILSSRPNQGEQQRSERENGMKMSSFSNRIIDQMRSKTVAPPSGVQGSPFKNGLKGKGVSHQAAREEMQLQANARSRAPIPKIPSSTNDSVTRLDGAFFNTAAGSVSESQHEGTSLRELIKPARQAMSKFEKMHLFKQILDHVDKCHAQGLTLQHLRPSYFIVTSPNQVKYTGSYTTQDLSAPAKPEMAADEVFNRKRFLDQKTVLQESNGNGNSILKYQKVGEQGSVAIRRPIHAFRTDHRGGNQREGADLGASGQGNSSCTARGRFKFGEPYYGSNASYAQRLSNYGNQESVLEPRVLEDSWYRSPEELSQLKGTFPSNIYSLGVLLFELFCCCETWEQHCAAMSDLRHRILPPSFLSESPREAGFCLWLVHPDPCSRPKAKDIQGCDLINEGRDLSLLDKEPAAVNEDDTESGLLLNFLSQLKEEKEMQAAKLSADLASLQTDIVEADRRHSLRMGFTLEDMDALASSNDVPGTSSNALRGGALLSGAIPPSGRPSIYEERVMRNLEQLENAYYSMRSTIDTSDTNVIKRPDNDALRVRENFSQLHRDTDVMDGQTDRLGCFFDGLCKYARHSRFEVRGILKNADILSSPNVICSLSFDRDEEYFATAGVSKKIKIFEFDALLNDRVDIHYPLVEMPSKSKLSCVSWNNYIKNYLASTDYDGTVQLWDASTGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKVWSINQKNCVDTIRNVANVCCVQFSPYSSRMLAFGSADYKTYCYDLRHTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKIWDLNQTNSSGLSTNACSLTLSGHTNEKNFVGLSVHDGYITCGSENNEVYSYYKTFPMPITSHKFGSIDPITGQETNDDNQQFVSSVCWRGRSNMVVAANSSGSIKVLELV >cds.KYUSt_chr2.41333 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257063100:257066306:-1 gene:KYUSg_chr2.41333 transcript:KYUSt_chr2.41333 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLRRGAAVAARSLRAAAASSASTSIHRLRTAGSLGAARELPTTQLFLLETRRGFAKGKKSKNDSRGDTVESAAPDIGPTVKSAATAQMETAVVALSRELSKLRTGRASPGMLDHIMVETADVKVGLNRIAVVSVLDSHTLSVMPYDPSTMKSIENAIVSSPLGINPTPDGNRIIAPIPPLTKETMQALCKVVTKSAEDFKQSIRRARQKALDTIKKSSSSMPKDDIKRLEKEVEEMTKKFIKSAEDMCKAKEKEISGS >cds.KYUSt_chr6.18614 pep primary_assembly:MPB_Lper_Kyuss_1697:6:117107247:117107528:1 gene:KYUSg_chr6.18614 transcript:KYUSt_chr6.18614 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTSNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPEYCVKPTRRGRPQRRPSHPR >cds.KYUSt_chr2.33067 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204020721:204025811:1 gene:KYUSg_chr2.33067 transcript:KYUSt_chr2.33067 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAETLALVQVEDPEAPLDAAAIRSRFEQLSALWEGEEEDPVDAAAEEDALLGLLSECEVDMQAVDAWDSSAAALGSDGLAAYMEWLRKEGLKHSEASPDSGLNQTNVEKDYIYEVWDEEMCQIRSVLSVPKVLECKGNSLRVFLKAPILTSECVNFGQKLDCVVDSFVSDHELLIEFDEGSMELKKVQIFPADVCVDILIEKLKSSRHSFEYLDKDETIVAHLAGGIDAFFKISAAWPLSSYGLKLISIRNSGTQPTNITSDLLCKTKELANGLELQTRRNLVRFVDAVEEILLREMQSDLNSSRVSG >cds.KYUSt_chr3.9158 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53480726:53484076:-1 gene:KYUSg_chr3.9158 transcript:KYUSt_chr3.9158 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGDAFRGHFPVPAACRNRELCPPDLGFAMAVALEENRNWHFGISSIGVIQVDNRMLLSNKLRKCNIRIQHRVALPILLVLLSRITCRENKEPRRQADAPCGAQVEVSLPSVVHRHRSWASVAAAPVSSLASVDMRSALEVQAGLIQEAVRPLHEAVDSLHDWMLAIGGFLERAEAVLDRLSRLPADPLVLPVVGKVGATGASLHGCFSPRARASSVITAPVMKIMPEILELCGGVLTPPSVEEVRSDSHVSSDVASPTCLGFEKCDVVDAVSLSLDSDRQMVPIDDGVPKSGLSAFVPGAIVAREVCDFLATLATAYPGSAVGSTVG >cds.KYUSt_chr2.40529 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251798482:251799030:-1 gene:KYUSg_chr2.40529 transcript:KYUSt_chr2.40529 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAAAALLCPGESRWRKLRASAATTIVRGSAVEALACSTHPGGGCWRCTGQCPLLQRQVPRLPPCVQIFSGGLLRLFRYALHRTVFEGPRSWVGVKSLRAAAYAAAAYAVNGDARGRRYLFGGIGMTLIGPPPRAPGETPGPAYRTGQRRHLSVAPFLKALPWLRVEYQMRLLEVDDASPE >cds.KYUSt_chr7.31990 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199195108:199196445:-1 gene:KYUSg_chr7.31990 transcript:KYUSt_chr7.31990 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPMSRATRVVPELPLLRRGRRQVAASTDDEPAEPAVPAHFLCPISLELMKDPVTAPTGITYDRDSLEGWLARGRATCPVTGAPVRLGDLVPNHATRRMIQDWCVANQAERVPTPKVPVADADAAEVLAGVSAAARRGDAAACGAVAARARALGKESDRNRRCLAAAGAARRLSSAFRDLAGEPVDGASVSAALGKILAALTVFFPLDEESRRSIASPASLKTLVSVLAHGDLAARASAAVVLRELASSADRPTVDAISRTPNACAALLGLVRDPVSPQATKAALVTAYYLISASDRAAARFADLGAAHVVAELLVDADKGTSEKALAVLDGVLCADAGLHSARAHALVVPVLVKKMFRVSDMATEFAVSALWRLCRGADAGAAACRADALRVGAFQKLLLLLQVGCGGLTKDRASELLKLLNGARGSVECIETADFKGLKRPF >cds.KYUSt_chr1.20260 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119277706:119282322:-1 gene:KYUSg_chr1.20260 transcript:KYUSt_chr1.20260 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLASMALLIPWMVWKQRNDCVFEGAQPSVIARVGLIKEEARLWARAGALGCIFQSLDMFFLRRSQCHTAQVAMSYDEVFTVLGAGVMSGKGAAASVWRGQGSNITRQILKCTRWQLEETTDFITCPYHYFCDSSYPGDYSTAVGVVVAVFAAYCLLSAAAFTVAEIGGGATAAGGVGRIKRKYLVPSGPFLLPLVLLVLAKGQRINAVFPLARFGPALLLTLQASALAFRNEADGDVRYAVLEASTVSGILHASLYLDAIVLPYYTGTDALRWSRFSGECPTCLCRMEPLVVGGRTVLYRGLSKTALAIIFALCSRMVCRIYGEERLSVWTRSALEMASWVFVSGDAIYLAGWALAEGAAAVFAVYALVGSLVFLCVFGKVYRFLAWAENRQVQWKPSLSCHNVV >cds.KYUSt_chr3.33505 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210330850:210333171:1 gene:KYUSg_chr3.33505 transcript:KYUSt_chr3.33505 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAELHPSSWWLLWTSSAGDGRGRREKVPRGAGKTVASRSTRAPQPKAPYPPPLSRNASFRRWLSRTQVDGVQDFVRHQIVSNDPSGCQSPSIAIVQEGFRAWYLMGTICPAVGTICPVARPWMPRTSLSSGHWVYMMDSNTIKYDVKVISNTGGLEHLNMLLVEMCDFLFKTWAYGLYCMKKAV >cds.KYUSt_chr7.20014 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124017401:124023181:1 gene:KYUSg_chr7.20014 transcript:KYUSt_chr7.20014 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSTDLGIQFDPPGTDCAIPLQSEIVVDNNPSKSVNTKEQINSITAEKTSATTARGSTSLKSPKGAQEKASFLGKAGEQPYVYQPNVYAPQPQAVYSGGYMNPSGQWEEYPYYVSMEGLHSASPSVMLSPGYANNPQMMYGAYSPVSNVGDGQSYSPMHFPFSTPYYQPPASPSMGYSNSGTGMSQGDPMLQQEYFLPDGLLYSPTAAYHQPFGSYNRGATQPSNAPGLYGQGNVPLASGMQHGSMYGSGSYKPRQQTGKYGGATPNWSSAGRRYNNFDYSSSQQRVPFGIQNGSLEFLNEQNRGPRAAKPKKQDTENSSVEDKSEKPTPLVDSELYNRPDFVTEYKDAKFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRAAKDKEDHCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIVKDVPNNLLRHITLENNDNKPVTNSRDTQEVKLEYGLQMLTIFKNHEAETTIVEDFDFYEQREKALKENRRQQQPGSTEPLKPTDAKVMGDSIALISDKFSRTVQLKETEKSDNKLRAEGAISAGDAQTATVKAEESKAKKSVSPVEESS >cds.KYUSt_chr3.47741 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299233397:299234983:1 gene:KYUSg_chr3.47741 transcript:KYUSt_chr3.47741 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRRSRGRAGGADRLADRVLARDVADYVRFRAVCSSWRRCSADPRVQGGLDRRFHPWQWAMLCEELAVPDRRSFLNTSTGEFVQVDIPELHDHKVLASTPEGLLVLVHKRQRAAVHLLNPLTRQITHLPPLTTLLPPKHVDKLSEDYIYFDGEFRAWGSGIANDDSTTVLLCFNRLRIIGMAKPGDESWNLLDYGANGMTTAPLMFAGRFYCVNLTDVMVLETGPDQPPQLKVAANLGLHVSPIAHSVHLMNNSGELMLVHRRFGRLTPRNKSGWAYNAYRVDFDSGTLFPVKSLGGRAVFMALDCSLSVPLDVFPSGSLCADTIYLRFDVRERMMLKAGAYHLADGSAELPCSLVPRPHTLIDCLSFADTVKK >cds.KYUSt_chr4.39988 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246938724:246940154:-1 gene:KYUSg_chr4.39988 transcript:KYUSt_chr4.39988 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVTRPWFLVVVAAAFWACILYVRISVHTVAPSDVSRSGADSSDPCRGRYVYVHELPPRFNADMLSGCANLEGQWPNMCKQVSNAGLGEPLLHPDQLDGEVPGGGALTNSSGWYATQQFGLDAIFHARMQRYECLTNDSSAAAAVFVPFYAGFEFSLHQWGYDEATRDAASRDLASWLKSRPEWRRASGRDHFLVAGRTAWDFQRDTINISVWGTNLLLLDAVRNMTVLVIESSTSVIGGGSDMAVPYPTYFHPRTDADVLDWQRRIRSADRPWLMSFVGAPRPREWQSIRPDIIDQCAASSACRHLGCASGEKQCHTPGEIMRLFRSSTFCLQPRGDSATRRSAFDAMVAGCVPVFFHPRSAYLQYKWHLPSNHTRYSVFITEDDVRAGNVSIEETLRRIPPATVREMQEEVVRLMPGLVYADPRYTLETVKDAFDLTVDGVLKKVAETRSAELLGGGVARHSTSQDNTISSK >cds.KYUSt_chr1.29366 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177707209:177708620:-1 gene:KYUSg_chr1.29366 transcript:KYUSt_chr1.29366 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYPPPPLAFRCTNALGGCRHWWELILPAAGPWGQGHGFPNSCTTGLDRQQRKVVKQLRAYTGQNNLQDPDYKRKIICNDELHVVFGTDAVGMFKMNKLLANLLLTQSTCSCFLLSALV >cds.KYUSt_contig_1658.143 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:906206:906838:1 gene:KYUSg_contig_1658.143 transcript:KYUSt_contig_1658.143 gene_biotype:protein_coding transcript_biotype:protein_coding MALHQRRTMADIMAASRAQGLYVSMRTLGPFFRVTATCAGPEKEAVAGMELGRAEGAVLPWPGGSLLHLDSMCMSRATLSVPDLPLFGLGLFLGAVAVRHGFDAGCVRAELLAITFAELLDITSNTFRVFDGRRRGGGAQQLTDGDGYLHGDDNLRSSEWSTRSEGTTRERRRRRGAVVEDVPAARAERGDAAGADEGRRRRRRRGAAWR >cds.KYUSt_chr7.6876 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41465213:41466415:-1 gene:KYUSg_chr7.6876 transcript:KYUSt_chr7.6876 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFALPPPPTPYESPSDRRRALREVNRVTRRVGKDGNRGVRPQYEEGSAVGWPLKSGPPPDLDPHNLDPQHRSTKVSASRSLQDSPATMAAKGGSKMELEVVSSQGVQPVDMANLGPTSHGAGAPASIKAERARETPRFAGLLMAPSVGGGDYGGLVERPAHPKPPGGPSRAPVLRRRAQLDADMVDEGDGNWDDGNMQDEVGLRQGAEVQIGGVVDMEDDVYLEFDEEEEVKKKPSEPTTWNLLARYMANFKPHTTAMFKRFAEEVWHLRNGIEYSEKGKNYYMITLFSKGDYDFVRRGGPWIFNQNALKVKELDDDAQPSASILNSVPVWVRIYDVPWGKQDEVWGRRYGNGLGEAIEVDVPASEQEKKEFLRVRVKLPYDRRKPRLQRVSKGNPRR >cds.KYUSt_chr7.27698 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172903894:172905489:-1 gene:KYUSg_chr7.27698 transcript:KYUSt_chr7.27698 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRPGLVRASAQAALFEYLHSTRGMEFTLAEHMSKNSPVFLAGLLGNVDTGGGVDVRCSVSRFLQYQPINEFEPFLESIGLSSSELRKFLPSDLIYLNDAVDLLENYKVLCDYGVQRTKIGNVYKEANEVFGYGRGMLYLKLQQYEQLGLSKTTIAKLVVCCPKLLIGEANLEFLQVFDKLKAFGIMLVLFRGGLSDKSMHNWSRTLRMLEFLEMTGSNNKALLVRLIKEHPRFVFGQSGKRLYLLVSLLCKFGIRIDTMLQLFMQCPWILNLDFPKNLRKSVKFLSHIGMEAFDITRVVSTCPEILGASSCQSVADVLSTMNMSAERLCDILKDDPMQFGNLVSKKKFPAVTKMDSFYLGEKAEFLLKIGFIENSDDMSKAMSQFRGRSDKLQERLDCLVDAGLDYENACSIIKVAPQILNMSVVMIKKKLSYLLNDLGYTLEYFVAFPMILGYSLEKMKLRFTMYKWLTENGVKIQPTSKNKVNKSMVALSTIMARSDVRFVNQYVNLHPGGLDQWERLKNHVRSIQ >cds.KYUSt_chr3.10136 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60076700:60077862:-1 gene:KYUSg_chr3.10136 transcript:KYUSt_chr3.10136 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRLARDGFHGSRVVFLKNDRYLFLAEVLLPPASRRRSFAWEPLLLHPSDHEVLHLRPSPQPSDSTSGLQLLLLRPASPGSDRSGKPATVPLARSSSPLVQGFARQQGQPGIGARTASSRASSSTDDLVELPSSRGPARRQL >cds.KYUSt_chr1.13719 pep primary_assembly:MPB_Lper_Kyuss_1697:1:82198394:82200976:-1 gene:KYUSg_chr1.13719 transcript:KYUSt_chr1.13719 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLIAGDNLRELVSKRRKMPITTVDVWKDNRMRQNDIFSKPLVHEMCTGLQNVYKIEFPRMIVSQQMRPMKLDLNSTPAAGVLDLDLTPEDDGLLNLNSSPPQDEPIQDQHGGLSGVPDPNSSATHNEPIQDQHGGLSGVPDPNSSATHNEPIQDQHGGLSGLPGGVPREIQKNLTSQERYGVYFALKRLQHCLSMLDENSPCRFKDMDNFVHIDEKWFDMTKVNNSYYLLPEEPKPLRTVKNKNSIGKVMFLAAVAKPRYGEGGVVTFDGKIGTWAFVKETPAPKKSKLRPKGTLELKNVKVTRDVMRQYMCNLVIPAIQDKWPDEDEGTTIFIQQDNAKPHLLPHDDGFRQAVAQTDLDIQLIQQPPNSPDLNVLDLALFRSLQSLTDTRAPKNIKELIEGVEEEYNNYEVNKLSRSFFTLKTVMIQIMRVEGGVEYDIPHNYKDLLEAEGRLEEPKKQATKRQEKHMKQARNKRSKWQEKHAKQGRNKRGKRQEKHAKQGRNKRSKRQEKHSKQGRNKRSKRQEKPSKQGRNKRSKRQEKHSKQGRNKRSKRKEKHSKQGRNKRSKRQEKHSKQGSNKRSKRQEKNAKHGRNKRRKRQEKNAKHGRNKRSKRQEKNAKHGRNKRRKRQEKNAKHGRNKRSKRQEKNAKHGRNKRSKRQEKNVKQGRKESDKRS >cds.KYUSt_chr4.10473 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63595206:63596979:-1 gene:KYUSg_chr4.10473 transcript:KYUSt_chr4.10473 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKGRCHCNGGAYKPVETFLACRGGTKQRVAAASGNGRSEGIPPAERVGRICVRGGSCSVGPTKEARFNTDEVVVMVARQSCLDVLGLPQLAPPFDHGVGTPVSSPQSRLSDALRLCLVSAAHRLQQCRRHTGVAARTRLSDAVRSCRDASSRAPVGVLVFHHLLPWSACLRCVFLRRYPFSGRLEVLKVTSGCGLVWFVLVA >cds.KYUSt_chr7.2597 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15235507:15237251:-1 gene:KYUSg_chr7.2597 transcript:KYUSt_chr7.2597 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGKSGAGGTRVCVTGGAGFVGSWLVRKLLEAGYTVHATLRSIGDEGKAGLLQRLVPVGAPPDRLLLFQADLYDAASFAPAIAGCKFVFLVATPSAHEAAASKYKTAAEAAVDAVQVILRLCEESKTVKRVIHTASVSAASPLTKSSSAAATVYRDFISESCWTPLDVDYPLRNPHFDKYIESKLVSEKELLGYNDGEIPAFEVVTLPCGLVAGDTVLSHIPETVESAVAPVTGRDPYFTLPRILQKLLGSVPLVHVDDVCAAHIFCMEQPSLSGRFLCAAAYPTVHDILDHYGSKFPHLDLLKETDDVPVRVQSERDKLGELGFRYKYKMEQILDESISCAVRLGALDASRLSVQQK >cds.KYUSt_chr4.5740 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33459934:33461172:1 gene:KYUSg_chr4.5740 transcript:KYUSt_chr4.5740 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSAKLVWSPLLALLLLSVCDASSVEQPEDTSVVFSIENYGARGDGKHDDTQALAKAWSAACSSSRPVVLLVPKGKSYLTKSTTLSGPCKSTVVFTVKGTLVAPPSRSDWTENNIRHWIMFQGISGLTVTGGGTINGNGQIWWKNSCKTNEALPCTQAPTALTFHLCDNLKVDNLKIVDSQQIHMSIEDCTDVQLTRMSITAPSTSPNTDGIHITRSKDVQVRECIIKTGDDCMSIEDGTQNLHVTKVVCGPGHGISIGSLGDDNSRAEVSGITIDSVQLYGTTNGARIKTWQGGSGFAKNIIFQNIIMDNVQNPIIIDQNYCDSAKPCKNQESAVEISNIVFKNIRGTTTSKDAIKLSCSKNAPCSGITLENIELKLEGGNGDTESTCQNAKWTESGNVVPSPCKGNN >cds.KYUSt_chr4.42553 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263371746:263373268:1 gene:KYUSg_chr4.42553 transcript:KYUSt_chr4.42553 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEEMQVERLHEFDVDAAGGGGGAGTDKLSYEIFSILESKFLFGYTDPHQLWLPKPQTPPPQPTEPAVSGGKAAQRGKVCVLCVDGGGGGLRALLAGRALAHLEAALQRASGNPDARVADYFDLAAGTGAGGVFAAMLFSTHSRGAPLFRAEDTWRLVADHAPGLFRRPSTSTSLFRRAKKKRPLAAPTAALGVAMRAAFGEELTLRDTIKPVLISCYDLRSSAPLLFSRADALESRSYDFRLCDVGRAAWSEPGRFEPAEVASVDGATACAAVDGGPTMGSPAAAAITHVLHNKHEFPFVRGVEDLLVLSVGGCSGSGAGSSAAAADADIARMRRWGPKEWARPIARIAADGAADLVDHAVARAFGQGHSSNYLRIQAKRESMPPCGPDGEYDPTPANVQALLAAADETMKQRNVESVLFEGRRVGDHTNAEKLDWFAAELVAEHRGRGSRIAPTVAFKQPALG >cds.KYUSt_chr3.8808 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51322819:51327445:1 gene:KYUSg_chr3.8808 transcript:KYUSt_chr3.8808 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPTSHVTTAFSDSDSVSMEVSGDAADPDLEALRRLSDNLAAAFRSPQDFAFLADASVAVPGAPDLRVHRCVLAARSPFLRDVFARRAGAPPGAEGVRLDLRELLGDEVEVGYEALQLVLDYLYSGRVVRDLPKSACACVDDDGCRHVGCHPAVSFMAQVLFAACTFQVVELTSLFQRRLLDVLDMVEVDNLPLILCVANLCNKSCTKLLERCLDMVVRSNLDMVTLEKALPSDVIKQITDSRLSLGLVSPEDNGFPNKHVRRILRALDSDDVELVRMLLKEGLTNLDDAFALHYAVEHCDSKITKELLDITLADVNLRNPRGYTVLHIAARRRDPTIVVSLLTKGARPSDLTIDGRKAVQISRRLTKYGNHFRITEEGKPSPKDWLCIEILEQAERRDPQLGEASVSLAMAGECQRGRLLYLENRVALAKIMFPIEARVAMDIAQVDGTLEFNLASGANPRPEIQGGTVDLNETPFIMKDEHLARIRALSKTVELGKRFFPRCSNVLDKIMDDEAELAALGRDTSTSTDKKRRFHDLQNLLLKAFDEDKEENDRSALSSSSSSTTTSIGAGRLTVRPRR >cds.KYUSt_chr7.4890 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29372026:29373117:-1 gene:KYUSg_chr7.4890 transcript:KYUSt_chr7.4890 gene_biotype:protein_coding transcript_biotype:protein_coding MASACTNLTGATRLVRLLRIEGFSATGSMDGGRFMASRWNVGGHEWGIRIYPAAKGASSTGSFWVVLQLVNNSPMGATACRASLACRVIDPTAELKPSREKIVTDYNFGYRDQENRYLILMSRDELASSGYLKDDTLTLQCTITVLNVLPVPTIRAEEVPTTIPDEEEATAVLLPSNLHQHLGELLQSETGADVTFLVCGESFAAHKNILAARSPVFKAEFFGGMKEECLRQVEIKDMEAATFRAMLHFIYTDTVPELDQPLELAVMMAQHLLAGADRYGLDRLKLICEIKLANGITVDTAATTLALAEQHNFSQLKAKCVEFIISTPAVLDAVLETEGYEHLAASCPSVLANLLKSARARKN >cds.KYUSt_contig_686-1.761 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4573143:4578840:1 gene:KYUSg_contig_686-1.761 transcript:KYUSt_contig_686-1.761 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSSAATPARASSRKRKATAKAVADPDLDQDAPAAADTDKRPRKGASSSSGAKKPPKKATKPPRKKEAAAAVEKPAEDEVCGEEPDEEELALGEEEDLAAAGDHDGAQAAAKKRVAKPTKARKVAGAGGGDKDPEFVGEPFPADEARSKWPKRYQRAATARPDEDEEVKARCHYRCAVVDGIVYALDDDVYVQAAENEADYIGRITELFEGTNKSKYFTCRWFFRPEDTVISRAKFVDDHQHDPKRVFLSEDKNDNELDCLISKVKIVYVDPNMDPEAKAQLVADTELYYDMSYSVAYSTFGNIPSDVHENSGISSDADSGSGTPERTATLLDLYSGCGGMSTGLCLGAALAGLKLVTKWAVDLNSYACKSLKYNHPRTEVRNEKAEDFLALLKEWAVLCNKYVHSPDSDATSPVDEDDDDSEPLGKDEFVVEKLLEICYGGNGRKNGIYFKVQWKGYGPEEDTWEPIENLSDCPLKIKEFVQEGHKRNILPLPGDVDVICGGPPCQGISGFNRFRNYNDPLKDEKNQQMVTYMDIVSYLQPKFVLMENVVDILKFADGYLGRYALSRLVALNYQARLGMMVAGCYGLPQFRMRVFLWGALPSLVLPKYPLPTHDVVVRGGAPNAFSQSIVAYDETQKPTLKKALLLGDAISDLPKAKNYQPHEVIEYGAPPKTEFQCYIRLSRKDMLDFSYGDTTCPEEGKLLDHQPLRLNQDDHDRVLQIPVKKGANFRDLTGVMVGANNIVEFDPKIKRVYLKSGKPLVPDYAMSFIKGRSPKPFGRLWWDETVPTVVTRAEPHNQIILHPNQARVLTVRENARLQGFPDYYRMYGPMKEKYIQVGNAVAVPVARALGYSLGRAYQGQLEGTDPLFVLPESFTYVRPNEVVEH >cds.KYUSt_contig_776.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000072.1:138474:143543:-1 gene:KYUSg_contig_776.26 transcript:KYUSt_contig_776.26 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPMGLVLDPCQYPLELVAGGQGMARYCYHHPDPRRSFYNPRLVGMPMSSCSQWRGSEEVVDTNEEATVERILAMYEKWIDKEKNRFVGLNLEYTPHSTCKRQEMVVMQIIMRQHILVYHYNSSFKHCPALNNFFECKGITFASVDTRNNKVMLAHAKMHIPELYHVDIQDIFKSRVGMTDLAEAIIDGPTRT >cds.KYUSt_chr1.11126 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68104403:68105761:1 gene:KYUSg_chr1.11126 transcript:KYUSt_chr1.11126 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGMEKGTVLMNRYELGRILGHGTFAKVYHARSLMTDHHSVAIKVIDKEKVLRVGMIEQIKREISIMRLVRHPNVVQLHEVMASKTKIYFVMEYVRGGELFSRVAKGRLKEDVARKYFQQVIGAIDFCHSRGVYHRDLKLENLLVDDTDNVKVSDFGLSAVRESQRPDGLLHTTCGTPSYVAPEIIDNKGYDGAKADVWSCGIILFVLLAGYLPFYDSNLMEMYRKIGKGEYKTPHWFSDEVRKLLATLLDPNPETRITIEKLIDHPWFRKEYKPAVMPAQPHSPNSLKDVQVAFSTDHEDSKCEKAEQSSSPMKPASLNAFDIISLSHGFDLSGLLEMEQKQKVHELFITKKPASAIVSKLEEIAETEHFNVKKQDGVVKLQGSKEGRKGQLTIDAEIFEVASSCYVLEVTKAAGDTLEYQSFCNKDLRPSLKDICWTSPSEDQLQSVSE >cds.KYUSt_chr4.33385 pep primary_assembly:MPB_Lper_Kyuss_1697:4:204757815:204760552:-1 gene:KYUSg_chr4.33385 transcript:KYUSt_chr4.33385 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFHCLRPSLKRKRKPKRRGSNHPKKTSESTNLAADDAAGGGDASFDLKSSASASTASAGGRGGGGCLVQPLGNLLLAAARRANLRDSGLGALRPLPDDLILDVLGLLPARDLGALSAASKAFYVISSHDPLWRAIVLADLGGNFAFAGSWRATYIAAASGRAHCPPRALEIKGFYSDYLFQSWLCANMEMRTEWLDRDTIDRRRGLSLEKFIAEYEEPNWPVLLEGCLDTWPALQKWTREYLLQASAGKEFVVGPVSMPLDRYFLYADNVQEERPLYLFDAKFAEKVPEMGSDYEVPVYFQEDLFKVLGEERPDHRWIIIGPAGSGSSFHVDPNSTSAWNAVIKGAKKWVMFPPEVPPPGVHPSADGAEVTSPVSIMEWFMNFYGACRTWEKRPIECVCRAGDIVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELVSGTKDRVNLHDKFRNAIDAAYPGTISELEVEAQKKAAARKKKTAFWDSAVDANTGGFKFSF >cds.KYUSt_chr7.9312 pep primary_assembly:MPB_Lper_Kyuss_1697:7:56609465:56610383:1 gene:KYUSg_chr7.9312 transcript:KYUSt_chr7.9312 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQIKVLPGKGEALRIDRHCEFPAPARGRSIMQMQQDIAAGMAYHSAGFAGLPTYCFSPPPPHQQNHSSSSQDLDFVQGLLASDGAAGGGNGHRPPCSSSSSPGRGAVMSETRKARRLASNRESARRSRVRRRRQLDELSAITAELRATNQRLVVELNRAEARYAQVARENARLREEACRLREKLAADDAEETDEAGGDDGDEAAARTP >cds.KYUSt_chr5.20502 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133254582:133260889:-1 gene:KYUSg_chr5.20502 transcript:KYUSt_chr5.20502 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQKRESSLTDEDWASKRLKGAANSTEKDCTVDTAASQETNGEKGDTSQKESKASMGSCISDEKSIAISKFPSQQEMILATIEADAAEDKGCRHAMEDAWVVLSDASMESPGNLRCAHFAIYDGHGGRLAAEYAQKHLHQHVIAAGLPRELMNVKLAKKAIIEGFHRTDESLLQESTKGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSTSTDGEIEVVDTKSLLKAIVLTREHKAIFPQERSRIQKSGGSVGPNGRLQGRIEVSRALGDRHFKKVGLIATPDVHSFELTKKDHFIILGCDGLWGVFGPSDAVEFVQKQLKETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKH >cds.KYUSt_chr3.12934 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77654162:77654692:1 gene:KYUSg_chr3.12934 transcript:KYUSt_chr3.12934 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWFPLMQDELLWAATWLFHATGEGQYLDYLANHADALGGTGWSTSEFGWDIKYPGVQVLAAKILLQGKAGAHAAVLRRYRQRADFFACSCLRKQGGSGDVQRTPGGLMYHQKWNNLQFVTSASFLLAAYSDSLAAAGHGAAAQVQCSSGSAAPSELLSFAKSQAPLRRCPPAGA >cds.KYUSt_chr3.3744 pep primary_assembly:MPB_Lper_Kyuss_1697:3:21314661:21320666:-1 gene:KYUSg_chr3.3744 transcript:KYUSt_chr3.3744 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRMKKLHAKDALEFFNQVMVEQPLLPFLIPLGLFAWFLERWVVPFSNWVPLVAAVWATIQYGRFKRNMAIEDLNKRWKHLILNTTPTTPIEPCEWLNKLLIEVWPNYMEPKLSRKFQSTVERRLKSRRPKLIDKIELQEFSLGSCPPTLGEQGMRWMTSGEQQVMTLGFDWDSKEMSVMFMAKLAKPLMGTARVVINSIHIKGDLLLSPILDGEAVLYSFESTPEVRIGVAFGSGGSQAGPGTELPGVSTWLVKLLTETIAKTMVEPRRLCFSLPPVDLKKQAVGGVLSVTVVSASNLGKKGTANELGNRQNSSGSTTSGTADNKVVHAFVEVEVGNLMRKTGKSEGPNPTWNSTFNMVLHGETGVVKFLLYELDSGGVNFNYLTSCEIKVKYVLDGSTIFWAIGHNSGVVARHAENCGKEVGMVVPFEDNTGELTVSLVLNEWQFADGSVTVSKSLSNGFQSSLDGSPKLQPRTGSTLRVKVVEGRALAVNSKSGKCDPYVKLQYGKALYRTKTLSRTAQPVWNDKFEFDEIAGGEYLKIKCYNLDTFSDDSIGSARVNLEGLLDGASRDVWVPLEKVDSGEIRLEIERIQNDQNDNLKRSSSKVEAGWIELVIIEARDLVAADLRGTSDPYVRVHYGNNKKRTKVIYKTLSPQWNQTFEFAQTGEPLILHVKDHNAVLPTASIGNCTVEYSMLSPNEPADKWIPLQGVRSGEIHVKIVRRVADSGKKTSLETDVSALGKGHNISAQMRDSLKKCAGLVDEGGDTEAMSLALTEVEGVQDEQEVYIQQLEREKAALLRKISELGSEIVRSSSGPARMPY >cds.KYUSt_chr3.36935 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232330359:232331684:1 gene:KYUSg_chr3.36935 transcript:KYUSt_chr3.36935 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARKARRTPSGLLCRISDICKVHSVGVAPTVREKKPKAEADSTATGGESSEDGAHLKVHPRQVSSDSSECLSETSSARCEEAVVDKLLDAISGLKVAYVNLQQALMPYDPGEIMIADERFASVLEETAELKDLYVNVKEWSNPRYPYHLNSRIQEHQNLVMELQADICKKDSQIGWLRPELDELERKNMELEEKIAGSALCREGSFTVRRGVSTEMFMDLYERSAKCIHDFAKFVIGWAKVSGWNLGQSTFPIDSHVVYERKTDKKYAVEAYFACVMLMGDREDYISLDSFDCIMSFRDPFDALMSDPDSNFGRYCRAKYIVAVPQSMEDSFFGNLDHRAFVESGGHPRTQFYQRFVKMARYAWGLLAVARSLNPRAEMFYVNSGVQFRKEHMESTPAKMTTEEEKFSVGFTVMPGFKVGCTVIRCRVYLSKGNAMDF >cds.KYUSt_chr2.11972 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76044410:76059525:1 gene:KYUSg_chr2.11972 transcript:KYUSt_chr2.11972 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSTWWSWLCEDAPKKKLSHGGLWGSNPQDFVKQAQARKAFHLVEVKIVVIELKWNAQVEDEDIDHLLVRCPFAQAVWFNLLRPLGLHRLMPGRDDRLAAWWPNAGKRVPAMCDFNSLCLLIIRSLWLERNARIFEGMACLASILSERIQSEWREWTNCRDTLANLVQMVVISFAANVMYYHIQVFELSSSQGPEAGLLLFRKVPHFRILVCGGDGTVGWVLDAIDRQNYESPPPVAILPAGTGNDLSRVLSWGGGLGSVEKQGGLCTVLHDIEHAAVTILDRWKVTVEDKQAKNVLPVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSMIDRTFVDLPWQVRLEVDGAEIDIPEDSEGVLVANIPSYMGGVDLWQNEDEDNADNFDPQSIHDKVLEVVSISGTWHLGTLQVGLSRARRIAQGQTIKLQFSAPFPVQVDGEPWVQHACTLKISHHGQAFMLKRTIEASLGHAAAIVTDVIEHAETSQLITASQKRALIQEMALRLAEFGENTSFATVQVKIQPRRCPESPNWSRIRAARTAGFLSVSMVFTHDKDADYFNPLSYGNLRGLEDSNQSASFTEMNSGDASSDSNFTGLGKENIFNNSDEFNFGNADLKDGSPVYAEDFSFSWLSDSHYQYSALDHGKRSVSDINTCQIACKRPRQTEENTWLNSYEEHPFNIAAETSASALADGYVETREQEHIHARSATTVCGTSSSIHYPKGGQPIGEESLYGPDWITYFPDYFEDCGPAVGYNHVDDIEAPLHEYVPRKGVMIGPDHQADVPEWRPRVLMHVHGGSSSCSDVVQTSVSTSESAPLDEDSESDKWIKHTVIPMASCSNPAGLVGDCKTDCECSDEGSIRCVRQHVMDARESLRRSLGQDQFQELGLCEMGEDIDQRWTYEEEQLFQRVVFSNPVSLGKNFWDYLPHALPSKTSKELVSYYFNVFMLRKRALQNRSDMLHVDSDDDEFAPEPVETEQESEDSAVESPVHVHSMSNFEYTEDVHEESEGEQFHESSFPDNMIDNDQTHLETNPENIVVDVDIRDESCTSFESQHNGAHDSNSILCADC >cds.KYUSt_chr3.42624 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269528765:269532654:1 gene:KYUSg_chr3.42624 transcript:KYUSt_chr3.42624 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLRPANSRPTRFIKYTRSVFMLPGSNDTDHSAIVTLADELLERWVFGGKQKEQGMETGEETGDASGDGQTPRWSGVSCVDRRDLGPAAPPDGSSAAPGSAPLTGKFWSLSASAVEEGEVAPASDGSEGEASDPDQEALRCGRPAATTLEDFIRRAQELGGSLQSARRSSFAPGGKGSRFRGSAPAAPPRFQGSGDGVREGPAAEARYVDWVVSTPTGLEGGSMLPLGCEVASAFLPGPRPGLAAQCLGPARWRRFCWLWMPKGCSDPALGFRARSTEVRQRLSDPTAPRHRLLRPTPAPPRLVRSYAATVMAGGYDDGRKRCFEEGGRRDGEAFGGGGGRRNDGFRQDGGGAGRREGGGRQDGGGRYDGGGRQEGGGRQDGAGRQDGGGRFDGGGRLDGGGSFRSHDGAPLDAGGSRFQDEDLPYRDQERGRGFDYGPPPPWWEEQRRREEALANRRMDGAPARGGERSGAAGQGDRAGQGQRAQYKNKAKKPQGEGSQQQANGKAKQNPPPRTGAPAAGECFKCGREGHYQSDCTFDPLCVICSGEGHSSANCPLRGKGLRLQTMGHAITGGGFYNIDVEPLRAGLGNGEVFAAIIKFNSTPLTETQLSDELKHLVDELWDWQVQRLSDSEFSVVFPTRQTMRLSTGSGKLHLPLNKTDTEIREAFLAPKPSLVLPSTWVRLTGVPEDLMTRDRLMAGFTMIGRPIDVDELSIQKRDREPIRMRFHCRFPDRIKGSVQIFVNGEGYMVGVQAEAPPRGTPGGGSGGPPPPPNDGLDDEDSDDIPSDSEWNKHRRSQDKHKDAAKDKGGASGPSSSSHQVAALGVLGLPTAPASPLIAPGLNQYGSNLVAAPDAPTLSLLEPARGKLLVHLVPTGVEGSTPLEDISMDSADPDSQLTDPAPSWVDDSQQAEGPPAKLARLSPAKGMTAVEDVEVLDASDDDDLPRPGEDAARKNLLQEMSQATPLVQARRSKAVYSKRATPSSAVRKSSRSQGVAAGTSALVRAQRLTAEKNLEGKTCTDTVTNKGNDFAILDLLPDDHLSSVVRDSCLVFSPKLGCPGEALSFIRAKEKVQAALAETSRRLEQEAVAAKAVAAACTSVEAPRVELTVAAADAPRVERVEGEEHPRVERAEGEEPLTGGVGPPGELGGPGAGAQAPVSVDPATSPPPPRSRPKRSCVKVPALAVSKRQYKKRAAK >cds.KYUSt_chr2.20522 pep primary_assembly:MPB_Lper_Kyuss_1697:2:129010368:129011750:1 gene:KYUSg_chr2.20522 transcript:KYUSt_chr2.20522 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHHQQRNNDFLLVVSPDQHGARILVSGVEKINTSPEEGRTFDALYFVPVRPGAPGPCSTSDVRHGCLRELRLYLLHVAASPPLDTIRPVAYWFVPGFPNRLA >cds.KYUSt_chr3.36257 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228045290:228048878:1 gene:KYUSg_chr3.36257 transcript:KYUSt_chr3.36257 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTEAQQQKHSKVDAKASRERRRGKGDRRKGGDTPGAEHHGATMAARRHSLATAAPSHGQTRLSEIGPEEDYDNLEGAEIIGYEEPDLSGDFCQHGMHDTTYDTFIGASLMETHELKPESPERGIEKDQGRRSSMEYGCPCNNSWNNKEKRPPLKRGQLKLQIARTLGSLVVPGGAKNSFSFRR >cds.KYUSt_chr7.28968 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180210798:180211418:1 gene:KYUSg_chr7.28968 transcript:KYUSt_chr7.28968 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAGGAPGWLDDTTGMKTTEWFAGGGGGEAEAEGDGWPPLGGGEPDCPSLLLLHCGGGDGGGADERDGDGGLRVEDEDGGGSVSSDCWGGGDRVGGGGDGESESPLSPEEDSSDGGAGDGEESELPPSREDLEGDDDGDGDDLDGGDEPEEREPFELEEEESCESEDDESGDGGDGLDESSFPSEEEPDDLDEPFGGGALEPSD >cds.KYUSt_chr7.38182 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238035276:238038838:1 gene:KYUSg_chr7.38182 transcript:KYUSt_chr7.38182 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPGKLDLPSHESTIGKFLTQSGTFRDGDLLVNKDGLRIVSHSEEGEATTIEPLDNHQLSIDDLDSIKVIGKGSSGNVQLVRHKWTGQFSALKVIQLNIQENIRRQIAQELKISLSTQCQYVVTCYQCFYVNGVISIALEYMDGGSLADFLKTVRTVPEDYLAAICKQVLKGLMYLHDEKHIIHRDLKPSNILINHRGEVKISDFGVSAIIATSSAQRDTFTGTFNYMAPERISGQKHGYMSDIWSLGLVMLECATGNFPYPSRDSFYELLEAVVDQPPPSAPSDQYSPEFCSFISACIQKKATDRSSAQTLLAHPFLSMYDDLNIDLADYFTTAGSPLATFQFISLILDDHIVHDHVSTDDLWSPYPKIWLTLAQYQTYVVLFCHPSERDVIAVKEILHLFGRVSGLQVNYANSSASLLGCKEEDAAPVTEHLACPTIDLPITYLGILLHTRRPTAAQLQPVVDRVAGCLPAWKAQLMNKAGCLAFVKSVLGVVPLHQLMVHDGARAAKKVPQAA >cds.KYUSt_chr4.18238 pep primary_assembly:MPB_Lper_Kyuss_1697:4:114664171:114667159:1 gene:KYUSg_chr4.18238 transcript:KYUSt_chr4.18238 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTSPKLGCSWHRVRASIASSPQKQYSPKTSAVQSGEEVRIAVLGASGYTGAEIVRLLANHPQFRITVMTADRKAGQQFCSVFPHLITQDLPNLVAVKDADFSKVDAVFCCLPHGTTQEIIRDLPQQLKIVDLSADFRLRDINEYAEWYGHAHRAPELQEEAVYGLTEVLRDEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKLSNIIIDAKSGVTGAGRGAKEANLYTEIAEGIHAYGIKGHRHVPEVEQGLSDAAESKVTISFTPNLICMKRGMQSTMFVEMAPGVTVSDLYEHLKSTYEGEEFVKLLNGSNVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENTGLQYQPLFP >cds.KYUSt_chr4.15181 pep primary_assembly:MPB_Lper_Kyuss_1697:4:93774903:93778448:-1 gene:KYUSg_chr4.15181 transcript:KYUSt_chr4.15181 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQPSFSPSTMAMNNSCGTIKFPKTALLPGFGGISRTQDAQDRNASLTLSRPKAASVTDQSVAEPAKPRQKKQTVDPAAPEFLPLPAFEECFPRSTKESRHTSCFYEIVHEESGHSLNVPFRRIHLTGDSGHFDTYDTSGPQNISPRIGLPKIRKEWIDRREKLGSPRYTQMYYAKQGIITEEMLYCAKRENLTPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKINANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWIIRNSSVPIGTVPIYQALEKVNGIAEDLSWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKRHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSDGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVRQGMSDMSAEFMAARKTISGEQHGEAGGEIYVPESYLAKK >cds.KYUSt_chr4.34223 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210030576:210036838:1 gene:KYUSg_chr4.34223 transcript:KYUSt_chr4.34223 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTAPSSAPMGLGLALGLGAMGGLGLRLPADRDLDPELEPCSPPRAVLRLRGAVQHYEWGGRGDASLVARLAGDTADGRPCAELWMGTHPAAPSSLASHDGGAAGDEVSLREWLARSPAALLGRAVAARWGGDLPFLFKVLSVAQPLSIQAHPDRDLARALHALRPATYRDANHKPEMAVAVTEFRALCGFVTIQELKDVLRTVPEVRMLVSKEDAAKLMTAKEHDGGIGVRSYLQSAFTKLMATSKEGVCEAISKLKSRLDGESKTRSFTEKEQLILSLEQKYPGDVGVLSAFFFNYVKLSPGEALYIGANEPHAYLSGECVECMATSDNVIRAGLTPKYKDVQTLCSMLTYKQMFPEILRGVSVQPYVTRYTPPFDEFEVDRYLLPQGESVTMSPVPGPSIFLVMTGEGEIQADGMSGEGKAKEGNVFFVPPRTEKEDACALWHKRLGHMSEKRMTVLVKKDLLKCVNGGHIKKCSDCLAGKQHRVAFKSQPPHKKPKVLDLVHSDICRMSVSYGGDQFGYKLLDPIAIKVVRSRDVVFVENQTIEDIVKRKEKVPESIPVGVPPQRQQDRNDSDPGPADSDHQEAEEDDAKDVQEDAHSGVGVEDAP >cds.KYUSt_chr6.4038 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23369738:23372385:1 gene:KYUSg_chr6.4038 transcript:KYUSt_chr6.4038 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRNVDDRLSNLPNDILVNILDRLNVREAARTSVLSRRWIQLPATMSQLTITAQDFCRPRTSMSDDELRRINAAVAEATKSILARRDPGGCTIRLLSTTFFLRDDTPISVGRSVGNAMATHEIEKAEFTVLTENQSIDFPIEDKLNYGIQFVSFFNECPNAFAGLTRLHLENLRFSESDFVLNILGTCKQLKCLSFVSCDTEDWITLQVEHEQLSELSIFDCQFDEVELKWLPKLTRANFEYWINFAEPPLSFGHVPLLEVVSLSNIAVSSHKMVKLSTLLFETSVRDLRLGFRCEKIWVQPECWTRQLAYVFHQLRIVNLVEIPEGYDLTWTMFILEGAPFLEELYMTVMDHHCEMQMDKEKRREGLYSEKKGVEWKSTTSNFKHHSLTNLIMFCFQSDDHMVRHVRRVLEAAVNLKDVYLRNMKNPSPFESNLFAYEVNVKLNVLCPAMMNGVGGEIYSRNVVTVDNRSAGHTVMQLLKQLSEPCALGNCVGHSSVLSLRARARHGRLSFRRPRNE >cds.KYUSt_chr5.38985 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246884838:246885587:1 gene:KYUSg_chr5.38985 transcript:KYUSt_chr5.38985 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGSSSSSTSSHEHSAALMAQPPKRPAGRTKFKETRHPVYRGVRRRGSAGRWVCEVRVPGGKRGERLWLGTHATAEAAARAHDAGMLALLGGRSVSASAARLNFADSAWLLAVPSALADLAAVRRAALAAVADLQRREATSCVATVPIYEADASSSSASSSADDAGSSSATSLCSELDGLFEVPAAAPLGMGNDMFDFEFGMSGEIMDLGSYYADLAEGMLLEPPQPEFTEVCWDGGADYAALWSYN >cds.KYUSt_chr1.2740 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16376791:16378068:-1 gene:KYUSg_chr1.2740 transcript:KYUSt_chr1.2740 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSLWFDRYRENYEVWAALMQCAMELHGLWNIGDPKGDEFKKDGVEHRRDRDGKGSVGNHQDIAARAHACAGGLVGSLQTIMKTYDNFQMGDDEFVEQFAARVVPLVNGICTLSEKFEEILVVRHFLRAPTARRSWSRRLLLVRKILDQAVMCPVIQLGSLE >cds.KYUSt_chr2.13350 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84702962:84707321:1 gene:KYUSg_chr2.13350 transcript:KYUSt_chr2.13350 gene_biotype:protein_coding transcript_biotype:protein_coding MASYMARAMSTSAASAPPVSLDTINPKVLEFKYAVRGEIVTHAQNLEDELHKNPESLPFDEILYCNIGNPHSLGQQPVTFFREVLSLCDHPALLDKSETHALYSSDAIERAWQILDKIPGRATGAYSHSQGIKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLIRSEKDGILCPLPQYPLYSASITLHGGSFVPYYLDEETGWGLEVDELKKQLDEARSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYDEDKKFHSFKKIARSMGYTDDDLPLVSFQSVSKGYHGECGKRGGYMEVTGFNADVREQIYKVASVNLCSNVSGQILSSLIMNPPKAGDESYESFMVERDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRLHLPQKAIGAAQAAGAAPDAYYALRLLQATGIVVVPGSGFGQAPGTYHFRCTILPQEDKIPAIISRFKEFHEKFMDEYRDGSPASSKTESVEDKTGSASDKTAGSGDKTVSGSDKTETGGD >cds.KYUSt_chr2.40630 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252402994:252406461:-1 gene:KYUSg_chr2.40630 transcript:KYUSt_chr2.40630 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLIINGKIIAAMIRNSSTEIKELITAAWKECPNCEYHMHNDDVSSQWPGLPVGVKFDPTDLELIGHLEGKVGRVASHVLIDNFIPTIKEEEGICYTHPKNLPGIKMDGSSSHFFHKISNAYEVGKRKRRKISNTNDTDCDENITWHQTGKSSRILDNGVIKGWKKILVLHKCYNEKKVKTNWTMHQCHLGAEVGEKHGELVVSRVFWQVKNNTRKSKMHAADAESGSSAVEINPTTPNMYPPQPRGLSGSPLETEQNQDEEEPDSSAVQGYAALPPLRNTDIHENPTPLDFPDLDPTFLATLHEVFDLTVSYRVPRCCL >cds.KYUSt_chr3.42350 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267739821:267740922:1 gene:KYUSg_chr3.42350 transcript:KYUSt_chr3.42350 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVDRAGRPVLLVLPAKHLSANRDMAGFKRYIIYLLDNICTRIPRGQEKFLCIVDLKGWGYANCDVRAYIAAIEIMQNYYPERLGKALMIHIPYLFMKAWKMVQPFIDANTREKFVFIDDKKLGETLRRELDESQVPEVYGGKLAPVPLT >cds.KYUSt_chr4.18425 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115794934:115797221:1 gene:KYUSg_chr4.18425 transcript:KYUSt_chr4.18425 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDGKGKRTQANGVLRPKGHGSNEEGIGRRPTARRSAAADGQAFGGGRRRRPSETCEELLGGSKEITGTRLCRRCPWSLPKIRGPSRKPFSCFDGHGQADITDLRVHLHSEVEAVLELDTAASEEETQARVRCAQGWGKRKRSRRQQQQRAPSEEEHLALSLLMLARGHRDQRASSPAQEHACSVCGKAFASYQALGGHKASHRPKPPPAAAVDEPAASPVASSSTSSGAGGKVHECSVCKKTFPTGQALGGHKRCHYEGPIGGATAAASRGFDLNLPALPDIVTDRCMQAAADEEEVLSPLAFKKPRLMIPA >cds.KYUSt_chr1.37152 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226970512:226973328:1 gene:KYUSg_chr1.37152 transcript:KYUSt_chr1.37152 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLTRSIAATLSSPRGEPEPDPDAYSDSEASSADREAAEGSSPSPRDPGEEEEPEQPNTPSRGVKDDISELTETFTRRLWGVASFLAPPPPPPEPSSQREEDGGGGDGEEEADPQSPRIAGIRSDLAEIGGRVRSGISMLQSNLAVAEISKIASSLLPFGHEEADEGEPVVGATEEVLVFVRHISTRPETWLDFPLFISERYADDFELSDAQYVHALSMEHLVPSLSELKVQICSTDMSEACFWKIYFVLLYSKLNKQDAELLSTPQILQAREELLQSLQAKNKRGSESTGESSENANTFSPPTKEKVIQPSSIQDEEAISEVQSFEEPTSDITPEIVAEKFPDATTEVEIVDKSVIEEELSVKEKSKTSPVESRLHADEDEVDEWPDDDTDEEPEAPGTASNRPSLGQEEDVSFSDLEDDEDDDNKRVSK >cds.KYUSt_chr1.14867 pep primary_assembly:MPB_Lper_Kyuss_1697:1:86424309:86424719:1 gene:KYUSg_chr1.14867 transcript:KYUSt_chr1.14867 gene_biotype:protein_coding transcript_biotype:protein_coding MMERGFKEAIFHITSSYRCRSSYADYSSFTEQTAAAAVLLPPLARCRDGHPCFLCRSGRGLRGERKEAAYLPHQLSALNLLLLPAMRRDEFAGRAVVEGRAPATNLRIGLPWLACSSKTLVSCGAVMRDWRGRAPP >cds.KYUSt_chr2.54394 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339415360:339417652:-1 gene:KYUSg_chr2.54394 transcript:KYUSt_chr2.54394 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARKNGGVLALFDVDGTLTAPRKEVTPEMLEFMKQLRENVTVGVVGGSDLVKISEQLGKSVITDYDYVFSENGLVAHKNGELIGTQSLKTYLGDDQLKEFINFTLHYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDDFEKYDKVHNVRPKMVTVLREKFGHLNLTFSIGGQISFDVFPKGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIFESDRTVGHTVTSPDDTVQQCRSIFLSK >cds.KYUSt_chr1.34209 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208108300:208109775:-1 gene:KYUSg_chr1.34209 transcript:KYUSt_chr1.34209 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGLGVRYFEWLKPRSPPSPTSESWMSSSSLSTPSRYHHQELGDGEDRGTMLCLPLLGRLGEKTSSPDAAGTQPNPVKEEESSITEDAGVDLNIGLPASGTYSSEEEAPPMEMDEDEEETEEEEEKPWHESCEPEAGVQVEHSEILVESVEESSHGASASCRDRRFWIPTPSQILIGAVQFVCHVCSKTFNRYNNMQMHMWGHGREYRKGPESLKGTAGQSSQAAALSLLRLPCYCCAPGCRNNVAHPRARPLKDFRTLQTHYRRKHGAKPFGCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHARSFGGGHFPVDPDEAAAAASAAPAPHTQRRERIIRFDQCHGTAARA >cds.KYUSt_chr1.41251 pep primary_assembly:MPB_Lper_Kyuss_1697:1:253063310:253064113:-1 gene:KYUSg_chr1.41251 transcript:KYUSt_chr1.41251 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAATGAALRLRLLFRMLRVGELLALLALLSWSSSRAPSAAAAAVRVAGSLLFSPRFVFVLGNAIVLLLLALSRRERESSPVSSATNHHPAAAAAAALTPEAPAVGSFSSFAAPTTPSPTPTPESEASVAVVATSAAEVLPCTEMATVFEEEVKPAAAAAAARAALSKARAPRRSRSEKMSPRGVSGSRRAASPEHQLMMPVMLRSESENGRRRRSSASARDVAAWAPGTEDAEEFRRTVEAFIARQTRFRREEECMAGALVVVE >cds.KYUSt_chr2.22260 pep primary_assembly:MPB_Lper_Kyuss_1697:2:137098170:137099146:1 gene:KYUSg_chr2.22260 transcript:KYUSt_chr2.22260 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGGAVELRETHRLTGHVDRAWALAWNPNLGGGESPVLASCGGDKTDVLEDTHNRTVRSCAWSPDGKLLATASFDATTAIWEYSGGDFECVATLEGHENEVKSASWSQSGSLLATCSRDKAVWIWEMQPGNEYECVAVLQGHTQDVKMVQWHPILDILVSVSYDNTIKVWADDGNDEWHCVQTLTEADNW >cds.KYUSt_chr4.14089 pep primary_assembly:MPB_Lper_Kyuss_1697:4:86679409:86680080:1 gene:KYUSg_chr4.14089 transcript:KYUSt_chr4.14089 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKNLVVLFTMLLLAPSILATDPDPLQDFCVADLDGKAISVNGHACKPMSEAGDDFLFSSKLAMAGNTSTPNGSAVTELDVAEWPGTNTLGVSMNRVDFAPGGTNPPHIHPRATEIGIVMKGELLVGIIGSLDSGNKLYSKVLRAGETFLIPRGLMHFQFNVGKTEASMVVSFNSQNPGIVFVPLTVFGSNPPIPTQVLTKALRVDAGVVELLKSKFAAGL >cds.KYUSt_chr6.22102 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139617436:139622891:1 gene:KYUSg_chr6.22102 transcript:KYUSt_chr6.22102 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRSMASLGVVPFLLCALLLVQPSAAEKRSYVVYLGDHAHGSQLHDLAAVDLAALEEKAADSHSELLATVLGDKAKAQDAIFYSYTKHINGFAANLDAAEAAEIARLPEVVSVFPNRGHKLHTTRSWQFLGLAGPGGVPRGASWRKAKFGEGVIIGNLDTGVWPESESFRDHGLGPVPKNWKGTCDKGKDDKFHCNGKLIGARFFNKGYISGGGELDGQEYYTPRDSEGHGTHTLSTAGGSPAPGASVFGYGNGTATGGSPRAHVAAYRVCYKPVNGSSCYDADILAAFDAAIHDGVHILSVSLGGDGGAYDYFEDGIAIGSFHAVRRNISVVLSAGNSGPKPGSISNVAPWMFTVGASTMDREFPSYVVFNGKRIEGQSLSETALSGKKPYPLIDSAQAAAPGKSQEEAQICLQGSLDPKKVKGKIVVCLRGSNARVAKGATVLLAGGAGMVLANDASSGNEVIADAHVLPATHIKFSDGLLLYSYLNSTKSPVGFVEKPVTSLLTKPAPYMAAFSSQGPNPVNPEILKPDITAPGVSVIAAWTRAVSPTELTFDERRVAYATQSGTSMSCPHVSGIVGLIKALHPGWSPAAVKSAIMTTAIDVDNKGESILNASFVPAGPFGHGAGHVWPSRAMNPGLVYDLGPEQYLDFLCSLKYNASVLAMFNGVPYKCPEKLPKLQDLNYPSITVVNVTATGTTVKRTVKNVGWPGIYKSLVHQPAGMHVTVSPDVMEFKKKGEEKTFEVRFEVKSAKLAKHYAFGSLVWTNGKQFVKSPIVVQTAA >cds.KYUSt_chr4.48711 pep primary_assembly:MPB_Lper_Kyuss_1697:4:301716411:301716962:-1 gene:KYUSg_chr4.48711 transcript:KYUSt_chr4.48711 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSAVTASAAASSFLRSLPPPSLSVHTALPPSLSLKRQASCHSRVVARASASGAAAAEAETVFFDGGAHYGDLAANLVLGLTLLWLPLTLASVTRALFLRYRFTSRRVTVISGLSGDDRTDFPYSSVREVVVVPRFIGEWGDIVITLKDGTKVDLRSVPRFREVADYCRKMAAAEGALGAQ >cds.KYUSt_chr2.43746 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272190986:272193764:1 gene:KYUSg_chr2.43746 transcript:KYUSt_chr2.43746 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIMAALLSMVVTLLAAPAAAAADCRTSCGLNDFSYPFGIGPGCSLQGFNLTCDAKKRLLLGSPNVTVDYTILSSGSVSALAVYVARTVQMGSGAGTFSTSWEGPGTPFAISGAANLSLFVLGCGVTATLLDRGGTGAVVGNCSVVCAGDEVMRKLPDGLCVGLGCCRIDLSVHLRAFTLNISRAGDGVSRDKLSFLVAGRDKYTFRPSDLEHDIDVEMVPPARLDWAIPDQPDCGRAMDDRVTYACVSNQSECRNSPIGGYTCHCSRGFSGNPYVVDGCTPDQVYGSSQPKDNCPTMCGNVSVPFPFGTELGCFARINLYLTCNPGSSPAILQMTEHLVVTDISINEGVLRIQKLSDPGDFLGDRSTKLYSFSEESGMLKWAVDNSTCKEAMLNKNEYRCVSARSDCVDVTDDRTNINECLQPDIYTCNGICQNSLGSFTCSRCPHGTDFDRTARKCKTSDVILGVTIGLSSGAGVLFLAAIVVIIIHRWKKDAQKQLRRRYFRKNKGILLEQLFSSDSSASDSTKIFSLEELEKATNNFNLSRAVGRGGHGTVYKGILTDQRVVAIKRAELVASSEIDQFINEVAILSQINHRNVVKLHGCCLEAEVPLLVYEFISNGTLYDMLHCEQDGDLLPLPWEERLRIASEIAGALTYLHSAASVSILHRDIKSMNVLLNDSHMAKVADFGASRSIAIDQTHLVTAVQGTFGYLDPEYYHTGILTEKSDVYSFGVILVELLMRKKPLIENEHGEKQNLSNYFLWAMGETPLKEIVDDHALEEGNKEVIKHMASLARELPESEATSQA >cds.KYUSt_chr1.22923 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135879751:135881313:-1 gene:KYUSg_chr1.22923 transcript:KYUSt_chr1.22923 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKKVFGFEEVAKHSVAKDCWLVIDGKVYDVTPFMDEHPGGDEVLLAVTGKDATSDFEDIGHSESAREMMEKYHIGQIDASTIPVKRTFVPPQQAAQSQAKDSDLLIKILQFLVPIMILGLAFGIRHYTKSE >cds.KYUSt_chr4.15755 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97383182:97384453:1 gene:KYUSg_chr4.15755 transcript:KYUSt_chr4.15755 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRLLLLSLIASAAVSTFAAQSDPASPVCNLSIVAAKLARHCQSDPDVPSFLLSPTAQCCEALVGSLPAQEEMAFSCLCRVVAEPEPPLITAKLDANRLFALYRGCGKGNVGSPNFGSWYCQVEKTGDIPTAACDAANLATLVSQFCMIDKRPDDCCMAVVAAVGLTGTPPCLCRVAAEPQLAATGLSITGILEIYAGVCRGRQPVGPHLADGCKGWHLPAPDTTAAVLPRPRATTAASASCKPEALAYLMVLYVYKDRTVQSCKDLVASVDLGGGVPCLCRTEVEYFTMSAGLKATDLLAIYNACGGLRLGGADHKAAAASCEGYGLPPPTPTQEHGGDAIHIGPDALPETATA >cds.KYUSt_chr1.10977 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67224261:67229771:1 gene:KYUSg_chr1.10977 transcript:KYUSt_chr1.10977 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHIGWEKFTRHHSLQAGFVLVFSFFGDRDMSVKRLAEARVLCCADEAALCDRCDRDVHAANRLAEKHQRLPLLSPGSAAPVAPPPKCDICQECHAYFFCLEDRALLCRGCDVAVHTANDLVSAHRRFLLTGVQVSLDEQDDCSPDQPEPSPAPPPKSDLQAPLYSDGEFSWATATATPETAGAVGSFPNWSAVNEQFGSPAKRHAEAASRASPPKRSPRAPAFSGQGGMMDWPLGEFFGGFSNFSGGFGFGESGTSKADSGKQGGSSGGSPYYMSSSDDRNAEELFGQVPEMQWSVPELPSPPTASGLHWQRRPGSYGDSDSSAFVPDISSPDNSLRYCFPADQLTIAKRRRKC >cds.KYUSt_chr1.19279 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113167397:113170517:1 gene:KYUSg_chr1.19279 transcript:KYUSt_chr1.19279 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTAHLYLSARTFAGSGYRASWASSTVGPTASWPCHVTYGTNGGQKRLRYSTAEILPRRAEQTQGTDDSRTQLRTGARDRGGRRHRAGAKPAGPVRRAETRSTAWARARRDGGQGGGSGREAAAGRRRRRGGGGRRGKPSKAILAALLLASAALLLLLALGALSLPAGSDGAGGGGAVLSLHRPRIRRSASESILKKHGEKGEPWTEVLSWEPRAFVYHNFLSKEECEHLISLAKPHMKKSTVVDSATGGSKDSRVRTSSGTFLRRGQDKIVRAIEKRISDFTFVPVENGEGLQVLHYEVGQKYEPHFDYFHDDVNTKNGGQRIATVLMYLSDVEEGGETVFPSAKVNSSSIPDYDKLSECAKRGISVKPKMGDALLFWSMMPDGTLDPASLHGYAPILEFLDVIAHDEHFSFP >cds.KYUSt_chr4.44239 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274130224:274132170:-1 gene:KYUSg_chr4.44239 transcript:KYUSt_chr4.44239 gene_biotype:protein_coding transcript_biotype:protein_coding MGESMKTMLAKPIKLADQVAKQSSSGQCFRPECKDLRAHTEKLAEVLRQAARADLYERPVKQIVADTLQALAKAGTMANRCFERHSSLRRCLSFNPVIPVSSFPRTLALLDAAVEDVAWLIRVSSPPSDHDDLRAIPNIALNDPILYLIWYHIARLHTGSLAVRADSASTLASLARDNPHFAKLIVEENGVVPLVRLLKEGTEDGQEAAATALGSVDRLLHAHRRFLGRDEGRVDRLLHAGVCAVYAATLKEPPMRVQAAIAESIASLASHSKICQDLFAQTNSVVRYLVSHLAAGTIQEHSRYSVPGSSSRNSATPLPEPMRSLHSVVLASTPSMLRGTSTYSANEPPEASNSGQMQSAAAGRTTTNSVTAAPPSRPQLSSNGSSGRGSREAEDPATKARMKAMAAKALWKLAHGHPGVCKNITESRALLCFARLLEKGDGGAGTLLQFYSAMAIMEITRVAEHNLALRQSAFKPSFPAAKAVTEQLLCIVRNGEYDDLLLPCITALGCLSGTFTASETRVIGPLVRLLDDREPPVTKEAIVALTKFACSENHLHVTHCKAIVDHGGARHLVQLVYLGDQLQIEALMLLCYVALHVPESEELAQAGVLAVLLWASKQAQLVQDLRVDPLLSKAKGRLDLFQSRGSII >cds.KYUSt_chr4.9621 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58133177:58137707:1 gene:KYUSg_chr4.9621 transcript:KYUSt_chr4.9621 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVRSRKRKGSSSELDVARSIELLILEASLLGVYTGQLVIILWASFRAPFQKLKDAPANDVDCATEEQSWSTYVSAVNNLCRMLVYHPKQPQRSSAMLLGTPCSCIRLLCEFRKRKGSSSELDVVRSIELLILEASLLGVYTGQLVIILWASFRAPFQKLKDAPANDVDCATEEQSWSTYVSAVNNLCRMLVYHPKQPQRSSAMLLGTPCSCIRLLCEFRAGITMPCGSAAARNLEMDGREGEKKRLLQEMGFSSGTCAVPDEKKHRVEEVS >cds.KYUSt_chr4.53349 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330304187:330304741:-1 gene:KYUSg_chr4.53349 transcript:KYUSt_chr4.53349 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPSIMSPPVALIAAVVLAVILTGRAVDATVASTCKEAAASDVRVSLQLCLSQLSSQRGAADADAWGLAKVASLAGVNNAALAADDVKTLLAGNPSLPMKQALAKCDTAYSEAAVAFAQASDEINGRSYATGKKKLEEALAQAQQCNAAFGTHGVTLPQPLAQHTVDSIQIAIIAEAITGLIK >cds.KYUSt_chr5.40792 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257560847:257562049:1 gene:KYUSg_chr5.40792 transcript:KYUSt_chr5.40792 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEDDHDDLVVEFMQVTACSSLADAAQHLASCGWRLDEAVDLYFATVAGSSEAVRAPIPARSDTLYGATSSRQPPAARPTGWESDSEHGNLVAAPADHRRRRRRRRRRRDDEGASADNAEPAAKKKTLAELFSPPLDLTYKGGFHDAKSHAAETSRWLLVSVQATAEFASHQQNRDVWANDLVAQAVRDHFVLWQVDVGDDEGEGGKVSCYYRLAHDKMPVVLVVDPVTGQMMRRLRAATDPNEILVMAESFADRKPIMPIRSANNNLLAVSSTAPSSSPSGSSSGEKKEPAITARKQGEAVAAAAAAPIGEERTPGGEKACKLRVRFPDGQVLTKEFGAKCSVTALFAFCRSSVANNGEEKPFRLMRFTGGSMQQISHENVSFEDLGLHMSTVSVALC >cds.KYUSt_chr7.375 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2097956:2098592:-1 gene:KYUSg_chr7.375 transcript:KYUSt_chr7.375 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAPAPSPRSLPFSGAVATTAAAAAAYAAARPPPPRHRRYAAPMEERTRLRAVPRAATPSTAAAHHLFDVAVAGHGEVHGRGDLRLVGDVAAGVGCRVGAELGRQGVTKVVLDVGEDDLGAVLTNSSAVALPMPLAPPVITATFPASRWEFRAVE >cds.KYUSt_contig_319.543 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3410589:3415853:-1 gene:KYUSg_contig_319.543 transcript:KYUSt_contig_319.543 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVPVAGDIPAGASPSPAPAEEDSPSYGSVVLGGTFDRLHDGHRRLLKASADLARDRIVVGVCTGPMLAKKEYAELIEPVEKRIKAVEDYIKSIKPELIVQVEPIEDPYGPSIIDDRLDAIIVSKETINGGVAVNQKREEKELPLLKVEVVDLLSGDTDGEKLSSSALRKLEAEQVVLDLENEVTKAKNLSPLPPHKSQEHWIGLNPLRSKGCGRSGAKYVAPRCAISSTRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPANLYSDELKVVDVGGGTGFTTLGIVKHVDKDNVTLLDQSPHQLEKARQKEALNGVSIMEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGIKEAYRVVKPGGLACLIGPVHPTFWLSRFFADMWMLFPTEEEYIEWFTRAGFEDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKRASGDSPLQLGPKAEDVKEPVNLLAFLFRFAIGTMCASYYVLVPVYMWIKDQYETKPPLLPEGLLDLELLLRLRLYFELTLFAGAASGDLGLEASGLLDLDLDRPLLAEGLLPR >cds.KYUSt_chr1.30376 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183916003:183921332:-1 gene:KYUSg_chr1.30376 transcript:KYUSt_chr1.30376 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLPCFGSGSKGEPAKKPAAGSGGARKDVPSDRRAPGVGSDKSKPQGVLDSKNDAVIPREGNNQHIAAHTFAFRELANATKNFKPDCLLGEGGFGRVYKGRLDNGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPEKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLCEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPHGEQNLVAWARPLFKDRRKFPKMADPSLQGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQAYDPNAPAQHSRSNASTPRARDRGSGNSDQRRIHSPNHHSPDLRRKEATATSKYEPEVSRNSSGGGSGRRSGLDDRDVTGSQAGSPAHAGGLQGPLRGSVLLQRPRHGVRIQERGSGQMLEAASIVQMNRTLERNVESSF >cds.KYUSt_chr4.7821 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46538528:46541973:1 gene:KYUSg_chr4.7821 transcript:KYUSt_chr4.7821 gene_biotype:protein_coding transcript_biotype:protein_coding MADASTEGEAPRCVGCGRRVKTLFVQYSPGNIRLMKCDNCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLHIGSSLDKVLGNSLLGNVVFMAILLLGVRYILKLSFDIMRYREVLLAVIISSYFKLFLLTMMVWEFPSSAIFIVEIFVLSSNVVALRVLP >cds.KYUSt_chr7.5069 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30260326:30265422:1 gene:KYUSg_chr7.5069 transcript:KYUSt_chr7.5069 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGGVQPRSKKSSWGWQKGTLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLIPLLKYIILVLGADDNGEGGTFALYSLMCRRSRMGLLNSLHAGHGSLSTYNKDEPCKESRSSLIIRGFFEKHYSLRVVLLLFVLMGTSMVIGDGVLTPTMSVLSAVSGLRIKFPELHENYTVLVACIVLVGLFALQHYGTHRVGFLFAPILISWLACIGGIGIYNLLKWNPSVVRALSPYYIYNFFRKAGKDGWSSLGGIVLCITGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPGEHLFPTNISPSRLCSENSDRVFWPVLIIATLATAVGSQAIISATFSIISQCRALGCFPRIKVVHTSSHVHGQIYIPEVNWTLMSLCLAVTIGFRDTEMIGNAYGLAVILVMFATTCLMFLVITTVWNRSVVWAALFAAGFGSVELLYLSACLAKVPHGGWLPLLLSLATLLVMSAWHYGTAKKQEYELQNKVCLDHLLGLIGSGSMGLVRVPGVGFVYSDGGAGATGVPPMFAHFVTNFPAFHRVLVFVSLQTLTVPKVPPEERFLVGRIGRPEYRLFRCVVRYGYKEGRWDHFNFENQLLVKVVEFLRLQADGADGERSSSVSGEMSVIPAAPSQAVVDALASMGSCEISNDKGYSKKVRFEEMPAAAAAWRREETRSEVRELLEEREAGVSYMVGHTCVFAHESSSAVKKFAVNVVYGFLRRNSRRPAVVLGIPHTSLIEVGMAYRV >cds.KYUSt_chr7.30436 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189525212:189528253:1 gene:KYUSg_chr7.30436 transcript:KYUSt_chr7.30436 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTVSAVLGNIGSLVIEETKFLCAVTLQVATLKNDLMRLQGYLKDADSRRRSGNDARAATLVIQIRAAAYEAETVIQAADHMEKRNRLKNGFLGAISRYARLPTDTTTIREIGVEIECVRSNLSEIFASIESLEIVDLGNSNVVEDEPPEELSILQQTIEEDIVMVGFRGDFTEVVEKLVDKENNTLSAVSIVAIGGAGKTTLSRKIYSRMSKHFETVAWVTASQMFKGIDLLKDILKQIVDDIDEYNLIDQMSEYEVGKKINDFLMQKSYLVVLDDLCEVDTWEQINKTLKIFPDANNGSRVILTTKKKDVADHNTSAQTLQATTPNLRSFFGFGLSSVIVDPKLRLLRVIHVHDSSLKNFNKVIGECTHLRYLKLRNCKDVSIPSSVGQLLYLQSIDLRGTELEKVVPNSLWDIPTLKHVYVSKVTPPPARSSLQPTELQTLALNLDSAGTEYPYHAMAAFLERMTRLTSLTLIVNSMPAEMIKIFANMPHLVDLTMYEFHVLDKLPESHHFPQKLRRLCLYARTINEDPLPILQKLPCLVVLVLKGYGGQTMSCSTQGFPELQELELARNFNTEEWRMQFGSMPKLTSLKLRNFSKMKELPQALLHTNLGYLELTSTFLISRQDSTLKELKRRKCKVNDQYKSNGRMDLVMRGQYQVDMSKYRLTRG >cds.KYUSt_chr2.10006 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63366228:63374712:1 gene:KYUSg_chr2.10006 transcript:KYUSt_chr2.10006 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTRSSTHIIGAHRDENPGQVNEDVDNYDPLCSNQTPSPQFWEEATRIAAEVEKSAAKISHMKEPSASTHARTEGQEIPETAAPRHPGHDIECPSFDLLPAGETWTQHLATNNQATPGAVANRSTTTGGPSKTTSGTANVETHPTADGNTSGTEPATGIPLDVASEAVTNVASEAPIVDAGNDSSPCFPDSNQPLTNEGGQYSPSVACTIGTQTQDKKNRKKRAFLDRNNDANHKKLKKLKNTDKSKEAYDTYILRRCIRKPVDNEERPPFVDFGEYHVTYEEFREALKSRGKIDKNVMELFIRHFNVVTNIATTSEPMCTKFAFSQSLTCSSPLRLRRFPSAVACLSSVARRTYAVLPPDLVMRESSAAPSSSALHSCFFVSFSASPSTVLVGDRRSSPSPAKVFLSDCAAQDLHAGFKCGISVFSPTHPMSDEESSSASSSSLSVKRRRQSPGESTASDPMDTDSGNQQESGSRQESGGHLESGSFSQASGSHQEASSSGQASSSSSQSSGAEEKEAAGRAEPSAPPVLEKTTTSAPESSAPEGSNLGDAPSAPPSPRTILMPPPDAPRTKPSKAAPTAPPPKASKLIKGKATASSAPSGGQQPLVLHVAKAAKDTATKATGLLGRITDFQRKGRDLGHLLPYAQKWNAADMTPATRGLGKDRLPAPDPVGDRSSEEHFMRLRSAVKELDSAWYDATNNLMLTADARKALFEELLWEHRDLAEAHDKCQVIPEASIEALKEQLAAAQREKDQLIRRHQEELSAQKTSYQELKSQLIQLGLDHAKALKAAEADAAAKMDEALEDASNATVVLRAELEELAKARKGAEEKAARLEEEHKECNQLILQTDTLAYRLFPDSQKYAVKKVDERRTAQGQANLAMPWTPYDHLVALNARVSHMRAIDRNLSDIPDVATQLFRTLWPGEEVPDTFSLISDRLKGAGRRIREWQCSAARAGADSALRVACSWYPELNLDALTGVREGAETDLDPILAAKRQDRAYHIAEYADMRTFIPPPPDVKDYLDEEEDEAEEEPLDDAGAGDAPPEAPAA >cds.KYUSt_chr5.6833 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42313259:42317307:1 gene:KYUSg_chr5.6833 transcript:KYUSt_chr5.6833 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKEDDTAKLVYSQPASGIVKVTAGKKLLEPVPEDRKDMTLNCLEVKLDVDIPNSGRVAVLNTVNLPLVKEVGLGADSVSRLGSPTTQVTYIVRGSGPDGKHVLETHIDGGSDAPDLQPPGGKDLGVEDDLAGGAGGVIQHDSGDGQALPIQDARLGDLLILWLRQQLGKLAAAKSDAIQSRKGKKAKGKLAVRSNGAEKPKTHDLSDVTRGLAVMGIVEEMSEDELLALYCYRDIFWMNNFPGVYDKPSVHAIRKKATENWKFFSDSEKAPYLAKARVTKILLAEHNEFKKVETNS >cds.KYUSt_chr1.16662 pep primary_assembly:MPB_Lper_Kyuss_1697:1:96822164:96825517:-1 gene:KYUSg_chr1.16662 transcript:KYUSt_chr1.16662 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRHEPEEATTSLPTLWRALPLFLLLSLSSSQLHGVSSAPGEAEALVGWKDSLTLPWVGALASWDPKAAANSTVAACGWDGVSCDATGRVVGIDVAGVGLAGTLDALDLSSLPSLGGLNLSFNSLTGSFPSNVSTPLLRITSVDLSNNNISGPIPTALQAYMPNLEHLNISSNQLTGDIPPSLANLTKLQSLVLAKNLLSGGIPPELGSISRLRVLELHSNPLGGAIPVSLGKLRSLERINVSIALLESTIPPELSRCTNLTVVGLAVNKLSGNLPVSWARLRRVREFNVSKNMLTGEILPDYFRAWTRLAVFQADNNRFTGGIPAEVSMASRLEFLSLATNNLSGSIPAIIGSLTNLWLLDLAENQFSGIIPRTIGNLTRLNTLRLYDNQLNGRLPVEFGNMTALERLSISTNMLDGEFPAELARLPNLLGLVAFDNLFSGGIPPDFGGNGLLSIVSMANNNFSGELPPGLCKSAPRLRYLGLDSNQFTGAVPACYRNFTKLVRIRLAHNRLAGDVSEIFGSHPSLSYVDLSGNSFDGELPEHWAQLRSLSYLHLDSNKISGTIPPSYGTMAAMKGLSLASNRLAGTIPLELGKLPLLELNLSHNKLSGPITPTLASVTTMEVLDLSGNELNGGVPVELTKLERLIYLNLSSNDLTGEVPALLGKMNSLTDLDLSGNPGLCGDIAGLDSCGLNSTSLGVGPRRYNTRLILAVALSVGAAMLVCTSVVTCLLVVRKKRRTGEDTTETTASGSTTALQASIWSKEVEFSFGEILAATEHFNEAYCIGKGSFGSVYRAEVLGGHALAVKKLDVSETGDACWGISEKSFDNEVRALTRVRHRNIVKLHGFCATGGFMYLVYEHVKRGSLGKVLYRGGERSGQRFDWPARMRAIRGLAHALAYLHHDCSPPMIHRDVSVNNVLLDAEYETRLSDFGTARFLGPGRSNCTTVAGSYGYMAPGTLRHTIAVSYLGISLTLLGASSHSLTFLAAELAYLRVTTKCDVYSFGVIAMEILIGKFPGGLISSLYSMDETQADVGKSSALLLLRDLLDHRLEVPDGQLAAQVVFAFVVALSCVRTNPDTRPTMRIVAQELSARRRSALDRPFDTIMIGDLISSRVVG >cds.KYUSt_chr2.39324 pep primary_assembly:MPB_Lper_Kyuss_1697:2:243705499:243710870:-1 gene:KYUSg_chr2.39324 transcript:KYUSt_chr2.39324 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLRMTTVESALASSAAERSRSGLGSGFRYLTGAATGWWDRWMVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCTPFREQLLEYYTNNKNPGDAEENLLTCLADLFMQVSQSKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKESPQSSSPEKVPNGVVHPLANGVKKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFFDLSVDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPQILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKIGSTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEESTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >cds.KYUSt_chr5.791 pep primary_assembly:MPB_Lper_Kyuss_1697:5:5455273:5459388:1 gene:KYUSg_chr5.791 transcript:KYUSt_chr5.791 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVGEVQSHGVLNLQVGEGGPNGSGLDASLLRRLYVAQFLTRWGARCAQFLAFVIHAMRLCKPRFDTDHVCVACSMWEFSVGLYMIRIWPDSLLLAAIYGVVESSSVAVFGPMVGTLVHRLTYLQVLGLWLVVQCLSFIIAGASVTALLVLHNLKDTRFPVFVVLVVVTNVSGALAALSSLAGTILIEREWVVVICRGHPEAALTKINSVIRRIDLSCKLLAPVLSGLVISFVSTQASAVALALWNIASVGLEYWLFVSVYNGVPALGENAQQRREAAAAAVLPSSELVAPKDEEAQKHGQEDGSGWRVHVKKQLLNLLCLGSWAVYMRQEVMLPGVALAILYFTVLSFGTLMTAALDWKGIPAYVISLARGFSAIVGIAATWLYPVVHSWVSTLRTGLWSIWMQWCFLLMCVGSIWVSGRVASAWVLMAGVAASRLGLWMFDLAVTQLMQDNVPDPDRCVVGGVQNSLQSIFDLLTYIMGIIISDPRDFSELIVMSFFLVTCAALVYTLHVYRVRKHLFHLDKIIANIGW >cds.KYUSt_chr3.48134 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301282370:301283396:1 gene:KYUSg_chr3.48134 transcript:KYUSt_chr3.48134 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKGVHKRLGLPTINISCSSADATSVVASTGPGSGSSRFIDRHSPRLRDPHRTSTSSKPPRPSFSNNGDAKDSSASSPNSSQQHGHDSKKKKKSSTASAAGAANGGRRSSEKRLVSPATSSRFLLNSSRMQSDDLGVDMLALPPPPPPPPSFIDVFPGKNAPLPAWPVHFPEEKEKPPVAHVAESSSSGSSSASSSSEIMAAPVDRDGHDQEEVMKRSSSTRRTQAGQVVVLRVSLHCKGCAGKVKKHIAKMEGVTSFDIDIASKKVTVVGDVTPLGVLNSVSKVKPAQFWPSSTPLTTFPPRASASF >cds.KYUSt_chr2.49291 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308432555:308433741:1 gene:KYUSg_chr2.49291 transcript:KYUSt_chr2.49291 gene_biotype:protein_coding transcript_biotype:protein_coding MKCMETLCSMHVKEGTLFQLVCPDAKCNTSIPHYLLKRLLNEEEFERWDRLALEKALDSMADVVYCPKCVIACVEDEDNTAQCPQCSFTFCGFCKELWHPGKQCLNPEQKLQRRKASGRMTEKEVAQELLNIKELYKDVRLCPNCRIAIAKSEGCNKMVCSNCGQFFCFRCGKAIAGYDHFRNCRLFEARDTAEWEREMDQLQFGNQMRNMLKPLGAVVRCPRCREKTFKDDEKFVFCWACRASICTLCKQTVEDKRLKRGHWGSPDCVRLAES >cds.KYUSt_chr1.33375 pep primary_assembly:MPB_Lper_Kyuss_1697:1:202873770:202877228:1 gene:KYUSg_chr1.33375 transcript:KYUSt_chr1.33375 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLDDASDEEGANKGKPDENKKAKEKIKFDAEASNLDFKIDEFMRSKESITLKTLDRMNVDDVEYIVLKIHVSNTALTCIKNGIEQKETIKLISIQISS >cds.KYUSt_chr4.41434 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256263166:256268979:1 gene:KYUSg_chr4.41434 transcript:KYUSt_chr4.41434 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRNPSKEIFSESDEINAQGPIFTRSFQKTEESTKWGHEVARRFHVGAGIPGVAPHYISPPSTFNVLLDSYWTSSPAKLWRLRSTRMKSSLFYFPDADLYINTGRPPAKFAACCFMILTVASVSLTGCFGNVAAWTNLLRQRRSPFQAFSSPSAALKVRPSCFRPML >cds.KYUSt_chr2.12103 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76796987:76799560:-1 gene:KYUSg_chr2.12103 transcript:KYUSt_chr2.12103 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASKSALEHRRPERYRTTRRGRRGRGNPRSVMPEGPKPRIVDARGRTTDFSMSEIVHVESAGKLSEHSKTFHLTQMEWHQSQRDSSGCCKEDAWFDSVSILEDEAEDEEEFKSVDGDLSDEEEEDEDQKKQEKASRFADALSRIGELWRGVPMTLSVEQYLKKDDGDDPARRSQSMAICASKCVPNSKEKTDADEKEPNTPSRIRQLLHSISFNDKMQQLTCVSPAKRKSTVIRLSYKRTAVEDDCQDDASEIGESKKYVVRPKGGLTIPCGGEKPSPGCWTRVDPSLFKLRSETFLKDKKKCAAPNYAAYYPIGVDLFASPKKVQHIAQHIELPLVKPHNKLPSLLIVNIQMPTYPASMFLGDSDGEGFSLVLYFRVSEYFDKEVSEHFKESIMKFLENESEKVKGFASESTIAYRDRLKIMAGLVNPDDLQLGSTEKKLVSAYNEKPVLSRPQHNFYEGENYFEVDLDIHRFSYIARRGLDSFRERLKNGILDLGLTIQAQKQEELPEQVLCCVRLNKIDFINHGQVPTIVTLDDK >cds.KYUSt_chr4.44048 pep primary_assembly:MPB_Lper_Kyuss_1697:4:273010366:273012729:-1 gene:KYUSg_chr4.44048 transcript:KYUSt_chr4.44048 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRRLLLCLLLLAPTLSTTVLAASGDGGGGQARKTYIFRVNHRAKPTVFPTHAHWYSSAAFASPASAGADPLQPLHVYDTVFHGFSASLTASRADDLRRHPAILAAFEDQVRQLHTTRSPQFMGLRARLGLWSLADYGSDVIVGVLDTGVWPERRSLSDRNLPPVPTRWRGGCDAGPAFPASCCNRKLVGARFFSQGHAAHYGATAASSNGSAEYMSPRDADGHGTHTATTAAGSVAYDASMEGYASGVAKGVAPKARVAAYKVCWKGAGCLDSDILAGFDRAVADGVDVISVSIGGGNGAVSPFYIDPIAIGSYGAVSRGVFVATSAGNEGPAPMSVTNLAPWVATVGAGTIDRSFPAEIVLGDGRRLSGVSLYSGKPLANNTMLSLYYPGRSGGLSASLCMENSIDPSLVAGKIVICDRGSSPRVAKGVVVKDAGGAAMVLANGEANGEGLIGDAHVLPACSVGESEGDALKAYAANTTNPTATIVFRGTVIGVKPAPLVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLESDPRRTEFNILSGTSMACPHASGAAALLRSAHPGWSPAAIRSALMTTAIVTDNRAGAVADEAEAGRAATPFDYGAGHVALGRALDPGLVYDAGDEEYVAFMCAIGYEANAIEVVTHRPVACPTSAAGRKSSGSDLNYPSISVVLYGGSNQSRTVIRTATNVGAEADATYRARVEMSNGGVVVAVKPEKLVFSPTVQKQSFAVTVAAASAPAGAAPVYGHVVWSDGRGHDVRSPIVVTWLQPM >cds.KYUSt_chr3.9768 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57447214:57447999:-1 gene:KYUSg_chr3.9768 transcript:KYUSt_chr3.9768 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDLKLLGTWASPFAIRVKFALALKGLTYEYAEEDLASKSDLLLSSNPVHKKIPVLIHNGLPVCESNVIVEYVDEVFAGPSILSADPYERAIARFWAAYVDDKLLASWATMLFRGKTEEEKSDGKKALFAALETLEGALVKCSDGKAFFGGASVGLVDLALGSQLSWLKAAEVMAGEKFIGGDNTPLLAAWMARFSELTVAKAELPDVDKVVEFAKMRQARMAAAAATAPNN >cds.KYUSt_chr5.3373 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21856617:21861752:1 gene:KYUSg_chr5.3373 transcript:KYUSt_chr5.3373 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFSRSAPSPSPTAKSCAFTAEITITEENSGSQRTFHVDESVLKKWQLEWDMRKEQDDEEQQYRNEMKEKMMDAAFEPEAFGDPVSIYQKPHHTDQASLLISSVVSIALFNGDVLVYACSGIAVRHWHGFMVESKILQSLGYPLPPPLLFEHNKRCFACTGLLITGGDCQFVLTSASLVRTGAVEGEIDEKLTIEVFLPPNPPVEGLLELYHQSYNIALVRLKRDPIAGISPEGILNVQQFRDTEWMADMQDDNMIRRRRDRHRVVAIGRATKQSHGLLMASIGELKGKYKAFLSTGMSKKPDCRDLRLSTCQIKKVGIGGPLISLHTGIFFGMNFYDESGTTPFLPRKEILTVLMEGFDLLERWPVSKPYWFLGGQDHPVD >cds.KYUSt_chr4.3301 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18761138:18763957:1 gene:KYUSg_chr4.3301 transcript:KYUSt_chr4.3301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Actin 7 (Actin 2) [Source: Projected from Oryza sativa (Os03g0836000)] MDPSAQSLVPFERGLPVRFIQSMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSIIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >cds.KYUSt_chr2.44625 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277736359:277741997:-1 gene:KYUSg_chr2.44625 transcript:KYUSt_chr2.44625 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKQASRLKEQVARQGVFKQFGAGAYGNSDNPFTDESEVKLHQRLEKLYLSTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLSDDSQKYGIENTCTSGNTLSRAATYFGKARSLIEKERGNMLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEADAQVVEVSRRQNRVRESAGNGEVISKLEAAEYKLEELKSNMVGLGKEAVSAMAAVEGQQQRLTLQRLIAMVEAERAYHQNVLEILDRLEEEMVSERQKIEAPPTPAAESYMPPPPSYDEVNDMFASTSADHSVNSVDFFLGEALGSFKAESEFELNLSVGDIVIVRQISSNGWAEVPLAVAGGVVVLLEVVHVVVDDLLLDALADGLVLHRAAWSCLAVVGEVHQRLAGVADGQGHKAIAASRSPLQASLSFMDAKNAARRPRQSSGSSLLAMSRCCRSYSASSAA >cds.KYUSt_chr5.41458 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261599199:261602392:1 gene:KYUSg_chr5.41458 transcript:KYUSt_chr5.41458 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAASAFLKSVMGRLFQVLEKEYNKQKGLRQDTLSIQQDLRMIAAAMDDRIHALGRGDRRTRTAVARLYSEEMLGLAHDAQDCIDRIVHRLTCKPSRSSAGGGVSPSALVRRVAHELKKVQCRSGFADEIHKLKARLKQAHDRVVGIPIPATACQESAAASSASCRVARNPVGIGKPVEELLSLLDEVEGEPEQLRVISVVGFGGLGKTTLARAVYESPHAVEKFHRRAWVDAGRPSLETNGDRVSEILRDLLRQVRPEDAMDVAVTDGQRIEALLTEYLKDTRYLIVIDDIAMEQWSAISFIFEDNGKSSRILLTTATHKTAKNCSHGSGYVYQLDTLGEEDSMEIALQGAVRSPELEQGSTTLLQKCGGLPLALVSVSDFMKSSVEPTGEQCAELCRSLGYHLREKHDHDNFAELRRVLMHNYDSLSVYDMTCLLYLSVFPSNRLLKRKVLIRRWLAEGYARSDSLRSEEDIAGETFKTLIDRNIIQSIETRNDAQVKTFKTHAIMHEFLLHKSMSKGFIATSSWNHPRPCAYLNNACHLSVHGGSLTDSEASEEDLSRVRSLTVSGKAGDAISYVRKCKLLRVLDLDECNDLEDNHLKHIGKLWHLKYLCVGGTIEKLPSSIEGLHCLETLDLRRTKIKTLPIEAIMLYHLTHLFGKFTIDKDDLRNANKMRKVVKFLSGNKSNLKTLAGFVTSESQGFMQLMGHMKNLRKVKIWCEPVANGSSYTTELSEAIQDFSKVPIDNAGARSLSLDSEVCSEDMLSSLDFEPCPEGSKYDIRSLKLHGKLLQLPPFVTLLIGLKELSISSATLTRDLLSSLINLGNLLYLKLIANQLENFEMRKGAFPSLRRLCFVVRSLASPLPAIEQGALPNIVSLQLLCPGLVGLSGIQIRHLRHLKEVTIDRAVSDQTRTDWEEATKNHPNRPRLLLFKNGDPMESEGPGHEEASAMREKRKICVVQSSSDLDSGLKKMRLSESSSRLEVIVHSNSSMQL >cds.KYUSt_chr6.24540 pep primary_assembly:MPB_Lper_Kyuss_1697:6:155012662:155013285:-1 gene:KYUSg_chr6.24540 transcript:KYUSt_chr6.24540 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQWYTAMNFVRSGMFERVTIQDVVRVTATASTNKRDRQRFVIMTESLFDEDIPLDVKGWLKSIKEGWPEEVNLNHINMVHSCSALGEFMNMNHCLIAVEKNDMEAYNEIKELISEHDSWGKKKLKWPELQINRTQKDSRTRKVIDYEVHGAPGLIHLIRNTWEHPAKAYLEEFLAIVLNENKDILGDLQRALQEKGYLPQHGLQM >cds.KYUSt_chr1.32523 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197520267:197520578:-1 gene:KYUSg_chr1.32523 transcript:KYUSt_chr1.32523 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr5.35543 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224602151:224603485:1 gene:KYUSg_chr5.35543 transcript:KYUSt_chr5.35543 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEEVNSKKQRNEECFINRLPRDLIERVFLRLPVSTLLMCVGVCKPWQNLIWDPQFVTLHLKHASRFALLFFRKESVAGERHPSDAVLIDEAWSQSTYAVPVVGPDDILFGSCNGLLGLYTKTSSIKIANLATGECLRLEKPVKNLKGDHFSFYSFGFHPLTKEYKITHFLGDCVEVRPQNKDRFNFIQVYKLGDETWKDIRTPESLSLNCVRNSGTINVDGTMYWLTEDMAANWQHAVMSFDLGKESFARIQLPASVPEDCASGGSRRYWIREIDGKVSIATAQTYPSQPRRLVGELQIWALDNKAEQRWSHKYNMQAIDYIPGPNLAHGEKLLMQCCDGNLYSYEFLAENVMSKVLKMVKLLDFSPYKPDNMQSYICVKSLVRLDVYKKAGIVCRPNQRDGWELEKWKAWQLLISKMEELWSRVHQKEHESIVCWLNLNLP >cds.KYUSt_chr6.33223 pep primary_assembly:MPB_Lper_Kyuss_1697:6:208909998:208911130:1 gene:KYUSg_chr6.33223 transcript:KYUSt_chr6.33223 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPLGPDPAPAAGEALSTRRGRRLTLAPGDMERISMAPSSSISPAPSGSRFEVLVEDVSDSSSEGSCDSDVPFASAMESSDGGCPFNRDGGCGIWGAIFGSVACRWGWPAGVFLGRSGPRTTVPVGRCVGSIVIDARITPDARIAPAAAFVDLDTVLAIAARSSKIASGCDESFLAIVVAAAEVAEGCVATINKFYNSFAVKVVFVAQVARFFFAIAIKAAAVALLASRVYAGATPTVLVAGAGAVG >cds.KYUSt_chr5.15606 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100811498:100811824:-1 gene:KYUSg_chr5.15606 transcript:KYUSt_chr5.15606 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPLGTAAVLRNCHGFRTTRVAQPPFGKTKRVVEPLRGESRPEAASKRPATVALAGVHAGAERVGCCLAGNKGIEDGGRALGVSRESGGPVPSRGPVVKIVVVRTS >cds.KYUSt_chr3.34928 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218986585:218988095:-1 gene:KYUSg_chr3.34928 transcript:KYUSt_chr3.34928 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCQEAKSPPATVATASASAAAAAAAVASSVMDRRRRRLEMKRFRLATDLEESAAEYARAGKRQRLQRTASGSPPCPGAAAPAEAETVDPDRCPRYGVSSVCGRRREMEDAVSVRPDFLTGSSSSRSHFFGVFDGHGCSHVATTCQDLMHEAVAEEHEKAAAAAGSGDEEIPWKEVMERSFARLDERAASWATSRSSEEPACRCEQKMPSRCDHVGSTAVVAVVSPTHLVVGNAGDSRAVLSRAGAPVALSVDHKPDRPDELERIQAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPFVTAEPEVTVTERSDADECLILASDGLWDVVTNEMACDVVRACFRSNGPPEPASGEGTSSSGKAVSRAESDKACSDAAMLLAKLALARRSADNVSVVVVDLRRGL >cds.KYUSt_chr1.32932 pep primary_assembly:MPB_Lper_Kyuss_1697:1:199947464:199947766:-1 gene:KYUSg_chr1.32932 transcript:KYUSt_chr1.32932 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVEAALRGDGDEEEFPVQSFIIGRSVDEDYRHIAIDPRQVAVWSARDHGANFVDLAGPSELPTSKEEKADEDDSWSFRPSSGDGVDLDFGAFDSQRR >cds.KYUSt_chr1.26040 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156613324:156615491:-1 gene:KYUSg_chr1.26040 transcript:KYUSt_chr1.26040 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVDSSSNKSSLLMLREKVKKLGRVPASWAAYAWSIGREDQRRAIHALKVGLALTLVSLLYILEPLFKGLGKNAMWAVMTVVVVLEFTAGATICKGLNRGFGTVVAASLAFIIELVAVKSGKVFRGFFVASSVFLIGFAATYLRFFPSIKKSYDYGVVIFLLTFNLITVSSFRQENVLPLARDRLSTIAIGCAICLFMSLLVLPNWSGEDLHSCTVRKFEGLARSVEGCVNEYFRDQEKDDNIFDKQASRDYIHTGYRAVLESKSNDETLTPTSVRSLFRNPCTRVAQEVTKVLQELANSIRNHHRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKHGSANSRMLIELNSTKHTTSRTALPSFKTDTASLLERKITKGDRPPERNERSTLGRTLSKIAITSLEFSEALPFAAFASLLVEMVVRLELVIEEVKELERAANFKEFTGHDHLTIDLTCKEEKRNNNGVPGSHTVSAAAE >cds.KYUSt_chr4.1703 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9059187:9060168:1 gene:KYUSg_chr4.1703 transcript:KYUSt_chr4.1703 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRQEKVDAALLQIKLNRYKCGGLVIGSSCHHHTADGHSMGTFYAVWSRAVREGKDFVAPSPFLNRASTAVPRSTPAPVFDHGSIEFRREANRCSSRAVLPVDKIKIKSITVHFTAEFIAELKSRVGARCSTFQCLLAHVRKRITAARCPNRRCSRETCLGLGYGGVVGAIRDAVARIDEEYIRSFVDFGTLAADEELVAATSHRRHGARPDIEVASWSGLEPHRADFGTGPPSALLKPDMHKEGLIILVPSPMAEGAVDFVVALPEDHVAAFTKICYSLDDTTIFPPSRM >cds.KYUSt_chr2.19990 pep primary_assembly:MPB_Lper_Kyuss_1697:2:125781208:125784721:1 gene:KYUSg_chr2.19990 transcript:KYUSt_chr2.19990 gene_biotype:protein_coding transcript_biotype:protein_coding MPRITSVESPGCPPLRAITTDILGLVKVVEARARPAGVAKVVETWGEPDASRAILVASLADRAVDPVLALARKNGVVELLNPLNGDSLAVVKASGQEQTDGAVENDPIVALHLFKKHAPDLSTLGTFLACTEKGKASVRSVAKENTASASDVGPSSTWDVCSTGKLQFSSLDAGENYAMFGGNGMEVNLWDITSCSKIWSAKSPRGNSLGIFTKPWFTAGTFLCKDDHRKIVACTNNHQVRLYDTSSQRRPVISVDFRESPIKAVAEDPDGHTVYIGTGTGDLASFDMRTGKLVGCYVGKCSGSIRSIVRHPELPLIASCGLDSYLRIWDTNTRQPLSAVYLKQHLTAVVIDSHFSTEESEETKSKQPDPSMVAEATIRREKKKKKNIYVEEDDEGTQVVDGDNNDDETVRKEKKKKKMVAENDEGIRIACPDDADAETVKKEKKKKKKSRRVAEEEEGTQLADPDDSDAEMHTTKRIKSGEKSKGKKKKSKKQQVA >cds.KYUSt_chr3.25596 pep primary_assembly:MPB_Lper_Kyuss_1697:3:158906516:158908012:-1 gene:KYUSg_chr3.25596 transcript:KYUSt_chr3.25596 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSLQRSRKRRCPSHPMLSVSPALLSLLFIVPILYLLLIHRSSCSPLFSHLTAVGRSSSSVSGFAGDLRDIEFSWNHLPFTSSQPPLAKLKIAVFSRKWPVATAPGGMERHAHTLHTALAARGHRVHVFTSPPPHTEAAPSPTADGPQLHFLDGTPGQWRCDEAWKLYEAEGENDPFDVIHSESVAVFHRYARGVPNLVVTWHGISLEALHSGIYQDLARGEDEPMSPAFNQSLSESVRRVLSEVRFFRSYAHQVAISDSTGEMLRDVYQIPGRRVHVILNGVDEAQFTPDAQLGREFREEIDLPKSADLVLGVSGRLVKDKGHALLYEAFSRLALRHPNVYLLVAGKGPWESRYMDLGRNAKVLGAVSPGKLRAFYNALDVFVDPTLRPQGLDLTLMEAMQCGKPVVATRFPSIKGSVVVDDEFGHMFAPNVESLLESLEAVVKDGARRAAERGRACREYAKSMFAATKMALAYERLFLCVKNESFCGYPAEFD >cds.KYUSt_chr6.29532 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187179408:187180268:1 gene:KYUSg_chr6.29532 transcript:KYUSt_chr6.29532 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSRLIIAALVVATSLLVPSLLRSPLPPLPCLPAVTAPSGTGYQPSGLAALADAAVYYATTPTVPQQTHDEISLALAVLRRRAPVRLLVFGLGHDSPLWHALNPGGVTVFLEEDPEWHRAVCSASPFLRSHLVSYHTRLDQADLLFDNYRRVPSCVPGAGADDEPAVQGNDACPLALHDLPPEVYEHEWDVLMLDAPKGYFAAAPGRMAAIWTAAAMARARRGEGDTDVFLHDVDRKVEKMYAEEFLCDRFRVGGAGRLWHFSIPPVSRRDNTTAAGGGERPFC >cds.KYUSt_chr4.23897 pep primary_assembly:MPB_Lper_Kyuss_1697:4:150618796:150621006:1 gene:KYUSg_chr4.23897 transcript:KYUSt_chr4.23897 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAASRLLRSQHPPPSTAACTLRHTRLFSPPPPQPRPGPEAEVTAAEARRLVRLVGVEALKRRLREDGRGEVVGYGELLDACVEAGAARTRGEAETLARAMDDAGVVLLFRDKAYLHPEKVVDLVRRAVPLALTADNDTRQEEFKQLQEKKEEIDKLAHKQVRRVLWTGLGFFMTQVGLFFRLTFWEFSWDVMEPIAFFTTTAGLLVGYAYFLITSRDPTYQDFMERMFESRRKKLCAKHGFDMAKYLELQKRCKCPLEGHREHDSHHLS >cds.KYUSt_chr5.32620 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206869004:206872849:-1 gene:KYUSg_chr5.32620 transcript:KYUSt_chr5.32620 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAVDKGAMGAVLGPEPTPPPPRMALLEAHYDQNHRGRLMAEEKKVFTPLRLRSHEAHDEEKMEYDDRYTNGGRNAPYMWLKALTSWDSKFSWGTAALAYLYRQLDEACRRSDPQACIGGPLLLLSVWMWSRLPVGLPDVLPHDDWDEYGMRQCRPTWAFFYDKVEPYVGKTKTMYKYYSNEFDSLTPGMVNWEPYGKAENFARIYEFDLNPQCTAEAHLWRMQCPLICLYAVEYHLPQRVMTQFGLFQETPPEWKDTSIDLHRLDRMQQKTITNWADHHSKYIIEWWEVLRKAEKTRDDTTRRFDSDAFDYYVAWLKENTRWEVNAPAFTQQETLELPNPGFDDIANLQYNRLIRDGRPVELAPVLRFVREELVRHNADAMEALALPPGRRSDKALARFAKTSIARVRSLVAILGCRSMVDTMPSGSRSNLAYGESTSGQNVELEEKIDEDPMDVPEEELEAEVDDFLGRAEYAMEREVDGQDHDEISTSHLEDAPQPTRPTQPRDFGLKPRRPYERWTPEQKTRYQRLRPRLRRPAVIEEDEDEEHAARRRKNEQRSKANHERLSRNKTCGASLNGATNPMYDALVRGAVRANCGPGPSTWAVLRNLDAVLLGAMLKS >cds.KYUSt_chr6.27549 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174848466:174849362:-1 gene:KYUSg_chr6.27549 transcript:KYUSt_chr6.27549 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHDEALAPVSSREFRGVYREHDDKYRARIWDPCRRALMCLGSFRTAEEAARAFDAAAVRLHGARAITNFCQRTADGGDADAPLLHVSCFCVGKDATANDGDAPLPRVSCCVDKDATADDGDAPLLHVSCCMDKDATADSCSGDDAGSAKKKTEKAAARPEACAPGWVVKKKKVAVRSEAWTEFRGVHRRPSGKYGAQIRHSKGRARTWLGTFDTAEEAARAYDAAAVELLGAKALTNFRQPPMAAAAVDGEASPMDLSDFPELLALNLFSDTIAPGAQLEDIFTDLPQPEFKPVR >cds.KYUSt_chr7.35328 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220688913:220692446:1 gene:KYUSg_chr7.35328 transcript:KYUSt_chr7.35328 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMAVSSPPFSFPSTRHLRRATTAAAASSASDDFDYPLADPSIRWPNLRFPHLPAPRFPVADTAPPPTPARPSREREPAKDSSAPSASAPAAASAVEPLDARAHRARVKKLSKLALRQARDWRERVSELAGRLLALPPGAPVAEALDDAWVSPDELAYVVRAVGASSWRRALDAFEWLVASGAAGPGPRVVAVVLGVLGRARQDELAEGVFLRFVGEGATVQVFNAMMGVYARSGRFDDVRQLLDAMRGQGIEPDLVSFNTLINARAKSGSFAPGAAADLLLQVRQAGLRPDVITYNTLISACSQGSVLDDAVAVFQDMMDSQCRPDLWTYNAMVSVHGRCGTAQAAERMFVELLQKGFKPDAVTYNSLLHAFAKEGDVDAVERVCEELLKAGFNKDGITYNTMIHMYGKMGRLDLALGLYDEMRAIGCTPDAVTYTVLIDSLGKMDRITDAGKVLEEMADAGLKPTLVTFSALICAYAKGGRQDEAERTFDRMVASGVKPDRLAYLVMLDIIARSGETKKLMLMYRAMMKDGYRPDATLYQVMLSALAKGNECEEIEGVMQDMEVVCQMNPQLVYSILIKADCISQGATLLKKACLQGHEPDSKSLLSILDAYETMGKHEEGLSLLQCIREYVPSSHNLISECSIMLLCKKKKIAAAIQEYNSMQTLKCGSFGRDCNLYEHLITCLEEAEFFPESSQVFCDMQFIGVKPSQKIYESMISTYCKLGFPETAHQLMDEAIHSGIWLNTLSSRITIIEAYGKAKLWQHGENFMKGLKQESGVDRRIWNALIHAYAESGLYEQARAVFDSMIKKGPLPTVDSVNGMMRALIIDGRLNELYVIVQELQDMGFKISKSTVILMLEAFANAGDVFEVMKIYNGMKEAGYLPNMHIYRSMISLLCRNKRFRDVELMVEEMEGAGFKPDLAILNTLLLMYTGNGDFDKTVELYHSILEAGLEPDEDTYNTLIVMYSRDRRPEEGFTLLNEMGRRGLTPRLESYKSLLVASGKAKLWEQGEQLFEEIKSKGYRLNRSLYHTMMKMYRDSCNHSKAEQLLAAMKEDSIEPTIATMHILMTSYGTAGHPDEAEKVLNSLKSSSLEVSTLPYSTVFDAYLKNGEYNLGITKLMEMKTDGIQPDHQVWTCFIRAASLCERTDDAILLLNSLRDCGFDLPIRLTPFFEFV >cds.KYUSt_chr4.36555 pep primary_assembly:MPB_Lper_Kyuss_1697:4:224683937:224688200:1 gene:KYUSg_chr4.36555 transcript:KYUSt_chr4.36555 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLVEYDGKLDMKLFIPCGNKVSAFLLLPFPEIADYSFIASTETEEQDMVGSTRSAALLLVMFPWLFAEQAGHCAPRFVWSSLRWGPECPLDLCLWLTGAWLVDEEEGLCLSRWRISLIEHVSYSSKPVWLRNFVVLVQRVTEVSWRRDELERVL >cds.KYUSt_chr4.3515 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20034945:20041099:1 gene:KYUSg_chr4.3515 transcript:KYUSt_chr4.3515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Squamosa promoter-binding-like protein 6 [Source: Projected from Oryza sativa (Os03g0833300)] MEAARVGAQSRHLYGGELDQARREKRVFGWDLNDWSWDSDRFVATPVPAAVAAHGLSLNSSPSSSEEAGVVTNGTVIAGFDKRKRVVVIDDDEDEKDEYPVGNSREVLSLRIGGGVVAGGAVEDGAVNEEDRNGKKIRVQGGSSNGPACQVEGCWADLSAAKDYHRRHKVCEMHAKANTAVVGNTVQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNKRRRKTRPEIAVGGSPIEDKVSSYLLLSLLGICANLNAENAEHLQGQELLSNLLRNLGTVAKSLDPKELCKLLEACQSMQNGSNTGTSGAANVLVNTAAAEAAGPSNSKVPFANGGQCGQTPSAVVPVQSKATMVASPETPACKLKNFDLNDTCNDVEGFEDGLNCPSWIRQDSTQSPPQTSGNSDSTSAQSLSSSNGDAQCRTDKIVFKLFEKVPSDLPPILRSQILGWLSTSPTDIESYIRPGCIILTVYVRLVESTWRELSENMSLYLDKLLSSSTDNFWASGLVFVMVRHQLAFMHNGQVMLDRPLAPNSHHYCKVLCVSPVAAPYSATVNFRVEGYNLVSTSSRLICSVEGHCIFEEDTAVVADDTDHEDNEYLSFSCSLPGSRGRGFIEVEDSGFSNGFFPFIIAEQDVCSEVCGLESIFKSSSHEQADDDNARSQAFEFLNELGWLLHRVNMISKHDKAELPAATFNLLRFRNLGIFAMEQEWCAVTKMLLDLLFDGFVDTGLQSPKEVVLSENLLHSAVRGKSARMVRFLLRYKPNKNLQETAETYLFRPDARGPSTFTPLHIAAATSDADDVLDALTDDPGLVGLNAWRSARDETGFTPEDYARQRGNDAYMNLVQKKIDKHLVKGHVVLGVPSSMCPVITDVGKPGDISLEIYQSRTMSPSSAPRCNICSRQARMYPNSFARTFLYRPAMFTVMGVAVICVCVGILLHTLPKVYAAPNFRWELLERGAM >cds.KYUSt_chr5.8354 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52817976:52820159:1 gene:KYUSg_chr5.8354 transcript:KYUSt_chr5.8354 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLYYLALFQGVLFCYKLFLSHATKGLSKVLLPEPVDDNEHEVVLVYCNEIRIGCEKDPSFARGRNLVTHAVDMIGSNSCAESLYGVKMLYTAICMGERKLKEARVKGDEDDCATRWTQIIGQRMIMKNLNISASSAPVLQKLLQTLDPRGAFDRETRSHGATIVAYLAMDIHLEQFPGEIQYISSLIGSFEEYRLIEPYHRDRLLRRHDQDWDRQASRLPSPGNDASSLREAYEKLVLTGLCILRKLATHENNCRIMSQTQGLIPKIMAPLTSDIIHRFSGGAWSASAVEESLKVMFRLVAAPGETGVKLRQEISSNKEAISTMERILNCECDTCCAKLQKGAMGILMQLRMDNPENIAAFIKMLVDIFVDDTKDRSIRNLAGESLAKLSIQGGSNTSIIVQLNGDIVGSLIKILLLDDAENNTCRVRAAEILEQMCIHHTQDDDTLGKLKKGMTDTMPKILHCGLTKDETHGLTETEQIEFPEKEIDIENQQHNVEDETCSFIQPQNNDDEGEVEVEMDMEVDELDNEEDEEVHAPLLSLFVTVCDTFISADQDLASQFGAVDAVSLPNKLKDMVAENSIPTVPCLRLMKLACKMVISMMKHRGRYLKEDLESLTEALSSASESMSLVDVSMVFASEDDGAATTMKPVRSLDSLVKEAKESVDAYFKAQESGNIEPFTSIDG >cds.KYUSt_chr2.1254 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7418572:7420333:1 gene:KYUSg_chr2.1254 transcript:KYUSt_chr2.1254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavone synthase II (FNSII), Biosynthesis of tricin O-linked conjugate [Source: Projected from Oryza sativa (Os04g0101400)] MASSRLEQSVLLLLQQDPAMASLLAVALATVILLLVASLSRGSGRRKRLPPSPWSLPVIGHLHLVRPPVHRTFRDLAAQLGPLMHVRLGSTHCVVASSAAVASELIRSHEGKISERPLTAVARQFAYGSDGFAFAPYSPHWRFMKRLCMSELLGPRTVDQLRPIRRAGLVSMLQSVLLASSSSSVVDLTSALIRLSNTSIIRMMASTVPASITDEAQVLVKAVAELVGAFNVEDYIAVCRGWDLQGLGRRAADVHRRFDALMEDMIRHKEEAREARRMREDPAAENNKKAASTPVTGKDLLDILLDKMEDDMAAEVTLTREKIKAFFIDVVTAGSDTSAAMVEWMVAELMNHPECLRKVRAEIDAVVGRDRIAGEGDVASLPYLMAAYKETLRLRPAAPIAHRQSTEEMELVAGGGNFTVPAGTAVFINLWAIGRDPSYWESPQEFRPERFMAGGGNEGMDPRGQNFQYLPFGSGRRGCPGMGLALQSVPGVVAALIQCFDWTVVSGDGEGKQPAVIDMEEADGLVCARKHPLLLRASPRLSPFPAVV >cds.KYUSt_chr2.39127 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242610824:242613190:1 gene:KYUSg_chr2.39127 transcript:KYUSt_chr2.39127 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGAGGGGVVARRPRFLCLHGFRTSGEIMRKQVVGKWPAEVTARLDLVFPDAPFPAEGKSDVDGIFDPPYYEWFQFDKGFTEYRNLDKCFDFIEELMIKEGPFDGLMGFSQGSILSAALVGLQQQGLALTRVPKIKYLIIIGGARFRGSHTIAEKPYANKIKIPSLHFLGDNDFLKDGGEKLIESFVDPFIIRHPKGHTVPRLVDEESLKVMTRFLDKMEKEISEHSSTEAQEPADVDEKEMCI >cds.KYUSt_chr1.19676 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115677778:115678032:1 gene:KYUSg_chr1.19676 transcript:KYUSt_chr1.19676 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARDEEEGNVRGLGGAAARVSAEETVTNPTARVIRGLALRVERRGAGRDPVEGTKKKLAQCVEAADAAGVGLHVGGGGAFGN >cds.KYUSt_chr4.10521 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63867198:63868301:1 gene:KYUSg_chr4.10521 transcript:KYUSt_chr4.10521 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFRIAGDGNPTGSASSIIGGAVSGYHLLKIVGYSRTKEVCNGMWIHSCPFVAGGRTWHVRYYPNGDSKNVDFISLYLTLDDTVGEAEAVKAQFKFSLLDQHGKPVPAYTKTTDFKDFAVGNNSWGYEKFIQREALEKSEHLKDDSFTVKVDVTIMSECHAQEAPAIVVPPSDMHLHFGDLLSSKACVDVEFRVAGETFSAHRLVLAARSPVFRAQFCGPMKEGTSTEAIRIDDMEARVFSALLSFIYTDALPEMKQDEESAMAQHLLVAADKYGLDRLKLICEDKLSSDIDTSSVATILALAEQHHCCGLKAACLAFLGSPTNLDAAIKSEGFEFLTKSCPGVLKDFLVSQVVPSLLGKRKSGA >cds.KYUSt_chr1.18902 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110936774:110942611:1 gene:KYUSg_chr1.18902 transcript:KYUSt_chr1.18902 gene_biotype:protein_coding transcript_biotype:protein_coding MARASLLLALLAVAATAVAGARVPVPPGTVTGPPLAAYGGNASSVARRRAAENGLGRTPQMGWNSWNHFACQINEEIIRETADALINTGLAKLGYKYVNIDDCWAEQNRDYQGNMVPHRQTFPSGIKALADYVHAKGLKLGVYSDAGTQTCSLRMPGSLDHEEQDVKTFSSWGVDYLKYDNCNNGGRNVVERYTKMSNAIKKYGKNIFFSLCEWGDNNPATWAGGMGNSWRTTGDIADNWNSMTSRADQNDKWASYAGPGGWNDPDMLEVGNGGMTEAEYRSHFSIWALAKAPLLIGCDVRSMSQATKNIISNSEVIAVNQGNLGVQGKKVQSSNGLEVWAGPLSGNRKAVVLWNRQGYQATITAYWSSVGLPASAAVTARDLWAHSSSSAQGQISASVAPHDCKMYILTPK >cds.KYUSt_chr3.25046 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155482136:155482645:1 gene:KYUSg_chr3.25046 transcript:KYUSt_chr3.25046 gene_biotype:protein_coding transcript_biotype:protein_coding METEAFPIGFTKGIRSYWRRSKYQRVDGGGGGTAGRGTRHLVRLGDGGSGDGDGKKWGVRLGGMFRVRVKAPAVAAAAVPKRVLGGIRDAYVDAMVGAAKKHSAASLSLPSGPVPEALWQKRVPVRRSLSQAQTRQKADELGQRLVLEMYKSVRASRDLSGMLQASAAR >cds.KYUSt_chr4.15573 pep primary_assembly:MPB_Lper_Kyuss_1697:4:96212617:96214740:1 gene:KYUSg_chr4.15573 transcript:KYUSt_chr4.15573 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGRYGSRWNTTGVDDLHLSIVLWNKRHGMYRNGTAGSTVFANRTASTHHQMGQLFLLILALLLASTRAAFHADAAAFAEEKSIAGIRGMIGSRPPSCAGRCRSCGHCEAVQVPISPQELRKKKKKKKELGLHGSRTSASYGAGGRAMPSSYDDHSNYKPLSWRCKCGRLILSP >cds.KYUSt_chr6.21771 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137367491:137371350:1 gene:KYUSg_chr6.21771 transcript:KYUSt_chr6.21771 gene_biotype:protein_coding transcript_biotype:protein_coding MESHVLVALALSFVGGLSTSLGALFVIMNPSPDLKRLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKANLWFFAGVLFFGLIVKFIPEPTFVPTTDASKKKTDDDGSGKDMMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSVKGLRVGVNLAFAIALHNIPEGVAVALPLYFATKSKWQAFKYATLSGLAEPLGVVFVAAFFPSNLNPEILEGLLASVGGVMAFLTLHELLPLAFDYAGQKQAVKAVFVGMAVMSARANYGMKVGILYDDNTFKHIDPFALAKLLT >cds.KYUSt_chr1.1241 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6730989:6731216:1 gene:KYUSg_chr1.1241 transcript:KYUSt_chr1.1241 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAILVALRILLLPRAADLLMLPSGVVALGDDLRSAIEMANGKLVGEWDYGRSMEVEGKTLESAGPCPHYQGPI >cds.KYUSt_chr4.33006 pep primary_assembly:MPB_Lper_Kyuss_1697:4:202292869:202293222:-1 gene:KYUSg_chr4.33006 transcript:KYUSt_chr4.33006 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQIDGRVLGSGDDEDGRRQLLLLADVQVKSMQIRRSPPSRVRRLPSPPSQSSTVAIVAESPAVVVAESDGKGSGGLDSGGGGLELEVSQRSIWERGRIGGWRLREGKTDEMRVRV >cds.KYUSt_contig_1181.132 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:862483:870952:1 gene:KYUSg_contig_1181.132 transcript:KYUSt_contig_1181.132 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEERRVVVDLRSAAESAGGEDAHATPLHEMESLCMRCGENGTTRVLLTLIPHFREHQRRIGGFGVPSYSGVLLRLRNNEIQFAGQIQQKGCCYTLKVPMGQSKVVKSDSATIKIPELDFEIPPEAQRGTLSTVEGSIMRAISELQELQDERKKVDPQKAEAIDQFLVKLRCLGSGEAAFTFILDDPSGNSFIENPHAPSPDPLLSLRFYERTSEEQAALGFLAEPTKEPGESSHDASTVEANSGGQRMPHGSVGAVAGRRAIAQGNSDEISAALYRYSAPEEVDTLPSSCSACTAQCVTRFFSTKIPYFREVILMATTCDVCGYRNSELKPGGAIPAKGKKTTLHVQEFKDLSRDVIKSDSAAVYLPELELELSAGTLGGMVTTVEGLIVKICEALERVHGFQLGDSTHEWEKKKWDDFQEKLAKLLNLEEPWTLIIDDALAASFIAPATDLIEEDKQLTIEEYERSSEQNDELGLNDMDTSSADMAYNTTST >cds.KYUSt_chr7.35526 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222016839:222017129:-1 gene:KYUSg_chr7.35526 transcript:KYUSt_chr7.35526 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKQPCDVEEVHGKVEEIDGKVEELGEEFEMVYTTIQELFEKVDRATKVGNGESKISDRYNFIRSTNLAMVRKATVQSSCDGYMFPAMCRSCGFS >cds.KYUSt_contig_1163.141 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000111.1:813083:823263:1 gene:KYUSg_contig_1163.141 transcript:KYUSt_contig_1163.141 gene_biotype:protein_coding transcript_biotype:protein_coding MSEALELRDGMEFILSSFWWVLTAYDTMSRAELPTVWRPRESRQVQCIHGRTARRQHLDADKLHTRRGLGELYTEVHDAIVFRPAGGDVAPNTNAFIAPRGCHGKDAALHADSLGADIVASQHGEDGVALHAGSLDTDSLSSRLEAVVEVAPRYTRAASLRTSFSPRGHHGEGAALYVGSLLMGVTIAPHRWWRWRRAPAAPTRMSSLRPAGGGDVVITACGCVDGAMIHAGGLITDVRRGSREWCLWRRATRRQPCRGRHRRGSSVPWRWRRDACQQPRQGRRRPRHGGWRRTVHRQPRRCRWWHGVELKMASRCTTAASSRLSSSRLTGDEDGAVLQPAASSRTSSSRFTGGEDGTALHAGGLIKDVTAASHGRPYTDVVAALNAGSLANDVVATSRGVVKMVPRCTPAASVWTRHRRRASRVVEMVPHCMPAASVRTRRRRRAPRVVEMAPGCMPAALARTRHHRASRVVEMAPRCMPAASSRTSPPRLMGGKDVAVPHGCPSAVALWEAMREKWNIPALKEVKNTGTEWLLHMLDNRDDTTRAMILMTFWRIWHVRNEIVHLKPAPSIESSRRFLCSYMESLLGIKQFPQADVIKGKMVVQYPGDKQGAYQQRKKQRDETFMRWQAPSPGWVKLNVDGSYMQAEGVGGAGMVLRNSEGEIIFSSCRYLNTCSCALEAELAAVMEGIALAKQWSNLPVIVETDASEVVRLVSSGEGDRSSLSALVGEIKLLIRESVDVKLVQIKREQNLVSHALAAVGRTSMRSEVWLRNAGVLVSMAFSLLESCLRLGVFRGERCIAMDEVRKKLFSISLSGKAAHWKNFDKYHEPYKDKTDSSIKKCVVTETVDHVLPEAYIEKTPFPVKMKEYSVITSTVNKSAKKPIEPKEQIKVEPAIAIVKDLVTENVEDGHIIFCEYASNIVSHPNKSRKASVPMLSVRIGDHCYYDLCDIGASISAIPYELYTEIMHEIGSCELEDIDVVIRLANRETISPIGIVRDVEVLCDCKKEKIVTKFAGESYEFNFSKFAKTPYKADLPNNDFRVEQCASIALAPNNPLKQHLEDSESEVFREERNELDEIFLRQPILKHDLPVEDLGTTPPPKEDPVFDLKPLPDNLKYAHIDDKKIYHVIISSKLSDFEEERLLEILKKHRGAIGYTLDDLKGISPSICQHAINMEDDAKLVFEHQRRLIPKMKDVVRNENVVEVFMDDFSVYGNSFDNCLRNLDKVLQRCEETNLVLNWEKCHFMVNEGIVLGHKISERGIEVDRAKVEAIEKMPYPRDVKGIRSVLGHAGFYRRFIKDFSKISKPLTNLLQKDVSFVFDDDCKEAFETLKKALTTAPVVEPPD >cds.KYUSt_chr1.42009 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257500128:257508422:-1 gene:KYUSg_chr1.42009 transcript:KYUSt_chr1.42009 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGGMLAAAILKVVSDQIGSAIGGQITLQKNFDKDLRKMKMALESVEALLKVAERRSITDEPTLLWLKRLKDAMYAISDMIDEFEADTEVISQPSPRKLSFKKYLAIMIPCITVVPKITMANKMEKMREDLEVITDQHKTFRLTEGTSANEPKVTDIRETSSIMETQIVGRTEEKKEILSSLFDSMTEEITILPIYGIGGLGKTTLAKMIYNNTQFKEYSQVWVYVSQTFDLKKIGNSIISQLSEKGKESEYTGMEMIHKSLQNLLADKKILIVLDDLWEGEKFHLESLMDMLRVGKGGNVVVIVTTRDEDIAKKISTIKPYKLAPLTDDICWSIIKQKSVFESRDDKEELEKIGKVIAMKCAGVALAAKSLGHMLQSMKFSEWKSIRDSDIWNVSSFKDTSSSQVLASLKLSYSVMPSYLKLCFAYCAIFPKGHKIIKDDLIRQWVSLGFSTWQLGERYISQLLGFSFLEHSKSPSTIKLYDEDITLLTMHDLVHDLARLVMDDEILVVGKGGNTEGSWYHYALLDDCSKPLGLESSKIRALRFMDCDKIELHHAAFSSAKSLRVLDLSECIIHKLSDSICLLKQLRYLNAPRVQDALIPNDISTLSKLLYLNLHGSSKILALPESIGKIEGLAYLDLSGCSEIAKLPESFGRLKELVHLDLSNCSCIGGVSEFLGSLTKLKYLHLSHCKKIGEMPEALGALSELEYLNLSFSSYLESCQEAEVLGTLNKLEYLNLSSEACDLRKLPEALGTFIQLKYLNLSGCKNMPELPRSFRSLKNLVHLDLSYCRSIDCLDEALAGLSNLQHLNLQGTSIMLLPENVTKLRYLNVSRLITRNEGAVDSIINYICSNLSNLEHLDLSNNRMSSIPESICNLRKLHTLNLAECWLLKKIPGSIGTMDSLKFVDINGCLFLSKAPQLGSSAISLPHFGVQPGDGHSSSNLVLLQHMDPVELNLTKLENVKSVEEAHRINLAGKKKLVFLTLEWTREAERFVDHKILMENLVPPSTLKKLEICSYSGVSFPAWLALDQLPNLVHLVLRGMANLEEWTTSHSSGEQHVLAQLEINDCPMLRIKPLPPKARKWVISKSDNVLSSWEGCTGPHTNATSSFSPVTTELSVENSKVPLHQWSFLQHFPGLTDLHIKGSVDLTGSPEVIQHLSCLESLTLEDKYLEELPKWLNENNWQLKRLHLWGCSNMASLPHWLGELTSLEKLELWDCDVLCSLPESIQQLTCLQILNINSCPELNHLGERVCLLPSSLVTLRIWNCEGIKCLPEGMEQLTNLQDLDIYDCPDLKQWCELEENMLKLAHIENKFRRRRLPVPARGRSIPARGREFCSLDHRLARAGNRTLVPTLLCLYNGRRRPDDGKPYLQSMDDHESTWIRITAMARARYTEDPDRAELHVMVVLDAEQTTAYTHEDELYGPYREGMGEAAARVVVAQAEERGPLEFLRREPPSATQTDIQAANASLAKALLRESREALRTLGPQPGRRLLQQRRR >cds.KYUSt_chr1.7034 pep primary_assembly:MPB_Lper_Kyuss_1697:1:43261761:43266169:-1 gene:KYUSg_chr1.7034 transcript:KYUSt_chr1.7034 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTPPPPSQSPPPTQQQPQTPAASTRDDMMACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDQPTNEDTLRKEITKMEEELKTKSELIAKHKSLIEGWQKELKDQLGKHNTELERV >cds.KYUSt_chr4.52885 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328071254:328071763:-1 gene:KYUSg_chr4.52885 transcript:KYUSt_chr4.52885 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVAVIAVLLAMNLLFFTFSDACGYCPSPGGGGGSGGGGGGGGGGGGKGGGGGGSGGGGGGSGGGGGGSGGGGGGSGGGGRAKCPIDALKLGVCANVLSGLINLQLGTPPKKPCCSLIQGLADVEAAVCLCTALKANILGINLNVPIDLSLLVNYCGKKVPRGFQCQ >cds.KYUSt_chr7.24131 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150374587:150380427:1 gene:KYUSg_chr7.24131 transcript:KYUSt_chr7.24131 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDDDKDATPPPPPPHPALPGRADEYLRDSISFSLGLPVPDRSLRLKLLASEDLRRRLQDHVFALEEDLHAAARRIDLLKKESAMNAEGIRRCVEEKEAVAADRGRLAADAARLEKEVTLYERDLERAMESCDDLARENDDLRARLKDTPDLTALNNEVQALQRDREILKTNLNKAEEEVKLLFEENRALDEANKRLLSLLDKEQKQRSERKHSSCNSTKIRRLMQCNNFLLRLQQKRKSSSLKDTSPVALKSAELAACSMPVMNVYITVFYMKGKLASGRCILAEYASHVANETLKELTLFFFSCIALFFGVATPRKALQNQGRYSLARERALAEKHRLEEEARARDRWAHRPEMQPGSSINPSSCSRSSDEREPWEYVAPYYGSEEFGSGFYSIPAAELESPPLDQMNYAHITVEKGEVTCRDIEHEFDVWADSMKINRRFFTKVVSPTEFRTRFPSTKAIEELSHFGKLFMMTVPEAIINIEKWSGDIEPISVMQEAWFRIKGIPMKFRRKSTAHYAASFVGKPLALDKNCLRNFAYVRVKIGFQDLSLVPNTSIGEIKKGFYEFQYTRELFEPTPPSGNRAGVAETTAGDGGDQGTPKRQRTGGNDSEAGSQSAPPVVGTCSSNGTQRQLNNTPQTRQFTEAEKGKNKNDVVAALASAQSNSEDILLTQQNDPSFRKFISELASSNSDKSFHPKKQFGHFLPPIVENVAENEDMNDEQVDYGSGTESGESSQASDMPFIDPGQGILALAAPSLRHERTAVVIPVDGSQPEVECTQEEPASQVDNPTEDEGEQQAMQIDAPARRSSRMTSQGSTSTRVDDKAKAAAEKKDLSGTSLNTSNSFAILDDDDIIARALEMGITHDSLPLEKVSYLKDLEIARHAIKEMQENEEAVTNNEPSKILLLGFSDNMEDDMEDYTPVVSRRKKNKGNQ >cds.KYUSt_chr2.38220 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236599478:236600404:-1 gene:KYUSg_chr2.38220 transcript:KYUSt_chr2.38220 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAAIFSCLLLSLLVAATARPSSGSEERARMAGSFIECSDPAPSILTNATNATEFLSSLLPLLAELPSAAAPTGFASLSSNGRAFVRGLCFGDTTVPSSDCLSCLSVAARSLTAGCGATTRRAGIWTESCFVSYGDTHASSPAEDAFRSLVTFRGSSDAVPGAHAPTHYSPHLHAWLLAYLAQPTALSAIGNISEPRMAATADATTPVGGTIRSTVQVLAHCTMDRTMAECVRCLVDSARALDWDLDADRRNGGVSAAVVGFNCYLRFNVSTTPVPQQTGGELALSLFLSLRKCWKRISSSTTQLL >cds.KYUSt_chr7.33303 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207776938:207777843:1 gene:KYUSg_chr7.33303 transcript:KYUSt_chr7.33303 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPPPAQARRRDSPPLPPRPRAPPPAAASRRSSRLPPAGRFRVENCGALVSSADVPVPAAPAHGRLLGADAVSGLLPSCAAPSVGGAGLQLEVLMAAPSSVQLSGPAAPALSTPPTASPSAPSPSGPSASPLLALWVSCADDDEDSEEDDVEVLAPKTPPDVIKTCCGADVPCSAVADSVAGNGAPPLAAMPWPPSWASAADNVDEEGEEELVPQTPPATKTFNTAAVAVDGVEADCVAGERDGWQEVLPRRYLRRPAPLAPTFARPLSLLGSRVDVAGVLLLVTALRFAVNPSGALGA >cds.KYUSt_contig_319.432 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2804283:2805145:1 gene:KYUSg_contig_319.432 transcript:KYUSt_contig_319.432 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAGIARRRGHRHRHRHGQRPPLHNFLLLVSASNGNHGGLELLHRCRRCRALRNPVVPSAAPARPHCQGPDRAGNGSFQGNEINCVTPKPKANPSVWDALAFSGPAPERINGRLAMVGFVAALSVEAARGGGLLDQAGSGAGLGWFLTTAALFSVASMVPLLQGQTVESKSSGIWSADAELWNGRFAMLGLVALAATEFITGTPFVNV >cds.KYUSt_chr2.5253 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32628824:32631030:1 gene:KYUSg_chr2.5253 transcript:KYUSt_chr2.5253 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTGHLLLVAVALLILSTLWPAASAWSLFSSSKPASPPPQLLLDGAVADFSIDGGGAGRDPRGLKLLDGARRKIAATGTCWSEAYRGLFASCADIMADKERQSRLAWRLSECFQEDSGRPPLPRCDDERSGMVHCRKRLSESESKVFLEFFLETNTLCHQLQAEAFKQNTERLVNDLSRSATAASEKLSTIEERSGKIIQDSTELHGSMSSIVSQTENLTAASNSIKTRIGDVLEQSAALAEQSRQIAAAQAALGEGQAEMRERIDAGMARVEEEYARLGEEMVRLKEEAAGIEREVRLVGDAMAARMEGLQRTADAIGNATGRSLENQKELLDGQLTAMKGLAELRGFMARALQEARETMHKLASFGRQQQEELLARQEQLRQAHNHLMHNSESILQAQEEFSAKQANIFAALDKLYVLHNAILVESRFIKAFFFYSCVVFLIYLLTSAKQTFAIRGHLYFGLCVTLAVEVMLIRFSADDDITKPFGIVSKVLLLRSLFLAAAAAQILHAVFAFKDYEVLNHQLLRTLVEKVRAIEDNNAVRIFTQKLYHALCSGPGDKMCPWSTGSDDDEKSLSDYSWVFDELQEEVDSEIDPDFMLPKDEIYGKNHLFQEEISDNSLTASAATRRYNLRVRIAPR >cds.KYUSt_chr2.53101 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331293442:331293666:1 gene:KYUSg_chr2.53101 transcript:KYUSt_chr2.53101 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDRENMASLATLELSDVRLVGSIPESMAAMPRLRFNHNRLCGAIPTKLLLMPSIRESTCRSSSLLRMIFFSS >cds.KYUSt_chr4.35583 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218485520:218492300:-1 gene:KYUSg_chr4.35583 transcript:KYUSt_chr4.35583 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVFTADDNVVHPTKETIVLPDPGPSIKGRTWPYFLLTMKTTTGAKDYQVVRADIFVNKAYEEWMHDVGYDGRDWSMKREQVVPGAKTHFRWSWIRKGVRRLSTEHTKGVEEFMQFVHRSFGEDAQVLCPCRSCLNREEQTLANVEAHLLMYGMASTYDRWIHHGEPLHAPQPEQDQLDGEAHHVDHEGDDFVEDDQHMDDGLEEEDGNGDGRIPDLFRDLYKSEPQGGDGDKTIFAELIDEAKRAASDGGTFSRFTFTVKLLHVKSYYRISNAAFNAILRILT >cds.KYUSt_chr5.15832 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102129268:102129734:-1 gene:KYUSg_chr5.15832 transcript:KYUSt_chr5.15832 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALVVMLAGSPRATAAISCDQVDNVMKPCLPFALGGAGPSAECCSGVKAVQNQVHSTADRQAACVCFKAAAAGISGLNLANAAAIPSKCGITVPYTISPTMDCSKYAEVSDDQIVPALCS >cds.KYUSt_scaffold_1242.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000011.1:30801:31780:-1 gene:KYUSg_scaffold_1242.8 transcript:KYUSt_scaffold_1242.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEISPSLRVLIARLPGDDGRSYALVKMAETTMYNIQDKSAEKQDVLYVWRSSFRSMPQQWDLIRARVDAMLSARTGPELEFGFIKHPDDDPPCPISCAIEMRSDMYRTIGRSGESSIKFVTIHGFVQLLDFSRCTLKVWSLSPDEDMSRWTKRFLCLGSLADQDEFKKSGLPTDMVPMYPSLSTEEEDIVYFMLGEYKKCCHAHRGTKNRCNGYIPRAKNPRYHLCVDMRRGVLLASARLPDPMSPSVCIASTSMVPPSMIRRGSKARGERRSTCMVMSSRQSI >cds.KYUSt_chr3.7142 pep primary_assembly:MPB_Lper_Kyuss_1697:3:41257999:41264673:-1 gene:KYUSg_chr3.7142 transcript:KYUSt_chr3.7142 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVRYVERSIWVQEIAKGSKVQDEFGDSLSQYIAGNGEIKLNSGGHRHRRPHHHVQGYVPLGHPACDVVPLPSDLSYNTATTIGEHCIFLSRSLSFIIVTLSSRDMKNASYLSLEWQRKREAKISCTRVLFQFVHIDQSLKPEVGDYA >cds.KYUSt_chr5.41205 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260099712:260100071:-1 gene:KYUSg_chr5.41205 transcript:KYUSt_chr5.41205 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVFAGEGATARGGCSSECASGPDLGSREHRAAGDGCGKRSVYLMECVPLWGCAATRGHPAEMEDACAVVPRFADVLVRMLATSREMGGIGVDFDASDVYSRFYSCRQCWASKSRGL >cds.KYUSt_chr1.39625 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242469076:242471660:1 gene:KYUSg_chr1.39625 transcript:KYUSt_chr1.39625 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFFHELQARSKRGRVPSANLLRQLPMDLAGCVRLRIPKNLAGRRELHTGLLLKRRGESGPFPWKNGGGTAQAQREARIKAEENATVRDPAGDGRVVGAGQPAVRPGIDGPPPPNEASGRVHAMRALLPASDAVLRCPVTPVVPKSGRPAECVTDSLTSLR >cds.KYUSt_chr6.2499 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14547866:14549261:1 gene:KYUSg_chr6.2499 transcript:KYUSt_chr6.2499 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYLETKAMDNGEKKQQQLVCVTGAGGFIGSWVVKELLLHGYRVRGTARDPVDSKNAHLLALEGAKEGLSLCGADLLDCDDLRAAFSGCHGVFHVASPTINNDPELMLAAVEGTRNVMNAAADMGVRRVVFTSSYGAVHMDANRSPDTVMDETCWSDYDFCKQTRNFYCCAKMMAEKTATEEAARRGLELAVVVPSMTIGPALQQAMNMSIAHIARYMMGTKKVCPNAVAAYTDVRDVARAHLLVYERRHDAPSSRYLCIGAVLHRSRLVQLLGDLFPNYHVTAKCEDDGKPMARPYRFSNKRLRDLGLEFTPIRESLYETVMSLKQKGHLRLPVPVPKRARL >cds.KYUSt_chr3.42227 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266840670:266846036:-1 gene:KYUSg_chr3.42227 transcript:KYUSt_chr3.42227 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHPSSVYLVSGLRLVSPMSLAIGFMVIYRCTGILRSWLPLQRVENRQLCTEICSVFVEQYYHILHETPDQAHKFYQDTSRIGRTGSDGVMEYVTTLPEINKKILSMDFSKYLTEIETADALLSHNGGVIIVVTGSLTMSDGVCQRFTQTFFLAPQESGGYFVLNDILRLISERNQVNERTLKDGPVAQSVADPTPAVAVEHVTTDIVADVQVLNSGTTVETNPTANGTVENNVEPPVKVKVMKEGPPTPVVKSKPSPKPVTKPATKAVEEQGYSIFVKSLPFNSTTQTVEEEFKKFGAIKPGGIQVRNNKIDQYCFGFVEFESQQAMQAAIQASPLFINELEVRIEQKRTSTRVVNGVVMNAGGGGRFQYGRGHRGGGRGGGYGNNANYRGGDNFHSRRDENGDDFNNMRDDGDSFNRRNDGENFNRRNRNEYSGGRGGRGPPPQGNGYHHHNGNGFHQPRPFPNENGRYARANGGPKQTPVGS >cds.KYUSt_chr7.8595 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52118089:52121281:-1 gene:KYUSg_chr7.8595 transcript:KYUSt_chr7.8595 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQGDRVVFSSLRRREGRPPPEISLLLQSRVVAAPSGTNTSELLIHRYVLHRVLQWGQHLTKDMSFEPGVMIWKAQQNKLRWGAYGWLQILPPIRLQRVLRDEQHQPALHHWPPCWRHWEGEDGGSTRGLPQRIWVCTSKRRLVAGGGTECVLPHRILGKEVVYCSTIHLILCMELASAETHGKGQNLLGNAFAVRACTAKTARQRAARQRGLCRAPRASGTAKAFAVQPCFAVRYPYFAVQISLPCV >cds.KYUSt_chr1.7978 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48942518:48945306:-1 gene:KYUSg_chr1.7978 transcript:KYUSt_chr1.7978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transition metal ion transporter, Ion transpor [Source: Projected from Oryza sativa (Os05g0164800)] MPGAGCLPAAELAALSRVCRDGAAAARLKTGSLLAILIASAVGVCLPVALTRAFKGRDGYARGLLLVKCYAAGVILSTSLVHVLPDAYAALADCAVASRRPWRDFPFAGLLCLVGALLALLVDLSASSHLEAHGHVPPQEEDQDTPYAPIPTTKKAPVFELAGEMSPRKRAFLDDDHDHDPAPRADGHTEDRDDVALFGAKKGARLVRSDEPAVVVPSVGCHGGGHEVVEVGEGEEEEARKKQKMVSKVLEIGIVFHSVIIGVTLGMSQDVCAIRPLVVALSFHQVFEGMGLGGCIAQAGFGVATVGYMCLMFSVTTPLGILLGMAVFHMTGYDDSSPNALIIEGLLGSLSAGILVYMALVDLISLDFFHNKMMTSSLKLKKASYIALVLGSASMSILALWA >cds.KYUSt_chr1.34770 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211787691:211789419:1 gene:KYUSg_chr1.34770 transcript:KYUSt_chr1.34770 gene_biotype:protein_coding transcript_biotype:protein_coding MKAESPRHLLRNPSLLILILLAAAAAFPLAQIAMATEPEQTPAAQDAAVHIVYVDRPEGADAEEFHIRTLAPVLGSEEKAKDAVLYHYKHAASGFSAKLTPAQVEDLKKQPCVLQVTPSQTYQLHGSEGGHAGTTRTMGLM >cds.KYUSt_chr6.18128 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114105781:114110013:1 gene:KYUSg_chr6.18128 transcript:KYUSt_chr6.18128 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSNIEAWQLKWNTPQSISKYTERQENVRIKYALSSMQGLCPYMEDAHAAIPDLDGARTTSFFGVYDGHGGDFYFKSNETLLATEQAVTCNPDVRTEAITHDTDFLLIASDGICSKGNSTVILVQFRHAARLPHPAPDLPVAHPTDGGGSSNPSSSAGITQDTGADAKATTDTNAENKEDVYPSWLHQLRELLGWEGCSAICFPILRRRSRCDATV >cds.KYUSt_chr1.39534 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241847328:241847822:-1 gene:KYUSg_chr1.39534 transcript:KYUSt_chr1.39534 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGLLGYMEEGAGRVLGVSHGGRLCCVVAKTRAYKLCMDAYFFDPTAGDYGQWELYRECEWKPGSVMPAEKGLSMPPQKLMEPQDDMHLRLYTAINLRWFYERSGVVLFTLGEGSSRRGTFAFDIQSREISELVDGVESERWNHFVGYEMDPASHLASVAAH >cds.KYUSt_chr2.9519 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60003834:60004808:-1 gene:KYUSg_chr2.9519 transcript:KYUSt_chr2.9519 gene_biotype:protein_coding transcript_biotype:protein_coding MALASVKLSIALTCALLLSSACHGLEVGYYKNSCPGVEAIVRNEVKKFVYKDAGIGAGLIRMFFHDCFVEGCDASVLLDPTPANPQPEKLSPPNFPSLRGFEAIDAAKDAVEKACPGVVSCADIVAFAGRDAAYFLSKMTVKINMPAGRLDGRISNFNEALSNLPPPFFNITQLIASFAAKGLNTEDMVVLSGAHTIGVSHCSSFVSDRLAIPSDINAALAGILRRQCPANPTAANDPTVHQDVVTPNALDNQYYKNVLAHKVLFTSDAALLTTPATTQMVLDNANIRGLWEDKFNKAMVKMGAIGVKTGNQGEIRRNCRVVNH >cds.KYUSt_chr4.23184 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145975282:145975845:1 gene:KYUSg_chr4.23184 transcript:KYUSt_chr4.23184 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMFTGKGKVGSVSIGGKSFSLKKLQWRTPSEHTINGKRHPLEVQLVHESDAGTGELAIIAILYKVGAPDSFYFQLKRELAELAGDRCNYGVEDASSPMGLVHLRSLQKRTGSYFRYMGSLTAPPCTENVYWNVLGKVRQMTKEQLDMLLAPLPPAARQNARPVQPLNGRVVTFYNPPNSTISFQM >cds.KYUSt_chr5.41499 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261793710:261800828:1 gene:KYUSg_chr5.41499 transcript:KYUSt_chr5.41499 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSREYEASIVPEWKAAFVDYKRLKKLVKKIKVARRDEDGTSSDADALVAGFSVRGLAARFAPKVQASPEDEEESSDSSGELLRLDERKFLERAGEELDKVSSFYATKEAELLARGDALIHQLRILADVKRILADHAASRRGRPSRTRFMPATAPLPPAISGSGRFLLSASGLASPQSMSDGSVELHQAQMTEGATMADEVMAALEGNGVSFVGKKDGSRGRGAGPLQMPASVRIDIPTTNPGRTALKVWEELVNVLRKDYADPFVHRKKIQHAEKNIRDAFMALHRGLELLKKFSSLNVKAFTKILKKFVKVSEQQRATDLFSEKVKGSSFSTSDKALQLADEVESLFMKHFAGNDRMVAMKYLKPQQPRSTHMITFLVGLFTGTFVSLFIIYAISAHLSGIFSSAGNTAYMGVVYHIFSMFALISLHCFLYGCNLFMWKNTRINQNFIFDFAPNTTLTHRDAFLMSASIMCTVVATLVINLFLRNVGASYAKVVPGTLIVVSMGVLVCPFNVFYRSTRYYFMRVMRNIVFSPFYKVLMADFFMADQLTSQIPLLRHMEFTACYFMAGSFTANPYETCTNSQEYKHLAYVISFLPYYWRAMQCLRRYLEEHDTNQLANAAKYVSAMVAAVVRFKYSATPTSFWASMVIISSSGATLYQLYWDFVKDWGFFTRKSKNRWLRDELILKNKSVYYFSMVLNLVLRLGWTVSVMEISVSINQTRLLYFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKSVPLPFRELETD >cds.KYUSt_chr6.30199 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191419528:191421964:1 gene:KYUSg_chr6.30199 transcript:KYUSt_chr6.30199 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQLHIYEPCTRTCVTLGAIAARDAFKRRSSSTRLLLHRRHIIAKVYITVVIIDIVVNTTPPPHNIIHMMDMKLQRPLTVQLQGTTPCTILTTPVNADTIRPAVDRANLHGADSTSAVEISLMPFPDVGLPPGVENVSALTTLQVDYSANYMQAVQLFREPFCRFLADNHPVDAVVSDSFFTWSADVPRLVFFGSSVFARSCNESLLRNSPLETTTTSPEDNDGGRLVSLPGLPHRVELRQSQMMDPEDYLWEFFESNNAADQRSFGEVFNSFQELEPNYVEHFRATLGRRAWLVGPVALGTMDTAASGTKGNALPPGDGCLRWLDTKPAGSVVYVSFGTISSFSPAELREIARSLVISGRNFVWVIGGGAAIVDDWMPEGFAELTADVDNSRGFIIRGWAPQRHILNHPALGGFITHCGWNSVLEAVSAGVPMVTWPRYADQFFNEKLVVEVLKVGVSIGAKDYGSSLEAHEVIASELIARSITTLMGDNQEGDAIRKKANELGVKARSAVEKGGSSYGDVGRLMDELMARRRRRCSVMLGEEVRAEDGASPVS >cds.KYUSt_chr1.9193 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56242288:56247255:1 gene:KYUSg_chr1.9193 transcript:KYUSt_chr1.9193 gene_biotype:protein_coding transcript_biotype:protein_coding MALATAAASPATASTSLPPLTPLLQCHLPRSRRKAATFAFARRFRGANPSPFRSGSKVAAPAPVPTPVLAPGDDLGALEAELWRLRRRVELRFQRLAAEADEAYSDLRSSVRDVGGDRVVLTFRRSSLRFAASALLWSLALSAAAWALLGLVFRAWRQLWGRSWWDGPEGEPVVTKRDRSLGGKEVVVAKSSPVLPPASRVQEPARKVRRREPQARLPEWWPEMGTEVVELGQEAEKWTRLANRLVRAIIDNRIAGRDYRYDDAIQLRQLCKISGVNVSFDTENARDSFYRATTGFVLDDCSRTAEDMGVAQINGENPRNFLAGLAISIGLDKFRAATLICASVAARTRACLLQCWALEIQGKRTEALDELVKICRIHNVFPPEEHSAEMEMVAGGLKKNLEVSERVHLLSLYRSVCTAGNVKTAAEALGLSLRDQ >cds.KYUSt_chr3.19509 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120000445:120001513:1 gene:KYUSg_chr3.19509 transcript:KYUSt_chr3.19509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 50S ribosomal protein L40 [Source: Projected from Oryza sativa (Os01g0278900)] MALLLSPTVSFLASSSASPPRARALSTASAASNVVPSSALRLQCKNTASFSHVSPSKKMLVIVHASADAGAADAAEQPEKPKPAASIEEMPLESKQKMILEQRARMKAAKKLRQRRRRLVQKRRLRKKGSWPPSKMKKLKNI >cds.KYUSt_chr2.2923 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17264064:17266186:1 gene:KYUSg_chr2.2923 transcript:KYUSt_chr2.2923 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPYSPASVDLPGYVPQRLSQLEIVGPYLGTSLFVVLAVWLLSGRCSRLSKVDRLLMCWWVFTGLTHLVVEGSFVFTPDFFSKENPMKEYSKGDSRYVGRDTATVTLEAITVLLEGPGSLLAVYAIASQKSYSHILQFTVCLGQLYGCIVYFATAYLDGFNFWASPFYFWAYFVGANSSWVVIPLLIARRSWKKICAAIHQTEKVKTK >cds.KYUSt_chr5.15851 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102198451:102198726:1 gene:KYUSg_chr5.15851 transcript:KYUSt_chr5.15851 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPCHEPQGKRRVRRQRVDAGAGLNFQTMFYGHEKTILQLAVGETGEDTLKFGDGDKLMWIRFLEMHRNKSLCLLGRRQQPAREAENDDA >cds.KYUSt_chr5.979 pep primary_assembly:MPB_Lper_Kyuss_1697:5:6731347:6731964:1 gene:KYUSg_chr5.979 transcript:KYUSt_chr5.979 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAKPAAAKPKNAAAGASHPPYFEMIKEAIAALKDRTGSSSVAIAKYIEEKHGKSLPSNFKKMLSVQLRGSAAKGKLVKVKASYKLSDAAKKDSPKAKPVAAKAAVKPAKVAAKPKKDAAKPKKKAAAAGTKRKAPEKKLIAKAKKSPAAKAKAKPKTVKSPAAKKPRKVAAA >cds.KYUSt_chr5.29223 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185163146:185164798:-1 gene:KYUSg_chr5.29223 transcript:KYUSt_chr5.29223 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTESWSRSLGRTRSSEEVEQDELEVDVGGEDQLEVDMDENMDNSMSDSTDWSSSDDSYIEELLEDDDIDMMVALVDVKEIQDRSMLLDRRQGSKMGLICIPRNRALGHDQLMQGYFAEKISAAMRVITYGIPTDYTDEYLRIGQDTTTESVRKFANMVIRLYGDWYL >cds.KYUSt_chr7.6828 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41188977:41192470:-1 gene:KYUSg_chr7.6828 transcript:KYUSt_chr7.6828 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIWVRQAEEAKLKSEADTTAAAKAAFDATFRALSASAHADQPPQDLEPSSSSPAHPASFPALRAASANSDSDSDDDDRPRAPPGPVDPAKSSAAGPGIAGGSAAAPATFTVVGKDRDGRRVTSGGASVRVRVRPADNSDNSDLEGAVRDNADGSYAVTYVVPRRGNYMVHVDLDGAPIMGSPFPVFFSAASTTTTTASPYPTATTTFPTTSSAYPNMVNQTMPNMPNYAGSLTSGPFPSMIGLFPGSSTGSSGGVTLPGVGASLGEICREHINGKCTKGADCRFSHPPQQLLMSVMAAQTSVSTLGHAPMAPSAAAMAAAQAIMAAQALQAHAAKMQADAKAAAQAPGPTEAEKAETLKRTVQISNLSPLLTVEHLKQMFGYVGKVVDCTIADSKHTAYVEYTKPEEATAALALGNVDVGGRPLNVEMAKSLPQKATLANSNLPLMMQQAVQLQQMQFQQALMMQTALAAQQTASRAATMKNATEAAAARAAEISRKLKAEGFGGETVEEKEAKEKSRHSRSRSQERKHRSSEKKDVKKSETQDDKKRSSRSSRGGKDERSVKDPVDDKNVDTSVVAHKRSSSVSEDEMLTSDNGNHKKPRHDAALEYDKRKNEDSIEDGAGMTGDKIVVDRKYREDESKHSTRDKSSRHLSSRSHRSSRRSGEKHHRDGTDQHELKRSEEGARAKKDSSSLDDPFSSDKKKVHKESSPDRKLNQSKAGSDSEGINHDTEVRLRNALLEKANLVIQEDLQFADETGVSSTDKCSADVPLPASVSNINGQHGPEGDGALGESAI >cds.KYUSt_chr7.14513 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89712074:89725325:-1 gene:KYUSg_chr7.14513 transcript:KYUSt_chr7.14513 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSDLRKKSAAEVDFFTEYGDVNRYKVLEVIGKANVYHRDLKPKNVLANANCKLKICDFGLARVAFNDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDVLGTPSLDAISKVRNDKARKYLTSMRKKQPTSFSQKFPKADPLALQLLRRLLAFDPKDRPSAEEALADPYFNGLAKVEREPSSQPIPKIEFEFEGRRVTKDDIKELIFKEILEYHPQLLNEHISGTERPNFVHLSAVEKCKKRFAELEENDSGSGSAVSSQRKHSSLPRDVLNDLEQQLRHCPVERQRSGFLSANPQGQIAWLPRFAPITHVACLGNTARDLAVFGGVSQKEVEHQSEPFPAQASYLSTFVQANSQEAATDAKGKKCLMPPTAETSKKRECTQAWTKPNPGWLKMNVDAGLCAQNNTGSWGAILRDAEGNVILSAWGFLQHCLDVTTAECIAMLEGAKAIVAYTPSSVIVEGDNALVINELKLEGPGKSMLSNIFAETKTTLQMLPGFEIRKINRSANVAAHGIAKFASLVGSGGLLLGSVPPCGSDSD >cds.KYUSt_chr5.20097 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130602185:130603647:1 gene:KYUSg_chr5.20097 transcript:KYUSt_chr5.20097 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVVLIVGAGPAGLATAACLTQFSIPYVIVEREDCSASLWRNRAYDRLKLHLAKEFCELPHMSYPADAPTYIPKALFVKYLDDYMERFDIQPKYLTSVESSKYENDKKCWSIVARDMAEGTTMNFVAKFLVVASGENSAENIPDFPGLHSFPGKAIHSSRYKSGKSFSGKSVLVIGSGNSGMEIAYDLATHGANTSIVIRSPIHVMTKELIRLGMTLAQHISLNLVDNLLVMATNFIFGDLTRHGIRMPKLGPMNLKSKTGRSAVIDVGTVGLIKRGIIQVQGSISKIMGNIVKFQSGDEIPFDAIVFATGYKSTANMWLKNGESMLNENGLPTKEYPNHWKGEKRLYCAGLARRGLAGIAADAKSIADDIKSVIGSMCG >cds.KYUSt_chr4.37961 pep primary_assembly:MPB_Lper_Kyuss_1697:4:234102744:234103043:-1 gene:KYUSg_chr4.37961 transcript:KYUSt_chr4.37961 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNSKKDPRGKPKKRSGPSPPTRRLNLDAVAAENVTGEETTWGKVGLRCRAEVEVDPPLWLSGLEEEVHWSMKLECEEAPLARRSSGSTSSLLMLFA >cds.KYUSt_chr2.6555 pep primary_assembly:MPB_Lper_Kyuss_1697:2:40966616:40968906:-1 gene:KYUSg_chr2.6555 transcript:KYUSt_chr2.6555 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIDVMVLMIVSLGNFQIPAAVLRITLAAYGIHKAKDQYSDHAYKGDLGENTNLMSSLYIFYAMVVGQGILYIIASILEIFSFILRRSLVGNAGFRGTLGVECVNLYYEDAFEKCMNGTVLAPEKTSLVRFAMDSLKSDSPKKQLYGLKMLHNFLKKEQLKTKAISELTTCMKTMTCLINMLGWTSEGHKDIRSFAAKVTAEIADSLSVIHVPREMQLIASLLETNVRKIKDPLLDICSPKRLQDSNPIQQISRSELTSPMLKWLKQMAVYCLIPREDPTNMDEQKPRILRCWKRSFLEEEPSTDTDILPVVGMLILNKLARFDLESCTEIYRATGLISKIIEFTTKRTDIANINETHQALLKGSSLKLLRSLSSTEGKLGVALRQQILEHPFILSNLAEILDDNGSSQELRELTAELLRNLARDGNREEISHIRVIISRLMHAFLSQSAPSSKDSDHLLRMIAGQALAVLAIESANNCLAMLAEPGYAFIKELTVMIQGDRYRYIASSLLRNMCLHARAKFGKSDLKEVSYILREVMEGIMDVEGTELEILVGLSSQICKVIPEDFARELEHGQIKERFTERLVNALNSNMIPAAHCPGIRRAIIEHAISMVECSPSCISCFNKYWMMEALLMVEHTPTWVENYRFFAGDAGLMKHSIPLPALVARAKQLMSHA >cds.KYUSt_chr2.53381 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333033121:333036475:-1 gene:KYUSg_chr2.53381 transcript:KYUSt_chr2.53381 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAGDSKQQLLPLATPTRKKKKKLVAAPLATKSASNNLIYGDLRFPPPPHLRLRPPELDEAEKENKPVPDPADEICHFPPGINAIHHIGTKTKPKSKPNYSDAISPPTPAGYSGGEEEGEARAIIDGHPELLLCCCVRELFRRCTDDGGLRVYKTPVEGLRDVRDLSANQVQADKTNAARRGIGDATNIRLEEASSCRLKEVAISISWVMA >cds.KYUSt_chr4.8029 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47854710:47857855:1 gene:KYUSg_chr4.8029 transcript:KYUSt_chr4.8029 gene_biotype:protein_coding transcript_biotype:protein_coding MCTITFLILSSKSTTLELRGLRQSLPLVMEAVARASVAGSSHVRLPQRWGLRQRHAVPSSAACRPRGRAVACAASADADVVHLFDAAKLTVIRELGSPVLCRGAAHPFDSFHGNFISSAEALVFCDIQVDEFVTSGMVVGLGSGAASGLAVQYLGTRLRRGSLTGIIGVPSSATCASEAEKAGIKISSNEEGPQIDFAFTDADIIEEGTLAAVIGRRKIETGEPSFIQEKGVVKSAGKVAFIIDKDKYVNSVEGSIPVLIKSGNWIDTAEEIDDLFLGDAEVWRRPSIGTAGPSGGDFPLVTKEGYHILDVIFTTPIEDLGKVAEDLENIAGVVDHGIICNTTF >cds.KYUSt_contig_1467.23 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:169741:170157:-1 gene:KYUSg_contig_1467.23 transcript:KYUSt_contig_1467.23 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNDHFHLLLLSGSILLSVLAVSAAVDYKCRPGVAFPHNPLATCRPYVLNRACGRGLGLPMLVKEWCCRELAVVSGRCRCEALRVFMDGVRAEGGRVVEGQLGGLRGCPTEVQRGFAATLVTPAECNLRTISGGTWC >cds.KYUSt_contig_686-1.940 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5694761:5696926:1 gene:KYUSg_contig_686-1.940 transcript:KYUSt_contig_686-1.940 gene_biotype:protein_coding transcript_biotype:protein_coding MLCIGESSEDGFVVVIWRSAGLAQPGQSIMADLLGKRAFNEQVAHGFGHLVAEQASRVVLQASSRQPSYVMSPCKDSDEEDSEDLEHEEELRCRRKLIPSWSRLWTHQKFSHAMVVSVYLMFLHSMFLNDYSISWLFEKLRKY >cds.KYUSt_chr5.27971 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176974370:176979669:-1 gene:KYUSg_chr5.27971 transcript:KYUSt_chr5.27971 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGQPQLLLCCLFLVSAWALAAVAQAKTVHEKWDISDQFAYPDCVRKLAVTINGHTPGPTIRAVQGDTVVVTVKNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFVYTFVVDRPGTYMYHAHYGMQRSAGLNGMIIVSVPPGAGPDVAEPFAYDGEHEVLLNDWWHKSTYEQAAGLAAVPIVWVGEPQSLLINGRGMFVNCSALGPASCNATHPECSTPVFAVVPGRTYRFRIGSMTSLSALNFEIEGHPMTVVETDGHYVKPFVVKNLNIYSGETYSVLITADQDPNRNYWLASNVVSRKPGTLTGTAVLAYYGGRSSPRDVPPTTPPTGPAWNDTTYRINQSIATVAHPAHVYAPPPSSDRTILLLNSQNTIDGRIKWAINNVSFTLPHTPYLVALKDRLQGTFDPRPPPETYNHTAYDVYGVQPNPNATSSDGLYRLAFGSVVDVVLQNANMLAPNNSETHPWHLHGHDFWVLGYGVGRFDPAVHPATYNLRDPILKNTVAVHPYGWTALRWRADNPGVWAFHCHIEAHFFMGMGIVFEEGVERVGKLPEEIMGCGSSKGGRH >cds.KYUSt_chr6.11293 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69915522:69921591:1 gene:KYUSg_chr6.11293 transcript:KYUSt_chr6.11293 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLQFGEDWERRLAPFDAPWRRSWEQEEEAVVMFTPQGKVGWNGWSTPSPSNQRAGGGAPPASAPLGKAKGTSQRAAELEEELHEYQYNMGLLLIEKKEWAAKLDEVSQVLAQKEEILKREQAAHLNAISEYERREENMRKALGVEKQCVADLEKAVREIREEIALVKFTSQKKMSDAQSLEANLEEKSLEIEAKLHAADAKLAEANRKKSQADRDLEEVETRQRRMEKEKLYFETERKSREKQLKEQEDSLQDWEKKLKESQSRLVDLQRSVNDREERANENDKLCKVKQGELEEAKKIVESTKLTLKAKEEDITKRLNELRSQEKDADSKRKALEERERMLSEREEKASAREKMGLQKLVEDHKVKLESERRNFELELESEKKSFDEKMKHREADLVQKEKVLRSREDKISKSEQALDGRKKKLAELQNDLDTKSKALKKWDESLKTEDKKLAEEKLQLERERKQAEMCVSDIESLKATIEAEKKKILEEQNNLKITEEERQEHSLLTEQLKKEIDEYRMRSNSLSEETEDLRKQRQKFEEEWEQLDEKRARLEEEAKNLKNEKTHLERWRDSEEKRFKDAQDEMEAKYRERQENLALKEKALLDDVKHQREEIDEVLKKERADLERNLQLHRHELEMEMENRLAKKEKELEEKENELNKKRDFIEDQLKHAIDLNESKIQKIILEKQQFQRERDVLVEEKQKLETDKADIRKDIDSLNELSKSLKARREAYISERDNLIAMFEKYKVCKSCGVSIFEGFGDLDNADIDIPSLAVEGDDRSPNTDTLAEDTGMRVNSAGGFSLLQKCSRLFKFSPRKKTEQSSEQEEERNIPFGERLEEASPSEDYEPTPVYQVANNSFEAEDLRADTGARGNEESERLDIAEDVQMEPSAGFADNEAQSFGGANDMAVDTTITSVDQNGNDSTAPPEEVELQPETSKQGRRQPNRRGRPKGVKRTNSVAAVVADAKAILGEDFDEKNDDQEDSVTVGGTRKRRLAGVAISEQDEEVSEAQSESVSVGGQRRSKRRQAAGPSTQAPGEKRYNLRRTTVSAKAAPTDKKKTSKTGTKQAAEAAADDTEGTSKVEEPTASKMASESADGASQLQEFSQAETVEADARAGEEYGDVVDGQAAIAEVMPATPSGSELVVDEDDEEEDEDEDDSAKRSRSIGKTLWSFFTT >cds.KYUSt_chr3.27234 pep primary_assembly:MPB_Lper_Kyuss_1697:3:170079736:170084991:1 gene:KYUSg_chr3.27234 transcript:KYUSt_chr3.27234 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLAGLQDHLKHARDYALEGLYDTSIIFFDGALAQINKHLTNLDDGFTRTKWMKCKQAISEEVEIVRQLDAQMKSFKEAPGSGTMRSSSPPIRSNKSFLFQPLDEYPTSSPQTFDDPDVWAPPKDTPTRRSARGQSSAKKSSQDGAWSRGPSKTGTPSRGAKPNGSKSSSVVRSSTASGAGGRKGKSSSSKGDSASSDAEEGKSKKSQYDGPDGDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFELARAYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTNEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPSFESRKSLININLRTVEVSSDVNIDEVAKRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDDISKDPVAMCDFEEALAKVQKSVSPSDIERHEKWMAEFGSA >cds.KYUSt_chr2.6691 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41710047:41713126:1 gene:KYUSg_chr2.6691 transcript:KYUSt_chr2.6691 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPNLNACRKKEKGEGSCLAAMAMASSASCCFSRSSHGRLHPLRSHLRNTTTSIPARLPLLGLRLVPRPAQSRNPHRISSSPAPAPEAADDEEHSAEREEQVDRDERYGFEMEVRKLPGKKNRRVVRARVRVGAPLEAVWATLTDYEGLADFITGLSECRLLRQDAAFARLYQVGEQDLALGFKFNARGTIDCYEGEMEVLQAGARRREIAFNMVEGDFKVFEGKWSVEESWSLSSGFQLGYWKEGFAKRSKPTLFVSEKKRRGSKDYRARVYHLLIIIAFVDGDTIVEGLPPHSEPVRDVGIRASEFNESENYFAFATPLV >cds.KYUSt_chr4.4579 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26239097:26239535:-1 gene:KYUSg_chr4.4579 transcript:KYUSt_chr4.4579 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGVHFFRLRSPVRLCYPVVRDVDRAPAVCPALLDSPESKAEALLDSRQQRSNLFRGSRCSWSDSSISSHGSSPSNELLRWALRTTVRDAVSVLLIYWRKQNNEF >cds.KYUSt_chr5.27429 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173675726:173676193:1 gene:KYUSg_chr5.27429 transcript:KYUSt_chr5.27429 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSTKEPQQQQPWSYCSFAASIAWPPRSYPCSFCEREFRSAQALGGHMNVHRRDRARLRQGSPPPPPRPAAAGSVNPRWAAAAIPNLNYPPPPPSQPSLYHPGGRSNECNERSSVAASAKAKGLELGVGAGVHCCLEEEKEDGLDLELRLGWS >cds.KYUSt_chr7.2028 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11600593:11601189:1 gene:KYUSg_chr7.2028 transcript:KYUSt_chr7.2028 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEGKPTPAAAPSHPQGPPQVNPWSTGLFDCTQDPASCWLTCLCPCITFGQLAEIVDRGSTSSGASGALYMGIGILTGWEFQWIYSCFYRTKMRAQYGLQETPFPDCCVHCLCEPCAICQEYRELRNRGFVMDIGWHANMELQQQQGRGGTAATSPPAMHADGMTR >cds.KYUSt_chr1.18977 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111449683:111454104:1 gene:KYUSg_chr1.18977 transcript:KYUSt_chr1.18977 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQEAAAAVGQVKAELEDVGKAAGRAVAVASAPAMSPLSETLWREKAAVEFLGDVSARLAWRDLTVTVALGSGETQAVLEGLTGYAEPGTITALMGPSGSGKSTMLDALAGRLAANAFLSGTVLLNGRKANLSFGAAAYVTQDDNLIGTLTVRETISYSARLRLPDNMPMEEKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDRLFLLSGGKTVYFGQASEACEFFAQAGFPCPPLRNPSDHFLRCINADFDKVKATLKGSMKMRFEKPDDPLERTTTSEAIRRLISYYQRSQYYFVARQKVDEMARVKGTVLDAGGSQASFGMQAFTLTKRSFVNMSRDFGYYWLRLIIYIVVTVCIGSIYLNVGTKYSSILARGACASFIFGFVTFMSIGGFPSFVEDMKVFQRERMNGHYGVLAFVISNTLSAMPFLILITFLSGTLCYFMVHLHPGFTHYIFFVLCLYASVTVVESLMMAIASIIPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLVGLVFDNQDDELPKIPGEYILENVFQIDVSRSKWLDLSVLFSMIIIYRLLFFVMIKVSEDVTPWVRGYIARRRVQHKRRAAELAMVRTPSLRGYVVDAAELPADHP >cds.KYUSt_chr2.2029 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12217284:12220504:-1 gene:KYUSg_chr2.2029 transcript:KYUSt_chr2.2029 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPNSSAVGSRWSKLGTTLPVRNVQALAADELTADTIERYIHPDIDANTVLAEHSDDVPVIDLGKLSSPGSVEAEVEKLRFACEEWGFFQLVNHGVPDEVITGVRRDAEKFFQLPLDVKNAYAQRPGDLQGYGQAFVQSNDQKLDWADMFVLYTQPIQARDMSYWPSQPHTFRNSFEEYSSELMKVADSVATFIARTLDGDPELVADKNVVQILRMGYYPKCASMPEKVLGFSPHSDGSFLTILLEVNSVQGLQIRWHGAWIPVKPRRDALLVNVGDLLEIMTNGKYKSIEHRVTVDAHKERLSISAFHVPNLDGVVSPVLGVTEEKVLYKTTKVEEYSRLYMSNKLDGKRALDHAKLS >cds.KYUSt_chr3.44320 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279738462:279739058:1 gene:KYUSg_chr3.44320 transcript:KYUSt_chr3.44320 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVLSASTTQQNKQNNWSAASMPRSFSGTTSGSSASSTIPAPTPMFGFTKSSGSTVFSSAPSIFSGATEYAAPKVFGEMPTPLSEYENYCCGSPNKIQSSCVQGGSNNLYEHDLGGQPHHYGFDNLKRQTVIRADGTEHSFYGLPENYLLDRATLGPLLHAYDDDTDGCSTELVDVFLGTPEQQKSDQFANCFCEAS >cds.KYUSt_chr4.38925 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240374204:240384229:1 gene:KYUSg_chr4.38925 transcript:KYUSt_chr4.38925 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPPRLPRLSPRRLRPDSPMASTLALLRPSAPTPLAGAGARSHLAAAAVRVPLRSRFSTRVSLGSAVAAGADTLFADYKPTTAFLFPGQGAQAVGMGKEAINVRAAAELFDKANDILGYDLLNLCIDGPKEKLNSTVISQPAIYVTSLAAVEVLRAREEGQSVIDSIDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAANSAMVSVIGLDSEKVQQLCDAANEDVDEKEKVQIANFLCPGNYAVSGGVKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALAATEIRSPRIPVISNVDAQPHSDPDTIKKILAQQVTSPVQWETTVTTLLGKGLEKSYELGPGKGQIRLLKFKQHSEALPCPRLPVTPAAVRPDADELARDEVGDVAAGAGSSACKVYVDEGTAPNHRDLLVTQEPPRSVFASSPSHGEPPVAVDRRRRPVLFWSPRPSLGSSPSAASNAPALDIFCAPR >cds.KYUSt_scaffold_1259.114 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:827150:827434:-1 gene:KYUSg_scaffold_1259.114 transcript:KYUSt_scaffold_1259.114 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAVVRATSSCAVSGSIGRFRMTVYAAFALRYCREIEADGLRGLRHCREIGEDGRPAQRSGLLISIHITRTRTCSLTLTPSSEVLLPDMAQHC >cds.KYUSt_chr2.8781 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55242783:55243328:1 gene:KYUSg_chr2.8781 transcript:KYUSt_chr2.8781 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANEHGKLVPFAEIPAEDSWDDSSEEEDDESGSDMEFGEFDLSGARNLLDQQTVAGYEDDDDDDDECGAQFSVRPFRGGALALEMGNLQLSGFAARSDGPELTDQHELTSYDMRHLVHMALEGGGSMEDDEAYQRALAGGTPVSRVSRAAMVGQALQSANQQQQRPKSPSKIFPMRTGY >cds.KYUSt_chr4.24151 pep primary_assembly:MPB_Lper_Kyuss_1697:4:152012126:152014459:1 gene:KYUSg_chr4.24151 transcript:KYUSt_chr4.24151 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLLASNNGCGAGGGGEKKVEQQQQQQQGQVLELLLSALRKSVALPCQMADADDPSVAWGMDIGWPTDVRHVAHVTFDRLQGFLGLPVEFELEIPCQVPSASASVFGVSPESMQCGYDDKGNSVPKILLLMQQRLYSQDGLKAEGIFRITPENSQEEHVREQLNRGVVPDDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLHCNTDEQCVELVKLLPLTQAALLSWVVELMADVVEEEDSNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLVLKTLREREDDEGTYSTFSSSSLCDELDEEHQGDDNESGTEKYGDDSSESPNSVGKTNQLKVDSEELIGSSRRHASFEFRLPYVSNSSDDEDLSLNDIEEGFLRRLEWHQVSKPADEDEGSSIFHSSKEEEPAQLSSTGSCEVNDQISRMEDAESVEQTQMEMKTKTTNEEVEFAKEGS >cds.KYUSt_chr6.31527 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199226273:199229407:-1 gene:KYUSg_chr6.31527 transcript:KYUSt_chr6.31527 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVERRRKDAGDDGGEQEQEEEYGAVPMASVRSDSVDARRGDTISEEYKDVDMVSTMSGSSSSLDTGSGHRSRDAHSTGSRFRVPEEDSCDSESVASNFEFHKERGASARSAPAPAAAVPPFSKHPPSKWDDAQKWIASPTANRPGRAGNGAFPRKMEKSGFGGGRLPATKVVLEAMEEIDTKRIDPSQEKREIGWQKAANWATPDPYPEVEPCAKSTLAAESVIVDSAVTLSCDSSTTLQSATTCIPPPPTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPDCSRPTTPRRTLGVNAASAVINRGECSNAELSEQELQLKTRREIMLLGTQLGKTSIAAWASKKEEEKDASLSLKKVSLDQSTQNATEIRAAAWEEAEKAKYLARFKREEIKIHAWEDHQKAKIEAEMRKIEVDVERMRARAQDRLMSKLASTRHAADEQRADAESKRDRSAARTAEQAEHIRRTGRMPSSLGCWNWCS >cds.KYUSt_chr3.32232 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202478971:202481572:-1 gene:KYUSg_chr3.32232 transcript:KYUSt_chr3.32232 gene_biotype:protein_coding transcript_biotype:protein_coding MPATMQSSTRRSAQSKKRLIIIIIIFFFLASQLQCTTHAPILVVVVMAEISFPLFICCSNSMSWAAAARMDIYTGSRVDSASVLTGLRRPTGNKAAKAALADAASSEKTQASITKCLADVSSTFISRDKKADHRWAELLKRQEEKLELKKRRDDMSLLRTSTERMSPRTRAAHNFFKCQILDDIEAKMLAADAAALAAASAAAQQEQADASSLATLRRPLCRRRSRRTMHNNRQIATRILDLSWKKQSGSSSFSTTELRSTTNCTIATDVTTLGVGVGRSDMAICHLPKEAKADNGVGVVALRPLKKASKASL >cds.KYUSt_chr7.38455 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239641970:239648442:-1 gene:KYUSg_chr7.38455 transcript:KYUSt_chr7.38455 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRLSFLLLLLLLLRAFASLPTQAAARGGAGPSSGIDDEKHGSFLRNLLEDKPEITEEMIHGYMTNSELEIAVQDFGSRCANVSRVYSIGKSVNGSPLWVIELSDKPGQKEAEPAFKFIGNVHGDEPVGREVLMQLAYWLCDNYLKDPLATLIVENTHLHILPTMNPDGFALRRRGNANNIDLNRDFPDQFFPINDEINYRQPETRAIMNWIKQEHFTASASLHGGALVANYPWDGSRDKRKEYYGCPDDKAFRYMASVYSESHYNMSLSKEFRGGITNGALWYPIYGGMQDWNYIHGGCFELTLEISDVKWPKASELLLIWKQNKMSMLNLVASMVKINASRTFGDYHRMLAPGESYEVVASMAGFTPKRTRIMLEREAVNLDFILDPDGAVGQTKPVRTDCDCRCDDGSKPFLIREAYIWLYLLVLFFLLALYILFRRRIASRLAPHRHPPKRPVAV >cds.KYUSt_chr1.37250 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227690916:227693789:1 gene:KYUSg_chr1.37250 transcript:KYUSt_chr1.37250 gene_biotype:protein_coding transcript_biotype:protein_coding MPWEFTKTNMEKVKEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMDAIAKIVEVAPIRVYEVATFYSMFNRTKVGKYHLLVCGTTPCMIRGSRDIEEALLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSDGYSYNYYEDLTPKRVVELVEMLRRGETPPRGTQNPERKNCGPAGGNLTLQGEPKPPPCRDLDAC >cds.KYUSt_chr7.10197 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62431993:62432484:1 gene:KYUSg_chr7.10197 transcript:KYUSt_chr7.10197 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFIPSPCSTFPSRQIGEKTLALLFLQLPPPPNLLPFFFFAERKSQENFSFVRSTKADTPEIAVLSMSSSECVDGTAVAPGPSSLNYGARAGQEPRRHRVLLPGPRRRTLTAVTSLPLPRRHFGGHRCAHLRCLQTASSSTAPWSSTGHTGVSVDARVSSTP >cds.KYUSt_chr1.22358 pep primary_assembly:MPB_Lper_Kyuss_1697:1:132237201:132240362:1 gene:KYUSg_chr1.22358 transcript:KYUSt_chr1.22358 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAAVTLLRIPLARLSTHLRSVPPPRFRLSHSHRALTSLLAPGHGLAVAAVSEAVATGIEEELEAAEVNEEELEAEEEQQDAAPPSFVLPRLPRPKLDVKERKELASYAHGLGKKLKSQQVGKGGVTPSLVGAFSDNLESNELLKLKIHGNCPGELPDVILQLEESTGSIAVDQIGRSVILYRPSSSKMKKKEEVARNREEFARNSARFGKSEKSFGERPRHNTSKRFGKSGSTFRTQQKRRSVASKSSSYGRG >cds.KYUSt_chr4.26468 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166241713:166242660:1 gene:KYUSg_chr4.26468 transcript:KYUSt_chr4.26468 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGVVALYGNNNNAVVEPSSKPAAATFSVKVGLAQMLRGGVIMDVVTADQARLAEEAGACAVMALERVPADIRAQGGVARMSDPALIRDIKRAVTIPVMAKARIGHFVEAQILEAVGVDYVDESEVLTLADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGAVRALRNMDDDEVFSYAKQIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILADISAGLGEAMVGINLSDPNVERFAARSQ >cds.KYUSt_chr2.2331 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14057281:14065521:1 gene:KYUSg_chr2.2331 transcript:KYUSt_chr2.2331 gene_biotype:protein_coding transcript_biotype:protein_coding MIARAGRLILIKAMITARPIHQLLIEDAPTWLLERRPICFGGLCIKDLSLQGLALRARWELLKRTEPNRSWQGLCLMKDDKFVDLSAMGSRGAGGGADEEEAPVPPKPSQQRYRPVEPHDGAVVQMEFMEPGASADTSTAPRRIKSSRNRSTDPRTQVASSNGPSSGPQSDSKLELFGFDSLVNILGLKSMTGEPAQVPASPTDSENVGITIGRPKETDPKLGTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLCAFCGACTFLTGLSLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAGFFQEKVTMIDNTVNGGSATTISTPSLHDLQIYGVIVTILLCFIVFGGVKIINKVAPAFLMPVLFSILCIYIGVFSAPSPNSSKGITGLSMTTLRDNWSADYQRTNNAGVPDPNGSIYWDFNALLGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLNATLLTTAMYLLSVVLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLVAIANDDILPVLNYFKAYEGSEPHLATLFTSFICIGCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKLHHWSLSLIGALLCIVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLG >cds.KYUSt_chr1.31311 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189987248:189990820:1 gene:KYUSg_chr1.31311 transcript:KYUSt_chr1.31311 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDRDEPAAVRVYTVCDESKYLVVRNVPALGCGDELGTLFSTYGPLEECKPMDAEDCEEYTDVFFIKFSQVSNARFAKRKLDETAFLGNRLQVSYAPQFESVEDTKEKLEVRRNEVLGRIRSPAGSRPEGLSQYPLGQGSSSGNSHRQMSSNKRDYTKTIHASRTEDARFSHVSSNKEYFPSESMNATVNLVRQKLDKAVAVLAADGRQIVSRAKSEAPNYEKWELLRLLIPYDVYLQNWKLKLFPPGFTENPCL >cds.KYUSt_chr1.33519 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203721570:203723055:-1 gene:KYUSg_chr1.33519 transcript:KYUSt_chr1.33519 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQPKSGLFVGINKGHVVTKRELPPRPSDRKGKGTKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRSAGTETKKK >cds.KYUSt_chr2.46969 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293788443:293792004:-1 gene:KYUSg_chr2.46969 transcript:KYUSt_chr2.46969 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVTKNICVNILNFLGVYGKSNDTKEARQDQQSLKDPDDRHPEQFQGRASYALTKEEKVIFFECLSNPQPPSAEIDELSSGMAAAEGKLSPEGNVKEDMISSKDYLDPPQTPLFDAGELGKWSLYRAVIAEFTATLLFVYVAVATVIGHKRQTDTQACSGAGVLGIAWAFGGMIAVLVYCTAGISGGHINPAVTFGLLLSRKVSLPRAFLYMAAQCLGAICGAALVRAVHGSHHYALYGGGANELAPGFSKSAGLVAEALGTAVLVYTVFSATDPKRMARDSHIPVLAPLLIGFAVMMVHLATIPVTGTGINPARSLGAAVVYNGEKAWDDQWIFWVGPFLGAAVAMVYHQYVLRNCAAKSFRSKYDIEA >cds.KYUSt_chr3.23564 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145691671:145694499:-1 gene:KYUSg_chr3.23564 transcript:KYUSt_chr3.23564 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQPAPSTAPSLVDTLFQLSLDDLVKSLRADQSAAGESSAVARALSAIHREIRAPDAATKATGLQKLTYLSSLHFAPVASHPLAFPAIELLASPHLPHKRLAYLAASLSLSPASLSLLPLATHQLHKDLSPSTAAPAAHHLCALALHLLASPAAAAAPDLAAHLAHDLVPHLSRGSPRAIAAAARVIAASPSAGVPVLFKPLAACLASPDPRTSTAAASAFCELSAPPADPSPFLPLAPDLYNLLTTSRSNWALIKVLKIFARLAPLEPRLAARIVDPVCQLLARSSAMSLTFECVRTVLTALPAHDAAVSLAIGKLKEFLAASDDPNLRYLGLLALGMLGPAYASTVNESRDVIALSLGDADLNIRREALHLMMGMLDDNNVMDIAGMLVSHAARSDPEFANDTLGAVLAACGRNVYELVSDFDWYVSLLADMARSLHCLQGDEIGRQLVDVGLRVQDARPELVQSARSLLIDPALLGNNLLFPVLSAAAFVSGEYVDCSKDPVELVQALLQPRTSLLPMSVRAVYIQAVLKVITFCCNLYIQRLNDPNKELDLVFDELAVDQDVSRESEAEIKPAEADQIVMPSTTEKDPSSHKSIVYMINLIEMTVGPLVQCKEVEVLERARNLMGFIHLLREIQEFKERKVSDQKKINRVKELVKSMQTVFSQELSPVYVNAQKKISLPEDIVLNENLAELADVVSEDDAPPSTSILFCSRSYPSVETRDEPAVSVGSSSLLVEHRKRHGMYYLPTGKDEGDMDNYPHANDPLLSADNGSMIKDRSETVHPVSAGKKLKAARSRPKVVKLDGEDFLSAMMSTVNVPKEPLSGTVGSVLVGRNAKSLPSLKASDNSSERMGNKLDPGESSSQQTQNIDADIGSSWATKHQDYDKESTILPDSDGKEARKHKTSSTSGHRQGRHKQRERSSTQLDVAPQAPVIQDFLL >cds.KYUSt_chr3.11973 pep primary_assembly:MPB_Lper_Kyuss_1697:3:71418164:71422217:-1 gene:KYUSg_chr3.11973 transcript:KYUSt_chr3.11973 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPVIPREAWAGCSVILDINDGDRVIFRRLTRRASVHSPVLNLFAPHRPIWWTLPFFFILLLSWRRTVNIGGRECSLQPLVGRPFGSIFRVEPCEGEPGKGNSLFGRLFSCADAPPPDHYDDDGDTDDVNDDVVGDGDDDDDARDDDETQHIKELDENRDNSDNNTAQALSGDDIETMKRPPRFMRLDVLSLLLSMANVGAYSDVLVVDMVGGLVVGAVAERLGGTGYVCSTYLGLSPNSIDIIRLYNFSTDVTSRKKTSGLQPPEGGTHTHTTVLTKRLQLGKEVPSTTNRNDIRPRLALPPTKNGEAVRLGTTGQRHRHCQTLPGTIAAPRLPRPTYLAPIMCQESASGRQGLAGTGVAIVGRCRLWHS >cds.KYUSt_chr4.5220 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30080305:30081378:1 gene:KYUSg_chr4.5220 transcript:KYUSt_chr4.5220 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNPSLEKMAAPGAGAGWAPRGRVVRILVHDDDATDSSSSEDEAPPRPPPGRGEGERGPGPRRKKRRVMEATTGKRDSSSSSSSPSSWARPKVRYCGVRQRRWGKFAAEIRDPYQGRRLWLGTFDTAEEAAAAYDAAKIRIRADAARNRVTKIRVHHNGMPADFLAAASRPPQLKHAKPAISPPPPPLAPEPAISPPAPLTTEPAKPAISAPPPPEPAKPASSPPRPPLPANLPFALPLKLRMKFARPPEFKEKDWNWCNAGEEVVKEKSGTSAATEVKEEGAGDVKVEAVTTCTTTEVKVEAGACEGEAKEGADGTSAKPIWAIITGKRKKRSGCGTRVGALHASSVCVEEVGGT >cds.KYUSt_chr3.24898 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154521034:154523664:1 gene:KYUSg_chr3.24898 transcript:KYUSt_chr3.24898 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAAEPLIQGKPNHAPPHHQPPPVINSTDEGKGKDAIVRLKTGFERFKTNIFDKNPKLFEPLKKDQSPKYMVFACADSRVCPTLTLGLKPGEAFTVRNVASMIPAYQKTRHCSIGSAIEFAVVVLKVEYIVVIGHSRCAGIRELLSLKDKGPNAYHFIEDWVKIGMNAKNKVQRENRLLPFDDQCTVLEKEAVNVSLRNLDSYPFVKDRMSKGTLHLIGARYDFVHGSFETWKL >cds.KYUSt_chr2.27287 pep primary_assembly:MPB_Lper_Kyuss_1697:2:167422887:167428046:1 gene:KYUSg_chr2.27287 transcript:KYUSt_chr2.27287 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDSAPWRDPSSRPRPFFNVIIPPHRKTFSDNAAPSSSSSSTPAEPTPRRRRIILERWAAAAAAVTAAATPPPADVRRRAREAELSELASATRPVAARAAVFREPSPAPSEASSCAGGPDLPPSGPRASSLIQRWREIEAVGPATPRASGGDAASESDAGSPRGRVGCIVKKLSGAASLPEEDFDAANDDDLSLSQSAPTSPAPLRHAIHSLAVRVKGHHPPKLVVRTVRGRRAREELVARMAHRRKRELAALNDRHVVSRFAHKGRIQGDTINDEVWNLLRPVRPHPPRCGPEASAMRDGSSKKDRQEANECILQNNRVDEQQNDDISPSVEKSAGLSIENHVNSDCLRNRQYDEKINTEGCQEDCSNLVNSCVHNQEYSKASSFASYDNQRAVYDNQYMDDISPSTTTSTIRELQETPSSRGDTLREEDNQSLNGSWDERALWSSLGWPAPVDSMSPDSWHQDTIGDMENHNQIEFNDRPWIDSPNSWRSLHIATQAEGRDLSGNTDICNLLESKKVSKSLESDFSNKMNHLLRAILQKQRQQHMIDEFGGYYQEHLYWQQNHESRNEDHVASAPCSLEPVSHVAAHPQESWQHSSFEHQHHENQNLLEMEVRVRSEMAQIHHEIYELRKLAESCIASQVKMQHSIKEEVCSALREAGVAICALVSTVLIS >cds.KYUSt_chr2.28574 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175370436:175371333:-1 gene:KYUSg_chr2.28574 transcript:KYUSt_chr2.28574 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYENGDNDMGYGTNDELQEIKEEVIDGVVPPKGKSEKMREEKPQKQAKWELLREDEKHKAATEERRTSANKKCAMEELVAEENKTMMMYPSTMDAYIREWWELARMEILEIRKESAMAHVAASAGGGGDASASGDSGGVDEPTSVHQSAS >cds.KYUSt_chr3.26963 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168192199:168194164:1 gene:KYUSg_chr3.26963 transcript:KYUSt_chr3.26963 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHAFTWPAQSCMQQPPPREDQVNGRLTKAMHEPASPIQRWIKYEAQHCIPWLETAIWRRPEFVWTGPFLTIVTAAAFIAAGFVALSGLGFTAIAAAGSIAAAGGLRFASAAVFAAAGTAALSDCGPVIFTAVAPLSAVDLAAASHLTVIAVVMPAPALLDQQLHQVSRAAPASKAAHRTSLPRASASSSSQQRLHPPAHATASAPRRRSSVRTATASTTRAGNSAAASAPLLAVPRSVPVDPRVRRARRAATPRRSWAARTSTVHLAGFAHVIFLVGVPGASANRAAASAHALSRNYQVFKLVSSKATAARVRAYAFNSSAMGNQHARASAHTAPTGYEAAKLLAAKCMRALANRMHQQLAAAASSGRVRAGAHLPASPPTALSSGCSYHRGAVRLADSVASGFNLHSSIRATSSTHAHMWPKAAAPRGHTRLHAVQQLTTSTRQHPSSRVRQQRPDAPPAATGPSG >cds.KYUSt_chr2.51844 pep primary_assembly:MPB_Lper_Kyuss_1697:2:323786424:323788905:-1 gene:KYUSg_chr2.51844 transcript:KYUSt_chr2.51844 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIEHPGNGRTAYHFQPAKHWQNDPNGPMYHNGMYHFFYQYNPHGPTWDVGKLSWGHSVSGDLVNWAALDNALDPTAPFDANGCWSGSATILPGGHPAILYTGIDADKVQVQNVAFAKDPSDPLLREWEKPSCNPVIPYPADVTGNNFRDPTEAWRGRDGLWRVGVVAEVNGVGSLLVYRSADFLRWQRNAAPLHASSRDVPVLECPDLFPVAAAAQGATEGLETSAPSGAGVRHVLKLTDFAKEDHYMVGFYDDVADTFVPAEPERGDDPDNWRRLDHGHLYASKSFYDARNKRRILWAWVDETDGGGVARGWAGIQAFPRAMWLDADGKRLVQWPVEEIETLRRKRVGLRWATDVEAGGRKEIAGIVSSQADVEVVFEIPNLEEAETLDPEWVLDPKGLCAAKGASVHGGVGPFGLLVLASGDLEEHTAVFFRVFKHDGKYKVLMCTDLTKSSTKAGAHKPSYGAFLDVDVEKDKFISLRTLIDHTVVESFGDGGRTCMTARVYPEHAAMGSTHLYVFNNGTGAVKVSKLEAWELATAAVNGGSLAPVVVKASDPKEAL >cds.KYUSt_chr3.22373 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138218440:138219153:-1 gene:KYUSg_chr3.22373 transcript:KYUSt_chr3.22373 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLQIANKACRASRAAAVAASRAASLASRSARAAAADAARISAALDPESCRQRKPRDEAPGFSYDDYVRNTAFEQWPDDSKMPTEKDLESDEAIWALYERWCKAHNKKRDQSDMARRFKIFRIFAKSVHTHNTCLPLDPKKAATYIRKRQKAKLLILKGQDVSDIEECYLPMVLGPYADGGEPFTESDERMLKEIEEREAVQDVTAH >cds.KYUSt_chr6.34031 pep primary_assembly:MPB_Lper_Kyuss_1697:6:213295023:213303801:1 gene:KYUSg_chr6.34031 transcript:KYUSt_chr6.34031 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATGAIGSLLPKLFELLADEYKLPKSVKEGVRSLEKEMKSMHAALRKVAEVPRGQLDEQVRLWAAEVRELSFDMEDVVDKFLVRADDDSEPSTNTNKLKLLIEKMANFFPKLKTRHQIADAIKDIHKQVQEVANRRGRYTVDNIVARIAPATTIDPRLKALYTEVTELVGIAGKRDQELMNFLSEGDSVSNKKLKIVSVVGFGGLGKTTLVKTVYEKIKTDFDCRAFIPVGRNADAKKVFMDILHDLGMQGSHLTELDERQLIDILRERLENKRYLIVIDDIWDEKLWEIINLAFSRSNNFGSRLITTTRIVSVSKVCCSSTGHSIYQMKPLSDDDSKTLFHKRIFSQESGCPLEFEEVSTDILKKCGGVPLAIITIASLLTSDQKVKPKDEWRSLLKSIGRGLTEDPSVEEMLRILSFSYYDLPSHLRTCLLYLSMFPEDYFIRKDRVIWMWIAESFVQCEKAGASLFEIGETYFNELANRNMIQPVYDYRGTEVEACRVHDMVLDLISSLSREDNFVTVMNGTGDSMSSQINIRRMSLQNVRKEEGCQATPLKSVSTLQVRSIATFGLAIGLMPPFLSLVVLRVLDLNGCDIGEHNHLILQDLGSLLHLRYLGLARTGISVVPEEVGKLQFLQVLDLSGNQRIKELTSAVMKLKRLMCLLIEYGCVWLPDGLGNLTSMEVLRDITFDSISTVKELGNMKRLRELGIEFDNLSLELEEAFVESLGKLSNIQSLEISCGALPIESIDILGERWVPPGSLQKFVAISNKFSTLPAWIRRNPSHLSKLFKLEICVDQVGQECVEILGRLPALRSLYFSSLRQSGPLLVVVGGFPCLTSFGWLCPPACEIVFQAGAMPKAERVALDIGVRVTNEEAAGDLGMGNLPSLRHVGVTLSREGVAVGQAKQAKAALENTLRVHPNSPTFGIYLYPEIPADCSDASLLVKVTFEGDRTVVEMYKFIRKHASIPFKLKRPPLVQISRMCCRALHRWKFLSSIEAPQLEFPFCDRKHEVEKE >cds.KYUSt_chr3.17463 pep primary_assembly:MPB_Lper_Kyuss_1697:3:106991926:106993736:1 gene:KYUSg_chr3.17463 transcript:KYUSt_chr3.17463 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVTQPSRITSSATDMSMSRSPRTALMSRSNAASANTIPPMPPPATSTRGFLPLVPDGAGAVSAAVAVFAVTILTPRACYSYMAARCRPVWCDVGCSSWQKRCIFAAEVAERNTMGMDPSSCELGECVGQVEELAALEAIDMSGTVPVAANTRGHRNLRNMHLSVGLMDTYLTSSNTTCAGCSSWQKRFIFAVEVAERNAMGMDPSSRELGECVGQEEELAALEAIDMSSAVPVAANTRGHRNLQNMHLMCVRRHLLVLHFALAAQAEKAGSSVDLSTCILSHSLGVLGLRRGGD >cds.KYUSt_chr3.21636 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132942128:132946369:1 gene:KYUSg_chr3.21636 transcript:KYUSt_chr3.21636 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVKPESAAAAPKAPQPQPPVKGAIMRRVFPFLLATNVFIGVYVFAKTYKRDQDKKNAEAAAAAAAAAPPAPIAKPAEPAPPPKRVFPPLSQDEQRQVYKWMLEEKRRTKPQTAAEKTKINEEKALLKEIIRAEYLPRLW >cds.KYUSt_chr4.27144 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170622731:170623660:1 gene:KYUSg_chr4.27144 transcript:KYUSt_chr4.27144 gene_biotype:protein_coding transcript_biotype:protein_coding MELEDGAVSMTDAMVLAATSATATVQEATVRAAMAGSSRDRRRVQQNGAGPANGLAPRPAPLAPLAPVVPDWLLAGPAAPMLGADENVEAARAAAPPPFWCPSHGWVVCPLHEYGPAVRRSSASIFEFGGTSSAAAIDAGTITPRLPSPTPAVVEMEGDALAYLSVPTGAPNFTVDSGVRSFTGAAVADSIAPLRLSSPTPAVEDNAPHGNAAVVNVVASRLPSPTPRLPSPTPATPPPSPRARASRRLTALGFTLSPPLGGRAGRSGTWNPAALGFTDGVSNGVAPGTWLPGGSSDEDIRRERSSAHR >cds.KYUSt_chr1.19533 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114766942:114767217:1 gene:KYUSg_chr1.19533 transcript:KYUSt_chr1.19533 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDAGDNDGGGILTFEKLERYAIWVGGSVAAAFFTSMERCSCIHLHTVDDDGDDDPEEAKDRPLMLSRPQALPEYYYDRSGSSASFAKM >cds.KYUSt_chr5.17484 pep primary_assembly:MPB_Lper_Kyuss_1697:5:112821309:112824676:1 gene:KYUSg_chr5.17484 transcript:KYUSt_chr5.17484 gene_biotype:protein_coding transcript_biotype:protein_coding MYASLSLLILGSLGKLTARASGLVTSHNPEGNWAHPSLAMLDVCPKSCGNVSINYPFGIGSRCSRGPDFNLTCNNTAQPPKLFLRDGIIEVINFGDSVWNSNLLWASFSHTIPIESGVSVYNLSLKPPGRSFHLDSIVLNIIGCDLEVYSVEENAIQPICATVCPDPEITEMAAVHNCNGLGCCRVVFESYASTYRFKFVHNTKRTSIGSKHTSQLWDKISITTDGLKLSWDIGNQSACVACMSDHSGCGYNYAASDPYTTSRNGYYCFCNNGYTGNPYIPHGCSNQDKGYNPIPSRADCTRQCDTNNGLSLSWGDYLRIAMETAGALSYLHSSASVSIFHRDVKSSNILLDGNYTAKVSDFGASRLVPVDQTHIVTNVQGTFGYLDPEYFHTRQLNEKSDVYSFGVVLVELLLRMKPVFTSESGTIKNLSNYFLQEFKEGRITGIVNSQVLDGATEEEIKGVASLAELCLRLHGEERPTMKQLEMELQTLLTKRVNSCLAYPRNEEQMQPIRLTRRRARAALQSSELGDLRLGDNPQCYSLEKEFMSSASLPR >cds.KYUSt_chr3.22604 pep primary_assembly:MPB_Lper_Kyuss_1697:3:139772822:139773835:1 gene:KYUSg_chr3.22604 transcript:KYUSt_chr3.22604 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFPAFTPAHHRDRDVLAAVRGGDFFLTSVLQDDDELPVSWIIECCRDGYLLLMNWNTGILAIVNPLAGHCPDYIEYPDESDPSARWLGVHLLSSEEDPISFRLLYVCCDDSRVRAAVFSSDTSDWQFFPWFEVAPRTPTNDGHIYWLGRRNQVGRIMYWFFTNLEYVLTLDTDTMDFSVYQLPGCLQGHMFYNVFFGGTKDDVPCIAYDTGYSIRVLMFIADEEDGIGAWVLGGCVRYDEHPDLLEHGTRIMAIEDGFVYLDSSDMLISLSLETWEVQKLFPKTFCIFHYNSCIHGHRCLLYPYVMSWPPSLLGNYGVFAALLDDDDPSREQGML >cds.KYUSt_chr3.22547 pep primary_assembly:MPB_Lper_Kyuss_1697:3:139403804:139404637:-1 gene:KYUSg_chr3.22547 transcript:KYUSt_chr3.22547 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLQLISCIHITIALLLFTQAKSSTEAPKETTTGCIADERIALLALRAGLSDPSNLLSSWNGGDCCRWKGVRCSNSTGHVVELDLHGPDCSYNLGSERVLGGIISSSLVDLHHLQYLNLSCNYFRGAKIPEFFGSLRNLEHLDLSLSYFSGMIPPQLGNLSNLRYFILDSIDSSNYVYSTDITWLSRLSSLEHLDMSSVNLGSIQNWVPVLNMLPSLKFLRLFRCQLNSSPDSILHLFSNKEHSPSLCINRCTRLALLNKISQVTTNSSSLITTTE >cds.KYUSt_chr6.7980 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48974398:48975447:1 gene:KYUSg_chr6.7980 transcript:KYUSt_chr6.7980 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQSRALVVMQDIDLGYFICEFNLNHLFAGRSDLEFPPILNLPEPVVLFNHGVDKDCLTIAVNGNLVVALASRKGQTLLYDTDSHTISGGPDTLPGKPAITLVPVADMFFAMSCCRHLDPKGSPHFELLLRLHDAEEEENRSRWAWRPIPDPPLLSRPGRRGREWFISARFVAGAHIWVSFSGEGTFSFDTERRRWRREGNWVLPVSGRATLVPDFLGDGRQLLFGFCSREEGGHFCAVDMEARPPAIIKSWPEACVYQCQLALCAGYRAIPEASGLSYFGGGRFCISMHVITGYIQRKCIMTNTSERLPREAITLMAVEVTPELQLLKRKVECYFIPPGSTLGYMV >cds.KYUSt_chr6.28704 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181902812:181905371:-1 gene:KYUSg_chr6.28704 transcript:KYUSt_chr6.28704 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHPLHEPSRDLAVTCAGQSLHYNASVISSDFKGLVHARSCDMQALASSNVTGKIVLCYAPGEAQVALIDAIRLTVEAGANGVIFAQNAANNLDNLSACRHFMPCVLVDFEIAQRITSYWKRTGNPVVMVSPTVTVVGNGVLAPRVASFSSRGPSAEFPYILKPDVAAPGVSILAAVRDSYVLLSGTSMACPHVSAVTALLKSVHRDWSPAMIKSAIVTTASLTDRYGMPIQAEGLPRKLADPFDLGGGHINPDKAVDPGLVYDVDAREYNKFFNCTLGLFGECELYQLNLNLPSISVPDLKDNVTVMRTVTNVGPTEATYQAVVEAPAGVIMSVEPSMLNFTQVGGTSTATFRVTLTAKQRVQGGYTFGSLTWSDGSTHSVRIPVAVRTVIQDYVADTS >cds.KYUSt_chr4.3906 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22367444:22371053:1 gene:KYUSg_chr4.3906 transcript:KYUSt_chr4.3906 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPRLAGSRLPDQVWSMADAATGKAQAVREVCAASAAFASCPHRRRSPRRPPFVDWYLVLAIGEAASEDAIKRRYRHLALQLHPDKNRHPKAELAFKLVAEAHACLTDKARRRAFDADRSTAFCAECHARLATTRHRAKKSTPSSAPSARQHAHGPVAAKPRGASSRRPHVPAATEALREVQNRLRDECRIIDECLRANGDVARRRQSFPLFDPSDQNRFPDYPHARPPPMTPFPGCRPFEEDFGVAQDQSLGGCRPSMAYEVLIASCCAQACSFGDGGGKRKCAVHLGGTLPFGDAGGFRCREVTSTVGCPSTAVATFLVRGQEDVQRLSWWNSALRWCLRLSVSHHDLGSGMPFDNGCDAPSSFKV >cds.KYUSt_chr4.54558 pep primary_assembly:MPB_Lper_Kyuss_1697:4:337100547:337102396:1 gene:KYUSg_chr4.54558 transcript:KYUSt_chr4.54558 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASLEDKVQLQSELKQGEEEARRLRDELLAAGELESKVAAASEQRANLRVELFTCAIEGASAEDMAAVGAPSVKLANARKELEEVKTSMEKAQDEAKIMRVAAASLCADLEKEKAELAAVRRKEEATSASIPPLEEELSLLTSELTAAQARARDSKKSRREEARVAKAAVQTMEARLEAVMREILAANASAETATASADALVQQQDNKSGAVEGGVALEADEYEELSRRARETEEVAGKRMVEAVKLIKEAKDAEVRSLEKLTQLTKWTEQRRQARQAATAEAEEAELCKAEAERELRQLQAEERRAGGSAGGETASPRTGLADISAFDGSGRGNPHILSPRGGYMPRADMAAMSAAEEADAKQKKNFFPRMAMFLARKKAQSWNGK >cds.KYUSt_chr4.15853 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97932941:97937570:1 gene:KYUSg_chr4.15853 transcript:KYUSt_chr4.15853 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDNSGDEDGGGVDGGAFRGHFPVPAACRNRDSCPPDLGFAMAAALEEKKLQYKSQIDLRIRKLKSDLKKITGFQDKLLELLGFGVDPHLLLEDHCLFRVLYNLGKQYSSWALGCE >cds.KYUSt_chr2.46866 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293012977:293015392:1 gene:KYUSg_chr2.46866 transcript:KYUSt_chr2.46866 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGNKPVGPGEPLTASSGAKRKRGRPRKYEYSTYQQPQMAQPIQSIPPLRSTLYNSNIRQDGVHMTHTSGATADTRMHTVYVLPAQPIHGDSSTRPRDSGNNTVKTQENQAFSYSTAHVQGNSGKDVIIGKHFVGKMTKQSPGFSLITVKVKDNHVLRGCIPDAYNLLPITPKDDLAPELPMLRLSQVQKKPSAIHRQAPIPLPVRLEDVTIAKPLQMRRPAEKSNAKHIIPPTPRPYIGSGVLAAAPVSVKSGNAESARAEPSVTAVPVKSAQPVLISCIQVDNQNVPVEENSLPEVSSDSESSDGSDESSGQIQSVDPAAPYRIEITSGSKDQSNAASSKQHICKEPSDGVQSVEEDDEMKVDSK >cds.KYUSt_chr3.10906 pep primary_assembly:MPB_Lper_Kyuss_1697:3:64933025:64933666:-1 gene:KYUSg_chr3.10906 transcript:KYUSt_chr3.10906 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQVHVAGQEAPTTQESKPRPAHAAKSPVDKTLSGASDLLKLLPTGTVLAFQALAPSFTNHGVCHTANRYLVLALIGACIVSCVLLSFTDSIVGPDGKLYYGLATFWGFYPFNFAGGAAQRGAVFKDLSRFRITALDFVHAVFSAVVFLAVAVADASIQSCLFPDCGADVRELLVNLPLGAGFLSSVVFMIFPTTRKSIGYTDMTPHSHSQ >cds.KYUSt_chr3.8777 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51096822:51103414:1 gene:KYUSg_chr3.8777 transcript:KYUSt_chr3.8777 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLACVLLVLLASVLGSTGTDLAPAPGVGNSPDDQGQASSPPQPTFVPGPITLPTEYNAPPPVESAPPVETAPPAVTDDVPPPAAPPQAAEKPTEPHSAPAPVVPPKEDNAPPPVESTPPPVETAPPAVTDNVPPPAASPQAAEKLTPILPGSPAVLPSVQAPTPSVAVKPNLPLAPPPSVNNQPSSPIGSGNDAPPYPPPKSSFPAVPPSASVPPELVKPPTAPPIIVQAPQQQALPPNNGNTVPPANASPPASGPDISRARPPQKIGAKRQNHHAPPPMFQGHPNFPVHPPSPSPVSPRAPSNGRKRPRVSPTLPPIPPKTEPKAPSAHPIWALPPPPPNLDCNLLSCPEPLTDPPAGAPCACVLPIKVGIRLSVDLYSFFPLVSNFAEEVGSGVNMARRQVRVMGANVAGDQPDKTVVLVHLVPMHLNFDNATAFSAFQRLWSKRIPLKPSVFGDYEILYVVYPGLPPSPPSAPDGVGNGAFGNSRNARAMKPLGVDVGRPKRKVNGSLIAIAVLSTVIALIICIAAAWFLILKFRDSDDIAQRYPHSAIPKISRSSGTCNTLLPGRHSSHSGPSGSLGSSMATYTGQAKTFKFVEIEKATNGFDDSAVLGEGGFGCVYQGTLDDGTTVAVKVLKRFDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLVYELIPNGSVESHLHGADRDIAPLDWNARMKIALGAGRALAYLHEDSSPCVIHRDFKSSNILLEHNFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPAGQESLVAWARPYLTNVVSLRRAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMSEVVQALKLVCSEGDEVLGSGSFSQELAAHATAVYDVTGMEAERVLLSEMFGSTPVFTPAPDSGSFRKQSSSGPLMTGKNMKFWQRLRNLSRGSMSEHGASPDFETRSECSNR >cds.KYUSt_chr7.37896 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236331063:236335079:-1 gene:KYUSg_chr7.37896 transcript:KYUSt_chr7.37896 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHHRLHLHLPPAAPPAADPYYVYAPHAHPDPQRLGVVTLFVAGLPDDVKPREIHNLFSRRPGFDQCLLEYTGRGNQAVAFVSFFTHHAAVSAMVSLNGSVFDPENGDCLHIELAKSNSRKRHGGGGDVYRVIDKRVHRTEENSDNDNNVDEGGDDVSADGDGGDSNGQGGSDESLDTENDNSSDKDELPADQSGEPGIKQQKRHSSSNDSAHVMIKFLDVFYNCTYRDMFYGEFLSAQGQPDKTSTETPPCSTLFLSNFGKACTEEELEELLSKQPGFHVLKMRRRGGLPAAFADFTDIESSTAAMNNLRGTILPSSDGDGLQIEYPSIFVVQDTACFYSFPSLVTQQLDLTRTGSHASL >cds.KYUSt_chr4.47805 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295907538:295908410:-1 gene:KYUSg_chr4.47805 transcript:KYUSt_chr4.47805 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRPASSEQEQGQDGAIRYGDVFPAVSGGLAKKPVAPQDAATMQSAETMAFGETIKGGPAATMQSAATRNERMGVVAHDQATDATAEQGVSVSETRVPGGRIVTEFVAGQAVGQYLEPDAAAAAGGGVDETKITIGEALEAAGFAAGTRPVERSDAAAIQAAEVRATGMDGNIPGGLAAQAQSAADANAWAERDVDKATLGDVLSHATAKLVADKEVETDDAARVAFAETRNKHDVTARPGGVAASMATAARLNK >cds.KYUSt_chr3.11125 pep primary_assembly:MPB_Lper_Kyuss_1697:3:66244671:66248776:1 gene:KYUSg_chr3.11125 transcript:KYUSt_chr3.11125 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLVLEGLDRPPGTDTVRLLARLNSLRAQPKRDAISGALYGISVGMPTSQKLVVCGHGTRCRPLSKLAERKEKMSHGPVVTIVARDVAMVGLVEGQQWCATQMQQMAWTSITKSTALPPRMEPYTCMPASEQPSPSAAQPVITLHINMYRLTVWGSRARYHCHQRLKINFHKSEIFCFGKADDEVDQYKQIFGCDAGSLPFKYLGYPYGVTLGSVVGSSVTGGTAGCYGYWMPTAPDGRSGYLVPGWLAAR >cds.KYUSt_chr2.44532 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277091612:277092115:1 gene:KYUSg_chr2.44532 transcript:KYUSt_chr2.44532 gene_biotype:protein_coding transcript_biotype:protein_coding MLKARRSRGGFRLGRKLLSAWRWALCGRRRRRGGYLRLDTSRATRDEERGGKKELAPVLRWGQSLARLLSLGRRKDGGRRLLDGGAEAKTPKGQVAVYVGGGGPGEPLRYVVPVVYFNHPMFGELLREAEEAFGFHHPGGITIPCAVARFEQAAAVAAAGKKGFARW >cds.KYUSt_chr3.27786 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173476402:173478246:-1 gene:KYUSg_chr3.27786 transcript:KYUSt_chr3.27786 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKELFYEWEIQVLVLLSFMLQMFLFFAGSLRRRSLNSFLRFLTWIAYLGADLVAVYTLGYLSRHEDATTGLSFFWAPFLLIHLGGQDTITAFAMEDNKLWLRHLLTLFMQVVLALYVFWKSIDKHDVELLVSDVFVFATGIIKYGERIWSLKCGSFESFEGSTGQQYKQQVPGEVAEDPYSHIVCAALHRMPRVFRIFTARSGDSGTTIQENPSNWVKRMRLELGMMYDDLYTKSCVLRTRSGIILRCISQVSIMIALALFLTSDTRRYSRADIAITWSLFVGCFLLEVCAMFILIMSPWSWAWLKVRRCTLLASLSWFLLCSGIGWPERKPYLNSIGQYNFHRWLDASSNQPRSYSRRAMTTVIKSLVNLVGVKEDKILFWISRLLDTEHVQADKETMECVVRGITDFHAEISRVPRQWPKLGGIVQELQDIREDFGGKVALVHFITEAHLIKYPLHPPSDMETATGTSCSVMVEVCRKLSNYMAYLLVTHPSMLPLNDSALFTLEKMVHLFPHLIDEQQDSEGYFSIEPSMETLQELVDIWTKLIIYAAAKSRPEMHAAHLARGGELITFAWLFLSHNFLGDAEVIKVQLTNANQRGTIAYVFRNPTPR >cds.KYUSt_chr2.33971 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209991425:209992666:1 gene:KYUSg_chr2.33971 transcript:KYUSt_chr2.33971 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGASPSPSPSASRSPSRSPSPLPIAEPVTVAAVPPGHLALAIPIQKHASSSGGGGGGGGREDAWSDGATSTLIDAWGERFVALGRGSLRHPQWQEVAEVVSARDGYSKPVKSDVQCKNRIDTLKKKYKVEKAKSDSSWPFFDRLDFLLAPLQKLAGNSGGAAGNSGSSNPSNRSTAPMSLRVNFPQRTRTAFPSSAMKRRMPSPQQDAASSESSDGFPPEPVAEAVNGKRHRVEESANGADSSNRVQGLRDLAQAIRRLGEVYERVESSKRGHELRMEQDRLEAARELEEQRVQFFLKMQTEFSKANNGATLPISLAMAAMVGNSVPAAAAGAADGNGSSRRNSVATEVATSSNHRVRYRFKDPHASQRPSYQYNQNNVSADATGTGSGSDSDNKEDEDEMEDEDEESQ >cds.KYUSt_chr5.29282 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185560616:185565365:-1 gene:KYUSg_chr5.29282 transcript:KYUSt_chr5.29282 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRKKPSKQGVGDAPRLRQSLLVLALLNPWRRRPTKSGPRPLLSALRTVSSVGSAAAGDQAVAMAIDCLVLGAGQEVGKSCVVVTIGGKRVMFDCGMHMGHHDCQRYPDFARILAADPGAADFTSAISCVVITHFHLDHIGALPYFTEVCGYNGPVYMTYPTKALAPLMLEDYRKVMVDHRGEEEQYSYQDIQRCMKKVIPVDLKQTIQVDRDLVIRAYYAGHVLGAAMIYAKVGDATMIYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTVRDSKHAREREFLKAVHKCVAGGGKVLIPAFALGRAQELCILLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKIKDSYTVHNPFDFKHVCHFERSFINDPGPCVLFATPGMISGGFSLEVFKRWAPSEKNLVTLPGYCVAGTIGHKLMSGKPTRIDLDKDTHVDVRCQIHQLSFSPHTDSKGIMDLTEFLSPSHVILVHGEKPQMAFLKDKIESELGMSCYYPANNETVSIPTAHNLKINATEKFIASCITPQARDDLQEPNLICGDHLSGVNGEQKLAEGILLMEKSRAPKILCEDELLHLLGTECHSVQFEPLLSSRIEEAQTTIVDDVASE >cds.KYUSt_chr2.51936 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324252935:324254968:1 gene:KYUSg_chr2.51936 transcript:KYUSt_chr2.51936 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAQGGSWRLLFFTRHGDEVKEEFLASAGGLPRKQQGSDEAAPGSTSTATPAWSSLNKVVRQPLPPSSSVTVPSSWRLQDINYLQAYMPRRRPLCISDVVSRRLTPSGIIPGGEQLDCAAMRRNGGSGATEESLLLGGQDPIDAVVRSCSAGTHRDAAVRSLSAGTDRFRNGCSSLLVAILGDHVAAPDPPGSDRRRLLSVSSLLGFPYPIVSSSAMFRSRPRQRTRAGADGASTYVYVAYAYAYCVTRL >cds.KYUSt_chr3.42963 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271509562:271510800:-1 gene:KYUSg_chr3.42963 transcript:KYUSt_chr3.42963 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVCSTKQEELKKQQGSELKIGEVHDVQELQRACVDNVPERYVREGDDRPGGANVCEQAHIPVIDLGELRRGGGDELGRLRLACEDWGFFQVVNHGIEGTLLDEMAKVAREFFALPLEEKQRYPMAPGGIQGYGHAFVFSDDQKLDWCNMLALGVSPPSIRQPALWPTTPAAFTDTLVRYSAKVRELCVVLLAHVAETLGMPSGTFEGMFGGEAAVQAVRMNFYPPCPRPELVLGLSAHSDGSAVTVLQQDAGRVGLQVRRGDAWVPVHPVPHALVINLGDSLEVLTNGRYKSVEHRAVTNGEQDRLSVVTFYAPAYDVEMGPLPELLDDGEPCRYRRFNHGEYSRHFGTLTQRSRSPLYTVKGDREATLVPPAIPPPSSFSSALGPSEGGGGLNRRADLCARSLGQAR >cds.KYUSt_chr5.3626 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23507553:23508869:1 gene:KYUSg_chr5.3626 transcript:KYUSt_chr5.3626 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKQSKKKSMAPLLGKYELGPLLGRGTFAKVYLAHPAAGGEPVAVKVIDKAEVLGIPGMAPRVLREVAAMRRLRHPGVLRLHEVLATRSRIYLVMELAPRGDLQTALAANRKGFPERTARRIFVQLATALAHCHARGVAHRDVKPQNILLDAAGGLKVSDFGLSAAPVVPGSAESLLLTSCGTPAYAAPEVLRRKAYDGAKADAWSCGVVLFVLLSGRLPFDDANIVEMCRKALHREYEIPTSVSPPARRLLHRLLDPNPETRVAIEALSASHPWFVKRSLSLDSQLGGLIDGQPERVLQFQAPAVANAFDIISMSPGLDLSGLFGGSKRSREKRFMTTASPEQTLEQLGRAGGKLGYMVVGKKGGECQRRPLLGRPAISVEMSELAPPLMLVEMRLETDDVDGDGEVQVFGWEQLRVELGDVVRAWHSCEDLPQVS >cds.KYUSt_chr3.40908 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258241194:258243359:1 gene:KYUSg_chr3.40908 transcript:KYUSt_chr3.40908 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSSTARAAAAALVKNTGVAEADVVPGGWSRRGAAASGGGLVLTAADEQEHGFGGELMLPDLNVQPTVEDPLYNSDASSDNEVSKYNVLSYEKKVEAKIWAEGISAYMKPDGRYYCKFHPSKQVPRDGLREGLVSHVKEVHQKDIRDKANHAALTKVLEYYGQD >cds.KYUSt_chr4.21452 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135099798:135100961:1 gene:KYUSg_chr4.21452 transcript:KYUSt_chr4.21452 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPRVAPHTTAAALSSSLHRRLSLSASASASSSSSTSACAAPFSTEEYLVATCGLNQAQALKASKKLLNLKSASNPDAVLALLAGVGLSGPDIAAVVAADPLLLRSRVDNIAPRLAALRDRLGLTAPEIASFLLVGAVALRSCDITPKLEFWIPFFGSFTKLLQTAKRNRSILTSDLEKVAKPNIALLEQCGLSVCDIVKLSTPCSRLLVFNPERVKAFVLRAEKLGVPRSSYIFKYAVGVACSISEDKVAARMEFLRVALGCSMDQVRAAVRNKPHILGVSEEKLRRKIEFMVAEVGLDPEYIVKRPMLFTYSLEKRMKPRHYVAKILQAKGLMKKSVGFRRLVGYGENSFVATYIDSNKDAVPGLADAYAANRAGKMLSDVQL >cds.KYUSt_chr5.30609 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194107000:194107962:1 gene:KYUSg_chr5.30609 transcript:KYUSt_chr5.30609 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSSSQALFPTSSKLCTPYLLLLPLGLLAAVVVIPSLGSSHVRSNGLGVLCPGLDTDGYSVASGAAEKVVSTSAETTTLTAPQPEFRLLVGVLTTPKRYERRGIVRLAYALQPPTPAYAKVDVRFVLCGVGIDPVDRTLVALEAARHGDILLLNCTENMNDGKTHEYFSSVPRTFSSEPYDYVMKTDDDTYLRVAAMAAELRGKPRDDAYIGYGFEVGDDPMQFMHGMGYIVSWDIASWVSTNEDILRYNDTHGPEDLLFGKWLNIGKRGKNRYDLKPRMYDLNWFRDNFRPDTIAVHMIKDNRRWAEAFRYFNVTSA >cds.KYUSt_chr3.36767 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231220746:231223404:1 gene:KYUSg_chr3.36767 transcript:KYUSt_chr3.36767 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERTWFALLPVALLLQTAVSSLDFHLGARFAVHLPASYYPGFAERTMVLEAVGERQPRFAAAVSVEAGTQGYYLCSLVVLLGDVTVWTSDRPVQELAAGGLCQLELAENGQLRLTDGAGVARWWSGTAGLGAKALHLDSRTGNLLLLDDKNHTVWQSFDKPTDKLLPGQWLRLPSYFTTSLTKMSPAFYSVELDGDKSAAYLYFGLLRYSYWELIPSRNQTMAFAGMSKSGLTVFDRRRRPVAQISPALKKEPVRFLALGDDGNLGLYVLDRRNNKFRASYKALAFCELPLACGVSGVCSASGRCTDFAARGVRPAQIGTLVCNATATRSVAHDMMEVRGVTTVLKVASPLANVTVKKCVDLCLHTCSCAAALYMRDDAGIVTADSGVCSHYELTAGVREVISGSDSPRYSYWVKVLKRKICREDEEDYSATNSLLAKILIIFGTVDVMGLILFAGLCVYYFFCLRGRAVDKHVAGEGEAAGHDTGSMEPDGTANVGAVQAS >cds.KYUSt_chr2.3053 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17919556:17920581:-1 gene:KYUSg_chr2.3053 transcript:KYUSt_chr2.3053 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDTTPETRHVPHEVVWQILLFLPVKSLIRFTCVCKTWRSTITGDESFQRSHHRLQQPCVLIAPLIKTSDGGDGRRSSITNLKVTIPGLYQWERKSHGAATLVQATDSFPAEEASHRSVHCDGLVLMPMGRTVRVLNPATRRVLTLPPCGLRNTFVPNRPSWLIQGPLGLGHDPRSNTYKVARFFYRYWDAPMESGRHYSYEMEVFTIGRDQHWRETAAPPPYPVIAGQTTTFFKGSLLWTIDESMLQKDDPHVRGFLRFNLEDESFSVTPAPPGCPKLRDMARNLAEMGGELYLAHEGPRAPDTDHPQVTRYGCVAMWTAPIHRDGFNAMPSGGHTIFI >cds.KYUSt_chr2.47458 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296909023:296910097:-1 gene:KYUSg_chr2.47458 transcript:KYUSt_chr2.47458 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTPTRRSRSADFHNYSERRRRDKINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITTDPAAQVMMQMPPSSRPSEPPRPFQITHANPPQRQSNVESDFLSQLQNLHPSEQPQNFLRPPKLQLYTPEQRGGLGSSTGHNGGWIPERNSSYNFME >cds.KYUSt_chr7.38371 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239116796:239119999:1 gene:KYUSg_chr7.38371 transcript:KYUSt_chr7.38371 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVAASGFFPNPGSSPASLARGSKSATGELPETLSVRGIVAKPNTPPAAMQVKARAQALPKVNGSSKVNHRTTDKDETVPYTNPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTMLDWKPKRPDMLVDTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHMQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVERYPSWEDMVQVDTWVASAGKNGMRRDWHVRDYNTGQTILRATSVWVMLNKNTRRLSKMPDEVRGEIGPHFNNDRSAITEKQGEKLAKPGDKVNDPSTKQFVRKGLTPKWGDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLEYRKECDRDSVLQSLTNVSGECVDSSSGSAIQCDHLLQLESGADVVKAHTKWRPKRAHGEGNMGLFPAESA >cds.KYUSt_chr4.1162 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6120371:6124580:1 gene:KYUSg_chr4.1162 transcript:KYUSt_chr4.1162 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEGRKANQLRKYSCTRNPLGRAHGSARWEQGGTAVVAAVYGPRPGTRKGENPEKASIEVVWKPMTGQSGRQEKEYEMTLKRTLQSICLLTVHPNTTTSVILQVMSDDGSLLPCAINASCAALAFAGIPLKHLAVAIGCGVLENGDVILDTSKAEEKQLKSFAHLVFPNSSKSVDLKESQQKDGQSERGLITSITHGVMSEEDYFNCIERGLAASSRISDFMRTTLQKHTPDYL >cds.KYUSt_chr7.33224 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207319836:207321005:-1 gene:KYUSg_chr7.33224 transcript:KYUSt_chr7.33224 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGEAGGGRRLNFPLQLLEKKEEHPCSTSPAAGLGAAPDRTNGSELQVRKAAPPKRTTSKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSMLSTIPTHLRGAGLMGSRFGGGARSDAWDRFVGLGFGGSLESPSSTTTSSSSPLLLSFHSGSVGLDVSPSSSSPAANTDMSRKPRWEQEMQQQQQQQHHQQQYQQQMAGYTQSQMPGTVWMVPTSSAQGAGASPSGGGGESIWTFPQPGSVGGAAAVYRGVPSGLHFMNIPAPMALHAQQLGLGQAGSGEGQMGILAALNAYRGQHAAASEVTVAGHSIGAEATALHQHQLTDQHHQHGGGEPNKSMSASDS >cds.KYUSt_chr2.54452 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339708605:339712688:-1 gene:KYUSg_chr2.54452 transcript:KYUSt_chr2.54452 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPEGTGAEATGEMRSLALTPTWSVATVLTLLVASSLIIERSIHRLSNVEMMLLGFISLLLAATSRIISGICIDSKYYNSKFSPCTKEEVEESLSAEHALIRKRNHIVDAILHHSFRRNLKAQYHHVQTCPKGYESFVSHEGLEQLHRFIFVMAVTHVTYSCLTMLLAILKVHTWRKWEDEAFRDNHESFSQIAYVSATRRQPPALTKSYSFRFWSQNNAVMWVFCFLAQFGQSVVRADYLILRKGFIMNHNLAPTYDFHNYMIRSMEEEFEKIVGVSGVLWGFVVAFMLFNIDGSNLYFWIAILPVALVLLVGAKLQHVIATLTSEGARLTSYGPRIKPRDDLFWFKKPKFLLWLIHFVLFQNAFELASFFWFWWQFGYDSCFIKNHLLVYCRLVLGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPNRIRETMHGWGKAARKRRKKRRGDDSTIRTETSTVCSLDYDDEDDDDHGHSDDATPPRLPPYLKIELRPMRGGGGIPRPGTPTHHLPIGMLPGSSSTPHGSSQHALLQRQASASASTPSSPPRNSHGRGVTRSASMPGIATLVTTVGAGTPARLSDAHA >cds.KYUSt_chr2.33018 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203616847:203618192:-1 gene:KYUSg_chr2.33018 transcript:KYUSt_chr2.33018 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAVIRIDFATGDAFVCRYAGSGTVPQMETATNRDSFRSDIDGYYLLTFLIRYLQGFGKRYFKEKALNFCFERRGHFFGTSKMLVTTALKRPGKSSGVDWIGNAVLHLRCLFHLGASAWFVTSSTKKAILVDGDKKAGVSEFMVFNKVRVGMVSFSFMAKR >cds.KYUSt_scaffold_3611.242 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:1338271:1340794:-1 gene:KYUSg_scaffold_3611.242 transcript:KYUSt_scaffold_3611.242 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAVVVLLAAAAAVGLLAAGASAQTTQPPACASKLVGCAAFMNGTDTPPDTCCGPLRETVKNERACLCAIYASPEIFKAFNINLTDALRLSKRCGISDDVSSCPSSPSSGKTVSASFAGLMSLFLVLWSALA >cds.KYUSt_chr3.44013 pep primary_assembly:MPB_Lper_Kyuss_1697:3:277797602:277798375:1 gene:KYUSg_chr3.44013 transcript:KYUSt_chr3.44013 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALAALSIQPRLGASSAPYSGRPIRATVRPVGRGRRRRSMVVRAGGPPSTNVLILAFLLPASLFIGTLVVAARVADDLDERFLREMEMNEAILEENEASEEAEDDGRVYAVDGEDVVQPAVEKEQVLVPAAATRTRNRPKREVY >cds.KYUSt_chr4.42835 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265287226:265287897:-1 gene:KYUSg_chr4.42835 transcript:KYUSt_chr4.42835 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEGAVVFSEEQEALVLKSWAIMKKDSANLGLRFFLKIFEIAPSAKDMFPFLRNSDVPLETNPKLKTHAVSVFVMTCEAAAQLRKAGKITVRETTLKRLGGTHLKYGVADGHFEVTRFALLETIKEAVPADMWGPEMKNAWGEAYDQLVAAIKQEMKPSA >cds.KYUSt_chr5.17162 pep primary_assembly:MPB_Lper_Kyuss_1697:5:110784825:110786599:1 gene:KYUSg_chr5.17162 transcript:KYUSt_chr5.17162 gene_biotype:protein_coding transcript_biotype:protein_coding YAMECSISMRGTGTRLAKAGFEVHGVDYEGHGKSSGLQGYISNLNDVVSDCFTYFASISEKEDHKGKRPKFLLGESMGGAIALMLHRKEPTFWDGAILVAPMCKIVDEMKPAPIVISILCKLSNVIPTWKIIPTDDIIDKAIKNEERREEVRNNPYCYKGRPRLKTGYEIFMASLDIESNLDKVTMPFIIVHGGADAVTDPSVSEALYKLAESKDKTMKLYPGMCHALTSGETESNIDLVFSDIIQWLDERASVS >cds.KYUSt_chr2.10625 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67595334:67596728:-1 gene:KYUSg_chr2.10625 transcript:KYUSt_chr2.10625 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNGIAVRVISCFQSLQNCQVILFGDCFLMGEKTNPWCHWPNSPWKLNTDSSTSNPCPPDVGHDSTNSVTLPTCLNSLAYIYPGVSAPVPLFTASIADKPLPMTSRFVAIMAPYVGSSIADPPKKRSLVFFQNEKLTPTAGPFVRKWALDPVPELEASNETNVTDVGAQGTDDTHENTEDINVLLDSDSDEGYEKVHELNKAPVDNDTMSVESVASAGASLGAAQLSKKRKLCSGSDRSVVDTASSARVDCSIGQRHLGNDDDAQSCCIGEVESGHKFALAKDGEEAEDDSPDDRKLRIERIQETVAALRKIVPGGVAKDATAVLDEAICYLTSLKLKVKTLGAVSL >cds.KYUSt_chr2.41501 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258150175:258152349:1 gene:KYUSg_chr2.41501 transcript:KYUSt_chr2.41501 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLPGGGAARKLGRRLGPLLNGNFEYLPNRHQLNGMRVIEPQAIPYWRATGHVEYVESGAKQGDMVLTVPEGKHAVRLGTEATIQLQLSVTRGKYYSVTFSAARTCAQDEKLNVSIVPGNQPGELPIQTVYTSSGWDSYSWAFLATRGLVQFVIHHGDDGVDDPSCGPIVDNFAIRMLNPPHATHDNMLVNGDFEEGPYITPGSPWGVLMPPLLQDHVSPLPGWRIMASSKVVKYIDSAHFAVPHGSRAVELVAGLEVALLQEVHTVPGTSCRLEFSVGDAANGCAASPMRLQVATAGASKTVDYNSNGTGGCNREALDFTAQANTTMVVFYSMGYHMTSDGSGTLCGPVIDDVSLVCVPQHHARRLLR >cds.KYUSt_chr6.28691 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181811842:181813095:1 gene:KYUSg_chr6.28691 transcript:KYUSt_chr6.28691 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNKDDAFYRYKMPRMLTKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISIVNGAHDTGKLAAILENFIKKYVQCANCHNPETEVLVSKKQTISLKCAACGFLSDVDMRDKLTNFILKNPPEEKKGGKDKKAMRRAEKERLKEGAAADEEMKKLKKDAAKKKGCSSKDNTVKRVTTRKKAGGGGGSSEEEHSTSPTHSGDAADEDDDDVQWQTDTSAEAARKRIAEQLSAATAEMVVLSTEETPYDELVEEVKASLGNAVTAAQLKAVLSSSTLPAKDVMDALFEALFHGAGKGFANDVKKNREYLAAAVPDEGAQILLLQAIGSFCSECSAEALKEVPVVLKVLYDGDVLEEETIVQWYDEAVATGECSKAVENARRFVEWLQSAESDEE >cds.KYUSt_chr5.5001 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31571784:31572467:1 gene:KYUSg_chr5.5001 transcript:KYUSt_chr5.5001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRVLHVLALLILTVAIASDAATITVVNKCSYTVWPGALPGGGVRLDPGRSWTLNMPAGTAAARVWPRTGCSFDGSGRGHCITGDCAGALVCRVSGEQPATLAEYTLGQGGGRDFFDLSVVDGFNVPMNFQPVGGASCRGASCAADITKQCPPELQVAEGCASACGKFGGDTYCCRGQFTDHCPATNYSRFFKGKCPDAYSYAKDDQTSTFTCPAGTNYQIVLCP >cds.KYUSt_chr6.12886 pep primary_assembly:MPB_Lper_Kyuss_1697:6:80344128:80344811:-1 gene:KYUSg_chr6.12886 transcript:KYUSt_chr6.12886 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGALSSDYSSSGTPSPVALDEPAGFPAPTYMTVSSAPPKRRAGRTKFKETRHPVYKGVRRRNPGRWICEVREPHSKSRIWLGTFETAEMAARAHDVAALALRGRAACLNFADSPRLLRVPPAGAGHDEIRRAAAEAAEAFLPPPDQVQGNAASASAEGAAAADAAPEAAQFPYYAMDDGMMDFGMQGYLDMAQGMLIAPPPMMAGSSASFAADGDDDGEVSLWSY >cds.KYUSt_scaffold_6468.787 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3812010:3818332:1 gene:KYUSg_scaffold_6468.787 transcript:KYUSt_scaffold_6468.787 gene_biotype:protein_coding transcript_biotype:protein_coding MKINWKFFAKEVSPTEFRTRFPSAKAIEELAHFGKLFMRTVPGAIISLEKWAGDIQPISMMEEAWFRVKGIPMNFRNRSTVFYAASLVGKPLALDKNFLRSFSYVRVKIGSQDLSLVPNTRIGEIKSGFYEFQYTRELCEPTPNPGTRIMMADTNQGGEGDHGTPKRQRTGRNDSDAGSQDNGKRKLFEMDLLDKSDKDTTAGTSSSNLPHDKNVNCGSLSDMHKSVTDIFAPSVLSPGQASSSASAPPSYTQFLHTLVKSGSDKAFMIQKKYMKELGPILEAVDEEDFSEEQVDYDTTDSESAATSERYINPGQGVMALAVPSLQERVAAVLAADGSQPEIDGTQEDPLSQVDNPTDMETNDGTGINETLPQVGGGSQSIRMSSRIISQDLHSTRIPERASRSAAARDVTGTNLTSHNSFALLDDDIIHDRALEMGVNPETFTYEKINYLKDLEQARHAITVARNTQEPENESDSERILLLGFERDQDLEDEDDFTTVVSRRSRKKRRSAGKSGRWRETPTKSGGSLRGAQSKSCAALVKGCMEKRMLTTYRRVQMGYCGLLVQRISVLLVLKMALDNHSDHCRSGMRAWILPMTIWSWKIKIDVLCGEGPFRPLPGALDYSAKVLDQLQLLTAEAAISPMRFAANVTDPPYALTQCTWDLPPDKCKQCLDVLSANASDWFTMTVKGQRKTYSCTLRFAKEKEIGKGGFGVVYSGILKNKEVAVKSIVKDSRGEFKDFLAELGAIDGTGHVNLVRLEGWGCNVNNYMFSCLYKQTINLFLIYELVPNGNLHQHLYENTEVLSWAMRFKIVKGLWSALNYLHYQCDPYILHRDIKPANILLDNDFNAKLGDFGLSRVAQHSGEESIQTAVAVGTRSYMDPLCMTDGNVNLLRSSDVYSFGIVLLEIAHGNNNPDGVRNLYRNQPDSFVNHVADKKLKGQFDKRQMERVIALGIRCSEPIDERKRPSLDSAILQFLENGGELPPPKIHQDGPRVVLLLPS >cds.KYUSt_chr6.29503 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186994665:187002971:1 gene:KYUSg_chr6.29503 transcript:KYUSt_chr6.29503 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRLLETTKKVVSAVPKLAPAAPKLATRKRPSCTRRSDVSPATKVTPEALLRLEQATVSKKTTLPSALPHALANDGEDEDNSRDFTTEILSILNGNLQTQSRVQSPSCYNTQNPNAGPEDTEESGDTEGAPEESEDAEDVISNKILEMEWFEGSQPSNTTTQYRKEVAREKKKRYIFKNTETRRFTRLMRMCADKLGTESALEFFGRLGRETGIKEFNALIRVCLDKARDCEDIDSAVEHIFRAYRLFELMKDRGFQIEEDSYGPFLLYLVDVELLEEFEMFSAFFKDANPRSYSRIAYYEMLLLIRAQDEESIQELCRSVEDCNEEAHYGIAESYMLAFAESNRRVDFVRFLELLDQRKLSGSKYISSIFKYMGRFELENYADKLLQEMTSKECADGKVSSLTFDYAANVPNIAISLALDVAECLCKSNPSVPIELLNPIIQACEESFELHLFQGAYDILTDAEESGETSTVTLYNIIMAGYFREKNHNGAQRVIAQMQSAGVKPDSETFSYLILNCDSEEKVSKVVLDNEISPKYLSEVKSALVGALASNGKVSDGLNIFDEIKQSGGCLEPKAAVALIEHTQTEGELDRLYQLLEELSEPNMWFDGCSRVLQYCVQHNHSEQLKERSEMSTYMVVDQVFCQIWEMEPVNLDLGMELLRAVKELGLNVSRTSLDFLLSTCVKAKDSEHAQQIWTEYESSGLPHNVLTSLRLVSFT >cds.KYUSt_chr5.29695 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188264620:188266313:1 gene:KYUSg_chr5.29695 transcript:KYUSt_chr5.29695 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGQAPAELSQREQDTQMLLAADAHLGTKNCNFQMERYVYKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGRKWDVMVDLFFYRDPEEAKEQEEEVAGAPEYAAITDYAAAGQWGGDQWTSDVAAPPPVAGGDWPVAEAPAAGGDGWDQAGAPVAPEGVAPPPVAATGWDAAAQPAPQGWE >cds.KYUSt_chr2.39969 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248170825:248171724:-1 gene:KYUSg_chr2.39969 transcript:KYUSt_chr2.39969 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTPAPSWGCFTDHNGRCGGSLDTRLRLRWRSPVRAKVGEADKDKGAADSGLRVDQQRKTLRRRLRLRLRPRLRVLRWRLRRLLSPRELAGDAAAALRRAARRVPLPAAASVVLGALLLAARLTVPKNAAREVAYSDLLAGLRAGAVTAAAFEEDSRRIYFSKAEEDVGSGGDDEREAGGGGAAGATKWAYYARRVPHDEGFLLGLMRDGGVDYRSAPRPAGRLLVDMLTTLLTLWFSLLPMMWFIQRQMSAAGSADKRRKPRKQRVGFEDVQGVDEAKEELVEVNMPSLLLTHDCFL >cds.KYUSt_chr7.20940 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129713121:129716812:1 gene:KYUSg_chr7.20940 transcript:KYUSt_chr7.20940 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGSPTASGVGERDGFLPVADISRIMRRAIPPNGKIDMEAKEAVQELVSEFIAFLTSEASDRCKREKREALTGDDLLWAMATLGFQDYIQPLRLYLHKYREMSVLDTGMELEQHEQLEVLPPPHCPADGIAEMRHDKVLPCTMEVEPKTLPVFDVRDWSELPLDALSAIFMKLGTIEILMGAGLVCRSWLLTAKSPELWRFVDMTRHKVVFSKAESVMCKMAKVAIDRSDGRMESFWAQKFVSGELLGYIASRGKSLKSIRLIAPGYFWDDEDAVVRLAAKCPMLEEIEYSHQQQPGYFFKQIGTVRPELKRLRIHMDWYDSDAIKHEMMMEQRQDDDEEEEEEEEPYEAWEARHNEEAFAIAENLHELRLLQMAGNSLTKKGVYAILEGCPHLECLDLTDCGHLKVDDELIARCAKINHVWLPGHWPHVRCPDLHTIGEKEGEVIELPDVYEIEARMLRDTGSMEEGAMEDDGYGDNYWEDYTPPSSPDSPDLHNVTCDDTRYYTYIHDYYSL >cds.KYUSt_chr2.44975 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280218794:280222118:1 gene:KYUSg_chr2.44975 transcript:KYUSt_chr2.44975 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLGVPEDVGFCDVYGLDDEMLAMVPQPVLAVLLLYPQDGKKESDASTASTVESKEPNKKVYFTKQTVGNACGTIGIIHAVGNAVSRIKLVDGSYFHRFYKQTADMDPIQRAAFLEEDEEMEDAHSVAAAGGDTEAKDGVIEHYVCFSCVDGELYELDGGKPQPIHHGHSSPDSLLQDSARVIKARIVEYSGSINFNVMALSKKD >cds.KYUSt_chr3.45314 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285533976:285534485:1 gene:KYUSg_chr3.45314 transcript:KYUSt_chr3.45314 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQQHHREERPWRSVGTAGDEVVSVAGVDEEDFGPSPRPPTPSTAGFVGARRRLDLRRQRRVAAAAPFPGGALLGGGRPCDHMKRRRGLCLGGARRPSSLGVGRRLVETAALWRQRSGTERRERVCAKENSRERIRPTWWALTPSLFPLGGIHASALEPEVASLRRI >cds.KYUSt_chr5.36719 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232176221:232177927:-1 gene:KYUSg_chr5.36719 transcript:KYUSt_chr5.36719 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYVFIPTRSYDDHVLALMEKQAKQEEEDCCEEEEDFKEALLRSRAEEAVVMDPYVFIPTRSYDDHILALMEEEAKQGEEEEEEYCHEQLEEDFKEEMRRSMDRPLLSPPDHGHPDQEGLPRPDFVLMDTTAYFLDRDNATTASCETRASQLMGTVKVTFCTAPPPLVSYYCVHATHYDHTDFVADPLILASETDGGLVLFCLVIGKRRSDFFRPSCQHWFMYDSGTSRRRPSLKHLPHPGALAEFDEPSFAIIRDCDRKRQGGERHNSVVSSVSSSADHHRSGSALHPHSGSSGSILHPHSVLRPQAASKSEHECSDCDYVIVAKDCHFGYQTPSFLWMYHSKTDRWSGKPVQPPVAYPGHITCKTITIGEEVAWADLSRDIIFCDVLAETPRFRVVSLPPPMKKLPDQRSVRDVAILNGCIHYFELQHQIKEDHNIVGWSAAKWSMKVSSPLEAWHLDHKVDSSNILGSSKIEGNARTAQPNLQSLCFGLPILSLQEEDVVYCLAKIHYWDYEQTAWVIVVDLSNNTIHEAVQFNASKTVGVSLGYGASRISKYLRPPPGTCHND >cds.KYUSt_chr1.9999 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61241320:61247022:-1 gene:KYUSg_chr1.9999 transcript:KYUSt_chr1.9999 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRHLLLVSPPAQPPPPPPRLSLLSRPARGAVSAAASLDAARPPSVVAATRRRAVLLVGVSVLPLLRLRDAAVAARAGAQPSTADLVTDKRDVQKTEGMQPEEPWAEPPQLEVKGSSPGNPFADLLNAIAVIASGVLAGLLGTSQREKKALQSTISAMEIKLSENEAAMSLLRENYEKKLLDEQAAQKKQARMLLDKEASLLGQLASTKRTVTSLNDEVRKERGLVEQLRHEIHELESIIAQAEEDKHAFEGKMREKLETLDIFHDKVNLLSQEVNDKEGYIRELGSSLSSKENDYQSLHLTYNQTKEGLEHANSRLEQLEKYLLAAKDDLKSKTSFIDSLNEDVQTLYTSKAHAEETINQLIKQYADLEAASQMRASRDSELLFDKDGQLNQLEEQLSTALTEHNKQRTTIAELNNALEANRTMLVNEVEVRKSLSDLIQSTEEALQESRNEVLKLSEELNELTISNHDLTAQVLKYTNESNELKQALTNKVEEAESVSKALSSELASVRETLQKTQEDLEVASNQLVSVTEVHDELNKELLDAYKKLESIANELVSERINNGTLNRELEALVKQSLVDSEARRALQSDLDEATISLNEVNESTLFLSNKLDSTNSRIFAIKEEKEVLLAALAEQKKSTVESQKNMAEAQHLIKRLGLERENFEIRSNKLEEELATAKVRCLRILQIFEKEHCEDCPVGIRAYGTIYALPIFDNARLCVGRNGRIQILRYLLTGVVANSNRWLPDSGQAHRTRGVLKLVARRRDSAD >cds.KYUSt_chr6.5392 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32022558:32022942:1 gene:KYUSg_chr6.5392 transcript:KYUSt_chr6.5392 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGQILLIALALVVLSSHHMTAKVSAATGQGTACQSFILNPVAPCDPATCQTDCAAKIKGGVGTCYGKPFYKGCDCECPTAASSTLPRKLK >cds.KYUSt_chr7.15837 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98364733:98365347:-1 gene:KYUSg_chr7.15837 transcript:KYUSt_chr7.15837 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFFLDRAPPTSAWPPEYSSAPSSLPPPSSTSEDDESSSQGRVAAGLVIGFLALLLLVAVVYSLCKWRRRSRALARTRARAAAWAAIPAAPLPLQAEPRARTERRRLVRRASTLAPTPTARLPAFTYSQSAKHNVAGTGEEAATCSVCLGAFQDGESVRLLPACLHLFHVECIDPWLDAHSTCPICRSDTDPTVDVAARLPPV >cds.KYUSt_chr2.13960 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88343453:88346140:-1 gene:KYUSg_chr2.13960 transcript:KYUSt_chr2.13960 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLKPGRSGARKGFVLVQERVQLWQKISEVQAAGTCTPRRRIGCSGAPPLRFLRLVNWTLDLPSGFVASSIETLYLKRIQAPEGALLQLLTACPRLADLTLEECPTATEIRVSSNRLRSFSMICCHNATGIMLSTRRLRSLPYKGGLLLDGSLFDVPIYNEITAVTIAICEDLTSKAQEKMRRCKKVTYLHLALRPSMAYYCSLFSAMVRELPELRQLVLKGFLAANHVVGSVAVMLVNANNLEVLSLFPLDPEPSKKKNYYDSDSGDEEDVPYSDSDDDEDVPYSDSDDEADGPIAYTRDYHPYTQTENCIVLDNGADYDWMTTHLWRMNIPCLGGSLRRIDIKNYNGNAYDRILAQFLPSKAVALEEFSVTL >cds.KYUSt_chr5.29377 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186192621:186197265:-1 gene:KYUSg_chr5.29377 transcript:KYUSt_chr5.29377 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQRSTISSIYFLFFSIPAFLVACFVPSNTAETTKSTPRPRANPPPPSSAAYTSPPAAAAMMKDKMKDLMKKVTSSSAPSFKGPSHVLGSTPASSSSSRPSNPNPNPKPPPNQQQPPRPPGPSDSTPLVPSSSSARRPDANTNNATVACPNCGDAFGSEHAVSEHLDGCLAAAGGARARAAAYLAADPPPPAAAVEVAKRLLANLLREPGNDKFRRVRLANPRIKDAVADREGGLHLLEAAGFAVGDQGGELFAVMDEPPSDARLAGIRRAVLLLERSHPSAPVQTPVEAASKESARSGVDEQKEVQKIVDRQIRVFFNVPGSSVAETDAPDSFYKLSSEEVSKEARMRRERLEQSRLLIPKSYKEKQALAARQKYKQAVIRIQFPDGVILQGAFLPSEATGSLYEFVASALKQPSLEFDLICPGFPRSRVLPHSPNVGERARTLQDEDLVPSALLKFKPNETDSVVFTGLLDNLLEASEPFTAASS >cds.KYUSt_chr5.41474 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261651790:261652209:1 gene:KYUSg_chr5.41474 transcript:KYUSt_chr5.41474 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIHPKRIAQFVRKWQRVKTASRDDEACCTASPVADKGHCAMYTADGRRFEVPLAYLGTTVFGELLRMSKDEFGFTCDSGIILPFDAVVMEYVMCLLRRNASPEVERAFLSSVVMLCQYPSCMAPHVVLHQQLAVCSS >cds.KYUSt_chr3.6850 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39380088:39381968:1 gene:KYUSg_chr3.6850 transcript:KYUSt_chr3.6850 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGSRVATYFRRARLIDELRLSLRSPSSSPPPPPDDPVVAFYAIRAAPTAASALAFFRAIPTPAPLPLFQALAARLANPASLPDLHSLLASFPLPPPPLLRLRLLAAAGDHPAALAAFASVPADPHRPTDAHNLVIRLHAGAGNHAAAVDAFGAMVREGALPNTRTYTILLHHLAAAGFVDQALEVFRLLPSLRVPRIPQQYNVLAEALASAGRFDRLRWLVREMVAVDGIMPGRQMRAAIAAMRKAGHTEGTEGFFEELSPSRYAVCDSEGEGDSEEEAEDGDTDQCGANKETQQLKPWLHPRELARALEGWHPEDVAELEAAGIVWTPLLVRKLLSNFRKATTAWKFFCWVACRPGSSFRHDLHTVARMIGIFACAGKIELAEGLLAKVRTDGIFLPFVTVRRIINFYGHSKKANAAVRVFREADSICGPVSRPNLALLCSSLLWALLKCHQGRYATELLEEMVAMRGVVPDLQTISGMMEHVAGAGSLKGVHRLLGLVRQCELRPDGHMYVVLIRAYCKGEHVALAVRLFDEMRGAGIATDSPTVALLVKTLWREGKLREAALVLERCDEMVAACGGRGLPVACLGHTTRAADLNKVYGIYSGCFGQQPDAENLTANQAIG >cds.KYUSt_chr2.3817 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23039290:23040435:-1 gene:KYUSg_chr2.3817 transcript:KYUSt_chr2.3817 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGEKVSTLIIESNLECEKCYRKIQKVLCKLQEKEKIRTINFETKNNTVTISGPFDPVKLSRKLRCKACEAIKDIKIVQEKKPEPKKDEKIKPEPKKEEKKPEPKKDCCKCCTKPDEKKPEEKKPEEKKPEKPKPKEDPKPAAAPAPSSTTVNLQFTQICNLCYPWPCSDPSHWGGIHQHPQPQPQPQPKQPPPQPQWPCDPPTMPALGHHHPCPPWAPATPKRQPCGGPSYCGGCGSCGGGYNGWPPAMPTPLQMMQPPPMMGCGGPASSCRGCKGCRIVQEGRFIYEEYPPNSCTVM >cds.KYUSt_chr3.1638 pep primary_assembly:MPB_Lper_Kyuss_1697:3:9401975:9405058:-1 gene:KYUSg_chr3.1638 transcript:KYUSt_chr3.1638 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSEDHNRAGCKWFKEGLPPPNKPQENVSAGENSTEEPVIPQDQSPIVNISTDSQPDLLVNQLIDQRPVHRNIEVRPTPNSSFISAAQNLLNQRAPTQPTSTTIRQGELAQRLLQMQQQKTKENEDRKIAILEAKYAAEVKKAEEAAKKKLEQEKRKAEHAQVKAREAAEKREKRRQDAELTKKAREETRKFIAEQHLLMSPVICCPCCHALNALWYAACLCEDLPLPLIDVSRAHQRGVAVEMKPPAERLMSLEWHGNASCTTPEISLGQLDLWSGMISSAAHHQHGYSAAI >cds.KYUSt_chr6.5308 pep primary_assembly:MPB_Lper_Kyuss_1697:6:31504467:31504953:1 gene:KYUSg_chr6.5308 transcript:KYUSt_chr6.5308 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLLRMEKTTQGMEGEMGASAPMTSWRPTVRKLGHKPDGETVRRLLQQSEPAIIAAMVVGTVPSIATTIHGALRILAQFLAPASSSSAFFDGREDSSANRRRKMQPSLAGSMAPLSTTVPQQ >cds.KYUSt_chr2.43977 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273721395:273724753:1 gene:KYUSg_chr2.43977 transcript:KYUSt_chr2.43977 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTVAPAAATNLDVSMDNNTMQAFHVSPSPPPPPSLPVPPPPPPPVKTNNNKKASTKRNKSILKLLVKQTSRTRRFAARAAELFEPPSPQRPCAGRFFMTWLSPLEQFGRREPLVVETLFRWHPDACLLIASDTMDSAGGSEKLRPFLNRGFRVAAASPDMAYLLGGTPAQEWLGTVRRGEVGPGSVPLGQNLSNLLRLALLYKFGGVYLDADVVVLRPLSGLRNAIGAQAVDAATGDWIRLNNAVMVFDQGHPMLREFIAEFATTFDGSKWGHNGPYLVSRVAARVRHQLPELGVTVLPPQAFYPVDWNKIVGLFVAPKDGKEERWVKAKMDNIKGESFGIHLWNRESRSLEMEEGSVIGRLISDGCLFCNSSVGTSVKKRAMETKPRERELKMKKMETQRSSRNFRPQDRNFRPDASQMPSSAQEKASSRNFRPERPELPATGTYAQVPL >cds.KYUSt_chr3.30994 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194492824:194496464:1 gene:KYUSg_chr3.30994 transcript:KYUSt_chr3.30994 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKWCIKGTRRLKETPHFNNNRKGVYRRNPVGHPVGPGPRPAGPAHGPVDRAPTGLQTNAGRIRFAARSAGLQTGESGARSGRPGANQAPTGGAPGRRKRESGPASGHNRLVRSLVRSDRTTDRTVRSLVRLTGFDEKKAEVAKRIAEQHRAYVDHPTLHRELDLVMVFWVWAARHLTLASGVSSFQFHALHVPPPPTSPSGAGGIGFRGGVDVRHSASFHRRGYEHSADDQEFKTTSRTAAPMRAAMEC >cds.KYUSt_chr4.9268 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55930544:55932806:1 gene:KYUSg_chr4.9268 transcript:KYUSt_chr4.9268 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSTTRRRQRSRKLSATARKLRQKIIRGSGFAARHGVVHVDATAPASASGVTLHLTQLQWQHSQMDAGNVICDEAWYDSVSMLDGSAYDDDVDDDDLDNDYASVSGDPLPDVAGVTNGSPCKDTACLADTVRRLGNIADAENNEDSDAGAGGLRESQSATLCSPRPFPGSVPSNKVQPMPVAGFSPHHQRKKSAVVKLSYRRRSYEGDEMTEMSGSANYLYRPRAGLTLPCSTGEKLQEGCWSDLQPSVFRVRGESFFKDKRKSPAPDCSPYTPIGADMFACTRKVHHIAQHIALPSLKPHDAFPSLLIVNIQLPTYPTTLFGDNDGDGISLVLYFKISDSFDKEIPPQLKDGITRLMNDEMERVKGFPVDNNVPYTERLKILAGIVNPEDLQLSATERKLVQTYNQKPVLSRPQHKFYKGSNYFEIDIDVHRFSYISRKGLETFRERLKHGVIDLGLTIQAQKAEELPEHVLCCMRLNKLDFADNGQIPTLITSSDE >cds.KYUSt_contig_7409.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001509.1:118194:119851:1 gene:KYUSg_contig_7409.22 transcript:KYUSt_contig_7409.22 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTCVLSTRWRHLPWLLPELSISVKDFLSVPCPEPIEANDMEELAMASLTKVTRSFLADQQRERTISSLHLNLYLINAFLCEVGPLVGDAIGNGLLKDLDLSVLDETDPLERSDEDMLKRAQEIDTFFSAYPSVLHCLTKLSLENADFDNLDMHHLWLQPEMEELRTPFNKLKKLYIHNELVTQKQVWEHACEVGEFRGGSFRVRRAPPWEMRFDGSENKLLKELQIGGFRALEQQFTFIRSMLERSPNLQKIILRGDGQCDDCDALDASLRPSKFPKKDEEEMVVERIRSGIFSPAIIFDEDWSLSI >cds.KYUSt_scaffold_1700.35 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:261178:263969:1 gene:KYUSg_scaffold_1700.35 transcript:KYUSt_scaffold_1700.35 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFNAWSAESPRSNSIAGSSITFQEPAGVPDPATIAADWNQPFLDGSGLSGYMSSRSDQDNGNPPSLMSPSSSNNNSSMMLQLQDHHHDQNYQFLSTLGFELLSSPGASPYGGNSRSPSLLRSLTEPSAAGKPNSPAAAFQRYQAPATCQAAATSINGSNTSFWNPSTGFDSAAYAASLEGPSPAPQSRLAPSLSTKVRKEKLGDRVTALQQLVSPFGKASELHILTDTASVLHETIEYIKFLHDQVGAHSAPYLKNRQQVPHSKSSSTNGDEAAAEKRDLTGRGLCLVPVSSTFAVASETPVVDFWNPFGAVFR >cds.KYUSt_chr4.2942 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16846943:16849382:-1 gene:KYUSg_chr4.2942 transcript:KYUSt_chr4.2942 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMPRWQNSNPVYAKKAIHRYVTKAQEGKMSNMPRWQNSNSVYAKKAIHRYATKAQEGKISNMPRWQNSNPVYAKKAIHRYVTKAQEGKMSNMPRWQNSNPVYAKKAIHRYVTKAQEGKMSNMPRWQNSNPVYAKKAIHRYVTKAQEGKMSNMPSWQDSNPVYAKKTIHRYVTKAQEGKMSNMPRWQNSNPVYAQEGYTPLRVKQQARVKELLDTIEAQNAEMARNNKAASQSVRSARNAGSKSHGQASSPYPDRRKEKDANAQQMTVYDPVLAGKQQAGQHDAGRKSQGARPPRYARGNVTVPNRYDEADSGMERAYRNPLGERLGERCLPDRDARHRLDRVYLSEMIESEGPPGPSLDFAEKDLTAKQPEKDTGSFCRERYTSVSPVKKPGG >cds.KYUSt_chr1.36992 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225751634:225752931:1 gene:KYUSg_chr1.36992 transcript:KYUSt_chr1.36992 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHSNSCTTEARRALSSTVLTVVIDRHILLQWPVVLFGFSDRSLALLCSALTCFLAMVVLAKLAALEQISLLRTPEPWETFSGVPAVDLSGPDAAGDVVRACEQFGFFSVVNHGVPAGVVDRLEAEAVRFFASSQAVKDASAPAGADPFGYGSKRIGRNGDMGWLEYLLLAIDREALSEKASRAATSTALRDAINEYVVAMRGLARTVLEMVADGLGVSPRGALADMVTGDASDQVFRLNHYPPCPLLQGLPPSCSVTGFGEHTDPQLVSILHSNGTAGLQVALHDGRWVSVPPNRDAFFVNVGDSLQVLTNGRLKSVRHRVVAGSGLKSRVSMIYFGGPPLAQRIAPLPQLLAGLPLYREFTWGEYKKAAYRSRLGDNRLAPFEEPPVAAGHHHWS >cds.KYUSt_chr4.8498 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51032229:51036606:1 gene:KYUSg_chr4.8498 transcript:KYUSt_chr4.8498 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRSLAVLLLPLLLLSSSALAQPQPQPLHPQDLAALHGLRASLGLRARDWPDRADPCAAWAGVACRAGRVAGIRLAGLRRTRAGALRAAFAVDALRGLTALQAFNASGFPLPGPIPAWFGRGLPPSLAVLDLRSAAVRGDLPPDLGSSGSLAALVLAGNSLSGPIPASLFASKALRVLDLSNNNLTGELPVVSASAGDGPGALFSVSGNSLYGAIGDDLLVSLKKRFRVVDVSNNYFAQVVGNGSDGTVHIDMNCLTGMPSQRSRGDCQDFYKRNGSPLSDPPPGKKGVKRKHVLAGVLGATAIVAVLFLAALVFCFLKRGRRRPRGRGLEQNDDGVRSARKSSSVNPMVQSPSWAANSPPKGVPAVVDGFTYEQLHHATGGFGDDNLVKHGRSGDIYRGALESGLNVVVKKIDLKSSRKNVVELGFLGKKSHARIVPLLGHLVKDGEGLLVYKYMAKGDLTTALHRKPLDPEEGLCSLDWITRLKIAIGVAEALCFLHDECSPPLVHRDIQASSVLLEDKFEVRLGSLSEICLQPSEGSRSFFSRVLRSSKSLDKNISGPPASCSYDVYCFGKVLLEIITGNFGVSGSSDTDSEDWLASTLGYIETHDKESISNIVDPLLIVDEDHLEEVWAVSIVAKACLNPKPSRRPLARYILKALENPLRVVREELFASSSSPRIRSTSSRSSWRSAFHGHSYRYSEVQVSGKALTRKHSAESHGSDKDENSFSFKKASREMFPGSLELEDSTVM >cds.KYUSt_chr5.1496 pep primary_assembly:MPB_Lper_Kyuss_1697:5:10169964:10170539:-1 gene:KYUSg_chr5.1496 transcript:KYUSt_chr5.1496 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALEVQVGLIQEAVRPLHEAVDSLHGWMLAIGGFLERAEAVLDRLSRSPADPLVLPVVGKVGATGASLHGCFSPRARASSVITAPVMKIMPEILELCGGVLTPPSVEEVRPDSHLSSDVASPTCLGFEKCDVVDDAVSLSLDSGRQMVPIDDGVPKSGLSAFVPGAIVAREVCDFLATLATAYPGSAVG >cds.KYUSt_chr7.40508 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251498159:251501457:1 gene:KYUSg_chr7.40508 transcript:KYUSt_chr7.40508 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPERSLEPGMVAGTKVNGVVQLSGAGLLVHDRLLTSYVLPFRCSLKLFSPLAATVPVEAVLFDIDGTLCDSDPLHHVAFQEMLLAIGYNNGVPIDEEFFIKNIAGRSDVEAAQNLFPDWPLEKGLKFLEDKETKYRSLAMERLEPVNGLGKVVQWVKDHGYKRAAVTNAPRINAELMLKLLGLSDFFQAVIVGGECEKPKPAPFPYLKALKELGVSAEHTFIFEDSASGTRAGVAAGMPVVAVLTRNPENSLQEAGAALIVKDYADPKLWSALEEIDAAEAKLKSG >cds.KYUSt_chr2.38387 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237963556:237964485:-1 gene:KYUSg_chr2.38387 transcript:KYUSt_chr2.38387 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGKRPVGNADRLSDLPDGLIHSIMAFLTAREAVQTCVLSRRWEDLWCSMPCLDIDERQFHVIGSRAYYEVWDDMSEDEDDIDSASLEEFVNNLLMFHSAPRLDRFRFHVASSHGNTKFVNSWIRRGVKRCPKVVEIHSTDGCKLPHLGGSSSSRLNRLHLTGITLDKTFTQQLRSTCPVLDDLELRRCRLDGHTEIVSFTLNKLTIVDCTTNNPSALTIKAPSLTYLQLVITALDRNWQAVVVNQMTRLNKATICLKDSTAMLPCKLLSSLMFAEDLQLTGLRTLVHMSLMLLTSFFYDPARISRP >cds.KYUSt_chr4.48102 pep primary_assembly:MPB_Lper_Kyuss_1697:4:297882388:297882777:-1 gene:KYUSg_chr4.48102 transcript:KYUSt_chr4.48102 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWRRYGLRGRTAPPRLRHAAPPRPLRHYAGSALAAHTASHRVAFVRRHCASMVAAPWSSCRASATTGHVLAARRSRAAKASMSAALVVDTPRAASVSSMAAAAMHTSIGRHMARSFIAQPPALLRL >cds.KYUSt_chr2.33103 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204354780:204359949:1 gene:KYUSg_chr2.33103 transcript:KYUSt_chr2.33103 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRGARPLRAACAYALQEGQSRSSHRLPCGLDLEVIAQQPPTPALGRGRSDRPPLVFLHGSFHAAWCWAEHWLPFFSRAGFPCFALSLRAQGESSIPSEAVAGTLEVTLSLAAKAYANSLPLCKETFFSSDMDDELVLRYQGLMKDSSKLPLFDLRKLNASLPVPSAANGTLEVLVMGASNDFIVDAEGLSETAKFYNVQPVCVEGVAHDMMLDCSWQKGAEIILSWAMLAVDQKDIDGYLLGTVKEPGDKTSAEGKKWKTVNSLLIGWLLNSVVPSIGRSVEGLSTAAEIWKTLSIQYSGKGNVMLIAQIDGKIRHLLQGI >cds.KYUSt_chr2.36733 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226877865:226885453:1 gene:KYUSg_chr2.36733 transcript:KYUSt_chr2.36733 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLSLTKEDVFVCAVELSKQEELAKRIGLHEAIQLSQQAAATPLAPLAPEQFEAIVVAAACKWIRTSIGSAVEAPRHFKLRPPWIHSALFTPFAVQPTVALKARMDRLNVKLYMQNCYILQENERLRKKAQLLNQENQTLLTELKQRLARTAAATKAAGNAAAAAGGRAPLPDLNAAPPAHAVHDKVAPKSHKAAAN >cds.KYUSt_chr7.3780 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22512793:22521801:-1 gene:KYUSg_chr7.3780 transcript:KYUSt_chr7.3780 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTPEQRGGAVLPVLLALLAAAALAPPRARALTQDADVSAINGLYVSLGSPKLPGWIPNGGDPCGELWQGITCTGTSITSIKMNVANLGGKLGSLGGFTAITTIDLSNNNIGGPIPEDLPLTLQSLFLSDNQLTGSIPISLSKLTSLSAMSLNANHLDGELPDAFDPLVGLVNLDISSNNFSGVLPPSVKNMSSLTTLRIQDNQLSGTLDYLQDLPLKDLNVENNLFSGPVPPKLLNIPTFKMDGNPFNTTIAPSASPPSAAAGPSPTPTPAGPKPAPTPTTTPTGLNPTRAPPSPPSKSPPPSNSSDGSTTRDSTSSSRKHSSSALKIAGFVLLGVVLFIAIVLLVIFCLSKYQERQSRYDDNRSQLGRMSHRVEPQIMPASVKPRDDIKKGEALDKRGRDMSMAAAALPKKPDENRKEHIINLDRTDSELFAAAPPPPPPPPPLPAAQKVIVNPIVPPEKRYSPPPRTSTPNSATPFSVASLQQYTNSFREQNVIRESRLGKVYLAELPEGKLMEVMKVDNTNGRVSVDDFLDLVARVSKIKHPNILELVGYCAEYGQRLLVYNHFSRKTLDDALHDREDIDNTLSWNARLQVALSSGKALQYLHESVQPPVVHQNFEPENVLLDNKVSVCVAECGLAELMPSNSVTQLSGRMRTLLNYEAPELQESRIITERGDVYSFGVVMLELLTGRKPYDSSRPRHEQHLVRWAGFQLHDIESLSKMVDPSIRGQCPEKALSRFADIISRCIQREQEFRPPMSEIVQDLASIVNASGPVEYELATIKQIMEMFAEASGLRVNYNKTTATMIRGTVDEMNRAKAIIGCRSQEFPIKYLGLQLALRPLTKSEWQPMLDAVVHTLPAWQRSLIARAGRLTLINSVVLASPIHHIIVDDPPKWFLEEVEKHLRGFFWVGKKRANGGQCLVAWDNISKPKKYGGLGVKDLRLQGLALRVRWEWLRRTDESRPWQGLCLNSDPKAREVFRCLTKLEVGDGARIFFWQDRWIRGRCVEDIAPLVLESVNTRRKNSRTVADALLSNSWLRDIGMEMPLEGWTQCIKLWEEIELVERDDSRSDRFTWIGSTSGVYSARDTYRLLCMGQELFSMHDPIWKSFAPPKCKIFAWLALRYRLWTSDRRFRHGLQDHSAACFWCLQEEDALDHVLMRCPYARQVWFGCITAAGLNIVEPNRDSSLESWWSSARELVRKKDRCSFDALVILIAWQIWKQRNARVFGNIQLQCSTAVLLTRIKEEFELWKRAKRGGELQPARE >cds.KYUSt_chr3.1309 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7315147:7316067:1 gene:KYUSg_chr3.1309 transcript:KYUSt_chr3.1309 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVGKKALECILMPAMLAAKNVRAQRDRLLQLRRRLQQQQRSPGVQYVAADLFKVYSMGLRAGCGYLSTCLDIAYENDADLSFTNPAFAFIPDVQLYDTLFAQRLRPRPTTQIDAFTRIEVAYYAADLAMGYHVPRCIEFLVGVRPPSVTTKTDDCMVGYADDTLAAATDHIFKTRLAGMVPDDSDDATERIPKTRPRRSNQVQDQEDMDEYPAAPTWEPPQVASSKDPDQALSYLHRACSLASLAVKHIDAAVAVISTFLDPKEVAETAEMADEDAYISEVRTVVYTMYVLVFACGYRICSSA >cds.KYUSt_chr2.42079 pep primary_assembly:MPB_Lper_Kyuss_1697:2:261989464:261990153:-1 gene:KYUSg_chr2.42079 transcript:KYUSt_chr2.42079 gene_biotype:protein_coding transcript_biotype:protein_coding MPHMAISLQGALLFALFQALVGVMGEANAEPTNSITVDVRVYMHCDACERLVRRTIKKMDGVETVEVDREENKITVTGDFKAHKLLKKIKKKTGKKAEILVPEPEVNEEEHQEEAEEVHAPYSDPVPDMDHVLNNEFLRPARWDHHYFDDENTEACRIM >cds.KYUSt_chr2.49362 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308867711:308870047:-1 gene:KYUSg_chr2.49362 transcript:KYUSt_chr2.49362 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSARWAAPSLCLVLVLLQASIPALAGAPKTYIVHMAASEMPSSFDYHHEWYASTVKSVSSAQLEPEEEEDDAYTKIVYNYETAFHGFAARLDDDEAERLAEAAGVLAVLPETVLQLHTTRSPDFLGIGPENSNSIWAAGLADHDVVVGVLDTGIWPESASFSDKGLGPVPAKWKGLCQTGRGFTTADCNRKIIGARIFYNGYEASAGPINETTELKSPRDQDGHGTHTAATAAGSPVPDAGLFGYARGVARGMAPRARVAAYKVCWAGGCFSSDILAAVDRAVADGVDVLSISLGGGASPYYRDSLAVASFGAMQMGVFIACSAGNSGPDPLSLTNLSPWMTTVGASTMDRDFPATATLGNGANLTGVSLYKGRQNLSPRQQYPVVYMGGNSSLPNPRSMCLEGTLDRSTVAGKIVICDRGISPRVQKGQVVKEAGGIGMILANTAANGEELVADSHLLPAVAVGETEGIAVKKYTKTATKPTATLSFAGTKLGIRPSPVVAAFSSRGPNYLTLEILKPDLIAPGVNILAAWSGDASPSSLASDRRRVGFNILSGTSMSCPHVAGVAALLKASHPDWSPAQIKSALMTTAYVHDNTYGLLKDAATGEASTPFQHGAGHIHPMRALSPGLVYDIGQNDYLEFLCTQNLTPTQLKSFTKNSNMTCKNTFSSPGDLNYPAISAVFTDQPSTPLTVHRTVTNVGPGSSTYQVKVTQFKGADVVVEPNTLRFSSANQKLAYKVTLKTKAAQKTPEFGALSWSDGVHIVRSPLVLTWLPPM >cds.KYUSt_chr4.47695 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295286939:295287751:1 gene:KYUSg_chr4.47695 transcript:KYUSt_chr4.47695 gene_biotype:protein_coding transcript_biotype:protein_coding MALNYMGAAAINALAALLSIPVIAAGIWLSTQADNACVQILQWPLIGLGVAVLAVGLAGFIGAFWRLPWLLLAYLVFMLLLIAALACLAVFVFVATTGSSGRPVPGRAFLEYDLDDYSGWLRRRVDAPGRWDEIKTCLATTAPVCSDLNQTYTAPQAFFAAWLSPMQSGCCKPPTRCGYTFITATNWISPIDGGADPDCAAWSNDQDRLCYSCDSCKAGLLQNLRREWRRADVVLIVATVALLVVYAMGCYAFRAARTDELFRRYRQGYT >cds.KYUSt_chr5.40152 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253617565:253618380:-1 gene:KYUSg_chr5.40152 transcript:KYUSt_chr5.40152 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGHSHGHTLDADTISGIVLGGVAVVGAICFGVWLCRRCLRLHRQRQAARLHIRHNGDLERGQSSAPPDDLQPVLHRDGESPDISKVEQEQRRGRMPPAALERGRDVVTSPAGLQLGVAPSAKASLQLAALALSAEAALQLAVVIPSERAALQRTHSWPMGVVGRSVEAPLPPPLHRVGLLPRRDTGVGALALSRDEQRSGLRWRRVRCSCLLSIGSMICSCWFPPFHVSQLLSSI >cds.KYUSt_chr1.32752 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198957100:198958215:1 gene:KYUSg_chr1.32752 transcript:KYUSt_chr1.32752 gene_biotype:protein_coding transcript_biotype:protein_coding MASRREEEARRDQVQEEEDYIDMDLSFPAAGREFEFHHMSAPLAGRAGEPQLPLASPADELFYKGKLLPLHLPPRAQMVEDLLLDRCAAAGVGRGRGRHLAVSTAPATPCERSRGASPANSCFVSGELNVEEFFRDYAAGLAYADDAAASAGEKQQRPWSRRLRFVTRQLNLGRQLKASRAYLKTVFAAPKPAANADDKPVLGSKDLPSHPHGHGGHLRAWRKNPFGQVRSNRCIAADQSSGTGAGHRRSFSSVIVRYSASNKTSPAPPAPSSCSSTSSSCKSSTSTSSSVRSSSGSDGAAAPALRRSSSASSEAENPIQGLIAYCKKSQQLASVRKSASDTGFRFLSSSAASKVAAESEGLDELIEICRG >cds.KYUSt_contig_1467.246 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1558287:1558884:1 gene:KYUSg_contig_1467.246 transcript:KYUSt_contig_1467.246 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLPALAVGLLGLGSCTCGFIAGATRLKRDDIILQGGECVYPTNPAFALGYVAVSLLLLTIVLITARCFCKCCSCGCNMLSVVGILSTVMAWYSALRAGLLFFLATEANRPGGRGNAPKCYDYVRVGVLFDHAAYRAFQVTFFGLLSGGALHKGAQVPAS >cds.KYUSt_chr1.9808 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59946391:59947808:-1 gene:KYUSg_chr1.9808 transcript:KYUSt_chr1.9808 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADAKLMPVVRLGQRLVRPAGPTPEGPLRLSWLDRYPTQMALIESLHVFKPDMAREGDRPARAVEQALATALVDYYPLAGRLAVSDAGEPQVDCSDGGVWFIEAAVRCRLEDVDYLEYPLAVNKDELLPHPRHKPSREEESKLILLVQVTTFDCGGFVVGFRFSHAVADGPGAAQFMGAVGELARGAEHISVAPAWGRDAIPDPAGALVGGLPDPAGAKRLEYLAIDISADYINHFKGQFAAATGGARCSAFEVLIAKAWQSRTRAAGFDEDSPVHLSFAMNARPLLHARLPAGFYGNCYYIMRVTSTAGKVAASTITDVVKIIKEGKKRMPSEFARWAAGEMAGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWVHKPGARLITQCVTSDRVAAFHDAMVDTS >cds.KYUSt_chr2.38131 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236066389:236068455:-1 gene:KYUSg_chr2.38131 transcript:KYUSt_chr2.38131 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHHHHHHHHFITHLRASAPLADLLRAAPTLRAARAAHARALKSPFADETFLLNTLVTSYARLGRLGDARRVFDGIPRPNTFSHNALLSAHARLGRPADARALFAAIPDPDQCSYNAAIAALAQHGRGADALRFAAAMHADDFVLNAYSFASALSACAVEKDPIAGAQVHALVSKSPAHGSDVYIGSALLDMYAKCECPEAARKVFDAMPERNIVSWNSLITCYEQNGPVGEALVLFVRMMDAGFVPDEVTLASVMSACAGLAADREGRQVHARVLKSDRLREDMVLSNALVDMYAKCGRTWEARCVFDRMPSRSVVSETSLITGYARSANVEDAQAVFSQMVEKNVIAWNVLIAAYAQNGEEEEALRLFVRLKRESIWPTHYTYGNVLNACGNVADLQLGQQAHVHVLKEGFRFDFGPESDVFVGNSLVDMYLKTGSIDDGVKVFERMAARDNVSWNAMIVGHAQNGRAKDALHLFDRMLCSKESPDSVTMIGVLSACGHSGLVEEGRRYFRSMTEDHGITPSQDHYTCMIDLLGRAGHLKEVEELIKEMPMEPDGVLWASLLGSCRLHKNIEMGEWAAGKLFELDPENSGPYVLLSNMYAELGKWADVFRVRRSMKSRGVSKQPGCSWIEIGRQVSVFLARDNGHPCRNEIHDTLRIIQMQMSRVSVDAEYADCMVNYSSEACG >cds.KYUSt_chr4.43793 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271513222:271513955:-1 gene:KYUSg_chr4.43793 transcript:KYUSt_chr4.43793 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDDGITHRDVEVNGVRLHVAEAGPPNAPVALLLHGFPELWYTWRHQMPALAAAGYRAVAPDLRGYGGSDAPAAAGPQQYTALHVVGDLVALVDSLGHDRVFVRDPVQTPVGALRSLYGDEYYVCRFQEPGAMEAEFARLGTELVLRKFLAMRTADPLFIPYSGWGSPDDEVPLPSWISDEDIKYYASEFNRTGFTGGLNYYRSWDK >cds.KYUSt_chr1.37232 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227561065:227561406:1 gene:KYUSg_chr1.37232 transcript:KYUSt_chr1.37232 gene_biotype:protein_coding transcript_biotype:protein_coding MATFNASKAITAATARPLPRAQLRAARAGTFRCAAYSKDGVKAWSAMNLSLAVLKRMEAMELRSLGPSCSTGLVEERVDTEDTRVRRLSRALNPESHWVVACVVKYIFFNCRR >cds.KYUSt_chr4.5148 pep primary_assembly:MPB_Lper_Kyuss_1697:4:29577483:29579606:-1 gene:KYUSg_chr4.5148 transcript:KYUSt_chr4.5148 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPVQPSEFVDSLGQVVASGQVSKSLDSRNTSCASESVGSDPGAAPFDICRGVSKCSVEVKPSLLDINREKRRAKELAKSPNALQHLRPGMVLLKNFLKPDDQVKIIKQCRELGVGTGGFYQPCYKDGAKLSLRMMCLGKNWDPDSSSYVDRRPLDGAQPPKMPEELTKFVKDAIDAAHAFLKQSGGQGTSNPLKVIPPISPDICIVNFYTTAGKLGLHQDKDETKNSLAKNLPVVSFSLGDTAEFLYGDARDEAKASKIKLESALGNGQIVPKPDAGHLGHGAACGAQNCVSTTSAAVPCAMLTLVNRDAISIVVETKPKERYKLPCVDYLRKMPHLRDHAYGFG >cds.KYUSt_chr4.54896 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339037889:339044436:1 gene:KYUSg_chr4.54896 transcript:KYUSt_chr4.54896 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQPDAEHHSRVSAILVRTTPASGAKCGLFCLVLTSKTMIRRLSCNDEPIYVLTDASFGGPGFDLLVVAAVCLSLGYKISLESGGDPSLNYGVDIHLITCRAGLLGMHRIRTNTQKLKVVLPGWFQMTQASQCLGDCPCDDPKDWRSQSISLTLLEEVEIINLRGGDHEIDFVTSILGWAPMLTTMIIKLVLALHFDPFGTTRIDLAKMAPLAPALPWPIRRRLPAPRRRTTTLPLPRTRAIAFGALVLLVLLALLVVFTLGSKAPRYSAAIDSVTGLDLDDLDDAPLNPLFNLTLRATSRGFMGGGCVEAGSAVEVSYAGVTLATGPVLQRFCSPSRGHKAEQAVVAWGTGVQVPGFALDGLADDAWRGTPMFDVAVRMPSNHANNHEGKLVSCWGLRVGDAATLGAPCAVADVDTVPSSPNDRHGRAT >cds.KYUSt_chr4.54834 pep primary_assembly:MPB_Lper_Kyuss_1697:4:338668035:338669828:-1 gene:KYUSg_chr4.54834 transcript:KYUSt_chr4.54834 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLTAELSPSLAGWKRVCEDQLARTLPMQIFVRTLYCKTITLDVQSSDTIDNVKVKIHEKEGIALDKQRLMFDGTHLEDGRTLADYDVQKESTLHLAPHLDGGIEISVETLNGNMINLKVDSYDTIHDVKAKIQDQHRLLFEGKQLEDDCTLGECGIQYGSTLNLDRCYPERMEISIKTLQRPFYVKSTDTINSLKSTIKDEYSIHPAQQSLFFNSWKELEGGRTLAYYDIRNGSNLDLVLCLRPGLMQIFIQQLTGKTFVLKVESSDTIYDVKEKIHQVEGIPVRLQRLLFTARDKQDRPTTHQKDMQDRLTLADCKIKDNSTLKLVLRLLSCVQCPGHHH >cds.KYUSt_chr5.15646 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101040730:101044249:-1 gene:KYUSg_chr5.15646 transcript:KYUSt_chr5.15646 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILSSSPWLVLLPPVFLSVLLFSYLYTALWLRPERLRQKLRSQGVRGPKPSFLFGNIPEMRRIQKLPTSDREQELGRCTDRFSSNFVATLFPYLLHWSRVYGSIYFYATGSIQVLNVTDSDMVKALANCKALDLGKPSFLQKERGALLGMGILTANGKLWVHQRKVIAPEFFMDKVKGMVDLMMDAAVSMLNSWEDKIVSRGGRAEIVVDDFLRNFSADIISRTSFGSSFTEGKEIFYNIRKLQKAMAKQTMLIGVPGNRSANPVMLLQLTMVIQETLRLYPPASFVTREALSDINLGGIDIPKGTNIRVPIALAHRDPSAWGTDCDRFNPGRFAGGIARACKPHHMYMPFGVGPRTCAGQNLAMVELKVVLSLVLSRFEFELSPNYVHCPAFRLTVEPGDGVPLIFRKL >cds.KYUSt_chr3.37277 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234419286:234421762:-1 gene:KYUSg_chr3.37277 transcript:KYUSt_chr3.37277 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLMIAVFLPAAILFSCILNAESADLNSDKQALLAFAASLPHGRKLNWSSTTPVCTSWVGVTCTQDSSRVHTLRLPAVGLFGPIPSDTLGKLDALEVLSLRSNRLTIDLPPDVGSIPSLHSLYLQHNNLSGIIPTSLSSSLTFLDLSYNTFDGEIPLRVQNLTGLTAILLQNNSLSGPIPDLQLPKLRHFNVSNNNLSGPIPPSLQKFPASSFLGNSFLCGFPLESCPGTAPSPSPTPSPSMPSKTKKSLWKRIRTGVLIAIAAAGGVLLLILILVLLICICKRKKDKEPAAASSSKGKAIAGGRLENPKEDYSSSVQEAERNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEMVVGKKEFEQQMEIVGRIGQHQNVVPLRAYYYSKDEKLLVYDYVPSGSLAAVLHGNKATGRAALDWDTRVKISLGVARGLAHLHAEGGGKFIHGNLKSSNILLSQNLDGCVSEFGLAQLMTTLPSPARLIGYRAPEVLETKKPTQKSDVYSFGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNIEDEMVQLLQVAMACVAIPPEQRPKMEEVIRRIAEIRNSSSGAITPPEETGQAS >cds.KYUSt_chr3.28904 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180440276:180444479:1 gene:KYUSg_chr3.28904 transcript:KYUSt_chr3.28904 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRSRRRLLPYFHRLLHSAASPSPNRFLRHASPVPRAADHSPFFRLPAARVSTLPTGLRVVTQAYPAATRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTQRRPTAHALEVEIEDMGARLNAYTSREQTTFFADVQGRDVPAALDVLSDILQHPRFPQQAIQRERGVILREMEEVQGMMEEVIFDHLHTAAFRDHPLGDTILGPKENIQSISKNDLQQYISTHYTCPRTVVSAAGDVDHDEVVDKVKELFTGFSTDPTTADQLVEANPAVFTGSEVRIEDAEMPLAHLAIAFKGSSWTDPRSIPLMVTQSILGSWNRSIGVGNCSGSSLARGISNGELAESLMAFNTNYRDTGLFGIYTTAPPDALHDLSRLIMEEFRRLAFRVSETEVVRARNQLKSSLLLHIDGSTAVSENNGRQMLTYGRVMPFLELFARIDAVDCAAIMETANDFIVDKDVALAAVGPVSNLPELSWFRSQTSSDEKFTAKLFSLGA >cds.KYUSt_chr2.26609 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162952892:162964610:1 gene:KYUSg_chr2.26609 transcript:KYUSt_chr2.26609 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAANPASTSLEAVATAFRSRVNELQDLALARNMYPATAVTDLAAVDASVTAMEAQVQAIRRRLQEELDAIPKAKKLVEKSLKQQQKLQHMLANMPSGMREDVVATPLEQSSARMLPECFSFNTPAEFLDSDFKIKDEPVAAPKKGKGAAPRWYISTGELDSLSSYMRGRLTLEKVNIAINEMATYADANAHLVACPKKKLSEDTWERALELRDIAATEAAKGKHFFLEADIKGPGLKLDHTGKSILTAPPNRKHPPTTRVVGTTITVYKEGADRPGLYNRGEGVGEVDTGTLAKATNHPPRLVTVESTVRMELVLEDPLPGDDVGVRGSGNKRPCLVPLQGVELVLHGRKPLAGRGRAARAEAGRGDGVDGEGAEVDAVQMYSSEGYRVLGTRIPAWASVRGPVAGGGGGGGGAGGEASLGEDDAAPEPGLEGDTSSVKADEPGEGASPGEDGAAPELRLEGDAGGIEAGVVIMVDPSPSQISASHSSSRAISSRISLASRDPSPSNSKQARYVEVDDSNGVRLFYYFIRSERNPAEDPVMLWLTGGPGCSAFSGLVYEIGTRVFTQGMRVGWGGRRDLNEASKEGIGARGVRDVVATAGQKFPPVPTNPTTNHPATIA >cds.KYUSt_chr1.36347 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221704533:221710201:-1 gene:KYUSg_chr1.36347 transcript:KYUSt_chr1.36347 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRRGRCLLRESARNRLPLLELDSSQDEEEDDAAREARHLTMARRNSLTTVITDMWEMEKRARDTNVEEAHDIGRAKRASIHSEMACRGFINIDCGLSGSTGFVDDNTKFSFVPDAGFIDTGTNHNISTEYVTATMGKTWYNLRSFAGAGARNCYTLPSLVSGLKYIVRAKFMHGNYDRLNLRPVFDLYIGVNYWHTVNISSPDVAMFLEATVVVPETDDFLQVCLVNTDAGTPFISSLELRPLKPTLYPQATASQGLNLINRVNFGPTNASFTVRYPSDPHDRIWFPLIDTATWASMSTQQEVNIIDADTFEAPSLVLQTAIMARNVSRNIELTWSSVPTRMNPSPGYIVILHFAELQPPQALPVNAVREMRVILNDKPWFTTQDFTFKPAYLFDFSFDRTQPFQYSSYNLSIQATSNATLPPIINAAEIFTVFPTTNLGTDSQDVSAITAIKAKYQVKKNWMGDPCAPKTMAWDRLTCSYGATGSHPRITNVSLSSSGLNGDISSSFTNLTAVQYLDLSNNNLTGSIPDALSQLPLLTVLDLSGNQLNGSIPSGLLKRIQDGSLDLRYDNNPNLCTDGNSCQLHAKKNSKLALYIAVPAVLLVVIVSVTVLLICFRKPKKQGANEGSMPVTPPNETSHLDSDSSLQRLESRHFTYKELEKITDNFQRVLGRGGFGYVYDGSLEDGTQVAVKLRSHTSNQGVKEFLAEAQILTRIHHTNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIDGSNRSGECLPWTQRLRIALESAQGLEYLHKGCNPPLIHRDVKATNILLNGKLGARIADFGLSKAFNSNDTHVFTNTVVGTPGYVDPEYQTTMQLTTKSDVYSFGVVLLELVTGKPAILRDPVPINIIQWVRQRLAQGNIEAVVDARMRGHYDVNTVWKVADIALKCTAQSSAQRPSMTDVVTQLRECIDLENEHSRDESNNGFYTSRSGNDRNMSYDSYPTDGSANVSQNSTSSEMEQSLMRAPTMPTGPAAR >cds.KYUSt_chr3.9124 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53172602:53176472:-1 gene:KYUSg_chr3.9124 transcript:KYUSt_chr3.9124 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRVAPAPAAAAAALAEQKLKLIEQKTESLPPAAAAAAKAPASACKWAMKKKLVGGDAGYVLEDVPHLTDYLPELPTYPNPLQDNPAYSVVKQYFVNTDDTVTQKIVVHRTSARGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVIRELVCGLYDMYGITSVVGIEGGYKGFYSRNTVTLTPKSVNDIHKRGGTILGTSRGGHDTAKIVDSLQDRGINQVYIIGGDGTQQGASVIYEEVRRRGLKCAVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIQKRLKDSGHMVIVVAEGAGQDLIAQSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKTNFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYTGYTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVENMKHDDDERHHLHNTQLLDGSPAKCSPDCNGYKDALESGSISDSKSQKDLRISTSELEFEEAKKAIGGESKGRGEMSPIISTST >cds.KYUSt_chr1.34235 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208314447:208318487:1 gene:KYUSg_chr1.34235 transcript:KYUSt_chr1.34235 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLQVSQPLRLVFVLFFFSALASRSGDAAPTLVDSLPGFDGKLPFRLETGYVTVDEENGAELFYYFIESEGDPRRDPLLLFIPGGDRCTVLQALLLEIGPLKFIIEPYDGTIIPRLQYHPYSWTKAASVLFLDSPVGAGFSFSRNPKGYDVGDVSSTLQVKTFLTKGYAKYLSYYWANLNITRESLGIKKGSKDEWVMCDQNSLPYSPDIMSSIKYHRNVTSKGYRVLVYSGDHDALVPFLGTQSWVRSLNFPVLDEWRAWHLDGQSAGFSITYKNNLTFATIKSRFPKV >cds.KYUSt_chr6.6129 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36811240:36812901:1 gene:KYUSg_chr6.6129 transcript:KYUSt_chr6.6129 gene_biotype:protein_coding transcript_biotype:protein_coding MISPHLPLRLRHLRRLLAAAPLSSLSSAYYSHPCSPPLNRTRTLPPRPHLPDIPARRFSSPGHVLLPTNLQEQHVASLSDRIYDAVTETEEGSNEGTEAALDALGAELTTPLVADVMHRLRYEEKLAFRFFAWASQQANYEHEQRTYNDMIDILSGTRYKSRQFGVLCDVLDHMKRHGTRSVPVEDLLAILRAYTEKHLANLRKLAKKRRARMRTPPETDALNILLDAFCKCGMVREAETVFGRVKRKLQGNAETYSILFFGWCRARDPKKAMKVLEEMIQMQHTPENFTYIAAIDSFCSAGLVSEARELFEFMRTEGSKISSPTAKAYSIMIVALAKADQMDECFELISDMTKRGCMPDVSTFKDLIEGMCLVGKVDAAYCILEEMGKAGFPPDIVTYNCFLEVLCSLQKADDALKLCERMIEARCEPSVHTYNMLMMMFFAMREPHRALDIWIEMDKRGCRRAVDTYEIMIDGLFDCGRAEDATALLDEVINHDMKLSYKKFDAIMLQLSAVGNLGAIHRLSEHMRKFYNVAMSRRFSITQKKKSIGIRRR >cds.KYUSt_chr2.34696 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214219092:214219424:-1 gene:KYUSg_chr2.34696 transcript:KYUSt_chr2.34696 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDMILSQTGPQILRFRASFCTDDVIIFLRPHWQDLRVTVAILDLLGAATGLVTNMAKRDNIFPIACQDVDLTLAATVSDCAAADARAIQQMMVEKGAKKDAGLSFIE >cds.KYUSt_chr2.39005 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241845310:241846831:1 gene:KYUSg_chr2.39005 transcript:KYUSt_chr2.39005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAPQPPSPPMEAETVTTSVPLLRRRGSYQRSMSHARDELSSFRTCLRWMCVDHSDGGSAAASWLVFALLAVAVPVASRVSLPRRAYDTQVQASLTLSAALAYLTLTSLIRRRGLRRLLYLDRLRHDSQDVRAGYIVQLAGSFRVLACFVLPCFLADAAYKVFWYCANRPFPSSAPWWWLASACALEVASWMYRTAMFFMVCVLFRIICYLQILRMTGFARDFGQCADVAEVLRQHRRIRDQLRRISHRYRRFILYCLLLVTASQFAALLGATRPHAQVNIATAGELALCSLSLVAGLLICLHSAAKITHKTQAITSIAAAWHADATINTQDCDQENPRPPGKAYLQQQQAPLCTSSGDESDDDETSPSEDSLDTSSKFTSFQATHISYQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS >cds.KYUSt_chr3.8356 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48256264:48262666:-1 gene:KYUSg_chr3.8356 transcript:KYUSt_chr3.8356 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSPQELVVNANLEGRYTSEHFQEIKANLPCFAHATSIEMNLQNVCFKSLKPGEFSRMERLSLPRLCSTVDIGTFVTRCPRLRVLKVTLSTCKMTVHSTSLQILDVNWNSNTECHGIDIVTPVLKQLHVKVADLLSGVDVLWSFDADPSSTSSSLLCGGAVGVFPVLSSEGGGVCRQDRIIKLGNKGPCSSGNSSEGDEISMLFLCRPYRHDGAPRDLDFIASALPFLRHKGFGPRWLARVALMLSTSSTTVLVTVVFKEVASLPHLRAMESVIAYLFADDVVLMIDQANSSGGSNHCCGAVGDFWQCFRSALQHGKEFCIIDKMPRN >cds.KYUSt_chr2.38317 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237393994:237394905:-1 gene:KYUSg_chr2.38317 transcript:KYUSt_chr2.38317 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLRHKLALPCDHFTRHRTNLHGKGHFARQRLDGNVCHGKGSLPCVLASMHGKESCRAGVLRRAPVANAVRHFVAVRRTIFAELPRRGRTAKRFSKIKKKMTPRCQACSPTPGRRCRAPTHARWPPLEDGRDREEAATLEDGSHVAPAPPYLAAAPSQGRAPPREPGTDAAAGTEDGRRRRPGTVTDGRPGTGAAAGTGDGRDVGRGRAEADAPISSPGDVLDEILTRLGILDAARTSALSRAWRRRWEALPSLDFSFPRLEDDKGAPKGLGAVDSILLHCPGRVRPPVLCGHGARPPAML >cds.KYUSt_chr3.37980 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239002657:239005610:-1 gene:KYUSg_chr3.37980 transcript:KYUSt_chr3.37980 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPASVPHYGYLTDTALGTLLPGLHTPPSPARSLSRRRLLRSSIGSYLDVVRAARSMLFYRSRSSSDLVAVPNPVDLSFALHCDGLKAKMREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEDDLQAEDDM >cds.KYUSt_chr4.8472 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50726266:50728489:-1 gene:KYUSg_chr4.8472 transcript:KYUSt_chr4.8472 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQTSGDKTIGGGDDAFNTFFSETGAGKYVPRAVFVDLEPTVIDEVRTSAYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTTSLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVSEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEEGEDEDDY >cds.KYUSt_chr6.1731 pep primary_assembly:MPB_Lper_Kyuss_1697:6:10457154:10462549:1 gene:KYUSg_chr6.1731 transcript:KYUSt_chr6.1731 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPHPKCYSKLGCNHDEPFLAFVLLCTFARAALRTRRKQRQRPPSVPWPQPAAARIFQSALEVHAAGAECVHSSGASSVAPAPSSGFGSGSCRGRFVQPGAKIFWRVCSSSASSTAPAPSSGSDRATGAVPCPALGAATPIKIFSRVCSSGASFTAPAPSATSCRDPSTASCRGPSSGPCHAPSTASCHGPSSGPCRAPGAGPCRGPSSGPWRAPSAGPCRAPRAASCRCTPGTASCRAPNAPSHSTRVQKMPTYSSSRNAMSQVAVLPVSRNRPH >cds.KYUSt_chr1.11107 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68008586:68010085:1 gene:KYUSg_chr1.11107 transcript:KYUSt_chr1.11107 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDHGLPRRARGHPGLLRHATGIPKCGTSFQFVLVVSDFAREIIYCLLLKDWWASIKYVNTGGLSMEAYEDQLDESLARNPRVDMEELAVYTMDDGHLEQGAVVARLITDANDGYRKLALSRKILLDMYIKGKYGTLEAQFWRKSVQSQKNDLEYIIDSLEKSKKNFRPMPTVFLTMPELLPKEKKH >cds.KYUSt_chr6.19091 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120159330:120160472:-1 gene:KYUSg_chr6.19091 transcript:KYUSt_chr6.19091 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAMDPWAFARPPPPGFGFSCARQPVADLSARLRPPPPGFVFSCVRQPVADSLPARLRTPPPSIRFPCAQLAVDDVPARVRPPPPGFSRLCNKVLPPSPREIPVPPKFSKRAAPPVSTTVSDKPSAKRQRLCSDYEDDIEANLRRTERSPGERPRPDYMKTVQQGRVSPSDRARLVGWMDAFVRHHDLVDGTLHHAVAYVDRVLSVRAMNTHTDYELRLLGAAAVFVAAKYEDGWRTMPKLDPDKIVSYGRFASRKEVLDMERHMVAALGYQLGGPTAHTFVSRFTKHAQEGEEDLKKIQRMAHHLADESLRNYACLGYLPSVVASSAILLARFALNPPDVAAWSTEMQELTGYNVMNLAGCLHAMHSFSQSLLCDPHC >cds.KYUSt_chr1.7041 pep primary_assembly:MPB_Lper_Kyuss_1697:1:43294241:43294510:-1 gene:KYUSg_chr1.7041 transcript:KYUSt_chr1.7041 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLEEEEKNNAKHNQEEFSLKQVILEDKEMQVSLESLNWRIKRIEAYTPGSPRHLNLMRHCLNLMNDKNMKGLEANLEVSLRPIDTQE >cds.KYUSt_chr3.19611 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120683486:120689445:1 gene:KYUSg_chr3.19611 transcript:KYUSt_chr3.19611 gene_biotype:protein_coding transcript_biotype:protein_coding MAATARSSWLRELVPGPEKLRALLTHDWVGGCAFTSSWSSQQGRKPGGGGEVGYRPTGREPIGLGSRRVPQSARMPAAVAASGLQLIRLRKPTCWATTARKDTPAVRTTARRSVVRRDTRFHFLSLILVCYELLNTLDITSPQVAVLSGSYIEHWEYSAMAEASPRTETSTDDTDENLMLEPGQDALAVVSDSSDRSREKNGDQKTMRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSADQSHSKSGNGALAFDMEYARWLEEHNRQVNELRAAVNAHAGDNDLRSVVEKIMSHYDEIFKQKGNAAKTDVFHVLSGMWKTPAERCFLWLGGFRPSELLKLLSTQLEPLTEQQLSGICNLQQSSQQAEDALSQGMEALQQSLAETLAGSIGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQFGS >cds.KYUSt_chr4.22172 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139394063:139397612:1 gene:KYUSg_chr4.22172 transcript:KYUSt_chr4.22172 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKTQTKAEKKQAYDRKLCKFLDEYSRVLIAEVDNVGSTQLAAVRRGIRGDSEMLMGKNTLIRRCIKVHAEATGNDKIKAIIPLLQGNVGLIFTKADLKEVREEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIIAPVDLIRKGDKVGSSEAALLAKLGIRPFSYGLVITNVYDDGSVFSPEVLDLTDEDLIEKFASGVSMVASLSLAVSYPTMAAAPHMFLNGYKNVLAVAVETDYSFPHADQIKEYLKDPSKFAVAAPAAAALSGGAAAAPEEEKEESDGESDGEGMGFSLFDD >cds.KYUSt_chr6.33345 pep primary_assembly:MPB_Lper_Kyuss_1697:6:209493479:209498341:-1 gene:KYUSg_chr6.33345 transcript:KYUSt_chr6.33345 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSQPCGVSEYCGFVTTTTWRCGGGAARLHGWVLNGSNNGEILSGKYKIRMRGIDAPELKMEYGMEARNELVNLIEGKCVMIYVYEKDQYERYIGDIYCGGVFIQEEMLKRGFAWFCKIYDKRCEFEQWEREARDARRGLWLSDNPKKPWEWKRDHPRNAKKQDCIQMDNGSYEALSGMIKEKKNYEEKAMEWKKKWESVVAENQMLNDQLRDSKEALSIMIKEKKNSEEKSTEWKKKWGSAVAENQMLFRELKEVLQNEEKACRQHVKQRVIWVGTSILAVGIAVLCIKWKTPPKKNGATLLYHYARTRIW >cds.KYUSt_chr2.41045 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255095259:255101341:1 gene:KYUSg_chr2.41045 transcript:KYUSt_chr2.41045 gene_biotype:protein_coding transcript_biotype:protein_coding ETFKNNAVKTFWQKFHPYNNSSAVERIKFCVQESWPEDVLSTALEDICLEKTYQEKCVLVLVHALQSYEEKARNRKLQAAECSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELNTLMDGSGDNDQLASHEFSGGSNVSAWDSKMDIDSQETVISESGNLVKSIGKVVRDLRCLGFTSMTEDSYSSAIIWLLKSKVHELAGDDYRIPVLGCVKKWIQAVPLQFLHALLTYLGDSVDYESESSGLKSPLASRPSSFPGIGVPSEALLRWNMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRSIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNTSGAGNAGDNLLEELNRDAENQENADYDDHTNMDEKQAWLNSESWEPDPVEADPLKGSRNRRKIDILGLMVSIIGSKDQLVNEYRVMLAEKLLSKSDFDIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLLRTIETVAGQEEAEMSHDVLDATIISSNFWPPIQTEDLMVPASVDQMLSDFAKRFHQIKTPRKLLWKKNLGTVKLELQFEDRSMQFTVVPVHAAIIMRFQENPSWTSKTLATEIGIPVDSLNRRIGFWTSKGVLTESVGADVDDHIFTVVDSMSDVNKNSIVNESSEAFQMNEDEDESSIASVEEQLKKEMTVYEKFIIGMLTNFGNMTLDKIHNTLKMFCAEPSYDKSLQQLQGFLSGLVSDEKLEMRDGLYLLKK >cds.KYUSt_chr3.25699 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159570337:159575612:-1 gene:KYUSg_chr3.25699 transcript:KYUSt_chr3.25699 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKPVTWDCGSPLYDSFELVSMYHVLDRHLMILPFPSGALPRREHHHGIASLLDADHGAAMLVTKKARGMMWKGMKAVAAIYESATCCRKFLHCHLPKTNQGPRAQIQYLPKGPAHHPPPETMATGSEADARDAELAALSGDKLVDLLRTTHRRTDFDAAARVLKARDRRFADLKAALSDIDALRKKHGSILGGARRRPRDEAEAEEITPLPGIASRDPVHRDEPRVEDSDDVPLVQRLKRPRLCETGDLESGKRGGQGRSDSAGTLGNYGQKSSPAKSVHHSFGPKERIGKLVASCRPKDSKQRISNLKRKDPKQGRRAVEPAKWGGGMARTAPLPSPGRSLLPKGSSKSDHGRARTDKKQGSSDGILGPPPKWNGKIISTSMVESSSSSANKDTRTAMSLYRQSEDAKGGNPVQQTRMVASPSPTLRIGTISASELRAYCLKQQQTPLASCMGIKTQNFRDSAKGGNGLSREPMDVSSKKKLVGGD >cds.KYUSt_chr5.4898 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31012399:31013963:1 gene:KYUSg_chr5.4898 transcript:KYUSt_chr5.4898 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAASADEEACMFALQLASSSILPMTVKNAIELGLLEILVAAGGKSLTPTEVAAKLPSAANPEAPDMVDRMLRLLASYNVVTCLVEEGKDGRLSRSYGAAPVCKFLTPNEDGGSMAALALINQDKVLMDSWYYIKDAVLDGGIPFNKVYGMSAFEYNGTDPRFNRVCNEGMKNHSIIITKKLLELYHGFQGLGTLVDVGGGVGATVAAITAHYPAIKGVNFDLPHVISEAPPFPGVTHVDGDMFKEVPSGDAILMKWILHDWSDQHCATLLKNCYDALPAHGKVVLVECILPVNPEAKPSSQEVVQLDMIMLAISPGGRERYEREFEALARGAGFAGVKSTYIYANAWAIEFTK >cds.KYUSt_chr4.22600 pep primary_assembly:MPB_Lper_Kyuss_1697:4:142075143:142076921:1 gene:KYUSg_chr4.22600 transcript:KYUSt_chr4.22600 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPKQSWLNRTRSTISADAHPNPRRLRRTQAAPPPPSTSTAAATMAPPRTLAYDLIGEILLRIPPEDSACLARASLVCKTWRRILSGPAFRSRYRELHGPPPLLGYIHVLKGDEPYFSRCVSTSALRPAGRDFPGWLVLDCRHGRALFATSSPDAEGAMDLVVWNPITNEERRLPKPPRTLLPAGARHDCNAAVLCAAEGCDHIDCHGGPFRVVFVATSTSYMETVTSARLYLSESGAWSETTSVHHHLELEERYICVDMMPSVLVGDALYFSCGRKHILEYQLSAQQLSVIATPARFNGACIVIMKAEDALLKLVDIDRSSIYLWSREVDAHGIPRWVQSRTIELETLLPDDVLPVKSRWIYVSGFVEGTDVIFVHSSGYVYMVQLKSRQVTKMAIKWGRLYPFTGFGIPGIKAASICEGPRDSASSA >cds.KYUSt_chr1.30727 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186005000:186006097:1 gene:KYUSg_chr1.30727 transcript:KYUSt_chr1.30727 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDEALRSVKLAEAALASGDRQRAEKFIRIAQRLDPSLPIVDLLTTTKKFDPLASHDKTRRGGVCENQKTPKECAGPSSAEKGYSDENVRVVRDIRKKKDYYAILGVERSCSLEEIRKAYRRLSLKIHPDKNKAPGAEDAFKMVSKAFKCLGNDQSRKTYDQTGALEGHEFNDQYSNVTRQRTARRRRQPRNGFYNYEEDPDPDEIFRSFFYGTQHHDNSFRAHNANRARGAARQEQQRREHPVQGGSVMNLTILVHVGVLLLFVLLAFIPVWQPDYALQKTYNYPISTVTEKHGVEYFVSKQDFDLRFPQGSLSRDNLEEQVFRDYRSMLGRNCRVELHRRKWAKNYPTPHCDKIQSLSVQ >cds.KYUSt_chr3.18699 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115037194:115038964:1 gene:KYUSg_chr3.18699 transcript:KYUSt_chr3.18699 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQSVLGGGAGWWWRGGAAVAWWGAVVLAHLVTPGRAGLLETNPGLAYNFYQTSCPSAEATVKSITSQMVAANPALAGRLLRLHFHDCFVQGCDASILLDTPASQNEKSAPPNGSVGGYEVIDAIKTKLEATCPGVVSCADIVALAARDAVSYQFQASLWQVETGRRDGSSSLASNAGALPSFSAGFAGLLASFAAQGLNVTDLVALSGAHTIGKASCSSVTPRLYAGNATSVDPLLDSTLAAVLINKCPNNASSSATTVDLDGNTPLKFDGVYYTNLINKRGLLASDAALMQNAAAAAIVNDLTNPIKFYAAFAMSMKKMGRVNVLTAKNGKGKIRAQCRAP >cds.KYUSt_scaffold_869.472 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:3062045:3066100:-1 gene:KYUSg_scaffold_869.472 transcript:KYUSt_scaffold_869.472 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSWVARAHWGDATVWGFDELQGGDLEKMNPLRVKVYRLRDGGKWDDQGTGHVAIDYIEGSKDLGLTVLDEDDNETLLVHNITSDDIYRKQEETIISWRDPEAATELALSFQEAAGCSYIWDNICEIQRNLQFSNLG >cds.KYUSt_chr5.7779 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49001035:49002602:-1 gene:KYUSg_chr5.7779 transcript:KYUSt_chr5.7779 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTQPSSGGSNTMTMPLDDNPQRQEEEAETELRRGPWTVDEDLTLVNYIADHGEGRWNSLARGAGLKRTGKSCRLRWLNYLRPDVKRGNFTADEQLLILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDANSNKFKDAMKYLWMPRLADHRLHRPSTASDHVVPRPVMGYMESSGVVTSSSDSLVSESYDYANAGIRDMVNSGDWTQQEQNQGFWPELNQTCQMQDSELSGWVQGFSEGLAENFWSLEDIWKMQ >cds.KYUSt_chr4.22839 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143647260:143647841:-1 gene:KYUSg_chr4.22839 transcript:KYUSt_chr4.22839 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHYAALLRRAASLPSLPLVASLHAAALRRGAVLVPSLIHAYSACGDPTSARSVFDGLPAQEQTLSARTALASAMSAHGRCQDVLVLFQGLEGEMDDKAVTVVLAACARAGMVSQGREVFARVRRPALQHYTCMVEMLGRAGEVEEAEGLVARMEARPDRVIFAVLLAACRVHGRVDVAERVARLMHGHGIA >cds.KYUSt_chr5.25798 pep primary_assembly:MPB_Lper_Kyuss_1697:5:163962924:163966898:1 gene:KYUSg_chr5.25798 transcript:KYUSt_chr5.25798 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASASSLPISAGAGENLVLILDFGSQYTHLITRRVRQLGVLSLCVSGTTPLSSLAGLRPRAVILSGGPHSVHAPGAPSFPEGFLDFTADAGAHVLGVCYGMQLLVQSLGGAVEAGERQEYGDMEVEVTTRSSALYGEGEAGKRQSVWMSHGDEVVKLPEGFKVVSRSVQGAIAAIEHQEKRYYGLQYHPEVTHSPQGIETLRRFLFDVCGIKADWKMQDVLDEEIKTIQSMVRSDEHVICALSGGVDSTVAATLVHNAIGDRLHCVFVDNGLLRYKEKERVMLTFDSDLHLPVTCIDASEQFLSQLKGVKDPEEKRKIIGREFIAVFDKFAQMLEEKIGKRPEYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPVDMKLKLIEPLKLLFKDEVRKLGSILNVPESFLKRHPFPGPGLAVRILGDVTEGNALEVLRQVDEIFVQAIKDAGLYDTIWQAFAVFLPVQTVGVQGDQRTHSHAVALRAITSEDGMTADWYYFERQFLVDVVKKICNNVRGVNRVCQDITSKPPATVEWE >cds.KYUSt_chr5.29225 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185172337:185172714:1 gene:KYUSg_chr5.29225 transcript:KYUSt_chr5.29225 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESDLVVVHSGGCHCRRVRWEADAPASVAAGTCNCSNCAMRGITFFTVPNARFRLRDGSEEFLTTYTFGTGTAKHIFCKVCGITSFYKQRGNPGEVALSVNCIDAGTIAHVEVREFDGKNWGY >cds.KYUSt_scaffold_2697.514 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:3303271:3303894:1 gene:KYUSg_scaffold_2697.514 transcript:KYUSt_scaffold_2697.514 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLQQGVHGELDRGKTRKFENLGAKMPNGEDAQESFGSNTHNGASLGVESNKAARRGRGPVPHPKKHPYQWFQQGVHGELDWGKTRKFENVGAKMPNGEDAQESFGSNTHNGANLGVESNKAARRGRGAVPHPKKHPYQWFQQGVHGELDWGKTRKFENVGAKMPNGEDAQESFGSNTHNGASLGVESNKAARRGGGHINKPKRHN >cds.KYUSt_chr6.6157 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37040885:37054049:-1 gene:KYUSg_chr6.6157 transcript:KYUSt_chr6.6157 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSYDCAASVLLCAEDNAAILGLDDDDDCSWAAAVTPPRHAAAAAGGADGFSMGYPVQTDDIIAALVEREEEHMPMEGYPEMLRRRLAGLDLAAVRRDAIDWIWKAIEHYNFAPLTAVLSVNYLDRFLSVYDLPEGIAWMTQLLAVACLSLASKMEETYVPLPVDLQVAEAKYFAGRTIKRMELLVLSTLKWRMQAVTACSFIDYFLHKFSDCGALSMLALSRSTDLILSTAKGADFLVFRPSEIAASVALVAFGERNSSVVERATTNCKYINKERVLRCYQLIQDKITMGSIVLNTPARGEDAFACVSPCPCSRAEDYLLPVPDDDGGHAHARIGGLGAGDGMQDAGPRGSTGQWRFNGKEADRKRPIAVWDAGAGRFMRYTEKEIRGDEGIPTTPLPPSLIDEDEPAVKLKSNEVRIGPITRARAKLLKQHVNLFLNDTLIDENFILPKSYYLCIIRYQEETSIARGVEEQLDMKTDVKMDVKLNIELDMKKSHGRVREEREACARGEEEVQAGPQPAIVGKFLQDGDTVDIGSEIEFSAFHVKVIRCVLSPNEDLIASDQDLLDMMVSDPRPADRCWKVTYSTHVDLARGRMKAYDGSLMLSVKDNWLLLKNAKGAMIGRRGLKTADNPQV >cds.KYUSt_chr7.6049 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36227375:36229345:1 gene:KYUSg_chr7.6049 transcript:KYUSt_chr7.6049 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCDFDLNFTFISCGWEGSATDARVLRSAIRKGFRVPEGKFYLVDGGYANTKFFLAPYRGVRYHLKEFGRGHRAPQNYQELFNHRHAVIRNHIERDLGILKKRFPILKVGTHHTIQNQVKLPAAAAVLHNIIRMHKGDESWGRHVYHDECKTISKDGNARQWWARASWNADLEKALVDLLHEHNTPQYRGQNGWSTDVWNRITKKFHDNHPYKNYTKGQIQDKEKELKREYKMLKEARQQSGVSWNEKRCMIEADPELWDNLIISFPKIGKFRSNKAFPLFDALGELYDGHLAEGNYNFTSIEPTQTHTSGGGMQEASMMENFVGNGEAQPTVPAAPSTSTENEPKKRRSNGDIAAMMEKYIEIKMKQVESKQIANMDEYSIKNCVARLNTMGLSREDKVKALKVFTDADNRELFLCVDMDTALMWLQGEMA >cds.KYUSt_chr2.44593 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277446640:277449556:-1 gene:KYUSg_chr2.44593 transcript:KYUSt_chr2.44593 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPRPGTAFPTAAAIAAQGLRVDQVDPDLLKFLQHVRHDGNVYAFELPARGGSPPVTAKNDPETPAANGAARSSLAPSSARSGRGRRAEQEIPPADGPPWYETADMDEDYRKFLRQTRTVDGRLVLQAEEGKVVRYGLDAVPVRLSDIADWAEKSGWWKEAPAVAASGEDGDGVEVEEEDKVAPMMVSPVRDSPPRWQKKVKIVSVSPLQLNAAARVSEAEQGCVVSGQKVEQGQKEKGGSEKINLVNREDNMLSVVPVEKLNTVYTVTNLSNGHEADPHMAAEAGCDCCRWPVDVLFDGRGKMYLHTGWEKFARYHDLEAGCVLAFSYLGDADMGVKVFDETRCRRHYLGNTDEEDD >cds.KYUSt_chr4.37345 pep primary_assembly:MPB_Lper_Kyuss_1697:4:229981504:229985795:1 gene:KYUSg_chr4.37345 transcript:KYUSt_chr4.37345 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRGPPPLPPPGTIPPRPIIIRLDPATAQRMDMLRSVSLIKFLRDDARVVPSEEEEQRRERVVSELEKIVMDWAKQVAFDQGEQHWITTGTVLTFGSYALGVYGPESDIDAVCVGPCIASLQHHFFVVLRQMLEARPEVSDLHSIESARVPLMRFKFNGVSVDFPYVQLPVINAAEAIHAFDPRLLEKVDGASWRCLSGVRVNREIMQFVPNMKKFQVLLRCLKLWARRRGIHCHLVGFFAGIHLAVLGAYVCCRHPNASVNALLSLFFEIFSHWPWPLPVSLHDQTPLWSPDGCSLMPIVMPCFPPEFCASSVTKSTFKKIEDELRRGFALTKDIRNIDIDWSWIFAPYPYGERYEHFLRIVLSAPTTEELRDWVGWVKSRFRNLILKLESLNVGCDPDPSEQVDHTIAEPNVVFLWGLMYRNTQICTSSLKKDFMKSVINNIYGKEKCAHSDITMSIVSTLQPLKSLPDQSVHSQKLLNLPSLMLGFRQMNLDYQPMKQDCNAVG >cds.KYUSt_chr5.43101 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271911052:271916457:-1 gene:KYUSg_chr5.43101 transcript:KYUSt_chr5.43101 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRRGVDVAHPGLLDDEEEAAEELAGVEEEGGRVVDDEDVDELELLPALASLRKTRTKRRQRGGPVSQLRFHGGAGIPGVAPHYISPPSTFNVLLGSYCCVDGGAFRGHFPIPAACRNRDSCPPDLDFAMAAALEGFSYRGFSVSEI >cds.KYUSt_contig_1546.56 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000163.1:358776:361169:-1 gene:KYUSg_contig_1546.56 transcript:KYUSt_contig_1546.56 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGVSADVGRSVRVLIEAQPSAGVMFAATPFEPQLSDDSPPRSSNEAGGAANHEAPSCSAWDGVEGAAAAGPERKLTLLALRLAILEKAASGLGALGFIWATVVLLGGFAITLGKDDFWSVTIILLIEGARIFSRSHELEWQHQATWSLSAAGRSSFRLVARSFRFVFGLDTGTPKSSASAESGWSWRLRSWGFLSRHVGRAFYWLQLASATACVTLSAVRLVRQDFGDAVDARTNRRSALDIFYGLALAEALLFLAEKAVWEWEVSHCRLLERVASECHLAGAPGLLAIRRFFYDAYSRCVDGSIFDGLRMDLVSFAEELLVEGSHDEQRIGVGILVNVAGSPRLGDAALRRVGTSPAVMERLVEMLSWKGAAEAGARASAALVVSKLASKKRNALRVAGVPGAIESVTSLLYASEECNLLGLLIIKKLAHDHDNCSKIGNARGLLDKIIDFSAIVSASSSPSMPVVITPSRAKAVKRSLQVISMLADTTGSTGKQLRKEVAEIVFTVSNIRAVLQHAGGHLELQRLGAEVLTRLAMDEDAREKIGSTGSVISILLAMFFRPGITDEADRVRVEAGEALAMLALDSPHNCERILGAAPAVVGRLVEALNDDAIGVGAARILTNLCAYSAGGERFMELRATVTSGAATVLRNVMTKKSRRLEVSLGLAARMVRLMGPHELTHHLALAGVSELDMVNRLVYVLSWYSSPSIKVPRIRRFAVELVIGMLGMDSSSPFAELMAAAGMGRELRRVADTTSELECFHVFSGSAGVSRHAVSLCALVGTAMELMGMEAGGQ >cds.KYUSt_chr2.14335 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90706235:90707827:-1 gene:KYUSg_chr2.14335 transcript:KYUSt_chr2.14335 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFDVSDRKKIGLGLTGFGVLFSFLGIIMLFDKGFLAMGNILFVSGVTLTIGLNSTVQFFTKPRNHKGSIAFGVGLFLVLIGWPVLGMMVESYGFVALFSGFWPTAAVYLQKTPSVGWIFQHPIVTSLLTRFRGRRVPV >cds.KYUSt_chr3.28174 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175810581:175812242:-1 gene:KYUSg_chr3.28174 transcript:KYUSt_chr3.28174 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPLLVELMSDVPLLLDNPDLVQRFLDLTHAGIDGNAAHFDEAAALLEELREFQRRLRETPVDAEEDIGDAFEGIAAGFEDVLQQQRQLNQVLAFLLIVRAVAYVKSRSRLFPGVLLAAASAAVAPGLSTFARLSVLMLGFLFASGRPPRDGTTLVARTPLRNDELPRRRPVTSTAAEENAAWEEAALADTIAGMVLSRRTPPALQEESRLEPMMPQPEPEHEAFPSDSDSNDSKFVEWSEAFMADAKAKAAEETPWAVGRGPWRRRPSNTPPGGQ >cds.KYUSt_chr5.16032 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103285504:103290181:-1 gene:KYUSg_chr5.16032 transcript:KYUSt_chr5.16032 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPPNLAPVMLYPRWSYDDIRLKYAVARLNKENPDAEPIVLDKLTEQERATLVHDEKVRMRKIEQEIAKQQLAEFLAKEDERALAPPPPEPEEEEDLGKEHWQERYDSIRNSLVKTKQHRHKNFCFDGTTRIPAMCFTDNPMPDYTGHGTTVQFFSVKVGGVDGGLAWPLDVFGLIAVHDKLDYSRNVIFSRTRENPQTLTEQDPYFTLTGPSRAVVYENRVYFETVLKVKGATEPEDKDLSLLLKCFVCCQKVNLCKSEGQGIFDSCVSSRLYTSMLSTLELTCGLVMRSVEATITLQIVKGSWPDGSRGLFTAYIGSLGHMEIVLLDSGKEMVPVVSATDGMVKLSRRVISVERFGQLVVHAVACRGGNKDQVLAENKVSFAPLDSDRSRDKLDLGVCKFDVTVAWSRILEEHAVGHLPLTNGSVDSFT >cds.KYUSt_chr3.19839 pep primary_assembly:MPB_Lper_Kyuss_1697:3:122149093:122149873:1 gene:KYUSg_chr3.19839 transcript:KYUSt_chr3.19839 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGHLSLSTGTGRRRDKEVGRRRGEIKEVDFFSAIGDTGTRSRKVDDGGCRVTHGCHNDKVHTGLDLMTTAMGAAGPATVGEERAGLMVGTENNNKMEAATAAVKAKLRRVVEENMRLRGMLEDLTRNYGALYNQLHQVTRHQQGHPHRHHPDVLLMNNQLPPTLVSAHILLFLFFNRSHLL >cds.KYUSt_chr4.5698 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33164631:33165845:-1 gene:KYUSg_chr4.5698 transcript:KYUSt_chr4.5698 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVMILLCNTTATASNASPANETQHNTFSLDNFGAHGDGKHDDTQALAKAWNAACSSSRPAVLLVPKGKSYLLNSITLSGPCKSSIVFMVKGTLVAPPSRSAWSEDNRGHWIMIQGIFGLTVTGGGTINGNGDDWWKNSCKTNKALPCTMAPTAFTFHRCDSLKVENLKIFNSQQIHMSVEDCNDVQLARLSITAPGTSPNTDGIHITRSKDVQVRDCIIKTGDDCMSIEDGTHNLHVTKVVCGPGHGISIGSLGDDNSRAEVSGILIDSVQLYGTTNGARIKTWQGGSGYAKDITFQNIIMDNVQNPIIIDQNYCDSTTPCKKQQSAVEVSNVVFKNIRGTTISKDAIKLSCSNDDSCSDIVLENIDLKMEGDKGETQSTCQNAKWRKAGTVIPQPCEAKN >cds.KYUSt_contig_2886.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000560.1:5460:11316:1 gene:KYUSg_contig_2886.1 transcript:KYUSt_contig_2886.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEFNMTSPSTSTSTTNAMSIDPRLPALFEEADRLVGVEASKDEIVKWLTQDIKVEVDMEMDCRGFIIGQHGQNLDEVGERYFNELVNRNMIQLVEADITGRGISCRVHDIMLEILISLSSEENFVTIVNSKKLTSSTSKTRRLSLQGNCEENQAWLGIGNFSHARSLNVFGDCMQLPPLKGLQTLRVLDLLDHSYSREDNGHIENIVSLLQLRYLYVCRQITKVPREIQKLQLLQTLDLSRTYVTELPATIVQLKQLVRLFVPGEVKFPSGIGTMRALQELWALNCRSNSVNIVVELGFMPSYNCWTKRGERGVMMEDNEEEEEDDDMYHKYDDTATGHDEDEEAGEAEDEDGSDEPVDDDLRRAIAYAHREAESANKK >cds.KYUSt_scaffold_1700.192 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1298263:1313402:-1 gene:KYUSg_scaffold_1700.192 transcript:KYUSt_scaffold_1700.192 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEESNQNLEAGSTASARAFTGKGDYYCSEGDIVAMDTVFSEDEDKGVNATVLADVTKDEIDGQAISDTEAQKGVTDTGFSEVVKYGDPKVESHKTMGDEDEDADDEGEDDDEEEEEDDEEGDDNDDDDDDEEEEEEEEEEEEDENDEDEDGETRTVVLGGVKYRYRCRDYSSDDSVVESDWDLHTDGEYWDESEADFQVAQEKADAKLNRFMERLRSRTKTLAFKDEEVLNGGSTEVISENLQNDHLNLEMGSTLYPSRSIANIFGNWLNGVDPRYSRPLAWLGSLVHGRIWCRSNLLRKGILDAADSGCPICNSSRNSQPHFFECEFTRCFWGTLGVSNGVSFHATNASACALPPSIPLPPPTPSASSACGISGSIEMAWCSEGSLPHSPFCAKVAEMTLLSSVQDAPKKKLSHGGLWGSNPQDFVKQAQSRKAFHLVEVKIVIIELKWNAQVAQREELGLAGSTARARPVVPPVHGRWYRPRYRPRYRKRGCASQMVSREFGALGGIAMDEVRKKLFSLSLSGKAAHWYKLLDNGDSLEWNDIVPRFYSKFYPPSEIHKDRKRIYNFWPHDGESIAQAWGRLKSLMLKCPIHELPGNVIIDNFYARLSFQDKTLLDTSCSGSFTRNKEEFKRDLLDRIQENTEGWENDKDRESGIIYDYKCVEAFMDTDKFRNMSATYGLDSQVALNLYKAFASHYELPKKNFDKYHEPYVITGI >cds.KYUSt_chr3.4968 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28294392:28295437:-1 gene:KYUSg_chr3.4968 transcript:KYUSt_chr3.4968 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRWSRTLVNQQGFLITDTFSFGTSQVPDVVFGCSIDIMEKDLAGASGFAGFSRGALSLVSQLDISSFTYFIAPLDEPAGESFVSWSWGADDGLDNAGAQMSTAGGSTPLQAAKENQNPDLYYVKLTGVMVDGQLLTSIPAGTFDVQADGSGGVFLSTTLHVTYLEVAGYRALRRELVSRFRSEGMAPVNVAGDLGHLCFLTEDFTSAKVPRIALVFDGDAAMELNVENYFLAVTGGQTCLTILPSRFGSVLGVLLQTGRNMTYDIHGGQLKFQATTAMVSAGAPAPKPVSLMITATLLVLLLFGPRSPF >cds.KYUSt_chr1.6941 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42652140:42652814:-1 gene:KYUSg_chr1.6941 transcript:KYUSt_chr1.6941 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPGELPGAGGLGVASPVRSPGGIRGLQPSPLPAVALREAGEVVSGRTAVGEKAASMATYSRANKNKDKVLSVRKSSRHNKASVNLSALEKAKRLTADKNLDSGTPPSTSLDSLPDASLANVLVDSCIVFNPSRGSPCEILDLVRARELAQASIAAAALLKEKEDQLAAAREADVQVVEPEEGPPVSADPKKACPSSRSKPKRACAKRPMLSIRKGRGKRAE >cds.KYUSt_chr7.39478 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245435080:245436153:1 gene:KYUSg_chr7.39478 transcript:KYUSt_chr7.39478 gene_biotype:protein_coding transcript_biotype:protein_coding MPSARGRGSRGKAGTDAPPGKVRFCPPSCTLLRNAGPVVASRAGAKYSKFDGLAFVFFFLRGAQRGDDSVPCWSAGHGPETVTACAHHVGPASLPKVPKTNGCLREPRARGGQIGQRPRSGQVRPSSPVGPRISNFLAPILLRSGHLYTPNGYAAARSTLPPPRAPPSPARPPLGTTATRAMDSDDEEMRDAYSVEDGDGDGDAGYDEGARDGLMVMEVRWFEVDLDYEYDAPRWFDLAQEEAPVEAAAAEMWFAAAPSYPPSRTYPPARPPHPPLPRFHITANFVLYYYSVFLSPPARVRDDYSSEKGAPVERER >cds.KYUSt_chr1.24879 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148859283:148869513:-1 gene:KYUSg_chr1.24879 transcript:KYUSt_chr1.24879 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAAAAAARRAGEALRRGATGGARYLSSLRPSPATAAASDSDEVLVEGKASARAAVLNRPGHLNALTTTMGARLNKFYASWEDSPDIGFVMMKGSGRAFCAGGDVVRLHKLMNEGKLDECKDFFRTLYSFIYVLGTYLKPHVAILDGVTMGGGGGVSIPGTFRVATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGEKINGVDMVALGLATHYSMADHLELVDERLARLVTDDPSVIDTSLAQYGDLVYPDKTSIVHRLEVIDKCFSHETVEEIVDALESEAARLNEEWCTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSVNGISKPLSHEFSEGVRARLVEKDLAPKWNALVPFLRVLFMQWDPPALEFVSEDMVDSYFAPLGEFDAELKLPTELREAFANKLIAGFKKGPPDNLELMEVMYEDAHVDGTSAVMPGVPREIPADLVDLVADDEARPSVFTASYASSPRRHAKELDDKYGGKGRCHGDPRTQSRYHGGMKEKKEEEELDAPGRHCRCTMAGTAAPSGTTAAPRPTLPPDAPRHCRPPYNGTAAKPPARRPRRHCRP >cds.KYUSt_chr1.34535 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210242723:210243817:-1 gene:KYUSg_chr1.34535 transcript:KYUSt_chr1.34535 gene_biotype:protein_coding transcript_biotype:protein_coding MPEANIAGDRIVTAYLAQIEPSPSPKTKSQLEPSPSPNPKSNHRRPAPGSVGGATHDDDGWPQDARHGSAREAGEGRGGHIRQGVQGPGEGDGADRGLLEDDEGVLPMALREVSLLRMLSEDPHVVRLLDLEQGQNKEGQTILYLVFEYMDTDLKKFIRGHRQNHEKIPAHTVKILMYQLCKGVAFFHGRGVLHRDLKPHNFDGRQDHDAQDRRPRAQPCLHGSSQEVHTRDPD >cds.KYUSt_chr2.943 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5506185:5510171:1 gene:KYUSg_chr2.943 transcript:KYUSt_chr2.943 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDPLSPLYADSTGSLPIHIAAAHGRLLTVIKLLKECPYCDSSRNASGQTFLHVAVEKKKPEIVRYVCKQKKIKRILNAMDQNGNTALHLAVLNASEDVVNNSHEAAVNNASEKIFCTLMRNSDVDLNFANKEGHTPLDLAFLSLHPKVISMKGLREWIFFDLLHGKGDFGVCSWDRLAGNVVEPDWEKESERVGKSASMLAVCAVLILNAALLAPFNVLKQMDTSTTFNVFAFQTFVVSDSIAFVCSVAATFFCARAGFAMSNGWARLKNLITGTFALGIAALALIITVALALFLVLPGVNLSIMNTETLVHLDLLLLSTEAVSKPERANMEDWLSPSDQCYVADTKERHIEWVLLLHINMEACILWDAVEGNLPNVPTDKATLEVILRIVPPETVGTLVVKKTAKEALDAVKVIRVGVDRVREATMQRLRKEFKVITFRDSETLSNFYFAYRS >cds.KYUSt_chr3.48633 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304017782:304019234:-1 gene:KYUSg_chr3.48633 transcript:KYUSt_chr3.48633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type domain containing protein [Source: Projected from Oryza sativa (Os01g0974400)] MGHGLSCSRDGDEHDFFRAAQAGDVDALEGLLAADPALARRATIYDRLTALHVAAANGSLPVVSMFLARGVRPDVLSRSKQTPLMLAAMHGNIDCALALLAAGANILMFDSVQARTCLHHAAYYGHADCLRAILAAARTAQVAASWGFARFVNVRDEHGATPLHLAARQGRPECVHLLLESGAIVSAPTGSYGFPGSTALHLAARGGNMGCVRELLAWGADRVRRDSAGRIAYAVALKRGHGACAALLDPAAAEPMVWPSPLKFIGELEADARALLEAALAEANREREKKIFLKGSPPSPSSSSDDAGAVDDDGDDEGVEVCSICFEQACTIEVQDCGHQMCAACTLALCCHSKPNPTTLTLLPPACPFCRSCISRLVVADTKAKGPAAAVVGDEKAVSSPRLSRRRSRRSREGSSSFKGLSSAMGSLSSKIGRGSGRLAGDSAFLDKLEHDLP >cds.KYUSt_chr1.25261 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151537005:151537571:-1 gene:KYUSg_chr1.25261 transcript:KYUSt_chr1.25261 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEFPRGRTSIEKDVQALVEMQKRGRAMLTEAMKEKKEREKKDKKEKGMVYNKASGETWFVPREERVAAEEKEYQVRNEKIITNLEAINKRVQEAEVHAPGSAGHINLLRQYLEQLDMKIASDTDAKSRMSMHTIKTLEETNEYYREYISQLEKINEVKELRIAALERVNRTLKEMLERPARSPPS >cds.KYUSt_chr7.33927 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211908883:211909851:1 gene:KYUSg_chr7.33927 transcript:KYUSt_chr7.33927 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQNLLILLLMVLATNMAAASVTTRKLMFLVQPQPNQLTYHNGSVLHGDIPVSVLWYGRFTAAQKAIVSDFLVSLSAAPRAPPAPSVSQWWSSIHQLYLSKAAATVGKSGGQSTGVAKIARVVLSGQVSDEACSLGKSLKLSQLPALAARARPAKGGIALVLTAQDVAVEGFCMSRCGRHGPVDAKADTAYVWAGNSATQCPGQCAWPFHQPAYGPQSTPLAPPNGDVGMDGLAINVASMVAGAVTNPFGDGFYQGDRAAPLEAATACPGVYGKGAYPGYAGQLLVDSATGASYNANGERGRQYLLPALFDPATSACSTLV >cds.KYUSt_chr5.13287 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86711608:86713547:1 gene:KYUSg_chr5.13287 transcript:KYUSt_chr5.13287 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRLGLLGLSAAISGNLRRSLSTATYSHPPWVIIGDTTMVDRSLSDPGVCFRAVDPPGVSDITAPAHLISPRERPASDIFQLLAGDVRAASGDGHLLLNYHDLQAGGGVPWTSWEMTEDVETHRFVCNPLSGQMLRLPDIGGSKRILVHNHMGLLTQADGGRGHGPPDRFAVAELVFNGTGLQRFLSDEGEWETVVSMPCRSLPPRELLIFQETVALGGRLWWVDLTFGVISVDPFSHRPEVCCIELPSGSVVPARAPIDGDISKAQETVRFMRELAKYRRVGVSDGRLRYAELTPGGPLLLCSFALHDEASGWTLEHQVELSQVLADGGYQLQHNSPGPQIAVLDPLNASAVHLKVGNHVVVVDVRNGKVIGASPPLPESQADCFSCASCVLPPWLGASRIPTTGKKDDMEATDDLVIYL >cds.KYUSt_chr7.15451 pep primary_assembly:MPB_Lper_Kyuss_1697:7:96087034:96087717:-1 gene:KYUSg_chr7.15451 transcript:KYUSt_chr7.15451 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKWGPKERGGPKEGVTDSISDSIYPSVGFQLVYIDHVDIRRCLHRNRKSPIQQHTWGAMAARAGDVVSKRTAEEDYYPPQVADEKAHEMVADVDETVAVTAAATDVDECSDDEADSFIRNLNRMARLNLMSPEWIESMKKTHYEDIDLYNRAYDALENGEIESDDEAGAAEYEEWSKRLWASVDLSGLNPVGGESRGIDPVAVYPVAVNPPEEKDNDAAAAAAES >cds.KYUSt_chr3.29062 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181739025:181739270:1 gene:KYUSg_chr3.29062 transcript:KYUSt_chr3.29062 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPNPIFPTTMVPPPPCGCGAPPYAPCSSSIAATYPPTPFPGGYAPFPFPSTVGYQHPCPFGLYPTYAYGPPAPVYPTP >cds.KYUSt_chr4.10763 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65368082:65370183:1 gene:KYUSg_chr4.10763 transcript:KYUSt_chr4.10763 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVIFCVVIAAAILAVVLLATVSPVPHRSAGRDKSSQGVRAITVYIHPAASAGSARRQRRGPAHEDEQVASAMVFRHQMTSGPEITSRSVGAASGFVLPGDRGSAVMSVFDTVHLAFDAPGLSGSVCVEAARSKKASPRRAGDGEEVLRVVGGTGSFAFAHGHAVVRAERRHRLDGGGASSALRLELSVTSAGVTRQTVRSHWFVPLYFEWKLGTQVVGKRMRSPRAPALDHSLASSLIRATLALTEGRAPSKMQSFRCFHTIQGMSKAMEARPLRIGLGVVGCPFPLQGARFLAQRGVRYGSPDL >cds.KYUSt_chr6.10111 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62287321:62288664:1 gene:KYUSg_chr6.10111 transcript:KYUSt_chr6.10111 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIGASNKDDAFYRYKMPRMLTKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTSKLAGLLENFIKKYVQCYGCGNPETEVLISKNQMITLKCAACGFLSDVDMRDKLTTFILKNPPEQKKGGKDKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKDASSKESTAKGVATKKKAAGGGSDEEHATSPTHSRDAEFEAAVDDDDNDDDDDVQWQTDTSAEAARKRMQEQLSAATAEMVMLATEETEKKKKQALHKEAEDNLNGNHTGPKTTPYDELVEEIKTNLGNAVTAAQLKGVLSSSTLPHKDVMHALFEALFHGAGKGFARDVVKNKKYLAAAVPDEASQILLLQAIEAFCGKCSAEALKEVPVVLKALYDGDVLEEETIVQWYNEAVAAGKNSQVVKNAKPVVEWLQNAESDEE >cds.KYUSt_chr7.2563 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15007892:15010639:-1 gene:KYUSg_chr7.2563 transcript:KYUSt_chr7.2563 gene_biotype:protein_coding transcript_biotype:protein_coding MKCEDSSSSPVLEREYAQGVLISEIVLDKWSLPESSSKKLKLGLRRAAKRPGETIIKGHRSYDLMLCLQLGIRYTVGKITPIPERQVRTSDYGHKANFWMNFPKNGSKLTPSHRALDFKWKDYCPMVFRQIEIDSEFLRNHGIMDYSLLLGFHYRARRNLQIGSSCRESILPDNLTVLSEADVAEENSVYNYQDGLILLQRGSNKNGPASVGPHIRGSRLRSSSACFEEVDLLLPGTGRSSLVIFSRLPIQLGVNMPARAEKEDKQEDGSKSLHHVYDVVLYIGIIDILQKYNMTKKIEHAYKSIKYKYNPLSISAVEPRFYSERFFKFVGTAFPENSYNQ >cds.KYUSt_chr2.54538 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340254705:340256722:-1 gene:KYUSg_chr2.54538 transcript:KYUSt_chr2.54538 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGASGVANGGGGMPGFFWRKSRYVRMDDVLPQEQEAVEDSGGGGGVRVRGVARRYVFFCSVFASLNHVLLGYDVGVMSGCIIFIQKDLHINEVQQEVLVGCLSFISLLGSLAAGRTSDAIGRKWTIGLAAAIFQVGALVMTLASSFAVLMAGRLLAGIGIGFGLMVAPVYISEISPAALRGSLASFPEIFISLGILLGYVSNLAFAGLPDHINWRVMLAAGILPSISIAFVLTVIPESPRWLVMQGRTGDARAVLLKVAETEEEAEERLGEIEESARATAADKAVWRELMRPSPVVLRMLVAGLGVMFFQQATGIDALVYYSPTIFRDAGIASEGQLLAATVAVGLSKTVKPLLYVSTIGITGCLATLAAALSLLARGVLPSGVAITLAILTVCGFVAFFSVGIGPINMVLSSEIYPLRLRAQAVATGLAVNRLTSGAVAMSFLSICRAVSVAGAFTAFAAVSALSVAFVHMFVPETSGKSLEQIESLFASDIGSGGEVELADGEHLVHKR >cds.KYUSt_chr3.12289 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73376193:73377353:-1 gene:KYUSg_chr3.12289 transcript:KYUSt_chr3.12289 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVTAEAASLLPGLPDEIVLWEILVRQTPKCLLRCRAVRRSWRTVTSARDFLLAHHARQPSLPIFSGEDARILGVRYHDILAFDHRAANSDDQLHSVARLDEAFHPVAACDGLLVLSKRSTSGSYLSICNPATREHALLGLHFDFRVMGMYLHGPTGEHRMLLQRKRWHWQAADLVQKDQFGCYVFSLGSGQPPRYIGWPEMASPTFTVPVRVRDSLHWYPVYYETEKNASRYETKLVVFDTIAESFRQMHEPTRPGDSYIFDMDGKLGIFTRNTSCESEVWDLKYQIKLPVAKIRREFQHSGEYWDWELDVVSVDGGVLLLVQFPRWLLLVDINGKMVNSFYKGRRRLSMSGCHLQQSLVQHTFFPALQGYVMNASPFVGPVE >cds.KYUSt_chr5.9070 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57570649:57572019:1 gene:KYUSg_chr5.9070 transcript:KYUSt_chr5.9070 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLHWHMRLVSPVSRCPLAVSSSLHIHGKASVLSLLIGCYYDHSSSALIASPTHQLPGTQVHKFDKSLFWLLNVSNLYTRRMKSLYGEKRIKLCSEEKQHISGNRANMPAPHGSAFSSSLNKVADAAAMHAHGEAAEAPLTMWFPSPRSDKTDGGAATIVKDVAVAHSALLLTLRCHDRASQLGRCVAPFPEQWLQAIGSTVLFLHASIHGSGDRN >cds.KYUSt_chr6.18753 pep primary_assembly:MPB_Lper_Kyuss_1697:6:117920407:117920910:1 gene:KYUSg_chr6.18753 transcript:KYUSt_chr6.18753 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRCIPSCSCSGRAQPTIRRRSAWLGLPRPPAPWTIPAPPRPTARILTSPRVPSAVVKNRPSPSPLRRLHDLPWLCSELSGHALFQLHIDAIPTWLFILLFVDLYCWRVKPYELKSMHYKLSQLESQSLKLTARS >cds.KYUSt_contig_824.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:114919:118129:1 gene:KYUSg_contig_824.18 transcript:KYUSt_contig_824.18 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWLPALLRRAAAGASGGGAPSARRCLSSSSSSLLFDDTQEQFKESVHRFAQEHIAPHAARIDSSNYFPKVRTYLPLAGVPPAEAVGSPFGFRSSLIRLVRACVQEVNLWKLMGDFNLHGLTSPEEYGGLGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRNGSAAQKEKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDITAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPQENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQACLDVVLPYVRQREQFGRPIGEFQFIQGKMADMYTSLQSSRSFVYSVARDCDNGKVDRKDCAGVILFAAERATQVALEAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKED >cds.KYUSt_chr6.13982 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87470865:87472118:1 gene:KYUSg_chr6.13982 transcript:KYUSt_chr6.13982 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSTHLAACVSTSETKDAESHKETYEQLAQVVSSTYEAAASGIVMDFHRHPDGWYTALPVMVGALVAHRHFEARDTDVLVATIPKSGTTWIKALLYAAAHRTVDRSSILQQLASHNSHQLVPSLETQVYTKYQIPDHGSLPAPRLFGTHIPTHSLPPSVAASGCKVVYLSRDPKDCFVSLWHFMNMLTPMDIDEALGMFCDGVSLFGPFWDHVLSYWRWHVERPDQVLFLTYEELTADTLGQLRRLAEFIGRPFTPEEKGTGVDKEIVEACAMKNMVKQEVNQTGTTEMTEIPMPNDEIFFRRGVVGDWSNYLTLEMGRKIDEITERKFKGSGLMLPKEV >cds.KYUSt_chr6.16053 pep primary_assembly:MPB_Lper_Kyuss_1697:6:100978393:100981840:-1 gene:KYUSg_chr6.16053 transcript:KYUSt_chr6.16053 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPSGGPVIAEVEMNAGADLGATTVRATVVQASTVFYDTPATLDKAEKLIAEAAGYGSQLVLFPEVFVGGYPHGSTFGLTIGSRSAKGKEDFRKYHAAAIDVPGPEVSRLAALAGKYKVFLVMGVVERAGYTLYNTVLSFDSLGKYLGKHRKLMPTALERVFWGFGDGSTIPVYDTPLGKIGAVICWENRMPLLRTAMYAKGVEIYCAPTADTLPSWQASMTHIALEGGCFVLTANQFCRRKDYPPPPEYTFGGLDEEPSPDTAVCVGGSSIISPSGTVLAGPNYESEALLTADLDLGEIVRAKFDFDVVGHYARPEVLSLNVKTEPKHAVSFTG >cds.KYUSt_chr2.14913 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93989944:94001817:-1 gene:KYUSg_chr2.14913 transcript:KYUSt_chr2.14913 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADVVRQTRELLIYLDLHSASRGADAKREEKMAELSKNIRELKCILYGNGEHEPVTEACVQLTQEFFRENTLRLLIICIPKLNLETRKDATQVVANLQRQQVSSRIVASEYLESNKDLLDTLISGYENMDIALHYGAMLRECIRHQSIARYVLESDHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLSKNYDWFFAEFNSRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVGSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPAEVVNILVTNRSKLLRFFAGFKTDKGDKEDNSKLAQGNSDETRRGESRALRYKPPSTTSSRGVSEAGINSSRVWSRARLQPFMLAFLGHLEEKDGTQPPRAVPPVHADAGTPRHRQSRGSAAYVAFGTGLSEIVHIVWYALSACLRINLIAIHQRGIFRKISCPSGSTYIDRREAAARDAHDVDRAVDVWPELSRWCAHDAWVALHQNAELAQSCVGADVA >cds.KYUSt_chr2.14218 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89947412:89948275:1 gene:KYUSg_chr2.14218 transcript:KYUSt_chr2.14218 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSGRTRCWPALGFLVVELLWSEPHATMEAAAEASENKALVSCRLLAGGQRLKALSSGRGDREEGGFGAAGCHAPGLQQGSCGELCFLKVLMPLSPAGRGGEGRRGCGVLEAVAWRWWGLICGGCELWFLVAFVVFPSPAGRGGEGDYGRWLLVTGSGALGTDRLARLRSSSTEAMFADVILGQWRPLRAVMCSWHYSFFFLQVRVPCWRIFGFGMAQHTAFGPSGVVPGVIGGGHASKPYLSYGGGEGPDCFFFILFRVLFAYAEDQVVMSIFLQVLFVFGIAK >cds.KYUSt_chr2.2528 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15236698:15239623:-1 gene:KYUSg_chr2.2528 transcript:KYUSt_chr2.2528 gene_biotype:protein_coding transcript_biotype:protein_coding MHERRCSASWLQGFQRQRSALPSRREPPEEDEAVHVQARNDFPIRRLGQFLERCTNLTSVSLSAQQQPPFFLWHRARLPGLTHLELRGPFRNRGHIAALANILDDTTGLEVLTLAIDRPQVNEASTCRVIKVPKDVRPSNAECFRRTLRKIQLVKYSGTTTQRRLALFLLTKAVRAEELHVTFATGVGGGSETDQFKKEMEGWKRNPKTRIIYHAHG >cds.KYUSt_chr2.54738 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341477903:341478655:1 gene:KYUSg_chr2.54738 transcript:KYUSt_chr2.54738 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHRVHPVIAGSPLPAPEQAKDKLKPSATHDEQLLPITAPPPYAPAPMRAPRRKRHSRCCRCVCWTLVVAIVLVVALGATAGILYAVFRPKIPTFNVDRLTVTRFDVNTTTAVVTDAFEVQVTANNPNRHIGVYYDGGEVTASFNGTELCSGAFPALYQGHRATVRQLITLQGETRLDSAVAVQLAQQQQAGFIPLTVNARVPIRIKFGVIKLWKMTGKARCNLVVDRLQAETRLRIRSNSCSFKLKI >cds.KYUSt_chr2.39592 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245640729:245644537:1 gene:KYUSg_chr2.39592 transcript:KYUSt_chr2.39592 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLNPMARRLALAASRRLSTPAAVEPFVANWSTQARHNSSLVEVNGGFGEMVSSTQKYYVFGGKGGVGKTSLAASLAVKFANHGEPTLIVSMHPANSLGDTLEQDLSGGKIVPVTGVDSLFAAEIGHVDAKEDSSSFGSSMRNMLGKIGLGVLADPLIQIVELQESNKFRRIVLDTGATGHTLNLLSAATLMEKFLSMANKAANVASSYPALKSVFGKEQIDIEELRQQIARVRDLIQDPQLTEFLIVTIPTEETRALNMISADRELGGLKLIQAPLQDVEVKGVPALRFFSDVDIGGAILEISTKDESQQGISLFRL >cds.KYUSt_chr4.54947 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339376714:339378547:1 gene:KYUSg_chr4.54947 transcript:KYUSt_chr4.54947 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAHPILNVRVVGSGERVVVLSHGLGTDQSVWSRVLPYLTRDHRVVLYDLACAGSVNPDHFDFGRYNGLDAYVDDLLSILDALTIPRCALVGHSVSAMIGILASIRRPELFAKLVLIGCSPCFQNDGDYHGGFEAEEVQEVFDAMSANYMAWATGYAPLAVGADVPEAVQEFSRTLFNAPCVVVQTAHDISIPAIVAAYLKAHLGGHTTVELLPTEGDLPHLSAPSLLAPVLRRALARH >cds.KYUSt_chr2.41647 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259112574:259128926:-1 gene:KYUSg_chr2.41647 transcript:KYUSt_chr2.41647 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFFCFEDLGQGLLIGEEAASEGVRETISSRARTKKDFKEVHLNSVGNAIFESWDNEVSSTDVKPPWWIKKVNEVAAKKSKARTRGGEVKGQWWTCIATEEELRSMEAEGFLQPGSSLVVPGAPSCPNSGDSDREKGLSTLRKRDHLGLSNGFLWRPTLGASLASYESKARNLGFPLGARYFLQPVRTASKTAGQPKTGVLNEQSEDHKQPKKEASPEECDQAVEGLSTAKAKAKAKQVQEVQKTDQSIIQKFWARLLGIGPALRVVAAMSRADWVVKLKHWKEEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKNLTRRERQQLTRTTADMFRLVPFAVFIIIPFMELLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQETAKEMARELQTSRSGEMKQTAEDLDEFLNKVRKGGHVSNEEILSFAKLFNDELTLDNMNRSRLVNMCKYMGIKPFGTDHYLRFMLRKKLQDIKNDDTMIQAEGVESLSDEELRHACRERGHLDLLSIEEMRHQLKDWLDLSLNRSVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERKRKLEFLEMQEELIKEEEKKQDKEEKAKLDEPQATKEDLALREMTEPTAREEEELKKSKEHEKDHLCDISQALAVLASASSVTKEREEFLSLVNKEIELYNTMLEKEGTEDEEEARRAYRVAREESDHAAEVVAGEKVSSALIEKVDAMLQKLEKEIDDVDARIGNRWQLLDRDRDGIVTPEEVAAAADYLKDTMGKEEGVQELISNLSKDREGNILVEDIKNLASQTEESNEQKETARR >cds.KYUSt_chr7.24223 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151020436:151021146:-1 gene:KYUSg_chr7.24223 transcript:KYUSt_chr7.24223 gene_biotype:protein_coding transcript_biotype:protein_coding MSFALRSISLPSRHHTREAEVQEDLDSLETSISSSITIKTMCDGLRRLGDIYCDVEEIIQLPSNQVCSSQHRKMLDGEMECSLQLLDLCNTMQQIFVELKAIIQELQLALRKGEDATIQARILSYIQLLKKAGKHFKKSTTKKTSDKMDCGMVSLLTKAREMALSLHESTVHLLSKKIEAPKQSLISKAFHKKKVVVCEEQLQDLECSMGDLESGAGHLFRRLIQSRVSLLNILSS >cds.KYUSt_chr4.1153 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6075190:6082412:-1 gene:KYUSg_chr4.1153 transcript:KYUSt_chr4.1153 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERRVVAVIVVVAARVARSSIRLCCSASCHSQSCLDHVSAMSMGKALSAGTRLFNDLAIASATASSSACWDAVAAAAASFFEMVPVAVISYHLILIRRRESPTPNPPITPQSHPIPERACGLVHQPEVLRGGGGAVVRIPAMEGGVAAVAAAAAAAAAATVTTAVAPPPSNGAANAANAAPPPFLMKTYEMVDDPATDAVVSWGEGSNSFVVWSTPEFARDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPLQANNQVQVQQQQQQQPQLQNGPLPSCVEVGNFGMEEEIEMLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMEQRQQQMMSFLAKAMQSPGFLAQFVQQNENSRRRIVAANKKRRLPKQDEGLMDSAESVSLDGQIIKYQPLINEAAKAMLRKILQQDSSSHRFESMSGNSDNFLLENNCMPTTPQAFDTSSSTRNSAVTLAEVPGNSSGMPYMATSSGFSAICSSSTPPEIQRPVFDNNPSNILPNMSNNSSRVLLPNNMSAAAPSLPTAMAPGPTDNISIPGFPDLHGLITDDPVNIPGGGGGFEMPGPECIFPLLPEDDDDSIPIDTDEILSSDDTQKLPGIIDSFWEQFLVTSPLSVDNDEVDSGLLDAAREAHQENGWTRTDNLANLTEQMGLLSSSNHRA >cds.KYUSt_chr6.851 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5362231:5362551:1 gene:KYUSg_chr6.851 transcript:KYUSt_chr6.851 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGGLGGRAAVIVIGGASEEEEGLAEAAAEVEEGGEVRADEDVRQPAGDGVSVAAEEEPGEGAQQRDAGDLSAAAKEWSDEGARHELLARKDAGQKGQDRKDRP >cds.KYUSt_chr4.8482 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50827662:50828090:1 gene:KYUSg_chr4.8482 transcript:KYUSt_chr4.8482 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLRSGWAVDRAIVAEEERLVVIRFGHDWDEACMVMDEVLAGVAETIKNFAVIYVVDTAEVPDFNAMYELYDPSTVMFFFRNKRLMVDLGTGNNNKINWAMRDKRDFVDIVETAYRGARKGRGLVTAPKDYSTRYRY >cds.KYUSt_chr4.20766 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130754762:130762989:-1 gene:KYUSg_chr4.20766 transcript:KYUSt_chr4.20766 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRRPWARGGASPAWRRVVAPVLRSAIFGRVDGEGAAGAGLVQVLFLPAVLLPSPWPRTPSGGVVRLVGGGLSTGKPLGDGGGHEVDDAGDGAVLLLEGDIEVVSPPSSLLLISGESPKLRFGRRRRSGVVPSLEALVGDSGYGWTSSAAVGRQCLCLLLAAWSSVLWCGLRVAVMFVGGGAARRVLEVLLPGSDLRYPCVLGESDLPPTVRRLSIRGEKAGSFLRRIALANNLHQALRLICREVVEHKHLPTVEDGQLLLLLLPTLEDYHYLSFALAAYSIFTSKAKGTSSESGSDSDSDSSGNRSDSGSQSKSSASGSGSINDSDSDASPSSKEGSDAFVDITREDNKADTSGRKVADELRSCSSPRDLPTMDGDDEQIDIGTNLDYTSTSSHIDLNNFNIDSDEAAYTAAATENIGASKEANNQTVRSLEDDLNREAVGSEGSADSSESLISLHGPDENMQGRVMDSGMRANMSIQEEAALGKMRRFCASILKKLAPPLLREIESSTSARLGAQTVTPRRVTRAAATGSTPAARRPRKATAAEAVLLKALGISEAELDVSDEAIAEFRALFDSPVREQHLRAMAAIFGKTMPDSFNPLAGPGAVLVQ >cds.KYUSt_chr7.12408 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76383140:76383376:1 gene:KYUSg_chr7.12408 transcript:KYUSt_chr7.12408 gene_biotype:protein_coding transcript_biotype:protein_coding MFRISDCVARRRKGKVPTGTIIIRLQLNEWFFLLAPAITTVPHLMSGVSLGLGLRCTMGFAYPLAFVRASLALDGVDQ >cds.KYUSt_chr3.39294 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247533634:247539598:-1 gene:KYUSg_chr3.39294 transcript:KYUSt_chr3.39294 gene_biotype:protein_coding transcript_biotype:protein_coding MADNTQEPLLPAAAESPPTAGDHVRSSLGERFLSWFRRSSREKKPPSSPPSSTDVAPPPSPNALAAAAAGLAEEAARGPALVDEAKSFAEAARVFAEAEEPRAPRLAEDLMERASALAVAADLLLQEWISTPTSATKLAVKALRLSMDSQVLVPGFALFAAPPPDEEGWTELRSLLRRARQFSSDASGTTMDQAAKDFYQAAEAFAAGDLKYEKVRDIPLSQAAMHLSAVANLAPPEEEKLAFIAQQLESDASILVLEEKNTTLVQSQVDHVETRTSSRGYFGYLLALLLFFTACCGSWVVAVTMMTARSLHRLMRTACVPGAASSITSAVVGASGSPAPPPPAFALPVLNWPWAFPDLVDLTHVPSDGEE >cds.KYUSt_chr1.19307 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113330357:113332073:1 gene:KYUSg_chr1.19307 transcript:KYUSt_chr1.19307 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEQPQIEHSHLVIRGLNLHVAQAGTGELGTVLFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAASYEDLQDDLLAIMDALSVPKAFLVAKDFGAKPAYDFALHHPDRTFGVMCLGVPFVHGGTSFATLPQGFYVLRWQEPGRAEADFSRYGVKRVVRTIYILFSRSEIPVANEDQEIMDLADLSTPLPEWFTEEDLGVYASLYEKSGFRYPMEMPYRKEMYDVPFDRSLHKRQRIEDPKFQVPVFVAMGEKDYVLKFPGIEAVLKDGVMAKFAPDLKVTYIPDGSHFVQEQFPDMVNELLLSFLKDHPVA >cds.KYUSt_chr2.37072 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229466408:229466937:1 gene:KYUSg_chr2.37072 transcript:KYUSt_chr2.37072 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVSVSVVVLVLAGAVLAAARLADGAGPCGASPPDQVALKLAPCVSAAKDPDSTPSQRCCAAVKDIGEKSAECLCAVLLSKTVRQIGVKPEMAITIPKRCNIANRPIGYKCGDFTLPNLQLQG >cds.KYUSt_chr7.25015 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156139205:156142977:-1 gene:KYUSg_chr7.25015 transcript:KYUSt_chr7.25015 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDEVSESSSSPSASSSGPAARLNAAAPEFTPRSAAQHQSHPHRRGPHNHHHHQPHHHHQHRQQYHHGDDEGDAAGVVERDAQLVLPEELARRVVKQVEFYFSDVNLATTDHLMRFITKDPDGFVPMSVVATFRKIRELIDRSLLPAALRTSTELVVSDDGKMVRRRVPFSDVDAEEVQARIIVAENLPEDHHYQSLMRIFLAVGSVKSIRTCYPQPQGIGTSGPAAAKSSRIEKLFANKLHAFVEYGTVEAAEKAVAEFSGGRNWRDGPRARSLLGCLKHGLGQGRKGGDEEAADEYDPDTPQDYEAEDMAQAEDGFYDKSGMGRGRGRGRGGRGRGRGQYHGQSRDGGHPIGTPPSNHSAEHPVVPKPPPGPRMPDGTKGFAMGRGKPQLNAA >cds.KYUSt_scaffold_869.283 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1747813:1748625:1 gene:KYUSg_scaffold_869.283 transcript:KYUSt_scaffold_869.283 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGGYSKNLEPNLRILAQKYNENKIDGLPQVCTL >cds.KYUSt_chr5.3252 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21018158:21019648:1 gene:KYUSg_chr5.3252 transcript:KYUSt_chr5.3252 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNTISFFFGGAAVERGVLRVDLRSPQFLRCRPWFPSSSPSDAATEMGENPGSGGRPVNRVWMLVASGGGWVGAVLAWSVFWSPRIQPLLAGAFRSGDGSMGVPPRGWHNDSTIFVKWGFHPRALCRRAAASSINDAGLLGYVSWRFMGGVCGVAAAEGVLRGLLEGSGLPRPDQELCELGACRRPMIVCVPDPVPRGWWLLRSIFAIWLGVFPAPRVVVAPGDVWLQRQEDVEGLPVILHLYPLCLL >cds.KYUSt_chr2.1986 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11973064:11974210:1 gene:KYUSg_chr2.1986 transcript:KYUSt_chr2.1986 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGKVVPFSFMRRETGVDDVTIKVQYCGMCHTDLHFINNDWGITMYPVVPGHEITGIVTKVGTSVSGFRPGDRVGVGCIAASCLDCDHCRRSEENYCDKVTLTYNGIFWDGTVTYGGYSDMIVAHKRFLVKIPDSLPLDAAAPLLCAGITVYSPMKQHGMLLGEAGRRLGVVGLGGLGHVAVKFGKAFGLRVTVISTSPAKEREARENLKADDFVLSTDDKQMQAMARSLDYVIDTVSAQHSLGPILELLKVNGKLVLVAAPDKPVELPSFPLIFGKRTVSGSMTGGMKETQEMMDLCGEHNITCDIELVSTDRINEALTRLARNDVRYRFVVDIAGNGSKL >cds.KYUSt_chr4.33239 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203671485:203674192:1 gene:KYUSg_chr4.33239 transcript:KYUSt_chr4.33239 gene_biotype:protein_coding transcript_biotype:protein_coding AVANMDIKLDFVPPWLINFMSRQLIGSGHKLYQKAVSTVAACDEDYKQALRAPLYARMREYQYSSNKANVMPIEKNANEVLPENPTATDPLAVTNLSPSSEIVEEESEQNTSFKVDHLATGSSNQLAEQAQQDKNKPFISPEVEEALGILDTAIAVLQGKRSGNISALKKFLSYDATSEEGSGIIGSRNSHTNISDTVNLLNGRPLTTPPRDSREIRQAYSLHHEDVRGREEGAFDSDSSKDMTTSTMITTKSTALRSTTKVHEEESLNIDGLRPNAFHSDNEPKRPREHSGIDVECPVHVCSSPPQLLPSERVTAPPPASVLPGECAALDPPLAAASVHPPANTLP >cds.KYUSt_chr4.19752 pep primary_assembly:MPB_Lper_Kyuss_1697:4:124271954:124273096:-1 gene:KYUSg_chr4.19752 transcript:KYUSt_chr4.19752 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSEESYISCLSDDCLLSIVSKLESESDRNAFGLTCKNWFKVRNVARKSVVFHFSYNPKVYKEHAQCLAKILARSPYLKLISLAGLTELPDSALYEVGSSGTSLMSLSLYCCFGITDDGLAQVSFRCPNLVIVELYRCINITDVGLESLSQGCHDLKSLNIGYCTAISDRGISSVFRNCRNISALIISYCRGVSGVGFRGCPSALSYLEAESCSLSPEGMLDIVSGGGLEYLNLYNLKSSAGLDSLGRVSSLTKLRFLNLRMCRYLTDDSVVAIASSCPLIEEWSLAVCHGVHLPGWSAIGLYSNKLRILHVNRCRNLCDQGLQALKDGCMRLEVLHINGCGKITNTGLALFSIARPSVKRVMDEAMSIGPPIEDLFRL >cds.KYUSt_chr2.38559 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239044716:239046569:-1 gene:KYUSg_chr2.38559 transcript:KYUSt_chr2.38559 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMRRIENPVHRQVTFCKRRMGLLKKAKELSVLCDADIGVMVFSPHGKIYELATNGNMQGLIERYKGSNAEAQSENSKQKNPQIIQQEVLFLRQEIDLLQKGLRYMYGAKDMDHMNLHELQALESNLEIWVHNIRSTKMEIVSREIEMLKNKVFELSGILYTGSNMMISHFPFQRTMESGHYF >cds.KYUSt_chr1.17999 pep primary_assembly:MPB_Lper_Kyuss_1697:1:105010547:105015021:1 gene:KYUSg_chr1.17999 transcript:KYUSt_chr1.17999 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALDHKEQFNVDLDPQKTLDFALFKRVRSQLMNPAESEESMAMLINCLLNAREPDEDKKLPPLDVIIYKDLVPLAWSWDRLLPWMSGTVLFEDYFNYLEEYFRQNFANDPPSLNLQAAAAACIVEEAKLMSRLADCISMADESMAGLWQWKFQFSEDVRKAALDILTTYKGPYSRQVSASLLGMQKEAITARELLNIADVNLEEKMHISELIRTSLLKLFDIILDYFPPESSVKYYPINRDYPALTSELTGLHLLEPSKQIRSVDGSSPANNYNIVKIPVTPNIDAPATPLSCDEDSVKDTKTNRKRKNIVGTQVSGTPRRQSSEPRKDVVIEDLLCSQITSDGDSSFASTEEYGKDINTSKKRIMGGPKESEVTCTQSSEPWKDVGMLDQLGSQITNDCVAAPFNPTTDTFSGSFPSISITHSVDLFGDGSDEDLTKKSKRTCDIQGSGVSSSENADYEKSITRHNSNIIKRSNIVRLDRVFVTAVS >cds.KYUSt_chr4.17089 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106591668:106592434:1 gene:KYUSg_chr4.17089 transcript:KYUSt_chr4.17089 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKGVVLLNCFVSPFGNRVRIALTKKGVEHEVTSENMVNKSALLLASNPVHAKVPVLLVAGKPVCESLVILEFIDEAFATSGEQLLPADAYARAQARFWAAYVDAKVPACAGKIWQSPTGALAVEEGKKEMVDVFKTLEAELGEKAYFAGESLGFADVALVTFAPWFLTYERLAGFSIAEECPVLTAWAARCAKENECVSKSLPDPEAVFQFVGGMRKHFGLQ >cds.KYUSt_chr5.38880 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246166512:246168131:-1 gene:KYUSg_chr5.38880 transcript:KYUSt_chr5.38880 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASLLRLAAAVLAAGMMMAASATHVPPPLPVLPIPNAAQLAWQRREVIMFFHFGMNTFTDSEVGTGAEHPSLFAPSALNATQWMDAAVAAGASLAILVAKHHDGFCLWPSAYTAHSVRASPWHGGASDLVREFTDAAHSRGIDAGLYLSPWDRHDERYGREVEYNEYYLAQLHELLTGYGRVSEIWFDGAQGKNVTKMTYHFQEWFQTVRQLQGAINIFSDAGPDVRWVGDEQGSAGPTCWSTVNRSSITIGDAGIEEYLNAGDPRGTDWVPPECDVSIRPGWFWHRNETAKPLSQLLKIYYNSVGRNCVLLLNAPPNTTGVVEDADIARLREFRAALTRIFGTDLAKGSAARASSERGVGFEARMVLDGSDDTYWAPTAEDGRKNGYWIELRRPAASARPFNVVRIQEHVALGQRVERHQVFVDGVAVASGTTVGHKRLHRLARPVAGRTVKVWLAARRGVPLVSAVGLHLDPYATDVM >cds.KYUSt_chr6.11850 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73673162:73678404:1 gene:KYUSg_chr6.11850 transcript:KYUSt_chr6.11850 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSEGGADGDESCCSVGDTSPGTIVWVRRRNGSWWPGRILGQDELPPSQIMSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIERAEATQGTLAKKREKYARREDAILHALELERKLLASKHQTQGFRPAYFSACTRHRQDLGSTRYKSKKRKRKNVSTLPAKEEDEQYFPHVGLKINFSESIAQGTSKNLISNDMGDLSHVRRIQGGASLESKEKYTVVKKNRSDGSDFDESIEKCDRHRPLVQVMQSSAKLLQHSQHIDDYGSILIGGDKDPSPATYRAKRSRYAYLPSDSGETHSHSDLPSAQVASRGADFETESYLQHPDSSSDEHTSSDFVGKHVSESSERECSESETEDDAELLQSANMILPPELRPRDPYFLKTSDKFGHVDNDDYDDDDDDEIPYSTYMHQLNQSEEEDGSSELGVSQWHIKGKRNNRNAVKRSIHMTSGSSCLDKPNGLRKGSMYKANGTNHRKGNVQTSNQQLIRKHIKEEPNYDSDETDLFEGTNHPEVNLYHSRTYPSSLKATRDLSRSYIYFNDYEHDSSKISPLNWDTDQIFRVDGNAYWDEPSFYQRSYNSRLGGTGPMLFDIDLKVQASYHGEHVPLVSLMSRLDGKAIVGHPIQIEKLVDGSTDHLVCGGDISMEENTGAPPSWRTGRRTAMQRVPRSNPSGASTGGGNEGGLAYPDWEFRKYSTPVNYQVKADKESMPNNRRSSTSKSQKKQSKNGSLSSQKVRTLSSISTGRRRHEGGGQAKAHSRSGILGGLIKPEGAIPLVTCVPAKVVFTRILEAVGRPPLTIAHHVKMSSPAMRDLS >cds.KYUSt_chr4.47204 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292136133:292142571:-1 gene:KYUSg_chr4.47204 transcript:KYUSt_chr4.47204 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKALKDLKLDVPAQETPVDKFLTASGTFKDGELRLNQRGLQLISEENGDENQSTNMKVEDVQLSMDDLEMIQVIGKGSGGVVQLVQHKWVGTFYALKGIQMNIQEAVRKQIVQELKINQATQSPHIVSCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAVLASSIGQRDTFVGTYNYMAPERISGSSYDYKSDVWSLGLVILECAIGRFPYIPPEGDGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAERKSASELLNHPFIKKFEGQDLDLKILVESLEPPMNVPE >cds.KYUSt_chr5.38078 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240540066:240540790:1 gene:KYUSg_chr5.38078 transcript:KYUSt_chr5.38078 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYRDLRYSSCKITKAGIGGPLVDFSGRFIGMNFYDKKIGTPFMFRDCIIRVLKHFEGKRTIDESGSGGTPIRWPLPKPCWRHPEDEVKDLLPPGDEEESGPFGFTYSNGVRVDYH >cds.KYUSt_chr2.33313 pep primary_assembly:MPB_Lper_Kyuss_1697:2:205589473:205590392:-1 gene:KYUSg_chr2.33313 transcript:KYUSt_chr2.33313 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWRREKYITNLPIDSKKIEPAGRGSRGELNHRLLDRGWSGVAEAASIRTVARRPVPDPPQLRQGILRVPAQVRHPSPSPSDHREQRHSTLPVPLHVAQRGCGHRTAAACLPSCNKDLLAMATPASIPSPADATAIGATIFAAHARVLDKEPPENLEGSGEREREVGNWCRKQGQ >cds.KYUSt_chr6.3814 pep primary_assembly:MPB_Lper_Kyuss_1697:6:21916928:21921348:-1 gene:KYUSg_chr6.3814 transcript:KYUSt_chr6.3814 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHGTKHAIRLAADAALVNVRGAGATRWSRTMQTAVELGNRRHLTPSAKSASGKTILRRCHRLRRPRMAVRARACGSAGVLARAFVRKRTTVLKRIVPGVEKLDDRDECTLLSETLDYAVCLRAQVDVMQLLTGTPQNHSVNHALEEEQRPGPSVSNVQITFPFRVKAHQQIDTEDMQYMSSMAPKGLCLLPLALLFLLFQTATANPRHENVIDRCWRGRGNWAANRQRLAVCSVGFAGKMRQNRGAGVTPYTVTDPSDDPVRPRPGTLRYGATVLPGKVWITFQRDMHIRLAQPLFVKSFTAIDGRGADVHIAGGAGIVLYEVNNVIVHGLQVHGIRAQPAGSVVRPGGAVQSVDVGDGDAIRLVTSSKVWIDHNTLSRCEDGLLDVTLGSTDVTVSNNWFHDHDKVMLLGHDDGQLTDRRMRVTVAFNRFGPNVNQRMPRIRHGYAHVVNNFYDGWRDYAIGGSMGPSVKSQGNLFIASTTDSANVTRRMPAGDTAGKEWHWHSVGDSFENGAFFQQMGSRVRPNYNRHQAFPAASSSVVRSLTKDAGALRCSARSAC >cds.KYUSt_contig_2792.172 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000505.1:794264:795698:1 gene:KYUSg_contig_2792.172 transcript:KYUSt_contig_2792.172 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPASTPSPYFFPSICVGAFDFHQAATTHVCLNVPSFLGFLSLVSSFSFSDGCTVAGDGRVANVLDGNESGATDEDGDAAAPRELDLRCRIFPAVSVLPAREGSAKGYWPGGLVRLGWEGKKGWAGWIDYINSATDISSFLLTADKRAN >cds.KYUSt_chr3.41092 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259281456:259282226:-1 gene:KYUSg_chr3.41092 transcript:KYUSt_chr3.41092 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPPAAPPPPLLGKGADPRRPRRPPPPERAEVPAADEELGQGHVGAGDVWQERGELRGEAGVHGEVTLVDGGAQPPQDGAHGAAVLEGAADGLERGELQHQPPAFLLPGGGLRFLVAGDLSFLVASDPSFLPGGDLRRVEGAEETKSRGRNAEAMEDARRRRAGLRRLVGGRLWVEQGQEVFEGGGGGSGDELDGWDIPRSDVTLPQLLDQIFGTGERIGWVLRPGPPPAQAERRTLSSSLAPFNISTASWLGR >cds.KYUSt_contig_60.407 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2395776:2398600:-1 gene:KYUSg_contig_60.407 transcript:KYUSt_contig_60.407 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATPAAADGEAVTGDAVTAGFAELERQQQLLASCTRLYQQLSDHFATLDRGLAARSDAIRHKRRAAEARTARALDSLRRRELTVDASVDRALHHLDSIAASASSAASPPAAGLADALRALCARMDAAAFLGFVAARRKEADALRAEVPPALSRCVDPANFVMDAVADVFPVDRREMKSPADLAWACVLILEAAVPALADPDPEIGAARPLVPRAARERARGMAREWKEAVELKGGVEGAKPPDAHAFLQHVATFAVAEREDRPLYRRIVLSFSWRRQMPRLALALGLDEEMPDIIEELIAKGQQLDAVNFAYEAGLQEKFPPVPLLKSYLEDSKKTSPTVSDNSSTSSGQSGSNTNKKEQSALRAVIKCIEDRKLESEFPLEDLQKQLEDLEKAKTEKKKASSSASSGGTSEPANKRIRASNGGPMPPAKAGRLTNNTSVSSFPVATAFVRSPSQTSYASPSQTSYASPTHTSYASPSHTSYATPSSYPYDRQPAHGLYCNRSPPAIREPYVYPANEVPSVGFGVPYSSPPMTYPATYGGYSNGLPAYNNGMAPAFHQAYYR >cds.KYUSt_chr4.3593 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20418569:20420075:-1 gene:KYUSg_chr4.3593 transcript:KYUSt_chr4.3593 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRCASRLLGSAASSASAPALPRFELPPPSPPAAQESHAGLVAATGTSASPLVPCELSLSPWDLIDQLHPSDPQEEKIFFETYLVAVAWRASWLFQPSMPTAGSIKEEEQQEEDMAARVIFGLQVCKKNTVRKKARKMKPNMTKEKIKAEASDATAGPETPLWTCKKNVNGAKRWTCWRPVSQPNSFCSYHSDQKPKRKRATDVEEGFYYYTGFGPSRSKRHRISNSSDGMPAEPQPARQKEEAPAKEEMHIDSSPRQAQADGADHQAAPPVHMDEPMSDDGTAGIAGLDEESSDDDVIKSREIKSKSPLKKRWRKPVKARSLKSLMYS >cds.KYUSt_chr7.6040 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36151438:36154901:1 gene:KYUSg_chr7.6040 transcript:KYUSt_chr7.6040 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRGGGAGDGALSRRWVLLLCAGSFSLGLLFTNGYVKLSTLLHCSLTVISCPITRNSERVCAWDALRMWTLPEATEVAKPNERRGEPAKLTAGDCNSAKVPEKHDFRDTLSVLDSTNDVQTLDKTISNLESELSAARTLQESFLNGSPVSEEYKASESAGRRKYFMVIGINTAFSSRKRRDSIRNTWMPRGEKRKKLEEEKGIIIRFVIGHSAIAGGIVDRAIAAEDRKHGDFMRLDHVEGYLELSGKTKTYFATAVALWDANFYVKVDDDVHVNIATLGQILSKHISRPRVYTGCMKSGPVLSDKEARYYEPEHWKFGDKYFRHATGQLYAISKDLATYISINKHVLHKYINEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWRCSGICNSVQNIVGVHNKCGESEKALWAASF >cds.KYUSt_chr1.15458 pep primary_assembly:MPB_Lper_Kyuss_1697:1:90052818:90053639:1 gene:KYUSg_chr1.15458 transcript:KYUSt_chr1.15458 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRTPARAASPGPTRHRAESPPPPPQQLKVRYLPPGGFTCSWSLLLGPALFISVLSSRIVVPVFVEMPSSAHPLRCLPFWAQQERPHEEVEEEKASLPVGVGGEEDPVPAASERSATGVQKQKPDSEPATQGTGTAALPARCTRNDGKRWRCKNEAVPGYMLCDRHMAWSTRRRRRPRASNKNRSGRVFEDEDSGEDEARNKHEAAMMEASNLPCDGGGDEFMQRARNGGAGPAA >cds.KYUSt_chr4.51610 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320293923:320295581:1 gene:KYUSg_chr4.51610 transcript:KYUSt_chr4.51610 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLVAAPFPLAASRPRARGPPARLAFAPLPLSPTRRVAVPVVLRVASDGRADAPEVEVEEEANEARMAVSERKARKESERRTYLVAAVMSSLGITSMAAAAVYYRFAWQMEGGEIPVTEMLGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIVNAVPAMALLAFGFFNRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIENVPYFRRVAAAHQVRIHHMDKFDSVPYGLFLGPKELEEVGGTEELEKEIQKRIKRRETLDAMQ >cds.KYUSt_chr1.33630 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204294355:204297867:1 gene:KYUSg_chr1.33630 transcript:KYUSt_chr1.33630 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIRSALARARSAPRLRPPRARHYAAVGDTQPERVAAEMVRYALGGAGQEVMRILEQGASNLQGGAEGAAEAVGMLMLTMSTLLYRSGRRQDAMEKLKATQQVAPSAAFRVAAWEALMGLRMEAGQDVSSSMSPNDSVDLSVKDEEIKWSDQDHLKFRVNAIKGLAALLNGEIDTAQTLFGGPKDSFAGVGNDQTENAVLTYGEYLHCTGDFPLATQMYEKVLEAAKTEDKSGNLLAAGNMAPEEVSLGATCSYGQLLSQSGKFGEAEDYLTRALQKAEEQFGSNHPKVGIVLTCVARMYKLKAKSEGSSSIMVQEGLYRKALEVLKAPAINSEDTRSQVDLRDIISLARGEYAELLLIQSNRKAEGERMKEWAQDAWKNRRLTLAQALEFSELSKPTVVDTRIGRVI >cds.KYUSt_chr4.7541 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44921216:44922184:-1 gene:KYUSg_chr4.7541 transcript:KYUSt_chr4.7541 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFSSSWKRAGDDLESGGGGGGGVEMTVPPGAAAGASLDRFFEDVESIKDELRDLERIQRSLHDGNEGGKSLHDAAAVRALRSRMDTDVSAAIKKAKVVKLRLESLDRANAANRSLPGCGPGSSTDRTRTSVVAGLRKKLRDSMESFSALRGRITSEYRDTVARRYFTVTGSQPDEATLDNLAETGEGERFLQRAIAEQHGRGEVQSVVAEIQERHGAVAQLERSLLELQQVFNDMAVLVEAQGEQLNDIEGNVGRARSFVERGREELQVARKHQKSSRKWMCIGIGIVLAVVLIIVLSIVLSNRSSNNNSSNTTTNTNP >cds.KYUSt_chr3.18443 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113400500:113401405:-1 gene:KYUSg_chr3.18443 transcript:KYUSt_chr3.18443 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPELPSRLNKTLPHTSPHFVALFAVPLLSFHFISTNDPYTMNLRFIAADTLQKLMMLAMLTAWSHLSAKRRSARPTRPTLCVRPWSVIQEASKQTHEQSPVKTTIRRPAGRPYRPITCIDAVRNHIPRAPTTTHTRSPRSDEAMASSHNREDQVLITVALLLVLSSPRAASGAPNTTPLSVRCNGAVYGAGDPFAESLAYVLADLLAATPSSRARDAYSISPYPNAFAYGHAACGGAGPDSRGLRDLPRLRRSQRAAERVVASARPRRRAHERGPRDHVLAWHFVEHTPSAGARRPALA >cds.KYUSt_chr5.41120 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259488867:259493827:1 gene:KYUSg_chr5.41120 transcript:KYUSt_chr5.41120 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVYLCSDLDEVTWRGPENAQDNLKNRELEHAIVARDITVAVAARNGARYHANLQAVEAEEEAAKRKSALEKLTHGYAEKLEDEVIDPCLVPECLVHVDGAAPVSLTYSVTMEDYIDEESGDGIVPPLWQPNGHTMRRNCGAHRGVDYTDDEAHEDESGNA >cds.KYUSt_chr3.23170 pep primary_assembly:MPB_Lper_Kyuss_1697:3:143387088:143387909:1 gene:KYUSg_chr3.23170 transcript:KYUSt_chr3.23170 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANRDQSPFFHQCLLLLVLPSTPTRIRLRHNAEEATPDYQSTREPTCNTARFSPLDPFRSIATMVHPRGTPSTGRHRIEMALRVDKNSRQVTFSKRRSGLFKKCSELALLCGADLAVIVFSEAGNVFALGSPSVDAVLRRYVPLPAGAPVPAAADDAGVDEDDDREALEKMCQAKEATAKQLASEIERMNLIGYKVIEAQGERRFWWEADVEALGQAELPEFARSLERLRNNVRRHADKLPRPAAALVPAQAPAMAAVAPAGDAASYYLAY >cds.KYUSt_chr2.1320 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7824812:7826775:-1 gene:KYUSg_chr2.1320 transcript:KYUSt_chr2.1320 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQQPRVLQALDVARTQWYHFTAIAIAGMGFFTDAYDLFSISLVVDVIGHRYYGGGRPPRGVSAAISVIALCGTVPGQLVFGWLGDKMGRKRIYGYTLILMVACSLASGFSFSKRTGKSVVTVLCFFRFWLGVSIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQVFFCSNTYFKGFGNLAAGIVAMITSKAFEKASPNDVDYVWRIVLMIGAIPALLTYYWRMQMPETARYTVLVAKNAEQATSDMARVLSMNIMPEEVEQAPLDDVLVGEGEDQYGLFSTEFCRLHGIHLIGTTVCWFVLDVTFYSLNIFMLDIFTIFGILPSPEEPGNQVTRMIRATGLHTAVALCGTLPGYFFAVAFIDRVGRIKIQLLGFTMMTVFTLCLAIPYDQWQKPKYRVGFIIMLGFTFFFANFGPNTTTFILPAEIFPARMRSTCHGISGAAGKVGAIIGVLAFSFMGTHFKALLFVLVGCNLVGIMFTLLLPETKGKSLEEITGEKEECQPLDDKVAVVNAAQGIHAVSI >cds.KYUSt_chr1.38468 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235164860:235168092:1 gene:KYUSg_chr1.38468 transcript:KYUSt_chr1.38468 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSQLDDGTVRGMSIGAVFTDYAGKINCLDFHRKDDLLVTSSDDDSVRLYNTTTATVVSLCMSPANDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPSVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVSDIKFSNDGKSVLLTTTNNHIYVLDAYGGEKKCGFSLEPSRNIATEAAFTPDGQYVISGSGDGNLHAWNINTVQEIACWNSHIGPITALKWAPRRAMFATASTALTFWIPNESNSS >cds.KYUSt_chr3.2482 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14201949:14204821:-1 gene:KYUSg_chr3.2482 transcript:KYUSt_chr3.2482 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPEWDFDFIPDGPPETLVGSDGDLPLTDGEGELQFLIEGELESKSEDDLHSWGNPTSSDKEEEEEDEDEEEEQEEEEEDDSSSSAGVLRCGPKGVAMVVLLRGGGGVSRACPDRLGERDSGDGGCIGSRLPP >cds.KYUSt_chr4.18881 pep primary_assembly:MPB_Lper_Kyuss_1697:4:118549887:118551332:1 gene:KYUSg_chr4.18881 transcript:KYUSt_chr4.18881 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDVEVVVVDISEARIAGWNSERLPIYEPGLDDVVKQCRGRNLFFSTDVNRYVGEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILAHNGRGVRYQILSNPEFLAEGTAVQDLFCPDRVLIGGRETPEGQAAVKALKDVYARWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVTEVAYSIGKDKRIGPRFLSASVGFGGSCFQKDILNLVYICECYALPEVAEYWRQVIGINDYQKSRFVNRVVSSMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCRGLLGDKAVLSIYDPQVTEEQVRRDLAMNKFDWDHPRHLQPVGETAGQQVLGVAPDAYEAARDAHAVCILTEWDEFRSLDYTRMYDAMQKPAFVFDGRNIVDPEKLREIGFIVYSIGKPLDHWLRDTPAVA >cds.KYUSt_chr1.17258 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100188634:100190583:-1 gene:KYUSg_chr1.17258 transcript:KYUSt_chr1.17258 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGHGPPVPSPTVHTYGILLDCCCRARHPDLALAFFGRFLRAGLKANCLIVNPLLKVLCQAKRTDEAADVLLHRMPHLGCMPNAILYNTVIKGLCDDSRSQHALKLLRMMAKQEAGCSPDVVSYNTVINGFLKEGKFSTASNLFHEMVQQGVVPDAVTYSSMIDALCKRGRSREARQILDCGILKGLKPNIVAYTTMLHGYAKEGCLVDMNNLYNLMIGEGIVPDQYVFSILIHAHAKCGLVDEAFLIFQDIQKQGVKLNVITYSAMIDAFCRKGRMNDAIQQFNQMINMGVRPDVQIFGCLIQGYCTHGDLVRAKELVHEMKEKGISYPGIMFFNSIINSLCKEGRVTDAQDIFDFMIHIGEKPDVITFNSLIDGYCLVGNMQKASRVCDDMVSVGIEPNAITYGILIDGYFKAGMVDAALTLLKEMSGVAAKPDTLTHAIVLDGLFKDGRTVAAKEMFHEMIKSGVRFSIGTYNVILGGLSKNGCADEAVMLFDKLRAMNLKFDIRTLTIIIDAMFKVGRIEQAQNLFAAIPAKGLVPTVITYTTMMSNLIEKGLVEEADNIFSSMERSGCAPNSRMLNIITRKLLKKGEIVRAINYMSRVDGKSMSLEASTISLLISLFSRKGIYHKHKDLLPERYQFLEGDIRS >cds.KYUSt_chr6.20704 pep primary_assembly:MPB_Lper_Kyuss_1697:6:130525462:130529541:-1 gene:KYUSg_chr6.20704 transcript:KYUSt_chr6.20704 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSYPATSGPSASSGGDDEDDLYGRLKTLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVLYSDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTSKMNLSDEVDLEDYVSRPDKISAADITAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFDFYK >cds.KYUSt_chr2.26068 pep primary_assembly:MPB_Lper_Kyuss_1697:2:159602598:159603197:1 gene:KYUSg_chr2.26068 transcript:KYUSt_chr2.26068 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSISALPPSSYSKLETSPRPKLDFALSPPPAARASGKENLHFHDDATFSIADTSMEDWRATVKPTSPMFERGRLYDLYSARRNERLKRKHGWYTAAEEEAGAMAEDPCVAVELSKRRVAKKAGAESVVRRSMPAAAESSLSYRAGGGLSAMRSSLRSSKEMKKPSAASSCATATKPSAIKDRRVGMRSSSSSSVRRF >cds.KYUSt_chr6.12807 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79978778:79980277:1 gene:KYUSg_chr6.12807 transcript:KYUSt_chr6.12807 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPASASSLSPTPSITSSPSARQIAAVVLNHPSSTLTTASARSLSASLLAVAPALPTPVANSVLKLLWHHAPRALLFFHSLLHLPARAHAVSPCTIDLALDLSARLRHPRQLTNSILALFPRLRLPFTPRTFPILFERFAASQRRPDVAVRLFLSLHRSHRVTQDLPLFNSLLDALAKSRHAAKAASLVRALQARFPPDVVTYNTLADGWCRVKDTSRALDLLRQMAESGIAPTKTTYNIILKGFFRAGQVQHAWNFFLQMKKRGSKDESCSKPDIVSYTTVIHGLGLAGQLDKARKLFDEMSQEGCCTPSVATYNALIQVICKKGNVEDALTVFDDMLQQDLTPNVVTYTVLIRGLCHAAKIDQAMKLMERMKSEGCEPVVQTYNVLIRYSFEEGEIDKALCLFERMSKGEDCLPNQDTYNIIISAMFVRKRAEDMAMAARMVMEMVERGYLPRRFMLNRVLNGLMLTGNQQISRDLLRMQEKYRRLRREIRL >cds.KYUSt_chr5.8676 pep primary_assembly:MPB_Lper_Kyuss_1697:5:54944382:54945268:-1 gene:KYUSg_chr5.8676 transcript:KYUSt_chr5.8676 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFMALGLAAALLSAAGPAAAQNCGCQAGFCCSQYGYCGTNSSYCGDGCQSGPCTSGGGGVGSIVSDAFFNGIKSQSGGGCAGQSFYTRQAFLNAVGSYSGFASGSSDAAKREIAAFFAHVTHETGHFCYIEEINGANQNYCDTGYPQWPCSSGVKYYGRGPLQLTWNYNYGAAGQSIGFDGLGSPQTVAQDPVLAFKTALWYWMTNVHGVLPQGFGATTRAINGAVECDGKNTAQMNARVGYYQDYCRQLGVDAGGSLTC >cds.KYUSt_chr3.35166 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220818464:220820014:1 gene:KYUSg_chr3.35166 transcript:KYUSt_chr3.35166 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLVTTLSMENGAGGAHHGPCTLLSMDPSGHLDGRAVGVMVQPRIGAGAGARAHAVSLTGAPPPDINQPWLTEACDMLGVGLGPQVFDAEAVLSTAPKAGSRKTAKRGDSIWGAWFFFTFYFKPLLSDKGKSKVVRDANGVSGFDKSDLRLDMFLVQHDMENMYMWAFKERPDNALGKMQLRSYMNGHSRPGEPHFPFSVDRGFVRSHRMQRKHYRGLSNPQCIHGIEVVTSPNLVGITEVDRRRWAELTGRELNFCIPQEASDFGTWRTMPNAELELERPQPTMKSSVQQQPPKKLLNGSGLNLSSPSNHSGEDGMDLSPVSSKRRKESFPHTMDEECFLPLNSCAGRTQQDTEMHSAVQPSWLHDFSGVMTKSCGPATAAKSIYEDDQGYLIMVSLPFVDQQRVKVSWKNTLTHGIVKILCNSTARTQYIRRHDRVFKLTDATPEHCPPGEFIREIHLATRIPEDAKLEACFDEAAAVLEIMVPKRGNEPEEHEVRVSMRPPHLGVNDLLMT >cds.KYUSt_chr7.34095 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213021713:213022440:-1 gene:KYUSg_chr7.34095 transcript:KYUSt_chr7.34095 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLALAALLLVASCASAASAAKYTVGDSSGWTTGADYTTWASDKKFKVGDSLVFNFAGGAHTVAEVSAADYASCSSANALSSDGSGASTVALKTAGKHYFICGVAGHCSSGMKLAVTVAAATAASPPPKASPTPTPDSPDTTPDTTPTSPSTSTGGSTTKTPATVLAPPTKQSESGAAGLRATALAGLGVAGLVAAALL >cds.KYUSt_chr7.2631 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15467661:15470673:1 gene:KYUSg_chr7.2631 transcript:KYUSt_chr7.2631 gene_biotype:protein_coding transcript_biotype:protein_coding MPADRTVTQLDDLPEEIIVDRILMLLPAKDVGRCRAVRPSWRSATSTPKFMLAHHHRQPSLPVVQRERMTGLFRDIGDRAYYQPFWPNRETGQYVHAIGDGFVVVGVLCRFYICNPTIRQLAPLPQPEFQPTNTVLGLYRHDATGEYRVLWSSVLNWRKQNGKKLHVIAVGHNQSRNIGVRMSTEPSSPAREQALLEKLPRGTYSIYNSPVHHRGNLHWMSNCGTEIVVFDTATELFQCMRGATNLDSSQKLFDVHGNLGLCSRDSRRTYMDVWAMEDYKAEIWEFKYRIATSSIIDVSRSLDLTSLEKEKRKRTVIEFDPMMIIITGFTTLNERRPIADEKHIQSSRLSSYANAHAIEVATAVFLDSIFKRDHRIDHASPAIDAATVPDDSTILRMFITLHPCRDTTAPCRSDSMTPTQQKHTAPPQHHRHPLSAPKTIPPTGRTALRAAIVRSGRPGSRVSPGAARRRMQTVETMPSTR >cds.KYUSt_chr1.18934 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111188244:111192553:1 gene:KYUSg_chr1.18934 transcript:KYUSt_chr1.18934 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRKASDGAPWTPRPPAPRRETENDYRELSPIAKLLIGGCANDAEQSTRVAAPPSPGYMPAMNVMDAYSMSLQTQERELSVNLRGRAARDYTRGLSDGGEVNEVTSPLRFVALSELIVPQPPAFDQPFMHRRFIKEGEMTLKRKASDDAPGTPRPPAPRREAESDEECLSPSAYFVSGDSGSDWEQSPRAVLPPPPSRDTRSINLMSARGLSDGGTGAGAEGEVSSPWRLVALSELIVLQPAAADQPPAAVPQADHSFAEAAAPAPTPEPEPETAAGAHDVCFSSPAGPSDGGSAAGEEEEVSSPWKFVRLSELIVPQPPAADQSAAVRPVICQACRRRVALMPWAPYRHVCFCATCRYNPAARISCCICGAGRRSWIKRILVFTLITMAHILTGCSFSRTVWFEVLSWIRSTAGPPTVEGDFAEWWSLVVRTSPRQLRKGTSSLIMLTAWWIWKHRNAAVFDNARPSVASLFSDIRAEARQWADAGARGLRQLLP >cds.KYUSt_chr1.19058 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111903497:111903736:1 gene:KYUSg_chr1.19058 transcript:KYUSt_chr1.19058 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFPLPIHVPAIKKTGRWVAVEKGQRDRGGEARGIGEEESGESEEATRLQLVVLLPSETSSGLDEQRRSACQPTLQS >cds.KYUSt_chr1.1115 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6089961:6094292:-1 gene:KYUSg_chr1.1115 transcript:KYUSt_chr1.1115 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESATARIFLAACFFFLSNTHHVTAATSPPPSFSFDFSNTSKDQLGDLRFEGDAALNGNLVDLTCNDDSLFCLGRMSYNHPVALYDNNTGEVASFATTFTFAINILPNTTQRGDGMTFFLSGYPSRLPPGSSGSVFGLRNWTNTSPSGEDRFVAIEFDPFSNGQWDSISNDHIGIDLNSLTSISSTRLPIYSLNGTMTATITFDNATRILEAILNFDYNSSLAPASVKTQLPAQLDALLPPVVSVGFSAGTGGYSELHQIHSWSFNSTMAATGRQGQNLVIGGLVILVLAVLLAIWSTFSWCRLKRIRNSFGKDSRLKRYEYSDLSMATDRFSEKKEIGKGGFGVVYSGSLKKKDVAVKKILKDSRGEFKDFLAELGSIDGTGHVNLVRLEGWCCSVNNYMFWCLGRQHVELFLVYELVPNGTLHQHLYEKSEVLSWEMRFKIVKGLCNALHYLHHQCSQYILHRDIKPGNILLDSEFNAKLGDFGLSRVAEHNNVTSVQTEAAAGTMRYMDPQSMTDGQANLRRSSDVYSFGIVLLEIAHGKYNPGLVRHLHKNRPYTFVEDVADEKLAGQFDRVQMERVIILGLRCCEEVASKRPSLDAAAMQFLESGGELHAATIHKDEPRPTTPRA >cds.KYUSt_chr2.53496 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333697871:333699634:-1 gene:KYUSg_chr2.53496 transcript:KYUSt_chr2.53496 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVADAAEQERLRRLRVREEERRVEVVERRAFLAVVGAAPAAAAAMAAERLIASSDDPEDCPGLQTAYLTSLNDKDAWRRDLDTAIVMSIRDTSKPLVGLTDDDEAGPSGLVKDEPGERVKQEVVTEDMYNFHKYYDASDRRKYF >cds.KYUSt_chr6.28688 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181787237:181787855:-1 gene:KYUSg_chr6.28688 transcript:KYUSt_chr6.28688 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPDTADLDPVMHAMGFELDTVSPSLLAGRLPVTTRCCQPFRVLHGGVSAMISEGLASMGAHMASGFRRVAGVHLSINHFRSAAVGDLVHARAVPVHIGRSTQVWEVKLWKVDPESPEKKTQIAESRVTLLCNLPVPENLHNAGDKLKKYVTDAGPAAPAPPTSKL >cds.KYUSt_chr2.1322 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7836008:7837990:1 gene:KYUSg_chr2.1322 transcript:KYUSt_chr2.1322 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSSINTLTRLYLGLWKLPGAAALRGASLPHLREIGLCCVEMEHGDVDALVARSPVLEILNILACFKGLRLRVVSQSLRCLQISGGIRENVAVVKAPNLERLILYGCCSPGGGLCTRVRIGDAPKLQAFGYLEPVHVLEIRDTIIMVHLPSPVFPFTIQLANMAN >cds.KYUSt_chr3.8874 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51763148:51764728:-1 gene:KYUSg_chr3.8874 transcript:KYUSt_chr3.8874 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQSPGSPASPPSPGKVMSPELPEPSREMSSPEEEAPVNPDRKSSSSSSSSSSSSRVPLAGCVFHVEAAEMSTPLVVEAQNDDGDHADAGAGTDAKLPGDWASWPEPSPPKTVDDDPSSSDGGTVSVAPESQTMAEMEGFNPDRIPASIFQPKTSASQQEWSIASNESLFSIHGASQSQSDDFYAPSRSHFDYFYDEAMAAGAEPNGKLPPLAEVSEHGGGTVPGSAVSDASDGSAAASKAAMAFRRHESGSLGSSSNFSFAFPILAEPSAGKKESMGGYQQLQKEHERSPPTPSERKSQFEEMTTEEERRRRKTSWCCIHVHLLLLLSVAVELLLLLLLPPELMPVKLVPLIHR >cds.KYUSt_chr3.38836 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244646034:244651843:1 gene:KYUSg_chr3.38836 transcript:KYUSt_chr3.38836 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPALDYVRGALFALLLVWILAEFVKQGKRHQEAGGHGTVVSAQRRGLAAHVVAFCNASITLSHIGFSVLWVWKKQALSLGLVFESASWLLATLLLLYCKREGSGGVACYYWPVVLVSWWFFSFFSESLLISLHLLRLFSSATVVDFASLPFSTIICLVVVAMRLSKANQKEEPDQQPLLLREDSDDSSRDRFSSSGWWSQLTFRWLNPVFEKGHRVRLELEHIPSLPQSETAEQSYAFLQETIHKQKPEPMPLRKAIICAVWTPLVGNAVFAGLNTVSSYMGPFLITYLVELLSDKNMDKGHGHGYMLACLFFASKTVESLSQRQWYFGARRIGFQVRAALMVSIYKKSLLMKNSSTVAGKVVNFLDVDVEKVGEFFWYIHGIWLLPLQIFLALAILYRSLGAMASLSAVLVTVLVMVSNTPLANLQQNLNVKIMEAKDSRIKAMAEAMKSMRILKLHAWETAYLDKLLKLRDVERGWLRRYLYTCAAIAFLFWASPTLVSVVTFGVCILVEIPLSAGTVLSALATFRILQDPIYNLPELVSMVSQTKVSLDRIEEFIKEDHQGKPSSYGSITGTKDLPMAGEMEIEPGEYSWEADNSSKKTKITLKIDSKLSIRKGLKVAVCGPVGSGKSSLLYSIMGEIPRVSGAEATVVGSMAYVPQSAWIQTGTIQDNVLFGKAMDRRLYQEVLQGCALDRDLELWANGDLTVVGERGVNLSGGQKQRIQLARALYSESDVYFLDDPFSAVDAHTSAHLFKECLLRLMSSKTVIYVTHQLEFLRDSDIVLVMKDGRIVQSGKYDDLIADKDGELSTQMAAHNQSLSQVNPAKAHGLTKSKKRKKQMELTEIESDCHVIGRECEEERESGRVKWDVYRKFVTAAYGGGLIPVILLCQIFFQGLQICSNYWIAWAAERDDQVSKKKMIGIFVLLSAGSSAFILGRAVFLSTIAIETAQQLFLGMTRNIFRAPMSFFDSTPSSRILNRASTDQATVDTDIPYRLAGLIFAIIQLISIIFIMSQIAWPIFLLFIIIISISVWYQSYYISSARELARMVGIRKAPVLHHFSETVSGAATIRCFNQGEKFFTKTLALIDDYTRITFHNSATVEWLCIRINFLFNLVFFVMLVILVSLPRDTIDPSLAGLAATYGLNLNVLQAWVIWNLCHVENKMISVERILQFSTIPSEPPLVIENCRPRETWPWCGTIQIEALQIQYSPEMPMVLKGISCTFPGEKKIGVVGRTGSGKSTLIQALFRVVEPSAGRILIDGVDISLLGVHDLRRRLSIIPQEPTLFQGTVRENLDPLQEHLDTEIWEVVRKCRLEEIVREDNRLLDAPVVEDGGNWSVGQRQLVCLARVLLMRKKILVLDEATASVDTATDNIIQKTIRQETDNCTVITIAHRIPTVIDSDLVLVLGEGKILEFDSPENLLRDETSAFSKLVMEFVGRSEGRH >cds.KYUSt_chr2.37914 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234637714:234639105:-1 gene:KYUSg_chr2.37914 transcript:KYUSt_chr2.37914 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTLSLGVDGGGGATAKKRKLRDDGGEADGGDRARVMRLLQARDRVAKVELDHHDDDHEGKGGEGGLRLMRLLLSSAAAGEVGDMHAAAVALREVYRHASFRGSDPVQRVAAYFADALASRLLLPSPPPVPATTTRAEQFLALTMFYQASPLYQFAHFTANQAIVEAFEASGRRRLHVVDFDVSFGFQWPSLIQSLSDAAATTSSGSNDGSGSSGGGGEPVSLRITGFGASTDELRDTEARLTRFADGCPNLRFEFEGVMNDGPEDGRHGRIRVDPAAAVVVNLVFPAAASSRESRATLDCIRALDPSLVFLIDRASDGNPARSRNSESLLPRFASSLRYYAAVFESLHECLPADSAERLAIERDHLGVEISDAMAASPDHRAADYWTETLERAGFEGARLSSRTVSQAKLLLKMKSGGCGGGFRVMEDGGDRAMALGWRDRALIRATAWRPCRRSVGKNDL >cds.KYUSt_chr7.29224 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181844418:181847916:1 gene:KYUSg_chr7.29224 transcript:KYUSt_chr7.29224 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGYCGSVKERMERAGQTSKSTVSKPTSPISDESSGKQRPTKRLKVNFPSPVHAAKVEFSKEQQPAAVEIVSSETVQSETTELPTKSPSGCSLVDSNAVPGLINGDLHSMDSVILPETAVQAEELCDVNGDIEMKDSKLKSVDETSIGASISAMKKSGASILHALTADELRDHMRSLNHDTCPWVACDKCKAWQHQICALFNPKVVEEAAEYTCAKCLLKEKDSGDINLLESSTVLGALELPRTKLSDHIEQRLSARLEHERLQRARASGKGLKEVPGVEGLTVRVVSSAARILQVQPRFRDFFKEGIYPGEFPYKSKAILLFQKNEGVDVCLFAMYVQEYGSDSPLPNRRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILISYLDYCKKHGFVSCSIWACPSTKRDDYVLYCHPTSQKMPKSDKLRSWYQNLVKKAVKEGVVVERNTLYDFFLQPISECKANISAACLPYCENDFWPGEAERLLEKKDEKTSQKKETQVGRLLRVAKRDDRKGDLEDILLAHKLGEKMRTMKEDFIMLCLQQFCKHCHQPIVSDVMQMS >cds.KYUSt_chr2.20083 pep primary_assembly:MPB_Lper_Kyuss_1697:2:126285365:126286813:1 gene:KYUSg_chr2.20083 transcript:KYUSt_chr2.20083 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANVLLLPEPGSGHLMSLIEAGKRLIGHGGSDALTVTVLIIRPATAESAAEVDAHVSRVAASGSGIRFHRLPAVDPPTDCAGNLQEFKSRYMQLQAPHVKAALADLDAAALVIDFFATAVIDAARELAVPTYVYFTSTAALLALTLRLPVLDEVVQAFDGAVDVPGMPPVPAGSVPGFLGDKKSPNYAWFVYHGRRFMDADGIIINTVGELEPGLLAAIAQGRCVPGRRAPPLYPIGPVIDLGVKESSEDCVRWLDAQPRASVVFLCFGSLGFFDVAKAHEVATGLERSGHRFMWTLRGPPVAGSRHPTDADLDELLPEGFLERTKRRGLVWPMRAPQKEILAHPAVGCFVTHCGWNSTLESLWHGVPLVPWPLYAEQHLNAFELVSDVGVAVAMEVDRKGNNFVEAEDLERAVRCLMDGSDEGLKAREKAMKMKAACRKAVEDGGSSYAALQRLRHAILRGTKCNGVASPGETHLATTS >cds.KYUSt_chr3.29960 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187562746:187564205:-1 gene:KYUSg_chr3.29960 transcript:KYUSt_chr3.29960 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWHENFPQDIVNENELFKQQIPFSAKQLVEIAVGLERSGQRFLWVVRSPRSPYFKYGDSLPEPDLDALLPEGFLERTKDRGFVVKSWAPQVEVLRHRATGAFVTHCGWNSTLEGVTAGLPLLCWPLYAEQRVNKVQIVEEMVLGVEMRGYNQEVVKAEEVEEKVRWVIASHGGRALRERAAAAKDGAAEALKEGGSSHLAFLQFLHNLDTPTLQQD >cds.KYUSt_chr5.1924 pep primary_assembly:MPB_Lper_Kyuss_1697:5:13219051:13224374:-1 gene:KYUSg_chr5.1924 transcript:KYUSt_chr5.1924 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRRGRLRRRPAPALFPVRRAAHPAVVDSSYAAPLPAARTINGSQAAPQRRVLAKQQRTAAPPHLGLKLHQLARAKPQQLACMQQLQCPAPSEQVRPCVGSSKIAATALACIRASSSAAARIQAAYVGQQHHDLPAASSPPTSASRTAASTFGFASSSVGLLRASPAPSTSSTRAAATTFASRPCQRPSGFSPLQLPPAATASAAISGFRRARKPATSPRPTPAATGSASFAPRHGHLRLPGDTASWGLRPPRPPPATRAPASTPATPAAPCAPSAGSTSSTDCGKREIKGKVDPAGEMAGTSWRTPCPPCQRPRAFELAVLSDHFRVLFSTSRRTPRPLCQRPRAFELAVLSDHFRVLFSTSRRTPRPLCQRPRAFELEVLSDHFRVLFCTSRRTPRPLCQRPRAFELAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVLSDHFRVLFSTSRWTPRPLCQRPRAFDLAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVLSDHFRHEPTDTASTLPAAQSLGHHPAAPSPPRPAAPSRLVRLRLRALSGCDFVASSDCDFTASSGCAFAPRPAATSSPRPAVPSSPRPAAPSRLVGYDFAAASSAAPSRLVRLRPVASSGCAPSGCAFALVRLRLVASFAAPSPPRPLRLVRSAFAPCPAAPSRLVRLRLVDSSGCDSSTRRLRLRRLVRLRLHRLVRCAFAVSSGCAFAPRPAAPSPPRPTAPSLPRRLRLRRLVRLRLRRLVRLRLVGCAFAPCPAATCRLVRLRLRRLVRLRLRASSGCAFASCPAATSLPRPAAPSPSRRLRLRASSGCAFAASPDCAPAASSGCAFAALSGCAFAPCPAAPSPLRPAAPRPAAPSRLVRLRLRRLVRLRPAASSAAPSRLVRLRLRVLSGCDFVASSGCAFAASSGCASSAAPSRLVRQRLRASSAATVGSSGCAFAASSGCAFCALSDCDFIALSGCAFAVSSAAPSRLARLRPRCLVRLRLAASSGCAFAPHQPQRLRASHPRHAMVYIDITIIIYIINIEFGTLHATRLLFESHAGSGANVGGDDPRYGKVLDFMNAHEFADQENMLRHKRYEEKKIKKRAASWAGFRLSGPSDCDLLPLPPGGPTSGSRRPAPLQLRPGLLRLPGPTRTRSRWAAGRLAQADLATSDWAAQVVARPAPGSALPARCHAMWPAPFSAYARATGPSTYLHGRQLPRSIVVASFSKATHEPASPSR >cds.KYUSt_chr2.17567 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110640627:110641229:-1 gene:KYUSg_chr2.17567 transcript:KYUSt_chr2.17567 gene_biotype:protein_coding transcript_biotype:protein_coding MKFACFGGAAAVADETVAAATRHRRRGTKFLFGSKGDKSTSQQTKTKGTTSPGRRREMTDFDIYMAAAAARKSSAPSTSSSALSTAASLDSACTSSSSSISSCSRSSSSSSLSSLADTTPPRPAKRPQQQGERKRSPALGPAAVLVCLVMMVFGGRLVATLLTAAVLAFFPRQCPATGLLRRPGERRSTRRRLSVVSPSL >cds.KYUSt_chr7.27758 pep primary_assembly:MPB_Lper_Kyuss_1697:7:173229828:173231744:-1 gene:KYUSg_chr7.27758 transcript:KYUSt_chr7.27758 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKPAVEADADDDYMGDLSLFLPPSPSRSLGVRKHPPVPAGHARGQAKRAKGLPWKERRRQDRERSQREEDARTLAGMAEAIPESNVGFKLLKQMGYDPASRGGDAEPVGIEIRRSRAGLGAEPPVSAAPLPQPSEPKTREEVEKERKREEEMVEELRARKSIQWKGRRLVWDYRKADAALAQLENREVALPAPDGEEKGEEEEEEVITEEGLQNILDKLRYEHRYCLYCGCKVSFILDISELADFHLTVFKLYILFLQYESAEALADECPGPNEDDH >cds.KYUSt_chr3.46846 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294368720:294370932:-1 gene:KYUSg_chr3.46846 transcript:KYUSt_chr3.46846 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGREYGPRSNKAENSTKRKPTGPVPATTSPRAHEAQSPPLHIPTPTRLGFLPHAALRLHFLCNPAAPPSSSPFVTASGAASVTMIISKKNRREICKYLFQEGVLYAKKDYNLAKHPQVDASNLEVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEFLRTFLNLPSEIVPNTLKKSAKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRAGPRGAPGDFAGEKGGAPAEFQPSFRSGGGSRPAFGRGGGGAFGAGGASME >cds.KYUSt_scaffold_869.836 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:5215865:5224268:-1 gene:KYUSg_scaffold_869.836 transcript:KYUSt_scaffold_869.836 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLRVEELRAELQRRGLDPSGTKPALVRKLDAAIRKEEKEPAPPAAEICNADGMDGVVMDGEADGDSKRKRKRRAGADNEEENGVPSDAVKLGSMGYRELQALAKARGLNANGSKKDVMQRLLSTPATSTATVVQDKKEASGDVTLDATQLEGMGYRELQALARARGLAANGTKKDVIERLLSTPANSAPLADGAVQDKKKVAKGGVGDVEEEVKKEKIVTATKKGAAVLDEHIPDHIKVAYHVLQVGNEIYDATMNQTNVGDNNNKFYIIQALESDAGGSFLVYNRWGRVGARGQQKLHGPFSTRDEAIYEFEGKFEDKTNNAWSNRKSFKFYAKKYAWLEMDYGEVDKETTQIQKKGSIADQIKATKLETRTAQFISLICNISMMKQQMMEIGYNADKLPLGKLSKSTILKGYDVLNRISSVISRADRRQLEQLTGEFYTVIPHDFGFKKMREYVIDTPQKLKAKLEMVEALGEIEIATKLLEDGSSDEEDPLYARYNQLRCDFTPLEVQSEEYSMIKTYLANTHGKTHSGYTIDVLQMFKVSRHGETERFQKYASAGNRMLLWHGSRLSNWAGIFSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASETSRSGVLLLCEVALGDMNELLTADYNANDLPKGKLSTKGVGQMAPNIAESKITDDGVVVPLGKPKEEPSKRGSLLYNEYIVYNIDQIRMRYEEGASIAQGEEEQLDMKLDVKLDMELDMKTSHGRAREEREACAREEDEVQTGPGSGQTGRHAGAPGQGPGPTGRHARGCPAPTGIHIFANRGVYCKSQPVPGQHSAPGPVEPA >cds.KYUSt_chr4.4371 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25023418:25023777:1 gene:KYUSg_chr4.4371 transcript:KYUSt_chr4.4371 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGSSVAAVAPASSTAAAGRTSLPRRSRLAVPAATRGTPAPAKKEKSILDFIVSAIVKDEQEFIETNPLLNKVDGPPPKASGTVSKKAGGTMSKKPAAEEGGGGFNLGGLFAKKG >cds.KYUSt_chr2.19062 pep primary_assembly:MPB_Lper_Kyuss_1697:2:119902092:119907881:-1 gene:KYUSg_chr2.19062 transcript:KYUSt_chr2.19062 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWGISSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFNPDGCLDIASVLSRIQKGGVHPTVRGEVWEFLLGCYDPRSTFDEREEIRQIRRLQYARWKEDCREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSNQNTSDGTSTSSNNGIEVDESANRITDKQIIDWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLNDEADAFWCFEKLMRRLRGNFRCTDQSVGVANQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEASGAQKKVSKSKLRGVRHFAKWDKNKDTKNAPEETDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKVEAKKT >cds.KYUSt_chr3.37830 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237973415:237992160:1 gene:KYUSg_chr3.37830 transcript:KYUSt_chr3.37830 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGDAFRGHFPVPAACRNRDSCPPDLGFAMAATLEENRNWHFGISSIGFINIDCGWRNSSGYVDNALVMPYSSDGDYVEGGLNHEILPEYMADAGNDQQKTLRSFPDGGRNCYTLPSNSSKKYLLRATFTYGNYDKLNKTLDGSLFLFGLHIGVNFWEAVNLSNWDPSSTVWKEVITIAPSNFVSVCLINFGSGTPFISSLELRPLQDTMYPFVNTSVSVSYFQRYRFGNVADFITRYPTDNYDRFWQSWSYTTYPWINLNTSRTVQSLPGNDDFNVPLAIMQKASTLDTNYSFMAIDVAQGPNLDAKSLQLLPIFHFAEINGSNPNRRFDIYSAQDMLFRDFSPSRFQVDSMYKGGQFLKNTYAYFSLNKTARSRLPPLINALEVYSLVRMENLTTDSDDVNYMKEVKAHYNLARTSWKGDPCSPREYSWEGLTCDHSKSNQNPRIVMINLSTSGLGGGFAISFMNMTSLENLDLSHNNLTGAIPDYQLKSLKVLNLSNNKLHGPIPDSILQRVQAGLLELRLEGNPVCSNVKDTYCSYKNEKKKKNTTHILLIAVIVPVVLISLLVGMCILWKLCWKGKWGDNEDYALYEEKTPLHIDIRRFTYAELKHITNDFKTIVGKGGFGIVYHGILDNHDEVAVKVLMETSIAESTDFLPEVQTLSKVHHKNLVTLKGYCQNKKCLALVYDFMPRGNLQQLLRGGDDYSLNWEQRLHIAVDAAQGLEYLHELCTPSIVHRDVKTPNILLDKNLVGIISDFGLSRAFDDAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIITGQPPVLLDPQSIHLPNWVRQKIAKGSIHDVVDKRLLDQYDAKSLESVVDIAMNCVEKASIDRPTMTEVVSWLKVWLPAVSGDKLSASGTPRKYSMDTEIPKKLLSMVSGVSDEGSSFQSGYGGGLTEISSKQFLGRSLQCLFRLRRGIAEGLRLDFTIGGTVGTFFFFWSIGHCGNWGGFVSIDCGWTNSSDYVDNTLKLLYNSDGDFVSGGLNHEILPEFMAGVVNHQQKTLRSFPDGSRNCYTLPSKFSKKYLLRATFTYGNYDRLNKTLDGSLFLFGLHIGVNFWEAVNLSNSDPSNMIWKEVLTIAPSDSVSVCLINFGSGIPFISSLELRPLEDAMYPFVNTSVSINCFQRYRFGNTADFITRYPMDNYDRFWQSWSLTIDYSYPWFSLSTNNVVKSPPNNNAFSVPSDILQKASTLDKNYSFMSFSIAEGRNLTNNLQLFLPIFHFAEINDRNPNRRFSIYINNVLMFSDFSPSLFQVESKYYSGQFMENASSYVFLNRTPSSSLAPLINALEVYSPVRMENLTTDPNDGKLGDHEDYAMYEEETPLHIDIRRFTYAELKLITNDFQSIIGKGGFGIVYHGILENGNEVAVKVLVETSIAESTDFLPEV >cds.KYUSt_chr3.31754 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199586652:199590491:1 gene:KYUSg_chr3.31754 transcript:KYUSt_chr3.31754 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVALAGLQLAASPVLRKLLADASYLGVDMVSELSELETTIMPQFELMIEAAGKGNHRVKLDKWVQELKEAFYKAEDLLDMREYNLLKRQAKSGKDPSPPHTASTSTSSPATNWLSNMRSKNWKLLGQLKELKAVLAKGKELRQLLCLPVGNCAEGSSVQSIVVPQTTSLPPPEVIGRDKDRDHIINLLTKPVGVEANSAIYSGLGIVGAGGMGKSTLAQYVYSDRTVQQYFDVRMWVCISRRLDVHRHTRELIESAAKMECPRFDNLDTLQCRLRDILQKSEKFLLVLDDVWFDDSSSQREWDQLLAPLVSQHKGSKVLVTSRRDIFPAALCCKMVLRLGSMEDTQFLALFKYYAFTGAEIGDPSLLGRLEAIAEKIAKKLGQSPLAAKVVGSQLKGEMNISAWKDALTLKIDNLTEPRTALLWSYQKLDPRLQRCFLYCSLFPKGHKYNIDELVHLLIAERLVDPDPHNQNRRMLDVGKDYLTEMVSGSFLQPFSERFMDTCYIIHDLLHDLAESLSKEDIFRLEDDKVTAIPCTVRHLSVRVESMKQHKYSICKLHNLRTVICIDPLTDDVSDIFHHVLKILKKLRVLYLCFYNSSRLPESVGELKHLRYLNVIRTSIAGLPESLCALYHLQLLQFSDKVKSLPAKLCNLSKLQHLEGYRELYKLFEVALPQIPYISNITLLQHVKRFCVQKQKGCELRQLRDMKELGGSLSIRSLENVTGKDEASESKLYQKRHLGSLCLVWSCNDGMNAEGLLQLEILEGLRPPPQLKGLKIKGYKSSVYPSWLLEGSYFENLESFKLVNCSDLESLPLNTELFRHCSELLLRNVPTLKKLSGLPAALTHLSIRSCPMLMFITNDELEQHDQRENIMRTDYLASQLASIWEVDFGKEIRQVISSEHSSMKHLITLMGADMSHLQTLESVLEIDELFVKEDIINAWICCHEKRTRLVYGRSIGLPLVPPSGLCKLHLSSCSITDGALAVCLSGLTSLRSLSLLEIMTLTALPSQDVLQHLTKLDHLFIKHCWCLRSLGGLRAATSLSDVRLLSCPSLDLARGSDLIPLSVEKLCTYGCVVAACFFSSDLPHLISLSMYGCRSSVSLSIAHLTCLESLSLGGIPDLCFLEGLSSLQLQHVHLIDVPKLTAECIAQFRVQKSLYVSSPVILNHMLSSEGFTVPPFLSLEGCKEPFMSFEESANFTSVKRLRLCECEMRSLPGNLKCFSSLTALDIYDCPNISSLPDLPSSLQHICVWNCERLQESCQAPDGESWPKIAHIRWKEFR >cds.KYUSt_chr4.3792 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21675645:21676100:-1 gene:KYUSg_chr4.3792 transcript:KYUSt_chr4.3792 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAEPVTGIPVGGASATGTWSSGLFDCFDDCGLCCLTCWCPCITFGKVAEIVDRGATSCGTAGALYALLATLTGCQCIYSCTYRAKMRAQYALPAGPCGDCCVHFCCEPCSLVQQYKELKARGYDPDIGWQLNAERGNGNAPAVQMMGR >cds.KYUSt_chr1.3929 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23961469:23963141:-1 gene:KYUSg_chr1.3929 transcript:KYUSt_chr1.3929 gene_biotype:protein_coding transcript_biotype:protein_coding MESRCDDRQLRKRHHREDDDDEDRREPRRESSRRSSSRSLRAKSAGHHRGSREGRSYGHGADGSTGRRDGYRRHFVKKHGAAAEPLVRPKLPSIITCDTDGKDWSSTLKELPPAFARGFSHLASPPLKCCNSRPTPAPGRMMGLTTQRRPLFRLPRQASPSPTLSPVVVPDSWEDAEDVSVEDVLPQASDAPVFEVGAPAGSGNLFAPPQVPLLPCPTPAPPRRKPPEVQPSSELRRSARLSNKPKMHALDKAVQVLNTKMGVSDAGFPLMDARKAYIDKFKTPLPDTAIEALAKLFKLNIHSMTEADESLIAMGGPGGCEAEALDCRYPAFFIFTMIGPDISIADWNTRGLNDQARKDTVHAFLADTRCHIACIQETKLDHIDQQTASYIGGFRLRSFAHRPAIGTRGGILLLWDEDHVEVSNVHLGTFLISANISIRACGTTFKLSIVYGPTHHAEKEAFLNETIAAKPSDDSKWLIIGDFNLIYKAEDKNNKQPQLPPYGSVRKSPHYMSAQGAEAAKPQVYLEQ >cds.KYUSt_chr2.46845 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292874134:292877819:1 gene:KYUSg_chr2.46845 transcript:KYUSt_chr2.46845 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQGATGQPPHWGAIPPPMPQYAQPPPQQPHAMWGQPPPQAAPYAQVPAPQQYYAAPQAPAAPAAADEVRTLWIGDLQYWMDENYVYGCFASTGEVQSVKLIRDKLTGQLQGYGFVEFVSHAAAERVLTTFNGQMMPNVEMQYRLNWASAGEKRDDSPDYTIFVGDLAADVTDYMLQETFRVHYPSVKGAKVVTDKMTMRPKGYGFVKFGDPAEQARAMTEMNGMPCSSRPMRIGPAANKKTTGVQERGCNNVLYYGHMDMRRVLIFVGGLDPNVTEDALKLVFAPFGEVIHVKIPVGKRCGFVQFSNRPSAEQALQLLQGTLIGGQNVRLSWGRSPSNKQTQPQQESNQWGAGAGAAAGGYYAGYGQGYSGYAQPQDPNMYGYGAYPGYPNYQQPAGQQPPQQVPGEAQGCGAAMATTSASHPGTVHYLRECTASHGNLSLGHGLNL >cds.KYUSt_chr3.28609 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178475946:178476808:-1 gene:KYUSg_chr3.28609 transcript:KYUSt_chr3.28609 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQDQASYHAGEAKARAEEKTGHMMGAAQEKAREAKDTASDAAGRAMDRGGDAKEATKEKAYEAKDAASDATGRAMDKGRGAAEATKEKGCEAKDKAAGTAQQTGSYIGQTAEAAKQKAAGAAQYTVDTARAGAEQTGSYVAQTAEVAKQKAGAVAQYAVDTAVAGKDKTGSLLVQAGETVKGAAVGAKDAVMNTIGMGGDTTNGSANVPAKDTSTYKPATRDY >cds.KYUSt_chr7.30158 pep primary_assembly:MPB_Lper_Kyuss_1697:7:187801820:187803917:1 gene:KYUSg_chr7.30158 transcript:KYUSt_chr7.30158 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCRSDSAALCLSCDRNVHSANALSRRHSRTLLCDRCSAQPAMVRCLEENASLCQNCDWNGHSAGSSAAGHNRQNINCYSGCPSSAELSRVWSFILDIPDVAPEPNCEQIISMMSISESVVSNGDNAQGDNTLLNMASATITNNLNNEDKQKSVMGPSSEVGPDLLPLANDKYTPDKHMFSNKDSIYEDFSMDDIDLSYENYEELFGNSHIQTEELFDDTGIDSYFEMKEVHAGSSDEKPKPTLPAVSADSGMSNPGVKDDSSFCMPVRQAISYSGFTGESIPEEYQDCGVSPMLLMGEPPWLPPGPDCSFAGIRDSAITRYKEKKKRRKFDHKIRYESRKARADVRKRVKGRFVKAGEAYDYDPLDTRSY >cds.KYUSt_chr4.33903 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208153706:208154140:1 gene:KYUSg_chr4.33903 transcript:KYUSt_chr4.33903 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLALVAALLCAVAAAAVAQQANNVRATYHYYRPAQNNWDLGAPAVSAYCSTWDASKPLSWRSQYGWTAFCGPAGAHGQAACGRCLRVTNTATGAQITARVVDQCANGGLDLDWDTVFSKIDTDGQGYQKGHLTVNYQFVGC >cds.KYUSt_chr2.53137 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331512493:331515203:-1 gene:KYUSg_chr2.53137 transcript:KYUSt_chr2.53137 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVQMAPHQRAARHYTQNDEIATPTEDIRSDELMLDLSKYILLLATLVATVTYAAGFTPPGGVWQETDDAAGHVAGDSIIRNSGPPTTAGNHMRSIKPLQAVMVLDLVSVMGAYAAGTCRDKTTTIYTLVLVGVLLVCLVVQMAPASFSVCQKLQVYSRSSSGTNVDKRLRKVLMLLATFAVSVTYVAGMSTPGGFWDAGSGHPPGHAILKDGHGARLTVFLCFNTAAFVASILIIVLLLDKKALANEAYGCITVALISLVGAYTAGSCRETDTTVYVSSLVGAVLIFIIFLQVAVVKGWIEAAKTRCFPNKTSKTISPGSPPVILPIITSQPPGAGSNDSKTVEVDKASSLVLLRATLAATITYQAGLSPPGGVWPENADGHMAGDPILLTTNPGRFKVFFYSNSIAFVASLVAIILVHSKPLLQTHVLEAAMILDLFGLIGAYAAGSCRDVSSSIYAMALAGAVLVYVVIHVVFFTLDKTDTMTGPEKEVVEKRRKRLLLFAVLAATITYQAGLTPPGGFRLQDDGDRADAGDPVLLPAIRSYALSICSGAGMFALMGAYAAGSTQHLRTSIYVFVLVVVVMLVIAGVVLYSEKDRNGSTHVGDPKPLNGDLQGKKKHAERKYLMILGILVASVTYQAGLSPPGGTWQSNSDWYSAGNPILHDNRRSQYLAFFYCNSTSFVASIVVIVLLLPQWRNGNELSLKVMKTVIFLDLLALLGAYAGGSSRGWKTSVYVVALIIAVLAYVLIHIMLAFSCCRTGTDVVSPRDNNNVGDQGIAPPPHEQINVGTEAPGLSVHTRVM >cds.KYUSt_chr4.35793 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220044019:220048624:1 gene:KYUSg_chr4.35793 transcript:KYUSt_chr4.35793 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPAGEYPPRIPEVILPERHSPVNEMKQPGRSDAKPDTQDAPVKISKIDNVPSRVITKPEVLPPPAIERAKQIPVPVPVPGSNPNVNIRPPRVPSADELEKAKACQLEFGSYCLWSIEHKEVMKDAIVKILKDQLFVARSYYPSIAKLKGKEALTRELKQNIQDHERVLSESIVDADLPSFIKKKIEKMHQAIARAKSCTVDCNNVDKKLRQILHMTDDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSTTLDSDVSLVHKFNNPDNRHYVILSKNVLAASVVINSSVSSSEETRNVVFHVLTDAQNFYAMRHWFLRNTYRESAVNVINYEHIILENLPEFSMQQLYMPEEFRVFISSFERPTEKSRMEYLSVFSHSHFFIPEIFKDLKKVIVLDDDVIVQRDLSFLWNLDMGDKVNAAVKFCGLRMGQLRNLLGEATYDPQSCAWMSGLNVINLEKWREYNVTENYLQLLEKFRNNDDEASLRAAALPISFLSFQNLIYPLDERLTLSGLGYQYGIDEEIVRTSTSLHYNVREISLKLKDNAVDNDDV >cds.KYUSt_chr3.19212 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118287350:118288741:1 gene:KYUSg_chr3.19212 transcript:KYUSt_chr3.19212 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQSRREERAQSAAQKAADELAAARRDMHEPSSPGRRTGIFGSVQESARSLLGAVRDTFSGSARDTPTAYDSHSAGVTDTAGEKLGQYGGYASQKAEEGKESASDMTEAAKRKAAETKDAAAEKAKETKDAAAEKAKETKDAAAERAKEMADAAAQTKDAAVDKTKETAEAAARKTMETKDAAAEKTKETAKETKDAAADKARGAAEMVTDKAGGAKDMAWETAEQAKEYMVDKKESARQALAGDAKEGKGETNESAWQQGQEVRRRAAEKAQEEQLRTHQPSEEERSKSATENIFGAAQGLTQAFKEKMTMPTDVIEQKLAEARGGGDGTKGMPTAAGRGDAHDEDDVMTRVKAADQMTGTGFNDVGKMGEEGTGMKAALRADDEEEDVMLRVKAADQMTGQMFNDVGLMGEEGTGWAPARRAARRDA >cds.KYUSt_chr3.21340 pep primary_assembly:MPB_Lper_Kyuss_1697:3:130850280:130853568:1 gene:KYUSg_chr3.21340 transcript:KYUSt_chr3.21340 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALMPPVPPAPLAAAAAAPTNLFLTRRRSHLDSASYRTLSRLFSHCLHLHPQHHAVPAHPEVQTAAANPICDDSQGGSVPPKDAEFGHLAEESAVVSTSVPEAPVPSVAAGNPIADLDVAPQGSVVEHEVAGVEQVEDMVVVGSACRKPGALVDEFSGEAELVGADDVLRTMKACLEGEAEESAEVAVVDDDGHLLLDTMMTNFSGLIGDASGGTASYGVSEGEPQNDGKIADGVTKLGVGIEEDRPLGNLDHQSINAGGGFEEGEIEGDLQDLDNGSDDSELQDADDEKLQEDCDTRGSGENESSGHDTRCLNLLSTPEIKGTSDLNLILDKEDTIKGDALMHDPRTQVVSYDEIVEWNETPVHDAEGNTCKFSHDTTPLTKSKPCTHFARGSCLKGDDCPYDHDLSKYPCHNFVENGTCFRGDKCKFSHVVPTADGPSKSDAKKSDMSLVSEKTISRDQTSSQKTLAVHDGEHVTSAPTKPNSILQNLAGSSVNAQKASARTPKGVQFRTSSKDRSDYSMLHQDAPPIEKRMLTNGSKYKNFGGPQAAEGDKNVNPNRQRQSSAPLLDEKNSFKEDSSHQRKSLLTDSTAVLGSVNTQHEVSEASRILQEFLFGAGS >cds.KYUSt_chr6.13113 pep primary_assembly:MPB_Lper_Kyuss_1697:6:81928110:81929722:-1 gene:KYUSg_chr6.13113 transcript:KYUSt_chr6.13113 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAPAAAVALPGEAPPAAKKTGRVKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKVTTPPPSVPAVAPPAPPPPTIDVDKVFDVESTTSYLDMLNDSAVNLDAGIGAFDGECNVEDFDDEEEDEGDEEEVVEVDPAAAGSSSTPKPRTTNYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDQYHQLKPRTKSMADRSYRSLEGRWNIIKAACSRWSAAMDQVADNPPSGCVPEDYYAQQRYKDMVGSKNKEFQFQHCFSILQHLPKWKLRDNEPKCNKEALLTMDDEAEDMSGRNTGKPEGNKKAKERVKVELEAAGFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKMIAAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWNETKADIIARKKAARQARAQGESPASGGAGGDGSLDG >cds.KYUSt_chr5.20880 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135555510:135556568:-1 gene:KYUSg_chr5.20880 transcript:KYUSt_chr5.20880 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQQQPPSVPPVPPQPFRFWLPYRSNVGSWRQQPRPMPASTRPQPPGPPPPPPAPAETAPRAPLTRDAEEDIPIQADSSDESDTIAVEALDSLRSRGARPAVADLELTLSRSPPPATGTSGDGGRGGDDAKITISGFPRARLFDGARAPYRREIEDGLKSLAGRETPPAPRPPDQGYRVITLAGHNVGASMVLGNGPSDAPPPRTANEPEGSSARPSPSVATNVNSNVQGVNNSTMEESTCNSGDPGVRVDIKNAHEKPVVVAPSKEPQEKPKEPARTVPPQEKSTAASGSGAAPAPPRRKRCLRALMIEERKPKPIACRFQCVADHAPAAAAANDPGSKSAEEGAKESTR >cds.KYUSt_chr7.27987 pep primary_assembly:MPB_Lper_Kyuss_1697:7:174667735:174668481:1 gene:KYUSg_chr7.27987 transcript:KYUSt_chr7.27987 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLASLFSPSPLLTTTASTSSSAATSQQPPSAPQSVNVRLPAPRPFATTLAAAAAAGLLLLSPATPLHAEAEFKTYYGTAASAANYGGYGGNASKKDAAEYVYDVPEGWKERLVSKVEKGTNGTDSEFFNPRKRSEREYLTFLSGFRKLAPVGAVLDNLALSDVGLQDQISSADGVTSTERKDEDGQVYYEYEVAGAGAHSLISVTCARNKLYAHFVTAPNPEWGRDEAVLRRLHQSFKTVDFSGQD >cds.KYUSt_chr1.4203 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25538208:25540265:-1 gene:KYUSg_chr1.4203 transcript:KYUSt_chr1.4203 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKQLLLLLLAQAQLLVVAVGVDGGVEFAYEGFVTAGLALDGIASVTPDGLLLLTNDTNLNIAHAFHPDPVRFHRPPSGGGAPVMSSFSTTFVFAIVSDLIDLSTSGLAFLVAPSSRDLSTATAQQYLGMFNASNNGDPQNHVFAVELDTVRNTEFQDMNDNHVGIDVNSLNSSAAATAGYYDDATGTFQNLGLASRHPMQVWVDYDAATTEITVATAPARSPRPKRPLLSTRINLSTIIADTAYVGFSSGSSIVLCKNYVLGWSFSLDGGAAPALDYAKLPKLPRIGLKPRTKPLAIVLPIVTTAAVLAAVGVGLLVLRRRLRYAEVREDWEVEFGPHRFAFKDLYHATAGFKDKRLLGTGGFGSVYMGLLPGSRSEVAVKRVSHESKQGMKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHGHGEETALDWAQRLRIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGAPAKTTHVVGTMGYLAPELVRTGRATTLSDVFAFGAFLLEVTCGRRPIEEEDVYGDFDRFVLADWVLGHWRNGAITGAVDAKLRTGTGYNAAEADLVLRLGLTCLHPSPVARPSMRQVMQYLNSSAPLPELPPTYVTINMLTAMETQQGVLGTRAVWRSTSSIATMSDSDIGLSSGR >cds.KYUSt_chr3.18413 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113204024:113204995:1 gene:KYUSg_chr3.18413 transcript:KYUSt_chr3.18413 gene_biotype:protein_coding transcript_biotype:protein_coding MSELKLQHPVPVFLLRRNPLTVASRTMRRGIRGFCHDVGSTSTQQLSGVDRDADAASSSFLTVPSSVVGSCAAESVAGGPQAAVTLEQMILQLDLEEEAAASRKARRMSCVDSSDHYVLRSARDALSHYPRFSLDGRDAMCRASFSSYHDAAMTLDGPVLRDDGRHRRASVCCAAAGVGHCRARGCGVEGYEMDLERTLRMPATVAGESVVWCKPGVVAKLMGLDSVPVPVGRGRRGGIGRRKASGAPPVARVVGGGGVKKQRPRRIVGKEEELEKDRLFMALHGYDVAGAGARPAGAPRAAVDPNVSGFRTADSSWEFRFPS >cds.KYUSt_chr3.31078 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195000929:195005111:1 gene:KYUSg_chr3.31078 transcript:KYUSt_chr3.31078 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPMAAGAHHWPGTGAGVDGHHHGVGVGAAAAWADEFAEFAAARRGAHRRSLSDSVAFVEVAPGAGDFDRLDDDQLMSMFPDEGGSTAPGSENGSSDSDGDKRKDRYGNEKNDGANDPEETAPGQATPTSSTETIRDPKRVKRILANRQSAQRSRVRKLQYISELERCVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALKEEIERLRQVYQQQNLRMSSGAAADQHAHGGPPPVRQEKELMS >cds.KYUSt_chr7.37535 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234185273:234192686:-1 gene:KYUSg_chr7.37535 transcript:KYUSt_chr7.37535 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVSSLCNVIPVPVARVGRRWRSDAGHGTPDSHRPGAGLWMLLNMFSRDAYVYLPHWWLLTLSQGFSLIFSGFAFSIRPLFLGASFIRFWSVALTIDGAFICCSSVVEIVVEKAITIKACLDLLSLPAAFLLLLHCIQCSHDEEGYQGTGNALYKPLNTGADSEMADSDNKVTPLAKAGFFSNMSFWWLNHLMKMGYEKPLEEKDVPLLQTTDRAHNQYLMFLEELNNKQSQSHDTPSILWTIVSCHKRAIFVSGFFALLKVLTLSTGPILLKAFINISLGKGTFKYEGFVLAATMFVGKCCESLSQRQWYFRTRRLGLQVRSLLSAAIYKKQQKLSNSAKMRHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTTVQLCIALAILYNAVGAASASSLAVIIITVLANAPLAKLQHKFQSKLMEAQDVRLKAMSESLVHMKILKLYAWEAHFKKVIEGLREVEYKWLSAFLLRRAYNSFLFWSSPVLVSAATFLTCYLLKIPLDASNVFTTVATLRLVQDPVRSIPIVIAVFIQAKVAFTRISKFLDAPELNGELRKKYHVGTDNPITMNSCGFSWDETSSKPTLRNINLEVRAGEKIAICGEVGSGKSTLLAAVLGEVPKIEGVIQVCGKIAYVSQTAWIQTGTVQDNILFGSLMDIKLYQETLVRCSLVKDLEVLPFGDLTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMSALSEKTVLLVTHQVDFLPIFDSILLMSDGEVIQSAPYQDLLADCQEFKYLVNAHQDTVAVSDITNVVYRRQKEIPTKETDGIHGNIYLESVKPSPVDQLIKREERESGDTGLKPYMLYLHQNKGFLYASLCVISHIIFIAGQISQNSWMAANVQNPGVSTLKLISVYIGIGVCTMFFVLSRSLFAVVLGIQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIIDLDIPFAFMFAFSSSLNAYSNMGVIAVVIWQVLFVSVPMVLLAIRLQRYYLASAKELMRINGTTKSALANHLGESVSGAITIRAFGEEDRFFAKNLELVDKNAGPYFYNFAATEWLIQRLEIMSAAVLSFSAFVMALLPTGTFGPGFVGMALSYGLSLNNSFVSSIQKQCDLANKIISVERVDQYMDIQSEAAEVIEENRPVPDWPQVGNVELKDLKIRYRKDAPLVLHGITCKFEGRDKIGIVGRTGSGKTTLIGALFRLVEPAGGNISIDSVDITTLGLHDLRSRLGIIPQEPTLFQGTVRYNLDPLGNFSDQQIWEVLGKCQLLEAVQEKEQGLDSLVAEDGSNWSMGQRQLFCLGRTLLRRCRILVLDEATASIDNATDAILQKTIRTEFKHCTVITVAHRIPTVMDCDMVLAMSDGRVAEYDKPKRLMQTEGSLFRELVNEYRSYTSNENI >cds.KYUSt_chr7.5585 pep primary_assembly:MPB_Lper_Kyuss_1697:7:33456853:33461287:1 gene:KYUSg_chr7.5585 transcript:KYUSt_chr7.5585 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSAPASCLLLLLLLAVGSLAVSRGDDCCGYNVVSVAGSGSALSARLELAGETPALAELGPDVQRLSLTARQENLADSKCYRRLLGAKDVNFTHGYGLETDTRLRVRITDADHPRWEVPQDIIPRPAPEEVYLNMPLPGNGDSSSPPRTRVLSTAGSDLVFTIHASPFRFTVSHRSTGDVLFDTSPNLVFKDRYLELTSALPADRASLYGLGEQTKRTFRLRHNDTFTLWTADIVASNVDVNLYGSHPFYMDVRPPGTAHGVLLLNSNGMDLLYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLVGRPAPMPYWSFGFHQCRYGYLNVDDLKGVVAGYAKAKIPLEVMWTDIDYMNKFQDFTLNPANFSFAELRPFVDRLHQNGQKYVLILDPGISIDPTYGTFVRGMKQDIFLKRNGTNFLGNVWPGDVYFPDFMNPRADEFWANEISLFRRTIPVDGLWIDMNEITTFFNPEPMNALDDPPYRINNSGVHRPINSKTTPASAMHYGGVSEYDAHNLFGLLESRATNHALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAAKWDDLRYSINTMLSFGLFGVPMIGADICGFGGVTTEELCGRWIQLGAFYPFARDHSAIDTVRREPYLWASVAASARKSLGLRYRLLPYMYTLMHEAHTTGAPIARPLFFSYPEDVATYGVDRQFLLGRGVLVSPVLEQGATTVDAYFPAGRWFCIYNHSLAVDTRSGERVTLPALPDSPYVHVAGGSILPLQQSAMTTAQARRTPFHLLVALAEDGTAAGDLFLDDGESPEMGGARSEFSLVKFSCATWSDGKIRLRSQVVHNSYAPSRTLVISKVVIMGLQSTEPARNFAVYVNGAAVQFNRAVSTSYRSRGGLGAAHVGGLSLVVGEEFELKVAMSY >cds.KYUSt_chr4.32454 pep primary_assembly:MPB_Lper_Kyuss_1697:4:199183562:199188227:-1 gene:KYUSg_chr4.32454 transcript:KYUSt_chr4.32454 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTVTPKDEERLVVLMTRERPRSAVVAPGGDLVTAGGGGDTSDGDSSESLEEISAADFKESSGGATSAGTAASAAAPRSRVWMGYTMSRSYAPAFHSFAWAQAVQNKPLVPRPASDEDEVEHLVDTSDEEKEEGEIEEGEAVQSSSSPPSMHPETIDLDSDLPEKLETVVVQGSSNTAAVAVDEDEEEVDFDQSVGSILEELEMVSTEEAEKSFEGSCARLRTCFEGLKPLFPESGSPMPMLDALVQQAFVGIDTITTVANSYAVPKRDQNKNMLLKLLFHIKNRYSDMLTPGQRDELDSRVRQLVFEDGKGNANGPNVNSGTNTANVVVPSERPPFESAVANPFSGSSLPWMEMPAKNRMVSPLLDLHADYDENSLPSPTRDNAPPFPVPKPIGFGAFPMAPERSLTERVESSKKVLHASLNDALKDFSSYRQKYSQKSTFASDDLPSPTPSGDGDKSGDKDSDIFGDISSFSASNNKTAVPSVSLIPDSRPSAVSTNDSFAVGSLGYAKQIEHSISGPNHALKSLAKSRDPRLKFLNRDPSGTADSNRRVNFAEPNLSKDVTLGGVVSNNNRKHKAVGQPLMDESALKRTRGGTENSRDMQVPPGTDGSNICSYSIGRVQSNQNTILETKRTGNPNMRTDSQLISNASSITNSAGISTGTLHTFQPNSVPQTSAAPFTSLPAVLKDIAVNPTVLMNWIQMEQQKRSASETQQMVTASGGISSCIVSNVTAGMVIPPGNALKTEVAQIPSNRPQVPMQTTPESSQNDAGVIRMKPRDPRRVLHNNSTQKNDTASTEQAKSNEIVLPDSKDILINHEQLTEHFQTSALPSQPVSLSNIARPSIMSTSTVDPVSNSQLAASSLVTSQQTSVSVNRADPTLAAGQNDPDADGTTNAAPGTTLGAAPPANQWGDLDDLLNGYDDQQKALIQKERARRIMEQHKMFSARKLCLVLDLDHTLLNSAKFIEVDPIHEEILRKKEEQDRERPERHLFRFHHMQMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPTGALFAGRVISRGGDGNSRGGDGDTFDGDDRVPKSKDLDGVLGMESAVVIIDDSVRVWPHNKNNMIVVERYTYFPCSRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIGRIHQNFFSHPNLNDADVRSILASEQRRILVGCRIVFSRIFPVGEANPHMHPLWQSAEQFGAVCTNQIDDRVTHVVANSLGTDKVNWAIQTGRFVVHPGW >cds.KYUSt_chr5.9731 pep primary_assembly:MPB_Lper_Kyuss_1697:5:61886821:61888883:-1 gene:KYUSg_chr5.9731 transcript:KYUSt_chr5.9731 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRRHRSFIRAGYRFTGRSSYVRGLAGHISVLLIMCGTAIEGSLLEDRIDQFKDKLKEGRVYKLESFMTFSQMPYLPHPNERCRYSYGQTNSKYQINNCILWMHILKGSSYKLKVSAVHVEPNAEDLSISHRLTFFGNCAEALTGKEAELLAPLTKGCPNYVPPAVIAAVGKKCTITAEVDQETYDANPGIVFLTISKAQLITDSVQVVEPSTHTSPAITDKCDYTG >cds.KYUSt_chr4.38128 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235017900:235018605:1 gene:KYUSg_chr4.38128 transcript:KYUSt_chr4.38128 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPASLGRRWCGFAAVLCLCAFYCNAEPIEPLPLPDTPARSLQCFEDGQVYGCCDDAYRLSPAGIIGVPPGAVDYYCGGQCVVETEDVLNCVASALDGFRFYNGASVEDVRYALRRGCSHTFKRGDFNDLEPPLGDYPNIYGDSGGDRIMSHHNILAVVLGATWLLFHVR >cds.KYUSt_chr5.33716 pep primary_assembly:MPB_Lper_Kyuss_1697:5:214138282:214140981:-1 gene:KYUSg_chr5.33716 transcript:KYUSt_chr5.33716 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPPPAAPRSLLAWACLLLLLLPARAADAGPLKKELLHPDFIASSFDHIDNNGGAFLESANGAFRAALHNPGKQQDSFYLAVLHAPTGTPVWSANRDAPTGSSGRVQLTARGLAVTDADGATVLWSATPRAPVVALRLRDDGNLQLLDAQNATLWQSFDEATDALLPGQPLPAGAYLTSARSPNDLGQGDYRLAVSASDVALAWQGSTYWRLSNDGRSFKDRNAAAASMSFNASGLFLRAADGALVFRVILAPAEFRVLKLDSDGRLRITSYALLNSSAPLGGDFVAPGGDCDLPRPCASLGLCAEAGNSSSCTCPPLFAASATGACTPGDGSALASPASCGSNGSASTPASYLPLMAKIAYFSTRFDDPTRTRINSTACRALCTANCTCLGYFHDDSAMTCYVLGGRLLGSLHSTTRATSPLGYIKVFNQAPRASNDEHKDTSVKRALPIILPSIAVFLLIALMVGYLVRRRWKAGKNGKKGKAKNSVRNQLTNHNPRSRDSRDDEDPDDDGIVIPGMPARFSYAEIASMTGSFETKIGSGGFGSVYKGELPGGEGHVAVKKLEAVGVQAKREFCTEIAVIGNIRHVNLVRLRGFCAEGSRRLLVYEYMDRSSLDRSLFGGAGPALEWGERMEVALGAARGLAYLHTGCDQKIVHCDVKPENILLAHGGQVKVSDFGLAKLMSPEHSAIFTTMRGTRGYLAPEWLSNAAISDRADVYSFGMVLLELVRGRKNRGEQGGAVVGDGSGDHSAFPSPSGDSSAMTSSTLSGGGTGAGADEYFPMVALELHEQGRYLDLVDPRVEGRVSEAEAARVVRLALCCLHEDPAHRPSMAAVVRVLEGSAPPPEPRVGGLGFLRLYGRGHAMPAHATLESAGWSAASTTGGSQLNDSLRDTSLPR >cds.KYUSt_chr1.19007 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111600051:111606734:-1 gene:KYUSg_chr1.19007 transcript:KYUSt_chr1.19007 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVMLAIHEKKATPVDLYRPLRQYIAAAYSDRDAAAADDDLCAVRDLRAAAVEAPALPDPSSLDQRRAALLAYARALALVEPRFPVSPDRAHVHSLSFTWHDAFKTGKKASLPSLHLERAAVLFNLAAVYSQIALAADRVTDVGIRTACGAFQSAAGAFALLRESGLAAKAVAAGATTVDVTPDCAGMLEKLMLAQAQECFFEKVIAGGKPHALCSKVARQVGVYYEEAYAALCAPPLSQHFDKTWVSHVQLKAAQFYADACYRCALDLHEKEEIAQEIARLKIGMSALADAKKAAKGVAAQLLDAVNKLESNMKTNLDRAIKENNSVYLMRVPDAATLGALPAASLVKSSSLAEVLDASKERLFSSLVPDGSMKALSKYTEMVDDIIRTQAEKLQQSSEITRVRLKEMDLPDSILSLEGNISIPVDLKEDVEAVQISGGPAGLEAELQQLRDLSRVNQDLLVQTEEMLQKEASEDSQFRTQFGSRWTRPQSSTLTKNIQDRLNLFAANLKKAAGSDALIERGVKENYPLMSILDKRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLQSLGEERAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEISKYDPICAEIADNIVAQEQLLLQIQAQNEQFAAVFNLDDFKVARERCYKQIAAAVVKYQETKKNINDGLNFYVTLQDAIGRIQQQCSDFIMTRNIQCREMIEDVQRKLAGFNLSSSSNVSQRNSSVPPDQQSPSPPPHAPHAQPGGDSRPGYSQPGTRPPYSQPYPTYGAPPQQPQYGAPPQQPQYGAPPQQPQYSAPPQQPPYGAAHPGQYQQPPHQPPPGHDYGQPAYPGWRGPYYNGQQPQSQQPGPYPQAPYNAPGAYPPQHQSNYYRPQMVWSGLVVGVGRRPDFDPSLQHPDTMEVGLAKEAVAEAAGPVCIVPGQKASLCLMGFKV >cds.KYUSt_chr7.13248 pep primary_assembly:MPB_Lper_Kyuss_1697:7:81654590:81655762:-1 gene:KYUSg_chr7.13248 transcript:KYUSt_chr7.13248 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFIRRSLHQWEGRLLHAAGYPAPPDFRASGGWRLSAGGVPIPPPPTGGGALETAIEEVLATLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIENGNSPVLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSASRSDGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNQAAAAAANQLAEEEAKRAEDAAVAEAIARSLKDLVPADNTLPIDAALEWSRRDWERQEEEQQRRMLDLAAARQLDARAAAPSSSRNAAPREVIKLEESSDDDIYRPSPPRAGDAGQGTSRWYEAPPPQDDAGSSDDDDGGDYTTFYRHFGM >cds.KYUSt_chr3.31593 pep primary_assembly:MPB_Lper_Kyuss_1697:3:198632507:198635891:-1 gene:KYUSg_chr3.31593 transcript:KYUSt_chr3.31593 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAAAAAEEEHEETWAWTWGAGTDGQLGDGGFQDHHLPQPLLLPPPCRDRVSFVAGGGAHAIALTCDGEVFTWGRGTHGQLGHGNLENIPHPKSVKFFENSTITCVSTGWNHSGFATDSGQLFMCGDGSFGQIGTGDNLSRNLPFEVPFFTSKHVEKLALGMRHSLVLLKDNSVYGFGSARRGQVGKSASKNQKFYNIPRLIDGFPNCEILGLYANGDHSAALDESGQLYLWGRALVGERDDDQPRAAFPSLSISQVALGWHHALVLSGGELYTIGAYRHQKHNPTLSDNAVVQQLNLTTTSSTHHESSSISNLEKMPSIEGEQVAQIAAGTEHSALVTDSGALFTWGWGEHGQLGLGDTCDQVVPQRVNLGNRSSRSSASSLGVYCGSGFTVAVSLA >cds.KYUSt_chr1.5865 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36187232:36187507:-1 gene:KYUSg_chr1.5865 transcript:KYUSt_chr1.5865 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAQRKTKGPSAASAGGAKTKRRRKTKVLPPLLSPGTAVEVLRNGKWVGGGTVTIRNDRTYMVSLPEGMTVLMTRGRVRPTDGYGTLYS >cds.KYUSt_chr4.51149 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317161826:317165151:1 gene:KYUSg_chr4.51149 transcript:KYUSt_chr4.51149 gene_biotype:protein_coding transcript_biotype:protein_coding MTMERATREGNESGGGAGGVRQYNRSKVPRLRWTSGLHRCFVHAIRSLGGHHRATPKRVLQLMGVGGLTISHVKSHLQVYISSNSPALSCVFNHRLVAPNSSATETRREERGNCDDASASPTSLARSQGGGICDSTAMSSPPNLLQSCSVASGGCYSYVRHMIQAQAVPHAAPMARLVEPGVLPPGIMQRQQQRRAEPWMPPARLRGEDGELAAVSRLEFLGFLVAPVPPHHPPARGDGNPFETRRIPASPVPYTAGSACTGGFHRLEQPGNAAGADAWTAGFAKLPSVAGEGGVGCSLSLSLALALDPAGSGGGGEGSMLSSSTASSGSVISLDLSLSTLDS >cds.KYUSt_chr1.6816 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41915676:41919649:1 gene:KYUSg_chr1.6816 transcript:KYUSt_chr1.6816 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHWDLPPEHCFYKTGPEWLQQLMVNSKDIQRSRILMILWRSWHLRCDITHGRGEETIARSVAFLLSYDKDLQNLHANNNMSNSGLFPSFRSVVSNQDDVHRTQNADKNIWQPPTEGTLKMNVDGAFSQDSGDAAVGVVARDHLGHICLAASIVIDKFNDAEEAEACAIKEGLRLGSEYDLKIASIESDCAIAVAAANRSEALASRCWSVYKDIQFLISVIPCCKAELHARLHQHQHLHCTKLNYTDPNIYDKPYDYTKIYVEFYIANNIAKVYINMVFIHILVNTAVAAYDTKTPPPPQNRCRRRATTKTRRRRRPAAGAALRQNRRRRRTASAAAL >cds.KYUSt_chr6.524 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3249635:3250231:-1 gene:KYUSg_chr6.524 transcript:KYUSt_chr6.524 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRARTNFPHVAAAAASSSFLSAALVTKLHRFNLASVQAAQRQREAAAAAAASAAEAAASMPLVGDAGSLSTTSSSSGAEGWGGRHLEEQHVEQMIEELLDSDFSMEIYR >cds.KYUSt_chr3.47410 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297441602:297443870:1 gene:KYUSg_chr3.47410 transcript:KYUSt_chr3.47410 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGGGRWTEEVDDLVDAGDVDGAISLLESVVSNLSTSASPGADLRLATALGDLAGLHASRGNTLQADAIRSRAIVLRLRAEKAPHPLGDRGTAENSPSPEAVTGSKDSAVSANTDERKEDEDDDWEAIADRGDEAPVRPLGLEARVSSSGKSSTPSSEKSGTPSSGPKRRGRGSFLYDKSVLYSDQCGSERDLDDKGSDPHSGSKDHEDEQENRTGAKRFGTRHVLVLYDFPSSTRTTDLERIFEKFGDHGVAIRWVNDTIALAVFRTPSSASEAQACVPPRYKVRSLKDDDDLLAKIDGTDLEPPTPRPKTSARTAQRLIAHGMGLKQFTSINAGERKEQEEARRSRITARQAARDDAWGSD >cds.KYUSt_chr4.44285 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274392587:274393063:1 gene:KYUSg_chr4.44285 transcript:KYUSt_chr4.44285 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLRTLAPVRRLGRHGSISVARGGKGAGRGPRLPCCRGDPGSPGKRQGAVEVGHVHGEDDQDVDCGADGVVGDGDGEVRKTSEESLSTVVFLSIWGCGAGVGEWTERRRRRNLSQQRSRKNEEESPPAVVGSSWGWTSLLDWARLLGRPIYCFFY >cds.KYUSt_scaffold_869.1649 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:10590564:10595513:-1 gene:KYUSg_scaffold_869.1649 transcript:KYUSt_scaffold_869.1649 gene_biotype:protein_coding transcript_biotype:protein_coding MYEIHVWCPGTMVPQLSFLYRFVFSDGRRTASAFFYVKSSRLMAPPLYSRALFVFGKVFDHVDDAGDAVFSGLGNLSSNMTDDADESADKTIRESLDLLNQDFATYSADSLPRFLDPPVFDRTPAVEKGNMGSPVFDYTPLRQVLESETVEATPVMEETQSQSVAGTQIVTQDYMVEEQLAKEKERQAAKLAQHQEKLTTYYRKYPAKAKSVKGNAVVNDVPPKVRKERVVCRKIKENSPLGKAAAAAAAAQPRDPLQQTYAPGATQEDVAADKATNPVRRSPRVAATVQSTIASERVSSPGKSRLGHRKRKIDVDETYVPDSAEDGVAAGKCNAKKSRTELVSDESDFEAPAKGKKVGRKPGPSKPVVLEGDSKKVLKRPTKKTMARKRKADEDLDGEKTRFQQTIRCSLGEVRSAAAMLKQQHRLKVEQAGFGCVFQWVLEESGHDESLALLKQEFGFESNASIEPKDLRQLLSDLIEDPEKEDLAVKVFFAILFSKLICPGSATRVGREAAMLVNMDYDKMAKMDYCQLVVDELKRAATKYQDPDIPQAGLEGCAVIPTVMYLDKIFLPPHSRHAYSNSSRKLSA >cds.KYUSt_chr5.28467 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180274423:180277582:-1 gene:KYUSg_chr5.28467 transcript:KYUSt_chr5.28467 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPPWHAGEGGAQGARPRRGRQRWHANRLHRILGLEIFSGKTRGASMARNMVNDSRLIFSLLLSAPRGRSGSGDVELQAKVTSKFFFEVEIGGKRVGKVVIGLFGEVVPKMIDNFRALCTGEKGDVYKGCYFHRIIKGFHDSGWGFCRKTIALHCILGLEIFSGKTRGASMARNMVNDSRLIFSLLLSAPRGRSGLSDVELQAKVTSKFFFEVEIGGKRVGKVVIGLFGEVVPKMIDNFRALCTGISG >cds.KYUSt_chr7.39479 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245446261:245452388:-1 gene:KYUSg_chr7.39479 transcript:KYUSt_chr7.39479 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPPSSWRRRWATGFMMVVVLGSAAAPQGVEAGGDGEALMALKAGFGNAANALADWDGGRDHCAWRGVACDNASFAVFALNLSNLNLGGEISPAIGELKTLQFVDLKGNKLTGQIPDEIGDCVSLKYLDLSFNSLYGDIPFSISKLKQLEDLILKNNQLTGPIPSTLSQIPNLKTLDLAQNQLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPENIGNCTSFEILDISYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPEVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLYLHGNKLTGEVPPELGNMTKLSYLQLDDNELVGTIPAELGKLEELFELNLANNNLEGPIPTNISSCTALNKFNVYGNRLNGSIPAGFQNLESLTYLNLSSNNFKGQIPSELGHIVNLDTLDLSYNGFSGPVPATIGDLEHLLELNLSKNHLSGSVPAEFGNLRSVQVIDISNNAMSGYLPEELGQLQNLDSLILNNNSLAGEIPAQLANCFSLNTLNLSYNNLSGHIPSARNFSKFPMESFLGNPMLRIRCKDSSCSNSNGPKVVLSRWGIACIVLGFIVLLCVMLLAIYKTNRPHPLVKACEKPAQGPPKIVLLQMDMAIHTYDDIMRLTENLSEKYIIGYGASSTVYKCVLKSGKAIAVKRLYSQYNHGAREFETELETVGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLRIAVGAAQGLAYLHHDCNPRIVHRDVKSSNILLDEHFEAHLSDFGIAKTVPAAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGLKAVDNDSNLHQLILSRADDNTVMEAVDSEVSVTCTDMGLVRKAFQLALLCTKRHPIDRPTMHEVARVLLSLMPAPAVKPVTASKTIDYTRYLATTPDMDHGGADIGDNSSSDEQWFVRFGEVISKHTM >cds.KYUSt_chr4.34272 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210299018:210303040:1 gene:KYUSg_chr4.34272 transcript:KYUSt_chr4.34272 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAAASGGHGRRGGGRIDRLEMENFKSYKGRQTIGPFFDFTAIVGPNGAGKSNLMDAISFVLGVRSAHLRGAQLRDLVYALDDADRDAARRASVRLVYRLPGTGPAELHFSRSITITAGASGGSEYRIDSRLVTWEDYNARLRSLGILVKARNFLVFQGDVESVASRNPKELTALLEQISGSDELRKEYGELESQKRTAEEKSALVYREKRTIAEERKEKKAEKAEAEKHLRLQQELELLRTEHHLWQLYTIQGDIEKIEAQLEEERRSLRQATDENQSSGHHLAAKQKEQSAYLKKLILCEESMAKKKNLDIDEWQPQLLGLEEQISRLKSEIKRCNWEIDTKKDANKKHLEETKRLHGALVDLTTALEELNEQGRDKTKSGELQLAHHQLQEYHKIKEDAETRTAKLRDEKEILDKELNVYAEARKNLEENMQQLRNRVDEITSMEIELQTSLDMILNSITTHKDELSCLHEEHDTIVKERQSSGAKYQTLNQRVYEIDAQLQELKANKHENERDAQCSETVRSLKRLFPGVHGRMTELCRPSRKKYNLAVTVAMGKFMDAVVVEDESTAKECIRYLKDLRIPPQIFIPLLSVRVNPLTERLRTLGGSAQLVLDVIHVDQALERAVLYAVENTLLCDTLDEAKTLSWSGERYKVVTVDGTLLTKSGTMTGGVSAGVEARSNKWDGDKIESLKKKKGQLESELSRLGSPRELQIKELDVSQRITGFEKKLNHLNVKQNRLNKKLHELSSEKRNIGEEISRLEPGKEELETLFANKESELEYEHNRDMQGPIVKLMKMNESLEKELMGLQGIESGAKAEAEQISNKIKELKAEAEDWKSKSDECKKAIDELKKQNGRAAAALAKLERHIKSQEGQLVQLRSRQREIREKCEMEQLKLPTVNDQTDRGSSSQELVLDYSQLREIYLQDMQLSERDKFEAEFKQRTGTLVAKIESTAPNLKALDQYEALQRKDRDATEKFEAARKEQQEIIEKYNSVKERRQQLFMEAFDHISKGIDKIYKQLTESHTHPLGGTAYLNLENEDDPFRYGVNYTVMPPTKRFRDMELLSGGEKTMAALALLFAVHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCGHVGEEQDCDGGCGFQSIVISLKDRFYDKAEALVGVYRDLERSCSRTLTFDLTKYRS >cds.KYUSt_chr2.27269 pep primary_assembly:MPB_Lper_Kyuss_1697:2:167303426:167312333:1 gene:KYUSg_chr2.27269 transcript:KYUSt_chr2.27269 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYIAATIAGLEGGARAVAAGAGSVGEAGAGDCFTIRCDIMVCKDPNTSKDAADALVSDIGEHFNILLQNKVGADVTFEVGDETFATHRCVLAARSTVFMAQLFGPLKEGSRRIPPRRTPQRSHSPTASLLGDSRHITWVEDVLTVELLTDRKLHSNSPQSIVGFVVLSDLQQDRDFTQSVRCKLKCNPFAGVDRVLVRLDVHEDSAVEVHVVPSRTVMSMPTLRAAWSGGICSVSIITIQAPLRPLLTTHILCSKETDRQFISPAGRPAPDPLKTRAPTSRPPTPADEDRVLRPYNSIDNSKLSRASKRFSPASARVAWKPGPAETGGMSSSRRSMSPLFDPELLASIERELSEEGAHIVAEGRCPPGGSNAVMLYTTTIRGIRKTFEECNAVRAIEAHDVKLIERDVSMDSGYRARGSCGCPPCSSGASTSAAR >cds.KYUSt_chr6.5702 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34215656:34216672:1 gene:KYUSg_chr6.5702 transcript:KYUSt_chr6.5702 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQVLLIFAFYAWMFRSGKVPPPPSPPRRSGPASSEDRLRDLGIAGICREILRVVLARPPRFVGTVVTLALHVSALSLAHATLVARLADAWGSRWGAFLLLALLEAACLCVLIVLACSTAASFAISVASFYCCAHDDDRAREALVERLIEEARCRRLAENVFDALVIVLLYSGAAGAAMLALQWVWLVAAPGARALDFSHARDWFLAGLLYMGADYQLAAVVSVLEPDERARRCFSRSSALLAGNFCPAAGVFAFLVHCFESVHAVFGSLVLDGRMGLGFGFQVAATLAMVAALCAVLVAALVAHPVIYFVCKAYHNDAVDLGLGEHDPADNSNGVH >cds.KYUSt_chr6.14759 pep primary_assembly:MPB_Lper_Kyuss_1697:6:92419337:92423121:-1 gene:KYUSg_chr6.14759 transcript:KYUSt_chr6.14759 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTNCLHARPLLLPPGTEPLGSMSFNGHTPPLGNRHEERRFQKLLTPSPVTNSLEAGPSLSLATKAGQEEEHQRISAAVKAQSPTLARLSSTMRSLRGLLAVSGHITARQTPLSGAAASAHSALFVRALQILSQPEPVRLHKLSAADTGIVELRLERPEARNAIGKEMLKGLRSAMERVEADPTANVLLLASSVPKAFCAGADLKERRLMGLCEVREFVNSLRATFSSFEALPIPTIAVIEGAAFGGGLELALSCDLRICGESATFCLPETGLAIIPGAGGTQRLPRIVGRSRAKELIFTGRRFDATEAVTMGVVNYCVPGGEAYQKALELAREINGKGPLAIRMAKKAINQGMEVDLSSALTVEEECYEQVLHTQDRLEGLAAFAEKRKPLYTGK >cds.KYUSt_chr3.28476 pep primary_assembly:MPB_Lper_Kyuss_1697:3:177688234:177692404:1 gene:KYUSg_chr3.28476 transcript:KYUSt_chr3.28476 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATVALSNGSSGDSKAEFAEIYTKLKQEMLEDPAFEFTDESLQWIDRMLDYNVLGGKCNRGLSVIDSYKILKGVDVLSKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRSHISRILQRHYKGKPYYVDLIDLFNEVEFKSASGQLLDLITTHEGEKDLTKYNLNVHRRIVQYKTSYYSFYLPVACALLLSGENLDNFGDVKNILVEMGTYFQVQDDYLDCFGDPEIIGKIGTDIEDNKCSWLVVQALEHANESQKRILVENYGKSDPESVANVKGLYKELNLEAVFHKYEKESYNKLIADIEAQPSKAVQKVLRSFLDKIYKREM >cds.KYUSt_chr2.12513 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79421900:79423628:1 gene:KYUSg_chr2.12513 transcript:KYUSt_chr2.12513 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSWDEAVLEAAVEVLEADPQLAEYEAWEEAALAATVDAYDAGEQQRQEAERRERRERGEARREAERQEAERRRHEEENQMDGLIVTRNPGTKLPSYLSYLKRRNWFRRQSIRLSPAAASSAFTEFRDSAPSRWTPDGAAADEAGELDFDDDDNSGGARVPHGRSSPHLSSCPRLTPRPPPHC >cds.KYUSt_chr2.43133 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268474661:268478031:-1 gene:KYUSg_chr2.43133 transcript:KYUSt_chr2.43133 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMGMVMVMLAALLASLAPSARGLDRGEFPPGFLFGAATSAYQIEGAYLEDGKGLSNWDVFTHARSRDIKDGLNGDVAVDHYHRYMEDVEIMHNLGVNSYRFSISWARILPRGRHGDVNSDGIAFYDRLIAALLQKGIEPFVTLQHFDLPHELEVRHGWLGAEIREEFDYYADVCFQAFGDRVKFWTTFNEPNLFTKFAYMLGMYPPSHCSAPFGTCNSGNSHQEPYVAAHNIIMSHATAVDNYKKNYQAKQGGSIGIVIAMKFYEPLTNATEDILAAQRALSFEIHWFLDPIFFGEYPKEMQEVLSSNLPKFTAAEKRLLQNKVDFIGVNHYTTIYAKDCILSSCDLNTYEGNALVLAIGERDGIKIGKPTAFVGFYDVPEGMEKIVKYVNLRYENTPVYVTENGYSQYSNNSMGDLINDVGRVNYLQGYLSGISSAVRRGANVRGYFVWSLMDNFEWAFGFTVRFGMYHVDFQTQKRTPKLSAKWYQNFLMGSGPVNTMENMSTDI >cds.KYUSt_chr5.2207 pep primary_assembly:MPB_Lper_Kyuss_1697:5:15052684:15053118:1 gene:KYUSg_chr5.2207 transcript:KYUSt_chr5.2207 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELWIPVTGSMELLGLLLLVLLLLRLEGSRGVGNAAFPNNLVAPGSARPRSLGVGEALLSGRGGEGECRSDAVKRSSVPLLAGHGGLEEWGQDPLFLDLGGGCPYRRSCSRWWGFRLHALPACRGGEEERCGGPATFQGQHR >cds.KYUSt_chr2.31322 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193366209:193368563:1 gene:KYUSg_chr2.31322 transcript:KYUSt_chr2.31322 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFTPPIPQDDSNWEIRVAVLLSLFLQMILIFVGPVRKRSSSPVPRFLVWSCYLLADWVADLALGLLLNNMGNIGGKSSSSSSISQLHAVGLKRGPSAAVSNADGSSSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSASVIFFCSLKGNPMIPATVLMFVVGIIKYGERTYSLYSGSVDGFRENILDPPDPGPNYAKLMTEYDAKEQAGLDVAIVISGADSEAKKALAALEQGEATRLLERSTTLEAQAYDFFLIFRRLFVNLILSYKERKISQAYFLGRADVTNTPARAFQVIEVELNFIYDMVYTKAPVAHSKAGCVLRFVASACLVSSLLIFFFHGDKGGILRVDVAITYALLLGGLALDAAALAMLLSSHRMLVLLEKTRRLAWLATAVRNVRPQLRRWSERTSQLNLVSYCLGKPDTPTSVGVLRRRLGGPLVVRALARVAETLRVREIFDDFFFIRREPISCRQGQGDSQKGPLLEFVFNGLKARAVKANGEEDVNYEAIKKACACRGEGVLEHLGDRIKEKLKQSTREAAGNDEHDAVIEKKVNDKLAVLKGSVNAVKKEFDDSLLLWHIATDLCCQPFVPTVPTETAKANEMKLIAETLSEYMLYLLIKQPEMLSATAGIGLLRYRDTCAEAKRFFASAAAWDPDHTDARRMLLKVNTTEEPSAVKGDRCKSVLFDGVILAKALRDLGEDLMWEVVANVWGEMLTYAAGKCRGSTHVRQLSRGGELITMVWFLMAHMGLGDMYRIHEGDAKAKLIVRDQ >cds.KYUSt_chr3.28436 pep primary_assembly:MPB_Lper_Kyuss_1697:3:177425477:177426492:-1 gene:KYUSg_chr3.28436 transcript:KYUSt_chr3.28436 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQAGTGGGQQKLRKGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDAIIGLHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPSTHKPLSGAAGAELHQASSKDQKPQAAAADGFALKLQQVFDPFPAADAFGGGFVGLYDEHLGGKVDAAGFVDYSSVLDVSENLGYGESSSNSSNWNCQPEASNALEPGEALQWASESKVEPFIGSYDDAAGEEGALEHKFELPGQQEAHFDFNLEYF >cds.KYUSt_chr5.18506 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119589261:119589897:1 gene:KYUSg_chr5.18506 transcript:KYUSt_chr5.18506 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHLLLLLVALLSCFQCHLAVRHDPKRTPAVVHPGGAATDAASSGAPDAVRCHDRAVCSEKGLFFPPIPLVPEPPNIGGVPIPPNPITPAPPSLVPPVFPTPSPPSILPPLVPQPPPASLIPPILPLPLLNPPPPPPPPPSILPPVPFLPPTPLIPGFPGVPPASSSKNGRPAKP >cds.KYUSt_chr5.40542 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255951850:255953843:-1 gene:KYUSg_chr5.40542 transcript:KYUSt_chr5.40542 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPGLCAVNGSRQSPVGWVDEEVNAHCLSVCGVFVESRGSLIGENTRSCRCECPAMIRLPRSTDNGWYITEHRVTHNHVLTETCGETAFWPSHRHIDMFTKDLVRQLRDNNINLVEEADDDVGKTIEVFDQIGAKDPDFVFGVEADSTPGSRT >cds.KYUSt_chr2.35603 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219926501:219930828:1 gene:KYUSg_chr2.35603 transcript:KYUSt_chr2.35603 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALSLHLHLRLRLCPPPHAHRRPPRHAPFLLSPPLPPHNLRVAHPRLPSPWRPSVRARAGTVDAPSLARPGGAVETDRLPPDVRDRAMDALDHFGGRVTIGDVSSRAGLQLDQAERALQALAADTGGFLEVSGEGEVLYVFPKDYRAKLAGKSFRMRVEPLVDKAKEVGAYVVRVSFGTALVASIVIVYTTIIAILSSSSDEDNRGRRRRSYGSTMFLPTDLFWYLDAGSSRRRRVEKENGMNFIESVFSFVFGDGNPNEGLEDTRWKMIYAGSFFAIPLFRWLLLRKTNNDIARRNKAREERAQELVSPEPSLRRKLLSARDMAQRKVITPDEIVYTTEKDLLDQEYEVKEWERRFKKIESD >cds.KYUSt_chr5.43384 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273368858:273372000:-1 gene:KYUSg_chr5.43384 transcript:KYUSt_chr5.43384 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLILGVAKTVVEGTLIKVRSAIDEETKLKESVQRDLVFITGEFEMMQCFLNVTSEEGAKNVVVKAWVRQLRDLAFDVEDCIEFVLHLDDKSAWWWRVVPSCIAPTLPLDLAVANIKTLKERVIDISQRNMRYNITGDSSSGSKPPVITPAVTGAAETFDMLVEAQAAAKRQNGLRDLGRLINREDRDLQVVSLWGTSSDVGMKSIITKAYDDPETCRNFTCRAWVKLVHPFNPHEFIRSLLAQFFADSCQDKQTAIGVDVMTMMQAATRTEEHLKEEFLSRVHKHRYLVVLENLCSMVDWRTAKTYLPDINNGSRIVVSTQQFEIATLCTGHPHNISEIRQFSLDHSVCVLFKEGSQYDGDKFKYEARDFQPFESEAANILDILKGVDTEIRHDGKSLAFKVMSVWGISGVDKSALVRSIYRGQMTRQYSHRWGKFAWVNIACSQDLEEFYRSLLWDLCSITTEAEENEYRANRYMSYEKRCCDILREHRCLLVIDGLEHMKQWDEIYTALNLGAAKGRTIAITEQKSIALHCADNADLVCHVKTSPSDATGFLKDQVYLF >cds.KYUSt_chr5.6356 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39337186:39338458:1 gene:KYUSg_chr5.6356 transcript:KYUSt_chr5.6356 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSVPMENWQQDYPALNTDPSGADHLKMIEHLRQIRAGPVVEELDITKLHPSDLRRCFPLSIKYVVGTRAKEWETQHGSWKEKTGSFSALLGGPDTVYAGAKRTMEFYQNGTATDWVMDQYFGLEDVLTGFLMEDRRTLCHVYQQKRKGPLNYKEYSPPKENLWCNFVPENYGVDGLLPTQDEHYSPFFHENFTKSQNQQAANNQEAVLLPTAKRKSDVWNDFTKIYVKDPAGNLKQEYAMCNSCHILLKAPSSYGTTHLRSHSGTCGCKRLQAAAANTVATTGLVF >cds.KYUSt_chr3.3981 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22639991:22640684:-1 gene:KYUSg_chr3.3981 transcript:KYUSt_chr3.3981 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLATQLQMHKQAEPKQPAMEMNRWLSASILLMLSLEAALLVAGHPSAAAVDDVVAAIRLPSDTQAAAPARPWKCCDAAVCTRSIPPICHCQDAVRQCDAACKDCVPSTAYPSLSVCNDRYTGDPGPICRPWDCCDFAVCTRSIPPFCRCTDEVDQCAATCKDCAASTSGPSRRVCQDVFLGFPGPRCTVEGNNNVGN >cds.KYUSt_chr3.5170 pep primary_assembly:MPB_Lper_Kyuss_1697:3:29346090:29346584:-1 gene:KYUSg_chr3.5170 transcript:KYUSt_chr3.5170 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVARLFDTLSLDAWKNPFSSIFGTAAGADAWLASDTTAFAETYIETRETAEAYVFSARLPAGVSKEEVRVDVEEEGHVLVIAGQRSVRREVKSDEARRQVIERSCASFFGRFCLPEDAVVGQVRAAMDDGGELVVTVPRIGAAAVVALPEPALAIEAEASPC >cds.KYUSt_chr5.4074 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26219109:26219543:1 gene:KYUSg_chr5.4074 transcript:KYUSt_chr5.4074 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHQLFRTPVKWVLVEDINCSMFRDSEFQQDNNKETTEEKFDWSSDGDVEDKNMVDHGYSLKDMRDLFYDIELLGFHPNEEIVFLTASKQTCLAYHLNGSKIEELGNMYPKDYYWFKELANEMEHIKSFIYTPCWMQEFPGSN >cds.KYUSt_chr1.40155 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245935596:245939187:-1 gene:KYUSg_chr1.40155 transcript:KYUSt_chr1.40155 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEAGSTAETGIPPGLAVGRRRRKTLAPGELGEFAAVAPVGCANPFEVLVEDASSDSDVSCDSDVPYGAAMEVVGSPRAPEWSSLVRRGSRSDEEMARDFWTELGFPTPASRAWKSSSRAASAVRCVLFLAGWRPSGGASPTDANDKIGAAPVAWRSAQGRCFDRWPKAAEEGAAGTWRGLVPPRRATPPAILGHFIAAATKATAPAIVNGSARQDGASSSGRFRPCMLGGAGQQSRGPDRATCSDTRGSARTSIVDSARVLAAAAIVYLDFVAVGTVSPQLVAAPPPPLRLRVRQLILHQVLRPGRQVLLLPRQGSSLGRLDRQVRLPPPRRLLRHRPQVLASSSSPTTATSLSSRPSSSSAFSRGWRPLRPPSFAEVVARPRAGMAGQHPPGGFPPARGPGSGVAGQVGPLAPGGVQPLRPPMAGQPAQAAPRPTAAAAPFVHPAGGVGCAACCSLLRSPGSGFRPSRSFRSLPGKYMLERSCKHRPMIPQAMLVPQANARNAGRKHKSKKKKTTPHVLARGGIIQAPGGRSFGQASSQQRGYASHSSMAPHMYQFPYIPSGYDQHGQFASQLQQLPVRSQTGQGVYVPPQQPPAPLIPVLQQPQPMVPQVGAVVQVAEVAKAAVTETVCVCFGSSLLETYFIAFPDSVVNEDLAPTQSPIALVVVTGDEVPADLIAKQVARRCSDYPNWKWEAVPHVDMQFLVSVPSFEDLDRLDGIQMGVPSFSSTISISAWRSAEVPHKAELEKVWLHVDGVPHTLRHFLGLWAVGSLVGKTVDVDLVSLRRRAVVRIQVAMLQAGVLGDPSDEARPIAKADAVVKFKAFEFRFRREPADYIPEPDFVPLIWVKKDDSDEGGEGAPDGGDDAMDTSEPRLGPSVSGTSQVQQGGPSSSAPRGAQAMAPVYAVTPFNPNPKTPFAIEAVKRLRAISPSLEAPPSGVASPRVSADALRLALDAVSSVSPLPSGLVGDRPRLDRPARGRVCTLARTTPAAARRAAASEAARVGALDGARASAPALGEGAGEAGLRGGSSSPLPPRTSPPSPCGVGSATPLTPCSVARGGSSSALPPRASAAGDGCPLARLAASSTAAAEVEEMPPASSVDQATQPTPPVSALGVVDESPLPPLASGGAGLPSMEPARGGGVFHDCYPP >cds.KYUSt_chr6.27312 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173385469:173389651:-1 gene:KYUSg_chr6.27312 transcript:KYUSt_chr6.27312 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAMKEQAVALVRQAVQEDDAGDYAAALQHYVHALDYFAAHLRYERNPKVRDAIAARLPGYVARAEEIRALLDGQAGRGGEGVAAEACGKGGQRKKDGGADGEDDERGAERAKLRAGLHSAIVSEKPNVRWDDVAGLDGAKQALQEAVVLPVKYPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSYMRRIFVWRETSRVVVGHRLRTTYHMSYVRASYLGILVIWKVNGFELGGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQRMFKVHLGDTPHSLTESDFERLAHRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFRSDGGGGTWTPCGSRQPGAVQTTMEELAEEGMADKITPPPISRTDFEKVLARQRPTVSKAELDIYTRFTREFGEEG >cds.KYUSt_chr3.38484 pep primary_assembly:MPB_Lper_Kyuss_1697:3:242426468:242430457:-1 gene:KYUSg_chr3.38484 transcript:KYUSt_chr3.38484 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVSSAAPTTLTPPRARARRRPSNYRRLPRAGGLAAALGLAGGGAALAAPLSYEEMLRLSTDTAGGGDGGFALPDLGLGGLADFVAQNPLVVAAGVAVVAVPFFVSRLLGGASKPYGTVSGKAAYQTLLEEPGAQLVDIRPIKDAREAGSPDIREAKKKAVAVPYDGEDKNGFLKKLELRFKDPENTTLIILDKFDGNSELVAELVTANGYKAAFAVKDGADGPRGWQSSNLPWTAPKKGFSLDFGELFGDGSEGLPVTIGLAAATGFGILAYTEIETVLQFLGSAAIVQLVVNKLLYAEDRQKTLQQIDDFFNKKVAPKELVDEIKEIGQALLPTSGVAKSQPAATAAAPVAAVATAAPVEEAAPAAVPVAEASTESSPETVASTPLSPYTNYADLKPPSSPTPPAAEGKAEAKAEAAAATESPVEVTSPVVAEAVTEPPPAKPRPLSPYASLIGDERTEAAELPVFKKRTV >cds.KYUSt_chr3.15838 pep primary_assembly:MPB_Lper_Kyuss_1697:3:97303735:97307751:1 gene:KYUSg_chr3.15838 transcript:KYUSt_chr3.15838 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGQAEAGEVGWEMWAAARLVGGGMRMVESLRLRGRLLVERVASKAVKEDADQLATRQKLHSPIRNDAPQKDKFLEQDRSPRFVYLLYIGIRHLSDIPIVRCDICLLVSLRRHQLLG >cds.KYUSt_chr2.7042 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44069814:44074244:1 gene:KYUSg_chr2.7042 transcript:KYUSt_chr2.7042 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPAQIPEADVEEEPRVASGAGDAAGGVAASPAPAAKPGTPKQPKFPFYLPSPLPASSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGGEPELGLDKSFGFSKHFFAKYDLGEEVGRGHFGYTCAAKAKKGEHKGQDVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDKILARGGKYSEEDAKVVMLQILSVVSFCHLQGVVHRDLKPENFLFTSKEENSPLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEGDMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLSSEAKDFVKRLLNKDYRKRMTAAQALSHPWIRDAQQVKIPLDMIIYKLIRAYISSSSLRKSALRALAKTLTANQLFYLKEQFELLGPNKGGYISLQNLKSALVKNSTDAMKDSRVIEFVNTVCTLQYRKLDFEEFAASAISVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >cds.KYUSt_chr7.14078 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87065524:87066768:-1 gene:KYUSg_chr7.14078 transcript:KYUSt_chr7.14078 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPCKQDAEESASSSLPDELIEDIFARMPAKSAQRCRCLSRAWAATLSSRSFVDRHLLLANRRGSPRLFILPELGTDTDTTMHGWSPGRPMVVLRREERLRGAVSVTTQCRGLVVLKSTGLVDVCFHGDSSCNPDYYVCNPSTGQMTTLPRGKEAFGLFPHNHDVLGIGYDASIQKHKVVRLYCRGLLPPACEVYVLNSSSGHWRPPFGAADRALPPGFARSICTDQSVFAQGHLYWTGQPHRKFNSQMIIISFSISDEVFEILPPPPMDMFPCRITELDGCLCVFNNTDKYKHSYDIWVLRDHRAGTWDLHCRIALDMAPLADTQLIRSQEVIPLGSVDDGSGILLRSDPHSVWKENLEAHRLHVYRPVTGDVEDLLGDDSVTTHHTMARGVAVPYVESLESTGLSDTKRQS >cds.KYUSt_chr3.12242 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73117494:73123532:1 gene:KYUSg_chr3.12242 transcript:KYUSt_chr3.12242 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATATEASAPAGSGRASWQSHPFPWLDLAISEPYYFLHLLAFFSYFAARTAAPSAEDDGELHARLIRREIQAVLVFLVLFTVKIVKEENWETFIADSLLYAKGLLLAVTFVIDYKLSICYLLAFVVIYAVAQQPPYDGLGHSNHLTPLQLETMLTEDPKTRFCLVEFRTSFLANCIQASSVLPELSNIYSNKNISFGIIDLGHFPNAAPRFGISMLEASLPAL >cds.KYUSt_contig_915.62 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:407124:407835:1 gene:KYUSg_contig_915.62 transcript:KYUSt_contig_915.62 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPLEGAHPSSPSRFRACWGGPWYHTTVASPPKNAATATAAAVRPPRDQLHRPRDPRRSPPFPPLSAASVRASTPYPPSRAADKRHRRRPNRVAEKLRCRHPPWGKQAPPQRYAVQEATSTANKDEATATAVKDDATATAVKEN >cds.KYUSt_chr1.2578 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15401387:15403446:-1 gene:KYUSg_chr1.2578 transcript:KYUSt_chr1.2578 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDRSAPLLGNGTGRVARTPSLRRRDSARSLRSSFLSRLPDKMRTELDPERAADVDVARVKDLSQGERDYYNKQLATLKTFEEVEALCMPGEFDSDDDTDAAGAAEDREEKESEFAMKISNYANVVLLAFKVYATIRTGSIAIAASTLDSLLDLMAGGILWFTHISMKKVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLIQAIEQLVENNPGDKMTTEQLIWLYSIMLSATAVKLALWFYCRSSGNSIVRAYAKDHYFDVITNVVGLVAAVLGDKFFWWIDPAGAVLLAVYTITNWSGTVIEHAVTLVGQSAPPEMLQMLTYLAMKHDARVQRVDTVRAYSFGALYFVEVDIELSEDMRLREAHAIGESLQERIEKLPEVERAFVHVDFESTHKPEHTVRSRLPASEP >cds.KYUSt_chr1.1824 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10556859:10557698:1 gene:KYUSg_chr1.1824 transcript:KYUSt_chr1.1824 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSWFLLLFLAFLLPAASVAVSCHPDDLLALRGFAGNLSNGGVLLHAKWFGNSCCSWEGVGCDGGSGRVTTLWLRGHGLAGHIPTASLAGLARLESLNLANNKLVGTIPSWMGVLDHLCYLDLSNNSLVGEIPKNLQRRLSCPNIVGHSLGTASTNMPLQVKHNQIALSGQPNTITGTNNYVRSGINNVVSGNHNTVTSGNNNVVSGNHNTVSGTNHVVTGNNHVVIRNQNTVSGSHHKVSGGHNTVSGSHNTVFGSHNTVSGSNHIVHGNNKVVTGG >cds.KYUSt_chr6.5483 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32716150:32720104:1 gene:KYUSg_chr6.5483 transcript:KYUSt_chr6.5483 gene_biotype:protein_coding transcript_biotype:protein_coding MRDCVAKSWQRSGDWLCPETSCGNVNFAFRGACNRCGTVRPSSAIGLSAGRGSQKVTVRMTVDDLKKWYQLKNWYQVKGASRHMAGSYGEFHDYIPEIGMDSKTGGDKEGNSETTSRKVIVGVIPTGDEPDGRDEHTSNAEQDQDHTQGEQQEHPTGDEPDGRDEDTSNDERDTQGEQEEYQTERSRWPQNLKVYSRRQRAEDDQVQGEEEISLSQNPDAQIQPDLHDSSSSPSTSDGDDDEEISRLKKALTCSFEVKDLGYLHYFLGIGVVYGAQDILTKGVSVVSFVNICSKMRLIDIFTSS >cds.KYUSt_chr3.45998 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289588196:289588561:-1 gene:KYUSg_chr3.45998 transcript:KYUSt_chr3.45998 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLPRLKLHACMCLLLLLAVASPASIVVAEGECYNTAMKVPRWCRVEFIKALWNNDHHGISKKCCILLACVRDPPCFHVLRDFCVPPRWRRDCTWEPPLSTSSNSSKPMPPPAPAPLAS >cds.KYUSt_chr3.48891 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305472027:305475079:1 gene:KYUSg_chr3.48891 transcript:KYUSt_chr3.48891 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSRISSDTSSASPSTSGWRWRKKGAGGLSASSSRVSSSPSTTTVPPTPKRSEGEILQSANVKSFAFTELRTATRNFRPDSVLGEGGFGSVFKGWVDETTFAPARPGTGMVIAVKKLNQEGFQGHREWLAEVNYLGQLSHPNLVRLVGYCLEDEQRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAAKGLAFLHSDKAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTHGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRALDKNRPSGEHNLVEWARPYLTSKRRIFHILDARLGGQYSLAGAQKTAALALRCLSGDCRVRPGMDQVVAALEQLQDAKDAAGSGAEQGKVGGAGGFVRMRGVVGGSGRQQRPEPAARRHYPRASPLPE >cds.KYUSt_chr6.12193 pep primary_assembly:MPB_Lper_Kyuss_1697:6:76065542:76066196:-1 gene:KYUSg_chr6.12193 transcript:KYUSt_chr6.12193 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVSHLLIKPSFRCLSRKGVGRYGEIKVYAVLRDDGADYLKDNGPWEALFDDPGPRVPIELGKFLDAKQVLNVVRFNIQYCEARQDLLTIICAPQQGARGRRREELEAAGAEGELARGRGPPARGQRKAGGARSCGCGRVRAAGARRGNPPASRSEARESAGQPS >cds.KYUSt_chr3.24654 pep primary_assembly:MPB_Lper_Kyuss_1697:3:152990080:152990481:-1 gene:KYUSg_chr3.24654 transcript:KYUSt_chr3.24654 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKTSLPTTTTDSTSHIRWYTTLSYSTATTFTRGRHRRMADRTFEPAFVCASEGAPLQLSIAGAASLSIGSSNAALGVLLRRRDDDDFLGIVRFRIHSATLPRAIKSIQVEVLNYLHVRCFWLLVCCTDLHF >cds.KYUSt_chr4.17896 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112147078:112149727:1 gene:KYUSg_chr4.17896 transcript:KYUSt_chr4.17896 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVRLGVTEGEEEELEEYDSVFYEDIEAPKFVDLTAPDDARPTDDPSWFCLRVGCDQSHEHVDPEALHRSFVMRVMAARSPNVKLQRAISRRNQSSMLPKCPQSVPAKPRRTRMTRLSMAADAAAAAKAARARLHDHGIRTFRVSPVRAKAARVEPSSARKKALTTPRTKTALRPRQEPLFLSAKHQREPVAAAAPEMKGAVVKALFTTTPKRETPAKKSQAPPVAEVCSKLKKLNLACREVPSRYLAQVSTPNKVTKKCEETTAAVKSAKRVQQQPRNGKKILGLSAKCGNAEADEGNQTGRGNVNAEENSCKRTARCKWERKEVLQGSRIQVEPLQPDSHDEDDDKENVSSGDQSTAQDSDRQDENMNQLESKENAPQKVVKRQNSKMNAEQGGKLKKTIQRPFRLRTDERQVLKETKPERKQTIAEKNTMPVLKDENRRVMQTGRCPEGKGRDILTCGDRQKKQTTHIATSQIGESKLGLNSTRCGNVRPALTKGKTIEKSDRVVRTASSTRTVKTTSTLSSWKREEDLSENIQAPSSSSLTSTGVAKNSDE >cds.KYUSt_chr4.4133 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23692876:23696600:-1 gene:KYUSg_chr4.4133 transcript:KYUSt_chr4.4133 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLIWPAFLRDDGSLYIPRNDLASGSTNAQQSSSSDAENQQSDQFVTPMDVCPGEPSMSNDVQMPSTSNSVPLPAIARPSGSAVHRMPGNSFTTSGLDVQMFLRNSEGGNHHHDLFSDSRSWELPFLHGWLMAQSHTGASSSIPISTGSARGSNRHYASRPHALASVPGIGSPQLGPQIDEAEAHAASLGVGSELTTSLFSAGAAELPCTVKLRVWRHDIKDPCGTLQLGACCLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDQGSQLPVQYESTGAGTSPTRHPLPSHGVIYELRVYSLEEATFGEILTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLRSIVMDGETTGVPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADAASMGLNCFIEENMLEVQRYALEG >cds.KYUSt_chr3.31410 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197255125:197256465:1 gene:KYUSg_chr3.31410 transcript:KYUSt_chr3.31410 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLGAVLAQRQVMMYTRAYGSMQGTHVFSSYRAYKLGLRLSLKDHPPVAGSNAPLGDKRIWKKIWKCNIPEKVRIFAWKALSNALATEMNKKRRHFPLTGLCQVCGNGQEDSRHALIGCPHATALWSAMGQVWLIPEVPNQTRREDWLEAWLESAPMDM >cds.KYUSt_chr4.33444 pep primary_assembly:MPB_Lper_Kyuss_1697:4:205077595:205095182:-1 gene:KYUSg_chr4.33444 transcript:KYUSt_chr4.33444 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPHQELPAAEPDTAQPMEVVAQTEAASTAESQPPEDPQTSRFTWTIANFTRLNGKKHYSDVFVVGGFKWRVLIFPKGNNVEHLSMYLDVADSANLPYGWSRSAQFSLAIVNQIDQKFTTRKDTQHQFSARESDWGFTSFMPLSELYDPSRGYLVNDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEKLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLADQWYKFDDERVTKEDTKKAFEEQYGGEEELPQINPGFNNTPFKFTKFSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKIARDEDLKEQTGKDIYFDLVDHEKVRSFRIQKQLPFSTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTPHEETQSVGQLREISNKAQNAELKLFLEVEFGLDLQPLPPPEKSKEDILLFFKLYNPEKEVLCFVGRLFVKALGKPSDILRKLNEMAGFTPEEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIVCFQKSPKADSDTQVRYPDVPSFLEYVHNRQVVHFRSLEKPKDDDFCLELSKLHTYDDVVERVARQLGLDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQFLKTLKVAFHHATKEEVVIHSIRLPKNSTIADVINDLKTKVDLSSPSAELRVLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEVPEEEKNLGPHDRLIHVYHFAKDPVQNQQIQNFGDPFFLAIREGETLAEVKGRIQRKLQVSDEEFSKWKFAFISMNRPDYLQDSDVVSARFQRRDVYGAWEQYLGLEHTDTAPKRAYTANQPSPSSCAGADDFDLVFPLDLCFFPELDGDDDGFATEHAACMDQLPQAVAAETQVGEEKPVMGYDGGRFEFDSVQPHKVRAEEELAVETILSLLHGQEPAAPAESARPPYLTYLVPPIVVPPDFDRPAALTRYRAKKRRKSLRAVVKADYSCRRDVALRMERTRGRFVPSAKSPGSEPLTLCGSCGRRSDATPKMRRGPGGSRTLCNACGLMWDKTGKLRGMENEPCGGVRSRGV >cds.KYUSt_chr1.33845 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205675577:205677070:1 gene:KYUSg_chr1.33845 transcript:KYUSt_chr1.33845 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEWSDGGEEFLLPDEFLDDDFFSEEEKAAVAARSESDEEDSMAGLSRRLAGLLGDGGLAVPPPKEEVSSGSPKSTLYGLPKSGQESPNGGASQGNSPPSSPLEQKPTDPWDLLYEAAGEVARMRVNNTVPVPSNPYGFHGHGGFAPPARNTSPPPPPHPPVAAPAGGAYYHPFAHFITQRQIQAARFHLLKQQQLLKQQRERQLFAAAAWGVRRNAACKRPSGGGSGAAPIDLSPAAFPPLLKTQLQRAPPPPPHAAGAGMRAVFLTPPGAKRERNGTGVFLPRPAGAPAEPKKKTSCSTVLVPARVVQALNLNLDDLGAQPRYPGGFVLDHDALISRSNAMIASQKLRAAQSSSPSPPQPSQALCHSS >cds.KYUSt_chr4.2840 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16178743:16179636:1 gene:KYUSg_chr4.2840 transcript:KYUSt_chr4.2840 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGLTSTAARRIRTPARGKRHIQVTMERRPELRRSMTLSEQLATPDPAIREFLRIPDDDSHYQPDADAGGRGWKPLRDRLRLRRTANAWTAPSQKPSAADGALKSGGGGGSSTRSNKYIYAPGEATAAFSRTTSLRQTPTFSRVASTRVGPTSGRAERAAPVVVDDEEDDEDEDEEEDEGDKDQDEAPAAQMSLMALLEQTDSWDDEDEEEDEAGVGGGGKNAQQHAGGGDDDEDDGEGREEEMVHVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGFIQEILDIF >cds.KYUSt_chr2.52243 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325881648:325882022:1 gene:KYUSg_chr2.52243 transcript:KYUSt_chr2.52243 gene_biotype:protein_coding transcript_biotype:protein_coding MCNMITIPSIAWLRRAVRRWRARGAGSAAVPAGHVAVCADGARFVVRLAHLGHPAFLELLRQAEEEYGFPPGASGPVALPCDEGRLRDVVRRVSSSSMERRSSRRRRGDSRPLLQGVDVEKLVF >cds.KYUSt_chr3.26826 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167365202:167366304:-1 gene:KYUSg_chr3.26826 transcript:KYUSt_chr3.26826 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSRISSWVAPALLLFVALAHTTDADHLYVGYYAKSCPNVERVVSSVMASRIGSGRMAPAVLRLFFHDCFVNGCDASVLLDATPFSESEKDAKPNASLTGFTVIDEIKAALEKECPATVSCADVLALASRDAVTLLGGPTWNMPLGRKDSRFAADKEFTTKHLPSPNDNLDELIKMFGELGLDARDMTALSGAHTVGMANCEHYRERVYGTSDTEYNIDPSFADARRKMCPPQGSSGDAGKAPFDVQTPRKFDNAYYRDLIAHQGLLNSDQALYSGGGVDSLVERYGADGDAFGRDFAKAMVKMGNILPLKGLPTEVRLQCSKANY >cds.KYUSt_chr7.31587 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196742244:196742745:-1 gene:KYUSg_chr7.31587 transcript:KYUSt_chr7.31587 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQIPQLVAFVFPTACVDAKRLRHSVSKVLYDDDLLMEIMVRLGFPTSLVRAALVCTCWFRHASDPAKLHPPCLLGFYVDTWTTKAPHFVPMVPHPPELGTVFR >cds.KYUSt_chr2.55546 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346478238:346480940:-1 gene:KYUSg_chr2.55546 transcript:KYUSt_chr2.55546 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPAAAGALLLVLLAATALASSAADMSIISYNEAHGTRGLEERTEAEARAVYDLWLAENGGGSGPPRNSNALVDRFRVFWDNLRFVDAHNARAAAGQEGFRLGLNRFADLTNAEFRAAFLGVRGAAERAGRVVGERYRHDGVEELPEAVDWREKGAVAAVKNQGQCGSCWAFSAISSVESINQIVTGELVTLSEQELVECDTNGQSNGCNGGLMDDAFDFIIKNGGVDTEDDYPYKALDGRCDINRKNAKVVSIDGFEDVPENDEKSLQKAVAHQPVSVAIEAGGREFQLYQSGVFSGRCGTQLDHGVVAVGYGTENGKDYWIVRNSWGPNWGEAGYLRMERNINATTGKCGIAMMSSYPTKNGANPPKPSPTPPTPPTPPPPVAPDHVCDENQSCPAGSTCCCAFSFRNQCLLWGCCPVEGATCCKDHASCCPPDYPVCNIRAGTCSASKNSPLTVKALKRTLAKRITA >cds.KYUSt_chr1.28081 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169341268:169343192:1 gene:KYUSg_chr1.28081 transcript:KYUSt_chr1.28081 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHCVPLRIIPLLLLISACFASQADIECLRSVKQSVIDPNGVLNSSWNFNNATPGFTCKFTGVECWHPDEDRVLSLRLSNLGLQGLFPRGLQNCTSMTGLDLSSNSFTGPIPADISREVPYLTSLDLSYNSFSGSLPQNISNMTYLNTLNLQHNQFSGPIPLQFSLLTRLTAFNVADNQLSGPIPSTLKNFSASNFAGNPGLCESPLDRCQASSKSKNTAAIIGAIVGVVVVIIIVVIVVFVCLRKLPAKKAKADEDNKWAKSIKGTKTIKVSMFENPVSKMKLSDLMKATKQFSNENIIATGRTGTMYRAVLPDGSFLAVKRLQDSQHSESQFTAEMKTLGQVRQRNLVPLLGFCIAKREKLLVYKHTPKGSLYDQLHEEGKDCKMDWPLRLRIGIGAAKGLAYLHHTCNPRILHRNISSKCILLDEDYEPKISDFGLARLMNPLDTHLSTFVNGEFGDIGYVAPEYGSTLVATPKGDVYSFGVVLLELVTGERPTQVSNAPDNFRGNLVEWITYLSNNSILQDSIDKSLIGKDADSELMQFLKVACSCTVSTAKERPTMFEVYQLLRAIGEKYHFSAGDDLMLPPLTTDGETPDELIVAM >cds.KYUSt_chr2.31262 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192975530:192977380:-1 gene:KYUSg_chr2.31262 transcript:KYUSt_chr2.31262 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDRAIYPVTKELLYKLFDPYGVEQLVVYPPIISEDGDPCVAADVWFSSERGAAQAYANWDGRCIYYRCCRLQMWIALAEGLPAQPPAASTPATPAVLHEGGNDLAAPTPSVSFDHSMESTSIQTEFQNSTTGQVSGPVTGSSDPIELAPDNNTSGGEEDTSLDDSTVDVIPVLAAAAAGDGTVNTTTVVHPAISTELAPDSDDDEHGASLTVVLPHSSEFGVPIILSTSSITRVGVVLPSATVCIPWTVTRPWLESVPPRPPDPRILFPGAWSCPRPEACITIHSEVNIVLLCNTAMEVPTYVASQHDAVHQQPWPPPSEFCENSIRHELNEIFGDASSFGVQHSNSSGSRGTTQATLESQMLQPLNNLPSSVSCSVVNVQHRVRAHLCFNALLLRVQECSGSCLEASMKIQSAVKIVQSCNGAEEVRAYVLFDKVMHNDKIQWPPWPPPWCMGRIRKGHMLSSRLLSSFECNQEEIPSTICLFQSCLGPSARPELYSHFPSSNHVVSVTLLARPSKNHLCPLLSPSYKDFGDNCCWLGSFEQDKQVAAIAAGHIDHTLLQSDGAEIYQLLTQPEEYLNLSQEPKPPWLSILTKIDTKVAEGVHRHGEEIKTHS >cds.KYUSt_chr2.6779 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42269846:42274230:-1 gene:KYUSg_chr2.6779 transcript:KYUSt_chr2.6779 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSVPSSLLLPTANRTARARARALTARAAMAPSCGTADVPYTLLSALLSGGGPACGGRAFLRDYAQRGTNALLWAGLLAVTWVLLLRVAALFRLWALGSRLPGPPALLADPGLAAVCRAGGDITGYLSKLHGTFGPVVRLWVGPSQLLVSVKDVSLIKQILAKAEDKLPLTERTYNLACGRLGLFISSFEKVKSSREVLEVFLNEKLNIGASGSSFKIIEAILDRVDSTMDKDSPDCRYFSQHMAFNIIGTALFGEVFFDWPDAAAYEELLMIVAKDGCFWASYAVPPFWKPGYRKYRSLCAKLKILTEGIIRKSIEQSSLLGHNDLRSCKKSEGVVKDPVGFTSLLDGIISGRCLYGAVERSLSSEEEICGNIVGLMLHGISASANLIGSILTRLVLYPKLKDQLYADIVAVCDQSSELEVDDVLRMQFLLATVQPQPDLSREMDLAVNSNNLGHLPNPKLILTGRKI >cds.KYUSt_contig_1790.352 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1755203:1761319:-1 gene:KYUSg_contig_1790.352 transcript:KYUSt_contig_1790.352 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSDDEWIVLDAKNPADSSDDDRGVLALGSPTCSDYELDLDLSSDDSDDDDSVTSRRAAVPDDDDYMSSDPYELECDDDADPYAAQPLTRPLSGMFHHTPWDSVAYAAFDPLRAANSAAKRLIPDPAFAVFPETVTVLASTRGLVCLRGNTSGLYYVANPATFRRVRLPPHTRDHRLDAEPAVVITFEQPPHASSAGFHHYSVVVAFQVHDGVWAVESFSSRTWDWTVGSDICAPETVIASSGVGALGRAFWRTTIGHILCVTPETGTVDLIPAPHEVDARPDWEIGEMEGNFAVACADNARKEVAALYLVAGEAGATQWQWAGQFDGEKAGCHPGMTLLRSQGAAEVVVWDSVADLVIALDFDGRQTRSIGPLNGGNYYTDFIPYIGTDTEIYRTDPVKLSIVPIDGIHIFIGETIDSDGNALVSNADSTAIEQDAVAKIPSELLELPKEESALDRNFKS >cds.KYUSt_chr2.40946 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254447785:254450206:-1 gene:KYUSg_chr2.40946 transcript:KYUSt_chr2.40946 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATAEPSAPGVNYSLLIMKTAMAVKMAVETAVEMTPGAIPHPGRVPEQRLLSPELEFRDGGGSGRFSRVSSIGVDILVAFLSFRISFPSTICRPRSTSTTPSVRTWLVETLPPMKFPSQQRHPLLAGPAAERRLLAGGGEADYGVTSALGREAASLNAREQRRRLVDPWKISAIEETRRRNSVQQRGLHSPALRIPYSAVEQQAALALKVVRGGSLAGLIRVSTPWIQRKRKIKRG >cds.KYUSt_chr4.42713 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264429572:264430381:-1 gene:KYUSg_chr4.42713 transcript:KYUSt_chr4.42713 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVRSSVPDVVRSIAEATEGLIEHGAKTLIVPGIPPLGCSPPNLELFRSDDPTSYEPRTRCLKEFNELAVHHNSLLQEALENVQTNYPNALVIYADFFTPIIKMVESPWKFGLTTDVLKCCCGGGGEYNFNLSAGCGMPGATVCQDPSEYLFWDGHFTEATYRYIARRWLRKLSMHNHLMGATYNYFAIDMDSA >cds.KYUSt_chr4.44575 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276093805:276097564:1 gene:KYUSg_chr4.44575 transcript:KYUSt_chr4.44575 gene_biotype:protein_coding transcript_biotype:protein_coding MASALRSTGLLRLGFRHIPSLLFRGPLCPAPIPGLAVARAGLLRLRCSASEAGDGRGKKVSARLALAQQVMRDAEERAASAGSDPAPKITMDHVTVSFARSGGAGGQNVNKVNTKVDMRFNVEKAHWLGERIKERILQTACTYNLFALHNASTIWLSDHEQRISIA >cds.KYUSt_chr4.40420 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249430174:249436247:1 gene:KYUSg_chr4.40420 transcript:KYUSt_chr4.40420 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRIGPELAIVGGRSSEMPTAETSEAHIMLPCLPGKISRPHAQPTETCRRRLRGPALDRYNRIASQSMAPWTSQTTSPPPKIQRHSKSSHISGDHVCPVAGSVEASTMGPALLFFLVLAAAAPLLLAAQNKTSTVWINNDIFFDGPVYMRYIVSSSVQSQTSFAAGFFCVSPSSLSTCNDDFLFAVSIVGTGDGEVGVVDTQPPAVWSANRDRPVRENATLELTRDGNLVLRDVDGSHVWSSNSSGQSVHGMKITDIGNLVLFDHRNATVWQSFYHPTDTMVPGQSLVEGNAPTRVTLMNGELTISGGDDSIPLPESNSSQYMRLESDGHLRLYEYALSAARWIMAYDVMQLGDCDYPTVCGEYGVCTAGQCTCPLEDNSSSSYFKPVDKRKPNLGCTPLTPISCQEIQHHQLLTIPSLSYFDYNHQVVNATDVDECKQACLNNCYCMAVLFRYGQSVSHGECVWLTEVLSLKAIPPGTSGYYSSIYLKVQLSPSNENKKKLMLGATLGTITTLVLIVIVVTLFLQRRIKYEEKDEEFDFDQLARMPTRYSFQKLSECTEGFSRKLGEGGFGSVFKGRLGENRVAVKRLEGARQGKKEFLAEVQTIGSIEHINLVGLIGFCVEKSERLLVYEYMSGGSLDRWIYYRHNNAPLDWCTRCRIIMDIAKGLCYLHEECKRKIAHLDIKPQNILLDNNFNAKVADFGLLDVYSFGVVIMEMISGRKNIDSSQPEEDVHLINMLREKEQTNQLIDLIDKHSEDMVSRHEEVIRMMKLAVWCLQNDCTRRPSMSTVIKPLLYFDPAAGLETPKFFGPIQQSDRTQEVERQYAILQSSSTRIFRRLKPIERTSQWVHWLEACERRGTHPIALMLPEAKVVNFQEGEGAFHPGQSSQSSDAGGSSFQSGQSSHAAGGHDDTVELESVDEEEEEMQNMMDEEDEDGVDNEVDSDESGGSDT >cds.KYUSt_chr3.5909 pep primary_assembly:MPB_Lper_Kyuss_1697:3:33670547:33672761:1 gene:KYUSg_chr3.5909 transcript:KYUSt_chr3.5909 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTPVAWRAMLRACVRPKRAAVVGALAACCALGALEQGRWVHALVASLKRSGMDVVVATALVDMYAKCGSPEAATQVFAAMPEREQDVFAYMAMISGLSDHGRCREVVGLFGRMQDRGVRPHEQVRGGRGGSAATEGAEQAEMDPDIDEEEEDDGWHDAIEDAPPPGH >cds.KYUSt_chr2.13779 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87325957:87326562:1 gene:KYUSg_chr2.13779 transcript:KYUSt_chr2.13779 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGAIATYSILLLLLRAPFAEGQSSGSFMIGQILSDNGCGAFGGLVAATAAAGEAFLAQMAGDAGLTIFCPDDEAVAAFGQRRFSNLSADDQVALLLYHGVATLYSEEALGAMFDTEVATLANGRGDYDIYIFGGTVTVIVSSSVNGAVVTKKVFDIDGLGLDVYLIDSVLVPEERTAFWDWKLVLLLVLCIVMGLVLL >cds.KYUSt_chr2.19182 pep primary_assembly:MPB_Lper_Kyuss_1697:2:120717580:120719122:-1 gene:KYUSg_chr2.19182 transcript:KYUSt_chr2.19182 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTRKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQRAIKRCKHFEIGGDKKGKGTSLF >cds.KYUSt_chr6.12406 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77566478:77567020:1 gene:KYUSg_chr6.12406 transcript:KYUSt_chr6.12406 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLLLEAAAATQQPDSLSSDLVLILAGLLCALVCVLGLALVARCACSRRWARAAAPSSPPGANRGVKKEVLRALPTVAYAVPEGRAGAEADECAICLAEFEDGQLMRVLPQCGHGFHAPCVDAWLRSHSSCPSCRRVLVAAELPRGEPCGRCGARPGAIGALLKGPCSGGALASLLA >cds.KYUSt_chr2.17251 pep primary_assembly:MPB_Lper_Kyuss_1697:2:108688347:108689321:1 gene:KYUSg_chr2.17251 transcript:KYUSt_chr2.17251 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAAASTFLGTRLADPAPQNGRIVARFGFGLGKKKAPPKKSKAPPTTDRPLWFPGAQAPEYLDGTLIGDYGFDPFGLGKPAEYLQYDPDSLDQNLAQNLAGEVIGTRFEDADIKATPFQPYNEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGVTWQDAGKVELIDGSSYLGQPLPFTITTLIWIEVLVIGYIEFQRNAELDPERRLYPGGSYFDPLGLAADPEKKETLQLAEIKHARLAMVGFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTLGSS >cds.KYUSt_chr2.46498 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290683240:290684646:1 gene:KYUSg_chr2.46498 transcript:KYUSt_chr2.46498 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSIESVALVAVPFPAQGHLNQLLHLSLLVASRGLSVHYAAPAAHVRQAQSRVHGWDPGALASIQFHNLDVATYESPAPDPTAASPFPSHLMPMWEAFTAAARAPLAVLLERLSATYRRVIVVYDNLNAFAAVEAARLSNGEAFGLQCVAISYSMAWLDKEHRLLRDHDLQFLPIDACTTKEFLEFVFRAAGEMQNRGSLPSSGLVMNTCRAIEGEFIDAIAEHPQFKERKLFAVGPLNPLLDASARAPGKTLHECMNWLDAQPEESVLYVSFGTTTSFRVEQIAEMAAAIKSSKQRFIWVLRDADRADIFAESGGESPYEKLLSEFTRETKGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILTWPMHSDQPWDAEFLCKYLKVGLLVRPWEKHSEVVPAAAIQGVIEEAMVSDKGMAMRHRAKVLGEAIRASVGEGGSSSKGLDDFVAYITR >cds.KYUSt_chr3.40501 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255441034:255444019:1 gene:KYUSg_chr3.40501 transcript:KYUSt_chr3.40501 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKSGGQPFGDGNKDCSVHPNQRPSSACSPRTFLASNRTPLLFPRPVLFAVDCGKVPGTQERQAGEIDNLTVSLGQSTLVVLCRFHVGAGIPGVAPHYIPPPTTFNVLLGSS >cds.KYUSt_chr2.37938 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234827799:234828377:1 gene:KYUSg_chr2.37938 transcript:KYUSt_chr2.37938 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSQSPLLPPAPAAAASRRRRRLLTSPPPSSAPASAASSSSSASSSSSSASFSFPSPAPSPFHHRFLSPLRASAVPFSWEHRPGIPKTPARGPSNTRGSSKLGGKAPPLPLPPSLFSSNRVIAEYPFAGATYSDKAGRRGRQQQRRWPAVTGALADWLAVLSLYRSCTRSRDCLAAAGPPPQPRRRVHA >cds.KYUSt_chr4.38531 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237574530:237577988:-1 gene:KYUSg_chr4.38531 transcript:KYUSt_chr4.38531 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAPLDHLRHGIVEDGDLEDVASEHFKGHEVADLDLMGNGKSRPDLMRHTVPSMSLSLTIQEQPLFVLRMIVLGTVPTYRRKTVGIGHRPSSDIDMAPAPAIAVALLALACCWLAVTAADTAPIKWQRANATFYGGADASGTMGGACGYDNLYTAGYGTRTAALSTVLFDDGASCGQCYKIACDRKRADPAFCKPGVTVTITATNLCPPNDALPNENGGWCNLPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCVRKGGVRFRMVGHDYFNVVIVMNVAGAGSIKSMDIKSSDSNDWLSMSRNWGANWQSGSYLTGKMLSFRITITDGQMIEFNNVVTGGWKFGQTFVSKLQFK >cds.KYUSt_chr4.12862 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79118332:79119097:1 gene:KYUSg_chr4.12862 transcript:KYUSt_chr4.12862 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSGSARAVLALVLLCVLLHGDLAESKMYTVGDRGGWTFSSGGWARGKRFRAGDLTLESLKIGHYRTPGPRAVPNKLGTVHLYVVVDVGRMIPVSVTAQKLFKYARGAHNVVAVNAAGYRSCSAPRGSRTYSSGNDRVTLSRGTNYFICSVPGHCGAGMKMAINAA >cds.KYUSt_chr6.5667 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34023610:34025049:-1 gene:KYUSg_chr6.5667 transcript:KYUSt_chr6.5667 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRRESPEASSRHIPTEMVRRILLKLPARDAIRSSRVSKQFRDIVKEPSFPKHHAAEHAVPSPGGGAEALLVTVNRVPGGGLDPNIYSVTSSKHMYRLTDSMAMSHVATNVCNGFICFGPGAFGVAPAVLCNPATGETLALPAAPPFKDDMDRYLFLLGFSPPTGEYKLFRISFRESCGGHYVDVCTLGDRRGWRRLPHLVPLRQIYGVPPVFADGKLYVVTGQFQFTNHPEGILVVDVASETLCTYGLPDMFVGPRGDTLVDVLELHGQFCLALNYAIVSPDRPKVEFWVMPRLGQLHGGNHMRLDWVLRYTFYVEVDHVSRKAAATPYVWGKHYKDQPRAAWLDEDEILCYMLDGCLYKYNTRDHSRLDDFVAWNEKVQLPTTPSPSNRRWNIYGGYRPSLLSPLTFALPSSQDDEDNGRFEHDMLRALRPSKLIRRPPNDHIGQDARGFSAEPTFKFNADDKCDKDLKERKLP >cds.KYUSt_chr2.4373 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26985771:26986347:1 gene:KYUSg_chr2.4373 transcript:KYUSt_chr2.4373 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSFSRLAAAVRVPAEVPRMPAFDHVPLPYDGPSAVEIARKRAEFLSPSLFHFYSNPLNIVEGKKQYLYDEHGRRFLDAFAGIATVCCGHSHPDIIDAITTQARRLQHSTVLYLNHAIADFAEALAAKMPGDLKARTCQIKQLHETFARSLPDGV >cds.KYUSt_chr2.26629 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163092893:163093249:-1 gene:KYUSg_chr2.26629 transcript:KYUSt_chr2.26629 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTLAHGMASVRDAKGKPPARPPPKSVTGYRRRTSPPWKVDEAVALRVQLVRPVDEDLYHVPPSEHAYHRRRPRRRSLWVCHRLHAASVDESRQKIWGETGEEDREIGERKVSWMGK >cds.KYUSt_chr6.32223 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203335763:203344865:1 gene:KYUSg_chr6.32223 transcript:KYUSt_chr6.32223 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALPRSTPSAWLQARSGRCPFRRRRRGSKLAGGTELVSPPATSGSREKLKPEKELQRATKQIIKCKIAIRDIIHQLDLYSSSGNMDDSVMPPDHSVNPDNDIFKEATENIDSEDGPGEDWLSEYSGDEDYDPEENDSMSSSLDSGEGSISDDSNCSGSPLYSPNDDIPGFISADFTDAEGFYTNSNLGIDSGDDCVDMLTYQRPKREVDYKRLNEVYFAEQNIYFSVGTLTAFHPSQEMFGKLTENEKQSEDEDWGINRRKKRRVDSSGVRINSAEGFSDLKSNEKVQPQKRKLFRIPPEAVEVLRKAFAENELPARDVKENLSAELGISFEKAEGSSYIDGRSKGSRRSVEKAGSSVKVDSVDNSHLPLTEIINVPTQLPVFLEKGKPESTGSTARRRLHNKGASLHLTGEVKESTSPTMKPCSNTHPSHLAGCHISTENTGPSIDPFFDAILAYPTISTDDRVVSGEDPVAFDDDPFLDVMLGNRDVYTEVRVASPEDVKRPLDDQPLLDVMENVCGLEYRLQRLKEGMLSSSAAGGIGTAERDSQNQLVVLVPAAELKDKPQPGI >cds.KYUSt_chr4.13693 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84407719:84410149:-1 gene:KYUSg_chr4.13693 transcript:KYUSt_chr4.13693 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRMGSAQTGGHFPVQHRSLSLDIKGNKTDIVITKYEDNYLVIVTQIGCMGTILTAKKDESVFSDPTYNVSVLFGKRDEPLLLACARQLIEHISNSGSARPLVISLGLKDHSQGTLKDVICAIIENRLW >cds.KYUSt_chr5.39344 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249007497:249010055:1 gene:KYUSg_chr5.39344 transcript:KYUSt_chr5.39344 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRTSHRGAAPTTSAAAASTSSKRHPEDEPASASEESGDEEVKPKPSRSFRKPPPSGSGEPSSSSESESDSDGLAERERELERVLADVPFGELQRARADGSLAARGGSAAAAAQKKARRESRKRPMEISTNVRPPRLREVIQVPKKVVRDPRFEPVYGDVDKEGFRKRYNFLFDKELPAEKEKLQKSMKKLKDPNAIEEVKSQITWIDKQLRSNPQKNVESEILRGHIKKEREAAKAGKQPYYLKKSEIRQRKLMDKYNELKETGKLDAFIEKRRKKNASKDHRYMPYRRDGGGA >cds.KYUSt_chr6.18196 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114474286:114477015:-1 gene:KYUSg_chr6.18196 transcript:KYUSt_chr6.18196 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVRARGRPLLRATSSAQQRGGTSVRRAGDEAGKSEEAQAVVAMDFSVKDVKRLWSLVRRQEALADKKRRWLESMIHAPDSCSSRRLKRPKFLADAYLAESDIRSEEVSHWSYHLPCYLAKLDNEDAVMSQLSKVLRNHCSYQSDSLSLVTPVSPLLLSSMNQALDRLDRIPDQALVAVNRKLIGKTCLPKFGHVPRSSSRGHLIEMVKKRCKMTIKQLDEGYLPKRLAKAMSVINLYWKQKSRSMGISQLEFFPFPKETASLQNDVLNALWSLPNVKHVDLKLLHPILDPDSKFRKMQFRVALRKYLMECLFECDEGDLPGEALQAIAFINQGSRYKSVDLSKQGKYAEVDDVLVVSSQLRALAYDDAEDCSDDDGLMSLGNVNCGEDNDFILPQTNYFNCNSEQHMDEPCSSKDTPKAAGMDNCCSGESTGATHHVPEAEHSDSKVKDVRKPCEKTKNSRATHYYRDGIALETAVDMDHWKRSICSKDLSEICDGTSTAAHMIIGQILDRWLITEDG >cds.KYUSt_chr2.8084 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50848796:50852557:-1 gene:KYUSg_chr2.8084 transcript:KYUSt_chr2.8084 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPDLTSSSAAADAASSAAKKDRHIVSWSAEEDDVLRAQIAHLGTDNWTVIATQFKDKTARQCRRRWYNYLNTECKKGGWSREEDKLLCETQKVLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRANNDDLFEENTAVCSNANAKRVLTTGGLTRGAPSSSPPIKNMRSCKLDFKENVAPNTKSFGQEKSIGQDSRQPLADLCPPNQSVNIVETQNSVTRTSAKQLYGEEQSCVKHEGNFLNKNDPKFATLLQQADLLSSLATKVKNENTSQSMDEAWQKLQHHLVKKDDNDMSESSMSGTASLLEDLDDLIVDPYENEEEDEQMSSEQNGVTSEMAPDHIMDNCPVDQGTEDNILCGITLSSCMEPSPGAEILAYVNSDETAGDGGLHCMEYSSPEQTALQAKADAEIPASIDLSEAAEDSWSQFTEYMSPAHTVLHAEADAEKPVSVNLSEAAEAKTDPEIPASLNLSEDGKDDSLQCTEYTSPAHTDSQVNADAEIPEKVARDSRLQCVEFASPAHRAVKGEEFSAVGA >cds.KYUSt_chr5.7683 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48372170:48378453:1 gene:KYUSg_chr5.7683 transcript:KYUSt_chr5.7683 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGEELLKKIRKLEVGQAQLKQEMSKFALPPTGSGAERRRSQSVSPSRGAQPHPGPAPAPGPPPSRRASGGFDGGPRAWGRGSASFSHSSPLQREGRAAAEGGATGAGLAERQYRRVLQSLGQSVHILDLDGRIIYWNRSAENLYGYPASEVLGQDALMLLVDSRDLSVVNDMFRRISLGESWTGKFPVKNKAGDRILAVGANTPFYDEDGSLVGIICVSSDSRALEEILSGPSTSARTCCDGSCSNNSRKPSLLNKSPFDSQLPLQSTIASKITNLATKVSNKVRSRVKMDENGIVREGGSGESQCSDRDNKEEPTSSGPGTPRGDAPCGAFSTEENSPGKSNKMNSDESDGKIGLHKILSSKAEALLNKKGITWPWKGRENDGPDGKNEVIWPSLHGEQENDQSNQKNSDRQGAEFNQPNKNEASGSWSSFNNNSSSSASSTGSTNSSALYKVDHEADCLDYEILWEDLVIGEQVGQGSCGTVYHALWYGSDVGVKVFSSQEYSDEVIQSFRQEVSLMKKLRHPNILLFMGAVTSPHRLCIVTEYLPRGSLFRLLQRNTTKLDWRRRVHMALDVARGMNYLHHYSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNQRLEIPTDTDPFWTSLILSCWETDPQSRPSFQELLEKLRELQRKYNVQTQMQRNATAAAKNSTIIEE >cds.KYUSt_chr5.20272 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131821759:131822373:1 gene:KYUSg_chr5.20272 transcript:KYUSt_chr5.20272 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQVRCECGEAACPEWAVVEGAYTFTIGYHELAGTRVTLKKPLLVLRKKKNDGQGGPAAAQVELEVIGVIRHKILFKDRPKALISKVPVKEKKALPSVATPSAVSPTS >cds.KYUSt_chr7.23635 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147349128:147354549:1 gene:KYUSg_chr7.23635 transcript:KYUSt_chr7.23635 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRADKEKAVNVQVLLRCRPFSDDELRSNAPQVVTCNDYQREVAVTQTIASKQIDRVFTFDKVFGPTARQRDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRAKSGPDGQLPPDAGVIPRAVKQIFDTLERQNTEYSVKVTFLELYNEEITDLLAPEEISKVALEERQKKALPLMEDGKGGVLVRGLEEEIVTNCNEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQAEENERKGMADQIEQMTVSLETNQKQISDLQEKYDSELHHSADLRKKLEATEKCLDHTSNLLSTTKEDLKHAHYNLKEKEFIISEQKKAENALTHQACVLRSELEKSSRDNASLHTKIARGDKLSAANRSVVNSFQADLASKLDILSSTLTASIDQQNKHLKAVEDLCQSCVDSHDTATSEIKKKVLASKSLYMSHMEAFQNIVLLHKANTDSTLEDVSSLSAASCCSLDQLLACVEGEALKIFTDIQNLLADHRSELAHFTKELRDSFCISLDRTKDMSSFILGLFEKYVEETSKLQNHTNHTHEAQVKSLEEFQKAYEEQSKSEEQRLLADITSLVSKHIVRQRELVDVRLNSLGDAARGNKTFLDEHTSAMEGVTKDAKRKWEMFAEQAENDCKVGSSFSSAKHCRMETIMQECACTVDSAAQQWKKSHAAVNDLCTKQVAEVEALVRGAIENNKQHEEEIASSRALAEEQASNSSKEILQDIDNLLDQARDSSSKVVSTVEAHLVEIEHLQENHSGQAAGVNSHAEKAFQSSYRDYEPTGETPMRSEPEVPSKGAIESLRAMPIESLMDEFRENHPYESNKEPKPSLIPRSPLATIN >cds.KYUSt_chr2.5815 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36166151:36170918:-1 gene:KYUSg_chr2.5815 transcript:KYUSt_chr2.5815 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKAVKGVGDAQQRGPDPEPEPEPEAEASTFAELGICKELVDACDAMGWKQPTKIQAGAIPHALQGRDVIGLGQTGSGKTGAFALPIIQALLEHRQPFFACVMSPTRELAIQIAEQFEALGSAIGLVCSVLVGGVDRMQQVLSIAKRPHIVVGTPGRLLDHLKDTKGFSLTKVKYLVLDEADKLLNLEFKESLDDILKVIPKERRTYLFSATMTKKVSKLQRACLRNPVKVEVSSKYSTVDTLKQEWYFVPADYKDCYLVHVLNELPGGMIMIFVRTCESTRLLALTLRNLGFKALSISGQMSQDKRLGALNKFKAKDFNILICTDVASRGLDIQGVDVVINYDIPMNSKDYVHRVGRTARAGQSGYAVSLVNQYEGQWFKMIEQLLGFEIPDRKVDADEIMILREHISDSRRIALTKLKEDGGHKKRRKVEDDDEEEEQAPRGHRKPKSFKKSTRR >cds.KYUSt_chr4.5275 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30412255:30412824:-1 gene:KYUSg_chr4.5275 transcript:KYUSt_chr4.5275 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAACQDGLVMSVSSLLIAFVFQPLAKNAILTGRSILDLLGRNGDASVVDDAPRPHCEQCAARYGRDCGRLSGSDAAAVTASLGMPLSSSTSVCGVCEAMGVMEEVAWGSKEAGEAELREAFGVFDRDGDGYVSAAELWGVLRRLGMVEGARYEDCAAMVAAAAARHGDAGGGRVGFREFRAMMEHAV >cds.KYUSt_chr2.8068 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50747758:50749283:-1 gene:KYUSg_chr2.8068 transcript:KYUSt_chr2.8068 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLLKVVALLVTASAIAIHILSRAKKSCPANLPPGSMGIPVIGQSLGFLRGMHFNSIDQWFWDRIDRYGLVSKLSLFGKPTVLLVGPAANKFMFFSSSLPPYVPLFAQRVIGEKTILSLSGDDHLRIRGALMEFLKPAMLKLYVKKIDSEVRHHLEENWAGRTTVTVLPLMKRLTFNIISALVFGFEAGAVRDAFADDVGRMLAGIIAMPVNLPFTAYGRSIKAGQRARRLLRGVMREKKAKQGDSPNKNLISHLLSMRDEHGQQLLTDEEIVDNSLIPMIAGYDTTSILMTLMVRHLAGDPATLAAMVHEHEEIARNKSDGQALTWVDLSNMKFTWRVAQETLRLIPVVFGGFRVALESSGGCRAFWMASATQLDPSIFPEPAKFDPSRFENLSSTTPSCSFVGFGAGPRICPGMEFAKVQTLVMMH >cds.KYUSt_chr6.19178 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120643867:120644229:1 gene:KYUSg_chr6.19178 transcript:KYUSt_chr6.19178 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLGLVSLVAVIFLLSFRFLLHQQVLVDSHSSTEETEVFPPFVQGEGAARNGDDRRQHAKEWAEERKKMRWFMTKDYSPPKRHRPKHNRLM >cds.KYUSt_chr2.50148 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313586449:313586787:1 gene:KYUSg_chr2.50148 transcript:KYUSt_chr2.50148 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVASVDREELIDEAILRSSSSFALPPWTSSKKPEIWSLDVAPAQPSQMTGEKEGGLIDGDAAPSLRRLPPLLPPEWSSAEEEDEDGPDLAKRIRPPTPKLHKGRSREAAA >cds.KYUSt_chr3.45318 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285560802:285561650:1 gene:KYUSg_chr3.45318 transcript:KYUSt_chr3.45318 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVRVIDEYVMGMVEAAEQLRARGAEEQEQHLLSRFAAAMDEEAALGGELGEMFGSPDAKRRFLRDVVVSFVLAGKDTTSSALTWFFWLLAANPRCERRVYEEVARCSEAEYDEELKGMHYLHAAITEAMRLYPPVPINSRVAAADDVLPDGTTVRAGWFADYSAYAMGRMPRLWGPRCREFLPERWLDVDQGEFVAADAARYPVFHGGPRACLGKEMAYVQMKAVAAAVIRRFRVEPVRAPASMDAPPPYEMAVTLRMKGGLRVRIRRREDDDSARKCL >cds.KYUSt_chr6.21971 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138697650:138705535:1 gene:KYUSg_chr6.21971 transcript:KYUSt_chr6.21971 gene_biotype:protein_coding transcript_biotype:protein_coding LTNARGHTLKCSHYVPAFIPETTALPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHEKEDLKCAVSFLRTNKQVSRIGVWGRSMGAVTSLLYGAEDPSIAGMVLDSAFTNLYGLMLELVDVYKIRVPKFTVKMALQYMRRTIQKRAKFDIMDLNVVKLAPKTFIPALFGHGLNDMFIQPHHCDRIHEAYGGDKSIVKFEGDHNSPRPQSYYDSVSIFFYNTLRPPQLPASGSNKLHMGALKVGTMTNESLFFEIINGLRAARTDAGSSSTDAHGFQNATTSVVELLSESANQLSIKNDDLDFLLDENHNLSGMDGGSVGQHLQDKTSRHNEESCSYTSSNRESWGRCSSLGAASDGSPPGGTNDKHENMTVKALATPLRHEQRKSTKSTPPKTKEKKIHALWKKLKREREEMGDSLSQRLRMCLGQSRHRRTQSSSGQRL >cds.KYUSt_chr7.19113 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118479209:118483173:-1 gene:KYUSg_chr7.19113 transcript:KYUSt_chr7.19113 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATAAAGAVLAPALVSPLSRRAFLPLPRRAGTTRSLRLSASAAPRRRGVVLVAADAAAGADFGDEESSYEILGITPLDSFDNMKLAYKRKRKDAEELGDEDHLAKLEKAYDTVMMQQLQYRKKGVTYGSVEVSKDIKYADNQPIVPWGPRPSKSAVKDVRINMAISAAIVVWIAIIGTADWKPLQFLCFGFFYRILQKLRVTEPPITPIYNEYGEVEGRGVRMAKRVFRALGLIFGCVFAASLGYTTALNVVEFSWQHTPRIVYYYQELIVTAAASVLLCITASYYR >cds.KYUSt_chr2.47408 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296672911:296685337:1 gene:KYUSg_chr2.47408 transcript:KYUSt_chr2.47408 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKHQPSGNATTIGMVPLDFPSQALEMVNSVNQVISEAVPCETPVDIDMRELYFLIIHFLSHGPFKRTAGELCNELQEHQLLPRRYHAWYSRGGFHSGEEKDDGVSLPLGYLKLVERYPHIGKDHLLKLLNQLMVSSCRPGSLIGDVSSNAADVPTLLGSNSFSLLASDRARKDKETPSLPRYLRWPHIQADQVHGLSLREIGGFTKNHRAPSVRASCYAIAKPATLAEKMQIIKRLRGHQNAVYCATFDRTGHYVITGSDDRLVKIWAIETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGQPISVLKGHTGVVTAIAFSPRTGAAFQLLSSSDDGTCRIWDARYSQQSPRIYTPKPPDVVPGKSGDASSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSFSEQNDQPNHEMDVLSGHENDVNYVQFSGCVVSRSFSSDSSHTTKEENNLKLRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKIGRWTRAYHLKVPPPPMAPQLLRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILTDEIGQIFIIGTGQGESQKDAQCDQFFLGDYRPLIQDTNGNAIDQETQLTPYRRNIQDLLCDSGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNASTGEYQMIPVIDPDRWEPLPEITDFIDLEPENEVISDDTDSEYDGLDDKSSEGEQEILSGDSSDASYSSAEIDENNLRNNANLRRSRRKKKKSDADLVTSSGRRVKKRNLDEHDVANVSRPHRSSKSKTGRLSKRKRSPEFRGLRPQRRAARNALSFFTKVGASTVDYEDDSENSLSDTEFNTESTEAEQSAWYSQPRLGRESNQHDSDVAQPSHFPETQESCGNNRKLVLRIPRRDSEIQYPSMVPLAATGHGAVEPEPAFEPGGSSACKAELPADGGQTATSLSSVHSYNTIKWGEVKLRSKRCRFGDSSTGDTRPSSNNAVSQDVGESCSQKTPHEYGNDSQQTVEQNVQRSQHAICLDSIHENHDTDVHSEGNFPGEERITNNDDTYAEEVNNKECNQQFDSTSQPASKLKLVSSRGFTDGTSSSDKSKATAVGSDMNFPHHKVSMQHDQASATDKNSTAFPSASRNFQEFTGKSTGLHDSRRLHFESAKTYGAVYRRKNLSKQKKSLDSDSHGNGDSTSVSNVSNDYGGHQPPDCSPVATVTGSLRRSVRRSCAYTDNGRARDTISHVKNSSHEASTSGRRIVTDGREWGSTSKTASLRSTRNKRGGCNSPDTHQLDNKQQASLKCWLMLLEHEDIYRYIPQHDDEVMYLRQGHEEYLSRMRLSGICPWNRIKGLKAVELCKIQGLLYTTYGGSGESCCKLKLKFIDDTSSGFGKEFTITLLEPVDFPDFLVERTRFEAAIVPNWVVRDRCRVWWADDGEEGGSWWEGGVSAIRAKSTDFPESPWEKYVIQYENDGSEHLHSPWELHDADNPMVPWKHPHIDSSIRNKLLSAVTTLQKMSHTNKDHYGVLKLDTVTGKSDFINRFPVQFSIEVIRARLHNNYYRTVEAVQHDATVMLENAESYFSKNTEMTKKILRLFEWIQDKILSL >cds.KYUSt_chr4.9940 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60105277:60105654:-1 gene:KYUSg_chr4.9940 transcript:KYUSt_chr4.9940 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGALLLWPQPRSGDATSPDVKACSLQQPAIKRRGQPTTAHPEACLRCPRKILVAQATAIPLKRLARHLHRKTPPILPAQRSTRKDYALNSKLQRRRLHGGYDVKDAAVTRFGMPNLRFSPGT >cds.KYUSt_contig_2197.70 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:418801:420801:-1 gene:KYUSg_contig_2197.70 transcript:KYUSt_contig_2197.70 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAFPLSSPLFAAASTHQPFPFPAPPNPPTTHRRRTRFDAAAASRWLNRSRNPPDSAANNSIWVSPTASARPGQTLRRFVQLGDLDAALRLLASMPSSEPPPVIACNILIKKLCAERRLADAERVLDALKASGAADAVSHNTLVAGYCREGRLADAERVLEAAKASGAANVVTYTALINGYCRSGRLADALDLIASMPVAPDTYTYNTVLKGLCGAKQWEDADELMEEMIRNNCHPNEVTFATQIRSFCQNGLLDRAVQLLDRMPRYGCTPDVVIYSTLVNGFSEQGRVDDAVELVKTMLCRPNTVCYNAAMKGLCIAERWEDVGDLIVEMVRKDCLPNEATFSMLTNCLCQNGLVDCAVEVLQQMHKYGCRPDAVIYNTLIYCYSEQGRVDDALKLLNSMPCNPDTISFNAALKGFCRAERWYDVEELIARMLRENCPLIEMTFNIIIDSLCQNGQVNYAIDLFEQMPNYGCTPDVVTYSSLINGFSEHGLVESAIELFQSMPCKPDIFGYNAVLKGLCGAARWEDAGELISNMATKDCPPNEVTFNILVNSLCRKGLVDRAIEVFEQMPKYGSTPDIFTYNALINGFSEQGRLDDALELLSTMSCKPDTISYNSTLKGLCKAQRWREAEEIVAEMLRNKCPPNEVTFKYANQLFIPNRIT >cds.KYUSt_chr6.366 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2250421:2253875:1 gene:KYUSg_chr6.366 transcript:KYUSt_chr6.366 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTGREMHGGAGGGQDDFFDQMLSALPTAWAELGSGKSPWDLPSAGGGGEGDPAFDESALLASRLRHHQISGGAAAAGGFLPLPLFTDRSREDLQGASQALYDGFGAAGMHGAAQQPFGQAGSMPAPPPPAAQGGAAAPPRQRQRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKATMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVASMSSEGNGNGNGTSSSGDDNATDDNGGSTLQATEQQVARLMEEDMGIAMQYLQGKGLCLMPISLASVISSTTSSSLRSSIHPIRPLPEGGSPASPHLVNGTAADDSLTIKNGGRQ >cds.KYUSt_chr7.32822 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204547763:204551038:1 gene:KYUSg_chr7.32822 transcript:KYUSt_chr7.32822 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASKA >cds.KYUSt_chr5.32987 pep primary_assembly:MPB_Lper_Kyuss_1697:5:209166831:209171040:-1 gene:KYUSg_chr5.32987 transcript:KYUSt_chr5.32987 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSIKTLKGSSFEVEVEPTSKVADLKKLIETTQGQNVYPADQQMLIHQGNVLKNDTTLEESKVLENNFIVIMLSKPSVDRAIPVAPTTQPPTVPTPVYVSPPVNRTKSIKFLFSASIPVSAPIPTAQAVAAVASTEGDPYGQAASSLVAGSTLEGTVESILEMGGGAWDRDTVVRALRAAFNNPERAVEYLYTGIPEQAEPPAAAQEPPASGQPADPVQAPQSQAAIPPSGPNANPLDLFPQPLLQELGKQNPQILQLIQENQAEFLRLINEPAEGAEGNLLEQFGAGVPQTVAVTPEENEAIQRLEQMGFDRDLVLEVFFACNKDEQLAANYLLDHMNEFDDEAPEPPL >cds.KYUSt_chr3.17163 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105135953:105139639:1 gene:KYUSg_chr3.17163 transcript:KYUSt_chr3.17163 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRGLLTRLRHLSLSLTGPRLPPCRFFSADPLGEGGGRIIEARPGVMGPDSRRTGVIGVKCGMSAMWDKWGAKVPITVLWVDDNVVCQVKTAEKEGFYALQLGAGQKKEKHLTKPEVGHYRSQGIPLKRKLKEFPVTEDALLPVGTAISVRHFVPGQFVDVIGITKGKGFAGGMKRHGFSGMPASHGASLSHRSIGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLFLKGQVPGPQGSFLFVKDSIYKKPDRALLPFPTYFSQEGEEEDSEPLIANLGDIDPFMVAD >cds.KYUSt_chr5.30299 pep primary_assembly:MPB_Lper_Kyuss_1697:5:192162723:192169171:-1 gene:KYUSg_chr5.30299 transcript:KYUSt_chr5.30299 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYTPPPSTFNVLLGSYWFHVGAGIPGVTPHYTPSPTTFTCSLTPNARRSHSYENLNPKVSTMSRRFLPPIVPLVLLLLASTSPPATAAAEAILQLQVAEAPSAAGCSRSAAAAAQVVVESCTEDIVRSFFGVRGSDVCCRALEGVGAGCYRAVFSGSPFVDIYATILGNVCGLAAAPAPSPGAMRMTE >cds.KYUSt_chr1.35861 pep primary_assembly:MPB_Lper_Kyuss_1697:1:218576411:218577538:1 gene:KYUSg_chr1.35861 transcript:KYUSt_chr1.35861 gene_biotype:protein_coding transcript_biotype:protein_coding MDELNLPNLPVWKLTISDDNRPDLEQSVKAKEARATAVTPHFIKAKKGSSEDGLCSPFSFSIQKSRIALPSPCLKTALRGKQHVFSPISEGSSKDGVLSAGAAESDNKPSAASDDMLSDEKDLASRYPEMYGFNLPAGDVRRRREADEALDWFLSPLKTCVLMDPSPTDDKPIPVQAKDTPWKGLERSKMKGRQELSDANPIQTPAVGGTPWKGLESNNLKGRLDLPDDNPIQTPAVHSKALLGTPWKGLESTNLKGRLDLPDDNPIQTPAVHSKALLGTPWKGLESTKSIPTRWRSPRPNPAKFSSGLEEDLAWGGVVSQSCAPQAAFLGEKEDGSGNPDERGDTWAWAVGLASSGVPGRPPGNRGWHGESGRK >cds.KYUSt_chr2.43864 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273059421:273062063:1 gene:KYUSg_chr2.43864 transcript:KYUSt_chr2.43864 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSTQDDGGGGGPRRKQGGVGDVVVFLPGLRTPRSVDFSAEALDGRLGKSAAERLSALRARVVDMAMQESASALKPRRKTAARHGSSTANLLQALEDYLPVLLGLVKEGSQSRNSVQFVWTNQEDNAEETAMADAWYEVLSVLHLMAMVCLLQANSLLLPRAYGDGYGPRVSDESRRATVDVFLKAAGYLDCAIRQVLPQIPSELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAVDSPKATLAVKRRLACEMVKYWKQIQESIPDLAASDGWGKKHLLFVKWKYVEAKAAAYYFHGLILDEGNSEKSHGMAVAALQASEEFLKESKRASEAFHATPPTSRSPTPFGTAKYLFDKIPKDASSKVRINQDLYTQERVIGAPPPLPDFSLALTPEDYDLPPLDPLWNKEDGHQ >cds.KYUSt_chr5.7859 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49551128:49553136:-1 gene:KYUSg_chr5.7859 transcript:KYUSt_chr5.7859 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTTTACLHLVAVATVLAALGEAAGIGFDLHHRSSPVVKRWAEARGHPAAAWWAEEHGSPEYYSALYHHDRAHIARRGLADSGKGLLTFADGNITYQLDGSIHYAEVAVGTPSATFLVALDTGSDLFWVPCDCKHCAPMDNNASTPGVALRPYSPGQSSTSKPVGCGHALCDRPNACNAVNSSCPYTVRYVSANTSSSGVLVEDVIHFSRERESVVGGEPVEAPVVLGCGQVQTGAFLDGAAVDGLLGLGIEKVSVPSVLAAAGLVASDSFSMCFSPDGLGRINFGDAGLRGQAETPFIVSNRHPTYNISVTGMSVAGEAVAAEFTAVVDSGTSYTYLNDPAYTDLATSFNSQVREKRANLSASIPFEYCYEVTSGQTELSTPVVTLTTGGGAVFPVKEPFVIIGGQTSDGRVVVLGYCLAVLKNDITVDIIGRTRARTMLSLLSSIFPLLTVWLLLIHAENFMTGLKVVFDRERSVLGWHEFDCYKNVTMENDGVAPGPTSATQIQPRRSEYPGAAPVSPRQPGSGGSRRALGGRLASALMLLLPLLAVV >cds.KYUSt_chr7.28344 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176960717:176963719:-1 gene:KYUSg_chr7.28344 transcript:KYUSt_chr7.28344 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQKEAPSFLDVPKDIPIATTSLTIRTNNAGFGSSSDRSNPIDSPAISFTPHLYSPSPPSSAFVSALQSPYISPRVLDPPPPPQRQPYQESKASSVTTTTAQSPASCSNAGSQSEDTDAPSASRTPPSERYDSSGIDPVKISDGGGCGGAPPRVSFSFPVPRVSFTRGSVASPSSNAKLRSCDVYIGYHNNGNLGRFCKWLKAELELQGIASFVADRAKYSDTQIHEIADRIICSVAFGVVVVTMSSFLNPYSLEEIRFFAQKKNLIPILFDTEPAEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANESNWRSCVSRTVTLLQSKLGRKNIAEKESEGSGGIPFPRNRHFVGREKELSEIEGLFFGSTVDIQEVDCSRGSTVNERSSGVSDGGFADEESDTVRTSNAKYISLEMRKCKEPTLEAWIDPVIELSSVKGRNLQKQRSKHRRTRFRCNSKGYGGTSVVCINGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMNLGLDISAEAEKERGRIRSFEEQEFDAFQRVKRELFRDVPYLLVIDNLENERDWWEGKDLHDFIPRNTGATNVIVTTRLPRVMNLEPMQLPQLSYIDAMILMKGKLKNDYPPDETEVLRKLDERLGRLSFGLWVVGSLLSELMIAPSTLFEAVERISLNENLFPHDANDDGFCRNNSFLIKVLVFSFALMDRAKGGHLTSKMIIAGSWLAPAPVSSTLLAATASKLPVKGSIHLFGESLKTAFLCGTHCFLAPNGRKAEVESALLLVKLGLARKANRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPVVQLKAVDMVLFIRKTALPLAIESFMTFSRCSSALELLKVCTNILEEVEKSYTSRMQDWNRGSLSWRKKLQPNHRVDEFIWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGDAQTVAAQETLAKLVRYRSKI >cds.KYUSt_chr6.6733 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40555894:40557862:-1 gene:KYUSg_chr6.6733 transcript:KYUSt_chr6.6733 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKAGQAAGDRPGSAAHQESPLPLCGFTATIGKALSAKCVAALFLGVGVFLSALFLLLHLRAPGSVPDDPGTLIGGIQASFILSKPPAQLASHVTMLEEEIYQQIGVPNTKVSVSMQTLKDVTYVRFSILPDTMNTSISAQYMQVLRNNLIQLTLQQLNLSLTPSVFGDPFCVEVLGFPGGITMEVEHPQQNSTVDPAQPFFSMTLDLSIRQLRRLIQMMKKSFGHMLEQVLIVKVSSSNQNSTKPCPLRQIRICMLGLFCSSYGSERLR >cds.KYUSt_chr5.27762 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175775528:175777015:1 gene:KYUSg_chr5.27762 transcript:KYUSt_chr5.27762 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLPEAMLAEIIKRVTKTSDLNSISLVSKQLYSIDTVQRGAIHIGGGLCPATEALTSEALTSICSRFPNLQKVEIDYSDWIPSHGNQLDNQGILVFSSRCPLLTDITLSFCSYINDSALGCLAYWKHLTALRLNYAPKITSSGLLSVVVGCRSLSTLHLIECDKIDNIKWLEVLGLEGSLEEFVVKNCKGISQYDLLKFGPGWLKLQKFEFEMEGEFWARGAVDYDSSYNAQNLNKYDFCCENLKDLRLARFQTWPEIGLRFVLGKCKALEKICLQYVHALNDNDMIALSQSCNNLKSISLWLRPHFYDGDYRTSFTDDSLKALARNCPMLETVELTFVCCAPEYPSETGFTHKGLLVLIQSCPVRVLMLNGAHFLDDEGMKVLWSAPFLETLELMFCELITDIGMRSVIHIPCLSNLTLRWCESVTDVGVAGLVHGRTLESLTIEGCHRVSEQAVQGAARSVHYSTAAPSHAFHKKNVLLMKWPLEHLVKSFG >cds.KYUSt_chr2.245 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1487980:1488502:-1 gene:KYUSg_chr2.245 transcript:KYUSt_chr2.245 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSLMDFPMMIVSACGKQIELANEIQSNIYTSLSADQFSVTCLMGSTEAILSAQMASKCFSVNKFMASPVPQMQKQCDGSSSASSASRRQVEKNETNSFAAKIVGMQQQQLKPRFALELDGLNCFETIVPL >cds.KYUSt_chr1.16855 pep primary_assembly:MPB_Lper_Kyuss_1697:1:97916741:97924202:-1 gene:KYUSg_chr1.16855 transcript:KYUSt_chr1.16855 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQARTSRAPLVCLFLCLQLLSSSQQATSKYLDDHDHDTFSSGQPRRTLSSGGTTPQSLISVPSRNSSNSSGAGAAFCRLLSLQILDLSNNRLTGELPDCWWDLQALQFMDLSNNSFSGEIPAAEPSHNCSLESVHLAGNRFTGVFPPVLRGCDSLATLDIGNNRFFGGIPPWIGTQVPSLRILSLRSNRFTGEIPRELSRLSHLQLLDMANNSLTGQIPVAFGNLTSMRNPEIVSSLGSLDGSNYQDRIDIIWKGQELIFQKIIRLLTGIDLSGNLLSDCIPEELTNLHGLRFLNLSRNHLSCGIPRDIGSLNLLESLDLSCNELSGAIPPSISALSTLSTFNISNNHLAGRIPSGSQMQTLDDPSIYSNNYGLCGLPLVDVPCANTSLASDERNGEGFDQWLCYSVIAGVVFGFWLWFGMLFTIETWRSALLFSVDKMHCKVMQKDTAIPTRKRILDDALFKFFSKELVYNHLILGVSNYLKFIKSTGRKPKSFEFCAYALAVYYLDCLDFGVHIVGSGVPRSVSWCGDMIKEYSELDRASRSGFGLRRIRNDLPSCYLNRVHMSSKSRMKGGAELESMLAQQKQTLHKEFGMILSSKTIDGIFEIIQCYNSSEIGITNKLAHDVLQFLSNARPLYRSINSTLTDVHCLAPEMHPVAKVKSRPSLHVPDNADTVFCQSDLISPIGRMNLSPCLGGKEGTKVEGIPLVNKRAANKLKGSKQAPIPVADTTSKAELPDNSPEVSITGAINFKDRQSELAMEADAVYNMSVHQKHSETLMPDGHVDNLLIPCFCRKLFEDNHPSKSGRHYFFSYIGESILLLSTEMHESLVRTSFLGAARASKGKRLDFSDRFKLFVFIDSFYGPDSDYQRSVRGPLVSNS >cds.KYUSt_chr2.37194 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230191550:230194367:-1 gene:KYUSg_chr2.37194 transcript:KYUSt_chr2.37194 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSDSDRDDIFFDAFEDIRSVREPSSSDDCSTSGKGLTPRALEYEVWASEPMSVQERRQRFLQGMGFDDLGAAKVDLSQCQEEITTIDSPANSQERTSSNISSLDSSIPESESSFDAACCIRDLDSGKRYVVQNGGHDGLTSFLKEVATDKVLSLLEFESLVGVSRSVQKLLRRAYSHSPARETKGAVGSDVLDMTWSKSDCLLTSSKDKTVRLWKVGSDACLGVFRHKDYVTCVQFSPTDERYFISGSIDGKVRIWDVLDKRVVNWADTRNIITAVSYQSDGKGFVVGTTGGVCRFYDQSGEDIQLDKELFMQGKKKSASSRIKSLQLCTSDSPRFLVTSTDSKIRVADGSDIVQKFKGPWKSKSLSSPSLTSDGRYLISTGKDSNVYIWNFESSSKLQKSEAKSVRSCEMFFSKDVTTAVPWPGVRQDRHVKPSCLTDKSLSAPTLRPQGESRSPGARFLTDGMRGSVTWPEEKLSSAKTVNAPRLADCLSAISAAWNMVIVTASHGGVIRSFHNYGLPVTL >cds.KYUSt_chr4.18006 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112903034:112904464:-1 gene:KYUSg_chr4.18006 transcript:KYUSt_chr4.18006 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHQKLLHFFRQDPACSPRSILSSSTSVSDDDGHSCSSYATTDGDASPTTSRYASSTPPTPKSPWAHFPGLGAAAEPGATGLIASLVKEDGHVYSLAATGDVLYTGTDSRNVRVWRDNRELAGSFRTASGLVKAIVVAADGRIFTGHQDGKVRVWRADDAASPAAHRQVGSLPRLADYLRSAVNPAGYVQTERKGRKRAVWLRHSDAVSSLSLDEGAGLLYSASWDRTFKVWRVSDSKCLESVRAHDDAVNTVAAAVFDNVVFTGSADGTIKVWRRQVSAKGGRATKHVLERVLREGESAITAIAVSSEDRVVYVGSSDGAVTYWHWIDGQARYGGVLRGHKMAVMCLAVAGNVVVSGSADRTLCVWRRDGAEHVSLAVLAGHTGPVKCVAMDEEEEASSSVDRRFVVYSGSLDGSVKVWRLSDTDTREPALGTERTAAAPPQPSDDWRIRPAPALYVGAWAPYQTPEFMRVAAA >cds.KYUSt_chr2.5649 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34865205:34866196:-1 gene:KYUSg_chr2.5649 transcript:KYUSt_chr2.5649 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVTHVVVAGAKKSRAEHAMSAFASHFSAAIHSQRLAGKVAVITGGASGIGKATAEEFVRNGAKVVLADVQDDLGRALAAELGPDSASYTRCDVTDEAQVGAAVDLAVSRHGKLDIMFNNAGISGSLTPAPLGSLDLADFDRVMAVNTRAMLAGAKHAARVMVPRRGGSIICTASTAGVLGGVAFPAYSVSKAAVLGLVRAVAGETARSGVRVNAISPNYLPTPLVMGYMAVCYPGASAEEHRRIVERDMNEMDGPALAAEDVAAAALYLASDEARYVNGHNLVVDGGFTVGKVPNMPAP >cds.KYUSt_chr5.9282 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58935722:58941919:1 gene:KYUSg_chr5.9282 transcript:KYUSt_chr5.9282 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASRRSLCAGAAARRHAAAFAVSADAAAPTPANPPRSVTNREPAVPPHGGKRLFSVLAAPKLNAATNMPSLKLGESSLVGRRFESSAAAVDSSDAPPAEKFEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTEADLLKDGSELYIRIQTDKDNGIITITDSGIGMTRQELVDSLGTIASSGTAKFLKTLKESQEASVDSNLIGQFGVGFYSAFLVSDKVSVSTKSPKSDKQYVWESEAESNSYTIREETDPEKLIPRGTRLTLYLKTKKKTKTVVEKYWDWELTNETQPIWLRNPREVSTEEYNEFYKKTFNEYMDPLASSHFTTEGEVEFRSILYVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDEYEAFWENYGKFLKLGCMEDKENHKRIAPLLRFFSSQSNEELISLDEYVESMKPEQKAIYYIAGDSLSSAKNAPFLEKLNEKGYEVLLLIDPMDEVSLQNLNSYKDKSFVDISKEDLDLGDKNEEKEKELKQEYSQTCDWIKKRLGEKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSIGDTSSLDFMRSRKVFEINPDHEIIKGLNAACRSNPDDSEALKAIDILFETSMISSGFTPDNPTDLSSKIYDMMSAAMAGKWATQPQHPHTSQQQPAAPSSPESELLEAEVVEPVGVDQQK >cds.KYUSt_chr5.15869 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102267525:102268298:-1 gene:KYUSg_chr5.15869 transcript:KYUSt_chr5.15869 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSTRSIGPPIVRSPAPNHRHTHMLEWPNNVRSTIDVGIRSTVRHPAMQWRNGCWPLFIPVPWSSITTTHKLIPPILSPELPPRSAAASYRRRRLSMARVALLVVFTVVAVLAAAGTASAAVSCSDVTSAIAPCMSYAMGKAATPSAGCCSGVRSLNGKASTAADRRAACGCLKNLAGSFKGVNMGNAASIPGKCSVSVSFPISTSVDCSKLN >cds.KYUSt_chr4.2212 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12146297:12147983:1 gene:KYUSg_chr4.2212 transcript:KYUSt_chr4.2212 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHYFPRTSSVHHSLLQRFNRNHASSPSSTSPSHRHRQQHTTTSGPLLPACASLSPHGNIHLPALLAPAMVYSLAAFPMDLLALVRNPELMTFSTASHQHSASQLQLAVAANSAYRSADAAAANWADANAGDTPERSSTVNRTFSFTFFYPFLALIQSFLVSQESPRRHVTAPCGTSQNVPRIAETEAAPAEPSAAVQGATAPIVQALKSTAAHEVSCFHFPGHNRGNAAPSSLSNLIGMGAFTHDLPELPELDDLFYPKGVILDAKNRAVQLFGSSKTWFLVEENPFLVRTSLGISQFT >cds.KYUSt_chr6.15471 pep primary_assembly:MPB_Lper_Kyuss_1697:6:97172994:97174634:1 gene:KYUSg_chr6.15471 transcript:KYUSt_chr6.15471 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDPPHELKTRGYNMVTGAEDVFRTVPKRIEEVKRFKKASVKIGEETERMARPWRVPPAARQDSREMVGEQKPPRRQIGVPNGGEGALPPAIVPRRQFLPLVLRLVLLGRNLAEDEDTTAEIAEEKI >cds.KYUSt_contig_60.377 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2219794:2225796:-1 gene:KYUSg_contig_60.377 transcript:KYUSt_contig_60.377 gene_biotype:protein_coding transcript_biotype:protein_coding MPATRGGCAAQTRRLACPSVAIKGLVNRATVVRLPPTNPSWMDLSKYVANLILFFRRLRIRAFRAMGGGAGAEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGVNGTTIEFCKNIVLAGVGSLSLMDDHVVTEDDLNSNFLIPHDESIYGGRSRADVCCQSLKDFNPMVRVDVAKGDPSLIDGEFIDKFDIIVVSCASLKTKLFINDNCRKRNKHIAFYSIECKDSCGEIFVDLQNHSYVQKKPGGDPKQQELAYPSLQEAIDVPWKSLPKKTTKLHFAMRVLERYELSEGREPGETSLSDLPAVLALRKDMCDRMSLNESQIPTALLERLVAAGKKEHPPVCAILGGILGQVGANVSSLNLMS >cds.KYUSt_contig_1253.1114 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:7012619:7012921:1 gene:KYUSg_contig_1253.1114 transcript:KYUSt_contig_1253.1114 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCPGNSSGPSVAAKRSWLAWYFGNDVHTSPSASSAARSHRHRPPTSATSSMSAAAAAEQPCHVEATTPRCPQRARGGGLDDNLEEDDDNTKAILCGA >cds.KYUSt_chr2.51652 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322706087:322711016:-1 gene:KYUSg_chr2.51652 transcript:KYUSt_chr2.51652 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEKEILGGILLPSLVKFCPSSIRLCRRGGGRAKGELGSCAPWEDKADATDGVHDSNQFVGDNLMQLKALQISSFLQDLGSSGTNGKTMGMAPVMPVCWRPLGSAGCNLMKLSKDDVGMLIRSCFGSTPVLLNIQWRPRALRLLNPLPRSSHPTRLPVEPLALRLETSQKAPGECDCRFFESEFSPDTLAGSDCILLFREPPPPRLSEEPNPALIGAAHTALDPPLCVEELNPFVDLRGGTVNAKCDIAKLLANSIIHRYICSKDILEDVLGGRDILTAFRKSDEKGYLEVKVPSLFLRKTFIQS >cds.KYUSt_chr3.25645 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159184546:159189784:1 gene:KYUSg_chr3.25645 transcript:KYUSt_chr3.25645 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQRRRKLRLLLLAAFACSLQAACAAAESTATMSVRHKPSKPRHGKHMPGPRGSHAGSGMASCNMFQGSWVLDDAPPLYDTAGCPFVEAEFDCQKYGRPDKLYLKYRWRPASCELPRFNGQDLLSKWRGKKILFVGDSISLNQWESLVCMLHAAAPASRTTYSRGTPVSSVTFQDYGLSVVYYRSTYLVDIVEESIGRVLKLDSISGDPWLGADMLIFNTWHWWTHTGKDQPWDYVQDGAQVMKDMDRLTAFSKGMSTWARWVDSNVDVSKTKVYFQGISPTHYNGADWGESSRSCAKQTQPIAGPAYPGGPLPAQGAVRSALGGMSKPVFLLDITLLSQLRRDAHPSAYSGGHPGNDCSHWCLAGLPDTWNQILYASLLA >cds.KYUSt_chr2.27602 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169600477:169603986:-1 gene:KYUSg_chr2.27602 transcript:KYUSt_chr2.27602 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLLVALFAAVGSAVTAAEQMESSSPEAEALREFKSALVGIDGRLPSWDDAAGGDGPCQWPGITCSAAREITGVTLHGLGLSGALSAAVCALPRLGVLNVSKNALSGPVPAGLAACRALEVLDLSTNSFHGAIPQELCGFPYLRRLFLSENLLFGEIPAGIGNLTALEELVIYSNNLTGGIPASVRALQRLRIVRAGLNDLSGPIPVEISECARLEVLGLAQNSLAGPLPRQLCRLKNLTTLILWQNALTGDIPPELGNCTSLEMLALNDNAFTGGVPRELGALSMLHKLYIYRNQLDGTIPKELGSLQSAVEIDLSENKLAGIIPRELGNIQTLRLLHLFENRLQGGIPPELGQLSVIRRIDLSINNLTGAIPMEFQNLTGLEYLQLFDNQIHGAIPPLLGASSALSVLDLSDNRLTGTIPPHLCRYQKLIFLSLGSNRLIGNIPPGVKACKTLTQLRLGGNMLTGSLPVELSLMQNLSALEMNQNRFSGPIPPEIGKFRSIERLILSDNYFVGQMPAGIGNLTELVAFNISSNQLNGPIPRELGRCTKLQRLDLSRNSFTGLIPQELGTLVNLEQLKLSDNSLNGTIPRSFGGLARLTELQMGGNFLSGHVPVELGKLNTLQIALNVSYNMLSGEIPTQLGNLRMLEYLFLNNNELEGEVPSSFSELSSLMECNLSYNNLVGSLPSTTLFEHLDSSNFLGNDGLCGIKGRACPASSKSSYASREAAARKKRFLREKIISIASIVIILVSLVLIAVVCWLLKSKIPKLVSSEERKAGFSGPHYFLKERITYPELLKATESFSESAVIGRGACGIVYKAVMPDGRRIAVKMLKCQGEGSNVDKSFRAEITTLANVRHRNIVKLYGFCSNQDSNLILYEYMENGSLGELLHGSKDAYLLDWDTRYRVAFGAAEGLRYLHSDCKPKVIHRDIKSNNILLDDMMEAHVGDFGLAKIIDISNSRTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELVTGQCAIQPLEKGGDLVNLVRRTMNSTAPNSEVFDNRLNLHSKRVVEEMTLVLKIALFCTSESPLDRPSMREVISMLIDARASSCDSFSSPASEPATEDDSPLKV >cds.KYUSt_chr4.40142 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247839308:247839843:-1 gene:KYUSg_chr4.40142 transcript:KYUSt_chr4.40142 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGYTGEGGDSIMSWPRSTSPTSSEVQLARQADDPWYIAYQDESTQWCSQRMDLEEKVANLGDELARKNLMIFELKRIVDEEKKNSELIRKEKLRVETDLAVFDQVVENLEHELKVMGEEKSRFICAVLLGVIPVLAVMWFW >cds.KYUSt_chr6.19998 pep primary_assembly:MPB_Lper_Kyuss_1697:6:126017504:126026059:-1 gene:KYUSg_chr6.19998 transcript:KYUSt_chr6.19998 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRANTTWYLVDDDDLVEVARRRDAVAKRARDEDLREEADARGWSSAIPDRSLPLRRRRGSVSPSFARATTSVAVAEEQICSREVASSTMIHSKSEAQGIATILNRRHPIPYSLPLPASADACDLLWVGHFYLGGQQSQSVHRDCSSIARAVEAIWLPSGSSFPGRWPRRHPRRLVRHVHDQPCGSLLGMWESGIAWKPPRRHPDGRAADSGEGLHAGEQRPVELLVLPIWYQLMSSPPPTTTSSAPPPVPPAAATTAGSLAATTTAGSAAPAPVIYTPEQMSGVVNDLVTAVQGIRLFLIGSQGPDALAAGCLLRAGARTMGSALHGRSDAASAGAGPALAAVATVRPRQPKCRGVPIHQIRFPPSPSPLPAWLAASSTQPVCTTAAEPPLPSLLSAGPRGYARPHEARPDAPDPGGVTQPPPRYTKVDSATYDGAEDPLNWLSQCEQFFRG >cds.KYUSt_chr1.20087 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118266317:118279210:1 gene:KYUSg_chr1.20087 transcript:KYUSt_chr1.20087 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDPIDEKQGTKEFVDRNNKAANKEVDESYPESNGRMRRALISGHLQGLLLQQTHLLQQLLVLGEDLRPLPSQDLDLLRELIGARSTVLSLLAPPAAFSLSPSHSVARRHHLVSLSRIAALPQLALRPPLTNSPRGRLFLHPYRTARVLSVPASTAAAARSPMEQSTEWSSGRVRSTFIDFFKSKSHTAWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDSQLGRLQRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGYAWELLTQVYKLPTDRIYATYFGGDEKAGLAPDSESKNIWLKYLPIERVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNRESDGTLRPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPLFEAIHNLAEGRIQPYSGKVGPDDVGKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLTAKKGFFSLLVHDFVQAMGNVFPELKVNEKKIKDIIEDEEASFENTLAKGYERFKKAADAVKENGGTVISGQDAFILWDTYGYPIDLTEVMAVDIGLAVDMDGFNVSMEEARQKARSARYKAGGKSIVLDANATSQLRNQGLASTDDSPKFEHKEHSSVVKAIYNGSEFIAATSGDEDFGLVLESTSFYAEQGGQIYDTGSIDGPSGSFTVNNVQVFAGYVLHIGSFTKGSEALSVGDVVKCKVDYTRRTLIAPNHTCTHMLNFALREVLGDHIDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIEYIVNQQIKDELEVSAQEIKLADAKRINGLRAVFGEIYPDPVRVVSIGRKVGDLLANPESKEWLSISTELCGGTHISNTRDAAAFALISEEGIAKGVRRITAVTAECASQAMKLASSIDTDINETSKLDGVLLEKRIGSIKSTLDAAAIPAARKADLRGKVSKLEDQLRKAKKKIGEENIQKAVKTAVDAAEAALSEGKHFCVTHVDVGLDATAVREAVVKAMNRFKSLPIMLFSTDEASNKAVIYAGVPPEAPSGFNVLEWLTPSIAPLKGRGGGGKNGLAQGQGSDASQLKEAMELATQIAKMKLS >cds.KYUSt_chr4.49966 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309561100:309562395:1 gene:KYUSg_chr4.49966 transcript:KYUSt_chr4.49966 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRSSAALAALLAVSVLLGSSACTTAADDHKPIVARVSKDATTSLYTIANKVGGVPLLLDLAGPLLWLANCPSPHRTFPCDIGVCKVANWNHPPNCPYTPTTECSKGAACTAYTYNPVNGRCGHDDATTITLAANTTDGKNPLFPVSFRAVGSCAPGELLASLPAGAAGVAGLSRLPLSLPWQVGDGVAVFGGGPFQLLAAPPVELSAGILQNQLPLLRNPKINNGAYYFQITGIAVNEQKVPTPPGAFDIDSHAGTGGAVFSTVTPYTALRPDIYRPLHDAFDAATSGIARAPPMAPFDMCYEASALGVTRLGYAVANIDLMLDGGRNWTLFGGSSLVQVNDHTVCFAIVEMEPSMPAAANSPAVIIGGFQMEGHLLMFDLEKGTFGFSGPLSGIRTGCSNFNFTMGST >cds.KYUSt_chr5.34268 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217314599:217315570:1 gene:KYUSg_chr5.34268 transcript:KYUSt_chr5.34268 gene_biotype:protein_coding transcript_biotype:protein_coding MWALHAAAAIQVEAGDEVLLESPGHFRIYKSGKMDRLNDPTVMPAGLDEATGVTSRDVVLDADTRVSVRLYLPKLPGNQSSTKLPVLVYFHGGAFLIGSADDAAYHGYVNALAAAAGALVVSADYRLAPEHPLPAAYDDCWAALRWAAAPSTQDEWISEHGDTSRLFLAGDSAGANIVHEMLARAAASDDGPRMEGAILLHPWFSGSEAIEGELAAVPVFNGMIWSYTCPGAVGGADDPRINPLAPGAPSLETLPCERMLVCAAEKDVLATRIRAYHQTVAAGACRAAWFESEGEDHDFFLGKPDCESAKQLLDRVVAFIAEG >cds.KYUSt_chr3.14198 pep primary_assembly:MPB_Lper_Kyuss_1697:3:86099651:86104783:1 gene:KYUSg_chr3.14198 transcript:KYUSt_chr3.14198 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWFTPAYFKKTQASKLGDAQGIPFFIDNIIRFHVGPGIPGVAPHYTPPPSTFNVLLGSYWFDKPWFLTEGKLVVNWQRYSDKNENEFPLESVGDYTWEYAFLNLSDYTVGQDNPTLSKNELNSIWYQSEDIFPASGVPEEQQHAFWVRASKRYYALAAKLEGWSCRCA >cds.KYUSt_chr2.41319 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256916159:256916491:-1 gene:KYUSg_chr2.41319 transcript:KYUSt_chr2.41319 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKPAWPVSQIGERARATQANPILFVLLSPRAPCGIAPSATPRRLSPSPPIAPPFRWSARAAPSPPSRRPFPRLRMEVFDGESAAPAVGRWEALLELFLETGLGEGLA >cds.KYUSt_chr5.29664 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188122155:188122694:-1 gene:KYUSg_chr5.29664 transcript:KYUSt_chr5.29664 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELASSSAPGASYFNFSVAQAVVTISVNVILVWLSALIKSSSSSSSSANRRSTTPAPEPEPTPAPAKDSSDSEVDLDVVLGLMGASGPALVGFEEAAALFEEEEATVEEAAAAFRVFDCNGDGFIDAGELGSVLRSLGFAAGVAAADCQRMIDAYDGDKDGRMDFQEFLAFMERSSS >cds.KYUSt_chr6.17842 pep primary_assembly:MPB_Lper_Kyuss_1697:6:112177288:112179015:-1 gene:KYUSg_chr6.17842 transcript:KYUSt_chr6.17842 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHAGKMTSQAIYISPSASTTSIFLKNVGRLSSPFSGGEMAGSSTSIDSSPVPWRPAVTALSQLVSMKLATLNPMMVYELGLDTGSMYGQMFDCFITWQSSAIMVQLETVSDGMVNLMVSSFALQVPNGKGQEVLWSHTR >cds.KYUSt_chr1.36297 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221329307:221330734:-1 gene:KYUSg_chr1.36297 transcript:KYUSt_chr1.36297 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNPDPTVVLHACLGVGHLIPMVELAKLFVRRGIAVVIAIPTPPASAAGFFAASSSAVADIVAANPTISFHHLPPPDYPNPDPDPFLQMLDVLRLTVPLLLAFLRSLPSVAALVLDLFCIDSLDAAAQSGVPAYIYYTSSAGDLAAFLHLPHHFATTEGNFKDMGKALLRFPGVPPIPASDMPHTVLDRADRTCTARIGHYGRIPEARGVLVNTYEWLDARTVSALREGVCVPDRPTPPVYCIGPLIVKGAAAAAEGERHACLSWLDAQPERSVVFICFGSLGAVSAAQLKAIAHGLESSGHRFLWVVRTPPDDPAKFFMPRPPPDLDALLPEGFLERTRDRGMVLKMWAPQVEVLRHAASGVFMTHCGWNSVLEAVSAGVPMLCWPQYAEQRLNKVFVVEEMKAGLVMEGYDEELVTADEVEKKVRLVLESEEGSKLRQRLAMAKEKAAEALADSGSSQTAFIEFLQDLKLSK >cds.KYUSt_chr2.31945 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197069987:197071919:-1 gene:KYUSg_chr2.31945 transcript:KYUSt_chr2.31945 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNGENSYASNSRLQEKAILERRPVLCKAIEEVYMSLSDSAHRSTMVIADLGCSSGPNTLLFVSEVIGVIRANNRKSKDACAVDVQFFLNDLPGNDFNLLFRSLEHYDNLGCGNEPPPYYIAGLPGSYYRKLFPRESVHLFHSSYALHWRSKGRVEKEKLDSFNVPYYAPSVKEVKTFINKNSIFDIEHIKLFESNWDPQDDSEGDVVLDCAISGANVAKCIRAVLEPLIVDHFGEDIIEELFMVYASNVAKHLEKGKGKYPIIMVYLKKSMN >cds.KYUSt_chr2.44140 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274510836:274513214:1 gene:KYUSg_chr2.44140 transcript:KYUSt_chr2.44140 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRAGADEKEEGVMATDFFWSYTDEPHASRRREILAKYPQIKELFGPDPLAFLKIAAVVSLQLWTATLLRDASWLKMVTIAYFFGSFLNHNLFLAIHELSHNLAFTTPSLNRWLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGIDMDIPSQTEAHVVKNTISKSVWVVLQLFFYALRPLFLKPKPPGLWEFTNLTIQLALDATMVYLYGWKSLAYLILSTFLGGGMHPMAGHFISEHYVFSPEQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGTKLHKVKEIAPEYYNSLKSYRSWSQVIYMYVMDQTVGPFSRMKRKAPKKDT >cds.KYUSt_chr6.21689 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136904617:136911492:1 gene:KYUSg_chr6.21689 transcript:KYUSt_chr6.21689 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHLLAAVVLWAALSSAASFTDPSDAIGMWELYRTLGSPWQLSGWTFMGGDPCGGDGELGSWRGVFCKDSCVVAINISGLGVGGWLGPELLKLHSLKELDVSFNNIAGEIPPTLPPNVEYLNLAANKFEGTVPPSLPYLHSLKYMNLSYNNLSGIIGDVFVNMKSLVTMDLSSNSFGGDLPRSFSSLNNLHYLYLQHNYFTGSVILLADLPLVALNIENNHFSGYVPGTFEFIPDLRIDGNHFQPGFKRSPSSFTRTTHSPPSPHQPRPPSPHPPPPPPPSPPSPAVKQNLKHRAKPPQPSVGYTSLHSSSHHTKSHSRVTAAAIATITCTIFILFIVGLILKSWKGCTCDPKSTADNTKSLPANLEGVPKTNEVLYSWSSLMMGRDTSSSNNNSINPGRVSKRKSLAKTSKTLVPAKQFLAVDILVATRNFNEECLIGEGITGRVYRGDFPDGQLLAIKKINMIDLSLSEQDELMDILWNMSRLKHPNISSLVGYCVEFGHCALLYEYAENGSLDDLLFSSATRSRALSWKARMKIALGVAYALEYLHFMCSPPVTHGNIKATNILLDAQLMPYLSHCGLGKFSHFVSATRMDSEALSGAKGYAAPEANGSGTEIIKADIYSFGVILLVLLTGQKAFDSSRRQNEQFLVDWASPHLHNLDSLEGITDPRICGHMPPIAISALGNIILLCIKESPDLRPPIRVITDKLVKLVQSTGLQKTNAARQLEADAQDPSFITTRPYFEPSSTVSQAATESFIAR >cds.KYUSt_scaffold_6468.810 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3939906:3941537:1 gene:KYUSg_scaffold_6468.810 transcript:KYUSt_scaffold_6468.810 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTSHLRHIREAPPERLSPSRLDGQPWLPLLPPYAHHQLGVLFFLLLIIIGVSHDEATSSGFLFFLHMLTTSSGFLFFLLLVIIGVSHDEATSSGFLFFLHMLTTSSGFLFCLLLIIIVVSHDEATSSGLLFFLHMLTTSSGFLFFLLIIIIGISHDEATSSGFLFFLHMLTTSSGFLFFLLLIVIGVSHDEATSSGFHFFLHLIIIVIEIMQKI >cds.KYUSt_chr7.28946 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180067885:180082033:-1 gene:KYUSg_chr7.28946 transcript:KYUSt_chr7.28946 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSTGGPAFRFTHAEVAEMEERLRHLNNAIPHRDVIQGLADKFTNSPARSGKIPVQPKQVAFPAPPPRRGRKIRVIRSNLIAFHPGDTRGDGPVLFRSVWLCGWAQVWNWFQNRRYSQRSRTTRGSPLPLPQGKMLPTGAEEHHPASFIRAQPSSSGHSGSHPGKNPSDAGQVEFEAKSARDGAWYDVAAFLSHRLFETGDPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCEATECVAVLPGDLILCFQEGKEQALYFDARVLDAQRRRHDVRGCRCRFLVRYDHDYSEEIVPLRKVCRRPETDYRFQILHAARAAASAEVQNAIVLDKSPVEQKPPKPHKMMDVNTAEVTMVPIPDQGEPTDKPAATVPAAPTSTHSDSVSDVQMGDAEAAPKVEAANEAQDDKMNMGA >cds.KYUSt_chr7.37893 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236322799:236323092:-1 gene:KYUSg_chr7.37893 transcript:KYUSt_chr7.37893 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTRRPPGHRRHEGRRVRPKGRRREVKAINQASHGGGEKLRQTAREGAEAEPPRIMVEDLELGAGPLELQQGRSAVRASLGPIGGYARATAIGGGS >cds.KYUSt_contig_1861.212 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:1289192:1292860:-1 gene:KYUSg_contig_1861.212 transcript:KYUSt_contig_1861.212 gene_biotype:protein_coding transcript_biotype:protein_coding MDHADEEPATKRAKLSDGGGGDLLSALPDDILIHILLRLGNADVSARTSVLSSRWRRLWAFLPGLHFPPDTDPDTIRAVLAAHEAPALHCLRVLARDAHADFVAAWIPIAARRLSGDLTFINIASPDIVRDEAGDRGAFELPCFENATSVTLQLGFLRVAVPASGIAARLTDLHLDNFRLQAPCVLGDVFSSPLCPSLQRLAIHDASGVDKFTISSESLLELELMNLDGLQQLTVVAPALEELRVAFCFLNFRNPNQPVANISAPQLVLLEWRDAYDPCSVQLGEMPHLQRLGTGIFLVYGPDDFKPNRDCLSFLGQFKVVHSLTIPLLYNSQAPARTRYFLLYRCRIKPLRQSTCYSAALEKNQGGKGCDKDECFSGLYTEMYRELTVMVLGQDSLTNIITNCVILDNMIIKNERGRGLAFVYDTSCVKPCRIEALFETY >cds.KYUSt_chr3.8331 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48064153:48065499:1 gene:KYUSg_chr3.8331 transcript:KYUSt_chr3.8331 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILELSVLESAVVAPSPPAPETACSLPLNFFDVFWLNSPPVERVFFYRLTPGTGDDITTILSNLKSSLSKALGVFYPLAGRLRLTPGNDDRYELHYQPGDGVTFTVAEYDADVDELAGDEPREVAKILQLVPPLPVGLGPVLTVQATVLRGGRGLAVGLALHHAACDGASSTRFLHTWAAAAGTGTGAPPPPVMNRALVDDPSGGRPLYKLPSTDEMEHVKMADDQLVATFTLSKEDIQQVKDVVVAAAGARPPRCTSLVATFGFIWSCYQRAKHDAASNSGETYFVFPIDKRSRMKPDPIPDEYFGNCIGAVMQAAPKNQLAAAGSDGLLAACTAVAAAIEQAVGKLESPEKIALWVERIREASASGGGVMSVAGSPRFRVYDVDFGFGRPAKVEIVSVARTGAMAVAESRQSNGGIEVGMSLPPAGMQRFQTCFHDTITWLHQQ >cds.KYUSt_chr2.16251 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102164333:102165830:1 gene:KYUSg_chr2.16251 transcript:KYUSt_chr2.16251 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVHVLLFPWPRQGLINPMLHFATALVDSGIHVTFLHTEHNLRLITRTPPLRLRLLSVPDGLPDDHPRTSMELLESMCTTGSAAYRALLLSLRSAAATPVTCVVADCLMPFAIEIAEELGIPALAFGPFSAFSHLAMLAVPKLVEQGQIPSRADDPVTGVLGMKGFLRPRDLPRGHSGGAATEQGHVDPMVLKYAEVIARSCKARALILNTAASMERPAVAHIASRTRDVFTIGPLHARSTSAAGASLWREDNGCMAWLDGHEDRSVVYVSLGSLAVISQDQFTEFLSGLTVTGYAFLFVLRPGMVQVTSSTLFQEAVGAAEAGKARIVEWAPQLDVLRHPAVGCFLSSHTRRLELDARVRRRGSADGVLAMKTNSRVVGAVWKTGLDMKDVCDRAIVERMVKEVMVSGEIRAAAQTMAQQLRLDIAEGGSSSSELERLVRYIRELSIKSGRRSIQN >cds.KYUSt_chr1.37452 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228676995:228677309:1 gene:KYUSg_chr1.37452 transcript:KYUSt_chr1.37452 gene_biotype:protein_coding transcript_biotype:protein_coding MPVISCSDCYDQCYRPCDASIRSQCSGECDGVEGSCNSCKTTVIANCKASRNCTGSCDECNDAPNGSCTSACTTRYCDACKSGRAWTCYDTCSKECDARNCIHN >cds.KYUSt_chr6.4140 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24059711:24061397:1 gene:KYUSg_chr6.4140 transcript:KYUSt_chr6.4140 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTTGVSGHEHVIDIPRDTGPSISASHSVGRDNHEGVNPVDRPSTRAVASALQPPSAIGPPHAGNASGTRRSDNYGRRHRSPLNSGLWISIEVIVNVSQIVAAIVVLSLSRKEHPQAPLFEWVIGYTVGCFATLPHLYWRYIHRNIVNGQDEQAHSLQGSSQNNSTEPTPASVSERRRTAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAVDAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINTLPTYKFKTKKRRHGSGNEAEGQDGGVVAAGTDKERALSAEDAVCCICLAKYAHNDELRELPCTHCFHKECVDKWLKINALCPLCKAEIASSSGTSDTRHTDHSIPVQEIEMH >cds.KYUSt_chr6.25055 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158762666:158766649:-1 gene:KYUSg_chr6.25055 transcript:KYUSt_chr6.25055 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVEEAGALHRWLGGWGTPVEDHSHGMADSYSATMGAAEEPNLVRDLVLIPSPDLASSMAPPAALRLTESPPDELIEEILLRLPPDEPSCLLRASLVCKPWRRIISHRSRKAVKDFAATTGSAFSLAVPGDYLALDYRHGRALLLDMVPGWGELVLWDPISGDQERVPVPEAMWDNTFQGDNPTAAIVCAAAGCDHRGCHGRRPFHLVMVYQNGYQDEEYLEWITWACSYSSETGEWGDVRWIQISVVDFGLHTTSVLVGDSLLYFLSDRGTIIEYDLAGEDATTISPPEFEGCDSDHQSCVSFFAGWFGFEETITGSAQLPPEWGKDYSVADEEGHAEDIACNYFDDLVILSNLFGDCHLEERFTSILMCYSKSTCDAGRRTYQIETLQGVAESEDAHGVLLHQAGTMARDGSGGEATSIDLVSMPSRPEGGRREKGCGGARPRGRLQVWRKSLLDGAGHLEMSGGCRREPQGRKDPAATTGSAFSLAVPGDYQPSTTAMAARFSSTWFRVGVSSCCGIQPLATRSACRCQRRCGTTRSRETTQPQPLSVRRPSATTAAVMVYSDTVGYQNGYQDEEDLEWACSWGDVRCIQDSGVDVLEAPSRGDGSWSRTFGSRFESLRFWSQAGRRARARNQVCSSCDG >cds.KYUSt_chr5.6997 pep primary_assembly:MPB_Lper_Kyuss_1697:5:43326885:43328822:-1 gene:KYUSg_chr5.6997 transcript:KYUSt_chr5.6997 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLQLWNEWEIRLLLLLSFTLQVFLFFAGSFRRRNTNTVIRLSIWFAYLGADFVAIYALGFLSRQPDNTMSREKTHQLAFFWATFLLVHLGGQDTITAFAIEDNNLWLRHLLNLIAHVTLASYVFWKSTGWHNLQVLIPGVFLFVSGIIKYGERTWALKCGSLKNTEGLVAPGDDQTQPAGLNGDDINNRGVVRFALRSAPVVRNFFAGCNLVQFMATNVAQMCMFGELGGRHQLLLKIEIDMMYHDTYSKAMVLRKRAGIILRCLSQVSFVVAFVLFLVLVEKKRYTRADIAVTYVLFIGGFLVELCATSLIVMSPWTWGWLEARKYRKLAGMSWFLLSKNLMRLEKGMLWPNAMGQYSIVSYMGLELSWLSRRVTTLVTKMASMVGAGKERLLWISNLMDSKCIEIDREIMESVALYVDGLHSELSDGSRRQWPNLRPVLAKMQSLSMADFGLVLVFTHALTEVHLRTYYDEKKTPAEQDETQEDASSLVGECRKLSNYMLYLLVSHPEMLPVTGSVDTTLEFFVRKVAEYEDGGGKVDLMCGTRKLLEDQISLHLVQPSKETLEEIRDFWLRLLLYAAGKSRGDTHAARLAGGGELLTFAWLLMAHLEIGDSWLRRIELGDTTGGDMRPELAYVFPGGHV >cds.KYUSt_contig_1948.133 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:818481:819380:-1 gene:KYUSg_contig_1948.133 transcript:KYUSt_contig_1948.133 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGAMEEAGKEHNSYKRLCLAVSGGGGDSAAAAWRTNRVARAAAGGKDRHSKVVTSRGLRDRRIRLSVPTAIQFYDIQDRLGVDQPSKAIEWLIRAATAAIDGLPSLDCSFLPSAAASPPRDDAAEVSTSETSKGSVLSLANGPSDSSNAAAHHANAYNSGGGSGTFAELLLCSPAADKSMQQQQQQPTLAYYAAPQSSPMSFEMFPQLAFSQEQQQHHHHAAVAFDRSTLQSNAVAVPMWQPSQYPFMMQRFSAAPAEASSTFPFFVGGGGSTAAPATSNGSERRLQLWDFKQERKT >cds.KYUSt_chr4.53772 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332697838:332700090:-1 gene:KYUSg_chr4.53772 transcript:KYUSt_chr4.53772 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGTVDDGSLLHRPLGVGRRQRLVVRAFYVRMSSSCSFAAGQPPEELTLVYHPAIGGAALEVAGRALPPASPAHVPLLRVRGAHGDAGKAKEVAYASADRVSAAEGARFAVFAPGKELIAEGVFLRGPGGGGWQVECRRALASRSRVAEVVVLAEGGVLVRARAKAAIGCLTRLEGIPEEEACGCGACGEEEWEVVGDDGSDDSGDEYWKWKEREEAAEVETMRWALEMGAWAVCVGVGLLATARRFSRKRALRFTPSPPELDGGGSGNQYEGTSPALRRGPLPFGAMAAPNDEEIHEMITSGYMAATASPGFFMAAAAASPGFFTQEEARATAAVAARNEYVEDVADGSQAVEEKDEEEEQPTNAAANLSKGKKKRKKDSPPAELRIKWTPKEEECLAEA >cds.KYUSt_chr5.5623 pep primary_assembly:MPB_Lper_Kyuss_1697:5:35144032:35150215:-1 gene:KYUSg_chr5.5623 transcript:KYUSt_chr5.5623 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEPFASIPVDILQEILLQLPSSVSLVRAALVSKQWGGIISNTDFLDHYRKLHPSSPLLGIFSSERLFGLPGFSLMDSVRSDTDLKAVERGADFLLVELEQDPSWRIRDSRNGHLLLCRPGESDGDSFSIYNPISRRLVTIPVDRPHDRVAYFADCLLVDGAASFRVVSVQQHQGRIRAVDYDPHKLQWRFSNWESPWALDRSSKLKSWALEPMHAAGLIFWLYGASLALVLDCSSMKFSVCSIPVSIRSSYAIGEIEDGVGCLVCLDDVSNDTTHGSFKVWLLNTSGGTITWEMSGDQITVTELLGEDARVRQVVLVTNGLALLSYDDRYDQFVIDLKNRRLHDDIVFRGKAYPYQMPWPPHALLSLRDICQEEAQPVQLVLPVDFAPALDDVDIAAPVDDVGLAAPLDDVDLAAQIDDVAYTEEPSDVVWGPLDVCSTKHSMASEPDKLAGTVKDAVRLMETKSADTPAPSADLVGGMPQSSEVISIKPRYDEVLHSARDVPPEQKDQGHIILSDSHVNADVQELVELGEVHMKLVIEFYSKAKAMLQGTSAVRDVMVEHPNSSAPPEGTCKGHVTAYEADNLVGPVEDVVHLMETKATETAAASAALVEAGMTHSKFSNVSIIEEAQHLAAPIDGVELAAPIDDVDLTAWIDNVAYTGGELVVDLRPLHLRVTEHVMAAEADNFVGTVKDGVSLMEPKAAETTAPSANFAEEKTPSSEAVCREQRCEEEVQLECLPEILPLLQTSADEKVDLDALYNHAANRSNIVTDQPNAPKMMSLKAIMEQGPEHQREQVRRSKRERQLNARFSGPDWSTGYEFSNLSITEEAQPVQLMLPVDLAPALDDVDIAAPVDDVGLAAPLDDVDLSARVDDVACTEEPSDVVWRPLDVCGTKHAMASELDNLAGPVKDGVRLMETKAADTAAPSADLVGGMPQSSEAISIKPRYDGKWCG >cds.KYUSt_contig_2197.85 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:499409:501022:-1 gene:KYUSg_contig_2197.85 transcript:KYUSt_contig_2197.85 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSSSTGSSDACSSPPSSSLSSIANPVTVGNNDCNAPSSFKRASTYVGNRPSAVNPVAVGNGEYEAPRSFKKATTHVKNRTWGVNSAILVNDDDEAPLHYYRRASTSVKYMPTLSMQQYLDDLDLKLQQCHERCPVQDSEGDAMTQKSEDHAKSGSVNGGRSKKKHKVTTPIPATEDTMFMGQKASQQGQQYSISRCLEVLHGMDDVSDETKVLASDVLKDAEIRELFLCYESRLRGLWLKKEVAKLGSQLPQCE >cds.KYUSt_chr2.5517 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34135526:34135978:-1 gene:KYUSg_chr2.5517 transcript:KYUSt_chr2.5517 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFMHDVLTGPGATAVGIVNGTGPVVLGGEPPLRFGHVVMIDDALTEGASPLSRPLGSAQGFYAFASMHGPAVLLCMNVVLTAGPYSGSTFTVIGRDNIVEPLRELSVVGGTGRFRMATGYVLWRTSSWELKKNAVLELDVFVYIHAHA >cds.KYUSt_chr3.11257 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67124221:67125978:1 gene:KYUSg_chr3.11257 transcript:KYUSt_chr3.11257 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAAAHFLLLALLFLPFAAAGAPHRHRIPSRHLASLNASAPPTTFFEVDRPIRPPLGSAGPCSTLLLSHSFGATYGRPPVTAAYAPPECLAAARGSLALAVLEWTADCRGRQFDRIFGVWLSGAELLRSCTAEPRATGIVWSVSRDVTRYAALLADPGEVAVYLGNLVDQTYTGVYHVNLTLHLYFHPAPPPLTQQADLIVPISRSLPLNDGQWFAIQNSTDVQSKSLAVPSNTYRAVLEVFVSFHGSDEFWYTNPPNEYIEANNMSSLPGNGAFREVVVKVDEDVVGAVWPFTVIYTGGINPLLWRPITGIGSFNLPTYDIDITPFLGKLLDGKEHKFGFGVTNALDVWYVDANLHLWLDTKSKKTVASLVSYDAPPLVLDVKSGFSGLDGKFVTHASRHVSATGRVKSSHGEVTTTFTQRFSFANSNVFTTNGTVQVVNQTIDAKSGTFVKDASAVLLSEEVHKLFPLYIYTGTSDQVGDEYSLVSLVKLGVNDKMVSGGKLGFSYNSLRNSQSARGTMRVKKNLVVGGLGKTHQVYKYMGTDGCYFRDVSSSNYTITADKSGDACSKRSPSIGVKVSL >cds.KYUSt_chr3.35101 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220287833:220288678:1 gene:KYUSg_chr3.35101 transcript:KYUSt_chr3.35101 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQCPRGHVKEVGDARVGVASLPAKACLEPSERSGGVQRVLRARPAAVDGQHTDTPGVGSEAGGVLTAMLFLKGADGRPRFTAEEALAFVTGSVGKDWVAGTAGTPWRRCSCPATTSPRARPSCSRAPTPSRETTWTFASATSAWPPAPPPAIVAASGAVAAMGNPASAAITHVVHNKQEFPLDAGMDDIIILSIGTGGSSTSSGAHGWNTPMPSSSSSRDKLARDAGGEEVVAVLKRKLELRDLYRPPPLD >cds.KYUSt_chr3.43461 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274334798:274336303:1 gene:KYUSg_chr3.43461 transcript:KYUSt_chr3.43461 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFFADDDGADELPRTASHPFDDDDITTEPAADGAGNLRLSHHRPAAMDPFFADDDGADELPRTASHPFDDDDITTEPAADGAGYA >cds.KYUSt_chr7.31475 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196070799:196072605:-1 gene:KYUSg_chr7.31475 transcript:KYUSt_chr7.31475 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGATAVAIAMLLLATITNVALATTATTAKGPVIYIFGDSMSDVGNNNYLLLSIAKCDYPWYGIDYEGGYPTGRFTNGRTIGDIMAAKFGVPPPPPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFVEYLSFDNQISYFEQTKNKMISKIGKKAAEEVVNGAIFQIGLGSNDYVNNFLRPFMADGIVYTHDEFVAYLMDTIAQQLTRLYHLGARKVWFTGLAPLGCIPSQRVLSETGECLEEVNGYAVRFNAAAKDLLAGLNAKLPGARMSLADCYGVVMELIEHPQKYGFTTSHTSCCDVDTSVGGLCLPTASVCEDRKEFVFWDAYHTSDAANQVIAAHLYADMVSSGDAQEPQGNATAAPKVVRSPPRPLPRAPASRPAVRGSNTTSAPRAAPASRPAVRGSNTTSAPRAAPAARPHDVVGSPLAAPPPRA >cds.KYUSt_chr3.21856 pep primary_assembly:MPB_Lper_Kyuss_1697:3:134665385:134668388:1 gene:KYUSg_chr3.21856 transcript:KYUSt_chr3.21856 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVVEDDVSVAAAVANGAADVRRRRDQAKEILSKQAVKIATKAEEHERFIFKVTHLMGVLGFGTFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLIMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRAARVTWPYVEDKSYLWTWLFVVPLAAYSLWQLMYYLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDKNRPIMYILLQALFTVATLAFTVPIFLSYRLHAIFQVLKVCAATWNGGSFILEVMPRQVVQKEKKKLAMKPIEQVEHTDDASGNHQQKSEKQSQ >cds.KYUSt_chr5.4814 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30552854:30555765:-1 gene:KYUSg_chr5.4814 transcript:KYUSt_chr5.4814 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVYLHLDKGPPRHLVGPPEEVVLLLRGLLRHLGCGEVLLLGGDVLREAGYPLEPRALVPLDDEKESLQFTLAALLLLRDEARQREVLSLLARLHATKVYRLAWAPELEGHVVIWARRLVCGLELAEANVFVAGPDLRVEGAVGALADVAIGRSLSPRTAMTGGSMDRLTDDILADIISRVPYKSTCCCKCVSTRCRDLITHPDHRAKMPQSLIGFFCESDDMARFPRKARHFINAFAQGCPPLVDPSLSFLPKHKNLHILDGCNGLLLCCLRDGTHTDEILHYVVCNPSTEKWVVVPTTDWSSSAEVYLAFDPAVSSHFHVCELVDCDAWHDDDTGIIGDIAAVVIYSSKTGVWSHKADAWSGSSITIPSGAKSVFFRGELHLCAYDNQLIAIDVEENDWKVIPLPARVTVSYDIYLSHGQLHFAHIGLSELSIWVLEDPSSEIWTLKCNISHLQLFGTEYSAYADDYDVI >cds.KYUSt_scaffold_3611.33 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:148198:150284:1 gene:KYUSg_scaffold_3611.33 transcript:KYUSt_scaffold_3611.33 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYEVANSASREHMQEQTKELFADLTAGAPPPQYVEPSVVPPLPKMPTKAEFLAQFHGGTPGSSTHSNNPFGRAAASSPDASPAERTPLHFGGPSPGHSVDISPCRESRESRQHSPQLVTKEDLASKEEMEHFKKRVTSLAVLDFLRLKSIKPDKGLMSKFFADPYMPWSTFVEDVMITHQTRTGLPEATFPHYDLWENPLTHCMCRA >cds.KYUSt_chr7.29908 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186164357:186165646:-1 gene:KYUSg_chr7.29908 transcript:KYUSt_chr7.29908 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGVEGGDGVKEISVSYGTAQTKRPNEVMSYRTKQENLKEISVSYESHEGKDEQNEVLDKGEEGPNKDPHKVTMSYGPEHEDDPHKATMSYGSEQEEDPHKVTMSYGSEQEDDPHKVTMSYGLEQEDDPHKTTMSYEEDPKTVSTGHKTHTDASGEGTHHHHAHAHNHNNIRQQADVFFFHDMLRPGSIITPTIPPTTSLPALLPRSVAGSIPFSSERLPDIISMFAPASLSMTREIRWTLDTCERPRTLPGQSAGCATSLESLAELPPSLLRTRNVRAFSAAELPVEAPGTRALRGRYNVTAVRMVSGESSEIVTCHDLMYPYAVYYCHTANPTSAYTVTLTSVDDGVVPKTMEVLTVCHLDTSNWSPKNPFFELHNLKPGEVTVCHFLTKLSIIWVPVSE >cds.KYUSt_chr4.3278 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18626018:18627180:1 gene:KYUSg_chr4.3278 transcript:KYUSt_chr4.3278 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRPIVLVFLLLVLIITSQFEWKQQIGEAEASPEATRRRQQALVVREDAVKEKIILAQEKNIQQLTELIQSLQVQLLHCRGSNSTTAHSASSNQSSTNDTEAEGHELIDD >cds.KYUSt_chr5.39340 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248972708:248975499:1 gene:KYUSg_chr5.39340 transcript:KYUSt_chr5.39340 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAAAVADMVDLNALSRRELQALCKLNGVRANMTNLAMVEALHSLPSVDGIDQIGTTLCLPTPGKSAMKSALRTAAASEQQQGSPLPRGRRVSVKSPEAIRMDAMDEEGDETKRDFVRTPGVALRSTSRRARATPAPLLTPAAGTLRRSQRSTVRKAAAPVEETEVSTAKRSTRKTAIPKVAIDFDQEEEDAAVATQEEDKVQQVEPKAATSDEKCDDPVEEEVTKLPEGDSKGDEPEQGEKGAAAIEEEEKLVNAEKSAPLSAMEDSPIIGVISKVAPEPDMKNVANSSTEDREVLGSWSPVREIADEINKSSEDKEDVAVEAPEEAVKEDATNSTIEDAAAPSNMAPTAMTEKDVTVDESAEEYNLVAENREEADLTEQSREVGDHDQEEEDMLKADRTVDEESDETIEAAAAPNKMIPAAVTEMEVAVDEVPQADLTDDDSVDEYDQDGESSEEADFSEESGEVDDLDEEEEHMLKADQTVDEESDYTVEVSGSTGVNFDSDEEEEQLETGEETKEVEDSDSLTGEEDDFSGDLSSEFDDLGNFSDAETESDSSHVALEGIHVDGAAVSYAAKEAEESVITEDTEVPSEEDVVSQHVETIVESLDKVTIAEEKKEECAKEKKQLKVGKEMSLRKLKSAYKESLIAAKGGKTLTIASDKGSRVALAELDDNAEC >cds.KYUSt_chr5.42619 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268440759:268451802:-1 gene:KYUSg_chr5.42619 transcript:KYUSt_chr5.42619 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRGRASSSGLAASLLPEAQGAVPVVGFGGYHGASRVEPAASSSSADPDGPVRLPPDVDAEVLQHLRRLGRKDPTTKLKALSTLSMLFAQKPGEEVVQIVPQWAFEYKRLLLDYNRDVRRATNDTMASLVSAVKKGLAPHLKSLMGPWWFSQFDPATEVAQAGRRSFEAAFPQSDRRMDALMLCVKETFLYLNENLKLTTQALSDKVTPMDELEDMHERTLHYSTVTMSGLQQGKGNGHASVKIQREPLTTDGTELKHQRFVAFVDKLVLNLSFGEVILAPLSSFSRAWVAAEMICTWNWKGGSAFSTFLPSLVQYMKTEPGLEVSFVSLLLDTLLEGALMHESSDWALFNSWHLSDSEIEKIQDRFLRSLVALLFTAYTKESVLRESDAHVLFEQLRSSLFIGSAVNRKCLRTLPFVMSTIIKPLTEKVRLNEASPCTDLLGKSILSWLEEAISCLSSSPREVAQQGRLHSNSYKLYYYNLLLQSDNMPSVTLSDIEDWMQVVLSCFPLKITGGTSKLIVKFEREISDAETSLLLTLFSRYQTFYGSADPSLSSRETILSKTVELLGVKLTAVMVGYCWTKLGETDWCFVFRILRKWIESSVLLVEEMTDNINDAAVNQTSAQDILEKLKLIACTMEDLTFTFAESALVTLCHLNLVDNLHETDNSPNLQLIRSGEYAESNDKMMENILRLFLASGVSEAIAGSCSEEASSIIASSRVDHLHFWELVASFIIDASPQIRRSALDSMKLWGLTKESVSGLYSILFSSEPISHLQFAAYSLLMSEPLCQLSLVKGSSVGEIGEIPSSSQESEMGQNIESIPDSEKALYLRDELTALIEMPTSELVKTDLVALERVNVFVAWALVLSHLQLLPLSSATREKVLQYVQDKISPSILDCIFQHIPLKTAAPSGKKKDVELMPEARAAAEASKGAITTCSLLPYVESLWPVGVLQMASLAGSLYGMMIRLLPSYVRTWFTSLRDRSLSYSIESFTRAWCSPPLLLDEFSQVKESIYVDETFSVSVNRSAYEIIATYKKEETGIDLVIRLPSCYPLRHVDVECTRSLGISEVKCRKWLLSLTSFVRNQNGAIAEAIRTWKSNFDKEFEGIEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >cds.KYUSt_chr7.8220 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49744364:49748369:-1 gene:KYUSg_chr7.8220 transcript:KYUSt_chr7.8220 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSFSPAKVQMLQATTCHGHGSSFAVPRTGPRPRSVAVRVSSEQEVRAPSGRSIEECEADAVAGKFPAPAPFVRPKAPEGTPQIRPLDMPKRPRRNRRSPALRAAFQETTISPANLVLPLFIHEGEEDTPIGAMPGCFRLGWQHGLLDEVYKARDVGVNSFVLFPKVPDALKTPTGEEAYNDNGLVPRTIRLLKDKFPDIIVYTDVALDPYSSDGHDGIVREDGVILNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGAIRSALDAEGFNDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALLETSADEAEGADILLVKPGLPYLDIIRLLRDNSALPIAAYQVSGEYSMIKAGGALNMIDEEKVMMESLMCLRRAGADIILTYFARQAATVLCGMR >cds.KYUSt_chr2.9696 pep primary_assembly:MPB_Lper_Kyuss_1697:2:61323817:61324974:-1 gene:KYUSg_chr2.9696 transcript:KYUSt_chr2.9696 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKRLRRGLWSPEEDDKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIHLHSMLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKKLRERGIDPATHKPLAEASSTTACRAVFSDAELIPTTATTTPVQDPVEQMLDGLKMPMDWPVAPVHSNEVPEYYQVPSLQAFDMGALQQHCGAFPSASSSSTVTGLSPTAADAGANLPWLELGPTDTVSGHIADHYAGALDELRWSDYFDGAFQAAAPTSQGALQGPCVYDGVKVDDDAAQFDVHGLSNWC >cds.KYUSt_chr5.2958 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19364699:19365502:1 gene:KYUSg_chr5.2958 transcript:KYUSt_chr5.2958 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCAPTASARTSSRPTGNVEMPPRCTPTALVRTSSPCLTGDEDGATLHTGSPIKVVVVQLVGAVEMAPRCPPAAPARMLSLRRGGWRQAVRRQPHQGWCRPRHGGWRRAVRRQPNVVVLAMADGAGLFAGSLIKVGVVLAMADYAGLFAGSLIKVGVVLAMADGAGLFAGSPTSSSVAWCRVGDGARMCTPPALTSSSSPWRMAPGCTPAAPTWPSSAVSSDVTVQPYACAWREREIEGGEIFNRDSHRLETSPSYPSLLPSPDR >cds.KYUSt_chr2.31853 pep primary_assembly:MPB_Lper_Kyuss_1697:2:196530267:196530602:1 gene:KYUSg_chr2.31853 transcript:KYUSt_chr2.31853 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCCHEAAAVRLHWVPPAAVCCLGEDNSVMLPRGDDNAMSSRGGNGAMPLHEDDGAMPSSQGEPVRCRQRWCDVIVIMKSWCEINECCTVKLRKMAVSDAATATSREVDP >cds.KYUSt_chr4.34072 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208960052:208968042:1 gene:KYUSg_chr4.34072 transcript:KYUSt_chr4.34072 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIYCLVFSGVCTKVMTPKWSECPSNSYREESLHENENKDGNVYKRRKMDKDSNALVADEEVKEMATKSCTTAEDHSSLLRPANPSDPTFSIVTAGKIDPVLEIEEPAGVSLEPNSGVNKTCSVSSMLPSSVMLDKNAAAECSSSYMSPIELITEITSARDLCIAILRKDGLLTESRTRITLEESADCNANCLLACNTCGSLDDPLKMLICDSCEAAFHLSCCIPCIKEVPVGEWYCPPCFRKKPKSLYGKLSEGKVKSSGNMEQRPHGMSHIEYMFKDAEPYVSGVRIDRDLQAEVPEWSGPIFSDGYFEAPSEIYPAEVKKLNELDTDEVLKQLKFVNMVKSRLADRNHKLA >cds.KYUSt_chr4.50034 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309925697:309929558:1 gene:KYUSg_chr4.50034 transcript:KYUSt_chr4.50034 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVQMSEPQPAAAPAAAAAAAPPPSTLHHLKEIASVIEAGSLSKEVRRISRAVRLTVALRRRLAAGDVSAFLAFALPASGEAYARLTCLLPKEDETEMDVDATAPATQISIKQGLPEIEIYCCLLVLIFLIDQKKYDEAKSCATATIARLKTLNRRTVDVLASRLYFYYSYVHEITNSLAEIRGNLLGLHRMATLHHDELGQETLLNLLLRNYLHYNLFDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPMAARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKEALIPYFELTNAVRIGDLELFRAVADKFASTFSADRTHNLIVRLRHNVIRTGLRNISLSYSRISLADIAKKLRLVSADPVADAESIVSKAIRDGAIDATIDHSNGWMVSKETGDVYSTNEPQAAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >cds.KYUSt_chr3.42564 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269096148:269096825:-1 gene:KYUSg_chr3.42564 transcript:KYUSt_chr3.42564 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGAWPRRSSSCSRWLVVVWLLSAALRWEEPDAEGGGASSPPTIKLVGFSFDLDRSGLDLLLHRHHGGGNEDEIFVGVVLGRSTEGHPGAALPRSISDWHGRPHLFFYYCDDLSSGWIHGDLQYPIQPASWVARLLLRLLQASPDLFLAPSYNLRREALFTLPFVGKDAAGDGGNCIAGEVGMGMRTRWRFEFSSRVLCANRKDMVVISFSFWSFLQYCKNFVY >cds.KYUSt_chr1.40199 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246270734:246271036:1 gene:KYUSg_chr1.40199 transcript:KYUSt_chr1.40199 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATAVLHINMDRSPYLNLRLNDDVTRHNLECYLHGLAGDQGDAKDFEMVVDRDVALVNKSADALKDDYPVPANWWAINHKHKVKGVVGRWTFDNINDL >cds.KYUSt_chr4.23268 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146572366:146573631:1 gene:KYUSg_chr4.23268 transcript:KYUSt_chr4.23268 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYKLTRNRWKSPSLNQNPLAIISLTRLYLGLWKFPDTADLPRTSLFPNLRQLGLCTVLMETKDLDFILDRSPVLQTLCVEGDFFQLRLRLVSQSLRCVQIIGSFQEIFVVDAPCLERLIIHSEGNKGCTPDGACSKVKIGRAPKLHLLGYLELDPGNHVLKVGNTIIKAGTKVSPRTMVPSVRILAFEVRFGVRNDAKMVPSILRCFPNVETLHMKSRETHQSAGKLNMKFWHESGTIECIRSRVKRLVFHGFRGGRSELAFLKFFFESALVLKEVLIVLAPGFTSIEEVHSRLASLGSTRRASEASSLLVTGYSDPQGDYIQSFKRGSDFSIRDPFEVIIDPNAFDHA >cds.KYUSt_chr1.27147 pep primary_assembly:MPB_Lper_Kyuss_1697:1:163757778:163761966:-1 gene:KYUSg_chr1.27147 transcript:KYUSt_chr1.27147 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGILKNSGSGKPPTAPTSGPQVVFGRRTESGRFISYSRDDLDSEISSVDFQDYHVHLPMTPDNQPMEDGKADEQYVSSSLFTGGFNSVTRAHVMDKQGPETEMGRRGPKGSTCMVEGCDSKIMRNGRGEDILPCECDFKICVDCFTDAVKGNGGVCPGCKELYKYTDWEEVLSNSNNELTRALSLPQGPGGKMERRLSLVKQGTNQSGEFDHNRWLFETKGTYGYGNAIWPDDNVDDDAGNGMQGHPKELMAKPWRPLTRKLQIPAGVISPYRLLVLIRLVALAFFLMWRIKHVNNDAIWLWGMSIVCELWFAFSWVLDQLPKLCPINRATDLSVLKEKFETPTPNNPTGKSDLPGIDIFVSTADPEKEPVLVTANTILSILAVDYPVDKLACYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPDSYFNLKRDPFKNKVKADFVKDRRRVKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKIKAGGDEQFQPVKIPKATWMADSTHWPGTWLHSSQDHARGDHAGIIQVMLKPPRDMPMYGNIEKSSLDFSAVDTRLPMLVYMSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKAFREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDINMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHSPGFCGCCLPRRRKTSASNANPEETMALRMGDFDGDSMNLATFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPRELLDASIVAEAISVVSCWYEEKTEWGIRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTQRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSKMKVLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLIITVTLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVMQGLLKVVAGIEISFTLTSKTVSDDVDDEFADLYEVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWAGLVSITISLLWIAINPPSAAANSQLGGGSFTFP >cds.KYUSt_chr6.3542 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20419201:20425057:-1 gene:KYUSg_chr6.3542 transcript:KYUSt_chr6.3542 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPRRSPPSFALHVVWSEPQDPPEALHRSVLNRSRSLGDGGAAEVRFVVYKRDSLNNRFRPIQELGTDAVFSVPRCGVAPRGPLLPLQLAAVDAQPGRGLAGEGPIWARLGSIWAWRAAACAPLPGTPGGRPVLLLLASVPLRVGPAGGFAGWLRPSLLFAARRPSCFSPRPAMAWLVVDAIMVDSDDDGVLRLCRAVIGAYSGHSEGCAVILEAVASHDTWIWHSFFGMAGSHNNINVLQRSPVFDRLAYGQSPDVDFEINGHHYTKGYYLADGIYPPWATLVKTIRKPNSEQEARNHTELYNEPLHPYRFDEASEAAMYSDSVEDFATVHCFELAQLTAAPFNINTYPDCDLESSGSVFQLASV >cds.KYUSt_chr3.4263 pep primary_assembly:MPB_Lper_Kyuss_1697:3:24223314:24223766:-1 gene:KYUSg_chr3.4263 transcript:KYUSt_chr3.4263 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLLADLPSGRTEPLQHHPTPWTGKAPSLAVASLNTYRVDNSHTETLQHQLPPRAGKAPLLAEASLNTRRVGNGPAAGRPGPTSCPAAPELKRKRVEGMLSTNLRADRRHLRLRLDGTSIYPSPTAPQHPASGVSVLTSASSRTESH >cds.KYUSt_chr7.36695 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229322861:229337013:1 gene:KYUSg_chr7.36695 transcript:KYUSt_chr7.36695 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGRESLVRLIGRRRRSPLPASLAAALLSSPAPSHQADDAVASEAADAAPSPGGGAGAGAERVACPVCGESIRGSDYNVNAHLDICLTRGAKRKLTQSSLLDFRFSKKPTVDPTLASLNNDDEATNKGVTDGGISSDTAFLSRNSSDPGSPEDSATPSLTACLHGSPDISKMHDTCIPSNVVSPNTENAENDGAVEKDSPHMLSAGTASASIDACPDTDSSTKVVVATVIVGRRFRENIELQEGVGITVLRDPQNAKDPDAIKVLYAGSECEQMLGYLPRELAKVLAPLLDKHFVECEGVVAGLPEQKLDNVPIHLTCEKCKDDNEGYEDLRYRQSLWENFLGVVRNGNLQRPSSARYQENFNTMLTDVMANHTHLFSDKETSFLGSFNSLSNDGQRLFVRIYSRKGPWFRVRSISYREISDVEHAAMELKLAGYIEMLSCTDVPSEYDIEEILDVLSVPEMKEMLKELPKDNTNCTRRHEMLSTLLSVYNNGTCTTLPKRIVKWTGTCIKTSNMADELLWRVQRLFFLNGDQDLSSFLLVDLGLVKFPDYTCSISHRIFQERNDLLEYEEAIRVAQFMDESLDNDNIEMVSRCTDFSENRLCTMLKDKDGSLAESPPSFYSCFSPTWIYSKVLTLGVSVYERERRYADAVRTLKILLSNVSSGRRRGYWTLRLSVDLEHMGRPNESLSTAEGGVVDPWVRAGSKIALQKRVLRLGKPPRRWKVPSYADSLRRNIKEVNIEGRPLNCEIGAKNVFYGYDGERCGVEQLALQYYADGGGGWQGTHSEGGIWMTIFGLLMWDVIFSEVCDVFHSKFQTAPLDFETDDFYKSRKDLIESQLGKIQDGMAEEMLISSWELHQGTSCRGVNWDRHSMADLRAVVACVGGHRLALLLRHLALDYRSWSGGMPDLLLWRFLDERGGAEAKLAEVKGPKDQLSEQQRAWIFVLMDFGFDVEVCKVSLVSKRR >cds.KYUSt_scaffold_2697.210 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1430048:1437306:1 gene:KYUSg_scaffold_2697.210 transcript:KYUSt_scaffold_2697.210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MPWEGEAGIGLLLHAASRLGWERRECRADGHLGRSHGAVAKQEEEGGSKGQVMAQKTKEAEITEQDSLLLTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDDESRRLIDWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYTFSFTYPSKGEDVSMNLSRTGSKKNSATFKSNAAEVTPDEMRSSACKMIRTLVSLMRTLDQMPDEPEDYEPPFFKGCVDNEAINIWNKNPLKMEVGSVNSKHLVLALKVKSVLDPCEANDGNSEDDNMSLGHESDEDDDSSDTEVRPSEGDRYVVAPNGQSGSVSEEDTQDPAHEEAITAQVTEWICSRDISNVHASDVLSNFPDISMEMVEDILEKLLKDGLLSRASKDSYAVNKITDPRTPHIKKEVLMQDVSPPEGTKSNNALYHALPMDYVTIAKLQGKLDGEANQSTVRRLIDKMVQDGYVKNSGNRRLGKAVIHSEASNRKLLEIKKILEVDFDKELPTDTNPGGAEFERRDHPMTDQEMKDGSTNGCLHSVGSDLTRTRELPEAEQNMSTQKDPSRTPTSIREPATSLESGVLGQRTRKPLAGGDVSQCNQQAKRAKKASMAKEPILQYVKRQKTLVQ >cds.KYUSt_chr3.37646 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236866972:236870749:1 gene:KYUSg_chr3.37646 transcript:KYUSt_chr3.37646 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAFFCLLIPLWVQDATATDLGFTRSDFPHDFVFGAGTSAYQYEGAVAEDGRSPSCWDNFTHAGKMVDKSTGDEDVKLMSETGLEAYRFSISWSRLIPNGSGAVNPKGLEYYNNLIDELVNHGIQVHITLHHLDLPQILEDKYGGWLSPRIVEDFTAYADICFREFGDRVTSWTTVNEPNIGLMASYDVAIFPPARCSDPFGAAKCTLGDSSTEPYVAAHNTLLAHASVFSLYKEKYQPMQKGVVGISFYSYWSYPLTNSTVDLEAAQRCKDFLFGWILDPLVFGDYPEVMKKNVGSRLPSFTKNQSELIKGSLDFIGINHYYSLYVNDRPLETGVRDYNTDMSIYIRGSRTDPPAGQGAPSNIPSDPKGLQLVLEYLKGTYGNLPIYIQENGMGSADGSLDDTDRIAYLSSYMENTLHALRNGVNVRGYFAWAFMDLFELLSGYQSRYGLYSVDFADERRPRRARLSARWYSAFLKEKAGTSALLWNAQ >cds.KYUSt_chr7.13832 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85462624:85463938:1 gene:KYUSg_chr7.13832 transcript:KYUSt_chr7.13832 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHQGGSSFHHHGMLSFQSNPDSAPAPAPAPPAAVFLPPPSAPAPQGAPPYKFVTGSPSDWTEYELAIFKEGLVRYAREPTIMKYIKIAAMLRTRTIRDVAIRCQWAAGKESRRRKPDEFYAGKRTRDLKKKTDEFQELNIA >cds.KYUSt_chr7.29429 pep primary_assembly:MPB_Lper_Kyuss_1697:7:183196001:183200240:1 gene:KYUSg_chr7.29429 transcript:KYUSt_chr7.29429 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCSKLAALLRRSRPFAATAAAASASVTTAAAANGMEEAAAAAGPLRTRVCIIGSGPAAHTAAVYAARAELRPVLFEGWLANDIAAGGQLTTTTDVENFPGFPDGILGIDLMDRCRAQSVRFGTKIFSETVTSVDFSARPFRVSSDDTVVHADSVVVATGAVARRLHFAGSDAFWNRGISACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSRVYIIHRRDAFRASKIMQARALSNPKIQVVWDSEVVQAYGGSDGGPLAGVKVKNLVSGEVSDFQVAGLFFAIGHEPATKFLGGQLELDSEGYVATKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKTD >cds.KYUSt_chr4.44130 pep primary_assembly:MPB_Lper_Kyuss_1697:4:273380317:273383782:1 gene:KYUSg_chr4.44130 transcript:KYUSt_chr4.44130 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGESCKSWAWWLDALQAMRMTYSIPMDAKEARIRADVAEATLRLEAAEALRKGQLMRWIGRRRDPELLRVAAEEASRMDRLHDELTAVSRWAKTKDPKAAAYREQLDDKRTEMYLRTETEERQVKSEGRSDGDMEAREACEYRSKWKSLHSYYRGGSYDDTTAIPAMPYTDDISGANHRTVGLTGTLQIFSFKVTAIAEELRWPLDVYGLIAIRDYVDRRRNIIFARSRDNCQTITSQDPYLILVGPTRAPVLDDMFYSVRFEVVLKVKSATNESEDKDLSFLATKYRIYYETKHSCALSFVVASKLSKLEWTIGYLAKSVEATISIEVIHGAWPDGCRGIFSASTISLDDMKVTLLSLEGGKLPVTADGMIALSRHVVCIEIKGELKIAVATEYANGEQVTTKDAMIFTPRQAGRSSAILMVRSCKMKVLVAWSLVRSD >cds.KYUSt_contig_915.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:43245:50919:-1 gene:KYUSg_contig_915.5 transcript:KYUSt_contig_915.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAFDPLTLQMKEGFDPPASVAATPPETESTSSSCNIMGDVLAERDAVSSQRQCTCPADIPAERDSHHPCPWSYGAETPSLSSRQGHGDEIPSLSNQKFNGISRSSQWHHSAEIPSLSSRQIYGDEIPSLSSQKSNGILYSRQWQQYDAHIPSFSSRQGYGEDISVLSPHWRYRDRTPLYSGQRCHDAEAHRLSSYQQGASRGSGNPRGYQQGSSRGRRHLGDNFGHGLVSNQQVRVTTTRHTGTRPRVANRVVSNTNYCRNSKKENPSRNSEDIRDQVCGPRASKLNSASTPSTKKDILSPFVRRDQFNRSDFSVQYEHAKFFMIKSYSEDDIHKGIKYNVWASTPNGNNKLDAAFHDAQALTKEKGTKCPVFLLFSVNTSGQFVGMAEMLGPVDFKKTMDFWQQNKWSGFFPVVWHIIKDIPNRLFKHITLENNDNRPVTFSRDTQEIGLPQGLEVLKIFKAYRHGTSILDDFDFYEEKENTRCAQKGINADSLHEARVSYFGTDDLKSMPHPTPPRLAQHRPDSAARHLAPPRAALHRPGELDPRLEFTWAVARGAHDGIPSWIFTAILCQGPWLTGGMRL >cds.KYUSt_chr4.47753 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295626182:295632116:-1 gene:KYUSg_chr4.47753 transcript:KYUSt_chr4.47753 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMTLDSQQPKAAGATEPAAAATKGPVASQPLSVSIPQDRSITPAVQDFTDPNMFYLPAYYYGGYDGTMSEWDEYPRYVNQDGVEVAPPVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFAAQHYQYPTAYYQPPTPVPSTTQGDLQPSAKTDNKPAAKADAAKTTANGVPNGTAHSNSGTAPLGSSYQNSSLTPDGTYRAPVLGGVPSTGYLDSTYGYDTTGAHYAWYDGSAYTNAQQRTTAASHTPTSAYSSNGSSARYQNKSPTPQQPGVHNGRPATTTGSATPTYQNRMYPSTRSYSQYGSSVKNGLVYGSNGYGSSGYGSNGYGSSGYGSGYGGGYGNGYDSRLYGRWGVTMDNRYNRPRGRGNGYYGFGNESQDGTIELNRGPRSGRFKNQKSFGHTVTIAVKGQTLPPSENKTASDVPDKAQFNLDDFPVQYDDAKFFVIKSYSEDDIHKSIKYGVWASTTNGNKKLDAAYQEAQAKSSSCPIFLFFSVNTSGQFVGVAEMTGPVDFEKTLEYWQQDKWNGSFSVKWHIVKDVPNNILKHIILEHNEGKPVTNSRDTQDINLEQGVQMLKIFKEHVSKTSILEDFTFYENRQKLMQEKRVKQQQIQKQVWDSRAPNPVAGEKQQDIINGKPNLSSPNVVNGEKQPDLANGKPKSSVPNGVNADQKVPAEKVAAPPAVTYAAKVAQKPAPEKPVVANEYLAFVPCLLLTVLLMADVERPRFGAPLDGCEGKPAGWRHWWEKARTPSSGDDSLPPPDSAEEWLGVEEDAEEEGSDEAAVARAKAEADAKANTAKAKAKAQPASTDDNEEDSDASADTAS >cds.KYUSt_chr3.3501 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19849555:19853358:-1 gene:KYUSg_chr3.3501 transcript:KYUSt_chr3.3501 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLRPLALIGWVLLLFPAVLPALTTAGAHGDGKPALRCHPDQAVSLLQLKKSFSFFRYPNPLESWQDDTDCCLWEGVGCSNSSGHVTALELSGRGLYSQGLNPAIFNLTSLQRLDLSMNSFGGYSFPASGFERLSLLTHLNLSNSGFQSQIPMGIGKLVNLISLDLSYYYFAPREDSGDGGYTSADSVSALASWPWLLDSDFQILVIVANLTNLRELYFDGLDISRSLDWCRTLANALPALQVLSLGDCSLNSPICSSLSTLHSLTVINLQDNFYGSSAPFPEFFMDFLNLSVLNLANTNLQGWFPRRTFQSKTLRVLDLSGNQNLSGHVPNFSNASSLEAMMLDGTKFSFANLGSYTNFKYLKTLRLDVNSFPEEPQSSLGILRSVQHLELSQMYYTRYSGPILSSIFSQTSVAKLKSLRSLTLTSCNDIGPALFGPIPTSIGNLMNLRSLFIWDAYNDIGPIPSTIGNLSNLESFEVDGSDFSGPIPREVGLIKKLKLLRIQMCSFSGSIPNSISNLTRLVELDLSSNAFNGYEVTISGKQDVTKRKSISRNAIFGQPNKGELASPMMPGRNCQQSNKLHNVD >cds.KYUSt_chr5.29644 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187957547:187958472:-1 gene:KYUSg_chr5.29644 transcript:KYUSt_chr5.29644 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLALLLAVAAPTALAGGERTPTLVFILAGQSNMGGRGGATVGGRWDGRVPPECASSPRTLRLSPGLQWEEAREPLHAGIDVGNVLGVGPGMSFAHAVLRSHRVPRGTVVGLVPCAQGGTPIASWSRGTDLYDRMVARARAAVAHGKPKLAAILWFQGETDTIRREDALAYAGRMEAMVRDVRQDLAMPNLLFIQVGLATGQGRFVDLVRKAQTAVRAPNLRYVDAKGLTVANDNTHLTTQAEVRLGAMLADAYLATLH >cds.KYUSt_chr6.32004 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202221502:202224373:1 gene:KYUSg_chr6.32004 transcript:KYUSt_chr6.32004 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALDADDARSKERPPSECLELHKPWPTMAPKQHQQRHPALDLLAGARVDLDLAIHSEKEIEAGLYRLLPVCVWSPCTRKDTILRSLLQLRVDADAQGDGDLDIPDQEELARQWKGALFHLDDLKFLFLAVPGLLQGGGLYGSCLDVQ >cds.KYUSt_chr1.5864 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36184444:36186426:1 gene:KYUSg_chr1.5864 transcript:KYUSt_chr1.5864 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGGLRQLLTAAVTAGAAEAHAAVFGHAVNPSGKRAATKLLRKKFIGEQLAQWYPYDIKRDDPIVMAREEKERLTKLEMLKRRGKGPPKKGQGRRAVKRTK >cds.KYUSt_chr2.19181 pep primary_assembly:MPB_Lper_Kyuss_1697:2:120714175:120716107:1 gene:KYUSg_chr2.19181 transcript:KYUSt_chr2.19181 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASVRLSPASTTKLTFKPIHLPPLPNAGHRPLSLSARPLYRQLPFLAASRDDRAASLAPPAATADGARPVETAAAPETARSAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLAAGSAIMLASWATRIAEAPQTDIDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFSVLVSRFFLGEHFPASVYFSLLPIIGGCALAAVTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLVILLPFAFAMEGPKVWAAGWQNAVAEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVASIIIFHTPVQPINALGAAIAILGTFIYSQAKQ >cds.KYUSt_chr2.35382 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218438891:218444431:-1 gene:KYUSg_chr2.35382 transcript:KYUSt_chr2.35382 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFATSTVVSSTPTAKPLAAPLAPHSLSFSRAPLAASRPLRLAGATRSARTSPFVARAGGVDDLPLVGNKAPDFDAEAVFDQEFINVKLSDYLGKKYVILFFYPLDFTFVCPTEITAFSDRHDEFEKINTQVLGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKGSKEYFAAI >cds.KYUSt_chr1.34431 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209641108:209645313:1 gene:KYUSg_chr1.34431 transcript:KYUSt_chr1.34431 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSAAGGPSALESLAVFWHEGMLAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMLSILRRGPIAPFLSWHSGCPAHASELLSFHTPEYIDELVQANAIGAKKLCEGTFLNPGSWGAALLAAGTTLSAARHILDGHGKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVQLALDSGRAKVAVVDVDVHYGNGTAEGFYWTDNVLTISLHMNHGSWGPSHPRSGSADEIGEGRGLGYNLNIPLPNGSGDAGYEYAMNQLVVPAIDKFQPQLLVFVIGQDSSALQVLRSTKFLFVSTFAWFLDRHDRQQEGRQGGGAAAWGAAHNERITNEDFITHAFHTPTWEEPGAPGQRGDRRPRTGDPRRRQQLPYRLPHPHDHELHRVGNDHEDQPACAGLWEAVCGMGEPSDHEDMAALSVLLRAVPPEMVPVLANKNTSQEAWEAVKLMRMVVNRAHIATVQRLRKEFEQITFKDGENLDSFGMRITTLANNMRSLGDDVNEVKIVQKFLREVPKQYSQIACSIETLLDLEGMSVEELIGRLRSAEERCAIGGADDSGSKLLLW >cds.KYUSt_chr5.31495 pep primary_assembly:MPB_Lper_Kyuss_1697:5:199568635:199569659:-1 gene:KYUSg_chr5.31495 transcript:KYUSt_chr5.31495 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDKSSAINHVTGVSRELSEMISRYHHPYQTLAVGKSEYKGIIEKSLPGVPCRFDEAVLEVMWGLKNLMDSLVPEEELKLTQEDRLPMSQGLKMFLDRHGFDVKPEMVKGDVVVTACLLYDAEVIENRYGEQLHWAAVKLKDVSGIDSAGWKMMKIATALKIMFDPLQTTDHDMQMFTPGEVKRLERDARKFEDIINEDESLKIYRELVVLHAVKIDALRNIGLNLRRS >cds.KYUSt_chr1.4222 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25649113:25650235:1 gene:KYUSg_chr1.4222 transcript:KYUSt_chr1.4222 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPWLVFWAAALLAVAGSSTVVRASYPPSSSLKVGFYKHSCPQAEDIVRDAVRRALARNPGFAPGLIRMHFHDCFVRGCDGSVLINSTPGNTAEKDSVANNPSMRGFEVIDDAKAALEAACPRTVSCADVLTFAARDSASLAGGINYKVPAGRRDGRVSLSDEVLNNNVPGPSDDVAELIASFRRKGLSADDMVTLSGAHTIGRSHCSSFTQRIHNFTGKVGTTDPSIDSYYAADLKRQCPISTDNINDPTVVPLDVVTPREFDNQYYKNVLAHKVPLISDQTLLTSKKTAGILAFHAAVERAWKAKFAAAMVKMGTVHVLTGDEGEIREKCFVINHH >cds.KYUSt_chr2.54708 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341335610:341335969:1 gene:KYUSg_chr2.54708 transcript:KYUSt_chr2.54708 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLSRNRRTVNRPYGGVLSGPAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTASK >cds.KYUSt_chr5.23248 pep primary_assembly:MPB_Lper_Kyuss_1697:5:151496191:151502524:1 gene:KYUSg_chr5.23248 transcript:KYUSt_chr5.23248 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGPTVEYSSPSSTEHNSRDIVADEWACAKAGYRRWVWDAQGWGKGKAAVADAVWDVLAILLSCFCRANMDSEEEGMEDYMDYFEEDTSASTADVEEHVELDTNYGSASTADEEEHVEVDTNYGSTSITDMTDIEELYIDNGSTSMDDMVDDVYARFYSCIQCWASKSRGL >cds.KYUSt_chr1.8180 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50400728:50401078:-1 gene:KYUSg_chr1.8180 transcript:KYUSt_chr1.8180 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTRLGLADLRPAEKGPGACRSVAGDGCLRRMPAVNVGKLAQSGKKREKRLERTGRIPGVCGCAQKRRRSTNAARIEETRRQDGNGIGEFTVDWSSPGLIPLGRMERTTMRFFW >cds.KYUSt_chr4.42209 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261050929:261051721:-1 gene:KYUSg_chr4.42209 transcript:KYUSt_chr4.42209 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLKMQIFAKMPTGETMTLDVENSDTIADVKAQIQGKEGIPPDQQRLVFAGKQLEDSRALADYCIEKESTIHLLLRLPGGGPYPWKYEPNNRTLAEKYNSLKLICRKCYARLPLGATNCRKKKCGYSNQLRLKKSCYLKGRRHYS >cds.KYUSt_chr2.28148 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172703422:172707352:-1 gene:KYUSg_chr2.28148 transcript:KYUSt_chr2.28148 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPIFEVSHEKNGAIDVPTIASNEEYDTHEHHCRWWHTSTTVDGGILTRFSSCTTVSSSPWTTARHEPRDTLPGNPRKAAPPLVGSLCTEDEDNQRWRGGHIAACPTISPHRRRSEAPNQVSTSQQCLRATTEGHLAATIILPALPGWDPAAVGGEMGRAVAGAYVYDMPAAVAAPASGDANVVEDLFGFLRVLSDGSIIRSPDDPEFSPTTFPSDHPSVQWRDAVYDKANSLRVRMYKPSAGAEPVGRKLPVLVHFHGGGFCLGSCTWGNVHSFCLRLATEADAVVLSAGYRLAPEHRLPAAFDDAEGFLKWLSDQSVNAAASDGWLTEAADFGRVFVTGDSAGGTMAHHLAVRAGSATPKRGEGDVDPITIKGYVLLMPFFGGVHRSKSEAVEFPLEELPFVNLALLDQFWRLSLPVGASRDHPIANPFGPDSPGLDSVEFPPVLVVSGGTDILRDRNVDYAERLAALGKPVKAIEFGGQPHGFYTLDPWSETTGELIRLIARFIVDSCVLEPKTA >cds.KYUSt_chr6.6050 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36309352:36309891:1 gene:KYUSg_chr6.6050 transcript:KYUSt_chr6.6050 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRPKDHAAIEGARTGAETQAVAAEVPQKKGATCSDDVIATRSKDHAVIEGSMTAAGSSQEVAAEYVSETNRKGSADMRSSQFYLEGNEVVKRQRTNDALITPLGESNSAVHAHVQVTKSTSSELIFKALQDIPDLARADILRAYSTLTRDDRQFESLMALPMDMRKDWLLMEIGNK >cds.KYUSt_chr2.42906 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267084066:267088746:1 gene:KYUSg_chr2.42906 transcript:KYUSt_chr2.42906 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRREQHRIAGHPYAFEVGSFFLRGYYSVLANTPELARQFYTAGSTVVRLDCQTLHSAYGETVEEINDILMCMNVHKVEVRTANFLESWGGSISGLVTGLVQLKGYPSRKRFSQSFVLAPQVEPDGFFVYSDIFKFICDEFDTHYQVADYGFADSVPHMAAPNTLTETASDYVAEELEANGFAAPVDVEERESGIIYENYEMQQQDPLEYEAPINEETHIEYPTPSFPSTADIKQDASLAPPHSPSPPAPEEEPVGEPPKQTYASVLRTKGPAGHQVIHSNPVNKAMVGTAESQPVRQAVQEKSNLDTRRDASVPEDEEEFLSVYVGNLSPATSVFDLEKAFQAFGRIKPDGVAIRSRKEAGVFFGFVEYESMRGIQNALEASPIELNGRQVFVEERRPSSIIFRGGGRRGRGRTSDFSRGQYGGRYETDYSTRSKGNGYQRRGGRQYDDYE >cds.KYUSt_chr5.37197 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235219366:235225423:1 gene:KYUSg_chr5.37197 transcript:KYUSt_chr5.37197 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPNSGPAAAAGGGAATPTTPAASSSNPSPPSNRPSTTLRLLCPSSRAAALRPARDLHVEYPPMGDEAVLFVSGTDAPAAAVRVWERVVGVDESGEEKEKEVAGVVGCRMLASGGQVGCVLGKGGKTVERMRQESGAQIRVFRNREQLPPCALQGDELIHISGSFSAARKALLLVSTCLQDNPRPDTISFPSGRTFGPPGSGCPPGLDPHSQRSYLPPHIPDYHARNFPSNVAAPGPRFFVEQEVMFRMICLNEMVGSIIGKGGATIRALQSETGASVKVIDAVPDTDERVIVISARENSEMMHSPSQDALLRVYSRISEVSMDKSSGVPARLLVPSQHIGCLLGKGGYIIAEMRKVTGASIRIFGNEQIPRCAQRNDELVQVTGSFQSIQDALLQITGRIRDVIIPPKPHPSGGMPPYPPAGNTSHQPAPPHHSGGMPPYPMHPFRPHPPMGPFDMVDHRPPGPPGPPPSHPMEHMGAGPYSYGGEQGGPRPYVEQPSQRTWGPEAPPTDAARSMPDMVPAVNFQKGPVASENQVAAPTSTATEIVVPCKYIGYICGTNGSDLAEIKKTLSNLKEKAIKKTPGFGEETNHKAWIFPRNF >cds.KYUSt_chr7.7428 pep primary_assembly:MPB_Lper_Kyuss_1697:7:44696614:44698234:1 gene:KYUSg_chr7.7428 transcript:KYUSt_chr7.7428 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTESLPEDALASILGRLPACELAASRCVRKAWRAVIDARRLLLPHLLPHSLQGIFINYIDYERPHCLSRPSAQKPLIDGNLDYLPWYSGYFSEIIDHCNGLLLYEAGALYVVNPATRRWDYLPCKDRNQAYLAFDPAISPHYELFFIPDVPGKEEQEGRHGFMEWPPSLWTMNVFSSSSRQWQKRSFLRQGDAVGTVASVREDPWKPASMYHGGPLRRFAVYRDGSLYVHCRGAFVTRLHFSTAKYQVIKTPEDIGKYKNMHYLGKSENGVSLATIYNYRELRVCNLVESDGQLDWVLKHHVDLDPLSSLPWQDLTSFKRTWTLDQEKKDDDYEMMWMTTTEEEGEEEEEEEEEEEDEEKGEGEKNKMLKGENLKWNYEEDDGKGQVQDENEEEERQGKNDLLEIEENLEWNSDDENVMNIEDDYNSCGMNIYFLGFHPYKEVIFLGLSVNIAVAYHLKSSKIQYLGEIRPNNYHGPTSGMFDAFPYTPCMIGELQKHA >cds.KYUSt_chr4.2805 pep primary_assembly:MPB_Lper_Kyuss_1697:4:15944570:15947970:-1 gene:KYUSg_chr4.2805 transcript:KYUSt_chr4.2805 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSSGVGRTRRTVRSTVGRDEEAGGEDGGEDGGEDSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYGYAEDPSGKYGNMQERVVRHFWKYFTRAEGKEIACDVILHELCRVRVSGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQHPPQYVGEDRACFLAMVIWWTSREYARKHEEGKRSVREMGGGSHVPGSKNLALTLRDEAWHQTCLAFSKSRRPGRSRILKRGSLWVNDLAEGQCGAYRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIADGLVDPSTIPSLRQIRRGRTSEQPRVETRPRASDLAIEKLRAEMEERERRHQEEQMQMQQQLRENMQMQQQMLQQMQQRRRDEVEKDKEVEMDKEVEKDKEEAKVDVACGLMGKQENSGKICTIFAVHMHTAKATAHGKGTLCRAHAHGKGCPRCPPDVAVPTFAVHGGREAHGKAKSLPCELERKRTAKKLARQRGQTHGKGIRTATRPQLTATLRRSAKPLPCDFRATHGKDPFAGRIFAEQSLPCTAARQRLCRAGLSLCRAFGLHGKALFCRSVDPKAKQERRLEQKVYIQFGIEHDT >cds.KYUSt_chr7.36440 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227732503:227734070:-1 gene:KYUSg_chr7.36440 transcript:KYUSt_chr7.36440 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPVRMKAVVYALSPFQQQVMPGLWKDITTKIHHKVSENWISATLLLTPVIGTYQYAMYYKEQEKLSHRY >cds.KYUSt_chr4.35689 pep primary_assembly:MPB_Lper_Kyuss_1697:4:219236705:219244242:-1 gene:KYUSg_chr4.35689 transcript:KYUSt_chr4.35689 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWTRSAVKPSEDWLWRLLEDEAEENSSLDMRIDAEKHNPQDLPPREDLRLGLGNSKFDLIVLQDMTINSVAISSILDHCKSLQQLWFACAGSGVTVPQVGELVFYFPQGHLGQDGGISMHLHGVPSQILCRVINVELKSENDFVHACLILLPEQAELNDMAKMAPIQELVVKDLSGMEWHFQHRLVGPRRHLLQTAEYATSKNLVAGDVIVILSSCKSNKGPVAYHVEEKMASYMLGLDDVKLNKDSSVAIPERVSPWEIEPHKRSSNAILTYLDTKKPSVPRGLFREDSRQEATILATHNPSCVDPEACLNNAKYIFQLCQDGSLTHASSSLVENRIFLGAVDALICLSVGSSSRSFSSVDDLRIQTKEALDIAMSELARTFHGLKLWNVNHFKDLDSLPSTMSKLCCPSALDSLCLSSTSSDPVSSSSLSTGASSRNSELMPAEDCSTFHRVMSCLDEKKFDLINQNSISSVRSIASRMIQAGFTERLRETFTDLSQELIRDFHILDSNWIFQCHSRVDEGDSCVGESVRLQYWNLASQFITRVLVEMRRQLSEADLGAFDELKGDYFAKIVEQPVSKLLNVASTLAALNKLLEEIPQGLVQCVQTVFPRIVHALSTYSTLSDVVPTLLELVSPDSRESISREAEAIRKKVEDVVSRMLDILTDAMSASSLPEAEDTDVHPVTKAVAEGTGSLLEHRDSLNLILAHSCCQVYEGVPAIESYNSLISGLIVHLQSVLRRSCKGPLQKESRYIFLLNNMQFILNQFKSSAMEDPIGHGDWAIEHHKAMEHYMKEYIETSWAPVSSHLAAKDGKHLRFWRHSSVQQFTAAFQCVCAKQRHWKVPDPHLRKTLRASISRKLVPAYCEYLGKHPKGSKSIRITREELEDLLSELFEG >cds.KYUSt_chr1.30620 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185386978:185388178:1 gene:KYUSg_chr1.30620 transcript:KYUSt_chr1.30620 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAADLLAALSSPGSGAGLHARISAYLQPFSPYLITASPNNPRPPPKRTTKKPTPDAAAVRPLAKRFLPFLSRALQLLRSNSRSAADDLLEIYGLVLDCLAAISACLAGKPYSVLLQRRHFLRCLESRGHYARAEAEAAATLDALRRALSPTAALGPASHLPEPPAGAAMEEDPEEITALAVDLTVCLANCARKGKVKEAAPYQRLLLLVHQLRPWLRWILTEESSANYLTLLVDALSHCAVFLAASAKSRYFDEKLVHKFCAATLEECEKPEMIQHLPEVAHMICSNVDLSWGGSTHLLLGVLKTVVSHIKVCKSHRNMMHFAKIEKNVKLHES >cds.KYUSt_chr3.38114 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239801169:239801570:-1 gene:KYUSg_chr3.38114 transcript:KYUSt_chr3.38114 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKALVEDTDMPPRMQAAATSAASHALDLFDVTDCRAIAGHIKTARPLSAYLCFSNWAVFAEDLVLIRRSCVAIHVFPPQEFDKRYGVGWQCVVGANFGCFFTHSSGTFIYFSLERLTFLLFRAAAVAAAS >cds.KYUSt_chr6.21856 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137916568:137919225:-1 gene:KYUSg_chr6.21856 transcript:KYUSt_chr6.21856 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRVLPLGDVLLDKSRHGRRLQGSVLNWFSIGLLMYSDHLHWEKHNGCTDRSGAKLQMQKKREDSQADRALLPQTEFEKHASFLGLYSKSLSMVIASVKNQPDCIRMAPDADGMVPSMPPCAPHLMFCRPHAAAPHTVMSPPAINDEEERRLKLSDFEWLADLGEGASGVVTKVCLRGTAAVFALKVAHYPAAPDAAREHDESLRRARGSPHVVRCHAVLRGGRGEPACLLELMDAGSLDSVLRRRRHPGLPEPALAEVAARCLAGLAHLHSRGVAHLDLKPDNLLASARGDAKIGDLSVSRTFSLSPGDRDRSRVSIAVGTTAYMSPERFAPNAQAGPRGACAADVWSLGLTVLELFTGHRAFLPDEDRPSWKMLKEAICQGEPPSVPESAASSPELRGFVAACLKKEPRRRATVPQLLAHPFVARRDVQASRRALREIITEETM >cds.KYUSt_contig_815.136 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:776172:779040:1 gene:KYUSg_contig_815.136 transcript:KYUSt_contig_815.136 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPVPFVNFGKRAKDLLHKDYNFDQKFSLSTISNSGLGVTATGLRIDELFIGDIHTQHKHGKATVDVKVDSDSRVSSTVTVDEIVTGLKTSFSFKVPDQKSGKLDLQYLHDRFTLNSSIGLASAPLLELSGTVGTNELAIGGEVGFDSTSASVTKYNYGISYSKDDISAALLLADKGETLKASYIHLFSPTNAVAAEVTHRLKTKENYFTIGSSHALDSSTLVKTRFSNSGKAGLLCQHEWRPKSFVTLSAEYDPKVVSSPARFGVAIALKP >cds.KYUSt_chr7.34152 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213377120:213378274:-1 gene:KYUSg_chr7.34152 transcript:KYUSt_chr7.34152 gene_biotype:protein_coding transcript_biotype:protein_coding MICLVLQNSQALAMESSSTIPGSSPVDDDHHMSVDESDAVTALKNIARQRARRAGTNVHPTFINYDPSLNSAAFDSVTSRFVSLSEIPLDLLVPPKFKSRRLPRPGRSRPTPVMSSPPRLPSQKDQLDWKVPPCMSPWKNLRGYTIPLDKRQRPSEGGEELRNRISDNFVSLTESLRAAEVKARLMEMRAKLVLRREQELRRLAASARGERAAKAVVPAAPPVSVTEDPEDEKPKAKHGDSDICGAHADEQLEVTESLRAAEVKARLMEMRAKLVLRREQELQRLAASARAERAAKAVVPAAPPVSVTDDPEDEKPKAKHGDSDICGAHADEQLEKVTKKTDEGTPARAAGKRERPVELDEPEESDDPFDLDQFMAKVKKGDEH >cds.KYUSt_chr5.18902 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122272406:122279296:-1 gene:KYUSg_chr5.18902 transcript:KYUSt_chr5.18902 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRRRSPVSPRVLHLAEAAFPEGPPHLVRAQQSRKRAAALLTRSSARGIGVTGRKEVVGNTEEDGTAVVREKKTVAAVVRERKTVAAGRGDMEEQGEESGSKEDPREAAPRPPASFSGVADRPRRVPRIEHQQSIIMQNIRSETVLDDLPESVVTMDILVRLPAEDILRCRAVCTSWRRATSTHAFLLAHHRHQPSLPVIDLIKREGQLFDRQLFVYFDASPGAGSRKLSQKTILWYPNPSPYEDNLVIHGSCDGLLVVSYSEGYFEICNPITCQHAPLSLTHDHDDDDDGLEVTGIRIAGFYQHLASKEYRLLYSICTRNEDTFTSKVDFHVLAVGCSQSRRIEEPPLQQGFLDGLTCSKNAPVLNHGNLHWLLPRYYTSDDFSNIIVFDTKVETFRWMRSPPCKYSWSWMSLLELDSTLAMCSSHDDIAIAINVMHDYKADVWAPTYQINLSAMEASPQLYLPARLCKIATFNERELLIELPGCVLHFGLDGKFLGKMECDKNKDTRMHITSFRFQENIVPLPFFGMQEDYGENFMPEDEGYYEMTDSIW >cds.KYUSt_chr5.32174 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204051149:204058491:1 gene:KYUSg_chr5.32174 transcript:KYUSt_chr5.32174 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGWADEAASAQRRAREQGGRGFLKSLHATSFPQDCVGERILVARMGILLSCPADSYDPMEECILEPSASSGGLRALNSSKLLIQGSLSFKRAQLDDNYLSSLQALKMKNGRRSAGSGKLHIFFMDAFTYSMFGWPRAAGGGVKWCPAARTTGVRWVASALPVRCSHGGCRLGDACPRPSLDPRCRTPTGSSQAPWRWGCTTQAPQTMCEDWMRDLMHSLEGELSRCLDAKVLRWLRVREMLTRIEILIGSWKVLCLDKHSSLLDPRGVPDEAEPLERGTSLNCMDMNELILGCMSTESTSSVPLLKKWRQELACEALLG >cds.KYUSt_chr7.26796 pep primary_assembly:MPB_Lper_Kyuss_1697:7:167342837:167349781:1 gene:KYUSg_chr7.26796 transcript:KYUSt_chr7.26796 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKLKKFGKARKSARGDRDTLESDEDATAGNVASEGDVYEENPEAAAAAAAGGAGLANGGVEDGEEEEEEEEDGDDIVNDVKRRLKEIRKNNFMVKIPEDENAEVEEDGDGEEEGSSSREWMESDVGQGFPLCGFDSLYDKYCQRMLAFDKTITQTLKDSGLFNISKKSPRSASKLASTLRSLSFKKRDELQEDCEHLQQQQTEDDPYQTLETAYVAHVSLSWEAIHCTYMHLSLILAAQPENPTTYSCAAQAFQQFQVLLQRFIENEPFEQGSRVEIYARSRSSLSKLLQVPTFQVAERKDNDEDQMEASIFASDLIKLLEESILTFRLFLKKDKKKSSALMSVNGHTGSSIQQVQSSLDKKEMKVKELFKKKKGNSKTWPGTMEEVQLLFALTDIKVVSRVLRMAKLSKEQLLWCEEKMSKLDLSDNKLRRDGSPILFPC >cds.KYUSt_chr2.15934 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100300887:100304305:-1 gene:KYUSg_chr2.15934 transcript:KYUSt_chr2.15934 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLPCFPSHPSVYSVPLLLVGEDRVLEMSSTVPKSANIFWHDCPVGMTDRQKLLKQKGCVVWITGLSGSGKSTLACTLGRELHTRGKLAYVLDGDNLRHGLNKDLGFAAEDRAENIRRVGEVAKLFADAGLVCIASFISPYRRDRESCRALLSDGSFIEVFLNMSLELCEARDPKGLYKLARAGKIKGFTGIDDPYEAPLNCEIEIKEVDGVCPSPSDMAEQVVTYLEEKGFLHE >cds.KYUSt_chr4.13625 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83917483:83919495:-1 gene:KYUSg_chr4.13625 transcript:KYUSt_chr4.13625 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRRLVAEKMPGKAELAVLLLFAALASGTRPPPPVSEDTLEKVAGSLEMYVDRLPQMPKIHGYSMEHGRPTPVHLAIGMYQKKWKFHRDLPATTVFAFGTSAESATFPGPTIEALQGVPLWVTWENHLPEHHILPWDPTVPVAIPRHGGVPTVVHLHGGIHPPQSDGSAFAWFTSGFRERGPKWTTPTYAYPNVQSPGVLWYHDHALGLTRANLLAGLLGAYVIRNPAVEAPLGLPCGDEFDRVLVLADRSFYADGSLYMNFTGNNPDIHPQWQPEYFGDAITVNGKAWPFLCVARRRYRFRVINTSNARYFNLSLTNGIPFHVVGSDTSYLPRPVTATHILIGVSETFDFVIDFSDQSMPPEVELVNTAPYPYPDGDAPGRLSGKVMKFVVDPAKTRDYSRVPARLLEYVKVAEEEAARKRYIVLYEYDDDATGNPTHLYINGKRLEDPATETPRAGTTEVWEVINLTPDNHPLHLHLATFQAVRVRALVGLDEFQGCMVRLNDAVRCNVSGHAVGDAVAVLEHERTWKNVVKMAPGYVTTIVVKFLMVDTGEAYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIR >cds.KYUSt_chr4.22700 pep primary_assembly:MPB_Lper_Kyuss_1697:4:142787365:142789764:-1 gene:KYUSg_chr4.22700 transcript:KYUSt_chr4.22700 gene_biotype:protein_coding transcript_biotype:protein_coding MQEACRKDVERGFGVLQARWTIVRHPARTWSLKTMHEVMTCCVIMHNMIVENERLDGRNENHWEFQADDSSRSTHTPATAPTSPRRGGGGRRAGAGGGGCGGVDDAALCDDLLQEVFGLLPRAAAPSVSLVSRRWLALLRASTSRLTLRLPPTSTGASPSAALADLLSRYPYLSALAVVSAAAHDADLVLLAVAASPSATRLTALRFSLGSPVSPATLSAVSLTFSGLTSLHLTALSPLSFRWLACLPCLKSFALVNSASPAVDSTVSTTSSSDAYAEAEAVAPLPLERLSLCGIRSGHRGLGWLWQRCGSLRWLQLRACDSIGDGPASTVFPECLDGLLELELRACRSVADRVLLLAADRCHALTSLLVYDGGSREALLHFMHQRGAALHTLDLRLPLDLHNDHLLAIGGHHSQASLAVLRLQSCVLITGDGLRSLALTAIGAGIQEVALVSCDVLEREPGLLTFLSQSMRQLRRLDLSYNETLKDKEIGAMLSSCRNLIDIRFRGCRSLTGESLVSLLRHCGRSVEVLDISRCPGMKGASVEFFAQRATRLNHLIIEESSASEELKAIAQTKGIKLGPLPCEGSF >cds.KYUSt_chr7.14906 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92235867:92236280:1 gene:KYUSg_chr7.14906 transcript:KYUSt_chr7.14906 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRFAGKKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHLMPTRYTLDVDLKDVASGGPDALATKDKKVESAKAAKARLEERFKTGKNRWFFTKLRF >cds.KYUSt_contig_319.1418 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:9499937:9512574:-1 gene:KYUSg_contig_319.1418 transcript:KYUSt_contig_319.1418 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPPAGASCRRRAIEGLATALLLYALLVFLLESPLVSNPTPDAATAAGSRPPLHLHRAEDRAAPARPDKQPHPASASASLSRMVSGLHLRLLNSSRSGPLRRPIADAVAAGARVFSQLQTLHPPAAAAPPSRDVCAQSIVLTADEFRERGRVAELPCGLTLGSHITVAATPRAAHADGDPKIALLRDGDQPIMVSQFMMELQGLNTVDGEDPPRILHFNPRLRGDWSGRPVIEHNTCYRMQWGTPLRCEGRKSHTDEETVDGLCKCERWIRDDQEQPEETKAVWWLNRLIGHKKEVNIDWPFPFVEGKLFVLTLSAGMEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSVFAGSLPTTHPSFAPQDYLEMSTLWQAPPLPDHPVDIFIGILSSANHFAERMGVRKTWMSAVSKSPNVVARFFVALHGRSEVNFQLKKEAEFFGDIVFVPFLDNYDLVVLKTLAILEYGLHVVSAKYVMKCDDDTFVRLDSVITEIVNVPSGTSLYMGNINFHHRPLRQGKWAVTYEEWPEEEYPVYANGPGYVISSDIAAFVLSAFRNKTLRITISKLPVIPLWTIGVGKSCLLLRFSDDTFTTSFITTIGIDFKVRTVELDGRRVKLQIWDTAGQERFRTITTGSALSSSDYTVVAHLEDEAPVQAHAQKGQDMLIRLGLRILPGFMLNSCHTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKVDMDAKRVVSTAQGQKLADEYGIKFFETSAKTNQNVEEVFFTIARDIKQRLTETVAAATEAPTIQINRQDPDQAGGAASRWSSSCCNT >cds.KYUSt_chr7.4443 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26869911:26872939:1 gene:KYUSg_chr7.4443 transcript:KYUSt_chr7.4443 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLEEDGAGGFRALHASDELLTRARKFWAAAAVFTTLDFYAVATQLGFGVLVVDNRMGLGVWLRVAAGLAMAAALWIAVVAGLVAPVVVYFVCKSSGSMTKSPTDVGRKGRATRNRKGWYPREKACKFPDRILTNRSRLSTPVDTLLRQDGPVTLSHQAHLPQLRSIRLLAGILNMEGTRRRKVDTLRRGIPNSLDTHKLATPSMVHLRKLQNKVCKSGGSGFGSILAGGAVVAGALLGAALSEGKFKAGKYDKKKKSGKFKW >cds.KYUSt_chr7.40599 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252027051:252027683:-1 gene:KYUSg_chr7.40599 transcript:KYUSt_chr7.40599 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAALPESTGAEQSQGVVKLARRNGGEERRREHMFDKVVTPSDVGKLNRLVVPKHFAERHFLPRLLGDGAAARLAGAVLRFEDGRGGGKAWAFRFSYWSSSQSYVMTKGWSAFVRDRRLAAGDTVSFYHAGARLFIDCRRRGARVALAPATPVLPAPCRVLVTSTPCSTSDDYVGAPAPRGRCFRLFGVDLVLAGAELPLSTDLQLAL >cds.KYUSt_chr1.4747 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29200129:29203352:-1 gene:KYUSg_chr1.4747 transcript:KYUSt_chr1.4747 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPCSPPHPSLRHLVVHHGVPEPSPGAAIEVPDVRTALRPKEDDPRPTSSREMTKNPCRRLRRLAFARPRPLATKKEYHEGRIGGLAKGGSSLRDAEGSAWRSGLCSSGVHVGTAAGKVQSLTSQGENPSDSHPHVLQGARPPPRGRAPVLAAPRDSLATSLARAVPIGSGNTGRGRWKPDDRSGDLLEDHRPLALLLRPSSKAMSCPDRRGATPDLQSRKLLR >cds.KYUSt_chr3.20169 pep primary_assembly:MPB_Lper_Kyuss_1697:3:124466967:124467347:-1 gene:KYUSg_chr3.20169 transcript:KYUSt_chr3.20169 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGKDREAVDCGRSRRVVRYECAIIPSSPPSYAPARKVEVFGVGGEQQVGHRRRGLRGPGHLENARPGHDLHLAAAGVEVVARPGHELHLAAGVEVGARPGSELHLAASGVEGVARPGREQLLTS >cds.KYUSt_chr1.42007 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257489355:257489924:-1 gene:KYUSg_chr1.42007 transcript:KYUSt_chr1.42007 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYHQELHWLAAASGRPVSLHQRRGRPSGEPVPPHPLVSLSLHLRAAASGRPSWPAPLSLPRPQPPAASREAPRGPRPPPLPRGLLPPDLGQARLLLRVRAAQPAPPLLPRPQPSAASLPPGDGDDKAALLPESTAPTTRGGGGVAGLQRLEDLELEAGGSGSGRRRPPRHTQGSGRGGDEQRNGIGG >cds.KYUSt_chr5.21147 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137743253:137744435:1 gene:KYUSg_chr5.21147 transcript:KYUSt_chr5.21147 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRFRYGSGGFRSLNQATAYESPAHSSTGTRSEITFPSHCLGAQHGFTFYFTTHWGFFSPFPHDEFSEGPAGLGGDDESPPPSPKEFVDSSEDSEQKKAVGRGRGRGGLDMPRILLKEGGALGNVDTGGAWLSLAWYLYGTARGSRGSQRSLRYLKRYRKRYYRCESTWLKLWGISNPERYYRLPKR >cds.KYUSt_chr3.46203 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290788472:290789218:1 gene:KYUSg_chr3.46203 transcript:KYUSt_chr3.46203 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKASVNVFALLDGDDPGDKRLADFDQKQKDQPKKKPLSAAACDYKLKLMKPPSPSPRAAGSKAPMKSPTPAAAAGLRPAAAASRKNPTPVAAAGLRSTAPASMARPMNKQQTTTPAPPPPPARNTNLTRVLFTYPSARERIFKQRQERQAEFERRQQAQAAENGGGASGDDDKSAKNVAADAKTGKTNEVRVQGQYKDRAKQQPGAAVKKALAPLVSEEVAPAPVVLQAPPPPSIDDVAQFPSLK >cds.KYUSt_chr5.27822 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176119157:176119510:-1 gene:KYUSg_chr5.27822 transcript:KYUSt_chr5.27822 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDQTKVLLRSADLAKFAVPASLVQRAGRVAAAVGAGERVVELPRGVSGKGLATAVEYYKARAEAEASGADVGEFDDGFVGGLTHDAAIDLIHAAHHLGDDGLFNLFLGYRANQF >cds.KYUSt_chr1.31671 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192100483:192103583:1 gene:KYUSg_chr1.31671 transcript:KYUSt_chr1.31671 gene_biotype:protein_coding transcript_biotype:protein_coding MATETASAGPGAAYEEERRKRILDNLKHLESLGISKMAKSLVQATRQQGKTARASPKPRKKFEAATEVRRSSRAKTTVSYKEDLPEVDNFVRRRRVSKGPDNGRGYTGRISSYQQQKRAFKRAEKFQDSLDAGNLSFVKPMVYIFKAIEDANEAKPGDKTADVDTMDSDSAQEEPDQTDSPASEPPSSPQPLVGAKRRKLRGR >cds.KYUSt_chr6.27054 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171540246:171542538:-1 gene:KYUSg_chr6.27054 transcript:KYUSt_chr6.27054 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAAANPQPPRRENQSAAPTTDPATSSVSAMPAAVTTCSASHRAGDSDFALDLDLDDVARAADAACIGALACGRASFSYHRLPEPRLRLVIRKLDDSYFDVQIARSAAVWELKAAIEGFFIGLYDDMANAITWQHVWSHFCLCFKDEKLTDDKATLRVFGIKDGDELHFAQHLSVDYSPCKSSKSQRAASHGRSRTSVDDIGVRPRSLMDDLVEEDDDEQKLTATRHSTSVLDDGFCVYEQQEECMVEDHKKGSFFRGLFSYSRLRANRRTHSENESSCDKKGSRSSLGKWLSSKKPKAQRK >cds.KYUSt_chr1.6353 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39174997:39176605:1 gene:KYUSg_chr1.6353 transcript:KYUSt_chr1.6353 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEEAPPLMYQILMSFQLSGFAISSQTVALKVSIHCEGCKKKVKKVLQSIEGVYKTDVDIQQHKVVVVGNVSVDALVKKLVKTGKHAEPWPEPAPPPSADNCPGSAGGNSGKKKKKRKNKNKPAAPVPAQGNATVEGSGGGGSIPLENQDNGGTCDEASGDEQPQKPEGGSGGGPHVVAPFAMTPHGIQPMAPTANANAAGGGGKKKKKGKGGGNGSANANADGAGATAEMIPHDATAGNAGQHAAAAVDAGAYPPATTMSYPGYYAGGGQMPPPYAMSYSTAHPLRSSAYYHPMVGAAYTGGAEYFHSTAPVSAAPRSYYMFSEENANACSVM >cds.KYUSt_chr1.3020 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18123697:18130903:1 gene:KYUSg_chr1.3020 transcript:KYUSt_chr1.3020 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDTATYPVFEALRWREAVVGEEPSKKTCDASGSTSSPSSGCHDWDYPLDSLLHQIISLISSFHDLLAFRGTCRSWRAAASSFPSMYTFTFPPLHLEPDVSHGIFNYKPKWKLVDPAKRSLSLCFSAARINPCRMRYLGCSYGYLIFSDREHCHLLDVYTGAKVKPPKLQSDGSSLIYYGFLVAPLTSPNSRLTLLSRTSIFQWQVGANSWTEHPLAGEPFHQIVFFKGQMFAMDFVQRLHTISVVPQLSIQEVAVVWEEGMLVGLHSKPWLVVCGDMLLLVDLSVSTDLLFGFPGTLRIFRLDFLDQPAKWVKMEKLDNWALFLSNDRRNPTFSCMNPERWGGKSNYIYVPTASQDSDEPWTAIEVGQPVPNSTHRLSFSSAATAHSSPLNSLWVLPSLVYGVCHCWQLLACHIGGQTYDAMTGPHCCRNALSDAATVVAAGTAHSRGCREAVSKWRKPTSRAAGMASLTGIGLADRSATSGTAAVEAMCSTPASHCLGRRRPDVRSSQPEVEDELSGTDAGEHPIEVVEVGDRNGKDPSCRTPGRHRGRPIRAASPPRGLLLLPPKAVWEWWMLEMTAGTAGAEMAGWQRPSPGGTMAGGGEGLGWMMLDETLDLLIWVSHVPPP >cds.KYUSt_chr6.22622 pep primary_assembly:MPB_Lper_Kyuss_1697:6:142940659:142944562:-1 gene:KYUSg_chr6.22622 transcript:KYUSt_chr6.22622 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPGESGEAEAEVPKAVPWLGFWQASRRRLPPDDPFFSAGDMERELLAKHVALDLSDDDRYQLERMDVATVSTVCCPIAGCGVHLDCLEDFEDHYSTRHTASCSVCSRVYPTSRLLSIHISEAHDSFFQAKVARGFLMYECLVEGCGVKLKNYKSRQQHLVDKHQFPKSFEFFKRAQPSQRHRQKYHRRQTVQKGEEARDSLMDVDGNSPRQMKWKHRPKQHNHKEPKDHELQKEAENKMEVEQKIDELTSAVSKLSTADSTPSSITLLESPIVSPSRLAKAPRRDQVEVSLSSDRPRRRSWASVVSAPIGSLAPKDMQCALEKQVVSAPIGSLAPKDMQCALEKQAELFQEAARPLHEAVVSLHGWMLAIGGFLERAEAALGRLSGMPADPVALPDVGKVGASGVGLHGCFSPRGRASSTPVLHIMPELLELCSGVLTPPSVEEVRPGSHGFSDVASPLCQAPGFEKSGVDDAAVSPSPESGMQVVPIGDGVAKSGLLPTVPGAVVAREVCDFLATLAVAFPGSAVG >cds.KYUSt_chr1.23712 pep primary_assembly:MPB_Lper_Kyuss_1697:1:141262578:141263858:1 gene:KYUSg_chr1.23712 transcript:KYUSt_chr1.23712 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATQEYMEKAQLRQSYRNVWHTDLTSATQADLPYCCLSLWCGPCVSYMLRRRALYNDMSRYVCCAGYMPCSGRCGESRCPEVCLATEVFCCFGTSVGSTRFLLQDEFNIQTTQCDNCIISFMFILQQLACICSIVACIVGNQQLSEASRAISCLSDMVYWTVCSCMQTQHKVEMDKRDGKLGGPMAFPAMQQMSRMYQ >cds.KYUSt_chr3.36563 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229903615:229906758:1 gene:KYUSg_chr3.36563 transcript:KYUSt_chr3.36563 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASTSLFAPGALTAAKLAVSYPPGASRRRVGARRGRLSVVAVQTGPQKPSPSPSPSPAAADEAEALQNLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKAEPAWMLDFRLAAYRRFLTMAEPTWSDNVYTPVDLQSLCFYSAPKAKPKLNSLDEVDPELLRTFDRLGIPLGEQKRLSNVAVDAVIDSTSIATTHREALMAKGVIFCSISEAVREYPHLIKRYLGTVVPPGDNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADERSTVSYLEGCTAPAYDNNQLHAAVVELVCEEAAEIKYSTVQNWYAGDEEGKGGIYNFVTKRGRCKGRGSKISWTQVETGSAITWKYPSVELVGDDTVGEFYSVALTKDYQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQMNSGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCTSGRVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRAVFEHLPYEFAQEVDALMNLKLEGSVG >cds.KYUSt_chr7.12923 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79780521:79782515:1 gene:KYUSg_chr7.12923 transcript:KYUSt_chr7.12923 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSEEDGLVEEFIDVEDDAGTADVDQAAMTSQTNCTDHSDGSMLTAGDELLVAADELVKNGEPCLGMEFESDAAARAFYSAYALRLGFGIRVARSRTERRKGIEVLVTKRFVCMKEGHHKKKDVESSDKKRRKRLSIRDGCPAMMEVVWRRQEKWVITKLVLEHTHVIVSPDKVREVQLRRLSGKEHADQLQEVRRNVFGDTDACALFTYLMRKQSENSGFFYNIQVDSRNCLRSAVWVDARARMAYKYFGDAVYFDTTHSKNENMLPFAAFTGVNHHGDSVVFGCALVLDKAESSYAWIFDTWLTAMDKRLPFSFTTDEGRTMTEAVGKVFPQCFHRLCRWRVLSRCKTKLSDVYRRFPGLHIELKRCVNECDTMPAFDMLWGSILDRYGLRENTWLGSLFEARHKWVPAYLTSSFFAELSLTRRAETVSRFYRNNFTTRGSLLSFITRFDQHIDRLYTNEAQKDLASFPPEQLLKTNSILEKQAESIYTRAAFEIFQTELIESLHHYAIKVQDGPYEDKYYVERHSDPPTRHTVVYNIAEKKAWCDCCRFAFSAILCRHVLGVFILADIDMIPEPCITKRWTKKAKTGPVCVGRNLEGENQHTNSVTWRFNDLVRDAMKCAEKGTLSAGSFKVAKEVLQKAFREIEKLTNAGPQQVGNI >cds.KYUSt_chr6.27979 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177447172:177448230:1 gene:KYUSg_chr6.27979 transcript:KYUSt_chr6.27979 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPFVNLLAKKWSDGRPAFNLHRIDPARLFSPTRSQEPADPTPIKKAPALARLPPAAVSFDWPCTRYPKGWIHFMALKNDIIAVDHHGDTLLYDGALGAVRVMNPKPNPRCTSISLTVGDSLYTMDVSPGPPPKVKYFDAFTYGPSFGKCLPEDWYWRPLPPPPIDYDHYKYEYDPHQIYDRSPEREPHPCSIGSYTVVRDSQIWISTVGAGTYSFDTATHAWSKVGDWALPFKGHAKYAPEHGLWFGFSESDGQLCVADLAQMSPPVKLNSWEELPLPGGWFPVASHLLPLGSGKFCVVRFFQVIKLWRRPVVLTGVEFHGTAAGPGSLRIIKHKSRRYHFQNYEDVKLV >cds.KYUSt_scaffold_3611.147 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:850721:851419:1 gene:KYUSg_scaffold_3611.147 transcript:KYUSt_scaffold_3611.147 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEQQRAAYRWGLVAKSGYCGHRLTPRADEPVWVDEVSLVGQADDGQCAVDARIEIGTRDLLLATLSAEKPEVVLQTPVLLDEEFCFYVTPHGGYSDSDSGADADTESDDTNDGVAVYFGGIMCPLEPLDMEEDEEAGSSEYSDDDEEEHEKGSQDTDTEKDEEAVSSGDDDDDDDEEKHEKKSEATDTEDDGDAVSSGNKHIASSYEEEKRSDGEVGRRSGIFAFLSVC >cds.KYUSt_chr1.33570 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203995680:203998814:-1 gene:KYUSg_chr1.33570 transcript:KYUSt_chr1.33570 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFRCCGVGAAMFFEVFSWAAYDDLQGAVQHSSLQQHQQQHREQQGKGKEQHAIAMAEEKKKHKHKHKEKEKEKKDKAATGESASFKPCVDVKGIRFGGQFIVKSFTVRRASPLELLRLLDIPPSYLSELQSLPFPSTTAYMPTSFTILAHQAWHTLTLGLGTKKSKVVLFVFESEAMKCAVDQLWPAMIPLGDVNKKLIRGLSGSEMARFKFRKGCLTIYVYAVRRLGAAGFVRADDLRRILQAVVELKDFLDHTAMLAMPSQRSITLQSRTAAAQ >cds.KYUSt_chr7.2474 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14416984:14419812:1 gene:KYUSg_chr7.2474 transcript:KYUSt_chr7.2474 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLRPHISENEEILSRNRVIWEYLHIYTGRTALVAGAMTLFTGSQHLWHQYSSKTIKGLTCGIVVWVVSGLLVVAYLEYMKVKGRRDGTDGLSHIFVLGNTKEDDSVDSLQFDGFGFEISYMNISYDARYKLDIRTSRKEQCCYTVITTGMGGYAEGQAIRRRPKVGAVGVWPGQASLLFQHSAKRGRRRSDLYAEGSPRHIFGPRRRQGYADGHPRRRLFFKFV >cds.KYUSt_chr4.1909 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10405591:10414244:1 gene:KYUSg_chr4.1909 transcript:KYUSt_chr4.1909 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFNVLVGSYWFDKPWFLTEGKLAAVHIIPSSWGSQHHKEATTPTSTTPINPAAAAATGMDGRVEENGHGCHGGGDVSEWKKVAELRAVAEAQDPAAKEEDDFALRRFLRARDHNISKATAMLLQYLSWKRSTKPHGFISDDEVRGEIAKNRDCMKGFDRLGRPLVYLYAARHFPVRRDFEELKLYVTYVLDKICTRLPVGQEKFAAVIDLGGWGYSNCDIRGYLMGLEIMQSYYPERLGRVFLIHVPYMFMAAWKVVYPFIDEKTRKKFVFVANRDLDATLKDAIDESELLEEYGGKLKLQC >cds.KYUSt_chr1.1024 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5398481:5403015:1 gene:KYUSg_chr1.1024 transcript:KYUSt_chr1.1024 gene_biotype:protein_coding transcript_biotype:protein_coding MFNMGEDLKELLKRVRQQMFKREAGNEEGNKENDPLAGIDMMDADQLGSKLKALLNNKRIIVTTRIETVAKECSNPSADEYYIYRMQPLNPEYSRKLSPSRAFGTMDAPPHEQIEVTMDNILKKCAGLPLVIVSIASLLSSYKYPERKDMLETICKSIGSQMQSNPTLEGMGQILTLSYNHLPYHLKACMMYLSLFPEDYEINKNRLLYRWVAEGLVEDKRGFTLLEVAKSYFDELVSRSMIIPASSWGYAGRIEVCRVHDMMLEVMVSKSLEANFVSLVGGQYEGMFYDRVRRLSIQGIEHERRHSMERMDMQHVRSLSMFELNGQHLFDRLREFTLLRVLDLEGCQGLESKHVVVICQMYLLKFLSLKGTDISVMPNKVGELEHLQVLDVRETNLNELPKTVITLEKVERMDLSHGNKWMIWWTLPKGISKMKALREVERAEIDNVDVAREFGELGQLRRIFLFVNDNLHVDKLKIGDPKILEEVALSLSKLYSLRSLGIGRLGTCVGKLDFLDRLSSPPRLLRYLFIDGEITKLPHWVSTLSHLTFFGGIWLNLSGDHIFGILCKLPSLQSIKLWRACISDHTLVLRSTHRLPVLRTLSLCSTYCSSPQVIQFERGCMDKLEELSVTFYEGSGRRIVGIGHLKNLKEVQLTGKRHNDSLILAQEKLRSESNRRPKANQFKVIVRYE >cds.KYUSt_chr2.45079 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280929262:280931588:-1 gene:KYUSg_chr2.45079 transcript:KYUSt_chr2.45079 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTIVNAILFTVLAAIVLLSLCYLVRCYRRCRRRRRGAVLPSHGARADRFQAAGSSDYGTGAGEELLRFPGGEGLTVASILEAPGEVVAKSAHSTLYRAGLSAGEAVALLRFLRPVCSASAEEAAAAAGLLGAVQHPNLVPIRALYVGPRGEKLLVHPFYAAGSLRRFLQEGINDSQRWEIICKLSIGIVKGLDHLHTRSQKPIIHGNLKTNNIMLDADFQPRISDYGLYLLLNPAAAQDMLETSAVQGYKAPELIKMREVTRESDIYSLGVILLEMLAQKEAGSDSPPNARDIHLPASFKDLVLERKISDAFGSDLVRQSKNSGWEESLNAFFGLATACCNPSPSLRPDTKRILKRLEEISR >cds.KYUSt_chr5.22085 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144071742:144073491:1 gene:KYUSg_chr5.22085 transcript:KYUSt_chr5.22085 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSTARASAATLVKNTGVAEADVVPGGWSRRGAAASGGGLVLTVADEQEHGLGGEIVPDLNVQPMAEDPLGHSSIESGLYFLYEIYYYEQHYGKLGETSSVRKRKFEEFDDSEDSGHSYISSEVESGDAVSSASTMSSSYEKKVEEKIWAEGISAYMKPDGRYYCKFHPSKQVPRDGMREGLVSHVKEVHPKDLRDKANHAALRKVLEYYGRD >cds.KYUSt_chr5.36250 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229124625:229128433:1 gene:KYUSg_chr5.36250 transcript:KYUSt_chr5.36250 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAVLLRRAPTPGGHEVRYDQAANAPRSKMSKHKVCHRCDKEADLLLLSSDDNGNNVLLLTGQPTVGLSYTLKAVTSAPAAGYVPHMLPQPASHYSDMPLSYLWLRLLVLRPTETWGFWLGIDERCGALLVSPERMPPSSHPAARRPG >cds.KYUSt_chr3.7093 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40927461:40930192:1 gene:KYUSg_chr3.7093 transcript:KYUSt_chr3.7093 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVAAFAPGCGSAGASARKTAPTVGGVKKPHRYRPGTVALREIHKYQKGTELLIRKLPFQRLVREIAQVFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMSKDVHLARRIRGERL >cds.KYUSt_chr1.25507 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153029639:153032134:1 gene:KYUSg_chr1.25507 transcript:KYUSt_chr1.25507 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCIGLFPVVFFLSLALQRLKPETVRRSTTAISFNKPLAVLPDVALEECCCARDVEALVSHLLVSHHGDGEREKGAGALRRGVWLGSAAAFFRSSIVEDDATAWPSCLHSLWPVGGPPQPCDGCPTSWPQADLEALLLRLKVPQGCRPKWNTQGSVILLATNSLLRCGQPEEAEVVACLQGLNL >cds.KYUSt_chr3.45523 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286814361:286814633:-1 gene:KYUSg_chr3.45523 transcript:KYUSt_chr3.45523 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRRQPSRALPLDFNVDDVGDDEPVAAAKGTAPTRAPTGGPSDAAGGSAKAGQEGQGKKLPPATGGRHTSMPEGTVKAPCDGNGTGR >cds.KYUSt_chr4.23283 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146662907:146665250:-1 gene:KYUSg_chr4.23283 transcript:KYUSt_chr4.23283 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRMSNLALQMALNLVRNDDELGPLFASLCSPEFPAATMETLVLNLGGQLLRRWREPGGIDGVFARFVFPTHYTIPDPPVSADARLCALLPHDAVDHLSRLPDALLGDIVSRLPAKDAARTAALSRRWRPVWRSTPLVLLDAHLSPATSAVSRVLAEHPGPFRCVHIRSFYIEEFTGLLTSWLRILAVKGIQELVLLNSRWPHAIDLPSTFLDMVTLTRLYLRLWKFPDTADLPRASLFPNLRELGLCSVLMETKDLDFILDRSPVLQTLCVEEDLFQLRLRLVSQSLRCVHIIGSFEEINGSASHRGVPSHPHPHLVIGETFSPSPFSNRGDFFPIPIPTGFRAGIGFPNKKPNSNDLLVKIGRAPKLHLLGYLELDPGNQVLKVGNTIIKAGTKVSPRTMVPSVRILAFEVRFGVRNDAKMVPSILRCFPNVETLHVKSGETHQSAGKLNMKFWHESGTIECIRSRVKRLVFHGFRGGRSELAFLKFFFESALVLKEVLIVLAPGFTSIEEVHSRLASLGSTRRASEASSVLVTGYSDPQGDYIQSFKRGSDFSIRDPFEVIIDPNAFDHA >cds.KYUSt_chr5.9871 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63133520:63136223:-1 gene:KYUSg_chr5.9871 transcript:KYUSt_chr5.9871 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGVTSPAAPATVFSLSTRRRIHLQPARFSAAASFRARCAAAAADGGAAASEGGAAAAEGDPDAGTDVAGGAATSTRPPYSIISAANVQKAMRGLAITDSDHYGRLGITRLASTDEVKTAYEKRCEQLNSQGLEEEEISKEHDLLKESFTILSTEEERRLYDWSLARNGQPERYVWPFEVDPLELAPDPPEDPEDEFPTILVGYFLLAWFIISVACSVILNRS >cds.KYUSt_chr1.10497 pep primary_assembly:MPB_Lper_Kyuss_1697:1:64243873:64246955:-1 gene:KYUSg_chr1.10497 transcript:KYUSt_chr1.10497 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCSGHRGMEDSSIGGDSTCCGLRLFGVQLQVGSSSSLKKCLSMKCLSPATYYGATAVLSTSPSVSPPSSLVSIELTTEQFSGGYLSDGLIARAHERKKGVPWTEEEHRMFLVGLENLGKGDWRGISRHFVVSRTPTQVASHAQKYFLRQCSLTQKKRRSSLFDAVVGAKKSAIVRTSVLSEVQLKEAVRPPPCLNLLRNASTVMQRLRTGFQLSGKAMRVRSQSSRVRIPITRPFKSFCGTEGSFRVLCGRTLTSENFDCLTACNPPA >cds.KYUSt_chr4.22287 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140005838:140011688:1 gene:KYUSg_chr4.22287 transcript:KYUSt_chr4.22287 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEDAVAVAGTEAPIAAFAVAKGGVVLKHIFLNVPPPEEARRGGDGDADPEEEEDPPVLFGRHPDCHVLLDHPSISRRHLEVRSKRRQRRIAVTDLSSVHGTRVSGQRIPPNTPVELAAGDTLQLGASKREYRLHWLSLSEAFETEDLLPPLVEEDKEELHAHQEARNQLAPTQTEPMDTETHQEMILQVMSEQTEFCAELIPSAPPLPESANSSSLKESLLSVLQEKIVSDDSGTESVAPSTIQAARTLVQSDKQNASGTMSRRAKLKSVKSLRIDTGRRSRTLSHSCQKEEGQYENLVCSQNCKGECAACMVLFKNSDVKEAEEKEKVIAPEKDHMNPHVLDIITMEGNKEVPTVHMESYEAVPENPFTHAITCGNTTNHPDMKYDDGGLSNKEKMSQNKIAMEDSELQGIMFGSIFDNLDTEGRRTEEKEENSLMDKENTTPLVSGNIITERSQLMLKPISSQELMDSISPLNLEHDSFSDSENSMLNIGNKMTANELTSENLETEFILMSDEDFNNDIIPDKENSVLAPGKYDAAISPAKQEDLFPDENMTPASRDLKPIAGNILGSRMDSSVSAEYTSNRSIHQRHCSELSSEYDATSPVRQRDIFPNKENVTPASRGLKSISREVLGSRMDSSLLAEYTPNRSIDQRKCNDLSSKSKRFHTVDDEVFYSDKENLTPISTGDMKARRCLPKNLFQLDADQDQEAFCSDKENSTPASSVVQKTRDMSENRARIESAITKKRVEDRLPFQTLLSNSPLRPTSSFDSTQANAGTADFSIRLEDGLNSFPHLEQHKNRESDRVGEGMKVWTMVADTDCLLDDESMKSIMLLKGIKGTHLIIPRIVIRELDSMKQREGLFKRSTKATSILQWIEDCMANESWWIHVQSSSEMLPVAPTPPATPTETQRSDGEREAAAAGTFNTMLSLFSPRSFTGIFSPRSLTDIVSPRTEDRVLDCALLFNKLRCSQKMVILSNSVTLKIKAMSEGLLCEGAKEFRETLMNPCSGRFMWSASVPRGAAWSRLDETALAENYYNSHHHREARRNVPAARGLKLILLHNSSSLGAHAGDQLRRRE >cds.KYUSt_chr2.28137 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172630032:172631024:-1 gene:KYUSg_chr2.28137 transcript:KYUSt_chr2.28137 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVPTAQPYVVEDCRGVLQLLSDGTVVRSGALPFPVGNGADHEDGRVEWKDAVYDAGRCLGLRMYKPTTNGGEEGKKLPVLVYFHGGGFCIGSFAWPNFHAGCLRLAAEIPAVVLSFDYRLAPEHRLPAAHEDAAAALLWLRDQLASDPWVAGVVDPHRVFVSGESAGGNIAHHLALRFGTAGLDPVRIAGYILLMPAFLSEQPTQSELDTPATAFLTREASDRYTRLFLPAGANKDHPLVNPFASDAPSLDKLDVGRMLVVAAEGDMLRDKNVEYAERLRAARGDEVELLVFAGEEHAFFALKPTSEVTGELIQVIRRFLSTEATN >cds.KYUSt_chr1.567 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3048717:3052856:1 gene:KYUSg_chr1.567 transcript:KYUSt_chr1.567 gene_biotype:protein_coding transcript_biotype:protein_coding MACRARPSTKRSIASRLLPQGKTETQSRLRGRDRIESTSRAKASRLVCCRSDQQPWNINPAGSLRLAGGIAVDSSEPDWARQEVEEKHRRAWATFFEEERQEKARANAKAERERQIQKLRADQARNREVNQKRMDDEAARRFAEEEVRREAREAERKRLRERAAEAQSTEERGDKSGKWPRWTQGK >cds.KYUSt_chr5.19773 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128359264:128369445:1 gene:KYUSg_chr5.19773 transcript:KYUSt_chr5.19773 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSDDDDDLEPQLKAVSDYFFVDDEKHPVCLSLLPIRFADDPDEAPECEANIFLRGTADPGIPVYKQVVAWRLGLEGRQPEVAALSKEGSWISLAKPRNSYEENFRTIFITVRMLHFLRRKPEEPEKSLWSHLRKVFDKFDVRPSKEDFRNHRSLMKQFAEKDPKLAKSEIFQAFVEEKSKKQTSKVDSDDFEMKESFIAADEDVEDMVADGSTESDEDEEDDLFDFTCAICDNGGDLLGCDGPCMRSFHAKIGTGEDSQCDTLGFTEAEVEVAFETPDWDHEIDSDLETPVRNHIKFPGIAKIVRASDFLKKKTNVSTKKKKRTFGETFPDQPSNKPANLPMKGRVQEDQRARRSDVKSSSEQFVEKPEKKKAKLFKQTQPEPESTEPNLARDSSVSSPRPAKEQEKYLTSSHLSTTGNMPQSSFPRVNSEIEKRVIALVEKKVSSLTLQDISRKCFVPSTHVYSGKQTDKIVATGRLEQSVKTRLRVYLSPFIHGSRYSSLGRHFTKVEKLVEIVDRLHWYVEPGDTIVDFCCGANDFCRLMKEKLDHVEKNCHFKNFDLIQPQNSFCFEKRDWMTVQPNELPRGSQLIMGLNPPFGVKAALANKFIDKALSFKPKLIVLIVPKETKRLDQKKTPYDLVWEDGYCLAGKSFYYPGSVSVDDQSIEGWNISAPPLYLWSRPDWTKKHKKVAEEHNHTNMGMVACGTEEGNRSDGILVKKETKSSDVPLRRPAKPEKSKQNGRSGKAKEKTAHDVREVPTGKMKESRERTSSCVKDVTQSNEPLVRKQDRSVEERAKAKCNAEKLARSGEDREANLSDNHPVKKQAEASSQQMRQPGKQNSMDVSKSSDDRSRKRTPDEVDSMPPEKQVEVAYEETRVPSKTIIHQDQRHVLCDDGINARVQESKRAGSDMSMSSPQTSNAQYRSRSDSPFVTTKQPSDYRTTHLDGNTSYHEKDSHIPTYQGSYLMPSDWHNDAPEEKNDPLLYTSADDISRKYSSSIEDLPKQYTASPAGDVYSLQAQGDRRQDDYLRNSRYSLGSSGARYDQPSLTSQSYGLSGTTASRSSVIDRYGSVLLGASGSGASAMDKYAPVYLGPGAPGSSVMDRYAPTLADTNYVTRGVPDVPGYGRDMSGVPPHYPYRGPGSSGRGPPYM >cds.KYUSt_chr4.19529 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122778109:122778375:1 gene:KYUSg_chr4.19529 transcript:KYUSt_chr4.19529 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRQRGRRAMVVVKSCTDANADGAQAWAEFLSELSIIEWEMRRVLLVGLACSSPKPALRLGCAAWFTYSAGRPTSRSCRWQGRPCPS >cds.KYUSt_chr4.30576 pep primary_assembly:MPB_Lper_Kyuss_1697:4:191722517:191723917:1 gene:KYUSg_chr4.30576 transcript:KYUSt_chr4.30576 gene_biotype:protein_coding transcript_biotype:protein_coding MASESTSFCVSSGPGGPKVSAAAKPHGHVVLPGRVHDALIFAAGAVAAVLLLLGTASFLSPTPVPNLVALRSPAVSVSVSVSSHGVAPGRTFYDDPNLSYAVGRRHLTGWDAKRAQWLRLHYPRGLNASGGAAERVVMVSGSQSAPCKGAGGDNLLLRFLKNKLDYCRLHGVELFYNTALLHPEMKAYWAKIPNVRATMLAHPEAEWIWWLDADAVLTDMDFSLPLHRYRDHNLILYGWEREVYDARSWLGLNAGVFLIRNCQWSLDFMDAWARMGPASPEYADWGKLIGEALNIKADNGSDDQAALVYLLLKNRNKWGAKTYLHNDYYFQGYWAEIVDQLDDIAARYLAAERRAPGAAALRRRHSEGEHARYAAARNAAVKRSVPGPAGGGQTGWRRPFVTHFTGCQPCGGKPNEIYSKDTCADGMRRALTFADDQVLRAYGFRHEGPLSDDVRPLPFGYPAARH >cds.KYUSt_chr1.28832 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174441695:174442636:1 gene:KYUSg_chr1.28832 transcript:KYUSt_chr1.28832 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRPRPLTSPTPAQPHSQAKVLDDDNLLREIIVRLAFPTDLVHAALVSKRWLGHASDPAFLRRFRKLHPLRPLGFYIATTARGTTAPRFVQMSSQHPELAAVCRRASFELDSFIWDCSNGSVIIISEQGGNGLTSRVYSPLFPSRSMPVVPELPPLDHVTPANYTFEKLLFREGDRSGLPYLWLLMQSIDHGYTVHVYMLQGGVWSKHTSATTEFPYLPSEPKPLLIDNKIYMAGALSRQSIVHVENTRCRATILRGILVVDLKDSSFFTIQLPEGVEFLDRDRPSLSRNSTPSTSSIVKKLDSESDPPQG >cds.KYUSt_chr2.50027 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312950304:312954449:1 gene:KYUSg_chr2.50027 transcript:KYUSt_chr2.50027 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGSLRSKYLQSFKHPASNRHTDPDYHATAADSPKKPPPSSTAKTDPHAPAPAPAPPAAAMRRGAGGAGTPVDLGSVLGHPTPNLRDLYALGRKLGQGQFGTTYLCTDLATGADYACKSISKRKLITREDVDDVRREIQIMHHLSGHRNVVAIKGAYEDPLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLCKKYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSEPWPVISDSAKDLITRMLNPRPAERLSAHEVLCHPWIRDHGVAPDRPLDTAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDADNSGAITYDELKEGLRKYGSTLKDTEIRDLMEAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACLEHNMPDAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAI >cds.KYUSt_chr4.27239 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171116426:171119777:1 gene:KYUSg_chr4.27239 transcript:KYUSt_chr4.27239 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKLLKHKMVEQIKREIATMKLIKHPNVVRIHEVMGSKTKVYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSCGNLKVSDFGLSALSLQLKEDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMALYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITVQEMLEDEWFKKGYKRAEFDEKYDTTSDDVDAVFNDSEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDSELEFKRETRFTSKSSPKDIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILRVGPSLHMVEVRKAKGDTLEFHKFYKNLSNTLKDVVWKSDDLQIQHS >cds.KYUSt_chr4.13679 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84316547:84317921:-1 gene:KYUSg_chr4.13679 transcript:KYUSt_chr4.13679 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGAWPRRSSSCSRWLVVVWLLSAALRWEEPDAEGGGASSPPTIKLVGFSFDLDRSGLDLLLHRHHGGGNEDEIFVGAVLGRSTEGHPGAALPRSISDWHGRPHLFFYYCDDLSSGLDSWRAGEADLSVFHHGRTAESASGAGLTQRRNARLGGDPCVPPDAGTGTILPLLLATMAG >cds.KYUSt_chr1.637 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3308244:3309059:-1 gene:KYUSg_chr1.637 transcript:KYUSt_chr1.637 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCRFLGPSSLGFVRPAAWSSKNGVDGVEAFSVAVVDGPVCQASLWVCRGGGGDPAVDFVLRLGRRSRARSRVTTELGRSCTGAGLAAAVLPGGAVAELEAPADPVPGSWSKVGGAVWPRSTTSTGSGKYGDPDKHGVFPGRCSPELATASFTASPNRGPQSSLSARMLLQVLAQWKLVFVSGVVFGGGGPASRVLVLYAEIPWGLFVLSCWLGVFCVKYRGVLALLERSGWCVCCTVSTWLE >cds.KYUSt_chr2.15424 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97131214:97134634:1 gene:KYUSg_chr2.15424 transcript:KYUSt_chr2.15424 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRTKLSVPPGRALLRSSSLPCPASASTRASEVRADSPPIQQILDHVLGLLAGRVGGGRARRERSHGTALVLQMFDAGDLPLAPRARRRHLSVLCRPEDAATTAACCLLSSMVLALTLASTAARYLLPSMVPALTPASAAPVPALSLSAPAWDQTMRRPGDQPIRGPSTEMRRDRGYGRLVLWMGWG >cds.KYUSt_chr2.53687 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334898921:334901639:1 gene:KYUSg_chr2.53687 transcript:KYUSt_chr2.53687 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAPLNTDLDVPVSTTDQTSGGTAANPNVPVVCYSNLMMTANGMWQGDGVNPLEFSLPLFIVQVAVIVATTRLLVVLLRPFRQPRVIAEILAGVLLGPSLLGQNQVWASMVFPLRSLLTLETVAHLGLLYFLFLVGLEMDIDVIRKSGKKALFVAVAGMALPFCMGIATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLNTDLGRTAMSAAIVNDMCAWILLALAISISDVNSTAISALWVLLSGVAFVVFCIFAVRPAMWWLVRRIPEGETLSEVQVTLILTGVMLAGACTDAIGIHSVFGAFVYGLVIPSGPLGVTLIEKLEDFVTGLLLPLFFAISGLRTDVTKMRDPVTVGLLVLVFVMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKEVLDNESFAVLVMVSVVMTVLVTPVVLSVRRPSRRLVGYKRRNLQRIRHDSELRMLACVHTTRNVPSVLSLLELSNPSKRSPIFIYALHLVELTGRASNMLAAAAAGASSRTTSSSSLPAATEHIFNAFENYERCTGGVSIQTLAAVSPYQSMHDDVSVLAEDKHVSLIVIPFHKQQTVDGGMEPINPSIKGFNESLLAASPCSVTILVDRGLSAAAARMSAEHRIALLFFGGPDDREALAYAWRMVEHPGVALTIVRFLPPEYRAAARSFSDASYRSGMDPRAQAPMSHSTEGKSELQMDEEYLSEFRVKNHGNPAITYADRMVSNSEETVAAIRGMDNSTQELYIVGHRPGEAGSPMTAALEDWMESPELGPIGDMLVSSDFSMAVSVLVVQQYVVADAPVAAVPLPSGGDPVRQYVGNANQRPPAASTAYRGSPASSTTNSRWSSDTVGF >cds.KYUSt_chr2.26823 pep primary_assembly:MPB_Lper_Kyuss_1697:2:164205396:164206016:1 gene:KYUSg_chr2.26823 transcript:KYUSt_chr2.26823 gene_biotype:protein_coding transcript_biotype:protein_coding MALAADSAVLDRDEKGNPRALRLFAWLVEAESLYCAAAASRPAETDLVRTFRGGATPDVRIVDFLELIQRYLHCEGSIYVLAAAHLTRFMRSCAAREAGIRIEPSTAHRLVSVALLVAGKFASAPYLPNSQKVLPVCSRQSIRPAEFAALERSFLRAIDYRLFVSDEEFLKFCGRLEDAPRRCKKRKAAPVEEPRRVRASPGITAS >cds.KYUSt_chr5.19812 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128577091:128580142:1 gene:KYUSg_chr5.19812 transcript:KYUSt_chr5.19812 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEETKSKKQRNEECIINCLPGDLIERIFLRLPVSTLLSVVGVCKQWLEIIRDPQFVSSHLQDAPNCALLFFPQESISGKPYPADAIVIDESWSQSSYAVPVIGPDDFLCGSCNGLLCLYTKTSTIKIANLATGEYLHLEKPVKNVRGDHYLFYSFGCHPLTKQYKITHFLDDCAEGLPRTHKNKRFSVIQVYTLGDEKWREIRTPEAQSLNCVKTSGAINVDGIMYWLTEDIAASWQHAVMTFDLNDENFARIELPAYVPEDCSYGGPRQYWVREIDGKLCIATAQTCRDRPRDILGKLQIWTLDNKAEQRWSQKYNIQSPDYIPGPNLVHGDKIMLQRRNCNLYSYELLGENLNTNLCKISKLLDFCPRKPGNMQSYICVKSLVRLDVYKKAGIVRRSKQREGWELKKWEAWEQEFSETEKMWSGTYQDEQKGTAYANRNGKALNALLPHILDDDIRQEIGMKINQIFPNFPDQQPRPLRRLNCMASNLDRENLIGRMKNWNSITKATRQATGSIISMMRSAVDAQVGASSSNAVISDQNHSNGDDTKA >cds.KYUSt_chr4.22464 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141255428:141255862:1 gene:KYUSg_chr4.22464 transcript:KYUSt_chr4.22464 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPRGPWKPASQSGEPEDEGLDPAVDHHRHFHVEHGDVETESASSEHWPVLPVEEAVHVEDPAADQSGSESGEASSSSESEDGHRGGGWRRSGRGRRRRRGRGSPLRGLPVRTFVGAAAAVLLALVAVVASWRRRRRQPAPGS >cds.KYUSt_chr7.7860 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47527323:47529818:1 gene:KYUSg_chr7.7860 transcript:KYUSt_chr7.7860 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSISGADQSRVVTANSRDSVTTSRPLSKIIGRDEDCDKIIAMLHEKEEHGHHDANSAPCYSVVGIHGIGGSGKSTLAQLVCAREKKDAHFELVMWVHVSQEFGVDAIYMEMFEAATGTSCPQLKNRDTLQAMLEEKLHGKRFLLVLDDVWYNIRDVTQSESLQQILSPLQAGEVGSKILVTSRTEDALLALGATKQRCIPISVLDENVFCNLLMHYALHGVPADHHARRTLGDIGKDIAKKLKGSPLAARIVGGQLHIRQNVEFWRSVLDRDLLNETMGVLWWSYHHLREQVKRCFAFCSIFPRRHLLERHELVKLWVAEGFARLTSEGEDMEDVCQEYFDELVSASFLQLRAKEYPHNSDYYLVHDLLHDLAEKAAGSDCFRIENSLKLQGKYSTVEVPPNVRHIFVPIYDEELITKKICQLDNLRTLMIGSGNSLEDVGEQVLKCMFKRLRKLRVLTIIAKDFIGSDVSSDVLVPACIGQLRHLRYLAFRPRHQAIGRRTILPASFAKLCHMQILDFGWSEKVVFSSCEDICSLVNLRHVIGLGDVDLANIGSLMSLRTMATFDVKRGQGHELKQLGNLNKLRGKLSIKGLEKVESKAEALEANLASKEGLSTLQLSWRWSGEADLEVLAEVLEGLCPPKDLKSLIIQGYNGPRYPSWMRNGGPKHVNHLQLFNCSPQPGPELVGFFAHLRELTIEWCSWDALPDYMEHLTSLQTLHITYCQNIQLLPALPQSLARLDLTGCSKVSLGSCCMERLTSLQTLMISSCKSIQSLPTLPQSIKDFKLGTDSEVLLSSCRTAGDPDWQKIKHIPYVSTGKSSIISFCVFP >cds.KYUSt_chr7.7728 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46598945:46599600:1 gene:KYUSg_chr7.7728 transcript:KYUSt_chr7.7728 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPAAVVAAPPAAAGGGGGGGGAAAVNAAGRPPGYKWRPVRSWGALTWVQVGIAATAAAFALFASTLRPTDFPYVPAQCVTTAAEVLELRYYAGLLLRCAMAQAGAAAAALVITPPSTLKGCLAGFANLLGLVTLTHLLDVVRRVLAATGGSCFTGHFRTVEYIFHVITADAFLLAIPLIWFFGQ >cds.KYUSt_chr7.5475 pep primary_assembly:MPB_Lper_Kyuss_1697:7:32707392:32707871:1 gene:KYUSg_chr7.5475 transcript:KYUSt_chr7.5475 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDYMGPRPTAKRVVSGHTSDNDNVTTTLKTAPRQFGDIVVLNNALTDGPSGGSARVGTAQGWAVRVSEGGIVSDLTLHLVLEAGEHRGSSLTARGRIDILSNSLRARWRRSGGAGLGAGVGVSVRGVSSLVSQRARGVERGGGGGVEGRAIAPEEEG >cds.KYUSt_chr2.41636 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258998401:259001151:-1 gene:KYUSg_chr2.41636 transcript:KYUSt_chr2.41636 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRSRLGSSSGAPGEGEEDGMVEWHAARLASLNKTHTLTWEEFKKKQKDEELKRGEVEADKDKMMREYRAQLDAERAQKLGRGRDVVKSKSSSKKEKKDKDGKKRSKKRRKHRSSSGSSDSSSESSSSDDEDRSSRKSRSRSRSKRSKKDKKHRSRSKHAGSDSEEEEGPVRLSKFFGNAKN >cds.KYUSt_chr6.19417 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122247879:122252814:1 gene:KYUSg_chr6.19417 transcript:KYUSt_chr6.19417 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSFQIWSKDAERYYRTRYRLRYRNRVQTILDPKRYQSGTRAVPCVVLNLVDVFTSRRQKPEDAENHRVHDVRPPPRDISATESSVESFYLFSSIPNPENVFSATRHEDNSSENNLDISYQDEEE >cds.KYUSt_chr7.22719 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140946066:140946305:-1 gene:KYUSg_chr7.22719 transcript:KYUSt_chr7.22719 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSRSPAVLASAAKKKPRNGGGGRRGSGEEAAFGAGRDLSGGIDEKYALDRELGRGEFGVHGSGLQGAARLQFHLQA >cds.KYUSt_chr2.19042 pep primary_assembly:MPB_Lper_Kyuss_1697:2:119773606:119774344:1 gene:KYUSg_chr2.19042 transcript:KYUSt_chr2.19042 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARQIPALHKEKKPPNLKGNPAQLSQVVHDDAAIGAAAGGLARCRSGHRGSLEVARMFGTPLAMKFLNGRSYLDGGGKKFLRKPSKEMALILFPNYLSRH >cds.KYUSt_chr3.35547 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223209897:223214687:-1 gene:KYUSg_chr3.35547 transcript:KYUSt_chr3.35547 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEKDAEFVGAALDAGLRAARFSLPPSSEEFAASIEPKNVPAVTPLRLIFSKLSLPSFLSPFLLPSILHVFPCFCVGGNPIFSCVSIEEPDYSSYTRAKYMKEYSERVILNCGSQPFNKVTNSDTSDKKGAALQSLKPCTLQALYELMSLVHNSVEAVDKNDRAESASQDTSVCQSNEWKKTAADDSSLLEKDLVAKIILPVEPFELRSMLLAMVHTFPRTLEGLVLNILGPTGAEILTRKFDEIDQGSTPEEQAEFYKIFYSAFDDQYAAMDALLNRKELFSCQVFQSVLDQYLRVCVERPS >cds.KYUSt_scaffold_1259.204 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1322741:1325075:-1 gene:KYUSg_scaffold_1259.204 transcript:KYUSt_scaffold_1259.204 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCSSRPAVHLKAPGSAVPAPTAGICRPHADSRLQLLLRQPRCGGKGGWLGETAAHLVQGNGGLLLSRSSKTELRASSSGLLFSCSSETKLPAWTYDYNSKEAEQGDLEDRYDISLSQGNGMSFTEMLLSVEAPSTSQNKTFMITGRYASSQSTLLDVQEEEEAYEQPVFGDEDEELAEDETNIGNEDLPNYGSDSYYNWQFDDNENRNNDPST >cds.KYUSt_chr3.35871 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225396695:225398826:-1 gene:KYUSg_chr3.35871 transcript:KYUSt_chr3.35871 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVAQPPPISVSCAICSSSSSTRFSSALPAPDAGSLLLFDDLVLGDGEVTNNDDPEAKLEWLRSQIIGAEAEFASPFGTRRLTYADHTASGRCLQFVEEFVQRNVLPYYGNTHTVDSYVGLHTGELAGEAARYVKRSLGGGPQDALLFCGTGCTAAIKRLQEVAGMAVPPTLRSLVLEALPPSDRWVVFLGPYEHHSNLLSWRESLAEVVEIGLRQDDGLLDVGALEAALAAPELAGRPMLGSFSACSNVTGLRTDTRAVARLLHRHGAFACFDFACSAPYVGIDMRSGEPDGYDAVFLSGHKFLGGPGSPGILAMSSRLYRLRGTAPSTSGGGTVLYVSAYGHGDTVYCEDTEEREDAGTPAIIQKVRAALAFRVKDWVGEACIEEREQRMLSLALRRIRKAANPSLSLLLGGATGSTARRLPVLSFVVYPPVDVDREPSSGTELARDGGGNEELGVVRPQLHCRFVTKLLNDLFGVQARGGCACAGPYGHRLLGITPARAKAIKSAVQMGYQGVKPGWTRVSLAYYTSTREAEFVLDAMDFVASFGHRFLPLYTFDWKSGDWRYNHSCGRVLLPNNGVTDTASSTSAAPASHAGVAKPERDYQSYMAFARSMAESLLSAGLKNDTPARDIPKAIDQRLIYFVI >cds.KYUSt_chr5.30092 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190869362:190869787:1 gene:KYUSg_chr5.30092 transcript:KYUSt_chr5.30092 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAWNPFSCCVRGAVTTDDDDSSYRRRRGNGSSKSSSRVSFTSLSSGTLSPEDLSLTLSGSNLHAFTYAELRASTANFSRANYLGSGGFGPVYKGTVDDELRPGLPAQSVAVKYLDLECGTQGHKEWLVSGKQSPYCWQ >cds.KYUSt_chr1.26930 pep primary_assembly:MPB_Lper_Kyuss_1697:1:162526632:162527786:1 gene:KYUSg_chr1.26930 transcript:KYUSt_chr1.26930 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTVVGSASTAGGWPCGLNLNVVFLLSMVATNLLSLYHLSIRASSNTPPLQSGPGFDQQQVLQQLNAIRATVSHLRSASATPPPPPELVLYTRLAPVGSACSAHPDLLHRFMSYVPFAACPDDVHTLAEPLILRGCHPLPRRRCFSPTPSAAAGSVQLPTDPFAPLPDSAVRWPPATDAKKCRSFSCLPASLGFDVARTEAGKFLRARGALDLTVPQLLRLASMSRAGPIRLGLDVGGGTGTLAARLKQAANATVLTTTMDMGAPYSEAAAARGVVPLHAPLQQRFPVGDGTMDVVRTGHAVNRWIPEAALEFLWYDSDRVLRPGGLLWVDHLWCRKGDLEGVYAAMLRRLGYKTIKWAVADKTGSGAKDELVYLTALLQKPF >cds.KYUSt_chr3.26332 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163876431:163895622:-1 gene:KYUSg_chr3.26332 transcript:KYUSt_chr3.26332 gene_biotype:protein_coding transcript_biotype:protein_coding KNSLDGVGKYDEKQGSWRHWFQGPSKKKKKKRKYSPSSLDERWYSDPGSKWEAWASHHLASFGSHVHGKFSLLQFIVFAELLLTSIKSLDSDFWSVSWWLCRLSMSQQNILDELSSSLFDQVQVHKNKMLTHFGELERVSSYWGSFLCDGEGASLVTTALLEAGLAEYKYGWVDASRLHLNSAQEACGIHLSLTGILGFRTVHQVDAKSQMVLIAKTTKPAADEGQSTELTGAPGDVLALRKTRSSTPGESDEFCDILRTPLLAQDVNDSNSGRTQILLTPVQQAAVLAQCLHVSRRSRSDEMSGWEMAPYIESIDSQDDSCYMVRSLCDVLRIRWESIRSRTKQRALLMMENLVEDISKEFPVASERARLVFAVHMPTLPALRKEYGELLISCGLLGEALNVFKDLELWDNLIYCYRLSGKVADAVSLINTRLSITPNDPKLWCSLGDATNNDDHYKKALEVSNKKSARALRSLARSAYNKNDFYTSKILWESALALNSLYPDGWFAYGTTAWKAKDLEKALDAFSRAVQIDPENGEAWNNIACLHMIRGKSKASVQSFREAVKFKRNSWEVWENYSTVALETGNIQLTLEATKMVLNLSFNKRFNVDLLDKVMTILEEQVTHLSDTQEANSIGNTSDESDTRQSSQLLDMIGDILKQIVETGSSIPEIWGLYARCHKRKGNLKKCSTALLNQVQYLQGSELCHDHKKFKKFAQASLQLCKFYMEISSTTGSKRELLLAEMHLKSSLKQAMDFEGTEEYKELDECLVQLKDLIGAAYTS >cds.KYUSt_chr2.34017 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210282164:210287982:1 gene:KYUSg_chr2.34017 transcript:KYUSt_chr2.34017 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHFSIKEAIRMYSPSTIIIRHAKKNFTVQTREGYKYEIPKGHTVATSVAVGNKLSYIYKDPHVYDPYRFGLGREEDKVGGKFAYTSFSGGRHACAGEEFAFMQIQVIWSCLLRNFELKMISPFPEEEYDKLIPGPKGKVMVGEWRNGAKVKLRVKLSAVERGDSTGVPEPRGGVRRRGHGAALRLQERLRRRGHRAFGGERDLRRALPRLLDVRADDHGKGGTFALYSLICRRVRAGLLPGGGGELAVQPREGAALRLSRVRAALERHRVLQKLLLLLALLGTCMVIGDGVLTPAVSVISAVSGLELSLDNKQHECSVVWPESETNMAEASSKKKQPPGAPGLDSSRSRTFHRWRQQTAFTPITGRCNICLRWAWSVAENYSHMMMENRNMVDGDGVGDDGGEETLEIPFSGVESRINLTPKTKIMKVASLCFVKSFLLLEA >cds.KYUSt_chr7.16589 pep primary_assembly:MPB_Lper_Kyuss_1697:7:102738279:102744197:-1 gene:KYUSg_chr7.16589 transcript:KYUSt_chr7.16589 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHTGWNKLARDLELEPGCQLTFLYEGDGEMIVKVFDDTACRRHYHTGESGSNTDTNIRKSTYCEEMARGLDSGGQDEAAVLAAVTDGEGNRALHLAAAAGRVEVCRYIVEDLRLDVNQPNFKVKSNICNTAMSIKFMHNITGETPLFYSAFYGGAAAARYLIDHGADPLAGKIWSPLHGAAAKGNFEIVELLLSRGINVDLHSVQGTPLHAAALHKQDCMMKFLLEHHADAGADVNFVDFSGITNLILAVQYGLPGTVQCLLDAGANPNIPDEVMAFDPSPDDRVTILANLSLCSLRGGNGSGALSYATMCRMARPHWPKACYRQGAAFMLQKDYEKACEAFADGLKLDPKSAEIANALRSYAQNSDNAYVRIVSGHREAREAAKNAC >cds.KYUSt_chr2.2133 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12924946:12925393:1 gene:KYUSg_chr2.2133 transcript:KYUSt_chr2.2133 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRFLLLLLAATATAVRPCAAVIRLGATSFLDAPARFGPRVTGDGICGSLRAADPANACAPIKNSAGSGPGMAFVLIERGNCSFEGKVREAQLAGFDAAVVYDDEEKSSLYSSECPPPLPAVLL >cds.KYUSt_chr3.30866 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193620638:193621378:1 gene:KYUSg_chr3.30866 transcript:KYUSt_chr3.30866 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSHLFILLAMAVLLAAAAPSVDAWGGRLFFSKMTRPETVDRAADATTTAGTTEALDANSAPAAFSSRPSSGGSNRGYGLYGRPEENERYPPAYFRRGVHHDAEKRTTTNTNVVPEAAAAVPAGEQQEETESAGEKVEPAFPENGSGRGRPLSDMRHGGKGKRGDYGMSDTRLYQNGRYYYDVEADRYGYGRESNPVRTRPEPEDNGSGYGRPGGERRSGRYGGNNEQNDDGFEEDQNEQYNP >cds.KYUSt_chr7.25100 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156632787:156636868:1 gene:KYUSg_chr7.25100 transcript:KYUSt_chr7.25100 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQHMNSEVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEDQHDLILSDTIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGSPEDLVMTSSSSFLGAKKAQPSVNGSKDAGALSLEKEQSKLQKINASVKSNGAESKKPQLTKSNSSLSKQALASLFDKKEAVSSKVRPNIARSTPSSPTSVRSLPASFESLPNDMKLRAKAKGADKSSPSKLSLLEKAASVLKVTTAGRKSSAGNLLSNTLLSIESGPKALRRSWEGKADTKSKGNSDSKVAKPDRKPENRSTSTPRRKPPADEKLSHKDDTKIQTPPRKSTSSALSDDSDRTINKYLSPIRRSSGVLSNTNMTNLVKIAANNKKLTDPSTSWTSLPPPLAKLGKELTKYRDAAQMAAVEAIQEASAAESLLRCLSSYAEVSSTAEEQNPQLAVEQFLALHSAMSRATVVADSLAKAAASASTATSPDQSTVGESASIDEESLAIAAERRRRAASWVGAGLATDLSAFSLYNLRPTPANAASPLAVVLVDESAKPAAVKASPPAKSRLSPAKGKVRAGAVTAVTAAAAPPPDWERGGGAEERGELARRLGEESRRWFLSFVERFLDADVAAAAPWDRDRAARMLPQLKRVNDWLSEIGRPPVVEPPAPPTDAGGEEPVANAAPAAASNGVPEETIERLRKKIYEYLLTNVDSAAAVLGESSPAANGRKG >cds.KYUSt_chr1.39934 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244496697:244497324:-1 gene:KYUSg_chr1.39934 transcript:KYUSt_chr1.39934 gene_biotype:protein_coding transcript_biotype:protein_coding MARADKHLLVYRRTTLEEREAAAARRREEEEAAARLREEQQAVVALKQLGECFRTTQVIQLPTDRPKPTPKPRRYHVSPNLEEKVKAGVMLCWLKKGMKKMKEALKIGRGKDKGQVYHRKECKVVAATSHFVEQVEGAVAERATTSQDGGAEQVLLEAVEKVSTRDGRTAAQVVASQVVA >cds.KYUSt_chr6.18131 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114126036:114130974:1 gene:KYUSg_chr6.18131 transcript:KYUSt_chr6.18131 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRRGGPLRRRPAPALFLVRGAAHPAVVDSGYPAPLPAGSSPSPGCRLAPWPAHRCVMRLRHRRPCQLFRRLCAVPSAARASPWPALAPPAPASAAPSPAAPCSASAGFASNSGSCAFNSAGTHRSRRTQSAGSRAAPTPPAAPPLLSDHGARTWSCSHRPQLARPPAKYESYARRKQHEPADTASTLPAALEPSSWRSSATTSGCSSARAGGHRVHLASGPEPSSWRSSATTSAIVRLRLRRLVRLAAPSPPCPAAPSPPRPAGCAFAASSGCASAASSGWLRLRRIVRLASPHRPAAPSPPRPAGCDSSPHRPAAPSPPRPAGCAFAASSGCASAASSGCASAASSGWLRLRRIVRLASPHRPAAPSPPRPAGCAFAASSGCASAASSGWLRLRRIVRLPSPHRRLHLRRLVRLRLHRLVRLRLRRLVRLRLVRLRLRRLVRLRLVRLRLVASSGCALSGCDLSPRPAVPSSPRPAAPSRLVRLRLVGCAFVVSSGCAFVASAGCALSGCDRRLIRLRLRRTVRLRLSLVPPPRPAAPSPPRPAAPSRLVRLRLRRLVRLRLHRLVRLRLRRTVRLRLSLVPPPRPAAPSPPRPAAPSRLVRLRLRRLVRLRLHRLVRLRLRRLVQAAPSPPRRLRLRASSSCAFTGCAFAASSGCAFATSTGCAFAAPSGCASASSRRLVRLRPRRLVRLRPSPPSSGCALAALRMVEISPGGSAPLIHATPWFTSTSPLPSTSITSRSGPSPRLDCYSSPTQARGLMSGDDPRYGKVLEFTSARSSRIKKICYDAKDMKKRR >cds.KYUSt_chr3.47315 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296825538:296837093:-1 gene:KYUSg_chr3.47315 transcript:KYUSt_chr3.47315 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVGFQDGDELYYTIPECRLDVGIDLLHDDNSVLKMLNFAKKNNFAEIYIKHKGHQSIPVNQGSGRGNKEKMAEENTKSKRSKLTSKKRDKRTWTAEEEKLLIDILHQMNDSSWKVDTGHKSEYLTYIEKEMAKVLPHADLKADPHIKSKVKILKKQLSYVLEIMQNGSGFGWDDEMKMVVGDRDTYMGWAKSREGAGPLYMKPMVNFDKLCEVYTSDLAQGGSAKGPGEHEVAEDESPVNAEPTSEPNEKNAAQARDNTNPSGNSRQGRKRTYPDDEAAELGLVSVSNTLAKFLEAEQENAKTMSGLQKALFHEAEVHEQTSANRTKLLDVLQNLEDLTDDEVVMAVRVIGRDAGQT >cds.KYUSt_chr3.35105 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220307971:220311182:1 gene:KYUSg_chr3.35105 transcript:KYUSt_chr3.35105 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTRRPPAIGTAQGFYILALQTDFALMLTVNMVFTAGPHNGSTIAVLARDAILDPVRELPVVGGTGTFRGATGYGLLRTYSANHTTFNAVLQFDICPNPSLQWRKPPLPPVRKAKALVKPKKELTPVEHANETKKHIGCRGIAKARATEAEATAEAKKEAKLIQNL >cds.KYUSt_chr5.41198 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260034771:260036087:1 gene:KYUSg_chr5.41198 transcript:KYUSt_chr5.41198 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVVSSKLVKPRYPEGVPLPDTTEHVPSSVFDKITYHIQMAIIYAFTAPAPSTADIERGLADVLAVYRLFAGQVGAGPDGSPGVLLNDHGARLVEARVDGSTLADVAPTKPTPEILKLHPDLEGVIEEVVQVQLTRFACGSLAVGFTANHAVADGHATSDFLVAWGRAARRLDARVGQSLSPPHNHPDLFRPRDPPVIEFEHRSAEYERPAPANSSHERHGADSVVIHKAHFTKDFIAGLRAKASAGRGRPFSRFETTLAHLWRTMARARGLGPHETSTIRISVDGRRRLATPPDYFGNLVLWAFPRATAGDLLNRPLKHAAQVIHDAVARVDGAYFQSLVDFVSSGAVEREGLEKTAVLHDVLCPDMEVDSWLTFPFYELDFGTGSPSYFMPSYFPTEGMLFLAPSYIGDGSVDAFVPVFEHNLQAFKECCYSAE >cds.KYUSt_contig_1253.708 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:4353169:4355382:-1 gene:KYUSg_contig_1253.708 transcript:KYUSt_contig_1253.708 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPSSSARHISHTQFVEHLRRAARARDGEPLHAWALKSGAAAHTPVSNSLITFYSSLPRPLLPAAFAVFADIPDSTRDVASWNSLINPLSRHRPHAALSHFRSMLSSSAGAILPSPHSFAAAFTAAARARSVSAGAAAHALACKLPSASSNVFVSTALVNMYCKLDLAADARRVFDEMPERNGVSWSAMLAGYAAGKCSQEAFELFRLMLGECPSEKNEFVATAILSAVSVPLGLPMGIQLHGLVVKDGFGGFVTVENSLVTMYAKAACMDAAFRVFESAKERESVTWSAMITGYAQNGDAERAVTMFSNMHAAGFSPNEFTFVGALNGCSDIRALVAGKQAHGLIVKLGHEAQIYVKSALVDMYAKCGCIGDARVGFDQLYEVDIVLWTAMVTGHVQNGEHEEALSLYSRMDKEGIIPSNLTIVSGLRACASIAALEPGKQLHTQILKFGFDLGTSVGCALSTMYSKCGNLEDSLAVFRRMPSRDVIAWNSIIGGFSHNGCGNGALDLFEEMKLEGTAPDHVTFINVLWACSHMGLVHRGWIYFRSMTKDYGLTSRLDHYSCMVDMLSRAGMLTEAKDFIESITIDHGTYLWGIVLGACRRMRDFDIGAYAGERLMELGSEDSSAYILLSNIYAAQRKWNDVERVRHLMKLRGVSKDPGCSWVELNSRVHVFVVGEQQHPEAENINVELRRLAKHMKDEGYCPTSKFSWDEEPNTLEEFHDEDQLELELVSAF >cds.KYUSt_scaffold_719.266 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:1693613:1697432:-1 gene:KYUSg_scaffold_719.266 transcript:KYUSt_scaffold_719.266 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVQGLDRLPCILRRLLSDEGRGLQYVAPAVGVGRDWSSTAVGVPLPAAGSVVSLMAAGSLRFVVGHTVVFPLWSDAEARRREIRLGNCPVEEVRDAAVGGNIQMHPGGSSLTSDPFINLSVGRFFDPLLASLYGDWSTYNAFCLGDKLYIIDWENFNIAKSEAAESSLYALNKRMMKSWYRLKVSTTDVETNADNMPVTGHFTFLEENSTPLTGREAALLDSLTQGRPNFVPAAISAQLARSALSSPRISLATITSVVF >cds.KYUSt_chr2.43266 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269184916:269185760:-1 gene:KYUSg_chr2.43266 transcript:KYUSt_chr2.43266 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEAATVLPVYDVAPGQGEPSKAPGAAAPSAPPAAPAAATKTTARSFPMRLFHRSDRGSRCMAFLDFLLRIAAFGPLLAAAIATGTSDETLSVFTEFYQFRARFDDFPAFLFLLVASAVAAGYLVLSLPFSAVIVLRPQTTILRFLLLVSDTIVLALLTAAAAAATAIVALAHNGNERANWVPICMQFHGFCQRTSGAVVASFLSVFIFVLLVVLAAFAIRKR >cds.KYUSt_chr1.22905 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135740939:135748634:1 gene:KYUSg_chr1.22905 transcript:KYUSt_chr1.22905 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGLAPPCFGACRRGRHGATVLLGSNAMVPAPAVLSCWLLDDFFTLVNKAGLAAYVGDEREQSYMLTKIFVESFKFHNKQYGPTVAFKIYDLGFPFFFRELPMEFNQMMAPSPMWCGSCFESASSLDLFFHTAANPCWWSGVAAGLPFSGADGEWAAEQRSGGAGLGSWASDSAEVRLCRSEQLGGRRCRGAEARVWAAGQRKEKGCNACIDAACGLSPLCTRDLEDEHSLKRKEVKIQLLNWNSTINHPHQPFEASPGCNVISNYRMAGPGGRGRGRRGPGRPPGRGRGRRGGAARAPRSPSPASSSSSHEERCFKFLLRIDNDPLGIKRLPDKFTEFVDGHEPAHLQLREASCNFCRWSVEVLFDGQGKMYLHTGWDKFARDLHLEPGCQLTFLYEGDGEMIVKVFDDTACRVHYPHTGESGSDTDS >cds.KYUSt_chr5.30226 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191641909:191643064:-1 gene:KYUSg_chr5.30226 transcript:KYUSt_chr5.30226 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLPTGSFAACTLQPRIPALQLHAPKPNAAPAAACAPVRRASGHRAGATKSSLSTVCEPLGPDRPVWFPGKAPPPWLDGSLPGDFGFDPLGLGSEPESLRWFAQAELMHSRWAMVAVAGILIPDTLQKWGFMEEFNWFTAGEREYFADPLTLFVVQMALMGWAEGRRWMDYLNPGSVDIEPRFPNRKNPTPDVGYPGGLWFDWGNWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGQGPLDNLFAHLADPGHCNIFSVRIRG >cds.KYUSt_chr5.3646 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23641243:23646161:-1 gene:KYUSg_chr5.3646 transcript:KYUSt_chr5.3646 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQQRLPAADLASCVRAGALDEPGGGGPRVTRRGGKNRAATIGGSRWLDWLEHPDQVPSSIPFQEKEFGIPGSPGDEALQAVGVTKHMTAQLSSIVVSLALFEGDKMLFACSGVAVPSGMACKLDLTRFVTSRRLVDEFNKNRNLDGKLRIDVCLPNNRHRDGFLGLYDERIAIVTSCNCEDYVCPVDLDLQAPRPSDGKIIAAARASESGRLMVTPGYLTVDGEASWAQITEAALGGPLIDHDGKFLGVNLHIDNAESTSFISPGVLRKRLDYFQILNTETIDFRGYSLPPGVFSIVPSGFWRRIKQLQSNGYPMPPPLVLEFNGELLNTFEEEFGELRAWKDYPYKMLIGLPMLMVAVC >cds.KYUSt_chr2.33733 pep primary_assembly:MPB_Lper_Kyuss_1697:2:208492842:208495377:1 gene:KYUSg_chr2.33733 transcript:KYUSt_chr2.33733 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRRSAATVVFTAIASCAAAQPPASPGSDNHMSLSDVMTISFFMAIFFPVFVVLLAFACLRLFRPPDEPAGAESTSSEWSRHKEGLDAAEIAELPLVSYRDVKQHRISDGLNDPLECAVCLVEFEDDDSLRLLPTCPHAFHPVCIGLWLEKHVTCPLCRASVLDPPAPVQLEELQPPSPLETPSPPQSPPVHDTVVLIDGPGRHDEDRERIRVVAVGMRREAAGRQALPRSNSTGHERAGGMERFALRLPEHVRLEILMSHRLRHVTSAVASVRVREGSAHDMAAGGNSVRNAMARLASLFAPGAGWKGDDEEISGGSPRKPNSLVDLGHIIVNLFIVIIIVNLFVGNLLDVNLITKGTDGIINVHRRFIEDIHELDVDASPNNLSVSVMLGTGGVRTTGGEVATLGAGAASPTRLTGFFNLGAGAPFGPADLVFVRLGFGTAAGSLASQQRGPPGLDTRGGCPSASSSPTWWRKSCLLPLLRSADFPTRWKRRSQGRRPSSMPVVRLERSVGSSRGPWRRLPVAWWSERWARGDREWRARREMGG >cds.KYUSt_chr4.54384 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336073133:336073785:1 gene:KYUSg_chr4.54384 transcript:KYUSt_chr4.54384 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDSDDVIYTDVDETDDSKSEDTDDDPASSSSDEETSEDEEEDETDDLGEEEEDHVEEEVDSEDEAPIQVKRKRQMRKQKRKRRMRERMRRPPPPPGTCCICMEPWTPDGAHRICCIPCGHMFGRSCLEEWLRRRRSTTAKCPQCGRSYTLKQITNLH >cds.KYUSt_chr2.17054 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107413581:107413847:-1 gene:KYUSg_chr2.17054 transcript:KYUSt_chr2.17054 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLARALRHSKPYLSSCNPSVAASYRWISPTAASGSPEAGAAVVPADPELPPREPVGDTRVELPSNLEDVLEVFVDGHAVKGSCDIP >cds.KYUSt_chr3.42034 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265392921:265394315:1 gene:KYUSg_chr3.42034 transcript:KYUSt_chr3.42034 gene_biotype:protein_coding transcript_biotype:protein_coding MALANKLGNLLKKATGSNPALYQAIRCMSSSKLFVGGLSYSTDEGTLRDAFSHYGEVVDAKIIIDRDTGRSRGFGFITYAADEHASSAIMALDGKDLHGRNIRVSPATERTSGFRDGGFGGGGGGYGGGGYGGGGGGGYGGGGGGYGGNRGGNFGGGGNSYAPPGGSGGNDTFSASNFAPAGGDNFAASNFGGDSGFSGNPAGNYAAPAGSTGGDEFSSGTSGANLESTKNDDLMDNLFKDDEADKYASKGV >cds.KYUSt_chr2.1659 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9822266:9826005:1 gene:KYUSg_chr2.1659 transcript:KYUSt_chr2.1659 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTAQGSGGGGGGRVLLLPFPGMQGHANPMLQLGRRLAYHGLLPTLVLTRHVLSTTPLKDCPFPVAAISDGFDAGGITSCPDTAEYLRRMEAAGSDTLARLLLAADVRVLVYDSHLPWARRVARDAGVAAAAFMTQMCAVDVVYGEARAGRVALPLADGSALRRRGVLSVDLGPEDVPPFVAKPEWYPAFTDSALGQFDGLDQADDVLVNSFRDLEPKEADYMESRWRAKTVGPTLPSFYLDDDRLPLNKSYGFNLVSSTAPCMAWLDTQAPCSVVLASYGTVANLDTAQIEELGYGLCNSAQPFVWVLRSEEAEKLPEELRGQCNMKGLIVSFCPQLEVLAHRATGCFLTHCGWNSTTEAIVTGVPMVAIPQWADQPTTAKYVESAWGIGVRARQDGKGLVRREEVERCIKEVLGGEEYKRNASKWMQKAKRAMQKGGSSDKNITDFVAKYLPNSRSYEDGPGNKQQTKQKLPVTPDSSRHISLPSLRIQSPNLDLSMSINMRRGEANACVVCDDLDFDSLLVEERRGEGEEQNTLCCGEGTGLFGMGQAGGMRADGMPAEAEDADFTAMDSPDTTVQLTGGGGRVLLLPFPGMQGHANPMLQLGRRLAYHGLRPTLVLTRHVLSTAAPKDCPFPVAAISDGFDAGGIASCPDTAEYLRRMEAAGSDTLALLLLAADVY >cds.KYUSt_chr2.1953 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11724505:11724907:1 gene:KYUSg_chr2.1953 transcript:KYUSt_chr2.1953 gene_biotype:protein_coding transcript_biotype:protein_coding MEESAIKLFVGQVPKLMTEVEQAAMFCDVAIVNEVTVIRDTATKILRAPSDQEKQCKPWILRGGEEERVEEHIPVKKMRSYLLGLNIVKKS >cds.KYUSt_chr6.12665 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79108245:79109309:1 gene:KYUSg_chr6.12665 transcript:KYUSt_chr6.12665 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHKLPPSTAAAGADTAPNPHAFTCELPHSIYALAFSPAAPVLAAGSFLEDLHNRVSILTFDPAHPSAASFRAIPALSFDHPYPPTKLQFNPRAASTPLLASSSDALRLWHAPLDDLSAAAPPTELRSVLDNRKASASEFSAPLTSFDWNEIEPRRIGTASIDTTCTVWDVERGVVETQLIAHDKAVHDIAWGEAGVFASVSADGSVRVFDLRDKEHSTIVYESPRPDTPLLRLAWNRFDLRYMAALLMDSSAVVVLDIRAPGVPVAELHRHAGCVNAVAWAPQAPRHLCSGGDDGQALIWELPETPAAVPAEGIDPVLVYDAGAEINQLQWVAGHPDWMGIAIENKVQLLRV >cds.KYUSt_chr1.2553 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15220739:15225109:-1 gene:KYUSg_chr1.2553 transcript:KYUSt_chr1.2553 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSHCDVTDAGQPPTPHQVKKGAMEIMDSELLTRRQAQQTTSMNILEDRVFSRKREEARKWTKTFELVRRQNELDALTSFLQDPGVIFVCGIAGVGKSALVRTIYCDEITTKGSFKTSGWVDVPHPFNLAEFSWRLLVDFHSDNLQTKETAAFRMMEGHDPIQECRKLLHEDKCLVVIDGLRSVEDWDLIQATFLSEPISGCIIVITNESSVEAHCVDKGNRSFNVKGLEYDASLGLFNMMHSHRPEMTTHEKKVLKLIMTKCGGLPEVIVAIEKYSYEVKDATGEEDPKSSTSDAIGEEDLNPSSASLLDCLRDDFMGMLQMDIRFHGLSGLFCWMRSYFDACSDTVKPCIFYLSVFPANQNIRQRRLLRRWIAEGYSRDTSAGCTAEEKGEMLFTELAKLSIIQELGIKHLYTLITASKRWEFTRKTKKICQVNGFFREYIMSRPMEDNLVFALEGRCSPNSQRTGQHLTIRSCWDRDENVFQSMELSRVRSLTVFGEWRSFFISSSSCNNMRMLRVLDLEDTSGVSNFDIEHIGKLLPRLKFLSLRGCREISLLPDSLGFLRQLQTLDVRHTSVVMLPPAIFKLQKLQYIRAGNTIPLYENEYWITTLPAGEKCTSTPLEDGDGTVVAAEDDMASTTLEEDKDDTVVATLPAADKDRISIPQESDDTVVATLPAANDDQISTLFTWSMRRTLGSWLSKIRRRQLVVSIRKGGVKVPVAVEIGKLTALHTLGVINVGGCSSGEAFLKELKKLTQLRKLAVCGINRGNWHKFCGAISGHGHLESLSVRFELREDKQDHLYCLDDISQPPKTLKSLKLYGPVHKLSLWIKQLDKLEKLELQIATQEDLDVLDELSRPHILHRLLVKPIQDGVLFLGRDDDYQRYYSSFFALRIDCISKLKLTLGGPMMDFVEHLIIHCYGGSSLELSGLDNLRCLTEVWLKGSYSNALKQQVANHYMRPVLNLE >cds.KYUSt_chr5.7690 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48440012:48443729:1 gene:KYUSg_chr5.7690 transcript:KYUSt_chr5.7690 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGANRTATKAETILDVVGEDGRVLTMIEVDPADENPALLDAIRYVRTSTRSTLAQVVAHTHAHDDVDGDGVRGGLSLAGLPFDEIRKKNSAALISMLDPRAELSTKDSSNAADAVWYLVINGNHITGNLNLLNDLKPVCDRWVRSLAGTGPPMQVCARGSVNCNRIKLDDVWYVPGVTVNMVAVANLTNQELKVSVESGGCSIARLDGTVVGKGHIKSELYELDFLDAISGTAWYIVSNAAEHMTGNLHLLTNFTATRPGRPVRTHTGETLQVCGKGSLSSTQLSVPGVSYVPGLTENIISVTQLTDSGFSVAFGPHGCTVMRNRGGAKVGYAYHAGGQLYRLDYLRVADSK >cds.KYUSt_chr1.16262 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94473875:94486294:-1 gene:KYUSg_chr1.16262 transcript:KYUSt_chr1.16262 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYTPPPSTFNVLLGSYWTEGEILSSPYLKAFLFSDLKNATKNFRPDSLIGEGGFGCVYKGWIDEQTLAPSKPGTGMVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHQNLVKLIGYCSDGDNRLLVYELMPKGSLENHLFRRGADPLPWGIRLKVAIGAARGLSFLHDDENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYIATGRLSVKADVYSFGVVLLELLTGRRALDKSKPTTEQSLVDWTRSYLGDKRRLYRIMDMKLGGQYPKKGAHAIAGLAQQCVCMEAKMRPQMSEVLEKLEELQDPKYSVTAPQVDTRRTSSGGSAQRSPRPMRAQPSPRRSSATAFPFPVAESHPSTAQVH >cds.KYUSt_chr1.17859 pep primary_assembly:MPB_Lper_Kyuss_1697:1:104016187:104023252:1 gene:KYUSg_chr1.17859 transcript:KYUSt_chr1.17859 gene_biotype:protein_coding transcript_biotype:protein_coding MRCASGSGSDGGGGGYDKGGMDSGKYVRYTPEQVEALERVYAECPKPTSTRRQQLLRECPILSNIEPRQIKVWFQNRRCRDKQRKESSRLQAVNRKLSAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLATDTSCESNVTAPPNPLRDASNPAGLLAIAEETLTEFLSKATGTAVDWVPMPGMKPGPDSFGIVAISHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMLPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCDGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIIACNSKKIRSNNTAPNAFEAPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASALKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALDEGLLSRDIHLLQFCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDTKTDGAPAGRTLDLASSLEVGSTTLQASGDASLDDCNIRSVLTIAFQFPYEMHLQDSVATMARQYVRSIVSAVQRVSLAISPSRSGLNAEQKIISGFPEAATLARWICQSYQFHLGVELLRHADEAGESLLRMLWDYEDAILCCSFKEKPVFTFANEMGINMLETSFVALQDLSLDKIFDDAGRKALFSEIPKLMEQGYVYLPAGVCLSGMGRHVSFEQAIAWKVLGEDNNVHCLAFCFVNWSFV >cds.KYUSt_chr3.45826 pep primary_assembly:MPB_Lper_Kyuss_1697:3:288547013:288548551:-1 gene:KYUSg_chr3.45826 transcript:KYUSt_chr3.45826 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPDTTKSLGVHTAVEDGSGGGKKPIRWPGFVHFFFLLSVVMCALVYAPRFLAPSFTSVAGVDFFKPRPSPLGRVAEEQVRGVGGGGANGVEDALVLDNQVNSPCASMPSHGICCDRSDFNTDVCFMSGDVRTDAASLSFLLFPPPHATPNASVHDKEERIRPYTRKWERHLMERIHEVRLRPARPEEAASSEKHRCDVVHDAPALVMTAGGYTGNLFHAFNDAFLPAWLTVQHLRRRVVVAVLAYNPWWAGTFRELVSGLSDHHVIDILHDKRTHCFPAGAIVGTRFHGVLAVDPARTRDNRTLVDFHSFLSRAYNEDNTPEHATPPQQQRRPRLGIFSRKGTRVIENEAAVARLATSVGYDVSILETANGAPLSSEYAAVSACDVLAGVHGADLTKLLFLRPGRAALLQVAPLGVTPIARGCYAAACGMMEVHYEQYDVVANESSLSRRYAADDVVVADPEKAKQGKGWDLVKQIYLSGQNVSLDLDRFGDALRKLHTRALRLPVAP >cds.KYUSt_chr2.3369 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20131914:20132584:1 gene:KYUSg_chr2.3369 transcript:KYUSt_chr2.3369 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIDPSLHNVAWAFLPASLGSSRCYNLTGPLLPESIYMIFHEPGQYVMGGPFLSGLLAGLDFSVGEGTGYASFTSQASFSLPRNTPASMEKIDKDVEVRGRVSESVKDQFR >cds.KYUSt_chr4.6898 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40798163:40804698:-1 gene:KYUSg_chr4.6898 transcript:KYUSt_chr4.6898 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGEVPNRYVSTQAIKKRLGFPGASCRRPSICPNFVASGTWRRGGSRSPSAGALRFFGFKVKFWCGDAQVAVAPSSPIESPRLQPLPGGDIKKLAGVAHSGRSGNAVNTFNRYPIVEPDYGHTKLRLSQQGLEAIRRIENPIAVVGVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWIWGTPVEMDVDGTKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVDIAEEFYGRVKGGDIAFEPAKLLWLIQRDFLQGKSVQQMVNEALQRVPNDSGDKYIDEVNQIRDSLAIMGNNSTAFSLPQPHLQRTKLCEMDDKELDPLYVKRREELKQVVGSIIKPKFVQGKTLNGKEFVSFLEQILEALNKGEIPSTGSLVEIFNKAILERCLNVYKEKMDGLGLPVPVDKLQKIHEVANDQARMLFNKQHFGKHHAGQSFVKLDEEITKVYRNFLLANEYQSSKLCEARFSECEDKMDHLQVLKLPSMAKFNAGFFHCNRTFVTECVGPSKERYELRMSKMLLKSRALFIKEYNNKLFNWLVTFSLVMVVLGRFVIKFLLLEIAAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDR >cds.KYUSt_chr7.16454 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101950316:101957462:-1 gene:KYUSg_chr7.16454 transcript:KYUSt_chr7.16454 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRPSPHADDPTCGGGGANSSITPQEPEVYSPPASPPRAHHSGQPGRSIFVNEDTSGGPTIGAASSSAWGPVEGQESKITDKTAAPFLLGPRRLSSPTGGSDSDSDDDDCDTWGGFSDRTRDGASTSLGSPDAYDCRTFFADPAFQEEAHHEEPLSSLESEFAYDPMKDKSNKLLANYSEYRKVAGDGSCFYRSFIYSYLELLVKVPHEEELRLIGTLEPLLEKFQRLDLPGSYYHGHDAFVNFILKCMDRKQTLSVSDYEDWLFQESQNDQLFANTIQICTEVEKFRHAIPELDPSYPEGWCRQEVIPMHKDAFEVHVVALTDVLQVPLRTVNVDISKIAEPNTHIYESPDASPSVPCVTLLYRPGHYDIIY >cds.KYUSt_chr7.22213 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137521792:137525543:1 gene:KYUSg_chr7.22213 transcript:KYUSt_chr7.22213 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDAPTAAEVAASSVDPSSAPDASSSAAGAAAEDLAGAVAGMTLDERFDLLMSIGEECIQPDELKRLLQNKTVPICYDGFEPSGRMHIAQGIVKTINVNKMIRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINRRANEYWPLVMDIGRKNNVKRITRCCTIMGRADNEELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKKKLKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNVKIKQAFCPPNIVEGNPCLEYIKYIVFPWFERFEVIRKENNGGNKTFLSMDDLSADYASGALHPADVKPALAKAINEILKPVRDHFNTSNEAKVLLNTVKVQYLYPLQ >cds.KYUSt_chr6.2079 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12378548:12379102:1 gene:KYUSg_chr6.2079 transcript:KYUSt_chr6.2079 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPRLLPAHRLLAGGTLPLLLFPRRSRLALRPAVAMSTSSSSAAAPPAPARRAPLRGVVFDMDGTLTVPVIDFPAMYREVLGGEAAYAAARAAGGGAVDILHCIESWGPDEQRRAYEAIARFERDGLDRLQIMPGASELCGFLDARQIR >cds.KYUSt_chr2.48148 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301054288:301056376:-1 gene:KYUSg_chr2.48148 transcript:KYUSt_chr2.48148 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKNRAKLQGIPTLVLGLWPGSHHKLALALWLISLGAAVLTGTSAGKAVPRPSFLAARPRTVTGGRLCLQTPPRASPEYNKAADATEDAIEGAKGVAAELKKGVAEAAAAVSGDTDKAVEATEEGTSEAAAKATDLGEQVKGAAEEAWDGAKDAAKGVTDKVAAEAKAAAKE >cds.KYUSt_chr6.25337 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160476285:160479814:-1 gene:KYUSg_chr6.25337 transcript:KYUSt_chr6.25337 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACGAAAPASVPALIRARLANSKPTSSFAASATASSAVASSSVLEELAADRKGLARVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDVVLVADGSEKPIGWGLYNSVSMFCVRLMQLEEEAKRDPTSALNMEILLEERITSAVDLRRSLGLPSTNTNAYRLINSEGDRLSGLIVDIFDDVAVIASSAAWVEKYRQQIEFLVNKFGDVNYIKWRSSADILKEEGLDMSEQKEPAPSSHSGSVKVMENGIVYLVSVEGQKTGFYADQRESRDFISTLSRDQKVLDLCCYSGGFALSAAKGGATKVIGIDSSGSALDLANENILLNKFDPERISFMKEDASAFMKGAISRNELWDLVVLDPPKLAPRKKVQLVIIPSILHIPKANISAITYCE >cds.KYUSt_chr5.5454 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34057126:34058046:-1 gene:KYUSg_chr5.5454 transcript:KYUSt_chr5.5454 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGTLDISDTSITVLPKEIRKLQHLEHLLMTRTHVGKIPREIGSLKELKSLKLDNSVAALPLEACALVKLPECIRQALRKSNLLSGLAREMPSYKKYGGLGGLVVGAKQMHIPMWIREHFNDIALLDISICKLEEEGLTILRGIPKLGDLTLRFHVVPREPVVIRSEGFANLIRLTLDSRVPRVTFQEGAMPALLWLKFLFQFYAGPPNIDPVGVNYLRRLNYIDFICNEDWYVGDSPCIRPTIDIVSREAQELPCLEDFKVCGRKENICRSSPEIEQNSSSKTCEKDEQEESSSGVSEIQKIQA >cds.KYUSt_chr6.15780 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99290479:99299809:-1 gene:KYUSg_chr6.15780 transcript:KYUSt_chr6.15780 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYGNTSIGEVEVWPKGDTNLGVAAWAREIRVDRLSPPSDRCLPLAVMHTVALGARCLVMESRPPKAADEPPPPLVAMHAACLRDNKTAVVPLGEEELHLVAMTSRRNLTNHACFWGYKVPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTTRSFEDRIDSLQRKLSNETDPQRINGMLAEIKRYQDDKSILKQYIEGDQVYDDGKMYKVQPEIVPPLSDNHQSLTRPVIRLQEKNIILTRINPVIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSKLINSVQLSDRMVCVKSGSKKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNIRGGFFKDFDEGLLPRITSVSYEDEIHDISSAPDVSNYLISEDENVAVVNGSRDSLTFDGMADAEVERRMKEASGTGSAVIPTAANFVMPVAPGQHFAPSSAAPFAQPPGMMPLSNNQVLPPPFSQPVTQPGLLDPLQGSPGREEGEVPESELDPDTRRRLLILQHGQDTRDTTPPLPAVPPVQVPVPPVQPHGNWFPAEDGMNPNNLSRGSAGFPSESDTMNYDKKQPPHPSYFHGGDNNPISSDRYSYQNQRFPSQQTHPEDHRVLQNHAPPRYRSFPGQRNNQIEPGQNFARNVGTSVGILEEIALKSGSKAEYRSALRDTAELQFSIEVWIVGEKVGEGIGSTRKEAQRQAAEISLRNLANKYLFSDPNKMSDMNEDGFGSNPNLFGYSENTRNDILPTASTSEESRYMKMGENNSRKTGGSVAALKELCTVEGYNLVFQARPSPDGSVGKETYAQVEVGGQTLGKGVGLTWEEAKLQAADEALGTLRSMLGQFAHKRSSSPRSLAPNFSKRFKPDFPRPAQRPPYGRYSRIEGRVP >cds.KYUSt_chr4.5475 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31661001:31666212:1 gene:KYUSg_chr4.5475 transcript:KYUSt_chr4.5475 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGEASIGPFRIGPSTLLGRGAALRVLLLSSLRRLRARARAALSRARVPVAASWLHLRNTHGVLLLAVLLALLLRKLSGARSRLALARRRRLCKGVMRYAGTYEEWVRAAKVLDRLSGQVNEADLYDEELIRSRLQELRRRREEGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLQVPRLIKDFIDEVSTQLKMVCESDTDDLLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIVAGSSVGSIICSIVATRTWPEIESFFIDSLQLLDFFGRIGGIFAVTKRVMTHGALHDISQMQRLLRDLTSNLTFQEAYDMTGRVLGVTVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRFGHIVPFHAPFATDPEQGPGASKRRWRDGSLEMDLPMMQLKELFNVNHFIVSQTNPHISPLLRMKEIVRAYGGRFAGKLARLAEMEVKYRCNQVLEIGLPLGGLAKLFAQDWEGDVTMVMPATVAQYLKIIQNPTYAELQMAANQGRRCTWEKLSAIRANCAIELALDESIAVINHKRRLRRSTERAAASSQGYSDNVRLKTPRRVPSWSCISREDSVLPVEDDTTGILSGSTFPRDPHPTNSSRVTTPDRRTEVSETESCNTGIAVPEGDLLQPEGTTSGILLNFVRREDMFAQRNRDADVAESSLPEAYVDRMHLEPGDAVSASDSDSSEDNKDVADSNNPLVSN >cds.KYUSt_chr2.15928 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100247601:100252735:-1 gene:KYUSg_chr2.15928 transcript:KYUSt_chr2.15928 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGSGDYAASAPAAGGHYYPHQYAPPPPNPYPPAADAPAGYASAPPYSAAPYPDQPPSAPAYSQPPHYAGYPPYNPAPYPPEPSPSPAAPYYPYPQPTHTHPTPPTEPSPAPYDAPYYGGAYQHQQPPAAADDDYLDEGAYAYAGDGGAEPYGARGTAPARSGAAMFDDYGRSIGLSSGGAGQHQSGGGGGGSVGGGGFGKIARAVPKAESHEDAGGGAQKFRVKLLPEGAGSPTDVLCQVGLDGIRMLEPSTNRTLRIYPLDTLTKWEILDSTVFAIWAKTSVDFEPKRIRLKSSSYTSNTLLDTVTAATVQFKEIGEDARANGTVDAGKSSVQSNEKKKGFDWMFAKPVDEVKDHWVPDEAAKKCQSCAGDFSHFNRRHHCRNCGEVFCDKCSQGRIALTTDDNAPLVRVCDRCMAEVSQRLSMAQEAAKRSATVQSHGDLARKLKEELERNRKSSGSASGGVSGTRMREVACPTCTVHLQVEVPTSGSETVECGVCQHAFLVSAN >cds.KYUSt_chr4.34531 pep primary_assembly:MPB_Lper_Kyuss_1697:4:212099260:212102336:1 gene:KYUSg_chr4.34531 transcript:KYUSt_chr4.34531 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPPGPAGAVPPPASITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPPQNPASRPQMGQQPGAMPGTGHYMSQVPMFPPRTPLTPQQMQEQQHQQLQQQQAQALAFPGQMVMRPGIVNGMQPMQGNPARAGDLQPAAPGPADGRGSKQDTAAAEASSEPSGTESHKSGGADNEVGGDVAEQS >cds.KYUSt_chr5.32736 pep primary_assembly:MPB_Lper_Kyuss_1697:5:207604608:207605410:1 gene:KYUSg_chr5.32736 transcript:KYUSt_chr5.32736 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRMPRGVLDLEAQFAFFRSQHRHPVNAAAHALLAGPILFTNLLILHFLPLPSPLDPALALSLAYAAAYLLVDRRAGALAALLFLAAWAASRTLAARLGFALSWKVVLATQLFCWTWQFLGHGLFEKRGPTVSELPEVFLMEPFLILLQILNKLFGYEPYPGFSKNVDKKMAADLRESRELEQRKIN >cds.KYUSt_chr7.8110 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49106321:49106791:-1 gene:KYUSg_chr7.8110 transcript:KYUSt_chr7.8110 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLVGMDMSNSTVRSVWSMDGRSKTYGEALCYRYRGVGRLAWGGGIIMGTFLCLPIFVPGQFLATVSDDATHRRRQHVHLMLALLPIHLDSHRPLLLQPVQVKEAAAQPTPSILRQQCSAGVPPASSTQEAKTNDGIPPDSSSSIHGLFSQSYFI >cds.KYUSt_chr6.4909 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28935682:28936056:-1 gene:KYUSg_chr6.4909 transcript:KYUSt_chr6.4909 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQRTGGAPRRVAVQFRIPRRPAKATGAPPLPAAGGRKKKMPVARLGRARRGLFGAVRRLRMRWVAAVYRRALRRLRAFYARALEDLLEGAASISTLRAQAGADCSFGTAFAPVVTAGRRYN >cds.KYUSt_chr4.4515 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25846911:25854419:1 gene:KYUSg_chr4.4515 transcript:KYUSt_chr4.4515 gene_biotype:protein_coding transcript_biotype:protein_coding MEHELRGRATAALFVERPTYTVSDGQPRGSRLDGAIGGIKLHHPTATIPPPPTPASEDEWDDDEEEDEEAEEQAKEEAEEEVEEQEEEEEAEDADDEDDSTSSDEEVQQSMASEQRLRALKAFDDTKADVKHYVRCHRHLRVEAPIAGEARARRAVVAQMRDYMPQLMWFADGTLSAQSPVSLLGLGHWCLLPDAQVQLLILQLYCMSGPANKPVACYCSQRRPQRGMGRQPHRLDGMTHCSLAFGLYESGMRLSLSIASLALTCLHQSMPAMDAGVVTANSTPQRGA >cds.KYUSt_chr3.37910 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238544720:238548703:1 gene:KYUSg_chr3.37910 transcript:KYUSt_chr3.37910 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFGAPQHTMTIPTDVNVMQPSRVADFGALAQSAGFRIEDFANFGTNTLFNLKPNTHTFTSDPLQFGNYGKSISPIDLASTAAAAAAEITTVDPQALLQKKGAQPSLVAVRTRNNENWGESSMADTSPRTDTSSDPDIDMDERNQMFEQGQLAAPTASDSSDKSKEKLDHKSLRRLAQNREAARKSRLRKKAYVQNLESSRLKLTKLEQELQRARQQGIFISSSGDQAHSSSGNGAMAFDMEYARWLEEHNKHINELRAAINAHAGDDDLRSIVDSIMAHYDEFFRLKGVAAKADVFHVLSGMWQTPAERCFMWLGGFKSSELLKLLGGQLEPLTEQQLTGVCNLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQVTYTNHAVDPLSSA >cds.KYUSt_chr2.50758 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317291750:317298054:-1 gene:KYUSg_chr2.50758 transcript:KYUSt_chr2.50758 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDDRFPVWEAALGAGVAAVFAAGLVGVYLSMPDSDYSFLKLPHNLQELQILTGHLENYTSDYTVQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLRGVALVVFAASAGASSCYFLSKMIGKPLVFSLWPDKLTFFQKQVARRRQKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHTFLLATLIGLIPAAYVTVRAGIALGELTSLSDLYDTQEDREVSYGLNWAIAARGVVVKDKVFYNLEPAELQKSGTTYAERLSGIPLHVKGNVIGGFPDVSGSQFAKLLKQVTFHLSSISSLYVQDGAIGSSAAAEFDVKVRVISDNPSAIMSLSNVLQKVADRAVSHDTCPLTIYVASSISTNVRNALGSGTQYANGVAAADIERSSLILCGKAFSDSSMLKDALTALAAPISSARGGLPVPGWLMYSGGSAILLFAPVEVIKSCSKIQATLLSTDSGVIISSKQSSVLFPRNSRAPTLFTKPTTVIVVSSDSTDALPVLSKLSPGQAAYHFLAGYQDGKFVPVYNTAPSPFDPLAVANSLFLHLKQHNTPTYLINAKSSGKQIDGKDFMKLIALAQSNDIPHNNKPEDSRVAELKGKYRSFLSGKFGKYLPGEFNF >cds.KYUSt_chr3.15057 pep primary_assembly:MPB_Lper_Kyuss_1697:3:91713251:91726632:1 gene:KYUSg_chr3.15057 transcript:KYUSt_chr3.15057 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGEHLQSAEAENAADFDVARKDDDDEQPSVEVAFAGQTPPPWWQQVTARSVVASVVLGTVLTFMSMRIGLTAGVGPAFNIVASLLGFFVIKSWTRLLARFGVASQPFTRQENVVLQTCIISCATLSFYGGFSTYLLAMTETVAKSTGGAGTGRDVYELHTGKVMAFLGLVSYASLFCTLPLRKLMILDYKLMYPSGSAIAGIVNSFHTPAGAATAKLQVLAMTKAMVGSFMWASFQWVYTGGSGCGFQDFPMFGLRAYKQKFYFDFSASLVGVGMICPVVVNFSMLFASAITSFFLWPALQSKKGEWYTDPSPTNFRGINGYKVPMGIAMVLGDCLFQLGSITIRAAYHFNKNRQGQSLGSTNIPDGINSDEKTSLSYDDRRRNKIFLDEGLPDYVAVAGYIFFSAVSAIFVPQIFPQIRYYHVALLYAVAPIMAFCNSYASGLCDWSLASVYGKLAIFIVGAWVGEAAGGTIAGLAACGVMLMIIGNAAELMHDFKTGYLTLTSPVSMFISQAIGTSIGCLINPLVFLCLEKFVGKEHLGEAGSVFSAPLATAYRGLAVLSVKGLKILPKHSMMFCIAFFFGAFFLDCLAAIAKAKKWKKVFRNYDPALRSQEKAVEYTRALNAAKLEKIFAKPFVGAMDGHIDAVSCMAKNPNYLKAMFSGSMDGDIRLWDIAARKTICQFPGHKGAVRGLATSTDGDLLISCGVDSTVRLWKDPMRKMMDTNDAIGDASQPSAVYTWKHAFWGVDHQWDGTIFATVGAQVDIWDHNRSEPINSFTWGNDTANSVRFNPGEPDVLITSAHDRSLTLYDLRMSSPARKLIMKTRCNSVCWNPREPMNFTAANEDTNCYSFDARKLNEAKIVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFNYNGDQSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVILPRERKKQEYLDAVKERYKHLPEIKRIVRHRHLPKPIYKAGIIRRTMIEAEKRKDDRRRAHSAPGSRTSQPFRKRRLIKEVE >cds.KYUSt_chr2.2681 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16020234:16023982:1 gene:KYUSg_chr2.2681 transcript:KYUSt_chr2.2681 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAADAFLVLDFLAGNRRVPLPAFSALVAALPSVAGHTSHRLRKSVGLRALDAALSDPACADASAPLRRARAVLAEPDLADCFPDNLAVCDDLPALRRLVDAEWTSLPPSVLEAAADRIVGDGALHTWAKADQDTRGKLRVLVGESTEREILGKLGQDPAPNAPSTSGGNEAGSAQQEDESQLGRETGKASHVQEDCTRLQQEPVERAADVRLPEKPVTRAAIRGKDKASPSRVTGEIGPGSDKSPPAMRGSRPGLMERNPTSSVFEIEQDPSGVPLADKAANAPSTSGANEPNRAQQDDEVHLGTENGKADHVQESCARQQEEPVERSMDGRLPEKSVTSKTIKGKDKATPSNVTGEIAPDNNKSHPVTSSKPSLMERNPTASAFEIGQDPSGVPVADKADNAPSTSGANEANRAQQYDGVHLGTENGKADHVQESCARQQEEPVQRSTDGRLPEKSVTSKTIKGKDKATSSNVTGEIAPDNNKSHPVTSSKPSLMERNPTASAFEVDLKDKFRNMERYNIN >cds.KYUSt_chr7.11523 pep primary_assembly:MPB_Lper_Kyuss_1697:7:70793492:70795285:1 gene:KYUSg_chr7.11523 transcript:KYUSt_chr7.11523 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHMERALREGVTEAERAALEGTVRAHHTFPGRAPGATATCTSLVARRVAAPLRAVWPIVRSFGNPQRYKHFVRTCALAAGDGASVGSVREVTVVSGLPASTSTERLEILDDDRHILSFSVLGGEHRLRNYRSVTSVTEFQPGPYCVVLESYVVDVPEGNTEDDTRMFTDTVVKLNLQKLASVAEESGAGAPGPWVNYHKATKLAKYGILTPPKATVPRGFAIRKKQAMWLDPMYDVNSGYWPTLFHEEREPALNRFTDENPPSDAILASARLRHQGRHRERPPHRLHSVEKEL >cds.KYUSt_chr3.23939 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148543016:148544767:1 gene:KYUSg_chr3.23939 transcript:KYUSt_chr3.23939 gene_biotype:protein_coding transcript_biotype:protein_coding METVPAASHAGRDRLSDLPDCLLRSVLSSLGSLQVVQTSLLSRRWRDLWRSVPCLDVDQQDFILEESEPESPGDGASDAEQEVYSKALKESLVREIKRQRRFEDFADVVILLHGVCPLDAYRLRVVDPGLRTDWHRWIRRGLARLPAELLLDFRRPLSYYEGPLFCFDFASGGAGALPLASRLRRLHLSGLTLAEKFAEELRSECTVLEDLELVNCKCHYRLGHHIASRSLKRLHIRGSYNCMNTLGSLAVPALVSLNLDKVPLPAIEGELQFLDVASVTEPNMYAEEYRNHVLKSLRNAKVLQLRSFTTMGLLEGEHEEFREFHNLRTLILIECDIGERCQVLWYVLQNVPNLERLVLQDCEISGNCSGESASSCRGTAFRGCKNLKSIQVKYKDHNVPHVLVAALTQIAKDVVKTHGGKTNYVGWLDWMSRVVSCNSLCQSAVVAEPDNSLSDEEHESRVRKRRARKKHARDRAIKAGRRAAHDLARC >cds.KYUSt_chr4.45800 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283437500:283441679:1 gene:KYUSg_chr4.45800 transcript:KYUSt_chr4.45800 gene_biotype:protein_coding transcript_biotype:protein_coding MHVQQPLSHLSLSKVPSTELDLNRSPTCADLGPGCTRKSRAILSENIPVSINPFVKMPPTLTTPADEGNILKIRKVYEAFLAEFPLCFGYWKKYADHEGHLDGVSKVIEVYERAVLAVTYSVDIWVNYCLFAISTHDDPDIIRRLFERGLAYVGSDFRSNTLWDEYIKYEESLQAWSHLAVIYTRILEHPVQQLDRLKELTTTRNLSEILTAEETSMYGLTVENSAWALDGEAHQNDVDKTAEPEISCSTEAEDKAKYVSVREEMYKKAKEIYVQAEWHPLGLAGAELPGTSLSSASQPASSMAPPACSRSHRWSDMVEYASSEESSSVWPYSDMRTGSRPNPPQLKGTVVSSVGVMATCRQQSACNMLDGWPPWPCARNLS >cds.KYUSt_chr5.11651 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75500963:75502360:1 gene:KYUSg_chr5.11651 transcript:KYUSt_chr5.11651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os02g0496100)] MEVSGGAGGGGGGGGGGGPAPFLLKTYEMVDDPSTDVVVSWSDTSDASFVVWNSPEFAARLLPTYFKHSNFSSFIRQLNTYGFRKVDPERWEFANEYFVKGQKHLLKNIYRRKPIHSHSHQPGALPDNERAFFEDEIDRLAREKANLQAELWKFKQQQSGTMFQIEDLEQRVLDMEQRQGRMIAFLQQASKNPHFVQKLVKMAEASSIFSDAFHKKRRLSGLDHATGATEATSFYDDHSTTSKQEMGNLLNQHFSDKLKLGLCPTMTENNLITLSAQSSHEDNGSPHGKHSGCNRMGTEDLPLVPQTMELSDTGTSICPTKDACFTPAVNDEGLFPCHLSLTLASCSMGVDRSQVSNAGVSTTADEGSENPLEATKDGNQNSSADVGTADPMTPRGDAQVATELAPAAPPVVVNDKFWEQFLTERPGCCETEDASSGPRSDPPMDKGQTEGNRKDGREDLEQLKL >cds.KYUSt_chr4.13324 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82155509:82155736:1 gene:KYUSg_chr4.13324 transcript:KYUSt_chr4.13324 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRRGRRPAGRLPGLLLPALMGTSCRRPSQDTVGSHVPDLLPAATTLRHVSNGYLPNKIRPGELLFPFHIYSC >cds.KYUSt_chr4.14005 pep primary_assembly:MPB_Lper_Kyuss_1697:4:86203377:86206721:1 gene:KYUSg_chr4.14005 transcript:KYUSt_chr4.14005 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRGSRSGSSSPSFLLRSGGSTRRGGCGLPRSVELLTHLVCCMGQMPVLLLPGIESGACSDDEIISWRKTNAAAIERNVNQKIQEQDDKDNSKRKRDTTTSTDDDEDIMCWSGPPKKPRSPIKCTEEIFATNATFRQPRKRQSTSTSKTATAGSNDMNRDSGQKSSSNSDASEQHSYEDGQNENIHQHRQGAESSHMPPRDPLCHVPNVTAMPHSTR >cds.KYUSt_chr7.1847 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10617309:10621291:-1 gene:KYUSg_chr7.1847 transcript:KYUSt_chr7.1847 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRARRGGGGIGAGFVSVLLVLLLALCGRGATGLDDDGELLMLFKRAVTADPLGALAGWSVSDADACAWNGVICNGFPPTTQTSFMSTANLTSTTDGATTSNSTTTLTTTSKNGTTNGTSASAATVSRVIGLVLPNAQLSGTLPADLSRIQHLRHLDLSGNNITSTLPPALLHNATELRVLSLAGNDLTGSLPDGAPSRGLQELNLSDNALAGWLPAPLLAAPGLAVLGLANNYLAGELPAGGLGPSLQLLDLSSNYFRGALPPDFGGARLRLLNVSSNRLTGALPAELSDAVPPNATVDLSGNNFTGAVPPAGLFGAQPAAAYEGNPGLCGAPVRRACSIPSSLSDPPNATDSPPAFAAIPKSAARAPPGSPEARAPRGGQGRLRPLTILAIVAGDIAGVGLLFLLFLYVYHARKKRRQENPTAPQQQQKTAAAVAKEGKPSASAGCCIGGGRSDGSEDSSDCSASSSSDAASDDGRLSSSDPKKRAGSFIGWSTPQHHSKKHEPQQAPAPATLVTVDVGGGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGADKLKDFEAQVRAVARFRHPNLLRLRGFYWGADEKLLIHDYAVNGSLANIAFTRRMGASPMHLNLEARLRIARGVARGLSFIHEKKGVHGNVKPSNILLGADMEPLVGDLGLDRLLSGAALHRNTGASARLFGSKRSMHSTSSLPDLSQMPGGASPSCASTSSTAHPPYQAPECLKSLRPSAKWDVYSFGMVLLELLSGRVYSEVELCQWHAAGSVAGTSDLDQRGRVLRMADPTLRGDDNEDALLGCFRLAFACCAMAPGKRPSMRDVAALLDRIQAAPLSNSIETPY >cds.KYUSt_chr2.54454 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339720527:339724254:-1 gene:KYUSg_chr2.54454 transcript:KYUSt_chr2.54454 gene_biotype:protein_coding transcript_biotype:protein_coding MVTERISPPITMSQHPAPQNHVTATVGPPESPLPPVHCIRSTRPAAPIGYLTRPRQPRTQRKSPSGGGTHRKGTPLALAPALVSSSSSGLRRAEAMAASAASGKVLPLLAVAAALAAALLYAAPFSKSLGGEGCSLLQHDHFWIASERVVTLGRVGPAAVEVKGGLINAIAVGDYRSFVLRRPLVDYGDAVIMPGLIDVHAHLDEPGRAEWEGFSTGTRAAAAGGITTLVDMPLNSFPSTVSQETLKLKLDAARDKLYVDVGFWGGLVPENALNPSKLESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYKRPLLIHAERIPDVASDDELDGELDPRSYATYLKSRPATWEESAIRDLKRAMKDTEVGGRSEGAHLHIVHLSDAETTLDLLKDAKQSGARLSIETCPHYLAFSAEEVPDGDTRFKCSPPIRNAANKENLWGALLDGHIDMLSSDHSPSTPDLKLMEEGNFMKAWGGISSLQFVLPVTWSHGKKYGITLNQLVSWWSEKPAELAGQKNKGAILPGYHADIVVWKPEAQFHLDDSHAVYHKHRNISAYLGKELSGHVLSTFVRGNLVFAEGKHAGAACGVTILAK >cds.KYUSt_chr4.254 pep primary_assembly:MPB_Lper_Kyuss_1697:4:1379776:1380210:-1 gene:KYUSg_chr4.254 transcript:KYUSt_chr4.254 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFKMIATWELVVMLVQFLLGNSYLLLPASANAACCSDILFPRLALLSGFFLLTTVAAATILLWVRMAVSSAQAYKKGASLRTLLLLAIGLSATSTFLAIPTYETGYGYRKGCVYSRHPLAVVVMVIMALEHGCAALVAVALD >cds.KYUSt_chr2.38895 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241119533:241121450:-1 gene:KYUSg_chr2.38895 transcript:KYUSt_chr2.38895 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRATTNPGESLISSTFASRYVRTALPRFKIPDQSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAEGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPEKAVELVDENTICVAAILGSTLNGEFEDVKTLNDLLVAKNAETGWNTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPDELIFHINYLGADQPTFTLNFSKGASQIIAQYYQLIRLGFEGYKGIMQNCRDNATVLREGVANMGYFDLVSKDSGVPLVAFSLKDSSRYTVFEVVESLRRFGWIVPAYTMPADAEHIAVMRVVIREDFSRSLAERLIADLNKVMGEMDAHAAKRGHVAAEPVKKTVHEIEKEVATYWRRLVAKKKSGLVC >cds.KYUSt_chr7.39840 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247485853:247495837:-1 gene:KYUSg_chr7.39840 transcript:KYUSt_chr7.39840 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVATSNAAPQATVEVQDIQGTGSNARAGEGQGSQKKKKEDKSGCFRCKQPGHHIDDCPTPFCDLCESVHHATNACHLLQSPKPTAILHGYANEALMFFELACGAFKAKVENPRLAKITVDGEAMTIPEIIEQLKKIVPSDKFNWEIFHLKDNIYRVKLPSKQEVQRLKNLGTYICNDRESILVFDIWSSLEEPMYSLPEVWVRVTGLPSDIITDYLSLWGVGTLFGKTLDVDMAYTRKHKVLRTKIGCLDRRLIPKDSEMFIRRGFFKLFFEIEEANEDQLVDMVEIDNGGDGNDDAANGEHNKEGGNEMDMDPKGQDDKNASSNEGQNASISDGVQGMQLAQYSQEINIGSIKIPLSPTGDLLSDQNLSPNVPFTNVLLTDHFRLKDKSCADSYADSVPGISTLGSPKMASGLVSQVGSEKRYKHAGRQQLTSPSDGVPSVHGKSFGEGRSATCGSSVRTAANVGLAVANDVVVDAGGGRLLEQKIHMHVSASGASPLSAAAENRRGQGSSTPGRGNLNVHARSWPQKIGLGSAPRADDWPIEGQSLEARLDAASRSSVLNAAVASGTSHITMGALELAKENVGEKFIVHGGDEELHDKQERVRIASPQNVKVPTVEQVIAFGGIPKPSDGVRSSTRLGNQIDGDMTQIDRAMKRAQMRDDPFSSGKQLIPKLSIVDIPESDFIHRAGSLESTNISLIEGVIHDIPQISADENDILTNPFTEEEVLEAISQMEHNKAPGPDGFPAEFYQKFWEKSYKGNILGIGRNQRPGSYFCTKLPEVRRRDEVGPRGGQTLGRRGLGLGRAGLLCGSPVTPFDLPFRLLKASVAKPPMHESHDTENLARDAAAANPISGIQEIASGTLPERGIISRRTLHRHGRLRSDE >cds.KYUSt_chr3.29114 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182131833:182134690:-1 gene:KYUSg_chr3.29114 transcript:KYUSt_chr3.29114 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPRRVGRGGGRSGAGRSTRRPPGAWASPELARRRRSNGAWKRADRKWPEMMAYLQARAEEASVGEAEAPPAATACSPPLSAMPPHGDDDDAAAGMPLACGSTSEVAVVEVAAVIEVAAVKQQKKSSNKWVCVVCNQRQSVLRVHARGYRAADLRRFVQDANLARGRGAPVPVPEADWDHQDEPPREKRRMDWSEYLDDTREHHGGPEVAGSQDYGIEVTTELPQERPKVPSLKRPPKAQLGAAGKRPKPPINSSLSKMQQMEQGPTRSTLSSATVTAEGNTRKIRHMFLHLS >cds.KYUSt_chr1.20497 pep primary_assembly:MPB_Lper_Kyuss_1697:1:120895027:120899139:1 gene:KYUSg_chr1.20497 transcript:KYUSt_chr1.20497 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRRGSQALLSAPSQVAAMAARYPAAPQPEIALLGARSVSTQIVRTRMKSVKNIQKITKAMKMVAASKLRAVQTRTENSRGLWQPFTALLGDAPSVDVKKNVIVAITSDKGLCGGINSTSVKVSKALLKMTSGPEKETKYVILGEKGKVQLIRDSRKHIEMTVSELQKNPINYTQVAVLADDILKNVEYDAIRVVFNKFQSVISFQPTMATILSPEIMEKESESGGKVGDLDSYEIEGGETKSEILQNLTEFQFSCVMYNAVLENGCSELGARMSAMDSSSRNAGEMLDRLTLTYNRSVPPPFFPSDLQCMGHVKHLSLQSSLRSYRVPLPLRDDDETFAHHLFHFLVPTKAAYNLRHPFIFPSEWTMVLSYNN >cds.KYUSt_chr2.36432 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224866395:224870182:-1 gene:KYUSg_chr2.36432 transcript:KYUSt_chr2.36432 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRDSRALTLANRGRRSARDDKGGVSAASVRRAAATGLQRLLILRLPLLQGVREQSCGDKSEQEEKERYLLLLVRGQGRGPRRGASASDSGSRGRTFRRIDSALLPDREGAWVLRPKSSDPSFVVHFIGGIFVGAAPQVTYRFFLERLAEKGALVIATPYASGFDHFYIADDVQFKFDRCLRNLVEPVNDLPTFGVGHSLGSLIHLLIGSRYAVQRSGNVLMAFNNKIKSYYGISRNLLIKFKDDQIDETSILAQILSSEAAISSLLDMSIRSLPGDHALPLQQVLPDVPPGMADAVNRGGELLANLTAGTPWEAVAKEVGTTFGTDSGILRTQVPEDVNALVDVIVSWIASNSGPKLLR >cds.KYUSt_chr2.49681 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310832074:310840290:1 gene:KYUSg_chr2.49681 transcript:KYUSt_chr2.49681 gene_biotype:protein_coding transcript_biotype:protein_coding MYASVCRPLFSDEIEMFPSSLLSPSAIKVSIKTNIDQDMRGTASCQPLHAVGSVGVRHSGHVPVSPPAIQDSMHAAWNACEQLRSTATCRCPPTSSSPDMQIAQIASLTSSSSASPSPADATRCRLCCGATDDRLFMRDELGSRNAASMRRSIAAVSISSVQGSSGSISPFNETMPRRSRTTAATKRTYSRRMVWLLDDYYDREHRTHYQADLGQVLPPLKFRYHGAMRDMPYEERYTKHIEPSGHLLFISLGEPLCMNTASDGWRTQMEGLIGMASPEPLNKADRAPAGTNYKWIKDHFAQCPSGADRDTVRTYTRVYFWYIISRTLFDDSGGKFAQWCWLKALTVLEHKWSWETATLAYLYRQPFTQTEFYQLGNGGDMIFERDLFALSEFLGRPPPEFFGGQVNDQPGGQLQWVIMADLRGKLTFPMTERIEFSFRENNWAD >cds.KYUSt_chr7.38644 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240562577:240566781:1 gene:KYUSg_chr7.38644 transcript:KYUSt_chr7.38644 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEHRVVAVAVVVGAVVVGLRPRGSARCCPGRRLPTPGDGLYRPSSGGLEVDDGDSDAGWRCRETAVPRDIVVGGWSGAGGELACGGRVQQPPPSLRLLGAADRARPDPDAASSARFSSSMSASDLAIASAIAASSARFASSSASWLAAAASFFVFLPVEAEAVLLESVDVPSAISEEIDKFNIGKLVLDWIHRNGTENVAFHQASLSSQHDHTLPQLSSSSSGNSIQKSFLRDTSLDGTDLRASVSDTATSLNHSVEQRDLKLEIENIKVKLQHLQKLHEVDQNESVDSPQKVCDTLGIRHNKEQIKHREIDMTEHMVRRLLQAATSSFSSDLVIGKGTYGTVYRAKFPHTVAAVKVLNSLEGFGSKQLQQELEVLGKIRHPHLLLLLGACPEHGCVVYEFMENGSLDDMLHHRRNGTPPLAWYDRIRIAWEVATAVAFLHSARPDPIIHRDLKPANILLDRNLASKVGDVGLSTALPLRATGEGQHTMVKNTAPVGTFCYIDPEYQRTGAVSAKSDVYALGVVVLQLLTGRTSPLGLAHAVETALEDGGSAFTDILDATGGKWPLEEAQELAVLALRCAEMRRRDRPGLHEHVLPALERIKDVAARAARLVRSASAATAPPGHFLCPILQEVMVDPVVASDGYTYDRKAIEMWLGMKDKSPMTNLRLQSRSLIPNHSLRSAIMDWRSSSR >cds.KYUSt_chr7.18635 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115463472:115466359:1 gene:KYUSg_chr7.18635 transcript:KYUSt_chr7.18635 gene_biotype:protein_coding transcript_biotype:protein_coding METKSGEAGSPTPSPAAAAAAPVSAAAVPEATASFQSPESVAPTAAAAAPAPSAVEKISSADLLVPPGYMTTAVVAAGGGGFPAARPVMKVGKKRGRPRKYGPDGSLIRPLNATPISASVPMAASVAAGQYTPASAVGAAMKRGRGRPLDFAAAASKPYQHHLQQHQPHQSHQPQQQFGFHFGSIGDMVACSAGGNFTPHILTVAPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPNETSGPRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYQMEPKQKKAKVAAAPTFTQSVPVAIPLSSTDTLSSEQGQNSSAAAPRMNVVTSAYNADQSWASPVAQSAPVDASSTPSEDLKLTASGA >cds.KYUSt_chr7.23482 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146232141:146235858:-1 gene:KYUSg_chr7.23482 transcript:KYUSt_chr7.23482 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVGERQQATMGSPLASKTTRRVLALARSPSRRPHQARQPRRWEPAFVPLLPGRRDGLLCLAPSCDAVCRCRATPQTFQGRCVLLFLAPRLRSKIEELLFLAAHLCSILAASASASSPKSAIKGDMKLRVVVMDSEAAAVTATERSTAKEKLCSLFQECQLRCRTAAASVDVFAFEDLTAAELKRLTEGAADGEGAAADEKGSAVADALSEERWHAVVRTGRCCLESDKSFPSLLCSHAALRVDLRSDPPPLTYSFPGGAACRQGVGLGGQASSVHSRVGIRVDLLPWLLSFMLVWGEQPGDASLTWWLSAFVAVSAVLRRSEPEAEVVDDSEIPANKLVCCTSTGRGWSSGAAILVRLGGEEKAVVQAKIRVGFDLQMGRRAPARAGTPLDWLRRPRLFICYCDDLSCNWIHGDLQNPIQLASWVVRLLLRLLQASPDLLLTPSFNLRREALTLPFDGKDAAGDGGNASPARSGLAGGPDGILNFILGSFLQIGRTWL >cds.KYUSt_chr7.13610 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83967803:83968390:1 gene:KYUSg_chr7.13610 transcript:KYUSt_chr7.13610 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPQVQPRPSARGFLRAAVTFKPRNPFLPHRPAASAGIGVQLTVSDTELASRGFDVRRTAEGLDVAALNQVFARVGFPQRQEERLRRALEHSEVAWLASAATGRPVAFARAAGDGVFNAVVWDVVVEPSCQGLGLGRAVMERLVAELRRKGVGNIVLYAEPRVVGFYRPLGFAMDPDGIRGMAYYRSKQKTAAQ >cds.KYUSt_chr5.32102 pep primary_assembly:MPB_Lper_Kyuss_1697:5:203589303:203591457:1 gene:KYUSg_chr5.32102 transcript:KYUSt_chr5.32102 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSALAIYAEFHNGLLFWQLQAHVLDHCKDVLLLDLLHREAVQDQREDDGVFARSSFKTVSSSSRSRVSNARSDPNSLSLTDRWGLFFLPNSRDYVEQDKGKNMLVYKLFLIMEVDVISLDEAAKFLLGEGHEESNIRS >cds.KYUSt_chr4.15051 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92948003:92956899:1 gene:KYUSg_chr4.15051 transcript:KYUSt_chr4.15051 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFQPPTRRPLTASAAGVAAKWFILVDLEMSSGGCSSPEEGTRATFSCSSAPIFVTDNKTLSIAAAANDPVDAPGHWQIAPQLAAIFSTPAFNPKRIHHTNMQHNFKAHHQAKLALRLLKHSSSSEHSEDEMSKALEVTVNSGGVVFFALFNSLDNNGLPKEEAAVIKFAASKMATQAELLGYEFARLLGVQTPQARVVHNSSLEWQEIRHAAENAREIAVSNKDEIGEMTCSELLEALELSRCLLLMSYIHGSPLLESSKAFSSQKAACATSSSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRSSFPSVDRFEDSKSASDSSNPLLSKEKRFHSANGRLDSLEVDLMSRKADASTSIPENAGSTSGTLHIVAIDTGVPRRPPAGRRLKDHERYPKVVELILNNSDYSSNILCEISGGKLGLSGPDESITSIDSCCSLSDEENASAIHEFRVAFRAALRDLEGFHLFLLQLYQKLDGVLRVFSSIITKSSEEPDHNDIAVSDFPSPGVNYSTPCPPNKQLNSELHSDSGVLKSATKTSSAGSRGSLDSVSPMSRDSWSNKHLRGSADSSRSLRMTMKLRDFHKTPKVDLDPELTREIEQWNEAFKSDVIRFCQENNFHSGFFDGTENNMVGDAYELKVRLEHIIERIALVSDAANTERPSLVINNVFIGGALAARSKYTLKHLGITHVLCLCSNEIGQSDTQFPDLFQYKNFSISDDDDANISDLFEEASDFIDQVDVVGGKVLIHCFEGKSRSATVVLAYLMLRKGFTLAKAWNLLKKVHRRAQPNDGFAKALLALDKKLHGKVSMDWQQKRPEMKVCPICSKNVGLSTSSLKLHLQKAHRRLSQGSVDSAMTMEIQKSIQSLRISRGGSLSPSQKLAKAFADELSF >cds.KYUSt_chr6.7353 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44696919:44697212:-1 gene:KYUSg_chr6.7353 transcript:KYUSt_chr6.7353 gene_biotype:protein_coding transcript_biotype:protein_coding MERPTAGVGTERRLGGHQASAEDAEPTEEESTRGDTTASIDPLEDDALRGVDGVASRMRQAMFPKMGNSMRRRRRRGKGGLAKGQTRTRILGVWAVM >cds.KYUSt_chr5.17228 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111309193:111309591:-1 gene:KYUSg_chr5.17228 transcript:KYUSt_chr5.17228 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGATDSPDRLRHSYSPPALEGRRVLLPTAVPFPLPRTAGELNAHRSPNSLPSVPERWVRSPEALEIGAFLRDVRSRRKGGARGPSPYQERQEAADAHADAKALACTVKEALARGRPHRDQELAAGPPGRL >cds.KYUSt_chr5.3159 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20417599:20417943:-1 gene:KYUSg_chr5.3159 transcript:KYUSt_chr5.3159 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASTSPAAAVQVPPLKRRLTQEQIEEVMALERRPFRPPGLDRFARMGPQGAEVLKILAGAAEHLEKLQDMKMEYKERVLRQFAAKGYVEVADHEDQYGGVDRVWERDMVFD >cds.KYUSt_chr7.16823 pep primary_assembly:MPB_Lper_Kyuss_1697:7:104226379:104227558:-1 gene:KYUSg_chr7.16823 transcript:KYUSt_chr7.16823 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAARCQLLLVAVALATLLAGVAGVQLSSRFYDRRCPKLQSIVRSAVAQAVATEPRMGASILRLFFHDCFVNGCDASILLDDTASFTGEKNAGPNANSVRGYDVIDAIKTQVEAACSATVSCADIVALAARDSVNLLGGPTWTVQLGRRDALNASQSAANSNLPGPGSSLDTLITMFGNKGLSPRDMTALSGAHTIGQARCTTFRDRIYSDANVNATFAALRQQTCPQTGGDGTLAPIDVTTPDTFDTAYYENLASRQGLFHSDQELYNGGSQDVLVRMYRRRPSTFFVDFAKAMVRMGNLVPSADTATEIRLDCKKIN >cds.KYUSt_scaffold_2697.92 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:628418:629924:-1 gene:KYUSg_scaffold_2697.92 transcript:KYUSt_scaffold_2697.92 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYLTPFLPNTAMAKKEMHSLLGNCAHVFGMSLENTIQGYQVTTNMGHVPALIIKRSVLITGSAILSIAIRYRALLDRWILHKFAVSQGAPTHSAMLLRSCWQRISLPLFVGIGFLMARLYNYISIHSFIYGRQVIRSVEANIQSDSVIENNELSNQQSIIPSLFGGKHVYARLALQCIFSTAMLKAQLAPKSISFKARCLQKKHDISALRSIVARNGQWLTYIGALVTLQIFLQLNKVNTTSSLLPMLFQTTSSRSRAAVVGNIVIILVNSCGILGSAFTIKQHGRAATLTVSVVLMVFCQIAIPSILEFHIGLGGGSRMPRGYTAAMFVLTCVVSCGLSWSWGSMFWTVPGNKTHSAGQVAGMALNLVFCFAQMQYFLVLLWRLRSALLAYYAMWIWS >cds.KYUSt_chr3.27079 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169016472:169019534:1 gene:KYUSg_chr3.27079 transcript:KYUSt_chr3.27079 gene_biotype:protein_coding transcript_biotype:protein_coding MYYYGYYYPGSFGGWDENGYYTGSNGLEMHPTMVQAENGSYLCYVPGYENGYAAYSPVVPGTGVDGQYVSNETYYSTAIPMQNSSTPGMFTQPIAYGPELVPAYAWDPSYVLLDGIQGHPAGLHQTNYTARSNYTSNKHAAPSSKASRSTKYASGTIKGSSSTVDTVPTSANNHPSSKFANKASGASMAKGHLPPSKFVVHANQGKGSLYQSKGINGGEKLKERSKLNGFGDCDISNNCNDDLKNSVSPGADFSGLSGVQGANDDTTSLVKVSRDSYNLPDFVTKYEQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYKVAQERMSGKGTKCPVFLFFSVNASGQFCGVAEMVGPLDFNKNMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFLQGAEMLKIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLTTSLGHFMVYSEHLVSLHLFYQGQHL >cds.KYUSt_chr3.47987 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300496338:300507601:1 gene:KYUSg_chr3.47987 transcript:KYUSt_chr3.47987 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAVAGCFRDKSILITGSTGFLGKLLVEKILRVQPAVKKLYLLVRAADAASAEQRVFSDVIEMDLFNVLRKKYGLAGFQSFIQEKVVPLAGDIIYENFGLDNSRADALSKDIDVIINGAATTNFCERYDVALASNALGPRNACEFAKKCPNLKLLLHISTAFVAGTQEGLLLEKAFQNGETLREGYYMDIEAELQLVDKIKSELTAAKSGTSEQLEKSTMKELGLKRACHFGWPNVYTFTKTMGEILLGQRRGNLPVVIIRPTMVTSTYQDPFPGWIEGARTIDALIVAYNEQAFPCFIGDRSNVIDAIPADIVINAAMVAIAVHWNEEAQVIYHMEPLNQTKFYQLGNGGNLIFERDLDALSVNLGRPHPEFFGTQVNDQPGGELQWVIVADLRGRMEPPASERIHFTIRESNSLDGLACALQEALARLCGQNVIGIQDSRFAHFARHDSIGGP >cds.KYUSt_chr6.21476 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135568455:135569144:-1 gene:KYUSg_chr6.21476 transcript:KYUSt_chr6.21476 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLSTLSSAAAATAGRRFVLSSPSLSFASRRLATQSHHLRAAAAPGARRFAASAAASAAPVVATIAVGDKLPDATLSFFDPADGELKTVTVGELTAGKKAVLFAVPGAFTPTCSQKHLPGFVEKAGELRAKGVDTVACVSVNDAFVMKAWKESLGLGDDVLLLSDGNLELTRALGVEMDLSDKPMGLGVRSRRYALLADDGVVKVLNLEEGGAFTTSSAEDMLNAL >cds.KYUSt_chr4.42626 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263926235:263931212:1 gene:KYUSg_chr4.42626 transcript:KYUSt_chr4.42626 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELPPFTKVGLFPYARVPSRPSVWVASAPGKVLVAGGYLVLERPNPGLVLSTTARFYAIVRPIHDELSPGSWAWGWTDVKVTSPQLSREATYKLSLKHSMLQLTSARRSGNPFVEQAIQFSIAAAKAIVTDKEKKDTLDKLLLQGLNITILGSNDFYSYRKQIEARGLPLSPEVLLSLPPFCSITFNSEVANGTGEICKPEVAKTGLGSSAAMTASVVAALLHYLGAVNLPCLGQSSSDSATERDLDLVHAIAQSAHCIAQGKIGSGFDVSAAVYGSQRYTRFSPEILSSAQVSGGCCLPEVVADIATGKWDHEKTRFSLPPLMCLLLGEPGTGGSSTPSMVGSVKKWQKSDPEKSKDTWSKLGMANSVLEEQLRNLNKLAEDHWAAYESVVRSCSHLTWMKWTEVATNQHEELIVRSLLAARNAFLEIRLHMREMGVAAGIPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVTLGEASAAVANAWSSAGVLPLLVREDSRGVSLEAGDPRTREVSTAVSSIQIN >cds.KYUSt_chr2.51566 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322210674:322212060:1 gene:KYUSg_chr2.51566 transcript:KYUSt_chr2.51566 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVSGRLLTRNINMASSHQQHQHQQPPRALPVINIGRLGDKDPAARALVVQDIARACRDRGCFQVINHGVSKSVMNGALEAASEFFDMSTEHKQVFASEDIRGPIRYDTSARDGISKSRSFLKHYANPLDDWIKFWPMQPATYREKMGKYAVEIQRLSVQLLGAIVQGLGLEPMYLQEKLGTGLQFMALNNYPQGSSLVGDTVGLAPHSDYGFITILLQSSPGLEVMHHDDNAWTPVPAIPGALHVHLGDHMEVLSNGRLRSLLHRAILNTDEARISIASLHGVAIDEKVSCAEELVDELHPKLYRESSFHDFLDFLPTNVNTYRRFVETLKFDRA >cds.KYUSt_chr2.16658 pep primary_assembly:MPB_Lper_Kyuss_1697:2:104719454:104722744:1 gene:KYUSg_chr2.16658 transcript:KYUSt_chr2.16658 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASGETTVTAAGGDDDGKKRRRRWKAPREDQLGMVPGRIFSNDGRSRTASVFTQQGRKGINQDAMLIWDGFGGEDDGVLCGVFDGHGPHGHLVARRARDSLPLRLMSAARASSKNGLDMPAAAWRKAFVRAYKAMDKDLRSHPSLDSFCSGSTAVTVLKLGSDLYMANIGDSRAVLGSRDAVAGGMVAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPDFFHWSLTDKDQFVILASDGVWDVLSNQEAVDIVSSSPSRSKAARALVEAANREWKTKYPTSRTDDCAVVCLYLDGKMDHERDSTASMDNISIEDGSVADPNEAQEQQEPTLTRNFTVRTVPGSAQEKALSGVDAKISGAADDHNWSGLDGVTRVNSLVQLPRFSEEKAIG >cds.KYUSt_chr3.5292 pep primary_assembly:MPB_Lper_Kyuss_1697:3:29996758:29999352:-1 gene:KYUSg_chr3.5292 transcript:KYUSt_chr3.5292 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVRRCPFADLWVDPFDGFCSIVPASWDSDSAGFANARVDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKKEKWHRVERSSGKFIRRFQLPENAKVEEVKAGLENGVLTVTVPKVEIKKPESGIGLDDSDKEDEDDEAINLDAQEEDEEEEHEEEEEEEKPHKKGRDGNGHHRQQPSRDLLVRCPSCPTLGIHTGSREANGSSAEYSCASTASYRAYATSSRASTTTGAPAISAMAVAFRATDLH >cds.KYUSt_chr6.3025 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17734126:17736637:-1 gene:KYUSg_chr6.3025 transcript:KYUSt_chr6.3025 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYGARFTQFLRGCPRAFRALTSLAVENLRFTDPDAITNLVRHCRALEHLSMRFCGFVPPTTVMVVDAPPESRLRTLLCLECNVPGITILQAPSLVEFYCGWRVLVEEDEGAQPPPASFGCTPQLKKLTLQYEQYEEAYDDEEYHSEWRLSEFLMLEPHQLQVLTLSFEATKIWVQPEYPKYLRPALGGLKELNLEKIHPTNDFTWLVFHLLGAAPRLQTLHIEVFNHVCHPDWHKKLDIETDTVCMPPLGFKHRRLKEVSIRRAFHVFKDMPFARRILEMAVKLEKLTLGVEDLGCDGCTVTLPRWPALASSRHTFNRASKDVDMLVEKLKHGIATSAQIQLL >cds.KYUSt_chr3.43058 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272177893:272180411:-1 gene:KYUSg_chr3.43058 transcript:KYUSt_chr3.43058 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTRSKALGLAVSRSDAAPLAGARGLRALSTLPRDPAAAPAPSPRQPAVGSPPLGLSKILGYEQASRLSGTKVLPRWFSTGASNGSSDQQVVSDAVKAQQEGASAKVTAFSPSEATIGKYRISPLTDESKRVRKSEIRTKVSYYMIPTLLLVSKNSVSTSLLVAAVYHQIYMFNKEIFLDYVHHDITRKWALIYFKLLLLVMAKDTMVYFGLF >cds.KYUSt_chr4.21009 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132363690:132368913:1 gene:KYUSg_chr4.21009 transcript:KYUSt_chr4.21009 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVVLDSQCYKHLDGHRWLSTTWHFLSGPSGYVYSSTPTRHHRKAPFFPAQIKEPAHNAPAHRDFAGEDTVAYSGSFSMELCTASLSTSAHRSHISTFNRPLPYHQYASSRSWVSKRRRQSSLYVMNAASTRVLPSSRGIGKPAQTNGAAVSNQKNSALEQLDIERGVCIPFRKYSPEMVRNKVLGSSGSVLSLASRGVEIIWKLGFYWSSLVYDYLVGRDEEIVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMSELCILQDDVPPFANQVAFSIIEEELGQPLEQLFSKISSETIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFIVNFKNDPTVKIPLVYTKFSGSRVLVMEWIDGIRCTDPQAIKAAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNVFAMRDGRIAYVDFGNVAVLSQQNKEILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIIPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLEPDFKFLEVAYPYIANRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVNKMSTNPALISNSLQTVSSQKMEKKLDLTDTIKDGARLFLIDSAIRRQLIMAFTEDSKLHVEELADVYRLVEDQIDIPSVALEVLQDLPSVARDFMLSWSDSVLSDRQN >cds.KYUSt_chr6.11414 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70844445:70851679:1 gene:KYUSg_chr6.11414 transcript:KYUSt_chr6.11414 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQRLAYYNMQWQQKTIKQTNDPTRYQSISAHDIEAEEDDGADEDIGLLEEEAWRAARPRVDSRRRNAGGGFSPVVELSGMENGEIEAAEDGLPVPAPPNGRRYRPVGSEDSAVIQMTSMDGSSTSATTVAGVTPQPPR >cds.KYUSt_chr3.4915 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27911670:27911999:1 gene:KYUSg_chr3.4915 transcript:KYUSt_chr3.4915 gene_biotype:protein_coding transcript_biotype:protein_coding MIALTASTDLLITAKYRADTPAPSVSAASSGASFTSLSTTARWPCLAARCSAVSPASFLPHRIPRSASSSTLARATRDQVAMALLGGDVENRVSLSGELLNRTSSMVTS >cds.KYUSt_chr7.17313 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107216215:107217255:-1 gene:KYUSg_chr7.17313 transcript:KYUSt_chr7.17313 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWLEELLEQDLRRARRGSITTGVLRARASSGIALNESVSVEVMKSGKCFIVLGFPYLLLLIISSCLPDHVTSLSFNYNFSDPAVLAGADLMYMNDSAPVLDRIDLTNHSSWYSTGRVAHRQAVRLWDDSTGKVASFTSNFVFAIKPADSNPKASSYSIFLMD >cds.KYUSt_chr3.29497 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184652821:184655701:-1 gene:KYUSg_chr3.29497 transcript:KYUSt_chr3.29497 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS box transcription factor, Regulation of floral organ identit [Source: Projected from Oryza sativa (Os01g0726400)] MGRGRSEIKRIENPTQRQSTFYKRRDGLFKKARELAVLCDADLLLLLFSASGKLYHYLAPTVPSVKDFVERYETATHTKVWSDIRQERRAELEKVGKMCDILEKELRFMTVDDREQYTVPSLAGLEQSLEAAMRKVRFEKDRKIGGEMSYLENMIRGKQAERYGLCDKLAHAQSLKQMEGGSTSLNNGLDLKLGPICEAKHQALVQCRLKANKGSWLHLRNMRLHVNAEGVWSLVMNANIRTGDGRSSHAENVMVVVLFGEKALKRTESFARDKARRL >cds.KYUSt_chr3.45097 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284117248:284119007:-1 gene:KYUSg_chr3.45097 transcript:KYUSt_chr3.45097 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASRIALTSSYFFLSSSVRSSYVTGVPSAISDVDGDVVDVEDGPTERARMCYDCNIPVAKRVAYRLAKSFGDPSKGPDPKKGSAEDVQEKMKTFMRVRKKPSSPLETQAIRELILANV >cds.KYUSt_chr7.39452 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245292259:245292669:1 gene:KYUSg_chr7.39452 transcript:KYUSt_chr7.39452 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr5.32265 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204697602:204699404:-1 gene:KYUSg_chr5.32265 transcript:KYUSt_chr5.32265 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLNQQQSSPDGGGEGEEEAYKILVMDGPCISLLSPVLRVGDLRKHGVTLHLNIDKARQQVPDAPAVYLVRPTPANADRIAADAAAGLYASFHINFSTSVPRPVLERLASATAASRSAHRVARVADQYLDFICLEDGLFSLAQPRAYVSLNDPAAADADITAAVDAIALGLFCVVSTLGTVPIIRCARGGPAEMVAAALDARLRDHLLAKPNLFTEAASSAASSFQRPVLCLFDRNFELSVGIQHDWSYRPLVHDVLSLKLNKLKLPTEKYDLDDSDPFWVANSWLEFPEVAKEIEAQLAKYKQDVDEVNQRTGGGRDGVEFDGTDLIGNTKHLMNAVNSLPELTDRKKMIDKHTNIATALLARIKERSLDGYYRCENDMLENGTVDRTMLLSLLSGKGTKEDKLRLAVTYLLSFEAPPSSELEQVEAALRESDVDMSAFLYVKRIKSLNTQFAAASSTASRSNIVDWAEKLYGQSISAVTNYLSDGRQLALTRTVEALMEGKPNPEVDNYILFDPRAPRSGTGGQFRGPFREAIVFMIGGGNYIEYRSLIELGQRSQPSKHVIYGATEILNGVEFIQQLAELGQKAGLGSGGSNLPSQ >cds.KYUSt_chr7.2533 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14824525:14825610:-1 gene:KYUSg_chr7.2533 transcript:KYUSt_chr7.2533 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTFVWAGEEGEHAAQGKALVNWRTICRPKKLGGLGIPDIERYGRALRLRWPWLQWTDPDRAWSGSKLPCDDTDMSLFRAATKVTIGNGETAKFWHDNWYARGPLSQWAPDLYRIATRKNRTVAKELSENNWIRSVASLNSPQQLAQYIDVWEAVHSINLAPELPDTIFWMLNANSTYTASSAYEAQFLGSHARFDAMKIWSAHAEPKCKLNCPFTAAVWNLVKSWDGDSSDDRRLDFQSISDWWNDMIKEKPQKEKKRISGRFLYVLWNAWKERNRRIFTGQRLTYLEVASIANEDILQRDRAINGFGPAIPADPD >cds.KYUSt_chr7.24703 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153992830:153997618:1 gene:KYUSg_chr7.24703 transcript:KYUSt_chr7.24703 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSQEAIDTFISITGADEPAAARKLQEHSGDLNEAVNAYFNEGDRSTTRIIQNPVPDSHDDVMEHMMDLDDPFDPPFSRPIGNPFDILHPGFAERAAAGFFGGRPQVTHPREVRQIPIEVKDTNPETGSSGQGPVIEDVTGRESSYGPEVHGTVVVDEDDDDDLPSAYAPTIPRDIPGTYYSAPSAPPLVSDYNNDIEEEMIRAAIEASKRDAEELTNAAERERVLRQQGILVDGSSDLSDKEDMEGASETVERQGLIARDVGTSRQLLDEENFQEDIEDVEEEPLVRQRSRRVLSRNAETTEAVQRPDSPPLSPQPHQHNGGFAESFFFHLKWGGISSEEHDEAVMLEAAMFGGIPEHTAYPFPRPSHGISASYPRVAHPPSPTLTAQRLIREQQDDEYLAALQADREKELKAVEDAELRRVEEAAAREAAIEKQKQENEDKLRKQLEEEELESLLAAKRESLPKEPLPNAEGAVTVVVRMPDGSRQGRRFLRSDQLQVLFDFIDISKTFKPGTYRLVRSYPRRAFTDEECLMSLNDVGLTSKQEALFLEQISG >cds.KYUSt_chr7.19081 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118216269:118227442:1 gene:KYUSg_chr7.19081 transcript:KYUSt_chr7.19081 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQASPENYTPPKDERTAREKAIDDWLPITSSRKAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVMTLSWIITVYTLWQMVEMHEIVPGKRFDRYHELGQHAFGDKLGLWIVVPQQLVVEVSLNIVYMVTGGNSLKKFHDVICDGNCKDIKLTYFIMIFASVHFVLSQLPNFNSISGISLAAAVMSLSYSTIAWGASLDKGKAANVDYSLRASTTAGQVFGFLGGLGDVAFSYSGHNVVLEIQATIPSTPDKPSKKPMWKGVVVAYIIIAACYFPVAMIGYWTFGNSVDDNILITLNRPKWLIAMANMMVVVHLIGSYQIYAMPVFDMMETVLVKKMNFPPGMMLRLITRTVYVAFTMFVGITFPFFGGLIGFFGGLAFAPTTYFLPCIMWLIICKPKRFSLSWFTNWDEEEVNATTDGQILSHLVGEVLEVDLDEDRLYSLYELKAFGRKSKSSSNEKPRNRHKKELIRSLVQDEDVTEGREQLKLVGAYEEGNFSLDESRTDDIPQLSGLKINFHKSEFFCFGEAKADANLYAELFSCRQGQFPIRPFTTLALMNLIFEDKACNFTKNTPRQKNEKAIRTWSTTVDARRHPQSVAAKERREGARTLPPGEKPLPLATSSPPGTNHLAAGWRRAPVGNENCLHPEGREVAVSSTADDSGHGGNCVIALEQEPPEIELASSTRKLRTISSSPLASMAVQRNKSDSAALKQVPPPNPIRSALHRRQQSRSPLATEAGRGTNLAAVFVAGLLSPASTASQEEQNNRRTPAKKEATREPFIHHKGLHHPRQNRPPPPWKLTGKLG >cds.KYUSt_chr1.37050 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226240400:226241343:-1 gene:KYUSg_chr1.37050 transcript:KYUSt_chr1.37050 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVNTKAPSPRRDRPSPASSPPPHRTGSGTVSGGTATTISPYSLARSPSVSASIDPNPDDKDGVVRFYSSDGCPVAAPPLHPTRSEAAPLAPLLHLSDAGMELCGTADELLCHVDARFEGKAAPVPPALADATDTALPHSPWPPTPHASHGCLAELLFAPAVTGLLHLPDVLDRLSKSFRRQSSGPRLLFTTEDDGAAALHHGG >cds.KYUSt_chr7.23826 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148398243:148401267:1 gene:KYUSg_chr7.23826 transcript:KYUSt_chr7.23826 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMKLSTLGSAASMLSTGLFDEQFQKLLLLQNKSDPNFVTETITMFCEDGEQTIAELTKQLGKQCVNFDEVATFVHKLEGCSARCLKTLDTLRVVFDEVSGKFKDMLQLEQQQAGATK >cds.KYUSt_chr3.28781 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179624065:179629594:-1 gene:KYUSg_chr3.28781 transcript:KYUSt_chr3.28781 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEDNRLSSSQTVSRANRRTMVGGGVRVAEVAECVVAQQLHAQLHAQLQRRLLLPQIGLSGLTSMVIIEPPWSSVLSRRRGLVDDGCCPAEFPTTTVMQRAACSIQR >cds.KYUSt_chr2.12765 pep primary_assembly:MPB_Lper_Kyuss_1697:2:80910022:80922851:1 gene:KYUSg_chr2.12765 transcript:KYUSt_chr2.12765 gene_biotype:protein_coding transcript_biotype:protein_coding RDKTSWFHMQGKTFGPEQATTMNTYKCMKVGAKKYKENGSNTSIENLKAKKRLDDYEKGLKSAYPEDWQERDLDPAVLYSTGGGMPHGRFLIVLFLYAYGVFDGIPMRIIGGRSINLSELDAGSLFGNQLAAMLVCVYHLQVHADLNRELTHYGLVSAVGCFIWIASMLTVNFLAGTRPDEEVAMLKKLRVDLPFDAGFKDGDDLAL >cds.KYUSt_chr7.20119 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124656423:124658487:1 gene:KYUSg_chr7.20119 transcript:KYUSt_chr7.20119 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETPQTAPPPTFLRGEEASSSSARARFERLIRRVQAEVCAALEAVEEGSGGGVGDGVFREDAWTRPGGGGGISRVLQGGRVFEKAAVNVSVVYGVMPPEAYRAARPDAAAGAEKAGPVPFFAAGVSSVIHPNNPFAPTMHFNYRYFETEASQDTPGAPRQWWFGGGTDLTPSYIIEEDIKHFHGVQKEACDKFDPTFYPKFKKWCDDYFHIKHRGERRGVGGIFFDDLSDRDQETVLDFVTECAESVIPAYIPIIERRRDTPFTEKHRAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGTEEWKLLDTCINPKEWI >cds.KYUSt_contig_1253.500 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3093926:3097191:-1 gene:KYUSg_contig_1253.500 transcript:KYUSt_contig_1253.500 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRVVFDSGLARLAAPGSGGSGPQMLLFGGSGGTSGGGFFRGMAGMEDGRVINNKRPFFTTHEELLEEEYYDEQAQEKKRRLTTDQVQMLERSFEEENKLEPERKTELAKRLGMAPRQVAVWFQNRRARWKNKQLEQDFDRLKAAYEALAADHHGVLSDNDRLRAQVISLTDKLEGKVTMPSAIAAAAQEVDQPDEHTSGLMVTRQHKGDIFNGGTNDGSSPVVIDVAEGTNHSGESGYFAGDYDNNVCTGQYGGGALSSEEEDGGAVSDEGCSFHLPDAMLAAGLAHQAAEEEEHLGSWNSWFWNE >cds.KYUSt_chr1.5906 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36397947:36398740:1 gene:KYUSg_chr1.5906 transcript:KYUSt_chr1.5906 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVKVYGWAMSPFVARALLCLEEAGVEYELVAMNPEAGDHLRPDFLAKNPFAQVPVLEDGDLTLFESRAIARHVLRKHKPELLAGDGSPEAAAMVDMWLEVEAQQHHAPTAAILLQCIVVPLRGGVRDQAVVDENVAKLRKVLEVYEARLSASRYLAGESLTLADLSHFPMMHYFMETEYAALVEELPHVKAWWEELKARPAARRVTEIGVQAAERWAQERGRAAVN >cds.KYUSt_chr4.52340 pep primary_assembly:MPB_Lper_Kyuss_1697:4:324659340:324661556:1 gene:KYUSg_chr4.52340 transcript:KYUSt_chr4.52340 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGALLFRQHQQPAWFVSFTILGAVYVFAVFSRLLAHLALLLRRPTNLRRRYGAWAVVTGPTSGIGRSVALELARRGLNLVLVGRDPAKLHDISETISATHGVDAKTVVLDLALVATPQGDAALRQLWEAVEGLDVGVLVNNAGLAKPCAVYLHEADVDAWVRMIRVNLWALTEVTAAVLPGMVERGRGAVVNIGSGSSEAIPSFPLYSVYAASKRYDRSVMFARVDMSGYVAQFSRSLYIEYRSKGIDVQCQAPLFVDTKMTAGVGGSRKRQGLLSRLTVPTSDVYADAAARWIGHGQVCIPNLVHRLQWCLCRVVPDHLLDALRLRKNLRQRALFQQLRSVRVKGGLTGSEQS >cds.KYUSt_chr1.34466 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209823533:209825182:-1 gene:KYUSg_chr1.34466 transcript:KYUSt_chr1.34466 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSALGPAARQAEDALMARLRACATFRDLLRVHAHVVLLSLSHSSYLATHIVHLCNAHARAAHAARVFAHVRHPNLHLHNAMIKLYAQNHLHRDAVTVYIRMLRCRPFPSAGFTGDRFTYPFLLKACGGLTALELGRQVHAHVVRSGCDANAIVQNSMIEMYTRAGDLSLARKVFDGMLHRDAVSWNTVISAHARLGQMRKARALFNSMPEKTIVSWTALVSGYTAAGDFSGAVEAFRSMQMEGFEPDDVSIVAVLPACAQLGSLELGRWIYAYCNRHKMLRKTYVCNALMEMYAKCGCIDQALQLFDGMAEKDVISWSTMIGGLAAHGRAKEAVRLFVEMEREGKVKPNGITFVGLLSACSHAGLLDQGLDYFDRMKDIYGIEPGVEHYGCVVDLLGRSGQIRQALDLIGDMPVPGDAKIWGSMLSACRSHGDVDTAVLAAERLVELEPDDVGNLVMLANVYAAARRWADVASTRKAIRSRSMKKTPGCSLIEVDNVVQEFVAGEDLKPEFGILFGVLDILASQLADEDVDSSDSDCVVDAQMSGH >cds.KYUSt_chr5.33586 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213167712:213172168:1 gene:KYUSg_chr5.33586 transcript:KYUSt_chr5.33586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysin motif-containing protein, Pattern recognition receptor, Peptidoglycan and chitin perception in innate immunit [Source: Projected from Oryza sativa (Os09g0452200)] MACMRVLSSSYRSAIKREGQARDAHIYRCISGGSKSTIDTPLCPDHPPPSCCHSRPPHSTYHLLLLLAAVACTRPPVTTSKSTVEPCTSSTSCPALLSYTLCADLKLAELAALFAADPLAILAANAMDFAAPDATNRILPAGLALRVPVPCACSNGIRKATSVRYVSRQGDTLASIASQVYGGLTAPDWIRESSDILEAGADDALDAGATLVVPLHCACFGGADNGVPAVYLTYVVAKGDTVPAIATRYRTTATDVMSVNDLATPDVAAGDIIVLPLPGEPRACRSVVLASPLHGSREAYRTVLLVLPHLHVGLWVGRGERDLCSDCQSLCPVQLWSGQLGVGTHCRLPKISIYQFGLSDAIALGFSLFCVPAPLADSTCSSMQCSNSSMMLGNFTLVMTGAGCSVTSCDYGGYANGTIITTLTTTLKPLCPGQHQFPPLIPPPTSSFFQTYLGPSPAPMTSRGGNNPTGSPDTVSDAPTMDRHFSCAAGILLALCLVATMRR >cds.KYUSt_contig_402.133 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:809719:811400:1 gene:KYUSg_contig_402.133 transcript:KYUSt_contig_402.133 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEMASQQQQGDSVKVVVNVRPLITQELLLGCTDCVTFTPGEPQIGIDRIRSGSWFIRSLQFYLVWVVRGIMSLALRLLFSDSPLFYGYADVIGMVTGILPIVNIGAKGRPVPKRT >cds.KYUSt_chr3.21031 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128925612:128933241:-1 gene:KYUSg_chr3.21031 transcript:KYUSt_chr3.21031 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSAAARDQHLDELRALMAAHSPPIHALLVPSEDAHQSEYVSERDKRRQFISGFTGSAGLALITAKEALLWTDGRYFLQATQQLSDRWKLMRMGEDPPVEVWIADNLLDGAVIGIDSWCISVDCAQRYEQAFLKKNQMLLELSSDLIDEVWKERPPNDALPVNVHPVEFTGRSVAEKIKELREKLQHEKATAIVITALDEVAWLYNVRGDDVHYSPVVHSYAIVTLHNAFFYVDKRKVTNEVENYMAGNGIDIREYHMVQPDVSLLSSGQLQGSVVNGNLHVEKDIKGQEHSKIWIDSNSCCLALYSKLKQDQVLMLQSPIALPKAVKNPMELDGLRKAHIRDGAAVVQYLAWLDNQMQEHYGASGYFSEANGSQKKEHLEKVKLTEVSVSDKLEGFRAEKEHFKSLSFPTISSVGPNAAIIHYSPEANTCAELDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDAAVFPNGTTGHSLDILARTPLWKNGLDYRHGTGHGVGSYLNVHEGPHLISFRPSARNVPLQASMTVTDGQ >cds.KYUSt_chr2.36787 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227226368:227230041:1 gene:KYUSg_chr2.36787 transcript:KYUSt_chr2.36787 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLPCFTQLAPPSPGWRGEAGSLGATSGRALVKSTPFSGHSHFRCCASPRSASSFQKKDSFLDLHPEVSLLRGEQNDEVVNPRNDSHNGSTLEGLGVPSDRDDYNAAKIKVIGVGGGGSNAVNRMIECSMNGVEFWIVNTDVQAIRMSPVHSQNRLQIGQELTRGLGAGGNPDIGMNAAKESCESIEEALHGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILWQGIRGISDIITVPGLVNVDFADVRAIMQNAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEIIYDLVDPNANLIFGSVIDPSLSGQVSITLIATGFKRQDEPEGRTSKGGQQMQGDNGRHPSSTGGSKVEIPEFLRRRGPSRFPRI >cds.KYUSt_chr2.36483 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225226727:225228328:1 gene:KYUSg_chr2.36483 transcript:KYUSt_chr2.36483 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQQPTRATFPGAMPAASLISLAITAAVVTAALAVAVAVARYNRKHRGLRLPPGPPGWPVVGNLFQVAFSGKPFIHYIRDLRREYGPILTLRMGVRTLVVISSAELAHEALVEKGQEFASRPAESTTRNIFSSNKFTVNSAVYGAEWRSLRRNMVSGMLSTARLREFRPARLRAMDRFVSRMRAEAAASEDGASVWVLRNARFAVFCILLDMTFGLLDLDEAHIVHVDAVMKRVLLAVGVRMDDYLPFLRPLFWRQQRRVLAVRREQVDTLLPLINRRRAILRDAQGSSRPDPSVAAPFSYLDSVLDLRIEGHVCTDDELVTLCAELINGGTDTTATAIEWAMARIVDNPSIQARIHEEIMQQVGDSRPIDDKDTDNMPYLQAFVKELLRKHPPTYFSLTHAAVKPGSKLAGYDVPADANLDIFLPTISEDPKLWDRPTEFDPDRFLTGGETADMTGSAGIRMIPFGAGRRICPGLNMGTTHIALMVARMLQEFEWCAHPSQPALDFKDKVEFTVVMNQPLLAMVKPRKISF >cds.KYUSt_chr4.8825 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52942107:52942637:-1 gene:KYUSg_chr4.8825 transcript:KYUSt_chr4.8825 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSCDAAADGAMTARVVLPSGELREYSQPATAAVALEEVGHGKQGWFLCDADAMGLHGSVAAVPGADELRPGQIYFVLPAEMLHRSVTLEEVAALAVKASSALVKASSAGGRRRRGSVVPLVFEPSEEDYSDDSVMTIVAAKQAVVQKRVVACRGGRSPTRFSPDLTAIPESE >cds.KYUSt_chr6.279 pep primary_assembly:MPB_Lper_Kyuss_1697:6:1712159:1718386:1 gene:KYUSg_chr6.279 transcript:KYUSt_chr6.279 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSRALLLRLHACLPTSAALRRGWRCSYSSSVDCLPSPCPNRDFSSRRLAVSLPPSFQDWSQISLPPMVTSRRFVEQNLDVRKSTFSSISTGGLEQDLGNGVGAGEEMLLEERCFEFLLRIDDDPLGIKRLPDKFAEFVDGVEPAHLQLREASCNFCRWPVEVLFDGQGKMYLHTGWDKFARDLHLEPGCQLTFLYEGDGEMIVKVFDDTACRVHYPHTGESGSDTDNMTWYVVYKGKVPGVYNDWEECRRQDNDLPDYLLPKIKMVFKDFEDAKIFYNRYARHAGFGVRTGQHNGANRYLYCNRQGHYESIIAEPPPA >cds.KYUSt_chr1.32720 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198722467:198722999:1 gene:KYUSg_chr1.32720 transcript:KYUSt_chr1.32720 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAPASPPGVGSDDGGGGGFGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEEYIEPLKVYLHKFREVRTYLVIQGVLLTKKKIELFSNDWGWISALEVG >cds.KYUSt_chr5.37061 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234509151:234512507:-1 gene:KYUSg_chr5.37061 transcript:KYUSt_chr5.37061 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCCCSFDTKENITMGGKTIQVSGFRRTDSAEKVKDLLERVAGAGTVYAAKLRHPRNTSGTSRPYAIVQFQTEAHASLLLLRSTQKNVLRIGGYYLKVRPAEHDIVPRPRTAIFTLRDDAAVLHFGCLLSQGEMSVLWSTGRGVSVEFGFGMKKIYFYLLHNLKKYKLELSYESIWEIQLYLPPSLWSQTKFLVIQVQAAPKIFERSMPCSSAPDFFNYFRDGKDDEWTRTTDFTPSASIGQSYIMCLEVPYLCDLPNIDEYFAYYTTHDSDFHRRRGYPYSCHSRFVPIVKSYYYTDIPYEILFKINHMVQNGILSGPTLDESFFRSVSPSIQCIDHIKLALERLLYRKKTCLNPTSWLSEQYSKYRRSRSRITLPCISLDDDDGLVRVYRAQVTPAKMYFYGPEINVSNRVIRHYAADLDNFLRISFVDEDFEKLRSADISPRSASRRTALYDRIMSVLSDGITIGDKKFEFLAFSSSQLRDNSAWMFASRPGLSASGIRQWMGNFAGIRNVAKYAARLGQSFSASTETLKVEKYEVEEIPDIKNSTKYDFSDGIGKISADCARQVAMKCKLNWFTPSAFQIRYGGYKGVVAVGPGSQWKLSLRKSMLKFESENITLDVLSYSKYQPCFLNRQLISLLSTLGVRDSIFELKQREAVERLNRMITEPQAAIEAVELTPMGEISNTIKGLLCGGYQPDLEPFLSMLLQTMRAFKLLELKMKSRIFIPQGRAMMGCLDETRTLRYGEVFVQASCTAKKDSEKKVVTGKVVVAKNPCLHPGDIRILQAVDVPALHHMVNCVVFPQQGPRPHPNECSGSDLDGDVYFVSWDPDLIPTRVVAPMDYTPAPTEELDHDVRMEEVHEYFANYIVNESLGMIANAHVVFADKDPLKAESKPCIELAKLFSVAVDFPKTGVPAQIPPHLHVKEYPDFMEKHDKETYVSNGVIGKLYREIKWQNPRVDHFTKDVAKRSYDTDLIVDGYQYHIEEAEQFKEDYDVKLGNLMDHYGIKTEAEIISGCVLMMAKNFSRRSDNEAVAGAVRSLRREARSWFGETEDEDEDWRSVQEAKASAWYHVTYHQEYWGRYNQECERPHLISFPWCVCDMLLNIKHRRMRRKRTKT >cds.KYUSt_chr5.41643 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262757981:262758796:1 gene:KYUSg_chr5.41643 transcript:KYUSt_chr5.41643 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALDPKNTMDSALDTKKTMDFVLFNRVRTQFMFYTESRESMEEVVQSLENQRESADDDAKILPPLDVGRAWGWDRLLPWVSGYVLFEDYFGYLGEYFHQNQADVLALHDAAAKCIHTQDALSHLIASNGGLGNNRLITMIAGHHHSLMSAQIIKDEARRVIGHNCYSAATIPAAALMCIAEEASLMSELLARCIAKGEADKSMAEVCRLKFELGNKVRQTALDLLTTYNPYADQVSASLLGMKKEAAIACGLLNHQDRHFDCMDSFGPF >cds.KYUSt_chr7.25207 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157234472:157235391:-1 gene:KYUSg_chr7.25207 transcript:KYUSt_chr7.25207 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWYPSRCLRCHEEVHRAADIALCLRDSSLPTMEDSRPAQRRRANSPLSSRPVKPPQAPGPEPPVTILARRPHSNAQAARGAPGQGEHAPAPTRTAPAAAPANNQVVSFPSANVAAQHAPPSFEELMQHRPDRALCYIHRDLLPPPKQKAPCDRNRTLATSGILSVPACKCTIKPWTRQAHALASTFHFRVVIDIFGMLANASRLGTASSILVPCSVFERILTNRTDWSKFRVAPWTLDPTTIPSSSVFFV >cds.KYUSt_chr3.16227 pep primary_assembly:MPB_Lper_Kyuss_1697:3:99665709:99668412:1 gene:KYUSg_chr3.16227 transcript:KYUSt_chr3.16227 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSRTRTAVGLRGRRAGSLVDGVKVLVIAGDGEADDGARIDKTKLMAKSRTSWYTGSPVPRWRRNPWCCAALHSFTNNLHVAFISYWKVSSRRSRDHEQEEQEDIVSPRTNKCQSTVAAAASKRTTSGPQKLMSALTKAEFMELRRTNYYLVPRNPWLCPNNFFHHANQEIIHNEVYGSKEFNCFPQYSINMDKIRSNPGYFGKALEICEEQGLIPLMTLSHNYFKEVICKFYATMVFLEDEFGFRSLK >cds.KYUSt_chr2.9361 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58957848:58959509:-1 gene:KYUSg_chr2.9361 transcript:KYUSt_chr2.9361 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPERGQAAGSNLVAVLVLVAPRDRVRAPEIIDAVSVSVCTSKFVGDNFVAAGRFSRLQTSSGLSDPTCAFPELVAGAVGGYSGAESATFWLPAKFCIQRPLPLVPKSLTYFILPSPSCYP >cds.KYUSt_chr5.6535 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40590181:40591053:-1 gene:KYUSg_chr5.6535 transcript:KYUSt_chr5.6535 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFMLMSLGLAAALLSAAGPAAAQKCDCPAGYCCSQFGYCGTSSAYCAGSGGGGGSASGGLSSNVTDAFFNGIKSQSSGGCAGQSFYTRQAFLTAARMYPAFGSGSSDAGKREIAAFFAHVTHETGHLCYIEEINGASHSYCDQTFPQWPCYPGASYHGRGPLPFTFNYNYGPAGRSVGFDGLRSPQTMAQDPVVSFKAALWFWMTNVHGVLPQGFGATTRALNGGVECDGKNPAQMNARLGYYQDYCRQLGVDAGGNLTC >cds.KYUSt_contig_2860.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000546.1:15297:15928:1 gene:KYUSg_contig_2860.6 transcript:KYUSt_contig_2860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGPGTDRNQEPVHGRGQRQDSKNGAEAAQGGAEASSPSRLAAAPVGRPQERAREQAGAGSRGAHGSSSAAVGVDQKGKGPAATPLPEPPAPSSAGSSPSLLDSAWPFGAGTVSSAAVDCKEVFDRLVRAQAAVNEAGRGSAEEGDKKKKKPEEAEQVESSHHKKALWSKLKNY >cds.KYUSt_chr6.10961 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67894317:67900752:1 gene:KYUSg_chr6.10961 transcript:KYUSt_chr6.10961 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKRAGPGRLLARKERGRRAYVGREGTVPALVRVTPNISALAFSVHTKIPAVHSQPSDPIAGNRPSLPFPRTRHTRFPTPEPTTQTAHIAGTHTGAPPPVSLAANCLGPRSLAPPPARGERPGSAYPDMVARRIAGRIAAARRALPMRITNGLAMASLLLSSCDLLRLCSHPDRHLSFPLGGREFVTVVCQLGSVLYLISLIAIPLAHSDAPPASSPAAPPITPNSKPDRPVDGDEEIVAAVVSGALPSHRLEAQLKDCRRAARLRREALRRITGRGVEGLPFDGMDYQAILGQCCEMPVGFVQLPVGVAGPLLLDGRHYHVPMATTEGCLVASVNRGCRAIAASGGAASVLLRDAMSRAPVIKLPTAKRAAELKMFVEEPANFQALAAQFNKSSRFGRLQGIQCALAGRNLYMRFTCSTGDAMGMNMVSKGVENVLGYLLNNFPDMDVISISGNYCSDKKPTAVNWIEGRGKSVVCEATIKGSAVQSVLKTTVEKLVELNIVKNLAGSAVAGALGGFNAHASNIVTALFIATGQDPAQNVESSQCITMLEAVNDGNDLHISVTMPSIEVGTVGGGTCLASQSACLNLLGVKGPNHDSPGANAKLLATIVAGSVLAGELSLLAALAAGQLVKSHMKYNRSSKDVAKAAS >cds.KYUSt_contig_1181.370 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2504419:2509298:-1 gene:KYUSg_contig_1181.370 transcript:KYUSt_contig_1181.370 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSDLPLPDLGRENADLIYPLGDRDGAQQDRELVETAVTFANRWARFLEKSHEIRMLGGSWRTNRPTPRRYCAMRSTRLPFHKGLTRPSWTSNKTSACDNPHRSYDNQEEQLMPEDSYVRGSESPESTQLWKRSIVERVRRIFTRSGGKMVRRRRTSSRRPRLSRDTGGTDTRLELRYQPSPGRFAYGHGTDRFSFERRRAKSMRTYRPICGFLPDHEPLASWSFLVLRKGQHFFPMASVGSIVLALTMVGEMDADNVPLRGSEYISPSMSCHCDAAQMRTLAEAEARH >cds.KYUSt_chr3.28418 pep primary_assembly:MPB_Lper_Kyuss_1697:3:177308648:177309490:1 gene:KYUSg_chr3.28418 transcript:KYUSt_chr3.28418 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGGEYGYPYPRVDQYGNPVGPVDQYGVPIPREPSEQPAYSSGANAPSFGASDVTALSAAGVTACDVLGGAAHGHTQAGAVGVGLAPGETTVYPREGVVAGAVSPGGATHTHTHTHESAVSGGLAPGETTAYAYEGMVVGGIGTGGQIQPVREEHTTLGETLRRSGSSSSSSSSSSSEDDGHGGRQRKKKSLKEKIKEKLPGGHKQEEHAKAGQAVPTAGAGTHAAGTHEKKGIIEKIKEKLPGHH >cds.KYUSt_chr1.42313 pep primary_assembly:MPB_Lper_Kyuss_1697:1:259027092:259027514:1 gene:KYUSg_chr1.42313 transcript:KYUSt_chr1.42313 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNMQLKLGVLILLVAAVAADNKYNFYIEVDTPFIGSTRDVILGVHNKGGAGATWTVRGFALQGMKRRFHLTGDLVDPCRLTLQLKQASGPFDAFTGGWIPSRVEIVVYKKSGKPATYLRKFQDFMFVTHKYPLERNLC >cds.KYUSt_chr4.45620 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282304730:282305668:-1 gene:KYUSg_chr4.45620 transcript:KYUSt_chr4.45620 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVGPSLHTSLSKRPPLPEAVVRRYMWQLLTGAQKMHERGIVHRDIKPANILVGEDGKILKLCDLGLALSLATEKTPYGDAGTPPYMAPEMLLGKPDYDARVDTWSLGCVMAEMLAGGKMLFSFKGGSRHTAKVSQLWEIFSLLGLPDEKAWPEFASLPLAATFLPMRWFSQHDRLGELFHEEMLSNDGFQVLKGLLECNPDKRLTAAAALQLPWFLPEIDKLPVPGKIVVRIKLSRPATPKKKKNLGRIKTQKTKKVKRIRNIVPPAPATTKKNVPLIKFVTRATPKESNVLRIPLAMWEKAHLMDPCKC >cds.KYUSt_chr7.10863 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66713143:66717322:1 gene:KYUSg_chr7.10863 transcript:KYUSt_chr7.10863 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASRARCRALWKHSRRTRYSAEVDTRRSSSLSLPESSPAVVGLRRYLSYAASLVWAQVVVECGQILLGFCGLAKVPGGHDFIGILAYAGKNTTERDKASTRWGVGEKCRRRVAQRERAGVGAKGRTLARNGGASISLRFDISMRKICLDTSKSATTIMKRREEHPGYTSDGTVDFNGQPALKQSTGKWRACFFILGAEFSECMCFSAVARNLVTYVTTVLHESNVDAARNVSTWIGSCFLTPVVGAFLADSYWGRYTTIVVFLSVYIVGMFILTSSAALPWLLPGFSDEHVGIHRAAVYLGLYFVALGTGGIKPCCAALGADQFDSADQTERVAKGAFFNWYFFSINIGSLLSATLLVWIQDNVGWTIGYAIPTVLIGFGLAVFIAGSKVYRYKPVGAGGSPLTRVTQVVVAAVRNCRLELPDDASALYENGRAGRHTSQFKFFDKAAILLPSPEKKGPWRLCAVSQVEELKMLLRMCPVWALLLVFFAVTAQMSSTLVEQGMAMDNRVGGFIVPPASLSTFEVVSFLICVLLYEAILVPLARRLTGEDRGFTQVQRISVGLALSGAAMAYAASVETKRLASPAATMSIMWQAPCYCLLGTAEVFASVGMLEFFYDQSPESMKSLGAAVAQLTIAAGNYLNSALLGVVASCTRWIPDNLDQGHLDYFFWFMAALSALNLLLFIYCSTRYKS >cds.KYUSt_chr1.7983 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48962426:48972311:-1 gene:KYUSg_chr1.7983 transcript:KYUSt_chr1.7983 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDDDGAAANGFPRRSLHQWEGHLLHQAGYPCPPDTRPPGGGWRLSRGGVPIPPPPRGDALDAAIEEARLTMTDEERADPRHHPDNYTRWNSYFLRRWERELAAYDGPPPPPARNNAAGRRRWWSAPAGRRRTSSSTSRAGTSPVPTMPPPSRASASRRGKRRAATPHGCQLVVFRIGVEAVLGAGLPALHYSYVRNVKAGLPLFLFNYTDRKLHGVFEAASPGQNSIDPYAWSNDGTLRTPFPAQVRICTRTRYSPLLEAQYKKVIQDNYYTHHYFYFELDHAQTRALIALFKSVGVKQVQAVPSKRSLDVPLQSTRRMTSVIANQEKGTANSKDINSFRVLSSSLPSTKRMASVNPNQKKGTAKSKDINPFSVLSSSAGTVLDDWVDSDVDSGSASATSDSNTGEKASGELVSDWEDLDDNVLQQQFGLSSDPDDVSQHSSYKTVAEGMELMQCNQLVVNSVNGGTHTSDEDMLVNSHDGIGNEVQNEPDDVGVQLERSSILKKLKELFDLRQQAALSSQDFAYSSPDAHVPQETLVNANLSGQGEHVPEEAQVTANLSGQGEYVPVPEETQINANFSSQDEHVPVETCVNASLPSQDEYVAEETQVNTNLPRQEEYVPEETHVKGSLSKDQYVDEETQVNVGLPRQDHCVPEEAQTIASLAPGHCITEETQANPSLSSNPVCATVEDNTSFEQHDGKAELLRIITVLAKKAAALEKNQMKSDEEIISLKEVVKDSGRKVQQLEYRIDELQFKFDSSLSLQGSMCDSLDIPSIFLIGGYNGVTWLSSLDSFSPKKDILVPLTSMGSPRSYASVAAMEGCVFVFGGGDGSSWYNTVECYNTRSNEWMICPCLNHEKGSLAGVSLNGKIYAMGGGDGTQTYSEVEMFDPFLGKWICSPSMLNSRFALAAAESSGVIYASGGFDGSKYLQSAERYDPREGFWVRLPSMNARRGCHAVAVLGEVLYAIGGYDGDSMVSSVEIFDPRLNTWKMGDPMSNPRGYASAVTFDDNLFVLGGLRSNEAILDTVEVYNVSSGWSVPGFSSIGKRSFASAIVM >cds.KYUSt_chr4.2571 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14401048:14403143:1 gene:KYUSg_chr4.2571 transcript:KYUSt_chr4.2571 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSHGCGHDRRGRGRGAGSPPPERSPSPDCLPESFEFVILSKDGPFVQKGSQISSRNISPTGSRCSASANLAHGRWHRGNPEVHEPYLEVHLPSLAGPDDPLVDLFGKEDALCSGKNPVRRVLPCGAESRLQMPIPISNSSPCYVSSCCSSARSMRDSRDLLHADEACRSWTATTCD >cds.KYUSt_chr4.49048 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303842075:303842452:-1 gene:KYUSg_chr4.49048 transcript:KYUSt_chr4.49048 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLLASASRASAALSGIMPPWLTAIFTVAASICIVTLAVFLCGRISHSVDHDGIPKKKARCSQGREAREGEQGGDFREHGRVWSCVPRWCYCRWRPGNLLRWWLWWRRLRWWLRGWLRWWLMM >cds.KYUSt_chr7.36982 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230930923:230939907:-1 gene:KYUSg_chr7.36982 transcript:KYUSt_chr7.36982 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYSKKTQASKLGDAQGIPFFIDNIIRFHVGAGISGVAPHYIPPPSTFNVLLGSYWFDKPWFHTEGKLAAMRVGGVGGEKVSEASADGVSARSQGRSNRTRRRVSPVQTGLCADADRSIFCCSYNGRSSEPEDGAAPSTDAADWRSFRAKLVLKEQYAKSVNPAAAAMPSQPPPKIADKWAHPLMEPEKGCLLIATEKLDGSHIFERTVILLLSAGVLGPVGVILNRPSLMSIKEAQSIFAETDIAGAFSGRPLFFGGPLEECFFLLGPREAGADDVVGRTGLFDEVMPGLHYGTRESVGCAAELVKRGVASVRDFRFFDGFCGWEREQLRDEVNAGLWRVAACSPAVLRLTSVVKGGLWEEVQELVGKRRVW >cds.KYUSt_chr1.10548 pep primary_assembly:MPB_Lper_Kyuss_1697:1:64545547:64551763:1 gene:KYUSg_chr1.10548 transcript:KYUSt_chr1.10548 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNSAEIDPPPAPPAVHRRPPRPRRGDVTPEGFVAALRRRLSSGAAVAARASFAADSGDESGPAEPSSSHRRAGSGGDASSAGAGGGGGSGSGSGADFTAFSFRAASPVHRKAKESPLSSDAIFKQSHAGLFNLCIVVLVAVNGRLIIENLMKYGLLIRTGFWFNSKSFRDWPLLMCCLTLPAFPLGAFAVEKLAFRNDITDAVATLVHVILITAEIVYPVLVILMCDSAVVSGFLLMFIACIVCLKLVSFAHTNHDIRRLTISDKKVDNAFSTADMDNLQAPTLGSLIYFMMAPTLCYQPSYPRTSYIRKGWLIRQIILYLIFTGVQGFIIEQYINPIVVNSQHPLKGGLLNAIETVLRLSLPNVYLWLCMFYCFFHLWLNILAEILRFGDREFYKDWWNAKTIDEYWRKWNMPVHKWVVRHIYFPCMRSGISKEVAVFVSFFVSAVLHELCVAVPCRIVKFWAFLGIMLQIPLIILTSYLKSKFRDTMAGNMIFWFFFCIYGQPMCVLLYYHDVMNRIGKTG >cds.KYUSt_chr2.37061 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229371199:229371699:1 gene:KYUSg_chr2.37061 transcript:KYUSt_chr2.37061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to H0622F05.9 protein [Source: Projected from Oryza sativa (Os04g0415600)] MGGDMGAMTMAPPRAADHAAATPHKMAGMMHMAFFWGDRAVVLFPGWPGDRGAGMYILCLLLVLALAALTEALALLSRRLARRGGGEATASSAALLTAVHAARMGLAYLVMLAVMSFNVGVLLAAVAGHALGFLLARSRVRTGAARGSGDASPELCGIPPPNGSKP >cds.KYUSt_chr2.48550 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303706053:303713396:1 gene:KYUSg_chr2.48550 transcript:KYUSt_chr2.48550 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVSEYESEISRLENEINQLHGQLRKAGVHLDENPVSSEMLRKDLAEIDPINTERREKVKEAMLHAWNSYVKYAWGMDELQPQSKNGVNSFGGLGATLVDSLDTLYIMGLKDEFQKARDWVAESLSFDKDYDASVFETTIRVVGGLLSAYDMSGDKVFLEKAKDIADRLLPAWDTTSGIPFNSINLAHGRAHNFGWTNGDSILADSGTEQLEFIALSQRTGDPKYQLKAENVIRQLQKIYPSDGLLPIYINPHSGTSSYSTITFGAMGDSFYEYLLKVWIQGNKTESVKHYRQMWETSMEGLISLTKKTTPSNYSYICEKTGGSLSDKMDELACFAPGMLALGASGYGPEKAKQIMNLAEELARTCYNFYQSTPTKLAGENYYFHPGQDMNVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNSGEKDDKMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIAPIHDSKGHSTDIATPVVRPFGRKQGKQGQDEAKACDKPDAAYIGDALMHIRDVNSSTTTELIRKKIRKGSN >cds.KYUSt_chr5.38277 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242084547:242088495:1 gene:KYUSg_chr5.38277 transcript:KYUSt_chr5.38277 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLEFMEERQRFRPIQISNESTKRQGSPCHQDDNAHGGKIMKYSGPELPEDIWSHIHSLMLLRDAARAASVSHAFLRSWKCHPNLIFDQLNLGRAGISRGFISRVDHILKTHSGKGLKKLKLDFTNHYNAKASSYIDIWLQMAITAAFGAHRKCSEITCLKLPFLLQQLSCLQKVKSIMAPSKFLHLKYLKISLCCTYDYLSLVCFLDAAPSLETFILSVSIPSERVDRKSIFGDISPFRRMPGYRHGKLQRVNIARFYSEKSLVELACHILENATSLECLTLDTTRGHYKCGLTGSSKCYPMQYRAQGIGPVAPYLYWAQVRVRGPLTRNPSSAVRRRLLHPSPATNYSLPRAAFHPSSGMDSRRRSTASPASGSKRSRSPDTVEEAWRLKCKRSPAGSRRTACRYAGALYVPDSLREYAAGGRWYRQDPPLKPMSGGAFKKWLAEWQRDRASKAAWAATIGSTSGGGSGGGEEEEEAAEEEAAFRRAVAESEADAAEKARAEAEEEAAAIAAVREFEAREAQEEAASIVFIPYVILDE >cds.KYUSt_chr7.30531 pep primary_assembly:MPB_Lper_Kyuss_1697:7:190083412:190085574:1 gene:KYUSg_chr7.30531 transcript:KYUSt_chr7.30531 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLLLIRLLPLLLTAALAPQPASSSTSAPGKTNLSIDSATLSFSDLTLLGDAFLRNGSVGLTRDTGVPSSSAGTVLCTRAVSFRVNSGNATASFAARFSFVIATQNPGSSGGDGVAFFLSPARTTLGATGGYLGLFNSSVSSAAVPNDDDDESGPVIVAVEFDTMLNPGLGDPSDNHVGLDVGSPVSLQAVDLAASAIVLKSGNLTTAWIEYRGADRLLEVSLSVSGGVKPTRPVLSVAVDLSPHLVGDMYVGFSASTEGSTQQHTIKEWTFRTFGLPSPATTTTNASSATGNDSEHAPPSVVTTNASAATRKKRFGLAISILGPVALAAAFAFFAWVSVKKLLELTSFRRNKHALLLPELLLKGPRKFSHKELSAATRGFHASRVIGRGAFGTVYKACGMPGAAAVATTYAVKRSTQAHQSRNEFVAELSVIACLRHKNLVQLEGWCDENGELLLVYEYMPNGSLDKALYGDPCTLSWPERHNVAAGIASVLAYLHQECEQRVIHRDIKTGNILLDANLSPRLGDFGLARLMDHGQSPMSTLTAGTMGYLAPEYLQSGKATDQTDVFSYGVVVLEVCCGRRPIDREDGGGSGSKNVNLVDWVWRLHGEDRLIEAADARLNSEFDREGMLRLLLVGLSCANPNCEERPAMRRVVQILNGEADPVPVPRKKPLLVFSSSASIKIQEMAFSSGDDVRGGYPAAAAKAAASPKSEGGDVDR >cds.KYUSt_chr2.6923 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43260931:43262877:1 gene:KYUSg_chr2.6923 transcript:KYUSt_chr2.6923 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEDAVRPPALPWTVRIQLAAFSLAHRRDGSVRRLFCSLADLHVAAKRRPDASGVRSADVTVDASRGLWARVFSPSSSAADAPLPVVVFFHGGGFSLFSAASRPYDALCRRLCGGLGAVVVSVNYRLAPEHRFPAAYDDGVDVLRYLDAHGLPADLAVPVDLSSCFLAGDSAGGNIVHHVAQRWTAAIPSSSPAASLRLAGMILIQPFFGGEERTEAEVALDKVCASLSMGATDAYWREFLPEGATRDHAAARVCGEGVELAEAFPPAMVAVGGLDLLKGWQARYVEALRGKGKDVRVVEYPGAFHGFHAFPDIPDSGKLVNDLLPTQLDMWKMSDKFRDEVIDSSSDEESNHTTQTMATVAASILHEHNFSQMLVHRGSMKGRSKNPLRNRVEGHLRLYKDYHQSSVLGKNIPAPVQDVKGPVHGHSTGRQRL >cds.KYUSt_chr2.8898 pep primary_assembly:MPB_Lper_Kyuss_1697:2:56073352:56076647:1 gene:KYUSg_chr2.8898 transcript:KYUSt_chr2.8898 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAARARAPALLAGLRRLGTSATEAETRERGARWELFAAREYYDYRKSIYGDVTHRALLVDAVGTLVVPAQPTAQVYKSIGEKYGVKYSEDEILARYRWAYGQPWGRSRLRYVDDGRTFWQHIVSSSTGCSDLEYFEELYQYYMTEKAWKLCDPDAEHVFQALRNAGIKTAVVSNFDTRLRPLLQALKCDCWFDAVAVSAEVEAEKPNPTIFLKACELLDVKPEEAVHVGDDRRNDVWGARDAGCDAWLWGSDVYSFKEVAERIGVEVKG >cds.KYUSt_chr2.25857 pep primary_assembly:MPB_Lper_Kyuss_1697:2:158190998:158191243:-1 gene:KYUSg_chr2.25857 transcript:KYUSt_chr2.25857 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHARFEGGSKADKESSVVEHGQSRCRGVRAQGEAEATGAKRARGAGSWRWAQLHELGEKWSVLEHVAGRHGSSGTPAYA >cds.KYUSt_chr3.10003 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58935463:58938013:-1 gene:KYUSg_chr3.10003 transcript:KYUSt_chr3.10003 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAPVRQHQQCLGFRLCSGESFHFRKVLFQMDAARDEGKKRSAKEEAEAEVHQAFRRAANALSQLYAQGVASQKANFRDGERSAMETVLQWISSQHEEASVSAADVLAYVQDEIERRGGMAGSPQHSSPQPANDSPSADIQTNTSPFGNVAAALDSHQWQTDQTRTASISNAFPSPLQQNFQAFHPVQCSGYGPDDSPSAGDRDRSSHSPENQDLVQGDSSGNSSDMDHDTH >cds.KYUSt_chr3.28967 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181014065:181019808:-1 gene:KYUSg_chr3.28967 transcript:KYUSt_chr3.28967 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGLNQTDLNSPRDYVGHGSHTLSTAGGGFVAGASAFGRGIGTAKGGSPRARVASYKACYAASGCSSLDVLAAMLAAVADGVHVLSLSLGGPPSDYVADTIAIGAFYAVQKGVTVVCSAGNSGPEPGSVSNLAPWILTVAASTMDRDFPAYVTFGNNTIKGRSLSNGTQPARQMISGDKANAANQSADNSTLCLAGTLDPAKVSGKIVVCTRGGNGRVEKGLVVKQAGGIGMVLCNDASSGNETVADPHLIPAVHCSYSSCIHLFDYLQSTEFPVGDIAVENAELGVKPAPVVADFSSRGPNTITPQILKPDITAPGVDVIAAYSAEVSATGLPFDDRRVPYNILSGTSMACPHVAGTAGLIKAKYPDWSPAMIKSAIMTTASTGANDNSQIQDQSGLPATPFSYGSGHANPALALDPGLVYDTTLEDYTNFLCSLKPTQPQNLLPISVPVPTPLLPLLSILFGANASNPFLCSKAPSFRPENLNYPSISAACLSGSGTATVKRRVKNVSPASSTYTVRVVQPNAGVRITVRPSTLSFREIYEEKSFTVRLEVYDAAAASDYVFGSIEWSDGNHRVRSSVVATTKCA >cds.KYUSt_chr4.29439 pep primary_assembly:MPB_Lper_Kyuss_1697:4:184671063:184677021:1 gene:KYUSg_chr4.29439 transcript:KYUSt_chr4.29439 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERSPSLSRLYQNHAATAAAPRAVVAAGSNLSSVDLYCQDDSENNAPSTPGSPGFSTDRLPPNTTTSRGATDPSSYSDDDGEAEVDPNVLPDDDGAAVVADEEEEDGEDLFNDDYLNDYRRMDEQDQYESVGLDDSIEDERNLDEIMADRRAAEAELHARDVRTGVTADRKLPRMLHDQDTDEDMNFRRPKRHRANFRPPSGPRTPRSDDDGDGATPSSPGRSQPGMYSGGDVPMTDQTDDDGYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNDQGEFEYVRLINEMVLANQCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVGKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGTILGPFFQNSYTEVRVGSCPECQSKGPFTVNIEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLSKDPRISERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPVGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEERVAVDEEDDPFTVARQADPDILSQDMLKKYITYAKLNVFPKIHDTDLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHAKMHLRSYVSQEDVDLAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLRTLVKDALHFEEIVSGSTTPLTHVEVKVDDLKNKAQEYEIYDLRPFFSSPHFNDNSFVLDEGRGIIRHPVTV >cds.KYUSt_chr5.37340 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236107047:236107895:-1 gene:KYUSg_chr5.37340 transcript:KYUSt_chr5.37340 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQILIHLRCARAAAHTSSLSRRWHGLWRHLFELSFCDMPLDAVDAALQQVACPALSRLEIEIPERHRILDPARVSALLNAAAGLAPADLIIDVWGHCKDRDFPIQIPSFERAASIKLRVVNLYLTLPAGDNIEFPALERVSVAGCRVDNIAELIRRCPNLRVLEVCGCWGLDTVKIHSSSIEELVLDNSGVLGNLDIVAPVLEQFRLQATMGRDFNVLFSEPMVQYLWWWCSCEQRNVGIGEAWYLRSLDLWTEESAYILQLNIDFSVCLLTSSIYLTLY >cds.KYUSt_chr1.18193 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106198934:106209964:1 gene:KYUSg_chr1.18193 transcript:KYUSt_chr1.18193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] MESALMGSVYRCRYQVEVFEAAQRGNTIAVLDTGSGKTMVAVMLAREHVRRVRAGEAPRRIVLFLAPTVHLVHQQFEVIREYTDLDATECYGASGVAEWTAEQWKEQVGSKEIAVMTPQILLDALRHAFVTMSAVSLLIFDECHRACGNHPYTRVMKEFYIGSQWRPAVFGMTASPVATKGTSTIQDCEAHIAQLELTLDAKVYIIQDRSELESFSPPATIVNKFYDAYLIDFEDLKSKLQTLYEEFDALLLSLEESSPNRFEDTNNILETSRKTLSRYHGKIFYSLNYLGPIITAEVVKIYNESIKTLGDSEDCLYSKASFNLHESYFKEALYLIEEVLPQGYEELMKSESGSAHLSKRGYISSKVDALINIFKSFGSSNEVICLIFVDRIMTAKAVERFMRGIVNFSSFSISYLTGGSTSKDSLSPAVQRFTLDLFRAGKVNLLFTTDVTEEGIDVPNCSCVIRFDLPRTVCSYVQSRGRARRSNSNYVLMIERGNMEQQERIFRIIQTEYYIKHFALYRSPNVSSSNSPMQEKYTYHVDSTGATITAECCVNLIRKYCEKLPKDRYYMPKPSFEVSGEDGSYQCTLTLPPNAAFPRIVGPLCSTCNLAKQLVSLEACKKLHQLGELNDHLVVPIIEEPMDNDIALTYGKFISGPGTTKRKELHGTTNVLALSGSWVHESENVMLNTYRFHFVCDQEGENYAGFVLLMESALDDDVASSKMDLFLIPNKMVYTTVTPCGKVQLNRKQLREGKLFQEFFFNGIFGRLFHGSRTSGVQREFLFRKGHEIQWSSESMYLLLPLRHSPPIQQDLSIHWEAVESCTQAVEQLRNLYMEDGNLHAHFIQDRSIKGEDIIHLANKSLHFSTIKDSVVLSLHTGRIYNVLDLIYDRTAEDSFEEMYNGKASPFSSFVEYYHEKYGIIIQHPNQPLLLLKQSHNPHNLLFSKLKYIDDSTGDPLLMEKEQIHARVPPELLIHIDVTIEILKSFYLLPSVMHRLQSLMLASQLRRDIGYTQHIPTHLILEAITTLRCCETFSLERLELLGDSVLKYVIGCDLFLRYPMKHEGHLSDMRSMAVCNATLHKHGIWRSLQGYVRDSAFDPRRWVAPGQISLRPFPCNCGIETAFVPTNGRYITNDPSFVVGKPCDRAHRWMCSKTISDCVEALVGAYYVGGGIVAALWVMRWFGIEIKCDRKLVQEVKLNASYICYLPKIRDIEELEAKLKYTFSVKGLLLEAITHPSLQESGVDYCYQRLEFLGDSVLDLLITRYLYVTHTDVDPGELTDLRSALVSNENFAQVVVRNNVHSHLKHGSGILLEQITEYVRSNSECQGKDNEFLQHATSKVPKVLGDIMESIAGAIFVDSDFNVDLVWKIVEPLLSPMITPDNLALPPYRELLELCSHLGYFINSKCSSKGEEVIIDMSVQLRDELLIAQGHDRNKKSAKAKAAARILADLKKRGLSIKQCLSKAKQLDVIYSNLQSHLTKSPLDYSDMNDYSSLGGLSSLKEAVVLTLKMDKGGPRSALFKLCKRLQWPMPEFEFVEQRFRTPIVLDGVTTTNFNSFVSTITLHVPDVTAITLEGERRTDKKASQDSASLIMLHKLQELKVCICKT >cds.KYUSt_chr2.6017 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37460672:37461100:1 gene:KYUSg_chr2.6017 transcript:KYUSt_chr2.6017 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVGSVAKIVEVALKIKEAATTARQNKKDCHHIKSRVDVLNKTLSHHENNEDLMEDPAVMAALEALHGILAEALEVVTECQEKRNIICLYCTAGNFSRQLGKVEQRISYLSSDAMLTIMSYQLLRKFQEAAPHPPPQVWL >cds.KYUSt_chr2.43393 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270069503:270071735:-1 gene:KYUSg_chr2.43393 transcript:KYUSt_chr2.43393 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGHIAANGNGLCVAQPARSDPLNWGKAADELSGSHLDAVKRMVEEYRKPVVTMEGASLTIAMVAAVAAGADTRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAAATRAAMLVRVNTLLQGYSGIRFEILETLATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRSNSVATAPDGSKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILSLLAEVLSAVFCEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHIEENVKNAVKNCVKTVARKTLSTNDSGHLHNARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERDVQTSVFAKLATFEQELRSVLPKEVESARSAVENGTAAQENRITECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNGEPLPIC >cds.KYUSt_chr4.33185 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203381482:203386264:-1 gene:KYUSg_chr4.33185 transcript:KYUSt_chr4.33185 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEEEVRLEVEAVAAMYGEDCRVVCDFPPHLVVHVRPNTADDSSQQYPKEPPHVCAVESKGLDENRQAYLISSIQNKAKELSDYPMLVTLCEEAGEILSNMNHPAGDCPLCLYPLVPEYNDSSALSFMKLMSCYHCFHSDCIMRWWGWLQNDDDDADSKKNVDLGEDDKELLHSEAEKKRREKFGSLFNLQQERNGLIEPKKDLAIQPGMYVSLPPSEPTTSAGDGANSCEATTTSTSETDSRSMAKNADGSKKQNSSGPRRRNRASAPRRQPQGQAGGQQWQRGQVAGQQGQRDQAAGQQWQRSQAAGQQGQRDQAAGQQWQRGQPAREQRQRGQPAREQWQKKDADTSHQ >cds.KYUSt_chr7.1971 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11270561:11276130:1 gene:KYUSg_chr7.1971 transcript:KYUSt_chr7.1971 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAGEAEPQRRKTSLVSSDSSATSTTTTTTTSAATTMSHLRGALLVLAVLAAALCLGAAAAADQPGSAEGYTIAGRIKIDAASAKGFGLPAKTSNTKVILNGGQKVRVDISARNPGHIQAALTENRRVLNELVLEPLKAEQYYEVREPFNIMSLLKSPMGLMVGFMVLMVFVMPKMMENIEIIKVMYTSWELIREESVGNTHMEGNVSAAPMNLESRQNITIKCLTYHYAKTKKD >cds.KYUSt_chr1.293 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1643851:1648643:-1 gene:KYUSg_chr1.293 transcript:KYUSt_chr1.293 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVFRTHHREYELHEKKDDYTRTLAKVIDQKKDEKAKEKDIAGTSKSSARSADEKRSSSTSAPLKAKQTSKGKKRKEVPLLGAQPKQSIPALKVFNVPKVYEEHGGDVNMEEAATLAAQCGVTVEELFGAADAALPTADIAPKFVYGADLVSREQLHKLPTHMRNLHQWYLDACKEKKMYIVASIPWEYYYRNEEIHIEMNELWQLFNLEALDKSLMSCYCFFHWILLDIQPDKGIVEVRDPLSRGLDGFQGLAKLLQVAWQALKNVHKDITFAKKLTFTPVACPQQPQGTNLCGYYVCESIRMLTTEKQNRNKFDVDFMRDRLQPKEHALGIAEEVAGLLDVITVMFEKAVSEPTFCPTYAQLCSYLNKKLPSSPPEEPDGKEIAFASVLLSNCHEVFKGAGNLCAEIDRMTGPDQEMETQNKQIMLMKLRTLGNIHLIGELLKQKIVIERIVQHTVQMDENMQGKPDWDTFATKVFCDICTDEVLAGNRPTEHLNAIGYDNLYTKFNEKTKKGYNHEQFKSKWESLKKDYQTWKALMDSEDDLGQDPKMNTISASPEWWAKKMEAMPDCGKFRSAPLENVDLLNIMFEDMLDSSSTTPEVNLAVNTTIESEHGASDGNDMRIIDKDVNEQSKEAMLQKSCNKESNSTKPNTICVHDHLVNLDENVNPGKSATSMRIDRVGSNISEIMELVVDAGVEEGTDEHFIATELFIRPEYREMFLTLKPLEEELGGLKRCAK >cds.KYUSt_chr5.5231 pep primary_assembly:MPB_Lper_Kyuss_1697:5:32898561:32899361:-1 gene:KYUSg_chr5.5231 transcript:KYUSt_chr5.5231 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVRSRKRKGSSSELDVARSIELLILEASLLGVYTGQLVIILWASFRAPFQKLKDACLPSKTAAKEFCYADRDALLMYKAIVRVQEPGGAPGTATHWMSMIKGEVTA >cds.KYUSt_chr4.52808 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327567675:327578488:-1 gene:KYUSg_chr4.52808 transcript:KYUSt_chr4.52808 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYAGAGNSNSSGFSGGPVSSGRESSSRLEASPYASPNYPVNPRRQPQLAPYRLKCDKEPLNNKLGPPDFYPQTPNCPEETLTKEYVQSGYKETVDGIEEAREIVLSNISYFCKPDIVAKCKEALKKRLRAINESRAQKRKAGQVYGVPLSGSLLIKPGVYPEQRPCNEDSRRKWTEALAQPNKRLRLLSEHVPHGYRRKSLFDVLTRCNVPLLRATWFVKVTYLNQPQVRPTSSGISTGASDNQRSNQWTKDVVEYLQQLLDEFCSKEVPPSFKEQPSPGLISGATLVKMKTEASSVGGDTEEPVVHFKWWYMVRLVQWHLTEELLVPSVLIEWLCYQLQERDSVEALELLLPVVLGLVETITLSQTYVRMFVEILVRRLSDASVVDNPKRSSISSVIAELLRYMVLAVPDTFVSFDCFPLPSAVAPDVYGRGALLKITGGGEISSSKRPDVYRYLSCGYAVCSIQRRASDLSTLANPNLQARGAAKVVQALDKALVTGNLTMAYSSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVELSLICSVFFLCEWATCDYRDCRTSPSLNVKFSGRRDLSQIHVAVSILKNKMNEMNNLSRSKSSSRMAMNNIGKGSSLNDASLAATTVGDSSGLRNNAKIEEKNERKDMFESPGPLHDIIVCWLDQHEVSSAAGFKRVDVLIIELIRSGLFYPQAYVRQLIISGVTDRSGTLLDTERKRRHHRILKQLPGSSLFDVLEEDIVVEEQQLREMMSTYSSERRLVLSELSSGLSVDANDRGEYTSSSFFRKQSDILVASGGSNHSSVPEQVEDVKVMVSSLLCFIYPHSVESEQCETKMNFQGSSTSTLTQVDNGEVKNGCEDCMRIKGQKLDERTSPYQAFPLVQSDEEDVWWVKKGTELHESFKAEPALKSIKQTSRGRAKVVRKTQNLAQLATARIEGSQGEASTSHLCESKLSCPHHKPSTDGDNAKDAYHTRMTNLAEVGKSLKKLRLLERRSISVWLLKSVRQLVEGNETTACKASNSLSSFSSLPDDKTVSKWKLGDEELMSILYILDTCCDLVSGARFLVWLLAKNRGGMATLGQVGRSGTHMKNRDNQVCQVGEALVFSSLLRYENILLATDLLPEVLNASTNRHFVLANARHPAPAALPYTRYFLRKYRDVASVVRWEKNFRTTCDQRLLTELDNGRSIDGDLISSSGVSAGEEMDEQVRQKLNGRGSRIVPNMKEIVQRQTEELQRNLKEKKIPATPKSPSFEKEDGYQIAHDTVLGLVECIRQNGGASPDGDPSAVFAAVSAIVVNAGQAIAKHLDFTGGNYHGVPSIGNSLNFVRHTLHVHISSLCLLKEALGDRFSRVFEVALAVEASSAVTAAFAPPKIQRNQFQPSSEAHDAYGNHTNELLSTSGKGFVGRAGKGAAAISALIVGAVVHGAVSLERMVAVLKIKDGLDILQILRGLRTGTNGASRSTGTFKMDNSVEVLVHWFRILLGNCRTVYDGLIADILGESYVLALSRLQQKLPLNVVFPPAYSIFAMVRWRQYILSREDVQAYQSIENAINDITKHQPFRDICFRNTHQLYDLLAADGGDSEFAAMLEMNCSDKNLKHLFIPLRARLFLNALVDCKTPAVIQMDGSEPGEAKENELKLSEKLGQVLDTLQPAKFHWQWVELRLLLDEQALAEKIGKAEKSKTPTSILREIIDGLRSLCPNSESFALSESEKGFTEIILSRLVARPDAAPLYSEVVHLLGKLHDSLVVDVKWILQGLDALLGRKSTRQQLVSLAQRKGVSVKTQVWKPWGWSSLLSDVMANRTAKRKLEVTPIEEGEVVDDAEAKRSVNKYVTEKALAELMLPCIDRSNAEFRGIFAGEVIKQMGTVSEHIKAISRNGTKHAGLVPSGNEVSSNKPSGRKGIRGGSPSIGRRGTVGNDPTPPSASALQATVWLRLQFIIRLLPVILADRNMRQTLASTILCLLAARIIYEDADSPVPPANLATLRREVDSLLEPPVDVLLDRPSESLFERLLCVLHALLGNSKPSWLKTKPVSKPPVRAPRDITAFDVEAAVGLQSALDHMELPGTIRRKIQAALPILPPCRHRSIQCQPPQLSLAALSPLQSSTSSTAGPQQKNTPLSWVPTNISSRSKATLPSQDPEMEVDPWTLLEDGTSCPSASSGSNGASGITADHANLKACSWLKGAVRVRRTELTYIGSLDDDS >cds.KYUSt_chr7.27050 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169068643:169070175:-1 gene:KYUSg_chr7.27050 transcript:KYUSt_chr7.27050 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGEQSSLEEPSPPQTPSSSSWPLLLDPAYARSKSVIHDELRSFRVFLQWCALDHSSPAARAASYAAFLALALAVPAAVSASLRADASLSPASASAVTFNRVATLTASGLAAISFVTLAVFFRRCGGLRQLLFLDGGLCDDTVFVRRRYERELDRAFRLLAALLVPALCVEAGHKAVFFFSTVRVEPPIGVMIPLAGVPWRAVALVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIYHMFDAEACAAADDIFVEHRRIRTQLLATSHRYRVFIICCLVTITVSQLGALLVALSSKDGKSFANSGDLLVGSCVQLSGFFMCLFGAARITHRAQRIVSIASQWHMRMVSAALHHKPAATLAFSTSASDIDTAALTVPAGSACCEYKSRQALVTYLSHNSGGITLFGFTLDRGLLHTIFAFEMTLVLWILSKAVVLS >cds.KYUSt_chr3.6010 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34299653:34300424:1 gene:KYUSg_chr3.6010 transcript:KYUSt_chr3.6010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEQEQQAKTSTTSSLPSSSDRSSSSGPNNLKEGGAESDEEIRRVPEMGGGSASSGAGADGGDSKELAQAAAGDGGQAPKGAKKRGRAAGDKEQNRLKRLLRNRVSAQQARERKKAYMTELEVKAKDLELRNAELEQKVSTLQNENNTLRQILKNTTAHAVKKPSGSGGGKGGGDGAKKHHHFSKS >cds.KYUSt_contig_1253.862 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5339022:5340748:-1 gene:KYUSg_contig_1253.862 transcript:KYUSt_contig_1253.862 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRLAARRLRPIRTPVTAVTAAIYHSSAAVRSHGGGSSSVLPDGLDRSSDAYARNADAVGGLLSDLRSRVVQVRACVPTASRIPYTFPCSRHGVFLLQVLGGGGAGAVKRNAGRGKLLPRERIDRLLDPGASFLELSQLAGSDVYEEALPSAGIITGIGPVHGRLCMFVANDPTTKGGTYYPITVKKHLRAQEIASECKLPCIYLVDSGGVNLPKQAEVFPDRDNFGRIFFNQAKMSADGIPQIAVVLGSCTAGGAYIPAMADESIIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKVSGVSDHFAQGTF >cds.KYUSt_chr2.15799 pep primary_assembly:MPB_Lper_Kyuss_1697:2:99392075:99394093:-1 gene:KYUSg_chr2.15799 transcript:KYUSt_chr2.15799 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLLLLLSLNGALASSADVGQFAYQGFAAANLTLDGLSVIMPNGLLALTNFTSQEKGHAFHPAPLSFINTTTNSSTTVARSFSASFVFAIVSILDGLSDQGLAFMLAPTSNLSLANGGQYLGILNATNGTASDHILAVELDTIRNPEMSDINSNHVGINVNSLISQKAKPAGYYSDEDGTFRDLMLNSRKPMQVWVDYDGQAMILNVTLAPVQAPKPKSPLLSEAIDLSTIVAETMYVGLSSSTGVVSTHHYVLGWSFCLDGPAPPLDFSKLPTLPHAGPEPRSKILYISLPLAAVLLITSVLAVVFFLWHRRRFAEVREDWEDDFGPHRFAYKDLFHATDGFKNRNLLGVGGFGKVYKGVLPASNLEIAVKRVSHDSRQGVREFVAEVVSIGRLRHRNLAQLLGYCRRNGELLLVYDYMENGSLDKYLYNKNGPALHWPQRYWIIKGVASSLLYLHEDWEQVVIHRDIKASNVLLDRQMNGRLGDFGLARLYDHGTDAQTTHVVGTMGYLAPELVRTGKATPSTDVFAFGVFLLEVACGRRPIGSDEHNNPVVLVDWVFEHHSNGSIIDAVDSRLMGKFNMKEVTLVLTLGLLCAHPLPNARPSIRKVMQYLDSDQSLPRLSPTYMSYSKMAQMQSEGFDSIIMPCTPRVKSNRSASGESWETVLFDGR >cds.KYUSt_chr2.30024 pep primary_assembly:MPB_Lper_Kyuss_1697:2:184908406:184913799:-1 gene:KYUSg_chr2.30024 transcript:KYUSt_chr2.30024 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLVFSHRRRRWILCAAAAAAAYLIYHHPSVAARRRRISRLTSSLSSLADAVATVAADLAAFLRSDSDVLPPTLTQLSKLASSPEASASASALSGALTAGVLRGYNSASGPSSVAEVPLSDRLVEKLFSPEGERLVSAVAGSFGRDLLLAFYSLPADPSESSPASWVDVVTRGSCRRAIRSWVEVFTATAVGVFIDKTIHINTYDQICAAATNPAYDARLQELLVALCSASIETLVKTSHGVLSNTSGSSSSSGDGGSREGWTETVSSALAVPGNRKLALDLTGRATFEAVRSFLEFVLWRLHDGAKAGADATIRYEFQSEAWYIGITMSILEGF >cds.KYUSt_chr7.31622 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196979399:196981924:-1 gene:KYUSg_chr7.31622 transcript:KYUSt_chr7.31622 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIYGCMGRPALLGLHDWNPGEFLFRSLHGAGALSDLALADAFALHATGALSNLALADAFALHAAGALLCLPGRRLHLHPSDLSPRASNTSTTSSCSKGISSTSSTSGLSKGISTTSSFSDSSRRRVRSMASHKCCSPSKQNENADPYDYVYHNLPQKHHVLKPVNDCVHCGAMRLQYEGPAFCCRKGKVNIEY >cds.KYUSt_chr2.28709 pep primary_assembly:MPB_Lper_Kyuss_1697:2:176244884:176247570:-1 gene:KYUSg_chr2.28709 transcript:KYUSt_chr2.28709 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSGRFSVSWFSRRKWEKEDKMPDADLELDMLPLQPVNGKKTLGCIPASGDVNEKQMTSGKATSMWSSRRHPAMSVWSSQRSVTSVWSSQRSVTSVWSNRRVATSVSSSLEGKPTSNASMRSERTSGDVHE >cds.KYUSt_chr6.7681 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47096317:47096691:1 gene:KYUSg_chr6.7681 transcript:KYUSt_chr6.7681 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIGRPKATVGLYSYGWMATEAEAALEMEDLLHSAVSSGFGGRPEAMMTLYSDGQAAAEAGGDAEEGGSPQQLRFIRIKLNCKGKIPICPIAASRSKNTSFHLARGRACDGVEKEKTPTTLGT >cds.KYUSt_chr1.7406 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45383370:45385287:-1 gene:KYUSg_chr1.7406 transcript:KYUSt_chr1.7406 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRVAIVGAGVSGLAACKQLLERGCRPVVFEADTVLGGVWAHTPECTTLQTARPMYQYSDFPWPDSVTEMFPDHRQVMDYLGGYARHFGVLDCVKFGHRVLGMEYVGVSEKKVAASEEWGGTGGAFGSGDGEWRLEVANADGDVQADIVDFVVLCIGRFSGLPNIPSFPSGKGPEAFDGQVMHSMDYSQMGSEKAKDMMEGKRVTIVGYLKSALDIAAECAAVNGTEQPCTMIVRTKHWNIPDYYAWGVHISKLYLNRFAELLIHKPGEGFLLSLLATTLTPLRWIFTKFAESYYSIPMKKYGMVPDHSLFEALVACLVAIAPKDHYKRLDEGSIVLKQSKTFTFCKEGVLLEGESSPTRSDIVIYGTGYKGDEKINNMFKSEYFRSIAVGSTSTTLPLYRECIHPMIPQLAVLGYSESLSNLYTTEIRAKWLTHFMDGGFRLPSIKATRFKCAPWRTTP >cds.KYUSt_chr7.26087 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162861550:162862995:1 gene:KYUSg_chr7.26087 transcript:KYUSt_chr7.26087 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATTMTMPRKTVVLYPSPGMGHLVSMIELGKIFAARHLAVTIVVVDPPFNTGATGPFLAGVSAANPSISFHRLPPVKLLEPVESKHQEALTFEVARVSNPHLRDFLAGGASPSVLVIDFFCSVALDVAAELGVPAYFFFTSGAAVLAFLLHLPVLHAQSGASFRDMGEEMVHVPGIPSLPATHLILPIMDRDDAAYTGFLNMCGDLCRSQGVIVNTFRSLEPRAVDAIAAGLCAPSGVPAPPVHCIGPLVKQDETAVSHGGVECLAWLDTQPEASVVFLCFGSLGRFDVRQTREVATGLEASGQRFVWVVRSPPSDDPAKKYETPPEPDLAALLPEGFLERTEGRGLVVKSWAPQRDVLAHGAVGAFVTHCGWNSVLESVMAGVPMLAWPLYAGQRMIRVFLEEELRLAVAVQGYDKEGGVVEAGEVAAKVRWMMDSDGGRALRERAQAAMRRAREAVREGGESEVTLARLVDAWTRA >cds.KYUSt_chr2.1308 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7770863:7771750:-1 gene:KYUSg_chr2.1308 transcript:KYUSt_chr2.1308 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATQPLRLRVGAATSQPARLHHPIIRAREGGSSGRRRTSAVVAASAAKGRAAEVVREFYEGVNRRDLAAVAPLIAEGCVYEDLVFPRPMVGRDRVLGFFGDFMGSVSPDLRFVIDDISGDDPSAVGVTWHLEWKGRPFPFSRGCSFYRCQPDPQRQGMIQIVYGRDCVEPATKPGELALVAIRGVTWLLERFPSLADRL >cds.KYUSt_chr5.18131 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117124820:117125457:-1 gene:KYUSg_chr5.18131 transcript:KYUSt_chr5.18131 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPVFLGPLDGPADARRSDDSLDGTTDRLELLQLGMGNEAWHDPTDAPEEETLAISPADPQVPAARYHATDQEEGEACQAYALPKTQRTSRHQEEDTTRPDGTLAVQELIARVVGILAPSASFDDASWDAYQQVFQHALLASLAIQAMEALVKHIKKLKKKGPAAAVPPTVTSVPDV >cds.KYUSt_contig_1388.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000140.1:70920:75419:1 gene:KYUSg_contig_1388.10 transcript:KYUSt_contig_1388.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYHEEYVRNSSGLQLFTCGWLPASTSPKALVFLCHGYGMECSGFMRACGLRLAAAGYGVFGMDYEGHGKSMGTRCYIRSFHRLVDDCDRFYKSICDLEEYRSKSRFLYGESMGGAVALLLHRKDPAFWDGAVLVAPMCKISEKVKPHPLVITALTQVEDVIPKWKIVPTKDVIDAAFKDPAKREKIRKNKLIYQDKPRLKTALEMLRTSMYVEDSLSQVKLPFLVLHGEADTVTDPEVSRALYERAASTDKAMKLYPGMWHGLTAGEPDENVEAIFSDIITWLNARSRVWTLEERLMKMMAAPDKIVGENGADHGSTHPQRRRRARFLCGLTGRTHHHAEM >cds.KYUSt_chr5.19715 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127888568:127891586:1 gene:KYUSg_chr5.19715 transcript:KYUSt_chr5.19715 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDAAFPPPASVSKNSGRKMHKMLKSAFKRADSPGLSAASGDEPELSPSASVGSSASSGSRASSGKRVGRGSRSDDVGDRSSRESFELDGSKNEKMVALAALRDAKIASAYEPFPWERKMRELLPVPDSSRFLSLLLLPKATDGTHTRYSSLDDTLARADAWLASSRSSGVPVQLASVQTEALLTKISGETAVSTVNTLSDLANMSNVSLYGFEDYHGVDIGLVRAVRLWYAPSGAGGETAVEIALRQGDTRLGFAISRTEEGFIYVSSVADESTPGVASTRSGLLELHRAARRAGKLLVVSRVGGEKVLPWLVSTAGDVRCFDTVSLSQKLSLHRHALRPITLHFLAWDDGVLPPSPQPPRSPVLMMSPPSAESDAEELDGDGDGPGIEASRGGPKGSSFRFQNIGLPDSWM >cds.KYUSt_contig_1537.307 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:1824689:1826743:1 gene:KYUSg_contig_1537.307 transcript:KYUSt_contig_1537.307 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHTWAFTFGILGNIISLMVFLSPLPTFYRVYRKKSTEGFQSTPYVVSLFSCMLWMYYAFLKSGAELLLTINGVGCVIETLYIAMYLLYAPKTARLLTAKLFLGLDVGLFGLIALVTMLVSRGDLRVHVVGWVCVAVALCVFVAPLSIIRLVIRTKSVEFMPISLSFFLVLSAVIWFAYGALKKDIFVAVPNLLGFVFGVAQMALYMAYRKKSPATTTVVHQLEIMKLPEHVKDTSKGGTPTEGRVSCGAEVHPIVDALPTSADDQAAVAIDVEEATRDDRNMLIRPEQAIRPDMAIVVQV >cds.KYUSt_chr3.9775 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57470981:57472572:1 gene:KYUSg_chr3.9775 transcript:KYUSt_chr3.9775 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHLLAAVVLWAAFSSAASFTDPSDVDILVATRNFNEECLIGEGITGRVYRGDFPDGQDPDSYLVY >cds.KYUSt_chr2.54349 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339094711:339095823:-1 gene:KYUSg_chr2.54349 transcript:KYUSt_chr2.54349 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWSGALVLRGEIWCDLRRWWRGVVLRWSETAAPSSPPRISVNKPKVCSLPLYFIAVVLALLPLAGHGVMEWGTVSSGGGDAGEEKGLVLQVLWRGSWERLSSEPVKPLRWGWTEADSLAKALGNKRFWLHCCIVNVLDIDLTGRGGEGEDEDDGDYVSMCGQFTPSYSSARWSSARRSQHGSLHDASSVEAGCRWGSVCGSEDLLVHLGAEVRFGARCSPLVLLAGRGGEGEKEGGSEHKVRPGHSGELRTFPYRWSLPCVSDHLRPPSPTRGRSDVLECGLLHTDGASKESGARDFRSLEATSAGIILTAASRPSKPVSSSVFLTSIGGLLLDQRPRPTSTLKQVVPSPRRCSTAVQLTFGLDNRR >cds.KYUSt_scaffold_1259.262 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1599395:1603104:-1 gene:KYUSg_scaffold_1259.262 transcript:KYUSt_scaffold_1259.262 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRHCRLLPLAILLLLPWQAVSSDDTVTPATPIAGNRTLVSSGGTFALGFFTPDPGTGRTYLGIWYNNIPARTVVWVANRGAPVVGSAATLKIDGNGSLAVVNEQGDVAWASPVTADVVTRSPTAQLLDSGNLVLRFAGGGGGVAWQSFDYPTDTLLPGMKLGVDFRSGLDRYMSSWRGADDPSTGEFSFRLDPRGSPELFLYRWSGRTYGSGPWNGYQFSGVPNLKSNGLLTFRFASTPEEAYYRYEVDGGSDVLTRFVLNCSGQIQRLVWIDMTRSWSVFWSYPMDECDGYRACGPYGVCSVARSPMCDCAAGFQPRFPKEWELRDGSGGCARRTEMNCTGGDGFDTLRNMKLPESANATVDGTLTLDECRVSCLKNCACRAYASANVSSPAGKGCFMWTGDLLDLRQFENGGQDLFVRLAASDLPANIAVSKQPRARKLVETIVPSIVALLLLLAGLFFCTMRAKKHVRATRTPLHSGASSPFGRRNQIAAASTDDTQDSSLHPSGQGNHQDLDLPSFDVATILAATDSFSIDNKIGQGGFGPVYMGKLDGGKDIAVKRLSRRSTQGLREFKNEVKLIARLQHRNLVRLLGCCIDGSERMLVYEYMHNSSLNTFLFNEEKQSLLNWEKRFSIVNGIARGILYLHQDSVLRIIHRDLKASNILLDKDMNPKISDFGVARIFGADQTAAHTKKIVGTYGYMSPEYAMDGVFSIKSDVFSFGVLVLEIVSGKKNRGFYHTELDLNLLRYAWRMWKEGRTLEFLDQSITDTSNVREVVRCIQIGLLCVQEQPRHRPTMSAVTMMLGSENVALPEPCEPAFSTGRNNSSEDKEASRSNSASSWTITVVEGR >cds.KYUSt_chr4.17467 pep primary_assembly:MPB_Lper_Kyuss_1697:4:109282928:109289500:-1 gene:KYUSg_chr4.17467 transcript:KYUSt_chr4.17467 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDKSVDEDGGGVDGEAFRGHFPVSAACRNRDSCPPDLGFAMAAALEENRNWPFGISSIVCDLVLVNYSNQGCINRTLPPGYLEVRESAAEGASRETLKEACADATLGPAIATASSHLLTRIVAGSWVGSWAVAVQSSRRLPHLHQDAEGHQEDTVEPGLAACCFPQPRNPTQEIFSELDETKAHGLIFHGAFQKSEEETKRGDEAATP >cds.KYUSt_chr1.37689 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230098823:230100289:-1 gene:KYUSg_chr1.37689 transcript:KYUSt_chr1.37689 gene_biotype:protein_coding transcript_biotype:protein_coding MELAISAVTGELVSRFVSFLINKYHSGRAYSEEKQVERLQQVLLRARTVVEEADGRYITNSGMLEQLNMLAGAMYRGYWALGAFRYRSLQETPTEEEQISYSSSKCFRTVHGSARKNKATYLVDLQGVLERLEYVVSSMTEFVVLLGGCDRMLRRPYDAYLYNDNIMFGRHAEKQKLLNFVLQHGLHGGALGVLPVIGGPAVGKRTLVAHVCKDERVSSQFSSILHLDGDSICGIADHGSLLSGKVLVVVELVSDVDKEDWTKFCSTLASMDSGSKVIIVSRCKNSEKLGTVKPIFLNTLPYEEFSYLFKTLAFGSADPAQHPHLARVADELARELRSDWSLVAANLLADMMRKNLNLHFWLCTLSRMRRFVERNFSLFGEHPQLLILRRHQVDVSDFILHSASPLRIAPSCAAGSSRTEVTEERALLPKVRVGDLLVDPGLRPQGDFNVVTWESRLPPYTSFVHFVPNGAPGVPEDTPLPGRKRKST >cds.KYUSt_chr7.788 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4300090:4313843:-1 gene:KYUSg_chr7.788 transcript:KYUSt_chr7.788 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMQQWRPAGPAAQCLGHREVQPRNHNGGGGRGGHVGDSPSAAIAPDISASPEASSPLLEVVTEQLEVLPMEGGELSAGHEPIPLTRNSYKFPRRPGSGRIGIKCLVKANHFLAQLPDKDLHQYDVRYPHSSIVLLVINSYMSSVLAVTWFRSQVSITPEITSRVVGRAVMQELVKLHKVSYLGGRLPAYDGRKSMYTAGPLPLTSKEFHITLLDKDDGSGLERRGRTFKVVIRFAARVDLHRLEQYIAGKQAEAPQEALQVLDIVLRELPTARYEPCGRSFFSPDLGRRRSLGDGIESWRGFYQTIRPTQMGLSLNINMSATSFFEPLPVIDFVAQLLNTAIHSRSLSDAERVKIKKALRGVKVEVTHRGNIRRTYRISGLTSQATRELSFPVDQGGTVKSIVQYFNETYGFSIKHTYLPCLQVGNQRRPNYLPMEVCKIVEGQRYSKRLNQGQIRALLEETCQRPHDRERDIIQMVNRNSYHDDPYAKEFGIKISERLALVEARVLPAPRLKYSETGREKDCLPRVGQWNMMNKKMVNGGRVRSWLCVNFARNVQDSVATGFCRELARMCQASGMDFALEPVLPAIYVRPDQVQRALKARFHDAMTILGPERKELELLIGILPENNGSLYGDLKRVCETDLGLISQCCLAKQVFKMNKQILANLSLKINVKVGGRNTVLADAVSRCIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQVHRQEIIEDLYNVTHDPQKGTIHGGMIRELLISFKRSTREKPQRILFYRDGVSEGQFYQVLQHELDAIRKACASLEPNYQPQITFIVVQKRHHTRLFANNHNDQNSIDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDNGSIASACGGGQSGSSTSRSTRAAGGGVVRPLPALKDSVKRVMFYC >cds.KYUSt_chr5.40416 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255110509:255114694:-1 gene:KYUSg_chr5.40416 transcript:KYUSt_chr5.40416 gene_biotype:protein_coding transcript_biotype:protein_coding MITNARLVALALAVAVAAALLSSSSSRRGDDARAVVDIRDLELIPVDGGAAGPESVAFGIGGDGPYAGVSDGRVIRWLPEERRWVDHSSAAAPELLDGCRGSQDPMKEHDCGRPLGLKFNNKTGELYVADAYFGLRVVSPEDNVSRPLGPQHAGIPFSFANGVEIDHETGVVYFTETSTRFPRREFLNIVVSGDATGRLLKYDPIKNEVEVLVDGLAFPNGILMSEDGSHLLLTETTTGKIHKYWLKTPKASTLEELVQLPGFPDNIKASPRGGFWVGLHGKRGKIAEWSTTYPWLRRLVMKLPPRRVQRIMAFLSRFGRHVIALRVTGEGEITEEFIVHGAAREMFGSISEVEERDGCLWIGSVHLPFLGRYCL >cds.KYUSt_chr6.19573 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123194912:123198933:1 gene:KYUSg_chr6.19573 transcript:KYUSt_chr6.19573 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPQPDIRYLQISRSVLGFCCRTRHKKEEQERAEQLQAKMAEVRIASTTTVADRSSGGFFIRRLVSPGAVVEKGGVKPLARRVPLPSSNKENVPPAWAAWTAPKRRSALPEWYPRTPLRDITSVIKAVERKNRLRDAAARQLQWDEDATSQSGDEAQTDQGFQQSAPPTDETLAVIASGPAASAEAVATTSSAACLAEGTLGVSDGCSLQTPSRPCDPPAPACVVEQQLANSIEQIEKMVRRNLKRVDPKAARPSKTPAVQRRTLMSMR >cds.KYUSt_chr7.37140 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231830101:231833233:1 gene:KYUSg_chr7.37140 transcript:KYUSt_chr7.37140 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRPSTAASGMKAMNSSTRKNIRKQRLIILKLLKRIQMSLQFLATELTVTSFSELCPKRDWHSDIDLCNELKKYMEEVAVFKKEASDEHLRRIEIERMARTLEEKQVQRQKETEEDRSKTHQEFQQLKVRLDEVTDELQKANQHNEHVQHELMESKRRYTEELQKAKEHSENVQHQLLEFKERCNWLQSEYDQLLNDAVREVEMLRQRRGKILSVLRRFSSSELECATENLSTSLKIGGGEFASVYQGVLWNMKVAIKVLRPDVLQGRSQFEEEVVPLNSF >cds.KYUSt_chr5.3007 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19559817:19560587:-1 gene:KYUSg_chr5.3007 transcript:KYUSt_chr5.3007 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQRLPLLLAVIASLCAAAVGQDGKPVLPFAPSCSTTDNYTDGSQYMKNLDQLLAGLPVAAAFNGWFYNGTAGAPGTPDQVFGLTMCYADRNAKECQECLAGAAAGIKSMCPGSRNVRAAYDACVLRYSPTPSFSIADLDVAFYVTVAGTAIDPERMRNAWLPLMTNLAWRAASSSSRVANATTPYDAASLVYGLAQCTRDLNATECSRCLSSLVGQLRTRFTNETGGAVKAYSCYVRYQLGAFDVTLPPEPLW >cds.KYUSt_chr6.10454 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64541468:64549832:1 gene:KYUSg_chr6.10454 transcript:KYUSt_chr6.10454 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGNPNPNPNPNPPFEMSMLFRPPPPNPAAPIFPAVAGPPPPSGPYSYPPATPPFHHLPYPHYAQDPMPRPVMSYAMPNPNPNPNPNPGARLMQLLGNAGSGHLESAVSMPPPSSDFTASQPLQLPAMPSAPPARMLSTTSSKVPRGRLLGAGDKAVHNVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKMGNIRVLNINTALRSLLRGHTQRVTDMAFFADDVHRLASVSVDGRIYVWRIDEGHDEENKPQISGKIEVAIQIVGDAEAYHPRICWHSHKQELLFVGIRNYVLRIDTTKVGKGRDFNTEEPIKCHLDELIDGVRLVGKHDGDVTDLSISQWMTTRLASGSKDGTVKIWDDRKPVPLSILKPHDGQAVYSVAFLTAPERPHHINLITAGPLNREIRIWASTNEEGWLFPSESETWNCTQTLELVSSLEPKAEDAFFNQVAVLPQANIILLANAKKNAIYAVHVEYGLDPASTRLDYIADFTVAMPILSLTGTHESQPDGEQVVQVYCVQTMAIQQYGLELSLCSPPTSDTTGLGRDPAISRVHDTPLEVAGAESSTGTSFTDSYTVGASSKQSTIDQTTEFDHKPSAPPLAHTGDGSAHLPSAPLAANMDLTGSGPSLGNRDKDQSALDHQTNRSTEPVALIRQDTPMPKPLPLTRQDTPMPKDSFGKDEYRDSHSDVKMLPNPRMMFKVGGNATHLITPSEIISGALSTAESNQVSKSDGAKIQDGSVSSPRITEVEAKHVDESKSGQELEAIKEAQAVCESSEKAQNLFEQTVEMVSERSVTTDKYSVEESQPSLDRLVPDHTGAAGENVLNKSVEMPGRSDNSSVSRDQSSAKEKVLHLQASGQSSPSASAFNSTESSHEPVSSAYPPIDSSSEVADMQSMLQQLIIMQKDMQKQLSTVVIAPIAKEGKRIETSLGRTMEKSIKANMDALSARFLEENTKREKAERERMQQMTTLISSSISKDLPAMLEKSLKKEVSSLGPVVARTMTPIIEKSLGSIIADSVQKAVGDKVVNQLDKSVTAKLEATVARQIQLQFHTSVKQILQDVLRTSFESLLVPAFEQSCKTMFEQVDSAFQKGMSEHAVAIQQQVEAAHTPLVLTLKETITSASSITQSLTSELLDGHRKLLALVTSGNASAQNTNVLQPNNGPITGPPEVEAPLDPMKELGRLISERKFDEAFTVALQRSDVSIVSWLCSQVDLRGLCTMAPLPLNQGVLLALLQQLAVDIYTESSRKIQWMTDVAMAINPTDQVIAAHVRPIFEQVYAKLAHHRTLPSTNPADISNLRLLMHVINSVLLSYK >cds.KYUSt_chr4.47775 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295746701:295749857:-1 gene:KYUSg_chr4.47775 transcript:KYUSt_chr4.47775 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAWAFFLLALFSFSSCVSKLFICSRNGEGSFLCTRSQEVPSIASKRYRTAYHFQSPKNWINGPMYYNGIYHEFYQYNPGGTIADNIVWGHSVSTDLINWIQLEPAIVRDTPYDINGCWTGSITILPGDQPVIIYTGRDSKDHQSQNIVLPKNRSDPYLREWTKADNNPRILPVGPGLNSTEFRDPTTGWIGPDGLWRIAIGAELNGYGAALLYKSEDFLNWTRVDHPLYSDNAPSMWECPDFFAVLPGNNGGLDLSAAIPKGAKHVLKMSVDYSDKYMIGVYDLKRDAFVPDVVLDDRRLWLRMDYGTFYASKSFFDSKKGRRIIWGWSNETDSVSDDGVKGWAGIHAIPRTIWLDSDGKQLLQWPIDEIESLRKDEINHQGLELKNGDLFEIKGIDTLQADVEVDFELASIDSADPFDPSWLFDVERHCREAGASAKGGIGPFGLVVLASDNMEEHIAVHFRVYKSQKSHMILMCSDLRRSSLRSGLYTPAYGGFFEFDLEKERKISLRTLIDRSVVESFGGGGRVCITARIYPVALVDGRVHMYAFNNGSTTVRVPQLRAWSMMTAQVNVNKG >cds.KYUSt_chr7.35850 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223960148:223960969:-1 gene:KYUSg_chr7.35850 transcript:KYUSt_chr7.35850 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAASHLTGTDAVAEPFRVFVGYDSREDIAYRVCRRSLLHRSSVPLEVIPIVQQELREAGLYWRERGPTESTEFSFTRFLTPHLAGYRGWALFVDCDFLFLADVAELARMADPRYAVLCVHHDYAPKEATKMDGAVQTLYPRKNWSSMVLFNCGHPKNRAALTPEAVSTQTGAHLHRFAWLDDADVGEVPFPWNFLVGHNRVDPDDVAGTTPRAIHYTSGGPWFERYRDCEFADLWLQERDACVSEENADAQIKPAGASAAVPPPSAVAVDA >cds.KYUSt_chr3.40721 pep primary_assembly:MPB_Lper_Kyuss_1697:3:257024509:257032640:-1 gene:KYUSg_chr3.40721 transcript:KYUSt_chr3.40721 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAQPPPPPPPPRAMLADLNVDPPESDGEDHPPTPKPSPAIAAAAAAAVPVAAADYSTRSCIEESGLTKSAIATKDPDTVECEDADQHYQGASVSREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLGQPQKAILAYEKSSEILVQDEQEVRRPDLLSSVRIHHAQCILQASMGDSFDEELDTGELDEILVKLKSSVELDPRQAAVWNILGLVLLRGSQIQSAISVFSTLTTVAPDYLDSLANLGVAYIQSGNLEQSAKCFQELVLKDQNHPAALVNYGALLLCKYGSLAAGESGTESAGSYLHQKEALVVAKECLLAAVRSDPKAASVWVNLANAYHMVGEHRNSKRCLEQAAKFEPNHMPGRYAIAVHRIRDATRSQCSDDQLSWAATEMATVLKEGDPSAVDAPIAWAGLAMAHRAQHEIPAAYDGEQAVLNEAEERALYTLKQAIQEDPDDAVQWHQLGLYNICTTQFSRSVNFLKSAIARSPDCSYAWSNLGIALQLSDDPSSETVYKRALVLSSSQQSHAILSNLGILYRQHRLYELARKMLSRSLELCPGYAPANNNLGLVFVAEGRLEDAIGCFERAVKSDDLLDAAKSNLAKALALAKKQQGNTR >cds.KYUSt_chr7.35428 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221282812:221290675:1 gene:KYUSg_chr7.35428 transcript:KYUSt_chr7.35428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 (EC 2.4.1.13) [Source: Projected from Oryza sativa (Os06g0194900)] MTTPNGSSLRRPKMEQDVDDGRVATYLHQQRLVASSDDQWIYFIGSGIVDASSLSVSLRERLGATFSSHPNELIALFSKYVHQGKGMLQRHQLLTEFEALFEADKERYAPFEDIIRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELTVSEYLAFKEQLVDEHASSKFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNYKGTTMMLNDRIQSLRGLQSALRKAEEYLTSIPEDTPSSEFNHRFQELGLEKGWGDTAKRVQDSIHLLLDLLEAPDPASLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDILRVPFRTEKGILRKWISRFDVWPYLETYTEDVANELMREMQTKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDSVGQYESHIAFTLPDLYRVVHGIDVFDPKFNIVSPGADMTVYFPYTETDKRLTAFHPEIEELLYSDVENSEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMFGKNAHLKDLANLVIVAGDHGKESKDREEQAEFKRMYSLIEEYKLEGHIRWISAQMNRVRNAELYRYICDTKGAFVQPAFYEAFGLTVVEAMTCGLPTIATCHGGPAEIIVNGVSGLHIDPYHSDKAADILVNFFEKSTADPTYWDKMSEGGLKRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMFYALKYRSLAAAVPLAVDGENTDN >cds.KYUSt_chr5.4489 pep primary_assembly:MPB_Lper_Kyuss_1697:5:28664534:28678521:1 gene:KYUSg_chr5.4489 transcript:KYUSt_chr5.4489 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLGAVRRAPARPSPAPEADENAPADGSLAAADPDAAAAAASRPPLLAIQPPAPASSSSGLKRKPEPPAPTPSKLPFRTPEKAAARSRFGWAPPPRADELPHPPRATPYGATTPRAHRARAPAASSEGGGSGQSTPTKSVSKPPPAYHAGMSGSRAMASGGGARALGFPMMAQSRGTPVSFGQAAAVCSAEVPHFELREDPSFWMDNNVQVVIRVRPLNNMEKNAHGYNRCLKQESAQRVTWIGQPETLFTFDHVACEGVSQDVLFRVAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSSERGMTPRIFEFLFARIRAEEESRRDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLPLREDINGVYVENLTELEVGCVSDIIKLLMQGSMNRKVAATNMNSESSRSHSVFTCIIESRWEKESTTNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIRNLVDLTNGKQTHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSLCSSNETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLKEELAVVKRQRVTRTLPFTTDICGRSGGNADDGADHMSVDEDIYSDAHNIQSLQDVRVSNNQRSLEEALGGALRRESMAETAVKQLEVEIEQLNRLVSQREEDTRCAKMTLKFREDKIHRMEALIHNKLPAESYLLEDNKTLSQEIELLRTKVDKNPEVTRFALENIRLSNQLKRYQKFCNEGEREVLLDEVSNLRNQVLQILEERVLTGHKNYISDKFEDTEQLRSNLVSEPESLPTELRRTCQELETCRNELQGCLESNEKLIREITDLQNELSTIKLTKREECCSSSECHSHACARMEDCSHEALMGNTEDILILQLELDILKSILAEERTSRGEVQERTTILGDELKAANLRILHACKQSDAIGSELDDARSVIEALESQQILLINELDELKENNQQSIEILKRRDRQISRLNTELDNHRKQILLASAEPKMHLLKRSENEDSPLQRKLKRMQASLEKAQDLNIRYQKDQASDKFAEQEMDEVCRQVEVETTEVIMCLQEELISLQQQLDASNKNELLAKQSLNDLQLERKELNDRLLGMMKEKESFSTLTEEKEKKIQLLTTDWESLQEELVSLQQHLDASNKNELLAKQSLDELQVERKQLNDRLLKEMKENESFCQLTEEKEQKIQVLTNDWESLQEELVLLQQQLDASNKNELLAKQSLDELQLEWKQLNDRLLEAMKENKSFCELTEEKEKKIQMLTNDWESLQEELLSLQQQLDASNKNEQLTKQSLGELQLESKQLNDRLLVAMKENQSFSELIEEKEKEIQLLTHDWDRLAADIESFLVNGNASLDEASDQVAFISESFSQRRWVEDKVQKMCQGIADREKLLEELQSRLKEADDIKCDLDLKLRSLRGAMEAINEMHQQERNDQEKSIALLRSQVSEHVQAKQQLLEDLRRVDLLLDESIATFVQKEVLEQNYVSSLKGMEGEIHHLETQLDDSKKLIAHSLSQAKDKEQTFEKLKSEENTVLLRMSSDVLKAKGIIREFGVGFNMLESSLSVDPEEVCQNSHLNLEDRAELKTFGALEVGEQCNAATLCQLSKEMQSAVYELQTLQSQMAKLLQEKKNVKEGLFQSRETVKDLSSEVIQLKSQITDQHKCYEARLKELEIKMQEKNDDATASLVSWHKEKEALEFEISEAKGLAQQKSFEAFTLMAQFQEAHLTIADAESTVKALVEANGNAKLQAEKFKQKESLFVTEKDNLLGEISSLKMLLDVKEQSYMDMEKKFESGLLEANEVALELEDGIRHLKNLLSENLEFVSSDIKWMRSELRQFVELVRTWLEENWLEMIGKDCAVSVLHLCHMGILLERVTGLHAENGFLQSGISESNSLISTLREHNDKAKSELEMCSVLKGKLLLDINHSFGRIAKKEQEATELNSRLHSFEKKILHLQAQEEAILARSDSMYNEISILTEEIDATNRSSLTAQSKEKEELHNQLDQALLLNGMLKDTMLEVLSLPEVNSTIPANDMKECNEFELCSWLVNYHHESVMINTIANDIESVVLASEWELHIGQLQKQRLIFTEVLEELKTKATLWKVDQDLGSLAIHALHEENSSIRIDLKNLKQSKDEVMDSLHAISEENPKLKDVVSSLESNIKSLQADLDGKVKTLMESQCSRAALCKDLELKAEIIELGISRENALRSDNDSLKHEMLDILRKDRCMVHLVSNIDTEKLSASIQACLEQITTKLQEYIDEQLSMVMKFSDELDLVQLSVEELSTHNSVLQSELARKDELAMGLSFDLSLLQESASVAKDQADQLIELNETIKSLEHEVAAKSDDLDNLFSGKQLLEAQIMEGNEKISVLEVQLANTVGELNALSMENSELRSQLNHIDRISYTMKEELALKSNDTERMEERLIELTNLLDERSILLQNLQSDFSKLSNEKKCCDSQVLILREKLEMAQAVAEESEAIATEAREIADERKTHAEMKDEEVKLLESSIEELESTIFALENQVGNIKEEAERQRIQREDLEVELQKVRHQMPSTSSSRKLRSSMEDGMVDSTDSLRYSSEIHTELLGAQESIRILKKEVAEKESEIAQCKSHISELNLHAEAAAREYKQKFMELEAMAQQVNTDNTSTNACSARPEKISLKPRGSGSPFKCIGLGFVQQVNSEKDEELSAAKQRIMELEGIAASRQREIFMLNAKLAATDSMTHDVIRDMLGVKMSMTTWATLADKQQKMSTEESAIYQTEESKDELLKLKQRLNEFIDERQSWIDEINQRQSELGAARITIEQLRQKEHFMVAEVDLLKAESANYKTIIFNLEDEVKKLNRQQNLQLRINHHVKTKEENVLLKKQNEELSAKLQQLGATVTRTKQKLARYMVSDGKDPHQQIEEEEVLRKKLEESEQDRSKLAENLSSLCTSVLKVARVRNHESEASLLKAMEALNQLQCSISSLESEVEDLKIKRDFVYVMNGTVLFYTSLIVRDE >cds.KYUSt_chr7.5421 pep primary_assembly:MPB_Lper_Kyuss_1697:7:32380042:32381328:1 gene:KYUSg_chr7.5421 transcript:KYUSt_chr7.5421 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVASNPLPAAPASVSSTYGCRPSFGRDAAAEEAPVASSSPARPAVATPAISKDFIDFEFSFGGCTTSMLPADELFADGKLLPLQKDAPPAAAPPPEPESAAAAPPPRLLETMPATPEPMKAIRGGAAAGAADQYVFSPKAPSCSSRWRELLGLKRAAASAQSPKASSPSPSPSAALAKTPGRTNTTSSSAARSLKFLLQRSNGGRASSGAASDLASAPLLRDSSDSEASLSLASSRFSHSSSSSSGGGHDHDDLVNINPRFSLDSAAPDHNNPPRLRLVPHRHSTSTSTRRPGPSTPPPPPPPSSCVTADSPRMNSSGKIVFQTTGLERSCSSPCSLHAAARSRSMARAVDRSYSSGVRVAPVVLNVPVCSRPVFGGFFKDKTKEAAANKDSAAAAARSSARASSTLGRKPTPQRWSGELPKHSG >cds.KYUSt_chr2.11812 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75176720:75177409:1 gene:KYUSg_chr2.11812 transcript:KYUSt_chr2.11812 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGIFGGGKVAPPEEGGSTEGGESDEIDLGLGLSLGLGLSLGGRFGTDRSPDAKRPRLARSASIASACSVSLADADADGDGDPSPASPLQLLRTSSLPTDTEEERWRRREMQSRRRLEARRKMLGRRSSVGSSSVPGKPAKEDVAGADAVNGMQLRRSTGSQGSTSANQQQQQQQDQGVHSLFFCSLSLLLILPDTSCDCNLLNTVWPDLGWFKLQPVNHASAY >cds.KYUSt_chr3.47210 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296251960:296253270:-1 gene:KYUSg_chr3.47210 transcript:KYUSt_chr3.47210 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEDARDTLMQPWQYLQNPPQYVGADDKCFLAMVMWWTCPRYLKKHEEGKKKRAEMRGGSHIQGSLPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPHPETGSVWVNPQSETQCTSYVSKFKQKYGEEANPEAEDFDPEVAVLAGEGLKHGRLWFGDGVVDPATVPSLRQIRRGRKSGQPEVEPRSRASDLAVEQLRAEMAEKEQAAQEHARNLERQVLEYQQQQAQMMLQMQQQQQMMQQHQAQMSWLMSQTALSSPPGSIPAPPPYSMPWMPPPPTQTPGTPLTVNNMNIIRSMNRDYMSQGNDDEAGGSGGGQ >cds.KYUSt_chr4.3589 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20381880:20383401:-1 gene:KYUSg_chr4.3589 transcript:KYUSt_chr4.3589 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRNASRLLGSAVCAPPRFELPAPSGFELPPAPSGFELPPPAEESHLAGFVSKISSSLSEPCVENLSPWDLMGQLDLSDPQAEECFLEDYSVPVVRRASSLFSPTMPAAAGSLEKEELDMVLELNLAEKKKAARKKNQSKPETEKREGKGERYRWRVTPELEESGNGKAVVEESDPRPARGRGAQSWTCNKKNSKGLSCRRPVSRPDSLCRYHCDPKLPARRKRTTALGAGEEGFYYYTGFGPSRSTKRQRSVLLLPARDKEEAQLPEEHTDATPSDPAKADQHQVAAPTRVRVTDDKSMAAIAGCDEDISSSDDDSALRGCNGEPAPGAMIKKKRKPLKVRSINFLM >cds.KYUSt_chr2.42019 pep primary_assembly:MPB_Lper_Kyuss_1697:2:261639616:261641507:1 gene:KYUSg_chr2.42019 transcript:KYUSt_chr2.42019 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLRLAAVALVAAAFVAADGTGTLSPSFYDATCPGLQPAVRRGVAQAVKKEARMGASLLRLFFHDCFVQGCDASILLDDTANFTGEKSAAPNANSLRGYEVIDAIKAEVEASCKATVSCADIVALAARDAVNLLGGPSWPVLLGRRDALMTTKAAAEDSLPSPTSRLPQLLHSFSKKGLNARDLVALSGAHSVGMAHCSNFREHVYKDTKINMTYAAQLRAKVCPFVGGDDNLAPLELQKPDKFDNGFFNDVITGQVLLRTDQELLGSSGSHGTTDALVREYAANADRFAAEFAMAMIKLGNMAVQDGEVRLNCRRPN >cds.KYUSt_chr6.7006 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42521056:42523283:-1 gene:KYUSg_chr6.7006 transcript:KYUSt_chr6.7006 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATASHADLTAIVEPPSVPQAPPVSTVALANPREKVPCWLVGKKNVSDNVRLFRLALPSPDQKLGLPVGKHVYVCASIGGKLCMRAYTPTSSVEEVSHVELLIKIYFEDEDLKFPAKA >cds.KYUSt_chr3.41737 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263390686:263393174:1 gene:KYUSg_chr3.41737 transcript:KYUSt_chr3.41737 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIQPNLLNQLVSFLLGASAAGVLIFFLSFEGVVSRPSTAVISSWSNGTMGFPDSPAQDANQTSRLEVASPQEANHTSQKAAEQELERLLRAVADEDRTVIMTSVNEAWAAEGSLLDLFLESFKNGEKIAHFVEHLLIVALDAGAFERCRAVHPHCYLLPPLAGNSSDLSEEKVFMSKDYIDLVWSKVRLQQRILELGYNFLFTDVDIMWFRNPFERMSVAAHMVTSSDFYFGDPYSPINAPNTGFLYVKSSNRTVGIFEAWRGARASFPGKHEQQVLNEIKFDLIEKRGLRLQFLDTVHNAGFCNNTRDFNTLYTMHANCCVGLGAKLHDLGNLMKEWRAYRGMDDEQRRRGPKPYDKPFAGSGRSAAPAAARGAPQRCSCSVRCALANAPPLPSVRGSPVLSKPTSVQVRLRLGYFDITVSGPSGERLFFRFLLRKAASDQGCKGLMVANFVTASVGLLDSVALLGPLRRPILTVDVLARSEMEGAGASVPLCCRRRLDQG >cds.KYUSt_chr1.10018 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61380238:61383208:1 gene:KYUSg_chr1.10018 transcript:KYUSt_chr1.10018 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHLSPAVAVKVPFVHVGRPRPRALAKAGPCRALGKEDASHGVVEPAGTRGARRRTQATNVSSAAAAKGMQTDPVDKFQILKRQEEARVIPEASFY >cds.KYUSt_chr5.39208 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248126440:248127129:-1 gene:KYUSg_chr5.39208 transcript:KYUSt_chr5.39208 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESGSPCSGDYYSPSTSSEQQHHLGQKQAAWTKRPAGRTKFRETRHPVYRGVRRRGNAGRWVCEVRVPGRRGSRLWVGTFDTAEIAARAHDAAMLALAGAGSACLNFADSAELLAVPASYRSLEEVRHAVTDAIEDFLRREAMGEEDALSGTSSSTPSSPITDDESSSSRAEDSPFELEVLSDMGWDLYYSSLAQGMMLMAPPSAAAALADYGETILADVPLWSYQS >cds.KYUSt_contig_973.207 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:1897723:1913788:-1 gene:KYUSg_contig_973.207 transcript:KYUSt_contig_973.207 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPNLAVVLRGALSHVPEERKAAEENLNQFQFTPQHLVRLLQIIVDGSCDMAVRQVASIHFKNFVAKNWSPNDPDESQKVSVSDKAMVRENILGFIVQVPPLLRAQLGESIKTIIHADYPEQWPSLLHWVTHNLESQSQIFGALYVLRVLTRKYEFKSEEDRIPLYHIVEETFPRLLGIFSKLVQIVNPPIEVADLIKLICKIFWSSIYLEIPKQLLDPNVFNAWMILFINLLERPVPVEGQPIDPDIRKSWGWWKVKKWTIHILNRLYTRFGDLKLQKSESKTFAQMFQKNYAGQILGCHLQLLNAIRTGDYLPDRVTNLILQYLTNSITKNSMYQMMQPQINIILFEIIFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIFFIVDIFRRYYEASAEVKPYRQKDGALLAIGTLCDKLRQTDPYKAELERMLVQHVFPEFSSCVGHLRAKAAWVAGQYAHINFSDQDNFRRAMHCIVAGMCDPDLPVRVDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLASAFWKCMASSEADDEADDSGALAAVGCLRAISTILESVSSLPHLFTQIEPTLLPIMRRMLTSDGQGHLPKLVLHGMQEPPRSPDLLYPEKQQLMPLAAIPLLTSGEPAYLVPNELSLPASSPPCVCNIGDWPQGHKVPRFPGGIRALHWQSRLLSPTPLAVLTHTHTLVLDQDLLAATDGFLAWVPEGCDEWVQVTYHGGQMVLGRNWPGIVHKYDLQYNDMVVFKSQAFVLKMKIYKTTLPPGCTPALTIPISPRQPHREGGVGAVPRGISRPAGHAPAKQRRLEDGRERHWCPAAAERWKDAIKAQRAELNAEERADPTWAAKNNDAWWGTYFQAKYGMEMRNTTGLVGGPNSWNRDGRALFWGVPGRTLQRVIHGIRNGAPRLEAPSSPPPSPAAQWQPRRTYSSSSNSSSSGPIDAVLVIPLGALHRPQSRGDGGAGDVIPRRGGNGSRRQQERRGGALLIPKPEVKEEPEEASKAQLLAEYKRQQRLIASSDDTEDCLGLRATYLASLNDKDAWRGDLDTAIAMSIRDYGKPLVDLTDDGEAGPSGLVKDEPVDEPDKRVKQEVVTDDMYNFHQYYDASGRRKYF >cds.KYUSt_chr3.8157 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47018309:47019420:-1 gene:KYUSg_chr3.8157 transcript:KYUSt_chr3.8157 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHRLAYYNMQCCLGTSSLYASAAESGEHQNACAHAPCHCTEPGCAGFAASPEALAGHLASVHAVPLHTVKYGKVSRLQVPASVPRLLLVAEDDGRVFLLTMGALGGAGTTAVSAVCVRASAAARPRFTCKMWVNLPPPAAENGSGKSDVVLVEMTVRSSTSPGAVVAADQPTFLSVPPMYLVQGQDDDGPSREVPLSIRIDKVSPWSSS >cds.KYUSt_chr4.47767 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295721357:295723676:1 gene:KYUSg_chr4.47767 transcript:KYUSt_chr4.47767 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFKGNPYFNRVSSNATKSESPKLQSPSERAEKDDSLLPSNPKEVEELRKDSARKNPLIAFTFEELKSITKNFRQDSVLGGGGFGRVYKGYITNDLRQGLAVEEPLRVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLVGYCCENDHRVLVYEFMPLGCVESHLFSRVMLPLPWSTRMKIALGAARGLAFLHEAERPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDRSRPVREQTLADWAFPLLTHRKKVLGIVDPRLAADDYPVKAVHKTAMLAYHCLSKNPKARPLMRDIVATLEPLQQQPVALVGDNTTNTGVGGGGS >cds.KYUSt_chr4.26611 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167050876:167051950:1 gene:KYUSg_chr4.26611 transcript:KYUSt_chr4.26611 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQKSQIKRLSKKEAENQLTIEIFKGCLKASQDLLAQRSSIDEVYAKLETRKPSTSLSRTLSKSLILMRSNSKKELETKNEQAMAKMAEKLKTSNNRVKPLTTKLKSAEAEAAEIDNIIFPLLGFERSKDNGLSRTEAYEEVGNSIEDLIEAFRKIAVKLSLKKARTKVIDTMTKMMTMVPDLIRDWQESSARGVTAHVLIMYKAHVPSLDFANLAAGVPKDTNVKKLLAETCGFDTLFSNRVNHEMWYEKNELPAGFAEEEEEDKEEGSGSGASQYGDESGKDSTFHAPEEDKPDSSE >cds.KYUSt_chr3.26391 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164354369:164355002:1 gene:KYUSg_chr3.26391 transcript:KYUSt_chr3.26391 gene_biotype:protein_coding transcript_biotype:protein_coding MARADKHLLVYRRRTLEEREAAAARRREEEEAAARLREEQQAAVALKQLGECFRTTQVIQLPTDRPKPTPKPRRYHVSPNLEEKVKAGVMLCWLKKGMKKMKEALKIGRGKDKGQVYHRKECKAVAATSHFVEQVEGAVAERATTSQDGGAEQVLLEAVAVEKVSTRDGRTAAQVVASQVVA >cds.KYUSt_chr4.8431 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50442093:50443676:1 gene:KYUSg_chr4.8431 transcript:KYUSt_chr4.8431 gene_biotype:protein_coding transcript_biotype:protein_coding MHFYADTASGHQGNSTSYTSGPQPQWQSDTGAGPSTSTSGSPGGSWHVPPDTNSGPRGQPLHTPVPQEPLGLGKGTFTYEELAAATGDFSQTNLLGQGGFGYVHKGVLPSGKAVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGTSRMLVYEFVPNKTLEFHLHGKGLPPMAWATRLRIALGSAKGLAYLHEDCQPRIIHRDIKSANILLDNNFEAMVADFGLAKLASDNNTHVSTRVMGTFGYLAPEYASSGRLTEKSDVFSYGVVLLELLTGRRPIDATTQLLLEDGLVDWARPALSRALAGGDYDAVADPRLQGSYDPVEMARVAASAAACVRQSAKKRPRMSQIVRALEGGMSLEDLNEGVRPGQSVLFGEAGSSG >cds.KYUSt_chr1.33503 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203619629:203625064:1 gene:KYUSg_chr1.33503 transcript:KYUSt_chr1.33503 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSQLYLLAYNSLQSLGWSLALFRLLACLAPPVSVHPAYALAGDLICFLQTCAVLETVHAAVGLVPTSPFLAFLQWGGRTHFVLALLQQVPEVQGSPSVFITFMAWSISEVIRYSHYALTTLKVCPAWLTYLRYTAFIPLYPIGVGPGEMWTIYQALPFVQERELYSGFFAKFSMSYHSFLVGGLLCYPLLWLKLYLHVFKQRKSKLGKAKEVRRKADQMVQLGKDGTLDSARRASAFVRGDDAVHKLFTELAYRYKDRAGGYTRLLRTRIRIGDAAPMAYIEFVDRENELREAKPATPQPPQRAPLDPWTRSRASQQWAGPKVSHNSGTEGL >cds.KYUSt_chr5.21891 pep primary_assembly:MPB_Lper_Kyuss_1697:5:142959001:142968817:-1 gene:KYUSg_chr5.21891 transcript:KYUSt_chr5.21891 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWFTPAYSKKTQASKLGDAQGIPFFIDNIIRNPSKEIFSELDEINVQGPIFARSFQKTERITKWGDEAPPRQGRAARAGPAPPWCVGPSCRPLTYPFAYLKPPSRNPQYRETRYGKPSGDAAAANPISGIQEIASGTSPERGFISRRTLHRHDRLRSDEFHVGAGIPGVAPHHISPPSTFNVLLGSSCERVHDAWDLLRAGPRDEVEDHSAYAFHGHTDEVFAAACSPVDASLVVSGGKDDRGFLWRIGSAEDVQELSGHRDTVCTVDFSSDGKLVASGGMDGQINVWNTATRTLQGTLEGSESGFEWLKWHPRCHLIIAGSEDCNIWVWNADHNAFPSIFAGHSSTVTCGDFTPDGKLICSGSDDATLRIWDLESAQCRHVVRGHGYHTQGLTCLAITWDSQSIVSGSQDNSVCIVNINSGQVVGSLVGHTNSVECMGISPRYNWVATGSIDQTLIIWDLTHQAIRSTCEHDVGVEINGPFLFLLYFHVIMNLLLVVVFDKQEGVTCLAWLGSSKYVASGCVDGMVLI >cds.KYUSt_chr6.25524 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161814575:161816453:-1 gene:KYUSg_chr6.25524 transcript:KYUSt_chr6.25524 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKKATSSSSAGAVAVQGSNVNERGGICVQGDSGLVLTTDPKPRLRWTVELHERFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKDFNDHAVKDAAAAMEMHRNAASSSGMMGRSMNDRNVHMNEAIRMQMEVQRRLHEQLEVINQPRIKVQKHLQMRIEAQGKYMQSILEKAYQSLSSGDVAVNPAGGYKSLGSHGGVLDVCSIKDISTASMGFPSLQDLHLYGGGHLDLQQQHAPMESFFSCSDGGGGLGSLGKKRSGQYAGGKSPMLWGDDDDEDEKGDQLLQMAPPMMDDMDSIAGVYDAKSMMTMSGDSAGSRGYDGSAGSKLERPSPRRLHMGGQSPSVIYG >cds.KYUSt_chr4.27099 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170260745:170263569:-1 gene:KYUSg_chr4.27099 transcript:KYUSt_chr4.27099 gene_biotype:protein_coding transcript_biotype:protein_coding MCILSRAAGLANTSSLLSPTRPSPTNRCTSPAALLVPRRPDEVRRLVRLTFVRPPLIMPSCFLWDYIKIENGPLHVLVLGLGTELAIQMPEIETSILFAKQTLPQIELSGPRFSDNIYVVVWHPDFTHSFSGCFEGKVVVYRRGHHSTGLHSCFIELPINAY >cds.KYUSt_chr7.14638 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90410945:90412093:-1 gene:KYUSg_chr7.14638 transcript:KYUSt_chr7.14638 gene_biotype:protein_coding transcript_biotype:protein_coding MQAATRSQAPGLRDFLRSLPAVHALVADMLDVVSAVDVAAEVGVPGHLFFSTGAATLSVFLGLPSFCSRRSSGGELRDLGDAPVSFPGVPPMPASHLVDAVLDSGTDLYATSLDVFGRMAAASGGILVNTFEALESSAVAALRDGRCLPDRATPPVYCVGPVAAEAEERHHPCLPWLDAQPERSVVFICFGSRCTVSLEQIGEMADGLERSGHRFLWVLRAPPGSAAGEPDAALSLLPEGFLARTAGRGLVVAASSWVPQVEVLRHASTGAFLSHCGWNSTLEAMGAGVPMVCWPLVAEQWMNKVYIVEELKVGVEVRGYKRGGLVTAADVDATVRQIMDMELECRQAVEDRLAVMKESTAAAWKEGGSSRTAFAEFVNQME >cds.KYUSt_chr2.49053 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307012800:307018536:-1 gene:KYUSg_chr2.49053 transcript:KYUSt_chr2.49053 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHALPRRDLQALAKRNGVRANMTNGAMADALAALPAVDGIEEYVQQPVEEPTPAAEPVVQPTAEEEEAPAEEKPEISLPPDEVVVLDDSDEEEKDLTPDEDEQKAPARGVGRRRVAWAEPLAATAKRNRPAARKAGTAEAVADAVPARATRGRKQRTVESVAANEAPKRTVETDADTAEAVPEAVPARATRARSQRTVEPVAEDEAPRTRRTSRRKAGTQQEEEEKATQGDASDVEPAMAAPVSTDEGCDGAEDMEAAVDVQNEEQRAPVEEQSQEEEGETEKEDAAAVAVPARATRARSQRKVLSAAEEVAPKTRRASRRTVDAQAEEQHAPVEEQSQEVEADTEKEAVAAVPLSARATRARRQRTVMPVAEEEAPKTRRTSRRAVAKNNNSTEQEDEEKAAQGDVSDVEAAVPAPASSHEEAEGSEEMAETMDAQNAPIEVQSEEGEGEAEKMDASAVEAVPARATRARRQRAVLSVAEEAMPARATRARSQKTVVPVAEEEAPKGRRTSRRAAAKKTSTEQEEEEKRTQGDVSDMEADVPAPVSSDEEQNEAVCGSEEMEAATDAQKEEQTTLVVEEPSQEGETGTMHEEEEQQDTVSDAETAVPAASSDEEADCAEEIEASMDAEDEEQAEEQSREDEGAVADGTLLVEEEPAAAEHSLVYSAVVEQTDTVSSEEIQHPSEDTEILAVQEVPEVTEEVNFSSDVSEENEHCTAEEEPPVAEDVDSSVQESPVDIKHASEENEELQMAEGVQHANEENEDCKVEEELPVAEDVDSSVQESPVDVEHASEEDEECKVEEELQVAEDVQHASEENEDCKVEEELPVAEDVQQCEATEEIKLASEDTELVPVQEMSQAMMESGEATEDVDCTSDVDEENEEFEEEPALAELADSSVQEPLVDVQQRETTKKIQLASEDTEMVPMLESGEAVKEVDFTIDVVRASEEVLQSAAKVSEKKQDMSAEEVTNNTDMATEEETLVATDEMPQIFEAAEEVTNNTDTAAEEETLVATDEMPQMFEAMDEDVAEVVTIDYLLQANNEGVAVKESGFTADLTDVSMEEEAEVAANEKPLSLARMGECVEEEVVTVDHLPQATVTDDEGAVKESGFSCGLTHVSTDDGVVAADVMLQSSATKEENAEEVVAIDNQSEAMVTDDSGFPSELLDMFIEEQGVVADNAMLHSSSTMEENVEEVVTVDNIWQATVTGDEGFWDHLAHMSVDKEDVVEADEMQVSSATIEKSVELLVTVDKQSQATVADEEWAATESAFTGECTPVVDTARDFSDHIASPWSANVFEYATKSLSMDSITVQGPVTVVSEPVAVQRENDVKEGNEPEAALGKTIHSPLTIRKLRRAVAEENEADDAPLCIFIGSSGGEENSAEPVLVEEENEVNQPEATLAKILHSPLTIRKLRRAVAEENEADDAPLCIFIGSSGGEENSAEPVLVEEENEVNQPEAALAKILHSPLTIRKLRRAVAEENEADDAPLCIFIGSSGGEENSAEPVLVEEENEVNEPEAALAKIAHSPLTIRKLRRAVAEENQANDVPLCMFTSSSGDEENSAELVLVEREKEVNKPEAALAKIAHSPLTIRKLRRAVAEENEADDVPPCIFTSSSGGDENSAEPMLAEREKEVNEHEALSSLSLRKLRTEFNQIVAVAQENEVKEGEKSSQALDKLSLRKLRTKLKETLNAHKNVEPKRVPLGRLDENAC >cds.KYUSt_chr4.13413 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82729069:82729701:1 gene:KYUSg_chr4.13413 transcript:KYUSt_chr4.13413 gene_biotype:protein_coding transcript_biotype:protein_coding MARDFPLPPVVFNASTPTFRQRCHIPETVPAQPPASIVDEKPLLEELGINMRLVWRKTLSIVLHPLRSADPSIHADADLSGPFLFILSFGLFQLLAGKLHFGIALGSATVASLFLYFVFSKISAGRHGGPGLRRCASLVGYGMLPMVIFSAISLFLPRGGRLIFGVAMGFVLWSTRVCATLLASTAKDHRGLIAFACWLVYMLFALLVIF >cds.KYUSt_chr3.39964 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251855601:251859075:1 gene:KYUSg_chr3.39964 transcript:KYUSt_chr3.39964 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGHSNVDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSRPPDLGFAMAAALEVGPKYNGTRFSNSKKWARMLLTNWPYILDQTLRSTPAVNEARSHIAIPLAIASSSRILLPCLALAQVLEHDLFTQEHLDHDLIAQVLLGSTSTMIPRPGAPGSCFSSAQPRSCGICFPFAHRPSGHQVLSEELALPRCSTARPDAPDLCIYSTFGLLLLLLRPAIPLSSPSNT >cds.KYUSt_chr2.50302 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314528490:314528951:-1 gene:KYUSg_chr2.50302 transcript:KYUSt_chr2.50302 gene_biotype:protein_coding transcript_biotype:protein_coding MFIHIYPAFCSHRHTPFLQFFLTPRAAAHGEATASSSSVAALLEKPLLHPAKYTMAASVACAFFFDAEPVGEPGRHALDACALCAKPLARDRDVFMYRGDTPFCSEDCRHEQMRLDDVRDRRAARRLQRYSSGTSESRRGQREVRKVSMSMAS >cds.KYUSt_chr2.505 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3060680:3061114:1 gene:KYUSg_chr2.505 transcript:KYUSt_chr2.505 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEMYLHPAEPLRANTVTCIPRLRGGGGVRRRPRQHAGAPPAPASSVSVIDRVRDVLLRLAMLSAATSPKAGAGRLQQQHTTTAPGAPTRAASVRMSPSYADSYPSDAVDDCIEFLKRSAAGAGAAAPVPAAAVPESVSPAPS >cds.KYUSt_chr3.8462 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48974104:48975513:1 gene:KYUSg_chr3.8462 transcript:KYUSt_chr3.8462 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGAENRRFSLQVDMQCRCMGCVSKVEKAMASIGSFRGVETSVGDVDTGVVALAGKVNPAEVSQWLRRRTRKDVKIFCPDPPVENHKQKMILVLGSSSRMGHTAPSAPPLPDNLSCAVAPSGVWSDHEDVHLIEEKIRDLEKARDTLKIKNLKNELSVAKYELRQSREVMSSSKKTLLDSALSQLKAYEKLESLGCSLFD >cds.KYUSt_chr2.51321 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320922991:320925506:-1 gene:KYUSg_chr2.51321 transcript:KYUSt_chr2.51321 gene_biotype:protein_coding transcript_biotype:protein_coding MKISCIRIPFQNELGLGSLIPFEFLFAKKTRHLRIGLILKMELDRLTVAPNDSTELETPVDDDDGADDILDGQLLSSIIGNLTEVDLEHSELSSIYDLKASARGSRSSADGEYHIKLDIQNKADGFIWSLVAVYGADQDAFKADFLRELKVVLKLDLHDDKQKQKALKVVSGLQGIDQIAVDMKDQKMTVIGTVDPIDLMAKLRRKLFPTAQIVSVGPAKEEKKDDKKGGDKKDAAGGDKKDGGGDKKDGAGADKKQQVVPVYPHHWYPPPPQPRYAVHSVEDDPNSCVIC >cds.KYUSt_chr5.42091 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265347406:265348790:1 gene:KYUSg_chr5.42091 transcript:KYUSt_chr5.42091 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKVASRAGGDRLAVKKSKAEKDPNKPKRPPSAFFVFMEGFRKEYKEKNPNNKQVSVVGKAGGEKWKSLTDAVSYLFPSAPLSNCSIRCWFKSDITAEKAPYVTKAEKLKAEYAKKMDAYNNPQAEASGDSDKSKSEINDEEDASEGDE >cds.KYUSt_chr4.14672 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90539903:90541246:-1 gene:KYUSg_chr4.14672 transcript:KYUSt_chr4.14672 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKIGSSKIVRPLYDDGVAPGDAGEWVPLSVLDKVTYGEHVAIIYAFQPPNPPNAALELGLAKALAAYREWAGRIGVGPDGQRSVLLNDAGARFVEATVDAPLSVSLPFGAPSPESRQLHPRIDAVVELVQVQLTRFSCGSLVVGFAGHHQIADGQATGNFLGAWGLATRRLPAYPIPVCDRGTRFAPRDPPVVEFLHREAEYKAAPASADTDVGEDEDEFFAAAQGKVKVHRMHLSKEFVSRLKARASSGLPPSRRGYTTFQTVVAHLWRAITAARGLGAGVTTKIRISVNGRSRMRPPVPREYFGNVVLWAFPRADAGDLVSRPVGHAAELIHRAVAAIDDAYFRSFVDFASSGAVEAEGLVPTADSDETVLCPNLELDAWLGINFFDLDFGSGGPFYFMPTYYPMEGSLFLLPSVLGDGSMEAYVSLFENHLDEFKKICHKIT >cds.KYUSt_chr1.35294 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215165963:215166178:1 gene:KYUSg_chr1.35294 transcript:KYUSt_chr1.35294 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVWSAMLDGKTLVVDEEALDVVVPGDDAVLEGDHGGVQRGAPPARDGEEGVVQGEAGGAAARYGCGDRA >cds.KYUSt_chr5.1538 pep primary_assembly:MPB_Lper_Kyuss_1697:5:10594619:10596043:-1 gene:KYUSg_chr5.1538 transcript:KYUSt_chr5.1538 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHLRQGLGKHGKIPHVEVIPGREASFSDDNLHLSDAMKTTLRSIGVTRLYAHQAQAVRAAIAGRHVVVSTSTSSGKSLCYNVPVLESVVSSPAACALYVFPTKALAQDQLKALLQMQAALLLAGAGAGAGARVWGSGRERSLAGGGGHGVGDVSDAVFLLGGDAETFTATWMPFSCRVFFGERCPEAEVFWVVGVLAGGDARGVSRAVLVFGDGPWNVGPGVYARSGESCSAFRWFGALRTRVRRLGPSSKAEADGMLVATRQVLIMA >cds.KYUSt_chr3.28031 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174951944:174957960:-1 gene:KYUSg_chr3.28031 transcript:KYUSt_chr3.28031 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRVSLYADDVVLFIRPEATELLLIKALLQVFGDATGLRTNFQKSTITPIQCTGIDIDAMAVTFGCPVAQFPCCYLGMSLSDKKLCKRDLQPALDKLSGKVKGWIQGSFSIDARLTLVKHVLAAMPIFQMLGIAPPVWLTKAIDKIGRSFFWAKDEVAPGGKCLVKWRSVCRPTLYGGLGIRDLQATSITLRARCLWQTWTMPSKPWHGLPLPIDDKVRALFAASVVFHLGDGEHLLFRTDRWLGDNCFKDLAPNLFNVCTRKKLTVAQAMKDQCWLRHLKGDIPQQAVIELVTVWEKLQEAESLLSVLLDWAWQGWAEMDNYSSDQAIEALDSALQMADERATGLFLGLGEVLLEPIGRLIYRELFSRGDFRALTVPGAAESSGKESSDDIFG >cds.KYUSt_chr6.17273 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108798733:108800965:-1 gene:KYUSg_chr6.17273 transcript:KYUSt_chr6.17273 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAGRVGGAGVGGGGQPFVDRSKVRILLCDSDPDSSQDVLRLLCNCSYQVTCAKSPRQVISALNCEGAETDIILAEVDLPVSKCFKMLKYIARNKELRHIPIIMMSNRDDVSVVVKCLRLGAAEYLVKPLRMNELLNLWTHVWRRRRMLGLAEKNFFVDNLELVLSEPSDANTNSTTLLSDETYDRPKENRNHEINTSNQQEYESPPVADPPKTEELENLPIITEYGDKAWMVEHGMALHSVQHFQGNVNTAQVHTPPAFLHQYNVYPHAHGVSTMPSFQYNPAGMSVHSSHLSTQNVWPPVSSTPIPEETHSHSDRRAAALAKFRQKRKDRCFDKKVRYVNRKKLAETRPRVRGQFVRQASNADIISTGDDISDYEDDDPSSREVVMVSSPD >cds.KYUSt_chr6.31195 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197634914:197635462:1 gene:KYUSg_chr6.31195 transcript:KYUSt_chr6.31195 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLGEEHSFIIESDDDDDDDEEDPTHGDAGAADEDEGSSSDSSSSCATPRAGGGDGSHPNSYTNEWPQSYRAAYSSVFLLFLAAEQTLLSDRQPTCPLFIQFSLDMYGAVVAMNHFLT >cds.KYUSt_chr1.39130 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239240621:239241990:1 gene:KYUSg_chr1.39130 transcript:KYUSt_chr1.39130 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLTASTVQSAIDKASDAPCSTAAASACDDDVLQGGRPKSGVLVECRICQDENDETCMEAPCSCKGSLKYAHRTCVQRWCDEKGDTICEICLQQFTPNYTAPSKLFHHGRNSIFFRTPAYIQAQDTYQSSSTSTSYEYDRQASTPKSVICCRIIAITLMLLLVLHDAISVFLSDHDVYTVAMITLLMLRTAGVVIPLYIIMVAVTELLYRRNQLQVSEAAGRSTQPVPVPPPQQLVVISIQ >cds.KYUSt_chr2.14111 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89303037:89311975:-1 gene:KYUSg_chr2.14111 transcript:KYUSt_chr2.14111 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRYQITGMLEEEYKRRSCIEQAAIQQIQQLEVQVSKEQTELSGALRRLQESHELADTQAMEIKKLKNALGRLDSALNHGRVCRSCSCGFCSMLVELSKCSIEGSLDLRPSNSSKIDETWQNQGLLEWRPDEASASDTFPSVRLELSSNDDANDNREFRCEVSEGKMPVSAVAKQPSSKPNPFDSESDSEFTSRPAKASSSYSVDPGAKSRYKNGFHDSCGFENQSVQELEGYAAHKAEETTQRVNDCLRLAENIKENVTTTLITLHRQGEQINRTHETAANIDQDLSRSETLLGSLGGFFSKSWKPKKTRQIKGPVIITREDSFRRRANHLEQREKLGLSSNPGGNLNPQKYPDPTNAMEKVQVEKDKQDSALSDLSDVLGQLKGMALDMGSEIDRQNKAMDGLQDDVEELNYRGLCPACAHVVATLVVVSGMVVFPRVMVGIVHDFVDDDVVMVGERCSEDIASQVPADNDVVSSQPLALASHSHSVPALGEGLLHILQAYSAEEDNEESLAQPDNNDPSLVTTESEMHLMEDNLFLPDNVQLVPPNEAHLQLTLGREEGLSSPTPTEEIPSTPKRKRREGKGALVESELEEFRTSSLAEWDLRDTLKTHLLTLLQNKNSYWKQRGKIKWVKLGDANTRFFHTKATINYRNNYIAMLQNSDQVEVFDYEGKAEILLKAFKDRMGQSDHPEMQFNLDIILESNISEDMNLMLEAPFSDKEIEEVVKLLPNDKSPGPDGFNNEFVKHCWSIIAANVKQLIRDFHAENINLESINSSFITLVPKIDSPSAPGDFRPISLLNCVLKIITKLLANRLQGIILSLVHKNQYGFLKKRSIQDCLGWAFEYLFQCHQSKEEILILKLDFEKAFDKIEHTTILKILKAKGFGDKWISWISMILSSGTSAVMLNGVPGKKFYCRRAMNQNLLSKPIPCPPCPDFPVIQYADDTLLVMKADATQLLCLKSIVISQV >cds.KYUSt_chr5.39581 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250486981:250492270:-1 gene:KYUSg_chr5.39581 transcript:KYUSt_chr5.39581 gene_biotype:protein_coding transcript_biotype:protein_coding MALRMAAAAFVRRLAPARPPALLAEAEAVTCGRGDKKTKRGKRFKGSYGNSRPKRDKKIERIKDRVENAAFHAPVWMKSGCHQIEELLGNLTGIHNEVENRLCPVSQGRLLEVFRFLSNTGLEDSVRVSESSVGHGYQWIHPVGWEPTLTVAPSLPRLSWSTKPPVPGPVRKEGSGRTTVLFLLPNSLVAVTDEDC >cds.KYUSt_contig_1253.636 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3919436:3919852:1 gene:KYUSg_contig_1253.636 transcript:KYUSt_contig_1253.636 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLVAEQASRRRAANGGQGGASDGGYGGIHGDVGSAGASQGKALEAAKEQRRGGNDTESAGSSARFGMEAGSAAGRIQLQDYC >cds.KYUSt_chr6.7248 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44081906:44083957:-1 gene:KYUSg_chr6.7248 transcript:KYUSt_chr6.7248 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSYHHDGFCLLPPPLLPDWDWEWELRCTLGDDAAARDGLLIHDAFTALPSCGESPASSSSEASSGGGGGGGGGGGYLEDAVADWSDRCKRRRTEEEEAPPPRWPAMASEDLQSLLQSFWDPSSGEGDLLHGLNTTMVPDTEIGSFVSEVDDDASGREKEQMQGPPSTQVLSEGEAGEDAAAAAGPPPPFSATGAPPPGSSPLQKATTGGGSHYCDQATSSSSSSLPAAGPVSRTARFFVPTRDNHVFGAGKRDGVMYPFAVVKPLVLEGSTLSDVNWRMLKRPARPVRHPVGQFACGPVVSSAQGPGLSGKAVVSLTKIRTGGKGTITIIRTRG >cds.KYUSt_chr3.28531 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178055780:178057324:1 gene:KYUSg_chr3.28531 transcript:KYUSt_chr3.28531 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSRTAAMEVEAASKTTFRIPVDSDNKATEFWLFSFVRPHMSAFHLSWFSFFCCFVSTFAAPPLLPLIRDTLGLTAKDIGNAGIASVSGAVFARVAMGTACDLVGPRLSSAAIILLTTPAVYCSAIITGPSSFLLVRFFTGFSLASFVSTQFWMSSMFSPPKVGLANGVAGGWGNLGGGAVQLLMPLVFEAVKKIGSTPFTAWRVAFFIPGVMQTFSAIAVLAFGQDMPDGNYRKLHKAGDMHKDSFANVLRHAVTNYRAWILALTYGYCFGVELAVDNIVAQYFYDRFNVNLHTAGLIAASFGMANIISRPGGGLLSDWLSERFGMRGRLWGLWIVQTIGGILCVVLGVVDYSFAASVAVMMLFSFFVQAACGLSFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQVIFFRGGKYKTETGILYMGLMIIACTLPITLIYFPQWGGMFVGPKPGATAEEYYNQEWTEQERQKGYNASTARFAENSVREGGRKSASASQSRHTVPVDASPANV >cds.KYUSt_chr5.7064 pep primary_assembly:MPB_Lper_Kyuss_1697:5:43943075:43943434:-1 gene:KYUSg_chr5.7064 transcript:KYUSt_chr5.7064 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNRPAGVLLLAAAILLLAFDAPASAAASYSRLAVTNHATAGARATAHLDAAAFARRMEDEVAPEMMTWAASLAASGNDFHTLDQNAASCNPSCPGQGIPYTGRGCQMKYGCVTKPRK >cds.KYUSt_chr7.31689 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197377261:197377752:1 gene:KYUSg_chr7.31689 transcript:KYUSt_chr7.31689 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVARQGRDLQRYSPSTGGRIVVGCIPYRARSDGEVEVLVICSRKKGASAGVMFPKGGWELDESIEEAARREALEEAGVRGETGASLGSWYYRSRRYDATYEGLMFPLRVTDELEHWPEMSGRGRTWVSVREAMDRCPHWWMREALQRFADRLAAADDDL >cds.KYUSt_chr2.4382 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27035634:27039217:1 gene:KYUSg_chr2.4382 transcript:KYUSt_chr2.4382 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSAAPLISLAAVLLPFLSLQHAVAASSAGLPNCPTSCGDVSSVPYPFGIGAGCYHSPGFNLTCDTTHDPPRLLLGDEAVFHVLNISLANATVRAARVGGINITSLDSVGAGRTAWRGLGGDGGPYALSDGSNEMLIVRCCDVLAQLTSGESGSGNVTISGCASFCPGTASGRALLSLSDGRCTGVGCCQMPIRIGRASYDVQYRRLDVSRPPEHDSAGVPLVLIAEQGWLQQQAASTRGAPLPVNLDETPVPVLLGWAVGSAPLGQGGTPLDNSTCSGNAAPGRSACKSRHSSCRDVATAVRSGYVCDCQQGYAGNPYLADGCQDVNECERPEDYGCFGECINQPGTFQCQCPPGTQGNHTQRNGCAVSPLPPASSSSTGLSVGIGVSSGLALILLAILIVLLARKHKHRKAKKLRERFFQQNRGQLLQQLVVQRADIAERMIIPLEELEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKRSNIAVKREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFIPNGTLYDHLHVTGPRSLSWHDRLRIAIEMARAIAYLHSAVSVPIIHRDIKSTNVLLDDTLTSKVADFGASRHIPLDRSGITTKVQGTIGYLDPTYYYTRRLTEKSDVYSFGVILVELLTRKKPFSYMSSEDEGLVAHFATLLTKGSLTDILDLQVTEEGGKEVEEVAALAATCITLTGEDRPTMRQVEMALESIQAPGVHAASIKRSEEDIIEKNLPTTQGARNNQEVTRIYSLEDEFMLSARYPR >cds.KYUSt_chr4.26921 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169016402:169017328:1 gene:KYUSg_chr4.26921 transcript:KYUSt_chr4.26921 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPHHLLRRFHASAQALARVDPHEHSQPSAYLGSWGQSAPAAAAAAGGGDTREAWARLERLRKGYARDVGTLRKQYAYEAQLLEAERQRKAEARAEAVRAANEERKAAKAAAAQTRAAERRVFELDFRQALMRERAEKLESWRNKEKLKAQKKTDSRELLRKKSSMWISEDKMETKILQAIMHTTPL >cds.KYUSt_contig_1253.908 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5725010:5726648:-1 gene:KYUSg_contig_1253.908 transcript:KYUSt_contig_1253.908 gene_biotype:protein_coding transcript_biotype:protein_coding MEENPKLAQVSAISLHRLVSEKDTVSFRVFAGTWNVGGVAPPGDLVLEDWLDTRACNSYDIYVLGFQEMVPLNARNVLGPKNSSISSKWNQLIGDALNSTGRRREEEETAPLHHEQKQFRCVTSKQMVGVFVSVWMRSGLRRHVRHLGVSCVGAGVLGRLGNKGAVSVRFLLHGTSFCFVCCHLASGSKDGDVLLRNVDAADILSRTRFRRCRTAAPDEELPSKILDHDRVVLLGDMNYRISMDDAKARLLVSASKWSTLLEKDELLLELCRGRSFDGWSEGLVTFPPTYKYHRNSDKFYWCIDGSGGRTQQRAPAWCDRVLWRGKGLKQIRYERCGGYRLSDHRPVRAVFDAVCEVPRPVESFLQGLCAFR >cds.KYUSt_contig_528.619 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:3802423:3808085:-1 gene:KYUSg_contig_528.619 transcript:KYUSt_contig_528.619 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDLHPFSLAVLLLSSFAAAAAKSADEGAIFELANSLSNPPASWGSAGDVCIFDGITCEHAGSGRVTVINLRDKGLTGTLPTSMSSLTALKELHLQGNALHGDFPSLAGFTDLTRLVLDGNAFTSLPSDFLKDLPSLQYLSLEDLPLKPWAVPGAIVGSSSLETFSASNASIAGAFPAVLANLSSLKYLRLSYNSLTGGLPAGLAELIALETLQLNNQISDAKLSGSIDVVAAMTNLKLLWIQSNKFTGPIPDFSNSRLEAFNVRDNRLTGVVPPSLFEIRTLLNVSLTNNLFQGPMPNTHNVSVDLVGDTISRFCLSKPGPCDPLITTLLEVAAGFGYPAQLAETWNGNTPCGNWIGVICSNGNVSILDLHNRGLSGIISPSIANLTGVRRLDLSDNHLTGVVPDALTTMPNLIFLDVSNNTLNGELPKFKPSVKVLAEGNRFGESTGLENYFKLKSNVGMIIGVFIAVLLLITCVWLLVHYRRKKNTEKFGRVSTNGSPDESEMTKIQMVGTHRNSNRNTAVPTDYSQVSAGSTNIAYLFESHGMELPIEVLLKATDNFNEDCILGKGGFGVVFKGNLNGKLVAVKRCDSGTMGTKGQQEFMAEIDVLTKVRHRHLVGLLGYCTHGYERLLVYEYMSGGTLREHLCDLQRSGFTPLTWTQRMTIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLANDTDKSMMTKVAGTFGYLAPEYATTGKVTTKVDVYAYGVILMEMITGRKVLDDSLPDEESHLVTIFRRNMLDKVKFRKFVDPTLELSAEAWKSLLEVSDLARHCTAREQNQRPDMCHCVNRLSSLLDQWKPTEVDDNDESEASEIGLNQQLEKWRCDDFTISDSDTFSTLNMSRKYNR >cds.KYUSt_chr4.50853 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315243667:315244227:1 gene:KYUSg_chr4.50853 transcript:KYUSt_chr4.50853 gene_biotype:protein_coding transcript_biotype:protein_coding MARDFQFLQLCTICNLSSLTCQIWVRPESPRVLSPVLSKLRIVNLHNLHEECGISWTLFILEAAPYLEELCITVWDHSHGKLYEKTDVKWEPCDSDFKHANLGKLTIFGFQPDNNFMGYVTRVMKAAVRVNEVSLHDSKACERCVAHGVGFYTSRYPRTNEEKDSCIEKFTEGLVTSPPIMIHFRS >cds.KYUSt_contig_815.195 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1118916:1119836:-1 gene:KYUSg_contig_815.195 transcript:KYUSt_contig_815.195 gene_biotype:protein_coding transcript_biotype:protein_coding MTREVKELLDNASNEGSDVRGRRHRRHQPKWMQCFRAEITQTQVIEAYPRRRRRRNACIAAHRRHTKPTLRRRFTQSCPAARTARAEQQEPPRPGAAAPVTMRSSRANTSSRLVARLGAPVSTPTTREAAPPRRRPSTMAHLHHGPQVAQPPGRRKTGGSHHATAAPRHPPDPRTSTQPLRRGTARPPTTHAQSGRRSRRGEDAHRGRRPGIPNSGREDASTGHFLHLHPSRAAPPPVRARQARKRPAPGKHRRAAVSPDAGRTQQHAGRRTQQHGRRRANVAARISPKDRRAAMASSGGSPVAEA >cds.KYUSt_chr7.405 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2257884:2258627:1 gene:KYUSg_chr7.405 transcript:KYUSt_chr7.405 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGPWLFRDWPLNTKPYDGFSDPELIDLDYMPIWIQVPKIPEGYRKQEIVKLLIYRACGEVMCLEMTPAGGFRGDFVRAHIRQDVRKPLTRFVSISRGGKRFLYAVKYEKLGVICYACGLVGHGQKECGIGVYEEKELKYGEWIYVMPPSSRGRSAGVLRGNSGGGRTEANVGGGRSNCMEGGPGRDQVGRGRGTYIDWRSHPERNVGVGSVPTDRELADTATSPVKQGDSEMSDAEKLAKKRLCV >cds.KYUSt_chr2.26976 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165051411:165051689:-1 gene:KYUSg_chr2.26976 transcript:KYUSt_chr2.26976 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVLPELDRCCLATGQLAHCRLAAGLLSHELAHFPCILVVGHLSHCLAAGLLSRELAHFPCILAAGQRASSGRGATRDSAMDPVLFFGRSL >cds.KYUSt_chr5.20056 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130333569:130335317:-1 gene:KYUSg_chr5.20056 transcript:KYUSt_chr5.20056 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPPAQPLPSTPRHLLILFLLLLTAPSLLTVSSKRPPRLLRPLHLLNCSLLHPPERHLLSNASLLNPHERHLLSNSSLLHSPERHLLSNSSFLHQPERQLLSNSSLLHPRERHLLSNSSLLHQPDRHLLSNFSSLLRTPDSHLLFTLNSSLLRPHDRHPGEELGMDDTPLNVVHPPCVLWMAPFASGGGYSSEAWSYVASLDGSGDNFTLSIAHHGDLESSEFWHGLPEQSKNLAHRLAAAECELSRAVVVCHTEPDSWYPPVQGSIACPPTGYNEPKFVIGRTMFETDRVSPAHVKRCNQMDAVWVPTDFHVSTFVKSGVHASKVVKVVQAVDVAFFDPAKHVALPLPIGFSALPDDAGRNHVKPKGQGFVFLSVFKWEQRKGWDALLRAFLQEFSGADDAALYLLIHAYQTGSDFGGKIHRFVKSSGIEKPVGGWAEVRVVDEHVPQSTLPRLYKAADAFVLPSRGEGWGRPVVEAMAMELPVIVTNWSGPTEYLTEENGYPLEVDRMTEVTEGPFKGHLCAEPSVDHLRALMRRVFVDRDEAVSKGRKAREDMVERFSPEVVARIVADQIQQALART >cds.KYUSt_chr1.28153 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169834777:169835937:-1 gene:KYUSg_chr1.28153 transcript:KYUSt_chr1.28153 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAARRRFFPLPVRYLAAAFSTATASAAADPTVLYLESTCALSPAAAARAADSIRLVSPDSTAQADAVLDLLRRYGFSDADISATVRKFPIILISNPTKTLQPKLDFFASVGISAPLLPKLVSLSPVVLHRSIQDHLAPLFESLREILGSNARVVAALRKMPFVVRCSPKNTLNLVLSALRDVHGVPPGDVSRLIALHPGVILQRPDRLAEIVQAVKNFGMEPGHPNFVHMFVILSKMKTPTLETKIAVYQSLGFDKDIVTLMMRRYPPAMAISGEKIKESIGYLVGKAGLSLEDIARYPKILVRSLESHSRRCAVLALLRKEGKPQGNHQVPVVLGATMVRFLKVYVQPHEIEIPDVVRAFNGDIPFEGFGVLQQPQQLGKTSL >cds.KYUSt_chr5.22698 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148172445:148176140:1 gene:KYUSg_chr5.22698 transcript:KYUSt_chr5.22698 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFHAARPPRPTGVRAFPQPCGRTAALPRPLPPPPPRAAGGTAVRARGEAEPPRGPTQAQEQAAAAGGNAVPVSARLAGDGAGVGELDRKRELAFAPYPPPKRRAVSAKRQFPPGCGRDAPSLVDDGARLGAPLAGGDFSTTIPEQASVSRPEGTGGGEEGRLRLEPAPADANAAFRVPDKVTAADGVGAPVFSSDGVQGVASLAVRDRGIATAGNGGLRLEAAPTNAGLGGAPMAAHGAAPVSNGYQHGPEAAAVTSSGEAQGVAGFVVKDVGRTAGSAELGVKELTPVVMRPPPKRRTVSAIRRFPPGCGRNVGAIPLATRGGGEVALQLEPPPADAGLGAVATSGGRSDACAVGTVEAVSKNALGGTVEVQEQEDGEIPTEDYAEIPTEMYAEIPTETDHALLQESHVTLNKDLHELRAGTRGPAAPPVVAVKPSIRHSSTEKLHENMLQRSAKTVSWAVAEDANVMNKCEASSPKVATKPSAQAPPKEYYQVKAGRHECAVPPVVAAKPSMGQFSNEKIHGNMPQSKGKAVSWAVAEDVQVMNKSQASSPKVASKPSAEGPPKECLQDKEVSGSCSMKGVQGQGIMRSKVTFTARKTVPLPVEANHRPSLVNLDRPYSTGKETESVTTVKESFAPRKKLKVIGPAQNKYFPVNVAPTSALPSKVKLKDKEASALDGDDGIWKAIGGNEGKLKMYLSGPSCVPSIRRHVQHGGQSADARSKVKMLCRKFQFICRTLVQDAEQHSVKSSRIDLAADKIIRTLPSYTKHGAIMGEVPGVEIGDEFLYRVELALVGLHRPYQGGIDTMKDHNDTLIAISIVASGGYPDELSSSGEVIYTGSGGKPAGKKENEDQKLVRGNLALKNCIKTKTPVRVIHGFKGPNREEGSHSKAKEVSTFTYDGLYNVVDSWLEGPPGSRVFKYRLQRIPGQPELPLHVAKGLRKSIVRPGICIADISQGKEKTPICVINNIDNARPAPFKYITRNRGPSLTANRSQGCDCTDGCSDSANCTCIVKNGGEIPFNFNGAVVHAKPLIFECGPSCKCPPSCHNRVSQLGMKIPLEVFRTAKTGWGVRSLRSIPAGSFICEYVGELLHGQEANQISNDEYLFDIGQNYDIWKDMPSAIPGLNPSGTRSLTMEDDEGFTIDAAEYGNIGRFINHSCSPNLYAQNVLWDHDDKRVPHIMFFADENISPLQELTYDYNYEIGHVHDVNGVVKVKYCHCGSAQCRGRLY >cds.KYUSt_chr2.45474 pep primary_assembly:MPB_Lper_Kyuss_1697:2:283608566:283608859:-1 gene:KYUSg_chr2.45474 transcript:KYUSt_chr2.45474 gene_biotype:protein_coding transcript_biotype:protein_coding MWEATTKHARQCEVGDRVYAYTAGQTGGVGGATVYVNSICQLVKIELAGVECVPQHLNRAQKAYVHQLLLEAFEQRAGLREADILLHASHSSARSGQ >cds.KYUSt_chr5.18881 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122109020:122114523:-1 gene:KYUSg_chr5.18881 transcript:KYUSt_chr5.18881 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFTKEDMADMSGDCKEYHEIVIKTFVSEEDGFNFYNSYALEKGFSVRRSYVEWDEANKEIILRKFLCSREGYREEKHMKRKRGDMKRRPRNITRVGCKAKLAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDTNEDGGDEIKEAPAPKESGEGHHSCGAYTLKYMLSWDGNEMTDYFTQALIDIFSFKICSRLLRSDCNPLRKESYKKPITKENYTASIAQDPKDAEDDIREISNPNVSEKPKEDVVMETSPPRPKRKRGRPRKIQVRLRDCEGEDDQELQGAAPTFGIRASLVEVGVFIIVELRRGRWRKVCCPVSCATGGKEVLAMAWRPLP >cds.KYUSt_chr2.50048 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313110991:313112256:1 gene:KYUSg_chr2.50048 transcript:KYUSt_chr2.50048 gene_biotype:protein_coding transcript_biotype:protein_coding MITLAATLSVQYQSPVGDMDSSLGPSSVQVAFFYASLYLVAIAQGADKPCGLAFAADQFDPDHPKERASRSSLFNWWFFSMAVGVAVAVAVVSYVQENVGWGFGFGMLCAIMLFTFAVFLVGTPTYRLYAPNPGAESPFVRLARSLAALVRTSNFAKRHHRHDEEDEDAAAKSEETRGVLRLVPIWAACLAYGVVYAQVMTLFNKQGRTLDRRIFGGIELPPAALQTLGPASILLFVPIYDRLLVPALRCATGNPSGLTLLQRVGTGMAVSLATVLTAALVEARRLETARQHGLVDDPDATVPMSWVWLVPQYGMIGVADVFAMVGMQEFFYDQMPGELRSLGLALYLSVTGIGGFISSALISFIDRVTSSGGGDSWFADNLNRAHLDYFYWLLAGISAAELVLYLWFARSYTYNNRKRIM >cds.KYUSt_chr7.26649 pep primary_assembly:MPB_Lper_Kyuss_1697:7:166366780:166368549:-1 gene:KYUSg_chr7.26649 transcript:KYUSt_chr7.26649 gene_biotype:protein_coding transcript_biotype:protein_coding MACRPWRRAEWVVPVAEEPCLPTRIRLDLALVEPSIGVYGVGGVGEDAHRQVRSPAPDVHCLLRITRWHRNLAAAEPPATARQVHVSLVGRHPVLVDERRHLGGKRRPMPLPDALESCFFFPMVMWRRAVVSSAATSSSTVFNGLLGSGWGLAAADDLPGKTVETASSICCFRPSRAEARVAGRAGGGAVAAFCNGEQCALLAELSASEVWQLPRSAAAVVCHASEARLLPRLAAWERSETVAAKIRYAIEDQSSPPCATPCGADTTIRTRRRRPRVCWCSERQRGWTTTTYRCALLTWTPSGGSRAGSAPVDLAKDLDKLHGSAPRGGSWSTTARSRRGPSTSS >cds.KYUSt_contig_686-1.973 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5914058:5916550:1 gene:KYUSg_contig_686-1.973 transcript:KYUSt_contig_686-1.973 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPQLELSNLEVQNEGPDTSDGKTDEVDDCPIEEVRLTVPITDDPTLPALTFRTWFLGLVSCALLAFCNQFFGYRQNPVYISSLSVQIVVLPLGRLMAACLPPKVVGIKGTRWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYHRDIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPSNLVQVSLFRALHEKEKRPKGGSTRLQFFLIVLATSFAYYIVPNYLFPTISSISVVCLVWRNSVTAQQIGSGVYGLGVGSFGLDWATVAGFLGTPLSTPAFAILNVMAGFFLVVYVVLPVAYWSNAYDARRFPIISSHVFMANGSRYDVSRVLDPATFQFSQAGYDDAGQINLSIFFAFAYGLSFATLAATLSHVALFHGRSIWRQTKATVSGQQAGDVHTRLMKRNYAAVPQWWFHVMLVLVLGLSIFTCEGFGQELQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVVTELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFAVQLVGTVLASSVYFGTSWWLLESVGNICDPAKLPAGSPWTCPGDEVFYNASVIWGVVGPQRMFGRLGRYAKMNYFFLAGAVAPVPVWALSRAFPERGWIRLINMPVLLSATGMMPPARSVNYLMWGAVGLTFNHVVYRRYKAWWARHNYVLSAALDAGMAFMGIASYAMLQSGGVNGVNWWGLQVDDHCDLARCPTAPGVSVPGCPVH >cds.KYUSt_chr3.32442 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203795164:203795879:-1 gene:KYUSg_chr3.32442 transcript:KYUSt_chr3.32442 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDTITVEDTETMVVGMVEDMETMCTIEVMGLAMVAEAMDLDMVEGMVIQAMVDMAEIMVEVTVVVPDMVEVADTAAGTVVVEDRVALAILKVDMGATGGQE >cds.KYUSt_chr7.11284 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69332282:69332497:1 gene:KYUSg_chr7.11284 transcript:KYUSt_chr7.11284 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVNVGIAVQADWENREFISNISLNVRRLFDFLLRFGAPPSHLPDRPPNPFPHSGCLLACRSRVELDLL >cds.KYUSt_chr1.4845 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29829278:29832224:-1 gene:KYUSg_chr1.4845 transcript:KYUSt_chr1.4845 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGNGCLSRSATRVVAKEANGFHLLRIDGYSQTKTVLPGQKLSSHPFTVGGHSWRIDYYPNGRDASADHNAISVYLQLASNHPQPLQARYKFSLLDDGGNPAYELPAEKGSFAGVPVVNHYPNGNTRAVGTLNNGGAEEEQGPGCGHEEFIKKEDLERREHLVRDDSIVVRCDVGVTQIVNSVLAQDDLVNNAWGDEEEGYDQYDAQGILVFSSSHPFSSTMDMSVATVGQLSRSASRAIAKAANGFHLLRIEGYSETEMILPGQRISSEDFTVGDYSWRVDCYPNGRDTSMKSNAMSVYLQLTDQIIV >cds.KYUSt_chr4.25016 pep primary_assembly:MPB_Lper_Kyuss_1697:4:157304801:157305340:1 gene:KYUSg_chr4.25016 transcript:KYUSt_chr4.25016 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAKAASLAFRIVALALSVAAAVVMGTANQLMVDSGWGRGVVSYNYKHYSALVYFVAGSAISAVCGALALFLSVYRGGGSLTVSLLDTAAQAILFSASGAALAARDCFTGGTDALRGRTGTAAAIGVCAAAAVSVAALTRDRHRNW >cds.KYUSt_chr5.20466 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133000017:133004163:-1 gene:KYUSg_chr5.20466 transcript:KYUSt_chr5.20466 gene_biotype:protein_coding transcript_biotype:protein_coding MANIGRAVSEDQWLNQPAAASFGYVGLGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKDVYDNVTTGVLCHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLTGKIAVFDRKGHIAKLCIMVLPLLTAALVAVSRVDDYWHHWQDVFAGSIIGFTVASFCYLQFFPYPYDTDALWPHAYTLQLAETRASGIAANSFSVRPSEIEATNIPEGDGHGGIGLRDTSPILEDMEAGRRYEN >cds.KYUSt_chr2.6573 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41041547:41043400:1 gene:KYUSg_chr2.6573 transcript:KYUSt_chr2.6573 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQGKLFALVEPAPLSPSLFLDCPSTTHGDSQKTQDELALAYISQMLMEEDVPVDKFFYRYPDHPMILQAEQPFAEILSASGTTSSGFLSTEVHNPTFLNGTVAVAEEPSSSSTSMGMLSSMAFLQGMEEANRFLPTQNGFIDGRRRKNRFDDLDGETVPRMGRSSKKIVSVKEHTTVEMSDDLLTPDGYDMYPSETMKERGDKAAHQSICRKAPRVRRAATQMMVADLETLLIRCAEAVATIDRRRAGDLLERIKRNSLPTGDATQRLAHYFAEGLEARLAGTGWQLYHSITVATHANIMEFLKGYHLYMATCCFLKVSIHFSNKNIYNAVVGRKKLHIVHYGVNNGFQWPELLRWLAEREGGPPEVRLTGITSPQPGLCPVKHAEETKHRLSHCASQLGVPFKFHAIIAKLEVIRAEDLDIDPDEVLVVNNLFHFRTLMDESLTFDMVNPRDLVLNTVRKMKPSVFVHATINGPYSSALFKTRFHQALSNFTAQFDMMATTMPRERDSGKRLLLERKVLGRRAVNIIACEGADRVERPQNYKEWQTQNQRAGLRQLPLDRDIVEILKDQVKEQYHRHFIINEDGRWLLLGWKGRVLYALSTWAADDANGSQPT >cds.KYUSt_chr3.35857 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225330496:225330837:-1 gene:KYUSg_chr3.35857 transcript:KYUSt_chr3.35857 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWAVDNYLTSDTTVDHEEDTQHPDVVQLGNPKRKDALPVSATTWNLHTTQPKYFAPTYSEVVNLTDFAENKGLDV >cds.KYUSt_chr7.7684 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46409187:46413341:1 gene:KYUSg_chr7.7684 transcript:KYUSt_chr7.7684 gene_biotype:protein_coding transcript_biotype:protein_coding MKIALEREKVEAAKLEAHAAAMKATNEATQLSLAKMSQESKILMADMDKMDPLARAWHEMYRERIGQEKMAMMVDPPNGMGNHGKHYYTMWQTMFEIDTKYVPIKPIGRGAYGIVCSSINQETNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVICLKDIMMPIHRRSFKDVYLVSELMDTDLHQIVKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSDSDLEFIDNRKARNYIKSLPYTPGIPLSNMYPQAHPLAIDLLQKMLVFDPSKRISVLEALAHPYMSALYDPAANPPAQVPIDLDIDENIGVETIREMLWQEMLQYHPEAATMVNM >cds.KYUSt_chr3.6944 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40039148:40039939:1 gene:KYUSg_chr3.6944 transcript:KYUSt_chr3.6944 gene_biotype:protein_coding transcript_biotype:protein_coding MKILESPLMGEFIGYLKANWGGSSRVSQRRRRLRQLVAMVQGVAAAAEGRPAVRESSVNRWLQLLRREALRGQAVLDATTDPSAVVGSAKKFLAGVKALFVCSAEVDRLTDAVEALEQLAGPGGDLHIFLKVLQLDAAAADMDVDHDAPAFAAKHYVEQESFSVPTAPGEKRKRGGSSGVDQACDGSDGDGEGEAASHGRVLLERPYRTKRRRALACKRHTRSGPPLPAGPGRSVAVAEAMVRVRRRIGAPSLGRPFSRISLQ >cds.KYUSt_chr5.34804 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220481246:220482683:1 gene:KYUSg_chr5.34804 transcript:KYUSt_chr5.34804 gene_biotype:protein_coding transcript_biotype:protein_coding MALETAVLSRVAAGLFGRCAMAGAGGGAWNSLFGGGEGMVGLDGGDWGAAEGSSVQPPNGWFQELDIAAGTAAAPQGNHSGGRGEMDAGGVGQADVQPATAAATGRRKRRRTRTAKNSEEVESQRMTHIAVERNRRKQMNEYLAALRSLMPPSYAQRVTYTSSNHTIAFFDPPIALLNNARLAAVYAQGDQASIVAGAINFVKELEQRVQSLEAHKLTTQQCAVTDGEAPPPPFANFFTFPQYSMSATSAPTPPANNEAAEGGAEAEASGSKPSAVADVEVTIVESHANLRMLSRRRPRQLLRLLVALHGHRLTVLHLNMTGAGHMVLYSLNLKVEDDCQLTSVDEIATAAHQIVERIQQEQECVA >cds.KYUSt_chr2.47814 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299036220:299038565:-1 gene:KYUSg_chr2.47814 transcript:KYUSt_chr2.47814 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIQESLLQLNTTLVRIAANQDKMLVDLKKTTAALTSNATTRSRFMAGQASPQLGTKPSSHKVSLYGRDADATSFRVSPALSSAAPTRCSTLGGNVSGSNATLSFQSWGNIVEFLAPFEASLDKPLQSFGDLVFSMLTPTTGGSINWCHDKPKSVLMHVTSTSSSPTPTLLDGALFNPTPMVTTDQHLRMPAADTCSTKCCHTEANIHQPDFTREMILTAPLNAAMFDNPRDKIGFLEVLTNVGGLSMFSEFDICPGEEALYKDTLWDDDIGDSVLTHLGGLSLFMELPMNTLKEPEVSAETSISLGHAARISGDATHDPKFLLPYATTSVKLSWTWDPGKQDVIYIGPSGYIRLMSRILATELCFQWEPGIMLNALMEAMSGKLSSYIHLAQQLAYLHQSYLQALRELGKCIIVLMYMPTISIDLDIRLAIHVLYKQGDPGACCSLQLVATNLQYMLALIGNVDVVRDSTRLRPTNVEMDSFIITVGHSPIVHCQRLNISLKFALGDVLEDAIYRLVVSFSCNSDYGYEFYSSQLSKLHAAKSDFHRWLRRHAQTPWNPGDVHNNNLTSRLTRSMVCVGLERPWDPGIIAIHKAVGYIIIKGVRMPFGAGSRSTCYQISELLRRYIYRGCLQTVLRPDRAIAWGQAMFFGGGNVMTALMEALGRVALGRVTLGHGSALLGGGRVQNIYTGGATRGRHRTETEKWNSSSIFLFVFLWSKSSSPSGLVATTSNRCIDLTPRSSPAATGCDNLLYTSDRTSRQNASYHHDIVISSHTWLNSI >cds.KYUSt_chr3.6029 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34446766:34447677:-1 gene:KYUSg_chr3.6029 transcript:KYUSt_chr3.6029 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSASTSSPLAAAMAAGVDQQPLPFFLRPVLLHAVGAGAHLLLALAVAGRLLFAAAAGHRGKESTASGRGGPGAGLRWCRLVLRATWALAASEVFFSAYSLVSWYLDGGTGWGAPDAVADYATRAVAWLLLAAYLQLEYGPRLEEWFPATLRLWWALFLLLSVLAVTVDVATTLQYKLPVPALSWARDAVSVLVGVVLLVAGFSAKSEAVGGSASESEEPLLNGASNTAAENGTVDASIFTGAGFFSFLTFSWMAPLLAVGHRKTLDLDDVPDLDIGDSVAGLLPLFNANLEALTGVGSGA >cds.KYUSt_chr7.35377 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220954223:220956399:1 gene:KYUSg_chr7.35377 transcript:KYUSt_chr7.35377 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASTACCKPSALLTPRASSSLRAQALLCRPSTSTTFRGVRAPASPFAVAPVPRRRAASTGIVCGKVSKGSVPPNFTLKDQDGKTVSLSKFKGKPVVLYFYPADETPGCTKQIIRAGASSPLGSPFLSKRHRFTTVQAHLSNPNTTPQQQPHAISLPSDEEGTEAAAPKKNLSNGGRTCELPTWALIGGITAGVTLALALSVDAGPAMALGPEGPLVEEFWDNMRRYGLYALTVSTGFAWALVQPIYELLRNPITAVLIIIVIAGGAVLTSQVINAMSGNSDFVYMYEQ >cds.KYUSt_chr2.12619 pep primary_assembly:MPB_Lper_Kyuss_1697:2:80135154:80139258:1 gene:KYUSg_chr2.12619 transcript:KYUSt_chr2.12619 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATPKDSSALPGLLLLLAAATSVAVANAQLSENYYGSSCPATLLTIRTVVTTAVLLDHRMGASLLRLHFHDCFGCDASVLLDDTAGFTGEKGAGPNAGSLRGLEVIDKIKMLLEFMCPRTVSCADILAVAARDSVVRLGGPSWAVQLGRRDATTASASLASSDLPGPNSNLNDLLTAFSKKGLSTTDMVALSGAHTIGRAQCQNYRNRIYTDTDIDGAFAASLRGGCPQAGGDGNLAALDASSPNTFDNGYFSGLLSRHGLLHSDQALYDGGSTDDLVRTYASNNDQFGSDFAAAMVKLSNIGLLTGSSGEIRVNCRAPIYATVDVVVDFAEQFTRLEVENAQLRKTVKTSADQVLEANRLATDAKNENALLKEELKKLKRQMKDEQDARREAAVATDRKEGVLRESIKDLLEVADITITRDHQLREDSTSDALSLAAESSVQVLGLLQKTKGALSRLYSMIFPKMKQDKTLGEMADAFLVDSSEPVEVLKHRSCLFGAVLTFQLLMGHGMGSDLEKLSKALPVDKVLTCQCLWIVG >cds.KYUSt_chr5.8318 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52568529:52571597:1 gene:KYUSg_chr5.8318 transcript:KYUSt_chr5.8318 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGGASLNRSLSGFLAHEEPEKLLSLFAAKVRQCRGLSSVDFACALRVCRGSGKHWPLVPEIHAKAITCGIGGDRIVGNLLIDFYAKKGLVRRARQVFEELSARDNVSWVAMLSGYAQNGLGEEAVGLYHQMHRSGVAPTPYVLSSVLSACAKAELFEQGRVVHVQVYKQGFSSETVVGNALIALYLRFGSFSLAERVFWDMPCCDIVTFNTLISRHAQCGHGESALEVFGRMRLSGWKPDCVTIASLLAACSSIGDLHKGKQLHSYLLKAGMSPDYIVEGSLLDLYVKCGHVEEALEIFSSGDRTNVVLWNLMLVAYGQISDLAKSFDLFCRMLAAGIHSLSIKTGFESDMYVSGVLIDMYSKHGWLDKARRILEMLEAKDVVSWTSMIAGYVQHEFCKEALGTFKDVPILNALTNLYARCGRSKEALSLFEAIEHKDNITWNGLVSGFAQSGLYEEALKVFIKMYQAGISYNVFTFVSSISASANLADIKQGKQIHARVIKTGHTSETEVANALVSLYGKCGSIKDAKVQFYEMSEKNDVSWNIIITSCSQHGCGLEALQLFDQMKHEGLKPNDVTFIGVLAACSHVGLVEEGLGYFKSMSSVHGVQPRPDHYACVVDILGRAGQLDRARKFVEEMPISADAMVWRTLLSACRVHKNIEIGELAAKCLLELEPHDSASYVLLSNAYAVTGKWAHRDQIRKVIICAAIILLDSFISMFKRKDF >cds.KYUSt_chr5.31954 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202633145:202635580:1 gene:KYUSg_chr5.31954 transcript:KYUSt_chr5.31954 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLPSPALLASAHRRALSALPATAAARPGAPHLLDGVPRPDRLARLPPELACFVRSRAAGHTPSQFAYGNTLKACAPSAGAPGNVAFAEQVYCAAWKDGLAGDAYVCSGMVDLLAKSGRLGDALRAFADGDPGSAVCWNAVISGASRNSEHGLAIEMFTDMARGSCEPNPFTYSGVLSACAAAAELCVGRAVHGLVLRRDPGYDLFIGTSIVNMYAKSGDMVAAMREFWRMPARNVVSWTTAIAGFVQEEDPASAVRLLREMVRSGVSMNKFTATSVLLACSQMYMEVASQMHGMIIKTELYLDHVVKEALICTYAAIGAIELSEKVFEEVGRVSKTSIWSTLIIEISSHSLSRSIELLMRMFRQGLTPNDKCYASVFTSVDSIDLGRQLHSSVIKDGFVHGVVVGTALSTMYSRGDEVEDSYKVFQEMQEHDEVSWTSMVAGFASHGRSAEAFRLFRNMILDNFKPGHITLSAILSACNGPECLLKGKEVHGHILRAYGETTSIGHSLVSMYSKCRQVQIARRIFDATACKDQVMLSSMISGYSTNGCNDEAISLFQLMVAAGFLIDSFICSSIISLCANIARPLYGKLLHGYATKVGIQSDLSVSSSLVKLYSKNGNLRDSRKVFDEMNSPDLVTWTAIIDGYAQHGSGQDSLRMFDLMIKHGVKPDNVVLVSILSACSRNGLVEEGFNYFNSMRSIYGVQPVLHHYCCMVDLLGRSGRLAEAKSFIESMPMKPDLMVWSTLLAACRVHDDAVLGRFVENKLREDNYDSGSFTTLSNILANSGDWEEAARVRKSMNGTKKEPGWSMV >cds.KYUSt_chr1.5714 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35263772:35267957:1 gene:KYUSg_chr1.5714 transcript:KYUSt_chr1.5714 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEEPKSRKEKRKEARSNKNKQRFLSWVQHQGGKNKKPAKPSVQPSPVEEKKPKKEHKKRRRSEPDEGHKVKSKSKFQEYLELEMGGAAGREEDLETERKLAKKLKVKKGKLGGPDDGMDDLFGDLGFGGDYGSDAETREYGEDMLDDSKPEKKKRKKKNKKVKDDDSEDGDGDVMDDSKADKKKQKRKDKRKVKDDATEEPGGGGVEMAEESDVSVHESEGEELNVVETRSASKAKYVPPSLRAVPSSEAEEISVLRRRVRGLLNRLSESNVESITQEIAALFRSVPRGVGCQLIGDEVLASCSRGPRGNEQYAAVFAAFVAGMTCLVGMDFSAKILSSLANSFEDEYSKDDGLSLRNITLLLSYLCIFDVIASDIVYDLLSVLSKRLTELDVSTVLTILQCCGMKLRGDDPGAMKDFVLSIQNSVSQLKSCSAGQDGKADIHSKRMEFMLETICDIKNNKKRPKEDPSHHTRIKKWLQKLKSEDILLRGLKWSKLLDPEKKGQWWLSGDVSSTPGNIEDVATVISKEVVEAQKLVQLAAAQRMNTDIRRAIFCIIMSAEDYIDAFDKILRLDLSGKQDREIMRVIVDCCLQEKTFNKYYAVLASKLCSHDKNHKFSLQYCIWDRFKELDTMELNRSMNLAKLVAEMLANFSLSLATLKVVNLANPVEMTPKKIMHFRMVFETLIQKEDSLVWNVFTRIAGAPELEILRDGIVMFVKQYVMTKDTEKDLAGKFKIAKKALDNSAGVLM >cds.KYUSt_chr7.38743 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241114929:241118282:-1 gene:KYUSg_chr7.38743 transcript:KYUSt_chr7.38743 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTIAASVSTGVMNSLLKKLTMLLGDEYHKLKGVRNKVLSLHDEFTSMNGLLVSLAEMEELNGQAKAWRDQVREMSYDIEDCIDDFMHDLEEKGATTGFLKKTAERLKKLKMRHQIANKIEGIEARILEVHERRMRYKLDEYIPPVSSIVRVDPRALAIFAEPTGLVGIDGPRDELVELLMDQDRELKVVSIVGFGGLGKTTLGSHVLRKIQGQFNCHAFVSVSLKPDVPRLLRSLLWKLNGQQLSQSCNLDDVIRNIREYLLNKRYFIIIDDIWDISAWEIIKYAFPGNNHGSRVLTTTRIYSVADACCSKGRECVYKMKSLNDQDSRRLFLSRVFGLGEPCPGELEKVSTDILKKCGGVPLAVISISSLLADKPKQTFEFVMNYLGSMFEGNPTLEQMRQILELSYRNLPSHLKTCLLYLGMYPEDNIINRDDLLRQWIAEGFVCTTPRQDAEDVAISYFNELINRSMIQPVRTEYNCEVLSCRLHDIMLDVIRSKIEEDNFIAILNDPKVLLAVHRNIRRVSLQCHGEECRVTPAMVNGALSKVRSVVVFGGFSCPSTMVLKSVRVLHLDIDGNKVLDLTCVSGLSQLRYFKVFCSSTGVMLKLPSHIGKLRQLETFDLRASVLKLPSDIASLPRLSHLIVFPNTVFPDGICRLRSLRSVWTFNLHRNSVQNVEGLGELTNLRKFVFRWTGKDLVEGARRMDVLRCSLERICGSLKILWMLRAGGEPLDGWSTFSPPPIHLREMQLWGCVFSAIPKWSAHLCDLQSLGFAVRGAGFNGDGVAILAGLPSLVYLWLQVKESPEQRVHIPGNGVAFRALKHLKLDCPDPSLTFEAGAMPRLEKLELQFELISYEHVGSAEGLLDGIKQLPARLREIELCIYGEEEDHVAAAKSSLKIALEKHHPGAELHIN >cds.KYUSt_chr1.15655 pep primary_assembly:MPB_Lper_Kyuss_1697:1:91118767:91119405:1 gene:KYUSg_chr1.15655 transcript:KYUSt_chr1.15655 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRADEAALLQVFSPNEALAKLNQAMPGTSFNITAMAETEVVNQSDPSRRTWKGKMVPGMWVNLGSTMVAVRSPGGRRSIAGVELLRQLMAVKVGGNKPEKEEEREGVREMRFLSKNALVSSGGLGEVEQRRNLKNFVTGVGEETANLETIKSTPA >cds.KYUSt_chr1.9878 pep primary_assembly:MPB_Lper_Kyuss_1697:1:60439469:60439816:-1 gene:KYUSg_chr1.9878 transcript:KYUSt_chr1.9878 gene_biotype:protein_coding transcript_biotype:protein_coding MATCNQLLLAVAAVGCAAAIAMPGAAAEAAPFQPIPNVDAPHIQELGQWAVREHVKQANDGLTFNKVFGGQFEVVAGLRYVFDIDAVGSDGVVGAYTAEVYVQDWTNTRLLVSFN >cds.KYUSt_chr1.4746 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29196435:29197316:1 gene:KYUSg_chr1.4746 transcript:KYUSt_chr1.4746 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGSRNEIASTESGPDLISALLDETIHHVLGFLPAEEAVRTSVLGRGWRHHWKSMHSLQIGATNGGVLNSLNSVTRLTNLVDRVLLNRHVPLEECHINFEGFDEAHDVDVDRWIRHSVSKCHVRVLIVDFGMLFCSKIQGRPIVSGYLKRLELHGLRVEGDILDLSCCTALEDLRLSYCDISAPNISSKSVKRLMITTCAFYGRDGPTCISTPNIIYLKLDDNFDPIPFLDCMPLLETAFVRLFNDYPTSCKKCDNNEHFCLLCEDGSAKLLGVLSGVTHLELIAPVAKVHT >cds.KYUSt_chr5.41020 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258821138:258831810:-1 gene:KYUSg_chr5.41020 transcript:KYUSt_chr5.41020 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEVPIKENEIRITTQGRMRNYITYATTLFQDKGCDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTATESTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSVGLNKLYEQMYTHRLDEEEDVVEVVEGEWEGVEVHVETDMWSMVTEAGRVSMRLLMQAMGMLTMLQLMKAMVMHVVEAAVSEAVAGEVGMVASLIISKREGEVAMVATGIISMMEGIMTMHQHHPEVVAVVSGAVVGEVAMVASLIISKTEGFTMRHLLHLLEAAAVVVGEAQPGAEGVVAIPMAWCTPLLPLLHSLSSLPSPTAPHKLPDLAADAAAMPEDVEMNDSAAPAPAPAATPAAADAPAPAPALSTLQHLKEIASVVEAGSLTKEVRRISRAVRLTVALRRRLAPRDVAAFLAFALPPSSDAFARLSPLLPKEDGSEMDVDTAAPAAQVSIKHGLPEIEIYCYLLVLIFLIDHKKYDEAKACANASIARLKNLNRRTVDVLASRLYSYYSYAHELTNSLAEIRGTLLGLHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTAARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKVALTPYFELTNAVRVGDLELFKAVAEKFASTFSADRTSNLIVRLRHNVIRTGLRNISISYSRISLPDIAKKLRLDSENPVADAECIVAKAIRDGAVDATIDHANGWVVSKETGDVYSTNEPQAAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >cds.KYUSt_chr4.50983 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316036410:316040433:-1 gene:KYUSg_chr4.50983 transcript:KYUSt_chr4.50983 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCFGPRAGGASAARKPSRLVNHCTDDSSVVDARRKVAPDVGNGCAHSFTFKDLLVATGYFNQANFIGQGGFGKVYKGKISRTNAQVVAVKQLGRESLQGSAEFLVEVLLLTMLSHPNLVSLVGFCAQGDERLLVYEYMPFGSLESHLFDVHVGKQPLDWNTRVKIAVGVADGLWYLHNVADPPIIYRDMKAANILLDEDFNPKLSDFGLAKVGPVGDRTHVSTRVMGTFGYCAPDYAVSGKLTLKSDIYSFGVLLLELITGRSIYDASRPKPERDLLTLARPCMLNKRKYHRLADPALQGAYPPLAFNQLVVISSMCLQEQPQFRPIINDIVVGLNHIASQPYVSSMSSPAYCGSPQFDRTPSRRRGGRRASQYSS >cds.KYUSt_chr5.38093 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240668586:240670144:-1 gene:KYUSg_chr5.38093 transcript:KYUSt_chr5.38093 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNQIGAQPFATHPQASANFGKGDYPTWEITNEDLLRFEYDVSASDQEAMEVPQAPDNVGVEFRIHRWVRALLAQFEPELHWDMQWATAAHVKFVEKLAGVYRLAE >cds.KYUSt_chr3.30653 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192206520:192210512:-1 gene:KYUSg_chr3.30653 transcript:KYUSt_chr3.30653 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYAHRVRARKELALTIMQAHAMNQHPATLLALLFVSFLLLRLLLRLSLAAFYDTALTLHLLVRLRIRPVTVRLPGPDGTTMRVWCPASPSSKPPLLLLHGFGGDAKWTWVGNFAALSRSFHVYAPDLVFFGSSSRSASPLRSVAFQARCSADAMRILGVPHYHIAGISYGGFVAYRMAAVEARECVGRLVIMTSAVAASAQEITALAAREEIAVEDALMPETAAGLRRLLCRIMHSPPRLPDFLLLDLIQVQTPDSFRYLHLRLCFNFPSSLSTALLMFADQRKERSELLRELLEKGVGIDLLRALNQKTLLIWGDKDQVFPVDLGYRLQRHLGDDCRLEIIKDAGHALQIEEAGKSRPSYLSGRWLENHQVGPHLRRAERNRTTAKRHPSQAEGDGIPQYGKAPIGLERLGTQSIDGMREWKPVAVERLGITARSKARQRIVSRDRTSPLEISSCASSLKPSGPERAGG >cds.KYUSt_chr5.34357 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217888487:217889635:1 gene:KYUSg_chr5.34357 transcript:KYUSt_chr5.34357 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKIKRQLASLPTLAKAALLLLTLLLLLAAILLPIFLIPRHRREQPLPPATPPRDNCSTAANAGPVAFDFSPFLVKYRSGCVHRMDGTDRVPAGLDAATGVTSKDVLIDHATGLSARMYLPPPATAGENKKEDDDVFPVLVFYHGGAFVIMSPFEPKYHAYLNALVARARVVAVSVDYRLAPEHPLPAAYDDSWAALHWVAKNARAGPEPWLRDRGNLSRLYLAGDSAGANIVHNMAMRAGNESGGAAIRGILLLDPYFWGKRPVGAETTDPATRRQYEVTWSFVCAGRYGIDDPRIDPLATPPAELRRLACSRVAVTVSGLDDFEARGKAYAAALNASGWDGEIVQYETAGERHVYFLDAPASPKSAKELAFAAGYLSRE >cds.KYUSt_chr3.31894 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200361071:200364752:1 gene:KYUSg_chr3.31894 transcript:KYUSt_chr3.31894 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHALSILLVATTFLTSSDATDTIDQTTTITGNQTLVSAGGVFRLGFFTPPGSSDGETYIGIWYASIPVQTVVWVANRQNPVVRSPGELRLSPDGRLVIIDGQNTTVWSSDAPTKAVTSHATARLLDNGNFALSSDGSGTPQSVAWQSFDYPTDTHLPGMRLGVDLRTGIARNITSYRSPTDPAPGAYTFKLVMGGMPEFFLFRGAEKIYASGPWNGASLTGVQDKSEGYTFTVVSNPDETYYAYSMTDPTMLSRFVVDGTSGQLQSLVWGDGAWSTYWYYPADPCDSYNRCGAFGYCDMGQATRCSCLPGFRPKSEQQRILGCVRKTDLSSCPGAGDGFWPVNQMKLPDATNATVHADTTLDDCRQLCLGSCSCTAYSAANVSGGVSRGCVIWAVDLLDMRQYTVVVQDVYIRLAQSEVDALSAAAKAANRQHPNRRLVIGVSTALSGLLLLGAVVYYFFWRNKASTKRQDEMAPSIRAEPDVPPGARKHSALRTAQDRLMNESRMSSEKDLELPLFDLEVILAATDNFSADRKIGQGGFGPVYMAKLEDGQEVAVKRLSKKSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDHERMLVYEFMHNNSLDTFIFDEGKRKLLEWKNRFEIILGIARGLLYLHEDSRVRIIHRDLKASNVLLDRNMIPKISDFGIARMFGGDQTTAYTAKVIGTYGYMSPEYAMDGVFSMKSDIYSFGVLTLEIITGKKNRGFYDEELDLNLLGYAWKLWKEGQGVDLLDEAMGDTIDHSVALRCIQVALLCVEVHPRNRPLMSSVVTMLSSENATLVEPNEPGVNIGKSTSDTDYSQTHTATNFTGTAVDAR >cds.KYUSt_chr4.15675 pep primary_assembly:MPB_Lper_Kyuss_1697:4:96823506:96825918:-1 gene:KYUSg_chr4.15675 transcript:KYUSt_chr4.15675 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSALFMQWAMETLEHEQPAGVVNGDPGEVAFPSLQALRDVSHATLVFDELIMDMEAHAANSGSSGETTDGSGGGNFSSTAPTHHDVSTSFRCAPNHGNNSGPTSMAVMSWNFSAASAQPGGDGTLEDATAVGKPYERAMPDMAHVSQPTRRPSVKSNAGGAGTASAPFVVDHIMAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRHVKQLQEKIKALEATGGGSNGRSVVETVVLVKKPCYAAVGDENGSLSSASSGAPAVRDPLPEIEVRFSENGVMVRIVCDDAKGVVVKVLSEAEEGLHLSITHANVMAFTACTVIITITAKASFRQSKIYLRTRWDKFARAHHLEAGYLLTFLYEGDGEMIVKVFDKTSSRRHYHMNESDEDTTSIVRVFFVCSEDGHRPIKATSVGLCMFFLRI >cds.KYUSt_scaffold_1259.76 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:552905:555695:1 gene:KYUSg_scaffold_1259.76 transcript:KYUSt_scaffold_1259.76 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGFAVLAGGLLGYLRRGSTASLAGGAGVGGLLLLAGFVSLKAFEKRRNSYLALALETLCALALTFVMGQRYLETSKIMPAGVVAGLSAVMSLFYLFKIATGGNHISPKKE >cds.KYUSt_chr6.25036 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158598778:158602387:1 gene:KYUSg_chr6.25036 transcript:KYUSt_chr6.25036 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSCFRGRPASASGDGLQDPLVRASRLGDAFLDDRDDTAPKIEAGGNLKEDLGNGGGLHDELRRECDATPNNAQDIKGIMVSEDKEYIEEFNCDGHSTLSHDKNPDEGADDVSGVEYKDNSSEGFNCDGHGELSHDQNTDEGADDVSAVESESVSLLQDKSSRQNITNQNLDSSDSPFPTPLVLRGDIQTPGTMFTAYQQNIKTGKRGRTGKQFIYPVLRPIENKLEWMELRDESSPMIASHPPKRRYLCEDSTEKPQQALPSLVSTDTELPESAPFSFHAKSKGQAEEVTSPEEHKNQNGSHQILDGGIGELLKISSSYNEKHGVASLSSWLKTSSAEDSESHSGIGGDFGKLPGFGRIGDITEVPIFVASGLNWNDDNPTPMLPKAVWDGNGIPNTTTKYKEDQKVNWHATPFEERLMKVLSDEKPKHERKISGKLIHVEEDTMESLATASS >cds.KYUSt_chr4.19354 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121606642:121611538:-1 gene:KYUSg_chr4.19354 transcript:KYUSt_chr4.19354 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSCRDAGAVAQTLLLLLLTAPSLLVAVARAADPPFSCGPSATTQGGGFCDAARPAAERAADLVSRLTLAEKVSQLGDEAPGVPRLGVPPYKWWNEALHGLATSGKGLHFNGAVRRATSFPQVSLTAAAFDEDLWFRIGQVHHSRLPAMTIANNHHLCYEYVQAIGREGRALYNMGQAEGLTMWSPNVNIYRDPRWGRGQETPGEDPTTASRYGVAFVKGLQGNSTSLLQTSACCKHATAYDLEDWNGVARYNFNAKVTAQDLEDTYNAPFRSCVVDGGASCVMCAYTGINGVPACANADLLTKTVKGDWGLDGYIASDCDAVAIMRDAQRYAQTPEDAVALALKAGLDIDCGAYMQQHAAAAVQQGKITEEDIDKALRNLFTIRMRLGHFDGDPRSNIYGSLGAADICTPEHRSLALEAAQDGIVLLKNDAGILPLDRAAIASAAVIGPNANNAGLLIGNYFGPPCESITPLQGIQGYVKDTRFLAGCPMAACPTAATDQAATLASTSDYVFLFVGLSQQQESEGRDRTSLLLPGEQQSLITAVADAAKRPVILVLLTGGPVDVTFAQTNPKIGAILWAGYPGQAGGLAIARVLFGDHNPSGKLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGPTVYKFGYGLSYSSYSRHLVASGARKRPPNMDLLADLNTMPTTEEGVASYHVEQIGAEGCEQLKFPAMVEVENHGPMDGKHSVLMYLRWPNATAGRPGRQLVGFRRQHLKVGEKARLKFDINPCEHLSRVREDGNKVIDRGSHFLMVDKHEMEIRFEA >cds.KYUSt_contig_686-1.1043 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6445799:6446433:1 gene:KYUSg_contig_686-1.1043 transcript:KYUSt_contig_686-1.1043 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRGQARKSKTTEDEYLGIALGRKQDFACFKQLCARTITSTKWSCPVIVNHIRIELLTILKHTMLAFLGSTNEGVDRVTFHLMIRKYDMILSEWCDHFGFFNGDDHVHGEYTRVNEDEEIILAKAVNINHLP >cds.KYUSt_scaffold_869.1425 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:9043792:9046242:-1 gene:KYUSg_scaffold_869.1425 transcript:KYUSt_scaffold_869.1425 gene_biotype:protein_coding transcript_biotype:protein_coding MDASWASLFPASFLFLLVKAVLDAVHLHDGQLVRLLLPLVAMTAAKHSTFPAGPPPPQQAGVSFNSPLKLSSSDQSLFCVLDWMVFRNRERYLLISNRRYEMTTLARLPFRLGLGRVMDVTGYLQDS >cds.KYUSt_chr4.41200 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254691124:254691584:1 gene:KYUSg_chr4.41200 transcript:KYUSt_chr4.41200 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAIGITAGRWSLHGKTALVTGGTRGIGYAVVEELSALGAAVHTCSRTEAELAERLKEWEAKGFRVTGSVCDVAVREQRERLIRDVADRFSGKLNILVTHPLHPPSSIYLPVAAQFGNRVVD >cds.KYUSt_chr5.32002 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202928264:202928614:1 gene:KYUSg_chr5.32002 transcript:KYUSt_chr5.32002 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLLLLLAGAAALYVVATPAAAVGGEWQSIPNVADPHVQGLGKCAVDEQNKVSNCDLRFVKVVSGKVQDGTTYQLDVDVLNIEGSHRIFKVEVVELNSSGSSTTCKIVSFGSGC >cds.KYUSt_contig_2566.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000386.1:11506:22017:1 gene:KYUSg_contig_2566.3 transcript:KYUSt_contig_2566.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGGLLAAAILKVVGDQIASAIGGQIKLHQNFEKDLKKMKMALESVDALLEDAGRRSVTDKSTLLWLKRLKDAMYAISDMIDEFEADTEVISQLSARKLSFKKYLAIMIPCITVVPKVTMANKMEKMREDLEVITDQHKKFRLTEGTNANEPKVTNIRETSSIMETQIVGRTEEKEEILSSLFDSMTEEITILPIYGIGGLGKTTLAKMIYNNTQFKEYSQVWVYVSQTFDLKKIGNSIISQLSEKGKESEYTGMEMIHKSLQKLLADKKILIVLDDLWEGEEFHLQSLMDMLRVGKGGHKIIKDDLIGQWVSLGFSTWQLGERYISQLLGFSFLEHSKSSLTIKLYDEDITLLTMHDLVHDLARVVMYDEILVVGKGGNTEGSSYHYALLDDCSKPLGFESSKIRALRFMDCDKIKLHHAAFSSAKSLRVLDLSECIIHKLPDSVGVLKQLRYLNAPRVQDALIPNDISTLSKLMYLNLHGSSKILALPESIGKIEGPAYLDLSGCSEIAKLPESFGRLKELVHLDLSNCSCIGGVSEFLGSLTKLEYLNLSYCKKIGEMPEALGVLSKLEYLNLSFSSYLESCQEAEVLGTLNKLEYLNLSSEKCDLQKLPEALGTFIQLKYLNLSGCLMMSELPRSFRSLKNLVHLDLSGCRSIDCLDEALAGLSNLQHLNVSGLINMTEDTMDSLINYICSNLSNLELLDLSSNYMRSIPESICNMRKLHTLNLAECICLGKIPANIGTMDSLKFLDINGCWAISKAPQVGSSAISLPHFGVQPGDDHSSSNLVLLQHIDPVVLKLTGLENVKSVEEAQRINLMGKKKLEDLKLEWTRGAERFVDHKILMGNLVPPSTLKKLEICRYNGVSFPAWVVLDQLPNLKHLVLRDMVNLEEWTPSHSSGNSLELVELASVYRTSTLALTAPSLSPSASCDCCCPRLPSFRSCKRYAACRALSAGPRTSQAGRANHGSCCWGGGRQPTKGYCKSSATCACRTPHLAVRREPRSRRPLVATRKSHRVQNGKHAVTGGGNTTMRLARKLVVSKRGLAIAEKGEESRSSASTPPPSTAPLSPEQIGALSNLAKSARKKGASLQPSRPPLPRWLPQSTSLFLLAGAEKQGRALGTPLLRASILWAGAPMRSRTYSSTGTARLACRTQGEDALLQPDLSRPAGRKEKRGRRIHSFSDASDACFSWKASNLESSRSSIILWSDEMDQNRNAKMNLK >cds.KYUSt_chr6.31289 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198009745:198010175:1 gene:KYUSg_chr6.31289 transcript:KYUSt_chr6.31289 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGAAAALCVLLLVMLSGQLQQQVAAMSFCDCYQQCHPWCSHNTPWWLCNVGCAGSCSGGDRHDTLAACTMVCSTDIVCGNGAAPAEAGGVEDCVRECNKRWG >cds.KYUSt_chr3.28282 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176447591:176456209:-1 gene:KYUSg_chr3.28282 transcript:KYUSt_chr3.28282 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAVSGRWTRVRTLGRGASGAVVSLAADDRSGALFAVKSARAADDQLRREGAILSALCSPHVLPCLGFRASDAGECQLFLEFAPGGTLADVAERQGGRLEECLIRAYAADVARGLAYLHGRSVVHGDIKSRNVVVGADGRAKIADFGCARSVGSGRPVAGTPAFMAPEVARGEEQGPAADVWALGCTVLEMATGRAPWSDMADVLAALHRIGYTDAVPEVPAWLSADAKSFLAACFARDPRDRCTAAQLLEHPFLASAGCGEKPEEAAAAVGKWVSPKSTLDAELWEESDTEEDEEDISESPAERIKALASSSCSGLPDWDSNEGWIDVLNESPESIDAAADKAAGEDEYVPAEECLETEVDFLDAYVEDADRMPTVESTAARTSPGSSGVIVVSLVKVLLDLAMVKKKNTAAAASSTSGGAAAKSSSSLPKGSAPSAPPPAPAPPAPLGSTAKPGDWVASTVTKRDEKRSRSLGLIPSDTGDVTLPVRNVDNDHDTFVDAAADGARASPAKRSTGGFADEDDLFDIDEVFIEPPPKKAKSDAVSPVVVASEASAPKAAPVAQASTASSLSKGKDISPTAATAAPFSVSSILIFSTDVLERALFNCFSFSLKDLRGVISSLEVFASRFTSLEADKVRLQEEVESSSSKLDGAVKIAAAARQEIDSLKDELARLKGKLKEEEASRLVAEARAAEKDEVLRRSSLALLEAANIPVDALKRVPNNSPANAVSTILASHQLTQELLVKGKGALARMHSMIFPKIKQEKTLGQLIDTFAVDTKEVIEVFKRTSRTFGAVLAFQLMMGYGFKGDIEEMTKGLPKEQDGQPVDLSTFKASAITCARQLLELVSSRKSSTGPSSSTQTQLP >cds.KYUSt_chr4.25513 pep primary_assembly:MPB_Lper_Kyuss_1697:4:160382284:160383520:-1 gene:KYUSg_chr4.25513 transcript:KYUSt_chr4.25513 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEAEAEQEEEAELRRGPWTVDEDLTLINYIANHGEGRWNALARAAGLRRTGKSCRLRWLNYLRPDVKRGNFTADEQLLILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCEVGSATFKDAMRYLWMPRLVERIHAAVDMSNAASGATNSTAPNTCLDSTSPASAVTSSRSTSSGSFTSSELYGEEKGQHVHVSAGGGGEKTADCGDHWMQDVDQEFWDTHMQIQPHDEHQFHVDQELSGWVQGFSDVGVSAENLWSLEDIWKMQ >cds.KYUSt_chr7.1388 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7618583:7618906:1 gene:KYUSg_chr7.1388 transcript:KYUSt_chr7.1388 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAVVSAGRTHYEVLGVGAGASRGEIKAAYRRLAREVHPDAVGALGGDEGFIRLHAAYATLADPDQRARYDRDVAANAGASAAMMFRRAAAAPGFRRRTWETDQCW >cds.KYUSt_chr2.17385 pep primary_assembly:MPB_Lper_Kyuss_1697:2:109567680:109569464:-1 gene:KYUSg_chr2.17385 transcript:KYUSt_chr2.17385 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPLAILLLLAVAAPLAYGACEGEASLKGVANDVLLEYGLPKGLLPDSVVSYTFINATGDFKIELASSCYIWFGDHYTYFDKHLSGTISQGTIYNLSGIQAKKLFIWVYITSMVARPERGMIEFHAGFITEDVPMSLFQKVPVCSNGVGDQLRGAAGVMNLLPVAELSMVGNASWACGTDRLGGEWRRSKTPSRRSGCGSSAGAQEALVTHRQEEEKYDVGGRKECGFHVDDFFSHDSTGAEHGHDVMKHEENSNC >cds.KYUSt_chr6.29484 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186861502:186864565:1 gene:KYUSg_chr6.29484 transcript:KYUSt_chr6.29484 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGSNEVLFRQQEPAWFVSLAILGALYVAAVAFRILFLSHLVLLLPCRTTDLRRRYGAWALVTGPTSGIGQSVALELARRGLNLVLVGRDPAKLQDMADTISNTNASVQTKTVVVDLALIATPQGDEALRLLRQAVAGLDVGVLVNNAGLAKPCAVYLHEADVEAWVRMIRVNLWALTEVTAAVLPGMVERGRGAVVNIGSGTTKAIPSFPLYSIYHASKRVGSSHLDGCGGSYPVDYVTEKTDCALHMLLRTASVKVAVGYVYPSEDGAMHHHMSIPPGCVRVEVDEVVPGFETLELDIPRGEDERTLADVKHGFALWPKKYVVLLQRPPTPPHEQQMPSTPPGSSPREQPSPHVYSIALCIYSSTRSVPLWYM >cds.KYUSt_chr3.36971 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232603600:232604697:1 gene:KYUSg_chr3.36971 transcript:KYUSt_chr3.36971 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHKFRLSDMLPNAWFFKLRDMRAARATGAASPGGAAASRACRPTPSTPRRTSYYYSPRAGDPVGSSPLHPRASDAQFPPLPLSPPRRSSSAKRRHRRRAVKLAPSVSGSSVLSSPVSTGCRCRRKPELVPVQAPGTPPCRRDRFVGYNDDDSPDSAETLKKPTVTVDELRLNGKVITSATDIIIDLRTNKKRPDNTKTLLPPIITKPARTTPNGCDLEDKHIDVLAHATQRTTTTTPFSDEQISKAVAKPRRSVSSSTARRLKTRGNTPRVASSKKPKPPSPARTKPPPPPLAESFAVVKTSRDPRRDFRESMEEMIAENAICTAADLEDLLACYLSLNAAEYHDLIVDVFEHIWARLAGIDM >cds.KYUSt_chr4.33414 pep primary_assembly:MPB_Lper_Kyuss_1697:4:204907088:204908851:-1 gene:KYUSg_chr4.33414 transcript:KYUSt_chr4.33414 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRRNRNRILSCVGAASVGALLFFGAQSSIGLGGGTWPQQQDQLFRSPGPPPPETLMSMPRQTSEADLSFARRLLPIRRHSQPQLREDAVLLPDREVLVLSADPAVGNAMCVFQGGASSPARALGRLPGPGRHAYLCPLPGSEQPLQPPPLLLSSSYSSSSAAPPATATAPAPAPAPAADFRKLLNWNESLVFDSAPLPGGDLLLFAKGTNHRQGVINTATSNIQCVYSRDSDGMVASFPATTSAQQVIRCPPPQAPFNSSNLHVTVALNGQEPLPSLATYNPQNTGLSETRERKSICACTMVRNVAKFLPEWVRYHAAVGVEKFFLYDNASEDDLAGQVSSLNSAGIDISTVVWPWTKTQEAGLSHCAASNQPSCEWMAFMDVDEFMFSPNWNEVEKPSKSLLESVVSVDPEVGQIFLPCYDFGPSGQTAHPQEGVCQGYTCRLTRAERHKSLVRLDAVADSLANSVHHFTLKPGFQKLWTTLARINHYKYQAWTEFKSKFKRRVSAYVADWTDPVNLQSHDRAPGLGVDPVEPVGWAESFCELKDYTMKKLSEKWFGIGSGGRGATTEFNSNGDIAPSPSLP >cds.KYUSt_chr6.4877 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28715365:28719949:1 gene:KYUSg_chr6.4877 transcript:KYUSt_chr6.4877 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALPLKGGSAGSRHGAKSPKDLENVLKQYFGYSEFRGRQLEAIEAVLSGPAPVLDSAFVFTTWNIHEDLDSGFPSIKLLYVTPELVATYGFKEKLTKLYNRGLLGLVAIDEAHCISTWGHDFRPSYRKISSLRKQFPDIPILALTATAVPKVQNDVISSLCLQNPVILKASFNRPNIFYEVRYKDLLDNVYADISNLLKSSGNVCSIIYCLERAACDDLTMHLSEQGISCAAYHAGLNSKVRSAVLDDWLSSRTQVVVATVAFGMGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQQPSKSVLYYGLEDRRRMEFILRNSSSKKQQPSSSSTELSQKALADFSQIVEYCESPSCRRKKIIESFGEKVQPTLCQRSCDACKHPNQVSSCLEELRRVPNCRFNKISPVFKSSSANPKHLDTEFWNREDDVSASAEEISDSDDDDVVVSDIAMSKFSSERGLEAKLDALERAENAYFQAKGPPKQQGDKLSDKKSISQALRDASRKRLLGSLGQAKLRLGNLRITEEASATHLEAESFKKYQKVGKTFYNSQIAATVRWLASSSSDQIHDRLKALTGQTSDQDAASSSPCVAPDGLGKNAGEPQPSNESVKTAASTENMERSRMSSSGQFVSEARRDSAIGPMELPKIPSFREFMSQKGRDRATSSSREESQTRGIPRKASSVISKDGTTATFKKMKS >cds.KYUSt_chr3.45221 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284908185:284908445:-1 gene:KYUSg_chr3.45221 transcript:KYUSt_chr3.45221 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPLCVPRAACAARPTTVALGRKKAATGLPAGSAASSTVETAGHAAAASSLIAGKGGDLLNNGGGRKAAAALPIAVAQEIPPR >cds.KYUSt_chr5.8999 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57214099:57215466:1 gene:KYUSg_chr5.8999 transcript:KYUSt_chr5.8999 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSSAPLLLNGLSSSFPSRVRAFFPSSIGINPVSPFSAVASIRVSSQRSPLSSPPRAAAGGDQDNRVQELRVPDSWLTPAGAAQESEWLRETLHKWLDDEYCPEPANVDISNTAARSYQESLTAKQSDVGEILMKMVGDLQELSYQDSFHGAFSAANAAVRLITQRMESSPGE >cds.KYUSt_contig_786.188 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1031016:1033250:-1 gene:KYUSg_contig_786.188 transcript:KYUSt_contig_786.188 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPMWYLYVSVVKARDLPTMDITGALDPYVEVKLGNFKGVTRHLVKNPNPVWRQTFAFSRDHLQSNQLEVMVKADKDVLCDDLVGRVLFDISDVPSRLPRNSPPVAPQWYCLSDAHGNKLRHGEIMLAVWDGTQADESFPEACHSDAHSLRSQTNTRPRVYYSPKLIYLKVSVIEAQDLQPKKKKEGQTLAPTIAKIQMGSQQVRRTRPQGSSNPKWNEEFMLVASEPFEEPLVVTVLEERGRDDDPVGRVIIPVATPYVPRNDLAKSVPSKWFYLAREMTLDKAAADVTTGVKNKESSKTFASKIHLRMSLETAYHVLDEPTHYSSDLQPAANKLRKSAIGILEVGILSARNLAAGVKNPYCVAKYGAKWVRTRTLLNDTAAPQWNEQCIWEVFDLSTVITVAVLDNLHISSHGDANKDQRIGKVRVRLATLELDRTYTHYHPLMVLSPSGQKKTGELQLAVRFTCTAWADMLAQYGRPLLPKMHYTNPISVLQQDYLRFQAMQMVATRLSRAEPPLRREVVEYMLDVDSHMFSLRRSKANFHRITLLFSGADAVGNWFDGICQWKNPLTTILVHLLVLILVCYPELILPTVLLYMFMIGAWNYYRRRERKPQHMDTVLSNAQPDELDEEFDTFPTSKPGDIVRMRYDRLRSIAGRVQTVVGDLATQGERAQSLLSWRDPRATAIFITLSLFAAIVLYVTPFQVVATFAGFYLLRHPRLRSKQPSMPFNFYKRLPAKGDTLL >cds.KYUSt_chr1.18789 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110223839:110231416:-1 gene:KYUSg_chr1.18789 transcript:KYUSt_chr1.18789 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLVSARPSPRAAASPLLFAPLKPFPLLRFPPRNHAAFARLRTRRVVRRAAASGDGDDEEVFGGRREQVFGGRRELTGVQPLVESLPPAARTAAELAVAAAAVAAGYGIGLRLGGGSRAAAYAGAAVLGAASVAGAVAVNSIVPEVAAVGLHNYVAGHDDPTKLDTSEVEAIANKYGVSTQDAAFKAELCDLYASFLYSVLPPGDEDLKGNEVEVIVKFKRGLGLDDVDAANMHMEIGRRLYRERLETRDRDADIEQRRAFQKLIYVSNLVFGDASAFLLPWKRLFGITDSQIDIAMRDNAKNLYAMQLKSIGRGLDIGTLIEVRRAQLACKLSDEIAADMFKEHAKKLIQENISTALDILKSRNKATNDPTQAIEEVKSVLAFNSLLTVLSKHPQSDRFACGLGPISLGGEHDHDRRAGDLKILYRAYATEVLSEGLLDNEKLTPLNDLRNIFGLGKREAESIISDVKSQAYRKRLAKCFNSDLAAAPSKASFLQNLCEELHFDPELASKMHEDIYRQKLQQFVADGELSKDETEALMAFQVRLCIPQETVDAAHADICGQLFEKVVKEAIVSVDGYNADRREAVRKAAQSLNLKKEAAMTIFSKAVRKLFLNYIQRAKAAGNRIEQAKELKKLISFNTVVVSELLSDIKGELTAAEPATSSATSEPETTESEGEDGDYEWESLETLKKTRPDKELKEKLEKSSQKEITLKDDLPLSDRAELYRTYLMFCITGETTNVSFGTAISTKKDNSEYMMLKQLGNILGLTRKEAQDVHIKLAEKAFVEQAEVVLADGKLTESKADQLAKIQKQVGLPADHAQKIIKSITTTKLSSAIEASISRGQIGIQQVRGLKEADFKLDSLISEPLRETIYKKCAEEIFSSGTGDFDEDEVYVKMPADLMISAEKAKSIVQGIAKLRLENALVQAIAFLRQKKKDGVISSLNDLLACDAAVPASKPLSWPTLGELDDLYCVYMKSIPKPDKLSRLQYLLAISAEKANELRDAATAGTLPVTAQEEEELAF >cds.KYUSt_chr5.12374 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80686367:80697941:1 gene:KYUSg_chr5.12374 transcript:KYUSt_chr5.12374 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFDVLLGSYWFHVGAGIPGVAPHYTPPPSTFNVLLGSYWFHVGAGIPGVAPHYTPPPSTFDVLLDSYWLAASPLENPQTFAVAVADPLTPRRIPPEAMPRELRALRQRRGLAGAGSGSGSGADPAHDLSARIHAPASVATQGRCGEGEQQAAAAAVGVVVGKGCLKRPRSAAHGGGSGAAKRVSFVAEPQVRVMSPPAVPGAEVGGGESRCPRRVRFRGASSELAGAAAPLRRSMRNASNLGLGVEQVTVAACNSVKFDKKRKRKARENSEHMGVSAQIGVSPRSTRSRGLLPPPVVENKRARRKGPDVKEQTLEDQPAELENRRQPTKPATRSNSYQELASSGEEEYQGQVVALSKVPPLRRSSRNCSKVSRLLLNSNISSGRNNCTGAQEEGNKVKIACLSMHNATKDGTEEGMAKEDEHLKLSWNGGAKDCAGTEEKMVPVVVRKGCLKRTGTDVSSRSSSVAKKVTFKLVEQAGAEVRRPRVIADGEGDAGEAGSTAPLKWSRRNAGNFGADDGVERIAGAVSRNISAKADEEEEDAEEAVDRKRKASENVEDIGYVWLLPNIATTNLSQIVAATKSVANKMATKVVANFGKKLSLYHVGHEANKVG >cds.KYUSt_chr6.10060 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62056000:62057353:-1 gene:KYUSg_chr6.10060 transcript:KYUSt_chr6.10060 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHKQSASSSLKRAAAIAAPLAFLLALGLVLLYDLSFSDRHYYLRIYGASSSASSPPVSASSPAPACDLTRGEWVPDDAEAPYYTNLTCPFIDDHQNCMKFGKPSLDFMRWRWRPDGCELPRFDATRFLEAMRGKSMAFVGDSLARNHFKSLLCLLSKAAQPVEVGSEPEIDVTGRAVRRDYYFGSHDFTATLFWSPFLVKANLSNATLGMWDVHLDTADPRWAAHVADFDHVVLSGTNWFFRPSVYHEGGRAVACNGGVSCGAGNVTTELPVPRALRAAFRTALGAVATNEGFHGKAVVRTVTPTHFENGEWNTGGDCVRTRPYRRGDRAVSAAEYRSAQVEALRETEAAGARNGTELVLMDITEAMELRPDGHPSRYGHPPGGSVEGSFVVDCLHWCLPGPIDLWSELLFQMMVPQH >cds.KYUSt_chr7.36929 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230628644:230629711:1 gene:KYUSg_chr7.36929 transcript:KYUSt_chr7.36929 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDGFSKFKFGYFLSSALTLLFIEVTLITAGCWVVYKWERRPAIEDEGYTIISSQFRIFSYRELQKATNYFKDELGRGGSGAVYKGVLDDERKVAVKKLNDVIQGEQEFRSELSVIGRIYHMNLVRIWGFCAEKTCKLLVSEFIENGSLASVLFDYQSLSPVLQWGQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDKDFEPKIADFGLVKLLKRGSNAQMLSKVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGIRVSSWMVEGQEEVEMAVRCSTENLKEKLAGEDQSWLLDFVDHRLDGDFNYSEAIVMLKIAVSCVEDERARRPSMSHVVETLNSLVE >cds.KYUSt_chr1.18087 pep primary_assembly:MPB_Lper_Kyuss_1697:1:105568189:105573883:-1 gene:KYUSg_chr1.18087 transcript:KYUSt_chr1.18087 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLISTDTTSSRVGIVDGNRNRQAVHGVPYLMPAYQTASDRLVDDNLIRYGDDSHGAERVGATEFTEMEEGDAVWIPPEAADSKDETQLGAYTNSDDDDNDDGMNWGQPSSSESEPSPSPSPSPREERQTAMLKAMDRQLKMLASRFLASAGISLPREDDGGESWLDIVTSLSWEAALLIKPDGKAGNEMDPGSYVKVKRVASGTRRQCEVINGLVFKKYAAHKHMPTKCHNPKLLLLTGALGDSDVGLSSFDSMEQEKGHLEKAISQVMETCAPNVIMVEKTVSRDIQELLLNHGVTLVLDMRLNRLQRISLCSGSPIVSVSEILNTPKLKQCDYFHIEKVVEEHNCTGEGGKRPSKTLMFLEGLPKPLGCTILLRGANSEELKKVKQVMLYTVFAAYHLVLETSFYEDQRAFLNDTDTPNYVGMRECPSVISHANSDALWSPTDGCLSELTEDTTIHYDSNPAPPSEEIIRSVSGRFIDIFRHQNIYLPVTSQESADHQKEGRLELNQEVQSEGFDARVSTNGPADSGKYMENFKHFQKQVCAKTNEQMIEADHPTGGEHEKLSVASENGERHSTAYSEEKASHINKADDVLDPQSILILMSSQCIAKQVVCEQSHLSRINYYGNFDVSLGRYLQDILQNQNLSCFSCGDPPEAHMYSYTHREGNLTVLVKRLLPRHRLPGESKGNIWMWTRCLKCEHESGISKASQKVLMSTEARNLSFGKFLELSFSSHSAARRLSICGHLVNRDCLRFFGLGSKVAMFQYSSVEIYNACKPQQTLEFHNPSTHELFQQQGRNVLSRGVTLFSEVESMLQHTENQFPEVAISCGALLPVKEFSQLKEMLIKEKTEFVDYLVKAVDLHGMSRSSVHEILDVNWLYQDLLLELYVWDRRLHRLLLCKSAGTERMSNGMKVTVDLTHDRMATVETDGTPGCTSSQLRRAILASPQDVHYEEQDSTDMPSSGTSDSLDVQGQDNGPTVHPISVRQEPFHIPQFRMSEWEDRERWVWNPLSELRLAYRQELQAGCSEKFELVNRYSPSHLTSLHKQSAEEVCSPKFTVGPGGNVLSVSEDEISSIISRALAISEDRRHLLDSIIESHASDSCSFSSEGSSPSASWSSIESSDSEASFSSDDLYNYDSSLLSSSLHPEIYVNGKAALKGKYSVICVHANQFYTLRKKSCPSELAYIASLSRCKKWDAQGGKSKALFAKTMDGRFIIKQIKRTEFESFIEVAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEVKIDLMVMENLLFGHNVSRIYDLKGAIFSRYIADSRDSDTVYLDQNFVEDMSVSPIYIGGRTKHLLQRAIWNDTSFLTSINVMDYSLLVGVDKQNHEFVFGIIDYLRQYTWDKQLETWVKTSLVVPKNVSPTVISPREYKKRFRKFMTKYFLTVPDDWSTAKNHSGTCKSCAHGNCNLSKIDSQKPHHQAEACSIQ >cds.KYUSt_chr4.26692 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167637178:167638398:-1 gene:KYUSg_chr4.26692 transcript:KYUSt_chr4.26692 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWGRSQRDSVKKSKTRPAPPVAALPDHLLEQILRRLPDMASLLSAALVCKTWGRLASDPDVFRRYLSLRSPPLVGFILTDRADKPTPFYAPDVRFVTASPPHPKLAAAASDGDFHFVRRPAIDDGNPRYCNEWRLRGCDGGLLLLARGRYAEELAVYDPLTRKIIYFTKPDLPHRWHNARYAIIADEADASFRVIAIQHGEEITADVFSSQTEEWATITWTTIWYVFYCPCKDGIAAGQFVYWRANAKHENKEDILVLDMKTMVWSVITAPFPPGESYCIADMPEHGGLCIVSSEDQWVTLWVRDNNGGWEVKKEISLLNQFGYLKKLRRDEWMKRVRILAMKAGYVYMEFWSIRRSNSYLLVLNIDTIKLQIIRNNADKPYRGAAFPFFMRLAPEDDLKLQDA >cds.KYUSt_chr2.38361 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237749102:237749545:-1 gene:KYUSg_chr2.38361 transcript:KYUSt_chr2.38361 gene_biotype:protein_coding transcript_biotype:protein_coding MESFQRVRPIKIGADSVGAVQEEQMSQEQTAKRGCPGGHAVAVALAGDRLSDLPDGLLHTIMSFLPAPQVVQTSVLSRRWRDLWRSTPCISIEQRDFRITAGSQRHEREEKWRKLENFTTNFLLFHNNVASLDKFRIHTDSTLLRDG >cds.KYUSt_chr3.10463 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62163415:62164005:-1 gene:KYUSg_chr3.10463 transcript:KYUSt_chr3.10463 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPTAAGGISASPSTAMPEHSHGAKCRCRPARSACAWARDIFMDVLTAAVTLLILFGIVACLLMTPLVFAARALRRQARRYGFFSPVDHPRPRPRHTGLASEQISRLPTFESSPFDRNSACIVCLEAARGGERWRALPPCGHAFHTACVDPWLLLSPTCPVCRATVAVLPRSESQAGDGIEKPPLSLYFSPPHGG >cds.KYUSt_chr4.52902 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328154748:328155194:-1 gene:KYUSg_chr4.52902 transcript:KYUSt_chr4.52902 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTMAFFLTVNLALLAATAHGCGSYCSNPTPVPTPPIAVPPPAPVIPTPVPPTPSSGGGGGTCSIDTLKLSVCANVLNLLKLNLGVPSTEQCCPLLSGLADLDAAVCLCTAIKANVLGISLNVPIDLVLLLNQCGKTCPADFTCPI >cds.KYUSt_contig_2325.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000300.1:44640:45566:-1 gene:KYUSg_contig_2325.4 transcript:KYUSt_contig_2325.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDVQEEAAGHSEFIAEKAVEEDAAKKTIVDHLFATTDVKLDVSPAKGKLDDDILEHEVVPSPLDAIVPRADHWDVDAVAAALGHDGDAMIPKISSVDNDLMNCKDHEDSGLTSNACKLTVSGPIDQTIGTLPATMPTYPVLNNQAIDQWKVTELKDELSKRNLPVKGLKDDLVKRLFKDLEGDILGGSPCSYDLKVDRTPGSADASACQDVMEQNVDEGPSQVATQEGSSDTTTDVSHDAVATTEEVNQTTLLAATDSDSPLVDSATADTISLSDAVATKRDDLESAPSDGAILKGASLKLIAIIR >cds.KYUSt_chr3.6900 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39684027:39685812:1 gene:KYUSg_chr3.6900 transcript:KYUSt_chr3.6900 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPSPTIRPRSAPPTPAAPPSPRPTMPFPSALGSPSLRSPPHSLTPLRMQPFSPVGVRRGSGSSSGLAAADEDLAALPGTPPPLRDIQAYPADPPHASHVAPLVAGHRRIGSAASTVAPAASPADPSSMYTGSEHSSGSGDGSRRSELSLPASSDRPELVKIYMPPGDLEAARRLAVVWLDPPQGFFNNSQSITVALMRALPRGIPFELVHSGLGACYVRFRTHAAREAAMELPAIMHEDVRITLEREEEAQRVPLKRRSVCSALGLAASGSDMSCVKVVARCESAQLVPCDVLPERGPWPSRLVRVEILDQWSVERSYIDGVYQRFFASPPPPSPFCYGRSPVFGRPIYGAPATTPRSAAGLGMPAFGGHERQLLLTAPVEPLAAPPPSPASSVGDVSAWSSAVTSAGWTCSVASSLTSASGSPSRGKSTVVITELPDPSPVPATAATPRADADTEEEAWTAVVESAHHRRKARGKAKRAGDATRMSKRIAAQGDGMHVNALTKAVKHRELTKMLKGCSIKLQAQVSKNKLIQKLIAPLGMKPMSALKAAAFGKDGLVPVGADD >cds.KYUSt_chr6.31802 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200946859:200948592:-1 gene:KYUSg_chr6.31802 transcript:KYUSt_chr6.31802 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPKPSRNPESKAKPVSPKGYAGTRIEDTGRRACRQDWSKILFEQEDKDELSKVCDQIDFAVGSRGPERTCSGGGVGEEISTFWSRATSLLQVPRPCTFDPCRRPSVHWPASSIPVVNVPTAAAPTSGLMPAAISALSGRPLPSHGKARCGAAYPHPPAGVKAAPNEGEDGARPEEDQRRQRRGEE >cds.KYUSt_chr4.33595 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206049833:206051307:1 gene:KYUSg_chr4.33595 transcript:KYUSt_chr4.33595 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGGFRGRGGDRGGRFGGGGRGRGGGGRFGGGGGFRDEGPPAEVVEVSTFVHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESFFSVKMFEGVIATSYNQGDKFFIDPMKLLPLSRFLPQPKYDLELTVAFSFLCLVGLDYGTIPDNIINCYFFTFSKLDFLEVVAVVEEVVLVVAVVDSVEEVPQGAVVDLPGVEGVDSEDAADIRI >cds.KYUSt_chr4.5367 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30838617:30848817:-1 gene:KYUSg_chr4.5367 transcript:KYUSt_chr4.5367 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGVGRFADDGGLIWVIYILSPASLSIELDCACQGIMRGFIRMALSSLMKGALKSIRAADFQSRKEIVDLFKLGQGVMPLDFKIALNKAIGNSGPVRLFCSGFSVDGFSMMLQRMAMEYIKETGPDTAVISLDDLEKFLDTYPNAIGRLRRWCELNGLHFDLSSLFGNNKVLFTTPPAGCFTFTFQYLGRTLDICLYGRNLYVMGWRNPNGTFEIKLESENRKNFMSGEGVTVVQFKKNHMFLAPERDVSKIRLGMHALREAFEVLHKSTGNSYEVRLAVGTFVVHTSEAGRLQGVLKDVCTAALQDTVGKVGKYNRFWIQRYDHYAKKEMAQIDALLHGETPPGIKACQKSIDQGVEISENIILNQIRIFPRDGYNVRAFKHEPTPSDDPCEDDPVFGNDIWLQSSKGEKLATKGLSSKKDRRKVKSPGLEEVPTGDGGSSSAAAPLYLIEDWSSPQEEEKQSEVKGRTWTHQNSLAKHKMYRSLDVRADIKSTHNTNSVDPEALSSGLSPINLSSNLKAHGDHITRDEVEKCNKRLLATRASSLSMLDANVDTWPNEVTIIAGDLRRDAVEINSIDELVANKSITEHIEIVPPMYKQHRLEDRFLELKKGVESLFTFHIHGDCGAIDVGLDEEIRLFDGAIGDSRGLKRSLAVVSDDEMELKLKVAAGSCIPAEYYCCFETKQHGHATQVINTGFVLVEVKVQQFSRMPMMWCAEVDAAVPMAGIDPMDASTAEMHAFQKLFGLGFEKQMHAKPTPDSSGGWRMRIVLARAFMNPTILLLNGPTNHLDLEACIWLEEKLKYFERVHLVISHSPTFIIHMQKKIVKLYSGFYDQYVQTCSEFEENQMKQYKWEQEQIANMKEYITQFGHGSAKLACQARKEKTLAIMECGGLAEKAPQSLGIAQFHLHLTEKLYLDMPALQYMMRGYPGNDEKMRAAIGKFGLSWNAQVMPMKKLSDGHKAGVIFACLAFRQPHMLLLNEPTNHLDIETIDSLSEALKEWDGGLVLVSGEPWLQADQPGCSRDLGV >cds.KYUSt_chr5.37967 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239820146:239820535:-1 gene:KYUSg_chr5.37967 transcript:KYUSt_chr5.37967 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAEKKSVTWKVGLITKTLDRCWSTPVRNKLAEGCFSVYVGSERQRFVVRTKCVNHQLFWALLQEAEEVFGYTTGGPLELPCNAEAFAMVLEQIEQEKQMTSGRRYGLVRQDSYQLLGTAWPVNINRS >cds.KYUSt_chr2.22756 pep primary_assembly:MPB_Lper_Kyuss_1697:2:139448013:139452115:-1 gene:KYUSg_chr2.22756 transcript:KYUSt_chr2.22756 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVRRFAKAIDSDDEEILAALMGLEVVVAIAAREAIGDEEHLTILVALLAMIVEEDKLRIGGSAPGRRKSKPRQRMEGYHISPHPPPPPPPPPPHTGEKTASLDVVPTVINGGILALYFVLWGKGLLAGGPLVLEGLLGSASDSIVKLQLPSWAYLSTVLFGMVLIFYVDNVAEEKYFGSNIPGEHFQGRMRLRHVLILRREEPYENTVSSGPVRTVNLSASHYHLKCTHHPQPPHRCAD >cds.KYUSt_chr6.6214 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37537663:37539115:1 gene:KYUSg_chr6.6214 transcript:KYUSt_chr6.6214 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGSKRTWVVDVEKTLKEADKSVEVSRWERHCIYRVPACIKDIKSKAYQPQVVSLGPFHHGDPNLLPMEEHKRRALRHLLRRARRPLDDFVAAVEDDAEQLESAYMDLGVEWRAGIGSGGRERFLEMMIVDGCFLLEVMRTAAGKNVHDYASNDPIFSSHGILYMVPYIRRDMLMLENQLPLLVLQKLNAVETGKPPNDDFVNRMVLRFLAPSSRPLQSGIGLGLHPLDVFRRSMLYGEYQKIPNSRDTQDNDIIRSAVELYEAGIGFKTSKSSSLHDIRFRHGVLSMPTVPVDDSTEYMFLNMMAFERLHVGAGNDVTAYVFFMDNIIDSAKDVALLSSKGIIQNAVGSDKAVAKLFNSISRDVVLEQDSALDAVQRQVNGYFRQPWNMWRANLIHTYFRSPWAFLSLAAAVFLLVMTIMQTVYTVMPYYRPADSNPPSAPSPM >cds.KYUSt_chr1.29235 pep primary_assembly:MPB_Lper_Kyuss_1697:1:176926985:176927739:-1 gene:KYUSg_chr1.29235 transcript:KYUSt_chr1.29235 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASKLARAAFATRASPSAVGATAGAGRPAAAISDSPTDATRISPVEGPNYRKIYPSPPVDENVFKSKEAMWAFYEYWFKAFSDTARRVYEFNTSSSSSYGGLAMNPLADATNEERKLLRGYRPLKK >cds.KYUSt_chr4.13846 pep primary_assembly:MPB_Lper_Kyuss_1697:4:85258322:85259989:1 gene:KYUSg_chr4.13846 transcript:KYUSt_chr4.13846 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTYNTILLALLAVSMIYFFKPTSVRRPPGPRTLPIIGSVHHFVNTLVHRRLRDLAGAHGPIMMLKIGPMPLVVVTSRELAREVLKVQDPNFANRPRLLVGGICGYGWTDIIFAPTSDYWRKIRKLCIHEILSPKRVLQFGFIREEEVRRQVELVRVAARQGVPVDVTRMVYDISSRTISRSAFGEVRPDMPVFQHAIKRVVGLSSGFNVPDLFPRLREVLGEVTGMKRKLREIHRTFDDILVDIIEGRRKVRAERVASGKEVIDENVVDVMLTLQKGDNPWGFPVTDNTIKAVVLDMFAGGTGTSGSSTEWAMSEIMRTPRVMKKLQDEVRRAFHGKETISETELRSNSVRYLKLTMKEAIRLHPAAPLLVPRESIETTELGGYVVPAKSRMVVNAWAISRDPRYWKDPEEFVPERFEEEGAVDFHGLHFEFTPFGAGRRMCPGYNYGLAGMELALFQLMYHFDWSLPAGVEEVDMAEAMGLGVRRKNPLMLCATPYVVHPPAADPIVTST >cds.KYUSt_chr7.7603 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45907409:45909436:1 gene:KYUSg_chr7.7603 transcript:KYUSt_chr7.7603 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDQEDDDDTRRRLASLQAARTALRAGVERSRSLTHALARSGSRIAEIQARLQSAEAAVRPIRAPRDALETAGPNIDRAVGPASAVLKVFDAVHGLEPPLLAGAAQDLPGYLAVVARLEEALRFLADNCGLAAQWLADILAYLGDRGLADPRFVADLTNALSSLKTPSPADLDAGLLSAALDVLEAEFCRLLAEHSAPLTMPDPNTTKPAPITPPRIPAAAVQKLSLTLDRLAANGRLDYCAAAYADARGDTVSASLRALGLDYLRDPAEDAQALSPSVELWGRHLEFAVRHLLEAERKLCLAVFAPRPEAAAACFADIAARAGILDFLGFARAVADARKDPIKLLRLLDVFDALSRLRLDFNRLFGGKACVEIQTRTRDLVKRVVDGAVEIFEALLVQVELQRNMPPPADGGVPRLVTFVPKYCNQLLGDQYRAVLTQVLTIHRSWRKEPFDDKMLVDAVHDIVKALEANFDTWSKSYQDKTLSYLFMMNTHWHFFKHLKSTKMGEVLGDEWLREHEQYKDYYSQIFLRESWGTLAPLLSREGLILFSKGQATARDLVKQRFKSFNASFAEMYQKQSAWIVPDKDLQQRLCHLVVQAIVPVYRSFMQNYGPLVEQDGSASKYVKYSTEDLDKMLSNLFMPKQPRRTGSLQIRNSNGKINSAMTGLYRSASTLQ >cds.KYUSt_chr2.45761 pep primary_assembly:MPB_Lper_Kyuss_1697:2:285517492:285518064:1 gene:KYUSg_chr2.45761 transcript:KYUSt_chr2.45761 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVEQPQHGVPGGSRRVYTPRQPEGLNLLSARVLYDLPTSPECLFEPRQGRSWGDSLCFYAGCAFLSGAAAGTVAGFRRAAKEAERGESLKLRTSRVLNQCGSVGRQYGNRAGVIAVLFASVESGVGWLRDKDDWRNTVAAGLGTGLLYRAPSGPRSAIVGAVLGGLMAGAAVAGKHELERYDSPMAV >cds.KYUSt_chr3.37187 pep primary_assembly:MPB_Lper_Kyuss_1697:3:233794665:233797361:-1 gene:KYUSg_chr3.37187 transcript:KYUSt_chr3.37187 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGQEASEQLWQELLGGYNLNARLLALLGDPLDKHGQEAALAMSQELSRVFMVSLYTLKPGDSSRVARVRTMAPETTVTEGSVSQRTPATDERICGEEAVPHRKRIGEEVIEKKITASPHKEGYRWKKYGQKNIQKRKFPRQYYKCMYSHERGCRAKKRVQQQDNGSDAHGPMFQVTFMNEHTCHQVLPSQNSSNNATNLPATNTTSTMTRNGAHSDPAAHIGDNAGLQNKIMTCALTTVIGGAPSPPPPVEVSQLSDSASYVPPRLPEVSMGLEYETTVSETGFSCGSPIPPPVEAPAAPSSWSLPPPLHMEASSSYLVGGGNIPSMDPMMMEEMYFPCAPLFSPVAAHSSIIGCDDVPMAVVAGQWYTDTSSPWPQY >cds.KYUSt_chr3.35106 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220314607:220316026:-1 gene:KYUSg_chr3.35106 transcript:KYUSt_chr3.35106 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVLEVLLVDAKGLAGNDFLGRLDPYVVVQYRSQERKSSTARDQGRNPSWNEVFKFQINSTAANVQHKLVLRILDHDNLSSDDFLGEASINVADLISIGVEKGTSELNLARYSVVTADNSYRGEIKVAITFTAAKCFADKEMILAPYQQCRLLGKSKLPA >cds.KYUSt_chr2.43090 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268183371:268186848:-1 gene:KYUSg_chr2.43090 transcript:KYUSt_chr2.43090 gene_biotype:protein_coding transcript_biotype:protein_coding IEGGYLEGKKGLSNWDVFTHKQGTIEDGSNGDISADHYHRYMEDIELMHSLGVNSYRFSIAWTRILPRGRFGDINPDGVAFYNQIIDALLQKGIQPFVTIFHYDIPHELEERYGGWLSPAIQEDFGYFAEVCFKMFGDRVKFWVTMNQPNLLAKFAYMDGWFPPSHCSKPFGNCAFGNSSKEPYIAAHNMILSHANAVSIYRNNYQKKQGGYIGISVGARWYEPLRNTTIDLLAVERAISFNVPWFLDPMILGDYPPEMHEILGPNLPEFTIKQRKKLRETKLDFIGLNHYSTYYVKDCIFSSCALDPIDGDALAVSSVERDGVLIGKETGAPFFYDVPRGMEEVVMYYKQRYNNTTIYITENGYAQASNSSMSANYFTSDTGRIDYMSGYLKFLASAIRKGADVRGYFVWSLLDDFEWTSGYTDRFGIYHVDFKTLQRTPKLSAEWYRKFLKGSLLRREFQNGSEPQQYTS >cds.KYUSt_chr2.52937 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330287028:330294932:1 gene:KYUSg_chr2.52937 transcript:KYUSt_chr2.52937 gene_biotype:protein_coding transcript_biotype:protein_coding MGATASVLSLPAAASFPLSATAIAGAAGCFALGYLLALARLPLHAAAPASGDDDSEEDSEEDDDENSGRGKAAKRAAARKRTGLRLLFWSRNVVTKSDSAREAERAQAQTAKAPLEIENLAKIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKVESEEDMLVLQQMEGVLSALTSYVVNMIADMTKEEVAKLIGVSGKIDKLSLTLTDLKKFLSDSERKIITDERVQGWLVELKHTMYEVTNILDLCQLKVMEQGPSKDMGCLNPLLFCMRNPLHAHNIGSRIQALNEKLDGLSERALTFSFIKLEVYQDRNTTHPLLVDRKTDPLLERSAVVGEKIKDDTRAIIQLLTKEVTEKSDTSMVVAIVGVGGIGKTILSKNVFNDEVIQGKFAKKIWLSITQEFNGAELLRTAIITAADGKLPESVRISQDKAVLGPELVSVIRDKKFFLVLDDMWDTSVWNNLLSAPFSHGAAGSQVLITTRYDAVARGMRAVQPYHHVSKLGPEDGWLLLTKQLKHLRYLTVEGCNDIESLPENLHEMKFLQHISLDGCKNIVKLPDSTVKLRELRYLDMDGTCVKSMPRDFRALTNLRTLYGFLAHMDGDWCSLEELGPLSQLRVIGLVGLENVSNASFAAKVRLGAKVHLSVMILYCRSRLQDDGLVKHGASEKDQGILKEVFDKLCPPSCIEDIRIHGYFGRQLPRWIMSTAPAPLKSLKILIIENLVCCTQLPNGLCQLPCLEFLKFSCAPAIKCVGPEFVQSYGQRHHTSSQPAAMFPRLYEMILSELLEWEEWEWEEEMKAMPLLEKLHIEDCKLRCIPPGLAFHASYLKTLTLYKLQRLESIENFVSVVELDLFELPELTRISNFPKLEKLEISCCEKLESLQEMTALRELELCYGEKELPLYLHTVKPSYLLLYCRAEILTSMAAGESGPEWDKFSHIQHVEAYADDDNNRMHLSYTSKPYKMDTKIEPNLQDDGEGGEED >cds.KYUSt_chr5.7991 pep primary_assembly:MPB_Lper_Kyuss_1697:5:50439049:50439984:-1 gene:KYUSg_chr5.7991 transcript:KYUSt_chr5.7991 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADRSSDDYFAVACGVAGESTCSTPFVSAPSSPARDPSFHAAAGFCFSAPVSPARGADACDYDYDFDFSSQFPSPAAAAMSSADELFHNGQIRPMRLSSFLLRSQAPPPLADRPTGGRLMPLEAAAPTDERGRLRSRSVHRKTRSLSPFRARWMSPLSSPARGKDSAQTPASASRSSSSSSTTSSGSSSTSRNYRRWRFFKNLVHQKKPDGSRHRPPASLPPAPTPARPNKNASLEAPKTNPAPAVGRRGRRWSAHERLYEARRAEAEDMRRRTFLPYRQGLLLGCLGFGSRGYGAMHGLAAANSRC >cds.KYUSt_chr7.37719 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235274087:235274916:1 gene:KYUSg_chr7.37719 transcript:KYUSt_chr7.37719 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIVLFGDSITEESFGEGGWGAHLANHYSRSADVVLRGYSGYNTRWASLVAGRAISSISGAVAAVTVLFGANDASLPDRASAFQHVPLPEYRDNLRAICAMLRARWPSAAVILITPPPVNERGRVRYPYGGDMSGLPERTNEVTGRYARACMEVARQAGLRVIDIWSRMQKFPGWETSFLRDDGLHLTPLGNRLLFEEVVFALRDANLSLEVLPADLPLCSDIDPNDAVKYFQE >cds.KYUSt_chr3.22581 pep primary_assembly:MPB_Lper_Kyuss_1697:3:139600592:139601837:1 gene:KYUSg_chr3.22581 transcript:KYUSt_chr3.22581 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCSSTGQQGEVMLCEGGGGGSSGLRLFGVQLHVAAGGSMRKSYSMDCLQLAAAPSSLISPTSSSSSSLLLSIDEGLERASNGYLSDGPHGRLVQERKKGVPWSEEEHRLFLVGLEKLGKGDWRGISRSYVTSRTPTQVASHAQKFFLRQSSIGKKKRRSSLFDMVPICENSMRVSEPPTNNSEGASTSLPRYRAPDMAAIDLNSTEEDAMTEAPASSVSDASPWTVFPAAVLPEQAPPHGHGHGLHCSPLDLELGMSLSPPSIGT >cds.KYUSt_chr4.4490 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25684440:25685096:1 gene:KYUSg_chr4.4490 transcript:KYUSt_chr4.4490 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSAGGGPFYVKYTASGSHLLQIDGYSITKHAPNGTSLKSCPFTVGGYRWMLHLFPNGDRPESSGFISIFICLYKCFERRRVSLQVELSFIDEVDKQNRAHVRTRQVLDLYGNYGVGYRRFIAREALENSKHLKGDRLTLRCDFIIKGYADIPENCPSDSYRKCGACNLRPVRVRGMPLLHACFCDACDDASHDDPAEKQCAGCHGPYEGRYLLP >cds.KYUSt_chr3.10448 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62024501:62025703:-1 gene:KYUSg_chr3.10448 transcript:KYUSt_chr3.10448 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPELMRLAQEQMSRMSPADFARMQQQVMSNPNLVKQATESMKNMRTEDFKRAAHQLNQTTPEELRRMTENIASAKPEEFAAMKAQADAQMSYAISGAKMLKQKGNELHSRGQYADAADKYKLAKDNMKNVPSPSAAGQTLQLQCALNLMSCYLKSGRFEECVSEGSEVLAYDSSNVKAYYRRGQAYKELGNLGAAVADLSKAHKLSPEDETIAEVLRDTQGKLLATEEGGVNLPKGVIIEEIVEEEEEEEDNFQPSFPQNAVAEKPDEIQPSMDQPSPGSPPSAADMQDVMRSFMEDPATQQVLTSMLKNMSPDMMADMSRQFGLNLTTEDAAKAQHAMSQLSPERLNKIMKWMDRAQRGLEAAKKAKNWLIARKGLIIAIVLLIVAFILQRLGFICR >cds.KYUSt_chr6.2435 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14208189:14212953:-1 gene:KYUSg_chr6.2435 transcript:KYUSt_chr6.2435 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLKDGEVAVADGADEGSYDYDLFVIGAGSGGVRGSRTSAGFGAKVAICELPFHPISSEWLGGHGGTCVIRGCVPKKILVYGASFRGEFEDSKQFGWEINGDINYNWKKLLENKTQEIVRLNGVYKRILGNSGVTMIEGAGSIVDAHTVEVTQPDGSKQRHTTKHILIATGSRATRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWRGLGAEVDLFYRKELPLRGFDDEMRTIVASNLEGRGIRLHPGTNLTELSKTADGIKVVTDKGDELIADVVLFATGRAPNSNRLNLEAVGVEVDQVGSIKVDEYSRTSVPNIWAVGDVTNRINLTPVALMEATCFSKTVFGGQPIKPDYKDVPCAVFCIPPLSVVGLSEQEALAEAKNDILVYTSSFNPMKNSISKRQEKSVMKLVVDAETDKVLGAAMSGPDAAEIMQGIAVALKAGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPASKPKTNL >cds.KYUSt_chr2.7442 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46704485:46705169:-1 gene:KYUSg_chr2.7442 transcript:KYUSt_chr2.7442 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTKLVAILVLQAVLVMGILSHVNADYFPPCCDNCRSFSGVDVCDDPHPQCPTGCSACRVVTTNPQTWRCADMKNTVDGTCGGPCKKY >cds.KYUSt_chr2.3253 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19299676:19301614:-1 gene:KYUSg_chr2.3253 transcript:KYUSt_chr2.3253 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLALIMLFRGGARQVIKRYIGEARAALEAAAAQGGCDGGDESAAEAALGLVAAALEVSPRMEAALELRARALLALRRYRDVAEMLRDYIPSCGKACAGGDDSTASSVSSCSSGSGDLACASRAGLLSPCRDISVSGGAGGASRFLCCFDVSEIKRRVVAGISRGSNAETQWRYLVLGQACFHLGLMEDAVVLLQTGRRLASAAFRRESVCWSEDSFSPPSSTANVVSMPAGRRASSGMPPPSEADSVSQLLAHVKLLLRRRAAAVAALDAGLPAEAVRHFSKVLDARRGGVLPHSFATACLVGRAASFRASGRPADAIADCNRALALDPAFIPALRARADLLESIGAIPDSLRDLDHLKLLYDAALRDGKLPGPSWRPQGGVRQGEIAGAHRELVARVQQLRGRVAAGDGCGVDYYALLGLPRGCTRTELVRAHLLLTLKLKPDRSASFAERLELVDEHRDLESVRDQARMSALFLYRMLQKGYSHVMSAVLDEEAAQRQRAREDAAALAAAVAAAAASATKQEEEALTVPESTKSDNARPANVGNVLVAKLEVIAPPSPPTPRLTAATVAATMSPMFQGAFCRDMAVVGTLLSRGGFDLPPMAVKCEAMSC >cds.KYUSt_chr1.27968 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168660071:168661692:1 gene:KYUSg_chr1.27968 transcript:KYUSt_chr1.27968 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPAAALPQDVLAEVLRRLAPLILAASRRVCRAWRDTVDARLRRRLLSRSVRGIFINFTGHDFSEFFSRPSTGPAICGGLDFLPSEGVKVTDHCNGLLLCGDREREYVVNPATRRWARLPPRPPPHMPGFSQSAYLAFDPAVSPHYEVFLIPRLPSSDKLDDKALLESEWPPALYVLHVFSSTADQWGEKTFLREGEAAGIVGDMDFDPWYGRYHAVYWRSTLYIHCQHGYLTRFHNYRKRFGVDSTQQIGKPWILQDVNNRKIKYHNGRYRPPVEEKYDWNSDEDNFLYIEDVEGVYYSEGSHFLGFHPYKEIVYFELHRGRGVAYDWNSSKFQDLGCSEPTDNHYFQDGTTVSFPYTPCWMAEFPGNEFESLLEEEEIARKKSELEAQLEDHCNFSCADEYELRKLSGRAKRDKNSVTKLRRRRRITAR >cds.KYUSt_chr1.28925 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175036991:175037790:1 gene:KYUSg_chr1.28925 transcript:KYUSt_chr1.28925 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSVELLIFFNDATDKTISSPSTPRPPLLHLSDNTTRLTGGDSAHLFDPNNLQMNIGTIYLLNTSRVPQLAAQILNSIGFKTRAQIDCNCHVFQFSVSKFSASQPSARPGFKSWLAQD >cds.KYUSt_chr7.23973 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149359639:149361492:1 gene:KYUSg_chr7.23973 transcript:KYUSt_chr7.23973 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRTDVWISGSCTEIDQTTHSVQVYIIGLVNTIVDKTQIMEDTSEYCYLGWALVSLALLLATFRWWRLTANNGLRLPPGPWQLPFIGSLHHLAGQLPHRALRELAQRHGPVMLLRLGEVPTLVLSSPEAAREVMKIQDLAFATRPLTPTMSVLTCGGRDIIFAPYGEYWRQLRKIVVTELLVARRVASFRAIREEEVAAMLREVGSAAAAGCQVDMRARLSTVVSDTTFRAVMGDRCKQRELFLRELDNLVGLATGFNPVDLWPSSWLVRRFSGTLRRAEENHALVYGIIRGVIQDHLDRNKQGEEDEDMLDVLLKIHKDGEIDMVAVEAVIFDLFAAGSETSATTLEWAMASLVKNPRVMKKVTAEVRRAFKASGMVVEDRLGEVPYLHLVIRETLRMHGPAPLLLPRECREPCKVMGFDVPKGTQVIVNAWALGHDGRCWPDGTEEFRPERFQAGADAAAVDFRGTDFELLPFGAGRRMCPGMAFGLASVELPLASLLLHFDWEAPDLAGFDMTEAFGVTARRKADLLLRPSLRVHVPSP >cds.KYUSt_chr4.34362 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210940966:210942990:1 gene:KYUSg_chr4.34362 transcript:KYUSt_chr4.34362 gene_biotype:protein_coding transcript_biotype:protein_coding MQILSRHRHGRLPKFSSFSTTTTSNAPPPPPVPTSDATSRISSLGDHDPGALAPDDAIVALSSVADSDGSAAALALFRRLASRSDVRQLMRLYVTAATTFVARGSLPMAHEAMRRMVAAFAEAGRLPEAADMVFEMRSHGLPFCVETANWILRAGIDTGNFVYARKVFDGMVTRGGVCPDARSFRALVLGCCREGQVEDLDALLTAMWEQGFCLDNATCTVLVRTFCKKGRFRDVSEFFRRMLEMGTPPNVVNYTAWIDGLCKRGHVKQAFHVLEEMVRKGLKPNVYTHTSLIDGLCKIGWTERAFRLFLKLIKSSSYKPNVHTYTVMIRGYCKEGKLARAEMLLGRMVEQELAPNTNTYTTLISGHCKGGSFDRAFELMNKMTSEGFLPNIYTYNAVIDGLCKKGKIQEAYKVLRRATSQGLELDKVTYTILITQHCKQGHITYALDLFNRMVENGCHPDIETYTTLIATYCQQRQMEESQKLFDKCLATELVPTTQTYTSMIAGYCKVGKSTSALRVFERMVQNGCLADSITYGALISGLCKESRLEEARSLYEGMLDKCLVPCEVTPVTLAFEYCRREKTSVAVSILDRLDKRRQTHTISVLVRKLSAAGNVDDASLFLKRALDQDWAVDHVTYTGFINSCYTNNRYALASEVSDKISKRITSFQENNAVV >cds.KYUSt_chr4.40257 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248526311:248526943:-1 gene:KYUSg_chr4.40257 transcript:KYUSt_chr4.40257 gene_biotype:protein_coding transcript_biotype:protein_coding MNKCCCTHAPKVVAMATPLSSPFSVTTLRAPLWHGRLHAQTPLLTASPLRASSGDDATTEPSAPTATTTTTPTDDDFEQRVLRMKSRVGPKKRGSAGARKRKAAAESQAVTLPPVPLREPRSALGLPVEFGFTAYSERLNGLLATVGLTALLLVELGSGKSLVKYHQAPTLFLQVYTIVAAGSVFVKYEKERISVWPAPAPPKAPASGGE >cds.KYUSt_chr6.16465 pep primary_assembly:MPB_Lper_Kyuss_1697:6:103564209:103565663:-1 gene:KYUSg_chr6.16465 transcript:KYUSt_chr6.16465 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDATTTTRTAPKQKHVVLYPSPGMGHLVSMIELGKLFAARGLAVTMVIARLPFEDTGARGPFLAGVTAANPSISFHCFPQVDLAPVHSNYPEGITLEVARASNPHLRDFLTSATPSPAVLVVDTFCGVALDVAAELRIPAYFFYTSGAEVLAFFLHLPVLHAQTTNSFRDLGEELLHVPGITSLPASHTPKPVMDRDDAAYQGFLSASLYLCRSQGIIVNTCRSLEPRALDAVGAGLCTPPGLPTPPVYCIGPLVKQEEVGVKRGGECLAWLDSQPKGSVVFLCFGSLGLFSARQIREIAMGLEASGQRFLWVVRSPPSDDPAMKFEKTPEPDLDALLPPGFLDRTKGTGLIVKSWAPQRDVLAHDAVGGFVTHCGWNSVLESVMAGVPMVAWPLYAEQRMNRVFLEEEMGLAVAVEGYDKDDLVVEADEVASKVRLIMDSDGGRLLRERTLAAMRQAKEALREGGESDVALARLVEGWMLA >cds.KYUSt_chr1.22993 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136356553:136357122:-1 gene:KYUSg_chr1.22993 transcript:KYUSt_chr1.22993 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGSNAEDDHVPGRRKYNPYQDLNVPHNYKNLYDLPTSPEFLFQEESAVQRRSWGENLTYYTGIGYLSGAVGGAALGLRQAAAGAEPGDTAKIRANRLLNACGSSGRRYGNRVGVIGLMYAGMESGMVEVRDRDDWINSVVAGLGTGALFRAANGPRSAAVAGAVGGVLAAAALAGKQLAKRYVPAI >cds.KYUSt_chr3.46866 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294485246:294488404:1 gene:KYUSg_chr3.46866 transcript:KYUSt_chr3.46866 gene_biotype:protein_coding transcript_biotype:protein_coding MNNELVRVTSASLISNELAVVPFTSGSAINDGFVHATSAGVRARGSRGLQMSPALSGIWDEAAQLYHVQGRLRSVLAAADGKEIQNEQLVRYLREARHQESQSEDLLSELVYYRIQEELEPGEGSRMDESPGQRHAPQDLQPQVQLFEEEEDSGIGIVDMEQAVGSNQGTDNSNSKPQRGK >cds.KYUSt_chr3.17954 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110399193:110406335:-1 gene:KYUSg_chr3.17954 transcript:KYUSt_chr3.17954 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDGDGAAHEGARGAGSASSFTLILRRHLHREQRRLRFAQPSFKPLPFTLFLKDAKAVLDQHKPGHRLPRDCCVEWARLIEGSRLGLLSKSARGKSTVAAIVDLEKGTPTSSGSSSFPQWVFRPLNMSASNGKWINGLQFSSLFWCWYKQAQPLPYVECFGQFTCGREQCQED >cds.KYUSt_chr5.39625 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250723967:250724830:1 gene:KYUSg_chr5.39625 transcript:KYUSt_chr5.39625 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEDFLDVLLRLREEGTAGLELTDDSIKSLVKDMIFAGTKTTSITLEWAMAELIQNPRAMAKLKDEVARVSNGNPTTEEDDLHRMEYLKAVLKESLRLHPPAPLLVPHESTAAAVVQGYEIPAKTALFVNAWAIGRDPAAWGDAAKEFQPERFLDGGGVASIDLRGNDYQLLPFGAGRRVCPAISFALPALEIALASLVGHFDWELPIGTRLDMSEAPGLTTPPLAPLRLLPKCKTLV >cds.KYUSt_chr2.3438 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20574189:20575634:1 gene:KYUSg_chr2.3438 transcript:KYUSt_chr2.3438 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLYTLLFVRAVRLYSTESLRLGWSSQQIARGGRPEIDRADNQARAGEKASAMGNCMGGSAKCANASTPFASKVIPGSSTSNSKAAQGSSSSSDRKKNATDEHAPAAAPPATASALKSFSTSDLRAATKNFGSSAYLGEGGFGCVYKGWIDEVTLAPAKPGATNAMMVAIKKLKKESYQGHREWLTEVTFLGELHHDNLVKLVGYCSDSDSNKLLVYEYMLRGSLENHLFRRGSGNQPPLPWATRVAVAADVARGLAFLHSRDVIFRDLKSSNVLLDTDHRAKLSDFGLARAGPTGGKTHVSTRVVGTRGYAAPEYVATGHLSAKSDVYGFGVVLLELMTGKRALDESRGLAAELLVDWARPMLVGDRRKVIRVMDTRLGGQYNKKQAQDVAALALRCLNNDGRSRPTMAEVLPCLEQLLKENTTKSSSAAAARSATPVHRDHRRHTG >cds.KYUSt_chr7.27166 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169842643:169843230:-1 gene:KYUSg_chr7.27166 transcript:KYUSt_chr7.27166 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPGGGPRSSSVCAVVGEEEEAAGLVGREEAGPAGLEEADGGFYGVLRVAGGGSVMRGVLGQWMSRRAVARCAAPVDGLAAGQLRLHARIGSGSARARSGPLPGSSCKFMEVLVTWWWLEGDVWRRCCRLHPPEMNGTDGCCILRRRMAPLQVLHPSFQCGEEEGGMLAHRVEEPCSCGWPWRRGLRAGKVCGR >cds.KYUSt_chr1.39966 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244651136:244662320:1 gene:KYUSg_chr1.39966 transcript:KYUSt_chr1.39966 gene_biotype:protein_coding transcript_biotype:protein_coding MWLIAIQAGSGDGDDDRGKQTWWKGIPGGVDVEPGAKVAPHRRKAGTASVVAAAAAAVLVAIAAAAHRTAGTSSVRTAAAAAALVAAATAAVAAAASRWEESGRLLEKRDPRHRAHGGDKDQRRREEAPEMLLEELLRCQIQEWYPPFRRHTVPTLIIPLPAAFLRYLAGKPAYPDPDAPDSPLPFLLPATTSGRTPFPPIHAHLPDPVSLLDRHTSDLIFGSYDDADDENPLRPAFPDLEAAVDAAIAELGGAALPKLNWSAPKDAVFMAADATARCTCFAEVAMLLRASDCVAHDLASARSSCHDFLRADGVRRNAAEETAPPNGGIGSNGSGSLHDPSVTHNEGGKHAAAAADSDVSESSSSDDTWVDDGFQYYLALRKWYPGLRPESEFRCFVRGRTLVGVSQRDPSAYYPSLPGWRVEVQPKIEDFFEDVVEPQFPSENYTFDVSVRADGRVKLIDFNPWGGYTLPLLFTWEELEEEQRGEDELEFRVVMQQGAVRPGLMTAVPYDMLDWGDGSGWDVFLKKADNEFGKQMATLGEDSSRLGLEPEGEMHGEELHQVGGLGYVGAATSEAGADPDPCEMPIEFIYTSPDTSYSSRLLPGNTIDVVQRLSCKLLTEPMAQETQPHLQPPSSWSEIPMDLAVLVLGLLPAYADRARFAAVCPQWRTAVRQPLPPPLPLLALPDGTFYSHPYSKLFHFPGCGFAEYKSVCGSWLVFPRDDGCFLVNPFSRATVTLPALSRVRLRPPNAVAKWAEEGGEKVPAPYVTWLHINESKMLHISKLILCSPNLVAALVGIGDTSQILMCQPGALSWSVRAYDRCKGFEDMAFYQGKLYAIADDENLLVVNINEDHRTGDPQVSRIGQVIKGDPWYPYPEGHIIPCKKIYLVESCGALLMVRRAIWCRFVQPGVSGEVVAGQSEFEVFEADFEHSRWVKVSTVGGDQVLFLGRRCSRAMSVSQYNELSGDRIVFLDDDEQNRVDYLYDVEYSSCSAYDIRSGAVRSCHPKMSWKRCKEMDLAAWLFPQDG >cds.KYUSt_contig_686-1.349 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:2228414:2230414:1 gene:KYUSg_contig_686-1.349 transcript:KYUSt_contig_686-1.349 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCYDVAASMLLCAEDSVDVMCLDEEEAVAPAGKRRGRSPDADFGADLFPPQTEECVAGLVRREPEHMPRADYGDRLRAGGVDLRVRGVAVDWIWKVYTYYNFGPLTAYLAVNYLDRFLSRYELPEGKAWTTQLLSVACLYLAAKMEETYVPRSLDLQIGEERYLFEAKTIQKMELLVLSTLSWRMQAVTPFSYIDYFLDKLNGGNATPRSWLFQSASELILLVARGTGCLGFRPSEIAAAVAAAVVRDVEDADLAKACSHIDKARVLRCQQQLMLHHRRSAMASSSYSPAPSSPVGVLDASCLSCKSDDATTIAAHGSCFHDGSPVTSKRRKISMTGQSAQ >cds.KYUSt_chr5.2874 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18864680:18865180:1 gene:KYUSg_chr5.2874 transcript:KYUSt_chr5.2874 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKCVFSGTASMESDVYSFGVVLLEIACCRRPAVVRDDDEGAVIHLVQWVWEAYGQGAILEAADVRLDGKFVEQEMERVMVVGLWCGHPDPVLRPSMRQAVSVLRLETPLPSLPVKMPVPAYIWPPLADDSFGSLGNTGGISSGDTSTTHSTRNKVELMIVNHDI >cds.KYUSt_chr2.43148 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268544240:268546803:1 gene:KYUSg_chr2.43148 transcript:KYUSt_chr2.43148 gene_biotype:protein_coding transcript_biotype:protein_coding MIMTTVAFPFVSSFHRPRLRPCPRRAVLLLVRSASSSSSSWEEREETRWLREEQRWLREEQRWLREESRWSAEREALLSEITALHLRLRALEPLAKPVAPAPKPKPAESVAPPPPPAPAARGSSPPPPKPAARVPPPPPPPPVPAPAKVVEVRKEVIVEEKAKPKAGSSSGKRRALRVGSEGEEVRLMQEALEKLGFYSGEEDTEFSSFSTGTERAVKTWQASIGTAEDGLMTSELLERLFTGYIGEDMKKVGINGALVQAVTEAAEVPQTVVKEDFNKHRVYLLGENRWEDPSRLTNRNKPISGGATTASTKQCITCRGEGHLLCLECDGTGEPNIEPQFLEWIGEDTKCAYCEGLGYTICDACEGNKTVKS >cds.KYUSt_chr3.1526 pep primary_assembly:MPB_Lper_Kyuss_1697:3:8616832:8624749:-1 gene:KYUSg_chr3.1526 transcript:KYUSt_chr3.1526 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGPPAPAPQPQAAPAQLSGGSAAAAAAGQKLTTNDALVYLKAVKDKFQDNRAKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNTFLPKGFAIRLQDDKEKKPVDFVEAINFVNKIKSRFQRDEHVYKSFLDILNMYRKDNKSIQDVYHEVAILFCDHKDLLEEFQHFLPDTSVSQHAETAPRAGLVKREAPLMPPVGRSEKPRAYPSHADRDTSVDRPDVEHDRQRRRPDKERKAERDRRDYERDEKDVEHDSKDLDVGLRKRKPFPSANLTGAEAQQGGLPENHGINGASASSYDNKDALKSVYAQEFHFCEKVKEKLEYDAYQEFLKCLHIYSQEIITRSELKNLVNDILQHYPDLMDGFNEFLDHCENIDGFLAGVFSKKQPGRLVKTEDKERDKEHEREERNRDRDREREKERLKEGASQKPSVTKDKYLSKPISELDLSNCQRCSPSYRLLPKNYPMPPAGNRTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPESPIRMDEHLTPLNLRCIERLYGDHGLDVMDVLRRNASVALPVILSRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKSLSVKSLLTEIKEINEKKRKEDDVLIAIAAGNRRPIVPNMSFEYVDSKVHEDLYKIVKYSCGEVCSSSDQLDKVMKMWTTFLEPILGVQPRSHGSTDADLIKPKNGITKSSIATLGESNTTTDGVAAQQGHGDESKQQDQAPSGVVRLARLGKGVASDSQNGSHDADRTARRDDEASNIAPNGRVQAAAFITDEMSAVSTQNMPTERSAVQHNNIKANSEITPGMNASRSSHAGVSVAGGARASHQVSPSIEGGETGRPGTSLNGGGTNEGNKGRLFNEPAASHNISKVEREEGELSPNGDFEEDNFVPMEDGASKTKEGSTSRTSKVRPGEVDTEAAGENDADDDGEESTQRSTEDSENASEAGEDPSGSESGDGEHCSREDHDEEEDMDHDDQDTKAESEGEAEGTTETHDVEGGISLPLSERFLYSVKPLAKHVPTSLHDREEKSSHIFYGNDSFYVLFRLHQMLYERLLSAKTNSASAEKKWRTSKDTNPPDLYAKFLNALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHDESMYRFECCSDPTRLSVQLMEYGHEKPEVTAVSIDPNFSSYLFSDFLSGLSDTMLSDGVFLGRNKRKNSSSDEASDSLKTMDGVQVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRRLLASGIVPDKLQTSKKDAAKVQRFHSFLAKP >cds.KYUSt_chr2.25031 pep primary_assembly:MPB_Lper_Kyuss_1697:2:152988232:152989867:-1 gene:KYUSg_chr2.25031 transcript:KYUSt_chr2.25031 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRKTPGAELHKDPDTIQPMLQVEDDLLDIMWRPPERLDLFPCLLYLIDVQRDTWVPRSSRNDARRDQAHKAYTTAPKRIDARIDAPLRKKTFGAQLCSFVSV >cds.KYUSt_chr2.15757 pep primary_assembly:MPB_Lper_Kyuss_1697:2:99176107:99185614:-1 gene:KYUSg_chr2.15757 transcript:KYUSt_chr2.15757 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEDQAAAAALLGGDPAAFDALLSTLMSASNADRAAAEAAFHRLRGSHPEPLALRLASSVSTPATPAELRAMAAVLLRKLLSPTPSSSDSSSPTPPPPLWPLLSPSGQNALKAHLLTALQSDPPKPIAKKVCDAVSELAALLLPENTWAELLPFLFQAASTPDAPNLQESALLIFARLADYIAESLLDHLMTIHNLLAAALAHPTSPDVRIASLSAAVNLVQCLPTNADRDKMQDLLPAMMRALTDCLNSSQEASAQEALELLVELAGAEPRFLRRQIADVAGAMLQIAEASQLEDGTRHMAVEFVITLAEARERAPGMMRRLPQFVGRLFQVLMQMLLDVEDDAAWHTAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAVVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHPHPRVRWAAINAIGQLSTDLGPDLQVQYHQKVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVGKLLVLLQNGKQMVQEGALTALASVADSSQDHFKKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMALQGTPMETDDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSAESDDEIESDDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGQAQGRDESYVKQLSDFIIPALVEALHKEPETEMCSSMLDSLNECMQISGRLLDENQVRAISDEIKNVIIASATRRRDRSERTKAEDFDADEGELLKEENEQEEEVFDQVGECLGTLIKTFKASFLPFFDELSVYVTPMLGKDKTAEERRIAICIFDDIAEQCRESALKYYDTYVPFLLEASNDDNSDVRQAAVYGLGVCAEFGGLTFRPLVGEALSKLNNLIRHPEAQHPDNIMAYDNAVSALGKICQFHRDGIDGAQVIPAWLSCLPIKDDKIEAKVVHDQLCSMVERSDTQVLGPHSQYLPKIVSIFAEVLCNGKELATDETTNRMINVLKRFQQTLPPDFLASTFSTLQPQQQLMLQSILST >cds.KYUSt_chr4.11403 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69354074:69358705:1 gene:KYUSg_chr4.11403 transcript:KYUSt_chr4.11403 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIATSLNIPDDSAAGDDPDAAATHAAAAATSSPSPRTPPPPPHSAAAEGVKEDLTELSKTLTRQLWGVANFLAPPPGEASPPPSPSSAESQSTAAGTPPEIAGIRTDFSEISGRFRTGISRISSHKAVSGFSTIASNFFAPDEDGEEEELLEAPNDNEWEHDLRLNREEGEHEARHADVARHEWEEKVRLGAGDDEARHEWEPRVRHHHQVEDGEVWHDDGPGLDGARVRQVQEEVEEEWDVVGITDEVLTFATNIARHPETWLDFPLLPDDEESDGPFSYFDMSDTQQEHAMAIEDLAPTLAALRIELCPIHMTKECFWKIYFVLLHPRLKKHDAELLSTPQIVEARAMLMQHQSKHETEQLRRHKDDFGLHSEDDASKDVTEAFSSMRHHAAPVIPITDLEVEKHAIQVTEVAVVDKSVIKEELTEEDTKPSNVLQEAFDDDTDDWFDEEAALAGHTCILMGDEEDVSFSDLEEDDDMK >cds.KYUSt_chr7.30989 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193018267:193022661:-1 gene:KYUSg_chr7.30989 transcript:KYUSt_chr7.30989 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRRAASSLVSRCLLARPAAAPSALRRPDGTRGLLPGVLQRFGTSAAAEEPISPPVQVRDTQLLINGKFVDAASGKTFPTLDPRTGEVIARVAEGDAEDIDRAVAAARKAFDEGPWPRMTAYERSRILLRFADLIDKHNDDIAALETWDNGKPYEQAAKIEVPMVSRLMRYYAGWVDKIHGLIVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALYVSKLFHEAGLPDGVLNIVSGYGPTAGAALASHMDVDKVAFTGSTDTGKIIMELSARSNLKPVTLELGGKSPFIIMDDADIDHAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFVEKSKARAARRVVGDPFRKGVEQGPQIDDEQFNKILRYIKSGVDSGATLVSGGERLGDKGYYIQPTVFSDVQDNMKIAQEEIFGPVQSIFKFNDLNEVIKRANASRYGLAAGVFTNNLNTANTLTRALRAGTIWVNCFDIFDAAIPFGGYKMSGIGREKGVDSLKNYLQVKAVVTPIKNAAWL >cds.KYUSt_chr4.48381 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299633604:299635920:-1 gene:KYUSg_chr4.48381 transcript:KYUSt_chr4.48381 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAGLAQPPSPDAAAAAAAHVAHKIPSGDGPYARAKHYQLVEKDLDASIAWFWKAIETGDKVDSALKDMAVVMKQRGYLSEAVDAIRSLRHLCPGKQSQESLDNILLDLYKASGRTKEEIELLKRKLRKIYLGEAFPRGKATKRARSHGRKIHVSVQQETSRVLGNLAWAYMQQRNYMAAEAVYRKAQMVDPDANKACNLALCLIEQRRLADAELVLADVIAGAYNAGGREHGGKIVKKAEELLERIRAETGGAGGGEEAGSEDGAEADEMEELLDEVVRQWTAPYRRSDRRLPVFEEITPLCRQQMAW >cds.KYUSt_chr5.38434 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243000318:243005385:-1 gene:KYUSg_chr5.38434 transcript:KYUSt_chr5.38434 gene_biotype:protein_coding transcript_biotype:protein_coding MRINYGEKEITNGTGLRASAVLNAPQVEIEGPDRTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPEATDARFGGKHGKQFMHQDGGQILTSETSQHSTISDHQLLHYSSTAKRRVVLVAEGSKDQAEGWMDVQDL >cds.KYUSt_chr5.8802 pep primary_assembly:MPB_Lper_Kyuss_1697:5:55809204:55810691:1 gene:KYUSg_chr5.8802 transcript:KYUSt_chr5.8802 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRDEPQQQPLHILFLPYFAPGHLLPVADMAALFAARGATCTILTTPVNADIIRPAVDRANDANLDVADSQKVVISVLPFPDVGLPPGMENMKSITPSHGVAYIVKFVHAAQLLREPFDLFLATSRPRVDAVVTDSFFAWSADAAAAHGVPRLVFLGSSVFARSCSESMLRNNPLETTANGRDDPDALVALPGLPHRVELRRSQMLDPETRPEEWAFYQSSNAADERSFGEVFNSFHELEPDYVEHFHKTLGRRAWLVGPVALASTDMPVAVESSGCLPWLNTKPVGSVVYVSFGTLTSFSIAERREIARGLALSGKNFVWVLGGSDDDDPPEWTAEGFAELTGNNNRGFLVRGWAPQTVILNQPALGGFVTHCGWNSVVEAVSAGVPMVTWPRYADQFHNEKLVVEVLKVGVSLGAKDYASAMETHVVIPGEVIAGSIKRLMGDSLESNSIRNKSKELGVKARITVEKGGSSYDDIGRLMGELMAGRSAVKH >cds.KYUSt_chr1.28723 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173660394:173660690:-1 gene:KYUSg_chr1.28723 transcript:KYUSt_chr1.28723 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCSILVLQQRRTPLLCLLRRLLRLSDQKRKPVKVPAAIKVCIVDTLRSSVSTGGCPIGNGKASLRQSSAGESFLWACNGKGTSDTILVWHIATNIL >cds.KYUSt_chr7.11012 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67708248:67708850:1 gene:KYUSg_chr7.11012 transcript:KYUSt_chr7.11012 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARYNVRFGSAVIDTTVTSDTAAADEWVRSVRASNADGRGLIVGLDCEWKPNYHSWTTSKVAILQLCVGNTCLVLQMFYASRVPAAIRAFLGDPTVRCVGIGVGEDVAKLADDYGLVCAAPVDLEARCNRHLGIGGGLGRTRLGLKGYAREVLGLTMEKPRHVTMSNWEKRQLDVAQVQYACIDAYVSYKLGERLLDN >cds.KYUSt_chr3.25026 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155321409:155321762:1 gene:KYUSg_chr3.25026 transcript:KYUSt_chr3.25026 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVLAVLAVAAATAVAADGDVKCAECGTGTVPALPPPPPYYYYSPPPPASYPGVTGCPPPPGGYISIGGSPPGRMYPQDPGFMPSSAPPMHGRSFAAVPFTVCALAALATLRAFW >cds.KYUSt_contig_2686.62 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000449.1:341612:343220:-1 gene:KYUSg_contig_2686.62 transcript:KYUSt_contig_2686.62 gene_biotype:protein_coding transcript_biotype:protein_coding MMERMWRRAGAGDEDRISDLPDALRLQILSLLPLKSAIRTAALSSRWRALWEHRWPDPSSLRLRLPAPAQLAAAIDRRGRRRVDVLSLAFHAGQLAQPDLRRCLDYAAACGAEDVQLRLDGTTPRGARRRAGVLTVHFPVGSPLLARLSVRGLHVTASANAMVATLEVLHLHSVSITDAALRRVVAACPCLRDLDLRYCRHLRRIDFTAVGVGNLRTLTVVDCSRATELRVPVAPFLRSFRFSGPFLCSNLFTGVTEGFQQLYLCSGGPETGLPPTNLPSAIPRLANLTTLTICSIALQYVSASVATFIKGTSLSRLRELQLLMFGMANSNLADIFSFLKTCSCPHLERLFVQLPTNTHDSFTKNYLDVAEEEPPEGGLENLELVKMTNFKGHHNEMRLVDFLLRNASRLSKLLLLAPKEDHPQGIQKTHSDVLPHFQKGEILDKASASTQIFFSEPGSSQVQPLHSEIFIRF >cds.KYUSt_chr4.9219 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55661620:55666043:-1 gene:KYUSg_chr4.9219 transcript:KYUSt_chr4.9219 gene_biotype:protein_coding transcript_biotype:protein_coding MALRADGPSVAIVGATGAVGEEFLRVITARDFPYRGLRLLASERSAGKRVAFEGRDYAVQDLAAPGAFDGVDIALFSAGGSVSRAYAPQAVACGAVVVDNSSAYRMDPDVPLVIPEVNPEAMADVRLGKGAIVANPNCSTIICLMAVTPLHRHAKVSRMVVSTYQAASGAGAAAMKELELQTREVLEGKAPTCNIFSQQYAFNIFSHNAPILENGYNEEEMKMVKETRKIWNDEDVRVTATCIRVPTMRAHAESINLQFENPLDEDTARQILRAAPGVTIKDDRASNRFPTPLELLNGRLEIFVCGDQIRKGAALNAVQIAEMLLK >cds.KYUSt_chr5.632 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4561704:4562766:-1 gene:KYUSg_chr5.632 transcript:KYUSt_chr5.632 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLHQGCNQRILHFDIKPHNILLDYNFAPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSVEDQNEVYLPEWIYEKVIAGQELELSLEMTAEENEKVLQTQHSYSKYKRKARDYHGR >cds.KYUSt_chr2.42948 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267388350:267389506:-1 gene:KYUSg_chr2.42948 transcript:KYUSt_chr2.42948 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMATVAKEAPVTAERAVHADLESRISKPYLARALAAVDANNPEGTVEGHEHGQKTVLQQHASFFDLDGDGIVYPWETYQGLRSVGFNIILCFLGSIVINAVLSYPSLPGWIPSPLFPIYIKNIHRNKHGSDSSTFDTEGRFMPVNFEAIFTKYARTVPDKLSFREMWRMTEANRMQCDFIGWLTSKAEWTMLYVIAKDDEGFLPRETIRRCFDGSLFESLAQQRREAHQKKQ >cds.KYUSt_chr5.37223 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235399672:235400067:1 gene:KYUSg_chr5.37223 transcript:KYUSt_chr5.37223 gene_biotype:protein_coding transcript_biotype:protein_coding MASFADESFPPLTPIKTAPSAPPSPSAADAASAAPSQATSTTTTLESAAEEKTTKPVLENQKEPATPTSEESRLRTPEVCPPAPVPRLPSVKRKSRPTSTTTTTAGAYFVVPRDLSAVFRTMPPEKRIRAS >cds.KYUSt_chr1.24911 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149109058:149109942:-1 gene:KYUSg_chr1.24911 transcript:KYUSt_chr1.24911 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWVRSLSCRSYGVADAVVAPSPTPSKKMSQTFPVSCAAAEDVRDSVAPPARHASWKHEKPPRRERRRGGQDERERQQDARPRARKKPKQAFMPSPSPAAPAPSAFLTMAELPEGHSSRQVVELIFSSGWGPATQAPPEVEAMFRVHSAARAVARFEDARAAARAHGAAARCGADGNEMMRFQCRPAAGAVFGAGVATCQLGPCSSAVRTFACSGAAHARAAASFSPSPGAGRRAMLVCRVIAGRVRPAHGLDQHGRHHRSPDYDSVDMGDGELVVLDSRAVLPCFLIIYKV >cds.KYUSt_chr4.46941 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290448155:290449588:1 gene:KYUSg_chr4.46941 transcript:KYUSt_chr4.46941 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASAEQKTAASASAVPPLRLIVVLVLVVWISVLYYLTVMADGGAAQLVAAGRSADPCRGRYIYVHDLPPRFNADILRDCRKTNDHWPDMCDFVSNAGLGRPLADPLDSVFTGENGWYGTHQFALDAIFHNRMKQYECLTNHSAVADAVFVPFYAGFDFVRYHWGYENATRDAASVDLAQWLMRQPEWKRAGGRDHFLVAGRTAWDFRRSNNVSPNWGTDLLVMPGGREMSVLLLECSLVHEREYSVPYPTYFHPRSDAEVRRWQDRVRGAERRWLMAFVGAPRPDSPMNIRDKIIAQCEAAPGDCTQLGCAFGSSQCHSPDKIMRLFQKATFCLQPPGDSYTRRSVFDSMVAGCIPVFFNRVSAHLQYKWYLPEYHDKYSVFVREEDVRARNVSIRAVLRAIPPETVERMREEVIGMIPTLLYADPRSRLESVRDAFDVAVEGIIGKVAEARASASRPHNHDSWPWRGLGSGWKK >cds.KYUSt_chr5.5994 pep primary_assembly:MPB_Lper_Kyuss_1697:5:37234304:37234873:1 gene:KYUSg_chr5.5994 transcript:KYUSt_chr5.5994 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHYPAHHHHDFLEVEAFLADIGFGYFSEVPPSQDNHVVTSPEEPVVTSPEDGTSSGSGGTVASASGAGDDDRGGDRYRGGRPGDDERRLRRKISNRESARRSRARKQQHLDEQRAAAAVLRASNRDLAAQLRDARARAALVALANARLRAEGQALGRRLAAARRTLALMQLYAAYGSTPADSACMR >cds.KYUSt_chr5.35625 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225141283:225146776:1 gene:KYUSg_chr5.35625 transcript:KYUSt_chr5.35625 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRVKWLNHYSSAQTILIVGDGDFSFSLALATAFGSGENLVATSLDSYEVLAHKYTKAQSNAMELKRMGAKVLHGISAKSMMRHSYLETRRFHRIVFNFPHAGFNGKEYEMHVVMFQKQDYPGYNQKRGDGAKSNQTFPLGDCSTFKFGVKPNEGQEDSFCDDFTQALVCVGDSQIPSLATTNKNKNHRDAERALKTGQEMASIHVQLRVGEYVYNHLRKWRQRWLTISRLRDLSGAQWCEDTKCIVLEAEHYCGRVVDHPNDAEFLNVPVANYDEMHTIFSFGLATGKYAVGSSEPLGSAAGNPAPEDADTQESDTVKLDGAPEKAGNAPEKVTGGKRKRGAFADDELVAFTNMIVAVKDVAQAIRDNKPTDMHPDMYNVVMDMLGFAEDDLMAALSHLVDHKAQGSSFVNMIEPHRVLWLRNYLGKFHSKV >cds.KYUSt_chr3.41153 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259741179:259742651:1 gene:KYUSg_chr3.41153 transcript:KYUSt_chr3.41153 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGTPVRWPRVLAPAHLAGAIRRQKNPREAVHLYDAAPRWYPRSSYRHSDAVHATLLAAAASSPTLLPSLLRRVLRRSPSADALLASSIPHLPPPAVLSLFRSSLPASPAPSWSLSFSATLRRLLSRGHLPEAARLLQDFQGCPELSISSEDLTTLITELCRVNRPDLALQVLEEMPNQCLAPERGAYRAIVPALCDAGMLDEATHVVYSMLWRVSQRGCDGDVVVYRALLVALCAAGRGELAEQVLDKVIRKGLRTPGSRRSLRVPMLAVLSIEDARVAIDRALVVRGGRTVSSFESLILDLYDEGRLNEAGNLFRDMGKKGFRPTICMYEAKITALCREQRVDDAIKVLEEELANNGLVPTVTTYNLLIKGLCDSMQSLRALRYLKRMDKQLGCVAGKETFSILVRGLCSESQFVEAAGVMERMVKSRHRPDRSEFSNVIEGLCSVGRTYDALLWLEEMIDHGETPDVHVWSSLVSSALGVAETATM >cds.KYUSt_chr6.31808 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200988111:200988807:-1 gene:KYUSg_chr6.31808 transcript:KYUSt_chr6.31808 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGGGVDQSSCRVLPVIDEGPESEPEAGPGSDPEVMKAAHAGTTVTERRKAIVARMRGLLRRAVARSSPSVTPHQSKQLRASTVAATARKWKRAVSFRTRDQRRPAADGMSTMAASSVCSSRNSLSSRDATVFPSPSPARTMASTMKNHQQQHHAHWITTDSDFVVLEL >cds.KYUSt_chr4.53724 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332395396:332395881:-1 gene:KYUSg_chr4.53724 transcript:KYUSt_chr4.53724 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSLWHYLHRAGVNFSFSEVFELTCDGKNPNGPFWDHVLGYWSASKVRPEGVLFLRYEKMLVDPVGTVRELARFLDVPFSAAEETAELPMEIGKLCSIDIMRGLQGNRIGSIGQFKFAHQSFFRKGVVGDWVNHMTPEMAHRMDAIVEEKLRGSGLTFTS >cds.KYUSt_chr4.11325 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68859933:68860697:1 gene:KYUSg_chr4.11325 transcript:KYUSt_chr4.11325 gene_biotype:protein_coding transcript_biotype:protein_coding MWMSTVPHPGTNQNSMYVLDRFPGKANGRCFEVLEPVSRHRELGSNLMMPIWRWRVLPPPPFIRQPGFGPSSITAYGTVLDGNGCSTIFVSCDDGIGTYRFETAHLDPSSSLGWSPSEEWSYVGAWKLPFDGRAQYVSEFNLWFGFFDPKHLCAVDLSAIDVDGERPPTVLHVGQDLNLPDEEFWIPIQLELVNLGDGKFLIVRIFEIDETSEQFAVFTGIEMTAGVGDDQSLKVVKHKCARYDFGYDSINWVL >cds.KYUSt_chr1.4100 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24935419:24936712:-1 gene:KYUSg_chr1.4100 transcript:KYUSt_chr1.4100 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMDMDKEFYMAGMGMVAAPPAMSPAGSSAVTTEPAIAAVATASEDEGDLRRGPWTAQEDMLLVDYISRHGEGLRRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILELHSRWGNRWSKIAQCIPGRTDNEIKNYWRTRVQKHARQLRCDVNSDRFRDVVRRVWMPRLVERMQADAAAGAGADVPVTAPARTMSSPAATSQYHNVDHANIAELSRTVAVTMSPDTSGTPRSSLSTVETSQGAYFSPWGAATANVHSTPVECAGGGGLAIAGHDHVIQGDELSGSWSELLAATNLPEFELGDFDDNLWSLEDVYLQQSC >cds.KYUSt_chr5.36665 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231819288:231819656:1 gene:KYUSg_chr5.36665 transcript:KYUSt_chr5.36665 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSLSAAPPPPTVATAKVVHVDGPIVQFATPVTARDALGSDAASSSSFLCSSDELRFDLPARALATEEALQPGCLYFALPVSMLRRPLSGQEMAALAVKASSALATLANSCKGRGRRSG >cds.KYUSt_chr2.8922 pep primary_assembly:MPB_Lper_Kyuss_1697:2:56289986:56290705:-1 gene:KYUSg_chr2.8922 transcript:KYUSt_chr2.8922 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRVLASIPCFFCVSVSRRGRTARLVLWGGEARAARHRTQAGQVMLDFAGTVVCRADGFFIGRPAPVLAIEDRLVAGATYLVLPVDRLPQGYDAVTAASLAALSYDRASPGGSIAGGPKSPFEYVKGDDGRTVIKVTPEFLVKAITARPGSCGIAGEAEAGGEGEGGACGGALCSTPELRKHYEQLVGAGRGKPYSPRLDPIKERKGRRLMPVTVSPGRLSLSLSPVRLLGLAKTER >cds.KYUSt_chr3.26873 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167658230:167660035:-1 gene:KYUSg_chr3.26873 transcript:KYUSt_chr3.26873 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRRAAPRPDFASHPSDLELINTYLIPWVNTGERPWNFIHDADVYAAKPQDLARNFAPATASDGLEGWYFFSTLRSKNRRGQRKSRTVGSEGDDGCWHSERAAKALYAGISHTRQIGYRQTFSFATKGDGRLLRSGWLMAEIGLNSDGSEDDELVLCKVYRSPRVKRSTAAVEATAGPPRVGRGKAAASPDHSAPCSQQAGPPAHLPSASTSGTLSMESDSEQDSTSQGGGVIATSPSATPPRRPSPPRLIAPKPPSEPLRATQQIPSSPIRRTSAAVAAPPPRPDFACHPSDQALVQSYLIPRIASGLHPCKFTHDADVYAAGPDALTSELPPAISGDGEKAWYIFTTLPAKSTHGQRRPRTVATGEGCWHSEAGVKPVVDGDHHQIGWRQFFSFMTKDAAGRSTRTGWIMVEIGLEQEGLTDELVLCKVYRSPRKGPAPTALLESTASAAASGRSKRRADDNNSGAALTLGTAPGRAKESTATTPPSGRKRKTTGDSSPGARGPARGVLKLTTPPTSGRKKQQGDKKKARLCTRCRIETAESDSGTSEDDDTEDDETRGGSGTGLLEHDSITDESAAPHGHEAGDSSASARTFYRFV >cds.KYUSt_chr7.29395 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182894180:182894560:-1 gene:KYUSg_chr7.29395 transcript:KYUSt_chr7.29395 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHFGRKISREEEAAVKPTKSFRYEDYSTRRVFLRSYPLQWESPAPGGDGKPGQVYATADEVGEDRCDRRWVVAVVEWGEDKLLLLRRAKKRLALYLLGCHYGSGRPALKYKSGGSCTTAMLKSM >cds.KYUSt_chr2.13083 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83030562:83041361:-1 gene:KYUSg_chr2.13083 transcript:KYUSt_chr2.13083 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSVMFSGNGEVDACVGDASGIGEEQVCVGETSVKGDDDACKGEASVVLSGKSRNAVKRRGTAEYPCVKRLRERRLLSFLHDQGFHGAFQATHACWFTSIDRCCRLVDKTPVIFRVPHIRLLVEQGRWADALRYLNAYLPPLVENHTRSRRAQIFYNFLWMHYRFANAVAGNRENQEYLERRYGKNSRSSTLAELRFRRIAYTILASEPHQLVATYDWNQVRQHASFLVDYLANTTPELRRSMPLPSRYMMPQHVLPIGSGRHREFAHFINLTLALLQFNLQSLHWRGHRLVKKQGPKKPDTEAILVALKSLYRDPRAESNNDALEMLVDFLDQTFQAGLRRGCNLSYASQPSVNEGSPDAPDVRPMLGSSTDNAEGHATSTVHFSDSMQEYVPVSHGLTIESRAYLDSCTGCVFRKRIRDDAEELLAKIGRNHDDWTTPEPTSTPILKKRGMIKLNDEDMREAKKSLKEKGIKSEDVKNLPPIEDICEIIPPSSMIEVNSLQRFTREDIPYSKPPAQCLDEFDNYIVKQENFNMRVENHLMENSRAISELHDIVERTSNDVKMLVKHFHMVQTQIDQLTKGQNDLLGNNSKEKQAYEVTTRGGVSTRDPLYPEGHPKRIEQDSQRIEPSAPSKKKKKKKHKNVVESSEPVNDPNSISISMLKPKVQVPIVVPLDYKSPNQIFILFVENFREEMKKFNFGELFKKGTTSTGRPSRAATRLRRSYNEDIIAPSFTPEEDNGAPNASFFPCYDFLRNAGILDDFFTLVNRAGLTTYVEDEREQYYMLTKIFVESFRFNNTQYEPTVAFKIYGNPVTMELEDFCRALDIAPVGTTSRIDDNPRDLLELYRGITDDDCRTIQRGKIRNIQLPAIKYFAYYIATSVLGRENTSNISSYHLAFLNIALTGRTSYHLGSLIAHRLSNRGPIFGGTIALRVLTYLRLPLDPNDVPLIPRRLDIAAMKSHHFVTADSTLDNMVYRMLFADGDEKEIPLPQPGLFSIDRQSWSCTKEEVDEHMKIQDFHQQHDSEDAEASHDYTVMYPGASSSTCPEYDPSSSYYGDTTSWSRWE >cds.KYUSt_chr5.37691 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237991490:237996377:-1 gene:KYUSg_chr5.37691 transcript:KYUSt_chr5.37691 gene_biotype:protein_coding transcript_biotype:protein_coding MPACSPGFAVLMPHGIRSPAMDVSVVTWWTHFERWTVHANTPRPEFSFYLCQRWTGPRCRSIHGSGMSAAKPGAAATEQRQEGSAEEGEEQRLRVVLRHLQVEAGVLERLVYKHRNQHRGAAYFQYLIKVRRDLKLLLGSNVAEVINAAFPVIACRKPANTILVPNRQGKKKPGANHSHYKRLLGVARLLSQMLIDVVSLYNKVTDLTDRKQAVKITIDKVQAFREYYPSNNDPSTTLECAWVKDKFVLHERNKASSEKTQDEDDKSCAPDSSIQYETLGPVSEEMENLDGSNSPAKQQDASPADQPDKATPCGDAADSYSERPAPNENTTVPLPDALAVPVPVHSTPRTEVKADTRKRVAFIAVGKPKVTVTRPETTSSIATKKQRVDIIPPAPADRAFLTWKEQPTV >cds.KYUSt_chr1.41187 pep primary_assembly:MPB_Lper_Kyuss_1697:1:252642821:252643186:-1 gene:KYUSg_chr1.41187 transcript:KYUSt_chr1.41187 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDVRGLAEFAVRATAQAIWDAGFDANELYNIEDISEADERCFKDCGVKLQDFSKELESRTNLADVRTFLDDAKTKNMELNCDVCHHGDDKKKADDISKGNMSEKLMVVLPVLIDRALLK >cds.KYUSt_chr3.18394 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113074535:113078877:-1 gene:KYUSg_chr3.18394 transcript:KYUSt_chr3.18394 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPVGVGGGGGGGSDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSTTRSTIIVPIAGVAWFLDLFDYYIRTDERDVFSKELRLDTKVFYFDIGENKRGRYLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINDEASRLYVLPNHPSQQHLEPPERLPGLSDDVGAGFIAGHGSQSASVPEVDVERLVDVPPIEEFAGMGLSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVEPSQR >cds.KYUSt_chr3.48921 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305638142:305640640:1 gene:KYUSg_chr3.48921 transcript:KYUSt_chr3.48921 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRAACGRRIEHGRDRNAAAASAFRDALLSARSLAEQNVAHREKLNGLKDQLRGVEAGLAEALSLQQKKGSECKLTSESISNATTTNEQLRSLVSNQRARRDEYANATSHQLQAIEALEANFDAAGKKNLDEAIMWYRKFLGFQVVAGEGNESFSDMVQLQKQKISVRHIKHHLSLASLLLRFVYDQIAML >cds.KYUSt_chr3.6253 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35800854:35805166:-1 gene:KYUSg_chr3.6253 transcript:KYUSt_chr3.6253 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVLGRHKLGIDLAGFEKANVWGTDVLISPSALLLIPFHLQMRMQGCDASVLISGSSSERSAPQNFGLRGFEVIDDAKSQLEVTCPGVVSCADILALAARDSVDLTGGPSWAVPLGRRDGRVSSASDARALPSPADPVAVQRQKFAAQGLTDHDLVTLVGAHTIGQTDCGLFRYRLYNFTATGNADPSISPAFLQQLRALCPPNGDPSRRVALDRDSAGSFDAAFFKNVRDGNAVLESDQRLWGDDATQGVVQKYAGNVRGFFGLRFAYEFPKAMVSLSSVAVKTGRQGEIRRRCSRIN >cds.KYUSt_chr1.2546 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15178766:15179857:1 gene:KYUSg_chr1.2546 transcript:KYUSt_chr1.2546 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGRKGSKKKAVDPFTKKQWYDIKVLLIFSQRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLNNDEDQAYRKIRLRAEDVQGMNVLTNFWVLFERVDDEFC >cds.KYUSt_chr5.19776 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128389866:128392655:-1 gene:KYUSg_chr5.19776 transcript:KYUSt_chr5.19776 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSPPAAAPPPPYRRSSGGYIRRANAVLYETSERVFPLARCASSSTDHARTSSDDFNIANGYGHFLIKSTSDLQTAVSSCFGKAFVVSSAVMLVLPPSCFAEQCEPGYSLPNMPLLFAIAMVGATVGGLLARQRKGELKKLNDQLRQINASLRRQAKIESYAPALSYAPAASKVPESEVIVDPHKQRLITYLRTGKNYLRNQAPDKAFPEFKAALDLAQSLGDHVEEKKAARGLGASLQRQGNYKEAIKYHSMVLSISKMTGEDSGVTEAYGAIADCYTELGELEKAGKFYDEYIARLEND >cds.KYUSt_contig_3510.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000679.1:107803:115611:-1 gene:KYUSg_contig_3510.14 transcript:KYUSt_contig_3510.14 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPAPYVDKSHFYAMIDYSINANPGSLESDLVQAIQDGDVDNLKEMVSEMDQEERAELAHMDICGSSLLQLAVYLAQLEVCKYFVEELGFDVNAGALCGGNEGVVRLLLSRGARVDIAVAHGTPLHIAASYGKTSVLKTLLDHHADAGANVNYANPNTPLVVATMRGLADCIKYLLEAGANPNISDKQGRMPIQIAASFGRRSHVDILFPFTSPIRAVSNWTVEGIIAHEKLNCSIPKDESCHKIEDRIAELKSQGKKAVKRNDFLGASNLYTKALALNYFDETLYSNRSLCYLKIGKAREALFDADVCIAKRPEWVKGYYRKGAAHMSLKEYEEASEAFQDGLELDPGNAEMEKLLWEACKAMGKDHPAGGSINSAD >cds.KYUSt_chr3.25544 pep primary_assembly:MPB_Lper_Kyuss_1697:3:158562638:158566376:1 gene:KYUSg_chr3.25544 transcript:KYUSt_chr3.25544 gene_biotype:protein_coding transcript_biotype:protein_coding MRWQSWSLRSLSSPCRRPPPAPPAPISQASSSAAAAMAFEKIKVANPIVEMDGDEMTRVFWQSIKDKLIFPFLDLDIKYYDLGVLHRDATDDKVTVEAAEATLKYNVAIKCATITPDEDRVKEFNLKQMWRSPNGTIRNIINGTVFREPIICKNVPKLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLRLVFEGKDETVDLEVFNFTGAGGVALAMYNTDESIQGFAAASMAIAYEKKWPLYLSTKNTILKKYDGRFKDIFQAIYEADWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLMCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFTLKLEEACVGTVESGKMTKDLALLVHGSSKVTRGDYLNTEEFIDAVAAELKSRLAAN >cds.KYUSt_chr4.15141 pep primary_assembly:MPB_Lper_Kyuss_1697:4:93491033:93492337:-1 gene:KYUSg_chr4.15141 transcript:KYUSt_chr4.15141 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGVGYSSVHSFDTTTREWKHARSSEIPLLGRAEHVPNRDRLFGFRFVSSERNQLLCASDLEGTAPDTVWEEEAPQQEHRGFEPDFAVSPVDRHSHHLAHIGSGRFCTARFYRHDGKRFAVFTGLEKKMSSILIQSVANWTDGI >cds.KYUSt_chr7.21615 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134078385:134080946:-1 gene:KYUSg_chr7.21615 transcript:KYUSt_chr7.21615 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEQAMMESHGVELEDDSINFWASLGVSTHVHGVDQMALHNVHILDHQVQSPAAASAVVSHPQSVCKELFPGQADPCLEPRVGMEFESGEAAKTFYIAYAGRVGFSVRIARSRKSKGSESIIMLRFVCSKEGFSKEKRVVEGKKTRKRPASIREGCNAMLEVLRRGDNKWVATKLVKEHNHEVGMPSTVHYIATESDTVVDPYIDMEFESLESAKTFYYSYAIRVGFEVRVRQSRKSQDESLKMLKLVCSRHRYHSGREIGGDDNKRVQSSDPCRDGCDAQFEIIRKEKAVWIVSKLILEHTHELSPAPASKVCCVRSQGETLVIAKNFADTRNLLLNGQYSQHHREIRYNDLGPEDAQSLLEYLKKAQAEDPAFFYAVQLDKHEHMVNIFWADASVRMAYYHFGDAVRFETASRSNKEHIPIVIFSGVNHHVQPVIFGCALLVDESEESFVWLFEKWLEAMHGRPPVSLVTELNQEMAAAAVKVLPDTCHIFCENHILGTVKEELHSIYPGLDHFITDLRNCIDGCRIEESFESCWESVLIKHGFRNNEHLRSLYDIRKQWAPAYTKKSFHARNLLPQSGENLEKIRDMYFSSSTQLQVAVQQLGQAICNFHAKEAQADYLTMFEIPALRTASPVEKQASVIFSRKVFDIFQEQFAESFGYHAERIEDRMLHKYRVTVDDGDEEAHIVSFSPDQSAVCCSCCLFESCGILCRHALRVFIIEGVRFLPKAYVLKRWSKHAKSTVTLDNYIDLRGYREDPSTSRYNDLCYDAIKCAKEGSTSSERYKIAKETLHKALDEVMPVRNIRQQNPQNSTTSLKCPVKKSGTDKGTSGKSLNRPASKHSLMESNGGR >cds.KYUSt_chr1.38000 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232044359:232049751:-1 gene:KYUSg_chr1.38000 transcript:KYUSt_chr1.38000 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEEPSGGTSPLRQRAGTETPVPQILASRWRRLWKVSVVFANRVEVFRFHVGVGIPGVAPHYTSPPTTFTWPSSPTDPFAEANAEDAGAGPGAKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKELIKIHGF >cds.KYUSt_chr3.27223 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169958888:169961017:-1 gene:KYUSg_chr3.27223 transcript:KYUSt_chr3.27223 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDLAIHPNGTYTNSGTNTIGIIRAPRGDGKEAIVLVTPYNSQRVKENELLSLALGFSVFSLLSRAEWLSKDIVWLSADSQFGEYTAVSAWLSQYHNPTFVAGVTNGPDGIAEKAETTDFKRAGTMAAALIFKVGETRNYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNIERISSLVTSAWLRVIAEVIQTVGSLLRKINPDWKLDIAAPDYVEGTANLASSIYNQALGVPTGSHGAFRDYQVDAVSLEFSPTFHLRNENAKSSFLVRGGRLIEGVVRSVNNLLEKFHQSFFLYLLTAPSKFISVGVYMIPFALLVVPLPIVAAALADGGKTEGKSMNGHNMKGSADILQVDGGAWKWLQSARVLLVIQIWAVIVSLLPYYISQIADGTPIQSAVIWVVLSIIVLIILYAMLGSPYSAGVEWKLLKATMITSISIGLGLMSIINFATAQLGSLIVIPMCLFSRPVKARLGMNFLPRTVLLASNIFLAIFGFPPVTLLVMKGLSKGTWTVDIGDFWVSMEFLWEWSSATYLYIFLVHLPCWLLCIHVLVHPCRQAGSKVKRE >cds.KYUSt_chr5.18319 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118428975:118438349:-1 gene:KYUSg_chr5.18319 transcript:KYUSt_chr5.18319 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFSHFALHLLDGMLTPRTRDSACRASAGPPKIHISRTELRCPQLPHCSGRLGWTSVASIASFPELSMSLHILPSRFLFSACATVLHLRRRELKLLSSPSFTSSTGSAPISSLRQGHLQLVPFAGPIEQVLLPADSHSTTPDDTSNKGNRNKGRRRSRRKRKETTKEERESVPSAEDLSIRIGAPYESGDPLGRKELGRSVMQWLKLGMHSMASTFASTEVQNDGSAFSLDGGSSEGNMAFMILAQPYLVATPMPKGQEALCLKASTHYPTLFDHFQRELRDVLLKQQNEGLVSDWRSTQSWMLLKKLAKSSLHREGARKPKAPAMHSFLGISLNKTRLMQTKIEDFVKKMSDLLNIERDAELEFTQEELNATPTMDSEAKQPLKPVEYLVSHGQAEQEQCDTVCNLNAISTSTGLGGLRLVLFRAEGTHKLPPTTLSPGDMVCVRTCNNQGEVGTSRVQGFVHNLGEDGCSITVSLKSRPGDPTFSKFSGKSVRIDRIQALADGLTYERNCEALILLQKKGLQKTNASIGVVATLFGDKEDTMMLEQNNLTDWGESEIQDNGLLGKYNYDFDASQSRAMILGLNKKRPVLIIQGPPGTGKTGLLSYLIDCAVRQGERVLVTAPSNAAVDNMVEKLSGTRLNIVRVGNPARISPSVSSRSLGEVVKKRLEKFTQEFERKKSNLRRDLKHCIQDDSLAAGIRQLLKKNGKNFRKKENETIKEVLSNADVVLSTNIGAADPLIKGTGPFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDQKQLAPVILSREAMQGGLGMSLLERASSLHDELLATKLTMQYRMHESIANWASNEMYSGLLKSSPSVACCLLVDYPSIQETWITRSALLLLDTRMPYGSLNIDCEEHLDLAGTGSFYNSGEADIVAQHVVNLVLCGVSPTSIAVQSPYIAQVQLLRDRLEEYPEASGVEVSTIDSFQGREADAVVISMVRSNSMGAVGFLGDNRRMNVAITRARRHVALVCDSSTICNNAFLGRLLRHIHQHGQVRHVEPGSYGGDYGLGFNPPALPSIS >cds.KYUSt_chr7.33858 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211458325:211463145:1 gene:KYUSg_chr7.33858 transcript:KYUSt_chr7.33858 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTTAAAAGSSPYRSRFGDTTQTKVFVGGLAWETPSEGLRQHFEQYGDILEAVVITDRLTGRSKGYGFVTFRDADAARRAVQDPNPTITGRRANCNIASLGPPRPAQQQQQPRGTASTHLQLQGPVLQGPHFFPRAPQPQMPGLMHHHGAAIYHHHHPASSSQQYGFLRTYDKLMSDLQAAMMNPQALQNYYAQLQLYGLASPTAPPPYHHQYVGYMPTPSPRAVLSPAQQLAAPPYVPLAAAAAAQMHGSFVQVPSLPHNFALQLPPHAVAMLPPNPTDLQSGAGQVYSAPSATNPSNAHQGA >cds.KYUSt_chr4.5661 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32864935:32867906:1 gene:KYUSg_chr4.5661 transcript:KYUSt_chr4.5661 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSATSGGDRPPAPSGGSATLPPPAQAHAEWAASMQAYYAAAAGHPYAAWPSTQQHGLVAAGPGAAYGAPVPFPVYHHPAAAAAYYAHASMAAGVPYMAGEAASAAAKGKRKARRVPSGEGASSSASGDAGSQGSSEKGNAAAHQNGSSSTKRIKSAGAGDREGEPPQSATMQNPVTEPPSMDKGRSASKLSVSAPGRATLQNAAPTLNIGMDHWSPSPSVVTPSGQGEVNAASSQSNGSLSLMDERELKRERRKQSNRDSARRSRLRKQQECEELAQKVSDLTACNGTLRSELDQLKKDCKSMEAENRRLMDEMLRRDDKLLQSEGHSVVTTLSIQVEASKAHHGGNVELQKNNTSNSDSKG >cds.KYUSt_chr4.9473 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57170897:57171715:1 gene:KYUSg_chr4.9473 transcript:KYUSt_chr4.9473 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVSAATGALQPVLGKLGTLLGEEYKRLRSIHAEIKFLTLELKAMDAFLEKMAEEEDPNPQDKHWMNEVRELSYDVEDNLDEFMARVVAGKSAKPDGFMDKIKGSLKRVKARHEIAKAIEDLKKQAIEVSQRNARYRSGETGASDTNSVHKVDLRALAIFEDASKLIGVDEPKREIIQLLGDSEASKHQPNVVAIVGSGGLGKTTVANRVYQELKDQFNYHAFLSVSRNPDIASVMSNIYGQLNEHYSPCEEILQTIITKIRDFLENKR >cds.KYUSt_chr3.27498 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171572102:171574402:-1 gene:KYUSg_chr3.27498 transcript:KYUSt_chr3.27498 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNLTNVAVLDNPTSFVNPFQFEISYECLVALEDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFIRVGYYVNNDYDDEQLREEPPAKLLIDRVQRNILTDKPRVTKFPINFHPETSAGQQQEQPQSALPENQAGEGGKPTNTDP >cds.KYUSt_chr4.45264 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280336982:280340909:1 gene:KYUSg_chr4.45264 transcript:KYUSt_chr4.45264 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPSPPPPPAVVAVRSPAHQSPSPNLANFFGATYFGVIESTKTWLESANPNLSGHWSHFIAGGIGDTLGSFIYVPCEVMKQRMQVQGSSKSWALNATKGNVSQSPGTQMYGYYNGMFHAGRSILRDHGLKGLYAGYGSTLARDVPFAGLMVTFYEGLKDLTEYGKMKYFPDSDLQVSNSFEGLVLGGLSGGFSAYLTTPLDVIKTRLQVQGSTTRYDGWLDAIKKTWASEGASGLFKGSVPRIIWYIPASAFTFMAVEFLRENFNEKIDTDAEMPLN >cds.KYUSt_chr4.2151 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11857802:11861190:1 gene:KYUSg_chr4.2151 transcript:KYUSt_chr4.2151 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLLFLVLFAEAAVALLLMVKVGPLRELAMRGVEQAKMGRGPATVKTIACTLSVILLSSVTTILKIQNRGHKLGTVSPMDQVLWRTHLLEASLIGYTLFLAFVIDRLHHYLQKLITLRKAASTSREEVEKLQMENRSLREKEDKSSGEIKKLHEDIAKLREDMKKLKSKSEDHERKASEAEAHVNALQKQSEELLLEYDRLLEDNQILQSQLHYKA >cds.KYUSt_chr2.12301 pep primary_assembly:MPB_Lper_Kyuss_1697:2:77928793:77934116:-1 gene:KYUSg_chr2.12301 transcript:KYUSt_chr2.12301 gene_biotype:protein_coding transcript_biotype:protein_coding MACDESRRISTEIENLKSQLEKKVKELGYCEEKKKLRVELALKPKEMERLRKQNDELQAKNKGLQNNIVAKKRHLLQLERLEIQLELQNMMELPNDWLQKGNMKLNKEGKEDKLFTDHSGDTKKADIEKSKQLELEVKTLDAEICNKMQNLSQMSACWKLMVWKSFQNNMELLDARKQVMEGFLEYMPSTNSVIGIKMMGQLAEDTFLAAMQRNYPNQDAEAGAANMLEVWQEYLEDPSWLPFKTVTVDGLTKEIIDRDNSTIQTLLWVGNDAYNAVTVALKELHEYNPSGRCPIPELWNFGQRRKATMREALACIWKQLDKKEL >cds.KYUSt_chr4.3727 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21225604:21226167:1 gene:KYUSg_chr4.3727 transcript:KYUSt_chr4.3727 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEITQTTAATLATSLATMLADGKKISQRMASYTIEEDKMLCSAWIEISQDPLCGAEQKDNAYWNHVGKYFHEHRLLGGKPFNITRKDLSLSKRWSFIHAECSRFQGSYETVTGRQISGVSAIDMVMWALPFGYSALVHLLWSNKRPMRIAMIQGGPIRRLQQRRLTRRRILDEQGKKTSIKKRID >cds.KYUSt_chr2.10714 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68203579:68208060:1 gene:KYUSg_chr2.10714 transcript:KYUSt_chr2.10714 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVVGQPVAPGQRVTILTIDGGGIRGLIPGTILAFLEARLQELDGPDVRLADYFDCIAGTSTGGLITAMITAPGKHRRPLFAAKDINRFYLENGPYIFPQRRVSPWITVSWTKTRSALAAVIASLRRPRYSGKYLRRKIRDMLGDTRICDTLTDVVIPTFDVKLLQPIIFSTYDARSMPLKNALLADVCISTSAAPTYLPAYYFQTADDNGKTREYNLIDGGVAANNPLQTMVTMTQITKKMICKDREELYPVKPSDCGKFLVLSIGTGSTSDQGLYTAEQCSRWGIIRWLRNKGMAPIIDIFMAASSDLVDIHAAVLFQSLHSDGNYLRIQDNSLRGPAATVDAATPENMRELVRIGERMLAQRVSRVNVETGRYEEVQGAGSNADALAGFARQLSEERKTSRVVMRRGKRSIIGMDGAANELDCDQYGDLKMEDDDDDEAPCTTRRSRTTLPNKGRSREEVKEFRS >cds.KYUSt_chr5.5977 pep primary_assembly:MPB_Lper_Kyuss_1697:5:37069421:37069825:1 gene:KYUSg_chr5.5977 transcript:KYUSt_chr5.5977 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAGAATSSRCGPFACRRGAHHQRAQAVAPAAADSGQGCGRPLVGRAAGLVGGGIAAAFFASLERCACVEVRTTDDLADWEAEPLMPRDDNGAAARNVNTARKSKRTAARAGAGKGRRGGGAGFGCCENSN >cds.KYUSt_chr7.36718 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229481534:229484489:-1 gene:KYUSg_chr7.36718 transcript:KYUSt_chr7.36718 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQSNGHGNGKSNGHVEVATHQSNGKSNGHSNGHVLEEVDWKFARAKDGVLATTGAKKSIRAIRFKISASVEENGPRPVLPLAHGDPSVFPAFRTAVEAEDAVAAALRTGELNCYPAGVGLPAARSAVAEHLSKDVPYELSADDIFLTAGGTQAIEVIIPVLAQPGANILLPRPGYPNYEARAAFNRLEVRHFDLLPEKVWEIDIDALESIADKNTVAMVIINPNNPCGTVYSYEHLAKVAEVARKLGILVIADEVYGKLVLGSAPFIPMGVFGHIAPVLAIGSLSKSWIVPGWRLGWVAVYDPKRILQETKIATSITNYLNVSTDPATFIQGALPQILENTKEDFFKRIIGLLKESSEICYKNIKENKYISCPHKPQGSMFVMVKLNLHLLEEIHDDIDFCCKLAKEESVILCPGSVLGMENWVRITFAIVPSSLQDGLERIKNFCQRNKKKPISD >cds.KYUSt_chr6.31321 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198161858:198163069:1 gene:KYUSg_chr6.31321 transcript:KYUSt_chr6.31321 gene_biotype:protein_coding transcript_biotype:protein_coding MFILIKANDSLLIHVWFKLLQIFSCETNGHEIDTFKDIGLQIVAKCDGLPLAIKVLGGLLCQKEKKRHEWKIVLDDSIWSVYEMPDWSLLPKTALLHKTDIIGMWIGEGFLHGASNNLEELGTKYYKELIVRNLIEPNTKYIDQSVCTMHDVVRSFAQFVSRDEALAAHSGETNIGSKVSAHKFLWLSLESKSSESDGLDWSSLQTQTILRTLILVGDIHMKPGDFLAHFPSLRTLYADSTRVGELAEFLHEFKHLRYLSLHNSDTSALPDNLGKLKFLQFIRLYGSKQFVKLPDSIVQLSQLRYLNIRGTSIFDIPRGFCRLTNLRIVDGFPAIEDGDWCSLEELGPLYQLTHIGLNGLENVTVPSSAAKAKLGEKVHLTNLSLSWHSRMGDDGKIKEEDSF >cds.KYUSt_chr5.15657 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101095879:101097924:1 gene:KYUSg_chr5.15657 transcript:KYUSt_chr5.15657 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSKDPDLSKLELVNVPGGALAFELAAKFCYGSNFEITTVNVAHLRCIAEYLEMTEDYQDENLIVRTEMYLNDLVVKNLEKSLEVLCACDGLDPMVEEVGFVDRCVDAIAMNASKEQLVSGLAHLECDAGSGKLRMHCQDWWVEDLSALRIDYYQRVIAAMRRSGVRPESIGTSIVHYAQTALKCIERRHVWDSGPLVGDNQRVIVETLINLMATEKITAVTLLFLFGMLRMAIEVDAGLDYRIEVEKRIGLHLEMASLDDLLIPSMQTSDSMFDVDTVHRILVNFLQRIEEDDSGDSSPCGYESDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMTLIELLPDYARIVDDGLYRAIDIYLKAHPSLTESECKKLCKLIDCQKLSQDASSHAAQNDRLPMQMVVRVLYFEQLRLKSSFSGGHGHSGGGEYCSFSQRITMPMSGSGVPSSCVSPRAAGAADSYASLRRENRELKLEVSRMRVRLTELEREQGMMKQGMRDGRPGEHGRAFLASISRGIGRMAMFGPSGERRKKSSRATASSQCSEGKSRRRKKTSVTYA >cds.KYUSt_contig_1993.139 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:853197:853757:-1 gene:KYUSg_contig_1993.139 transcript:KYUSt_contig_1993.139 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAAGPRRGAGVPEPRDLQACSSSTRASGSSRSRAPAVIDLCSALGGWDQVAVSRARPVVRIRPRCHALTADTTTTRCSSCASAARGLARRLCCSGSRWAARSDPLTHKWVEISGFPIDVGLACSPSAATPMSTASPTRSWRTTSTTARGPSARPPARLHDLRARRLSGYALMACHRREVAHRDV >cds.KYUSt_chr5.30665 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194499593:194500902:1 gene:KYUSg_chr5.30665 transcript:KYUSt_chr5.30665 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGCSLPCAARNRCRAVARDLYHYVLDLRAAMEPNGGVGEGEGDIDLNLSLHRTSSPEPVGYFTCTYCDKKFYSSQALGGHQNAHKFERSVAKRTRELAAARRHAGEAAKGGSNATGSSVHSYWRAAAPPEPARGRDLIAEEIDLSLKL >cds.KYUSt_chr4.49193 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304646849:304648205:1 gene:KYUSg_chr4.49193 transcript:KYUSt_chr4.49193 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHADPASAPPLLLAIRHIPFPGVHQPRAFPSPDLAPLARRLDELAAAAAAHPLLKPLFDFHDHLSTFSQSRRQRMVAMRQAACPLAGNGEGCFAAVLGGSVAGMVVSNGVNSFLSLYNTVLVIRLVLTWFPNTPPVIVSPLSTVCDPYLNLFRGIVPPLGGLDLSPILAFLVLNALTGTAAALPAELPSQSAAASGSVHPDELTANQRKWMRRFRSAKSQEADGAH >cds.KYUSt_chr6.11356 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70388313:70397065:-1 gene:KYUSg_chr6.11356 transcript:KYUSt_chr6.11356 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARQLLMIMWNRRRNVANKLDGLILPHIIKKLNAMTRELNLEVVQTSEEVAEVTALGGNGFRAAYAQLIPAMPDKNQWPKSDHGFFMHPPLLKATAGRPKTERYKGCSEKKRKSGKHLCPICKDYGHHWHNCKKGNPEDIAAMLAVREPPKKKIKTMKGNEYAIVSCMDEAPTRMSFPPSLENSSCKKGKGSKSGSGGSKRAQRGIGRWDSPPRPPVFRTDVERWKFNRSEGARKRSARRWTNWGFTSPGEPAKYGDGGEGSSSGGSSLPPWPPVYDSSGEEELVPAREPTFSAGDYMHGSDEEDAAVAQVAAISAAEAPARFRRKEADAVASANLFLHRGRAADAGFEVKFQTTPAQISRQKELEAVDREARAAVVPPPPAASIKGAARCEEKGMTSPAKGGSLRAVGLILTAVVAVVVSILFLVQFHGHPMSQVWVLSVSICLYVFLLLRIFPSRWFYTDFLRLSYGPLLACFSASHIGSATTTFVLLFSMVYAAGNLGYSLAVHHLPKGAELAIHEVTSPSCHSKDVKELNLTLHTGAPFAVLLWTLVMVLFISLGKMTDELDILMFLCYFGWIHVGVWLVILSEVPMNGFPFEELIEWLMVTLFPWMILSPGISLISQILAVVCHLLLMLALAAFLWYNLAIYMHFLATTTPRTTSQGNKDTLHNRILEGKKSDPRLGGTTSEAAATLGNEDTLRGESLEEKIGQVKSGPRLGTTSSDAAATLGNENPQSH >cds.KYUSt_chr7.25102 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156657650:156659955:1 gene:KYUSg_chr7.25102 transcript:KYUSt_chr7.25102 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPVQSGGGGGGGCNVLPPKPSLSLWPPTEEPPESDISHMPDSPARSLGHRRAHSEIIGLPDDLDLGVPGACGDGPSLSDENEEELFSMFLDTEKFNAQLREASETGSSCASAGPRPRHHHSHSMDASSSFDAEQLLGTPATEGMSTVDAKKAMSNSKLAELALVDPKKAKRIWANRQSAARSKERKMRYISELERKVQTLHAEATTLSTQLALLHRDTAGLSTENSELKMRLQNVEQQVHLQDALNDALKSELQRLKMATGQMGSNVGGMNFMGPPPPHSFGGNQPIFHIQGQAAMQPMHQMQMRPHHPQPLLHPLQLQAQQLQLQQQAAAGAAQPPNLKMKRTISAPNQWVGGWSESSGN >cds.KYUSt_chr2.31043 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191448466:191455676:-1 gene:KYUSg_chr2.31043 transcript:KYUSt_chr2.31043 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGVGGDSRLHRGLPLGIHAWGGGRRSRLPLRSTIGVVVRSPGGDILGHGEARDREYHECRIGGLAMEIRVSALLRDLLGVLSFTAAATKQQQDDEEALSNYTPLDSSLASTSSSSCLPVSGDTDRAPLLPSKMDDAEKGVRVDISEDTAHQISVDPWYQVGFILTTGMNSAYVLGYSGSIMVPLGWIGGTCGLLLAAAISMYANALLGRLHEAGGKRHIRYRDLAGHIYGRKMYVLTWALQYINLFMINTGFIILAGQALKAIYVLFRDDGLLKLPYCIALSGFVCALFAFGIPYLSALRIWLGFSTVFSLIYIVIAFVLSLRDGITSPAKDYCIPGSQSTRIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMERALWFQFTIGSLPLYAVTFMGYWAYGSSTSSYLLNSVHGPAWIKIVANFSAFLQTVIALHIFASPMYEYLDTRFGSGQGGPFAIHNVVFRVAVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKGPKLSAFQQGWHWLNVIGFSLLSVTAAVAALRLIMLDSSTYHFFADM >cds.KYUSt_chr4.47345 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292972858:292974429:-1 gene:KYUSg_chr4.47345 transcript:KYUSt_chr4.47345 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQLNVLKALDQAKTQWYHFTAVVIAGMGFFTDAYDLFCISLVTRLLGRIYYTEAGSNEPGHLPANVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHEAKGVIGTLCFFRFWLGFGVGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGFGILFGTIVTIVVSSAFRNAFPAPPFYIDAASSIGPEADYVWRIIVMFGTIPAALTYYWRMKMPETARYTALITRNTKQATADMSRVLNKDITEEEEKVQLQVASGDTWGLFSRQFMSRHGLHLLATTSTWFLLDVAFYSQNLFQKDIFTKVGWIPPARTMSALEELYRIARAQALIALCGTVPGYWFTVAFIDIIGRFWIQLMGFAMMTIFMLAIAVPYDYLVKPGHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGVSAAAGKAGAIIGAFGFLYASQDQKKPDKGYSAGIGMRNALFLLAGTNFLGLLFSLLVPESKGRSLEEISKENVGDNGTEA >cds.KYUSt_chr7.10374 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63635311:63637737:-1 gene:KYUSg_chr7.10374 transcript:KYUSt_chr7.10374 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCSALCLAAFLAVLVLVAAAQTTNHTAGVSMTPPNYITSPSGVFAFGFRALDASNPGKFLLATWFRSQDDDDNGDGQASSSSQLQSVVWFAKQSSTVALATPQSVLSVTTDGQLELTDITNGGNQVLWRPPIANLKRGSLFVLLDSGNLQFLGDGDVLWESFSQPRDTLVPGQSLAQGQLISRRADTEFTTGRFSMDVQTDGNVVLYVYVPRGNTVRDAYWQTNTDGSNSSTTVTFDNQGGLNYTLHSGVVQSLISPMAADAASRSYKFARMDPDGIVRVYVRSKNGGGNNTSWIVSGSFPTDGCGKRTFGLQGMCGPGSYCTEQKDRLRCMCPANYTYADPQHMDTGCTPEFVPQSCDGENNTDEYTLVELPNTTWETSIYYKKFWSVTEDQCRNYCLNDCYCAAALMVGGTDCTEVAALTNGRQASDVTTKALIKVRRSSNRPAVAARTRTIVVVTVCVAFVLLAIAGSFLARHYLAKNRESQGLLSVRAFSWKELHKATNGFEKLLGKGSFGEVYEGKLKSPQPRLIAVKRLINSNEYSEREFINEVQSIGQIHHRNLVRMIGYCKEGKHRMLVLEFMPGGSLRGFLFKPERPPWSWRAKAALGIARGIEYLHNGCTSPIIHCDIKPDNILLDNMNAPKITDFGISKFLGDQQVHTTVTNIRGTRGYIAPEWFRSEARIDTKADVYSFGVVLLEMICCRKCQDPFFDQDGGQTVTLFGWAGQLVSNHKTELILHQDDDAMADLERVERFARVAFWCIDPNPSLRPTMHQVVQILETVVVEPEVLPDPPACYMDSASLVMSSV >cds.KYUSt_chr4.27824 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174809454:174811447:-1 gene:KYUSg_chr4.27824 transcript:KYUSt_chr4.27824 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARAADSQRNSGEGPIMTQEKELWRKELQRKERSLNRCAFMQAYLLMAVTGIGYLALMWSTVVLLGGFVTTLRKKDFWCITVISMMQAARGCADYAGDDSSTIRRQLELRWQPQAAHLKEDGSARLTSSDGMNSPKPLRALPAHAGHMVLFRYLGTTTTADGTNSDRPKLHLLDRAVGEHGGLVLDVDDLHAA >cds.KYUSt_chr1.6519 pep primary_assembly:MPB_Lper_Kyuss_1697:1:40102728:40103135:1 gene:KYUSg_chr1.6519 transcript:KYUSt_chr1.6519 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPRSGIAAVRDRRRIIVEEVKRLKGVRWRSAMSEGREEDGTGGLWTLPLGAHEEQRTTMQGVLGIALRRGGVGDPGVPIYRLRWRAKRARGYGAIEAVVNRAGWPDSGVEDKGAGEGVVLHGRRSSAWSTGNK >cds.KYUSt_chr2.47315 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296006532:296017223:1 gene:KYUSg_chr2.47315 transcript:KYUSt_chr2.47315 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLEWYCRPVKDGTWSHAVESAFGAYTPCGIDTLVVCISYLALFGVCFYRIWRTTKDYTVQRYKLRSPYYNYLLALLVVYCIAEPLYRIATGTSIMNLDGQSSLAPFEITSLVIESVAWCCMLAMILVETKIYITEFRWYIRFVVIYVLVGKAAMFNVVLPVRQYYSSSSIFYLYCSEIICQCLFGIVMVVYLPSLDPYPGYTPIRSELLVDNTDYEPLPGGEQICPERRANIFSRIFFSWMTPLMQQGYKRPIADTDIWKLDDWDETETLYNRFQKYWNKELQKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPTVLSLLLESMQKGDPSWNGYIYAFAIFAGVSLGVLAEAQYFQNVMRTGFRLRSTLIAAVFRKSLRLTNDSRKKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVIAMVLLYAQLGPAALVGALMLALLFPIQTVIISKMQKLTKEGLQRTDKRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDELSWFRSAQLLAALNSFILNSIPVVVTVVSFGVYSLLGGDLTAAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLADERLLLPNPPIDPELPAISIKNGNFSWELQAERPTLSNVNLDVPVGSLVAIVGSTGEGKTSLISAMLGEIAPVSGSDASVVIRGSVAYVPQVSWIFNATVRDNILFGAPFQPPRYERAIDVTSLRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELRHKTRVLVTNQLHFLPYVDKILLIHDGVIKEEGTFDELSNTGEFFKKLMENAGKMEEQTEEKEDESKPVDDTKQTLNGDIVIADGGLQKSQDSSSKTKQGKSVLIKQEERETGVVSTKVLSRYKNAMGGIWAVAVLFLCYTLTETLRISSSTWLSIWTEQGPLKIHGPGYYNLIYGILSFGQVLITLTNSYWLIMSSLRAAKRLHDSMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNLAVFVNMFMAQISQLLSTFVLIGVVSTMSLWAIMPLLILFYAAYLYYQATSREVKRMDSITRSPVYAQFSEALNGLSTIRAYKAYDRMSNINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSMNAVERVGTYIELPSEAPPVIEDNRPPPGWPSSGIIKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILVDGCDTSKFGIWDLRKVLGIIPQAPVLFSGSIRFNLDPFGEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSSGQIQEFDTPEHLLSNDEGAFSKMVQSTGPSNAEYLKSLVLGDGEERMRREESKLQDIQRKWVASNRWAVAAQFALAASLASSHSDLLSLEAAEGNNILRKTKEAVITLQSVLEGKHNTEIEESLTQFEVPPDRWWSSLYKVIEGLSTMSKLGRNRLRQPGYSFENHGAIDWDQI >cds.KYUSt_chr3.17707 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108548658:108548933:-1 gene:KYUSg_chr3.17707 transcript:KYUSt_chr3.17707 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSGSAAARALAQLLAPNTRGYAAAAASGAMRRGAADRKAAGEAEKAATDASWVPDPVTGHYRPANRAAAVDPADLRAAHLGRTHARA >cds.KYUSt_chr5.32868 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208345134:208345544:-1 gene:KYUSg_chr5.32868 transcript:KYUSt_chr5.32868 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITSSVKPEYPVVDRNPAFTKVVGNFSALDYFRLSTISAVSVTVGYLSGIKPGIRGPSMVTGGLIGVLGGFMYAYQNSAGRLMGFFPNEAEVARYKYRLQ >cds.KYUSt_chr5.22112 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144274874:144279673:1 gene:KYUSg_chr5.22112 transcript:KYUSt_chr5.22112 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGHGRAPEVRVPDNMTDDEIARLGVLVSEVDRPVQPPLPRYATGIMSPGLMEEEALRLALQDSATPPVQPPPPPSPYNPWGPPPPAPVWAAPPPPPAWAPPPSPPPAAPAYVPSLPNWPWVVPELVVIDSDDENQLEKLVSGRKEKVLDGDLCEKLAEEFNRSAARTGSRALQATQVRGWFLDKLPASTTATKSTCLPTTSEEKTLASEAHVLVSETKAEPSEEKTLASEAHVLVSETKAEPSEEKVLAPDTSISNNEGELSPDLLKETIDKVPELEDLQFEARSSKDSAWYDIAVFLAHRKTSSGEVEVRVRFNGFGAEEDEWINVKKAIRQQSIPLESSECRTIVKGDLVLCFKEMVNLKRLSRRPKYV >cds.KYUSt_chr2.40861 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253797258:253798729:-1 gene:KYUSg_chr2.40861 transcript:KYUSt_chr2.40861 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLAWDLPHRAMRDLARRHGPLVLLRLGALPVVVASSAEAAREIMVARDVDFATRPMSRMVRLVLPEGAEGIVFAPYGDEWRQLRKICAIELLSARRVQSFRPVREEEAGRLLRAVASSSPTPVNLSGLLSAYAADSSVRAIIGSKFKERDKFLTLLERGMKLFAKMSLPDLYPSSRLAMLISRIPRRMRRHSEEAAAFTNAVVREHQQNRAATDDDGDDLLDVLLRIQREDDLQFPLSTDKIKSVVGDMFAGGSDTAATTLQWTMAELVRNPKVMRKAQDEVRRALHGQSKVTEESLADLNYMRLVIKEALRLHPPAPLLIPRECRKDSQVLGFHVPKGTMVLVNAWAISRDPAHWDAAEEFVPERFQGGEVDFKGADMEYTPFGAGRRMCPGMSFGLANVELALAGLLYHFDWELPGRVDPGELDMTEEMGVTVRLRHGLLLVPVVRVPVPLD >cds.KYUSt_chr6.17523 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110340939:110342507:1 gene:KYUSg_chr6.17523 transcript:KYUSt_chr6.17523 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLTLLLGVALPVGALVAAGLYVYRRRRLPRNAPPELPIGAAGAGASASPGGLEKLNAKYNASSGRVGVRFQQLHHHHHAKHRAGAPPQQQQQGPFQWGDHPRLVIEAAENGWAQFVFAVAPPRARSAASSPLWGLCPVCDAGTSGELADAAWDAPVGSSERMQAVRLNPATAPAAAASSKKQWLPGSVTNPLRSDQDLANSNALSVARMSMPLPGPPMAGTPFSQDAYFEITIIYLNTRRPEWSASRASRRGKDGSGESDRVKLIDFAPADTTSNVIQETRPAKDGQGDKQRHQVMSLGLAAGSATPPRPSLAGTYSSSIGFHSNGAVYLDGNTKRVMFQEFSPRLFRANHELNISLTTISGMKLVYESDKSSWAAVDKVVGLGFEPAKRKVYFTVDGQQVHKVSCNADAFSSPLYPVLASSFDVMALVNLGQNKFRYAPANARRTANPCFVRSASLGNDGRSGSVGLDFDDESGELFSMGRVDSGWTDTSRVSKSRKDNGGAGAGDLDPDSDLFEIPL >cds.KYUSt_chr1.8430 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51867467:51875980:1 gene:KYUSg_chr1.8430 transcript:KYUSt_chr1.8430 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARVKALHDEVNSLLTTLDLGTPLDGMLPHADVLCVIRYKAHQDPGEEDMPWPREGEEQLDMEMITKPNPTSIEALQGREGRWPVQNPVRPAPRPDDPVPGPVNRAPTGAAPSYRTKTGNLAESRLVPGRPDPGPDHPVTGPEGDCDFYEWQPVYAARKEVTAAIAAHAAPVQGAAAATVQAAPPAVDDDKPHPTWSHDSTPRNQDAVAPAGSHGQTVFRVAVFRLPFTVPTAMSESGSCDDDTSRVRTPSAARATRSAGDQYRQDKTPNFTSRCSVKHAFEVIQRFSDFKRWLVSEIGWAGMLDVPFLQKLNLKFSAWIMSRVDVHNRSIVITDKKILKFWPQDVSKVFGIPHGPRNVIGRDATIRPDAIEFIKTTLGMNQAGAHSLKAAENFLTREITEDSSKIEKDCFQIAFVIFVMGHILAPSSKYDYATIDFWGALANTENIAQFNWGEYIIQSLLDAVDKYKRDVRNQAQTINLFGCHLWLQVFLLDNLDLGIFNKKHDDLPRIKVFDQDWLRRTITMASDLGKGPNSYTSAPLRSAESVCYTRASVRTTEVAPDRIETAIGTSTLDNVPLAVPELRPHESLRAVTPRPLYVAADSTPIHPTQSLNIGPIDYSNYLKRQYPKLMADPLTLMLKEHNAKAFSHLHTARSNILNDMFKFTDKLMAHLSQRCVCCQARGFTDCPLVPTEGESAPPADSLRTPVNQKLSGVRLDLSDAEDSTTRGSAGSSKRPPIPPECVVSTKKSKAGFLQRDTIKDQCLLIYTKTESLYNAADQDPQQAAVFGQLSHDLPKRRSVLSGSFAKNPWRAGRIPPQQPGDVATDLEASIKTTSPAELERFWFVHDTPRLVCVSGNDVLQQLAGEHTLEHELSCALLRRYNQIDFEYNDDCPYLNWRHILETDFANQFFGKHIRHSIACSQYYFAPAILEDGWVLYMWDMVAKVTHVLDPLAGARGPSSERKEMHEWVSSRLHDALFECFAEFFAGWPTAKDNWGKIYPKIVDTTFTRYESGLAILHLLRYYDGEKLLSPITKRNLHKTRLASLHELMKLRENRSPLAGDALWAVLAPSQFSFGDFMNEVDT >cds.KYUSt_chr6.19107 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120236538:120244853:-1 gene:KYUSg_chr6.19107 transcript:KYUSt_chr6.19107 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVAEAADAVAAASTAGEVVRAIHAVAVLLFPVDSATVAGTVDEPFRSQITSVVSLTHDERESWRRAFYHGPAFPTMSKILLGNIALKWLRKIRSSTRKEIYDSFFVRGPPTEVIQALVPALSQNEGSKEDHNIFCVNIERILVLCLIENKGVCQIVEEFTFSNKQDDGILNPEKSTFISRVAQLLASIPDKATMGAASALTSSSFFKSVVSQLLVRAEEAAIESSAGKDGNEQGVLSPVFLFVGQVLSRISRRGSTGVLVAELIPMIRNHLQRCVASDRKTIVPDMVKNVSQSRFWFNVVEALRDQHSIERLTEEMLLQLASQHISDEEAYWILWTLFNQSIMHMTVMRAMFIDKFLLRKTFPLCCLRWILHYAVFEFPPNSVMETQIQKTSNFIVTLQTLVSIWSKKEFVQSYSVEQQAYITAAIGLCLENMSKRELEMTKDVLNCILQGVTCRLESPIDLVRKMASAVALTFSKIVDPKNPLYLDDDCSENVDWEFGVLSPKRIKAPSHDVELGSKSKPCSRDENRRNAGEKKAKAIRHDISDNRVKIVEIKSLDSDEMSGAATNFEEHCDEESMDIDASSDSSLEPYDLSDDDTDLQKNFTHLSDLAAALRKPDDLDGVERALSSAEKLVRASPDELRHNAGDLVQALVHVRCSDAAVEGEEDSAEEKRQKALVALLVTNPFESLDVLTKLLYSSSVDISQRILVIDVMTEAAQELAETKIINKQQRHGNLISDTSPSWLVPSDRGPLGASPWREVSEPGSLLSWSHRYEREVPSRSGQVKSGKSRKWGLRKAKDLQVEGSKNKFPLYAAAFMLPVMQGYDKRRHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASALAPALLDMIGSREISQHAEAYVRRSVLFAASCILIALHPSYVASILIEGNRDISTGLEWIRTWALQIAEVDPDTECTSMAMNCLRLHSEMVLQTSRSLESAEHSKAGTRALSSKLDNIIIPFANMM >cds.KYUSt_scaffold_869.1080 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6943090:6947363:-1 gene:KYUSg_scaffold_869.1080 transcript:KYUSt_scaffold_869.1080 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRPHLALLSGGGGIPNPALRTLSIVSPLLLHIRSNRHRGILRSNASSSPPSPPPSPEKEAVPTAESCVNLGLELFSKGRVRDALEQFDNALELDPNTIEAQAALYNKACCHAYREESKKAADCLKTALRDYNLKFGTILNDPDMAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVAFIAAAGISTFFTIPRLILAVQGGDGAPDFLETAGNAAINIGGIVVLVALFLWENKKEEQQITNISRNETLSRLPVRLSTNRITELVQLRDITRPVILAGSKASVTQAMQRAERYRTELLKRGVLLIPVIFGALQKAQIKPKGFGTTRSAASAPSIGGDFEKRTESIAAKSRLRAEVRFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGRVILLLWAYFV >cds.KYUSt_chr2.48801 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305326335:305327288:1 gene:KYUSg_chr2.48801 transcript:KYUSt_chr2.48801 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRRPSGIQQLLAAEQEAQQIISTARAAKSARLRQAKEEAEREIAEYRAQMEADFQKKLAETSGDSGANVKRLEQETNEKIAQLKQQAASISPEVIQMLLRHVTTVKN >cds.KYUSt_chr4.20800 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130955125:130958607:-1 gene:KYUSg_chr4.20800 transcript:KYUSt_chr4.20800 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALLSGFIKVILPRLFSLIDEKYKLHKGVKSDIKFLVKELRMIVGAIDDDDHELSTASSTARLSIQDLRELAHGIEDCIDGLLYRASWEEQSSFFRRSVRAPKALKTSAQLAREMQRLRQMTREAHERKQRYLVGFPAAGQSSSATQLVDESPSSPSDPRILDADLVGVDEPRAELLQQLADGEPRQLKVISIVGFCGLGKTALAAEVYNRETGSNRFEKHAWVYAALKSPREVLADMLWKLSSDARSCQGESVLQPSDVGQLCVELRQQLMRKRYIIVIDDIRTEDQWKTIKSALPADKDVSSRIVVTTTIQSVANACSSTNGYVHKMSRLDKICSEQLFAKKACPDKYSCYKQPDPAEVLKKCDGQPLALVTIGEYLQSKGWPTGPSCEDVCTQIGYHLENDKSFEKMRRVLIRNYTNLPGHALKACLLYFGMFPSDRPIRKKSLLRRWLAEGFVEPLPSPSTPDPIAAFDVLMDRNIIEPINVSNNDNVKTCQTYGMMREFILHMSISQNFVTLFCNDKAEPKYVRRLSLHHSSATDADRFDNVDLSLVRSLAIFREASETVLDLSKYHLLRVLDLEKCDELKDDHLKDICNLLLLKYLSIGGGVTRIPRDIAKLKHLVSLDVRRTKVKILHVEVLQLPCLIHLFGKLKFPDRVKPKSEVHEFLSKGKSNLETLAGFVTDGSGGFLHLIGYMNKLSKVKIWCESLAGCTDRTDLKETIQQFIHDKKQANIGSRSLSLHFDKCCQDFLNPIKGPCYLTSLKLHGDLAALPQFVVSLRGLKELCLSSTKLTTDVLEALSNLSDLQYLKLIAHDLEKFIVRDQAFCRLLRLSLELQCPTFPTIEEGALPFLVTLQLLCKDLHGLSDVKIDHLKHLKEITLDPRVTPTTREKWEKAAKEHPNRPKILLFKSVDSYQSEPTDFFMVSDPAENVTTESNIASQGSLLEPDIQTLLNQGLNQGLGSSSMMRKQNNPVFNGMGLSEVSSHLR >cds.KYUSt_contig_2437.38 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000337.1:196444:197241:-1 gene:KYUSg_contig_2437.38 transcript:KYUSt_contig_2437.38 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTRDMSMEPSFEPSNSAAAASAEEASTRRVANRIIRALQHHLRLLHRAGPEFFVLGATGNVYTVTLATTPSCTCPDPAAPCKHILFVLLRVLGLSLDEACVWRQTLRPCQVARLVVSPTYPEVLAGPRARERFHQLWSAQAAAAATRAEEKRRQEACAASSGRPLDGVACPVCLEEMAPVAGEAAPLLTCGTCRNSVHAECFARWKRVRARRAATCVVCRARWRKPSRDREQDQQQYMNLSAYMNEQNEVEDMQIEDGSLCAG >cds.KYUSt_chr5.35694 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225518291:225518497:-1 gene:KYUSg_chr5.35694 transcript:KYUSt_chr5.35694 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCPSKACCCCTLVILVLIAVGIVFGFGVYTRGFHKLSNGIHLQDDYPSSASSSFRAFGGHLAPSPY >cds.KYUSt_chr2.13382 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84886864:84887487:-1 gene:KYUSg_chr2.13382 transcript:KYUSt_chr2.13382 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRSRDGGVDPKQRPPTSSSHDDGRGRLSPGGAAAATTDTASTRRHPRPAVTVAAGGTPASCRHSEELPGNPVRRRAASLPDLSRARLLLRVRRGPAPPSLPRPQPPAASREAPGDRVRHPLSRRLLPPVLGQARLLLRVRAARPAPPLLARPQPPAASRRASRGPHPPSDWWAREEGAADWGGGEDAGIGEDERMRGLGKWFSPI >cds.KYUSt_chr6.8603 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52920910:52921530:1 gene:KYUSg_chr6.8603 transcript:KYUSt_chr6.8603 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPISRPTDKFAPLAGLRSLLVPDTVAGGGGGVVVTRTILASLPCGGSEIVRQESGDGESVDDDEDDEGCWVPYGRREPGRRRRLPPPIPSLAARSALRRARTDDRRLVISKVRVMRPDYYVRARRVRGGRLVMRLHEREDDVPLPLIPPPDTATTPDERVDDAQAAAAPAPLPGAAGCFEDAVKYQYAVGSSPLHRTPLLRMVH >cds.KYUSt_contig_973.514 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:3751274:3755920:1 gene:KYUSg_contig_973.514 transcript:KYUSt_contig_973.514 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVPSSYLFYRHLAAARTLSAATPLSVKMARSALDEVTDSGAFDRSPSTFRSFVSRDSSAPFPAVAGRYHLYVSYACPWASRCLAYLKLKGLDSAIGFTSVKPIFGRTKESDDHLGWVFPAARDEEPGAEPDPFNGAKTVRELYEIASTKYTGKPTVPLLWDKQLKTVVNNESSEIIRMLNNEFNEIAENPGLDLNPAHLQVSIDEINDLVYDAINNGVYKCGFAKKQSPYDEAVTNLYNALDKCEEILSKQRFMCGNQLTEADVRLFVTLIRFDEVYAVHFKCNKKLIREYPNLFNYTKDIYQIPGMSSTVNMEHIRKHYYGSHPSINPYGIIPAGPSIDYNAPHDREKLFL >cds.KYUSt_chr1.654 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3483092:3483641:1 gene:KYUSg_chr1.654 transcript:KYUSt_chr1.654 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMDDFETSLQVLRGFEIDISMLVMLRGSSGFYFYTIFEHGGGWLGINIIEAQLGFKLNNGNVPAQSNWNF >cds.KYUSt_chr4.20033 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125941552:125942923:1 gene:KYUSg_chr4.20033 transcript:KYUSt_chr4.20033 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLCIPRNCARGHNMLMRDYFAEVKKYGSPQGALRSPATSYAAAPVKCLFCRHCHRNERPLLQGVDLEKLVF >cds.KYUSt_chr2.32368 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199543846:199544553:-1 gene:KYUSg_chr2.32368 transcript:KYUSt_chr2.32368 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRSVSLPSRPHREVEEELCSLEACISSPSLAIETIYDCFSRLGDMYCSIEEIMCLPSNQVCSSQQRKMLDGEMECSLELLDLCNAMHAEFAELKDIIQDLQVAIRKGDDATIQVGIQSYSRLLKKAKKHFKKTTKMVTSYKEDCRMVRLLSEAREITTSLLESTLHLLSKQIATPKRSLVSKAFQKKNSVVCKEEQMQTLGCSITDLEAGVGLLFRRLVQNRVTLLNILSV >cds.KYUSt_chr3.37890 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238352720:238359899:-1 gene:KYUSg_chr3.37890 transcript:KYUSt_chr3.37890 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIFSIGSSWMDLRQGRSFASLGRCITAAISPSFFRVEWRPLPPLALATGITGQRLMDFFNLQAQMPLQRPFSDDAVGSRLSSPSGSVPGGVEVACVVLICDGGGAGPDRFSNFLSEVLCAKLKHVGARIENQCGCNGGILDQAQQKTSLSLLPLPAETRTRSPLLLRAHPLASQFMDHRKQQRSGGSAAKNGGGKGSGKAGGGGKKPIKVVYISNPMRVKTNAAGFRALVQQLTGRHADPSKYSADDEYAAAQAQQELSPEGSAVASTLDAVAPGPAAPPEFAAGAYGDGDGDEEGEDDDIFGSQLLDTDYAILSPPPLLFDYPHGSISKV >cds.KYUSt_chr6.28368 pep primary_assembly:MPB_Lper_Kyuss_1697:6:179810746:179811861:-1 gene:KYUSg_chr6.28368 transcript:KYUSt_chr6.28368 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCKARSFRWRVRAMECPVDELDFRPVGVRSLLQPTGHGVWYLSSGRFSEADEAKAMTCWTVYNLVCKGVTSENIVH >cds.KYUSt_chr1.4148 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25330736:25331830:1 gene:KYUSg_chr1.4148 transcript:KYUSt_chr1.4148 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLSTRAIVVSLCLVLVFFAGQSAAAHGDRGKKGTVGDKVYQIVAKEIRDNSGVGPALIRLLFHDCWVNGCDGSVLLDRKPYGSKTEKQAENNIGLDGFDVIDKIKAKLGDNVSCADIVVLAAREATFIVSREKIDYPVKTGRMDGVVSSAEAADRFLPPSTFDFEQLKANFDVKKFNTKELVALSGAHAIGVAHKSSFADRIDDSTATPISLDYMNALKKDDKPIQPNNIRDKELAFRNASGYDPTGVDTTDMGKGVLDNSYYHANLQNTVLFRSDWELRNDTSGDAGGIMETFKEDAKEWYRQFGDAMAKLSKLPAEGTRFEIRKNCRKTN >cds.KYUSt_chr1.38399 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234582654:234586984:-1 gene:KYUSg_chr1.38399 transcript:KYUSt_chr1.38399 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKALFRAKLREAKEKQEKRIDPALVRYNEYDQPICRVCNVTLKSEALWPAHQVSRKHHEAKAAAAAKVTEGAAPRGKNANHERPAEPQRAKTSSLPANFFDSQGVKRPNDGTGSEGRSVRQEVAAVQPPAKEASVDKPSVRPDQVPNKGSQGKPNVKGILPGNFFDYAEEDEDEAPAPAPKEPNRNLGNIANPSRMQVKGVPDGFFDSSSTKPTEASASSQAGNIVETAQVKGALPEGFFDNKDADLRARGIEPPKVDVNDALKEFEKEIQEDLQEVDNRLEEEEIDAAAEREEYLTLEQEEYRQRVDLLKKQLTESKATRNAKPNSKPVGMDTESSASDSSSDDEDGNTDFAVDWRAQHMK >cds.KYUSt_chr3.20167 pep primary_assembly:MPB_Lper_Kyuss_1697:3:124448628:124463803:1 gene:KYUSg_chr3.20167 transcript:KYUSt_chr3.20167 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAEASKGKGKKEENVDDLLGRLHLEDDEVEEFVWEDEVPESEVKAKWLAIARVHTSKLGFSQSALFSDMRSAWNPAKEVTWRRIEQNLFTVQFNCLEDWNKAMNQGPWLFRDQGLIMEEYDGFTNPLAIKLDKITVWAQIHKLPDNYLKEHVIRGMSRCVGEVLEVQIKLPAGFIGSFVRLKVKLDTSKKLSRFVSMTRDKKREYFQVKYEKMPDFCAHCVMIGHWYEECGTGEHDQSSFEWGDFILADGGRGRGRGRGQGRGQGNRGDGFSARGRGRGRGSFTAYAGGGPSEAYPSDMDYEESDHNPNTRKRLALNSCVTDGSLVVGNSGTGVLDKVAAIEERRGTDKDADNDLSGTPQKNANKKKARKDGEEVYDTDPELLNKVNPRVTTEMNEALQRPFSPEDVKKALFSIGDMKAPGSDAVIIRNHRGEVISGGAWPKLNLLDATVAEAEALRHGLMLCERLGCLPVIIESDCMELVEACNGTEIWGPYTPILADCFQIGKRLGLLKIQHCPREANKVAHNLARRSTTPHPPTNPSPAPAMSAAVEEQMVVKAIGEECPWESLPKRLQSTLHTKDEWHRRIVDYCIRKRLQWNTCFARRVYREGEYYEEMMRYLRRNLALYPYHLADYICRVLRISPFRYYCDILFETMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKDLLPTQPVDFPIEPWWGVCLVNFTLEEFKKLSEEETSTIDKICKEEANSYVLFDPKIVNDFYKRGLVYFDVPVYTDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLQADLYQLQAAASFACRLGWAVKLVDAGSVLKDEGGPGFPSNILSDDEEGSNASINSEMSGSQSVTIDTNGPRKISGTAHVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRWSLECLKSGGVSTNENTDDAGEVKTPTSPLHDNVTAHLAKVNIEDATDDGNDEVPQDNHYTGVLDSNDQNTSSISMELPESGENMGTIEVENGSTDTTALMIKRKYTVDVLRCESLASLAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGTIHFGPPSYASMTPWMKLVLYTAGHCGPLSAVFMKGQRFRMLPEPLAGCEKALIWSWDGSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVLVQPLGINDLSTSGNLVTVDIPLPLKNDDPSIEAVVAQANLPKEQVFKLTSVLKDISSKFDLSTIGYLRLLRLNRPVELDSFHSEHSSYQWVPLSLEFGMPLFNPNLCFYGVIYVATFQSLRSTTWLGADFPNSSISTMVAEQRNAVSKSIRSRSPPSLLEHV >cds.KYUSt_chr3.41509 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261860256:261862802:-1 gene:KYUSg_chr3.41509 transcript:KYUSt_chr3.41509 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGEEDKKPAGADGGIHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGCLPLIA >cds.KYUSt_chr5.18583 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120153357:120168859:-1 gene:KYUSg_chr5.18583 transcript:KYUSt_chr5.18583 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIWTSSMLPRLSLWAMGRRLPFGRRRGSMAPSLLTPLIYKISTRKNWNVKKAMSDNGWISKIKMDVEFTLEHIRQYISLWSKLAEVTIHDDHKDEITWNLIESGIYSSSSAYRAQFFGAMLAPTTSSVWKFWAPPKIKFFMWLALQNRLWTNDRAMEEDQSAVLVAEGAIKSIKLSLSTEAEICTYSINDCPVTHPSQLGNPFLGLPLESGKCESCGASENGKCEGHFGYIELPVPIYHPCHVSELRQLLTLVCLKCRRMKKGKGKQSNGKENVSVTACHYADCRALPALSVKETKTADGAFRLELKAPPKKHMTERSWNFLDQYGFHYGGASHNRILLPEEALNILKKIPDETKKKLAARGYIAQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLLKKILQKIEQIKKSRAGPPNFESHDVESSDLQLSIGQYIQLRGTTKGPQDAKRYAISTDSSHLSTKQWLDKMRTLFISKGSGFSSRSVLTGDPYIGVDVVGLPSEVAKRITFEEQVTDININRLQEVVDKGDCLTYRDGETTYAITVGSKGYTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFKVYIHDDHTVKINPLICSPLAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTNSHNGKINLQLSNDSLLALKHMSSRTMLRKESANQLAMLLSLSLPAPAVVKSKPYWTISQILQSALPAELTCEGDRFLVKDSTVVKLDLAKESVQASFSDLVSSINCVKGPGDALKFLNALQPLLMEFLLLDGFSVSLQDFSVPKILLEEAQESIKKQSAVLEQSRSSKGQYVEMRVDNNLKDVKQQISDFVVKSSHLGLLIDPKSDPSVSKVVQQLGFVGLQLYREGKFYSSRLVEDCFSNFVNRHPPVGNEVQHPPEAYGLVQSSYFHGLNPYEELVHAISTRETIIRSSRGLTEPGTLFKSLMAILRDVVVCYDGTVRNICSNSIMQLKYREDDATDFPSDITPGEPVGVLAATAISNPAYKAVLDASQSNNTSWELMKEILQTKVNYKNDTKDRKVILFLNDCSCPKKFCKEKAAIAVQGCLKKVTLEDCATDICIEYQKQTSLDGTSEATPAFVGHIHLQKAHLETANISTEDILHKCQEVSVKHGMKKGHLAHLFKKITFSTCDCSFTQKPIDGKVPCLQFSFSEDIPMLSESVEKAVNVLADSMCGVLLDTIIKGDPRIQEAKITWVGSDATSWVKHTKKASKGEPALEIVVEKGEALQNGDAWRIAMDACIPVMNLIDTRRSIPYGIQQVQKLLGIACSFDQVVQRLSTTMKTVAKGILKDHLVLVANSMTCTGNLNGFNNAGYKATFRSLKVQVPFTESTLFTPMKCFEKAAEKCHSDSLGCVVSSCSWGKHAALGTGSSFEILWNENQLKSNKEYGDGLYDFLALVRTDQEKARYTFLDDVDYLVEDNAIDDMCCLSPVLDDMPTFEDCLEEQVTEKGGPWDNGTTANARDNSWNVHATVENDSADWGGWGTEKIKDKKTVPEEPADTWADQSAKKDTDGGGGNWGKQPADQGNSSWNVPVTVENESADWGGWGTEKAKDKKTMPEEPAGLDTWPDQSAKKGTDGGGRNWGKQPADQGNSSWNVPATAENDSADWGGWGSEKGKGKSVHEEPAEINTWADQSSKKDTDGGDGGDGTWEKQASSCKKDVDQASWGNEPGPLSRNTWDDKVSKPHTDAQNDSWGSVAAKTRTCTAEDVPWGSTQTSSAEHMDAQNDSWGNVAAKAASPSDNAWNAAPVSQGNENSEAKEPDAWDGWGSAQANDSSTDDLNKSSASNNSKGWKSDGWAAKESRRDQRDNLGRPPMRPVERPPRPRFELPADAKTILHEIEPIVLSVRKIFRESCDGVNLSLEDEKFIKEKILEHHPEKEKKLSSETDHIMVNKHHTFQESRCFYVVSSDGTQTDFSYIKCLDNYVRKSYAEEPAELVSQMYFQRRNRDRAPAEASQPTPAENSQATPQEVQLETPAPLAETSQATPHEAQLEAPAPLAETASQEALASPSATQQETPVATPQETLAATETINKWAEKPDSDSAWGAGASDDKWA >cds.KYUSt_scaffold_869.138 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:758696:761856:-1 gene:KYUSg_scaffold_869.138 transcript:KYUSt_scaffold_869.138 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCCGADDVGKKKKRDDPYVPVPAPGGNNGYNRGPAPTRAIRAGRTQPIEVPDIPLEEMKEITKNFSSDALIGEGSYARVFFGVLKDGKKCAVKRLDSSKQPDQEFLAQVSAVSRLKHDNVIQLLGYCAVGSTRVLAYEYATRGSLHDILHGKKGVKGSQPGPALSWMQRARIAVSAARGLEFLHEKAEPRVVHRDIKSSNIMLFDNDVAKLGDFDVSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDPRLGQEYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALAPLLNSRSSNRPAASASTPAVE >cds.KYUSt_chr5.19706 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127826032:127826526:1 gene:KYUSg_chr5.19706 transcript:KYUSt_chr5.19706 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFKLHSMSFAAIVVVLTLAAQRLAAAAGTSAHLHVYMHDVLGDSAVIVAKGPRGVFGNTVVMDDVLTDGTAATSAAVGRAQGQYMVASSNGGFELMVNMNVVLTSGPYAGSSVTVMGRDDTGVAVRELTVVGGTGQFRMARGYVLWKTVRPDLLELDIYVNP >cds.KYUSt_chr5.43282 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272887639:272889387:-1 gene:KYUSg_chr5.43282 transcript:KYUSt_chr5.43282 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHDQVLCTRPLTSCAAVLNQRGHGITFAPHGDRWRQLRKACVHALLNAKCVRSFRRIREEAAARFIRSIASSSFESQEAMNLSRMIAEYGADTTVHSVMGARFKEQDALLHYVDEAVRVVGCLTVSDLFPSWRLLRVLSGTLRRAAAFRDSSLAFMERFIGEHLERRTSLRLPVPEEDDDVIQVLLSIQRQGNLQFPISMDNVKLSSVIAKVRDAFMGKTEVTEEGLGKLSYLQCVIKETLRLHAPGPLLLPRECQEPCTILGCDVPRGTIVIVNNWAISRDPEYWDEPETFIPDRFMGRATDYKGNNFEFTPFGSVGRRISSGPYGALTISPTVRSAGDSFTSLTSTLPLQGSTARASGPTSDDLQQNDRSRYGTGAIRSAHCNYRPGMLFGLANVELGLASLLFYFDWALPDGLLPGALDMTEAMGIDHC >cds.KYUSt_chr1.3593 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21854414:21856899:-1 gene:KYUSg_chr1.3593 transcript:KYUSt_chr1.3593 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAEPDALVPSALAVAGDGGPHPAVAGDGGVGGRVASQGRCCYKPEWTLPAMRYYCYKNDGLHVGGGMLQRYVGVATDDERRCCKDMATMLAEHSDDAAKVAYLCCKEIIMVQALALSLCFPISPPFVVLNVRFVDSGGSEFLQHFDLMGGEGHLLVPNPISYRSFTS >cds.KYUSt_chr3.31442 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197480676:197481233:-1 gene:KYUSg_chr3.31442 transcript:KYUSt_chr3.31442 gene_biotype:protein_coding transcript_biotype:protein_coding MERISCHADLRALQDRSDRRMFLNLVSLESVSIASDSHALLRNLVVGRRNWRCSETDMDFLSLVASVAVELHKIKLALAPLLDREAKPGEAYPKDLLLLKNSALALLSLWEDANEIVKKCLGSPLVEQDVFLGHAENVGAVLEDSVNQVLRGQRNFAWLQQRVPTFLNGVDLLLSTPVCFPYSSE >cds.KYUSt_chr7.24699 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153968438:153969806:1 gene:KYUSg_chr7.24699 transcript:KYUSt_chr7.24699 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLKVCFTLYLFAVVCGGHVHGVSNGASGGVYDVTEYGATPGSEDNKDAFVAAWRAACGSTAGNATLLVPAGTFAVGAVEFSGPCKNAPVFVIDGVLRPCTGGCHLSDDAWITFSALTNLLVTGAGTLDGQGGVEKNKPKTTTLELDGVTNSTVRGLRFVDSRGFHVSVHRSSRVAAEGLHIHAPAASHNTDGIHVGFSSHVRITDSVIGTGDDCVSVGPGSTDVVVTGVICGPGHGISVGSLGREEGEEEVRGLVVRNCTVRGTTNGLRIKTWPGSPPGLASNITFEDIAMADVANPIVIDQSYCPHNRCGDDADKPSLVQISDVTFRRIEGTSSSKVAVQLRCSEERPCIGVRLDGVNLRCGDDQPCRAQFANVRGAPALEAPAPGPWVPIDQDARPAPSDTE >cds.KYUSt_chr5.40858 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257882856:257883152:1 gene:KYUSg_chr5.40858 transcript:KYUSt_chr5.40858 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTSVDVAVLRRRGVLDNLLSNDDEAATFFNQLGGIALFRDTNEYCNCRWNRYMALFKRDHLRTPCSIIKLIVASILLCASVMSVCYIICRYHHACS >cds.KYUSt_chr4.39788 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245692631:245696875:-1 gene:KYUSg_chr4.39788 transcript:KYUSt_chr4.39788 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATMTWHEELATLVGDAAPAPNSARGWYGHDDDEEPKPEEGWAQQAKGFAQSTAEMLRELGLGVWDVAAQSLAGAEDSDLARRLRRPAAAAGKRLSFMNEFLPEDRDPARCWAVVAAVAFVALLVLGLGSVDDTPTPVEQPKKLYISPPNAKRFQLPDGRHLAYEEKGISADRARFSLIAPHSFLSSRLAGIPGISTSLLEEFGARLVTYDLPGFGESDPHPGRNLNSSALDMLHLADALGVVDKFWVVGYSGGGMHAWSALRYIPDRVAGAAMFAPMANPYDSKMSKDEKRKIWDRWSTKRKLMHILARRFPSLLRLFYHWSFLSGKQGQPESWLSVSLGKKDKTLLEAPMFNAFWEKDVAESVRQADAQPFVEEAAMQVSDWGFSLSDIQMQKKEDQGLFELIMSLFSHTEREWVGFLGPIHIWQGMDDRVVPPSATEFVRRMVPGATVHKLLEEGHFSYFCFCDECHRQIFSTLFGTPQGPIDPVPETSEPTTELAEETTTAYEEEAAEQEQEPTGSA >cds.KYUSt_chr5.21756 pep primary_assembly:MPB_Lper_Kyuss_1697:5:142022105:142045477:-1 gene:KYUSg_chr5.21756 transcript:KYUSt_chr5.21756 gene_biotype:protein_coding transcript_biotype:protein_coding MPPANDPPAALPLTLDLEDFKARRLIRFDSGDFSFDALFGDLVDELLPEFRADDAAGVPPPPPPLLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVATQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVASAVGSANASRGLEVAVANLQEYCNELENRLLSRFDTASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEIMNTDIQVVLGDDGPEADSSTIEEGLSILYKEIADTVRKEATTIMAVFPSPNEVMSILVQRVLEQRVTAILDKLLIRPSLASLPPIEEGGLLHYLRVLAVAYDKTKELAKELQSIGCGDLDIEGLTESIYVCHKDEYTEFEQASVRQLYQSKMAELRAEAKQQSDSTGSIGRAKGASATTSPQQLLSVTVVNEFVRWNEEAISRCTLLFSQPTTVATNVRSIFACLLDQVSQYLTEGLDRARESLNEAAVMRDKYGIGTSVSRRLAAAAASAAEAAAAQGESSFRSFMIAVQRCASSVAILQQYFSNTISRLLLPVDGAHPAACEDMGSAVSVVEAAAHKGLLQCIDTVMCEVERLLSSEQKATDYRSPDDGAAPDHRPTNACIRIVAYLSRVLEVAFSALEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMANVFIVAPESLASLFEGSPSIRKDALRFIQLRDDYKTAKIASMLNSIMSE >cds.KYUSt_chr3.41398 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261148484:261151859:1 gene:KYUSg_chr3.41398 transcript:KYUSt_chr3.41398 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVDVAATPAPAKANGGGAGCCAAKGPGYATPREAMEKGPREGLLYVTCVYNGTGINKPDYLATVDVNPSSATYSQVIHRLPATHIGDELHHSGWNACSSCHGDPSTSRRFLILPSLLSGRVYVVDTATDPRAPALHKVVQAEDIAEKTGLGFPHTSHCLATGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSSWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGSTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAISIKPLKVRNWMLPEMPGLITDFVLSLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWVGGLLQKGSDAVFVTDDDKEEQYDVPQVKGNRLRGGPQMIQLSLDGKRVYVTNSLFSRWDEQFYGVDLLRKGSHMLQIDVDTEKGGLAINPNFFVDFGTEPEGPSLAHEMRYPGGDCTSDIWI >cds.KYUSt_chr2.18388 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115890846:115891109:-1 gene:KYUSg_chr2.18388 transcript:KYUSt_chr2.18388 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLAAARQLAARAAAPSSSRNAAPREVIKLEESSDDDIYRPSPPRAGDAGRGTSRWYEAPPPQDDAGSSDDDDGGDYTTFYRHFGM >cds.KYUSt_chr2.36587 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225871095:225877237:1 gene:KYUSg_chr2.36587 transcript:KYUSt_chr2.36587 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLMANSGKLDRKELVNSNLPAKARKVPCQGSTLLLNLPVGKSQSEALKVLSLTGRPRYEMCTSLDLKSPTELIHVDTQRYYRLRYRLRYRNGLWEYWIPSVKHLWTLSSAQLVLLLVDVNEFLVTYLQLTVACIKMNRPEIAARAVKVAENRISTDKWPEYYDTKRGRFIGKQARLFQTWFIAGFLVAKLLLENPEKSRILWNNEDEEILNALSLMTGPSSPKRKRGRKTNIVGRHRITDDCIEIQNWSAIFETRLSNQKKKEPDLTPLLKLIWGTLGEGTLGTRTKMDLSMKPKLSMLSESLLRSEYAGDPKDMLPKMSASFPLDADLAKRGGEISSSPASNDGDDSEKSESPLTIPTRPELRDNAILPSRRGSGKLRTSSLLALPLPVSDALRSRVGAFPEFRHCSRHVH >cds.KYUSt_chr4.53862 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333241823:333242200:-1 gene:KYUSg_chr4.53862 transcript:KYUSt_chr4.53862 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGRVTGRQTLRVCPSKRKAEEDAAAGSFEAQGKVFPDSEAVEAPTKGKTMLMAHEDIQSILSHKARGRSFKEFQARVAKEVEETGEFVMSEEHMKNVQETRAWFRNEILNLRSDYPGVVFED >cds.KYUSt_chr7.16061 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99646038:99650069:1 gene:KYUSg_chr7.16061 transcript:KYUSt_chr7.16061 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSASTTLQYTGIGLFIAIVLLGLVYFARSINTARRARRGGDAGIGSGHVDLFTGEQLGLAPDDVAVLPKFTYHAASPGRWGGVGKAKAASADGCAVCIEELQEGALVRMLPSCKHYFHASCVDVWLLSHATCPVCRASPGPEKVRLGVASMSPPLPELRPYGASPKGGETTRVHNAAASRSPSPVIRSPTHSELFLHASIANSVMSPSPTRPVTPDSRMGRSSSPSPAMADPHLAESTCSITSDYFRLLFPGTSIVNIRFNFRQIRIVDVTGLDGMDLVAIDGDTPVHADKEGVTANVSNRLEDAILAPPDGSCSDELPPETFKRHRSKVLLDPCCTRLIRNLAPPPIRGLAGGQRVKSETSTFIGATWNWHAIASKSTGLGEDRPQ >cds.KYUSt_chr7.20131 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124728576:124730699:-1 gene:KYUSg_chr7.20131 transcript:KYUSt_chr7.20131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit RPN12 [Source: Projected from Oryza sativa (Os07g0435100)] MDPKLVEVTQLFARFKAAYARNDLDVCANLLSQLKVLLTKFPSLPPSFQQTPNAVEELTTARDIYEHAVVLSVKMEDQDAFERDFCQLKPYYMDTCGIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPAKALENLCIKHAVELEQSFMEGAYNRVLTARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDYLSISDAKQMFMFSSDQELQQYIAEEHPEWDVKNGSVFFQKVKESQPCKEIPAAPVITQTLGYARELERIV >cds.KYUSt_contig_605.97 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:584986:619352:1 gene:KYUSg_contig_605.97 transcript:KYUSt_contig_605.97 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGGPLRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSSILKHKMVDQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLGEADARKYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGATLLRTTCGTPNYVAPEVLSHKGYDGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAVFPNGAKSLIRRILDPKPDTRIRIEEVRNDEWFKENYEPIREVDNEEVNLDDVNAAFDDPEGDTEHTFDDEAGPLTLNAFDLIILSQGLNLAALFDRRQDNGKLQNRFLSRKPANVILSSMEVVAQSMGFKTHIRNYKMRVEGPNANKTSHLTVMLQ >cds.KYUSt_contig_915.104 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:683962:687029:-1 gene:KYUSg_contig_915.104 transcript:KYUSt_contig_915.104 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGSEIKDDLEGETVVLDGEAAGRPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPLYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLSVPLVMSYHTHVPLYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSAAISKDFETAHVISASRIRLWNKGVDSASFHPRFRSNEMRVRLSDGEPERPLIIHVGRFGREKNLDFLKMVMDRLPGVRIAFIGDGPYRTELEEMFEGMPVVFTGMMQGEELSQAYASGDVFVMPSESETLGQVVLESMSSGVPVVAVRAGGIPDIIPEDVEGKTSFLFAPGDLDDCVGKIELLLTDRAFRDEMGTTARAEMEKCDWRAASKTIRNEFYNAAIFYWQKKRAELFQPLQWLAQMFIPTTNHVSRIAQC >cds.KYUSt_chr7.15660 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97234426:97234686:1 gene:KYUSg_chr7.15660 transcript:KYUSt_chr7.15660 gene_biotype:protein_coding transcript_biotype:protein_coding MPCARSLFQAIDGLLKMTEMMWASRILKSWRLPHEHLFLKNPIQKSMAGAQLIGCQWPCAHVWIVLMPRGMRLVCGLGNDEHNRGN >cds.KYUSt_chr2.47399 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296572360:296577239:-1 gene:KYUSg_chr2.47399 transcript:KYUSt_chr2.47399 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRLADSFLRVLSSPRSSFQSTINMDCCFMFRKRSQPVEGDDDVHSVKVFSYNELRKATLDFSGANKIGEGGFGSVFRGMLKDGRLVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLIGCCAEGSHRILVYNYLEKNSLSQTLLGSNYSSILFDWKARVKIAVGVARGLAFLHEEIRPHIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSFGVLLLEIVCGRCNTNTRLPSEDQFLLEKTWALYEQEHLEEIIDADIDNDQDIEEACLFVKIGLLCTQDAMARRPHMSAVVRMLTGSKRVSMEKITRPAMITDFADLKVSSKPQEVNRASPNTSRSFSTTEVTEPLFSSSDTPTQVSV >cds.KYUSt_chr6.31224 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197746887:197749077:-1 gene:KYUSg_chr6.31224 transcript:KYUSt_chr6.31224 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPLVDDVTAEILLRLPPDEPEHLIRAALVCKPWLRVICDAGFRRSYRDFHGAPPLLGFLHRLMVFQGDPTARFASTTSMPEFPHPGSGGRRTRPLDCRHGRVLIHMLPGFLVWDPVTGDRHHLPPEPEEIDWLIYSAAVLCAADGCDHLDCRGGPFRVVFAATHEDKDIILASVYSSETGEWSLPMDPLGRFYTPYLQPRRGALVGDAVYFTVRRGNAIAKYDLGKDRLSMIDPPQHCLVHGIAVTAVENYTSLGFTCIQGSTLHTWSRKVDTEDTAEWVQYRVIELEKTIHVANPQDELSVVGFAEGVGVIFVSSGAGLFMIKLNSGQVNKVDGPGEYFSVLPYMSFYLPKTGNGGGHDATDLLHST >cds.KYUSt_chr2.16255 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102224982:102226276:-1 gene:KYUSg_chr2.16255 transcript:KYUSt_chr2.16255 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGKSVGCRSYPRDAPVADIPVIDNGRRIKLQVTAARQSVGYRGDDKREDIQLQQARNTMRMYRPHEDKV >cds.KYUSt_chr7.17170 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106390644:106395603:1 gene:KYUSg_chr7.17170 transcript:KYUSt_chr7.17170 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSDSEESAPMIIGGVGFVVQVVSRDVSDELLGKFADTSEFDFDYERSGLWSPLVLRPEVLLLAHAQASSSRRRHRRWRWRKRRKVATMDIGVYTMIKDQIIARWRVWAVATCDDYIFSIFLVSAYSMGDVVVSYWESPEVVWSANRDHPVKENASVQLTEHGDLVLFDADGTMVWSTHTTDKSVAGMNLTSIGNLVLLNHENMELWRSFDHPTDTLVTGQILQEGQKLMARTSLANWASGKFYLTIQPDGMYAFAGTDTPLAYYRSPTGGTVTTNRSAYIALKNGSLQVCTYFRDTESPDYQIQLPRDNYGLVFLVANMVFVRMHSAAVPMLPSGSPDCLK >cds.KYUSt_chr4.4527 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25916292:25916735:-1 gene:KYUSg_chr4.4527 transcript:KYUSt_chr4.4527 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSARALLPAAHGVPAAALKAAATSGASFLVVCPAGDRAKAVLAALRAATNRAGLVLSSRVVARAAKRSGGGVDGSRTGEDPEEVDDVFFVGAGEYQFGGIAHLSLGGVYVFIIARKSPLAWHYKATPISALMGLIGLITISNY >cds.KYUSt_chr4.40398 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249329808:249334940:-1 gene:KYUSg_chr4.40398 transcript:KYUSt_chr4.40398 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGVVASAADLTNGNSVLGSTSVNLVADNSNNNIPSVNAGGAAPQNALFGTTTIIDEDLTESHTSRKSLISGAPKWILWRMGGAPQKFRHKNNLSVAHRPMRHRINISVAHVLLARRRGRWQPAGVPRHDLEGRHQQDGRPDGHVIGGGEVHGDTLSFVGVHRMVASAASHVTIIGGTRKYQNAKGFAAIQTLQTHDQHTTDGGKNMLQFNVHLS >cds.KYUSt_chr4.34315 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210578292:210583212:1 gene:KYUSg_chr4.34315 transcript:KYUSt_chr4.34315 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRMLNQNAEVLNKSAALHMNINAAKGLQEVLCTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDSSGDGTTSTVLFIGELMKQSERCIEEGTHPRFLVDGFEVAKRATLEFLETFKTPVVMGDQPDREILKMVARTTLRTKLYEGLADQLTDIVVNAVLCIRQSDEPIDLFMVEIMHMRHKFDVDTRLIEGLVLDHGSRHPDMKRRAENCYILTANVSLEYEKSEINAGFFYSNAEQREKMVSAERRQVDERVKKIIELKNKVCSGTDKNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAINSVDDLTEDCLGWAGLVYEHVLGEEKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTVEDEAVVLGAGAFEMAARKHLMDNVKKTVKGRAQLGVKAFADALLVIPKTLAENSGLDTQDVIVALENEHDRGLVVGLNHNSGEPVDPEMEGIYDNYIVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >cds.KYUSt_chr4.34938 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214654549:214657559:1 gene:KYUSg_chr4.34938 transcript:KYUSt_chr4.34938 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVAGNSKAATFSGLAKSCHSSADSVDEESSEEVVSLELLGFGCDAASICTSKLAHSNDQRSWSDLPTELVGHVLGCLPAHIDRIRFAAVCHHWCISARHPGIPPPLPWLVLSDGAAFTLPRGESFQIPNSTSFHSCSGEWLVFSTEKTCSLVNTFSMANLKLPDLHCFNLIDEPHQVINGHEIPNTVLNIEAEILIWKIVVCSEVLVAAMVRVGDLYTLALCHPGAKSWFVSALGRIISVADMMFYGGKLYTVDDEGDLCSIIVEEDIDSGKLRLSRIELVVTEAPFFFPPWPFSGAIGTQNYLVESCGALLLVRRRTFEQRFGDVLDITIKVVRIEFEVLEANFKLAKWVKVTSVGDDQVLFVGKSSQSICVSRYRQKGNCIFFLEDIEGWNFEDAPSSYAIYDMKDGTLDSPLPRGSCKGKKPPATWLFPR >cds.KYUSt_chr4.47736 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295497176:295499897:-1 gene:KYUSg_chr4.47736 transcript:KYUSt_chr4.47736 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVHCFLLESVTFGVPGVQPARPPRRGGPKAQGGPIRVHRGRPQQQERAATGANPVHKKVPVLLHGDRRPAICESLVIVQYIDEAFVDGPALLPADPHARATARFWARFIDEKCWRSAWMALWAEDGEAREAAAREAKANLTLLETQLEGKRFFGGDRVGFLDVAAGGIAHWLGVFEEIAGVRLLGDDEHPALCRWASDYTADEAVRPCLPDREALLAAMATKKERYVSIARAMARKRM >cds.KYUSt_chr1.10149 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62225835:62227271:-1 gene:KYUSg_chr1.10149 transcript:KYUSt_chr1.10149 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRCLSSLPLLSPSCSRKACAVVKTHPVNSAAAHRRIRTHMSVATGGEQALTAQEQFQEPEYGVVSIHHVGILCENLERSIAFYQDILGLKVNLARPNDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIKDVLKLKEIFDKAGISLFLLYFPLL >cds.KYUSt_chr3.21553 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132292107:132294560:-1 gene:KYUSg_chr3.21553 transcript:KYUSt_chr3.21553 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRELCLYFLHVAAHPHVDMIQTVPYWRSQMLHFVPEIILANISNQPSWYEDMFDEDDVNRLPFRLGLGRVMDAAGYLQDS >cds.KYUSt_chr6.27204 pep primary_assembly:MPB_Lper_Kyuss_1697:6:172546107:172547573:1 gene:KYUSg_chr6.27204 transcript:KYUSt_chr6.27204 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALLLRAHHPCNPSPPPPRTAIVCRAMASTAAAAAQALRSMAPPHRPELLSLDLPRYDPARSRPVDLAIVGGGPAGLAVAQRVAEAGLSVVSIDPSPGLVWPNNYGVWVDEFEAMGLTDCLDTVWPAASVYIDDTTSPKSLHRPYARVARRKLKSTMMDRCVAHGVAFHQAKVAKAVHNEASSLLICDDGVAIPATVVLDATGFARCLVQYDRPYNPGYQVAYGVLAEVDAHPFDIDKMLFMDWRDSHLPEGSAIKDRNTRIPTFLYAMPFSPTRIFLEETSLVARPGLAMDDIQERMAARLKHLGIRIRSVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADAIVRYLDSGTGGVTGGDALAAEVWKELWPTDRRRQREFFCFGMDVLLKLDLPGTRRFFNAFFDLEPHYWHGFLSSRLFLPELLMFGLSLFANASNTSKLEIMAKGTLPLAKMVGNLIQDKDR >cds.KYUSt_chr4.11006 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66873700:66875301:-1 gene:KYUSg_chr4.11006 transcript:KYUSt_chr4.11006 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPALSHPVLATSVRAFVPDASPSLANSNRRLVLTGFGNVFLSIDSSMTASTASPTSSLRTRFWQNRRYRRQMRDDVYVQEGGAVWRHGGIDGSFRFRFRFRCSTLSLMLWPLDQAGLVVFGDVGDAAPTCDIIRCIQGGNITCANRPGKVFEGCACVCAPEDGKGCVLHLDDGTSQKCTKTSG >cds.KYUSt_chr6.29301 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185598269:185599390:1 gene:KYUSg_chr6.29301 transcript:KYUSt_chr6.29301 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHSRHSTPTLSDDDVFSVFLRIPPDDPARLVRASLACKAWRRIVTGPEFCRLYREFHGAPPMLGFVVGFWTRNTDGVSRFITATSFRPSSGTDSYPSNWKAVDSRHGRILVRPISGGYIVWDPITNGRWGVPFPSMDRQSSTAAVLCAKERCDHLGCHGHPFLVAMVASWQGTTSACVYSSESGLWSDTITLKHPDYINNTGRSILVGNTLYFPFDDSCRVLQYKLGEQKLSVIDVPKVNWKERLVFISAEDGVVVFAGLRGTRLSLWSAEPAGPGGALAWVERRVIELETLLPPRAFEPRPHPVGARYENEPNVSGFAEGVVFLSTMAGLFTVELSSGQTKKVAEVKMAKNVTPWSSFYTQGTTLSVVS >cds.KYUSt_chr2.41145 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255736631:255737143:-1 gene:KYUSg_chr2.41145 transcript:KYUSt_chr2.41145 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLLLLLAIALPLPPATAQLAPAPASPVKEDKVRCLRGVKQGLKDPDGNLASWTFTNITPGTICEFAGVFCWNRGESLSIALELSGFGLQGAVPSSLQYCRSTTMLDLSNNSLSGPIPAALCDWLPFLVKLDLSGNRLSGPIPSELANCRFLSSLKLSGNKLSGQIP >cds.KYUSt_chr3.24008 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149003284:149007282:1 gene:KYUSg_chr3.24008 transcript:KYUSt_chr3.24008 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQHVQNRDDQFVWPWMGVLVNVPTEWKNGRHVGESGNRLKERLSCFCPQKVIPLWNYRGHTGNAIVEFGKDWIGFNSALAFENHFESEGYGKLDWKMRKPRGSEMFGWIARADDQKSPGPIGEYLQKNGDLKTISDVEDEETRKTDKLVANLAGEIELKRRHVEELKCKYNETTTTLDMMMEQKDQILREYTEEIHRMQQIARAHSQRIIDENQKRRSELESKMQDLDSRSKQLDELAARSVSDRRKLEHEKEKNGVKTNHLKMATLEQQRADENVLKLVEKHKLEKQAALDKIIKLEQQLDAKQKLELEIKQLQGKLEVMKHMPGEEESESKKKIDELSEELQDKYDEMDGMESLHRTLLIKEQKSNEELQDARKKLIYGLQNITTGRANIGIKSMGELNLKSFVVALKSKFSIEDAEVTAAILCSKWQAEIENLEWHPFRVVMVDGKKTELLCEDDEKLHALKEEYGEEVYALVTKALLELNEYNPSGRCAVPELWNYKEGRKATLKEVFQYVLRQWRAHKKR >cds.KYUSt_chr2.10584 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67338374:67338970:1 gene:KYUSg_chr2.10584 transcript:KYUSt_chr2.10584 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSNVPPTCAPRQKKSASERQARTGPEEDYAKETPAMAYIAPSKPMPCTRTKTNSSSRPRDSPSNSYSTPNEVTTPWRRCCMYRHAGLRVSPDARGRGFAVEAITTPSRRKRHPQASPSSARSRDFSLARRSSPRGPSEQLQTEMEDHQRKERNFASSSLGEGLHATAGRIMAGGLHPPPHGPTPAGHRAGAH >cds.KYUSt_chr2.36514 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225379848:225381395:-1 gene:KYUSg_chr2.36514 transcript:KYUSt_chr2.36514 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAQPRSGGEDRISELPEALLSDILSRLGTAEAARTVVLSTRFRDAWLGTPLRLDDLQLPAPARGKVPSIEPWTARADAITRALASHPGPVPLFRLSRTTFRGRVSAAEAWFRDLAARGAREVSLRCSPEWCHEARADPLLASPTLEVLALGKCRLTDAGASAAAAARLTELTLSETSLSEAGLQSALSGCPALRTLMLKHVHGIQRIRVSSCRSLVLLGVWHYKQLEEITVEDAPCLERLLGNIRLNAAITIAGAPKLTALGYVVASIPMSFLGETAPPGVNKGIRAPIPSVKVLAISVKFSKKEDMEKAISVLEFFPFLETLHVQSSDPSYEVAADENDAIVSDYYQQCDPISCLTRHLRIVRLGCEHHNRSMLEFACFLLARAHVLQFMKIQSRMSTNQQNLLKQSHMASLDAELVFENVKDRKSFTLEAVTALSDPFDGDTNILGY >cds.KYUSt_chr4.23137 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145642328:145642921:1 gene:KYUSg_chr4.23137 transcript:KYUSt_chr4.23137 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPASFIHSTTPAPTSSSSSSTSATVSIDPDMVVILASLLCALICVVGLALVARCACRRAHRSSPSTTTGINSRSHLPRKGLKKKAIDALPVTAASGSGQGEELEDQCAICLADFAAGEQLRVLPQCGHAFHVACVDTWLGAHATCPSCRATILDSSSSSSSSPPPPVPGRCRRCGATCVDDNAPAAAMDESGFLP >cds.KYUSt_chr7.30435 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189506975:189513902:1 gene:KYUSg_chr7.30435 transcript:KYUSt_chr7.30435 gene_biotype:protein_coding transcript_biotype:protein_coding MREYWDLPNEEYFRRTGKDWLIILLSNTNRTMHQATLLVLWRAWHLRDDIIHAKGEASIEHSARFLLSYANSFNSNHPSCDHPQDIDTKGKKTVVTSSIPEPQKINSILGGKKSREPPPVGWLKANSDGSFITETQSGSTGVVIRDHNGKVIIAAGKILPLCGSAVEAEAMCLLHAVHLANSKTSDKIIFHVVHLANSKTRDKIIFELDCATVVKDMPLGNDSLSQLRSIYGNVSSMLKNFSVWNCVYANRAQNIVAHECASSRLYLDKLIPTDISLQMADKSTAIPVGICEDVPVQVTNNCLILTDFVVLEMPEDDNMSIILGRPFLNTAGAVIDCNKGKVTFNVDDREHTVYFPKRIDKVCGVNTISNVRTIKVGTIDCPIYEPKEEYQTLVIGSISIQFKKRGMDNFNFGEVFQGETTSTGRPSRSSTRFRQSYNEDLIAPSFAPEEDNGVPNASSFPCYDFLRNAGLLDDFLTLVGTARKIEDNPKELLELYRGITNDDCRTIQRGKIRNIQLPAIKYFSYYIGTSILGRENTSNISSYHLGFLIAALTGDTPYHLGALVARRLSNKGPIFGGIIASRVLAYLELPLDPTDVKITPMRLDIAAMKSHQVVTADSNLDNIVYRILFADGEEREILLPQPDLFSIDRKPWSRSKEEVDEQLKIQGFHQQHDSEDAEPSYDYTVTYPGASSSTYPEYDPSSSYYEGATSWAPWD >cds.KYUSt_chr2.39395 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244273121:244276113:-1 gene:KYUSg_chr2.39395 transcript:KYUSt_chr2.39395 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGTSTSTPRHAEQQRQSPVPVRAKQRRQQQHSGGRTHGRRAMERAVPVRNPHTSTADLLTWSPTAAGASAASPAASSRPSLKPAGGITPAMFGAPVSEDDAEDLSSSERRLMSGSKMKEMTGSGIFAEKGENGDSESSNTANRTSVRMYQQTVAGMSQISFSADGSVSPKKPSSLPEVAKQRELSGTFESDAEAKTSKQLSESKNKELSGSNIFGPPPVTPVRPLAARNMELQGNVDFALPQPRSVHTSVKVSHPAGGPSNITFSEDPATKTSKKIHNQKFQELTGNNIFKEDASPGSDKSLSSAKLKEMSGNDIFSDGKASSRDYLGGVRKPPGGESSIALI >cds.KYUSt_chr5.4801 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30495987:30496736:-1 gene:KYUSg_chr5.4801 transcript:KYUSt_chr5.4801 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNAVTKDRQQDVISMRLQLNYIQAFCEDHCRSRAATCKLLEVYLKDMEGLAYEIEDFIDCFLSKFKPRDRKFPSSIPGRAWRFAMRMMIPSSIQIDWKIRELNERSAAAGERFKRYADTIKDAQINRKAAPTPSQVASHISMEDAVKELLQLLLRKRSESEKKKLKVISIVGYGTLGNTHLVHKVYNNNQVRNEFHVRARVNAAGKILRQILEEIQQQLPRRKNGAVTSNVDDHKGKSAVEHQKDGR >cds.KYUSt_chr1.3185 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19286832:19292790:-1 gene:KYUSg_chr1.3185 transcript:KYUSt_chr1.3185 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHAIPGGLPKYVNNAPEVKDNSSFILDLTHHPYLDHMEPRIARLTMLKLSGNDLTLIWFKRRIQPTEFHDRLMCKYTYVNDKLRISRTMDGISRKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGKRSGVRYVTGGLKVYYVPWRPFLMQNTLPTLFMTFPVIRTILIRERISVVHGHQAFSTLCHEALMHARTMGYKFTLADIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPSPNRLSRDEIIIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPDPEDMVRAVRKAIDILPGIDPQTMHLRMKRLYSWDDVAKRTEIVYDRAMQSSHTNLLDRLPK >cds.KYUSt_chr3.21201 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129957863:129964851:1 gene:KYUSg_chr3.21201 transcript:KYUSt_chr3.21201 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNGPKFVAPRTARYRLHPESTVNAPAILSHAPVDPLPPCDAAAADADAASCNRRSDGTTHVEAAQTAPSEHQASAHLRGDDVDNLLNRGEVDKIPEGCFEVPRLDLGCVQPDLLSIESDTQIHHRSAGPWDRDGTRRVHGQHMACLISSLDMNNIFKAKVLEDLQDSGSSTTEPKAQEAWQKLPCVLDGKNCYAQEFKKPGKNYQLHSIQHLLLIGVAWFRETIRSYPFFRMYPRKTSGALGDVVVDEEAPGSNGVSFLEEQLNIHDVASASNPNLTAQEDESIDAAVEEELDLEISEEAPDKYGEEMTSFT >cds.KYUSt_contig_319.575 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3622954:3634200:1 gene:KYUSg_contig_319.575 transcript:KYUSt_contig_319.575 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTRPLVSVKALEGDMATDAAGLALAEVFRAPIRPDIVRFAHRLLSCNKRQPYAVSPKAGHQTSAESWGTGRAVSRIPRVRGGGTHRAGQGAFGNMCRGGRMFAPTKVWRKWHRRVNVQLRRVAVTSALAATAVPALVLARGHRIETVPEMPLVISDSAESIEKTSQAIKILKQIGAYADAEKAKDSVGIRAGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFDAPSLKKKGFVLPRSKMSNADLGRIINSDEVQSVVKPINKEIKLREKRRNPLKNAAAVLKLNPYFGTARRMATLAEAARVKARKEKIASKRTKLTPEEAANVKAAGKAWYKTMISDSDYTEFDVFSKWLGVSHCGFCRWIVVVLFDGEAKMYLHTDWKKFARADDIELNCLVNFIYEGNVKMSVKTDLNVVRSELLFSNMNFYTGSKFPYGEMASPINFNRFLEKEKLKSNGSNFTDWFRHVRIFLCGGNLQFVLDAPLVRCAILCDLESDLQKRFEHHDPHELMNELKAIFETHAAMECYEASKHFFSCMMEEGSSVSEHMLAMTGHAKKLDDLGIVIPNRSGINRVLQSLPPSYKNFVMNYNMQNMNKELPELFGMLKAAEIEIKKEHQVLMVNKTTSFKKQGQV >cds.KYUSt_chr7.732 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4040976:4046291:-1 gene:KYUSg_chr7.732 transcript:KYUSt_chr7.732 gene_biotype:protein_coding transcript_biotype:protein_coding METCKSELEAHFAKKVPEIPFEKTLDPVKVVHTIENLYDPVPSPPSDYRCSIERSYDNMVQAKKTRSIGGLGEKRETSAPARRTTRSIGLPLKVFDEKAVESSRQNTTDYPIAEVAYKFVQGKDLVENVRSLPTSMRNLHVWYTNATKRGIEAIMVRVKDDHYFQEYSVSVDFCELFQLYNLRALDKSIVSCYCLSKNLECKRDEITDIGFIDSDTMHERTIDDPIYKKDTPETLLRELGRLRNLPCLRRRHRGAEHGLSNTMRAPESLLHRAAVAAGSGETSPPTSPEQQTAPEGMAVNSNMAVILASLLCALVCLLGLALASRCTCRRHRTSSPPPKGLKKKAIDALPTISYAASPESSPTAAVAAQSSSSECAICLVEFAEGEGLRVLPRCGHGFHVACVDAWLRTHATCPSCRAAIVAVEPPPVGPTVVVVVASEGRRCGRDMWRKRSLVPMELLAAAQGRGGELQAEDQGPGGGEIEPEEEAEGTEIRCLAEGKARCALRHSIRRPSPSYGQQQLQPKQLVTGRELLRSSQGK >cds.KYUSt_chr2.17578 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110756598:110757921:1 gene:KYUSg_chr2.17578 transcript:KYUSt_chr2.17578 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSPESRALYDLLKTESAEVYESKFIAYKKEILDAVWASLDDTNNQIKDLSDTVATAQYQMGADLEAAHHRFGSELAAVKGSLSAEIAALSSNVDRAIRSFPSTSAGRTPTPTSWRSGDGAVGQGHGDDPLHRGKASASHQPPPVGGMHSGQISSLCTIPSTGLLHSDAAASAPRVELPQFDGANSKLWQRRCEEYFHRWGTPETMWISYSSSLFTGAAATWLEAFLNKCPDAGWSEFVQAVHARFQRNQHQLLLRRLFHVSQTSSVEEYVQKFSDLVDQISAYEADGITPASDHFGTHGHSSERPQAHPLPPPPPVPPAKWITKPVEEKRVSDSGRTGAEDKWASLKAYRRSKGLCFVCGEKWGRDHQCKAAIQLHVVQEMIDYMRLAENDS >cds.KYUSt_chr1.38177 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233220611:233221052:1 gene:KYUSg_chr1.38177 transcript:KYUSt_chr1.38177 gene_biotype:protein_coding transcript_biotype:protein_coding MADSCGGSIRWRWSDGLLTADEEGLLGGNRLHNPSQTPPIHLPRTAPALNASSKLTIGDETSTAAASPPVFLQEGLAHADAHARHPVPLAVTALSI >cds.KYUSt_chr5.7562 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47700815:47702341:1 gene:KYUSg_chr5.7562 transcript:KYUSt_chr5.7562 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVEITQRTVLRPSSGSAWGDGKKVPLTVFDCASTDGYIPTVFAWNAPAPANSALMDGLLDAVARFPHLAGRFAVDDHGRKCIHLNDAGVLVLEATAATDLAEALPQDVPAHISELYPDAENGRAGEPLFQVQLTRYTCGGLIIGMVSHHQVADGQSMSGFSTAWATAVRTDSTVLPSPFNDRATTVNPRSPPAPVFDHRNIEFKGEHSSSHSYRVLPMDRIKNLAVHFPEEFVADLKSRVGARCSTFQCLLAHVWKKVTAARDLDPEDFTQVRVAVNCRGRAEPPVPMDFFGNMVLWAFPRMQVRDLLSSGYPAVVGAIREAVARVDDEYVQSFVDFGRGTQDGGQELAATAATPGTSFCPDLEVDSWLGFRFHDMDFGHGPPCAFLPPDLPIDGIMVFVPSCAAKGGVDLFIALDDEHVEAFEHICYSID >cds.KYUSt_chr6.28715 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181985772:181987751:1 gene:KYUSg_chr6.28715 transcript:KYUSt_chr6.28715 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAGQGELRTYADVEEFFNNMSSEGPSAQDRIDYIVPYIISLLPPPFIPAPDAADASDSEDEHFSLTSSSDDEGDAAGADWSAVFLPAQGDGQDHISRLSNDLLSNVISRLPTKEAARTMVLSSRWRCLWPATPLLVDDAHLRYPGESREIPGFHAVRAISRCVAAHPGPVRGVRITRTSFHQQEYALQRMVAGLAAKNVQDLVLFNRPWPLNMPLPDDILRCASLTRLYLGVWHFPDTAAHRPNLPNLQELGIFHTIIADRDIDALLARCRKLKILSFAMSYNSDSRLRVRSRSLCAVVEWRCSLEEILVDDAPCLERLLFDSIGERRLVKIVQAPRLEVLGFLDLQLHELQIGGIVIKAGMNVRARAMLPSLKIFAVKVRFLDQTEAKMLPTLLRCFPSLETLHVMSIPGSTDTVDRAGFWESLGSCDCLRSHLKTLVLHGFQNLNQELLFLNYILEKGKMLKTLCIVRSEIDDFLAEACHVVPEVGPTSGFILERGTPSGGSSGSDISVCPASRGWSFQNAIDFSVKDPFYASRHDVTWIACRTEDESLCF >cds.KYUSt_chr7.20692 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128319225:128320880:-1 gene:KYUSg_chr7.20692 transcript:KYUSt_chr7.20692 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWIRGGLDFPFPTRSIGYFMIFKVMRGDVFKVTIFDYTMTEVVQRLSEECVLDAEKGWYPHHFRKKENTRVFASSFCNSIMSYQQGNMANHPQDQQQAYVAPPPPAGYPKADADQQYPAAGGAADTTSRGGHHGHHHNGGGFLRGCCAALCCCCLLDACF >cds.KYUSt_chr1.7385 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45247892:45251382:1 gene:KYUSg_chr1.7385 transcript:KYUSt_chr1.7385 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPTYTSRYLPIHFLELEAEPQMRRSDAKPMEEMAQGRPHFVEMLRQASIQYDHPHPQVVGCRSCGDTMPCMELRQPSGEDPEAKKHEVLVPRELRQEAGSLRVLAPVHDRQFLLMYGVLITETKENNDNSGQRRYDCSWSPLRPYKTKVRTASRQTSLYPALATPGYKRKLLGSCRMAGGRRAGSRRDHGYEDLRAAPARCGTIFLRRPEAKASTRWHHPPPTEPKVSSCQHHLSPLVEGKSGMVGDAGGMPRVERLVAEARGRDLGGGGHVGAGTSAAGS >cds.KYUSt_chr7.22159 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137174554:137181101:1 gene:KYUSg_chr7.22159 transcript:KYUSt_chr7.22159 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTVSSRLMFLTLSCFVFLTGSFSLYLVFYLSSKKDMAALAMAMGAAFPRVSQLAGAQSGVAALPRRSVSVRAAPPRQQRSRPPPRNRGPPPQNRRRGPPPRLHDDDEDQGAYGGGPPRNRGPPGAPTRQHGRAPPRGAPGRPPPPRDSPGGAPPRGPPRAETARSAVSMRRQEEFDDEAGYRDYDDDEEEEGESRFAGGTRSGGGMPKPPAGFVLDDQGRCIAAASKRIVTIMDDANNRPLECIIRRVFSSTQDHECLLLCPVDMPVQVLKSTNFSGWIAVDDDQIKQIIPSVAYALARVHMHFVESGFCYTARGGFCFPEDAIQEFHDSSGGSGEAPFEGVEICNFNLDGAHYMIYTPVDPLLFVAVKDKEGVLRIAEDDLMDDPNIVSAIDEETEFTALVEEEEALLESILHGDDDREWSVGEEASLAKTTSAINVICYVDNFCVASLAKTAVVLFLLYLATTMDSVNPMVSFSPWFEHPDVSVMTLILVMTSAPSILPDGQPPRGGAPSGLGGYPMPPQFSICGNSSPTRLPLVLRHSRPQSTLVLHRSWA >cds.KYUSt_chr3.24471 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151788145:151790909:1 gene:KYUSg_chr3.24471 transcript:KYUSt_chr3.24471 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEFAATASVPWSYLLYGVLGCVLPWQAGLLVDRLWWRPRRLERTLRAQGVGGTTYRFLMGDLKDFGRLNDEAWSKPLPIGCHDIVPRVIPFLYNNVRDHGKPCFSWFGPVANVAITDPELVKDVLSNKFGHFEKPQFKVLTKLLANGLTTHEGEKWVKHRRILNPAFHLEKLKLMLPAFSASCEELVSRWKEFHGSNGSCEVDVWPELQNLTGDVISRTAFGSSYLEGRRIFQIQSEQAKLFVGALQRIIIPGYLLLPTKNNRQMRKNNNEVESILRGLIGKRMQAMEEGKGSEDDLLGLLLESNRKDIDENGQPSQGMTMDDVVEECKLFYLAGMETTSVLLTWTMIVLSMHPEWQNLAREEVLGLFERNKPHYDGLSRLKIVTMILHEVLRLYPSATVFSRKTYKDMVIGGVRYPAGVFIEVPVLFMNHDPDIWGSDVNEFKPERFAQGISKASKHPGGFLSFGWGPRVCIGQNFALLEAKMALTMILQHFEFGLAPSYIHAPHTVMTLQPMHGAQIKLKAI >cds.KYUSt_chr3.24530 pep primary_assembly:MPB_Lper_Kyuss_1697:3:152154756:152160827:1 gene:KYUSg_chr3.24530 transcript:KYUSt_chr3.24530 gene_biotype:protein_coding transcript_biotype:protein_coding MVPISIPSSSGLETILSANPIYSDIQFKDVNYNATAMDESTEFLQLILSGNDEGYSNTTELQVWDVLDFYFSESFSDVQFDSIMGFTNDVSTSSHDCMNIIDLVERPVALLSLNDTEEPNNTTNKAPADDITIDPDDTSLYLQMKPSDSETESTSASHGVIGTEYVDEKLHSRGLPDLMDVDSPYRLRKSPVRTKHVTLVLDLDETLVHSTLDHCDIADFSIQVFFNMKDHTVYVRQRPHLKMFLEKVAQMFELVIFTASQKIYAEQIIDRLDPEGKLISQRLYRESCIFSDGSYTKDLTILGVHLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPCDQELVELLPFLETLVDAEDITGHWTTPFHCGERAHRQIQADGPNRAKKLNTVGCASLSDREYKKQGNTANIMNPGLPCTVNFAASTLA >cds.KYUSt_chr1.25691 pep primary_assembly:MPB_Lper_Kyuss_1697:1:154296608:154297874:-1 gene:KYUSg_chr1.25691 transcript:KYUSt_chr1.25691 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRPCYSSHGQSRLFHGAFRGGAAPMEPHKEMVALAPPLQEHQHQPPLFLDYSHGDGDGSHGRKRPRETEAPPPSRLLSLQTPQGSPRQRMISLAQLQRRPATTGLRLDFDDGGSEHAACTSSFDDQYTAEMDRLIQEHAERLRRALADTGRRHSRSLLCTAEALAARRARDKESEASRAVRRGAELEEQLARLREDAAAWQAKAMADQSTVAGLHAKLQRAQARSGKAAEQDNNNAAGAADDAESGFVDPDRVVELVAPPLHRPCRACRLRPASTVLLPCRHLCVCGACEPAVSASAACPRCRCPVTGSVQVFFS >cds.KYUSt_chr5.18361 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118712372:118715045:-1 gene:KYUSg_chr5.18361 transcript:KYUSt_chr5.18361 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRAAVESPKKVAALVDLVNLPTALREFAGGRSQMSHLSFFLGVWSHIKNNNLQDPTNRNIVNCDEKLKTVLLGRSKVELSELPVLVKLHFPKVFKS >cds.KYUSt_contig_49.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000023.1:215348:216281:-1 gene:KYUSg_contig_49.25 transcript:KYUSt_contig_49.25 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGRNSSTPLLSPAKKHQTAGEVPDLHAGKLVAISNNGRGHQLCASILLLPLQEISGIAERLELGYRQEIQWRVAAGAWREFLRCHGEDHVITVKNAAIR >cds.KYUSt_chr6.2815 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16571453:16572997:-1 gene:KYUSg_chr6.2815 transcript:KYUSt_chr6.2815 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRPRSRPVRARRRRRPDLLRETSAAGAATGRACQQPPAAPAACAPTATATLAAALLAKRRSDPAIQGQMSHPHARPCIAHVLVAAPPSSHDVPAVAVAAAVPTCVGSVTESWASLADKQEDDEVDAEEVAPMTPQASRSSSISAQPPCMGREAEESGGWQEVLPRRGLRRPASPSLALPPRPIPAWLSGRCCRCLVHGHRAAICNDPLRCSRCLKNGHRARECCNPWRPLSSLACLAMPPVSSLGAVRCHAPASCMGPAESTPSSKAVHFGSWASVVSAPVNLVPSSEVASQSALAAQVEQLQVCLVRVGLFLERAEAALSKLSLLPAMLKTTPTSCPPGEVGVDSMEDRDADIYGCFSPRVGGNSSSLSALASAPATIEDEAIAAVVTPVLQIMPELRELCVSSVSPLSVKHMKMDSSSEHLDVVSAPIPPPLTLNPDALFAKELCDILSSLESANPGIGKAIACVLTGMTIKGKSKKVGDCPRTGIRKEKPLRRKDKKCGTIGEAPSGA >cds.KYUSt_chr7.15206 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94358349:94361940:-1 gene:KYUSg_chr7.15206 transcript:KYUSt_chr7.15206 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPPPASFYGNVHPPPPSNDPVPVPPPHVPVLVHPVWGWSFHQEAARLRHFAEGARYVAVNVHYPGLVHHPGKDQDALTDEKRYPILKANVDALKPLQVGIAVCDRDGKSEAWEFNLRDFRRHSDPHDANSLAYLAGRGLDVDTFANHGIDAWSLGAMLLSYSGLIGPWRGLSWVTYTGAYHVAYLLKIVTGGCPLPNDVAGFVGAVRHFLGDQVYDVAGMAADCPKLPVGLERIAAHLGFHPPWNSPRLAAAAGVRALQVFRSLEDGELRDKVIRYRVHDDRIAAAGLDDRWGEKDALDGSIGDGRAGWLGHAGCRGRPPRKIGWRATAEEGAAEGDGDWETTGSFGGDDLSNTQRPT >cds.KYUSt_chr3.14721 pep primary_assembly:MPB_Lper_Kyuss_1697:3:89495186:89496234:-1 gene:KYUSg_chr3.14721 transcript:KYUSt_chr3.14721 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFLLSAVVAACAILASVSASSPRVLTVGGDARGWRQPAPGEETYNHWASRSRFHVGDLLYFRYAKNDSVLVVTRDDYKVCRGDRPALRLDGGEEARLRLERSGPLYFISGAPGTCDAGQRLTVRAMAQHDDASSSPADAPAASTRPGSGSGAVKAGDGKTSAAAASVRASVGDVLSQPPGFVAERHFVEQAAGVREGRPAATAGAAVDARAGSSRRGARRPRRRGEGGRGGGAHLGCRRGERWRAAGDAATTATRPVAARDGGLAAAGNDDGGGAWSFGDGDGGGYGKSCGRRRGRRRARACLARGGAVDLAKFCHA >cds.KYUSt_chr2.10507 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66740930:66745022:-1 gene:KYUSg_chr2.10507 transcript:KYUSt_chr2.10507 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQNVTAVWTPSRDAVKDNPVYGWYRWLVVLCPRAFRIGFETTFPRKEGVLGQSVREFAKNLSNNVIEVEIGVSFDSLNEAYDFYILYSWENTFGIRYRQQLPECLEDKMYAGDLFCKCSEHFDRSADPEFAEALTIRQAVCLARDEGFDRMIFALDYLSLLQRLLSSAMDRSPVGVLVDVFLTSSGW >cds.KYUSt_chr1.3770 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23040086:23040881:1 gene:KYUSg_chr1.3770 transcript:KYUSt_chr1.3770 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLADHYTPPSTIVVSVMPPICKWILSVLNKAIGKTITSLEAYKFSDATSPMYSWWQYQLCYVFVEAVKPYFFNESQEFNSARAGCRDALCVCLDTGMADDKLETEIYTVLDTVNKFRSLKPPTDTTQMKGDLLLHFAGDTILLLMLNAISV >cds.KYUSt_chr1.2846 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16918204:16923035:1 gene:KYUSg_chr1.2846 transcript:KYUSt_chr1.2846 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLIDVSAEDDFLLDLASPPQHPDPPPGASAAAAAGRVLDPGGATERAESPKRRNPKGAVNLRKSLAWDSAFFTGEAHTISCHTRAGVLDTEELGIVNSTFRKAQGSRLLPGIAEEMRRSTESTTSTIESESFLLESLDSDLFDNVRASVQRTLGKPEKAPAVTAASSKSTKAAAAKAPPPVTARKGVDRIPLTQSKIRPPGSTSNGVGSKQRPQVTPKEPTVTRGGVSSAAEAKPSSRPPRALPRVATMGAPANTAATSGVSDKRSSTGGVVNRQAVGKSANTSAGVPSRPGWGTKTSSTTKSGALSSTSIPSLHSAPIGNGFKPSGLRRPTPKIGYFDAEKSVEQKAARVQVQSKNVLFSPLATPNPRISSTPKANFEFSTSDEQELKFMAAALSHTKASPSLPLRVAQAEVKPSKVVEHEASQIKAPLRVAEVEVESSKVTEEVSHTGPSPSLALRVAQTEVDLSKAMEHQASRTEALPLLPLGVAQTDVESSKVMEHEASQIKAPTSLPLRVAEVEVESSKVTEEVSHTQPSPSLRLRVAQTEVDLSKAMEHQASQTEALPLLPLGVAQTDVESSKVMEHEASETKASPPLPLKVGQTEVEPSMVTAHEASQTEVEPSRVMEQEASQTEASPSLTLRVAETTVEPSKVIDNEAVRVAETEVDSSKVSQHETHMLETGPLVAVDIAEESIPALRQIIQDNGDGSLATVKLSSCTIDQQESETSSAPGGESSPFQTNVAQTEAEPSEVIEHEASQSKASPSLPLGVAKKEVDTTAASGGESSPSQTNVAQTEVEPSEVIEPEASQSKASLPLGVATMEVDTTAAPGGESPSRTYVAQTEVEPSELIEPEASQSKTSPSLPLGVATMEVEPSEVIDNEACMPQACPPSIPLGIAEMEVEPSEVVDREACMGHAWPVFAAVDTAKENIPALHQDIHPSSSPSPLKKNILTSHDNIQAEGDATPVKGSILASHPNVQAIGEMTPVTLLTQKLSSISLGAANGDATPLTLLAQKLSSISLEDATD >cds.KYUSt_chr4.2272 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12650644:12655407:-1 gene:KYUSg_chr4.2272 transcript:KYUSt_chr4.2272 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVASSGTGLRCSLNPVPNPHKISARVRFTTTRQSCAATCRPLLLLRPTASAYSAAIDSGAGCSGVSKRLAVFVSGGGSNFRSVHEAALGGKVNGHVAVLVTDKPGCGGADYARCNGVPVVVFPKSKSAPEGVSTDQLLNVLRDLKVDFVLLAGYLKLIPGELVQAYPRSMLNIHPSLLPAFGGKGYYGSKVHKAVIASGARYSGPTVHFVDMQFDTGRTLAQRVVPVLANDTPEQLAARVLHEEHQVYVEAVAALCDDRIVWREDGVPLIRSQANPNEYM >cds.KYUSt_chr2.42296 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263490308:263497451:-1 gene:KYUSg_chr2.42296 transcript:KYUSt_chr2.42296 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSVKRVARLAMAITGRLEKAGMVLLLTVMAASAVAAVLLLLACPASPRCSVAFGSALSAPKKLWGFGFSVAPESSALDSGECDIFDGEWVWDDSYPLYESRDCPFVDTAFRCSENGRQDTSYAKWRWQPSHCDLPRFDAKSMLERLRNKRVVFAGDSIGRNQWESLLCMLATGVSNKSSIYEINGNPITKHKGFLIFKFTDYNCTVEYYRSPFLVPQGHAPAGTPIILNSTIRVDVMDWMSEYNREACTSGEGRRKRRVPSGTRAIISLTAPLSFLSLATALSSPPNCHIIWRFPSCRPIRRTDARRSTATGGGYIGEQHHDIGRNLLRSLHRHLDFARDLELILGRNNGGNDLSFSSSYSFWQNMPFSGISDDMHIRSARVSVRIATEKLKLGSPETPDEGPSKKRSSANVGHFQPDVGPDQFLRIVFKPTFNRLRIPHDFVRWFGEIPSNIIVTTNTGCYWRMTMAREGDDAYIDQGWVAFAVAHQLQIGQFLVFKKVSTFQYNVVIFDYTCTEVMTMCRYHGDVTRCAVFQSHV >cds.KYUSt_chr6.25480 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161511342:161512857:-1 gene:KYUSg_chr6.25480 transcript:KYUSt_chr6.25480 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLLWASRAASYLKISTFPRAFSTVLKDLKYAETHEWVKVEGDSATVGITDHAQDHLGDVVYVELPEVGACVTQGSNFGAVESVKATSDINSPVSGEVVAVNEELHEKPALVNGSPYEGGWIIKVKVSDAGELNSLMDDEKYSKFCEEEDSKH >cds.KYUSt_chr6.18426 pep primary_assembly:MPB_Lper_Kyuss_1697:6:115853954:115857877:-1 gene:KYUSg_chr6.18426 transcript:KYUSt_chr6.18426 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAGEAPALSLREMDILMMVAADVHLGTKNCDCQMNRYISKRREDGIYIIDLGKTWEKLQLAARVIVAIENPQDIIVQSSRPYGQRAVLKFAQYTGSTAMAGRHTPGTFTNQMQTSFSEPRLLIVTDPRTDHQPIKESALGNIPTIALCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGRKWDVMVDSFFYRDPEEAKEEEVDAAGPMYSTDLADYSAASGGRWASVDFTMPVLNVEFPMAEDIEDLKEPDSTAGPCGGDRWISHVPAPLPDAYADCPISQDIEDLKDPDSATGQCGGDQWTSHVPSPLLDAYGDWQISQAASDVDGAMPVFLAEFPMAEDKEQEEAAGAPEYFVDADAASDVDGAMPVFHAEFPMAEGLAVGLDQWDEEGAPVAVEGVAPATLARTTLMQ >cds.KYUSt_chr6.11899 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74023585:74024730:1 gene:KYUSg_chr6.11899 transcript:KYUSt_chr6.11899 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRDAYRDWANLPELPLAEVLRGLLPCLRSIYAFAATCQPWRLLLRAIAGSLVRPRVPPLQLLYPALRLAPISPLVAPRPIPYRLPVPAEGSTFLSASRGHLILLRRGSANTLHLLDALAGADRGALQLPSPHFPYHYAALSPSHLLLFHSKHAFFSLPSPMHPTPNNPRPDWTKNALPRAASFVTTVLEFRGRVLGLTDRAQVLEFHLSSTTQSSASGSTPPNQTARMLPIAGLPEPTTFDRSNYGPHLVAAGDRLLLVLFMLQPKLGYLEPVHRVNKIGVYVLDSAQMMWEEVDNIGPYTLFVDCAGRSAAACVDVGNCGVEENRVYVAAPNCRAWRKANPPGWELSLNGEGGGLFSRGPMARPPLPSPISVYPPLFF >cds.KYUSt_chr7.5975 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35779576:35782555:-1 gene:KYUSg_chr7.5975 transcript:KYUSt_chr7.5975 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPSVVEVPAALVKAIKEESGVGGGATVEEQASAIIRAAKRALEEARASEGTMNPSPVVASLQRFLAQRQSLSSGTARASEAGMSSMGGGGEARGRPAEEQARAIVRAAKKALEEQAKIGQDGAGGEQELCVLRSLERFLLNRRKTTAAPVEESSSSSSPAVL >cds.KYUSt_chr3.5353 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30471706:30475943:1 gene:KYUSg_chr3.5353 transcript:KYUSt_chr3.5353 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLWLLLLPLASVLRSASGGGNTTSCTEESCGSLTIRFPFTLAGVQPLYCGYPVFDLTCDAGRGQAYLSRTFRERLFHVADISYSSNTMVAAIAGHGGCPVPDFNVSGSLALFPFTISSANKRLVFFYDCQVPPELRLPLLPRLCGNRTVGAYISGRWGEGDTLPRGVPANCSSVSVPVRGGMEPVNLLYYELLMADGFLLDLPQPLGDCDGCRRVSGECRLDRLLSFMCVCPDGKLCPSFAQSNSTTRPDRGKFKLKVIGTGIATALLSLVVLSIVCMVHNVRRKRKRSTSLDGLAIDGGSPLTPPKKEFTLAGSLLTHIFTYEELDKATDGFSNTRVLGSGGFGTVYKGILRDGSVVAVKRLYKNSYKSVEQFENEVDILSRLRHPNLVAFYGCNSSSQGSCCRDLLLAYEFVPNGTLADHLHCGGEAPLLAWPTRLNIAVEVACALAYLHARQVLHRDVKTSNILLDEEFHVKLADFGLSRLFAADATHVSTAPQGTPGYVDPAYHHRYQLTDKSDVYSFGVVLVELISSKPAVDMTRAGADVNLASMAVRMIQCCEIDRLVDPRLGYGPAASETMKTVDLMAEVAFRCLQPEQDVRPSISEVLDVLREAHQRMMEKEGCTNRDDIVLLKKSRDGSPDSVMHQWISPSTTYNDST >cds.KYUSt_chr1.26546 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160054535:160055650:-1 gene:KYUSg_chr1.26546 transcript:KYUSt_chr1.26546 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPKALLLAILVCLRSSVLAARELNEDLSMVARHEAWMAQYGRVYKDAAEKEHRFEVFNDNVRFIESFNTENRKFSLGINQFADLTNDEFRATKTNKGFLPNKVKASTGFRYKNINLDVLPATMDWRTKGAVTPIKNQGQCGSCWAFSAVAATEGIVKLSTGKLVSLSEQELVDCDVHGEDQGCEGGLMEDAFEFIIKNGGLTLESNYPYVAADGKCKGASNSSATIKSYEEVPVNDEGALMKAVANQPVSVSVDGGDMTFQFYSSGIMSGSCGTDLDHGIAAIGYGADGNGTKYWLLKNSWGTTWGEKGFLRMEKDISDKKGMCGIAMDSSYPTA >cds.KYUSt_chr6.2145 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12701018:12701410:-1 gene:KYUSg_chr6.2145 transcript:KYUSt_chr6.2145 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRLSHADAATVDELDEEFDTFPSSRGDVVRFRYDRLRSVAGRVQTVVGDIATQGERMQAVLSWRDPRATLLFSIACVAAAVVAYAVPMKVLIGLSGLYAMRPPRFRSRMPSLLMNFFRRLPSKADILL >cds.KYUSt_chr1.31609 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191694385:191700078:-1 gene:KYUSg_chr1.31609 transcript:KYUSt_chr1.31609 gene_biotype:protein_coding transcript_biotype:protein_coding MVQASMVAMEGFPLSLVALVGSAVAVAVATAYLCACVAAWVSWPRRVGEVFRRQGIDGPPPSSFLSGNLSEMQARLHAVAAEDGGARDFQRDGFDDYCKRIFPYFDKWRKAYGDTYLYWLRQRPALYVTDPELISEIGRCVSLDMGKPKYLQKGQEPIFGAGILKSNGACWARQRKVISPEFYMAKVRAMVPLMVDAAQPLLRSWEAAIDGAKGGGGVTALEVDADIRSFSFDVISRACFGTDHSRGKEIFLRLRALSGLMAETSVIFAIPSLRYLPTEKNRRIWRLTQEIRSLILELARGRRAETAEQRDFLSSIIESSGDQPRPDDFVVDNCKNIFFAGHETSAVTATWCLMLLAAHPEWQERARAEVLEVCGGAAAEPDFDMVSRMKTLGMVVQETLRLFPPSSFVVRETFRDMRLGRLHAPKGTYLFVPISAMHHDAASWGPTSRRFDPGRFKDGVAAACKHPQAAFMPFGLGARTCLGQNLAIVEVKTLLAVILARFAFTLSPDYRHSPAFRFIIEPEFGLRLLIRRVGATQDGCN >cds.KYUSt_chr4.7509 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44698664:44700634:1 gene:KYUSg_chr4.7509 transcript:KYUSt_chr4.7509 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDYPFAVAPLVAQSMPPASRLLAILSSRPPPPPCRLLQLHAHLLTAGLLSSPSPFPFAARLVAAFAHSDDPRHLLHALALLASLPSPPDSASPYNAALRALSLCTHRGLIARCLPLYRSLLLSAARPDHLTFPFLLKACARLQDRSCGTAVLGHVFKLGFHADVFVLNAAMHFLAVCASMAEARRLFDQSHVRDLVSWNTLIGGYVRRGVPAEALELFWRMVAEDGEVSPDEVTMIGVVSGCGQLRDLELGRRLHGYVDSYGVRRTVRMMNVLMDMYVKCGDLERAKSVFERIGSKTVVSWTTMIVGYAKFGMMEDARRVFDEMPERDAFPWNALMAGYVQCKQGKDALRLFHEMQAAKVDPDEITMVNLLSACSQLGALETGMWVHHFIDRNRLSLSVALGTSLVDMYAKCGNIEKAVHVFKEIPQKNALTWTAMICGLANHGHAHEAIEYFRRMIELGLQPDEITFIGVLSACCHAGLVKEGRHFFSLLVSKYHLERKMKHYSCMIDLLGRAGYLDEAEHLVNTMPMEPDAVVWGAIFFACRMHGNISLGERAAMKLVELDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFMVKDKSHTDTNAIYDCLHEITLQIRHTATMIDIYATG >cds.KYUSt_chr7.16156 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100125743:100129547:1 gene:KYUSg_chr7.16156 transcript:KYUSt_chr7.16156 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPKKASTLSLLPGPRRVARAAFRRSLTRGLSLTLQVLVPIANGTEPMEAAIIVDVLRRAGAQVSVASVEPAAAQVAAAWGVRLVADALLDDLAQADFDLISLPGGMPGASNFRDSKVLENMVKKHVEKGKLYAAVCAAPAVALGAWGLLNGLKATCHPSFMDKLPSEAKAVESRVQIDGKCVTSRGPGTTMEYSVVLVEQLCGKEKADEVAGPMVMRPQHGAEFSMKELNSTSWNVGENPQILVPIANGTEEMEAIMIIDILRRAKANVVVASLEGTLEIVASRNVKMVADVLLGDALKQQYDLILLPGGLGGAQAYAKSDELIGFIKKQAEANKLYGAICASPAIALEPHGLLKGKKATSYPAMWNKLADQSECKNRVVVDGNLITSQGPGTSMEFSLAVVEKLFGRERALELAKTMVFV >cds.KYUSt_chr2.37206 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230315989:230316648:1 gene:KYUSg_chr2.37206 transcript:KYUSt_chr2.37206 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTRRSVLPLWGRVSMRFNWGIRAPPGLQVAFADDRKAAPVPVSRMPLLVISKISIEQSPRADADRRKNGGKAEASLPAVAAMVDASDGDEGFSLMRRQLEAMNAESGMLRRAVEDLRAEVGRARAVSVAAGGRLPPQPLHGFSAKPDRRGAGKEPAAENAAMPAPDEVGEELKRALEAHCLVNQERDRKRKRGNGKREKKIRRIVVEPIMCCLLLLP >cds.KYUSt_chr7.7976 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48164236:48165291:-1 gene:KYUSg_chr7.7976 transcript:KYUSt_chr7.7976 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASAIVAPTWSGHHLLKIDGYSFTKDVPTGQKIESRPFDLGGHRWHIDYYPNGCTAEDADYISLFLVLEDSVFKAVKAQQKFSFAGEVKGQAPSLGSSVTVNNYTCLQGWGTSSFVKREDLEESEHLHDDSFTVRCDIVVISDYQAEDLPESTPPTFVSVEPSDLHQHLGDLLRSEKGADVVFEVAGETFAAHRCLLAARSPVFSAMLFGGMKEGNTVHIHDMDAQVFKALLSFAYTDSLAGETEENEEDEEDEAMFQHLLVAADRYNMERLKSICEDKLCKHIDVDKVGIILELAEQHHCDGLSKACLSFLGSPTNLRAFVDSDGFNCLSKSCPSVIKKLIAMLAFVS >cds.KYUSt_chr7.39792 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247285473:247287205:-1 gene:KYUSg_chr7.39792 transcript:KYUSt_chr7.39792 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYSSSSSSSQRASPHALTSSSLPQSAPILPSVSMSSIQGMVLDLSVTGCKKLRDTEFFSRQDPYVIVEYANTKLRTSTCTDGGRNPSFDEKFHIPLIEGLRELNVLVWNSNTINKDVFIGSCKVPLNKVLACGYDDASWPLQTRRMK >cds.KYUSt_chr4.9968 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60230376:60231776:-1 gene:KYUSg_chr4.9968 transcript:KYUSt_chr4.9968 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAPEWLVKGDNAWQLAAAALVGLQSVPGLVILYGGIVKKKWAVNSAFMALYAFAATMVCWCLWGFRMSFGDRLLPFVGRPDFSGLDAAGFLSAQGFAGAYPAATLLFFQFVFAAITLILVAGSLLGRMNFKAWMLFVPLWLTFSYTVGAFSVWSPNGFLFKAGVMDFAGGFVIHLSSGIAGFTAAFWVGPRTAKDREAFPPNNILLTLAGAGLLWMGWTGFNGGAPYAANIDASVAVVNTHLCTATSLLVWLILDSFFFGRPSAVGAVNGMITGLVCITPAAGLVQGWAAMLMGLLSGSVPWFTMMVLHKRCRVLKHVDDTLAILHTHGVAGSLGGILTGVLAEPRLCRLFFGDDPRYVGLAYAIRGGRAHAGLRQMAVQLAGIAFILVLNVIVTSVVCLLVGLLVPLRLSEEQLEAGDDAIHGEDAYAVWGDGETYEQSVHGSRRYQMTANPMSSKVDDII >cds.KYUSt_chr2.37764 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233746004:233750563:1 gene:KYUSg_chr2.37764 transcript:KYUSt_chr2.37764 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPEPPGRPGVASACAPSTTGSAHRCRRPSLGYPTPFDCVGLGGTPPFGIAGGTPVSPHGLGYPTPFVCAGSLLRVLLMGSTNSTTCSPALLAVWFLSGVARLAVGVRSSRTAKKQKEKRDQRTIDEKKRLAVVNMQKRARDKRARDRGKLSKQRQAKDFDERYGHPDPVTSDRSFVEKPFGATYVDCDDSVASSIVSLALFDDPRSVNLDAQVQHLSDHDSVVALGRAFNSGTLMSVEGQRIHVVTTDGSERALIYNCTITEAGLGGPVIDKDGHFLGLNIDCDTNTKRTSILPWQLLRERLQYFEKYTPNSTNFRAYTLPEDLFSIVPSGHWNTVNYLTSMGYPKPPPLVLERDRRSFACTGYLIKWHKKGMHVILTSASLVRSPVDEDKIDEKLKIDVFFPPNQHATAKLELYHLDYNIAVISVQKRLRGTHPENIFHTEKPRKKVVAIGCEVNDGVLMGKTGVVAKKPYDKPSKLDCKDLELSTCKMKKAGIGGPLVNFIDGSYAGMNFYDGTHQTPYLPRRIIARVLSETDLPSQSGMKHPIDMMGESTVKNRWPVPKPYWYHELFDVHRPLRGFGGRQLQ >cds.KYUSt_chr2.45195 pep primary_assembly:MPB_Lper_Kyuss_1697:2:281705061:281705756:-1 gene:KYUSg_chr2.45195 transcript:KYUSt_chr2.45195 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEPGSPTSPTGTSSSSSSSSSNSSVAATAKKRARKGDDGRHPTYHGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVASLAIKGRAAAHLNFPELADLLPRAASAAPSDVQAAAALAAAADFPAGCGGGEGGANAKSPESGLSEDGSAAAAASPPAATLQAEMEPGPVPDPEDALFDLPDLLLDLRYESPSGLACAPSWTFDDDLLGGGAFRLEEPLLWDY >cds.KYUSt_chr4.36293 pep primary_assembly:MPB_Lper_Kyuss_1697:4:223053436:223056986:-1 gene:KYUSg_chr4.36293 transcript:KYUSt_chr4.36293 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAQEWVSAAALLALPARVAGPSSSPAPPPLAEREEREALLAGAPLDLLATSRHQGGIQSTDLKTGGPSSADPPYPNGGTRRGRHADAEEARDRSAAAGGNVPSHIRSVDLDLVPIPISRYARSDRYMEGREPSNALFGAGSPSRKKEANEQIQSPCGTRPPVDHCPRARDPISTAARRRSSSSLRRSSFEPRPSDWIYANNLLPRLNLRRIAASVAVLEALLLRQWRAGLRLEEADLFLVPVYVSFNFSNPMGLQSPAHTRGVFAETVDLVRAELTYWNRSNGSTTSLSRGTTLAPASFAW >cds.KYUSt_chr1.21851 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129027339:129027974:1 gene:KYUSg_chr1.21851 transcript:KYUSt_chr1.21851 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGPVATRPPLAMPPTSTAPRNPARNTATPASEPEDGKKSPASPQDLGHASELQRRGHPADHGVCDAPGHAQNQRAVAPAPCESRHARQHVAPRGHLQDVGADRAGQLVRDHHRWLWSRFLDPEYSCVPGLSLHGCRRSSVAAAAFAMPAVLNSTLALGLRVLARIDAAEHPAGAETEKEEAAAPDVAREGEDGGGPRRRMPLAAMGRR >cds.KYUSt_chr2.39503 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244989276:244995184:1 gene:KYUSg_chr2.39503 transcript:KYUSt_chr2.39503 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDVVFINNRTCDRVRRCCPFVAPEPIVIKIFYALLQAASDRLPQQQEPHLVGFGISSRVRLDTPSLLPSSRLHLGLDCVFAVGKFLFSMQRYPTGLLSRIAVHAARRDGEEPVAAQCYGKRLQEPVRNTPMMARAALLPVALLLCLALAGNADARRKAGGGFYELKNRNGDFSIKVTNQGAAIASAIVPDGKGNLADIVLGYDTVAEYASGSASFGATVGRVANRIANAQFVLDGKTYHLIPNDGNNTLHGGPRNFGKVIWTVKEHVHDGDSPYITFYYHSFDGEQGFPGDLDVYVKYQLSRPYDLSIRMNATARNKATPVNLANHVYWNLAGHGSGNVLKHKLQVRASKYTPVDGSMIPTGQVVPVSSTNYDFRKPTTVGTHLEIFRGGGSGYDINYAVDGKQNAMRKVARVQEPKSGRAFELWANQPGVQFYTAGGLANEKGKDGKVYRKYGALCLETQAYPDAVNHPEFPSSIVRPGHVYKHDMVFRFSSQASYSDA >cds.KYUSt_chr3.43026 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271991718:271997261:1 gene:KYUSg_chr3.43026 transcript:KYUSt_chr3.43026 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVKLLGSFGSPFTHRAEAALRLKGVAYEFIQEDLGNKSELLLRHNPVHKKVPLLLHGDRAVAESLVIVEYVDEAFEGPPLLPLGDPLARAAARFWAQFAVDRCSRTLFKALWTPDGEARRGLVAETKGNLALMEAQLEGRRFFGSDVIGYLDIAASGLAWVTVLEELAGVERSVIGEEEFPALCRWRGEYTSHEACFPYIVVRARNEKLAQHLLSYQPVAAGPQGNLLDIKDRCFEFLLRIDDDPLGIKRLPDKFTEFVDGFEPAQLHLREASYNFFWWPVEVLFDGQGKMYLHTGWDKFARDLELEPGCQLTFLYEGDGEMISSEYGYGPDEANSQGFWMFVLERTNRATETSWIFPFG >cds.KYUSt_chr7.39061 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243133334:243133534:-1 gene:KYUSg_chr7.39061 transcript:KYUSt_chr7.39061 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSYGATPMPPSEDIHLTPWDLYGIRETYIQRGILLPKPPAGAGDETSLFNSLASSLARALGRY >cds.KYUSt_chr4.53269 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329858504:329861612:-1 gene:KYUSg_chr4.53269 transcript:KYUSt_chr4.53269 gene_biotype:protein_coding transcript_biotype:protein_coding MCCAIAIIAIMAVVTKFAKTRPMYTRRPPPVVNGIAILKLLPKLFTTDLQAIMYDLHEKYGSVFTISLFGPKVTVLIGPEVLTHFFQGPELEISRGNTFDFTVPMFGQGVLYGTDAATRNEQIRFFIEALKPSKLRSHVDPMLQEVEDYFSKWGDDGIVDLKQEFQKILMFIASRCLLGKEVREKMFDEVYALWKDIKNAVNLFSALFPYVPVPVNKRRDRARVELTKILSATARSRKSSNQVEEDTLQKLVDSTYKDGRSTSEEEVTGLIIALLFAGESTSSGTSTWIGACLLSNVKWLTAATEEQRHIIRKYKGRIDYSVLLEMDTLHNCIKETLRMHSAAQVLMRKAHKQFSVHTKEGKEYEIPAGRTILSPIMINNNIPSIFKDPHVYDPDRFGPNRQEDKVCGKFSYTSFGCGRFACIGESFAYLQMKVIWSHLLRNFELKLISPYPKTDWSKLSVEPKGKVMVSYKRRGYLAPSL >cds.KYUSt_chr1.40695 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249591303:249592427:-1 gene:KYUSg_chr1.40695 transcript:KYUSt_chr1.40695 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVGMKMRKFVNVVTEHYMDGLCSLCRIKASDLFYGSTQEAEQEAKNRMATDRRTKKMTLSPLPKPRIQFNTARPADGSMDFLPFYGGNDDDGNKILYVDAAGRAVLYDAGSAATVETLPCLHKRKASGPVALSVARPGGAHDPARPDALYVLDRIRGSFEALVYGDPTPPSPYQYRLARCADMFVWHWLRLPPPPYANGPGTVRSYALLDGDTICVSSGAEDRFGTYFFNTATGQWTKAGSWILPFYGRAYAVPELDNLCFGIQDIRPHHLCALELSSSMDGDGGGGAPPPRLRHHWPDMDKPRGWRLQNVSMAYMGAGRFCIAKTFDVATVLNGVEVVRENGGTTSKSKLRMIRHKSVSYGLLKDAIRCVI >cds.KYUSt_chr3.47860 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299873411:299881016:1 gene:KYUSg_chr3.47860 transcript:KYUSt_chr3.47860 gene_biotype:protein_coding transcript_biotype:protein_coding MLREKCGLRESVYVAVEEKVAMFLLVVGHGLKMRLLHGQYKRSLGTISAHFSEVLTAILSLHKEFIKLPDPSSTPPDDYKWKWFPNALGAIDGCHVDVCVHVADQGRYRNRKQDITTNMLGVVDWNMKFLYAMPGWEGSASDSRVLKDAMRTSRQDAFAVPNGKYYLADAGYTNGPGFLTPFRSTRSMEEVPAKKFSRNYTQWTQEMDNALLHVFVEHHNNGDRAQNGWKSHVYRAAIKAVREKCGVDVTKEKIVSRLKTFDKHYEVVSKILSQRGFGWDWEKNVLQLESDEVWERYVEANEKAAPYKNKVIHNWNEICTIYSKDHATGLGARTGAESTDPEVIQPAVEANDTSPEAVGPSPKRPRTGEAIMCMLGSLKTSFDDAMKSTEPLQQPQVTPPSVMLTTIEAVPDMSRTEQLRAYAKLTVSERLFHSLLELPLDARKEWLLILLRSPILVGHQSASRPTLAPVKKEVASLSTLARVKKEPASPPPSRGRSSGALVIRDQPSSPQRGRKRKSAKKEDAAAAANQLAEEEAKRAEDATVAEAIARSLKDLVPADNSLPIDAALEWSRRDWERQEAEQQQRMLDLAATRQLAERAAAPSSAPNTAPREVIKVEESSDDDIYRPSPPRVGDAGQGTSRWYEAPPPQDNAGSSDDDDGGDYTSFYRHFGM >cds.KYUSt_chr2.10487 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66545063:66546510:-1 gene:KYUSg_chr2.10487 transcript:KYUSt_chr2.10487 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCRQCSSSITAMPGARAVQCMQCSYVTRVPGCGRPRAGMPLVPHMRPTPAFGGGRSKKRAVLIGIKYTGRRSSELRGPINDVKCMRYLLTEHFGFPNDCVLILTDEERNPCRLPTKDNIRMAMHWLVQGCSYGDSLVFQFSGMGAQVPDDDGDELDGMDEALCPMDSFHQGPILDDEINEAIVRPLVHGVTLHAIVDACHSATVLDLPYQCVVSKSTGCLRWRDERPMTGAWKGTSGGKAVLISGSSNGKRMPTNALPQPYATIGAMTHSFIRSLECEPRTTYGRLLSSMRSIMRQGGNCNLQGPVGSSIHMVTNFSGVEEPQLSSAHKFNIDCEPFCL >cds.KYUSt_contig_824.51 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:311902:313077:1 gene:KYUSg_contig_824.51 transcript:KYUSt_contig_824.51 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPAASPGRAEKQQQQLPAPPGLARLLLSKSRRGGRSRRAPATSPMFVSRRSRAAAGADGEPSSPKVTCIGQVRMRKGKKGGKKGPSAPAPARASTPEKARGYCRCLKKAFLCGGLFGFDRGGRKHKAPSPVSERSRRSPWVFSSRDVAVAAAPKQPDPRSDRAEEEDDEEMGVGVGVFCSVETDEGEEPGINSHTAEEVEEAGEVAELASSATTTPPKNALLLMRCRSAPQNRTSPLTARFAAANAPSPVQETVEITPTASARASPSPRKPEMVPVERDDDDKWQEMLASAAQEQQEQQEARAAQEDESDDYDEEEEAEELRCSSARPLVLPRCKSEPATTAAAKMAAGIGSEAAPSGCFWAHGGGSGRRRHAPPLSPVSAAPVALTGH >cds.KYUSt_chr3.23964 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148676880:148677806:1 gene:KYUSg_chr3.23964 transcript:KYUSt_chr3.23964 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAKPSASFSVACLLAAIFLFSSAPRSHGGIAIYWGQNGNEGTLAETCSTGNYGFVNIAFLCSFGSGQSPQLNLAGHCDPYSNACTNLTADINLCQSKGVKVMLSIGGGAGGYTLNSEQDAADLALYIWNSFLGGSSPSSKRPFGDAVLDGVDFDIEGGNPDYYGALASHLKSYSGKGSKNKEVYLSAAPQCPFPDQWVGKALDTGLFDYVWVQFYNNPPCQFVQGNPANLMDSWKQWTTGVHAKYIFIGLPAAPAAAGSGFIPAASLESQVLPALKGSSKYGGVMLWSKFYDDQDGYSSAIKNSV >cds.KYUSt_chr7.13060 pep primary_assembly:MPB_Lper_Kyuss_1697:7:80588568:80592044:-1 gene:KYUSg_chr7.13060 transcript:KYUSt_chr7.13060 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYSRTSDPGSSDPEVLEGELHLWTLKEWITLTSADGVPIIGKFLTDNEILKIGSVVQFSSFEAKVEKLSDPSSISVERDAHSVSNSGTDRPDSSWAGEVELQHVSEEKAQDDFSKMIDDMAYKKKRWVPKSAVPSSNNEIESLSLPAVSPSPRIQTNPATSLPSNPAPPTSPTSSASMAVYEVDPLPWLPWGHQVIDGGPTRLPRSYYFPAHDPPSEHQDYCIAVLDPPPPPQAAALWREQVHDFLIGPLQRNVVSIQASLFGVGLFQLNGPNSVNALVQHGLYQLQNRELRFLHVGEAPQNHRAAVGFRRGWLMFLGMHPDYRNNLDIANAVSTFGQFHSWNHHDPIKERALVYASFPSPQLVPRDVVFGKFGTVGGVRESWTAPVFILSADFADVLPADEDQMPLDGNPHPLPGQLMPNNNLFVNPQYPEIGWDAVEEEQGNPDGHHDNIDPQQEEVAEADHEQPVSMVLSMSNDSSSSVNMQGGVPQPFLQMDNPPFNVINLGMVVTRFGPVIPPAMQWRKMANWILPALCLKTIPQAMKASAFAMLDLNKLPSAWALTGIQGKHLERWQGGLRVTLLEENTTIKPVLQMKSCEIQQGCEEGMEVMVTPVVSKKRVSRRAKSQLVQPAERRFTRSCLAEGYKPKPVLSIQPKIKKKSRAKLLIQRADDEEEKKGNDAGEEEKGRAVEDYPVTPVHVLQRVGLSLGIDPSKLTLEQLEAVAKKKTKPEENHD >cds.KYUSt_chr4.40283 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248643429:248646658:-1 gene:KYUSg_chr4.40283 transcript:KYUSt_chr4.40283 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKMQKVAALALAQSPTFEEEEEQGEEAVLAAATARKKHKEHSSSPSLSAAAAAETPPMEQKTKRGKEEEPSGHSPLPLGPGQAQSQGTKAPHASKPLPAATFLEALAQSPAPGTPMLEEAAASVMGKRNICKEHKVPELSLAQITTLEEPRDGTTAAKMKESKHIKHTLSSPSTSIAAETTIWEVTKNQKHSKDQEQSSYSLLPLDPGQTQPQGSNAPHAPKPLSAAPFRESPAQSPTPGTPMLKEAAAAMMTKRKICKEQKVPDLYLAQNTSLEEQREDAMEAKMKKESKNMEHTLSSCSTSVAAENTIWKQELKVTKKQKQRKQQEPSSKSPLQLDPGHTHCVRSQGGKAPPEQEREAGVRIRSSIKINNGKRPRVLSKRELIKEASKKKPVLPEGFVPFTDFASSCTEQNPDHSSAYSAFFDQFRYNPVREDHKPPLLRTPDRVARLPSRGHSSLESSPLTANETSWAAKFNTSVASKRKHLDSGSDSQEKLNVVVKENPQKKKREKKPREPSGNSLPFDPSQTCCIQLHKVPPEQYQGADAPKVDNIKNGKSKKGHVCAPSKRKLFKEMSKEQVLPEGLLAPSDFVSNCTEQNPIYTSRSAASLYQFCYGPACQDRNPQPPGTTDRISMLPPRGYPSFELCELFANGTSKANNSLVRKLKKKDSGSGSSYGSQEKLYAKEKKNPEKKMGTTKQREPPPLLTPAEKCSDKYRRVSLDQLVPPPRSPHNLLQEEYASDPWKVIVICMLLNLTHGKQVKNIIEGFFECYPDAQSAVNADPEKMVGYLAYLGLQRVKTTRIQKFSKEYVEKEWTYITELCGVGKYAADAYAIFCAGRATEVVPKDHKLVDYWKYVCFELSMMQVLSGGRPRVGQWTRSNRLATGRLAAKAG >cds.KYUSt_chr2.34547 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213302560:213314289:-1 gene:KYUSg_chr2.34547 transcript:KYUSt_chr2.34547 gene_biotype:protein_coding transcript_biotype:protein_coding MEADARGSADHVATAAPPLKTDDGAAAMAPAPPLKAEDGAAPMGPAPQMGDELTSYNNPHTEEDEEKPDLAVKLEHASVEAVSVPFQVKVQIAGEKGSTTDEMPVMTMDDEAVSVPFQVKVEIGDEEGSRTDEMPMMSKDDEDLLAESDDLNTCHVANGGLLGKARIAPCSQTFSSRDVLCMRATEDRQTGRSQHEWDGLAGNGGDWGGMAEVMTTEAGLKMVSEGLSDSVKHGAERWPRGVDGAVFMTDDSHVLRRGDSMPKIEPEVSGGLHEDSVPSVAGRIGVSLNGNTDRAGETSAPGVSQCSKEADLAGEGVVSCDGALLKTSLDDLQARCREPHCYAVGFSNSVKFDIQQLPHHMEAMLLKKDVKRELEMDGLLPKIEANVSSPAHQDSVPTTFGRNLAVYLDGEDGEISQHKTGMEKLASGSLGGGMLSGENKFSTMEGCYANNRGLGHQGFSGIELGCPDHLALEHNACNFVADKPCSTVFAENGNDEELENQNSESLSVSRRRNPRRSASSRNPTLEKHDQICKGSNSSRKSNKVESSCSLVESTINKFPKKITKLRSGINRPLKSTAWGSLQKLTCGFGLNGEPSTSNSHLVTLENGRSHKRSGKKEQPSVRNSQSSTCAKNKSPPFSAMGFASDELNGQPTFSVTTGTYASSEGYIGNFPRLDLRALVNGSGDAHKTAQYMSIKTDLQQLDRCLESATQETCPAYICGDFAKSTSEPSLNIASVGFSPDSVLEVASATCENNTSDSGKNLASSSTDLEQWAQTVRGDENTRNEEINPSHAISGFIGKGKVQVLEKSNAVRKSKKVGKQERQKKDGMKGKNIKNRGSTKSPSKLGAFSDDSYSLVPSVPPKSGSCFELATSVTQCISMREHESVQGRPVIVCALDTVKSQRRKKTDDSGGKKDTMWDPYVDGKGMNQKIAGDILFDSGLSTSPPPLVTDLAASHTNEQNGIVFPLLPFDQFSRLHQSGNLIENPCTGVWTCKDNQDKTFAGCSIPQEKTNAEINAELDLSDASADEADNDRSNSKASRAPSWTHVRTNSFLHRNRRNQSIDEVLDITAYEHRQRDYASKGQKHFYFMALNGGEGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISGSGIISQDDAEAEHFQPLVTYKDAEELLGNAYSRVADPSIVEHETSIQREDSKDAEEMLGNAYSHVADPNIVEHETSIKQEDSNNCPPATPDSEPHQQTSPILSDTSEPENSMEAWSPQDTEEVTRTPVHVSRNIESSLQFPVYVTQPLEFLEKTPSPIDGLMAPNVMNRSTPSSDMGGSLVPGFHAKKENSLKHHRNVKLPCPIDTEHTLGVEVRLNSLLDRDGGISRRKDSTNEYLRLLLRTAADGEAGGTSKSIRDLALILDALLQTKSGAVLLDIINMNGLQMLHNILKQNRDHFLRRPIIRKLLKVLEFLALRGILTAEKINEGPRLAGMESFRDSMLKLTRHSDKHVHPIARKFCDKWILPYMDGPANCSTHSYSARRKRKSRWDYQPESHYKKTVKVYSGHRELDLQTSLIGNGSQGNRVTNSNHNDVPVAVDDAPPGFGADDDVPPGFGADVDVPPGFGADEDVPPGFGADEDVPPGFGAEDDVPPGFGADDDAPPGFEPQQEHQPAQAPLDLGGAPGFCQERYLPNSSTSSEIPVALVQHFGTPEVEGTQCGLKWKVAPVQDIEEEVRTEAEEYIGTGGMGKELDLRMIRKEGDPTAIIID >cds.KYUSt_chr3.46508 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292593625:292596807:1 gene:KYUSg_chr3.46508 transcript:KYUSt_chr3.46508 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVAAGAPRVDEESGLLPRPRAPAAGGRRPPSSFAAAPRRAPPPPPAIWATVDGPLGMPLEDAEGHARRFFLWGFACLPFLWAINCCYFWPVLRSPKASSPAAFAPIRPYVVKSAIGFTIFAAVLLTWATTFIIGGERLFGPVWNDLVMYNVADKLGLTGFMG >cds.KYUSt_scaffold_1700.376 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2488289:2490596:1 gene:KYUSg_scaffold_1700.376 transcript:KYUSt_scaffold_1700.376 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRRVITVAGLWPAFLRGTIGGHFLAHDIATVLVVFAAFSRPTPWAEAGPSERQIGSLRGEDGDSQKEEPRRAAMGPPIGRRGPRPGCAALWGGGPQPPLASSPSRTSSSRKPKLQRIIAKRHSRLCGAENTREKRALRRAGIRRGNSLPEGEIDAIVIVIELDFIGIIITIISTIVTAISTAAP >cds.KYUSt_chr1.41653 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255403268:255413723:-1 gene:KYUSg_chr1.41653 transcript:KYUSt_chr1.41653 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTRATFMLAVALAVLGLTTTQAQLQNGFYKGKCGANDVEAIVQGVVKARFSREPAVAAYLLRLQFHECGVNGCDGGLLIDGPGAEKTAPPNLSVKGYDLIADVKTELEKRCPGVVSCSDIEILATRDAVRLAGGNAYAVRTGRRDRRQSKAVDVNLPGPESTVAQATAFFSKLGLGQMDMVLLLGAHTVGVTHCSAIKSSRLYSYGGKAGATDPSLDPYYAFVYKNYVCPNKPSTDNTVVFLDDQWSALKVDKSFYKMLQKRRGVLSVDQNLYGNGAPTRWMVDMLANTDNFSWLFPQALVKLSEVNVLTGTQGEIRRVCNKFN >cds.KYUSt_chr3.4444 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25224097:25225454:-1 gene:KYUSg_chr3.4444 transcript:KYUSt_chr3.4444 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPSGREFPGGFLPAGELFSLSVFSACEAAVTLREPTQPPPPAGNLPGPLLLLSLPTAVPTALRACAHLAEAAAGRLIHALVLTRPALAWDQVVATALLDMYAKCGLIASARKVFDEMPARDLVVWNALLAGYARHGLPEHALALSLISGSVLNFQYGRARALFRQMVASGARFPPNSSTVSSVLPAFGTIGDIKHGKEVHGYAVVTGVERELIVGSALVDMYAKSGLVHEARHLFDRMSERSTVTWNSMIFGLANSGHCQEAVGLFDRMPREGAKPNHLTFTALLTACSYDCVRRSTGGFARNVLLVVMTA >cds.KYUSt_chr7.41012 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254093202:254093746:1 gene:KYUSg_chr7.41012 transcript:KYUSt_chr7.41012 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMQPQVPPGFRFHPTDEELVDYYLRKKVASRRIDLNVIKDVDLYKIEPWDLQEKCRIGPEEEQSDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYAKHCLVGMRKTLVYYKGRAPNGQKSDWIMHEYRLETNENGPPQAS >cds.KYUSt_chr7.26730 pep primary_assembly:MPB_Lper_Kyuss_1697:7:166928434:166931360:-1 gene:KYUSg_chr7.26730 transcript:KYUSt_chr7.26730 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGAAASSSLLPTFPACQPPSRVALRARPCGPLRAAGGGGGSGSKDEAVRPNGTPSIKSKSDPSLNGALSPVTSEKSHKTVSTNTTPDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCTVMSGMHHRRAGYPFGSLVDFANDSMGHPIFSLSPLAIHTRNLLSDPRCTLVVQVPGWSGLSNARVTIFGDVYPLPAEQQEWAHKQYVAKHQQWASQQWGNFYYYRMQNISDIYFIGGFGTVAWVDVKQYEVMQPDKIAVDGGEQSLKELNAIFSKPLREFMSAEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPYKVETLEEAKRALHKIIKTGSK >cds.KYUSt_scaffold_1259.75 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:545987:547177:-1 gene:KYUSg_scaffold_1259.75 transcript:KYUSt_scaffold_1259.75 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEAPSDPHRREPGQRRPMCAVCTKPLRACLCGRLRGPPLDTAVGVTVLQHTMEVNHPLSSIRVARLGLRNLAVTQVTDVNYRASFLLRTLHTASNLGGGETEAVSSQAGAEGLENGKLSNGVSTDLDRQVGRDSNADFERPVLAVNQLESSAVDGPNRSESGMTDKVNGDIPHPSVQNSLATSICTENTEVAAAAIGEGWTVENMEKCSVAYTEKELRINIERGVKPKLRWLSRTPLGKEAAENGFTVTKIQKKKSKLTGEVTELEDFSITIPPHSALLFPCQRAVSIDALDCQVKHLIVLDGTWAKAQRMYHENPWLQLLPHVMLDSDRVSLYSEVRHEPKAGCLSTIESIVVTMKKLGEDEEGLDDVLGVFESMIVDQRRFKEENWKPKLN >cds.KYUSt_chr4.38216 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235644313:235645197:-1 gene:KYUSg_chr4.38216 transcript:KYUSt_chr4.38216 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTRHARNHRLLVLQLMSSFSGQLPSKDASNSVAFSPQDTGTASIPAPYICSTLPTHLVTNSLTEQTLSLAKAKEQSSKQSFEQLRATATQALRSLCCIPADTMTTMATPKSLKKASISGKAWRLLRLAVLWARKGSAARSLRLLKTLRRSGLGLHGRRNDQLRYGEREFSIDETPSFRFRTPSARVLRFIPCIATAVPDTPARYGEDRYFFCDARECWPSPNRRVLSDVDEDDPARRDLHTHSKQAKTAAIHVRSASSNSRTRKNNVSPQARIEPVVFRIDSRCGVTSLAIT >cds.KYUSt_chr5.12217 pep primary_assembly:MPB_Lper_Kyuss_1697:5:79666023:79667252:1 gene:KYUSg_chr5.12217 transcript:KYUSt_chr5.12217 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGSGGGGSGGGAPSSSNSGGGSGGGTSGSRGGAPDHHQHHPFYYAGPAEPNSVQQQQPQQFIGALAITPVVADQAPASSADKKAVAPVTPSSAGTLAKRPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAILAATGTGTIPANFSSLNITRAASAATRSAPFPALALHPHHHPQHDMSTMLGYHHHLLPPAQEPPQDPSNAGAFMRKRYREDLFKEDDERQDPKAAREQPPPAATAMWAMAPNSAAPGGAFWMLPVSASPAAVARPTEQPMWSFGGGGGSSTVQAPLQFMSRVHYPGSAGMMGGSGGGGGMPNTSIGMLAGLNAYPRSDGAGEQQQQQEEQNQQQEMEQQRDGSGGGGDNEGEEDGDHSGEGAEEDRPDNGSPQ >cds.KYUSt_chr1.11207 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68550166:68552685:1 gene:KYUSg_chr1.11207 transcript:KYUSt_chr1.11207 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSYPTASLPPRQAAMASTVTVLPAALPRSSPPPPPLHTSHRQPNPLAANLSLSSGHPSDAASLLAAAARTGDLRLGRALHRRLLRTEFLDTDAVVANSLLTMYSKCGHVSAARRVFDGMRGLRDLVSWTAMAFCLARNGQEHQALRLLGEMLESGLQPNAFTLCAAARACFPRELFHSAGGAVLGLVLKTGFWGTDVSVGCALIDMFARNGDLVAAREVFNGLIERTVVIWTLMITRYVQGGCTGKAVELFLGMLQAGFEPDGYTMSSMISACAELGSVTLGLQLHSLALRVGLLSDSCVSCGLVDMYAKLHMEHSMEHARKVFERIPRHNVVSWTALISGYVQCRAQVNNVMELFCEMLNESIKPNHMTYSSVLKACANFSDQDSGRQIHAHVVKTSIADVNVVGNALVSMYAESGCMEEARKAFDQLYERNILSISSDDIGGAQRRNASWNSHTESMDIGVSTFTFASLLSAAANLGLLTKGQQLHALSMKSGFGSDKGVSNSLVSMYSRCGYLEDACRVFDEMKDHNVISWTSIISGLAKHGYAKRALSLFDNMILAGVKPNDVTYIAVLSACSHVGLVKEGKEYFKSMQKDHGLIPRMEHYACMVDLLARSGLVQEALEFIYEMPCKADALVWKTLLGACRTYDNFEIGEIAANHVVDLDPLDPAPYVLLSNLYAHAGLWDEVARIRSLMRDKNLSKETGLSWMDVGNTIHEFRAGDTSHPQAQQIYAKLDALIREIKDMGYVPDTSIVLHDMSDELKEQYLLQHSEKIAVTFGLITTSGTKQIRIFKNLRVCADCHSAIKYISKSTGREIILRDSNRFHRMKDGKCSCGEYW >cds.KYUSt_chr7.38274 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238651029:238652847:-1 gene:KYUSg_chr7.38274 transcript:KYUSt_chr7.38274 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFEDDDMEEDLELAAMNEQFMADGLREGAEEEAAQVASNAEQHQRQELCTLQRLHCSYLAAMSTVTRAYLDQRLAVAKRCSREAAMAGAKAAAVATVAAAVPTLASVRMLPWAKAHLNPAGQALIISTVAGMAYFIVADKTILSMARKHSFEDAPDHLKNTSFQ >cds.KYUSt_chr5.20501 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133250748:133251779:-1 gene:KYUSg_chr5.20501 transcript:KYUSt_chr5.20501 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQHYATSSLVIGYALCSSLLAIINKYAVTKFNYPGLLTTLQYFTSAAGVWGLGKLGFLCHDSFNLETAKKFAPAAVVFYLAIFTNTNLLVHANVDTFIVFRSLTPLLVAIADTFFRKQPCPSKLTFFSLVIILGGAVGYVITDSAFSLTAYSWALAYLVTITTEMVYIKHIVSSLGLNTWGYVLYNNLLSLMISPIFWFLTGEHKSVFSAVESRGESWFQLDAFVAVALSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVTIWDKHASAFGLVSLLFTLAGGVLYQQSVTVKGNIAAPQHALASEQPKDDSDSAEYDEERQKLVSSGERPSA >cds.KYUSt_chr2.5085 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31542883:31544802:-1 gene:KYUSg_chr2.5085 transcript:KYUSt_chr2.5085 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLLSAKDLKNVNLITRMAVYAVATISGDPITRQCTPPDTQGGRNPTWNATLRFAVPPTAEATAGGGCLHILLRVERMFGTDRDVGEVIVPLAEVLAGVGDHGGDDLGGPTLPQFASYQILKVHRTETRGVLYLTYRLGPVITPQRVPWESDDEEEEPVVAYPSPEKPMPPPPQAFWPGQLGPPPQPQAFWPGHVPAPPSAKPAVQAGYGAVPSSTAKITPAGYGAVPSSTTKPPGHVAAATSPKPSGYEAVPSPPKPAGVRPASPKPAGHVIVAPSPIPYGQALSMPPSPKPAGRVVSMPPSPKPAGQAVSMPPSPKPVERVVSMPPSRTPPEPVVSKPPSTNPLGRVVSMPPSRAPAGPVVSVPPSTNPMGRVVSMPPSPKPSGQVVSMPPSPKPSSQVVSMPPPQKQAAQHVSVPSSPKPAGQVAVPPSSKPSGYVSVPSFQKPAAHVTMPPSPKPAGHHHAVPPSPKPAGHVTLPPSTLKPSGHAMPTSPKPTEHVSMPLSPAPYGHAFVPPSQKQAAGHVTVPPSPAPYGHVSVPPSPKPAILVPLSPPPKPAPIIANLPATTTNSSNMEFGWGLGAGLVSGAISGMLAGGKRGEATAFSPEAAPFSRSRKVSVSKSKKYGTDVYTQ >cds.KYUSt_chr1.38536 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235587305:235593158:-1 gene:KYUSg_chr1.38536 transcript:KYUSt_chr1.38536 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETAYYDTLGVSVEASPAEIKKAYYVQAKLVHPDKNPDNPDAARKFQELGEAYQVLSDAAKKDAYDKYGKEGLPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVDEGLNSQETRAKVQDKIKEMQKGREEKLTQSLKDRLQPYVDGRKEEFVDWANAEAKRLSEAAFGEAMLHTVGYIYVRQSARELGKSKLYMGVPFIAEWVRDKGHTIKSQVSAASGAIALIQLQEGMKKIEEGANKEEQLMKSFEEKKEAMLNSLWKINVVDIESTLSRVCQAVLKDNTVPKDVLKFRAKALKKLGTIFQMNRQWMYIDRRFDEFTSGLDNFMAVAEANKHGGFMYCPCVDCKNTVNYAHSSLIHSHLLRSGFMPSYYCWTKHGERGVMMEDNEEEEEDDDGYSNFPEYDDTAEGNEDNEVEDQEAPDEPR >cds.KYUSt_chr3.20915 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128128387:128131106:-1 gene:KYUSg_chr3.20915 transcript:KYUSt_chr3.20915 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFPALIAAGATAVCCLVCAIWAFRPPSPNKHQSAPTPTCCACRHKPSANGEMAIGGDEMNKAPAPAPAATGASMMEQLVPEITTHALSYLDCTSLCHLSMTNSAMRRAANDDGAWKALYHKDFTVEQGNITPPNGWKAYYAATKAIMNVNAEFYNIIREGSLPAMSHFWLNADYVKCINASGELFTGYNAVMNSWALLFNWGQDGGQGTDFQLRDVRARVLSDVAWVNMKVHVDGEPGPLHATNVYEFRNDRWYMVHHHSSVMVDPAPHNLFG >cds.KYUSt_chr3.43582 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275047385:275048620:-1 gene:KYUSg_chr3.43582 transcript:KYUSt_chr3.43582 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLQTFLHLFVLSILLCTSSSDHSLAKALVAPPINALVAQITKAAETSLYTLSLSHKDYLLDLSGPLLWSPCSAGNPTVPCSAAECTATLGTHRYFAQGQCRCTARLKNPVTGDRAVVGDLTLVDVVTNATDGSTPTAEVTVGGVLSACAPAGFLGSSPLPAAVAGDAGLGRGCASLPAQLHSKLSLKRQFAVCLPSTVGRIGVAFFGDGPYGLMPPTPFDVSSVLSYTPLVRNPWNPSAYTIQLAGIAINQEAVQLPPGALDLVTLDTAAPYTVLRHDVYRAFVAAFQRATASVPRVPAVAPFEVCFNISDLGFSGVGYAVAPVDLVMARGGGNWTVFGFNSLAEVADDTACLAFVDGGWAAPSAVTVGGFQMENNFLVFDEAASRLGYSGALLLTRTACGNFNFARN >cds.KYUSt_chr6.30321 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192037841:192041560:1 gene:KYUSg_chr6.30321 transcript:KYUSt_chr6.30321 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTSLSPHSPSPSPSTANPRRRPPPPHVTATPRGHIRPTAAVASAVTNSDVGGADDYHSTIRSLNSHGRHVPRKSLGQNYMLNTRVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLAAGATVVAVEKDKNMAALVKDRFGSTNQLKIIEEDITKFHVHSHFLPILEEKSHHKKKYAKVVTNLPFNVSTEVVKQILPMGDVFSVMVLMLQDETALRLANAAIQTPEYRPINVFVNFYSEPEYKFKVERANFFPQPKVDGGVIRFKLKNAGEYPHVSSNKSFFAMVNSAFNGKRKMLRKSLQHICSSADIEAALTNIGLPATARPSDLVMDDFVRLAALSMSLKCFAPQLEHTAACRNLRVFLTADDMYARMNIHSDHVYTTSCTDLGKMEAAM >cds.KYUSt_chr2.12234 pep primary_assembly:MPB_Lper_Kyuss_1697:2:77485995:77486801:1 gene:KYUSg_chr2.12234 transcript:KYUSt_chr2.12234 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGDDRGDGICFPSDVLVDIFSRLPRRALARSQCVCRTWRALISAHNLNPPLLPLPLPSYFPRRPLGGIFFCKLGYASSTCFFAPPGSRRLFFPDEEEVDQLCNGLILLHRNGRTCVLSPVTGRCSRLPSLKPPPRVVAEPVVESLAFDPAVSLHFDVFLLEELARPNQGKVPKQLKRPQVVRSFVYSSSTERWENREFVPGRCAPGHLYDIVATPGNTYNPTVWSSVYWRGSIYMHCRNNILMVLRPSCGTYDMVQLPENQAIHQT >cds.KYUSt_chr6.22706 pep primary_assembly:MPB_Lper_Kyuss_1697:6:143387724:143388764:-1 gene:KYUSg_chr6.22706 transcript:KYUSt_chr6.22706 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTVPPPAMKYFWGDSPKPDAYYASLGLRHTESYYQSPCGRIFTHSFHPASAAHDGDVKGAVFMTHGYCNDSSWLFQTVAISYAQWGYAVFCADLLGHGRSDGIHGYVGDMESVARASLSFFLSVRKGPVYASLPAFLLGESMGGAATLLMYLRSPPDAGWTGIIFAAPLLLIPDELYPSRVRLFLYGLLLGLADTWAVLPDKRIGGKFKPKSNRDPEKMKVIASNPRRYNGAARVGTMRELARVTELLRESFGEVTAPFLALHGTDDVVTAPEGSKMLYERAPSEDKSLILYEGMHHSLLQGETDENRDRVFADMRTWIDERVRRYSAAVPAANDDTKEVTMA >cds.KYUSt_chr4.37874 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233543882:233545288:1 gene:KYUSg_chr4.37874 transcript:KYUSt_chr4.37874 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSSAMAEEQAGGQAGGERRARQKPMFTVPIITVVLLIVAPCALFLFTSDVALPRIRIEYVRRDVPAASAPVNLAPPPSVEAAVAVSRLAQPLAPEAAVAVPIEEQRREEQQPLPPVRQLTDRPYSLGPAVPDYDARRASWLAAHPGFPAYVAPGRPRVLVVTGSSPRRCSDPEGDHVLLRAFKNKADYCRVHGFDIFYSNAVLDAEMSGFWTKLPLLRALMVAHPETELLWWVDSDVVFTDMLFEPPWGKYAAHNLVIHGWDEAVYGARNWMGTNAGSFVIRNCRWSLDLLDAWAKMGPRGPVRDKYGKIFAEALSNRAAYEADDQSALVYLLATQRDKWADKVFLESSYLLHGYWKAIVDRYEEMRSKWRPGLGDDRWPLVTHFVGCKPCGEQGASYEASRCRQGMERALNFADDQILKLYGFQHESLNTTAVRRVRNDTGRPMDADDDEIGRLLHPTFRAAKP >cds.KYUSt_chr5.12066 pep primary_assembly:MPB_Lper_Kyuss_1697:5:78458034:78458687:-1 gene:KYUSg_chr5.12066 transcript:KYUSt_chr5.12066 gene_biotype:protein_coding transcript_biotype:protein_coding MRASQTNKPQPLPLPPPPLSLPSTPSTTPRRRGRTASSSSSSSSVSTGSSSFSPSPSPAPSPRTTTATSVVPFSWERRPGLPKSSLGGLMSSSGSSTTLPLPPPPLRPSPRRCRQRRRRRAVEAPGPGADPFAAALVECTREEGMADDDADDKLWPARTTKVSGRTARPWRIAGGGVVGLLDLYGCKSAMDVVDGAFLARRPVAPSPRPGPCRATRR >cds.KYUSt_chr2.1954 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11729973:11734584:-1 gene:KYUSg_chr2.1954 transcript:KYUSt_chr2.1954 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGTPRSLSPHGTLILFTHLLILLPSNTAAQSIGNGSEIDRQALLSFKSGISSDPRQVLGSWNTTTHFCRWRGVACGKPRPFRVVSLDLSSQQLGGELSPWIANLTSLRQLNLSDNHFAGVIPDELGTLQHLQSLELDKNSLLGVIPRSLGTSGSLRYVNLAYNRLLGRIPEFDKMSALEFLDLSSNNLSGSIPSSLGNVSSLVGVWLDANSLSGPVPETLSLIQNLNVLFLSDNYLSGHVSAKLYNISSLLYLGLARNNLTGRIPSSIGKTLPNLVGMSMSGNRFEGLIPSSLANASKLQSIDLGVNSLTGPVPSLGSLSDLQLLNLESNFLEAHVREFLLSLVNCRLLVVLTLSGNKFSGQIPSTIGNLSQLNELYLDSNDLSGNIPASLGKCKQLDMLNMSFNNLQGPIPSQLLNSTSLSQGLDLSNNNLTGLIPEEIGALIHLVHLDISVNKFSGQVPVSLGQCVQLYSLVLGSNMLNGSIPQSFSELKSIEQIDLSHNYLVGSIPVFFVSMVFLQKLDLSNNYFEGEIPTRGIFRNHSAVILDDNTGLCASATTNLFQLPVCTTTSSVESKKDALLLVKVIPPIAIALLSFIFFVVTLLKQRQPEAGPCYTGTMKKVSYGGIVKATNWFSPVNKISSSRTGSIYIGRFEFDTDLVAIKLFHLDENGARSSFLTECEVLRNTRHRNLVKAVTVCSTVDLENNEFKAIVFDFMANGSLDMWLHPKLHKNTPKRVLSFGQRLRIAMDVALALDYMHNQLTPPLIHCDLKPGNILLDYDMTARVGDFGSARFLSSTPGNSEDLVGVEGTIGYVAPEYCMGYRVSTGCDVYSFGILVLEMLTGRRPTDAMFTDGLSLHRLVNSAFPDRLGEIYMFFNKKIRCMDIFDLTLGSTGSHVAAEASQLNQGADALLEMAAGAVTRRTDAAGASNAPSLMDRPAGDMEVDGEDADNLAEQNADVGALIKTAIVCSAV >cds.KYUSt_chr4.47867 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296292230:296294928:-1 gene:KYUSg_chr4.47867 transcript:KYUSt_chr4.47867 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGCNSTKKSKLSWSKSLVRKWFNIRSKAHDFHADDAAAAGRRGGGGDDDDEWRGSSFTRREPSTAKKSKTERPSRRSREHSRRGKIDLDAAEATVTMDYRIFVATWNVGGRSPPSGMGLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWVSLIRRTLNTLPGASTSGSFRTPSPAPPDPVAEVDDDFEGLSRHQSNNAAFFQRRSFHSRSLRMEGDILAPQPRLERRYSVCDRAIYGSRRPSDYEAHCRWGGSSDDDNNTGESPSTVYSPMSYGYGHAPSLDDSHRPASGHTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMTLHQTSFCFVCSHLTSGQKEGDEMRRNSDVLEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGRIYFPPTYKYSNNSDKYAGDDMNQKEKRRTPAWCDRILWYGRGLGQMSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIGELLPYSYGYTDINPYGYTDLNFY >cds.KYUSt_chr1.7227 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44299427:44303099:1 gene:KYUSg_chr1.7227 transcript:KYUSt_chr1.7227 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRRVLALASQLLHRRRLPDPRFLSSAAAGALDRLGSPPFARSAASRNPAPPSPWDRFGGQKRTMFIQTQSTPNPQSLMFHPGKPVMEVGSSDFPNARTAMTSPLAKALFAIEGVTRVFFGSDFVTVTKSDETSWDYLKPEVFAAIMDFYSSGQPLFLDANTAAAKDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPETGIVKLQMQGACSGCPSSAVTLKSGIENMLMHYVPEVKGVEQEFDGDEEAELATQTE >cds.KYUSt_chr1.38334 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234131081:234137552:-1 gene:KYUSg_chr1.38334 transcript:KYUSt_chr1.38334 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSYANGGVRNGAAAAAAEGKLDELRRQLGKAEGDPVRIAGVGAGAWGSVFCALLQDAYGRDHRDKAQVRVWRRAGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEIGRYWKERINPPIIISLAKGIEASLDPVPRIITPTQMIANASEFSAHVWPPYLIEAGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLSNFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAKEPEKLAGPLLADTYVTLLKGRNAWYGHKLAKGELTLEMGDSIKGKGTIQGVSAVNAFYELLSQGSLSVTHPETKKHVAPVELCPILKTLYKILIKRELGTNSILQAIRDESMYDPRERIEMAQRQSLYRPSLLGLPKGRPIADEKHIQSSRLSAYANAHAIEVATAVFLDSIFKRDHRIDYTMSAIDAATAPDDSTILRVSITLHPSRDTTTPCHGDSTTPTKQKHTAPPQHHRHPLSAPKTIPPTWRMALRAAIVRSGRPESGVSSGAAQTNVAAGTMPSTRMRRTGAAIVRHDCSEGRFSPADIGIPNEPAEDGTRVY >cds.KYUSt_contig_2278.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:135214:140888:-1 gene:KYUSg_contig_2278.17 transcript:KYUSt_contig_2278.17 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRRATDASLKPYRLPSGIKAGRNKGRLRSLPALQMAAIRPAESTTTHVAEDEPKMENQNLLLVQRRRPISDTVTNLTNPVINTPSASPLPPWQHKGQEEIIPKSARTSHLVWACCHLMGDKSNVKKEIDGALASRLRKGGLKFAPKKPPNKPAKVTPKMEPVEESNDETVDKELLMKLKTSQDYTHSDYPVTLPLRRPYSGDREILDDEEFGESSANRAQDGKLTTAEELGLMVQSATPQLLFFQLPSSLPLPNQTQSVEEPNTGSEENAQLGSAASEVTSGQKRPSSFQGSKIKDLPGGLMGKILI >cds.KYUSt_chr5.42180 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265833104:265834951:1 gene:KYUSg_chr5.42180 transcript:KYUSt_chr5.42180 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDGNCGRRHLPLRLPGHGRCHQRRDQWRPSKIPDLCAQDDRKYAFEPLFILAEPGSVDCNDMESSRSGVDASSSRVYSSISGGTDSGASPLLEGSENDGATSLHCCYGWTEDWRWLPLFRVPVYEGAGENFEPLEEVKHTDGEGLAVVAEVVAAAESALGMQKGDVEIEEDGVKTGDSGDSGKEESTLSVVRPDPRAPGWNKR >cds.KYUSt_chr4.28034 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176091432:176091821:-1 gene:KYUSg_chr4.28034 transcript:KYUSt_chr4.28034 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAHRADVQPDAAPLCDGAQQLLPAMVAAVLLPPAITCSFGDDTVHGGGGAAPTSHHLLVRRWDDTHGSCASPAGHHPLLSWCGGRSEKAGGEERLEEKGKDGGDWRRSGNEGIGEEGSVRGDLPPGG >cds.KYUSt_chr6.32083 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202634952:202640343:1 gene:KYUSg_chr6.32083 transcript:KYUSt_chr6.32083 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGESGDETAALHASPTVPPLEDDDLLGEILLRLPALPSSLPRASLVSSRWARLAASAAFRRRVPAHHRTPPILGLFHNPSGELLFTPALAPPDRIPSERFSLYPGGDGSYPDPLDCWSLLACRHGRILIASSWWRLLLVFDPVSGARRRVAVPPDFVDYMSTANGAVLCSAGAGHVHGDCLSSPFKVVLVSTRWRQRPAVARVYSSETGLWGDLVEAAEPCAGMVSRLPGTLVGNSLYWWLNESDDGMLEFDLDTRVLAVVKRPPFEGVHGNRIRIIRAEDGSVGLAVLSYPSFQMWRRRADSTWLLHATVGMDKVLGLPSEIEAGRAAIRGYAEDADAVFISVNDTDCRNYFFRVQLDAMQSTELCGNFLGNSYHPFTNFYTPDLKNSEPSVGTGSTIYICPTRTLSASYYSKVYKYHSTYTSKTTNQITHIIKKLAMEMGKKKKLAPLSLFLAILLIISSEMVEEVGAKLVCDTYWSLCIEKCTKTGKCMRCCKYWGFVHGRCSLLHGMGCYCCSDDSDPGHAALRRRYQYQQQQKMLAPPPLDHLLHA >cds.KYUSt_chr5.40003 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252820994:252821464:-1 gene:KYUSg_chr5.40003 transcript:KYUSt_chr5.40003 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSRPEEVVALVKLRVAAGQIKRQIPPEEHWAFCYDMLQKVSRSFALVIQQLGPELRNAVCIFYAWGVLSCQVHNFLSVLRPTTLTVITTATAEDDTSVANDVKLPILVDFYRHINYRCEW >cds.KYUSt_chr1.24454 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146021837:146027407:-1 gene:KYUSg_chr1.24454 transcript:KYUSt_chr1.24454 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEPPPPLPKKPRSPPAAPTTISALGDDLLREIFLRLPSLPTLVRAALTCRASLRAVRSSPAFRRRFRELHSPPLLGIFLHIFESDTPAFRPLRPRSDPGLTAAICRSDFFLTRLPGGDNASVPAWLIRDCHDGYVLLLGCNSDHIAAYNPLTRALHLFPKPPEEICQDMCVEYHVLSPEEDQCPFRVICVCHEDYGAQAAVLSSETREWQILPWVDAASMLQPALQPGYNEKYSPDDGKLVNGSIYWIAESLATARVLNIATLHFSRIDLPHVEGQEALTAGKASRDGKLCIVCTIKLTLVVWLWGTDGDGLERWMLDKRYPLEQAIDELRHRFTGDDVILKVMAVENGFVYLSAYCEVDPKLPGWFLSFCLDTEELNWLCPILHADDMYPYIMAWPPSLVLNEPFFVVPRRGGSKPGKRRNINRHREAGAMLLNADYFNDDATHSPKEFQRRFRMNKDLFLKIIHGVREYDTYFMAKQDCTAYVFFSLRSFFSNQRYLELAVVRRADFFLTRLPNNDEGSAPKWLIRDCRDGYLVLISQNTDHMAVYNPLTLALRLLPKPPRKICKEMYVEFHVLSSQEDPGPFRVICVCHEVYGAQAAVISSETTEWQIFPWVEASSIEPDLQPEHKDYTAHDGTLVIGHHLLDTGEPSQCARAEHRYIALLPNRSASALWRARSTYCWRDQGW >cds.KYUSt_chr6.29773 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188753894:188757776:1 gene:KYUSg_chr6.29773 transcript:KYUSt_chr6.29773 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAPLFLVLVYLFMAVAAEERSDAGNVDVGVGGEEEAFDKRFLKLWTDGGGGDEEDHLRWYGDDDEYDNDDEEEEDGDTVMLGGARCPRPTKKKKRNVVRVDDFGAAGDGCADDTEAFAKAWEKACSLKDAVFVVTANRRYKVGPIRFMGPCKERLVILIHGTIVAPEEPSEWDPKSPRLWLLFGGLVGARIQGGGVIDGSGSKWWANSCKIDRSKPCKAAPTAVTIDSCSGVRVRSLHIQNAQQMHLTVSRSRGVRLDKLAITAPGDSPNTDGIHVAESTAVTITSCRIGTGDDCISISNASFAVKMRGIVCDPGHGISIGSLGQGGSYAAVEGVSLDNARIARAQNGVRIKTWQGGAGYVRNVRFSNVLVDDVDHPIIIDQFYCDQKTPCANRSTNVQVSNVVYRNITGTSRRAEAIKFACSDAVPCSDIVLSNINLLREDGSEVQTVCNCAMGFDYEPVRPAADCLRNSECNGGGDKKVGGEEPKALPLHTEL >cds.KYUSt_chr2.11043 pep primary_assembly:MPB_Lper_Kyuss_1697:2:70113889:70118922:1 gene:KYUSg_chr2.11043 transcript:KYUSt_chr2.11043 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVEQLNIYDLKAPKNLPTSAPFSVTSNQGPRLPRDYYDVKPTKIKLICNFGGRFLPRPSDGELRYIGGNRHLIKISRDISWQEFILKTTRLIRRAHTIKYHIPGEQVSMLISITSDDDLRHMIDECIVLERSREMLTMYLFSGNDDERHVHFIVQGSSNAEKEAQFIALINGVIAPVYDELRAHSLGRSSVNDLDQLMFGNNVEGLPAGRTEEAPVSIRTKPLQSIMTPPKTSSGQLEKMSPSSERRMANQDYKTHSTEGNVISATSNIDKAYHGASVPPESKTKQSCGLAVSGHQQQTATESFGKSHEATGVQEKISPRKQQTAPLGNSSVATQPSSKNKKFPVPPHTGRSAYEMPASLSPRGSQITVNQQGTDENTIKSTRIAAQEEAIFHSAEDPLIRKINNFQLHKKVEISEPGHESGTPGCNISTNLQYMESTAATSSMQKNQPAVTSTPVSYSGEAVLLPFAFASSDKTTNPQPNILVRASSERIQERPSSPGPDEHSSEITRFRSVGTGTINPQIRTTLAEAKDNAAPSLSEPELRETKSTEQSLPANALLGRDLISNVQIISNEDLEDLREMGSGAFGTVFHGKWRGTDVAIKRINNNCFSYPSSQADKLITEFWREAAIISKLHHPNILALYGVVNNGPGGTLATVTEFMVNGSLKKVLGRKDKYLDWRKRILVAMDAAIGMEYLHSKDIVHFDLKSDNLLVNVKDPSRPICKVADFGLSKMKQATMVSGGMRGTLPWMAPELLTMSGTKVSEKVDVYSFGVVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPTSCNPEWRKLMEQCWSTEPSQRPSFTEVATCLRGMLREAKVNL >cds.KYUSt_chr3.27007 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168528972:168531734:1 gene:KYUSg_chr3.27007 transcript:KYUSt_chr3.27007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLNNLDSYHFCFLLLVSTSTISAALTASSYSSLCPSLKHASDSHTEDDDVLSLTRSFQISAGYFSGGADSLFSTGDDLYNRIYRSFSVFPHGASRTSDPALAHLTATLTIKGPHGEPYQGDRRSHNYHYDNYTIGRFRSRSISFFLDGYYSSASLQLCMVGTGTELAADGSLKLYKDIALRLHVPSPPTLTDPFVTGTLEGHADLGIIHLLAYAEGDDYKYGSEGVACDTPKQQTRGSLQALGSINSTWCAHLKEHLVTSYMLQEQSGAALLRRMHVNQVRCNTDGTVRAYVGFSNDTGPERRGYYYRRGRFVVDEEAVVAEGRWDRDRGVLCLRVCRVVRSSLAPSVLTVQEHECGIRMSFWFPAVWTMRSRSLVSGKLWKSTGNNDDAELMSGAITVSSIDDSDNHRSSDLSDVKYSYNDTMLEEAKKHYRLKIETEKIKESHSFPGNYTYRDFVFDFYQQQGDGHGQAFPVTLGSAMVSGDRLAADDSFSQLVEAEQDPLMSVSYDIHHNAPPDNWVRPGNVLNYSVHLQERRILAEGVYDPKRGLLFMIGCRERNGSTDCQILVTVQFASLEARGGHGKGVFNSLREKTDPLFFEKIDITLYGMYSDQVSAEISRMDLESIMLVASATLSCVFAVLQILHTKKNPEAAAGTSIAMLAILALGYLTPLLLNFEAMFKSRRSRYFAYPMGGSLEMNEAMLRAPILVAFVLQLRLLQLAWSGRQRSADQTIPSSPVIVSERIVLQICLPLYLLGGILAATIHVINAHAGSEDTQVIRVVRVGEEPATIWDWEYLVSYAGLILDGFLLPQVILNASLAASRVRALSPWFYIGCTMTRVMPHVYDVVRAKIYEPSINPSDIYASPRSDLFGVAWDIVIPCGAALLAVLVFLQQRLAGAASLPSQRRRSGGYELVATL >cds.KYUSt_chr1.41645 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255368357:255370894:1 gene:KYUSg_chr1.41645 transcript:KYUSt_chr1.41645 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPARRRSPGAGGWAAAAATVDEASMERSKSFIKALQELKNLRPQLYSASEYCEKSYLHAQQKQMVLDNLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEISAFELKVARLNQQIFTCQIYVDKEGARQQQMMGANMKHHKHYILPSTSYKRTQAVAHLGTDTNQESKPRPYPSAKTLSWHLASDNSPSVNGAQKPTFILGDNISSKPASDGLFLFGKDLPASPMRKPLQSNHNTRSDVTQKGSAKDQSGLRHMTTFSNFDGPKGREIQKVPVGTKSMLTALFIKHKSVKMKKISAR >cds.KYUSt_chr3.5824 pep primary_assembly:MPB_Lper_Kyuss_1697:3:33056943:33060280:1 gene:KYUSg_chr3.5824 transcript:KYUSt_chr3.5824 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVGTVLGSVGKFAVQESSFLCGVSAEAAFLKDELMRLQGYLKEADSKRRSGHRGVAVLVSQIRDAAYDAQNVIEAASYIDKRNMLKKGFMGAISRYAHLPSDLVALHKVGAEIQRVRRKLNEIFQSARRLKIDLDHAVVVTSQVEEELAQDYDIGHQNYEDGVVAIGFQDEYKEIEDKLFHEGQMLSVVSIVAMGGAGKTTLARKVYTSSIVKQHFDNVAWVTVSQKFKGVNLLKDIMKQIIGSKDESIDQMRECEGVQQTKLQTLWLCLGSDSNKFCNLDMVRIFGQMTQLTTLSLEIDPPMSAEVMNVFVNMPHLVDVELSKFSVLDKLPECHHFPQRLRSFTLTAYAIKQDPMPVLEKLPCLVVLKLDGYSGRSMSCSAWGFPRLQELNLEEFFYTEEWKIEAGAMPKLSHLILFRFPKMSKLPEGLLQLPSLNHLKLYKVSLIPVGDDNTMNELQQKGCELPSFVESYFLSRFCR >cds.KYUSt_chr7.21507 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133384158:133384544:-1 gene:KYUSg_chr7.21507 transcript:KYUSt_chr7.21507 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRPAPTPMFLPYAMRAVPRSVRVEWVLMWARTGTAKRSIADRRGQGERRDVTNGLATSLDLPDIQRIQNMKKAEVHVD >cds.KYUSt_chr3.40771 pep primary_assembly:MPB_Lper_Kyuss_1697:3:257390098:257396630:1 gene:KYUSg_chr3.40771 transcript:KYUSt_chr3.40771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone lysine demethylase, Stress-responsive H3K27me3(histon H3 lysine 27 trimethylation) demethylatio [Source: Projected from Oryza sativa (Os01g0907400)] MPSSPPPPGPSGELVPPWLRALPLAPEFHPTEAEFADPVAYILKIEPAAARYGICKVIPPCAPPPRKTTLANLARSFSALPSSSPTFPARHQYVGLYARRPLPAVQTAWLSARRYTLQQFESRAAAARGPLLARLGVPASSRLSPLDHDALFWRAAAADRPATVDYASDLPGSGFSAPAPRHHHPAPAARRHVGDTAWNMRAAARSPGSLLRFARDEVPGVTTPMLYVAMLFSWFAWHVEDHDLHSLNYMHFGAAKTWYGVPRDAALAFEDVVRLRGYGGEVNPVETFATLGKKTTVMSPEVFVESGVPCCRLVQNAGEFVVTFPGSYHSGFSHGFNCGEASNIATPEWLTVAKEAAIRRASTNRPPMLSHYQLLYELALSMGIRDPSIGVMEPRSSRLKEKKKGEGGQLVKKLFVQNVIQDNELLSCLLNDGSSCIVLPITAHDGPVLSALRSRYQLRPKSNLSDNLCSSGETLEASRCLPQNEAFDLNGEIRNCSALSSSKERSLAVCSGKKYPPARRFGFEPYGNEMLSDAEPVNGNSALDPLVAAYGDQSDSNEDIRNEKLKVSHESMELSGQMTEPQHNGSSISDGPRGVRTRNKYKLKQALSEGFQLKDNRLTMEQKVLPEPSNPNKILKEGPLDASGTDYHCICNSTAIVMDDPGTSAATMNNLNKPIVKFDKDSSRMHVFCLEHAVEVEKQLRAIGGANVILLCRPEYLKIEAEARSLAAEMEVEYDWKGIHFREAKREDREMIQELLQDEESIPTSSDWTVKLGTNLYYSANLSKSPLHNKQIPYNRVIYRAFGCNSPNNSPEDLKTCERSQGRQKKIVIAGRWCGKVWMSDQVHPILAQRIEGSELEESDNSGGVAASKRSTATDVMKSSKRRGNMTLEETDTKRPKQTKEYSPKALKRVAQVSFPSPTGTVLRVSSRIANRPNKVKSEMTEEEDDDPASRPKSKVTFHSRTRAPQKTEVEARKQMKISRGDIMMDPATPKDEEEHPYSAEGSPVSSDSNWDLYPRKHRTRTEAKIQLKKSTGEKKRTPRDPVHAEEYMCSIDGCYTSFDTKNELSMHERDVCPVNGCGKKFITHKYLLQHHKVHTDDRPLKCPWEGCGMAFKWAWARTEHLRVHTGDRPYVCHEPGCAQTFRFVSDFSRHKRNTGHSPKGTRTEA >cds.KYUSt_chr4.24117 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151795715:151796119:1 gene:KYUSg_chr4.24117 transcript:KYUSt_chr4.24117 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLSLGGRHPSTIAVSCLLAAVLLLLLLTGVAAASPSTTAALSLDDGNDAAAASTRGSSSSWLWQQGRRGPAAARRLLLAVQPASTTPMVGKDTNEFHVVGVQRPANGNLPREVEFNAGRKIPGSGSNRNHN >cds.KYUSt_contig_988.389 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2315079:2315282:1 gene:KYUSg_contig_988.389 transcript:KYUSt_contig_988.389 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAIQAPATMQKQAYEDNMIAAVRASTDCAAASVAADKEESPLRKVNADAEHLTVVAMVIFFLLYV >cds.KYUSt_chr5.32583 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206672481:206678724:1 gene:KYUSg_chr5.32583 transcript:KYUSt_chr5.32583 gene_biotype:protein_coding transcript_biotype:protein_coding MHCYHSIPALLVLAALALPLAAAQPWPNCDRTSGNYTLGSKYETNLQDLISTLQSEAYTSPSLFASGRVGVAPDVVYGVLLCRGDVGASACYDCATLASRDAGTTRCNRSRGVALCYNPCYVLLSDKDFLASNNNSGQVPLYYSGRINSTDVTGYNEAVIGLLNATARYAAEKSTRLFATGRWVGPDPGFPSIYSMAQCAADLSPAMCLSCLQDLVGQWYTIWKTGHTVDDLENVKSSLISLSLLQEATNNFDENNILGDGGFGTVYKGLLSGQEVAVKRMSKGSNQGLQELKNELVLVAKLHHKNLVRLVGFSLEAGERLLVYEYMQNKSLDTILFEEMHFKITVTVRASRVERWIRIIKTNFLDAAPIKCVGLDYEFTDPREGREFGLPNRRASVLQLSVATGNLVFQICWADEVPQLLKDFLQDKTIRFCSAAIKDVEMLRSYGIDIPSAFDLQKIIPNPTKNPILSLANSTIRIKQEEEEEGQEEEQEEG >cds.KYUSt_chr4.4670 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26745537:26747516:-1 gene:KYUSg_chr4.4670 transcript:KYUSt_chr4.4670 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCEDVEYGDIYSVANPAAAPARMLDFSLHQPHLQFPPSYGFHSLPAAGYLPSPPQPLAFGSTPSPASTTTTELESPEDLSADDAVLAYINQFLLEDDDEEESFPSPGAAIAAAAPERDSALLAVAKPFVDIIADAAPYQETPWMDPPPNASAGTAAGFPNNMFLSSSSRQSSCQLVPAEPVKEEGRCAVHRGRKKNRHDDDDGLELEDERRRKQSAVCDEEMIREMFDNVLLCNCPDCELQSPLPDESEITKAYVKGSGNRRGRKKGKTKAAAAVEEESVDLTTLLIHCAQAAAIDDHRSSGELLKQIRRHSSAHGDAGQRLAHYVANGLEARLAGVGSTVHRSLVAHRTSIADMLKIYTLYGTACPFLRMSRFFSNQAILQASKGATRLHIIDYGIDHGFQWPVFLQRVAKLEGPHPTIRITAIDLPQPGFRPAERIEATGRRLHDYARMYNIPFEYHAIAAKWDTIRIEDLRIDKDELLIVNCLFRMRHMMDETVTDQSPRMTVLNTIRKLNPHLFIHAVVNGTYNAPFFVTRFKEALFYFSSLFDMLETTASKLEEQEHRMLIERDFFAREALNVIACEGTERVERPETYKQWQVRNLRAGFRQQPLNQETVKKARYKVTRSYNKDFFVDEDNKWMLQGWKGRVIVAMSTWKPS >cds.KYUSt_chr4.4926 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28195627:28196145:1 gene:KYUSg_chr4.4926 transcript:KYUSt_chr4.4926 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGMKRAREDELVSLALSLSTDSPTTSGNSTASAAAQKKRTRRGRVVATSGEGDFVCKTCSRAFMSFQALGGHRTSHLRGRHGLELGVGVARAIREKKRSEEKQHECHICGLGFEMGQALGGHMRRHREEMALSNGAGGDAQWVWRSVALPDQELLVHAVDRPPVLLELFV >cds.KYUSt_chr1.11806 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72817098:72817559:1 gene:KYUSg_chr1.11806 transcript:KYUSt_chr1.11806 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQWASASNVPIHQAYNFSGLRQKNLRRCAMDGLGWTQAWCFVIATGNWAAAVHRSAGDPCAVAVLVGHYLVTLLAVYCLHAVRRVPPGPGRRRLKAAGAVLTSILISMSAGKLAATFSSIMLPWAAVSVWVVAAGPVGLLLWGAFCRRQAV >cds.KYUSt_chr5.19914 pep primary_assembly:MPB_Lper_Kyuss_1697:5:129225676:129226110:-1 gene:KYUSg_chr5.19914 transcript:KYUSt_chr5.19914 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSRWRPVFALETGGSPNTDGEDFEEDSGFLGRTRLGRLVQAAGRQLLEKLNSARSNSPTKIFLVLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYKKPVKKPPGRFQSLISMVNFWKAGVCLGLFVDAFKLGS >cds.KYUSt_chr2.55567 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346600337:346601035:-1 gene:KYUSg_chr2.55567 transcript:KYUSt_chr2.55567 gene_biotype:protein_coding transcript_biotype:protein_coding MGADWIDGPALFCQLLSAARWIAGAYGLIFALPSITWYRIPGYGPPAWSGLALPPVFPARSASATALCSSGRRFRRRRRPRLQHTLTNGGRRPVLQWAVTAVFPAFGHRPVLQWAVAAASVVAVIFSPPAHAHTPSSPPTAAVAAMRRAALNVAASPQAPVTLFPCPRSLPPLLAAARRRRVTPPACMDAQEADPGGPKKPRRSLSAPMILLDPMRVRANWIRLVESLFSAN >cds.KYUSt_chr6.20788 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131140640:131148274:1 gene:KYUSg_chr6.20788 transcript:KYUSt_chr6.20788 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLFAFADRRDAVLMTVGAVAAMANGVAMPFLAFLVGDLVDAFGPAHRANVVHAVAKVSVRFVYLAIASGVAGFLQVSCWMVTGERQAARIRGLYLETILRQDISFFDVETSTGEVIERMSSDTVLIQDAIGEKVGKFLQLISTFLGGFIIAFSRGWLLSLVMLSSIPPVVASAAVMSLVLSKLSYRSQMAYAEAGKVVEQTIGSIRTVVSFTGERRAIDKYQEFLKISYRSTVHQGTAGGLGIGSLLLIVFCSYGLAVWYGARLIIEKGYTGGYIINVLMAIMTGAMALGQSSPCLTAFPSGQIAAHKMFATIYRKPEIDASDKGGIILDNFVGDVELKDVNFSYPARPEQRIFNGFSISIPTGTTVALVGESGSGKSTVISLVERFYDPQSGEVLLDGVNLKELNLSWIRQKIGLVSQEPILFTTTIGENIGYGKRGASEEEIRSAIVLANAAKFIDKLPNGLDTMVGEHGTQLSGGQKQRIAIARAILKNPSILLLDEATSALDAESERVVQDALNNIMVNRTTIIVAHRLSTVKNADTISVLHRGQLVEQGPHAELIKDSNGAYSQLLRLQEVNTDRKGSHGDDSNRLQSAPDTANSASQHSSINPSLERSMSRYSAQGGSRRNSQTFSLNEHVTERVDDVKSEKNVIRRLLYLHKPEIPILLLGCTAAAANGAILPVFGMLLSSAINTFYEPPQKLRKDSAFWAEIYVMLGVISILIIPMQYSLFNMAGGKLIERIRAISFTRVVYQEIGWFDDPLNSSGAIGSRLSGDAASIKSIAGDVLSLIVQSLSTSVVGIIIAMTANWQLALIVLCFLPCVIAQSYAQGRLMRGFGADAKEMYEQASTIASDAISNIRTVASFCAEEKIIESYRNKCEGPLTKGVRQGTISGVGYGFSFALLFCFYAVSFYVGACFVHNGTAEVGQVFKVFFSLTMMAVGVSQSSSLARDYSKVQDAAVSIFRIIDRVSKIDASSEVGRTLDTVEGNIELQHVSFKYPARTDVQIFRDLCLRIPSGKTVALVGESGSGKSTVIALIERFYDPDCGAIFLDGVDLKTLKLSWLRQQIGLVGQEPVLFDDTIRANIAYGKNEQVSEEEIIAVAEAANAHRFISSLPHGYDTSVGERGVQLSGGQKQRIAIARAILKDPKLLLLDEATSALDAESERIVQEALDRVTVGRTTVVVAHRLSTITAADKIAVIKNGVVAEEGRHEQLLRLPGGAYASLVALQSSSS >cds.KYUSt_chr3.46843 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294358245:294361141:-1 gene:KYUSg_chr3.46843 transcript:KYUSt_chr3.46843 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEPPAQDPPPSAASSSGTGTGAGGGEKGGPCEECGEQPWKYRCPGCSRLTCSLPCVQAHKRRTACSGKRPRTAPVPLAHFDDNQLLSDYNLLEETNQAREAAHRLVVGYGRNYGGGDGATLPSWLFFLRKAAHRRGVRLFFLPRGMARREQNRSRHFHRALDRVFDLTHQDEMVMTLLGIKDIIYWTLEWRFNSADIILTDHQIDEHASLLSLLEKHLSPTPLKDQLTPYRNTELRDLKLFIQKSAKDSKSPYRQLNIEEPLHAQLRGILIVEYPTINVFLPSDTCEFEVEKFVNKIRNEQPPGSSNDSPPIEGTEFQEEEIEEGEMVPETQVIDLKDCGPSRTTNLSPLAVTSGSKVDSNKSDSSVLSYIRSLGLDGLQGKLNQHSKIEPKTPSGTTEPKSCMKVYPLDSEESVEGLSERQAIDLEEHASSHPFNRSPAKLTTIPNTECKTDSSVLCPISILASDGFSRPQEEYYEQNKLTTNTTPEALKRRSRVKVYPLDMDTEDTQGLFSEAPSQEFELDVQDAYEEDLFGDMNPDDFLNFDLEIMDEGSFEIRSPFKLWDDLEEGEIPSQL >cds.KYUSt_chr7.21296 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131925695:131928465:-1 gene:KYUSg_chr7.21296 transcript:KYUSt_chr7.21296 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSVGATGRDGSSPWTCSASSSSDPDCGAPSSPSPSSSSAQNLPLLPPSYEKRASAAPLPARPPRPTIGRAATGDEPESPAASPNPNRTDIPLRSHCSQLTLLLSEDRGRRAIASDPSPLQDFCVVDKKSPVLVNGFVCKNPMDVNADDFFKAANLDKPRMTNKVGSNVTLINVMQIAGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPENKFLSKMLNKGDVFVFPVGLIHFQFNPNPHKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKNTIDWLQAQFWENNHY >cds.KYUSt_chr2.43641 pep primary_assembly:MPB_Lper_Kyuss_1697:2:271470842:271471591:-1 gene:KYUSg_chr2.43641 transcript:KYUSt_chr2.43641 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYLDCSSSIETNMGMMNTTPSVVVSTSPSSMVADSAQNLKYGGPLAADWSYLELQVLKDCLDRYVNEHGIMKYIKIAASIPTKTVRDVAMRCQWMGVFLGYISKEFMPKKEQLLFRSDH >cds.KYUSt_chr1.29079 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175914452:175917281:-1 gene:KYUSg_chr1.29079 transcript:KYUSt_chr1.29079 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAGAAAAGGAVRGVRAVARANIILGLAMAAMALVTATINPGDFHAQIIEQAAPATAPGAQGCAAATEAEALGLRGAALDLVLMGVVQAVLAAAADVAVAGSLRRLGRCVAALAHLTGALNTGLLCYVVNRAAVVTMGNCVTDLSNDVAFAASGKQRRKLRRAGRRPARIGADRFGGRRKVSARNTSDLVARFGWSRQDLALERPHQTRWNTSDRIDKLEVNMEALQKMIEAMSSDLKTGLSSVENRLASSLTSVETRLESTQASVDATANSIHTLNEWKSGIDTQVSDLSSSVQALRKQVDRMVVGVGLSALGTPPTTAPGAATPPAAPATTSAGTTLQVIDSGQLGHGVLPDTRGQTAGAVAFPLSTPVTGDSPAVDAEVFEDALADTHEVLMSISKQALNGSETAQSMRLMGQIQGRDVLILIDSGSSSNFISANLAGQLVGVQKLHQPIKVKVAGGGILSGDSALPDCKWSCQGNSFTTSLKVLPLQCYDVILGMEWLE >cds.KYUSt_chr7.9990 pep primary_assembly:MPB_Lper_Kyuss_1697:7:61172603:61174404:1 gene:KYUSg_chr7.9990 transcript:KYUSt_chr7.9990 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGNLCCFSLLLLLLAGLASGDHQVLFQGFNWQSWKQSGGWYNMMMGKVDDIAAAGVTHVWLPPPSHSVSNEGYMPGRLYDIDASKYGNAAELKSLIAALHGKGVHAIADIVINHRCADYKDSRGIYCIFEGGTSDSRLDWGPHMICRDDRVYSDGTANLDTGADFAAAPDIDHLNDRVQRELKEWLLWLKTDLGFDAWRLDFARGYSAAMAKVYIDGTSPDLAVAEVWDTMPTGGDGKPNYDQDAHRQNLVNWVDGVGGAASAGMVFDFTTKGILNAAVEGELWRLIDPQGKAPGVMGWWPAKAVTFVDNHDTGSTQAMWPFPSDKVMQGYAYILTHPGTPCIFYDHFFDWGFKEEIAALVAVRKRHGITATSELKILMHEGDAYVAEIDGKVVVKIGSRYDVGTVIPAGFVNSAHGKDYAVWEKAGAAGTLQRS >cds.KYUSt_chr6.24704 pep primary_assembly:MPB_Lper_Kyuss_1697:6:156199223:156212720:1 gene:KYUSg_chr6.24704 transcript:KYUSt_chr6.24704 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYSHRDGGVERSDAPQNNCIPRVEVVNGGCTEESFGTVRVELLPRRDRVPLERRYSEIADQALNKKAWECPKCQNICNCSICMKNKEEVPMGPMVRPGRKRKGSVDDTPANKKPRLGTENGTPANKNYPLEAENTYAPEDRIDLPRGTLVTCVAGIELQPEDVGAAIQFLEFYRLFGEGMRDDNLDDEVHVPLVIPNERKGQPSSVSLDEGRTADISQLSADENRVLIANFSMEESLPASLDTEVVMVSAEGNLFNLDVNLDEEEFRCTSVCSVRATGPVEVPFRNDSLVTLLAHVMAIIGSVEQTMLAQGSDSDKCELGAPLREAPGEEEPGSRSSTKRGGVPGKEIVVEEEAKRTWARSCWATGDRPLGCSWARTCLRRMIAWGGGDRPLGAP >cds.KYUSt_chr2.9462 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59616797:59617123:1 gene:KYUSg_chr2.9462 transcript:KYUSt_chr2.9462 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFAAAATLAVLCLFSSSSPTSSLSSSRRSGADNGDRYLYWGGRVDCPGKHCDSCAGLGHQESSLRCALEEALFLGRYPTDYRCIRKTWCILGYCYDVVEYSVFVPC >cds.KYUSt_chr2.46959 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293725296:293733356:-1 gene:KYUSg_chr2.46959 transcript:KYUSt_chr2.46959 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAAGFDAARRGSAGAISSARASRASTPAAAVSSLSASRTNTADVAPPEFEPKVSPPPPFASDRWAAGGASSSDEEEDVKKTTTKKGAAAASKRAPAKKSSKPKGKDKYLAEAPPSEQEFMSGTPDFDDDALPPTSFDPFADEAADAGAGAAGAGDDGRVHLRVQQRNGRKTLTTVQGLSKGFNYAKVLRDLKRELCCNGTVVEDKELGNVIQLQGDHRKKVAAFIAKAGLAKTDCIKNSADDHRKRELYCNGTVVEDKELGNVIQLQGDHRKKVAAFIAKAGLAKTDCIKKRVAHCEQREQHNLRLQPDVATWWVDPADPAEGLLGCLRSWDPMASSYKMKGIFKSFKIISHIFAAKEQEMEIGCPTDVKHVAHIGWNTSTGTLAGNAPASWMNSIGGSSDFGSLGNLALAAPSAGTSWASQECMYFLSFSLGYRVAEHVLISEL >cds.KYUSt_chr3.30785 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193195921:193199612:-1 gene:KYUSg_chr3.30785 transcript:KYUSt_chr3.30785 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEQANPDEVVLGQEINGVRVVTLNRPRQLNGISDRVVYILAQLLEKWEKDDDAKLVIFKGAGRAFSAGGDLKMFYEGRSDDSCLEVVYRMYWLCYHIHTYKKTTVALVNGLAMGGGAALVAPLKFAVVTEKTVFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMIAAGLATHFVLSEKLEELERRLLNVNTGDESAIRAVIEEFSTDVQIDQESILNKLPTIDKCFSAETVEEILKSLESEVSIDGNQWIAPVLKSMRRSSPTALKITLRSVREGRKQSLSECLKKEFRLTINILRSVVTGDVYEGIRALSIDKDNAPKWSPTTIEEVKSEHIERVFKQFSSEHELQVPSDDSNR >cds.KYUSt_chr4.12671 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77949987:77951596:-1 gene:KYUSg_chr4.12671 transcript:KYUSt_chr4.12671 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3-like protein S94 [Source: Projected from Oryza sativa (Os03g0710800)] MSTAEATREENVYMAKLAEQAERYEEMVEFMEKVAKTADVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRTRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKAGAERKEAAENTLVAYKSAQDIALADLPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDSLGEESYKDSTLIMQLLRDNLTLWTSDNADEGGDEIKEASKPEGEGH >cds.KYUSt_chr5.33651 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213602325:213603732:1 gene:KYUSg_chr5.33651 transcript:KYUSt_chr5.33651 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSILAGEEPDSVFLKKEGKQNQVKMLWVAPSSCAKDLTISKGTGATGLRCCQSARQRQQNVWVRRRWRGSTLVQNVWRTPMIIYGIICLTCLHKTYDASAVYSLAPRRTSISEAHEHIKRSAVDAGGDAELCPHAKPRLKAEARVLLRRFASAMVVRPAGIRVGTWWPEAIDLTGQAAELRHNEAAPDLPSSSA >cds.KYUSt_chr7.38853 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241798936:241799226:1 gene:KYUSg_chr7.38853 transcript:KYUSt_chr7.38853 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKERRLCVLELVGDDRPGLQSEVFALLHDLRCGTVDARAWTHGGRVAALVFVREEDTGEPIHEPSRIRCIESRLRHVLRGGARGVKTVLVDAAH >cds.KYUSt_contig_662.99 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:550223:553916:1 gene:KYUSg_contig_662.99 transcript:KYUSt_contig_662.99 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPKSKRRFLQLAPKKMFIPPQTVKHFAETRMKRPELRADYDRSLGQSSKAMKEAKKVAQLGQQDIQAAPHFIVEPYHDPETASMIERAARAQGASVEYEDYYPTAQVVNKYRYGSDLVKPGELARLGTQMRRLHDWYLKACRRGDRYLTVYLRDEHYFRGEDEINIDVEELFQLFNQDALDKAVIAATRSMKKLEYKRGKLLPLGFIDPNTVHEVTVSDFAKDTEDNIVMFLEKQADKEDIFFPYNFNFHFILLIIDLHLGVVNVMDSKRKEYAEWADMAAILRRAWKRFINTVPGEWKPELTFRDYPFEKVLKRTREKGEFVTDNSKVIVARTLARQQATLRGLRSHQGDREFEIVQPPPPGPTKRKEILPPPKPVVEEPPPSVVQTAPPEPEVNPLPLALTKVGKSPYHYLLPLAVVDNCRPAATCLLTTLLWTRPAARSVVGRRGADVIRRGENYTSATMKDESTAG >cds.KYUSt_contig_528.433 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:2722346:2723415:1 gene:KYUSg_contig_528.433 transcript:KYUSt_contig_528.433 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVPDSGTASPRWCLVSWSHRPESVERLRASDPQLMAYDNANTVPVWELAQPRVCSPSGSSSPRQALLIGSIEEVLLLIHVKLAVPSSRDSTRKAFLLDNPVEKEIRDQRDAR >cds.KYUSt_contig_7409.39 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001509.1:175453:178345:-1 gene:KYUSg_contig_7409.39 transcript:KYUSt_contig_7409.39 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSLPAAASIGGMVPEQAERRTMASPVGGVDAEIAQEYPGRHPHECEISGAPRQEKANGQWSRTVSRQIEAAREIAHTIASSNNKVFLDSSDLLLGLQQLNIAGKDKK >cds.KYUSt_chr7.2532 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14820967:14821425:-1 gene:KYUSg_chr7.2532 transcript:KYUSt_chr7.2532 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGGGVLQLRVASTSSSSAPLQRRRKAPSVRCGGMRGAATPARTAGGLEEDHYRTLRLAPGATRREVKKAFHRLALQYHPDVARHHGDGEDNSDLDFQRINVAYQRVMASMREAEATLEHWRARYGLADEDIDRYRRYLHQDDDWFADL >cds.KYUSt_chr4.9932 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60050098:60055724:1 gene:KYUSg_chr4.9932 transcript:KYUSt_chr4.9932 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGTDIFLHRQKLEVKEKYEHVLWEREHRGEGSFSGASGQTLAPPIDDNSDKEEEAAPRTVFNSGDYVLTYDEDATSIQQISVILKAEARASVARRRTPSVRCASDVLGMRCTLLTKSSKFFNDYLDCLKGEQRPPSSLRWYLHHLATVQEYEDWERNMELGFSRCRTYNRKFNSSYAFYLAIRRVDEALERCWENAVDRGEFAETWEDYKTFLRSGFVLPYVEESEQPSRVVHAIEEVDKCIVPIQEIVPLPTVTKVEVKSLEERKPGSDTKSTTVTVEEVVPLSGLNMQLKRVQEDACRTVDKGQRWSLFQTQ >cds.KYUSt_chr3.45614 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287365054:287365488:-1 gene:KYUSg_chr3.45614 transcript:KYUSt_chr3.45614 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSELRTVFATMDADADGRISAAELQVCMRATLGENVTAEEAEALVASADADGDGMLCEAEFLKLALHCDAEDEDRTRGLREAFGMYEMEGEGCITPASLGRMLGRLGNERGIGECRAMICRFDLDGDGVLSFDEFKIMMSA >cds.KYUSt_contig_60.404 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2377597:2383625:1 gene:KYUSg_contig_60.404 transcript:KYUSt_contig_60.404 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSVGREPYDEEAASRRPLELAVRDSASASASASDHRSGNIMPRYQVGLNKADTSKKNLEVWHKKQSGADDIRKSKSGYFTASGVDLSPDNMAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPIYGFISDSIPLFGYRRRSYLILSGFLGAFSWSLMATAVDSKYGAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNERRLTPGEHAMSVSGSGFIESSTQHIKQLWTSVKQPNIFLPTLFIFLWQATPHSESAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGIYNYFLKAVHLRKIFFVTTIIGSALGMTQVLLVTGLNRQLGISDEWFSIGDSLIITVLGQAAFMPVLVLAAKLCPPGMEATLFATLMSVSNAGSVTGGLVGAGLTKLLGVTRENFGNLPLLIIICNLSSLLPLPLLGLLPDEEDSDNEETKHS >cds.KYUSt_chr2.4556 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28193410:28195329:1 gene:KYUSg_chr2.4556 transcript:KYUSt_chr2.4556 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYSQNSGTQIQTLSVIPRATQRTKSTTTPRPARERKRPAFLEPPPFAKPPPAAMPSRRRRNRKRLLTCVGAASVGALLFFGAHSSSIGLGGGTWSQHQDQLFRSPGPPPPETLMAMPRQTSEADLSFARRLLPNRHHSPPQLREDAVLLPDREVLVLSADPAVGNAMCVFQGGASSPARALGRLPGPGRHAYLCPLPGSEQPLQPPPLLLSSSSYSSSAAPPATAPAPAPAADFHKLLNWNDSLVFDSAPLPGGDLLLFAKGTNSRQGVIKTATSNIQCIYSRDSDGTVASSPATTSAQQVIRCPPPPAPLSSSNLHVTVALNGQEPLPSLATYDPQNTALPVTRERKSICACTMVRNVAKFLPEWVRYHAAVGVEKFFLYDNASEDDLAGQVSSLNSAGIDVSTVAWPWTKTQEAGLSHCAATNQPSCEWMAFMDVDEFIFSPNWNEVKKPSKSLLDSVVSVDPEVGQIFLPCYDFGPSGQTAHPQEGVCQGYTCRLMRPERHKSLVRLGAVADSLANSVHHFTLKPGFQKMWTTLVRINHYKYQAWTEFKSKFKRRVSAYVADWTDPVNLQSHDRAPGLGVDPVEPVGWAESFCELKDYTMKKLSEKWFGIGSGGRGATTEFNSNGDIAPSPSLP >cds.KYUSt_chr4.42485 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263067672:263068217:1 gene:KYUSg_chr4.42485 transcript:KYUSt_chr4.42485 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKLPQPAEISAENIMKPSFDDLSAEQLEAYEALKRRRQEQLEALEKKRKEEFEALKKRQEEEDRETFLASFKKDRQGGATSLGEVKLPPLLGDPAEPSVSTSLFSADQLAAINHYATESSRQAYDAMVEYLKASKNTPQDAFVNVGTGAAVGNPNPTLPTSSAVLRETPMNPYFSQDN >cds.KYUSt_chr5.40765 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257360679:257362043:1 gene:KYUSg_chr5.40765 transcript:KYUSt_chr5.40765 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRSPTAQSGSAGLAALSAGLARCLAKENAGSNLVFSPLSIYAALALLAAGARGDTKDEILRILGASSRRQLRKFVSGVAQDALTDQSGSGGPRVAFACGVWSDLTCPLKAAYRSTVVDKFKAEASNVDFIHNKEAARGQINAWVANATRNLIDSVFGPGSITRLTRVVLGNAIYFKGKWDEPFNKKCTRNRHFYLLDGGDVDVPFMESGSSQFIAVYDGFKVLKLRYKMAQAPGYAKVSSDSKKRKKASSESDGHKHTRFSMCIFLPDARDGLPDLINTIANQHGFLHEHLPKKKVEVNNFRLPRFKLSFESSVVTILKKLGLELPFGAEADLSRMVERNESGLPLVVGDVIHKAVIEVNEEGTKAAAITMVNIVLFSAIRRPPPHRVDFVADHPFAYFIVEEATGAVVFAGHVLDPSREH >cds.KYUSt_chr2.9840 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62297657:62299294:-1 gene:KYUSg_chr2.9840 transcript:KYUSt_chr2.9840 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLQPSPCRFRKENGGVMASRSPLPKPAMSGAPGLTLVPAPLFAGTSGMSPMSSLSTPVGPPMPPTGCCPAPDAELLMSSLSTSVGPPMPPTGCCHVPDVELQLLTSTGGAASGEARRADDAHDEKMQEKTDNEGWEHVGREPRPSWGAPPEPSREGLERYLAFKRWARGRCFCCLERDHRESSIVATDDEVETASKMGDEAIADKTPKESSMVEEECIYGCFSPHARTFPSPQPDMPVASRCEAIDGTLVPMMLVMPELHELCREASSPLSMTHLQVDSSALAPEADALFAKELSDLLVSLEAASPGYGKEIACVLAGNASEDMIKKVEKFLSRVIVRRRRGFARKDSATPS >cds.KYUSt_chr2.37915 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234642203:234659141:-1 gene:KYUSg_chr2.37915 transcript:KYUSt_chr2.37915 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQPASKQKQKPKPSASSSTSAASSAAPRLEISSENERRLRRLLLDSSTATAPSPASVDGPAARSESREQKGRRLRGVYDKLALEGFTSPQIEQALSAIPDTATFESALDWLCFNLPGDELPLKFASAATSTSRAGTEGSVKVVSAAKDNWVPQSREPVEAKGSTEGLEIKIARRQEEDVKLDGGRSSQAAWILQYMEQQEEEEEANSLDSSTWEDHYAASFEVTETKPSRRKKKGKKEKSKNGNTEEHISQNVVPDSETVNVEGCQVGLGASGNVSDSLVHIDEGSNLQTEIPKDVGETCTKEAEEEEVELDNLFFEDSSTWEEVAPEILKQQKIEKLSHDGYGHLLGNIDDIWKKGDSGKMPKAILQKFCQKLGWEAPKYSKTSEKDGKFIYAVNVLRGSTGRGKSRKAGGLTKIELPEQDEEYVSVQEAQSRVATFALYQLFADLPLRQLLIEPYSSLVLRWQEGELELSSTSRVLDTEDSRRAGFVDMLLDMETHNTLPHQRRDAPDGSVLIDSRHEENNSIYEKKETNHGGSRPSEHAESIALRKQLEQKRKLPNYLKMLEARASLPIARLKKHFLQLLKENDVIVVSGETGCGKTTQVPQFILDDMIESELGGNCNIVCTQPRRIAAISVAERVSDERCESSPGSRDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNKDLSDVTHVVVDEVHERTILSDFLLIVLKSLVEKRSNQPGRKLKVILMSATVDSSLFARYFGDCPVITVEGRTHPVSTHFLEDVYEKMEYCLALDSPASGAYFAHHGEKWKHASSSVNNRRGMKNLVLSSWGDESTLSENYVNPHYTFDCYQSYSERTNQNLKRLNEDAIDFDLLEDLICYIDESCPTGAVLVFLPGVAEIEMLIDRLSASVRFKGASSDWILPLHSMLSPTDQRKVFQSPPENIRKVILATDIAETSITIDDVVYVVDTGKHKENRYNPQKKMSSIVEDWISRANAKQRRGRAGRVRPGLCFCLYTHHRFEKLMRPFQVPEMLRMPLTELCLQIKSLHLGDIKSFLLKAVEPPKEEAIISAIDLLYKVGAFEGHEDLSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPVLSVAAFLSYKSPFISPKDEKQNVEKAKAALLNENLDGSTSVVDNKQSDHLLMVIAYNKWSRILQEHGARSAHQFCRSFYLNSTVMHMIRDMRLQYGSLLADIGLVDLPKDSLRPMNGNRKNNLEGWFSNMSLPFNLYACYPSVIKSVISAGLYPNVAATLEGVDPGALGGRKPSDVLFGKDRPRWYDGRREVHIHPSSVNHSLKSAQYPFLVFLEKVETSKVFLRDTSVISPYSLLLFGGSMVIQHQAGVVVIDGWLRLTAAAQTAVLFKQLRITLDAVLKELTRKPEMATFVNNEVVRSIIHLLLEEDKAQQA >cds.KYUSt_chr3.39834 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251085338:251088407:-1 gene:KYUSg_chr3.39834 transcript:KYUSt_chr3.39834 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNHDTLQEELMPPAGGIASVSGFLLQIFVFSVQLIVSYIPSSSGMELRLSSHLQARLPSVPTTPPPSASPTAVLLPASRRGRRLRIGGSCRVAPRRHLHSAICASVQDGAQGQEAVKERSVSVILLSGGQGKRMGANMPKQYLPLLGAPIAVHSLRTFCGMKEVKEVVVVCDPSYKNIFEGSVENLQIPIKFTCPGKERQDSVFNGLQEIDGDSELVCVHDSARPLVSSEDVKKVLEDAAVHGAAVLGVPVKATIKEANSDSFVVKTLDRKTLWEMQTPQVMEPKLLKDGFELVKRDDLEVTDDVSIVEYLKHPVYITEGSYTNIKVTTPDDLLLAERLMNGK >cds.KYUSt_contig_528.769 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:4612533:4614103:1 gene:KYUSg_contig_528.769 transcript:KYUSt_contig_528.769 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVTWEITYRRDDKGTCCGWGRVPFGSSVLDRVGTATADAAGAATVTGRAASGWKLQPLRCLNASRSDPGGDGDGGWKRWERGGMRKTGKPRAWGPATSSNRLKPANRLDRQRQRKITNWPVHHSGHIAAFQHCLQAARNAGPEQIVPHDFAAFNNYLEWFHENTRIELVKHAYPEEILDD >cds.KYUSt_chr3.18542 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114032901:114033926:-1 gene:KYUSg_chr3.18542 transcript:KYUSt_chr3.18542 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPVGGQMLLDVPDSNSSALLDGSGPKDTADSNPAAGGGSSASAGSFQVGGLIVPLDGSPASPHAIERELEVPSVQRLTLEDLAGPFIPRLLLGLAAFASPPPSEAVESGGLGLGVAGPLGQDESDSPSRAVMSCQTLALSRPVLDADGGKVLDEDDAVDEEFLADPAPQSAEEEGCAAPTPCAPSPSVCRFASPPMVFQRTRQTPVPRVPAALARPRTLGEFLEAAKSRSDALLQTPAVRRRLVELNFQPRRSSRIAKQPGGMNAEMKAVRNLMRKLGLLSGDEATSTAALEAYHKMYELPLTDDMIEAIAEFYGWTLSTIRGCSPPMLGMPGARLVEA >cds.KYUSt_chr4.3431 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19570991:19572343:-1 gene:KYUSg_chr4.3431 transcript:KYUSt_chr4.3431 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPALNCIFCILLLSTSSSTSGHVAEASCRSRSRRELLGATTVRDVFAPVTNPVTVPATNPASNPGVVTVPSTNPGFATNPNFPPLYPEPSTMPDPSMTTPAPFTNPVTAPITNPATTPTAVPGTSPVTNPATYPYPPQGGGVGAVPTTPVYPAPATTVPIGATPTFPAPATTVPTGAAPTTMAGAGTWCVAKAGVMEAALQAGMDYACGMGGADCSALQPMGSCYNPNTMQAHASYAFNSYFQRNPSPTSCDFGGAGMLVATNPSSGACMYQTSAGSSSSGSPAVTGTPGFGSAGMTPGYSTGPTTGTGPAVGGGSGSTVLNANNNPGGTSMYGPDNPTGFSGASSGTATLSCGWVLSLIWIFTIAYVKEKV >cds.KYUSt_chr4.7153 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42324555:42327538:1 gene:KYUSg_chr4.7153 transcript:KYUSt_chr4.7153 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGRYKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVEPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNGQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKTVAGQRFVIQGFGNVGSWAAQLITEAGGKVIAISDVTGAVKNINGLDIAKLMKHSAENRGIKGFQGGDAVDSNSLLTEDCDVLIPAALGGVINKDNADDIKAKYIIEAANHPTDPEADEARASFFFSAMKTKARRLILAKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNRELKTYMTRAFRDTKEMCHSHHCDLRMGAFTLGVNRVARATVLRGWEA >cds.KYUSt_chr6.31167 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197493252:197493512:1 gene:KYUSg_chr6.31167 transcript:KYUSt_chr6.31167 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTPRELRLPAARPRVSKLYDRRCPLDQDLPDVLNHAHASCTSSRSHRLDELSIERPCTSVSCISPLQALAKRTRAFCTVTSMQ >cds.KYUSt_chr7.21328 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132073327:132074184:-1 gene:KYUSg_chr7.21328 transcript:KYUSt_chr7.21328 gene_biotype:protein_coding transcript_biotype:protein_coding MYALTPRCNLPSLRRAPPPCRAATPTTPAISATPSRADPDELRSTWPQRAWTLAGSAAVLSSLSASASLAADSGSYAEPIAAALAAYSLADLATGVYHWLVDNYGDASTPLVGAQIAAFQGHHRHPTTITRREPCNNLHALARAIAFALPAVDAAVTAAHAPAAAHAFAGTFAACVVLSQQFHSWAHEKRRRLPPGVEALQAAGVLVSRAQHAAHHRQPYSTNYCIVSGMWNGVLDRNRVFEALEMVVFLRTGVRPRSWDETDAAWMEEAGDAAAATAIAGSDSS >cds.KYUSt_chr3.30578 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191770346:191770972:-1 gene:KYUSg_chr3.30578 transcript:KYUSt_chr3.30578 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAATSLLARRPRPKPHTAAEKHPHRDAQGQSRTVPDAAMSLVKPDTLSATSRSPLHSRKNTALTPQTEEDRLGPCRRGPPEPSPPGSALAPTVERAGRTSAGAGPDRGPPVPDRGPSGPDRGPPGPKPGRIAGSRPATPSAAAQGHEPHQPVLRRDRRRHALPSSPDRARRRPEPHRRRPLLPRAGPQRAGKGESLVETTYQTHP >cds.KYUSt_chr2.8405 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52903740:52907737:1 gene:KYUSg_chr2.8405 transcript:KYUSt_chr2.8405 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPQAAAGSSTSPPPHAPRPPLQMATPPAQPMATSAAVTTTTIDSLKDDNIEEILLRLHSSASLARAALSSSRWHRVASSPDFLRRFRERHPSPPLLGLFVSQADRGQLPVFHPSASVRSDRDLAAAARGGDFLLTRLGHDPAWRLRDCRNGRLLLCKGHSLSVYDPVSRQHVAVRRPPNDIPPDVPTTEYLANCLLGGHGESIRVVSVQRDGPRLRAMEYRSGTDWRCHPWVDGIDVATLRMPAMPAAAAGLIFWRRDQSSLLLLDTSTMAFSTVPLPAPLVVVSKNSLRPVYTIGDTEGGVCCLVAIVGRATLQVWLLKKNHTGSGGSAWELEKQAQKCQFISLYPTFRSMSMVSAGLALIYSKGTKYSHFLIDLNNLSIKDKFLCHRSMAYPFQMAWPPAGFVPASTPQTSACRDAEKQQEQEQEAPSSSSKRKSKDETSSEVDSIDFFDWILHLVVVDSFVFQFHGSFSFGALI >cds.KYUSt_chr2.16880 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106332998:106334443:1 gene:KYUSg_chr2.16880 transcript:KYUSt_chr2.16880 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPTVVLIPLWGSGHFMSALEAGKRLLAAGGGAFSLTVLVMHAPTQAKASEVEGHVRREAALGLDTRFLQLPAVEHPTDCVDPVEFASRYVELHTPHVKAAIAGLAPSSRAAAVVVDLFFTALFDVAHELAVPAYVYFASPAAFLALMLRLPALREELTGPGFAEMEGAVDVPGLLPVPPSYMPACLVKSKIQSYDAFEYHGRRYMEARGIIVNTTVELEASVLAAIADGQCVPGRPAPALHAIGPVIWFEPKDDDQRRHECTRWLDAQPPASVVLLCFGSMGSHDAAQVTEIAAGLERSGHRFLWVLRGPPVAGTRFPTDANLDELLPEGFLEATAGRGLVWPAWAPQSEILSHAAVGGFVTHCGWNSVLESLWFGVPMVPWPLYGEQHLNAFELVAGVGVAVALEMDRKKGFFVQAAELERALRSLMDGGSEEGRKARAKALETSAEFRRAVGDGGSSCAALQRLVDEILDLPDGRK >cds.KYUSt_scaffold_1242.37 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000011.1:195090:196667:1 gene:KYUSg_scaffold_1242.37 transcript:KYUSt_scaffold_1242.37 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTELHFLLVPLVAQGHIIPMVDLARILAARGPRVTVVTTPVNAARNRAAVEAATRAGLAVELVELPFPGPQLGIPEGLEAIDQLDKEHITFFHAIWKMAEPLEEYIRALPRRPDCLIADACNPWTAEVCELFNIPRLVMHCPSAYYLLAVHNLFAHGVYDSVGDEDMAPFEVPDFPVRAVGNKATFQGFFQFPGVEKEQHHMIDAEATADGLLINTFRGVEDVFIDAYAAALGRRTWAVGPTCASSTLDADAKAGRGNRADVDAGHIVSWLDARPPASVLYISFGSIARLPAKQLAELARGLESSGRPFVWAIKEAKSDAAVRTLLDDEGFEVRVKDRGLLVRGWAPQVTILSHPAVGGFLTHCGWNATLEAISDGVPALTWPSFCDQFSSERLLVDVLGVGVRSGVKVPAMYVPEEAEGVQVASGDVEKVIAQLMDDGPEGAARRCRAKELAAEARAAMEEGGSSYCDLTDMISFVSELSRKRTHERDTSRTAHPMHFTAAELGHNKGEKIEADAALAVQS >cds.KYUSt_chr2.16894 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106393432:106397861:1 gene:KYUSg_chr2.16894 transcript:KYUSt_chr2.16894 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASVSKELNARHKKILEGLLRLPENRECADCKSKYEDKRWIPRNGSSKLPSSARDEKSSGQRSSLEQHRASPAATNKIAPVASRMHTQASPQPKAELPVPKVASPPQPAKSPAKVDATPPKVHQPSVAPPPKVDYATDLFDMLSMDGPTEKEPESSSNDDNAWDGFQSAEAAPSSVKLDTAKPVESKAQSTSGIEDLFKDSPAVAASSAPAVSKANPKTDIMSLFEKSNMVSPFAIHQQQLAFMNQQQAFLMAALKSGNAPQMVPGNASLLNSNGSNAPNGSLPSQNWPNLGYLNPSSIPAAAPQNGAAKAGANSQDISSGNFSFGSPG >cds.KYUSt_chr7.34267 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214137190:214138410:1 gene:KYUSg_chr7.34267 transcript:KYUSt_chr7.34267 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEAIPLLTAHKLGRFDLSHRVVLAPLTRCRSYGSVPQPHAALYYSQRATKGGLLISEATDVSVTAKGYPETPGIWTQEQVEAWKPIVDAVHRKGALFFCQIWHVGRVSTNDYQPDGKAPISSTDKQITPDAEAGIAYSKPRRLQIEEIPAIIDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVIDAIVGEIGADRVGIRLSPFVDYMDCYDSNPHALGAYMVEQLNKHQGFLYCHMVEPRMAIVDGRRKIPHGLLPFRKAFNGTFIAAGGYDREEGNKVIADEYADLVAYGRLFLANPDLPKRFELGAPLNEYDRTTFYTQDPVVGYTDYPFLDGSIAE >cds.KYUSt_chr4.44321 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274584120:274585388:-1 gene:KYUSg_chr4.44321 transcript:KYUSt_chr4.44321 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLGCLRPQHRTGAIHLAAALCALLLALAPASGATYVPLLGINYGRVGNNLPPPQAALPLLRNLGISRVRLYDPDPATLRAFARTGIELYVGVPDQALATVADPAGATAWLKSNILPSLPDTKIVALTVGNEVLTGNDTALIRNLLPAMQALHDALAAANLDKQIAVTTAHNLGILGTSYPPSAGAFRKDLLPVLCPILDFHARTASPFLVNAYPYFAYSDDPKGIHLDYALLEPSYPGVPDANTGLHYPNLLVAQVDAAYHAIAAANSAAARTVEIRVSETGWPSAGDAAEKDAATPQNAARYNSNLMRLVAQWKGTPLKPAVPLRVYVFALYNENTKPGPASERHYGLFNPDSTPVYQLTYIPAHVPGGGGAGDNTTGGDNGYYDISAAAPQPTVSHPPSLLLLLPLYRLLLLAWLMP >cds.KYUSt_contig_2193.103 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000275.1:552974:554846:1 gene:KYUSg_contig_2193.103 transcript:KYUSt_contig_2193.103 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKAKSAALSVADKCRNILGASWEAHLNTIKADAKGSKGEIHTSRVHYMVQKGMPYLIVPENDMHNINIIIDERGSLSVSTPVPGRLATLLKSLNKFPSRVAMTGDVLRLDDTKVPVIAESLKKAILKEHKAASEASYGVSTVLSSAGATCRSRSEGLLSLLNEESSYNILKFEMGSCVFIDSSGSSHNMELDSFELPRADLLLPFSAKLIDGINRNDARRRALILFCFEYFDVTARDALLLSIDHHGFEVLAKVPATAILLDVSRPYHWKEFRFSFKEAVKDVEGFCNMLVELEQEALHSVKSYSGLG >cds.KYUSt_chr3.45261 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285225999:285226796:-1 gene:KYUSg_chr3.45261 transcript:KYUSt_chr3.45261 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAGSNGTGTRLGLLVLHLTILFLLGGGAEAATSFSFTNACAHPVWVGALNGATSPPLSHTGFYLASGATSSLAAPSSGAWSGNFWARTGCATDASTGRFSCATADCGSGDVACNGRGPAPPVTLAEITLAAPGSGGLDFYDVSLVDGFNVPLRIAPSGNTSGNNGCSAAACAGDVNAVCPSDLRVQSGSAVVACKSACNAYGSARYCCSGDYGTPAACGPTNYSQVFKAACPTAYSYAYDDASSTFTCSGAAVYDVIFCPAN >cds.KYUSt_contig_319.656 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:4187319:4195027:1 gene:KYUSg_contig_319.656 transcript:KYUSt_contig_319.656 gene_biotype:protein_coding transcript_biotype:protein_coding MGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRHKVPLPEDPAWWTVFDADEAGIQEVCRVLAHLYSLPKAQYIPVYKDNDSFSVRRASDPQASKESPASAVASDRGTPAPSSSSQEKSSLGKAGTEKVKEKSNNKDKPLSDELNGKGDKAVNLKSESNVDRAEERERSRSRGRDRDSRGRDSDRERGRDREVDRDRAKRHRSRDKSSGTIMNISVGDNIVRDVLRPHFDMMSLPMQDTRTRRNQGTARHETMAVTTQLVTKIGTGVIDPAELPCSYWGSNFQVDHHDEENLSLLRFGALWESTYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGSEITYGEAMVPDDGWEEYLNNKWDKSIVLEETAKYSELKLQACLKTEQRPHKVSFLVDKKTAQEVIKSLSDKFEKRGVDAKIIYSGGQDLDILAQGAGKGQALAYLLKKFASCGKTPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWRAENAKDNPKVIHATERCAAGIIQAIGHFKLGPNVSPRDVEFPYVKEDSFKPTAAVVKFYVLCEKWRRADVPKTDSVIEYFKNITDASGVIIHPSGLELSIHSSIDALASCYGDKQGKKYRSWVDRLVISQTASDSWLVRFDLWEAEGDKWVCCLTTLALNVKPETPGGFVVTHIHKTWLKEYSGDEQASKL >cds.KYUSt_chr5.13802 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89771630:89774805:1 gene:KYUSg_chr5.13802 transcript:KYUSt_chr5.13802 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAADGKLVIGDLRVLKPLAHLLIGLVLYWVAEEMTVPVIVDVTTAALCPGDGSSCPEAIYLTGLHQTVGGIFRAVGFTLMGQLADEYGRKPLLILAASASIIPYSVLALSSTKVAVYVYLVLRTISFMVGQGTITCLALAYTADLVQPSKRAFAFGCLTGILSASHSLGNVFSRFLPEQWIFQVSVLLLVCSAIYMKMCLVETLQKAPSGSCRRLSLSSLVLSLPQQRWASIKENISMVKINDTLRRVTYISFFYELGMIAISDVLLYYLKSVFGFDKNQFSEILMVVGIGSIFSQIVILPVMIHAIGEKGVLCISILASVAYATLYGLAWSWWVPYFASSLGIIYVMVKPATYAIISREVVSADQGKAQGFNATVKSTAVLMAPLFMSPLTSYFLSEEAAFNCKGFSFLVAGFFLAISLAFAWMLDPESKDSTGLSGADQLNQEAVQAPLLAQP >cds.KYUSt_contig_2073.80 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:476825:479009:1 gene:KYUSg_contig_2073.80 transcript:KYUSt_contig_2073.80 gene_biotype:protein_coding transcript_biotype:protein_coding MSANLTRPSPTTSGARYDRHPSLLKQNLGQRPQRADVSLLPSRRRLYRRPSPATAPAIDRRPIIPSRHQIDGHPVRLAPPLGHRKIYAGDDPRPPPSVAMVYHSSFVDDDETTKACGCPLLPLKTHIRGPAPAADSDKADIVDEAITFFRANVFFKNFHVKSPADKLLIYLTSYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPTFPFPGLFTLPQSQEEAELLRNYLKQIREETSGRLLNCAYRTNGTPNKWWLAFAKRKFMNIVIL >cds.KYUSt_chr3.6372 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36537389:36544603:-1 gene:KYUSg_chr3.6372 transcript:KYUSt_chr3.6372 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRLASGTAAAHLRRHVVSSPPLAAPSRAFSAANPTLLGREALQGIRSRCSSSPPAFQARAPGLGLGALLAARVSSGARPKLSGLLKGFGAGGSAIAVMLYPREVAQAAERPAGISSQDNALLSPDTKQMLRKLWNLLRKFQLPIGLILLVVYSWKKPIVLAINTLLLLYSTRPDPYSIYLFLQEIHQGKVRQNPALWRDEFMQTRKVDTEDYKFFSIGRVELKDGTVLHAIGILGNWWTYHVSYAKRVQPMDMAGRNIGVAVDFSSCSKAALRWASTNLTRNGDQLILIHVNNSSQTEQGAMHLWEQSGSPLIPLVEFSDPHVTKTYGLSPDKETLEILAQLAKQRGVEVFAKIFYGDPTKKLCESVDLVPLSCLVIGSRGLSTLKRYAWTYGFLVFELPAPMIVLDTQDHEDADEDEEDEDDEDEHAERADYDHDDGGSAWDPENQPLDITEDEAIAMAMTNSELDEINELVLWDGLAIQLRESALAQGRPVTPPATPTRSNDGAPAAAPAWDPWPPSLGSCVGDSLAAVAVACPSSSTAGVPSSIADAGVHQPRQRRRPADST >cds.KYUSt_chr2.23477 pep primary_assembly:MPB_Lper_Kyuss_1697:2:143295060:143315876:-1 gene:KYUSg_chr2.23477 transcript:KYUSt_chr2.23477 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRFPVAPAKVELANLAFWPPARPKIGSGARSAFKRVQLGSMRALERRRMKFSGRKLVGVDLLGGAGERKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSSKEGSSLKATDFGLSDFRRPGKQFHDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFVRKPWTNITSSAKDFVQKLLVKDPRARLTAAQALSHEWVREGGEASAVPLDISVLHNMRQFVKYSRFKQFALRALASTLNSEELSDLRDQFNAIDIDKSGTISLEELKQALAKDVPWRLKGPRVLEIVEAVDSNTDGLVDFEEFVAATLHVHQLVEHDTEKWKSLSQAAFDKFDVDGDGYITSDELRM >cds.KYUSt_chr4.9742 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58902019:58916604:1 gene:KYUSg_chr4.9742 transcript:KYUSt_chr4.9742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Isoform 2 of MADS-box transcription factor 14 [Source: Projected from Oryza sativa (Os03g0752800)] MGRGKVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVGLIIFSTKGKLYEFATDSCMDKILERYERYSYAEKVLISTESEIQGNWCHEYRKLKAKVETIQRCQKHLMGEDLESLNLKELQQLEQQLESSLKHIRARKNQLMHESISELQKKERSLQEENKILQKELIEKQKAHTQQAQWEQTQPQTSSSSSSFMMGEATPATNCSNPPAAASDRAEDATGQPSARTVLPPWMVSHINNG >cds.KYUSt_chr7.32748 pep primary_assembly:MPB_Lper_Kyuss_1697:7:203990230:203991232:1 gene:KYUSg_chr7.32748 transcript:KYUSt_chr7.32748 gene_biotype:protein_coding transcript_biotype:protein_coding MATHCDYDNGLDGGRRGGHCDKYGDNVSESCDTSNPVEGDVSLFPAAASPLPKVWKERPAESHRLEGEVWDVRFHLDGKDNLEKKLSKSYITYTNMLAMLEIRGYGRGYSMYYVKEEGTGIEGMALINSMIDVEEMLELYKDAGCVSITVTKGESGLPADINRKQCEEQIPISEIGVPVVYSVDMQGVLTTKYHLVHAIPISVMYEDCLSIQKTQDPVITKGSDDDMYSRTTGSQSFQTPTKDSEYNYVDVEHTDNLDKKKRKLVSPSDATSTKRPKHTARDHRESVA >cds.KYUSt_contig_2073.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:64157:66964:-1 gene:KYUSg_contig_2073.7 transcript:KYUSt_contig_2073.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGGGSGGMGGAPSRVPTWRERENNRRRERRRRAIAAKIFSGLRSQGNYTLPKHCDNNEVLKALADEAGWTVEPDGTTYRKGCKPLLAVRTGPPTPSPCSSQQVSPRASFRSSGSSHITLGGGGGSGSGGYFGGVDGGSLVPWLKNLSSGPSFASSSKYSYFDGGSMSAPVTSPSGSPPRMPLPQLSTGWGVYPVQAVPPRRYDYSMPNSARPSSPRVAPDPNWLSGFSISSTGPSSPAYNLIAHPPNPFGTATAPSTRVHTPALSGACSPVAEGDGPMGYSAAEGAFEFGSDEGLLNAWHGEHIEEEPDEDELELRLTLGRKKSRGAAAGAADNA >cds.KYUSt_chr4.39764 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245573209:245575238:1 gene:KYUSg_chr4.39764 transcript:KYUSt_chr4.39764 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEYQEMAASVPPALKAITLTHVRYPRGDRLGLFLAWVSLIPVFISLGGFVSHFMFRRELQGICFAVGLLLSQVLNELIKRSVAQSRPAYCELLETCDSHGWPSSHAQYTFFFATYLSLFVLRRSTASRVTAALSWPLAFLTMLSRVYLGYHTVPQVCAGAVVGLVCGAIWYWVANTILVEYFPMIEESAIGRWLYIKDTSHILDVLKFEYDNARAARKKVATD >cds.KYUSt_chr3.15895 pep primary_assembly:MPB_Lper_Kyuss_1697:3:97742842:97743411:-1 gene:KYUSg_chr3.15895 transcript:KYUSt_chr3.15895 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPLFLGASTATATDRGIGSGGGGGESSGPALDFFTLSKDEERAQEPQQDSNDDDNDDSGEDIARLMALLGLSPPPRGGEDGHGSGGCECSGGDGFLAKVVGVVGPKCDKEKRRVEGWIEHYCGDGGGRCREPARLAHLLLAKASWSWDGEGPADGAAIAFPSTVKEFLDRDAPPRLTEEDEQRDTE >cds.KYUSt_chr5.29679 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188203133:188204224:1 gene:KYUSg_chr5.29679 transcript:KYUSt_chr5.29679 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPPTTRGASKQAATGRAWPASSESTKKEVNPRSHHADDFEAAFEDFEDDFDLQAEEEDDDGHVVFASKPAFSPAYDDGSAARSASKKKRVRRLHGIRQRPWGKWAAEIRDPYKGTRVWLGTFDTAEDAAWAYDVAARRLRGSKAKVNFPSATGARPRRPANRGSAPKAQCPPAQTMTYNATEASYAKPKKAAVAVKPELMASFDMDAFFDLTASVAVLPPVMESSFPDSPVKKPITEQDSSEASNGAAALAFADELGFDPFMLFQLPCSDTYESIDSFFTGDANIQDATGMNSGMDGVSLWSFDNFPMDGALF >cds.KYUSt_contig_4909.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000979.1:61611:63170:1 gene:KYUSg_contig_4909.1 transcript:KYUSt_contig_4909.1 gene_biotype:protein_coding transcript_biotype:protein_coding TILVLCRLVYMPMSYLYGKKFVGPITPTILAIREEVYNVPYHEIDWNKARDLCAKEDLRHPWSLLQNVIWTCLNKFVEPILNCWPVNKLRDIALKNLMKHIHYEDKSTKYIGVCPINKRIQIQMHSSCIFQGSMIIYGLRRWYESI >cds.KYUSt_chr4.14593 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90079877:90086129:-1 gene:KYUSg_chr4.14593 transcript:KYUSt_chr4.14593 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSSPCSPQAVLPVPLPLQLLPRCRLPRLPLPPLGLSAGARRGGGLLLPLTPPAPRAAEGNDGRAFAKEEEVEEGSEEEERGAYDGARDAESAGASKGSGRFAADYVSLGIREPVYEVIEVKSNGRVSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILLNLGSLRAIAMHERVLIFNYNSSGGKAFLELLLPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLERRLMHVEPRVATLLEVLPNRLTGDVLEQLRLSKQSLVELGSRAGDLKQMLIDLLEDPHEIRKICIMGRNCTLDKLSDDVECSVPLEKHIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALIAGIFGMNLTSYLETNSRHGLLPAGIDTNFCCYNSEALRGRVAPETVVLLGPGNVIPD >cds.KYUSt_chr1.3940 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24021524:24022337:-1 gene:KYUSg_chr1.3940 transcript:KYUSt_chr1.3940 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIVVLFPKSISIVNHMAQKAVSANGTSGRTKPHAVVIPFPLQGHVIPAVHLALRLAARGFAVTFVNTESVHQQMAGALGIGVDRDRYDIFAGAGADVRYELVSDGFPLGFDRSLNHDQFMEGVLHVLPAHVEELLRRLVVDPASTCLLADTFFVSPATLARKLGVPCVSFWTQPALSFALYHHMDLLAKHGHFRCEGSITNSQIRNVFLLSSLCPPLFRARTKMMKRTSHDELSPNR >cds.KYUSt_chr2.19968 pep primary_assembly:MPB_Lper_Kyuss_1697:2:125629377:125636275:1 gene:KYUSg_chr2.19968 transcript:KYUSt_chr2.19968 gene_biotype:protein_coding transcript_biotype:protein_coding ESDRTLARVLLPPTPRIFRPSMATERKPSPPPPPPQFQVDEAYEYRAPKYFDFVADETDDQVRAAERWFEAGASHAPSPFAPRIKESRAAVKIDGLCDFADAEDPPAPSPKKEATEEAAGNSTCLAGSVDGAAPCADAMSESPPAAEEMEESPKSFEFLLPKDAAAKSAGGGSSTPKIQRPPAPAEAANPAPSRVKSTMKSELRTPKVQGNNASTGPKSARHSNLTQSLLTLKKSVIKGARDAVAGKAAAVANDIAQEHQAVKKQKLDDGRTRQILNVKTRVLPHKGKGGLAGSTEMTSWLSMRKHHDGTHSLKEGTPYISAAEMVKKFESGTRDLGSHHNRSMPNEDAATKLQRRPKLTLTRPKEPEFQTTHRVRAVRMKNSSELEEEMLAKIPKFRARPFNKKISEAPSFPPLPRTAPHLPEFNEFHLKTMERASRHADTCSEASSVGTVQSHGNRSLKQTAAKPPQLATALRARPSRAKSSQELELEELENAPKFKAKPLNKKILESKGDIGVFAHPKSQATMPKEFHFRTDDRLGPPAVTDLFDKLSLYSESSSYHDKKDVPRLTIPNPFNLHTDERGHDKERQLEAQLLQKKLEEEKARKFKANPYPYTTDYPVVPPKPEPKPCTRPEGFQLESLVRHEVEQQRLMEERERIAKEEAQKRLVRAQPILKEDPIPLPEKERIPLTEVQQFELHVDERAIHRSEFDTMVKEKEISYKRLREENEFAQKVDEAGDQAQVATASVATHELPSRISSPRRHSHPRLPLDAMDSDIPPLPEHVIAGVQSLPLIAPPTLVFGTIMDMPVATAGATKCECCYNIVRSGNCGTMRLLDEKAGPLLWMTMMVMMHREEEEQMEAKWKKESEPRGEEKGWARKIDQQDRRGDEGQAKKMAEEQLEVRKELDEKKKLGRIRKREPL >cds.KYUSt_chr2.51977 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324398966:324402818:1 gene:KYUSg_chr2.51977 transcript:KYUSt_chr2.51977 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFLIDDVLGEVRVPGLNSELVHLGDGKPPLRLAVTVLVTDQDWRRHYELRLSGRVDALKFISRHRLPRCHATTSRSLAPAGALVLSLVMIGGGIKSVFGFIVDILILAFWISYYEVLNVFAMRRPYDVVAND >cds.KYUSt_chr2.1781 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10561736:10568277:1 gene:KYUSg_chr2.1781 transcript:KYUSt_chr2.1781 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRCHQVPTYLSCYLFLATYTALRAAALSFDYDFTIPRDRQQLKLINASYAGSDRIILTDDASNLTGRAAHPQPVRLWDDRTGKRASFTTTFHFAIHGSSNVSRGDGMTFFIGPFPATIPPPSSDGGLLGLFSNPNTTGDADSLRPPHTLAVEFDTRWNNGWDPKDGKGDHIGIDLNGIRSNRTRNLPPLSLYGALWANITYDGESKVVKVMLRTGEAESAVTYELSATMDLKDDAGLVQDAAVGFSAATGLLSESHQLLAWSFHSTVTRKFSYSELVAATDNFSMDRKVGNGCFGDVYRGGLPDLGEVAVKMMRQPTSNRNRKNRVRERQEIERNRKNYVHEIETLCQLRHKNLLRLIGWCDDGGRLLLVYELQPNGSVSDHLHGGKTPLTWPQRYGILLGIASAVEYLHTGAYDSATRYVLHRDIKPSNVMLGEGFQAKLGDFGLVRQVTRHGGGGGTPRTTVIGSMDYMDPKYMETGALNPASDIYSFGVLLLEVVTGAKPSVPRGTLPGCGDQNSLVGAVRECHGRNAILGMTDERLREEFEQWQWQIERVMVTGLMCVRPEREDRPSIKDIISLLSKLEPLPTPTTT >cds.KYUSt_chr3.42657 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269725112:269732663:1 gene:KYUSg_chr3.42657 transcript:KYUSt_chr3.42657 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQPHPLPPVPAEGAAPAAPPAAAAAPRPYELAVAAAELRPVDCNLAALCDHVQAEGFGSGAFSDVVVEAMGATYRLHRLIISRSTYFRNMLHGPWREAGAPTVVLQLDDSNVDSEAIAISLAYLYGQPPKLNDNNAFRVLAAASFLDLQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELALFALLAKVTVSDVEVSGNENLNLMASSPTIDPSMGKGKSLMNEIGEEQLMESELQKLKLHDNRSKTAQNIIDVPSVTIPQNSISYSIPHNAEASNRMENDTSTGGPSAESTSYQFNENLWHSSEPTKNYFSRTSSSNGLVPSEWGRPTAPLWGGRVVGRRQVRCVSGSSSLSADEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFEFPCKAVNDGLWLQMLLCHRVQAIVADTCRNCCLTSNSCACKQAYVNTHSHYRQEHDRTSASGTAGSIYLGDAQGDVRIHNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQSFANDEAEPRVDHNGDIPGDGLTALVNLSQESSASHHQTESIFEAGMQTRYSGAASVSTPGGSSLQMQESKEHEPGSSWESTDNTTISLDTRTPLSHFPPFRFGVEFEDVHRLGDGQVKHSPEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAELLDPLRKVLRVDLRMYRHIDDICDTAEALMVDNKLQLVIEEDDAALVHGV >cds.KYUSt_chr2.19121 pep primary_assembly:MPB_Lper_Kyuss_1697:2:120316242:120316823:1 gene:KYUSg_chr2.19121 transcript:KYUSt_chr2.19121 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKKHHVLSCCLLIAVLIILGILALVLYILYRPLPPRVLTSPVELGVEDFSLLPPSLTLTASVHVEVVNPSRSPFRYGETVTAVTYHGEPVGTTVVPAGGVGGRTTTWVTPLTEVDGVKVAANPHFAGDALSGTLPFVVMVRLDGKALVLRAFEVSATVEVVCYVQLYVFREDSSSRCVATVRAGPQRKYY >cds.KYUSt_chr3.30595 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191860527:191863576:-1 gene:KYUSg_chr3.30595 transcript:KYUSt_chr3.30595 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAPARKMRAKKTKPPGMSNAEWAADEKRREVETSARAERVKRAAAKRSAEAAQDEQARLISMAYSGGMFPGQWPTQGTTSSPSSFSPSLYSPSPTAVFQEGAYVQPSKPTPSPPELDVGGGGLFEGTSPALRRGPLTFGAMAAPNEEEIHEMITSGSTAAAASPGFFMTAAAACRGSSRKRRRGRRQLWRRATSIGRMLPTEAKPSKKKARKKKSQAEAAANLSKGKKKRKKDSPLAEPRIKWTPKEEECLAEAWMTVSTNGIIGANRSFDTYRFRVRRAYEERKLVDPYFNKTNMNVYRGDKAMATHWGIMRTACDKWHGVREEIDKRPISGHDLEQRLRRALDMYTDDTGLRFKFLNVYARLEKPHSILSRRTGCGDASCRRRRSAGALARGSRAQLSQSSLAAGSVVHTPAAEAGLGPKTPAGGGRGTVFWLALAALDLDLAYADDMNVPVTFLALDNIFR >cds.KYUSt_chr5.9523 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60526126:60528854:-1 gene:KYUSg_chr5.9523 transcript:KYUSt_chr5.9523 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGALHAGSRILLPIRRSPSSSAPWSHVRAHLHSSKPSSAPLPPTPPPPPPSPALYSRFTPAFAPTTRRSGSIGSGVVAWYLGSIEARPVLTKSITAAAIFTVADLTSQMITLSPEESLDLTRTLRMASYGMLLSGPSLHYWFNFLSKVVPKKDLLNTFKKMFLGQAVYGPIINCVFFSYNAGLQGETIPEIIARLKRDIIPTIKSGLIYWPFCDFITFKFIPVHLQPLVSNSFSFLWTIYITYMASLKKPEIEGSQAHSNIRMTSV >cds.KYUSt_chr7.1414 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7724207:7724446:1 gene:KYUSg_chr7.1414 transcript:KYUSt_chr7.1414 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRRHQLLHSSLSGEGQPDEGVAVLTEPEDLTAQQTAPRVGGEDITNQLRHRGCLTALNLLPTAKRQVVTTGRHHRP >cds.KYUSt_chr2.16965 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106790130:106799880:-1 gene:KYUSg_chr2.16965 transcript:KYUSt_chr2.16965 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPPPTPPPSAPPPTAPLTGTPISVHPPPPQPKPPPPKHPAGSGPPPQQQHHHHQPQPPHQQQQQHHQHQQPQAPSPASHQQRPRICFNSHCKDPKSEGQRRRGWRLRSGDYAELCDRCYGSFEQGSFCETFHSEVAGWRNCEACGKRLHCGCIVSVHAYALLDAGGVDCILCARKAFAAMAPNPIWSTAPTVHMPQNVADRKDNYAKSWRPPPAGQVSSQWRHNTWSISSVQSDLQQRLAYEFDRPSGSEKLPPGRTFIHAQEKKSDDRPTTPAGMNQIVRDRYVNGHNQQTSLDPPHPSTLYQREAPNPNALHDPSQHGGESDPLSSRKGIISDGASTVSTGFKVDSHHLPIIKDNVSNTLPTSGGYTITNYPPVAGRSDHVRIIPHEKSQMPTTVPISVVQKQFYSHSVIEPDYQAQFRTGKPRIDPKARSQLLPRYWPRITDKELQNLSGEYPKSSTSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDASGKEWVFQFRFWPNNNSRIKLQPNRSRREAGHGIQKDEDSRTGSTMIFRQEEPTKPGTAAPNLPDANVNATAPDSSPNAALARPNKVNTENKDSSPVEQAAVSKMDNDGVAQKEGPGTVRSSPGPVKRKATSVGPKVKRFRIDNEESMELKITWEEAQELLRPPLKAPSIVIVDGHEFEEYEEPPVLGRRTYFVTDQSGENHQWAQCEDCSKWRKLPVGALLPSKWTCSDNKWDPERTSCVSPQEVSMEELAELIPIKSGAAKKPKARVEIDSIDVSDGLDTLANLAILGEGESLPSQPTTKHPRHRPGCTCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMLRREKRQSEKDSEGPRQSRKKELGQSSESVLQTESDLPPGITSPSSSLQKVDTNADDAEDTVEHSMSSSPMKNQIDLNIQPEREEDQSPKSDAVGALRLPRDNPT >cds.KYUSt_chr3.27600 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172361047:172361895:-1 gene:KYUSg_chr3.27600 transcript:KYUSt_chr3.27600 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPSATQPRHLKPPAPPRGHHVPSLPAVSGTAGASRDRRRSSTSHRRGGANDEPYEGGLEDLRAKLMGHLHDAADRLRLPPAKTQRPPEPEAPPPLPPPTDAAAAMPWTLRERRRRPTARGSTASSPTTPWSAATSADDYVRAPFAVALEAEEIEDDVYALTGARPRRRPRKRPRTVQRQLDSLFPGMWLTEITADAYRVPDD >cds.KYUSt_chr1.10405 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63756493:63759717:-1 gene:KYUSg_chr1.10405 transcript:KYUSt_chr1.10405 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPAKRRPPGPLALGLESSANKIGIGVVSMSGEILSNPRHTYITPPGHGFLPRETAQHHLVHLLPLLRAALAEAGVSPADLACVCYTMGPGMGGPLQVAAASARALSLLWGKPLVAVNHCVAHIEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARILELSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSFIEAAAIEKLKSNECTPADLCYSLQETLFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAYAHGVTTPLEESTFTQRFRTDEVHAIWREKEMPVLNNIHSDAVTEVSVDGVSLPTPMAVES >cds.KYUSt_chr6.21339 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134717578:134718159:1 gene:KYUSg_chr6.21339 transcript:KYUSt_chr6.21339 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGAAVAADAEARFRGVRKRPWGRYAAEIRDPAKKARVWLGTFDSAEDAARAYDAAARVLRGPKARTNFPPSLPHHHQLPATYPSYPAAVPPPVAAAMPACSSLSSTVESFGGPRARPALPPRPPPPPLLDGDCRSDCGSSASVVDDDCTDAAASPSCCLLLPFDLNLPADGTAAPSNDDDDELRLTALRL >cds.KYUSt_chr2.43551 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270957092:270957931:1 gene:KYUSg_chr2.43551 transcript:KYUSt_chr2.43551 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVAGAAPFRPRVPARGRRGRRRGAHGQLRLASGRRGRRRLARASRGRLRHGSCVPRPVPRAAGSATPVRAPPVADAAGVAARTASCGPPMAGSALARCPRVAAAAPARACPQVADAAARRGAWPAPPSPVPARRRGRRSPRRCLARPARGGERGAAGVVDGDARGSRRRAEAPSPFTAAGAAPFAAAAPSRLRERSPVAARCPVSAGTASFAAAALVFVASRAERRAAVLGSAVARRAAARGSTARRRPFTHPWPSLCASPSTSFVAGAHFGSGNFR >cds.KYUSt_chr2.12888 pep primary_assembly:MPB_Lper_Kyuss_1697:2:81614956:81617437:1 gene:KYUSg_chr2.12888 transcript:KYUSt_chr2.12888 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTNTADLLAWPEGAQQELADAATPPSNRRPHQPSEAIHKVVFGGQVTEEEAESLNKRKQCSAPKWKEMTGSGIFAAGGEVEEDETASVTPIRPPSKNYQAISTISHISFAQDESVSPKKPTSIAEVAKQRELSGTLLSEDDSKLKKQLSNAKTKELSGHDIFAPPEDPRPRNSENGSTSQTPGKNAQVSSFKFGEDDTDSVVKTAKKIPTKKFNDLTGNDIFKGDPAEAAPGTAEKQLSDAKLREMSGSNIFADGKAPSRDFLGGIRKPPGGESSIALV >cds.KYUSt_chr1.40032 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245164981:245167717:-1 gene:KYUSg_chr1.40032 transcript:KYUSt_chr1.40032 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGSAAACCAVLLAAGLLFSAPATTEAYDSLDPNGNITIKWDIISWTPDGYVATVTMFNYQQFRHISAPGWSLGWAWAKKEVIWSMVGAQATEQGDCSKFKSSPPHCCKKEPNIVDLLPGTPFNQQIANCCKAGVIKTFNQDPANAASSFQISVGLAGTTNKTVKVPKNFTLKAPGPGYTCGRAIVGKPTRFYSSDGRRATQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCSCGCQNNVTHPGSCVNDNSPYLQSAINGPGKITGQPLVQCTSHMCPIRVHWHVKLNYKDYWRVKVTITNFNYRMNYSDWNLVAQHPNFNNITKLFSFNYKPLTPYGGRINDTAMFWGMKFYNDFLNQAGPLGNAQSEVLMQKDSETFTLDKGWAFPRRVYFNGDNCVMPSPDSYPWLPNASPLTKQPLTLPVLVFSIVFATLLAYV >cds.KYUSt_chr2.47105 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294679712:294681272:1 gene:KYUSg_chr2.47105 transcript:KYUSt_chr2.47105 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLDGGRRKTACVTGGSGYIASVLIKTLLERGYSVKTTVRDPDDMEKNSHLKDLQAAGPLEIIRAELDEEGSFDDAVSGCDYAFLVAAPMNLRSSNPERDLIGAAVQGTLNVMRSCARSGTVKRVILTSSLAAVSRRPLEGGGHVLDESSWSDVDYLRANKPPTWAYAVSKVLLEKAACDFVEENGISLVTVLPVVTLGAAPLSKVGTSVAIALSLLSGDEAQLEILKGLQSFTGSLPISHVDDLCRAEVFLAENETSSGRYICCSHNTNLRQLSRLLAEKYPQYDVKPERFDDGSSETASVCQSSGKLVGEGFVFKHDDLGEILNDVVEYGRTAGILPY >cds.KYUSt_chr4.49822 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308623238:308624170:-1 gene:KYUSg_chr4.49822 transcript:KYUSt_chr4.49822 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVGDGADLISTLPDDLLLLVLARLGCAAAAARTGVLSRRWRGLWARLRRVVFNDVALHSLEAALGCIPPPPPAVSLLQIRFPMPRGRVPKKQLVDTNRVNSLLRAAARLDPEEFFLAFPWDLFQAHGLRLLLDLPCFHRATSIEVELDLCCTIRCVPAGGEFSALERLSLCSCTADLDALLSRCPLLRTLRLTDVRFHDDVMSVNSPLLQELIVVHGRFVDHVNIVAPMLTQLTMFFEIFHTHSISILAPMMEKFSWGCYLYGNQATFGVWILRKLSLNTAEGQGQLSSLHVNACVPTRVQFSAIFG >cds.KYUSt_contig_786.88 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:548804:549285:-1 gene:KYUSg_contig_786.88 transcript:KYUSt_contig_786.88 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIGYAAPGGGQVSTAADVYSFGVILLELFLRRRPTDEMFKDGLTIVKFTELSFPDRIMEIVDPQLIQELELCQETPATMKGKGVHSLMSMLNIGLCCTKPSPGERINMQEVAAKLHGIRDAYLRGN >cds.KYUSt_contig_7441.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001530.1:67925:71457:1 gene:KYUSg_contig_7441.7 transcript:KYUSt_contig_7441.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGDGGDDGGDDDDGDGDDVQLDDGDDSVDFPLREGISPADLSPPESSFLSGVLRPAEAAVTLREIVLGEDGKLLLEAEFDVMKPARHKFLSNSTSSAVRGFARAHAKFPDFTYLEIVTFQQKMSLWTVSSNTLRAEAMLPHEK >cds.KYUSt_chr5.5513 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34450564:34450945:-1 gene:KYUSg_chr5.5513 transcript:KYUSt_chr5.5513 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWYTKTNRASICFWLVMVVLLSSGICQDADGLQPSAYHRLALGVHYANGAPRRSSSGKVGLGTCHVALGVAPPVGVDGVGRRLAPPVGVVAVGAGR >cds.KYUSt_chr4.52748 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327263698:327266760:-1 gene:KYUSg_chr4.52748 transcript:KYUSt_chr4.52748 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVSDPRQKGGPFVAPSLSRVAARDKRPLRPATKGLFSTSGGRWTQLPDLIFRNATLARLLPTLTSIQARVSLLDIRIPSHHGLEAAAVPSLFHAAAGLSPVDFTLSLPRMMDHVDVELPRFHRAASIQLYAENLRLTPPGRSAGFPALERLSLSGCSVDLAALVLRCPCLRVLWVAQVSLDDGIDIRSESLQELYVRAGYSSTDRINVEAPVLKKLTMLFRTKDKLNVSVVAPILEKVSWECSSYSTTTMTAGFGPWGLSKVSLHTAESLGHRVITGAGEDTCLQLSNVNVLSLQMKPCGLFDAQLDFVKKIEEHLVTDFSVLELIMEYDYGSLPRSQYAQDVLSENPSSPLTSLQ >cds.KYUSt_chr7.11102 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68252480:68253409:-1 gene:KYUSg_chr7.11102 transcript:KYUSt_chr7.11102 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASSPLLNAARAPAVAADHANLVMMPAASPAGHRQGSLCRALSEADLLASVPIPAARTSPAPAVFVEEDEDALQDSEDEDVFRRLLLTSAGLDLDAAAGREEAALSLLEGVGGGGGRGKALRGGRGGDGDGNDGRGAADEHYRRMIRANPGNSLPLGNYARYLKEVEGDAARAQEYCERAIVADPGDGDALALYAGLVWETSGDAQRADAYYSRAVQAAPDDCYVLGSYAGFLWDAEEEDDLNDDGQPPPATPPFFGAAQPPSVRAAS >cds.KYUSt_chr7.37038 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231245891:231247775:-1 gene:KYUSg_chr7.37038 transcript:KYUSt_chr7.37038 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGGGKHGSTKQDHPPVAGLRRDEDTLPRPTPPYEVVPRELLRRRPSRGSAGADPRVEKEAMPPGGGGGQHGCTKLVLDHPPATGLHRGEDKLPRPTSPSRAVTAIVYQRRKKHKQLLQGRPSRGSAGTDPRVEKEAMPPGGGGQHGCTKQEHPPATGLRRGEDKLPLPTLPSPAITTIVYQRRKKQQAVVSIPEGPLLEILSRVPYKSLCRFTCVSSSWLALCSDPDVRKRSPQGLSGFFHKHRHGNGLKFHNLSGRGPPLVDPSLPFILRVYERFMVVQCSGSLLLCECWKPAERYEFDLVVCNPATQKWIVLPPIEFLDEEDGDLVSFRPVDVYLGSAAASPTCFVVLVPLHRLHDVAIYSSETGQWTRSGWCDEEDVTVATAECVFLKGFMHLTLDEPFILAVDTKGEDWRRIPLPGDMEPSYGNTSMGQSRGLLHAWYIDPDEDYQLSVWVLKDYDSDRWRLKHTVDVLELFDTECHGKFCEMFAIHPERNLIYITNGEDTTLSYDMDSQKVEAMCTSGEFLGGLPYIASFAEWLPDDH >cds.KYUSt_chr2.1805 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10714742:10718380:1 gene:KYUSg_chr2.1805 transcript:KYUSt_chr2.1805 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIWMVPAAGAVLLWAVSLGRILSSSTPYSLPPSPRFPPPLRSDKRTRNVLLVLAHPDDESMFFTPTILFLKSKGHSIHILCMSLGNADGLGNIRKEELYKACAALKIPDEQVEVLDHQKLQDGFQEKWDHRLLAELTMEQIRLWDIDTIVTFDSCGVSGHPNHCDVHHGISKLLHENQQGSIEAWELVSLNMFRKYSGPVDIWLSSLISSSSKQPICCLVNCSPSRTFEAMAAHRSQWV >cds.KYUSt_chr6.11211 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69477096:69478206:-1 gene:KYUSg_chr6.11211 transcript:KYUSt_chr6.11211 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVREPMVMYDGGYDDAETSTLGYGGVDEAALFDFGAYSYADSDPAGAASAYVPNSPSWAGAGPSVLTFDRTARGQGAQAAAAAMLIADEEADCDAWIDAMDGDDLAVPAATIGFDPASGCFSLTQRPSGARRPFGLLFPGTSSGTASADAASPARSISQKRTNVVRMQDAEPPRAAKKQCGASRKTSKPKPPAATTTSPSSPQSLVAKNRREKISERLRTLQELVPNGTKVDMVTMLEKAITYVKFLQLQVKVLATDEFWPEQGGMAPEISQVKEALDAILSSSPPPSRRGQLN >cds.KYUSt_chr4.45230 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280107118:280111237:-1 gene:KYUSg_chr4.45230 transcript:KYUSt_chr4.45230 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPPGTTMMAALVHVLVAFSAASSATAAAGDQPLSKIGIHRTTFEIHPGAFVDVPPLLLGLQGQDQEWVTIGYSNPKPSKDDWIGVFSPANFSDSICTADNQWVEPPLLCTAPIKFQYANYTSTDYAKTGKGSLRLQLINQRSDFSFALFSGGLSNPKLIARSDSITFVNPKAPVYPRLAQGKSWNEMTVTWTSGYSTKEATAFVEWGVQGQIQILSPAVTLTFSRNTMCGPPARTVGWRDPGFIHTGFLKDLWPNLKYTYRIGHRLFTGQIVWGHQYSFKASPYPGEDSLQRVVVFGDMGKAEIDGSNEYNDFERGSINTTYQLVKDLKNIDMVMNIGDMCYANGYLSQWDQFTAQVEPIASTVPYMVASGNHERDWPGSGSFYGNIDSGGECGVPAQNMFYVPAENREQFWYSTDYGMFRFCVANTELDWRPGTEQYKFIEHCFSSVDRQKQPWLIFLAHRVLGYSSATFYGEEGTTEEPMGRESLQLLWQKYRVDIAMYGHVHGYERTCPVYENVCVAKASDRYSGAFTATTHVVVGGGGASLAEYTAGPRARWSHAQDLDYGFAKLTAFNHTTLLMEYKKSRDGSVHDSFTISRDYRDVLACGVDNCPSTTMAS >cds.KYUSt_chr7.10815 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66451286:66451762:1 gene:KYUSg_chr7.10815 transcript:KYUSt_chr7.10815 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLCGCPAPLTQRHLQKGRQLETVEMKVHIDCEGCESKIRKTLEAMDGVTGIDVVPRQNRVTVTGYVDAAKVMHRVARKTGKRVEPWPYVPYEAVAHPYAAGAYDRKAPAGYVRDVTANYGGGGASASRPFSRASSTETRYTGAFSDENANAACAIM >cds.KYUSt_chr6.21397 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135062824:135063072:-1 gene:KYUSg_chr6.21397 transcript:KYUSt_chr6.21397 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSSSTSGGAYYHEEYSAAGCRRPQRVRPSDEDGLYYVGERDVDNKAGIYIAKFHRYQSEVVPQTPAPSSAAARAVIAG >cds.KYUSt_chr3.48048 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300859051:300862021:1 gene:KYUSg_chr3.48048 transcript:KYUSt_chr3.48048 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPKWLGAVKKVFSPESKDEQKLRRRFAATGGASASAPYPPDLTPSASLEAHASALPPADTDDFDYEPHVVPAPVPVPAPVPVAVIQEEQQRHEHQQHEHAAPATEALPVAAPEAAVVASSSFSEEIAATKIQTAFRGHLARRALRALKGLVRLKSLVEGHSVKRQATSTLRCMQTLSRVQSKIRTRRIKMSEENQALQRQLLLNQELESLRMGDQWNTSLQSKEQIEAGLVSKQEAAARRERALAYAFSHQVGCITAVPNRHVAFSFSLRCADNILDLPDFQQWKSTSRSTNPMFVDPNNPHWGWSWLERWMAARPAGEGRNGTSEKESNTDRMSVNSTSLNLSEGGEITKVDSRLDSNPDKPSPTTPKPSRPAPSRQSPATPSSSGASPALASKKSTTPKNGACVPGGDDDAKSVFSVQSERPRRHSIGTSSVRDDASLSGSSPSSVPSYMVPTKSARAKTRLQSPVAKESTTETLEKGWSSVGSVKKRLSFPAGVAPSPPPRRRHSGPPKVPQATVESNAE >cds.KYUSt_chr2.28117 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172472652:172473674:-1 gene:KYUSg_chr2.28117 transcript:KYUSt_chr2.28117 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPVDNSEAPRPHVVEDCRGVLQLLSDGTIVRSSAAAYTVEDRDDGRVEWRDAVYDSARGLGVRMYRPRLREGKGRRLPVLAYFHGGGFCIGSYTWPGSHACCLRFADELPAVVLSFDYRLAPEHRIPAAHEDAATALLWLRDRLLDNADDGDDVHAWLADAGADPGRLFVSGDSAGGNMTHHVATRFKAEGLSPAKISGYVLLIPAFDSKTPTQSELLSAGTAVLSREVAERYSRLALPVGANKDHPMLNPLGPDSPSLEAVGGRMLVVVGGDDMLKDNQVRYVEQMKAVGNDVELVVFAGKEHGFFSRNPWSETGTEVVRAVGRFIHRDAADSHDIN >cds.KYUSt_chr3.45512 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286763451:286767409:1 gene:KYUSg_chr3.45512 transcript:KYUSt_chr3.45512 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQSTVPLHPQSLIYPMFIVPSAALPRAPPRPNGKDPHHHAVALFVAPHPRLIGVVGMTDAIAEAPAKELSEEKEPLLRAPEVALESDDVVMATAGRHQEDGCGAMNEPARVEAAYLVLLPEEIFAQSVPFTGP >cds.KYUSt_chr3.45860 pep primary_assembly:MPB_Lper_Kyuss_1697:3:288762498:288768584:1 gene:KYUSg_chr3.45860 transcript:KYUSt_chr3.45860 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDPAHGPDLQSAQPESRLRSLNPGPIPIPAAPTSRSLLDKVSDQRDVIASHQSENGTISTVSSTVSSVESERATYEFLAQTPIKSTDAHLVEFSEAMRTVAKALRQVAEGKAAAQAEAAEWKRKYELETAQKQQSKMKDCGSCTDDNLEKLARQITLETPASDQTACCGNHEICSHEVLRDEVPGSSRRSSHKMVGRKASFKLSWGCNGNKNGQHKHDFVSFEKGDITTAERSNKQILLKWESQPQTVLFITKPNSNSVRVLCAEMVRWLKENKNINVLVEPWVSKELLTQDTDRNLVQTWDNDEETKMLHTKVDLVVTLGGDGTVLWAASLFKGPVPPVVAFAMGSLGFMTPFPSEQYRDCLDNVLKGPFSITLRNRLQCHVIRDAAKDELETEEPILVLNEVTVDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRIQVPYNSRGHAWASFDGKDRKQLAPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKTQGPLDGSA >cds.KYUSt_chr4.3153 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17998972:18000417:-1 gene:KYUSg_chr4.3153 transcript:KYUSt_chr4.3153 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAKTFVLYPSLGVGHLNPMVELAKHLLRHGHSVVIAVVDPPDSDAVSADAVARLAAANPSIAFRLLSVPPSPDPAAHPVKRSLDTLRLANPVLRDFLRSLPAPGADALLLDMFCVDALDVAAELDVPAYFFFASAAGALAVLLDLPHSYPELPSSFKDMGEALVRSPGMPPIRAVDMPLMVQDKESVTTKVRLHQFRRIPEGRGVLVNSFDWLEPTALKALADGVCVPSRPTPRVYCIGPLVDGGSKKGGDKRHECLAWLDAQPRQSVVFLCFGSKGAFSAAQLKEIARGLESSGHRFLWAVRSPPEEQSEFPEPDLERLLPAGFLERTGNRGMVLGSWVPQAEVVQHEAVGAFVTHCGWNSTLEAIMSGLPMVCWPLYAEQALNKVFMVEEMKVAVALEGYEEGMVEAEELEAKLRLVMETEEGRKLRDMLVVARKMALDAIGESGSSEAAFVEFLRDLENSAMESPVDRSGRVTNEL >cds.KYUSt_chr6.26398 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167204028:167205417:1 gene:KYUSg_chr6.26398 transcript:KYUSt_chr6.26398 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEGGIDGAAAGTTKKKKRISDCLADSDDGEDAPAVDVTPPSPPSPGTPRLRIPMFTCARLRFGRKGGRRRKEPVVVAEKSEAVSTDSSACVRVGWKQESNGGASSTEATVGMGLSLLFLLAKTCVELNKMAEVRARMEALLEEMRGLKLARTAKISADSHAASPGSIHDPPPSTTTAASLCRCRASAHARADGAASSSSPELSLPPRRLHERRDDSFYALTGNPLFDVDRAAASTSGMETASGESGTSSEMEDSMSMTVDVAGAQFFQLNNYNTEQESPESSSSDGDSFIELDGGFGAGAGVGSSARRRRDSEDEERGSDGGVSALELERRLQEVLHRRSRERIEELEASLRRAERKLMEKDMEARLWKDTAKLALQPPSQQQHTGQ >cds.KYUSt_chr7.37806 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235744466:235749197:-1 gene:KYUSg_chr7.37806 transcript:KYUSt_chr7.37806 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGADAALAAAAPAEVVSPRSTYFRQRSMHAPDLDRKAFDVENPPAPSAVCVGGLRPSESVAKLESLERAERAALAPAVVLKTGFYILVWYTFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAALSKIILLFQAKGIDAAVDMSWKDYFVRVVPTALGTALDINLSNASLVFITVTFATMCKSASPIFLLLFAFAFRLESPSIKLLGIIVVISIGVLLTVARETDFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKDPITLMSHVTPVMAIATMVLSLLLDPWSDFRKNTYFDNPWHVMRSILLMLIGGSLAFFMVLTEYILVSATSAITVTIAGVVKESVTILVAVFYFHDEFTWLKGVGLITIMIGVSLFNWYKYDKFKRGQINEDGVTSPSFSGDAKYIILDDSEYHDEFLDEDT >cds.KYUSt_chr2.16424 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103312627:103317470:1 gene:KYUSg_chr2.16424 transcript:KYUSt_chr2.16424 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAMESSSEEELEDDFPGHEWITPQSSIRAAYQSQTEKGIRKICSELLELKDAIENLCGNMQSKYHAFLRISEEVVEAEQELIELQKHVSAQGMLVQDLMSGVCRELEMWQKYSREEHAVEKDFESELNEILSVGTQDPKAIFLDKLDILLAEHKIEEALLALEAEEKKYMVTDNSGKESDTEISAYKTALLRRKSTLEDQLVRYSEQPSLSITELRKSLSGLIKIGKGSVAHQVLLKTYGSRLQKNIEGFLPTCSVYKETYSATLSQLVFSAISKVFNESSTLFGDSPTNMNRITQWAEYEIEVFARLVKENSPLLESDAALRSACICIQTTLAHCSCLEIHGLKFSKLLMVLLCPYIEEVLDLNFRRLRRKIIDLARDDDILLLTPQEGSPPSGAVAPKMTLTSSGKKFMSIVNDVLDQVTPMTIVHFGGTILNKFLQLFDRYVEALIKVLPGPSEDDNVVESKEPVEFKAESDAQQLALIGTAYTIADDLLPAAVSKFFDMQKDKKGTSGPNQGLGPGSVYSTEYKEWKRHLQHSLDKLRDHFCRQYVLSFIYLEGKSRLDARMYLEGNKDDLHWDSDPLPSLPFQALFGRLQQLASVAGDVLLGKEKVQKVLLSRLTETVVIWLSNEQEFWDVFEDKSIQLQPSGLQQLILDMHFLVEIAVCGRYPHRPVQQLVSVIITRAIAAFSARDVDPQSALPEDEWFLESAKAAIHKLMLGTSGSESDLEVPVALHDEMSDSEESTSTASTVGSEDSFASANNDDLESPVYFTDPEA >cds.KYUSt_chr6.10459 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64586105:64588989:1 gene:KYUSg_chr6.10459 transcript:KYUSt_chr6.10459 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANRYQPIKSTKPVIGKARKLKDLMLKSDNRVCADCSAPDPKWASSNIGVFLCLKCGDVHRALGPDISNILSVTLDDWSDSDIDSMVEVGGNSYANSIYEAFLPKDYPKPKQDSPMEYRTQFIRAKYETQDFLKPSLRITSKAGFESTNSVKSVDSSFSSASRKRVPEDTRDFVGQLNITVVKGSQLAVRDMLTSDPYVVLTLGEQKAQTTVKASDLNPVWNEVLELKVPRNFGPLKLEVYDHDTFSADDIMGEAEIDLQPMITAAMAFGDPSRHADMQIGRWFMTKDNCLLNDSIVNIASGRVKQEVFLKLQNVESGEMELELEWARLD >cds.KYUSt_chr4.22469 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141277100:141278251:-1 gene:KYUSg_chr4.22469 transcript:KYUSt_chr4.22469 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVAGQLNAETAGPAGRPQQQPPPPANHGRWQHLPPGVSGRPLVEVFGTRTNFPVVGTIAVFDGTCGQIVYENDQGRGRARISNPEDFTDLVLTGPHRAISADGGSFAVKVDLPSSDESDDVDGATLWECDADDTDSMVESSITTDNGNIVVTCAMLSNAVEATVHVNLAATTTTHVYGKIFAHIPQFRDEDNMVLFDRGTDEMVELAPSSSGSVIPLARSVVAVPIGSPLVIMKVSLHATTTPPAIAPVHEDTAIPIGGRSHFPLGRAYAANPHRHWPAQHPCRCHPMSAGRGNHFPRSIDLRSISW >cds.KYUSt_chr2.30912 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190467361:190467725:1 gene:KYUSg_chr2.30912 transcript:KYUSt_chr2.30912 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIRAFFGKLPRPSGRQAAVGAAALSAASGGAWYYQQNADGKTEAVKLAQEAAKKNEAQRAAVEDAMKKEADTKPPVPQPAAEEAPKQ >cds.KYUSt_chr7.7871 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47577905:47584906:-1 gene:KYUSg_chr7.7871 transcript:KYUSt_chr7.7871 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVHAAAEGVAALGISAGGGDEWAKPSPPLRRNLRLLSDDQVELAKMLLNEGQGHVFEHWPELGVDDDKKKTFFDQVHRLHSSYPGGLASYIQNAKKLLDDSKAGQNPYDGFTPSVPSGEVLTFGDDNFLSLEAAGVKEARNAIFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILALQEASCKLEGECHTKIPFVIMTSDDTNALTIKLLESNSYFGMEPSQVKILKQEKVACLADNDARLALDPNDKYKIQTKPHGHGDVHSLLYSSGLLEQWKNTGRKWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANSETGYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPTAKVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPFHSATSGEMAIYRANSLILRKAGAQISDPVISSFNGQEVEVWPRITWSPRWGLTFKDVKHKVHGNSSSVSQRSVLVINGQNIIIDGLSLDGALIVNSVDEAEVKVTGHVENKGWTIRHIDHKDTSENEETRIRGFKFEKVEQLEVNYTEPGKHCLSS >cds.KYUSt_chr1.23319 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138438993:138442438:-1 gene:KYUSg_chr1.23319 transcript:KYUSt_chr1.23319 gene_biotype:protein_coding transcript_biotype:protein_coding MTALVYRFLLGGVAFEEARLRVLLGEIGLCLTGFGVLFSFLGIIMLFDKGFLPMGNILFASGVTLTIGLNSTVQFFTKPKNHKVLYM >cds.KYUSt_chr5.42986 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270958203:270960038:-1 gene:KYUSg_chr5.42986 transcript:KYUSt_chr5.42986 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVYSRASFGYVFQVFVKNQKSRAYSKRFQVKYRRRRQGKTDYRARLRLTTQDKNKYNTPKYRFVVRFTNKDVCAQIVHATIAGDIVMAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKVRDLDQEYQGNIEASGEDFSVDPTGERRPFRALLDVGLLRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKQLDAEVHRKYIYGGHVAAYMRTLAEEEPEKYQSHFSEYIKKGISADDMEAIYKNVHAAIRADPTLVKSTKEAPKTHKRFNLKKLTYEQRKASLVERLNALNSSAGAADDDEDDDE >cds.KYUSt_chr3.25339 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157282650:157283898:1 gene:KYUSg_chr3.25339 transcript:KYUSt_chr3.25339 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGRCTNMMNKSLFLVGEIGGNDYNLPFFTEVSFEKIRTFTPSVVAKISSTIKKLIYLGAKTLLVPGNLPIGCVPAYLMKFKSDNEEDYERETGCIRWMNEFSQYHNELLVDELEKLRKLHPGVAIIYADYYGAAMEVFVSPEKFGIEHPFVACCAGVSTASSCGYGEYTVCDDPQKYGSWDGFHPSEVVYEAIANGLLRGPYTQPTIAATTNSCPDLVSSVEYKVLSDM >cds.KYUSt_chr7.15680 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97351507:97365062:1 gene:KYUSg_chr7.15680 transcript:KYUSt_chr7.15680 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSLSRHAGALPLHRQGPSSSGQLLARPHAISTSSQAMAMHRLSHHRGILPLRRQGPSPRQLLARSRTILAPSQPTEEDKKTEEDEKPTEEDKKTEEDEKESSPPPVSWVQRSLEAARPYAMLARLDKPIGSWLLAWPCMWSLTMAAMPGALPDLKMLALFGCLTIPMRGAACTVNDLFDRNIDNKVERTKSRPLASGALTPTQGLCFFGVQLLLGLGVGFLLQLNNLSRVLGLIWFPLAYSYPLMKRLTFWPQAYLGLSFNYGALLGWAAIKGTLEPTIVLPMYIAGICWTLAYDTIYAHQDIKDDLKVGVKSTAIRFGDSTKHWISAFGTATIGGLALSGYNAGLAWPYYPILAAAAAQLAWQISTVDLTDRADCNRKEANVNLDRSCPIPRLPPHLPLAIATALMSPKLEREVPDTMGKKRTAASSSAVVSAAGSKLPITAQRSAAVASDLQCDWTTSTITKRDQKKLRSLGLFSTDEKDVRFPAPEASTAPPSKKPSGFFADEDDLSSDSSGVEMGHPPSKKAKTSSERTVTTRVEITILLEEPIQAIVDMVVDFAAQFVKLEAKNTQLREAAKPSSEQLESANKLAIEAQREATKLKKELDPVKDKLEEEEKQRTEAQTQANKKGGDLRKSIKTLLGATDMPVDRTNRLRVDSMSDAISFAVDSSDQIQELLQKFKGALAKLFALIFLKMVWLLDQEYERDHRAVHMTERTTDLHPLKIRYHGTVDIAYDERYTEFIEPTGLLPFISLGEPLCMNTASDGWRQQMEALIGSAPPLPADPKERAPAGASFSWIRTNFGVCPEEANEDTLRTYTRVYLWYMISRTLFPESGGKLAQWCWLKALTVLEHRWSWGTAALAYLYRQLDKACRRTRSRTGSGGIGGCMLLLSVWSWDRLSVGRPRVLNERPWPHHHENLDREPTWAYLWDNDSEMTSDPMVMYRQYTAELDTLTAEQVEWEPYGSYYHIGAGMTDLNHKCTEEARFWRMRCPLICIWLVEHHQPHRVMRQFGLYQECSPQWQDTDKALHRLDRQRQRNITNWPVHHSGHVATFLHCLEAARNAGSEEIVPHDLAAFNNYLEWFHENTCIELVKHTYAENILDDPIEFDEVAQSQHDTFARRGRSTSIASELNFVRTEIQKTAHECEIMWEQSGRDEKPVGPLRYFIKNTARKMRRLANLLGCREGEIPTSSSSEERESKHTSKQAPRSAYQLKPRGKAPNRYTPEDYVNRGKKVVIEEDEGPPRRSSLSRMRNDEPFSSEEEEQEEQREQQQQEPRQRTKRMAVRMQPARTARRGRH >cds.KYUSt_chr4.7101 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42031017:42032554:-1 gene:KYUSg_chr4.7101 transcript:KYUSt_chr4.7101 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSTAFLAFALLLLLNLNVCRVESARPQGLGTGHHAPGEGHHPPGEGHHHDHDHDGDGGHKGGHKHDHKHHHHDEEYDGYSFYVFGDSFADNGNLPSKNDQWPELTRQWHEPYSANGRFSNNQMVQSDFIANMLGQSESPPAHMVTSHVGQTGMNFAAGGAGVFKVQQGVTTLGEQVHAFHKLVKAKKIKKEHLEGNSVALVAISGNDYARVGVRTSGFFDITAFVDKVTTEIQTVVARLQEIGVDKVIVNNLFPVGCAPSQTRPNNHTTCEEQGNQGASLHNKYLGDKLADKEGVLVLDISAAFTEIIGHHGDGRGELAHKFGHKLTPCCESVDPKGYCGQRAYDEYNEIYSTYSVCDEPNGYFFWDDMNPTQAGWAAVMGQLESPIKEFLDLD >cds.KYUSt_chr2.35439 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218834859:218835386:-1 gene:KYUSg_chr2.35439 transcript:KYUSt_chr2.35439 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTALLVVATAVLALSVADATVETTCKEAAAKEKQVNYKFCVSELGKHHLSPGADTWGLAKIAANMGVNNAYGAIKDIEGLQAKPRTDARTKVALGQCHDLYDGMKFAFAGAYDEINARNYTAGKEEAAKAVSQAHQCDDDFMKAGIMSPLSQRSSYSVQIAIVCTAITNLIN >cds.KYUSt_chr2.44942 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279968178:279971293:-1 gene:KYUSg_chr2.44942 transcript:KYUSt_chr2.44942 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNGGSFLAVRRLSGHHPSTADVVSGSTAWIGRGFSCVCVQRRESDARISFDLTPVQEECLQRLQNRIEVPYDSQNREHQEALKALWHASFPGTELLGLVSDQWKEMGWQGKDPSTDFRGGGFISLENLLYFARNYPKSFEELLCKQNGDRALWEYPFAVAGVNITFMLIQMLDLQAAKPRSLIGAVFLNLLIENDRAFDILYCITFKLMDQKWLEMHATYMDFN >cds.KYUSt_chr3.43201 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273064373:273065563:1 gene:KYUSg_chr3.43201 transcript:KYUSt_chr3.43201 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELEPTAMAAAAGQAPVSPAKATAADWSSLPSDLVRRIADCFLDTNDVDWYMDLRAVCHSWRSATDDPKKNPIDGRFHPREWIVLDGDVVSQSDDMRVFVNIGTGRFLRKKLPRLRRYYVVAITFGGFFVLADRSPPHAARVLNPFTGELVRYAAPVPRDTGVAVFFFSGGIPHNLTLLCDSSRKYYTAVPDSKRFDAQRLDEFIYNYMRKAVVGGVYANGGGWGSVACSTMLDNLCELTEMLQVEFVKFFSGDPFRHTSDIRCFLVEFGGQAMFITKAPGRVGVLGIEPEKQKLVPMKTIGKYAIFIGHHRCLVVDTGKFPSIEANCVYYTLQIGRFAGIVKHNISDGTDKRVSDSLEFVEQNKEFVLDTARPFTIIQVLCNYTINLRGSELA >cds.KYUSt_chr6.10932 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67717778:67718293:-1 gene:KYUSg_chr6.10932 transcript:KYUSt_chr6.10932 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLSVVVVLVVTIASIAIIHLLTRAKKPCPPNLPPGSLGLPVIGQSLALLRAMRGDGSSRWIRDRIDRYGPVSKLSLFGTPTVLLAGPAANKFTFFSSALSTQQPRSVQRILGEKSILDIHGADHRRIRGALMEFLRPDMLRTYVGKIDGEVRRHLDESWAGQPTVTVLP >cds.KYUSt_chr2.48942 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306277510:306278490:-1 gene:KYUSg_chr2.48942 transcript:KYUSt_chr2.48942 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNKEVDALVQKITGLHAAISKLPSLSPCPDVNALFTDLVTACVPPSPVDVTKLGPEAQKMREGLIRLCSEAEGKLEAHYSDMLAAFDNPLDHLGMFPYYSNYINLSKLEYELLARYVPGGIAPARVAFIGSGPLPFSSFVLAARHLPDTMFDNYDLCGAANERASKLFRADKDLGARMSFHTADVADLAGELAAYDVVFLAALVGMAAEEKAKVIAHLGAHMADGAALVVRSAHGARGFLYPIVDPEDIGRGGFEVLAVCNPDDDVVNSVIIARKSDSVHADGLQNGRGQYARGTAPVVSPPCRFGEMVGDKRDEFANAEVAF >cds.KYUSt_chr4.36954 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227209544:227210647:-1 gene:KYUSg_chr4.36954 transcript:KYUSt_chr4.36954 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRHRVFAEDLLLAQLQGDDHFDNVPDSLVLIIFNKLADARSLGRCAAVSRRFNSLVPLVDDACLRIDRVISSDAADDALGVSGAGAQRQRTGVFSGLLKTVLSAVLKPFGHCDAGARSGHGHGKHAPHHSPAQVLKNFSSIRNLRMELPVSDVGTDDGVLLKWKAVFGSTLQTCVILGGTKLDHAAPHTPPPPTTAPDHEQQGDGSIPESFYTNGGLKLRVVWTISSLIAAATRHYLLREIVKEHPTLQRVDLTDAHGQGTLCMERAQLKEFTDKPLAAAAAANRTQVPACNMKLRYAPMLELSDGTRIQGATLVVIKPVGETGGIGAGRKDLDDFVADAFDGPFREAVAMLSKRRTYLLEMNGF >cds.KYUSt_contig_1991.39 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000237.1:205062:206148:-1 gene:KYUSg_contig_1991.39 transcript:KYUSt_contig_1991.39 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERIRQLDQVGETSDVKVQPAAAAEKEAAVSQYVLDKRDSDLKILSRERGRLERSNQIEKESGGELERHHASRWQIAKNATEGKFYNSLLPYEEVKLTAIRQNASFRAMTSDEVLSEVIALDISKKNAEDLVARAHNTRKPNLALKIKRREDNGGRLVRKKKFKSLSKGFSKFSPKSDDDKVSFTKKPRAFIIREEYSSDEDEEHEDKRSNKEGEGVAHRHYHSLYLPLRLSK >cds.KYUSt_chr3.14384 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87404072:87410001:1 gene:KYUSg_chr3.14384 transcript:KYUSt_chr3.14384 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDPVDGGRVTDLVEIGVEAIGGGIDGAVQQLQRRGRKSNGFPSAAALRTRALSIRTKPRAPAAEWTTEDSTADKEPVTPTARLMEDLYIVVADGGSEDGNRRWARVKVNVDDHIIVPALDPAGVETDADQVVEEYAASLSTLPMDASRPLWEFHILDFPTSEATSTVVLRVHHSLGDGMSLMTLLFASARSVADPTRLPGMPKQPERTTAIYMRCRVLFLRDPKTSFSCSDDDMVFNPRRRFVHLSLSLDDVKFIKNAINCTVNDVLVGATSAALSQYYFRKPGNTMTEKICLRSVLPVNLRATTSLQKYVNLIESGKSGDVGWGNQLGYIILPFHVAMHDDPLVHIRNAKKTLDRKKRSLEMVFTCMISELFLKLFAEPTEFCGHPITFIAPGLYGVPQFASPPTVDREIRKEQDTDFKHTKCPLDILPRAILSFSKMAILLDSSLCRLVHGAPYASILMVAVQLWIDEKPAVAMASSFSLKYGSKSLTP >cds.KYUSt_chr5.17753 pep primary_assembly:MPB_Lper_Kyuss_1697:5:114589949:114591034:-1 gene:KYUSg_chr5.17753 transcript:KYUSt_chr5.17753 gene_biotype:protein_coding transcript_biotype:protein_coding MALATRQWMLVIIHALAVMAIPAGAAADDVDVTGGYFVNDCHQHSRWLSTANGTAFRGSLLPLLDALPSAAAPTGFASLRSVIGRASARGLCFGEASPPHDCRECLSILAGRIDYLCKGSRRAGFWNAGCFLAYADTTDANASSTEEEEDFFSSSVDNDGSGVLNYYPDFYNAQSLVDLAQSLAPRAANSTSGRMVAAASATAEANSTVSALAQCTGDVTAADCARCLERSVPEVARCLLSTYKHGGVQAAGVVHVFRYNCYLRLEISAPMGRWARLGEFPNDPSWSCCGLFYKNGVTTVFASVCREEDERSCCRCGGGRRRSRPRDHRGSFSRMEASSCRKNSSCHARRLDSKLGMQAKG >cds.KYUSt_chr2.40098 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249020992:249022155:1 gene:KYUSg_chr2.40098 transcript:KYUSt_chr2.40098 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKRRRLSTSTMSPPPPPPTTTITSLPDDVVADILLRLPSPTSIFRAALASKHWCSVAVSPSFVRLIREHHHFPALLGHFLSCNHSSTLPLFQPVPLHADPALAAVVRCGDFFFTRLQDSGRCALEDCHHGFLLFSNRTKLTIFDPTTHQAVYLPRPPRRPAPENDYVAHTFCLLRDSGGARDRHRQFFRVISLQHRNRSARAEEYDSRSGEWRAHPCTVLTPARSHDNRFFPAMHAAGRIYWKYSSTRLLLCLDTKTMRFSYVRVPAGVSHGSAYAVGETEDGKCCLVHVYYCSEGGCHQLQVWLRGDEADAEPWLLVRDEPLRLGSPAPVHWRARQVRAVVAGLVLVCFNGSSAHLPHVAFRLKSLKVEAEFTCRGPARPYLFE >cds.KYUSt_chr4.46183 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285362447:285379087:-1 gene:KYUSg_chr4.46183 transcript:KYUSt_chr4.46183 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKIQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAQHYISPPSTFNVLLDSYWFHIGAGIPGVAPHYTLPPSTFNVLLGSYWFDKPWFLTEGKLAAIWNSVCLIIKSRLGVPLRGHMDIRGGPPIDPIICKVLVFNNTLNFLQFMLRAKQLSTLSQCARSFYLSGSRCGSADGASCTCPEDDTSGSKRQSVSGNEQKFQSPCRSSVKAQPPPAQHIGGATGYLPQSVHVSPSTSSPDKEPASSNRRNPPSNHQVLGNNYVQPSKQTAKTISQSGIAGAGVYSELVNLKSSSNNGSIKQASQAGANYSSKPLSGGQQSNNKAHNQHSYAEANMPCAPSMQNDFGKGVSRSGYAKSKQNFSGPSAVLSNSQSQVRNQRHPGQIQASHHSNSPNSDGRWAGVQTRNFSAPAVYNGPCDKPQGPVGQGPMGTIKTHGGGQGSNLKSLKSLRTVEQYYHTLQQMKWGPMTEHVLDNLRCKIDAFQANQVLKLLRDHNIALGFFDWLKRQPGFKHDGHTYTTMIGILGQARQFGTMRKLLDEMNTVHCKPTVVTYNRLIHAYGRANYLREAVKVFEEMEESGYEPDRVTYCTLIDIHAKAGYLDVAMDLYGRMQQVGLSPDTFTYSAMVNCLGKGGQLAAAYKLFCEMIENGCTPNLVTYNIIIALQAKARNYDNVVKLYRDMQVAGFRPDKITYSIVMEVLGHCGHLDEAEAVFLEMRRDWAPDEPVYGLLVDLWGKAGNVDKALGWYHAMLQDGLQPNVPTCNSLLSAFLKINRFQDAYSVLQNMLAQGLVPSLQTYTLLLSCCTDSHAQMGLCCQLMATTGHPAHMFLLYLPDAEPGGQNVQDHTRYFLDMMHSEDRESKRGLMDAVIDFLHKSGLKEEAGLIWEVAAQKNVYPDSVIEKSSSYWLINLHLMSEGTAVTALSRTLAWFHRQITVMGSCPERIDIVTGWGRRSRVTGSSLVRQSIEKLLHLFQFPLFTARGNTGCFVGCGEPLNQWLHNPYVERMHLL >cds.KYUSt_chr6.28651 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181538065:181538397:1 gene:KYUSg_chr6.28651 transcript:KYUSt_chr6.28651 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAVRELLTRVWNPSCFADIFRLLHAQQGQSKRVLGMACAALLWTLWNLRNKFSIDGVFPRQPADALYKMSMYLQVWKLVARKKDREAVEWAVTRIRTLHSTIRDHDSV >cds.KYUSt_chr4.37765 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232840801:232842255:-1 gene:KYUSg_chr4.37765 transcript:KYUSt_chr4.37765 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTLTATCALLLIFSVCCYLPPPAASLSFNYSTFSSQDQKDFRIEGDASFSVGWIDISANKYDGIGKSQGRVSYNAQPMLLWDKATGEVASFTTRFSFAIGIPDINNKGKGMAFFLASYPSVLPDESYGYDIGLTNQSTDATASGDARFVAVEFDTFNDTQVSDPDATYDHIGIDVNSVRSVATKSLPNFSLRGNMSALIEYDNISSILALTVWLGDGRKQNYSLSSKVDLKSALPEQVAVGFSAGTSVAEELHRLRSWQMSQKSSI >cds.KYUSt_chr7.7029 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42323136:42325259:-1 gene:KYUSg_chr7.7029 transcript:KYUSt_chr7.7029 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEMLQRKMQPIMRVRSRERWGSSMIKIFSITSWGILNVLGRPQRFAWGHSTCVVGGTKAIVLTSRSRDHVRDGAAPAAAALISGLRPAPPPPRPDPVPPQRPLPVRPKVRRGRRRVAAVTLLCCAAMSPVPPPAQARRRDSPPLHPRPRLPPPAAASRRSSRLPPAGRFRMENCGALVSSADVPVPAAPAHGQLLSASADAISGLLPSSASLPLGASPSAALACAAPSVGEAGQQLEALTAAPSSAQLSGTAAPALSTPPTASPSAPSPRGPSASPLPALWVSFADVDEDSDADDVEVLAPKTPPDVIKTCCGADVPCSAVVDGVAGNGAPPLAAMLWPPSWVSAADNIDEDGEEELVPQTPPATKTFNTAAVVTVDGVEVDGVADERDGWQEVLPRRGLRCPAPPAPTFARPPVPAWLKGRCCRCLAPGHRATVCCEPFRCSRCLDNGHRARVCRNAWRPLSWLAGHVALSPRQANAPRRVQSGVSLPSVVPCRRSWASVVAAPVSSLASVDTKSALEVQAKLLQEAVRPLQEAVDSLHGWMLAVGGFLERAEAVLGRLSQTPIDPLVPPVVGKVGTSGAGLHGCFSPRARVSSVITAPVMHILPELMELCGGVLTPPSVEEVRPGSLESSDVASPPCLGVVKCDIVGDAVSLSPEFDRQVVSIGDEVSESGLLSAVPGAVVAREVCDFLATLAIAYPGPAVG >cds.KYUSt_chr1.33955 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206411992:206414896:1 gene:KYUSg_chr1.33955 transcript:KYUSt_chr1.33955 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQGEDPAPLYKDASAPVEARVRDLLARMTLREKAAQMAQIERTVVSPRALTDLAAGSVLNAGGSAPRERASPADWARMVDDMQRLALASRLAVPILYGTDAVHGHNNVFGATVFPHNVGLGASRDAELVRKIGEATALEVRATGIHWAFAPCLAVCRDPRWGRCYESYSEDPEIVRSLTNIVTGLQGQPPADHPHGYPFLASVRENVLACAKHFVADGGTDKGLNEGNTICSPEDLERIHMKPYPDCITQGVATVMASYSKWNGEPLHGSRYLLTDVLKGKLGFKGFVVSDWEGIDRLCEPRGFDYRYCIAQSVNAGMDMIMIPHRFEKFLEDLVFLVESGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLQDSVGCKEHRLLAREAVRKSLVLLKNGKNQKETFLPLAKNAKRILVAGTHADNIGYQCGGWTIAWHGDSGKITLGTSILEAIQETVGVETEVVHEQNPTEAILESGGFSYAVVVVGEVPYAEWLGDRTDLSIPFNGSDLINRVASKVPTLVIVISGRPLVIEPQVLEKIDALVAAWLPGSEGMGITDCLFGDHDFVGTLPVTWYRSSDQLPINVGDVKYDPLFPFGYGLKMFRRDEVSP >cds.KYUSt_chr3.39511 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248940091:248941969:1 gene:KYUSg_chr3.39511 transcript:KYUSt_chr3.39511 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIRRSKKGHRGKKGSSAPLQSSGAVDGAASSNSRRVAPEDVLPVGTTTTGYASSRDEAFFEASPWLESDCEDDFFSVNGDATPARTFSTAASSQGTPCRPQVLPTLGAILKAEPLKPPAKIRLSDLLKEKQEAIDDADDLSRAGSSVGGDEAGRCCIPQFARVVSHKERRQGK >cds.KYUSt_chr4.19312 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121322476:121323849:1 gene:KYUSg_chr4.19312 transcript:KYUSt_chr4.19312 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLPVSNPSPGNYANPQRQRGHASTTSTAGAVAAVAAPPSTFAPQRTRFTASPTIAVNTRHAAPVAPVFAPARRNNNDKSTLASMWREIQGEGDWAGLVEPLHPLLRAEIVRYGELVAATYKAFDLDSGSKRYLNCKYGRTRMLEEVGMAGAGYAVTRYIYAAPDLSLPGGCPSRWVGYVAVASDDAARRLGRRDIVVSFRGTVTGSEWVANMMSSLAPARFDPSDPRPDVKVESGFLSVYTSDDGTGRFTCGSCRNQVLSEVTRLLKQYKNEDVSITLAGHSMGSSLALLLGYDLAELGLNRDGRGNRVPITVYSFAGPRVGNTGFKNRCDELGVKVLRVVNVNDPITKLPGVFLNENSRVLGGRLVPWSCACYTHIGVELALDFFKAGDPACVHDLEAYLGLLKCPKVAEVKKQGEDLVSKVTKYVQGQSFHAAWRWQMAAIQACDLVQALGI >cds.KYUSt_chr1.28663 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173247329:173249786:-1 gene:KYUSg_chr1.28663 transcript:KYUSt_chr1.28663 gene_biotype:protein_coding transcript_biotype:protein_coding MMADEGEDAPVAAVAVGFGLPEELAAVLPADPFEQLDVARKITSIALASRVGRLEAEAAALRAQLAQRDDTAEDLRERVEQLESALALATDRLSRAEDEKETVLKENANLSNTVKKLNRDVAKLEVFKKTLMQSLQEDDDKPNITPRAKLTESSNFSSAPSVGDEDSEFPTSKSSQLSETASSASEDSHAEPDVPRPPRTHVYIPSYNSTPKLTPPGSPPRGYAPLSPPRRHSISIASMNRLDDRSSLFSSQHSSMTSPFEAASQTARTRVDGKEFFRQVRNRMSYEQFSAFLANVKELNAHKQTREDTLRKADAIFGPENSDLYTIFESLITRSHH >cds.KYUSt_chr2.2131 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12916680:12918019:1 gene:KYUSg_chr2.2131 transcript:KYUSt_chr2.2131 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAYFTSAPVTQEIPQTELNFHLYMYQWMEGTPSGNEKRAVAVGGRNAFGSIYANDWTMYDGPDPNANLVARVQGVHTNCDMVLPDSWLVCASIVFVDQRFKGSTLKVVGNYGGGGVDEWAIVGGTGEFGFAQGVGTFKKFKEMDGNGNIREFNIRVRHPTPVLAPAKKVGPFGGDAGRDFDIPEQAQRVESVTIRSGSVIDSIAFSYVDKVGKKQTAGPWGGNGGNEETITFAPTETLKKVYGTTGTFRENIVVTSLTFITDLKAYPTFGNALGGTAFIIPEKEASVLGFFGRAGLWLDAIGAYYAAN >cds.KYUSt_chr5.19071 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123335447:123336679:1 gene:KYUSg_chr5.19071 transcript:KYUSt_chr5.19071 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRCKAKTETPSSSTPPPVEPKTTPAIDALPEDHLRDILRRLSPADLLRAALACHRWRRLASRCRARAPPLLGYFVHPMETERTHDDAVFVPLDASVPRLSLDFAPDASDFQLYDSHQGLLLLQPAVNLPKGILPRFLVLDPATRRRALLPPPPRGTVPDDRVWRKSRHYVGSALLSRAHPSKLCFEAICFAIDEGHPRAWVASVDDGHCSWRALPRAVDISVDFHPWWFEGRCVHAAGKIYWHICNSTDRILSLDPSTLQFSYLPVPAEVGYNFSKYRIGETPEDGRLCMVTEGDSHVQLWVRGESRWSDKGWDLERNIVDMRALCNMIPGLPSDPEIRTLNVWPSDMDPGRSGKVFINTLGSGRYSFHPGTGKLERLSTETGKDYGHPIFAYFLAWPPAFLAPEY >cds.KYUSt_chr6.20851 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131469858:131471655:1 gene:KYUSg_chr6.20851 transcript:KYUSt_chr6.20851 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGVAILSPLLERQVVHVSRCLPLLEPLDSVLIFVTMPGMAPMPMRVLGSESIASVKLRIQRTKGFVVTKQRLVFAGHELSQRNSHVRDYGLADGNVLHLVFRLADLRTITVETDSGEKFIYQVESSSKVGQLKNKVAAETGEELEDQRLVCDGEELEDNQLITDIGSSNGGAAAVINLYIRAPARMKTQQVDNDTVVTVVNSEENDNLQIDALSLPKPACGGRASVEPIIVNEKVKLSPAVMEMIGATMAGLDNGHLPLMSTEGSGGVYFMPDPLGQRNVAVFKPIDEEPMAENNPRGFPLSVDGEGLKRGTRVGEGALREVAAYVLDHPVDGSKSCDSIGFSGVPPTALVHCLHMGKGFKIGSLQMFVENHGSCEDIGPRDFPVQEVQKIAVLDIRLANADRHAGNVLACRDGEDDLKLVPIDHGYCLPEKFEDCTFEWLYWPQSREPFSDETTSYIESLDAGKDIALLKFYGWNLPPQCARVLHISTMLLKKGAQRGLTPYDVGSIMCRKTVKRESGIEVIMDEAEDAVLPGTSEKTFLEAVSEVMDRHLDDMLSKLKQNK >cds.KYUSt_chr1.30009 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181614866:181621541:-1 gene:KYUSg_chr1.30009 transcript:KYUSt_chr1.30009 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRESGKPLVDLTDDGKAGPSGLVKDEPVDEPVSRRKKPSTPRNLPAQAERFQEPEGGIRGVKPRHPSRESKEGGVVVLAAAAAMVRSKEVPKKPKDPLLTPPPKPRGFSTFRDAGGGLGGSLPRNRGGAAMTSPAPASVPNYMRGTSSSGAKAGQHHRQRGSASASASTPTRQRYRPVRVTPRGKVLFPAPAAAASPGMVRPTCSSTLKDAKFPLALGLAPGATDAEGPAAMRVCPYTYCSLNGHAHAPAVPLRSFLATRRRLIKTQQSMKHKGVSAFRRGTTGQRRPDDVVCAGAPAKVAPPLVDQDALGDFFVEVYTGPRVSSGTSCSDMSLDEMDATVRRMEFVVFDRCGADDDLDKSNDLAVHGDGDGEDRPGVCRDSSSECSDPGISGNLVEELPWMRYQGYECDSLDDDVSEEQIGEAEALAQHQEGEDEEAKSCGSGDEREEEAIEEQEPEDEEIISDLPCQTGIIADEEGVDCRLDTVNQHEASTAEDTQDPGDDEECVSDGGHGMEIAAERTCAVSTAEVCREQEEDEDIMLGKVCRGDTSDGQGTSEEALSVSEIPMSEVMENVLEDRCKEENSADQEAEDDETSMDSANESEISEKQKDAESEMEISETVPDVACQEDFCQQESTSGAVAEVCQGDTSDGQGTSEEKLSASEIPKAEVTERVKNVLEDSCKEENSADQEAEDDETNIDSALLFEIAEKEKDDDESEIEISETVPDVACKEDFCEQEATSGAVSEGEVSDSNAIASPDVEISNQPTDGGFEQDATTVEDAFEQLDTTAENTVSGTEDAQRGLEITTCKSEDVSAESGITQESSQVVYSVCVDAPAKMQPEITEHGPEDSSEESSIPQESSQSNMVAHVDNDAQIEVSADATAQVEPEITQCKLEEPSEESGISKESSQSSKSALVNNVVETEPEFTTCKLEDPREESGILQEVVQDRNSAYLGEGAQMQLGNVTNEFDATSEECGIAQEIGEDDNSVSDDAQNGSELTMCELDDASESVAVQEIDQDHNCADVISGALIESELITPSQLANAESDATQEVVPDDNNADVNDGPEREWETMACEPEHAHEELDIIQEGDKDGCAAGVCAGALKETQTSNIKDACEEVCVTEEANLSHAEHNYDPSAADSIGAPQSLPAEDDDAKEFSVDDMCNVFSGMNLKGDVYVDPTESEISPRKRLIIAGRRRTPEEEEHMRGFNPRGPNFLPLELDPDSEKVDLKHQTAEDRKNAEEWMIDYALRRAVNNLGARKKKVELLVQAFETVLPHDEDEKKSTPTRAVQACN >cds.KYUSt_chr3.22167 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136509861:136518837:-1 gene:KYUSg_chr3.22167 transcript:KYUSt_chr3.22167 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYRIRGVDVDFPFDAYDCQITYMDRVLQSLQQVPSPPNPTLRRRFFRFLFWLGFRLHRLIDVQGNNALLESPTGTGKTLCLLCSTLAWRRTFGEFLRGGGGGGSSQPSGSQQSGASATPLSPYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHEEVSKLRGKAQNNGCQFLCKKRRCRHNNIVTEYMRSNSELGNEPFDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLAGIPWDNAVLIFDEAHNLESICADAASFDLLPTSLTSCIAEAQECIQLCSFKRSIENSADKQFDPENYAILKALLMALEKKISELVIESKELGYTKPGTYIYEFLSELNITSETSKKLIETIDSASLLLEEGNSGETKAGAKAKSTVSRLETIRDILDIIFRGGGQSHAKYYRFHVNESRQTSGDSLQVFGKASRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLESLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHPLNSSYRTRETEKYKQELGTVIVNFARIVPDGLLVFFPSYSMMDKCIDYWKNRNHEHSVDENTIWQRMCKYKQPVIEPRQSSNFPNAIEDYAAKLRDSSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFSTPTDPKVRLKREYLDNRGNLDKTATPSNKNSKVCVVKLPVPDVLLAQTLHKVVALHLRLIPRTPAVTATTHNLVLATNSQPRVMPSLFTGQQQVLLINITTSS >cds.KYUSt_chr4.22468 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141271047:141276166:1 gene:KYUSg_chr4.22468 transcript:KYUSt_chr4.22468 gene_biotype:protein_coding transcript_biotype:protein_coding METAHEVAIYIDRFHNLDLFHQGWYRMKISAAWEQDDGRAPVTPARVAQYEATDIGAKRAFGSWKIDDVDNSFYTQPFRIKYARQDIYLSLMVSFYIPNSEDEGPATSSVILKFELLYVPTLGNRIETEDPSDKYVAPVHEFRIPHRALLGLHTYCPVHFDAFHPVLVELSIHIVYLKAGVTKSSLKSFEQGSALKSYDIVKALLTSRELLLEEVKTISNAIGKNLEDVDGTDLTLGKYESVNATKSSFPNYTNGLPVTPKYIGRRIGILQDLLEISDDVVQSTSDVMLYTLSKEELLELFETVSNQLTIIWNGFLKFHRSNKMKILDYLHDIWDVDRKSEWSIWIVHSKIEIPHRYMHSVSDSSSPRHSLRRASSSKKLHHDPVQNASSRAELHRKSIAQMKINARSVQDMHIYANPSRVPVVLIEQHVMIVPQHGCSKDLLANAQDPNNILPTNLQEDSVTGNPSGGKNSGHVLRAVIFVHGFQGHHLDLCLIRNQWLLRDPGAECLLSETNEDRTYGDFKEMGKRLASEVLSFLKNKLDKYSRHGGCKELKLSFVGHSIGNIIIRSALSDPKLQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGLQCMHQLTFTDEQDPQNTFFYRLCKLKTLENFKNIILVSSPQDGYVPYHSARIDLCPASSTDSSKKGQVFTEMLNNCLDQIRAPTSEERVFMRCDVSFDQSAQRRDLNSMVGRAAHVEFLENDMYARFIMWSFPELFQ >cds.KYUSt_chr5.9616 pep primary_assembly:MPB_Lper_Kyuss_1697:5:61088625:61090236:-1 gene:KYUSg_chr5.9616 transcript:KYUSt_chr5.9616 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSITEPTNRWSMMESTGRYQPRLPPAMLDHIQRTPDLLRRASISCSHYRMACWYLADHAVPHLQTAPAGRLPPYNNFWQSSSSFVVQMTYYVVYHGRVSGVYEDWEDCRRQVHRFSGNSYKGYTTLEEAETRYANFRAGQRREMWRTPFIVMMLAATASLVYYVIVV >cds.KYUSt_chr6.2183 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12872707:12874497:-1 gene:KYUSg_chr6.2183 transcript:KYUSt_chr6.2183 gene_biotype:protein_coding transcript_biotype:protein_coding MARACLSTARTAALRPTLHTDGASGTHLFCASLLPRPARAPSGHHRHRLIAEHVVAPVVPVARRSRRAVAAMASQEEATTTAVEEETAQEEEPAGGEVEEEQGAPAEAEAEASSDDAGGGDAGAAETEAASSATKLYFGNLPYNCDSALLAGIVQDHAIPEMVEVLYDRTTGRSRGFAFVTMSTLEDCERVIKNLDGTMYSGRTMRVNMADKPKPKLPLYPETEHKLFVGNLSWTVTPDMLIEAFQKCGNVVGARVLYDGETGRSRGYGFVCYSTKEEMDQAIETLNGTGDPGQLGPWKEILELDRFFTLEKPSIRFIQYFVYNMTYTEVVANNRAIEDRAIARQNTLQKPQQGRHHSEL >cds.KYUSt_chr6.18105 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113987894:113994968:1 gene:KYUSg_chr6.18105 transcript:KYUSt_chr6.18105 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWPTSSFPGAASPVDPVAAPLSSSLALVTLAVPSLTLAMSSMTSSLQPKTSVPPAMSKLHSNGRLYIDDMAPGTGDVDLRNYFGRYGDVADIFIPTYRLTGQPRCSAFVHFSNPDDAARALADPLHVINGQELFLLNRFDLLFVLSSIPPHLFWIFSPRVLPLHYPLHPAEVLHARPEIPCPLELLLAFHRSSPVQNELPSAFPVQGGQVCGRLQVEDGERGAAAWGQEALQRLAIYGAGGSGRRCLGDEVGTNFLWFGVILLKTIIAKMFTPYLTKRIPVVIEAGFHPVHKKEIKAEKGSPVMPPPARKVEMQGAIGAPPGFPPL >cds.KYUSt_chr2.40223 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249775905:249779528:-1 gene:KYUSg_chr2.40223 transcript:KYUSt_chr2.40223 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMMVGELVLAAPAILLSLLLTLVMSHFLPLLLNPKAPRGSFGWPLVGETLRFLTPHASNTLGSFLDDHCSRYGRVFKSHLFCTPTIVSCDQELNHFILQNEEKLFQCSYPKPIHGILGKSSMLVVLGEDHKRLRTLALALVTSTKLKPSYLGDIEKIALHIVGSWHHGKASKITFCDEARKFAFSVIVKQVLGLSPEEPVTAMILEDFLTFMKGLISFPLRIPWTPYARAVQARKRISSTVNGIIEERRKTGSCKKDDFLNVLLSTNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSATDLDLVKREHEGIRSTKGKEECLSSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSSVHLNPSLHGNAQQFQPRRWEGAIQGTSKKFTPFGGGPRLCPGSELAKVEAAFFVHHLVLNFRWKIDGDDVPMAYPYVEFPRGLPIEIEPI >cds.KYUSt_chr4.42075 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260155078:260163184:1 gene:KYUSg_chr4.42075 transcript:KYUSt_chr4.42075 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKQALIDSITLDNSHLVRKASANVVSIIAKYAIPAGEWQELLPFLFQCSQSPQEEHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDETSSKVRIAALKAVGSFIEYVNEGGDIVKMFRDFVPSILNVSRQCLANGDEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVSSNHDLEINIRQQAIQIISWLVKFKASFLKKNKLIVPILQVMCPLLTETANEDEESDLAADRSAAEVIDTMAINLPRHVFGPVLEFASVSFRHINPKFREAAVTSLGVVAEGCSEHFKDKLEECLKVILEALKDQEQMVRGAASFALGQFAEHLQPEILSHYVTVLPCILNALEDPSDEVKEKSYYALAAFCEDMGEDILPYLEPLICRLVMSLQSIPRNLQETCMSAIGSVAAAAEQAFIPYAEKVLEMMKGFLVLTKDEDLCARARATEVVGIVAMAVGKTRMEAILPPFIEAAISGFGLDYSELREYTHGFFSNIAEILDDSFTQYLPHVVPLVFFSCNLDDGSAVDIDDADGADNGFSGVSSDDDTDDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIKHSGYFHEDVRLQAIISLKHILTAVRAIPAHPDILEKQKAVLDTIMSIYIKTMTEDDDKEVVAQACMSVADIVKDCGFAAVELYMSQLAEATLTLLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMRSYFDPLLAKLFDPLMKFAKSPHPPQDKTMVVATLAEVAQEMGAPISAYVDKIMPLALKELASSEATNRRNAAFCVGELCKNGGAAALRYYPDILQGLHRLFADSEPDLAVRDNAAGAIARMIMVQPQSIPLNQVLPVFINALPLKEDHEESMAVYSCLSKVSLSKS >cds.KYUSt_chr2.27374 pep primary_assembly:MPB_Lper_Kyuss_1697:2:167938444:167942174:1 gene:KYUSg_chr2.27374 transcript:KYUSt_chr2.27374 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVIGKLSVRVVRGQNLVIADSLTKTSDTYVVLSYGSQVDPSPSQHFFPFSSHCCDGSSSIFDTRFDGEAIYCHALVNTEVFDEDKFTTDDSLGVAEFNVTDIYDAAKLDLKHASDGTRVKTLYPVGTNYLGSESHVTWKNGKVVQDLILKLKNVDSGSVVLQLEWVHVPGVTLSDKNLEAKLIRSSQSYYCIAGNWEAQPTVPAPSTSTKNDPKKRRTNGDIAATMEKYIEIKTKQVESKQIDTANDDSKNVDEYSIKNCVAWLNTMAVSKEEKAKAL >cds.KYUSt_chr6.23937 pep primary_assembly:MPB_Lper_Kyuss_1697:6:151299162:151303156:-1 gene:KYUSg_chr6.23937 transcript:KYUSt_chr6.23937 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRDILVSAVSFLRRWQAMSFGFGMHFFGMAGTNNDINVLQRWLAEGQALAVNFEVNDHAYNKGYCLADGIYPTYATFVKTIPSPANEMEAYFATCQVLSVLLGCLSSVSPLSASAFGHPFLKSSIFGTGMILSKRTNAVTPGRPLLRTTDVLNAFEDEYGGVVVDPTFLPNTLNAFSASLRSSLSYWSQQEGFIYHHAEPGYVMLTCWLPDGPAALPSTSLHQIGVGAFVMNEKQEVLVVKERKCSSHCSGIWKIPTGFIDKYEDIFFGAVREVREETGIESCLLDVVAFRHAHQVTFDKSDILFICTLKPLSFDISVDESEIEAAQVMSKLDNRDACLYFQDTLESGSSWVRS >cds.KYUSt_chr7.19911 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123409874:123410776:-1 gene:KYUSg_chr7.19911 transcript:KYUSt_chr7.19911 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRGKTTQECRHRPITADLEISPEKIHTRSHNVTSAMPSRRERRPKPPPSPALASCRPASKRDKALARDLSRHPAWCPRPDHLLPPCPPTPRRSPGPPPPDLHQHLTTTSATPTMALGINAVHPPRVARAPPRPGEAHNEPARNAAPRHREADHRDPDTAPCCRARPQQHSPPRRPDRDISCSTTSPAFPREAHQRDALGPPGLDGAQIGRLLQRRQAPDPRRPPAATPVDPFQHALQPPPQPANRQPRRHARHLQSPASKRESREGETMPRRHLHWARAASPAPPPAAAMQGRDGRCP >cds.KYUSt_scaffold_6468.195 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:882146:892428:-1 gene:KYUSg_scaffold_6468.195 transcript:KYUSt_scaffold_6468.195 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTGLFSGVWSRLHAAASLWRRRGQLPGGDDRDGVGEEEQDEAAAVRSRLARRAATARRLGRKLAFVSFNLEVLVFVYAFWRARRRSFTWRLPAQALPMLLIPALATLIYAAFVRFTRMLDLKDKKRLERLQEDKQQTDAEPREFDQNDQNNVQSCDGVDDASDSIVATDSVPRTPKLGKHSKSSISQRDDSEIDMAWGHSNDFQPAPSGGLRLRRLSSAKTYMTNSSATERSEENTPSISAHSHQHVHVLISTEDNVSYPSDDQSRSAMSSMLAQPANAPQELPAGGGENEKFDGLWDITGTCSSYSKENRLSPAGPHNSFCDRDDSRSSGHAVSYLSTAFEELLVKGVEEKASPEPHTSAMLQLRSSTSEEKPTSCVADDNELVITSDVETCLLPPICSDVHDNAAIVNIDTASPKWNLLLQLYGVEHTGSSKFHIPEESTTPSNLEEVLMCPPLVNSIERCLGTPEFSFCSRETEKLEVAGNDSFTDDNPEFSFVSSPELAVEGGKGAIEKELCEVKTNEENAIINMEEEEALQDPLIETCSTSAQCLEASDVYLCIQDAKIREVPGITNFVTANSELMYPASTELLAEGDEDIMEDKTSNFLLLEQNDVPSNFEKEPILDSLVADTDEHFLVTPLYSEEVEITEVHDVVKEGIFESEGEKAFDYRKLVVTPSYDDSNTPSLISDSMPVQFIPNTDINEALEGSQEAFSEPLHQSTRQSEGMFLSSGESNNDEVYSSNSNSYANVVEDEAPFVGQEGLSMFQEEMPITFSDSPIFLDEVKSAASVDSDASSPELSLQTDLHGVGVEENESSKSHVPEEIRTPFSLEEVLLSPRAVNNGSLFKQLKFICQSAGTPEFSLCNQESEEAEVTGSVSFIKASPESFLSSPELVAEGGEDARDKETGGMNSEEENVTLVNLEDEALQGELVVGTTDQCLETSEFSLPSQGAKTTAVPEILSSFTASPDLNCPAFPELLAEGGKDLKEHETSDVHLHEQKGLYINLEEPFLDPLVVDTAEDALVTPELLMCSEEVKMTEVHRVVKEVFSEVEDEGAFDHQKLVLTSPDYDGTTQNLISNSISSQFIPDASVKGASKAGQEPLSEPPHESTCHSEGTFLSSGEINHDEVKVKAPFAGQEGPSKSEDEMALTPLDTSILLDEVASTENLTDNSGSSQSIPNSNQTQSLHGHEQAPSETSQEEVTSLLEGSHTSSDEGINSEIFSLYSRSSSCVSEINMLETLRGGTSSESPSGRDSNFGERNPVTFSNMDSTESNTNDPVTAEFILETNMTETLNAAEESIIGSPHEDSSNFLGTFVAPDVINDMTESDKHLDLSSSSFAPVIDAFESLETGQGFSELQSEIGFTVQETSMFPKEVNNAENYFTDTIGGPQDSERTAVVALLDEDLTLHRAYMSPEDVSDVQNSLADDYASDLPHMVESHCISEKLSPESQDPLISEEILLYPDEGSNAEPLGDPQDREDEDLTLHRAYVSPEDTSDVEKSSAYDYASDLPHMAESHCGREKLSPVSQDPLSSQVLYPDEGSTAENDLGTAYPPLRSTEVNLAEPCGIDQERTQQHDGTMLGSEDIYMVDQEADPENLDNNSGSLDVPDATMTDSLRGAEIVTSEILYDGMFSFEGTLISLDGDNIAEEDSPNKSGSGMHTAQASTTSFLGLQEGSFKLEHEKAVNSIVTYEVDTKETSSSNRGNSSSALTRHDISFMEAPQECHIDSESEKVSAKDKEPKEDGESNDMKEDVEDLDDDHENKPVGTFGVDEITSALVPKPSVEISANDVSRRDSAIGTSNDFDMAMSTAMVSEPHVLAVDDSLVDRAVISRLLRSSKYRVTTVDSGKRALEVLSLDRSVHMIITDYCMPEMNGYELLKRVKESAELRGIPVVIMSSENSPARIRRCLEEGAEEFLIKPVRSSDLSRLCSRLY >cds.KYUSt_chr1.25583 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153591767:153595179:1 gene:KYUSg_chr1.25583 transcript:KYUSt_chr1.25583 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFFRSYKNGFMWHDLAEDDLVLPATDGEYVLKGSELLDQPSSVVSSFACLNIQPTDMIFTVQTEMCREAVASLKITGGITGKDMLDQRRKEHKWNTITTSSKQKKRMAGEGDLKLLGGDASQFVARVRMALNIKGVCSYEYIEQDLFNKSELLLESNPVHKKVPVLIHNGKPIAESLAIVQYVDEVWDTAGAPILPADPYQRAVARFWAAYTDDKLLRAYIGVNVAATEEERAEKVKDTVAAISQLEAVLADGGKAFFAGDSVGYLDIVVGCHLSWLEAFSKMYGVVLLDAAKSPIFAAWAARFGETEAVKGVVPETDTVAEYAKKRRAEALAAKRKN >cds.KYUSt_chr5.36512 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230728585:230728878:1 gene:KYUSg_chr5.36512 transcript:KYUSt_chr5.36512 gene_biotype:protein_coding transcript_biotype:protein_coding MFWGCLGMRPFDHGVGTPVSSPQSRLSDALRLCWSLRHTVYSNAGGTPVSSHGLGYPTPFDRARALLRVLLLVSTNSTTCSLRSACLRCGFSLELPV >cds.KYUSt_chr1.818 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4362926:4366581:1 gene:KYUSg_chr1.818 transcript:KYUSt_chr1.818 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKKVLDDVKPYMMMVLLQIGYAGMYIVSVASLKQGMSHLVLVTYRNIVATAVMTPFALIFERFRGLRPKMTMPIFVKVCSLTLLEPVIDQNLYYLGNKFTSASFSSALVNILPTVTFIMAIILRMEKLRFRSLHSQAKVAGTICTVTGTVLMIMYHGPVVQFPWAHHADPSAAAAAQSSATWLAGTVMIIACCVAWAGFFVLQSNTLNSYPAPLTLTSIICAMGAVVNAAVTLVAERRNMGACWVIGLDTRLFTVVYSGIVCSGVAFYLQGLVTKTRGPVFVTAFQPLCMLITAVMGSILLKEETTLGSFCGHSVIGAAIIVLGLYSLIWGKSNDILDSKTAAENLALPLTSVVANGNSSNGGANGGRHIQAKAASGIGDTIAIGRVMYYGNIPFMPAVKTWVLAAVNPTAYTVIPFGEFHIFIGWTGQEAGFDSNNNLPAPSAEIKTQAGSGSTLSAEAAEFRIRLSAKADAEKFVKTCVGCQLYSSQPHVLATELKTMPITWPFAIWGLGMVGPFVTASSGYTCLLVAVDKFIKWVEAKPDGAITTKFVKDIVVRYGIPHSIITDNGSNLFQGKLNNTVERWVST >cds.KYUSt_chr6.10180 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62562403:62570475:1 gene:KYUSg_chr6.10180 transcript:KYUSt_chr6.10180 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTTMVTGLEGEKAPALQLETLLALGLDQRTAENALVNSKVTANLAAVIAEAGISGCDKSVGNLLYAVATKHPNSALVHRPALINYIVSTKIKSPAQLDAALSFLSNVGPEYLDIGKFEEACGVGVVVSIEDIHSTVAEILKENMEAILEQRYHINVGSLCGQVRKKHPWGDAKATKDEIEKRLAEILGPKTEADNVKPVKKKKEKPAKVEEKKVAVATAAPPSEEELNPYTIFPQPAENNKVHTEIFFSDGNIWRAHNTKEILEKHLIETGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERDGHCYLRFDDTNPEAEKKEYIDHIQEIVQWMGWEPYKVTFTSDYFQALYEHAVELIRKGLAYVDHQTGEQIKEYREKKMDSPWRNRPTEESLQLFEDMRRGLIAEGAATLRMKQDMQNDNKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSFENITHSLCTLEFDIRRPSYYWLLVALGLYQPHVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSATAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTASRTMVVLHPLKVVITNLEDGKVIDLDGKKWPDAPADDASSYYKVPFSRTVYIEKTDFRLKDSKDYYGLAPGKSALLRYAFPIKCTEVIYGDNSDEIVEIRAEYDPSKTSKPKGVLHWVAEPAPGVEPLKVEIRLFEKLFLSENPAELEDWLGDLNPNSKEIVKGAYAVPSLATAVLGDKFQFERLGYFAVDTESTLEKLVFNRTVTLRDSYGKAGPK >cds.KYUSt_chr6.25547 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161945956:161947898:-1 gene:KYUSg_chr6.25547 transcript:KYUSt_chr6.25547 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGARFHGMIGGGGRKEMQGNQFDGFHNMPYYHKIDEGSHMSVDSADNYNLSNYAGGSVTMMSVGGDSSVASNESRTVILKHPGLRDAPTASYSVGSSVFRPNRVAAHTLNEDALARVLMDPNHPTEILSKYQQWAIDLGRLDMGVPFAQGAFGKLYRGTYIGEDVAIKLLEKPDNDTERAQSLEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIVTEYAKGGSVRQFLARRQNKLVPLRLAVKQALDVARGMAYVHALGFIHRDLKSDNLLIAADRSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPQDCLPCLSHIMMRCWDANPEVRPPFTEIVSMLENAEVEVMSHVRKGRFRCCVAEPMTTD >cds.KYUSt_chr4.38725 pep primary_assembly:MPB_Lper_Kyuss_1697:4:239061503:239062838:1 gene:KYUSg_chr4.38725 transcript:KYUSt_chr4.38725 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRPIEFIIVMVLELRGHKNKCPKHGGLVLSHTKLQLERLDADRRLTRNYFADPPIYPDQWFRRRFWMGTELLKYIPEPIGSCTTPSDGHQQAGAAAPPQYGTDAKLAELTAGSGGRETEEQRGKFLVLRLYEALNARDARRAQELLAPDLEWWFHGPPAHQHMMRLLTGADTNAQFTFAPRSVDAFGSTVIAEGGADAGGQLYWVHAWTVGPDGVITQLREYFNTDLTVTRLSAAAAAASKNPSTIVSPSHASSSSSTSTSSAPSSPAPTPKWPKCLWQSRRADRARKSLPGLVLAI >cds.KYUSt_chr5.38119 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240823767:240824559:1 gene:KYUSg_chr5.38119 transcript:KYUSt_chr5.38119 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGEAPRQLPPGFRFHPTDEELVLQYLRRKALSRPLPASVIPVVHSASMPDPWDLPGANDGEAAYFFTLRQPPLRGGGGRRRRTASGHWKAMGKEKQVFVQLQGPSAGSGSGKRLLVGVKTALAFHRGKGKTRTDWVMHEYRLAAAAGAADQKKSPSDLQSCEWVVCRVSLKSRARRPLADAGSETTAGHREDDAGDHHQPSPSSSCVTDTSHASDHQEEVSSSTSQ >cds.KYUSt_chr7.26381 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164715987:164718394:1 gene:KYUSg_chr7.26381 transcript:KYUSt_chr7.26381 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFFHELQARSKRGRVPSANLLRQLPMDLAGCVRLRIPKNLAGRRELHTGLLLKRRGESGPFPWKNGAVRESRLPKLRPLRSCTGRGAIRFLGSVSARLLAAVRLLLRRFGCFIDRSDYTMGDINDSHGGGAAAAGAAFPVAMYVLFLSYLALLLVPCSDMMHVLSLMCVVKYACASVMLFSIINLTRKLPNNPT >cds.KYUSt_chr2.8705 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54706126:54723579:1 gene:KYUSg_chr2.8705 transcript:KYUSt_chr2.8705 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLVQLLVGFVVAWETLEFVLRYGLLLSALKLLVIVGFVTAASCLALLLLAKALTWVLRRVAKLSIGCRSYGLNYLRGITLSSPKGPLQSISIGEIRLGLRKPITQLGFTILTQGPILQLQISDLDIVLRQPAKSANKKKSPPRKSTSASSAKPKGKAKGQGKWRLITNVASLLSLSIVELKLKAPKAAVGFKELKIDLSKTGALHPVLNVDIHLIPLFVQALEVDDTENDTSVFNKLDWWVSGQYCSAMDTSDSSSFLFEDIALSCELHQRDKGIRVKNFDLIFGPIVVNLEEKLFTKKKLSASTVADQKDEPVVDNKPAAKSEGSKLLSLNKKIDLFPEKVSFNMSKLDLKFLPNDHGLSMNNEIGSISMRLMKSQPQNDSGEAATHLWLETDVTDIHLLMDGATSVLEVVKIATIVSANIPTQSTLPIRAEVNIKISGAQCNLIISRIKPLILFKSAKKKPLVLHEIPQQEKAPKEKLPLALVLAFSAPELSVVVYSLEDIPLFHCCLLSTHFSASKLVDQGPELHAKLGELKFLVVAKHQQLINESISGTLLHISSSTLDLEKDASKDNGVDQTKSALSVNISGVGMHFCFYYLELLCTTAMSYKGFLKSIRPPKKRPVQEDSSKKSTKSAKGAQLVKISVEKCSILYDGDMRLEDMSVADPKRVNFGSQGGRVMIIDDANGGPRMAYVNSTCLPDQKHVNFSTSLEINRFGVCLNKEKHSMQVELGRSRLIHKEYQFDDNPAVEVTLLDVQKAKFVKRSGGPNDNAVCSLINVTDVAVRWEPDPCLELLEVATRLKSVLHRLKLQNSVTEVKDETVHVDTSTIKDPTDHGQQEKAQKKRESVIAIDVESLKISGELADGVEATVHVGSIFSENAKIGVLVEGIALNFCDAQLFKSSSIQISRIPISVSGSLPDKKLQSAATCDWVIQCRDAYICLPFRLQLRAIDDAVEDTLRALKLVSAAKTSVLFPEKKSGSSSSSSKKSKSKSTVFRYVRVMVRDLTAEIEEEPLQGWLDEHMSLMKNVFNESIVRLDLLDQLDSAKYKDSPKAKLDGSASEKSNDCPDVYVDAPGVQSLEKLREEIHIQAFKSYYQACQKLSVSKGSGACSTGFQSGFKMSTRRASVMSICAKDVDLSLSKIDGGDEGMISFIKNMDPVCAKNDIPFSRLYGSNLTLKAKSLSAYIRDYTFPLFSGTSAKCNGRLVLGQQATTFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKTYCDIPLSFQRGEVSFGVGYEPVFADISYAFTCALRRANLAKRWYFERPEPPRRERSLPWWDDMRNYIHGNFSLCLAETMWHLPAATSPYEKLDQLLIRTGHIEIRYVDGYVSLSSKCLKVYITSLDSLAKKCTLEPPHHTVIPFLETPYFFMDITIEWGCDSGNPMDHYIFALPVEVKPRDKVFDPFRSTSLSLKWSFSLKPSTAEPVESQHKTLPVSNDSPTLYVGAHDLLWLTKWWNLFFLPPHKLRLFSRFPRFGVPRFIRSGNLPLDRVMTEQCIRFDATLLQIKNMPLQANDPAKGLTLHFTKLRLEISSSRGKQIFTFDCKREPLDLVYMGIDMHLLKVFINNIPEQTNSMDAQVESKNLHTKVTDNVFSDKGKTKARLTEKSRDDGFFLYSDYFTIRKQTPKADAARLSAWQEDGRKKSEKASFKSEFDGGDESDHAESGSDEEGFNVVVADNCQRVFVHGLKILWNLENRAAILSWVGGLTQAFQPPKPSPSRQYTQRKILEQKQAIKEAEMSNDGALNSSPSAPQSSDPQQMKSSDPPSSSGSSRPEQTSSSESVTKPSNSRDSEEEGTSLFMVNIVQPQFNLHSEEANGRLLLAAGSGRVMVRSFHSVVQVGQEMFEKTIGASNVSIGETKPEMTWSRFEVSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRKSSEVKRTGALLERVFMPCQMFFRITRHKGGNPELKVKPLKELAFNSPDITAGMTSRQFQVMMDVLTNLLLARTPRNRKSNLCYPLDDDDNDIAEESDAVVPDGVEEVELAKIHVEVKERERKILFDDIRILCTGSEVSGDPSQSPKSDDATSVVTGSKSMLVKRLKQELLNVLNDRKEAYCLLRSAMQKAAQVRLMEKEKNKSPSCAMRVSMRINKVVWSMLADGKAFSEAEINDIIYDFDRDYKDIGIAQLTTKLFVLRNGLANAKSDTVVAPWNPPSEWGKNAMLRVNARQGAPTDGNSVIESLLVDIYPLKIYLTEAMYRMMWGYFFPGDEQHPQKRQELFKVSTTAGTRRVKKGSSVTETTSPSNQSSKESTLAQKAELDRSWEENVAESVANELVSQIQGQSNAQTESQDAAKDAKLVRSARSTREEKKPVEPNEVKQSRPQKMMDFRNIKISQVELLLTYEGLPFAVSDVRLLMDTFHREDFTGTWPRLFSRVKKHIVWGVLKSVTGMQGKKFKAKSSSQKEPTTGLIAASDFNLSDSDEEGGNSDQLPAFLRKQSDGAGDGFTTSVKGLFSSQKKKAMHFVLKTMKGDGDQDFQGERSENDIEFSPFARQLTITKTKKLIKKHTKKLKSQVPQNAGTEQEHGPDLPPRGPSGNHAGSSSSSSSSSDSDEPIPVEMSPKD >cds.KYUSt_contig_686-1.856 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5225415:5229853:-1 gene:KYUSg_contig_686-1.856 transcript:KYUSt_contig_686-1.856 gene_biotype:protein_coding transcript_biotype:protein_coding MEPALATTLGASSVAALRPRAARASALTSVAPRAARCARAVRWEAGRSRGRLLRVSCDAAVAEKPTEEEDAAEEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLGVTDSALLADGGDLEIRIKSDPDAGTITVTDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKEVGSDNSLIGQFGVGFYSAFLVAEKVVVSTKSPKTDKQFVWEADADSSSYVIKEETDPEKLITRGTQITLFLRPDDKYEFADPTRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEDEEPKEGEEATEGEKEKKKKTITEKYWDWELANETKPIWMRSPKEIEKTEYNEFYKKTFNEFLDPLSYTHFTTEGEVEFRSVIYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEISEKEDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFPTSKNEENMISLDQYVESMPENQNAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDSKETKQEYTLLCDWVKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLNAACKNEPESTEAKRAVELLYETALISSGYTPESPAELGGKIYEMMSIALGGRWGRSDTEAEVTNTESDSSEGTVTEVIEPSEVRPESDPWRD >cds.KYUSt_chr6.2448 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14303975:14305672:-1 gene:KYUSg_chr6.2448 transcript:KYUSt_chr6.2448 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATCEIARLPEELLSAALARTSPRDACRAAAVSPAFRDAADSDAVWACFLPRDLPPLADGELLHPATPPSKKDLFLRLSDHDNPLLLPDKLVSMWLDRETGAKCYMLSARDLTIIWGDTPQYWTWIPLPDSRFSECAQLLHVCWFDISGKIPCKMLSQDTVYAAYIVFKVNENSLGLDYPVQEASISVGVTSLTRKVCLEHNDEDEGEAGAVPGHYWPVRPHPPQRTRRRNRRAVRHGENVGRPQKRADGWMELELGEFFNEGGEDGEVSFSLMETKGGNWKSGLIVQGIEIRRKKSG >cds.KYUSt_chr3.9485 pep primary_assembly:MPB_Lper_Kyuss_1697:3:55739204:55746587:1 gene:KYUSg_chr3.9485 transcript:KYUSt_chr3.9485 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMSDAPAAAVAAEPLPAVAEEGEAAEGEASTLTMERVAAAKKFIENHYRSHMKNIQERKERRFRLERQLATSEVPREQQINLIKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSGNIYAMKKLKKSDMVIRGQVEHVRAERNLLAEVASHCIVKLYYSFQDTEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHRHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLSEDEPMGDDNVKESMDVDSSLSQTTNGRRWRTQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHVKFPEDSRLSPEARDLICRLLCDVEHRIGGAGADQIKAHPWFHGVPWDKLYEMEAAFKPQVNDELDTQNFMKFDEMDNPPTRTGSGPSRKAKLNSKDLSFVGYTYKNFDAVKGLKHADMQRSSSLTRPSIGAIFGSNGMDSSREPNGKDTHMHTVSSGDAMSP >cds.KYUSt_chr7.28598 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178345579:178347966:-1 gene:KYUSg_chr7.28598 transcript:KYUSt_chr7.28598 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRVAAALLRRGKDQASALATIPRLPRGSPAPSLAPPRVGSGSCGGAGGHLLPSPHPRSTGGLFAASRVASFHAFRSLAPKSCFGQCTRKMSTASAALNPTVANAAANSALKQLVTKGPGAQKAVGIWLFGCAAWVFSMVILGGVTRLTRSGLSMTEWKFAGGLPPMSEEEWLLEFEKYKLSPEYMRVNKGMNLEDFKFIYWMEYAHRMWGRALGFVFAVPFGYFVAKGYVTRQLGLRLSALFALGGGQGLIGWWMVKSGLEEPASEYVEPRVSPYRLAAHLTSAFAIYCGILWTALSVVMPEPPAESMNWVKGAAKLRKLAIPVSAVVGITAVSGAFVAGNDAVCELFLSVAVCLAFFYPTRM >cds.KYUSt_contig_946.157 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000087.1:1129910:1133796:1 gene:KYUSg_contig_946.157 transcript:KYUSt_contig_946.157 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLEKWIENRNSPSPYRSYPPRSPSPSTTPSTAASPADEHFAADEIRCSTADLATEFCYCLAYNAISSNLVTYLTGVLGQSNVAAARNVSTWQATCFLMPIAGAVVADSYWGRYRTMVVSCSVGVAGMIMTALSAYLPLLVKNDASFTSSNVVSAQESVLFLGLYMIAVGLGGLRPCLMSFGADQFDDGDPSERVHKGSFFNWYVFNMNCASLISSTGIVWVQDHYGWPLGLTIPVAVLAVGLFFLVAASRAYRFQRTRGSPLTRVCQVVVAAVRNFAVDPPADSSLLYQMPEDDGAMKGAQRIDHTADLRFFDKAATVAVSDKEAAALAPSSPWRLCAVTQVEELKILARMLPLWVTIVFFYAVSAQVSSTFVEQGMAMDTAVGSVRVPPASMSTFDMLTIVLLVPLYDRAFVPAARRLTGREKGLSDLQRIGAGLAMPVLVMAAAATVETVRLRAFPGKISELWQAPQYALVGVGEVLTTIGQLDFFYNQAPPAMKTVCTALGFLAIAAGNYLSSFILTAVQWATTTGGRPGWIPDDLNEGHLDRFFWMIAGLGCLNLMAYVSCAVRYKYRKAC >cds.KYUSt_contig_686-1.252 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1633076:1637222:1 gene:KYUSg_contig_686-1.252 transcript:KYUSt_contig_686-1.252 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTLDPFNQCSASRPCPKHGSHVTIVSHLVVPHQLWHNCGSFLSFSPLDPTFLLRRVLGCCSLARELPASDSGDSGEQATSFSQVIDEASASNKPKKKTIHDALVRLYGTCQYLYVDPWKSKMEFEMILKEENKTAMEAFHHVLQKELTHLSSPSDDDDEPVSTKAKTSVRKASAEGRDKTQKQASVDKKGRKAVTSVVRKEGARQSGRTSAKKYSDASEDSTSQLHGTSASEDAAEVGDITSVNRRQRKKVSAVDGTDREIKAMVRDMLLGDVVARQHASVDDVIFRVCDATVGMVAHGTTEGGRSIKRTGGGLEADSSNDAKRPRKGNTKPNNSNSSPSRDVAAMEGREQHSSRQIKIMQKLGLVAPPGSPFKGLAAATHR >cds.KYUSt_chr3.19535 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120209009:120210282:-1 gene:KYUSg_chr3.19535 transcript:KYUSt_chr3.19535 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSSDQKNHVLGLPVLIVTVVLGLANLAFLLLTSSRDPGIVPRNARPPECGAEEQAVDMTTPSTEWVNAASPHLRVPRTKDVVVNGCTVKVKYCDTCLLYRPPRTSHCSICNNCVQKFDHHCPWVGQCIGLRNYRFFFLFISTSTFLCFYVFALSWLNITTEREEYGGSLLKSMRGEVLSVVLIVYTFVSVWFVGGLTVFHTYLMSTNQTTYENFRYRYDKKENPYNRGALANVAEVFFTRMPPSLNRFRSWVSDDEDAYGGAGGGPLSPMSGGLDLEMGRKGVHYSAGGVPAILQGMDYSEMEKMEGAGVHVKDRQAPPEAPDLFMISAARQHDVGCGGGDRSPPIVHDQDAERTLVSSNENSER >cds.KYUSt_chr6.30674 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194426881:194428872:-1 gene:KYUSg_chr6.30674 transcript:KYUSt_chr6.30674 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASASASSPEDEPELAPLARMTTVSRHYFGGASSEHDHTLRVDIVENIEEDYGMFVWPCSVILAEYVWQQRPRFSHSRVVELGAGTSLPGLVAAKVGADVTLTDIAHNTEVLNNIRQICGLNDANCKVVGLTWGEWDEPVFDLHPDIILGADVLYDSARDLTDRITNKDMTIKCLGNDDMQPCTLGIEPCNSFGK >cds.KYUSt_chr3.35398 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222314688:222315505:1 gene:KYUSg_chr3.35398 transcript:KYUSt_chr3.35398 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRQSRESLRSTVFASLSAALPAARRRRSFPPPLAAAVASPHPSPPPQLPPPLATAALSRNPAVQLSRDGLLSRSSAGSISVDRKFGLFRWIRRSFTTTPRRRGRSDSEAVRRRLCTNREAMRHLCALDLKAGSALPHRVDIPKVKNLSAEVAGTEGSLQGRRRQGQPRGHGKVLFELAVAICLPLPGTRVLRQYPHARGQCATALGGPQPGEAAALLCPKFIPRGDCCSLQVCALLTGGYEENVVVPVG >cds.KYUSt_chr5.39431 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249525239:249525946:-1 gene:KYUSg_chr5.39431 transcript:KYUSt_chr5.39431 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGTTKFAAECSSSSSLFAKQQPPPSPLTDLEVTVLSAEEVVLGGTVRRKPLDRGAYVVVHTDTAAARTRANDEDGHCNGYPYWGEALRVTVSDRATAIDVEIYRRKSDGRAESVAATRVPVADFSVGPPGHLHCLSYRLYDSGSRMGTRNGVINIRVKRLSGAAPTKELGKGGKTVDDAASGSDGSCCGGVAKEGKVPSAAVAGVAAAPAGVVMGFPVGFNAAGQPNGKGSA >cds.KYUSt_chr2.3552 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21336655:21337864:-1 gene:KYUSg_chr2.3552 transcript:KYUSt_chr2.3552 gene_biotype:protein_coding transcript_biotype:protein_coding MANALCGNGCVAVPCGDLGAAAALAESVAMGGAYRAKSSFPAGRVALSAKPQPRSLHVAAAGQMNGNLTIGKAMRWWEKVTQPNMREVQSAQDLAESLRNAGDKLVVVDFFSPGCGGCRALHPKIAQFAERNPDVLFLQVNHEKHKSMCYSLHVHVLPFFRFYRGAQGRVSSFSCTNATIKKFKDALAKHSPERCSLGPARGLEESELLALAANRDLQFTYSEKPAVVPIAEAIKMEAAAIGGPWLPLPPSATQLLPQGSDDALIPSGR >cds.KYUSt_chr4.25162 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158135638:158135889:1 gene:KYUSg_chr4.25162 transcript:KYUSt_chr4.25162 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIGDHGDPPPAVWDVHGHRRSGNSNATGGLPRVAAHDLSLAGHVQSALVCIDLRGRHLASSAPYEVGVIELQQVPPRKSNA >cds.KYUSt_chr6.31560 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199485732:199487992:1 gene:KYUSg_chr6.31560 transcript:KYUSt_chr6.31560 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPAEGSSPPPPAAPAPAPPAPAAAAAAREAISIEKAFEGKTLPAWNEQITVRSVVVSAALGVFLSFIVMKLNLTSGIVPSLNVSAGLLAFFLMKTWTSALERCGVFPRPFTRQENTVVQTCVISCSSIAFSGGFGTYILGMSRKIAEGFDEANNSLNVDEPSLCRLMAYLFLVSFVGLFSIVPLRKIMIISYRLTYPSGSATAHLINSFHTPQGAIQAKQQVSILFKSFMGSFMWSLFQWFYTSGNGCGFGSFPTFGMAAYERRFYFDFSATYVGVGMICPYIINFSLLIGSIISWGIMWPYIESKRGDWYDASLPNSSLHGLNGYQVFISIAMILGDGLFNFFSILFRTSYDMYLKRTGRAKATAAGIPFAGAGIMNANERQALSFDDRRRTQIFLKDQIPTSVAAGAYLLLAGISVLAIPHIFRQLKPKHVFWAYVIAPIFAFCNAYGTGLTDWSLSSSYGKLAIFIFGANIGAKDGGVIAGLAACGLMMGIVSTASDLVQDFKTGYLTLTSPRAMFVSQVVGTGLGCIISPVVFWIFYQAYDIGLDEGYPAPYAKIYRGIALLGTNGWNELPKYCLRFCLAFFILAIAICALKEVANSKCWWIRFYIPSALGMAVPFFLGSFFTIDMCVGSLILYMWQKSNPLHAQTFAAAVASGLICGDGIWSLPSSMLSLGNVNPPMCMRVFDAETNYQVENFLSTLPEVVAT >cds.KYUSt_chr5.30117 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191046562:191048906:-1 gene:KYUSg_chr5.30117 transcript:KYUSt_chr5.30117 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQEAPCHLHSPAGTDPPTPHPRPPLPAARSRPLRLAFLCISVSMAAAWFVEPFAAAAAAAELPAPAVAATVLLLAACFHGTVHLVHSFAAPRRPPAFAAPPRRSPSPSRSGSRPAFTVPGELPRSTYRLIAEWHSGFGVAKYELLALRMGMLFQNPNAA >cds.KYUSt_chr1.40265 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246587902:246589247:1 gene:KYUSg_chr1.40265 transcript:KYUSt_chr1.40265 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTANAPMSSPKLGEGSIATPWKQLHGSCSWEGLLEPLNADLRASLIAYGELAEAAYDGFDADENSPHAGSCIYGQAGLLAASGVSHPEYYTITKFLNATWEPLLGQSLESMAIGNALFVQQPEKPGRSESRTNWIGYVAVATDEGAKALGRRDIVVAWRGTVKILEYPKDVEFRYKSAAQVLAGDFSDAKVRSGILNVYTTNNPVEKHIMPMIVRNSARDQVVAEVRKQVEAYKEEKTSITVTGHSLGASLSTLNAVDIVANGYNVPGSRPEQTPCPVTAILFASPHVGDDNFKSAFASLPALRALHVRNAGDKVPPADENMDVATAVLHINMDRSPYLNLRPNDDVTRHNLECYLHGLAGDQGDAEDFEMVVDRDVALVNKNADALKDDYPVPANWWVINHKHKVNGVVGRWTFDNIKDL >cds.KYUSt_chr2.53455 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333518971:333523226:1 gene:KYUSg_chr2.53455 transcript:KYUSt_chr2.53455 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCPCPCSISASASSPLSLLPSIPAEPRRSSFAFPSSRGGPRRRGLRVVVEASSKDEKPEEGDPAFNPFGFVTDNPSSRTAIQLPESPAQDGNVGQMLYRTEDKGREYGKSVRSGEFRWFVRETGSPDARRGTIMFIHGAPTQSFSYRTVMAQMTDAGYHCFAPDWIGFGFSEMPQPGYGFDYKEEEFHKAFDELLGTLNITEPFYLVVQGFIVGSYGLTWALKNPSKLRKVAILNSPLTVSSPVPGVIKQLRLPLFGEFTCQNAILAERFIEAGSPYVLKSEKADVYRLPYLSSGAPGFALLEAARKANFQDVLSRISAGFSSNSWEIPILLAWGESDKYLPLSIAEEFQKSNPKVVKLKTIEGAGHMPQEDWPEKVVSALTSFLF >cds.KYUSt_chr7.36421 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227615068:227616834:1 gene:KYUSg_chr7.36421 transcript:KYUSt_chr7.36421 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLLAVALSLGLLLLGSGGGGFFVSGAEELRNTSSSSSSPQLSTVLATESKAESAGARWPSVEQELEAARAAIRRAARRRHGDGSNVSSAAPEWFTRDDVDYALLARVYRNPAAFYRSYVEMERRFKVYVYAEGEPPILHAGPCKDIYTIEGRFIEQLELITGGVRTWEPARAHAFFLPFSVAKMVKFAYRPKSNDKTPLLSIVADYVSVVASRHPFWNRSAGADHFMLSCHDWGPEASRGDPELYANGIRALCNANTSEGFRPGKDVSIPEINLYTGDTPRQLLEPAPGLSSRPFLAFFAGGRHGHVRDFLLQHWKGRDPDTFPVYEYDLPSTTGNGTTGKRNTGKGARGPDRQRDYFSYMHRARFCLCPSGHEVASPRVVEAIHAGCVPVLVSDGYAPPFADVLRWEAFSVSVPVADIPRLKEILERIPTAEVERLLDGVRLVKQHFTLRQPPERLDMFHMILHSVWLRRLNFRLNQ >cds.KYUSt_chr6.10020 pep primary_assembly:MPB_Lper_Kyuss_1697:6:61818594:61820134:1 gene:KYUSg_chr6.10020 transcript:KYUSt_chr6.10020 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTSVSATLAPPTPPSPAATRTTPRRPPANAAPLAAATVASTVLLAVTPAAHAAAFSKEDVAGSLTTVVDTVDTAIGIGGKVAGQSVAVLRALGEAVKPALPVLQSAGEQAVKLASPVVSDATKQATEALQGAGVDPAPLLSAFKTLSDAAQPAIGAAKPIASGTVETIGSLGSADYVVAAGAAFLAYLLLPPAWSLLSFGLRGYKGDLSPAQALDMVTSQDYVLIDVRSEKDKGKDGVPQLPSNAKNKLISLPLEELPNKIKGMVRNAKRAEAEIAALKISYLKRIGKGSNIVVMDSYCDNSKIVAKTLNSVGFKNCWVMAGGFSGRKGWAQSRLGTDSYNLSVVEVVRPSRVIPAAPDRFVTASSTSSPSRTTRKLLPGSVDG >cds.KYUSt_chr7.19056 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118057306:118062735:1 gene:KYUSg_chr7.19056 transcript:KYUSt_chr7.19056 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQADDQNYTASPAKDERTAEQKKIDDWLPVTSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLVISWVVTLYTLWQMVEMHECEPGRRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGRSLKKFHDVICDGKCKDIKLTFFIMIFASVHFVLSQLPNLNSISGISLAAAVMSLSYSTIAWGASVDKGRVANVDYSVRASTTPGKVFDFFAALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVIVAYIVVALCYFPVALIGYWAFGNSVDDNILVTLNKPKWLIAMANMMVVIHVIGSYQIYAMPVFDMIETVLVKKLKFPPGLTLRLIARSTYVAFTMFIGITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKRFSLSWCCNWVCIILGVCLMVLAPIGALRQIVLNAKNYTFYS >cds.KYUSt_chr2.33482 pep primary_assembly:MPB_Lper_Kyuss_1697:2:206733244:206737172:1 gene:KYUSg_chr2.33482 transcript:KYUSt_chr2.33482 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRGRVVLRRIEDRRRRGICFRKRQAGLVKKAEELAVLCDADVALLIVSPFDGTFHRFAAPATLAHLGQLWVRRECGAARGHSGPGGSSGGSFLVLHSAHCP >cds.KYUSt_chr4.16426 pep primary_assembly:MPB_Lper_Kyuss_1697:4:102131293:102133168:-1 gene:KYUSg_chr4.16426 transcript:KYUSt_chr4.16426 gene_biotype:protein_coding transcript_biotype:protein_coding MWITRSNRLRSKSKATKSLVTSLPPFGPPRQQNHGHVLRRRLGHPQAPVRCAGEVRFIEDPVVAAASAPALLLSERNARRRGLGARATGAAGPSPPRQIRPDISLAAGGQHPSSFLAGNPLELEFQPLWFGQLQAVDLESIEPSLRADTAVGSSLREDKAEPFANRDAIIEALPSYVDPYIKVPRVLNSE >cds.KYUSt_chr1.37469 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228778803:228789759:-1 gene:KYUSg_chr1.37469 transcript:KYUSt_chr1.37469 gene_biotype:protein_coding transcript_biotype:protein_coding VGRERQMAFCLMQKFVDLKKYGTKVPIISAFSLDHVRGFVFVEAEKACDVTEACKGFCNVYVSRSSPVPAAEVPSLLSPRAKPAGVSPGTWVRMKAGNYKGDLAQGGAISLKGAPVPEPRLISSQELELSHPFHDLADPTRLFAPHIERKRDRQTGDVFEVLDSLMFKDGFLYKRVALSSLIYWGIQPTDTERVKFSSSPSTKTSADDMDWVSSVYGQKKRNVSREPDMNPSSSSKDKCSKASNLKGSSSSNLKGSSSAENYDDDGDGDDDQFHLHDLVLFGRKDFGVIIAVEKDGFKILKGGPEGTAVTVRKQDINRGCVDKMFTAVDHQKKTISMNDTVKVLEGPVEEDSPIPMFSDSGFIPSEQNEQRSNERPYRAPREQLFSIGEMLRIRKGPLKGYLCRVIRIFRNDVTVKLDSLLKIVTVQAEFLSVPTKRGDNSCSAPSSSFGNHDTSFLGSETAKTPWDNGFTSLGSDSWQPSSSAFPAQNADGEAEVDPWCKKPTSADGDSDPWGNSTTQKESSSDDAWGKPAGGSSGFDAGGSSWGGAAVNKDSEKSDNWGEACKVVDRATGGDTDPWGSKVKVVKEADSWGNLPGPPEKEEDGQGWGQPFGNSNQDQEKGTGSKDTDKSGSWDTAVAIRVGSDDDAWAKSDALPVAQDDAWGKKSKDTNGDQAAEWNKAGSSDQLGGSDWSTPKFSGGAGSSSWNKGEAVGGDSQNSTWSRPAGNFEAGRGFGQGRGRGRGRESGDSGGRNDQESWKGSWDNDNSAKPSWRSGTQVDNEVGDSGGYRGRGRGQYGGRGRGRDNGGRNGNGGGSEFGRERSSGDTPNWGNSQPWSGSEGTKTCDENQTSTWNCSEDKKLSGGEEDDPWGSKVVTKGEQDDPWGSKVVTKGQEKQNDAWSSKVTSTAGAENNDGWNTKAKELSCSLGSKSENAASGEEGRDDPWSSKIVSTPKGKEQETDPWASKVSSTAAVEKADTWGNGNGGSWNTSGSSVGHQESSWGKPSSSSGDQEPAWKKPNYGDDKTGFGRGGFGAGNRGRGRGRFGDSSWDGGSNINDGSGGERSEGGRGRGRGRFGRGDRNQGNNFGSGDRDGGSWSSGRGNGGRGGGYRNSNDNNEGRSSSQDGGGGWSQSTDWNASKKGNTEGDQAFSQGNSSWGSDKNDSWGAPKPSGGGDQAGKKDGNNTWNQNKPPSGGGSSILGQPPSGGGSSILGQWGGPPGDTTAGRGGGSVGGGSWGNNEEKSSWGSDKNGSWGAPKPSGRDEAGKKDGNNTWSQSKPSSGSGSSILGQWGAPPGDTTAGGGGSWGKSSDDGWNSSKGTGGSEGGSRKEGSSDKAEGSGSQGGGGGSSWDKAGGGGWDSSNKGGDSGSGGGGW >cds.KYUSt_chr5.37945 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239727341:239732946:-1 gene:KYUSg_chr5.37945 transcript:KYUSt_chr5.37945 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPIKTPRPPPWDFDIKDLPDRTITARRMAGDEVKPADSANGDAAATDPQPTRLPDDVLANVLRRVPPRWLAASRCVSRAWRDAVDGRRLLRADLLPLALAGLFIHFDDHKFPEFLARPSPRAVSGSLSFLPSTSPHCGHIWDDDCHDWRDYSIQDHCNGLLLLSNNRVVNPATRWWNTLPTCPSEHDTGSVLYREHLVYDPVLSPYYEVFMIPTLGKNRPGDKVDTSEWAPSLCKMYVFSSKSGCWEEKNFAREGDAAGIYGEMQVSSHGRFNAVYLRGTLYVHFRANFVMRISVSNNTYSVIKPPVDMEDDTRDDYYPYVQIARSKRGLYFVELDKGWHRMKCWLRVWILNESCGQMEWILKHDKDLKLVLAPHRFYQRVQWFLEDINYNMFLSSSSPEDHKKATIENKFEWNSDNDEDLDMVGECYLDEEHYDNLDPEDIEDDKSQGDKRLRYSGPDLPEVRWHALAPASDVRARALRAVAEGPGPTSSPGSLPALRVARRYSSMGFGGQHILARPVGHSSTTTASTSASEMAEDPVTYEDLSEEYKKKYDEIKALFETDLIGSFQRTRSHGIRWKGFSAEGALDGVDLSTPSEELTRSLRQEVNHKVAHSLHRHSESLVNALERVALRVVQEIMKHQYSPSGPALGTHQGEKQFQTRPPLPFALAAPEVPSSPAYVVYKIGGDPCDYQFLYEPPKEIPHGYMCTYVPDCNNWARTNQVATVGISGTA >cds.KYUSt_chr5.1129 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7786289:7787938:1 gene:KYUSg_chr5.1129 transcript:KYUSt_chr5.1129 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPWVPFFAVVLATVLFLATILRRGGHRAYRLPPGPKPWPIIGNLNLMGALPHRSIHTLSNKYGPLMYLRFGSFPVVVGSSAEMARFFLKTHDQVFIDRPKMAAGKYNTYNYSDITWSPYGAYWRQARKICLTELFSAKRLESYQYIRTEEMRALLHDLHEASGRVVVLKEFLSTMSHNVITRMVLGKKYLHTNEGDSGTTLEQCKWMIDEWFVLNGVLNIGDSIPWLGWMDLQGYIKRMKKLTKMFDGFLEHVVEEHEERRRLEGDSFVAKDMVDVLLEIASDPNLEVQIHRDGIKAFIQDLIAGGTESSAMAVEWAMSEMLKKPELFANATAELDRVVGRGRWVTEEDIPSLPYVEAIVKETVRLHPAGPMLAPRLSRKDASVGGYDIPVGTRVFVSVWAIGRDPALWDAPEEFMPERFLGSKIDVKGHDFELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFAWRLPDGMVKEEISMEEIFGMTTPRKFPLEAVVEPKLAAHLYTMA >cds.KYUSt_chr7.2915 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17238506:17239148:1 gene:KYUSg_chr7.2915 transcript:KYUSt_chr7.2915 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPRGNANTSTKSFKQAFLKNLLLNLHALTGTSASSFDAISLLEKKRAVKSSADVAMAAARDDSGDAGAARWPKAILARASRACKMRRCRTIVIRCRGLKRSLTRSRAAAASSSDGGDAARRLVRRTTMALREMIPGGRDAAVDEATLLREAMDYVVHLRAQVDVLRQVSEAVQRSSFIARQ >cds.KYUSt_chr5.6292 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38902410:38902688:1 gene:KYUSg_chr5.6292 transcript:KYUSt_chr5.6292 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQRLTYYNMQWYAGSPHSRTPALAPVDRRPPAFRAGVLVLPSRTSGFLLPSRDSRRRPPTHLVAGVPPVLVSLVPFVPFVSSSSATPPEL >cds.KYUSt_chr2.28258 pep primary_assembly:MPB_Lper_Kyuss_1697:2:173434469:173436292:-1 gene:KYUSg_chr2.28258 transcript:KYUSt_chr2.28258 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGGDGGDVDEDDDDGGGDDVQLDDGDDGIDFPLREGISPADLSVPESSFLSGVLRPAEASVTLRDVPLELSDANWTYHSPLPPSRPALQSRLGDRGAAPLVDETPYERGLRLERAIREAPPLLPEERAQGVSFLDRERRREQELRDAALHAAAGTSASEQGLAPEVAVDVEAAPPACERGIIYRTPEVESAERALRWGLVAFVSGTRRTVSCAAASAAFVERFPELEGRFSVHRFWPADLLLVFDSRANRDVLLTASANPFDGRDFTLRFGVWNRQLQATRRRFRVHLEVVGVPPIAWNLDTARFILGSSGWVECLGSETANRADLGTFCITAWMDNLVGLPRSKQLWLAEPLVFDDDGDDLLLPVEAMIPEEVALLDYDATVHIVRVEDTSGEAGRPSAGGGHDTDPGGDSGGRGFGGGRGPPPDDRRRPPDTRAGGAPAAGAHYLRYFDEQPMTSDHLAACLALFGWHPDVLPVADDDLVV >cds.KYUSt_chr2.3049 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17895655:17898387:-1 gene:KYUSg_chr2.3049 transcript:KYUSt_chr2.3049 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPSLLLQRLLVLLLLLVSVSCLFVRGSAATASLGGVGDEAWSSSRDAPVDLSGVEIAVVSSSIASAKDWSKECESQYRKKISSLSKVGHKNFMNLLGYCEEENPFTRAMVFEYAPNGTLFEHLHVREAENLDWMARLRISMGIAYCLEHMHKLNPPAVPRNFSSKTIYLTDDYAAKVSDLDFWNGRKGSDSVTDDCTMLDTDSIVHQYGIILLETLTGRAQFPEQDLPLEKWASLYFEGKMPLAELIDSSLGAFPEEAAAALCDVARSCIDPDPSKRPQMAQVAARMKEITSLGPEGATPKVSPLWWAELEIMSGEAS >cds.KYUSt_chr1.7845 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48204350:48207521:-1 gene:KYUSg_chr1.7845 transcript:KYUSt_chr1.7845 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSITAGCRGSNKRLDVTPSHVEEGSNQRSNQVSDEAEQTTFKWRIDNFSSLLDRGNGWTTSRMFEISGLRWYLRLNPRDTKSGDKEEHVSIVLALSVTSVAPNRVVEATFRFRIYDQLYGKHHQQQPASHVFEIASRCCRTPCIVPLAALKDKSSGFLVNNTCVFGVELIKVVAAKANYKSEVLKINSVCNAPQVYTWNIEDFFTLKGPSYSPEFELCGHKWFITINPSDENGTYISLFLTMKVPDTLHRNSANLVQFSICIKKLETGDNNGEKGKGRCEFSKNYPTWGFRRFKTLEDFKDPTIGYLVKTKCCIEAQGQNVRLILDQANWLRLDQLLRRSAHYCMKLEKTDEKNVPYNTITTRKGLVRNAAQRAQRMGWQPSSETSVCRPTPPPQCKPSVHLEFERRKGVPNQVCSGQADGALEQQAISRFGRELTIASPLPSDLVRIVFSTLLELHNSHCSPQAQVLNHRRNR >cds.KYUSt_chr3.8184 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47135451:47136245:1 gene:KYUSg_chr3.8184 transcript:KYUSt_chr3.8184 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLHQGCNQRILHFDIKPHNILLDYNFAPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDQGLDSQNEVYLPEWIYEKVTTGQDSVLTRELTEEEKVKMRQLAIVALWCIQWNPKNRPSMTNVHVRFNPYLTVKLRMIRWLPYYVVEVIYPHSSNSAMQLSSLDS >cds.KYUSt_chr3.41094 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259301265:259307613:1 gene:KYUSg_chr3.41094 transcript:KYUSt_chr3.41094 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGLPPLAVLLLLAVIVAPLSSAVRPVSDAHRSAAAELFTAFPDGSLGDLETTYEAVSTFKILGVQKDKSLDGKACKLASDTLSSSSSSAKDLFHAARISGVLGCSIDAGVYDDVASRLKAVIKDTNSLQEFYYSVGGLLSLKEQGHSVVLSDADSIFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALAGVVSLSDAEVDPSMIGVVKNDIVKLFGTIKSYDDGTFYFDEKNVDASEYKGPITTSASVVRGFTSFANAVSGKLNIPGEKILGLAKFFLGIGLPGSAKDCFNQIKSLSLLENNSVFVPLILSLPSKVLSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITADKELQYDVDNNVHYLDIDALKIDVGKYSLVFEISLQDPEHETVYTTGGRNTETVIVTGLIKVDKAEIGIAENDAGTSESVEKLDLLKDKQISLSANHLQKLHLSFQLATPLGRTFKPHQVFLKLKHESGVEHLFVVPGSARQFKIVLDFLGLVEKFYYLSGRYDLELSVGDASMENSFLRALGRLELDLPEPSEKAPRPPAQAVDPLAKFAPQKEISHIFRVPEKRPPKEVSLAFTGLTLLPFIGFLIGLMRLGVNLKNFPSLPGPAAFASLFHAGIGAVLLLYVLFWVKGPILPFIDIDETKDCLRGRCKQKFWILNFRAAAVTWILS >cds.KYUSt_chr5.16163 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104053848:104057784:1 gene:KYUSg_chr5.16163 transcript:KYUSt_chr5.16163 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMASALSSSDNRAQAALARLDALESDNAGAEVVDLNDDEYGSTDEEDHVLMQKKQSKNMKRKTRQGKALEKRAVRSFMDVLQECSGKW >cds.KYUSt_chr7.13578 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83731048:83735346:1 gene:KYUSg_chr7.13578 transcript:KYUSt_chr7.13578 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLRTCLGKAKTCIQKLRKGYETLQPSVSSSPVHGPRSYPVIGCLVAFYENRRRLLDWYTEMLSASPTQTIVVDRLGARRTVVTANPVNVEHILKGNFANYPKGKPFTDVLGDLLGKGIFNVDGEMWSAQRKLVSHEFSARTLRELEIAVLEAEALDRLVPALEAAAEPGGGAVDMQDVLRRFAFDVICRVSLGVDPGCLDPALPAPRLATAFDAAAGIIARRGAAPVAAVWKIKRALDIGSERRLREEVKVIHEAVMDLIRSRKKERFLVNGARNDLLSRMIECGYADEEIRDMVISFIMAGRDTTSSALTWFFWLLMRHRDVERDVLDEITSMRQQLQGSSSSSNAGEGFDLDDFRRMRVLHAALSETMRLYPPVAWDSKHAAAADVLPDGTRVGAGDRVTYFQYGMGRMEAIWGSDAADFSLDRWLALPSDGMAGGVSPFKYPVFQGGPRTCLGREMAFAQMKFVACAVLRRFDLRPVDEGRTPVFLPLLTSHMAGGLNVTVRRRVEDARHGTHDAATTAGKTH >cds.KYUSt_contig_1790.330 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1667463:1667891:1 gene:KYUSg_contig_1790.330 transcript:KYUSt_contig_1790.330 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLGDPELRPAEGHVVIASNAAISQVEDSLVNQDAFICLGGSRSHVNNAEVAMAIAEHEKLEPDFFRVVPFYPDDFFVSFTFQHHRELADGAASPTPALQRSTTTCTCALKGRLSKPGTLMSSAASSARTVWSTTSMLPPP >cds.KYUSt_chr7.14242 pep primary_assembly:MPB_Lper_Kyuss_1697:7:88002954:88003364:-1 gene:KYUSg_chr7.14242 transcript:KYUSt_chr7.14242 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr1.2742 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16385794:16389465:1 gene:KYUSg_chr1.2742 transcript:KYUSt_chr1.2742 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLFLLPALLLAVAAASTKTAESLTVAPNCQASCGNVDIPYPFGIGSGCSRKGFEIDCINNGPVLAGTSLQVVHLSVDPAQSLVILPVAWMCYNASKPSTWEDYSYGTTEMNKEGVYRISNTHNMVVVLGCNTFGRTTSTSTEGTNNPYAYYTGCMTYCNNSASAQDGQCAGVGCCHVDIPPGLTDNWFEFRTYDHTGMMDYSPCDYAFLVDKTNYTFKRSDLFKDKNRTSPVWLDWAIRGNNSVSSDILSCTQAAKTTTPKYACVSNHSKCVDTTNGPGYNCSCSDGYEGNAYVVNGCTSIIGGILAIAIMAFIIIIRKERRKTKEFYEKNGGLTLEKAKVIKLFKKEELKKILKSGNIIGKGGFGEVYKGLVDNELVAVKKPIRSNVMESTQFANEVIIQSQVIHKNIVKLIGCCLEVDTPMLVYEFIPKGSLDDILHKGDNKVPLSLDVRLSIIKEAAHGLAYMHSQAHTKILHGDVKPANILLNGNFVPKLSDFGISRLIAIDKDHTANVIGDMTYMDPVYLQTGRLTEKSDVYSFGVVILEVISRKKATHRDNNSLVASFLECRKEGKKATELFDQEIAATEDLELLEALAGIAVECLNIDVDPRPSMTDVVARLATLNRSLL >cds.KYUSt_chr7.28477 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177705165:177707343:-1 gene:KYUSg_chr7.28477 transcript:KYUSt_chr7.28477 gene_biotype:protein_coding transcript_biotype:protein_coding MLANQGVRIASLEDDGGGEEEEHRLGVPDGRRRHGTDGRGDEELVSGPPQRHPPSPSGQSFVVSAGSYLVYLTIYLVDCGCFDVHCRCFLVGRRSRFGHGVYCPIRKKNTVIWPAALSTFMLNWLCELVKEGMTGLKFFKNCDLKIEETHRRDADLINKPMENSAQMEKIYARHVQLLRSLPPRSTML >cds.KYUSt_chr4.7311 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43321991:43327990:-1 gene:KYUSg_chr4.7311 transcript:KYUSt_chr4.7311 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFKRLQTDPPAGISGAPHDNNITLWNAVIFGPDDTPWDGGTFKLTMQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSVQSLLCDPNPNSPANSEAARMYSENKREYNRKVREIVEQSWTAD >cds.KYUSt_chr2.36440 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224920484:224922593:-1 gene:KYUSg_chr2.36440 transcript:KYUSt_chr2.36440 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISLVKAPIGQGLRPASHNPRRGGGVVRSSLQGAVVASRAEWLTSCAVLSSKVAALVSHSTNSHVAVAAAANGALLDLLPVSSTNGGARNLPAPLRIADLCPAPMHGSELRVAYQGVPGAYSEKAAGKAYQGCDAVPCDQFDVAFQAVENWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGLRKEDITRVISHPQALAQCEHTLTRMGLNAAREAFDDTAGAAEYIAANGLRDTAAIASSRAAELYGMEVLADGIQDDCGNVTRFVMLAREPIVPRMDRPFKTSIVFAHDKEGTSMLFKVLSAFAFRDISLTKIESRPYRPARLVDDASSGTATKTFDYMFYVDFQASLADPRVQNALAECRVFNVASRGTTANTFEVMFYRNFQASLADPRMQNLLAEVQEFT >cds.KYUSt_chr4.7703 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45946299:45946502:1 gene:KYUSg_chr4.7703 transcript:KYUSt_chr4.7703 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGEEEHNGPPSLASYRLSSAADPREEEQDGLGSEGSGVGTGMSSGLPSVTHQLDAVKWSNARRR >cds.KYUSt_chr4.46300 pep primary_assembly:MPB_Lper_Kyuss_1697:4:286188831:286195093:1 gene:KYUSg_chr4.46300 transcript:KYUSt_chr4.46300 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAANAPDPARTSRPPLTPALDKPNSGAAPRRPARSTKPVSSRYLASAASPASSTSSTSSSSSSSASASSRRSVSAQRGRSSTPPPPQHATSPTPPAAAAAVTATTMRSLSVSFQGESFFYQTSRAPRAASPSSPGGGGGRRGPTPERRKSVSSVPEAENARPQHRWPAARPKASDPLARSLDCNLDRKDSILAAVQLLRRSMAFDSTSSLSPSDPAVAGAPDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPDAGLPLPSSSGRRSFSDSPMSPRLPGRSPSPSRGSRGMASPSKGRGGEASPNGHIVQTAANAPSIISFAAEVRRAKKGENRIEEAHRLRMLDNQHLQWRCINARTDATLLVQSFTAEKTLHSAWKEISKLRDNVSSKRCKLQLQKQKLKLFAILRGEISYLEEWSHVEKHHSSSLLAAIEALKASTLRLPVVGGAKVDAQCVKEAVNSAVDQSFAVLFAPLNAKNDARPSLTGLEEDVAVVCSLVTVLQRLEIPVGALIVFNLVTGRMLLKKDCMVVSPLARVMLIMPELQELEPRELCGESVPPLSMLVQEVDLLGSSSVASTPQSLEPGLTLGFVDSEVLFAKELSGLLISLEVTIPRCGKEIACLLSGKDTGDTIKKVREYLRSKSKKSGATRKVCATA >cds.KYUSt_chr4.39508 pep primary_assembly:MPB_Lper_Kyuss_1697:4:243858214:243859560:-1 gene:KYUSg_chr4.39508 transcript:KYUSt_chr4.39508 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTRGKCIGKGAFGTVHLAVDTATGRAFAVKSVDAKGGAPAAAMACLESEIRILKRLSSPYVVAYLGDDATASSRNLHMELVPGGTAADAVTATGGLGERVARRVLRRVAAALCYLHDVAGVVHGDVKGRNVLLGSDGCDGAKLADFGAARLVSEAAPRGPRGTPAWMSPEVARGGASTPASDVWSLGCTALELLTGKRPWSELGGVLEVGELLLQVGFGGKRPELPSCLSDPCRDFLDRCLLREAGERWTCELLLRHPFLAADAHDDDYAGEPSPRAVLDWSAATDSDSVESSGCSEADMEEEHEVMARAKGRIAELASDRTRTSWARELDECPTWASDTWAPLPSHEMSTVDDGGNGGAGGPGVSGDGAVVVTGGFCGVNCRVCCDDRCSIHECWSGADRPSLPRFAIASRLVSCILSHLIQSKILSELSGSIFLLTASVHGFDS >cds.KYUSt_chr3.11092 pep primary_assembly:MPB_Lper_Kyuss_1697:3:66011868:66018956:1 gene:KYUSg_chr3.11092 transcript:KYUSt_chr3.11092 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRSKLCSQSGSPSGSASSSSVPLLRRRGLISCLHSPTCLPQGAGDDAPTVDVEPPLPDQDLPQAIYDLIVPFYEEAFHRLPCETMPDLVGRLTAGGLCLGLLDPVSNIIINTLALLPEDAAAAAAAAASSSPPAGRRSKRVVRKTLAKSNSGVYAWHSLAGRSYYSLVGFLMAYFGWLTEEQAIRYIYRANANLLLALKLIQHDLYAEVEEPLDPDSERASAALKWAATRAGHPSPTTLAQAMTIRLKDADFDLLQKQFSADGTPPPIMAKDAEAISRIVRRPLYVTGISHDAIDKLAFHVGHNLDVMGAETEAIPTAGTISTTYSFHSRPIWSVQSELSDELEDCLDEAVRQSVLFKAPCGDDCDYRQSLNMYLHGMIHNFYIKALKLLPTPSGSLMRGFLIAGHCYGSMDPVSNIIVNSIWFYMHGSFLPLSEQRKMKNYIDIFDPVALLPSQVYSLKGLTELAKFVDPQFLVPACALETLCIARCNIVDMLSSSSAERLEKNPFHEAATVAEHPLPLQLGELHQRLLLMPYERNKLLSCITRAQTSKTVLLLEEMTPVLEAVLWTCINPVPVLGPAPRLCAKALKMVANKRSDYEENRRWFRSQIEQVLKDYTSKHFWVARCYYGVDSSTKIVYPDRSEYIYHDITKYGTDRVHALLEMDLVYFSSKIDVQLAKHLNHAASSAQFEELAQAYEALSDTEKREIYDQYGEDALKESAISTTPFWPPHSTKAPEGKASLSKPNCVRIKVAVKRPDLVNGVNGVYINGQGYYIRWEVEGDYAPPPSSNDPDNARKGKDDTGDGRGERNDGDQEDNANKDTSRDDDGDAGYEGIDYDGAEGGGMKKDFDG >cds.KYUSt_chr1.42371 pep primary_assembly:MPB_Lper_Kyuss_1697:1:259447870:259452352:1 gene:KYUSg_chr1.42371 transcript:KYUSt_chr1.42371 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSCRFEFLHAAAVLGLRPWLTPAGDSTVAVLLPRSSFILLFLARSVRPLLSSFSPLFTGRPSAGIAASAARGCFIWPAVRLVLWLFGIVSCCCDSFLRLLAAVTLYSRFRRGIMDVEWGNKAIVMKYLFKYVTKGSDFSKLYLERIRGKGVPVGSDGQDNHTVIDWGHLDAPASTDMPTSAVGSVMLLPPSVTKPPGPSDGKCDIDSQVKRALFTGSLPEHGIGAVQELAPRVEPEEVGLPVVEAPESSPSPSVAPSTDKNSNTRMQSRDQADGLGENKKS >cds.KYUSt_contig_662.55 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:323072:323886:1 gene:KYUSg_contig_662.55 transcript:KYUSt_contig_662.55 gene_biotype:protein_coding transcript_biotype:protein_coding MENKVVSRVVITGVLMLCLGLLHHAQALGCSPSQLQCIEVCDDEDIPHEQCVKECGCSELNLLFHSKPAGLGLQRAQVGGCSPSQIQCVEVCDDEGTPHETCVKECGCSEANVAAGWVARPSATTQTNVLDISAGVKEQCKDVCDSLCAKGIDIAATAA >cds.KYUSt_chr2.18897 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118873403:118874047:1 gene:KYUSg_chr2.18897 transcript:KYUSt_chr2.18897 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQIPTAFDPFAEANAGDAGAAAGTKDYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDSELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >cds.KYUSt_chr3.39187 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246904901:246906592:-1 gene:KYUSg_chr3.39187 transcript:KYUSt_chr3.39187 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRARALLLRRSSTPTSPPQANPLRILARAPPPRLLSRLLSSSPEALPDAAASSSEALPDAYPYPSPDAVSASADPTEDGEENLAALWEEDADDADDIFVSTASSDAADAEASDEEVARVRALVESTPEDQIPSAIADMVVDFTEPLLAAVLLSAENCSGKKLLLLFKSAGKNNPDVKTLANLEIIAGKLADSSEIDKMDAYMLWDLVKEMGSVPGSVNTQILNRVISMFWKLEKSKAALEVLDKFSEFGCTPDGDSYYLVIQAAGKKSMVGAAWGVCEKMVGSGCFPDGNKTGEIVTFFCKGKKVMDAHSVYLAAKEKKVQIPTSALDFLVGALARDDETISTALELLEEYKGKSLKHAGKSFAAVIHGLCRMKNVKDAKKLLMRMVNLGPAPGSAVFNFVITGLSKEGEMEEAKGLIRVMESRGLRPDIYTYSVIMSGYTKGGMIDEAHSLLRQAKKIHPKLSRVTYHILIRGYCKMEQFEKALECLKEMKEDGLLPNMDEYNKLIQSICLRAMDWRTAEKLLEEIEGSGLRLKGITRSLVVAVKELEMEEASKDSQEA >cds.KYUSt_chr2.54976 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342737138:342740743:1 gene:KYUSg_chr2.54976 transcript:KYUSt_chr2.54976 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEDGEHAMVLVEHAMVLVRHASGKAMRADGGDGEHTIVPVKRASSTAVRADAGADELNYNVVATSSFKAKAVCEGPRVNSTSAGFTNGVPVTRPHGPCSAAAAAAGAGSSEPSLVDALRWDQQRASYIQRMLSVSVNASMPPKLPPKSPTEKSKLKVASTDPVSEFHPGTPKKKKNKSSQLSIDPAATDDGGSAGEQTMVLDTASVFPWLQCAPCPVPPCHPQADTLYDPALSSTSGTFSCNSSACRQLGPFANGCANNQCQYRVRYPDGSTTMGTYVSDVMTLTPTRMISKFQFGCSHFAQGRSINTLTVGTMGLGSGSESLVSQGKGSYGNAFSYCIPPTASTTGFFLLGVPPASSSRYVVTPMVKGKLNPALYVVRLQAITVAGQQLSVPPTIFAAGSVMDSRAPITRLPPTAYQALRSAFRSRMTMYRAAPPKEHLDTCYDFTGVSSIRLPKIALVFDRNAAVELDPSGILFDGCLAFTSTSDDRDTGIIGNVQQRTIEVVYDVGGGAMAFRRAAC >cds.KYUSt_chr5.5858 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36491978:36497328:-1 gene:KYUSg_chr5.5858 transcript:KYUSt_chr5.5858 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWESAEQMPVQPVVEVDVERRRVPTRATVMDEAAPSTATLVSTPPTPEASFFPRLAPPPPPPPAKRERKMGTRDMPLPRQFEFLLGKDRDQWPLEARFIEAAHDGDVRTIKKIAKELDVHGHGVPVTVASTTYMGFNALHAAGGRGRLPLYQYLVEELKMDVNKPDTSQDQSPAEHAVTHGNLPAVAYLLDHGADLHQKRQGDVTLLHSAAIRGHSEIVEFLLSRGADVNALSVTGTPLSVASFKGHASTVKILLQHNADPNKGNRLFVALDMALRKSFVSCVKLLIQGGANVSSASPGDNPLLKAAEKGLTEAIKCLLEAGADANVLDMDGDFVKTRMSELKGQGDEAFKKQDYLKASVFYTQALKMDNFDAKLLSNRSLCFLRMGDGKRAYEDATECAEIRPKWAKAHYRLGAAFMCMKDYNSAYQSLSRALELNPESEEVEKLFWEAMELRS >cds.KYUSt_chr5.8997 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57169409:57172424:1 gene:KYUSg_chr5.8997 transcript:KYUSt_chr5.8997 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGARSRVAFVLVDGIGDVTIPSLGGRTPLEAAAAPQLDAVASAGVVGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKSNFATLDESTGVIVSRRADRHFEEEGPILCAALDGMKLPSFPGYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLHLKAQPLDDSEEAKNTADVVNELSKEITRILVSQPINAKRAAEGKNIANVVLLRGCGIRIEVPSFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPLDTPPRVFVPGEDEYKGGRENGYDFGFLHIKAIDDAGHDKAVKLKVRGLEAVDRAIGQLARLLWEAEKSGHYQYFLCVTGDHSTPVEYGDHSFEPVPFAICRLRDFVGAIGEDNVMNTPLDDFPLPSVKSGEDLTDSSEPAECKPAECKAFSGDIVCEYTEIAAARGCLGRFPGSEMMGIIKKFMKAKNE >cds.KYUSt_chr3.6753 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38823284:38827767:1 gene:KYUSg_chr3.6753 transcript:KYUSt_chr3.6753 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALSSSRRGLHTLHRHLLLRPSTSPSPAAARRPPPTPIPRHFPSPSRFFTTARPEARLPRPTLLAPQHHKAMQRLIGGARQFASGGAGRSTKPVPLGQGLNRPVVAAKNAAARYREAVGLQVEAFWRRNYMLLVGAGGVVVCIALWRVMFGIASTFVGLSEGMAKYGFLALATAMVAFAGMYARARITINPDKVYRIAMTKLNTSAAILEVMGAPLAGTDVRAYVMSGGGPKLKDFKLKVGGKRCFLIFPIKGSERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLVGDEQEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDAEDERREREEAEEEEAEALRREEDRLREEAKERQRREAENLEKAS >cds.KYUSt_chr4.42329 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261910225:261932131:1 gene:KYUSg_chr4.42329 transcript:KYUSt_chr4.42329 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSDSQANGDLPPPLAEAEPEPEDVGAQAEEGETGEKMEGVASIALLPSGAISGHFIRLPDSVCYGLQGTRKFLSPFTLIRKTEKVVVVECRGHDAARLQHIDHLHGWEDDIVGLVQKKHGNKKVLVSFECETLKADKAAEEHISRYMPNLCGLDAVVQHAHYMTFESKTSKAALLKTGDHAPSENTGQFKICRCGEGDPNSQSGEAGDSPPAACPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNQPPRGNEPIVTLGGIDLNNSGSVVVKEDRKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALESALAQAPSVANTVGQNPIFSTDGTEPSEAPTEQSEDKSSVIGRPAQFSLVDPDGSPSFLEKALRFIEDYGVKVEGILRQSADVEEVKRRFRDYEKGKNEFSAEDDGHVIGDCIKCILREMPASPIPASCCTALVTAYRADKTRRLDAINKVVYEVFPEPNRQLLQRILKMMMVVGSHKAVNRMSNSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIIIIMLEEYDQIFDDLEEGSCSSDAYTESDDGDVDKEYSTDNENHDDDGSYDSGEDDIEEDLDDNSEHSSGGSECDANSRIDAKRDKIGQPPAKGKESNASTDNISKSRSAASRAKLLKSSSSANRSKRTLWGRTSARKDLSTEEVECCSDDEALIEKLENNKADLQSKVSKEVKENANLRASLEKRKESLHERRIALEKEVRTLNQFLSLQLNEMKHKGGKFARPAAEGKKFEDLIGVWADEYAKRTGVLPVINRQQGGACRTIKEASYTAFIFNSSGISNAASCQSEIEYLAEQILSQEEDTNLLVVGGVLALLQYSLFYLFNPGIERRFHHYGNNSIRRRPRTVGTTTTTVGTGCADGHRRHRPVGTSPVGVAPITVSTVDHRRHTLHRRLGRWPSAVSPSARDVARPPRRDGAGEGR >cds.KYUSt_chr2.9466 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59669217:59669878:-1 gene:KYUSg_chr2.9466 transcript:KYUSt_chr2.9466 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKDFLCGVLDITPRVSMDSLHLIHVKLLAADLLSLTARHTSPPSFVRCGRTVARAEVVGVVVSRDRREKFLRFLVDDGTGCVPCVLWLNHHYMNANSPSGAVDSDPTGEMALKMSELVRLGTLLRVRGRIVMYRGATQISVRDVVLEKDPNVEVLHWLQCVHLAKECYDLPPPSA >cds.KYUSt_chr2.32152 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198296655:198314882:1 gene:KYUSg_chr2.32152 transcript:KYUSt_chr2.32152 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRARRLLPVLTFVTLGMLIDSVHVDNDQEAAVLRLGYECDYLREIARPRLEISTVVDVATGKGVKSDVRTSSGMFVNSEERKRPVIQAIEKRISVFSQIPVENGELIQVLRYEPSQYYRPHHDYFSDTFNLKRGGQRVATMLMYLTDGVEGGETHFPQAGDGECSCGGTMLKGLCVKPNKGDAVLFWSMVLQNDIDLLNPPPELEKQKHKKKRLVQSPNSFFMDVKCQGCFSMAMHARLSTSNQYTRCLVQKGLSMQDIQQTIDVQDEFFTKEGFTYTGLLLSGLTESETYQTQYANAQVPAHGSNRENEGATSTSLLKRQGPPQDSNHGSSDASLGQDNENPWDLSMFNDMELDQVTDSGDGQAQNIQENGTMQPDNVDADDENEEQRKDITEDDINIFLSNEKLAAEGVQREEHSENERDTEFKPHVGMEFATRDEAQKFFNMYAYNVGFSVTCVSSYRTTSSQTEGHGTKTKKSKKATEPNDEHQPLCQPSVSSSVNPNQDGN >cds.KYUSt_chr2.25102 pep primary_assembly:MPB_Lper_Kyuss_1697:2:153537706:153541898:1 gene:KYUSg_chr2.25102 transcript:KYUSt_chr2.25102 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSKRCLALAFRRPGAEPPRRGFEFRSAYRAAKDARIIMCSSSWAAAAFSSSNNETNICSSSSSESKAEQSKRRTPHGRGVAAVRTGGGKERRFIPKDANICINFPCFTPTEIVLDGAGLGDTSFRDTKGDAGGIDGTAGDSPPSPGSRQSRRNRSRGSDTSSMDGTAGSAETSEKKEKAKKGTRKNRKKDKDKDKAAEDTAGSTCQDLPAVPKKSNRRKNKASSEGSGGASTKDGGAAPEEGTAPLSQVAEEDKNREL >cds.KYUSt_chr3.26243 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163246195:163249661:1 gene:KYUSg_chr3.26243 transcript:KYUSt_chr3.26243 gene_biotype:protein_coding transcript_biotype:protein_coding MWATCRTRSAYIDRASYEFFHFFVYRVVAGDLLRQWRSLLMLAKGTSVHKSFLVPFVALQAPSEVITWIKGDYGQWTAFLGLLLRLLYFIPGELELPLSTMLFVSIAPRQFMNLRGTQDSVILSLMIAAYLAFQHFSGAGSVRKAFDRGAIVATLSIICITLIPLLLLF >cds.KYUSt_chr4.48594 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300965898:300966422:1 gene:KYUSg_chr4.48594 transcript:KYUSt_chr4.48594 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSAGPLHGLVHVRRFRVVPVRQSLELRLFANDLGLRLFVNDLELRLSVKHQAPMRRSAGSIRVRRNHLHTASSRPEPVRQGLHLHGDRVALLGHCLQRCVAACGGVDGAMLHAGSVVMDAVAATRGCVDGAALHAGSLLAGVIVAGYGDEDGAAQHAGSPVTDLVAAPHGW >cds.KYUSt_chr7.26270 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164046072:164046824:-1 gene:KYUSg_chr7.26270 transcript:KYUSt_chr7.26270 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPVLTLAVEKGPRAGETRQCRAGAALRVGRVISGNDLAVRDVGAPHRHLAVEFLPPPAARWAVSDLGSSNCTFLNGTRHAPSVPAPLSDGDLIVLGESTKLAVSIAPDSEAKPAPRRSSRRAAPTAKVVEEEPTPAVIRRSTRKKAGVAAEPSEAKKEGLDAAAVVVVEEEKPRMVTRHSRRNKAAAPEALEAGKEEIEEAAVETRRGRKKKVVEPPRSRIRNPTSLYPYVFWIVTSHYQQHHSMI >cds.KYUSt_chr2.40449 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251344134:251345940:-1 gene:KYUSg_chr2.40449 transcript:KYUSt_chr2.40449 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPIIGYSMDALQGILQSRNQSWDPRMLQIGIDVMLIHAYDSLPSLPAYTTAPLSHAAATASWVPDGADRIGHLPDPILRDVISRLPVKDAARTAVLASRWRSLWRSAPLVLDDIHLLTGIATGPAGRPRIGDETPGLADAVSRVLATHPGPFRSVHLTCSAMEAHRGEVARWLDALAAKAVQELAFINRPWPLDIRLPATLFRCASLTRLHLGVWKFPDTAAVPRSAAFPNLRELGLFFINMDERDLAFLLDRCPVLETLTMILQIGVSLRLVSRTVRCLQLCMTKVDDVAVVDAPRLDRFLLFMSGMSKTSRIKIGRAPNLRVLGYLEPREHVLEIGNTVISAGTKESPSTVVPSVKILGLEVKFAVRNEVKKVPSFLRCFPNLETLHVKSATADEATGKASLKLWKEGGPITCVLRHMKKLVFHEFQGLINEIVFLKFIAENARFLEKMVIVVAYACYSSGEDVNSKLKPLTCAKWVGGTCKLQVFKSPFEGTTGGPVYDIRLASEFSQIADPFDLIYYRESL >cds.KYUSt_chr4.16826 pep primary_assembly:MPB_Lper_Kyuss_1697:4:104672749:104677499:-1 gene:KYUSg_chr4.16826 transcript:KYUSt_chr4.16826 gene_biotype:protein_coding transcript_biotype:protein_coding GGLRVYVCEHDTNPPEGQVIETDTTNILIRHLQLKKKESEAKDTGSRTPGESSKGKRSAARSLDVHNSSKKPNLGSSSGLPSS >cds.KYUSt_chr4.38448 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237085891:237090182:1 gene:KYUSg_chr4.38448 transcript:KYUSt_chr4.38448 gene_biotype:protein_coding transcript_biotype:protein_coding MVITVRGLQWYDAARRSFIEKNVSGPDEKSRITTICAVSWRARVEEQNHSEAQVLPARCCAVPEKSRIPSSGGSCWPGADVVTPERAGARLAAWHADLNRPRGNREKSRDKHFGTFANPSDLVSALPMAANTQGCDLPEEMISEILIRLPRKYLLRCGAVCKAWRRLAADRTLLHNHHLRQPAQPLITFFHERSDALGFSTNCLEAVDLAADTRRRVLARFADTERRWDLHRNCNAFYALGVHGSCDGLVLLGFESSNAFHSTFFVCNPATRQGTLLPLPRDVPGIAGFYAHTGAASREYRVLYLYRRHNNSHESECFILTLGSQAAVWIRKHQIELSPMPRPSQGLLWDPPFVYRGGDMLIDGAWRSVVHYDSKGQLQGSFGCDGCRLQLTPYLLKESLVLHDFLHEFLGVA >cds.KYUSt_chr4.2657 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14964137:14970915:-1 gene:KYUSg_chr4.2657 transcript:KYUSt_chr4.2657 gene_biotype:protein_coding transcript_biotype:protein_coding MENTPRANDRPACIAPRWEDPGIVAVPSSDDMLAMASPATARRPRARGAAIRGGGGAVRKVGAMARTGATRVGLAGGGKKAEFGGGAVHNSVAGTGGTCVSHTAPLRRSIFEQFSGKAVAATPAYRARPWSMNKSSKFLHRKPDVMKDTPCRVDSLEECAKIIDATKNMHLGSGILIAVPIPKQHPKQLSIDVRPITLTRKASAWQNDKGKQISDDEQCLKQAAKFSDDMEYQVRLGILEAGAFGVTQTRNREFIWAAAPGETLLNWPETMHIFPRPDLKITLPDSRFYAAAKSTSRFCSVTVKDKIGDLPLVDNGASKPTIQYGSDPVSWFQKKIKGDTPLLSDHISKEMNKLNLIKCNHIPKRPGCDCHDLLDEKVKLSSGQLVELIPWCLPYWRLDWESNFPTSVTDPQPMGKVDMCFNSDQERIISVRECAQSQLLTDVELIARTRKAHASQKNKGKQICDDEKVKLSTWQLVELIPWCLPNTATRHNQREGLYGSLDWEGNFPTSVTDRQPMGKVGRCFNRDQDRIITVRECAQSQEEGVHIAAMQNIEQLCRSKKAIKLDIKFPHGLVEVDALEDDNLQSVLCKLEGKDGRKTLCLILECLERRWRRKKCLKGLFKAEDILFYEEYGIMEIECKEYDIDDAGFVSDASAFQRIVLQEHFKYEHKIHGKIFPMYVEGLSKELLSNAREPGYCSDEDKRSLIHNNAATMCFERRIQIYTAVFRYLRTLRGKNKTNFCEAIDPGSTKEHVLKIIGWIGLFESTSTVLWGRYSFNKDRIILTVYKEIPVVPTVPLPQVPQAASTSYVPPGLRSSRLAADYVPTYPTSNHFNVKRIYSNIKLCNASKVFVNPQLHENGGKGSSIPTKVSFENYMAAQQQEFFEVVEIPDSLRVELQDSFLVMLGEDGRTALRLMLECLERRWNRKKCLRGLFRTEDIWYYQEYGIIEIECDEFDIDDAGFTSDASVFEEIVLQTNFKYRHNTKGTVYPMHVEGLSELLCNATQQRGYYSDEDKRSLIYNNAAIMPFEQRIQIYTAVIRYWKMLKGDNKLNFCQAMDPCTSTGRVLKIVGWIGQFATGADIFWARYNFDLKKRYKRTYLSLLDFSRCVFEHGPTDKVTEKQCEAALYLLNNKLFQHVHRIILTVYEEIPNVPHLPQPQMRQPASATTATAAYVPPHLRGEVPEEAATSVAPTRRLAASYVPTVRIVNHFRVKRVYSKI >cds.KYUSt_chr3.33492 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210251091:210253408:1 gene:KYUSg_chr3.33492 transcript:KYUSt_chr3.33492 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAELHPSSWWLLWTSSAGDGRGRREKVPRGAGKTVASRSTRAPQPKAPYPPPLSRNASFRRWLSRTQVDGVQDFVRHQIVSNDPSGCQSPSIAIVQEGFRAWYLMGTICPAVGTICPVARPWMPRTSLSSGHWVYMMDSNTIKYDVKVISNTGGLEHLNMLLVEMCDFLFKTWAYGLYCMKKAV >cds.KYUSt_chr7.32518 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202532868:202533901:1 gene:KYUSg_chr7.32518 transcript:KYUSt_chr7.32518 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVQQPWLLLLIVVLPAVAANPIFDENYAPTWGADGYHLVDQGIEVRITMDRNSGAGFGSKLSYGSGFFHMRIKIPGGYTAGVVTAYYLSSDPDSGNHDEVDFEFLGNVDGKPITLQTNVFVNGHGDREQRLGLWFDPAADFHDYRILWNPYQLVVFVDEVPIRVMRNMTGRVPEYEFPAKRMRLRASMWDGSGWATDGGRTKIDWNRAPFTAAFRGFGVDACANTSATPCGSPDLWWNARRYRRLSAQQRAAYENVKNTYMNYDYCTDKDRFANGNVPPECSYD >cds.KYUSt_contig_528.268 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1637181:1639259:1 gene:KYUSg_contig_528.268 transcript:KYUSt_contig_528.268 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATVASTPASRRLPAHPLSASTAAPSLIRLPGSSRRLRRTLRVSAAAEDPDVLPGPGAGDLEMLPAGLLEDLPLPEGALGGSQLDIGGLAFQGDMGGGFAPGGSTGGGDGGGNKMLDRGINTAIVLGASTYALTKLLTVDQDYWHGWTIFEILRYMPEHNWSAYEEALKTNPVLAKMMISGVVYSLGDWIAQCYEGKPIFEFDRTRMFRSGLVGFTLHGSLSHYYYHFCESLFPFKDWWAVPVKVVFDQTAWSALWNSIYFVALGFLRLESPSTIFSELKATFFPMLTAGWKLWPFAHLITYGVVPLEQRLLWVDCVELIWVTILSTYSNEKSEARISDGASVSTDSKDNSR >cds.KYUSt_contig_1307.51 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000126.1:360109:364572:-1 gene:KYUSg_contig_1307.51 transcript:KYUSt_contig_1307.51 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAALLPFALALVAIPISLALLDRLRLGRLPPGPRAWPVVGNLRQIKPVRCRCFQEWADRYGPIISVWFGSSLTVVVSTSDLAKAVLKEHDQQLADRPRNRSTQRFSRNGQDLIWADYGPHYIKVRKLCNLELFTQKRLEALRPIREDEVTAMVESVHRASTAGNEGKPLVVRNHLAMVSFNNITRLAFGKRFMNDNGDIDEEGQEFKIIVNNGIKIGASLSVAEYIWYLRWLCPLNEELYNTHNERRDRLTKKIIEEHAKALKESGAKQHFVDALFTLREQYDLSDDTVFGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDSVVGRDRVMLETDFQNLPYLLAVVKESLRLHPPTPLMLPHKASTSVKVGGYNIPKGANVMVNVWAVARDPKVWNNPLEFRPERFLEESIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHHFEWSLPEGTRPEDVNMMESPGLVTFMGTPLQTVAKPRLEKEELYKRVPVEM >cds.KYUSt_chr1.9923 pep primary_assembly:MPB_Lper_Kyuss_1697:1:60747112:60747534:-1 gene:KYUSg_chr1.9923 transcript:KYUSt_chr1.9923 gene_biotype:protein_coding transcript_biotype:protein_coding MALVHALPVLLLLLCPAGALATPAAAPSPVTGLQAEEVCLGIGTNSKPLACLANLSASCLAASAVTEEATYLACFIPAILTGQQCLAGPPRAAKGCIGIDVSDERVICLGLAGWTCQGSSFGHAGFIACYLVASIKHCLL >cds.KYUSt_scaffold_6468.1023 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4938662:4947012:-1 gene:KYUSg_scaffold_6468.1023 transcript:KYUSt_scaffold_6468.1023 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFDRIVFNFPHAGFIGHEQHDHMIKAHQLLVRRFFGNASHLIRPDGEIHVSHKTGQPYDRWQIEELASEFSLVISEKVNFWKEDYPGYNQKRGDGEWCDEEFSLRNGYTFKFRVERGEPEEPPSRKFTTSQRESLHRLQESLDDVTKMHQEKEQQLLLSQQQLISISESLRSCVDARITLKSRLEEEQRRSRKFTMAQRETLHSLQESLDVVTKLHEEKKQQLLLFRQELISTSESLCSSEHIAGGIGCKVFKWIDDPLDARHKELVRDLRDAVWDRDEEIERLRVEIERLREEKFLLAARKQSNPEPKKMGGFMVWVLSRSFCHLLYLGDV >cds.KYUSt_chr3.12654 pep primary_assembly:MPB_Lper_Kyuss_1697:3:75754260:75757377:1 gene:KYUSg_chr3.12654 transcript:KYUSt_chr3.12654 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPPAVNAADRPGARRPIQSRPRPSGRAHRQSTCSARDVVGLLARASLHGDLALAESPRAAGWSNIPVCSRHKSCDIGTKFALLGTKGKRGLDRTPLDWETRVRIALGAARGIAHIHTENNGKFVHGNIKASNVFLNGQQYGCISDLGLASLMNPITARSRSLGYCAPEITDTRKSTQCSDVYSFGVFILELLTGKSPVQITGGGNEVVHLVRWVQSVVREEWTAEVFDGELMRYPNIEEEMVEMLQIAMACVSRTPERRPKMLDMVRMLEEVGRNDIGTRPSTEASTPVGTTGMGLYAEGREPSA >cds.KYUSt_chr6.2473 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14413325:14419281:-1 gene:KYUSg_chr6.2473 transcript:KYUSt_chr6.2473 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKSRGGASAGDDADELSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIDYTLSWLETSGVEEVFVFCCAHAQQVKEHLQDAGWTGKPAAREMAVRTVESHDAISAGDALRVMYGRGVINGDFILISGDTISNMSLKDALQEHKDRRKKDPLAVMTMIIKQSKPSVLTQQTRLGNDEIVMAIAPETKELLYYEDRADASHLCVTIDKDILASNPTLQLHNNMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIHSSYAARVDNFRSYDTVSKDIIQRWTYPMVPDVLSFGNCHEMKLHRQGIYKAADVTLSHSAHIGANSVIGNGTNIGEQCKVSNSVIGEGCSIGKNVLIHGSYIWDNVIIEDGCKVSNSLVCDDVHLRAGAVVEPGCILSFKIKVGKNVVVPAYSKVSLLEKPSNEDSDEELEYADTNSAVTDSPPFSSMRNNADHPTVESEDEDLGASETGTSGVLGYIWASGDTGTQEEWRQSIAPIPKEKLQELQHAIAVDDADGSEEDLNNRLREADHDNDSEISVVEDDDYTKFEKEVEETFQRALDGVHQDNLILEINALRLSYSLQHADCAGAVFYSIMRSALVAAQSTTESLLKATADALTKWRDLLRNYTKTVDEEMEILLKFEEMCQDITKEFSALFSKILPYLYDKELVSEDAILRWAEEKANADESDKVFVKQSDAFIQWLKEAEEEEDEDEEE >cds.KYUSt_chr2.18505 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116507138:116508435:-1 gene:KYUSg_chr2.18505 transcript:KYUSt_chr2.18505 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSASSASVSAWRRTSGALHRNITTEQLVVSFFASSPSTLEPSCRTVRTERHPLSALPKSVEQPAVSSGTNGSQRWWPEKVLDEVGADQPLCCLRLRRRAGQSRLELLLEEQLGDERDPRLLDLVNRSARPRSLRSCAHELPRRPPNPRARIRPASCCSTAHGVPRSQPTTTTATMGVARSG >cds.KYUSt_contig_686-1.295 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1867493:1868162:1 gene:KYUSg_contig_686-1.295 transcript:KYUSt_contig_686-1.295 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRKSSRNTGMRASTPILERAMKRAEAKGLDGVAGLYAYCLKGGCGARWGSGASAGRGGGEDVADGEGDAGGRLSAVPGAADEGGDTTVARGGEELEHGLEDVVEHSSTWSGGGVAHGRLGGVWSFSSSDMVRTEECAEGEAALYRLKSGFIGMGIRRPLSWRWDLG >cds.KYUSt_chr3.21732 pep primary_assembly:MPB_Lper_Kyuss_1697:3:133561034:133581261:1 gene:KYUSg_chr3.21732 transcript:KYUSt_chr3.21732 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPPPAPPVLAVAVALAVAALAASSASAAVTYDRKAVVVNGQRRILLSGSIHYPRSVPEMWPDLIHKAKDGGLDVVQTYVFWNGHEPSPGQYYFEGRYDLVHFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKLEMQKFTTKIVHMMKSERLFEWQGGPIILSQIENEFGPLEWDQGEPAKAYASWAANMAIALNTGVPWIMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPTMWTEAWTAWYTGFGIPVPHRPVEDLAYGVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFVATSYDYDAPIDEYGLLREPKWGHLKELHRAIKLCEPALVAGDPIISSLGKAQKSSVFRSNTGTCAAFLENKDKLSYARLLFNGMHYDLPPWSISILPDCKTTVFNTARVGNQISQMKMEWAGGLTWQSYNEEINSFSEEEAYTTVGLLEQLNMTRDNTDYLWYTTYVDVAKDEQFLTSEKNPKLRVMSAGHALHVFINGQLTGTVYGSVENPKLTYTGDVKLWAGSNTISCLSIAVGLPNVGEHFETWNAGILGPVTLYGLNEGRRDLTWQKWTYQVGLKGEAMSLHSLSGSSSVEWGVPVQKQPLTWYKAFFNAPDGDEPLALDMDSMGKGQIWINGQGIGRYWPGYKASGTCGYCDYRGEYDEKKCQTNCGDSSQRWYHVPRPWLNPTGNLLVIFEETGGDPTRISMVKRTIGSVCADVSEWQPSMTNWRTKDYEKAKIRLQCDHGRKITEIKFASFGTPQGTCGSYSEGACHAHKSYDIFEKNCINQEHCGVSVVPEVFGGDPCPGTMKRAVVEENNSACKSEELLAKIGQNHDDWTTPEPTPTPILKKRGLIKLNDEDMREAKKSLKEKGLHERDIFDLFLPEFDKPWVIHLRENLLLFYKPLLLEAQHCLQERRGNVDIKHFSGAVAGEESNISMNALNTIVANDMENSKLGEAGFDEHDLFSPPRIEEEIYFDDSLPPIYDDYNDSGLLVPPTMESECYCDYTMPPIFDDENNNDSYFVEFAPTTTNKIDYAYVESNNFMHETHDKNALCDSYIVEFAHDATEKSGNEHDNGNDNDKNDASDKEEVEDEPDKHDKNKKYTKEDFIAKKHGNEREPWVQKQMPFPAKKLKSKEEEHYNKFCDWMKPLFLQIPLTDAIKLPPYSKYMKDIVTNKRKIPNEEISTMLANYSFNGKVPKKLGDPDKLIPTDISLQMADKSTAIPVGICEDVHVQVTNNCLILTDFVVLEMPEDDNMSIILGRPFLNTTGAVIDCNKGKVTFNVDDKEHTVYFPKRIDKVCGVNTISNVRTIKVGTIDCPIYEPKEGYQNLMIGSISIQFKKRKMDNFNFGEVFKRETTSTGRPSRTATRFRRSYNEDVIAPSFEPEEDNGVPNASSFPCYDFLSNAGLLDDFLTLVDKAGLTAYMGDEREQYLMLTKIFVESFKFNNKHYHPTVAFKIYGNPITMKLEEFCVALDIAPVGTTRRIEDNPRAWLELYREITNDDCRTIQRGKIRNIQLPAIKYFAYYLATSILGRENTSNISSYHLAFLIAALTGETPYHLGSLITRRLSSKGPMFGGIIASRILSHLELPLDPTDVPLTPIRLV >cds.KYUSt_chr6.1010 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6406926:6408062:-1 gene:KYUSg_chr6.1010 transcript:KYUSt_chr6.1010 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPSGRRITVRSIACRGVKAFVPFQKPPLYAAVSLAGRREKTPADPGGGENPDWDGAVFGFDLDGDGGLLQFEVKAQVPLLGNKLVGTICVPLSHLCSGGGDGTVPRRVSYQVLAPDGKPNGSLSFVCAVTGGAPHSYQQPQVYAARPEQDASPCCAPPPPLHSLGAYPPPATPYFEQQGSSYPPPPVSTSLYPPLQDMLPPSNYPPPPPRMIDSLFPVSNSGPNSSYPVPPTQTTAYPPPPGSSAAYSVPPTQHIGNYPPRYPPTPPPSGYPPQPQMNHEFPAPINCYPPPPPPESGSVFSVYPRSTSSPAPRSMDRESPYMASSFQDSGIVTYPESHGPRVPSGGSYYPPSGIPDTASGRWGEFAILLHSSWA >cds.KYUSt_chr7.10935 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67201270:67202754:-1 gene:KYUSg_chr7.10935 transcript:KYUSt_chr7.10935 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLARKLPSIISKHHRLISPEIETLDTLEEDSTSPPSIRLDPTLPLLPLAVSHLSPPTPLPSLPSAHASSPASLLRLIRRARHHPRLAPLDLHFLLAAAAASPAFRPDHRLTSLLAARLAASRRLPSLRRLLQLLISRPCPCADGSIFACPDLLPTFRKAILAFATSGDIPSASSALASLRRAADSPLPAEFHNIILHALARLRRHHDAIAFYNEMTTVHRVAPDAYTFNTLLNSSCHAEGVDAAMRWFEEMRRRNCTPTAVSFNTLMRGFFREGRYKEGIKVAHEMLQLGAGLSVASMEILVGGLCRGGQALKAAEVLAEFLVDAVVPEGFDCLGLVESLCRGGRVERAVEVLELALEKNRACCLSVPAGVTVLECLLKSGKLDEVCLLMGRMVGEGVVPDTISCNCIFEALCEAERTSDANRLRILAKEKGFKADGVTYSMLVQGFGREGRRKEGEAVLDEMLDLGFIPNITAYNRLLDSLHMRRSFH >cds.KYUSt_chr3.3098 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17756978:17764586:-1 gene:KYUSg_chr3.3098 transcript:KYUSt_chr3.3098 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRSSRVVSRYRRQVGFRSPGIGHLPPANQDWNSQRTLPPGYLEVGESAAEGASRETLKEACADATLGPAIATASSHLLTRIVAGSWVGSWAVAVQSSRRLPHLHQDAEGHQEDTVEPGLAACCFPQPSLLVSRVELSETDEVQVVVYELVVVVAALQANINYSVQINIHGWYEYIRSTTGVQTRTYVPRDQHQTAMVLVGEVTSLISFSIFIAVRIIVLAERAQRNREKCSLLKYRVEMISLLLKELQNQWTPDPVTSRLLQDLYDALNDGRTLVESCQERRTWSLVFKTQKKVRKFDALDQRISKILEMFHIANMILIVKTNRNGAGAAAASACASSSSATDIKEMVSLAVSIVEEAKKQRQNTEEIQQLVQFVEQVANLLQQLQSVNLWWDAKTKLWLEGLKDLLQQARNILSHHKQPHRSNTRMPQDFLCPGGGGYYSQDEPDQILQVAYDIGYYVQVLPVITRRQIHI >cds.KYUSt_chr4.47408 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293389907:293399141:1 gene:KYUSg_chr4.47408 transcript:KYUSt_chr4.47408 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPALSFGVIVSPQSNVVYDPSGRRLLAAALDRFAAWDLKRGLPSATFTPSSSSASLAVSCIASSPSAASASASSIASGHADGSIRLWDAETGACEATLHGHRSAASALRFSPSGAVLASGSKDCDVILWDVVAQAGLFRLRGHRDQVTDLLFLDSGKKLVTCSKDKFMRVWDLDTQHCLQIVGGHHSEIWSMDADPSEKFLVSGSADPELRVFRIRQSAEEGEDWNKWDALKLFGEIPRQAKERVQTIRFNKDGTLVVCQVAGKTADIYRILDEAEATRKAKRRMHRKKEKASAKAVVAQGNGTVKDQDSQNPTVVVTDVFKLLQVLRSSKKICSVAFSPTNPPKGCLATLSLSLNNNVLETYSVDNDKVSKMYSVEIHGHRSDIRSLALNSEDNLLMSTSHNAVKIWNPSTGDCLRTIDSGYGLCSAFVPGNRYGLVGTKTGTLEIIDINSGNSVDVIEAHAGSIRSIELIPDEDRTVNARGFVTGSADNDIKFWEYQLVQKSDSDARHLSVTNVRTLKMNDDVLAVSIGPTGKHIAVALLDCTVKVFFLDTLKFCLSLYGHKLPVLCMDISSDGAFIVTGSADKNLKIWGMDFGDCHKSIFAHTDSVMDVKFVPRTHYMFSVGKDRTVKYWDADKFELLLTLEGHHAEVWCLANSSRGNFIVTGSHDRSIRRWDRTEEQLFIEEEREKRLEETFEADLDNAVEDRYGKKDDAPEEGSVGVPGKKTKETVSATDAIIDALDTAEEEEKRLNEQKELQNSGEGTKSVPNVIMQGHSPSEYVLNAVSNVRPNDLEQALLSLPFSDALKLMAYLKEWSLIPLKVELVCRVCHVLLQTHHNQLTTTPGARSILTELKEILYGRVKDCKDTIGFNLAAMDHIKELLAMRSDAPFRDAKAKLMEIRQKQSKRSDRSADGDKRRRKKAKTSPAQS >cds.KYUSt_chr5.11271 pep primary_assembly:MPB_Lper_Kyuss_1697:5:73209733:73210014:1 gene:KYUSg_chr5.11271 transcript:KYUSt_chr5.11271 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIGGEGQIEAMSIMLVQLGGWAWPKVSANLPCVVLSLGELAAAASGGVHPIVEPGEKSTAKQSNSADAAKMQVEESGETNHLPQSSTTWVR >cds.KYUSt_chr4.51202 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317531758:317534629:1 gene:KYUSg_chr4.51202 transcript:KYUSt_chr4.51202 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGRELPDTPTWAVAVVVLVLVLISVALEHALHKLTHWFHHRHKKALAEALEKMKAELMLVGFISLLLTVFQDPISKICISKEAGDVMLPCHLPKAADYADKGDKGSRRRLLSLFQAEMSHRRSLAAAVADVCAEKGKVALMSAGSLHQLHIFIFVLAVFHVAYSVVTMALSRLKMRRWKKWEAETASLEYQFANDPSRFRFTHQTSFVKRHMGLSSVTGVRWIVAFFRQFFTSVTKVDYLTLRMGFINAHLSPNTKFDFHKYIKRSLEDDFKVVVGISLPLWFVAILTLFLDFKGIATLTWISFVPLVILLAVGTKLEIIIMEMAQEIQERASVIKGAPVVEPSNKFFWFSRPDWVLFLIHLTLFQNAFQMAHFVWTLATPGLKKCYHENIGLSIMKVVVGITLQIMCSYITFPLYALVTQMGSNMKRSIFDEQTAKALSNWRNTARERKKTRDTDMLMQQMIGDATPSRGTSPVPSRGTSPVRLLHKNKRSDDLPSAPPSPMSEMEARDMYPAVVHPVHRLNPADRRRSASSSALESEASAEFSFSMQR >cds.KYUSt_chr4.205 pep primary_assembly:MPB_Lper_Kyuss_1697:4:1178993:1181366:1 gene:KYUSg_chr4.205 transcript:KYUSt_chr4.205 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQELVAVAAMVAVAVAVRPALCREQVNGAGLTAEERMKPMATAVLVFGDSIVDPGNNNNLHTQIKANHAPYGKDFVNHVATGRFSNGLVPSDFVAQKLHVKTLVSSWLNVGHTPEDLLTGVSFASGATGYDPLTPKIVGVITLEQQLEYFDQYRGKLVAIAGEEEAERIIDGAFFFVCAGTDDVANTYFTTPFRSVEYDIPSYVDLLLVGVNKFLRSLNKRGAKLIGFVGMPPIGCVPSQRTVGGGLHRRCEPKRNYAAQLYNSKVQQLITGLTSEPGFHTRVVYLGIYDIILELAENGDRWGFTETTRGCCGTGLIEVTNLCDTRFMGVCDDVSKHVFFDSFHPTQRAYEIIVDNMWDTYGHLLQP >cds.KYUSt_chr6.27082 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171741202:171741603:1 gene:KYUSg_chr6.27082 transcript:KYUSt_chr6.27082 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGASSSPMVVPAYGTTGGVHPPPFWSTPTPYLFMGFAIVMSLIAVALAVLLCSRRKEGRRGEEEMIIPAGMMSVRVLAPLDRESPKVVVVMAGDDAPSFLASATPLAFAAKVQRPYCQCHGGPKLDVAAV >cds.KYUSt_chr7.9477 pep primary_assembly:MPB_Lper_Kyuss_1697:7:57836055:57838348:-1 gene:KYUSg_chr7.9477 transcript:KYUSt_chr7.9477 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDGHEGDGRSQGRDEAVMFGPDDDDGPKIPTQVETLVRGTATVEAPEYKPLPDLDYLQELLAIQQQGPRSIGFFGTRNMGYMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPHYDHLPLIEASRLCNMDIISKVQQVICFAFHDSRLLMETCQEAKNMRKIVTLFYLD >cds.KYUSt_chr7.27148 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169736165:169736479:-1 gene:KYUSg_chr7.27148 transcript:KYUSt_chr7.27148 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRVDASSVPNAAASSCRRQSGSATRRPFCGRARRLRSPPPPVAAAIRPPQKRKVEVEARSTPPPLHLMGRAPSSEAAGHPCHDRCRVQPSRCRLGKEEGWR >cds.KYUSt_chr6.29487 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186879794:186883067:1 gene:KYUSg_chr6.29487 transcript:KYUSt_chr6.29487 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLRHRAMSASSKMLRSSMSRRSGRQRSSKVMSVAIEDVRDAEEMASVDAFRQTLVLEELLPARHDDYHMMLRFLKARKFEIDKSKQMWSDMLQWRKEFGTDTIMDVRTYCCRSHSTVQMYRHTRPIKHFGVNWLLHENSMQDFVFEEVDQVLEHYPQGHHGVDKDGRPIYMEKLGSIDTTKLLQVTSMDRYVQYHVREFERAFAVKFPACSIAAKRHVDQSTTILDVSGVGYKNFNKAARDLIGRLQKVDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQPKLLEVIDPSELPDFLGGTCVCEGGCMRSDKGPWKDPEILKMVQCGMGRCGMNSSDPVSAEEKIVTEDDTMPVIKKQDSMRRDAVDSPKVARDKIEHPPQMSPLHEMSTSEPKAAPSGKEGGSGPYDNVFPMVDKNMEFNWNGEVSPEKLALARDMYASLPDAYKHGDAGDRQAVTGIMAFVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKNRKLLQAQQGGHGDGGHGPAVVAVSAAQFEALVKRVGDLEEKVAAFSSRPPEMPADKAELLAAAATRLDALEAELETTKKDLETSKGQQEEVLAYIEKKKKKKGMVRPPLSLAFAAIDTLSDSALLELISLVL >cds.KYUSt_chr2.14815 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93385711:93391965:-1 gene:KYUSg_chr2.14815 transcript:KYUSt_chr2.14815 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASEEAVKAAKVLMVGAGGIGCELLKTLALSGFTDIHIIDLDTIEVSNLNRQFLFRKTHVGQSKAHVARDAILKFRPNINIKSYHANVKDSEFNVDFFKQFNVVMNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQDNDLNVHSKDGSSSNSDVFKRDADEDLDQYARRIYDHVFGYNIEVALENEETWKNRKRPTPIYSREALPEEALKQNGISGDCTKEHEEPSAMASLGLTNPQEIWTLADNSRVFVEAFKLFFQKREKEVGNLIFDKDDQLAVEFVSTAANIRAYSFGIPLHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLRGDYKNYRMTFCLEHPTRKMLLMPVDPFEPNKSCYVCSETPLLLDVNTKETKLREVIDKIIKSKLGMNLPLVMIGSTLVFEDGDGLEEDEAANYALNLDKVLAELPAPVINGTKLTVEDFQQELSCSINIKHREEFDEEKEPEGMVLSGWSAPVEKQVNSNGANKSAASSSSAHDTVEDISAKPGMKRKLDEISETKENCGASTSAQVVEDDDDLTMLDEDPKLKKKRSL >cds.KYUSt_chr3.27064 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168922161:168922709:1 gene:KYUSg_chr3.27064 transcript:KYUSt_chr3.27064 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGSPTLPPAPHPLVAPLSFLLGKWRGEGEGTFPTIAPFRYGEEILFSHHPSKPVISYTQKTWKAASGEPMHAESGYWRPRPDGSVEVVIAQSTGLAEVQFSFVACTR >cds.KYUSt_chr7.971 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5263641:5267096:1 gene:KYUSg_chr7.971 transcript:KYUSt_chr7.971 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVGAEAEVRSSDDPGFAGSFYEVTVTGHRGRGYTVLYSTLVAEDGGPLEEAAAAADVRPRPPREERREFAVHEAVEALHNDGWWAGVVAAVLAGAAPTVYQVAFPTSRETMEFPASALRPHRVFQAGRWIPAAQLNLYALKSTEIDHAVVQAGSLFEVSIKGDGQPLFHEGTQVEVSRSEKSFGESWRPAYVLKVIGATNFLVKYTHVGNNGALATEILDSQYIRPAHTALAKLKDVDDDVEVRDNVTARPGMISEINTTNCVDPSMTPKDAGGPQHAVSQQGGGSTMDNRLSESLAIKHLPFVKTSPATMGVLPKAPQLEALFCRKNMHHTEPQNDDAMMKTLEEKIARKEAEDRELGAQMRVLAVALHQLGLYASLVPVSCVT >cds.KYUSt_contig_1467.216 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1396396:1403502:1 gene:KYUSg_contig_1467.216 transcript:KYUSt_contig_1467.216 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVVGLAKTVVEGALTKAQSAIEEESQLRESAQRDLVFITGEFEMMHSFLNVATKERVENKVVMTWVRQVRELAYDVEDCIEFVIHLDNKTSCSWWWRKMPSCIGTPPSVLALDEAVNDIEQLKARVADVSTRNARYSLISDSGSKPVTQHQAATTGASALSSTAFNMLAEARDAARRWQGLGDLTQLITNKDNTNEPLQVISIWGTGSDLGITSIMRKAYNDPEISKNFACRSWVQLMHPFDPREFVRRFMTQVYTNGCKEQSAGVGAHVLAKMEASQEDLLKEFVQLVNNKTYIVVLENLTDMVVWDAVRTFLPDMKNGSWIIVSTQQLEIASLCIGHSYQPLELKQFSPDHSVCALFKEGSQDYGNEEAKRMACEVSPHLSLEDIHSNNKQEILEWMTNYPLVGREPQMNELGRYTARARMNDSPVISVWGIAGVGKSSVVRSLYYDRMLKSEQFNKYSWVDVSHPFNLRDFSRSLISDHHSEKDPIKECRELLSQHQCLVVIDDLQSKEEWDLIQAALVSRPSASIIIVITTEASIATYCTNNDDQVFNVKGLEADAAKELFMKEVQRKNSTSALIGHDATELVQHQLEELIFKCGGLPKVIVSIASLLATQTVTLMETVRSLNDKFMHHLETNPEYDSLRGLFDWMYNYFRTCPDSLKPCIFYLSIFPRRHNIRRRRLERRWIAEGYSRDSKEESAVDKAENFFSRLLDLSIIQQNPKFVTTAFSDTRMVACQVNGFIREYIVSQGTEENLVFELGPKCVLSTQRTGRHLIILKDWDRDIIVFESIDFSRLRSLTVLGNWESFFISKSMRLLRVLDLEDALGVKDEDLKTMVKRVLRLKFLSLRGCSEISRLPNSLGDLRQLQTLDVRHTSIVALPKSIGKLQKLQYVRAGTKVSASTPPALYRRLLEFCTGRGLVGVKVPRGIGKLTALHTLGVVNVRASGGRAIVEELKKLTQLRKLGVSGINKRNSKNFFSAISCLLHLESLLVQLDEGSQSCLDDITLPWENLQSLTLYGLKDKLPLRNPPLGNPLRKLNKLDLEIDTLQKDAMDFLGKLQELCILHLRVKQLQPENGKLHFHSQMSGLELDTFKKVKILEVTCRSSLKVIFGSKSMKNLELLKIDCSIASYTMELDTRLTNLDHLSELKEVLIKGTNDEAIKKDLEELVANHPKNPVVKLEGVPRLS >cds.KYUSt_chr1.24206 pep primary_assembly:MPB_Lper_Kyuss_1697:1:144436785:144441119:-1 gene:KYUSg_chr1.24206 transcript:KYUSt_chr1.24206 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEGGGSGDGGAESPPSATLNIRCANGTKFTVRADLGGTVGAFKDVVAGISDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAQPAASGAPAAASPQASTTPASGPAGGLFPGLGATGAASGRTAGLFGAGLPELDQMQQQLSQNPNLMREIMNMPMMQNLMNNPDLIRNMIMNNPQMRDIIDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGGGEGTPASNPFAALLGNQGSNQPSNPATNAPTTGQESTTGTPVPNTNPLPNPWNTNAGGAQGTTRSGPTANTRTGATGGLGGLGSLDLNSLLSGLGGNARTGAAGGLGGQGSADLSSLLGGPPDASHLSQMLQNPAMMQMMQNIMSDPQSMNQLLNMNPNARNLMESNTQLRDMFQNPEFLRQMTSPEALQQLLSFQQTLSSQLGQQQPSRDGNLGGNGTGTQGNAGLDTLMGMLSGLGAGGGLGLPNTSNVPPEERYATQLGQLQEMGFFDTAENIRALMATSGNVHAAVERLLGNFGQ >cds.KYUSt_chr3.21854 pep primary_assembly:MPB_Lper_Kyuss_1697:3:134654799:134660938:1 gene:KYUSg_chr3.21854 transcript:KYUSt_chr3.21854 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLANQQPPPPSSDMDVDSADAAEEKNLVRFSINVLELTREAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFLHGRGKYTKRNITESTVKDVRFLHVVFYMAERAWSHAMEKKTAGPNAKQRIYMLGRFRKAVKWATLFSQLCSIKGDSRTSLEAEAYASYMKGTLLFEQEKNIEAAMMNFKNTRTVYEELGKYGSIENQLLCRQRIEEVEPMIGFCSRKLGGSALQAHDLLDMEKEGPAYDLFKAKIEAVLSETRSQQAASMTEFNWLGRRFPITSAKTRVAILKAQQLERDLNGAATESISADKKLAIFDKLFSAYHDARGCIRNDLASAGNAENIRDDLNGLDKAVSAVLGLRTIERNQLLISIAKSKFAKHRDEKNEKITKPEELVRLYDLLIQNTTDLTDLVSSGRDKNEEENSFVNEYELKGLAFRAERCFYLAKSYSSAGKRTEAYALFCYARSLVDSALHQLANSPDKALIQDLKALSDNCRSNSCIEHATGIMEDENAPERLSKGVSTISLGDNKKKEDNKYLIDMLGSYESALGEQQTKVPTRITQFPPPFQSVPCNPIVVDMVYDIIEFPNLENRMKKEKKSILKRFWG >cds.KYUSt_chr5.20999 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136558946:136561873:1 gene:KYUSg_chr5.20999 transcript:KYUSt_chr5.20999 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAEGAGEPELVSIPATPRGLSTPEGLSTPPVRRGGAATSGAGTPVRRVVEGLRGYLEDVGHLTRLNPQDAWLPITESRSGNARYAAFHSLNAGLGFQALLIPLAFPALGWSWGIISLTVAYFWQLYTLWILVKLHEAVPGRRYNRYVELAQAAFVAIGGYWAYGNMASTSIILLHIHHPVVLHLRIIGPSVRCFSCLQIPPGGILTALYVFHSHDISRGLLAATFLLVVFNCLSSFQIYSMPVFDSFEAYYTGRTNRPCSVWVRSGFRVFYGFISLFIGIALPFLSSLAGLLGGLTLPVTFAYPCFMWICIKKPEKFSFSWYLNWGLALLGTAFSVASSVGGVWSIVNTGMKLKFFKPN >cds.KYUSt_chr4.7879 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46940849:46941893:1 gene:KYUSg_chr4.7879 transcript:KYUSt_chr4.7879 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFVRWMNPREWLLLLLLLLPASVSPNCPCFLRLSRRGLALGDGRDQHEMAANFPPPPEAATFPAPNAPARRAPHIAIFNFYCPAFDPGSLHGFVHSFPPH >cds.KYUSt_chr7.14952 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92489476:92490817:1 gene:KYUSg_chr7.14952 transcript:KYUSt_chr7.14952 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGEVAAATPSDGFFLLLCPRCCGVVSGAKVEQRGFVQEYVQTVVCTGDSWKMVHLVVGSWLKADGSGSLLRHHRAWVPVLKFDGVSRDMLPWSDSFNGNDLSYGKLHWSLKIIKTRLRNKIEDDNLANNMLVHIEGAILEDYKYDDVIFDFKSIKDRAADL >cds.KYUSt_chr3.7281 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42103708:42104061:1 gene:KYUSg_chr3.7281 transcript:KYUSt_chr3.7281 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQAFNQPEGEKKVETMMVKMDHRNSYIQWLLDWDKKPRRSLRLRHWPEELRVAREAFEAEDAHHERVLTQYKSCGYAYVQVDVFDDDDPEANLPDDQYDEVDLEDEAEVQNTLHI >cds.KYUSt_scaffold_1854.245 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1335146:1335529:-1 gene:KYUSg_scaffold_1854.245 transcript:KYUSt_scaffold_1854.245 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVILHKDLEAWLAPPIRLLTHPLASPLPDHRAAFAVTQGFPKKRTSACPWIGAGGGANIFASPGPAIGHTSSPTSPAFDFSASRTSAPPARKSGGSSKKRQRRHHIIVSAPRRSLRQWDVPHQSS >cds.KYUSt_chr1.31598 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191601454:191602071:-1 gene:KYUSg_chr1.31598 transcript:KYUSt_chr1.31598 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAARQPCRHNHRRRRILICVSFAVLALLLLAATVAIVLFAVLRPRNPTTELLSANATGAVPNVALPAFSIQLNLTFRLVVRVRNPNPASFRYGEATTSLLYRNASVGGALVPAGTVPSRGATTVRLNMTVQADKVVAAAGLGGLLGDVLAGEMEFEARTEVKGRVTFLGFVKRRAVARSVCRVAVGVPDVKVRRQECHSEARL >cds.KYUSt_chr3.25702 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159600615:159600935:1 gene:KYUSg_chr3.25702 transcript:KYUSt_chr3.25702 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKDPGDDLVVDAAPTSAAECRFDQNCLKEGEAMLMFNSAPGEDEPDAQSDVPRSPVTVDGPPRDSSSGASACTSVDG >cds.KYUSt_chr4.29710 pep primary_assembly:MPB_Lper_Kyuss_1697:4:186524139:186528844:1 gene:KYUSg_chr4.29710 transcript:KYUSt_chr4.29710 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQGIPFFIDNIIRLLHIQLATTEPKVTIGQHSDILDEPSKVLILNWIVKGDTYWNKENVVPVEKDAYQMQTPHMSSQGQGSLVTATTFNNENEVPSVNKKNEVPSEDDEWLRRNDSYQRQSIPMAFQGQEIIPTGHF >cds.KYUSt_chr5.2615 pep primary_assembly:MPB_Lper_Kyuss_1697:5:17501835:17509106:1 gene:KYUSg_chr5.2615 transcript:KYUSt_chr5.2615 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGVAGRLVVVLKDALQLQGARGLELERATSGDTPSYEVPELQLNICMVSELFVQILATGLGALALVWATVVLLGGFSTSLQRADFWVITVIVFIQTARYLLNLHPLMALYFIQIDGVIGLPVLSNRVVGINVSPEAEFFNQVPPAFLELGAEHYSTWGRRRPLTGQATGRNFVYYLMIALGSARDFITIMAFLAVLVLAVPMIAGLGCISLSIYRLVALLSRHHENSNVFRALILFYALVLLQGGLFIIWLFLRLQRAYLAIRLSLKYRLEDKRELIDKYMDKTLSTAIKNGVSTTINRNLASFAADLIKSDYSRDHIDAVLVIHTLASQEDHRTRTLSQIQSSPLCVSRLLDMVTSKSRTDQRTKICIAEIVAHLASNLHLADISGATESISSMIDPCFTKISTTAASVNIDHQTAIGITIDQQGTIQSASATNRAENSGLCISIKQLMERQTAWWKELIRNNQQRTLWSHRNTSGEDSLTDDNQGTLRSSSTTSGAESKPLIIHGLMILAKLAVNPDNCKQIYDSKGLFSKIISPVKIRVYLIPGHDGIAMEIAEKALEVVSMLVSGTDETNGRIREDICSNGIAVNDIWPILQRDHMYNKLKVPATRILTELYLDISTRATIGLDNISVFIEVLVNIFFDADNERGLRKTAGTALAGLAMDNANCMTIINFPTETGTSVELLTAMIPKAEDRLYRTAIAQLLMQFCANSNSNEEREHLKSVKTILHEVLKVICDVDQAANTNHASSPQHNPSQQQAANADQAGSPQQNSGQQQAANTNQAGSPHNPGQQQAANANQRGTPQDNLGQPPFTRALHRLNSLLGAPRSRVANRNTPGNNLPAVAQLVGGRRISLVAFLGLAMQICDRLKISADDFDTALADIPLAMGEFVDKLNDIIEQCKGQSVDSLEGEGPSVDYLIIIKTLTKLCTWMMQHKPDCIAEFQNKNTSTKLQGALEDMRELELGMLLTGSGGDIANYQTLSTIVAVARQKMDANVRG >cds.KYUSt_chr5.19519 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126617347:126620804:-1 gene:KYUSg_chr5.19519 transcript:KYUSt_chr5.19519 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPFKQLLPKEHSGPSGGLEGRTIDVGNVKVHVREAIAEGGFSCVYLARDMANPAKQYALKHVIVRDRESLDLVQKEVTVMRSLKGHPNVVTLVAHAVLDMGHGREAFLLMEFCERSLVSALESRGAGFFDEEKVALIFRDVCNAVFAMHCQTPPIAHRDLKAENVLLGADGAWKLCDFGSVSTNHKCFDKPEERGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLMYRICYSKLAFDGESKLQVLNGNYRIPELPKYSSSITSLIEEMLQSSPEARPDITQVWFRVNELLPLELQKDLPDGSPSGSAFELHTTEDEAPRQLLHGSSLSPSRDNVRNTPPKDPSSLRSQVPLTAAESKGSVGAFWSTQHAEELAFVNDKGSAFDHDPVSKATSKQPQAKNQKTTQNRQSLSASVDSSPGDFEIRFSPNGSEYGLEKTKITKTDDKPTVQAAAFNSFVADFDNVKVRSQDNTSSLKTTSQLKEQQLEAEVNLLKEQLKIANLEKEEISLKLDKLSAICSSQRRDIQELKQALATASASPPVKELKENSNVEFSSPNTSLDTPPREKIAGTPPELRQGLFTSSPGTPSPDLKPLSAFPEEPKAQPVMKSSHPRSVRTLRANSNKVGSLGQSKTNFGADPFAFGQDSFKAVPSGTVPSEMSNFGDASQSLSGLKTEAEKDAPYQPAGWTGF >cds.KYUSt_chr4.18682 pep primary_assembly:MPB_Lper_Kyuss_1697:4:117165987:117167941:1 gene:KYUSg_chr4.18682 transcript:KYUSt_chr4.18682 gene_biotype:protein_coding transcript_biotype:protein_coding MERPHGFFAALKHEVARGLSPARPRRRPESADLDAALRFSGGPGGEMLAPLMEGPDPESGAAGADAGGRREGWGRWVRGQLARAPSSVAAAAAGAGAARNDLRLLLGVMGAPLAPVHVCAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGHKLLSSVRNTYVMGKVRMVATEFENAGRLVKNRNAARCAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGSHAAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCTDPETLKARTEGLAEIIRHVMFGYFSQRTGLLVHIEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVDGIMIAHSGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSVCEAVELPHGEKSKFGPPAGHRAKVAALEKADDDNKLAWRGTKPEDHH >cds.KYUSt_chr2.17776 pep primary_assembly:MPB_Lper_Kyuss_1697:2:112018323:112021844:1 gene:KYUSg_chr2.17776 transcript:KYUSt_chr2.17776 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLQPPETLCIQRCQDRRLLQVGGGLRLLAPLRRLLRRLSSRICDVVLVPHREPWVHCVVGVVVRVGGCGCGSGCGGGGGAASSARLLRPSMEYFVLRRRDIFEVDRRAWSGGGGGDERIAPRRWTAEGVCLGGADENQTHVYRAMAPRDLVAVQRVPSAGQQFANAKHGDTNAATAGSCIKIGEGSVNASWSNLEAPFPIKRQYHQGETERMHFFLALSRRPDLSTSPSSVLSLPMALPPAAAAAALADPLMAQDIWMACAGPFARLPSAGTKVYYFPKGHADQCRGHNLPVVPADTAVPCTVESVVLHYHPVSDSPYAVISLHHGEGPAQPPAAPAPALAPAPPQFPDLHYYVKHMEGTSDDRRLVVPKPCADELFYPPLLPLVPHPPGQPYPNQDMDIVTVQGDTISFKHFLQNGSNTLRQPWSDYYQSKGLKMGKGKDGVVLMRCAEGQGGLLIGFRRGEPSTDLASELIDNFQAASAAAGAVPSSQLVLGQVHNAPADPFTVHYYPRQGWPFVVPRTEVDDRLRFDWEQGLKVRMEVPVDNHEMQASRDKAGAPSYFHGDITAVNNDGRWCQLQVNWEESSAPTPSENVNTWQVQLFAPPVRKRKPTDPLHAPSSSGTGPSRLVLHDIA >cds.KYUSt_contig_7475.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001547.1:16413:17201:1 gene:KYUSg_contig_7475.6 transcript:KYUSt_contig_7475.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPAFAGKAVKNLPSSTLFGEARITMRKTSAKAKPVSSGSPCGTAPTAYSTLARSRGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHARASLQSGPSSVVLMGAVEGYRIAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >cds.KYUSt_chr2.16077 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101249165:101249494:-1 gene:KYUSg_chr2.16077 transcript:KYUSt_chr2.16077 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAALTILTATASQRRATKWSRFSRPRTSRRIDDGRDGLRTLLITDAARAQLNFFLHGGLRSGRIQVRRRDRALAVAAVRAGTDPAIAATADGMLRIPTQSMASASCS >cds.KYUSt_chr2.11966 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76016540:76017784:-1 gene:KYUSg_chr2.11966 transcript:KYUSt_chr2.11966 gene_biotype:protein_coding transcript_biotype:protein_coding MCRTGLFQQEVSVLRQGRHPNIVTLIGICSEASALVYEWLPRGNLEDHIVCSNGFPPLPWQIRTQIIGEVCCSLLFLHSYTPSALVHGDLRPCNILIDANYRSKLCNFGMSALFLQPGACPPNLIARHPYMDPEFLTTGDLTPLSDVYSLGVIILRLLTGTPALAIARRVSEAFESDSLHLLIDKSAGDWPYTQAKQLALLGLSCVEMTRDKRPDLLTKVWTVIEPLTRKPPTASWRFVQSASRESCTPSHFICPILKEIMNDPQMASDGFTYEAEAIKSWLDDGNLRSPMTNLALPNRDLIPNRALRSSIQEHLQQQQRSNS >cds.KYUSt_chr2.16700 pep primary_assembly:MPB_Lper_Kyuss_1697:2:105029582:105037813:1 gene:KYUSg_chr2.16700 transcript:KYUSt_chr2.16700 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVWDGCGRRGSGALRVLEDIPTNPVKGYGYRFIYTSRGAAELELRTSSMVGTISLCSASMRVCSVRGPKGDALGRGLSMDVRAVMTDSVRSMRAAALPLIARAAVLLLLSALPLSQAYTYEQDVFAINGLYTALGSPTVPGWVTNGGDPCTENWQGVGCAESNITSITLNGMSLGGQLGNTLANFTSLITLDLSNNNIGGTIPDNLPVTVQRFNLSVNQLTGGIPSTLSTLTMLTTMYLNNNQLVGDIPDAFSALTGLASLDFSSNNLTGPLPPSVGNLRALTSLHIQNNQMSGTLDVLQDLPLQDLDIENNLFSGPVPTKLYTIPNFLRDGNPFNTSIAPSPLPAAPAPSPSLSPSTGRVPSKEPTKSSDVTNGNSPAPGKNTFWTVKNIGYIIVGVVSAVAVVLMVMFCVSKYKERKSKNGVYTKSHMRREPQRLGGSKIKEVPEIKEHSIRPKNTVVKASNVVSNSKEELKVNTSIKAPNVVYNAKEAALYPPIGAAPVLITKKQKEHVIDMEKPDDFVEEPLHFPQSAAPRTEKTIVSTSVRTKKGRVPSLGKIDLKTTVKSFSVASLQQYTNSFSEQNLIRDSRFGRVYLAELPGGEILEVLKIDIDNSRVPVDVFLELVVSISEVNHPNILELVGYCAEFEQRLLVYEHCSKMTLHDELHYVDEPSNALSWNARLQVAVEAAKALQYLHDGCQPPLVHQNFEPSVILLNSTLSVQISGSGLASLSQLSGSLRALFHYEAPEVHESRSFSDRSDVYSFGVVMLELLTGREPYDSSRPRAEQHLVRWATSQLYDIDAISKMVDPFIRGQCSDKALSRFADVISRCIQHEPEFRPPMSEVVQDLTRMVGDATKASM >cds.KYUSt_contig_257.357 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2749972:2750184:1 gene:KYUSg_contig_257.357 transcript:KYUSt_contig_257.357 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGKSEQHYGDASNEVTAPAGVAVASLRRDFSPVLPPNPSPSKKRRKEPPFGHTAVEKRRCRRWRGRC >cds.KYUSt_chr2.1654 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9766654:9767857:1 gene:KYUSg_chr2.1654 transcript:KYUSt_chr2.1654 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCPDPVEYCRKAEAIGSDTLAQVIAAEVCAGRTPSVLVYDPHMPWAGRVARAAGLPTAAFMSRSCAVDLVYGEAWAGRAPLPMADGSALRRRGVVSVDLGPEDLSPFVVSPELYPKYLDVSIRQFEDLEEVDAVLINSFRDLEPHEAQYMESRWLAKTVGPTLPSFFLDDGRLPSNRAYGGSVVLASYGTVYSMDAAELEELAHGLCASGNPFIWVVRPSEAQKLTEEVRDNCKQKGLIVPWCPQLEVLAHKAIGCFLTHCGWNSTTEAITAGVPMVAMPRSADQPTNARYVEKAWKIGVRMRANEKGYVTREEVDGCIKEVMGGERKEEYRANAKKFMKMAKEAMQEGGSSDKNIADFAAMYLPN >cds.KYUSt_chr1.2007 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11567081:11572114:1 gene:KYUSg_chr1.2007 transcript:KYUSt_chr1.2007 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKRRRHSMVVRHGAPELRPGGLRTSEVAGLLAGPVHKRGGAAQTILMRHAAVRAGTKKQKYEKWQGLRAGNKKQKFYDFVGFGVPSQQTGSVIQQITLWESYEQCPCCNFADHIHRVGRFSVGGIPDARGTMVARWGFETRGKAGWSFEGLWFGGGMCLSWRKWLQYPFERWSGHKISSCATGHLVLGTRSTRYRTPTSTPSSLTTSRTAVWNQTSPNSHFVQHGIDIVVAVRDASKRHTIVDASVASTSPTCHRQGLHQQNHLVNTRRRPLHCPLGWTHAL >cds.KYUSt_chr3.14843 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90244357:90248558:1 gene:KYUSg_chr3.14843 transcript:KYUSt_chr3.14843 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHRPAVLSLLLLLLITLALLSPAARASESPQYTTVHAESDFEVRLYDDTVWMSAPSDHTSFHVATKLGFHRLFQYLMGANLNSSRIRMTTPILTSIVVPGEGALQSSTYFVRLYLPTQFQASPPVPLPELNLRPDMWPGHCIAVRSFSGYARDKNVVDEADKLAMSLSRSPWGNSTNYPSKNAYSIAQYNSPFRIIGRVNEVWFDVDCKSTSTGVEAY >cds.KYUSt_contig_786.71 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:440241:441815:1 gene:KYUSg_contig_786.71 transcript:KYUSt_contig_786.71 gene_biotype:protein_coding transcript_biotype:protein_coding MNELRKLKRGISTKRSDPVIVQQAQIPFDEWEEQQRYWPSPLVPLATPSPRAPLVSPSLRALQVSPSPRAPPVSPTGSPRTPGGSQKKPVLGKVKSKAKKWMHLLHHKKKLPAHEEMMMWTPRIGPGLDDGYSRGELHDADEHLGTPSTALHPTSFAESAHEPEVYMEVPSRQNTPAPSPNARQEQPFFKVSSRFESEMKEANEMLMESKQLRVRTSKQKTVTFAPILEYGPEIENKGRSVDKELPESTTGVFRKSCTTVYQAGLKMVSRIQDTMVAYNIDRSRAVEKIVSVNRYLMLKLEPGEDDEALAEGITEAVLNLLEAWSENVERPLMQRSKEISSWFLHGGREESLPVPVSTHPCANEDAEEFYSLDN >cds.KYUSt_chr5.29581 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187569391:187579214:1 gene:KYUSg_chr5.29581 transcript:KYUSt_chr5.29581 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAALGEADGASAAAAVAAVRVSRGAPGTWRRVRRAAQEAAAHALLLCFTVLLALKLDGIFFSRSWWVLFIPLWLFHAVVARYRFTLPSPALPQSYQRIPCHSIVATPLLVAFELLLCIFLEGHGESFLDLKLVFLPLLALEIITLVDNFRTFGALMPGHGETITDEEIWERLPHFWIAISMVFLLAATSLMLLKLCGDAVTLGWWDLFINFGISQCFAFLVCARWSNPMVGIEPVLGYWWPCTDNSYPDFSSSSMYALRGIVHFAVIHQRWNGSYVEFASSGTSALFCFHAAIMFYANEAMQSCLTSATQFYLKFQLHEKLPSLVLSNDEWASIAGACLSIELMRRPFLLRAPGRLNFAVREWVHKSTFVAGHGLSSLPSGCHDLACPVAYIALFFAIAFQKMVPERDGNPFAPPQAGGIQFSNVAVLHVFVCLDLKLEFKSVFRYPTLGYNNFAQLSVNSWQEVAMEVQARVPSFASIDRFVCNSLYYTRYGLYGADDEMLIKGGAEQLMYKASELMGFQKTMAGDGVAHSASVVPGRLMIMEG >cds.KYUSt_chr5.30244 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191774448:191774786:-1 gene:KYUSg_chr5.30244 transcript:KYUSt_chr5.30244 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEHDMGTGLLNVVGKVIHVQLIDVGLGGHGIRMLQHAPSAVDLAVVARRAEDGLRGGWDGAALSGVEHGIHEHEVVGGVVGIGAEDELVGASGGRGLQRDPLDGAIIGRD >cds.KYUSt_contig_786.498 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2808350:2812635:1 gene:KYUSg_contig_786.498 transcript:KYUSt_contig_786.498 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATVEPSAPGENYSLLIMGDNDGDEDGGRDGFRGQFPVPAACRNRDFYPPDLGFAMAAALEVPTMAAWGDWFGSTVNTVIGPFHNLFAKHAVYCFTADTNVMDHKREAEVLKEKVAGVQQKIRDDKHRLEFMPTEQARLWLESANSAISEEEENRLLYEQRYRCWGCCSPNFSENYRISKRADEQLKQVKSITSNPPGDNSITRSPDPRPVKSMLVDPAPMPRSREVILEDALRFVASNHPNEGIVGMWGPDKDDNTNLLKHINNSFLEKSLFDFVIFVPSPSACSVTNIQSEIISRLGMKQDGDEATRATRIREQLEGKKFLVIMDDLRQNLDLGAVGIPHPLGFVGEKKRKVVIMSLSGYRSVGNLMGVNKDIELPILQEEEARELFRQSINFQGDLYSDPDIGPHATDLVRTINGLPSELVRYGKSMHGTRDPSSWKVAIDDAASKFSSLSLENEAWEVFREKVGDQTIFSPGIEDRARILVAEMKGLPLALVTVGRAMYGKFHPDQWDCAIQHMKNSCCIDRDEDPLKMEKEVFRKIMFSYDNLKSERLKNCFLTSALWPEYEEINRQELAQRWIGLDLVDVGNIQSPYTKAYSLMGDLTGACLLEGCGESIHYVKLHDVIRDMSLWISCGCGKNNDNWFVRAGVGPDGNCGIPWSSAEYISLMSNNMKKLPCVDDPLKLRVLKEIPESLCHLTELIHLDLSDNYELEEVPHSFGNLIKIKFLYLQNTSIKIIPDEVISRLEALEIIHLDLTWVSDCIRSYVYREMGTLKHLKVVVTSVVLLDGSTSLSDAADLPIRRLRLVTSAEKKEFHLYDILSLAQTTLYELDITDSPYVTDITVRPKQQSYSFGILDQLKLFGFQQCTAVKWMGTSPTSVFPRLTHLTVSDCFKLEHLSWAMYLPCLEKLDVKFCYSMRKAFTRHHVDNVWSGQESSLTFPSLKHLLLEGCNSLVTIADPDVRFSSLEVLHIFECPEFKKLPFDMASLPQSLKVLQIDDTESWERLELEGGVKSFLQPKLQYYGWLHVNIDISQFRDTSVRR >cds.KYUSt_chr2.17034 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107207092:107207980:-1 gene:KYUSg_chr2.17034 transcript:KYUSt_chr2.17034 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIMAAASRTVAAKTPFLSGQSRAASASPLRVVAAAANGRITMGNDLWYGPDRVKYLGPFSAQTPSYLNGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCVFPEVLQKWVGVEFKEPVWFKAGSQIFSDGGLDYLGNPNLVHAQSILAVLGFQVLLMGLVEGFRINGLDGVGEGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLFDHLDDPVANNAWVFATKFAPGS >cds.KYUSt_chr3.24299 pep primary_assembly:MPB_Lper_Kyuss_1697:3:150873921:150874859:-1 gene:KYUSg_chr3.24299 transcript:KYUSt_chr3.24299 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPFMDKTPHHRTGVGAAGRLKQRLALLLVRSSCTTNTTRTTTTSATTFVSLDKATANPRQEPRHSPDLCTNQGTKLEEGSRSRRHRRRSASVVHASTDCTGTASGRRSARSDVPGLSSPLPTNDARKQSKSRGKPRSPSVPRRHCSYYSSGRGRRPPMASTPYSFSSSTVTDDESGEEAETRTLFSSLSFSSDSTSEFYHTNSSRTSRKSHRNAPRRARRHSSVAAKHHQWNNKEEAGAVGEGMAVVKRSSNPYADFRSSMVEMVVERRLASAGKMEELLVSYLSLNSPEHHPAILTAFEDVWEAVFGQA >cds.KYUSt_chr4.24026 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151327749:151344096:-1 gene:KYUSg_chr4.24026 transcript:KYUSt_chr4.24026 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVAFAAVAVMLLATWHLAAAAPLTAPAFLWAPENYGSDEPKEAVHYQTTSPASLAKSVLDEGGWSKLVCSREGSHKNVDIAVVFLGSKVSFARSEFFMAFPYVAMADDDKLESSLLSGFVENCDSDFQGNHITYTDTCTDLVMSRMGRNPGGKTDRIVFCNGGFKDLDNAKSEGELLSELVSVLKKSGAKYTVLYASQPYGLVEKTSNLPITRYLAEKTNTRASDAHHLDIRILLYDGDRYPLKGRPNGNQKVIVDPELPGHFGTLAANDWTIYDGPADGPDANLVARAQGLHIGAQMSKESYFICFNMVFIDPRFMGSSFKVMGDFQANEGEWAIVGGIGEFAYAQGVITYKKTQLATGGNMRDLHVRALCLSLSKPGETPCSDSNIPDAPETPDIPDVPDTPNIPVQIPVVKVGPWGKTSGEFLDVPAIPRRLESVTIRHSSNIVSLAFSFTDQAGEKHTVGPWGGQGIPANVYSKDTIELGPSEFVKEIRGTVLPAGPLAVQYTIAAGIASLEIDTNMRTYGPFGSRNVHPFSVPVHGNNSIIGQQQLAPVYMQQPAPSFQQQPAPSFPLQQPYAPGVAPKRKNKKKKAGAATVIALGFSRGSCMPAVRQQQVLTQQPVMALQVQTHDVVQAMPPQVTVVMEVGDGSKTAAKVKKAVWCSKCSDHSHATKDCRVKHYCYFCDSVVNDALAPTQSPVALVVVVGDVVPADVIAKQVARRCSDRPGWKWEAVPTDELRFLISVPSFEDLDRVDGIQVTVPGFSSTMTISAWQSSEVPHKFELHKVWLHVDGVPHTLRYFLGLWAVGSLLGTTFDVDLLSLRRRAVVRIQVAMIDAKVLEKISDSGKIIKSDVVVKLKAFEFRFRREPDDYVPDPDFIPLIWIKKDDADDEGNGNDAVDDDAMDTSEARAGPSTSVAPQGAGGGGGNSTSGGTRTVAAMIALTPFNPNPQTPKAKEIVERLRRTSPTLEARGSQLSSMGATFPVQGATVSPRSDRPARGRICTLGRTTPAAARRAALSSSSFESYGAGSDATSGGAGSLTPGGAGLDARAPLHPPTVASPDAATSPGGSAGQQAVAPALQPEHAVVVGQPGSQQAQPAAVGHHALQHGNVAGPPTSRPRAGQPAKGSATSALAAAAQVRPLTPTGAPVADGLPIVQPDTPLSAAASVAAQGSSSATRGGIAPSVGLGRRSTSSCIPPDSTVGTGAFLEEGPASPLPSSKGANTSSAGVQTPTRRSTRRCVVADGATATDEDSMAKAMGRKAVANLDAKENKTEKKGEIMTYQAHLVDAAQQQAQQSSPPSSHSLSPPQPTTVEYLFAMDNNEERVIVFLFSSSRTGSRHRDAQGHVRGRRRYS >cds.KYUSt_chr5.29210 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185076789:185080806:1 gene:KYUSg_chr5.29210 transcript:KYUSt_chr5.29210 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRPSIWTALFLPVLVLADSFQPRATGCNRNCGGVDIPFPFGVEDGCFLPGFGINCTDGGTPILADNPRNLKVLNLTVMPRPEALVMLPIAYQCYNTTDGAIKPDESFDGIIELSPLYRMSNTRNELVALGCSTFAFTNSKPSIGCLAYCREDYGLPEDGVCTGIGCCSVDISTTLNDNNIIFESEPTNFWTHKGVNISPCDYAFIVEKNTYRFKVSDLNMDGSSITKPMVLDWAIRDDNVEHNITCPQAKNTPEYACISTNSECLNSAHGPGYICNCTKGYWGNPYLTDGCQGVAVGVSILIIALLIAFIMLQKKKLDKLFEKNGGEMLKNVKGLTIFTKDGLKKITRDNAEFLGNGSFGNVYKGTLPDQTMVAVKASIKVDEATKEEFVDEIEIQTQMIHKNILKLVGCCLEVEVPVLVYEFASNGSLQDVLHGQKDQVLPLDSRLDIAIGSAEGLKYMHSYATHAMRHGDVKPDNILLDDKLTPKIADFGLSKLLKQEYFAKVVVGCMGYIDPIFMKTGLLTQKSDVYSFGAVLLELITGKRNVYDEKLSLIIEYRKVYENEKSGREMFDKDIATEEDIVVLEEMGKLAMDCLKEDIENRPDMTEVAEQLVIIRRNKKLGKLSSGNPNNIGDITIYNSPTNTEVSGSSSAEISTNSTPSNMDILPTP >cds.KYUSt_chr6.3177 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18611076:18613969:-1 gene:KYUSg_chr6.3177 transcript:KYUSt_chr6.3177 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGPDHTTSAAAPSQWPSLAHDRGDSSASPMDSLALSSISTRTRPTARHELSQLANNQGSAIATTDQLRSMTLTCSVICPCNAMQAATCARSWASKLQRATRRQPPCCRGSRAAAGKVDKAALPTAGAGGRNMTSEDTAGSAIRDDRLSSLPDDILVNILDRLDVRDVGRTSILSRRWSQLAAKLSRLVINAQDFAPEGVSSANISGDDLVRMNAAAVEATRSILARRNRGEHTIHLLSTAFYLRDDVPISIGRAVGNAMAAHLVEMARFSVMTEKVGLNNLDGDDLVSYGREFMRFFDACPNAFGGVTSLDLENLRFGQSDISKVLVTCKRLKHLRLFNCDSGDPSTLQVEHSHLTELSIVGCLFQQVKLNWLPQLTQMVFDGWIDFQDPLVLGHVPLLEAVSLTNVARSFHNMVKLSEFLSITSIRDLKLGFNSEKIWVQPEHPTASLASVFRQLRFLNLVNLPEGYDLTWTMFILKAAPLLKELYMTVWDHGCVMVTDEQQRNEESYSENKGVEWDSAASDFKHHSLATLVIFGFESADYLVSYVRRVMAAAVNLEDVFMYSRLECDYCPDTKPIRFPWTKRQRMSLKKRFTVGIESFAIIHSSGKLRADHLAKIVSPDCSLLEAKKKW >cds.KYUSt_chr1.9236 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56482202:56485432:1 gene:KYUSg_chr1.9236 transcript:KYUSt_chr1.9236 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWKRQPPPSLPWGTAELGFRLGFVIEDFLAKADEEEPVLEFSPKSGEVLCKHKDKGVENFAHGLCEKCYNKFTKLSGGLEGGADPPAFQRAEKLRLEAAKKAEEAAAMNAETVCEIEISDAEHNIMSPMKNSVEDKSSTVSSEDIAKNHVASEDAEVGGENGKADADPENFSDIDDVEVDGYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGVGVGEGQQKKRRRNEDTKTPAETPAEATYNMLKRKGLGSKINVDAVGGLYNTKDEDSEANEKGDMGFDGEYAHDTGDGETFEGDYADYNKDGYADGGDAGPDNDFEELDFEEFDF >cds.KYUSt_chr6.27122 pep primary_assembly:MPB_Lper_Kyuss_1697:6:172025217:172036690:1 gene:KYUSg_chr6.27122 transcript:KYUSt_chr6.27122 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDKLSVVPSHLEQLKLLIFSSNTPIVKMSNSQTAEQLQLGGVDDVTQLTNRRSKKRSRVWEFFKELPEEGKAICIYCQSKLSYHQGIGVSHFKRHILAGCHEFPSDIDRNAIFPVSDPVVDARFVVDPTVFPVSDPIVDARFVVDPAVTRDFMTKFWISANIAFRKIENVFFKKMMKSAHPSLHVHGRKTLKKDCLAVYDEEKKKIENSLANSGSHVSFTTDMWTSIQELGYICLTAHYIDDEFNLHMHTISFRSVPYPHNATAIHSTIMDCLYDWDLSNKAFAFTLDNATSNTAAVNKLKAKLWTDKPFGGEDLHVKCTTHILNLVVQDGMDTIKDATEPVRDVVKHVNSSGPRLQTFNLLPEMSGHEPKKRLKLDVPRRWNSTYSMLEEALKFKAALTSYADVQNIQGPTVEEWSLAERVCNFLKNFADATKVLSMHKFPTAHRYLEEIWGIRELLVDDKYTSDDFLKELCKDMKAKFDKYWDQPNKVLLVASLLNPRYKIALLKFCWTEAYGEEVAEQRVTDVRKWFKEYYEYYECMVQSSSQGSNINSSHEVGGSANMPSTLTGKRKLELGFALFKQQYRPNHSRRSEVDIYLEDSLVPLSEGETVDVLKWWKRNAENYPVLAKMARDFLAIPLSSVASESTFSIASMIIDKHGSSLNPETAEGLICSKDWLKEYLSDDDDDDDDDTDDDDASKEESDVRTTLVAWPILSRFSSKELEPTLEEVEETPQRHLQEAEQQPQSRLHRRPALDWQTFGRSLRTTIPTLPARASLCSHWPAHPRRRNHVITAQRPSLASPQENQNWRQQNSRSLDLGSSSRITRRHHEIEDGWLLHERRQEQPPNSSHQRVEAAEAPTSATD >cds.KYUSt_chr1.41704 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255667385:255668053:-1 gene:KYUSg_chr1.41704 transcript:KYUSt_chr1.41704 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCCPSRPAAAQRLPTASAVADLPRLCCSCTPGGALPCDALHPRRVNLHRVGPLLLDSVIFHLVRSVSIGRSASNRRCRGLSAWPSHPRALWRFVSSALLCLLSAVGSRSGRNPSSSFVDAGSGDAHERRYPRWRRCHGPFVPTHPCRAAHLGDKKSPSESLATVSLLCVTILLEGVVLESAPSVVARLSSWLDGCCSCVVLVVGIPEEVPLGVAVLPYTI >cds.KYUSt_contig_988.381 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2265521:2266060:1 gene:KYUSg_contig_988.381 transcript:KYUSt_contig_988.381 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLIPILLVLLVVSHVALASIVEETCAKVENISLRKELEAVCVTTLQAAPGSATADTHGLAVIATNLTLVNYTAAVATIKDLQRHGGWTDGQQAALATCLERYTEALNAMHSAIHALATGQKQAYEDNMIAARRASTDCTAAAVAADKEESPLRKLNADAEHLTVVAMVIFFLLYL >cds.KYUSt_chr6.30485 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193115508:193117222:-1 gene:KYUSg_chr6.30485 transcript:KYUSt_chr6.30485 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHRAYARVGLLGNPSDVYGGRAVSFAVAGLWATVRLRPSEELLIQPHPRHDLVAFPCLSALVERLDGGGYYGGVRLLLAICKIFHSHCKHNGIALEDKNFTLSYDTNIPRQAGLSGSSAIVCAALSCLLDFYNVRDKIRVEVRPNLILDAEKELGIVAGLQDRVAQVYCGLVYMDFSQEHMDKLGHGIYTPLDVNLLPPLYLIYAENPSDSGKVHSSVRQRWLDGDEFIISSMKEVAHLAYDGHNALLQKNYTELARLMNRNFDLRRQMFGDDVLGAVNIKMVEVARSVGAASKFTGSGGAVVAFCPDGDAQAELLKKACEEAGFVVEPIEVAPSVLTEEEVASLYKSPVDKRIS >cds.KYUSt_contig_1991.118 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000237.1:617843:618805:-1 gene:KYUSg_contig_1991.118 transcript:KYUSt_contig_1991.118 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFKAPAPGFSVRFSPFHENRLLAATSQHFGLVGNGHLLVLDLSAAGPAGPGLAPLFSFPTSDALFDCAWSESHDSLCAAASGDGSVRLFDVTLPPAQNPVRLFREHAREVHGIDWNPVRRDAFLSASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVREPAPTLVIPAHDHEVLSLDWDKYDPSILATGSVDKSIRVWDVRSPRAPLAQLAGHGYAVKRVKFSPHRQGMLMSCSYDMTVCMWDYRKEDALLARYAHHTEFVAGIDMSVLTEGLLASTGWDEMVYVWPFGSDPRAM >cds.KYUSt_chr2.7334 pep primary_assembly:MPB_Lper_Kyuss_1697:2:45999400:46000761:1 gene:KYUSg_chr2.7334 transcript:KYUSt_chr2.7334 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTAALTVLVLVACVGRPCQAGYGYPNPMPSPSPSPSTSPPYTATAPSPPPPGPVTPSSPPPYTATAPSPPPQAPVTPSSPPPYSPSTASPPPPTPIAPSSPPPDTPSTPSPLPPTPSTPPTGLAVGYYQKTCYRAENIVREAVRDASKGIMAGLIRLFFHDCFVRGCDASVLLDTADPNSATEKFGIPNLSLRGFEVIDAAKARIEKECGNVVSCADIVAFAGRDATYFLSNKKVYFDMPAGRYDGRDSFINETLFNLPPPFSTVEQLKAGFASKGLNTDEMVTLSGAHTIGISHCSSFSDRLTSNSSDMNPTLKSTLQAECRSNSGSDNTVVQDKKTPDNLDNMYYKNVLSHEVLFTSDAALMMATDTSDAVRANAKDTNQWEEKFKVAMVRMGAIEVKTIANGEIRRSCRVVNAN >cds.KYUSt_contig_2197.164 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:922016:927787:-1 gene:KYUSg_contig_2197.164 transcript:KYUSt_contig_2197.164 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPRRGPAYKTKLCALWQNGNCDRELCSFAHGNVELRRPPSSRPSFPPHHAGRRDYRSTDFRGRPDRRFSPRRRHSPGRDPRGHRSLHDRRPTSRERESSFSRSPSRKSERRHEKKTDGGETNSSKSSPISDDNDRKKEKLSSGDDKEDHENQLKQVRFDMAALREDKSHLEIILDEKMEEVHRISSKVSDLDQQLRREKEEHHRMTSKMKKFIKAHVRFLRAQEELKRSQARFERLGDLLRSDILKRGANEEASSIHIDEDPNAYERSPKATAAKKRPIPYSTSDEAKAAKKRRERDSDTISRSDKYRSEGETTDYDKTSKGSDGTKSIYLKKRLWEDEKNKLGNVVSADQVKESPVKHALPSTGMAARALYDLNEAVDLEDRNEQIDALLDDALENDIDDRSRSPLMPPQPPPVAQNAYGQVGPVSSSKLLRLLLVTSSSEEELEDDAPVEELKLEEMSSSSSDDDDDTLPVPAPLPGP >cds.KYUSt_chr2.15506 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97644745:97646090:1 gene:KYUSg_chr2.15506 transcript:KYUSt_chr2.15506 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEVEDQGVEELAPQIPPLASTLQATAAAPEPTSWASADNDDEDEDEEELAPRTPPSAAPMTCAAPDVVGGNVVVVASPMLLAAPNGLVSCGFAEAFDAADAVDVEDELALETMPATKTFIDAAPIVEERERDGWQEMPGSWSPCSLFCRDPFRCSRCLENGHRARDRRNAWRPISSLAGPTVLSPRQEHAPLRAQVEIPLPSNVPPYRRSWASVVSAPVGSSTSADLQSALEKQAALLHEAVRPLLEVVDSLHGWVLALGGFLERAEAALGRLSRTPADPLVLPDVGKVGASGAGLYGCFSPRVGACSAVTAPVMQVMPELQKLCGDAVMPPCAKEVRSDLHEISVVASPSSQALDSEKSDVIDAAVDMLVAPFGDGDAMSGSLSTVPGAIVAREVSDFLATLASAYPGSTVV >cds.KYUSt_chr1.33820 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205481552:205485331:-1 gene:KYUSg_chr1.33820 transcript:KYUSt_chr1.33820 gene_biotype:protein_coding transcript_biotype:protein_coding MDDITGEMTVELWRKCLSLWEAVETVQSDVSRPDHISWKGAKSGAYTAKCTYEMLCQGSVRWSMSGVGLLLTHEMQDSRLVGHEIPSVDVRQECETRSAGAPRMHVMHACKMRITLITFGQMPLCETAMTLVLLIVLLHFVIRGYGSTLNLLEFARFCSVWLAKSLSTTLTDPPSGLACEVDAISEVISVYSENSRPLPSIVLVGTCWFIILNSMISPLKCSNDVFDQVIRECNEHNAQFQSLIRKMVEQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAETIQSFRWKIGPVLPHEIQEKLHFSEKEYFKNHSTAIKSYISEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSISLTKNSLHFLRRTDAEQFISQRLRKKERIYVVFRCEGARQHEGFRTVKLLPSC >cds.KYUSt_chr7.14270 pep primary_assembly:MPB_Lper_Kyuss_1697:7:88168745:88183281:-1 gene:KYUSg_chr7.14270 transcript:KYUSt_chr7.14270 gene_biotype:protein_coding transcript_biotype:protein_coding MPLARPQQRTEQRPGRGSGSAVDPVGFLAKTGVSDRAFAQFLRDRHKAFKDRRWDVCSRFIDLKEGSSGFELMGMHRHRQHRVDFMEWAPGARYCALVGDFNHWSATENCAREGHLGHDDFGYWSVTLEDKLREGQEPDEYFFQEYNYVDDYDKGDKGVDVEDLIRRMNEEYWEPGEIKSRKSQLERVARLYEEMFGPNSPQTEEELGEIPDAQTRYNNWKATQKDGPSSSAPSYDIIDTGQEFDIFNVVTDRASFEKFQTKAPPLAYWVEMRKGRKAWIEKYVPAISHQDKYRVYFNTPDGALERVPAWATYVLPDAEGMQSYAVHWEPPPEEIYKWRYGRPRVKGSLRIYECHVGISGSEQKISSFQEFTSDVLPHIKDAGYNAVQIIGVVEHKDYSSVGYKVTNYFAVSSRFGTPDDFKKLVDEAHGLGLLVLLDIVHSYASAEEMVGLSLFDGSNDCYFHSGKRGQHKYWGTRMFKYDDVDVLHFLLSNLNWWVTEYRIDGFQFHSLSSMLYTHNGFSTFTGAIEEYCNQYVDKDALIYLILANEMLHELHPDIITIAEDATYYPGLCEPTTQGGLGFDYWANLSIPEMWLWHLENVPEREWSMNKIMKVLISSNDNMLSYVENHNQSISGRKSFAEIILNTGMCSSGSVDDDLIFRASSLLKIIKLITFTTSGGAYLNFMGNEFAHPKRVEFPMSSNDYSFQLACRKWELLDKGVHKHVFSFDKDIMSLDENERITKRGSLNVHQCDDTSMVISFTRGPFLFVFNFNPELSYELHRVGVDEAGEYQLILNTDETKYGGRGELNSSQYMKKTSDKRVDGCRNSLELALSSRSAQTGAPYKSIYPSTGQV >cds.KYUSt_chr7.14700 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90879250:90879543:1 gene:KYUSg_chr7.14700 transcript:KYUSt_chr7.14700 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVSLATVSAISADLSFTEHWDGGGTGGELGIEQHYFAGWKGRMEDKTWRFADDSDHLELELMNDELLLQRLKAPREDLRWQRTPQRACMVAWEG >cds.KYUSt_chr5.43078 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271633890:271634213:-1 gene:KYUSg_chr5.43078 transcript:KYUSt_chr5.43078 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMFDAYVRPANLGSDGRISGQEAVAFFKVSGLPQPVLTQLIQSSPISTLAPPSLARFCSSPVTSKAVAMEQGFKQAKEGDADVKIGDCNFFDFCTFTVAIFYSQL >cds.KYUSt_chr7.18556 pep primary_assembly:MPB_Lper_Kyuss_1697:7:114990149:114993636:1 gene:KYUSg_chr7.18556 transcript:KYUSt_chr7.18556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-1-like protein, Male meiosis and anther dehiscenc [Source: Projected from Oryza sativa (Os08g0117000)] MSKPNSNVTVCVRFRPLSHKERKGNDDKVCFKKLDSESFVFKDERDEDVIFSFDKVFYDDSEQSDVYNFLAMPIVTDAVNGINGTIITYGQTGAGKTYSMEGPSILHCNELKTGLVQRVVNGLFDCLRTSENITTWTVKLSMVEIYLEKVRDLLDLSKDNLQIKESKSQGIFISGATEISIMNGSDALERLSEGIANRAVGETQMNLASSRSHCLYIFSVQHGSTTDERVRIGKIILVDLAGSEKVEKTGAEGSVLDEAKMINKSLSALGNVINALTTAKPNHVPYRDSKLTRILQDSLGGSSRAALLCCCSPSSSNSPESLSTIRFGTRTKFIKTLHKLIPTEVDSAKKHTPYSCDQDDLPDGTPSKAGSSQSEASDPSLDSGDHDSLRERLLSKLRSSLKEEDVDLLEELFVQEGIIFDPDTVVLDIDSACHDAASRQIASLVQAVEELTETVQELTDENETLRHQLEVAQEIAARAQCAAAERSGGALFGFVPAAVLRLVGFMPD >cds.KYUSt_chr6.25658 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162565464:162567564:1 gene:KYUSg_chr6.25658 transcript:KYUSt_chr6.25658 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCASELELEAFIRCRGALAAAEQKPGHADGGADFGIFSAADLAGFGFGDSNTLNGSIQNHLWSQSPNLGARHPAVSTTIESQSSIYAAASPTSATNLSMKENQAFGGTSGSDSDSESMFDMEGGMCDQGTNPTDVKRMRRMVSNRESARRSRKRKQAHLVELETQVDQLRGDNASIFKQLTDANQQFTTAVTDNRILKSDVEALRMKVKLAEDRVARGALSCGLGHLGLSPASLNPCRAPDVLAGLDFLPGGADDFGSLSPAEQVQSSPMQSMASLESLEHSSRMLGGDSVDVWGWDSHSNGAMSK >cds.KYUSt_chr1.8842 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54353682:54356113:-1 gene:KYUSg_chr1.8842 transcript:KYUSt_chr1.8842 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRPLKDAIDAGARAGPGVKAIPTVRSKKKTLAKPPAAGVAATRAAEAKKKAAEKKATPSDLGGAGSAPEEPVTASQAEKVSTSRAEPTAEVFPLPSMVRGGMASAAMGPDVVPPVVEEGSTDVGSTEGQKAPEVEENIVEEGGLSEPLKERRSKAARDRAPPSDADTRAGEVPSTEAVMQAEEATKSRHPPPSTLTFSELHTALGEAHVAEVKRLTALVEEAAQKNRKLIALGKAQAKALAEAREGFVKESFYREAEFRAQQAEEARKRAKAEVAELTKVLEQKGRELEDVIAEYKVKLEAATDARDSARGAAASLREEVAALKQQHAKELAAEKEASEGIVLAVQAEKTNFEAFVREMSRQILGTCDFVETATPRECLSTATARIIACAGEILAALQYLSPWEVIPRDTPSVFRAVSNIPAVVDWLRRSSCRVGITMALSMVLAHYSEGFDVEEVTAGFPSETGEFDVAEVLRLMDAVRPFADRVLATADLETHIPSQAAPGDAEKEPGPVDYPAERLFHAAAAGSLSTYPVVLYTPKFRHGDDGVEPVVEGAPGPSS >cds.KYUSt_chr3.37707 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237249884:237250918:1 gene:KYUSg_chr3.37707 transcript:KYUSt_chr3.37707 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAFSAARFLPSTHLDSSARLAPLRAAPTANLAFSPLPPASSSLLTLQSPCPSGPGGKLPPPPRSYSGGGSGSGDDAADSGGGRGGILGIFLAGWAARVAADPQFPFKVLMEELVGVTACVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYMLAPTAALSAASAAASALPSHMFEPGAYSLGSRVATLLSKGTTFAAVGFAAGLMGTAISNGLIAVRKKMDPAFETPNKAPPTLLNAATWALHMGVSSNLRYQTLNGVEYLLGTVAPAPVFKVSVVALRCMNNVLGGMSFVLLARLTGAQKSDKPVDSVSDAKERLVAEGDVIAANVSEARDGETTK >cds.KYUSt_chr5.19447 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126166342:126166725:1 gene:KYUSg_chr5.19447 transcript:KYUSt_chr5.19447 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSWAREPRTSWSTTTVTRRAHLTGHTTAALEQPRAVGKPLDTTVDHMQWPSRPKASTFVSPSEPHISPGDASKEVTAQHIDVANRGILDFCTENGSGVERGVAASPSRRNPAPEGVDNAGPDQPA >cds.KYUSt_chr7.21230 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131480187:131480991:-1 gene:KYUSg_chr7.21230 transcript:KYUSt_chr7.21230 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSFLVLTALLALVPWQGIASDPSPLQDFCVADKKSPVFVNGFVCKNPKDVNADDFFKEANLDKPRVTNKVGSNVTLINVMQIAGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPENKFLSKVLNKGDVFVFPVGLIHFQFNPNPHKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKKTIDWLQAQFWENNHY >cds.KYUSt_chr3.9674 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56792131:56797929:-1 gene:KYUSg_chr3.9674 transcript:KYUSt_chr3.9674 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSRKRLMRDFKRLMQDPPAGISGAPQDNNIMLWNAVIFGPDDSPWDGGTFKLTLQFNEEYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKLQFNTQRSQACLEVPSETPMADDEAAIAPAEESLTMPLLEPAASPRDPYVEVRLYRRGAGPAKVFRSGLKGPRRDRLDVRGIQAYHGLRALFAFKPEASRRGRGLRIRPDPATGHSALPFRDGAAIVLDGEPKTSWTKPVSMIAAGLLVTAVMASVALDGVPEWLRSSTVANALFAPWILASVVIIFVRIRTRPRAPP >cds.KYUSt_chr4.22944 pep primary_assembly:MPB_Lper_Kyuss_1697:4:144390436:144393254:-1 gene:KYUSg_chr4.22944 transcript:KYUSt_chr4.22944 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCAVQQALAAEAASVVRQAVTLARRRGHAQVTPLHVATAMLLPPAPAGLLRTACLRSHSHPLQCKALELCFNVALNRLPTASGAAAMFHQHRLGGTAPALSNALVAAFKRAQAHQRRGGAGDGIQPTAAPVIASKVELEQLIVSILDDPSVSRVMREAGFSSSQVKANVERSVSSSSPSGTNTTRTSSTKESRDKSVPHKDAMRVLDCMASEAQRCLVVVGEGAEAAVKAVMDRVSKLDLPYHQHERLKSVQFVPLSIASFRGLAKEEVDAKAGELRALLREACAAGKGVVLILEDLAYAAESWQTRRGAASVHGGQSQQHYCPVEHAVMEVSGLVSGDSGSGGGRFWLIGFASSMVFMRCGVGQPSLETVWGIHPVVVPDGGGLVLSLSCTSEAQASQQARRSTMGWPLVSSSAVTGDSHLTCYANARTPSPETSIPSWLRRYHDPYHTTPASSGGSNLEQLQDLWNPTRNESTTHHHTSELTLSFSSPLSPAASSLSGYNTTNSIMMMSSSKPWHLEPRTPWPPNHHGPAIMTAERRLDYHQLHTATNPSPESISVQSNSSSLDGVGTAERRRPKFTELTAENLKILSSTLEDGVPRHIKDVAPGVASTVLRCRSGMASRREPLTPRPRPSSATWLLFQGTDGDGKKAMALELAKLVFGSYNDFTSISSAGFTPVHSGSSSGEFAGKRQRSPDYEHSYPQRFYEVIHENPRRVVMIEDIEQLDIGSEMSIKNAIESGRIRDCNGNEVSLEDAIVVLSCEAFDSRSRASSPRVKQRVSINNDGDEEDSGVEKEKMKPPCLSLDLNACAAADDDEERDEEQKERLVDDVEINDVVDGVFFFRLAIGLSH >cds.KYUSt_chr7.16289 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100901006:100901380:-1 gene:KYUSg_chr7.16289 transcript:KYUSt_chr7.16289 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLNAASKQQDPRRRRGERVVPEEREDTIADVLLHDEEEEVPATTAAASGMKVKVVLTRAELEWLMAQLKSGDQRLEDVLRQVGTARTGAAADDSKPTPRADGWRPRLERILECPEPAVDAT >cds.KYUSt_chr7.17957 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111369111:111369476:1 gene:KYUSg_chr7.17957 transcript:KYUSt_chr7.17957 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPCGLAVGSAMMLVGTAGSARAEYVEALELSGNGNRTVMVAQLAVELRGLHASDDDDSPRILHLNSRLEDYWSRRPELEMNTCFRMQRGKAQRCDGTPYKDDDRGVVRAPQGLNPFYFD >cds.KYUSt_chr2.16354 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102863082:102864151:1 gene:KYUSg_chr2.16354 transcript:KYUSt_chr2.16354 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGQTAISGSSSSSLAVVVFEQGSGSREETSWERQQRVIEEEEPEFRDIHAVSPPRALTSSYRRSRPGSRDSWGSAAGAGGSRHTSIRSIGSDTAPSEPFPTMSREFSAMVAAAASVNASSSAAAAAAANGNEHVANGAGDVDGLGRIGEEELEETNPLAIVPDNNPIPSPRRATTPRPVTGGEVVVAGAGQGDEVSVGQVKKEEVECKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKASAWLNKYERKLEEKRAKAMEKAQNEVAKARRKAEDKRASAEAKRGTKVARVLELANFMRAVGRAPTKRSFF >cds.KYUSt_chr2.53925 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336623532:336624071:1 gene:KYUSg_chr2.53925 transcript:KYUSt_chr2.53925 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVPVWFISLAALGALYLSAACIPLLAHLALCLRRPIDLRRRYGTWAVITGPTTGLGKATAMELASRGISLVLLDLDAANLQSVSESIARTHPSIQTKTVVFDLSLVGTAAGDQSIQELREAVEGLDVGVLVNNAAVARPGALYVHEADMERLARMIRVNVTGLTEVTAAVLPGMLQ >cds.KYUSt_chr6.11985 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74621119:74624856:1 gene:KYUSg_chr6.11985 transcript:KYUSt_chr6.11985 gene_biotype:protein_coding transcript_biotype:protein_coding MREAINTDDQPSRVVSDANRRVLSLPSADERSQFAYITFKSDQEAERAMLLTGATIVDMAIIITPATNYQLPAAVLADLESKTPRAIEAALHKAEDVVGSMLAKGFILGKDALEKAKTFDETHQLTSTATAKVSSIDKSLGLSEKISTGTLVVNEKMKEMDEKYQVAEKTKSALVAAEQTVSTAGSAIMSNRYILTGTAWVTGAYNKVATSATETYNKEKMMADQDAELSKNHLHESSVEAGQCQEGDTAKVHSLESTETVQTAAQEGECPKTSMPEDHDMGKGELKSQNGEMEKAQENTETCREEHKHHEAELPKANTPESVLMAEQTEQEHKHPDTGVAITHNPGSPVTIPVCVATDDGNSSNSPKKPEASQGFI >cds.KYUSt_chr1.21660 pep primary_assembly:MPB_Lper_Kyuss_1697:1:127906293:127918544:-1 gene:KYUSg_chr1.21660 transcript:KYUSt_chr1.21660 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLAVLALHLAAAGVSAASSVPGSGAFDPSRIVQLSWRPRAFLLKGFLSDAECDHMIELAKDKLEKSMVADNESGKSVQSEVRTSSGMFLEKKQDEVVARIEERIAAWTFLPSENGESIQILHYENGEKYEPHYDYFHDKNNQALGGHRIATVLMYLSNVEKGGETIFPNAEGKLTQHKDEMTSECAKNGYAVKPTKGDALLFFSLHPDATTDPDSLHGSCPVIEGQKWSATKWIHVRSFEKPDKHGGSGDGCVDENVLCAQWAAVGECAKNPNYMVGTKEAPGFCRKSCNLGAAITHHYYVELTLGQRMDPCWDPDNAATWDTFANRWEMELARYEGGGPPPVDNNEAG >cds.KYUSt_chr1.28432 pep primary_assembly:MPB_Lper_Kyuss_1697:1:171732981:171736020:1 gene:KYUSg_chr1.28432 transcript:KYUSt_chr1.28432 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTVDRERGGDRTKRKQGGFRTMPFILVNEVCDRFATAGFGANLITYLTQELHLPLVEASNTLTNFGGTSSLTPILGALAADSFAGRFWTIIAGSVFFQLGMLGLVVSALVPSLRPGPCSPPATPCRRANGLQLAVLYLSLLCTSLGSGGIRPCVVAFGADQFDSQPKEEQHGDEAGGAEAVAGQKRQYFNLYFFTMGFAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSILVFVLGYPLYVRLKPGGSPFTRVAQVVAAAYKKRAAPLPEDPGMLYQDKELDAVISTNGRLLHTNQLTFFDRAAIVTPADTAGSGKLDMWRLSTVHRVEELKSLIRMLPIWSAGILLVTAGSHNNSFAIMQARTMDRHMTQHFQIPPATMSIFSTVAMLVTLVLYDRAFVPIARRFTGLPSGINYFQRMAVGLAISILGVASAALVEAKRRGSAADHGLLDTPATMVPMSVFWLVPQYAIHGVADGFSSVAHMEFLYDQAPESMRSTAAALFWLSASLGSYMGTVLVTAVQSATRRSGDWLQDNINRGRLDAYYWLVTCLMLLNLGYYLICFRFYTMKPLEMAVDDDHEKELELSSVHKNGGGGAV >cds.KYUSt_chr5.43545 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274304766:274308900:1 gene:KYUSg_chr5.43545 transcript:KYUSt_chr5.43545 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAAASRTPARFMQSRFRSSGGKMLSEEEKAAENVYIKKMEQEKLEKLARKGPSTGEQAPSTPSSAASDMKAGGAGPTASTSTGVSTDKNRNFAVLAGTVAGLSALGWYLLSKEPKKTEEVVD >cds.KYUSt_chr2.28210 pep primary_assembly:MPB_Lper_Kyuss_1697:2:173207768:173208190:1 gene:KYUSg_chr2.28210 transcript:KYUSt_chr2.28210 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRCRSRCDSKFLDADAGRELAGGWLEGDEVTCAPPTTVSNLPSEDLWFQTPSWPIPSSGGGQAGSRPAHGRTAPDRWEYIDRVCWGRSLYSLYCSCDGELAPQVRTRDAPGFVAYKLVDPGIDVRRAVMLPFSIASSP >cds.KYUSt_chr2.908 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5357850:5359169:-1 gene:KYUSg_chr2.908 transcript:KYUSt_chr2.908 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSDGGRGGNLKNMVIAFLVPLPSIFFYLTFVRSQDDQSGSPSPVTSWCAAHPLLLANFLFLLNVNVLFWLIGLLLNNNWLIDLYWTVIPVMLLHYFRDHPAAVANVVRSAMVVALTWVWSARLTHNYLRREGWVLGKREDWRFSDLRKQYGKTWWWMSFFAVYVSQQIFLIGICLPIYAIHSSDQPLGIWDLMATVACIAGVVIAYFADTQLHEFVTSNEKLKQVGEPTVPTLEDGLWGYSRHPNYFGEQLWWWGLYLFAWNLGQQWMFIGALVNSMCLGYVTVLVERRMLKQEHRAEAYKLYQKRTSVLIPWFRKAIPEPKQKET >cds.KYUSt_chr6.779 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4929327:4932293:-1 gene:KYUSg_chr6.779 transcript:KYUSt_chr6.779 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGQPAPQKPALEPCHGQPAPLRPAMVPGHDAPAPQQPVMEPGHDVPIVASGQQPWEYSLRKYLLLLATLVATVTYDAGFNPPGGVWQEGARDDASQGQRLAGDPVIRDTHYGRYLAFFYCNATAFAASLVVIVLILILAVRHDKVKEKKGVTSDVVLLRLFMLLDLLSLMGAYAAGTCRDKVSTVYSVLLVAVVFLYIVITKLLDWWFPHKTSNSGSGGAMPGGPIGVPHPDPGSVPKVEEKKDQKAQERLRKVVMLLATFAVSITYVAGLSTPGGFWDSTGGSHRPGDAILKEHHSLRLTVFLLCNTTAFVASLFIIMLLIIKVKKLHQKTARSLQLALYLCIVVALVGLVVAYAAGSCRHTDTTIYVLSLVGAVVAFILLLFLHAYFTSPSKLLSSRSSPAQQTAEIQNKETDDNVSAREALDKARSLVLLLATLAATITYTAGLNPPGGLWQDNGDRHMAGDPILLTTDARRYRIFFYCNSVALVASLVAIVLVQTERLVKHHVLEAAMIFDLFGLIGAYAAGSCRDVNHSIYAMALAGAVLVYVVIHVIFLTLDHKDKKDDAKDRLLEKRRKRLLLFAILAATITYQAGLTPPGGFLLQDDELGHHAGDPVLLYNYPRRYNAFFYCNSVSFMLSISLILLLVNPNLYRPAIRSNALSVCTAVGLFCLMGAYAAGSTQHLKTSIYIFVLVAVVLFVAAGLLLVFLMKEINGNGNSAAVPPIEQDEEQKNFLMKEINGNGNSAAVPPIEQDEEQKKKEADERKESEEERKKHVRRKYLMLLGILVASVTYQAGLEPPGGAWQSSSNGYEAGDPVMHDNRRPRYLAFFYSNSVSFVASIVVIIMLLPHWLPNNKGEEWEEWSLRVMNWTIILDLVALLGSYAAGSNRGWKTSVYVFALIVAVLGYFAIHLTLSFLFDRRKKQGSQPPV >cds.KYUSt_scaffold_3611.162 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:921395:931307:-1 gene:KYUSg_scaffold_3611.162 transcript:KYUSt_scaffold_3611.162 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLARRPPYTAPLAGVDASPIPPSFHAAPTLSPSLTIQYQRRRLPNAAPLLSHHLHPPPPPPSALQSTPYTPPWTSAPPQPFPQPHLLGFGGNQLPRGGAGRRSSVYRASAKERLVAPSPAVLIPAGPASTEAAPRGEQGTPLQPSNSATTCSQLTGHGGLTEHRFPSGPAGAVLPRPVPLLMSPKQEAAADKNQLPPPADVINFCAMPLTREERPGHQSLNGIEYVLLEEKLEQREAKGEGEQHHDKLIAEFPKRFWVQSNIGVQATDSSGVDAMLGFPTGFHYLLSSCRRAAAVVVMTFSLGDTPLRSMMSLPLVAVVSDRASPRNPELLLMVKALGGGGAASDGHT >cds.KYUSt_chr4.26988 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169486342:169487403:-1 gene:KYUSg_chr4.26988 transcript:KYUSt_chr4.26988 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPSLAFSFARFVAREERRFHTRRRRTLAARRRNFSLNFNRRRNFDRNFNRRQSMNGGLRHRRAVLGVPRRRYGPGGGLRRRHNHRQHYNHIHHRVQNSNFAGTPRASTRAQLPRGEVQAMPEAVAAVPEAAAAPEIIAVPEVAEDQIVDAVYEDEASATSITADADELLPLPPAFTFPPMEWLLGGPSAGWLVDDPERDDDELETPLPMMRYFERHGNRPRLPSPTPSDEVAEHFALPGYADVTEFFQPPVAVPVDAPPTALPDLNLPAQEVEEEKNEDAAALLALPTPPPEARVLLRRFASTMAARPGGIRRGTWSPEALGLTNGVAELRLNEAAPHLPSSSLDEPDRR >cds.KYUSt_chr2.14554 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91983661:91986042:-1 gene:KYUSg_chr2.14554 transcript:KYUSt_chr2.14554 gene_biotype:protein_coding transcript_biotype:protein_coding MTETIAFQLPLRAPAPPPLLPHLHLHPHAHSPHRLFSPTSLLSSHPTPTSTSRTPRLGRPHDPTRRSTTGGQAWNLPPSLSLPARRALQSLLSNPTHTSPRDTLSALPPADLPAVLTALAARAHPSVALAALHAARDLHGAHLLHHPRLLPTSIRVLARAGRLADASALLHAAPDPDATAYTSLLSALSRATRYHDAVALFRRMLSSGVQPALVTYNVVLHAYSKTAAPWKDVLALVDAMKAHQVPLDRYTYNSLISCCRRGALHSEASKVFDEMKAAGFEPDKVTFNSLLDVYGKARMHGEAIGLLKEMELAGCPPSAVSYNSLISSYVKNGLLEEAAELKDEMEGKGIEPDVITYTTLISGLDRAGKVDAAIGTYDEMLRNGCKPNLCTFNALIKLHGVRGKFPEMMVVFDDLRSAGFVPDVVTWNTLLAVFGQNGLDTEVSGVFKEMKKSGYVPERDTYVSLISSYSRCGLFDQAMVIYKRMIEAGIHPDISTYNAVLSALARGGRWEQAEKLFAEMETLDCKPDELSYSSLLHAYANAKKLDKMKALSEDIYAEKIESHHRLVKTLVLVNSKVNNLPETEKAFLELRRRRGSLDINVLNAMVSVYGKNRMVKKVEEILSLMKGSSINLSTATYNSLMHMYSRLGDCEKCENILAEIKSSGARPDRYSYNTMIYAYGRKGQMKEASRLFSEMKSSGLVPDIVTYNIFVKSYVANSMFEEAIDLVRYMVTHGCKPNERTYNSILQEYCRHDKIADAKSFLSNLPQLHPGISKQEQQRLLELLAKHTSRGGG >cds.KYUSt_contig_686-1.709 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4204356:4213121:-1 gene:KYUSg_contig_686-1.709 transcript:KYUSt_contig_686-1.709 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLHPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRVIEEVEKSADRSRSHSPRASSISSARFMPVKPFLPVFPVLLRSGIDKSIPCQLAPSMGIRGDKELIPMARSTSSTSSVASNAMDRGKQIKTGLVDFVPHPPARLDAYAYLEEPMEMKFGSFHFCVGREGSHRLAAPIFSGPLAVGSDFSGSSSSTKLSDEEISSTSCIKPAGGGDLANLFGRMSFGSFTDSDLDSDSESIDNFNFIDIFTSIREVLADHYDGVTDPEDEHTMRTYHQVCVIGEASRQEDETSEAFDDLGNPYIDPADLTRGLGSKYVGPTPRQRLPLSQAAWDGVSRAMKNTDPMTTTATVEELQAYQYRLARASR >cds.KYUSt_chr7.22495 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139430271:139437162:-1 gene:KYUSg_chr7.22495 transcript:KYUSt_chr7.22495 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLVFAMAAALEENNNWPFGISSIGHRLREEDKPCIISLHETDPMSGNPATATPAASLADAPAPAPVPAAAAERGLSVSQAMSNVMAKGKTAFIPYITAGDPDLATTAAALRLLDAVGADVVELGMPFSDPSADGPVIQASAARALAAGATADAIMTMLKEVTPELSCPVVIFSYFRPIAERGTASFAAAVKEAGVKGLIVPDLPYTETCAFRDEAIKNDLELVLLTTPSTPAERMKEITKASGGFVYLVSVDGVTGPRANVNPRVESLLKEIKEVTDKAVAVGFGISTPDHVKQISEWGADGVIIGSAMVKQLGEAATPEEGLKRLEVYARSLKDALP >cds.KYUSt_chr5.41855 pep primary_assembly:MPB_Lper_Kyuss_1697:5:263950333:263951269:-1 gene:KYUSg_chr5.41855 transcript:KYUSt_chr5.41855 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGVLLLVLMLPWSELAVGSGITVFINKSVAAGSFLPGFCCKIGELLAGHGGEGERSPSAARFPLSSPLAGRGGEEVLEESGPILDLGVGSSLSCCRCSCSSSLLKLSVLLCHGGGEDFDDSVVAQEDLPRLLPTGCYGSMILRAEHIASMFDAVIFGRCRGLSSTSIVEASLSLLRRLDGARLPSGLVPGGLTTAVGRRFAPSDQDSSR >cds.KYUSt_contig_686-1.1238 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:7704515:7709061:1 gene:KYUSg_contig_686-1.1238 transcript:KYUSt_contig_686-1.1238 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCFSYTSPKPCFSFTPRCSSSASGGKGSGKRKAVREDWREKSKPITPGGVYPAKDQCSRCGLCDTYYIAHVKDACAFLGDGMSRVEDLEPIVHGRGRKDSMDEMYFGVHDQLLYARKTEPVPGAQWTGIVTTIAVEMLKADMVDAVVCVQSDPDDRFAPRPVLARTPEEVIAAKGVKPTLSPNLDTLALVEAAGVKRLLFCGVGCQVQALRSIEKYLGLDKLYVLGTNCVDNGTREGLDKFLKAASTEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYAGVSMTQHPQYITVRNDRGREMLSLVEGLLESTPTVSSGARQPFVMETVKADDAAKMGKGPADPAPRFVGNIIAFLLNLIGPKGLEFGRYSLDYHTIRNYLYVKRAWGSARAEQHMPSYAKKIVDAYNKDGRIDSMLKETKQIVK >cds.KYUSt_chr6.25842 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163766543:163767231:1 gene:KYUSg_chr6.25842 transcript:KYUSt_chr6.25842 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPCPFGFSAATPTTIGTQCVAAHELSLLGALILNLAPNSSRSSHRSSRTDNSPFVDDAERAQAWRIHHQGTRHPGQVVRSRSPARAEASSCKGRTGTGSVRVALPRVSVMVTVTATTEAGSHARVDVVGLDERGLRVSVVYFELEESSHGCGGGEQGQRAHPWTSGPNFATVATARLPPCQKPSIKTAYTV >cds.KYUSt_contig_7516.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001575.1:47387:48598:-1 gene:KYUSg_contig_7516.8 transcript:KYUSt_contig_7516.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLLTFLLFLSSLFPAALSTTFTLTNSCAYTVWPGLLSSAGTPTLDTTGFALAPGESRAVEAPSAWSGRLWARTGCGYDSNGRFSCGTCDCGSGAMECSGGGAAPPCSLAEFTLAGSGGNDFYDVSLVDGYNIAMAVLPQLADGAAAADGAGCAATGCSADLNGPCPADLRVSGPDGAGIACKSACEAFGKPEDCCSGDFGTPAACRPSASSMFFKNACPRAYSYAYDDATSTFTCASGTASYLLVFCPTTTAIASLKSTVSGTSSSPALPAVNNTVSNLGRSRDSGSGWPYAPSSSHASMSSAPSPIALAVVTAFTWDFITRRHWLPPS >cds.KYUSt_chr5.40673 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256704655:256706660:-1 gene:KYUSg_chr5.40673 transcript:KYUSt_chr5.40673 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPGFSRADLTNLMNEAAILAGRRGKELLAGNARETVEHFALFCDHTTQILALPGIDLAEPIPLSSSDDEHPSSVPLAGHLDNTSIQLVPSPPTTNGMDITRFKLDMAKGNYNKWRNFLFVITKYNAWDHAEEETGPHLADVEWRSAEVDIILWIYGPSRTSCRTSFFRRTLVPTSPRRIEGPGTLLHRQRRGV >cds.KYUSt_chr2.55474 pep primary_assembly:MPB_Lper_Kyuss_1697:2:345968849:345973433:-1 gene:KYUSg_chr2.55474 transcript:KYUSt_chr2.55474 gene_biotype:protein_coding transcript_biotype:protein_coding IDHFQEPVHGHEHSNAANGAPSAAAAEGGGDASSPPPLPAAPVDRPQESAPEQAGGGGRGTHGSSSVAVGANLKAKGPGDIPPGPSSPDRAGPSGAGTSSPESLDSKELFEVFAQLVRDAGAEKKRWTKKTPDEEQVKSARPKKGLWSKLKNLFTRRKKEQVLDREDTDEEHRLLDTIERLSTEHTNLGWDSEFCRSQEAFKELINKVMEWKGQGNTASHNRLVVAVQICSHSSQYGNLIPGLGEDYSLKDVSIRISSLTLPPAEFPMYPLFDTRSLILPDPLLYEQWCFQNVTLPREFTDHVMMMALATALEVPLRLERLYGGGSAEDNIYTGPGAVRVTLLYTGNHYDIIYPCAPPAESSSRQDSQRESPAG >cds.KYUSt_chr3.14519 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88336052:88341299:1 gene:KYUSg_chr3.14519 transcript:KYUSt_chr3.14519 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESRGLQQGSSACWSTGAAAWGSSQQKRQRCEGSSSDQVGSSTSGSVQMSEPELPDADYVDNEEEDYYMEDDDEDCDDENDDESEYEFDEADFNQQLADKFDDLDLPPGVEATVPWLQKSAAQDEEEPEITEDEITRKYKAFPQFYTVENFADHHYADKSVGKPSRDWAKRIQHEWKLLEKDLPASIFVRVAEDRMDLLRAAIIGPKGTPYHDGLFFFDAHFTATYPSQPPLVYYHSGGLRLNPNLYNCGKVCLSLLGTWSGKGCETWNPAQSTMLQVLVSIQALILNEKPYFNEPGWAGQANTPSGEQHSIEYNKNTFLLSCRTMLYSLRKPPQYFPDLVAGHFREHGRTILAACKHYLEGNMVGSVVPEEEEGGNGASSSNSAPKQEASRVDPLLRRTQFCDSLNKLFEDLLMEFNVKGADTRKFLEEKLKKNLPAA >cds.KYUSt_contig_3233.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000628.1:97528:98721:1 gene:KYUSg_contig_3233.15 transcript:KYUSt_contig_3233.15 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHRAYARVGLLGNPSDVYGGRAVSFAVAGLWATVRLRPSEELLVQPHPRHDLVAFPCLSALDFSQEHMDKLGHGIYTPLDVNLLPPLYLIYAENPSDSGKVHSSVRQRWLDGDEFIISSMKEVAHLAYDGHNALLQKNYTELARLMNRNFDLRRQMFGDDVLGAVNIKMVEVARSVGAASKFTGSGGAVVAFCPDGDAQAELLKKACEEAGFVVEPIEVAPSVLTEEEVASLYKSPVDKRIS >cds.KYUSt_scaffold_869.461 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:2966265:2969113:-1 gene:KYUSg_scaffold_869.461 transcript:KYUSt_scaffold_869.461 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSFRADMDKDFELMDPAIIAAAAAQGMTVTGAREKVVEIGMTLRAVLGLEEAPISELFNLRELDKSILSCYVLMKIGECQKRNIHDVRFIDPHIVNGYVLERHPRNVEQDLWDFLTKQQLKSQILFPYHFGFHWILLIIEFHNSRVLIMDSLNMDPKLCRLRAFPTVILSSSTPVVPLRPDIDSGRTPPSLLLPTLHRVDQVRPHPRHRFPLQKAPTSRAPLRALLCQLLQLVRIRPSSRWAVEITRVGDCPWLGTFNTAELVARAFDAMVWRMDGPRGPLNFVEVESRKEAEFLALPFNLVRRDEERAVRREYMRWPSASPTRRTWPRSVHPIRTTSRRSSSSTRSLIRIGKLRRWPILLLLLVVRPRPRPRRQYGDGH >cds.KYUSt_chr3.37912 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238556897:238560226:1 gene:KYUSg_chr3.37912 transcript:KYUSt_chr3.37912 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLFQKLSEALLDHFKSCRGIHGKLENLSQILSQLQVFLDDAEVKQLADASVRGWLAKLKDVAYDVDDLLDRYSAKIMYMKHRKMRPSTKASVSSPSFFLHRNLYQYRIKHKIDCILERLDKIAKERDTIGLQMLGEMSRRETSERPQSSSLVDSSVLFGREGDREEMVRRMLSDNGHSSCNVSVVPVVGMGGLGKTTLMQMVYNDDRVKQHFELRIWINVSESFDGRKLTEETLEAAAYDQSFPSISVNSGQITNMNMLQETLSGVLRGKRYLLVLDDVWNEDYDKWLSYRAALISGGLGSKILVTSRNENVGRIMGGIEPYKLQQLSDDDSWSIFKSHAFRDGDCSTYPQLELIGRQIVKKLKGLPLASKALGSLLFCKADEAEWKDILRNDIWELPADKNNILPALRLSYNHLPPHLKQCFAFCSVYPKDYIFIREKLNKIWLALGFIRQSGKRIPEDSGNAYFNELVSRSFFQPFKENYVMHDAMHDLATSVSMEHCEQFEYGKRYVNATKTRHLSFACTGARSTHFDPLYGFRKLRTLILIHGYNSKMSRFPDGVFMKLQFLRVLDMHGRGLKELPESIGNLKQLRFLDLSSNEIKTLPASIVKLYNLQILRLINCSSLREMPQGITKLTNLRHLEGSTRLLSRIPGIGNLICLQELEEFIVWKRLGHNITELKNMDQLQGKLTIRGLNNVADEQDAVCAKLKTKEHLRALHLIWDDDCKLTPPNQQEVLEGLQPHSDLKELMIKGFPGMRLPSWLAGSFLPNLQTIHICNCRNTELPPLGRLPFLKILNVAGATEVTQIGREFTGIGQIQCFPALEELLLEDMPNLGEWIFDIADQLFPQLTELGLINCPKLKKLPPVPSTLATLRIDESGLESLPDLQNAACPSSLTSLYINGCPNLTSLRVGFLAHNPIALKSLTVARCEELVSLPEECFCPFKSLQILHIYECPCLVPWTTLERGLLPTSVEEIRLISCALLAPVLLNGLSYLPRLKHFQIADCPDISNFPPERLPHTLQFLDISHCDDLQCLPPSLCEVSSLETLHISNCLEIEGLPEEGLPSGLKELYIKECPKLTQRCQEGGQDRVKIAHIRDIEIDGDVIVVEQI >cds.KYUSt_chr4.48374 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299599929:299602339:1 gene:KYUSg_chr4.48374 transcript:KYUSt_chr4.48374 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPKKLVYSFVARGTVVLTDHAEVSGNFASVAAQCLQKLPTNNNRFSYNCDGHTFNYHVHDGFTYCVVATESAGRQLPVGFIERVKEDFTKKYSSGKARTAAANGLKREYGPKLKDHMKYCDLHPEEIDKLAKVKAQVSEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFKKQGTKIRQKMWWENMKIKLIAFGIIIALILLIILTVCGDFHCW >cds.KYUSt_chr4.18793 pep primary_assembly:MPB_Lper_Kyuss_1697:4:117886917:117889208:-1 gene:KYUSg_chr4.18793 transcript:KYUSt_chr4.18793 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIIARQENLAVVAFADAPVMHEGNARTAPTITLHDVIFCFQTIKNRFLVNNVYEEHLRAHGLLPFSKLTSSKEKFTLDPSLLSALVDRWRPETHTFHLSCGELTPTLKDVSMITCLPINGTPLVPAAYSSIWPTEVQDRLGVAMLATSRGGSRPRGVPLSWLVNNFQELPAEADDAIMRRHLFAYLLYLLGIMFPSSHGDIVLPGLIKIAENIVDSPPPPSPIYSFGSAMLAYTYRGLCDATKKTNASSKGHILAVSAEFLQLWSWEYLPVGRPHILKPIHPYGGQTEQYGPLTFGSRWVHAKKRWSNNVAHGCYPEYHQQFEELQETMVKWDRYTAHDVYIVWGIVKPPPDMLRDLRLWFTQCNLLFIWMVEPYNPERVMRQFGMHQDIPPPSPRRLVADVHTRTIIFRHIHLDNDSPTQKANTRKDSTLRNLLTLPGPTWELV >cds.KYUSt_chr1.20888 pep primary_assembly:MPB_Lper_Kyuss_1697:1:123379397:123392257:1 gene:KYUSg_chr1.20888 transcript:KYUSt_chr1.20888 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFKLSKVGVRVQPTARSAAPGLPAAAETEKPSAGEKDGSRPDAKREVSHDSVACSVGFGLGVPKPVARTIWDAIFERANDANGIKISPACSRAILPEHEVSFTFSLYDRGYLIAKSAVLDPCQPSSQDGKTLHPYDRASEKLFSAIEAGRLPGDILDEIPSKYYNGSVICEIRDYRKHASNQVHASSAELGLPVVNKVQLQMTFENVVRDITLLSDDSWSYRDFMEAEARIVKALQPALCLDPTPKLDRLCQDPVPHKLNLGVGRKRRLRQNPDVVVTSSYTSHGKKVCINRAPENAKTDEAGITGGNVTHQVMSGGSQQLRPTSSQDAARMPMLSQSGIQPNINYSAVVNDRAVGPPVNFTGVNSSISSQNVMSYNDNGLLSVKRELPEAPLQDPKRVKPTISTDDIQQQQQRQQIRSQSATLGGQDMQWKNQQLHQQLDVKGMQYASLNGQRYPSPVVNNMPDSGASFYFNQQGVRYGAKQEQVDGMDRCKDPLQAMPPENNVLDQQQSHAQHLSQQAAARNNQQNMAQWQNHRVSVEKDMKKEELLQRRKLSAASRVSSAPMVQSPVSSKSGEISSSSMGGQFGSAVTSAAIGSHKDKFAANSNAAVGYPSVISSPSDSMHRMQQPSAAPSKRKTNSVPKTQPVVSGVGSPASVSNMHAVLNASSPSVGTASMGDQSILEKFTKIEAISHRHQLLNKKNKVDKLAPRKPMINASREKVATLLSSCFHTEDYKDETRPLCNSMLSGSINSSKTRILNFVMANRAYQGHTKPFRIIFKDKPDGTVGMQYGDPEDFDNQNSHECTLILPTKYCADLLAIQLVTRMEKEGYDKADDQVVLITPPSNLSAPSGMLPDNTSHEVKQEGGISQQLNAAAHANMVPGTTLQQLSANRMLPSASSNQALAMPQGYMHPMSPRNQQMDQNLIQQQQQQPQLQQNAQSQLQQQTSLPVNQMQRPQLLPTSPLSQMLGPGSNLPMGANHMGNTKATTASLQLHMMQQAQQQQPGQMSRKLMMGPSSAVNMGNMVNNVVGLSGIGNVMGIGNVRPMSSPMGSMSGLGNNPNQMSLGMTSNLAAAGLRPGMNAATLAKMRMGLAHQQRVASMYPQTGMVGLPGSGSPILPSSAGLFTNSLNRNNLTSMQRAMMSTMGPPKIPGGNFQLNAQQQMHYQQLQQQQLQQNPQQQQQQLQQLQQLQQQQQLQQQQQMQQQQQQQQQQMGSPLQQAAQFRGRLLPSRRRGHQDVLPMKMSCSSNPEEETEGGGKGKKAEAQVQAKEKEDNLRNSVKSLLGAADIRANTVGELPADSAADAISLAVDSGELVRVLLQNNKAVLSRFHAMIFPKADQNKTLWQLVDTFLSTPRESSSLVQQMRTRISQMEKDLLGIHAMAVVIKKKGELAMEAEKNAERVRTLVRSQLLAGAETTFAFVLSQHPSLDLEATAKADADVSQYFPVVRDPASIIVARLEISSEANYAVEAPNE >cds.KYUSt_chr3.38764 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244220114:244221595:-1 gene:KYUSg_chr3.38764 transcript:KYUSt_chr3.38764 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEDVPGGGLLTANEFSVRKDPHLGTLRITAWESDRNSISSMASVVPHDRRACKFGYDGGPAAKSLEPKFNLFLKQVSTNTGMAVPHIHIKTVTATTLFLKGYGGGFLILNLSLGAFLLLVYLAFITPVMCDFYNYEMGSPQFVQLFTQFSQNLALCGALLFFIGMKSSIPRRKLKRRAVKTKTT >cds.KYUSt_chr4.6058 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35538534:35542806:1 gene:KYUSg_chr4.6058 transcript:KYUSt_chr4.6058 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRRNLLEASRRLFLLLRSPPPATHRHAHAVATLSAAQRGPSAGSLAATPWAATQRRGAKMLGSDVKLGNVIQRRGRIYQVIKYNHSHQGRGGATIQVELRDVDTGNKTVERFRTDEALERVFVEEKSFTYLYHEGDNVALMEPSTFEQLEVSKDLFGKAAAYLKDEMKVTLQYFDGRPLSGSVPPRVTCTVVEAQPNTKGLTAQPQYKRVVLDNGLTVLVS >cds.KYUSt_chr3.22362 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138124904:138126211:1 gene:KYUSg_chr3.22362 transcript:KYUSt_chr3.22362 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHKMSLGAATASPLGEPLLPGKRVDCTVDDDLEAHLPPYRAAIGASFSKTCLNLTNAVSGIGVLSMPYAVSQSGWLSLALFAVVGAVCYYTGTLIERCMRADASIATYPDVGRLAFGAAGQRTVAAFMYVELYLVAISFLVLEGDNLDKLFPGATVELFGCYRLHGKQLFIVLAGAVILPTTWLRNLGMLAYVSALGLVASAALTASLVWAGVSEPTFRGRNGNVLNLAGLPTSLGLYFVCFTGHAVFPTIYSSMKNNRRFSQVLLFSSVLCSLNYGVTAVLGYLIYGDDVQSQVTLNLPSGRLYTKIAIAMTLINPLAKYALLVAPITSAIEERFSLAGSGPARVAIGTTVLVSTVAMASVLPFFGYLMSFIGSFLSVMATVLFPCLCYLKIYKAQGIRRAEVAAIVGILLLGAFVAVTGTYTSLLDIIGTF >cds.KYUSt_chr5.19193 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124254444:124255218:-1 gene:KYUSg_chr5.19193 transcript:KYUSt_chr5.19193 gene_biotype:protein_coding transcript_biotype:protein_coding MRERERDEKRRPGTTSRLGRVRRRHGRCRWRALPRDEQVLVDFDPYWFEGRAVRAAGKIYWHICQSSRLLVLDPSTIRFSYLPAPGELFAHGRNPKYRIGETPDGRLCLVTDAQQQLQLWVRGKGDKGWIMERKIVDLLVLCDKLSGRGLPVDPMLRTLCVWPSDMDAGRTGKVFIKTWGFGRFSFHMDTGKMELLATKRGKEYGHPMFAYFLAWPPAFLAPDN >cds.KYUSt_chr6.27550 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174852518:174855619:1 gene:KYUSg_chr6.27550 transcript:KYUSt_chr6.27550 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAGSAIERGLRYGINLIADAVEAVRRKKSAGTDAGPKFRGVRRRRRRPRSGKFGAQIWDPLRKNNLWLGTFASAEDAAKAYDAAAAQLHGAAAQTNFEVPADVKSATGLRRPRESKRCLLKKAAKKDAAGPYSRTGFWGVRTQSTGKYGAEIRLNKGKAYRWLGTFDSTEDAARAYDAAAVRLHGESAKTNFKAPPDIQSSEGLTAVTAETAQGQGVSTGNCEIVDALGAVERRRKKKAVKEASSRMCNRTNRTGFWGVRTQSTGKYGAEISSKGQGFRWLGTFDTAEDAARAYDAAAVKLHGPSAKTNFKILAALQSSESVTVEGAQGPGLSAGNCEIVDVLGDGFGVVERRKKQKKAVKKEVAGRPCNGTGFWGVRQTLNGRYGAEIRNNKGKTYKWLGTFDTPEEAARAYDAAVVKLRGVSAKTNFNIPVAVQSSESMTVVTADNAQVQGLSAGNCKIMDELRDGVGTVERKKKKVGKKEAAGRPCSRTGPHSRTGFWGVRTQSSGKYGAEIRESKGESCTWLGTFDTAEEAARAYDAAAVKLHGASAKINFKIPLAVQSSATVTMVPVESAQGQGLSTGNCEITEDFMDGFEDVERRRKKLHVAAAITNFMIPLAVQSSDSVTMMPAESAQGQGLSASSCEIAEELVDDVEAAERMKKLHGLAAKTNFKAVQSSTSVTMVPAESAQGQGLSIGNCEITEDFMDGFEDAEWRKKKLHAAATVTNLKTPVAISSSASVSVTMVPAESIQGQSLSTGNCESVEELVDNVESVGRRKKKALSDSETEFRCVLQRSSGTAEDAATVKLPCVKKATCRHDSRTGFRGVRRRPSGKYVAEIIKQKVCRWLGTFDTAEEAARAYDVAAIKLHGAAAKTNFKSLVAPVADDINPGLVQTIGLMQAPVVTKVNLNIDVRRKAVARSDCRSGFRGVHMYRGRYLVQIREPGRPTTRLQLGIFDNAEEAARVYDAAALRLYGAAAKTNFEQTPTGATSEDGEDSSMDLPNDLPELPVHCPTFSVGAEVEEFLKDFTAG >cds.KYUSt_chr2.648 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3996565:3999842:1 gene:KYUSg_chr2.648 transcript:KYUSt_chr2.648 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGSSSGGSGSGGGGREGDWDCGGCGNRNYAFRSLCNRCKQPRLLVDPHTPRDSKWLPRAGDWICNGCSNNNYASRKNCKKCGLPKEEAAMPAMGGLLPAYADYMARVQQIENAGYKMNFGMPGNSALQQQLLAHANWQYGMAGRYGMQSSAWQFGGNNTNQFQVGPPKDWREGDWLCSCGFHNYSSRTQCKECNAPVPSGMASTTMKTTGTDTSSTLGNKRLASEELANDWDNKRLNPGNADYPLSAQTELDRRLIRGMTMGTQRHYPLDNFQRCLVLDKELHNALLHNLGVVIHS >cds.KYUSt_chr2.33064 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203981554:203993988:1 gene:KYUSg_chr2.33064 transcript:KYUSt_chr2.33064 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPALLGALDDFTSRENWDKFFALRGGGDSFEWYAEWAQIKAPLRSLLLGAEGADILVPGCGSSVLSEELYDLGFRRITNIDFSRVIVADMLRRHARARPEMRWRVMDMTKMQFPAGSFDFILDKGGLDALMEPEVGTELGMKYLNEAKRVLKSGGKFVCFTLAESHVLDLLLPEFRFGWDLSIQAIASERSSKSAFQTFMLVMVKGKMGVVHTIESRLDQNAKYCNMKQANAVIHALQNENKIRESHTSGVDVLFSLRDLQLGAIGNLKVIVPGRRRQLILGEQGSSLYCYKAVFMDAKNQTGVFAYHCGVFIVPKARAQEWLFASEEGQWVVVESAKAARLIMVFLDSRHASADMDVIKKDLSPLVKDLEPEYPEDTDPIPFMMASDGVKQRDILHEVTSEITGPMVVEDVVYENVDGDQSCSSEKMFRRLIFKRSSGLVQSEALLISESTSEETDNKSKNSSTASKKKNQKKGLSGSKNSLRIDHSYLGSSYHSSIICGLSLAASALTAAASSGEKVSTTIVGLGAGSLPMFLRGCLPFLDIEVVELDPMMEEVAKKYFGFSMDEQLKVTYIKAVADHGTSAHSVPNRRDSNAVRILIIDVDSSDLSSGLSCPHVSFVEDAFLMSAKKFLSAGGLLIINLVARSSAVREMVISRLKVVFEHLYSLQLEEDVNEVLFASPSERYLEIDHLDEAAAKLKAMLKYPVDVESDIKNLQRLQ >cds.KYUSt_chr2.7838 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49013134:49014668:1 gene:KYUSg_chr2.7838 transcript:KYUSt_chr2.7838 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKSSKRRGGPGCVAPAPELHWKAINPIPIHAEIPECSRAAEAPLDAFVDIVDEERLGLDVPAVAAVEEEIVRALAEMCMELTEEELRANDQMQEDEIHVHIEIPDGIDVSARLDYGTGTLNYGGICDGDASDNLVYKFRVEHLPPIQLTCYLPSSYPSHQPPIFTISTEWLDKVKISSLCQMLDMMWEGQQGMEVIYQWVQWLQNSCLSHLGFSDEIILSKGDLTCDEDGEDKRACPDDSAPDVIIPRILRYNDDKRHEAFLHDIHDCMICFSEYPGKS >cds.KYUSt_contig_7440.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001529.1:18034:20584:1 gene:KYUSg_contig_7440.2 transcript:KYUSt_contig_7440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVSFGIAVGGRRCENWMSIQAKLKQYLAATMAMRPKLEHLLPVLNSKLFDPTIAIAESNNASRLSRMVTRTSEGTAVTKFQSFLWNILLAKQRTHNGSPDGYLFSLPQILCVLLVVAASSGTAAERRHVPPEAGPTGEQSTYLAPTCREHTTSLTDFGGVGDGTTSNTAAFQKAVAHLSQYSREGGGGSMLYVPAGKWSTAPFNLTSHFTLFLHADTVILASQVRAIHHH >cds.KYUSt_chr4.47200 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292075446:292078792:-1 gene:KYUSg_chr4.47200 transcript:KYUSt_chr4.47200 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNFFPYPSPDRVNGYPRTQTENLKLVSPTETRDEGPSKKRRTANAGHFQDDVAPDQFLCIVLRPTVSRLLIPQDFVKWFGEIPLNIIVKTNTGCNWRMATMREGADAYIDQGWAGGLRCLPSAQCSAKLACACIIAFLLLVLITDNLTTEEKSNVGGARQCLSPHPDRLTHSLGSLPDLPLPTPGPMEGPHALPEPPDAGVLLGRCGRHPAQPLAGVCSSCLMERLSSVGSPAHPEIVEVTRAAHPDAEGSSSGAADPQGKLRKTLMLLFQMDDSHHSPSTTTATTHPPESKNPQPSHPDPSASRGGKWKGGAWLRSILPKRGPRRRTKDEPSAECPASAAPDPGAASPPQVERRASFRRSCEWMVCRDPPSASASASRGDPPRHSWDGSMVGRALACSFACLDEPADGVTRVRHSNAEEPSSVAENPAGAAQSRNVAHSADAGQSFRERSCNGDAGPEMSVSGVVRRRSNRWSRVWDRSITSPLKEFVRKGEHALDRSLSESRKETRRCKNGDTTAIDGEVGRNGLVSGRASRNVTRSSQANGDAQSFRANRLNSKESKIGRSRSVHYTSPGNFDNGMLRFYLTPMRSNRTANRGRRRSSRLFSRGLFGFV >cds.KYUSt_chr1.31037 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188085272:188093775:-1 gene:KYUSg_chr1.31037 transcript:KYUSt_chr1.31037 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSGALGLGTPGLSGSRRSSRSWGSSFRQQGLQPDTDDPFRRGSASSSRRHDDEEENLRWAALEKLPTYDRMRRAVLLGDHHLQGIAGLVEIEHLASGDGGRELLERVFQDDSERFLRSLRDRVDRVGIEFPAIEVRYQDLSIEVDAVVGSSALPTLWNATTNFLQSLIGRLASSNKKTINILQNVNGILKPSRMTLLLGPPSSGKSTLMRALTGKLDKSLKVSGNITYCGHTFSEFYPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGVGARYDMLAELAKRERDAGIKPDPAIDAYMKATAVQGQETNIVTYLTLKVLGLDICADTMIGDDMVRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYVKQLVHVMNETVMISLLQPPPETYNLFDDIILISEGYIVYHGPRENILEFFESSGFRCPERKGVADFLQEVTSKKDQQQYWYREQEQYRHVSVPEFAERFKSFHVGQQMLKEMQIPFEKSKTHPAALTTKKYGISSKESLKAVMSREMLLMKRNSFIYIFKLSQLIIIGLMAMTVFLRTKMPSGQISDGGKFFGALTFSLITILFNGFAELQLTIKVLPTFYKQRDLLFFPPWTFGLANILLKVPVSLVEAGVWVILTYFVMGFAPSAGRFFRQLLAFFATHQMALALFRSMGAIFRSMVVANNFGMFTILIIFVFGGFLIPRGDNISTNESLSILLQPNNDTSIDAPTVGKAILKSRGLFTDDSGFWLSIGALIGFTILFNILFLLALTYLSPSGSSSTLVSDEQNENDTNKEPMSEANASSTMSSSIPMGTNRATNRPTQSRVVLPFLPLSLCFNHINYYVDMPAEMKEQGFAESRLQLLTDISGAFRPGVLTALVGVSGAGKTTLMDVLSGRKTSGSVEGSITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDEKTRKMFVEEVMTLVELDGLRNAMVGLPGVDGLSTEQRKRLTIAVELVSNPSVIFMDEPTSGLDARAAAIVMRALLLLKRGGQVIYAGELGHHSHKLVEYFEAIPGIAKITEGYNPATWMLEVSSPLAEARLEINFAEIYANSGLYRENQELIKELSVPTPGYEDLSFPTKYSQNFYNQCVANFWKQYKSYWKNPPHNAMRYLMTLLNGLVFGTVFWQKGTKIDSQQDLFNLLGATYAAVFFLGASNCFIVQPVVAIERTVFYREKAAGMYSPLSYALAQTSVEIIYNSLQGFLYTIIIYSMIGYEWKADKFLYFLFFIISSFNYFTLFGMMLVALTPSAMLANILTSFVMPLWNLFAGFLVVRMAIPIWWRWYYWADPVAWTIYGVVASQFGESAGTISVPGGSPVLVKKFLHDALGVRHDFLGYIVLGHFAFVIAFFFVFGYSIKVLNFQKR >cds.KYUSt_chr1.39270 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240210105:240210890:1 gene:KYUSg_chr1.39270 transcript:KYUSt_chr1.39270 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQLVAIRVEEVSRPLREEVASLKLLLARAGVSLEPTEACSTSGQDVATVPASIPLGFAEPKSSVVEITLEPHELCGDSSGVPELLELSGGVDMARSVNEVRSDSHEISIATSSPCQTFGFEKSDVLDAAVSPSPESDRHVVPDVPAAYEYELHELSGEPSPPLSLGTSMVASASPSMEPSHLGDKVDETSALALNSEAISRELRDLVAGLEAAIPGSSKEIACILAEKASGGKIQKVKEYLRNKRKKSGITTMESTVA >cds.KYUSt_chr5.38816 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245734815:245735463:1 gene:KYUSg_chr5.38816 transcript:KYUSt_chr5.38816 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVRSSIRSRRRPPKSLQRQGGRHWASAAPLSSSSPPVSAAVGGGRAETDTSAPFDSVREAVDRFSGSAAWSSHLVGRIFAHHKKQDQRVVGTEENEQCISLEEQTAQLEKELRVKEREMLDVL >cds.KYUSt_chr3.44651 pep primary_assembly:MPB_Lper_Kyuss_1697:3:281815833:281816396:1 gene:KYUSg_chr3.44651 transcript:KYUSt_chr3.44651 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMQSLARKLSIPSPKRGKKDGSKQRGISRSEAPSFASTASASSSSSDEGALARSTTPRSVLPAKISRRELAAVLRRLGHGELSDDELDAVAAIAAAEDGSGPEDELMEAFRVFDADGDGRIDAEELRGVMVAILGAGADGCSLDDCRRMIGGVDADGDGFVGFQDFARMMMMTTTAATDGPRFL >cds.KYUSt_chr6.24832 pep primary_assembly:MPB_Lper_Kyuss_1697:6:157140161:157143299:-1 gene:KYUSg_chr6.24832 transcript:KYUSt_chr6.24832 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPGHCGPSPMMVLRSRACRSPRERPLLPLLEVAASSPGPLLTIVPRPAPQARPRPPPGRLHRARVRLLHNSLGATAPCPILSANFNFPLQLFTSIRLQTTTRQEPVKPESVDSTPNDASSEVQESNENTTKPYRESLPAKLEDDVHSTTSSVLRSRSSLAVGMSREHKVKKRPSSPAWGQQR >cds.KYUSt_chr3.26840 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167448815:167449702:-1 gene:KYUSg_chr3.26840 transcript:KYUSt_chr3.26840 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVVPQVDFRREIEIGSLVSPPRSMTMCSVDAPKTNRAVAPRSAGRKRTRALVLYDPAAAEKRARLSARPGTLVPFLPRRPVAAAAAAPRAEPSWLRKRLDLRADLTVHFIAEKAVTRTDLDGQQNRFRIPTEGVLRHLRPILLGEELDAAHIPRVGALEGPKAPKKKKTRPTPTPTTEEELLLQQGKKVEKRTKKKGVKHGGLPVHLWNVDAGCIELRLTRWKSSRAIVIKGGGYLNFITRCGFEKNDVVEVWAFKQREFRYFGVRFLQERPLCVVLAKKQQQPAPLLGMGKQ >cds.KYUSt_chr4.45018 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278760454:278764400:1 gene:KYUSg_chr4.45018 transcript:KYUSt_chr4.45018 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGMSVSAPGGVEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLEEFFPAVLRRKQQDKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFLVGVVFNGAAQNLAMLIVGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTSKIHPWGWRLSLSLAGIPAGLLTLGAIFVTDTPNSLIERGRLDEGKAVLKKIRGTDNVEPEFNEIVEASRIAQEVKHPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFSTLGFKNDASLYSAVITGAVNVLSTLVSVYSVDKVGRRVLLLEAGVQMFLSQVVVAIVLGIKVTDKSDNLGSSWAILVVVAICTYVAAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCINLLFTFLIAQAFLSMLCHMKFAIFIFFSAWVLVMSIFVLFFLPETKNVPIEEMTDKVWKQHWFWKRFMDDDNHHTANGNGKHNGSV >cds.KYUSt_chr2.32325 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199278383:199279807:-1 gene:KYUSg_chr2.32325 transcript:KYUSt_chr2.32325 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAVVPRIKLGSQGLDVSAQGLGCMGMSAYYGAPKPEPDMIALIHHAVATGVTLFDTSDIYGPHTNEILLGKALQGGVREKVELATKFGIASLDGDRQIRGDPVYVRAACEGSLARLGVDCIDLYYQHRIDNNVPVEITMGEVKKLVEEGKVKYVGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEKDIIPTCRELGIGIVAYSPLGRGFLSGGAKMVDTLSDDDFRKSLPRFQPENMEKNATIFERVSEMAARKGCTPSQLALAWVHHQGTDVCPIPGTTKIENFNQNVGALSVKLSPEEMAELESYASMDAIQGDRYHEFLNTWKDSETPPLSSWKPT >cds.KYUSt_chr2.20185 pep primary_assembly:MPB_Lper_Kyuss_1697:2:126844437:126846217:-1 gene:KYUSg_chr2.20185 transcript:KYUSt_chr2.20185 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRLFFNAGVAVGCWHHGRPELAVVNSGSTLQAPSWPSAWGAAIPDMGRKMVLEPLRGSTAAATGASEKEVAPRIAAREESKPELCTVVGRDRVLVIVEEGVGVVCLFFYLGTLPWQPVAFFGDMMWWLVGLFTLAVSGGRCSCDRLGVL >cds.KYUSt_chr7.14731 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91084489:91087224:1 gene:KYUSg_chr7.14731 transcript:KYUSt_chr7.14731 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASTTTSLLLAPPRFSYQIAGRLPVPAPRIRPRRRFAAARGDFSLVPSWQLRAPPRRRNVLRDKASPPLADHQVRPPNHEALDPHHDQSNTDRPPVDATGGPQSSFSDDPALPPDLGNRLGKNFDRLDAGRSGDAVAESNLNDGQKGLGRDAEEGHFCGTGTRPVFSVYGDPDGTAVVRVEVNEDGIASRSEAADGEVSADSQSILSRARVMAREFEREVPRNSSLVRFVATERKEESCVADAEVSVGQRDGAPLMAVAWSGFAAFCGVCVLLVASKVVWRNVKARLSGNVFRVPIPWVEDGRLDDGNIKVFSDVHVFPGDLLGRPRLQRVELMSNLKKAKASRERFSFRNVFSCNTVANDVYASMMEFGRMVTDVNTLEEGSLGRRNAGKNSLVVFPPSVLADVHTLEEGSVSQRNAGKVAIEEGISASYARQSVYQDDVSELDSNELRDINLSNDIIDESVEQSVDLKNVASTADSIVKSQYNVGEIEQPERRYNDEEDTTEAKNRTSVLYATEKEAHICSADDHNAGPNGIDTLSAEFERKEQFTEIVVSSIQELKLSVSFSGDKQMVYKNGNAHQISNNVVPETADDFSPNLLNITSSELKHNGAYLANGENDVSCMQVEAPTAFPSDAKTANCDGFAHCVSIKSKEAFENLVMTDISTMKSPQRIREEHVDLMSDNMQEPYNHDGKQIIYANEKDHKINVLHNETETSSETYPIETLDKASMSSSYSIQEEAVQHKDAKVSKPEKQEKITSSNIEATAYLKKDKGELQKETCSDKVPEIMLLAEDAPGTGIVVGLSNVVQKTKRVARKRLKKVQSNQGAAEQDIVHNSSMVDQESSSQNVKTTRRKNQTKAFGTPGSQTREEIPEIALMASFPDDAPKAENTQPLGEEGSSAGTLSSKVSGRNY >cds.KYUSt_scaffold_2697.928 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:5890619:5892221:-1 gene:KYUSg_scaffold_2697.928 transcript:KYUSt_scaffold_2697.928 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAFLHLVDKPSLGRVLLLILPVAVWLLLDVSTVLKNFSGITLKGFTPDLLLQHGQHRLGDDDDVPGGSADLAYDAHRAQRSRASRNKACNYAKGKWVADVKRPLYSGNACKQWLSKMWSCRGMERTDFSYEGYRWQPHDCQMPEFSGPNFLHRMRHKTLAFVGDSLGREQFQSMMCIATGGKHSPEVEDVRQKYGIVKASSPFTPGGSAYRFPETNTTILFYWSPTLSEHEPLMNMTTKKTSNVLHIDRPADFLKKYLHSFDILVLNTGHHWNRVKFWRNHWKLYNDGKPVGNGTLVAKDFSRAREIKLHNIARWVDSELVHHPRTKVFLRTISPEHFVNGEWNTGGRCDNTIPLSSGSEVLQDRSGDLAAESAVNGTRLKLLDITAISQLRSEGHISNHSVKAQRAVYDCLHWCLPGIPDMWNELLFAQMY >cds.KYUSt_chr5.43459 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273813011:273816332:1 gene:KYUSg_chr5.43459 transcript:KYUSt_chr5.43459 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLSPQPVAASSPKRHVAPTATGCGGTYCSPAGYGGRCHVSLGGAFYHGVGGPFCQFHLDVVLFNNLLVKETNIPVLLPPDLTVASRVSFVRRWIFDQRPATTMSKLSTEVLNEAVTQVVTDAKEKNMKFTETAVFTETIELQIGLKNYDPQKDKRFSGSVKLPHAPRPKMRVCMLGDAQHVGEAEKIGLDSMDVEALKKMNKNKKLVKRLAKKYHAFLASEAIIKQIPRLLGPGLNKAGNASIVSVNNHLLAEPCKFPTLVSHSESLEAKVNETKATIKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPARVF >cds.KYUSt_scaffold_2697.525 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:3415620:3417740:1 gene:KYUSg_scaffold_2697.525 transcript:KYUSt_scaffold_2697.525 gene_biotype:protein_coding transcript_biotype:protein_coding MECDNGALCTAAIQHADPLNWGKAAESLTGSHLDEVKRMVAEYRQPIVTIEGASLSIAKVAAVAAAGDAKVQLDESARARVKASSDWVMDSMANGVDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLSAGATRAAMLVRINTLLQGYSGIRFEILEAVAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRQNSVAVAPDGRKVNAAEAFKIAGINGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILSVMAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGDLDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGSRNPSLDYGLKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDMNSLGLISARKTEEAIEILKLMSSTFLVALCQAIDLRHIEENVRSAVKSCVMTVAKKTLSTNSTGGLHVARFCEKDMLQEIDREAVFAYADDPCSQNYPLMKKLRGVLVERALANGVAELDMETSVFAKVTKFEEELRTALPMAVEAARAAVERGTATTPNRITECRSYPLYRFVREELATVFLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLSIC >cds.KYUSt_chr2.7299 pep primary_assembly:MPB_Lper_Kyuss_1697:2:45822024:45822694:-1 gene:KYUSg_chr2.7299 transcript:KYUSt_chr2.7299 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRNLLGCVRSRPWALLCVRRAGRRGGRPQVPMVDGVVAPVAAVCLLSRSDHLGLGKTLGLACRTGQRRRSSVAPFLKALFWLRGMALGDGSLTGVCYCSRCESQGVSLWSAMYAIANTLVDPFPSFGHTLPIARFIF >cds.KYUSt_chr4.2644 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14841966:14846283:-1 gene:KYUSg_chr4.2644 transcript:KYUSt_chr4.2644 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRESHSNGCKGRLTELTTRLGLWNRTHKLKGRNSINCNTRNTDTEIINDRETGRSRGFGFVTFATDEAMRNAIETMSGKELDGRNITVNEAQSRRSGGGGGSYGGDRGGGGYGQGGGCGGGGGQRGGGGYGGGGGSDRWN >cds.KYUSt_chr4.16767 pep primary_assembly:MPB_Lper_Kyuss_1697:4:104217346:104226571:1 gene:KYUSg_chr4.16767 transcript:KYUSt_chr4.16767 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMTTESDSDSDATTANALGRGGSGSETSSSSAPSTPGTPTTTPTPSSPAAAGTGPRPAPGYTAVNAVIEKKEDGPGCRCGHTLTAVPAVGEEGAPGYVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWSRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDGNSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAANHAAASAAATDTQAGRAPGRYAYNDERTRQAAPESAADGSVVLGTPVAPPLNGDMYTDISPENAVQGQRRSNKGVDYLVEASAAEAEAISATLAAVKARQVNGDAEELSDKEQSPDSTSSSKHSSLIKPDTALTNNMTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAALLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETMSLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPDHIEDTWMQELNANRPATPTRGRPQAAAAAANDRGALAWI >cds.KYUSt_chr7.12621 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77696997:77699294:-1 gene:KYUSg_chr7.12621 transcript:KYUSt_chr7.12621 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNNRVFQALGIPAVVSMIRKSHGGRGGGGSTLSNDESSAITQGESSDYHPSNDQLVDQEDGEVDGSIGEHIEKALKDPKSRKKKASVRKTSPGRRSKRLQKEQSSMPPGRVFADAPGGRKRHLEPVAEAPSKRVTRRTSSEASATNNEDTPPRNNRSSSPQIDERIDEGSTFRRDTGPTASDVMVHQHFDSDGFLDPEDDEGKFLLDSCGITAAGGRKRKGTGLEQICKGMGVKLTIEIPRGLKRPEKPLPAAKFASEGGMLARGQMPLLPHFKLYKRDENLLADFVGKMGANFNMDTESEDIQKACYDILRKVSKNRRYILKRDYFDKVPANEISIKSPVKDVSDEEWEALTALWNTCIKDKDSRAAVKFGQKTGSRSYAAHLYATREERRGEELDAVDIFKVTHHSKKDGFSEEALAAIADMEAEMAIPVQEGAPPSSTVKLNKPTAAAVSVHVLDLEAQLKRSQEEAEQMKQQFAAIMEEAAAQKEKQAATEAAQAQRDKDYMELLKRTEESDRRLAHMMSILGASAN >cds.KYUSt_chr1.38395 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234558243:234561167:1 gene:KYUSg_chr1.38395 transcript:KYUSt_chr1.38395 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLAAVTYVFGDSGSELKRECGGVDVSTVVEQLPARWIALLAVDLWIAGDMATEDNLLFRIAAIVCLIPAYA >cds.KYUSt_chr1.36781 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224464275:224465625:1 gene:KYUSg_chr1.36781 transcript:KYUSt_chr1.36781 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNVEEQQSAAMEAPMEKPSEDPVVVNTSFSEEQEALVLSAWDAMKADSAAIALKFFLRIFEIAPAAKPMFPFLRDAGDDAPLESHPKLKAHAVTVFVMACESATQLRKTGDVKVREATLRRLGATHVKAGVADAHFEVVKTALLDTIEGAVPDIWTPEMKGAWEEAYDQLAAAIKEEMKLAASA >cds.KYUSt_chr1.39855 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243949600:243951489:-1 gene:KYUSg_chr1.39855 transcript:KYUSt_chr1.39855 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAARLTAAADNASSEAQSLVVVKQLEEEVLDLMSSYEECAHLAEAVREVPGAYQPSDQTTDFKKLIEAEVNKVKGTSRVSGDTQRLLRQFKEAVWDVHHAGQPMPGDEQEDLVMTSTQNGILNTNCPLTMKPIIEVANPVRSLDCRHIYDKIPILDYIRRSKGLKCPVAGCPKDLSVAKLVCDDYLRMEIEELRSSGGGATKPTDVEDVLDDDEDLMDDGEDGDNQ >cds.KYUSt_chr4.23158 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145833475:145833696:-1 gene:KYUSg_chr4.23158 transcript:KYUSt_chr4.23158 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASDGNTAAAPFLLSFTSDRTVLQGLLRMFGSGLAGLFALVPRCSRHSLFLHHLCPSQQVCHIPSPFQVT >cds.KYUSt_chr6.1554 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9478029:9478388:1 gene:KYUSg_chr6.1554 transcript:KYUSt_chr6.1554 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAEGWFQKILALVPRRPMSMGGSARYGVYDTDFGLGRPCKVELVSIDKTPGTVSLAKGTDGKRGIGVRLLLLRWPAAAMRDLAAGHCKNKRKTTVVVFAVHIAKSQMLVVYTKMHI >cds.KYUSt_chr6.22938 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144998116:144998721:1 gene:KYUSg_chr6.22938 transcript:KYUSt_chr6.22938 gene_biotype:protein_coding transcript_biotype:protein_coding MECLSSNATFDVFPELTVSAENNDDLDFKTTGVAAVTNATYSMSGMADCCDSGNLVAVYPVLWTTTPSSTTLAEDAPTLSHRPFFVFSLDNMLPARCSERFFDNNIAKVLTQGNKERGKMLRPSPWPSFVTKHPSTTRSGIIEPPLSATTALSRDVIPRSTLLLLYSIFEASWTSSPGSGHSGPIAHLEASCYLDSVGSSD >cds.KYUSt_chr4.17085 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106583074:106583855:1 gene:KYUSg_chr4.17085 transcript:KYUSt_chr4.17085 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKGVVLLNCFVSPFGNRVRIALTKKGVEHEVTAENMAQKSALLLASNPIHGKVPVLLVAGKPVCESQVILEFIDEAFSTTGEQLLPTDPYARAQARFWVAYVDAKIPACAPKIWQSPKGAPAVEEGKKEMLVALKTLEAELGEKPYFAGESLGYADVALVTFAPWFLTYERLAGFSIAEECPVLTAWAARCADENECVAKSLPDPEAVFQFVGGMRKHFGLE >cds.KYUSt_chr2.50804 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317610360:317610922:1 gene:KYUSg_chr2.50804 transcript:KYUSt_chr2.50804 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSQSHEFEADVPAAELWEVYGTLLAAELLPKLLPQVLAKVELVSGDGGVGTILELTFPPGIPGLESYKEKFITVDNEKFIKEAETIDGDILKLGFLYYMVRFEIVAKGPTSSVIKSTIQYEIDEAHPELEAMVSTAPLAATAEKFAGYVKEQKIAQSSS >cds.KYUSt_chr7.26019 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162467883:162482429:-1 gene:KYUSg_chr7.26019 transcript:KYUSt_chr7.26019 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPEGRDGHPRLRELGLGVGELGHDVGDLRPGRREAPFRALCRLRHRNLHLRRRLQVQLLGLNAGGGYLLLLRVEVAAHLNNVLPTKVLPGHGWISLSVLLHLHPPPPPPSPPPPKTHPHPRVKTSHLRSAPLAQKAIATSAASAPATMEDVLESAVGAHFSGLRLDSRRLSSSSLPSSPSSAACNGTAADASSAPAAAAPSGLASPTAARQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEQSEHVGEYNFDHPDAFDTDRLLECMGELKSGQSVNIPIYDFKNHRRCSESFRKVNVSDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVLSVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKFYPNVYVVHTTFQIRGMHTLIRDKEITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSIYSGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGQQLIYHKLPMDIAERHVLLMDPVLGTGNSANQAIELLIRKGVPEDQIMFLTLISAPEGIHCVCKRFPRLKIVTSEIDVGLNEDYRVVPGLGEYGDRYFGTD >cds.KYUSt_chr3.28772 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179557364:179562095:1 gene:KYUSg_chr3.28772 transcript:KYUSt_chr3.28772 gene_biotype:protein_coding transcript_biotype:protein_coding MADIPNGGRLPSILLARKPRFTDSRNDTTATAKSQDGYTMAVSFWMENPPDLSLFSIHCTKPPHDLSRNLNFSVTPLVVGADGPFVLLRACFYATSMHEYFLYKAGSPPSLDRIILSPDELDDLSGVREWGILGHGGDGHYLLAALRDAPSSESDAGHRLLAALRDGYQLRIYSSKTKSWSTRTLHNPCPGLDRVIPDKVITLGQEGLLAWVDLSHGLLVCDLLLLLQHQDPAPAGAPVSCFIPLPEPLPGNRYKLNFPFAHTHKINKRPSSDEESLSPSWFRDLVCVNGVLKFVEMEIHPAPHPQNNEDNIIYDADLIMSIKCKPFERDVSMQLSSFRDAWRAVTWTRKLVWPLLPSSNFWRKACAAHVADLKMDTPSLAAFRERYSAFPILSPEDGDDIIYLKSMVEPSDGDGWVAAIDIGNKELKAIGQYYLPDDFYYHRRYDPEHPFRASTLSRHLDIIPGYQKSLRNSSVYEIIRRVYRIIIFARFILLKTICDEI >cds.KYUSt_chr3.32088 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201660306:201661399:-1 gene:KYUSg_chr3.32088 transcript:KYUSt_chr3.32088 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAAGGVQKPLFGALMPSEMGGGRPQYRKVQVPPHRFAPLKKAWLEIYTPVYEHMKVDIRMNLKAKRVELKTRKDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHKSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >cds.KYUSt_chr3.46206 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290806251:290807000:1 gene:KYUSg_chr3.46206 transcript:KYUSt_chr3.46206 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKASVNVFALLDGDDPGDKRLADFDPKKKDQPQKKPLSAAACDYKLKLMKPPSPSPRAAGSKAPMKSPTPAVEAGLRPAAAASTKNPTPVVSAGLRSTAAASMAKPMNKQQTTTPAPPPPPARNTNLTRVLFTYPSARERIFKQRQERQEEFERRQQAQAAENGGGVSGADDDKSAKNAAADAQTGKANGVRVQGQYNDRAKQQRGAAVTKALAPLVSKEVPPAPVVLQAPPPPSIDDVAQFPSLK >cds.KYUSt_chr4.12492 pep primary_assembly:MPB_Lper_Kyuss_1697:4:76381711:76382103:1 gene:KYUSg_chr4.12492 transcript:KYUSt_chr4.12492 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWPNSYFCPLCMRNLETAEHLLVECPWSAALWFRVAAKYGIASMRPDAWSLPVASLPTWLASLSALVGVPAKTAKSLALLVIWMIWRERNARIFRDLCRSPDAAAQELFDEAASWTLAGCRHIRCRE >cds.KYUSt_chr7.28494 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177761274:177765807:-1 gene:KYUSg_chr7.28494 transcript:KYUSt_chr7.28494 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASLEGFPYRGSRFHVGAGIPGVAPHYTPPSTTFTWPLTPTVLMMLLPILIIRMKPGDHMGTLNHTISYTPALEDNRSSVGADFSLLLNDLLRSCTGSGSSPFLVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLDIDDRSGCVPPGRQLHGRHSIWGYPQDAEHESGWSPELNDDRTSSDKRTLDLETLTARTSGSSTLTSSRATSSSSLDGLRGGRRQGRRGGPASVRRAASRSSSAAAPPSVAN >cds.KYUSt_chr1.1891 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10875609:10876710:-1 gene:KYUSg_chr1.1891 transcript:KYUSt_chr1.1891 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPRPAQARRRETPPPAVPPRPREPPPAAASRRPSRLPPAARFRVENCGALVSPADVSMPAAPAPGRLLCASAGAASGLLPSSASLPPGGWPSAALPCAAPTVGGAGQLLEAPTAAPSSVQLPGSAAPALSTQEQRPQHLTAPRPVSWSSAIDDDDFDDEQELLAPCTLLAATKTFDASSDPAVLIEGLGFLSLPPAALGGPISAGVCSVEDHDGEEFASPLASSKGTVSDSEQVIAEPCRDLSAAVAAFDDEEGWTQVGRGCRSSRAPLSSLREDASDVVVLVTGSVSAVRALLLLVLALRRLGLVLRSLVLLASGVALRPLSLVAPRRL >cds.KYUSt_chr6.2686 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15699060:15700397:1 gene:KYUSg_chr6.2686 transcript:KYUSt_chr6.2686 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFIKTTNAEEEPDGVGQDYFDELLSASFLQLAERKMEYGCDVDYFTVHDLLRDLAEEAAIGDCFKIEEGFRGEVPPDVRHIFVSSCDRKMLTEKIFQLQNLRTLIMDYSLQIELSDEKFLESMFTRLQNLRVLVLRFNGHLGGRIFSLPASIGLLKHLRYFDFDLSFGMKLILPYSITKLYHIQLLDVLGAKGMDFIGAKHMSHLINLRRVSSGFDIPNIGRLKWLQILEGFIVKNKVGYEIRQLKQLNKLKGELAIMCLENVRGKEEAIEANVAHKERVTQLEFIWNDSSCSPEVEAEVLEGLCPSKYLERLRINNYQGSTYPNWMVSKENGGPEHLRNLWLYNCSRLEPAPELFEVFVHLRWFRLWHSNWDALPDNMEQLTSLLLLDISFCPNIRLLPALPQSLEHFNLVACNEEFRRSCETTGDPNWHKIQHIPKKNIG >cds.KYUSt_chr4.3498 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19899879:19904275:1 gene:KYUSg_chr4.3498 transcript:KYUSt_chr4.3498 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRQHVAYLIETLETKELAMRRDWFVWVEPPMYYKGYGTISSISTIPRTPVWGHIVWAHSASHYCMIINWVPLEPAIRPSIKADKYGCWSGSATMLLDGTPAILYTGIIQKVKGSNYQVHNLAYPKNRAEDEQDALPEPYNAWRADGLWHVLVGSREGSTHGIAYHYRSRDFKRWAGVRRPLHSAPTGMWECPDFYPVMHLCTTRDGTISSISTTPRTPVWGHIFWAHSASHYCMIINWVPLEPAIRPSIKADKYGCWSGSATMLLDGTPAILYTGIIQKVKGSNYQVHNLAYPKNRAEDEQDALPGPYNAWRADGLWHVLVGSREGSTHGIAYHYRSRDFKRWAGVRRPLHSAPTGMWECPDFYPVMVSGWENGVDTSMKSNLWVKYILKHSLNSYRYDYYTIGRYDRDMEPGMELKYKGGNLNMRGTKQSKITEIRS >cds.KYUSt_chr4.38385 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236762525:236764469:-1 gene:KYUSg_chr4.38385 transcript:KYUSt_chr4.38385 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTETEKKKKMALALAPIAQPLAKDKLSKRTLKLVRRASEAKCLKRGVKEVVKSIRRGNKGQYGNLMYYGCLHSQELATAGTTKRPTCCVLVMTKPAKGEISEEVKEKLQTEYNKVVTEVAQVTSEMF >cds.KYUSt_chr3.18109 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111236400:111237705:1 gene:KYUSg_chr3.18109 transcript:KYUSt_chr3.18109 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEVKVVESSIVKPSEETPRHGLWLSSQDLMLAKSGHVPLVYFYQSCAGGDGDVFFDVARLKAALSRALVSFYPLAGRLGVDVDGRLQVDCAEQGVPLLVAHSDHTVDEFSSFKPSPELRRLFVPCVGDSPSVVCAIQVTFLRCGGVALGAALHLRRRQHVPLLSDVLGPSELSGAVANEIFIISKDQVAALKRACTGGGGDVSTFCALGAHVWRCVVAARRLPPDATTRLVFPANVRGRLRPPIPDSYFGNGIIMLGASGKARDVASEEHLASIAGRIKGAISQMDDELVHSAIDYLELDLGGSSLPAMPAGSLPKTELRVVKWLDMTAYGADFGWGKPLVMHMAVQQRAGFVYLMDGVDGSVPILVSLEHAILNDFRRLLYANAAKL >cds.KYUSt_chr5.5788 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36099792:36101941:1 gene:KYUSg_chr5.5788 transcript:KYUSt_chr5.5788 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLIQKMSSSDPQPIKLHLLRTITEDFSKKMRIGSGGYGEVFKGLLNGDEIAVKKLFPVHGVDDVAFDNEFRNIKKVRHNNVIRMIGYCYEIAHRDVEYQGKLVWSETKMEATVGYRWDGTDILGVTKCVEMSMRCVEDDRDKRPSITEILDELKELDSKMEEMLNEDPKPLSRQLGLPLQKGKSHVSDLEVLKQNKSPNDLGKDISMDPSLELRFPFEPKRDVSCCVQLINKTASFIAFNINMHPNKYRAQPNLGILPPCSKCYIILTLRAQNEAPRNMTCHDMAIVQSTIVPEGFTSDKITQDFLKKASSENEVTLPIVYVALEKPLLE >cds.KYUSt_chr6.26782 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169764320:169764733:-1 gene:KYUSg_chr6.26782 transcript:KYUSt_chr6.26782 gene_biotype:protein_coding transcript_biotype:protein_coding MREGAGLHPGMPVTARSVAKLLPAAPSDPPRPMDAMSCLAPHPASFLPNTSSQAYYTDAAIARALNSSMPDHYSPGIASTSSPSSSSSLLADLPYSDGTNWSRRVGRGTAPALHARRRHDDVKQEAAGPGAAFRRTP >cds.KYUSt_chr3.41156 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259753176:259754795:-1 gene:KYUSg_chr3.41156 transcript:KYUSt_chr3.41156 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYTPPASCSSAVLPAPPTLLSSAASPPRICFFFSCEMEAQDDYGKWRQIPAFGDWNHLWDDMPVAQYFDPAATFFFTAQAGEDDVDLFKVPHFAANPYTYKKCVVRVKKGEEKAKEGAAPVPGRRKKVSKKQQQQGKEQQRRKPKSAAAAAVDEDLYKISPNVICKKKLLRNLLGGCLGLNCIA >cds.KYUSt_chr6.16754 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105375783:105376765:-1 gene:KYUSg_chr6.16754 transcript:KYUSt_chr6.16754 gene_biotype:protein_coding transcript_biotype:protein_coding MSADLNRDYEIGQELGRGRFGVVRRCVSRSTGEPFAVKSVDRSGLADDLDRELAELEPKLAQLAGAGNPGAVQTHAVYEDDVWTHTVMDLCSGPDLLDWVRRRRGAPVPEPVAADIVAQVAQALALCHRRGVAHRDVKPDNIVLDDVEDDGEDVCPRARLADFGSAAWIGGGRRAEGLVGTPHYVAPEVVSGEDYGEKADVWSAGVVMYVLLSGGALPFGGETAKDVLSAVMRGSVRFPPRLFSGVSPAAKDLMRRMMCRDEWRRFSAEQVLRHPWILSGGGSRAMEQPT >cds.KYUSt_chr7.25910 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161838318:161839828:1 gene:KYUSg_chr7.25910 transcript:KYUSt_chr7.25910 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPTSCYLLGHRFWSSDWMEVVFGGVVFIYHVVVDNSQRRGAAGIEDGRGLLDINGRPGTVNALISLGDGFEIDGGCELCDVCNDTPSGFCFLDDVRWCIVREYGLVHGHPLHRRMCLAFMAIVWSLAMAGVSSALWLLDLLPGGCPWWPAAKGTDSSDVSLNKLMCCLSIWGLHALLLLLAGHGGEEKKLNGEAVEAAAPDADVGINPTSKAEAL >cds.KYUSt_contig_257.382 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2894051:2894586:1 gene:KYUSg_contig_257.382 transcript:KYUSt_contig_257.382 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSGYTGEGGDSIMSWPRSASPTSSEVQLARQAYDPWYIAYQDESTQWCSQRMDLEEKVANLGDELARKNLMIFELKRTVEEEKKNSELIRKEKLRVETDLAVFDQVVENLEHELQVMGEEKSRFIWAVLLGVIPVLAVMWFW >cds.KYUSt_scaffold_1854.552 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2938237:2941235:-1 gene:KYUSg_scaffold_1854.552 transcript:KYUSt_scaffold_1854.552 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSKGLAILLIFVSLALSSGVSICEARSGSHWRQKNGPSTTMFRSKGKGKNGGSSHKRYQPSPSTGPSVPISPSPAVPTPSPGGGNCYTPPSPPPPLPPSPPPQASPLPSSPPPPQAPPLPPPEAPSQDTAFNVVAFGAKGDGVTDDTQAFEAAWAAACKVEASTILVPSELEFVVGPISFSGPNCKPNILFQLDGTILAKTGARAWGSGLLQWLEFTKLNGITIQGTGVINGRGQEWWANSDPNDDNDMDAYNQELEKMPQTKPTALRFYGSSNVRVTGISIVNSSQCHLKFDSCQEVMVHNLTISSPEDSPNTDGIHLQNSKDVNIHHTNLACGDDCISIQTGCSGINIHNVNCGPGHGISIGGLGKYNTKACVSNITVRDVNMFRTMTGVRIKTWQGGSGLVQNIRFSNIQMSEVQTPIMIDQFYCDKTACPNQTSAVAVSGVQYENIQGTFTIKPAHFACSDSSPCSEITLTGIQLKPLVVPQYHMCGPFCWQAFGELSTPTVPPIPCLQLGKPGGNHDVC >cds.KYUSt_chr1.34577 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210519709:210522043:1 gene:KYUSg_chr1.34577 transcript:KYUSt_chr1.34577 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFHPTQGDTIPLHPSSAKSDMDEIESLINAAPSAAAVLPARPSSPPRASIPISNSPSVPPPASSRPLPVPPFSPSAPLPSASVTIPIPIAADGFGAPTNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVRKSEVFAVAFAVLAAGAIILTLNVLLLGGQIIFFQSLSLLGYCLFPLDVGALICLLNDNDILKIVVVAVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLLYVSVGFLIIAIN >cds.KYUSt_chr1.2339 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13800969:13802840:-1 gene:KYUSg_chr1.2339 transcript:KYUSt_chr1.2339 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHLLLLRRSSFSTSTLPDSRSWCPGAAFDAATERVRAGTLSPEEAHNLLDELLRHATPVPECSLRDFLVSLARAPDHDNRRSGPALAIALFNRVCREDAGARLAQPTVCTYNIIMDCCCRARRPDLGLALFGRLLRTGLKTNQITANTFLKCLCSAKRTDEAVDLLLHRMSELGSVCDAFSYSIVLKSLCDNSMSLRALDLLHTVAKGGTRSLNVVVYNTVISGLFKEGLVSKACKLFHEMMQQGFAPDVMAYNSVIHALCKARAMDKAELVIRQMVHNGVQPNNVTYNCMIHGYFTSGQWKEAAKMFKQMTKRGLIPDIFTCNSFMTSLCKHGKSKEAAEIFDSMTTKGHKPDIISYTILLHGYASEGSFVDMMGHKPWSPSDPSLDPARVARLLARVRAAISRVEASRLYRRFLLPNTPLRRRLAQLAPARLSLLGVGSFESSPAARLQLALAALLRRDLLPGSATADLFDPVLSAVECAAAAALGFAVPSLDDGGRCRVAEPTLFYMPHCEASLYDALLDANWAQLRRVCVLGNSFRRYALQAEENRSGPAAKAKLVLQAEQLAWEERVDEAGDVDEGDGFARAFNETSWHFFEVDDHVDQRKEISPEALSFQKLSL >cds.KYUSt_chr5.3860 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24996832:25004209:-1 gene:KYUSg_chr5.3860 transcript:KYUSt_chr5.3860 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSDIALKAALDGNLSLLKTVPAISEMASKVDLRGAKDAKGNTALHLAAFKGYLQSCRFLVEESGIDVNSVSKTGATPMSYAALEGNVQVMRYLLDRGGDPAMPDERGSTPLHHAALRGHCEAARLLLSKGLPVDPVDHRGAPLHMAASKGHVEVVKVLLEHAADAGADVNVSGYSAQTPLTQAVEDGLTDIVNLLLEAGADPNIPNQAIEVILIKLNDAFSVEESIAYYKSQGKEAFAKEDYLTAISSYDQVLDINPLDASMIANQSLCWLRMRHGGPALEKARKCRMMQPGWSKAWYREGAALSFMKDYEDAADAFREALQLDPKSEEIREALRASSCTDTLYVLGWKAAVSMEERTSYWKSQGKEAFARGDYLTAISCYDKVLDINPSDTPMHANKSLCWLHMRHGGLALEEAHKCKMIRPRWSKAWYREGAALSFMKDYDGAADAFWEALQLDPKSEEIREALRKAEKAAEESWRM >cds.KYUSt_chr5.36379 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229919369:229925200:-1 gene:KYUSg_chr5.36379 transcript:KYUSt_chr5.36379 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLKEKAHPVNKRVVLKGAKEPEVQGQNFQSQIFLSAGLSTFRLISKVSVGDGNSTSFWLDLWLGPAPFHERYPALFSHSIRPNASVFHVLHTGLNSNLGPRLSHAATGDLLALTSELGSVVLRPDTLDAHVGRLTNKGLTNKDFYTNSFRHLQVDDQANMVWRTAAPLKCKVFCWLARRRRLPTNERRFRHTVASSAACPSCPADEDTDHLLIRCPRAQDVWRFFHPDATHLSNASLTDVLQLLFRSFEEATVNTAILWNIWKRRNALVFNNDIWQYIHSLLPLRDAARASCVSRAFQSSWRSHPNLTFEESMMGLEGQAYIDKVDQIMKKHSDSGVKTFEFEYDSYFDTSQLNSWLKIAVTPGIEELDISLSLEDEQEIYNFPCLLLFTGSGGNSIRSLYLSGCTFRPMAGLGCLTRLHLWEVHITGDELGCLLSSSFALEKLSLTDCSEINCLKIPCLLHRLVDLTVSNCRKLEVIENKAPNLCTVSIESDVAHISIGDSLQVKELEMYVEFNLVHHARAKLPASMPNLETLSITSAGEMFSTPSVPDKFHYLKHLDIHLEVLLSEAFSPNYDYFSLAHFLDACPILETFSLEVDQTRMKHDSVLEDPSDLRQIPGHRYDNIKKVGIAGFCSAKSMVELTCHILENATSLECLTLGTILYGYENRLSDHKYGKCPGIGKHMIAEAHKALAAIERYVVGKVPSTVKLNVMKPCSRCHVLK >cds.KYUSt_contig_319.764 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:4902104:4902775:1 gene:KYUSg_contig_319.764 transcript:KYUSt_contig_319.764 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQCNTRPAWDPLPTPPLTNFPHELPNLLPTSLTSCPISIYSRLHGTFSGVSEGFASPAVRRHGRDDRGIGSCVSGPPSAATITTRCVTKPAASSAPPTPAAVAASAAPARPRLAPPGGLRPRPGRPRPAMARRGHAGGQLRPAHARARRGDRRPARPRLAPPGDNRAPACPPVAELQLLPGRACSPVPTSGRRGRRRARPCVPDAAGVEARTDSSDRLHPC >cds.KYUSt_scaffold_869.1972 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:12302876:12305824:-1 gene:KYUSg_scaffold_869.1972 transcript:KYUSt_scaffold_869.1972 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGSLFFFLALFLQHLHTSVGLAIKILPTPVEQWQPMQCDAASFNPSCSSYLYVTPGGRSLSQIASDFNGTTSLTQPIRRLSGSEDLLVRVPCMCEAINTTMNGLFHDTEYKGPNDMSANINRSYFSGLAWNLPPMENKTIVVHLLCGCSSTAPEGVLSYTVQFGDTLSNIATLFRSGSREILSLNPGVTNPDFLNPDIDPFQTERPVIFSLKEVGDATANFDEKRKIGEGGYGMVYLGFIGTHEIAVKKMKASKSKEFFAELKVLCKVHHINVVELIGYAAGEDHLYLVYEYVQNGSLSEHLHDPMLKGHQPLSWTARTQIAMDAARGIEYIHDHTKACYVHRDIKTSNILLDGALRAKVADFGLVKLIERSDEEDCFATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALVRDNKEANKTKSLVTIMRKAFKTEYLESSLEKTIDPSLKDNYPIEEVCKLTKISMRCLSEDPLERPEMREIMSLLSQIHFASIEWEASLGGDAEVFSGVFNGR >cds.KYUSt_chr1.25022 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149894760:149895767:-1 gene:KYUSg_chr1.25022 transcript:KYUSt_chr1.25022 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHTCKLCFRRFQNGRALGGHMRSHVMAAAAAAATAAAYSPPQQQRQQSPPVSFASTSSTDIDGKLTQPSRLSCFLREDPKVGAPEFSGSVVAAAAGESSVVQDGESDTESPRVGAGFGVCRRRSKRARRRAAPDPEPASSVSDATREEDVAMSLVMLSRDSWTRSRSEPEEPHWARASWEAEQDDSRVNLLDEEEYDVAGKARYGKATAARPRSGRHQCGACRKVFRSYQALGGHRASVKKGKGGCVPPAAGQAYDAHAPVVHECPFCFRVFGSGQALGGHKRAHTPFGGAGAPSPAKCGDSFGPFDLNVPVAFDDDFELSAVYDAEFGSTRQ >cds.KYUSt_chr2.33358 pep primary_assembly:MPB_Lper_Kyuss_1697:2:205831100:205840181:-1 gene:KYUSg_chr2.33358 transcript:KYUSt_chr2.33358 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAPYFAAEEGARGIRPGESPAAALRRILASPGAHQAPCCFDALGARLVERAGFPICFMGGFCVSAARLGLPDVGLISYGEMVDQGRLITEAVSVPVIGDGDNGYGNSMNIKRTIKGYINAGLAGIMLEDQVAPKACGHTEGRKVISREEAVMHIKAAIDARKESASDIVIVARTDSRQAVSLDEALWRVEAFADAGADVLFIDALASVEEMKAFCAVAPGVPKMANMLEGGGKTPILTPAELEEIGFSLVVYPLSLIGVAMRAMEDALLAIKSGGVPPPSSLPSFQQIKDTLGFNRYYEEDKQYSVSQTQPSLPSGKP >cds.KYUSt_chr2.38458 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238375627:238380566:-1 gene:KYUSg_chr2.38458 transcript:KYUSt_chr2.38458 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNHAPHSNQHQPSPERDGSFSYDIESMDGGGGGGLRGAASEALLRFDDDDGGPREPLLRKRTMNTTSQIAIVGANVFPIESLDYEIVENDLFKQDWRSRKKKQIFQYVVLKWALVLLIGLLTGLVGFFNNLAVENIAGFKLLITGELMLQNRYFVAFLAYGGCNLVLGATAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLKYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLKALIEFCRSGKCGLFGQGGLIMFDLSSNIPTYGTQDVIAIIILGIIGGVFGGLFNFLLDRILRVYSIINERGAPLKILLTITISIITSACSYGLPWLAPCTPCPVGSMEECPTIGRSGNYKSFQCPPGHYNGLASLFFNTNDDAIRNLFSRGTANEFHMSSLFVFFTAIYCLGLLTYGIAVPSGLFIPVILAGATYGRIVGTLLGPMSDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNELHMLPLVMLVLLISKTIADCFNKGVYDQIVVMKGLPFMEAHAEPYMRQLVASDVVSGPLISFSGVEKVGNIVQALRITGHNGFPVVDEPPLSEAPELVGLVLRSHLLVLLNGKNFMKDKVKTSGSFVLRRFGAFDFAKAGSGKGMKVEDLDFTDEEMEMYVDLHPITNTSPYTVVETMSLAKAAVLFRSLGLRHLLVVPKTPGRHPIVGILTRHDLMPEHILGLFPNLRKSH >cds.KYUSt_chr3.26790 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167067687:167076599:-1 gene:KYUSg_chr3.26790 transcript:KYUSt_chr3.26790 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYGISDILWLLYGDVLSDLLYFFLFIPKVSTELLNPDIIQIDQWPYRIGVYQVSSMAYSEATSCEPSLWPPDFLEKIESVAISRNQEVLADKESRFSLANSRSSSWKASQLLWSTGTYSGFIPNGFYSIIPDKKLKEIFPTIPSLNDLQSLEADGLKPDIIVVDVEKDKKIFMLKQLSGALVKGLNNPALVIKKIAGLVFDCFKGQNPDVSPGRASAEDTHFFGSRGPQLLGQIKHGSCRPRAILFKVLADAVGLESKLVVGLPDEGAVGFVDSYKHMSVVVPLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIEGSSNLSGRSLRNTMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRRGVAEEPRGASSSPEHPLMRAKGRSILGGERQSFQEYTDVVTSRSDDPGGTTTPNPRRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVNDGSCSYIGEDKNDANDGPNDSGRTVATNNGLRNRAGSTQKAMSLPTSPHDYGGENSETGDNCDFISKEKMVFAWNKVLQSSPFNKPLLPFQEWNIDFSELTIGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMVPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKIIRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRAMTDSPMTDSSSAGTPEWMAPELIKNEPFSEKCDIFSLGVIMWELCTLSRPWDGISPVQVVYAVANEGSRLEIPEGPLGKLIADCWAEPQDRPSCQEVLTRLLDCEYADS >cds.KYUSt_chr4.47993 pep primary_assembly:MPB_Lper_Kyuss_1697:4:297208458:297211878:1 gene:KYUSg_chr4.47993 transcript:KYUSt_chr4.47993 gene_biotype:protein_coding transcript_biotype:protein_coding MENEFQDGKEEVIEAWYMDDSEEDQRLPHHREPKEFIPLNKLSELGVVSWRLNADDWEKDENLKKIREARGYSYVDICDVCPEKLPNYEVKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDENEQWIRVAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVDKIINRGGNQTVEAR >cds.KYUSt_chr1.29703 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179827554:179828367:1 gene:KYUSg_chr1.29703 transcript:KYUSt_chr1.29703 gene_biotype:protein_coding transcript_biotype:protein_coding MPINTKLFQAPSNSAREIKMASNKDKASYQAGEAKAHTEEKAGQVTGATKDKAKTSETAQAAKDKASETAEAAKQKASEATGDTHDKTSHAAQDTKDSAVAGKDNTGSVLGQAGDQVKNAVVGAKDAVANTLGMGGDATTKDTSST >cds.KYUSt_chr7.4374 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26419301:26419723:1 gene:KYUSg_chr7.4374 transcript:KYUSt_chr7.4374 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRPRKPFFDHFRENVTDGFMVGMYGTIYHLRDKGGLQAICKKAAHLSCSAAVCFGVFSAIDYAMVSARRKEEPFLNCAVAAAGACGITFLPRGVCYAGGSALIGGALGGVLLGGLRLLVLEGGDQNLSPQLGSSRAR >cds.KYUSt_chr5.6320 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39126546:39130237:-1 gene:KYUSg_chr5.6320 transcript:KYUSt_chr5.6320 gene_biotype:protein_coding transcript_biotype:protein_coding MCTCLPCRNNRSTNTFLTRSRSGGTPRQPPGRAPEDLRDDARFPLPGYFLPPALRGLPELHAGVRRRAARVCSLLLGAATFGMVPSKMQPSRKVARVDTAEIKSRLVRKIGHQRADLYFHSLKKFLGFQLGKSEFDKICVTALGKENIKLHNFLVRSILGNAYMSLGPPPSRQTPTGNSQTSTVTNGPLASGVPLVRRVRPVGSRDRRFGDKPSPLGKSPLGHPGGGEFVSVEEGEEVDQARGSPVCVQSQSPIRAPLGIPPKAQNSQPPTSYASEVCYSNGELPDTQYLSKLLENKLKAEGLSISLDCADLLNSGLNVYISQMLKSCLGVAKARGNTTRTREANGSAAAASAAANGGRNNGFASDLGCSYQASLLDLCTAVQSNPQLLGCDYARQYEKITSHLLDN >cds.KYUSt_chr4.11324 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68859193:68859525:1 gene:KYUSg_chr4.11324 transcript:KYUSt_chr4.11324 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHFFYQSAKAATEAADEATMKQSIPGLQTLQLPPSSTNFTAADYGGIMDMFALFRPHATSEGRIVYTTKFGEAGLYDADKHVHTTLSALKAPKSRSNRPRKRGKPV >cds.KYUSt_chr2.47293 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295852302:295860772:-1 gene:KYUSg_chr2.47293 transcript:KYUSt_chr2.47293 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQCDGPRTRGPRARLDPPAESDEDYSLSALDGDARAGTSSPPTASPTCHVTDLQPRTARTTGSYTVPWFPPDKVQVELLLRYIVEETPEDAEKKRIFRFPFIACEIFICEVDVILKTLVEDEDLMNLLFSFLKPDHPHGTLLAGYFGKVVICLMLRKTLPLMNYVQGHPEIVSQLVDLIGITSIMEVLIRLIGADETMYTSYADSMQWLDDIQVLEMIVDKFSSSDSAEVHANAAEILCAVTRYAPPALATKISSPSFVGRLFHHAFEDSRPKSVLVHSLSVCISLLDPKRLVSASYQAFRSQLSHGTLVTASPETVNGMLDSLGDLLKLLDVSSAEAILPTTYGSLQPPLGKHRLKIVEFISVLLSIGSEVAEMRLIHLGAIKHVIDLFFEYPFNNFLHHHVENIIVSCLESKQDQLIAHVLDECKLVTRILEAEKNSALSVDLTKRTLSAEGKIPPRNGFVGHITRIANKLIQLANSDSTIQSHLQQNSGWGEWHSSILTKRNAVENVYQWACGRPTSLQDRGRDSDDEDFRDRDYDVAALASNLSQAFKYGIHSNEDVDDEAQVSQERDDEDVYFDDEAAEVVISNIRFGDDHDRGSLFTNSNWFAFDEDKALNDGPEASLSANLELPSPNVDEDMDEVILGEPIDVTKGLDPLLAGSDRDLNEETGHAVLTNGPIDKLEDDIRPPTPDVKESPPESVEWTEEDAEPAEVLVNTAVVNCEAGDEKAMDATGGVMSGTTQLGEEQGSVNLVESSVLQTTVEKISPDSSDASSGGHSEPGDGNSNLECPLAEQKHEHNES >cds.KYUSt_chr7.35333 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220726103:220727165:1 gene:KYUSg_chr7.35333 transcript:KYUSt_chr7.35333 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNVSPFNIPNELIDYVAQHTSPALREFKVGKNRIVFTKDMVTKVFGIVLGCKPVVPLKRSEQSNLRDVYRGSNPRPDIPTTIKILRECDITDEESIVRSWDLLCMANLVDPTSSNHVSMDYLGSMSDPSKTHEYAWDEYILDLSMKEVNKMHKKRVKPLAFKGEGSKFEYWNFWTVCYSWVVNDLDRLSLNNKKVFGRRPFLDFAKTPYNVVASEPIDVPEVNPSASLNEWIVFPSRPGT >cds.KYUSt_chr7.3431 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20460046:20460486:-1 gene:KYUSg_chr7.3431 transcript:KYUSt_chr7.3431 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDSDSRVTAVQVELKGLTGSWRRRPATSPSSSTSRSSPTGSSASPPLAGLTSLQVTLVNNNSFTSIADGFFKRLTASTGVNLDENPCGALDGVLSEVPMRCPVAIMDARMCSAWRITMSRGRMSPSVVLASPRVAAVCPCQGRC >cds.KYUSt_chr3.8546 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49527836:49528390:-1 gene:KYUSg_chr3.8546 transcript:KYUSt_chr3.8546 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRMRTAAGSLGGSDARRNAERRCGRRHGPPVAVGERDDGDVCMQGSRSAGATDCAEAFLDRPGRAAAGLGVSADHGAGTVPAARGLELDDGDLPAVPGRKKGAAKVAETGKRRKEPRARAGKGGSLGHDKRQDNDAFGSALVVREDGNESELRLLRPRRDREAWCVVSAVANEASEWGLWP >cds.KYUSt_chr3.11427 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68090124:68094493:1 gene:KYUSg_chr3.11427 transcript:KYUSt_chr3.11427 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPDPMVLDAPPPLAAAAAVPPHAPNEKKREDGGDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRSMDHPNVVSLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYMYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKICDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGETAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPAEAIDLASRLLQYSPSLRCTALDACAHSFFDELRESNARLPNGRPFPPLFNFKPEEPYLQALRNAVELFVVWIVQLLVSLVNNLYADLTEENAKCILGYSNSWLR >cds.KYUSt_chr4.42356 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262111504:262112682:1 gene:KYUSg_chr4.42356 transcript:KYUSt_chr4.42356 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPASPDFRAPGGWRLSAGGVPIPPPPTGGGALETAIEEVLATLGDERRADPRFFPDNHEAWIAFFRRRYERELAATTALLLLRQGTTPPAAAGGGARSTAPSRMCSRTSRTGTPLSSGMPPPVAATVSRRHGAGVSTADREAQRRRLSSATSPPRRNAGGRGRRRRIGAAAAAANQLAEEEAKRAEDAAVAEAIARSPKDLVPADNTLPIDAVAGVVRARPGSAGRRSDGGGCWIWPPRDNSPPAPPHRRRPRGTPRPGRVIKLEESGDDDIYRPSPPRAGDAGRGTSRRYEAPPPQDDAGSSDDDDGGDYTTFYRHFGM >cds.KYUSt_chr6.13554 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84941105:84942553:1 gene:KYUSg_chr6.13554 transcript:KYUSt_chr6.13554 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPLSSTATDGDSGKQDGGWQRDELRQTVRLEIEKNRHCDDKAKIRFLISEGLQRLKGLDEMLDMTGRS >cds.KYUSt_chr6.32710 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206030318:206030860:1 gene:KYUSg_chr6.32710 transcript:KYUSt_chr6.32710 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAATQTERHGRGNATATTIAKSRWGPYSGAGDFAGNMAVILASLLAALALALALHAGVRHLLRRRRQRQRQTNGAQAPDPEKPPPVAPPPALLELVYSAAEAGQLAGAASECAICLAEFADGDAVRAVPACGHGFHARCIERWLAPGGRRSSCPTCRAPASTPPASASAGAPAAAAS >cds.KYUSt_chr6.15397 pep primary_assembly:MPB_Lper_Kyuss_1697:6:96707351:96710275:-1 gene:KYUSg_chr6.15397 transcript:KYUSt_chr6.15397 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLAGVRHGAADWRLPKSRGLVQGLRLVEIGLWEKPHLKGKLGAIQFRHFLSRYAVSNGLVQLVVALNQPKQLPWEHPGQDFGSGTVYHYDKGEDRPTKGWVCGVVAEDSDWLGSYTGPHTKEVCMGMRAQLCNKDKISHEVSAPLQSVKDCGLSLPVPGRNCERGRKGTPKVLAPCEDWRT >cds.KYUSt_chr6.33441 pep primary_assembly:MPB_Lper_Kyuss_1697:6:210118840:210123962:1 gene:KYUSg_chr6.33441 transcript:KYUSt_chr6.33441 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRKRRLTPPSPANLDTVFTDSYAQRLLLSPALDSNVSKVLDDDSFLIEMMDRPSVPERVDPIPSMTCVDAKRLRCSAMPANLVPKVLDDDNTNSWPAAVPPLEDENLLHEIFLRLPPKPSSLPSASLICKRWRTILSDTQFLGRFRKHHQKALLLGFFVGMALRGDAIFTPVLEPLDRIPSTRSGSSLVAAMALLS >cds.KYUSt_chr4.36995 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227483852:227485624:-1 gene:KYUSg_chr4.36995 transcript:KYUSt_chr4.36995 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPLMAMLIILACSSWAAAALLAAALFTLSALPLVSTSRRFSSAAHSAFDMPGGFVFFARIFLAGAFGGIVVDEKTRRRVVVDEKTPPGTGAGRRGEWPNSAGENGDMQANWREWGYASKLEGKSTGFGFPVADYAGPHDVSLQNLSSGVPERAPGDRGGGGLAGWMKGQIRTKTRNRVRDWADLRRPDLPSVFFHHQPYRDNESRNRDQGEENSAAMATKIYIVYYSTWGHVATLAEEMKKGANSVPGVEVTIWRVPETLPEEVLGKMHAAPAREDHPVITARQLAEADGILFGFPTRFGMMAAQMKAFFDTTGGLWQSGALAGKPAGVFFATGTQGGGQETTALTAVTQLTHHGMLFVPVGYTHGAGMFAMDEVKGGSPYGAGTFAGADGSRVPSDAELALAAHQGKYFAGIAKKLKVV >cds.KYUSt_chr2.11375 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72347246:72349058:-1 gene:KYUSg_chr2.11375 transcript:KYUSt_chr2.11375 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCSKEGLNRGAWTAMEDDILVSYINKHGEGKWGSLPKRAGLNRCGKSCRLRWLNYLRPGIKRGNISDDEEDLIVRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNTTLSKKVMLPPPPPPPQGNNHSGCSNSLQAVASSKPASPPPPEGAAPPDTSSPIRTKALRCTTVMAAAGHDLPLENAATEETTPAEGQQDFAVDDLSIDLDLDGIELGFLFSPWRGGADGLEAAGDHGLFGACGTDQSDDLEELLGLGDVESHGGVPSLGDFELPWL >cds.KYUSt_chr3.39323 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247743754:247748534:-1 gene:KYUSg_chr3.39323 transcript:KYUSt_chr3.39323 gene_biotype:protein_coding transcript_biotype:protein_coding MGSICINVDTSADAVEDDAGLGGIGLAASAHRTELMPTITTRTTTATRNKVRRRYTGNLAVFHTTYGQCPAPPPLGPVGSFCINVDTSADAAEDDAGLGGIGLAASAHGTELVPAIMARATMSTGNQPRRRDELDIKLHASNSFSDKIEIILNVFGPSMKNRVSRKRRSARYLAPSGFAIVASSHVAVNGHCRAFSVVSVTSSQGVVDEDARRRRGDGMVVVADRADNETLTSPVPARFRPPPYPCPIIGDLRSLARSSLHCSCGDHVRDLEASTVAYASAPR >cds.KYUSt_chr3.17613 pep primary_assembly:MPB_Lper_Kyuss_1697:3:107991919:107994570:1 gene:KYUSg_chr3.17613 transcript:KYUSt_chr3.17613 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIQDQVMMDSAAYVYVSKMGNIQRFLISVQMVNAQLILRVLESHKDYSGEGVDTIECVCYYPSHAYCKPGSRLEIVVGLPEGLSGNVDERLQETRTNLPKGFTVDDGGNIVDAMNMAIGKISDTESHCISCTYQLPTLTLIYLQENDMDDLEDMHPQGE >cds.KYUSt_chr7.10389 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63799067:63800825:-1 gene:KYUSg_chr7.10389 transcript:KYUSt_chr7.10389 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSAKVGRWSSVRNAVVVALVMTVTMPPILFIYSGHLDLDEPAVWIQSTVAGLGARRRVGEQKKYELLGGLLPPGLDDQSCDSRYQSVHYRKNMTRSPTPYLVMRLRQREILQRRCGPGTEPYTRASQRLRSGQKNVDAVDGCSYLVLLSHRGLGNRILATVSAFLYAMLTDRVLLVDRGKALGKLFCEPFPGTTWLLPLDFPLEGYKDLGEEAAESYENVTLGNNTGPASEHRFVYIHLDHAARAANRLAYCDDHLQFLHRVQWVFLRTDSYIAPSLFLNPVHQKELDRMFPRKDSVFYVLSRYLLHPTNGVWRMVTRFYDSYLKDADERLGIQIRVWDGDRPFQHILDQILACTAQEHLLPAPGVVASAGGAFAGARGRSKAVLTTGLNGWYHDSIQEMYRRSPPASGEVVSVHSHEEHQRFFQVEHDMKALAEMYLLSMTDQIVTTGWSTFGYVGAALGGLTPYIMMKPKNRVAPNPPCVRSMSMEPCNHGPPYFECTRKEYHKVFHTGNTVPHLQSCEDIPWGVKLTEPMAYKEA >cds.KYUSt_chr1.42353 pep primary_assembly:MPB_Lper_Kyuss_1697:1:259279395:259282096:1 gene:KYUSg_chr1.42353 transcript:KYUSt_chr1.42353 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARKATSSTAARSASARFGQTRPHSSGTRASSVGEEEKLAREAVGREIQQKKEELYDVIAKAEQSFGTRSFQNMRLLQHLSVQVNPRPWDWEWHHLWFSRRVNSVLEIAGFFSLGCMGAHWSKKYQKLHEAREELRGLEEELRVLKEEHEAGEWGRLVSD >cds.KYUSt_chr5.36014 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227510612:227516626:-1 gene:KYUSg_chr5.36014 transcript:KYUSt_chr5.36014 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAALDAYRRHAKRRRDAPDHALPPSSKQPLMTTAAYSSAGASSSSGAGASSSSSSPFHASPRSLHFFVRATDSKTIAIHASFDDTVATILRHLAARGYGRDLRLLYAGRQLTPEDTIASLALPPDSTLHLAARLRSTPHPRAWQLAQHIASTAAASTASPAAYYLDELVNTYIAFSDPDSTDNRHDLNAPLNADPLTTTLTDHHAADYFDIFLQSGAALALVRLYLSASSFGRSYAERAIKVFLIPDPAPPLPHSMMPETLPVLLEFCRLLSLTAGATDALYKSCRYTLGSMLSSPLSRRAAAKSPTKVIEQVLPYTREILDAVLHGLSSESMKVPKTDLDELSNFLKVLRQQALLAAPDGPLPRNLYSRDCKRGWVWELHEMAMSLLKRADECLKRLEMDLSSLSSDSDSRGVIETQPLWAGRLHVLAILTELDSISALYEDVAHNLRFVLLAHRAPLNALVRCSKRNEHLHWLVKHKDLLCFEARRNLVLMLFSEGKDDYGELHEMLIDRSHLLIESFEYITQARPTELQSGLFMEFKNEEATGPGVLREWFCMVSQALFSPQQVLFLPCPNDQRRFYLNGTSAVDPLNLKYFIFSGRLIGLALMHKVQVGIVLDRTLFLHLAGRSITLEDIAAADPVTYASCKRILEMGAADIDDLTLTFSRDVHTLGSRRTIELCPGGQDIPVNIINRQHYIDLLIKNIFVDSISDQLANFAKGFSDILVNPKLQKVFFGCLDLEDFDQMLGGSNTNINLKDWRSHTQYNGYKEKDRQVNWFWKAVESMSIEQQRRLLFFWTSVKYLPSDGFGGLGSKLYIYKQLESADHLPSSHTCFYRLCLPPYPSLKVMQSQLQKITQEHVSCSFGTW >cds.KYUSt_chr2.28410 pep primary_assembly:MPB_Lper_Kyuss_1697:2:174399007:174406011:1 gene:KYUSg_chr2.28410 transcript:KYUSt_chr2.28410 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRLEVVVVDGERQWRARRQQGVGAVVGAPAVVGVVRRDVVDDGEAPGSSGASWGGLLLRRASATVSGGELAIAAVRWLKDGIEAAGRGCEVREESSNFACFPFEIGDEFGVMVLGKVVVLDVVLDEVKRFLRSYLANPACNYCELNFALACCKVTEDVFEPLEARVTEIGRRFFGVVSSPGNGERGLIVQELPAKIRWEVQHLEELFEGIKEDKEGVYEGITSVSMAVSEWQRRLGIAYQNVGAPEPFEGMKWAFEHHEEWVEDDTTSGANNETLSFDEDELFESLRYLKTAEGGSEARLLESIKSVMQCINHVLATVRSRMDAANGSSRIVDHVFSPVLGLLKTIDHLVSEATARSNKSENYKFLAKIDEKVNCLQDALHVIDRNKREVQESFRMIEDLISPLLARLNDTCNDHLESPSFQDEIKHNINSLVDVLDMVDKKKQDGNANFTIVNAAFLPLLTCLSTFRHLSLEALAHEDKSGASVLLSSITDELSQLKHALQKVQEKEKGIYSNFDAIEQHIDEIFEGPIIDAEGSLKLRQMGGLREKLHMIHEEITNIWGKVNDSYKVQEVSIGSISAGHEASSYHPLSAADTFCIARESAQMRQLKVTIDSLHTRLRNCLMCLAVFPEDAVIKKRLLIHWWIGEGFVSSVSEGKKFFDELLVPKGFIKPVMKYHCDKVHSCKVQPWIRGILIESARSTAFVEINSDGSSKNDFTRTQRACLYDGKILTNFHHDVLTVYNIKQQYLELDKAWFSGKKCLSTLQLGRWQDSNYDPRAHHIEMNNVEFLKQFKSCKELKYLSLRGISSIVALPTSIGKLTRLVILDLKACHNLEDIPKEIVKLVKLEYLDVSECYLMSGMPKGLIKLSQLEVLKGFVLANTRSKDPCHLKELVMLKKLRKLSIRIGNSIDSDQFEKINEFSALRSLTLTWGTAVPSIPAIHDGNRVSGSSHAATMGMTMPFCLSFGDEIFFPASMVVLNNHNFLGLEKLDIRCYPVAEFPCWVNPQDLKILKKLYIRGGIMSGLGEDKFWEVTVVRLRFLNHLNYAWTALQDTFKKLHILEVHECENLETWPDCQKGLWRKEMNGMFASLLP >cds.KYUSt_scaffold_3611.35 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:151561:152151:1 gene:KYUSg_scaffold_3611.35 transcript:KYUSt_scaffold_3611.35 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNAAKLTAPPSAPAPATTLAAAALAARRSDQAIRGRLLVKCPLLPEAVFPAPPPAAPAPPVGATCGLLPTALASLLAGAGSMPLAAASTTTSPPPRTCSVNELIPEAIPVQMVQAAESAWSPMCGFGCNKKNLVKDIKCQVCLEDEDEMSPQVTSRHQHDEE >cds.KYUSt_chr7.30417 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189410182:189411657:1 gene:KYUSg_chr7.30417 transcript:KYUSt_chr7.30417 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLFGTVSVVTLLLLVSSVQCYDGRHAVTMARRSRLGSRNVVHHRRNTVPHRYILAEKSNTTGGAGSNQRNRTSPPASNATAPSTAPPPQQQGGRRHRSHKHRVRNWIIGFVVGSLAGVISGLGLSVLFRLALNCIRGRHRRSKSGTVIYTPKLIKRADQLAFLEKEDSLSSLEVIGSGGCGEVFKAQLPVAREGEEPRFIAIKKIKKQVGYGPGKPNQNLSDEESRQLDRWSRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEYMKNGSLYNAIKKQEEVAAVDGGGSNEENKVTLSWPERLRVAVGIASGLEYLHESHHPQIIHRDLKPANILLDDDLEARIADFGLAKAMPDAQTHASTSHLAGTWGYIAPEYHQTLKFTAKCDVYSFGVILAVLATGKDPSDQFFVTEVEEIGLVKWLRRVVQCGDYAEAIDPALAGAGHDEQILLVLRIAVFCTADEAKERPAAKDVRCMLAQIRPAAT >cds.KYUSt_chr2.25790 pep primary_assembly:MPB_Lper_Kyuss_1697:2:157762420:157766685:-1 gene:KYUSg_chr2.25790 transcript:KYUSt_chr2.25790 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTEKIILDPLKAKVKLKAENIDICYPPVFQSGGKFDLRPGASSNDDYLYYDPASVIICAIGSRYNNYCSNVARTFLIDATPTQSKAYETLLKAQEAALAACRPGNQMCTVYQAALAVFEKNAPEFLSNLTKSAGTGIGLEFRESGLNLNSKNARLIKEGMIFNVCLGLNNVLAETDNEKTKQFSLLLADTALVNDKVVDILTNCSKAVKDVAYSFNEDEEDVPKPKQPRVEPNGLEAVPSKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGGGSGSGDGRGTARASNELVAYKNVNDVPYSRELVIQVDQRNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFANDNNLKSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVSQEKLQLASIKTKQMRLNDLWIRPVFGGRGRKLTGTLEAHVNGFRYSTSRADECVDIMYGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTVGGSRRSALDPDEIEEEQRERDRKNRINMEFQNYVNKVNDHWSQAQFKGLDLEFDIPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVTLGEIEIVNLERVGFGTKNFDMAIVLKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFVDDDQGYQPSDAEPESESEDDDSDSASLVESDEDEEEDSDGDSEEEKGKTWDELEREATNADREHGGESESEEERRRRKVKTFSKSGPPPQRGSGSSKHRPAPERGSGSKARPPPDRGSSKGGPSKKPKFSSEGFLAAADDSGDVNV >cds.KYUSt_chr6.28781 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182336417:182336710:1 gene:KYUSg_chr6.28781 transcript:KYUSt_chr6.28781 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRHHPESTMAAALRLESAEDLRFRLTPHHHQRHGRRSFSSTARARAPPPPHAEIWILSMGVGRRCRAGGGKGEPELDGRRAGEGLALEFMAVRD >cds.KYUSt_contig_1989.19 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:104285:122747:-1 gene:KYUSg_contig_1989.19 transcript:KYUSt_contig_1989.19 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRNPSKEIFSELDEMNAQGPNFAPNLPKTEESTKWGHEVARPRGGAAQATGHADLWFHVGAGIPGVAPHYISPPSTFNVLLDSYWSPGGSGGLANSEERYKNWEGQALFPTAGEEAEDRSSAGYSYRATVSHGRSQACDEIQLAMSSPPPWARGVQQHHNTLQQQASSPRSSSITSTTSLGSSMFEFSNHSSPREVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLHGQIEGLSSPYQLGGGNGSGGSMSKQQHEATDVSCSGEEAKKDLKSRGLCLVPVSCTLDFGADAGPADYWTAAPPFGMGFGR >cds.KYUSt_chr2.54049 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337376223:337381097:1 gene:KYUSg_chr2.54049 transcript:KYUSt_chr2.54049 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGSGGGGGGSRRHWLADDPGFRSVVLRKLTTRLNPYLEPRYAPGSQEAQRFVSKFEEEAFSGANSKEDYMRNISNKLLLFEKRRSEQMQRRRQSRSQLQQTNAPQALQGGNSSVMPEAVMMACPRPTSQPMTPITPGLVENQMQLGASQCQSQYPSRQLQATNFVGCSPTSVSKPAIQPNSQQNRLLGKNDSAIGRQQLTRMNQQTLGANQQEMDLQRYHILGAQQADASKMQVGQLGGKNNQQDSRLTDLLHSQFKASEPEHMARTLQQISAAQQSTFVCQNSQIPATVGSARECDVIEKMYCQIKSWKDAYYLQLVELEEKFRVPVLTEEQLLSLPGSKANSYRKKVDLKKQIRKILNFLQLRKSDVHEGLRLEFSNMLEYLTPSPVAKPGVAPDSPCAPVMSRFSKDVGSISDFLLHDNAAAPPPKTNSSNHVTPTKPMSASSLQTEIAAGQVEDQVRCRDRTSVTKKPIDRLIDAMRSSSPAALRSSANSIWSVLSIGDIVPPGKIGTILDCKSSQRQQFGGSNTVNKMKRVFNNTSSCSGSLLAGSFDNSCMSFECDASGSGSSSKRSIKRQKTKNANDALLEEIKSINSTLIDTVISMSGDCETDGFASCGGGTTLKLSYNAVSISRAVISLFATSEMSLVCPAKLFIPPDYPSSSPVLINDGGDEVLRKNSSAISVSLDVAFRHVLADLPEPRSIKEIARAWDACVRKAVTEFAQRQGGGTVSMMLGRWDRCAAA >cds.KYUSt_chr3.29468 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184452123:184452653:1 gene:KYUSg_chr3.29468 transcript:KYUSt_chr3.29468 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGPDHREAAAPEWRGAVRAAAAGPTPDQAWALLRDFCSLDRLVSLVQTCRRLEGADGEPGCVRYCAGPVNMAAPEEAVGWSKERLVEVDDAGRSYSYEVVETNKGFGRYRATIGVEADPAGCAVAWSFEADPVKGWTLEGFVGFLEKLARGVARRLEEEVMVNVGDDDPALQAS >cds.KYUSt_chr3.43536 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274754175:274755612:-1 gene:KYUSg_chr3.43536 transcript:KYUSt_chr3.43536 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAKPSHNPRTNYVFHRVILSSLVLVLSCSCTVANSDRQPPMISKIAKDPVTSLYTISIKADQSPLVVDLAGSLVWSTCPPSAAAHSTVQCESATCAIAKEQSPPRCRYVDGGRFWENGQPGSTECACTVHPLNPVTGECSTGDLTSLAMSANTTNGTMELRPEESFAVLGACAPSRLLTSLPVGATGVAGLSRGPLSLPSQLTAQRGFGNKFALCLPDFAIFGDTPVYLSVPDPRIYIDYTTTIPYTPLVTNPANTGGHYIPVKGISVSWHAADAAAALPRGALDIHVRTGRGGVVLSTATPYTLMRPDVFRAFAMAFDDAIMRGKIPMTPMRRVQATKPFELCYNGAFPMLKRSGYDMPYIKLELGNGATRNWTLFNSNYMVQVEGAMCVGILPMGPRGMPVAGEPAVVIGGKQLEDNLLVFDLEKQRLGFSMLLRYQLSSCRSSNFFRN >cds.KYUSt_chr4.47498 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294045155:294051177:1 gene:KYUSg_chr4.47498 transcript:KYUSt_chr4.47498 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHIAAGSVANTCARPASPRPSPASATTKSGCHGLRGHLRLPATAAPEHAMLHLRLRVASTGPASANIDLAMAGSRFPRPPSVACARAAATTCTYAIQAAARQLAAPRGPGSSKACMHASNSERSKCPRVRTWAASCGHQQSHSTITKQAPTRTPLPRPAPVAAHSRPSLRLRALQRRRLRPTSSRPAPAWSPSPASHNSHLWLGLPQPHLLEHGSPSTAPAATSGCTSALLSKQQQRRAHAATHPVRLRPRCSWSASNCDVPPSGSVRHRRQQLRTCVSSTTPPAAPAPRVLTAPLRLAAPALPARRAKLHYRLRLARVRRRLAAPGLAASGLLRRCSARRVRTSCRHEPADTESTLPAARALELAVLSDHFRVLFEHEPADTASTLPGGPSLRAGGHHRPLPGALRHEPADTSPPCRRPEPSSWRSLATTSGCSSARAGGHESTLPAARALELAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVISDHFRVLFSTSRRTQSPPCQRPRAFELAVISDHFRVLFSTSRRTQSPPCQRPRAFKLAVISDHFRVLFEHEPADTRVHLASGPEPSSWRSLATTSGCSSARAGGHRVHLASGPEPSSWRSSATTSGCSSARAGGHRVHLASGPEPSSWRSSATTSGCSSARAGGHRVLRRFVRLRLRRLVRLHLRCLVRLRLVRLRLRRLVRPRLRRLVRLRLVRLRLRRLVRLRLVRLRLVASSGCALSGCDLAASSAAPSSPRRLRLVASSGCASSGYTLAVLSGCALSGCDLSPRRLRLRRLVRLRLVRLRPCRLVRLRLRRLAGRAFAASSGLVRLRLVRLRLRRLVRLCLVRLRLVRLRLPAPSPHCPAAPSPPRPAATRRLVRLRLVRLRPRRLVRLRLRRLARPRLRRLVRLRLRRLVRLRLVRCDLAASSGCAFVASPGRAFAASSGRAFAASSGRAFAASSGCALSGCDLARPRLRRLVRLRLRRLVRLRLVRLRPRRLVRLRLRRLAGRAFAASSGCDFVASSGCALSGCDLAASSGCAFVASPGRAFAASSGRAFAASSGCALSAATSPGRAFAASSAAPRMARLVRLRLRRLVRPRLRRLARPRLRRLVRPRLRRLVRLRLVRRALSAAPSSPRPAAPSPPRPAAPSPPRPAAPSPPRPAAPSPPRPAAPRPVAPCPAATSPPRPAAPSSPRRPRLRRLVRLRLVRPRLVRPAPSSPRPAAPSPPRPPRLRRLVRPRLRRLVRLRHRRLVQLRLVRLRLVRLRLVRLRLRRLVPVAPSPPCSGCVPAIIRLRLRHVFAAPYRFRQRHAMVYIDIAIIIYIINIAFGTLPATRLQFESHAGSGANVGGMTPGMAKS >cds.KYUSt_chr7.28283 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176612785:176617045:-1 gene:KYUSg_chr7.28283 transcript:KYUSt_chr7.28283 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSGRVPEAISWIPRDGIGGGGVSGRLSTGAQVFSVRGYLFSVWRTETCLRSLKFSNIQIIPLRGQNQNGMAPPPRLVPLLLGRLVISGDLLRSTAHLRRIVPLLPSHPHLAAALSTLYFPLFPSSCTFLHNLLIQASATSPSPRLAFAAFSSILRSGNAPDRFTFPALLKSASKLASFPRTGAQVHAQTVRRGFLVDVFVFNSLLAMYAAFRDTSSMQEVFDSCAGVADVVSWNTMLGGYVKCGDIDNARRLFEEMPQRNGVSWSAMVGAYAGSGELDVAREMFDEMPAIGRNVVTWNSMITGFARHGLLPLARKMFDEMPVRNLVSWNTMIRGYGVNGDMDGARELFDVMPEKDVVSWTCMISGYAQDGRYAETLDLFRSMQSESSVRPNEVTMVSVLSACAHVTALEEGRWAHAFIDKHKMVLDNEFNLGAALIDMYAKCARTDMAVKIFHSLHQKNVSAWNALITGLAINGDVQDCISVFEHMKRSGEKPNDITFVGVLTACSHGGLMDEGRRCFESMTTYGVQPEAKHYGCMVDMLGRAGLLEEAEELIRSMPVAPDVMILGALLGACRMHKRFDVAERVQSKIIGLNRKQAGCYVLISDVYAAAEKWGEASDARHVLQKHVIRKSPGSSSSMQ >cds.KYUSt_chr4.4373 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25033466:25035966:1 gene:KYUSg_chr4.4373 transcript:KYUSt_chr4.4373 gene_biotype:protein_coding transcript_biotype:protein_coding MARDATTHQEEQLAGAMVQCSVENKPADAVVERSPVAACCVCMEPWTSDGEHRACCLVLCGHVYGRSCLETMLRRCGEGPGRRPKCPQCGQCFGRKDIRDIYMTEYQWDDCGHNKIYVEIHKGRTITLEVGILDTVESVKAKIQDKERIPPNQQSLSFADNVLREGHTLGEYNIQNECTLLLNFKLQIFVKTLAGKAIPLMVNSFDTIWFVKMQVKDKHCGFIFNGEELLDSFTLADYGVQNGSILEQDLSVKEKIEIFVTESLTGMNITLKVATIDTINNVKAKIQDKHCFPMDKQHIIFTNRQLDDDYTLADHNIQNKSTLLLVLGNPCPRGKMNIYVKTLRNKIYTLEVESSDTVYDVMAMIHRKSDIPPNVQRLIFLGKLLKVNQTLANYKIQMYSTIYLVPRLGV >cds.KYUSt_chr3.33020 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207355052:207355645:1 gene:KYUSg_chr3.33020 transcript:KYUSt_chr3.33020 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKYLENDCVPPGDGAFASPVFPARRVSRWGPPRLLSSRTHLPPPLVDSASLATFTISPSSTLTYNLTVAMTVRNPNKRVSLYYDNVEALALFEGQRFGFAPLDAFYQGTQESRQLAPVFGGQQVLDGDVAPANFRSQQSAGAFDVEVKLNARLRVKVWAFKVREPRARISCPLSLPAPGAASPPAFKPVDCKVWF >cds.KYUSt_chr7.15294 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94887694:94888640:-1 gene:KYUSg_chr7.15294 transcript:KYUSt_chr7.15294 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTVSALPLPEEPLDETAPALPEDILEQIFLRLPPSSPACLVRASLASKRWLALLTGPRFRTRYRDHHAAPPTLGFLNSSVAKNHKLTTLHFNQTEIEVNKTQSKTSYDCTWQTCRYQHV >cds.KYUSt_chr4.22547 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141785918:141787894:1 gene:KYUSg_chr4.22547 transcript:KYUSt_chr4.22547 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFFRGCSPELERGSTAIVEKCDGLPLALVSVAKFLLGETELTGSHCAQVCRSLGHHMEKEADFRKLQQVLLNSYSSLSGYPLRTSLLYTSVFPNGRPIRRSTLIRRWLAEGYVRCQYKRSDLEVADENFKELIDRNIVRPIDASNNSKVKTCKTHGIMHEFMLHKSMSDNFITSLRDQNRSNFRHLFIENPASDSTLGMNQHTSSASDDTAGSKKFRARSLTIFGNAGDAASEFCRCELLRVLDLEECNDLVDDQLEDIHKLWYLKYLSLGGTISSLPKKIDKLHCLETLDLRKTKIDMLPVEVIGLPHLAHLFGKFKLGKNDLKMSELEKVLPKKSKLKTLAGFVADENPGFLQLMAHMKELKKVKIWFEATGTDNESLPHISKAVQKFAQGGMDTAGVRSLSLDFGNSSGDLLSSIEEYCYLSSLKLQGRLSLLPQFVTSLCGLTELCLSSTNLTGSDLSNLCKLQYLLYLKLVEADLRSFIIKSGDFPSLRRLCLVVQIPVLPTIREGALPFLVSVQLLCKDLVDLSGIKIEYHGRLEEVALDYMVSNKTVEMWETAAKKHPKRPKILFLKRIDPSETESTVKYVATDGPICEKGSIIELNQVQLTQNMLQNKCIIRSVHSSSVNKPNSALKKIIVSETPQAASKLSSVGAV >cds.KYUSt_chr5.23679 pep primary_assembly:MPB_Lper_Kyuss_1697:5:154146574:154146996:1 gene:KYUSg_chr5.23679 transcript:KYUSt_chr5.23679 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAQEAEKRYGRTTGNSDGGSPRQGRKVGEDGDDGGAKRRSSACTAAHQVRLGGGGVLEQLRLRFSEEEEGTTAAPSPRSAQRAAANNDGKDGDLRQDFLAVLSDEAKTFSQCPSLIFVEQQKGAEGWRRRRGLGFVA >cds.KYUSt_chr6.14910 pep primary_assembly:MPB_Lper_Kyuss_1697:6:93393281:93395281:1 gene:KYUSg_chr6.14910 transcript:KYUSt_chr6.14910 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSVPGAETMTCGRSDGKHASERGANPQVFQLNPAMGKENSPPRDSKSIGFGTCGGGAATGCRKGRKALVPWRFQIGYKRTWSSSQGLSPIINNGSDGPPGTRGSSKFEDGSMQCAPATARKRSRVQFSAAAQVQTGSASSSVPKEKKITPKNRVSITRESVMASLHEFRVIYKTLLEEEKNKRREQEDGTTHDDLGAFQVFRERFCVEHGDKRYEGSLPGVQVGDVFDSNKELFLVGLHRSQESCVDYIKKGRACLAVSIVSYVQHSALNHNLDFLLHVGSTAATADQKMEGTDMALKRSMDNKTQVRVIYRSMAHLGEHSRFEGDTYYVYGGLYLVEKFCREKITEDQFVNTFHLRRMPGQPHIDIQELVKKRMAEPPSNGTFVVDISAGLENIPISAINSVSNEYPMPFSYISHMQYPINYQLEPPSGCDCVGGCLNSPKCACAVKNGGKIPFSKNGRTFGEKPLVYECGPSCKCPPTCHNRVSQRGIKFRLQVFKTKSMGWGVRTLDFIPNGSFVCEYTGELVKDEDAEKTENDEYLFNIGHNYYNVSRWDNLLKTIPSLRSGPQEGEVNDIAVDALKSGNFARFINHSCSGNLFSQNVLYDHDNKSMPHIALFADVDIPPLQPLSYDYNYTIDGVRDPQGNIKKKKCLCGSSECNGWLY >cds.KYUSt_chr6.4326 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25025514:25026068:1 gene:KYUSg_chr6.4326 transcript:KYUSt_chr6.4326 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKALALCMLVLAIAAVTALGFSSDENAVPGNAQCGGRRKCGGGLCCSRFGYCGLGGDYCGAGCQNGPCYRIANVDENDVPSNAQCGDRRRCGGGLCCSRYGFCGLGGDYCGAGCQNGPCYRTANCDENALPANAQCGGRKKCGGGLCCSKYGYCGRGRDYCGVGCQSGPCSKAADVLSDEMM >cds.KYUSt_chr6.12445 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77774893:77775495:-1 gene:KYUSg_chr6.12445 transcript:KYUSt_chr6.12445 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGVMPFLCRFLELASSFGISSSPSFGGCPWWMMALGKGFGDGSLNKLAGALGHGALARSGHRGGGRWGEFLRSGVSWDVQGRSELHLGDLHMVAMPGYRDLWPERRHLQTSLMACVQPPVRRPFRGFMLAFNVLVAPSGSVAGAGKGGRRWISRSGGEEEGLDRFSYLLFRVISVKPEDCSVIFLFSGVLCNLYSHRE >cds.KYUSt_chr6.30420 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192645439:192646938:1 gene:KYUSg_chr6.30420 transcript:KYUSt_chr6.30420 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPEHKRSAPSDRISGLSDDVLGHVLSFLPTKEAACAAALSRRWRHIFGSVHTISFQEAEGARANDWTTWYYEMLERKSCSDELLDNVSAALLCRRRCARIPVPLRSLRFAFDSWHRWDKVAIDQWLAYVLQPPRGCCHNHPGLHLDLRFHVSPICDFSDDRRRDDDAGSDSDDDPFVNRWRYLLPGRLFSCTALRTLCVAFCRLALPRINVVDLPFLDTMRLTALSDSGRSIQWLISSCPRLADLTLEALWNLKRVSVLNKPLRRFAIRCCHNVRTVDIDASKLRSLEYGGRGLPKSFMSLHGSPPATIPLCTTIGFCKPLSWEAEFANLTRFLEEISNTKHLHLHHGGLQSRFFDAGFPLFSRLTRLTLQGCIGSSNTVATIGRILDQTPNLEILSLLMWESQKGMADTRVAVFDEITEPIFSLPCLRTGVTEISVEDYEGSMPEKMLVKLLLRNALVLERLQVVFTEGLSSEQKNILEVQMGRWGMPNSEKVFM >cds.KYUSt_chr7.5177 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30959903:30960263:1 gene:KYUSg_chr7.5177 transcript:KYUSt_chr7.5177 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNDHRFASKLWSTMRFIWYDPRIQIPYVQLGTADADAARRDADDHGKPEKEDHRRRQPNPAGVVQPPFICSRHAWKRKTLSYTPSR >cds.KYUSt_chr5.29419 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186439210:186446232:1 gene:KYUSg_chr5.29419 transcript:KYUSt_chr5.29419 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLLSRSLLSSPNPTHVSQQTRIIPASVSLRRRHARAPPLRASLSTASPSLQQPPAAAAGEAPKHCFRRGADGHLYCEGVRVEDAMAAAERSPFYLYSRPQVVRNFAAYNQALEGLRSVVGYAVKANNNLSVLRLLRDLGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKTLEDLVLAAESGVFVNIDSEFDLENIVTAARVAGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSYSNDIKLVGVHCHLGSTITKVDIFRDAAVIMVNFVDQIREQGFELEYLNIGGGLGIDYHHTDAVLPTPMDLINTVRELVLSRDLTLIIEPGRSLIANTCCFVNKVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPPSPDAEVATFDIVGPVCESADFLGKDRELPTPEKGAGLVVHDAGAYCMSMASTYNLKMRPAEYWVDDDGSIAKIRHGETFDDYMKFFDGLSV >cds.KYUSt_chr4.49560 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306843785:306845866:-1 gene:KYUSg_chr4.49560 transcript:KYUSt_chr4.49560 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGGHAAAASSGSDDEEVEEAGFSRSYFLAKEKEPSSAKKRARATAAGKLADLNLVDEQVLRECLAEIPPKHEKEIDALTRSYQDQYRNWLFELRCGFGLLMYGFGSKKLLLEDFASTTLTDFTVIVVNGYLPSINLKQVIAAIAEIFWEQTKLKQKKQSGKKSQLSQNFASQSIDDIISFLNNQTSEDGDDGMCLLIHNIDGPALRDAESQQCLAQVSCCPRICIVASVDHVNAPLLWDKKMVHTQFKWSWYHVPTFSPYNVECVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFRVLAEYQLANEKEEGMPVSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLIKIKKHSDGQDCLRIPLVPDALQKLLQELA >cds.KYUSt_scaffold_869.523 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:3409290:3415309:1 gene:KYUSg_scaffold_869.523 transcript:KYUSt_scaffold_869.523 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLANVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVNLVDSNVRPLEVFMCSVVRKMGYGDGFKWVSHNDIMTVSEAIGSLQFSLDVCPKNLVKVQFPPRGNPLVDNASNELWRIILEGYKTFNADNLNIREVVNHELNSVALNMIHQAVGTKDLAYIRDDITAKEAWDGLSKIFVGSESMNINKYSSLQNQAEGFMRLPNEDHQEMYRRLISIVDAFHNVGAKHIDDFWVKDKYVNAMIPYEPIDARVS >cds.KYUSt_chr4.13921 pep primary_assembly:MPB_Lper_Kyuss_1697:4:85671310:85673010:1 gene:KYUSg_chr4.13921 transcript:KYUSt_chr4.13921 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRWDPDNAATWNAFFFNCREMELARFQPRLACEDDDESSDYEDMYYDGQARTVVMAEKEDQVPADSNGRDGAAESNNDQALVAPKGRCFGDEDAPSKFSLLQHDVNDRPCVCDSGAIDGLTFAEEKESQVAADGGRGSAGGNTGEVVMRKDECFLVDAIMNWRMEDVVNSDLFKDNVSSD >cds.KYUSt_chr7.24394 pep primary_assembly:MPB_Lper_Kyuss_1697:7:152051537:152058805:-1 gene:KYUSg_chr7.24394 transcript:KYUSt_chr7.24394 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGKNGETLEAPFGRFWALTQLSDDEDEDDRRMGDATPSPSAVASQIVGEKNKGEAGPAAFNFSVGFLCRGGLDSRTKEKEVDQNFKFPLGHEGLCVSKSDQLVSKKGSMGLDPLIVIEPVTRRIRFDGNPRRELGFHNRSFFPAPCVPPISSSGTVAAVMANRGGGNPLRGNGPPAGGRGNSRWDGGFNRGPNFSEGGPSGTAGGGGGGGTGEEHLFGDGVFRAGSGRPNNGGGGFRNQQGYNRGFDRRNYGNNTGYNTRRPYNNNQYVRRENTPRSDPDLSGLNDAQKKMVKDAAEAFARQLVAGSGPSAAPDAALAPVNPVPAQGPLAQSGTSVRRPPTAAQRQTFVPRNMGAASMGAAVSDGDMVMQGSARPEDVYLSVAEITQVQSQAVAAGVQSSDQGSEGMAVAKKRGPNCFRCHKDGHCINECQAILCECCQKPDHASQDCPVLRAPRPRLCVFGVGHADLTFWKLPLSADVTPRVENTRLGRVAVEGGVMTIPEVVAQLQYLVPDDQYQWEVQQMEDNVFRANFPSRLDLVRAQKFGRFNVPHSQITLSFDFWRKEIEPVWTAEDVWVRVHDLPPFVLDDFLALWAFGDLFGETVDIDMPFTRANNVLRILINCLDPSIIPASLDIKIRKDFFRLRFEVEGFQPPPNSDATNDEVPRNDDDMDHDATNNNSENHEKDREVKRKKNEDSGKGNTNETQPRTSPPGNSLSTTPVMSGSIEGHFHQDVVSIEATGSPSAVDNLVNEEDTILSSSLFVDNMMDASLVESTGCVSSGVHVDAGIGRSSLFNLHGSCKPTLAVMSSAGGKAAVHGTCSGLPLYGPGAPMGATSIIVGSDCVSSTAMAPANSLPHSSDGPDVDYAAKSPVSPAVMYTPVSQGFSKEEIMAFGGIQSEKVKGVRSSGRIRAQCNADVPQLERAMLLAQKRDDQYGQGNHAHLGNKSRFSFELSWFDQEGFYDMVAAEWAAGPVGVTPIDTWQKKIRHLRRYLRGWAKNLSGKYKLEKERLLKIIDYLDIKAETCPLSNDERDQLKLANDKLSKLRRDEETKWAQRAKVKFVQEGGNNTKYFHLIANGKHRKKKIFQLEQEEGTIVGDENLKIYITEYYKKLFGDPPQNSVTMMEDRIHDIPQLSADENELLIKDYSLEEVHEAISQMERNKAPGPDGFPAEFYQMFWEVIKFDLMALFASFQRGRHILEGVVVLHESIHELHRKKLDGVLFKIDFEKAYDKVRWPFLQQVLRMKGFDEIWCDRIKHYVQGGSVGIKVILRAVHSDSTLGFPSSGGPAQGFGYWVQSAVGGHSGLLFPGYWMATQ >cds.KYUSt_chr3.17715 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108606358:108614615:-1 gene:KYUSg_chr3.17715 transcript:KYUSt_chr3.17715 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIEQEDAAPPPPRQRPPVATEALRDRIVEKVKENRVTLIVGDTGCGKSSMVPQFLLDGNMEPIMCTQPRRFAVVAIAQMVAEARKCQVGEEVGYHIGHSNMSNLNSKRSKIVFKTAGVVLEQLRDKGIAALKYKVIILDEVHERSVESDLVLALVKQFLMKKNDLRLVLMSATADITRYKEYFRDIGRGERVEVIAVPSSPRARIFQRKVLYLEQIADILKMSSESLSTKYCSGEDADAEADLNPDVYQLIHELLLHIHLNDPDIEKSVLVFLPTYYALEQQWIRLLSLSSIFKVHILHRSIDTDEALQTMKVSKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDSAGLVWASKSQAEQRKGRTGRTCDGQIYRLVTGSFYNSLDDHEHPAILRLSLREQVLMVCCAESRAMNDPNVVLQKVLDPPNSDVVQDALDSLVQIHALVKPTSPRGRYEPTFYGCLLNSLPLSFDASVLALKFGEVGFLHEGILIGIMLDIQPLPILQPFGYQALCKIFRDNYFYEDNKLKIGKKEATLIGNLCAFQFWQRMFKDKYRLEYLKNVANTQEPTLSQSFISKHEEVWCALHNILPAALNHISEIYDDVMSTLHRFRPSFLVEINCPRYLQPAEFHHSCLHHQVLKPEDMNPLLLEAENSQLDSQTKCAATPYVSPTDFEATLTVVALKALITEMKTQLAEDKVVPRRERAGGYVYVQQPFESEMCVFFVRGSCTRGNTCPFSHSTRAPKPVCKFFLTLQGCKNGSSCSFSHDRGSSKTSSFTSGICSQEDRATSVCCTKLLPAGGDGHIVVMNDKNLQFSCKICHYYDPRKIVACTPGLHSVESDSVTNGMMILQNVADPCHLIIGGEHKLPVPWAKVQRVFWFADFDSDESVDEKVLLQKFFEYIAIKTLSETLSKLQVVLIMNNTKFVHLQAERLARECFYFLGESFMFDEGTLGWFSDTPSYPNGMQVSAPVAYIFNMHPPTGVQFGDYPTELRRALRRA >cds.KYUSt_chr6.3990 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23046014:23046617:-1 gene:KYUSg_chr6.3990 transcript:KYUSt_chr6.3990 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQQGQATNRVDEYGNPVAGHGGVIGGHGGGAGAGGHFQPMRDEHKTGGILHRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGDHRDQQQATAAGGTYGQQGHTGMAGTGATGGTYGQQGHTGMTGTGAHGTGEKKGVMDKIKEKLPGQH >cds.KYUSt_chr3.3106 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17838691:17841675:-1 gene:KYUSg_chr3.3106 transcript:KYUSt_chr3.3106 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDRLLTEATLEAAIGGRMKPAETAAVGYCCAAAAAGDGGPPSKMVECRICQEEDWDSGMEAPCGCRGSLKYAHRKCIQRWCNEKGDTICEICLQQFRPGYTSPQKLFHYGSIPMNFRGNWEIARHDLNDSQVITMVPSERDFMDDYEDYLPVRTRSSAFCCRTIAIIFMALLVLRHTLPLVVGGNGEYSFALFSLLVLRTAGILFPILVMVRALATYHRRRRQQENQETYMSSADTEGEEEEEDAIDTDSARPYSEPRLIPVY >cds.KYUSt_chr3.45481 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286637270:286638682:1 gene:KYUSg_chr3.45481 transcript:KYUSt_chr3.45481 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRNFDFPENMTDDEMAKVAVLASEYDAPVQPPLPRYATAVMPPGLSADEALRQALLESAAPPPPPPPPPQPYPWAPPPPPPQPYAWAPQTPPQPQPWAPPPPPQPQPPQPRAPAARPAHRPPLNPIAEASTSRCGTIDNHGGWYLPLIDYILRLNLVFKLAMWVPSSFVIIFLVRSLIFIRSSF >cds.KYUSt_chr7.25542 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159317902:159318620:1 gene:KYUSg_chr7.25542 transcript:KYUSt_chr7.25542 gene_biotype:protein_coding transcript_biotype:protein_coding MREQHRGPPSLSPCLATEAMPLIRSIFVHPLEKGMAAVVEEHEDPGGGPWAWCRGVQGADLSLHLVLQWVKVGEQHTGIGLQISATNPLLRRPRMRPPMRNSILSMPIGCSMKRHWCCGIVVIVVNNSL >cds.KYUSt_contig_686-1.1187 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:7378219:7381762:1 gene:KYUSg_contig_686-1.1187 transcript:KYUSt_contig_686-1.1187 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLRLRLPLRLRPNPATKSSFSPLSSASSWSSTRTSPTNHHHHKQQMSPSSSSAAAVAEGSAARRFWIAAATREAAFAAYTPFLVALAAGSLPLDSFRHYLAQDTHFLHAFARAYEMAEECADDDDDKANITALRQAVLRELNLHSSVLQEWGVDPTKEIPPNPATTKYTDFLLATAAGKVDGGKGSNKMVTPFEKTKIAAYTVGAMTPCMRLYAYLGKELGFLLKQDENHPYKKWINTYAATDFEGNALRIEELLDKLSVSLTGEELEILGKLYQQAMRLEVAFFSAQLLDEPIVAPLTRYRDPKDKLVIFSDFDLTCTVVDSSAILAEIAILSHQKASQTGSDNLVNRTKSADLRNSWNMLSNQYTEEHEQCIERLLPPEEAKSLDYDQLYKGLEVLSEFERQANSRVIDSGVLSGMNLDDIRKAGERLILQDGCRNFFQKIGETRENLNLDIHILSYCWCAELIRSAFSSVGCLDGLNLHSNEFVFEGSVSTGKINRKIESPLDKVEKFKSIKSDLDSTTPSLSVYIGDSVGDLLCLLEADIGIVVGSSTTLRRVGKQFGVSFVPLLPGLIEKQRQLGKQEASVFKARSGVLHTVSSWSEVQAFILGNDFS >cds.KYUSt_chr4.11001 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66836778:66840153:-1 gene:KYUSg_chr4.11001 transcript:KYUSt_chr4.11001 gene_biotype:protein_coding transcript_biotype:protein_coding METDLVLLLLKVWDNGTKGGDPGWRGRRRPGGRWRASGATDRGTAVVVFSLAGGDRLVVWLAVADLAIRRLAPDGEAQLPDGGVVWHRGGIDGMPGIVNAMISLEDGDEEDGGSNFYGVCIGKLGRYFPHFGKKVDTVRELQIGFMVIGSIHSTEPTEGKICPLFCMVGRNYMTCPSTGRKQLEPACNCCLAGEKGCVIYFSNGNVTRCPRE >cds.KYUSt_chr4.17709 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110904214:110908992:-1 gene:KYUSg_chr4.17709 transcript:KYUSt_chr4.17709 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLQDFLISLKMLAVKRAETAGRPHLADAFDLRTLRAIGVALLESLKERLKETAVDPTILDRLALSRDPDADADANASVSSSDSEVFRRHSKDQPEPSSVKSKRKRMQDGLIHHIIPPYAETCYLEIYCPSRGVLRMQLWCVSEVIIQNEYMEEKNEQEEIAVMLLSEMDPLISAHGAQMTEPVFMDRNSSIMKFYPVDCDTCPDGNRDIFSCYKNRKIGMDEAYFSQFTAEVFNANDSDFAIDDGRTSSHCRGRAEPHEDPCQKLRKLQQDSTAPTLMKNYEGEPGRLVPRKITEQTNCRQSSYTTVTSPPQLRLHSNKLAEANLRTRWRKANYLNVSNIAHPHHCCHRSLNTNTFPCHWSRLPMSSSKDEAPKRENDTTTPPSPDHTRSRVSPGAAP >cds.KYUSt_chr5.22126 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144331564:144336745:-1 gene:KYUSg_chr5.22126 transcript:KYUSt_chr5.22126 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPGAGRSSSELRNITSLPVRQPPTAAVLSPPSRPGHAMVCAPRAPPEGRPGKVSAERSWPPRCGRPDDGDSAKGVAEGRAEEAADGAGVSSAACNGGLPHDPRPPTPERDEGGEAQPPGDGDAAHSDGQTEGRVLDVMPLAFAAAQSSAVDSANGSMEDGRCIADSFIVEGNGGRGTDQLVRDGDDDTGNGDGRAVGSRADDGELETNEDQSRNKRWSTSAENPPPKRRAVYARRRFPPGCGSAAVTGIAIGGLEPSPMFERDESNLEIKSKIEQVGEATETHNCKIQESQVIDRVALDDFAGGQHVCDHPQNVITNYSPKRDFFEEIDDRTPLHEWMRVPLVAGDGDVRSECEESIPKGTPRTHPRGLVYVKKNGKRKLKHDSINRDSLNRSGKESKCAHHVATDQIEEKDNVDLTTKRVIIQALMAPDRGPWAQRKNSITSASNSPRNNVKKKDATPRQELLSKVIPSTSTRNDTREDQGGFSLEDDETSLTIDVHETSNGSCVTLPSCVASGNESVGTQSKVTKIDEKSPLHESEQEPLVTVDVKYEGSLQEGNLRTHVRGLVDVKSNSEHVGLVSVKVNVAQLDAIGIFEDGTPANTISSTQRRVTRSNMKVKQCIVARELKHDGIAKDPLDRSTKDSECGNILSTDQTKENDGRGLVPNTVVLALMAPDRYPWTQGKKSIASASQPLNRRNKYLTVREGLCLPDISEGKESIPICVINTIDDMQPMPFKYITKVIYPPSYEKTPPKGCNCTNGCSDSSECACAVKNEGEIPFNLNSAIVYTKPVIYECGPSCRCPPTCHNRVSQHGPKIRLEIFKTGKTGEVLQETEAERTENDEYLFDIGRDSDDEEEGSQSSTSDIMNKDVGYTIDAAKCDAMPSTGLSLAVAGLLLDAPTPCASRHSSKSIL >cds.KYUSt_chr2.54227 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338391777:338393692:-1 gene:KYUSg_chr2.54227 transcript:KYUSt_chr2.54227 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLPPLSSIVLESSPDEAEEAAREAHHLVMARHNSLTTVIIDVWEKSKRACDTNEEEARGIRRAKRASRPLPQAGFTAVQYQLQHANPSPSPAPAILAGRHRPLHHWGQNCATFAPVPPPPSPHLALTPDSQWLRYNPTGRDVHTFSGNLLVSFQKMVKPRAGKERKPKANVPKRGVSSEEKNMLKVGLESLPEKKMPNVMQIVQKRSASNPELLGDAIQLDIDEMDLETQWELDRFVTNFNSALNKSRRGAVMNGGSAEVGDTAGAEAVNGAVPTLVDNADVVEIDNPDKTSVVAEQVDEYVDIDDEMPTATYQSMEIEKGSEVVSASVGSGSGSSSSSGSGSGSSGDSASEASNARSLV >cds.KYUSt_chr5.43605 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274646162:274650051:1 gene:KYUSg_chr5.43605 transcript:KYUSt_chr5.43605 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATRTMLHARRSAAGLLRPRPNPAPLQLLLLQGQGSRSASTSSSAGAAAASYNSRDDPSRYDPSNTPVNLGLSIVPEKKAFVVERFGKYLKTLSSGIHLLVPGVDRIAYVHSLKEETIPIPEQSAITKDNVSIQIGGVLYVKIVEPYLASYGVENPVFAVIQLAQTTMRSELGKITLDKTFEERDTLNCNIVKAINEAATDWGLKCLRYEIRDISPPLGVKKAMEMQAEAERKKRAQILESEGAMINEANRAKGAAESILSKAEATARGIKMVSESFKTEGAVEAASLRIAEQYIQAFSLLAKNTNTMLLPSDAGNPGSMIAQALQIFKHTNQSNLATRGKQHLAVESAGSDLASGMLGDILSGDKVPQPSQKSAQSKGVAARVHPKLIPPNPGFVKLNVDVAVSKTEKRGALGVVCRAADGAFIGASAVAVRDISGPATLEAMSCREAMALASDLQLPHIVIASDCLQVINNLKEEYVGSYSM >cds.KYUSt_chr1.6784 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41715095:41722423:1 gene:KYUSg_chr1.6784 transcript:KYUSt_chr1.6784 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGRRRRSKKALALHISVFNTMSFPCGRDWAELPKDVLLHILHKLEDQVELLLGGAAGVCRSWRHAARGEPELWRHIDMRGQSVPGSSPAVSLKKIAQAALRLSAGQCESFAAEDDVNDDLLLFIADQAPLLKSLRLVSCYRVSNEAFVEAIQKFPLIEELELSSCVCINDTGLLKLVAKACPLIKHLKYYKIDEAGYSSDNEALAITGMPNLRSLELYSEAVRNEGLLIILENCPGLEYLNIRGCPINMDGNLRKKCARIKVGDYEHFPRNKPIRLFRSTKTSSSRTYIKNSGEHVSVPQSHYVCRHVSGSDDEIEDQMRFLDIKNMRRNGCSSRAQALWSLSTTTSMYYDKLYNNKLCPTPASFARVHLVIASVVDQPIKANSTRFPVASHLCEMEYQGQQQHGRVDEYGNPIAGAGHGGLTGGMGGHGGVGTGAAAGGHFQPMREEHKAGGILHRSGSSSSSSSEDDGMGGRRKKGMKEKIKEKLPGGHGGEQQAMGTYGQQGHTGMTGTGATGGTYEQQGHTGMTGTGAHDTMATGGTYGQQGHAGMTGTGTHGTGEKKGIMDKIKEKLPGQH >cds.KYUSt_chr4.34751 pep primary_assembly:MPB_Lper_Kyuss_1697:4:213466812:213468561:-1 gene:KYUSg_chr4.34751 transcript:KYUSt_chr4.34751 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSMGLLALQPHTKDPKISPAQNTGGPSYEKKGNQRRNSSTFVAVAAAAMSRFVRRLASAPWRAIVESAISRAVVVGCGVTVIDERVVSLTYPRGPSMLPAINIMGDLVVADKLSARYGWVSPGDVVQFVSPEDPRKTVIKRVLGMEGDTVTYLVDPANSDVSKTVVVPKGHIWVQGDNIHNSTDSRQFGPLPYGLVNGKICCRV >cds.KYUSt_contig_528.342 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:2139942:2156349:1 gene:KYUSg_contig_528.342 transcript:KYUSt_contig_528.342 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGGGGGTRSSGKGSAGKIMSLQEFVSTMEPLIDLEKEAEISSEAEASSKRMERRGSVMPNLKCTDAQTGLMGKTLLEFQPNKGDVLPPHKFGTHDVVALKPNKADAGSASLGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKAIQTGPSANLVPVLFGENSPMRSKDAVKFSPFNKNLDESQKDAISKALRSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLSQYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDRNTKRDIRKELKTLAKEERKRQQLAVADVIKNADVVLSTLTGASSKKLAGITFDLVIIDEAAQALEVACWMALLKGPRCVLAGDHLQLPPTIQSVEAEKKGMGKTLFERLTEGYGEEITSMLTVQYRMHELIMNWSSKELYNNKIKAHSSVAGHMLYDLEKVNRSSSTEPTIILIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVHASDIGIITPYSAQVTCLKMLRNKETKLKDLEISTVDGFQGREKEAIIITMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCIVCDAETVSNDKFLKRLVEYFEENVRSDLMKIFGPSLRLDENLWSVTGLRVSKSLSPWACTSIMNQGYSCDMPLRDDGKWVGSSDGSGPRLFRRDPMVQPLHLLALTTIGALCFELSRFCVVLPLRHHASLDQAHQEHRHRYLRSFGEVKGLWMGEIQVHLGKST >cds.KYUSt_chr5.19878 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128981217:128983701:-1 gene:KYUSg_chr5.19878 transcript:KYUSt_chr5.19878 gene_biotype:protein_coding transcript_biotype:protein_coding MFHASRGTPQLLLLLYTGCFLLSSPRHAAATASPPISFSFDFSNKSSFNPNDLLLQGDASMNKSKNLVDLSCDSFRRGNCAGRMTYFNPVPFYDNATHEVASFSTQFTFTFVLPAPGRTKGDGMTFFLTGYPSVMPKDSYGGRLGLIEGDVNVTYGAERFVAVEFDTYTLGVSSDHVAIHLSDIDSLVETTGANLSGTMTASINFTSSTRMLVARLHYDDRPSVQPVEVSAELPYPVTSLLPPEVAVGFSAATGANMELHQILAWSFNSTLAPHKKPISTGAGPRQFQFRDLAQATKNFSAEMKLGEGAFGAVYKGQSFKVDNDQQQDVAIKEILKGSREGTKDFLAELNTISKTKHKNLVDHKLFLIYELMPQGDLDHHLHKRKDAVLPWPARYKIVKGIGSALVYLHHDCRPYILHRDIKPGNILLDDEYNAKLADFGLSRIADKNNATLVTTAVGTMAYMDPQCIKDGDVKFNPSTDVYSFGLVLLEIACARRKSRQQVWELYQTNGATTLMVEDVADERLQGNFDREEMLRVLVIALWCSLPEGSRRPSMRQALRLLEQDDAPLPDLASCAIGTAST >cds.KYUSt_chr1.36922 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225220427:225222292:1 gene:KYUSg_chr1.36922 transcript:KYUSt_chr1.36922 gene_biotype:protein_coding transcript_biotype:protein_coding MALNGHSIDQALLDAEHELWRTSFSYIKSMAIKSALDLRLADAIDHHGGAATLPQIVARVKVHPSKVPCLRRLMRVLTVSGVFSIQQQQNVVPSATTNGNGAATANGNGAVTTNGNGAATANGNGVATTNGNGTATANGTDAAAELLYALTPVSRLLVGSRNLVSMMSMILDPTFITPYLGMGAWFEHALPDPCIFRQQHGVALWKMAEKDPAFDALINDAMVSDSSFIMEIAIRECGEVFQGITSLMDVAGGLGAASQVISKAFPGMECTVMDLGHVVAKAPTGTAVKYVAGDMFESVPPADAVFIKWVLHDWGHDDCVKILKNCKKSIPSRENGGKVIIMDIVVGAGPSGVKHKELQVLFDLYMTIVDGIERDEQEWKKIFQEAGFSGYQILPVLGFRSIIEVYP >cds.KYUSt_chr7.13676 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84322942:84323664:-1 gene:KYUSg_chr7.13676 transcript:KYUSt_chr7.13676 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGLSPAPTGRAAGARTLAVHLLAAAATALVLLWCIGFRGGLAFRSSNKQQIFNIHPPLMLIGFIVIGGEAILAYRVPLRSAASRDARKKAHMALHGAALALASVGIYAVFKYHAEADIPNLYSLHAWLGIATFALYAAQWLAGFLAFFFPGAKPETRRSAVPWHAVLGFVVFALAVGTAQLGFLEKMTFLQASPLRLVAKYGAEALLVNFTAIVVLLLGVAVVLATVDFDASRYDAE >cds.KYUSt_chr2.54578 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340534536:340539478:-1 gene:KYUSg_chr2.54578 transcript:KYUSt_chr2.54578 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIWMVPAAGAVLLWAVSLGRILSSPAPYCLPPSPRFMPPLRGDKRSRNVLLVLAHPDDESMFFTPTILFLKSKGHNIHILCMSLGNADGLGNIRKEELYKACATLKIPAEQVEVLDHQKLQDGFQEKWNHGLLAELTMEQIQLWDIDTIVTFDSCGVSDHPNHRDVHHGIRSRTTNPNLSFTYSSIGTSPHELGLPVKTHRRHWERTRLGLDIHLISRHFTSFHQ >cds.KYUSt_chr3.17988 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110603019:110605931:1 gene:KYUSg_chr3.17988 transcript:KYUSt_chr3.17988 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRRVEACDSWRPPPAPTQGPALLLRAGGPSWGRSSSSPPGAFASLSVRDGGEAMAVKAEEDREIAAEGSGKKAKVPPVAQLLKHPLALLALVPSSVALFAAGAGAGAVAKTVTAPLDRVKILMQAGLLIPLPSSRFMLPVVQAMAEIGKEEGLKGYWKGNLPQVIRIIPYSAVQLFSYEVYKKIFRRKDGELSVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHGTMSQVALNMLREEGLASFYGGLGPSLIGIAPYIAVNFCVFDLYCGA >cds.KYUSt_chr1.5898 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36362672:36367426:-1 gene:KYUSg_chr1.5898 transcript:KYUSt_chr1.5898 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAPNPSSMEQCDAEIPSWGRGLSYGGDGYREDRLSALPDDLLIHILLKILDAAGAARTSVLSRRWRRLWTLLPELLFPNSSPHHIRLALTAHEAPALRKLAVTVTDPTPESVAAWLPIAARRLSGDLFLFNMAQRNESEDEAGERGAFELPCFERATAIVLPCQGPLALEVSMSHAGVFAQLTALKLVCVDLHGPCRLGELVSSPRCPSLRKLIIDNVRGLRNFTIHSKSLLYIKLKNLWPDHVLGLGNFTVHSDSLRRMMLMSLHSLQQLTVTAPVLRFLSVSSCFNPSSNQPVASISAPQLVTLQWRDIYHTTYTQLKMENLQLLRANHFFVYGQEPHKMHNSSCVGLLRRFELIENVVLTLLYHTAHTDACRSDCVCNEQSNWKTEELVLDRLKEVEVCELRGTEREAAVLKRLFDWARVLETMTMDMWKMLEKFRAKVIDSSSDEESDQATQTMATAATSILHEYNASQMPVQRGSVKGRSKYLPWNGVEGHLRLHADYFDRTNPVFPEKMFQRRYRMSRDLFMVILRGVRDYDPYFQCIPDATGALGFTSYQKCSVAIRMPSYGIVAEIFVEYHRMGERTCLESMYRFCQAVIVVFGQHYCREPTFEDTRRMLSINKSRGFPGMIDNIDYIHWEWKNCPFG >cds.KYUSt_chr1.34676 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211167476:211179290:-1 gene:KYUSg_chr1.34676 transcript:KYUSt_chr1.34676 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGVTGGVMVVFSFVVSDMVMLVMGVNDSVMVVFVFVVGVNNSVMVVFVFVIGNVVMLVTSGTGVVMVVFVFVIGDVVMLVTGVNGIADLKRWKRGPKAEIKRVCSRKRKYFALPSFMSFRSFVQMVVLDKFILGGRLIFGPDAKSLFVSVVLIAVPVLVFCAFVAPHLLHRFSSYNAGYAIPAVAIVFMIYVLLLLLTTSARDPGIVPRASHPPEEDFTYGNPLAGETPGRLQFPRIKEVMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRYFFLFVSSSTLLCIYVFAMSALHIKFLMDEDYPTVWKALKHSPACLVLMIYCFIALWFVGGLTGFHSYLISTNQTTYENFRYRADSRPNVYDQGCLNNFLEVLCSKGKPSKHRFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLKISQRRNYEDVDVEMGSQDYSESERTGNAKLGMGSGSQIPAVGSEKNSILKDESISLLLFGLLTTAEDFTGEDWSMMKKEA >cds.KYUSt_chr2.3210 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19047997:19048587:1 gene:KYUSg_chr2.3210 transcript:KYUSt_chr2.3210 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRQLSCVSKALDSPSDGRHGGERNAKLHMRESIEHRMPLPLAANPVAGAWLIHSYQAISSATSLCASPSLSPALPPPSALLSSPTSITQSPVELAVAAGKMAPTYRPYAAEYGRGDAMVVTYGGGAVERKAPARWVAGMGSSSVAWFVGDQAEMKRRGRVASYKAYAVEGKVKASIRRGIRWIKAKCSHIVHR >cds.KYUSt_chr3.42712 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270027424:270028587:-1 gene:KYUSg_chr3.42712 transcript:KYUSt_chr3.42712 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALMRGEPISKVTDDILADIISRVPYKSTCCCKCVSTRWRDLISHPDHRKKMPQPLVGFFHESYNKNRFPKCARYFTNVSGEGEPLVDPSLSFLPKYNGLDILDCCNGLLLCRCWKATDPKTLDYVVCNPATERWVVVPATDWSSKVNVVRLGFEPTVSSHFHVFEFIDEETWGIDESELSDCDGCIETLAIYSSKAGVWKHRSLDIMFAIPTSSRGVFLNGALHLATSNNFIVVVGVEGNNWRLIDIPMPPYYDDAPIVGVLLSQRQLYFTNCYSGSDGEELSVWALEDCYSEKWTLKHNVSHLELFGASYSSFGNFYNVISFHPGRNMIFIICGYENMLMSYDMDRRKLCFICQLGRDCQIGWDKTLCIPYVPLYSEPLADGH >cds.KYUSt_chr6.27234 pep primary_assembly:MPB_Lper_Kyuss_1697:6:172727425:172729690:-1 gene:KYUSg_chr6.27234 transcript:KYUSt_chr6.27234 gene_biotype:protein_coding transcript_biotype:protein_coding MQYDGHAQDGGVVWCRGGIDGRPGRVNAMISLEDGVEEDGGSNFYGVCVSAGEQEDVARQLLLDQELALDSVGILEDVVRKLILDLELAFDGVGILEDIVRHLVLDLELAHDGMSGGGVQGGRWRGDPSGISWAVHLLPANGSGDGCAPIFRNRRAPPTVSAPSR >cds.KYUSt_chr2.33082 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204154330:204159453:1 gene:KYUSg_chr2.33082 transcript:KYUSt_chr2.33082 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTVLYWLECDGMQVLAAVETAARGRDGGDMRFRIRKKAASAAAAATDGSNTPAASTAAVLAGIGSAGGGGGGGALIVASPDRYGTENGGSRDESFFEARPWLDSDSEDDFQSVRGDFTPSRGSISTPDHQMQTFAARLSVDTPNPSLTDKKQRLLELLQEKQQYDDEQDGATDAGSETGNGTVRAEEHLNPSGKVEKAKKPTKTGCFPCSVWKLSFKSCWKKKKRA >cds.KYUSt_chr3.20888 pep primary_assembly:MPB_Lper_Kyuss_1697:3:127957818:127966714:1 gene:KYUSg_chr3.20888 transcript:KYUSt_chr3.20888 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTKSRCRCCSSTGGLAFGRCASEPSDAVAARLSASGRRGRLRTFSFGSASAKATFYGGRASFSRSKISRRLRRVAPAADIRLRKSRSASAAQTAAPAQFSSLPSWRDETLLKKYPLGRVIGGTVYPGLALTAGSIWWYGAGVGMERRSLVETKKRAVEDCAGVGGIWTSPSSGGVKFKSGSTIFDVAPPIVDATPWPRCSPSGPTTRTEAIHDKVNSFLSTLDLVNTLDGVLPHSDMSCVTRYELHRGSGEEEALTWCKGEGRRREEERRKKKKEGRKRKRKREGKRRREAGASRQPWPAPQRPEPPERGHGRSLHSLPGRYHLRDMAHAGGGRTGTTGHIRPVLPAYPKRPQLRLAGRKRKSGTSGRGTAPRYHSANMYSDGDDTEEYMEYFEEDTSSSTADVEEHVELYTDYGSASITDMDDMVEHHLEDDIEELYIDNGSSHMDDMVEHHLEDDIDELYIDIGSSSMDDMVEQRHAFDIDTMAEPHLDSTLNNTDAPIVLNMSVIDTLLRAPQGDTMSVLMHKIVDLKYIIWSIKSVPDMIVIDTFLQAPQGATSDMPRHMSHHLGMSRIVIDTHHHMIVVANHHRLMDPIDIVHHVIIDDTSHPMSAIDTHLPRIIDDTPQAMVMLPEDEILDMKTTNTIIRCLPLRGWQVQIAHTFLIRRLPPLVPPPQWPLPRHMPMDSDATSASNKATLHGNVPISYVRCARTRVMRHGNAQSQAPRHSSSTSYKLKPPRSLRRPHFKMKIDKANTRMMWIRA >cds.KYUSt_contig_7354.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001483.1:115222:123185:-1 gene:KYUSg_contig_7354.15 transcript:KYUSt_contig_7354.15 gene_biotype:protein_coding transcript_biotype:protein_coding MLECYYRKEKGHRKRNCSKYPADPKSGLVKKKKEDNNDEDPATYEEAMMSPDSNKWQEAMKSEMGSIYQSDPGMEHWTAVKNILKYLKRTKDMFLCYGGDQELVVSGYTDASWNTDPDDSKSRCGYVFILNGVAMKSGKLEARSLASQDGAEGSAVAGSGNGNSVDPSVSQHATPISQAATPISQAATPTSEAATPTSQVTSGRAGSKRKLTSAITCHLLMLVISSGGWDSTLFATFQSVLEDDEEGMDESTSIITQE >cds.KYUSt_chr1.32200 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195540964:195542262:-1 gene:KYUSg_chr1.32200 transcript:KYUSt_chr1.32200 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTTRSATADQDQQRQGRRRRRPSDGHVVAQLLDSPLPTPRRSCCGSAAGTPRSARAASPQRTHVPFSWESSPGVPKARSASAFGGEVLPPRPPPGRCRGGIGMGTPCHARAYYGNGNATTDATSSDDNVGGGSEDDAFSDALDRISSSDRLATLSARLSSVDGGAASRRLSSFIMDRFLPAASAIATTSTEKRANKSPRRGRRARRSKHDDDDVAPAHASRDVHTLCRAPSCEHHVPALQREDSWEDDTHTPPQHNAGQARDEDDMSPRACGFVVLFPWSVKPVFCGFPWSPARSRTPHRSSTLGGVPVKESGPDDGDLSHWYEEKMSGSGRRGWSSPGGPGLGMSIIGTSRRYCADARKALSRLARSGTDGGGGGNSPRMMSRERRSGKAASSTLQLHSTSGRMPQLTPPSESWLRHARGSDTVNNKR >cds.KYUSt_chr4.42327 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261906958:261908086:1 gene:KYUSg_chr4.42327 transcript:KYUSt_chr4.42327 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRKTSKQTAKLKSLLELAVTRIAVARQPRVARKSIASSDVSQLLALGHLDRALSRTEQVIQEDNMLEAFGIIELYCNRLIEQAAQLDKPQECNEELREAAASIMFAAGWCGDLPELLFARTILADKFGNDFAVAAKEGTDIVDPILVWKLSGNTTNMELKKKVTKEIAAENNILVDFSGIPEETEDVLVDFSELQEVTDDGNSNIQELIDEMSCQDDMDGSSELEDDHQHSHITNTSGLESDENVQINTNSDGSDDEIKGKRSRKWWHLGCT >cds.KYUSt_chr7.35385 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221003420:221006564:-1 gene:KYUSg_chr7.35385 transcript:KYUSt_chr7.35385 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTELALPLATMAGRESNIAAAASWIGNAWSHHFIGEDGVALPPALLQPQEQKQTVGVAEPSQSGSASGEFRLRVDTLPPLHHKLLLLPVRLVGKQATLQRPQPPLHNVRTPPCAPTLPLSSSSSTERLCGSWIDDDMAAGGSGIRHDADVDLYGVLGLKKECSDADLRIAYRRLAMTWHPDRCSASGSSATVEEAKERFQEIQGAYSGHDFSTTIMLSDSGKRLLYDVSVCDSDGNDDDYDNHDEQDVSGMDDFFGEMVEMMSQATPTICGLTDRVVRMQESFEELQQLFVDMFQADLVGVFGFGGPPPMVHRAQAQCPPCTSGSSSPRAPSSCNGDNKRCSSAMGSRTTPGSGSGLSGLSSKGSDLRRREEAPWTRVDTSAGGMNKKQRLSTRQSYGV >cds.KYUSt_chr7.38359 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239073489:239075234:1 gene:KYUSg_chr7.38359 transcript:KYUSt_chr7.38359 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLGAGARGASGCGAGDDQNEGSRRPEASHGGARAGGFGELDAVMLSLRGSPLRPTPARVGGEGAIGASVVGCRSSEVQRRRLDRIRRGDPSPTRCSSPVRIGGSGTIIPRRDPLRNRAALTGAGDRRWVPPVRNPTVVGNVGVAGFEMPLSRMDKKDLAAASDHNLLNKPRVPPASRTLVWVRKDLVQSKSFTPSDCYPARSGFLPKPTVIKFADLWGAVEGRRSFVEIVKMAGGGRGMGRAGGAVGGRGAGGRVPPTTATTSSATAIPLSNVPELAPIKSEFQQPMIQQMGGNGQGMYPMRNPNMWNMQMAQWPQFFGNQQLPPPSFNPMLMMPQGVASTLPQSNSQGSSASQLPLQQQNSGSNKNKKKSLKSTASDGSKNSGDRSGNNLQMNLVSGPGPALDPKFKNVTCYNCGELGHYVGLCTRIKRCFICSKTGHHMDNCLMWYSLLPTAQYWGSANPGLGFFHVEVEGPEAIQWLNMDNVGVVVVKDGEISAEELEKCFNDMWKVNWYWQIRQLGPKRFLVRFPPSKSVGGKTPDRAMDAEQPTGHWPARGKGRLRSSRLAPWPAGPEAGWL >cds.KYUSt_chr1.37408 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228447302:228449127:-1 gene:KYUSg_chr1.37408 transcript:KYUSt_chr1.37408 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLGKLATLMGDEFAKLKNLRKEVKYIRDELSSMKDALESLAYVDELDKQTAGWRDAVREMSYDIEDIIDDFMCKVGEKSKKSGFVHDTIQRLKTSRARHQIAGQIEGIKKLVRETSERRERYKLDVPRPGNVAVDQRVVALYENAAKLVGIEGPTNELVGWLNGEEKQLKVVSIVGFGEENFITVLENPEAIIGQLQKPRRMLFNLDGATLPRDISDKVSTCQCLWIVG >cds.KYUSt_chr7.12673 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77987683:77990218:-1 gene:KYUSg_chr7.12673 transcript:KYUSt_chr7.12673 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNITQDWEPVVLRRAKPKAADLKSAKAVNQALRSGAPVETVRKAAAGTNRKAAAEAPARKLDETTEPAALDRVAGEVRAVIQRARVAKGWSQAELAKRISERAQVVQEYESGKAVPAQAVLAKMERALQVKLRGKAVGAPAAAAAAAGARPTLSGEEEGHLHRLTQGCCPGRPRVAGEARDRLHSLARGGRKLAQAMSLAAAHHQPLSECCGEPMGGGRPQHWPPPPIPTAPAGADGRRGRSAAAAAQPIRAPAMRRGRGSGRRPPGVDEEGPPPPPRREGLSPAALKAAAVAFRAGELREAGELREAGEFLTDRLDDVAEI >cds.KYUSt_chr4.17023 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106183794:106186625:1 gene:KYUSg_chr4.17023 transcript:KYUSt_chr4.17023 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLHVAAPAATPRVGSVAHKSASSAARSVRVARSGAVARSGGRLVACAAVATKADAPATDAASKSEGHEVLLFEALREGLMEEMEADPTVCVFGEDVGHYGGSYKVTKGLADKYGDLRVLDTPIAENSFTGMGVGAGMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPLVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYVCCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ >cds.KYUSt_chr6.14489 pep primary_assembly:MPB_Lper_Kyuss_1697:6:90894234:90894875:-1 gene:KYUSg_chr6.14489 transcript:KYUSt_chr6.14489 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCSSLVTLWAFHRSVQLFVTGRCSSLSAQKTPSAVVSMAVGCALCSVAVVAFFALSAVCVLSFYVDTGTVQLRATTSYGLVDCAPPSSAARNSNAFRDSLVPLLAALPAAAAAAPRGFASLHSDDHSAFVRGVCLGFDRTDGSCHACLAAAAENLTSSCLGASRRGGAWRSEGCFVAYANTNGSSAREDCNIPLAGVEISVRIRSKLHTDV >cds.KYUSt_contig_915.432 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:2818624:2821217:-1 gene:KYUSg_contig_915.432 transcript:KYUSt_contig_915.432 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLEYTPFDSVNVFFEQLNLGDCTIRGNLEAFSCKHAGNDRRLSISLEHDILDYLGKSSDSDPPSPVEHLSCRSSRKTLIYLVLTLGHMYPDYDFSAVRAHLFFREEDMESFRQMLDNYLSEACMLWAAKNEGSSLLDSMTKAIDEVIKIRECDIYSYNPDSDGDPFLEKGAIWSINFFFYNRKLKRVVSFRCCCTSKSAGDDFLAGVLSEGEEDALIDMDI >cds.KYUSt_chr3.19247 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118520817:118524347:-1 gene:KYUSg_chr3.19247 transcript:KYUSt_chr3.19247 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPRATRSTPEEGGQQSSVDDLAAVDVAIMETATSTTTGRAVRDEASLSAPQKAKQEEPMLVKLRVVEATPDSFAPFGQSNAASPDGDQFGPHDAHLNLSTASLDWYFELKSDADLQNDVMHDFKSIEVDIETFQSHRHREAKIWGTGVSVPARRRNGEVPPEGFSIDTAAISTAIFITAAAPMRRE >cds.KYUSt_chr4.35091 pep primary_assembly:MPB_Lper_Kyuss_1697:4:215595733:215597803:-1 gene:KYUSg_chr4.35091 transcript:KYUSt_chr4.35091 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEDNEEHDMVVNETFSSEEEGYKYYNAYAKSKGLGVRKEELTKKPGTNIAFRRLYVCSKEGYRARKHFEKTKRKRTPRPLSRCGCGARMEIEMSMESGEWFVKDFVVEHNHPLAIGDQTTFIRSHHGLNDAQKADAIEYGIGGVRTHEIMEVMEKQHGGPEKVGFVPRDLYNFFAKYRKERIEGRDAEFMLNYMAARQEKDPEFFYKHNTDSEGHLENIFWADAQCQMDYDAFGGVVVFDSTYRVKKYNLPFVPFIGVNHHRSTTVFGFGIVSDEKTKSYVWLLEAFLESAQQNHPRSVITDGDHAMARAISKVFPNADHRLCSWHIEQNMIRHLRKTKLSDFRKFVYYYRNVDEFESRWADFLEERISLADLLEHTDHCLWRIRKNEAELDARASQTVPFTELDAEPLLRSSANIYTPVMFKKVKQQIDQLPKWGVAKVTKQDAVVVYVVALKERRDVIYDVKLTMAGPMLQGVNCRCLKMETEEIPCTHIFSVLKFLGLISVPSCCISRRWTMLAKPAFESERKANMHDWSERMDRYHNLRNRSNLFLFNAASSPEKSQKVLDFLESLTPDVGEDNSENKAASFGPLPAYFSGADQTFTGKVLDPKKIIPKGGPSKNNKRWKPMHETWKTNK >cds.KYUSt_chr5.30462 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193239395:193240413:-1 gene:KYUSg_chr5.30462 transcript:KYUSt_chr5.30462 gene_biotype:protein_coding transcript_biotype:protein_coding MISGGSRRGGYSCKESCHQHCLPPHSAKCLCLYLVLSLTFLVLVAAVLLVVLVTRLKKPTFILQSIQMDKSFSLRPSPSNRSNGDNNATSSLSSSSCSVASLLFAAQNPNGIGIRYSAADLGVAYANEPVGAMDVPMFYQPPRSSNVTVLMHALFEKSNVSRLVISELSSQRKLVEIRIAGRIDATTHIMNFPLPKVQFTLDCTIATNYTDIVQREGFESLTTSKALQLSALPHVSQKCSIKLDLRSREKKTRLSDLGC >cds.KYUSt_chr5.34384 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217992220:217992546:-1 gene:KYUSg_chr5.34384 transcript:KYUSt_chr5.34384 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLFVLHLHTYPKSLLMARDGQISMREGSSMIGMWEKDPTGTAVADVCCSMLFSESWRGTLEAESIDGAVADVRRLMPFSSPRLLRQWSPCRRRVLSGQLRWRSRRS >cds.KYUSt_chr5.38764 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245437538:245440312:1 gene:KYUSg_chr5.38764 transcript:KYUSt_chr5.38764 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSTRLGALEKLKSFRGIEKQRSFKFLSMERQQSFKERRSRDSPGKRGDSALHLTARAGNAAHAQRIIEDCDPELVGELAAHQNQDGETALYVAAEKGHVDVVCAILKVCDVQSAGLKATNSFDAFHIAAKQGHLDVLQELLQAFPALAMTTSSVNATALDTAATQGHIGIVNLLLETDASLARIGRNNGKTVLHSAARMGHVEVVASLLNKDPEIGFRTDKKGQTALHMASKGQNAEILLELLKPNVSVIHVEDNKGNRPLHVATRKGNTIMVQTLISIQEIDINAVNRAGETAFAIAEKQGNEELVNILRDVGGVTAKGQVNPPKSAKQLKQTVSDIKHDVQSQIKQTRQTKMHVHKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFASIFTVPGGFLEDINKAPEPGMSLGQALVASRPAFIIFLVFDSLALFISLAVVVVQTSLIVVEQKAKQKMVFVMNKLMWLACLCISAAFIALTYVVVGRKDKWLAWCTMAIGTVIMLATIGSMCYCIITHRMEEKSLRRIRKSSMSQSWSISVDSDTELELASGNKRMYAL >cds.KYUSt_chr6.11132 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69033271:69033681:1 gene:KYUSg_chr6.11132 transcript:KYUSt_chr6.11132 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLAQAVAALMGRCARRLSRAARRMQERRLAGSFSLPSTSRAVVPLTRKALSWSKRGRKTATGADSSEEWSFEASAAGDGVWRKEILMGERCQPLEFSGAIYYDAEGRRLGAPPTPRTPLRSPLPASAKLAANA >cds.KYUSt_contig_319.414 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2662743:2663327:-1 gene:KYUSg_contig_319.414 transcript:KYUSt_contig_319.414 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMAMSSFAGAAVMPAGRFGAQSLPALGRRAFVVRAQTEKPSTPSPKPSPSIWDALAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGNGTGQAWFAYTVAVLSVASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEILTGAPFINI >cds.KYUSt_chr4.20208 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127050414:127051499:1 gene:KYUSg_chr4.20208 transcript:KYUSt_chr4.20208 gene_biotype:protein_coding transcript_biotype:protein_coding MMNAKHIDLIPGMPDDVAVDCLARVPHGAFRSMRRVCRGWKSAAAAPDFALARAEAGANEDLVFLLQFCNPAAGDDGGPKDGDAPENSPAYGVAVYNVTTGEWHREREAPPMPMFAQCAAVGTRLAVMGGWDSKTFEPVADVHVLDAATGVWRRGTPMRSARSFFACAEAGGKIYVAGGHDKLKNALKTAEAYDAVADGWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTGRQGGFERDAEWFDPATREWRRLERVRAPPSAAHVVVRGRVWCIEGTAVMEWRGERRGWIEVGPYPPGLKAGTARAVAVGGGERVVVTGAIESGGHALWVFDVKSKNWTVVQPPAEFAGFVFSVASVRV >cds.KYUSt_chr7.15127 pep primary_assembly:MPB_Lper_Kyuss_1697:7:93889131:93891105:1 gene:KYUSg_chr7.15127 transcript:KYUSt_chr7.15127 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQLSGTVRCGDPAFCRAFRVQMCRVPRAVKREVGFPCRPRRCSQTIISAGASRSTHIGWDFNVEGTNSGGSFQVDAHWSELQCGRRPRIWGSCAEAIPCHQEATSAGKSATLCDDDKVTNADGSFPRHQRLQGAEPEF >cds.KYUSt_chr5.18756 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121242556:121247274:-1 gene:KYUSg_chr5.18756 transcript:KYUSt_chr5.18756 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSLARRNRSLFRAGGGSSLISSSRVVSGSGAGGSSSSFFSTQQQPHEDPVLNIRDTAAHLIGRTPLVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAVSMIEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELVLTMPSYTSLERRVVMKAFGAQLVLTDPAKGMGGTVRKATQLYEDHPSAFMLQQFENPANVKVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPDILDMDIMDKVLEVKGEDAVKMAQQLAVKEGLLVGISSGANTVAAIELAKRPENKGKLIVVGDMLQGSYGYCGRRRVESPNPLEDP >cds.KYUSt_chr1.32991 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200251876:200252373:1 gene:KYUSg_chr1.32991 transcript:KYUSt_chr1.32991 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAIEKNREGAEVFHGAALCAEKAVELLAETNMPLGLLPLADIEEVGYNRATGFVWLRQKKALTHTFKQIGRQVSYAAEVTAVVEDRKMKRMTGVKSKEILIWVTLCDMYIDKDDPSKITFKTPTGLGRTFPVSAFRKDDDGKAKAPAAATAAAADGEAAVAK >cds.KYUSt_chr6.28461 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180339998:180343754:1 gene:KYUSg_chr6.28461 transcript:KYUSt_chr6.28461 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARTRWSALAASALIQCFAGSSYCFGIYSPALKSSQGYDQSALDAVAFFKDVGANVGILSGLLAAWAPGGLRRPWLVLLAGAALCAAGYLPMWLAVAGVAPAPLPLVCFYMFLGAQAQTFLNTADVVTAVENFPDRRGTVIGIMKGFLGLSGAILVQVQRTLSIDPGSFILMLAILPTAITLLLMYFVDVYSAQRRYNKKFLDAFSLIAVTVAGYLMVAIICDQVFVISSAVQSVCFLVLLLLVMSPVAVAVKAQKTESKQREELSLGERTGLLSEETAEDSENASSSTALVGSGQDMSADKVNLNVVQAMCELNFWLLFLAMACGMGSGLATVNNISQIGGSLGYTSRETGTLVSLWSIWNFSGRFGAGYISDHFLRSRGVGRPFFIAATLLVMSAGHAIISSGLPASLYIGSVLVGLCYGSQWALMPSITSEIFGLNHFGTIFNTVAVASPIGSYILSVRVVGYIYDKESPQGELACTGKHCFTLSFVIMACVCVFGSVVASVLFIRTRKFYRRIIYVRLMSFVEK >cds.KYUSt_chr1.37493 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228996645:228996899:-1 gene:KYUSg_chr1.37493 transcript:KYUSt_chr1.37493 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSGPSISLPLEDDHYAPFWPFASAKKQDCATGGPEGEEGLNGRPCASAPIRCAPSLMDRYAAVPPRPGCRRVPAAKLLTGG >cds.KYUSt_chr2.37744 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233576345:233578389:1 gene:KYUSg_chr2.37744 transcript:KYUSt_chr2.37744 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRNEICKYLFQEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQHYYWYLTNEGIEFLRTFLNLPSEIVPNTLKKSAKPPGRPFGAGPPGDRPRGPPRFEGDRPRFGDRDGYRAGPRGAPGDFAGEKGGAPAEFQPSFRSSGGGFGRGGGAGGFGRGGGYGGGPTSSSME >cds.KYUSt_chr7.24189 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150855138:150855830:-1 gene:KYUSg_chr7.24189 transcript:KYUSt_chr7.24189 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPQANEAEVEQELLSLEASISSSNTISTICDGLRRLGDIYSGVEEIVGLPSNQVGKMLDGEMECSLELLDLCSTMQEIFMEMKAIIQELQVAQRKGDDVAAQAKIQSYSRLAKKAQKHFKKTTKKATSVGCRMVMLLTKAREISVSLLESSVHLLSKEINMPKQSLVSKAFHKKKAVVCEEEQLQAIECSIGDLENGTGHLFRKLVQIRVSLLNILSS >cds.KYUSt_chr1.42057 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257705396:257705782:-1 gene:KYUSg_chr1.42057 transcript:KYUSt_chr1.42057 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVPSSSAAGDYQFPHGGGRFPLSSTASRTRETERWFPPCCAYIMDGAAGKPYLQSMDDHESTCSHITAMARARYPEDPDRAELHVMAAFEAEQTTMYAREDELYHEDAQMGARHGLPDSSAIKTA >cds.KYUSt_chr1.11794 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72758671:72759234:-1 gene:KYUSg_chr1.11794 transcript:KYUSt_chr1.11794 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDGLSHHHGAPEASPYDDGHHSVVVFLTFAIFFSFVVIYLTVGLIWALAVTALAVALSFGYLSARRRRRADLRRATAVIGVRLASRSSHGGLGHLDPAVSRLLPAFAYKREGGGGDAGAGSGWAQCVICLGLVQVGELVRRLPACNHIFHADCIDMWLRSHSTCPICRAVVAELTVGVPSETEPV >cds.KYUSt_chr2.1205 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7104234:7105070:1 gene:KYUSg_chr2.1205 transcript:KYUSt_chr2.1205 gene_biotype:protein_coding transcript_biotype:protein_coding MYYTSAVANPSCSSSDSFPSDLTGGDVYYTGFNGAADPFCSSSDSFPSDLSSGEMLYPGYMGAGNNPSCSTSSSSSDSFPSDFSSGEMVYAGAGREPRRKPAAATSFIGVRARPWGRFAAEIRDSTRGGARVWLGTFGTAEAAAMAYDQAALSSRGAATALNFPVDRVQESLRALELSAVSTTPTGTGGSPVLALKRRHCKRRRRSKAEMVTEAAATGGGRRRKSKICSNGTTGQTRFVVELEDLGADYLEELLRISDDHPLQLQVPGADFVQYGGLQ >cds.KYUSt_chr4.30490 pep primary_assembly:MPB_Lper_Kyuss_1697:4:191336404:191338280:1 gene:KYUSg_chr4.30490 transcript:KYUSt_chr4.30490 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTTTTRHSPCRLSRSSHCAARTRGWSHDAIVALLALIVVALLIVSGQGAEEHRRLEAERLERLGLYINHDDDDNVGPSSMPPNRRTHGDPGQGCSSFLPPLKQEPNDDKEEDCVAAMYRCLEAPLMRYGKYCGVSYTGCPSEPPCDALDACCMLHDACVNATDNDYLNMWCNQSLLDCVAAVRTAAVVVEAGGSEEAVFRTFEGNNCNATDVADEITSIIEAAVYAERILHRV >cds.KYUSt_chr2.40774 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253323518:253325781:-1 gene:KYUSg_chr2.40774 transcript:KYUSt_chr2.40774 gene_biotype:protein_coding transcript_biotype:protein_coding MFADAVTFLKASLGLLSVHLRAPGENPRSSDRAVAALLRRTLLEDTVLEPAASGSPLVEWRSGVRFLVVVGLWGRRLRRWKAVDSVRVRWFTPRSAVVCFMARCIGSSPEVWYNNRADREACILLAGVSSCCYRH >cds.KYUSt_chr6.11446 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71010041:71011495:1 gene:KYUSg_chr6.11446 transcript:KYUSt_chr6.11446 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKRSSHVEEDEEDLEEEEEDEDEEEDEDDMEEEREGEMRSRQHHHHHHFATAPAPAMGAPQQQHLHGSQQVLAPSAAAAAPSPSSLADMAAFSRPLLPPNSSLVSSPPPGFLSPPAHLHPLQQHAHRRMVERERGRTVEAPPPREPERQHQQQHHHPHQQQRHQEPARNGVLPAAGTGATPPQAPALALATGGAAREHAAATGPPAAPEPLPWRYRECLRNHAARMGAHVLDGCGEFMPSQGESPAAALLCAACGCHRSFHRREPVLVASPTPSPASAVVSPSAAAAAPSSRPMPLLLAPPHMHKPRQHVAPLTSPMSAHVPASPKSAPAALAESSSEELRPHPPPPPPPPPYPQAAVGAGGSASAPPAPGKKRFRTKFTPEQKERMLDFAHRVGWRVQKPDGGAVDAFCAQVGVPRRVLKVWMHNNKHLAKTPPTTPSQPLPPQPHHHHHDPPPPPHHQHHHPHPAQPQHNHHHHQQQHDP >cds.KYUSt_chr5.40207 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253951261:253959505:-1 gene:KYUSg_chr5.40207 transcript:KYUSt_chr5.40207 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSQPYSSHRTVYVRRKPKRSPESGSPLPPARSRTGHFSQRHATRRHGGTGQWPAWEKRRASRSNLPLPPPAVVVKIVAYNVNGLRPRVAQHGSLRRLLDAIDANILCFQPPARAGTGHFAAATRITGHLVLVAREGEAWSSPVESAVVLESLCLPPPAGMVKIVTYNVNGLRPRVAQHGSLRRLLDSLDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRTSRGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFAGLQGSAKDSETIGDFVLQMPVEEEGLGEITREELVRLDNEGRCIITDHGHFVLFNLYGPAIGEDDEERVRFKLLFYKILQKRWEYLLALGKRVFIVGDLNIAPGSIDRCDAPPGFEKQMFREWLRSMLRENDGPFFDAFRSKHPERTGAYTCFNQKVGAEEYNYGSRIDHILISGSCFHDCNSVDNHSIFHCHVEDCEIMNHFKRSGDTETISKWKGGRSSKLEGSDHIPVYIILNGIPELPVHNTPSSAARYLPEIRGRQQSIVSFLSKGKSCEVEGGPGLNMSQDQANESCCTDDLESKSISKEELSTGITEFSKGGDLPSSTSKRRNLDHWIHEGPSGNSQNSNATLLASHGMKASFSSIKSMSNKKNKHNISSQPTIKSFFRRPETRTGDANINSLVSSEDTVPGMDELCYPNDDSLPENIQCTAAAEVDQGSSISCSLSTDNCNIATLEWQRIQQRMKMTLPLCKGHREPCIPRSVKKGSNIGRQFYVCGRAQPWTLVSLIFVRVCTCPHFGSKMVLI >cds.KYUSt_chr3.19570 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120446460:120448754:-1 gene:KYUSg_chr3.19570 transcript:KYUSt_chr3.19570 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKSGSERRHFILVHGLGHGAWCWYKVVVALEAAGHRVTAVDLAASGAHPARIDEVHSFDDYSRPLLDAVAAAPDGGERLVLVGHSHGGLSLALAMERFPRKVAAAVFVAAAMPCVGKHMGVTTEEFMRRTTSLEELLMDCEMMAIKNNQGTGVAILVGPEFLACKYYQRSPAEDLALAKMLVRPGNQFLEDPVMKDVDLLTTDNYGSVKKVYVVAKADVSSTEEMQRWMVALSPGVEVEEIIGADHAAMSSKPRELCDVLVKIANNLNTYY >cds.KYUSt_chr7.40432 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251034399:251041660:1 gene:KYUSg_chr7.40432 transcript:KYUSt_chr7.40432 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDRCRMDEVVSALASSQTSAGDGVTDQPIINVYVWDMDETLILLKSLLDGSFAGHYEGLKDHEKGTELGKRWENIILELCDGHFFYEEIENYNEPYLNALRAYDDGKDLTKYDFEADCFSSPYDDANKRKLAYRHRAIGDKYAKGLEKILDHHMLKVWNDLYSSTDKYTDGWLSSAHKLLEEALGKSRAEPGSKPLGINLIVTSGSLVPSIAKCLLYRLDDVVSSDNVYSSWEVGKLQCFKWIKERYGGPNVRFCAVGDGHEERSAASIMKWPFVKIEIGPDAPHRFPGLSLSTIHGSETGGVGAELVPPAAGTEIQAIHGVPYPLHLPFVPYQTTENDRRQMNSRLCLFSCSVDLGRALWRFILHWRPAELPYLSHSDGGERGSPPVSADPKPANCAYMLRDHIKTMVGEDAGQEPRACDSTTTSKRNQARVSERTEERQGRKRTGEETRPVKKMQAAKEKVKDGVSAVKAKTKITRAKAEEKAEAATARSHAERELAHERGKAKVAAAKMELHQDKALHREEAIEHRIHKHGATGCLGGHGHARHNKHGVAAAPAPPPGAGAYYPPAAGAGHY >cds.KYUSt_chr1.2610 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15623127:15623627:1 gene:KYUSg_chr1.2610 transcript:KYUSt_chr1.2610 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLFVLPVLLLAATATTTKRVESLAMAPSCQTSCGGVDIPYPFGIGSGCFRKGFEIDCINNGPVLAGTTLQVVYLSVDPAESLVMLPVAWQCYNASSPGEAEDYSNGETAGMKKDGVYRISSTHNMLVVLGCKSMSNRPRISLPRISLLFRPVSKNCSISSHAV >cds.KYUSt_chr3.1218 pep primary_assembly:MPB_Lper_Kyuss_1697:3:6767411:6769350:1 gene:KYUSg_chr3.1218 transcript:KYUSt_chr3.1218 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSTGVLLKLLDGMKSGTAKPIGEHRTAVLQVTDIVPADMDEKDLFPRHGSFYVKVSDSSHSIYATLPPAQADLVLSNKLHLGQFVHVDRLDPGSPVPVIVGARPIPGRRPLVVGTPEPGKKAAPRRGSWGPENHGAAGVLASPKVVRPTALDFGDRTPVKERPSPSARGSASVRKSTSVMPRMVSRCRSFVADRGDPPPSKIPRSPFPAEKSSVSSSRVMSRRAREEEPSSPSSDDDTATSSKKRLSSIARVPVPAKLNALGKEAMEQREQAQKVALEALRNASATENVFRIYKMFSDVSKTARADAPAACFDGFLSFHQEAVQAVADIESIQAATSMAATAAGTSDDPPAAVAEEAAPPPNVLQEIAQNRAAATPRRRGLLGFGGVSKSVSFAPGTLLDPSSRQDGGRSSSASRKCLAADKPDDKRSSAPLGAAAAHSALGSSLKMAKQMQAEAGSWFMEFLEAALETGLKKRKSSKSSAAVGQSSGGCPQSLMLRVINWVEMEQSGGESRKAGHPRAAAVARKLRIKAKNP >cds.KYUSt_contig_1612.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000175.1:2926:3455:-1 gene:KYUSg_contig_1612.1 transcript:KYUSt_contig_1612.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNLGLFSSNETKDDVSTANLKYLLVPYYLGEMTEQVEQEDRIPVLKASQDHLKFISTCEALELVPENELELSRQGRPETAANRREQKVRLLPPYNL >cds.KYUSt_chr2.48060 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300403888:300406084:1 gene:KYUSg_chr2.48060 transcript:KYUSt_chr2.48060 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERRDTKKAFMASGRAERIGALPDDLLHHVLSFLPAHEVVRTCLLARRWRHLWKSAAALRITGVKGCKNAAWFVNFVDNLLFLRNPRVCLESFELDLDECDLDFEAFLPANEVHVNTWFRHAVMCGPRKLLALRTTTGIYSDPEEHETLGFPNVPLISPYLTRLELTMVYVHSSTLDFSGCPSLVHLTMDDCDIVGNISSRFLKHLRIFSSFFQTDPVRAHICVPGLVSLEVMGELRRAPVLESMPMLVSAVVRLELDCHDSCSKFDYGYCDDRQCYGCYGSGAHDWRGESVLLKGLSEVAELEFSVDSQVFIVNMDLKLCPTFSKLRASPVASLKKRPVLKHSPVLEKLTLQISKFLVMILVVNFYYGYCDDRQCYGCFGSGAHDWRGESVLLKGLSEVAELELLVDYQVFIVNRDLKLCPTFSKLKTLLLSEWCPDIASDLNILSCFLKHSPILEKLTLQLSKVPKKPAEVQRSYTPPEQPFALSHLMSVDIKFDEVDGKVLNILRTHGVPLEKVNIQRTKLLDLNVS >cds.KYUSt_chr7.21315 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132032998:132033807:1 gene:KYUSg_chr7.21315 transcript:KYUSt_chr7.21315 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPSPLQDFCVADKYSPVLVNGFVCKDPKVVSADDFFMAANLDKPMDTTNKVGSNVTLINAMKIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGALYVGFVTSNPENKLFTKKLEKGDVFVFPQGLIHFQFNPCANKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKNTVKWLQAQFWADNQN >cds.KYUSt_chr2.49140 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307580992:307583224:1 gene:KYUSg_chr2.49140 transcript:KYUSt_chr2.49140 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWADAALLLASPSPASSCSLARPRSRRLLCKPDDVSLLYRAFLVPAVLKLDSKLIVTEQGALKLKQEWSLQLENLQSEKDAQKEEAAKVPQDLLYKRIAEIKEKERQNTLEEIIYCWILFKFMENDISMTPALSPSGGPVRDITTLPNQEHKLQSVHSQDALEMIQSHLSLIMGEQAAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKNMKTLPPTIKEQVVSERDLQPNPFWDMESLVQISPDGEVVDLDDDEETNPNKLRSYVKRLDADTLQRYATIRSKESVSLIEKQTQALFGRPDIKVLDDGSVNAQDGKTVTLTFTELTNLVLEAAGFGAFLWEAESHVESKYHFVNS >cds.KYUSt_chr3.19342 pep primary_assembly:MPB_Lper_Kyuss_1697:3:119029544:119038053:-1 gene:KYUSg_chr3.19342 transcript:KYUSt_chr3.19342 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLPHYYLRHYQMKMNLLSSSSLMKFALDLLQELVRSYLNNRYEEETSIARGGEEQLDVKMDVELDKELDMKISHGHAREEREACVRGEDEVQAGPAPVVLGTVSVYVYSYTLGSKFLCLVTHPSLNRTRPLLLRPAMRVLALLLLLWISTAAAVAAAAASSQPLETLGIPPQDEAYYRGGVIKCRDGSGKFTRDQLNDDFCDCPDGTDEPGTSACPEGKFYCQNAGHSPITIFSSRVNDGICDCCDGSDEYGSNGTCKNTCWEAGKAAREKLRKKVATYKSGVVIRNQEVEKAKVALAKDEAELAKLKGEEKILQGLVDKLKEQKKLIEKAEEEERLIKEKEEKRIKEEAEKKAAEEKKASDASQEADSEDANGNEQEGESKVSEHHDGHVTDHDNHSPEGKTSVVEHDITAGTRDDAPPETSAVPTKEQDPASVNTEGLSKAELGRLVASRWTGENVDDVGKSDKKGHDDEFDIPEPAEAALEDEHDIPAPAEESYAGYHSEVEDDRHKYDDEELSNVSDDEYVDNHEEPDETYKSDDDQKSDYHSDITASEQASWLDKIQQTVQNVLQKFNFFKTPVDLSEASQVRKEYDDANSKLSKIQSRITSLTDKLKQDFGKEKEFYYFYDQCFEGKEGKYVYKVCPYKKASQVEGHSSTNLGRWDKFEESYRIMHFSSGDKCWNGPDRSLKVRLRCGLNNELNGVDEPSRCEYVAVLSTPALCVEEKLKELQQKLDTASSDLPGHDEL >cds.KYUSt_chr7.14482 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89506328:89511663:-1 gene:KYUSg_chr7.14482 transcript:KYUSt_chr7.14482 gene_biotype:protein_coding transcript_biotype:protein_coding MESAASLAVSHHRLAVPSARWHPRPSARLIRMPRPIRSPRLRVAAAAPSSSSSPPSRRDHAAAAGLERCLAATPATAPPEMKGGRRGTFGAVTIEKSKLDLSQKKLKGVQPELATGSGGGDNGRRIGYGGGNSGDDDGDDDDYFEDSEDGDEEGGFFRRRIIIQELFKREFVDAVLQEWYKTMSNLPAGLRQAHEMGLVSSAQMVQYLSNFGRPTKARYFSRAFPGFFSSGLVGRMLADPSFLHKMTFELLATVSSSVWWEMKNRKERFQQEWDLVLLNVFSATVCNLAVFCSLAPCRSYMIQKLPNNIFEKSYPMRQFDLLTRTQSLFSKAAELCLGGLIVGSIQGGLSNVLSAGRERRLSMTVPSISNNALSYGAFYGLYANLRYQMLCGLERSMADRFDVLGVTIFFSTAIRLMNIQIGEVSRRAWLGEGADVLNSDNLLRAYNGPAAELAIDEQQPGWFISKNAIVSGLNLLGVTHGVHEEVTPKRRRKRLVQKK >cds.KYUSt_chr1.32623 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198141237:198142013:1 gene:KYUSg_chr1.32623 transcript:KYUSt_chr1.32623 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQSTQLGAATAFFGVLSFVLAIVAELKKPPYGTPIRGADVVVCRFPPDPTVALGVLSALAAACCAGIGAVSVFFPYNGRPIPRKALFGYTLLHVFFHLAIGVTVAGVAMTVWATATEAVHHVRNVHRHLSYACPTAKTGMFGGAAFVNLDASLFWLVTKAASSAGPEILRALGENKIRALI >cds.KYUSt_chr1.24728 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148071402:148071707:-1 gene:KYUSg_chr1.24728 transcript:KYUSt_chr1.24728 gene_biotype:protein_coding transcript_biotype:protein_coding MKARHLSRTTLKTSTFFASEEDGAVDLRSAVSPRAPRPGHAVVRVEGGRRNVGGDLGVDAGGRKRGGGLAGVVERRRHPPSEFPVELGLCPSGPFPSFPTS >cds.KYUSt_chr6.17298 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108935026:108935289:1 gene:KYUSg_chr6.17298 transcript:KYUSt_chr6.17298 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAGRRWSEIVGEDAEQAPGAVAAAKTAASVHVRDHRGGRRQVAQVFFREVAATGQAMEQFSGQERRGRFISLPRQGSSLKLMSF >cds.KYUSt_chr4.16604 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103129496:103131596:-1 gene:KYUSg_chr4.16604 transcript:KYUSt_chr4.16604 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLGSFHRPRALISSAYPYSYLLQPVAVGPATNPSKSRRRVTAAAAAAAARMGDERVKAEALQILGLFQVLPRLVVFDLDYTLWPFYCECRSKRESPSLFRHAKGIMCALKEKGVDMAIASRSPTPDIARVFIDKLDLQPMFVAQEIFSSWTHKTEHFQKIQRKTGVPYKSMLFFDDEDRNIETISKMGVTCVLVDNGVNLDMFKLGLSNFATTATTVADAAAAAPQDK >cds.KYUSt_chr3.36154 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227281277:227281891:1 gene:KYUSg_chr3.36154 transcript:KYUSt_chr3.36154 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQNCTHDLTRACIALTLLLTMANTAAGRRPANHNPPPPPHGSVQTITLYTTGAAPPNASSTKHPVFTSQGPIGHSGSSWLRAMTRPAALRPGTVTVVDEQFHGKRESGPPLAGRLRGVLVAGLDDGGSHVVAVKAVFAGDAAEDSIRFFGVHRDGQEESRVAVVGGTGRYDGATGFAVVGAAGVPERNGNVSRALSFSVHLK >cds.KYUSt_chr4.44783 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277188115:277191542:-1 gene:KYUSg_chr4.44783 transcript:KYUSt_chr4.44783 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRRRLSSPTSPATTPPLEIENLLLEILLRLSPLPSSLPRASLVSKRWRRLVSDPKFFRRFCAHHRKAPFLGFFIRCVDGISFKPMLPTPDHIPPSRFCLPQSRYERWDFVGCRNGLALLINQTRLEVVVWDPITRHQLCVAFPPDFDERYARYVRNAALLCASGENHDQVHGRRGLQPFKLVLVQGTRRVGEPQRALVYLYDSESGVWENSISAATKHGIHVASTNVLVGNAVYWLLNGGEILEFDFERQSLVVIDRPAAVPSLGEKHDDFQILRTREHRLGFAMWTEVGIEIWERKGNSDSVAGWERQDIIKFDKLPPLVSRKRFSIAGFDEDSNAIFLSTIISHTFMVQLESMQFRKISIGHYGQVYPYTNFCTAADQLLVKDKEIKHRSREYFDGLFNGETESSTIELDNSFDDTSRRFVRRIHESEVKEALRRMKKDEGRHGNVP >cds.KYUSt_chr4.48923 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303094279:303098675:1 gene:KYUSg_chr4.48923 transcript:KYUSt_chr4.48923 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIRSTKWPLSFLGVAGALVILVTAVHVFMVPILPSSLDFSGARHGIDRPRNVVPGVEIVDSRLRGQFPADSYGAVTFRGAPWKAEIGRWLAGCHAGSSTVDVTEVIGAKRCEKDCSGHGVCNHDLGECRCFHGYAGKGCEEVLKLECNLPSSPEWPVGRWIVSICPAQCDTTRTMCFCGPGTKYPDRPVAEACGFKTISPAKPGDPKLTNWKTPDPDVFTTNSSKLGWCNVDPEDAYSSKVNFKQECDCKYDGLWGQFCETHVECSCINQCSGHGHCRGGFCQCDSGYFGIDCSVPSAYSLAYDWPLWLRPPVNSSDPKNLSHIPINVSAVVQKKRPLIYVYDLPAEFDSHLLEGRHYKLECVNRIYDDKNRTIWTRQLYGAQIALYESILASPHRTLNGDEADYFYVPVLDSCLITRSDDAPHLLMPEDLRMRSYHTLEYYRMAYDHIAQRYPYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHENSTTAYWADNWDNIPLDRRGDHPCFDPTKDLVLPAWKDPDPAAIWLKLWARPRSNRRTLFYFNGNLGSAYEQGRPEDTYSMGIRQKLAAEFGSTPNKQGKLGRQHVANVTVTHLRSEKYYEELASSIFCGVLPGDGWSGRMEDSMLQGCIPVIIQFAYYFLTHQGMNETQIEFMLGNVRQIWQR >cds.KYUSt_chr5.34216 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217042856:217043392:-1 gene:KYUSg_chr5.34216 transcript:KYUSt_chr5.34216 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAMNDSHRRGDDGRRDEDRRDRRRDVDHRRIDGTLEQRLPSGTFSRVDALPGPPRHHVAVLLGPSSASTRAAAGLLTAPRLPAHSMPWRRRWLRHAFAARRWSRLCTQPSALRSSLVCCRHGRNRAVLAAHRLAVPSACPCNPASPRSLPAPPAATKNPSVRSVRSGNPVHAGAFL >cds.KYUSt_chr4.40370 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249125354:249132842:-1 gene:KYUSg_chr4.40370 transcript:KYUSt_chr4.40370 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFARHGWKLDKIQFMALNKGVAHFGTRCTTSSTSTKGWAWIQEQTTKFNTAYDNMKKREGDLEIGLASPGSEGGVSPLGRRPLESCLSGKRLDQSQSSRLARRPGLVMSSSGNRLDQPSSPASPSRPALAMSRSSNRLDHHPSPASSPTPSKGPALVMSRSSNRLDHSPSPSPRAAPPVLVLSNSGKRMDRKKYVKQVTGRHNDTELHLAAQRGDLDAVRQIIAEIDAQMTGTGEDFDTEVAEIRAAVVNEANEVEETALLIAAEKGFIDIVLELLNHSDKDSLARKNKSGFDALHVAAKEGHRDIVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHLEVVNLLLERVSGLVELSKANGKNALHFAARQGHVEIVKALLECDRQLARRTDKKGQTALHMAVKGTSAGVVKALVNADPAIVMLPDRNGNLALHVATRKKRSEIVNELLLLPDMNVNALTRDRKTAFDIAEGLPLSEESAEIKDCLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVYGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNNDKGEAIVVHAVSFKVFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTTVAFISSSYIVVGRRFKWAAILVTVIGGVIMAGVLGTMTYYVVKSKRTRYIRKKVKSTRRSGSNSWQHNSESDSEIDRIYAI >cds.KYUSt_chr3.34505 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216519305:216525341:1 gene:KYUSg_chr3.34505 transcript:KYUSt_chr3.34505 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFPLMILALLFLLPATVLAVSPLHRSNDTDLAALLAFKEQLSDPVGILAANWTTRTSFCHWVGVSCSGRRQSVTALVLPGMPLHGPVSSYIGNLSFLHVLNLTNTSITGSIPPDIGRLSRLVVLDLRLNGLSGIIPHTIGNLTKLNTLVLAQNDLSGQIPHDLHSLSNLRNIQLETNHLSGQIPNHLFNNTPLLISLGLGNNSLSGPIPPGIASCPMLEYLNLQANQLSGPVPPTMFNMSRLLVMSLAGNRYLTGSIPSNQSFNLPVLQKFLIGGNNFTGRIPSGLASCEHLRQVSLPINSFVDFVPAWLGKLSHLTRLSLGGNGLVGSIPSELSNLTMLSLLDLSYCSLSGMIPDELGQMSQLTFLHLSDNQLTGSFPAFVGNLSQLSALAVESNHLTGTVPKTIGNLRALEMLDIGSNPLEGTLDFLATLSNCRQLQLLNINNCSFTGVIPDHIGNLSRSLTNFGASNNHLTGDIPASISNLSGLGTITLYYNQLRGRIPESITLLENLEALDLTGNSLFGPIPSQIGTLTRLHIFSLEDNNFSGAIPNGVGNLSMLERVSLAYNQLSSTIPESLFHLSNLRQLLLSHNSLIGPLHSDLRSMEEIDTVDISSNNLVGSLPTSFAQHGLLSYLDLSHNKLQGSVPDSFKRLVNLGVLDLSLNNLSGTIPKYFANYTSLTSLNLSFNKFQGEIPDGGIFANISAQSLMGNAGLCGATRLGFSQCLGDSHPNNIHLLRFVLPTVIITFGLLAIFLCLMFRMKYTKQQSVSASSRMDDLISHRLVSYQEIARATENFSEDNLLGVGSFSKVFKGQLDDGLLVAIKVLDMQVELAIRSFDAECQVLRMARHRNLIRILNTCSNLDFRALLLQYMPNGSLETHLHTETCEPLGFVKRLDIMLGVSEAMVYLHHHHYQVVLHCDLKPSNVLFDEEMTAYVADFGIAKLLSVNDNSIVSASMKGTIGYMAPELALIGKASRKSDVFSFGIMLLEVFTGKRPIDPMFVGEYTLRQWVYQAFPERLVDVVDEKLVQATPAPRAVASPHAVTFTVPRASTSEATSAPPLRRGFPPSAHGLCHAAESSSPTSCTPPRLHPRSPLGSTTTPGRAGLADHRNARLGVQHDHPRSPLVSRLLHLRTLQLCRQRPRLFPDYATCSSTPTPIVETSSASLLRHGAHFVLFPAPARPYTFVPDVHLVLAKLGQHLVLDGSDCIDFGIDHLHDCLGASMSLSSRTTSPAATSTPAPGHKIDHGIPSRDYPDQGCSTHALGYLDIGTRAITSHEHLGFFYNPSIRDATSSTTLTLPLWGSVSPLVPTFGFSPV >cds.KYUSt_chr4.9222 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55679797:55681763:-1 gene:KYUSg_chr4.9222 transcript:KYUSt_chr4.9222 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYIAILSCAFLFLVHYVLGKVSDGRRGNKGAVQLPPSPPAVPFLGHLHLLEKPIHATMCRLAARLGPVFSLRLGSRRAVVVSSSECARECFTEHDVTFANRPKFPSQLLVSFNGTGLIASSYGSHWRNLRRVATVQLLSAHRVACMSGVIAAEIRAMARRLFHAAEASPDGAARVQLKRRLFELSLSVLMETIAQTKATRSEADADTDMSVEAQEFKEVVDKLIPHLGAANMWDYLPVMRWFDVFGVRNKILHAVSRRDAFLRRLIDAERRRLADGGSDGDKKSMIAVLLTLQKTEPKVYTDTMITALCANLFGAGTETTSTTTEWAMSLLLNHPAALKKAQAEIDASVGTSRLVSVDDLPRLAYLQCIVSETLRLYPAAPLLLPHESSADCKVGGYNVPADTMLIVNAYAIHRDPAVWEHPLVFKPERFEDGKAEGLFMIPFGMGRRRCPGETLALRTIGMVLATLVQCFDWEPVDDVKVDMTEGGGFTIPKAVSLEAVCRPRAVMRDVLHNL >cds.KYUSt_chr3.3132 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17973248:17978562:-1 gene:KYUSg_chr3.3132 transcript:KYUSt_chr3.3132 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRAGYSAAVLAVAFALVAAAVGAASEGDSLAGLAGGAAAGIDAAPEVKSLGPLAKGLLNGMPDGAAGPTAMGPVAKYPLVLAEDRTRRPDVLRHLKMYGGGWNITNKHYWASVSFTGVAGFLLAALWFISFGITAASFCFCKSRTGQGKVSHADVARPVLLVVVVLALIAGCIVLSCGQGEFHEEATKTLDFVVNQSDFTIQTLKNVTDYLSFAVTINIAALYLPPDVQDQINNLKVDLNKASDTISLKTTENYKRIRTVLHNVSVALICIAVLMPVLAILGYVLELYGPRYTIYTFATLCWIIVAALFILIGILSIVSSSAKDTCQAMDEWAQHPRAETALSNILPCVDESTTNRTLYQSKYVVVQLVSLVNKAISVLSNRKPYHLHPGQLMPSLCTPYDSKLNDRQCLSREVTFDNATTAWQDYTCNAPDAEACSGPMTVTPEVYGQLVSAANASYALHHYAPLMLNFQDCRFVRDAFSSIASQYCPPLERDLRLVSAGLSLLASGLVLGLLLLLFTDRPRNWEEVSESPSGFRVTPVDCSP >cds.KYUSt_chr4.15720 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97173838:97176024:-1 gene:KYUSg_chr4.15720 transcript:KYUSt_chr4.15720 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKFVGVRGDVGGRGGGLVLEFCSHLRLDGNDLRHRGSNLWHDRGLFLGHNRHGTWAWCSRRVVALHPAVITVAVPVIWVAPTEASTRTISAARGTPWCPAVEEATVPVQAMATAEVPATNSGKVERATEIGAAMLPGHKVSKGKNKFRRSGTRLDAAEDVGEHVLRTQLCQVHLLGLLRPTLAVRFTSQLGSKLRNSTASLVPQLRPDDTILSSNSVIQMISTTHEPNASTCPLTTS >cds.KYUSt_chr5.25453 pep primary_assembly:MPB_Lper_Kyuss_1697:5:162261800:162264429:-1 gene:KYUSg_chr5.25453 transcript:KYUSt_chr5.25453 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRDASVEDHARATESHHELLGSVLGSKQMARDAIFYSYTKNINGFAAYLDEEVATQMARHPEVVTVMESKMLKLHTTRSWDFMDMERDGQILPDSIWKHAKFGQNIIIANLDSGVWPESNSFSDEGMEEVPRRWKGSCSDSAKYGVPCNKKLIGAKYFNRDMLLSNPAAVDGNWTRDTEGHGTHTLSTAGGRFVPRASLFGYANGTAKGGAPRARVAAYKVCWSGECAAADVLAGFESAVHDGADVISVSFGQDAPLADASSFFHEPVTLGSLHATIHGASVVCSAGNSGPFEDTVVNAAPWVTTVAASTVDRDFPNQITLGNSAHMKGMSLESSDLHSDKLFPVINASSAALPNCTVNLASSCAMGCLDPGKVKGKIVVCVRGGDIPRVMKGMAVLSAGGAGMILANGEIDGNDVEADPHVLPATMITYSEAVSLYKYMASSSEPVANISPSKTELGVKNSPSVAAFSSRGPSGTLPYVLKPDIAAPGVDILAAFTEYVSPTEVAADKRRSEYAIMSGTSMACPHVSGVIGLLKAARPEWSPAAMRSAIMTTARTQDNTGAPMREQDGKEATAFAYGAGNVHPNRAVDPGLVYDVTPNDYFTFLCSLGFTTKDLSRLSAGKFACPAKPPPIEDLNYPSIVVPELRHTMTVNRRLKNVGRFGTYRASWRAPFGINMTVDPTVLVFQKTGEEKEFKVTVTSQKDKLGRGYVFGKLVWSDGVHYVRSPVVVNALD >cds.KYUSt_chr3.32789 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206099473:206101005:1 gene:KYUSg_chr3.32789 transcript:KYUSt_chr3.32789 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMALALPKLLLLLLPLLCFLCLCRTTRKQPRADGLKVYPIVGTLPHFIKNQHHLLEWSAGVIARCPTHTMVFNFKGLGLNAGAITANPANVEYIVKSNFQNYPKGEFVFSVIEDLLGHGIFNSDGDQWLSQRKAASYEFSKRSLRNFVVSTVRFEVVERLLPLLSQAEQDGRTLDVQDVLERFTFDNICRVAFNEDPACLTEEGLGVNGPAKFMRALDDAQSIVMARLISPVNWAWRVKKLLNMEPERRMRKALATIHGYVDRIVHERREKGAAGLAREDDFLSHFASSGEHSEESLRDVVTNFIVAGRDTTSSALTWFFWMISGRHEVEDKIVHDICSARASSGSEDAAFSFDELGKMQYLHAAITESMRLYPPVAMDSRCCQHDDILPDGTFVGKGWQVSYSSYAMARLEEIWGKDCAEFRPERWLDEEGAFRPVSPFKYTIFHAGPRMCLGKEMAYIQMKSIVACVLERFRFQHIGGDRRPGLVFSLTLRMEGGLPMQVKKRDY >cds.KYUSt_chr3.30322 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189960805:189962578:1 gene:KYUSg_chr3.30322 transcript:KYUSt_chr3.30322 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTRLVASPDRHARRHSRHGALEAGVSDRKPGYTAALQARALLHLRLTRDALHPLAAPTPADPRSLPLLSLLRLPAPALNLSGSFAPEDRIILMIPGWTQGRLSWSPTTRCDAVSVCWQEMDKQGFCQPGILSTSHSRGFYQTTIIRRDMRFCQSGETHVRDGDGGVSAIRSGVSRTSPPPMHLHPRQGRQLLCLILWVTANADNICNPTSHHFLLIEIFEKIALWKTGVMPIHYLR >cds.KYUSt_chr5.32397 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205572669:205574108:1 gene:KYUSg_chr5.32397 transcript:KYUSt_chr5.32397 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLSRNRRTVNRPYGGVLSGPAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTATK >cds.KYUSt_chr1.16725 pep primary_assembly:MPB_Lper_Kyuss_1697:1:97121955:97133828:-1 gene:KYUSg_chr1.16725 transcript:KYUSt_chr1.16725 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVLPKRYYHFYKWWYRSGLEIPHRFGRVYTQRYYLMRYRLRSGAYWASARVAASLLPAQRAAGPCPLLRFRRGLDDLCPSPIRSDLRRIPTEAHHQQRNNYFLLVVSPDQHGARILVSGVEKINTLPEEGSTLRSGAYWASARVAASLLPAQRAAGPYPLLRFRRGLDDLCPSPIRSDLRRIPTEAHHQQRNNYFLLVVSPDQHGARILVSGVEKINTLPEEGSTLRSGAYWVSDRVAASLLPAQRAAGPCPLLSICFFPDRIAKWCGEIRQVLRMFLDKL >cds.KYUSt_chr2.50680 pep primary_assembly:MPB_Lper_Kyuss_1697:2:316814343:316815524:-1 gene:KYUSg_chr2.50680 transcript:KYUSt_chr2.50680 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPGIIGTEPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPFDLADPSQDDSSGHVFSPLVIAIIGVLATAFLLVSYYTFISKYCGTFSSLRGRFFGSHSVAGARGGGGSGGGSGGSGHGQSRSQESWNLSPPSGLDETLINKITVCKYRRSDGFVHTTDCSVCLGEFHDGESLRLLPKCSHAFHQQCIDTWLKSHSNCPLCRSNITFAAAGEVLPEPELCPPGQSGRGTHEVVVVMEDLENMCEEQQDSGSGSVDSDDHEVKDGPEGMEEANCIAEIREEGVPPKMGCSSSSNLQRDSRMSIADVLQSTMEDELIAARQSGLLAGDHGTSRRCRGENSHGRNRSRRALQDAPDSLPMKRLPPSGRSCLSSKSGRGNDSDHSVRGGQS >cds.KYUSt_chr3.24607 pep primary_assembly:MPB_Lper_Kyuss_1697:3:152729671:152730576:1 gene:KYUSg_chr3.24607 transcript:KYUSt_chr3.24607 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFGRSWPFITWLPQELYSVTKESAKTALLPRERYPKPLIFHDGRLAFLPTASATLAFFLFLPLGLILSVIRISIGIVLPYNISFAASALFGVRFRTSGLAKDTAAKRRGVLFVCTHRTLVDPVMLTAALQKPVPAVTYSLSRLSEIIAPIRTVRLTRDRERDAEMMSRLLEQGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTSLYGTTASGRKWLDPVAFFANPAPAYRVEFLGAVPRDRTRAGGRTGAEVANWVQQRLGDALGFECTGLTRRDKYSMLAGTDGVLRE >cds.KYUSt_chr6.9747 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60134891:60136318:-1 gene:KYUSg_chr6.9747 transcript:KYUSt_chr6.9747 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVSPFPPPKLSPSQRSSDATTFRCGAAALRDFRAHHVAGRALLTNPALMPALAACARLPTTAAEAEQIHALLLKSGLPRSASGVYASTSLARVYLRHGRLGDARKVFDGMPARTVVSWNVLLDGLVRAGDLDAAWELFAEMPERSVVSWNTVIAGFARHGRAEEAVELFVEMTSVYGLAPDEATMAGFVSAVRGIGLLGLGRSAHGYVVRRGLSLDGALGVALIHMYTRCGSMSSAYQCFSTVITKNVEHWTSVIGGFAAHGHPEMALKLFAEMRQLGVEPNGVTFVAVLNACSHGGLVDEGFNHFSLMRSMGIRPSIQHYGCLVDLLGRAGFLEEAFDLANSLPGDQGFVTWSSLLAACQSHGNIDMAEVAARKLADAEPSHGSSYVLLSNVYARAGQWEDLKRTRRRMEERRVLKKPGLSWIEVDGSVHSFLTADKSHAESEDIYRMLEYLKPNLISAGSDPEPFALPEV >cds.KYUSt_contig_1467.57 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:401806:403212:-1 gene:KYUSg_contig_1467.57 transcript:KYUSt_contig_1467.57 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEGTRMARLWEREVGRLPPRRFAGAVRASEEFVHSLGLQKRLQKHKRCVDTLSFNSSGSLFMSASHDQTIALWNLEEAVPRLMFQAGGDVSDTQFMPLSDDRSIVTCGADGEVRHSRIREGGCVFTDKLADLRYAVNKLAVDPGSPHTFFSCVEDGSVLLVSC >cds.KYUSt_chr7.7536 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45438864:45440561:-1 gene:KYUSg_chr7.7536 transcript:KYUSt_chr7.7536 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGDGRPVSGGGFSENGKFSYGYASCLGKRSSMEDFHETRIDGVDGETVGLFGVFDGHGGARAAEFVKQNLFSNLIKHPKFFTDTKSAIAETFTRTDSELLKADTTHNRDAGSTASTAILVGDRLVVANVGDSRAVICRGGDAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPIVDSQEAAKKLLVEATQRGSADNITCVVVRFLDQQPSPTTTTASTDRPS >cds.KYUSt_chr7.17714 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109706645:109712493:1 gene:KYUSg_chr7.17714 transcript:KYUSt_chr7.17714 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTVQINWHDLQPVLTLDFHPVSRRLATGGGDHDIKIWAIASDDSDKKLPTASYLTSLSSHSSAVNVLRFSPSGENLASGSDGGGIILWKLHINDDGSEAWKVHKSLLFHCKDVLDLQWSSDGSFLVSASVDNTCIIWDANKGAMQQKLEGHLHYVQGVAWDPLGQYIASLSSDRTCKIYANKPQGKSKNMEKMNFVCQHTLVKAELQSHDDSKPTVKTHLFHDETLPSFFRRLAWSPDGSFLVLPAGQCKYSSEVVNTAYIMSRRDLSRPAIQLPGASKAIVAVRFCPVLFSLRGSQSEGLFKLPYRAIFAVATFNSLYVYDTESAAPILIHAGLHYAAITDIAWSSDAKYLALSSRDGYCTIIEFENEELGQPHIIPGKKEVTEANVTCINQKPLTVDSMEVDFGTSEVKMSACPADTMTTPPVLAKNSTLGVKEVTEGTATCEDAKPLKVDSMEVDVGASKAKTEANPVSVEVTPPPVSTKKSASSKPTKKRITPIAIN >cds.KYUSt_chr7.35004 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218683121:218683342:-1 gene:KYUSg_chr7.35004 transcript:KYUSt_chr7.35004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADPTRTSWPEVVGIPATPAVMKIAHDRSDVAIEVLPDGIKVSKGFNPKRVRVFINAKESQGLVVKTPVVG >cds.KYUSt_chr3.7891 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45281712:45282216:-1 gene:KYUSg_chr3.7891 transcript:KYUSt_chr3.7891 gene_biotype:protein_coding transcript_biotype:protein_coding MCDQLIMHVDNLMSNLPTLPKIMAGREALAGQHGHVRPGATCQLDKVRKAIGAGPVHPPAKPHHTTPLSSIGEVSMAPKPEKKHPAAEEAVVKDPAGEKAPAGETSTSNTDTTSPSALPAEKPRPALRNAFINDVFEKIAH >cds.KYUSt_chr2.53323 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332723888:332724586:-1 gene:KYUSg_chr2.53323 transcript:KYUSt_chr2.53323 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMWKDISTPAAFHYPLQDSPAAASYRDRAAYFHQDYLQPPRTPPPPHAPHTALTLRSPLEFTYLGSSAVGSGRTAGPPSNSTSSGDDSLHLPVDLFAFSFPSSTCNSSRRVAPVQASAVGSGDRRQKRMIKNRESAARSRARKQAYTNELELELAQLRRENTMLIKREQDLHVRSFGVGGAGPRQVYSPEVPLSPSTLNHP >cds.KYUSt_chr5.19402 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125864912:125877918:-1 gene:KYUSg_chr5.19402 transcript:KYUSt_chr5.19402 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSAARCFPLLHETLLLSFKPRVFPDCLFEVDAKRTDPAAPIIVAAFEGDIPTIKRLAKQRKREGGSVEAVEGIKGSQSSRRLGALHVAAFAGKQKMCKFLIKDLRLDVNAAAEHDLFFPDLFGIADILLSRGANAGADVNAGSPATPLTLAATDGLADCIKCLLEAHADPNIPDEIGRMPVELAAIHGWKECVEILFPVTSRVARFADWSIDGIMQQCSHGNLHKSEEPAFKALGDAAFKRKDYTHASALYTKGMDTDPKDSTLYAKRSLCWLRMGEKDKALDDANTCKCMILDGSNCFPEQGAALIPTEDYGQASEALISSLKLDSGSGLVDEVSGFHVGAGIPGVAPHYTPPPSTFNVLLGSYWFDKPWFLTEGKLAAVRITPSSWGSQRSRDVRVSYTGVEATDGAPEGDYELFYEEPDLSGGVEGADYGIVYGADSRISMDEVRKKLFSVSLSGQHKDYLDACSEGSFTSKEVEARWDLLDRINENAEGWENNEGYADEPPFKPHPPKEGNEEKEEKKKKKGTKKRKKKKKKNKKKEVTAYPRVNEITLGNRKYVAPNDYCDNESEYDDLPIPFTYISNHDLNEHTTFDIANLRETNSENDDDNNCHSVSAIHASSHNDIESPKLGEEVFENPFATDHYVFDTSPSNNNDVYEEFGVEEVFKDQERSMMQHDQGE >cds.KYUSt_chr7.7384 pep primary_assembly:MPB_Lper_Kyuss_1697:7:44319413:44322127:1 gene:KYUSg_chr7.7384 transcript:KYUSt_chr7.7384 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSLRRLVAALAVALAVLAVSAAAAAAVDRSFHRDFDAVWGKRNARFLEQGRVVELSLDERTGSRLESKDRYLFGRFDLDIRLVAGESAGTITSFYICTGGARHDEVDFEFLGNVSGEPYLLHTNIFSDGKGEREQQFVLWFDPTADFHTYSILWNPLNIILYIDGTPIRVFRNNEANGVPFPTRQPVHVFASIWNAEEWATQGGRVKTNWSEAPFVAAYRRFHASSACVWRGNGATTRCGGDHLPSSASSWMGQTLDWWSWMTLNWVRMNYMAYDYCEDRKRYPHAFPTECIIPIGRI >cds.KYUSt_chr1.6209 pep primary_assembly:MPB_Lper_Kyuss_1697:1:38151738:38158319:1 gene:KYUSg_chr1.6209 transcript:KYUSt_chr1.6209 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALIKGGAVAGAQWVVSKALSPLSDGLVELWAASTELEPNIDALKTELLCAQGILNRALRVEIDNPALAVLLQKLRDLGYEAENALGELDYFRIQDEIDGSSEAVEDLGCGRNLVRVVRHVAGAAARQLSCDDESCKCARRLTSGARNTAHVASAAAKQFCCASCLPAARGSDEDSDEESDKESDQEYSCKCGRRLASRARTATRAFGKRLLCSSPLSVTDDSKLAPSRVPKLKFDRVGVSKRMKCIADKLKPLRESVSDILLIELQGSDHGIVNSASGNRPTTTSGPLEHIVYGRDQQKNTIVVDITNVENIHRDLTVIPIVGPGGIGKTTLTQYIYNSKRVEEHFQIRVWVCVSLDFSVYKLTREIVSSIPKAEDEKNDRPDNEVQNLDQLQKLIEKRLKNRRFLLVLDDIWKYGNEDEWNRLLVPFKKVQGNGDIILITTRFLEVAEMVKKGDELLQLEGLEPKEYWSLFVAYVFGETNRQYIDKNLLEIGEKIVEKLKGSPLAAKTVGSLLRKNPTVVIWTRVLESREWELQTGDNDIMPALKLSYDYLPFHLQQCFSFCALFPEDYKFESEELIHFWIGLDILHPGHTTKRIEDVGCHNLNDLVNYGFFKKETDDLGTHYVMHDLLHDLALNVSSQECLHIASSSLRDLGIAPSVYHMSISCIDPGDSTDGVVQENFRKGLDKIRNIIKFENLRTLMLFGNYDPSFVPIFSDLFKNANSLRVVFLSTMYYPVESLLLSVPNLVHLRYLRLESRYGSNEHLPRNISRFYQLRVLDIRKWHNSHSLLGDMTNLVKLRHFLSHNEEVHSNICNVGKLYSLQQLQRFEVKKESSGFELRELGKLEDLGGSLAIYNLDNAAVNEAHEAKLSYKYRLHKLTLNWKEDRSDINPGAEDQQLESLRPHTSIHELSIDGHGGSTCPTWLGTNLSTRGLEALRLDNTDWESLPPLGELYMVHETGEEYFGCIRGPSFPNLKRLELIGLPRFRRWVANEFCPWYFSIIEILIVKDCPKLTTLPFSSYTTCYPPDGDLNVTWFPRLKEIEIENCPELLSLPPTPYSHTLCNVTLQHVGRGLELLSYSNKSSVPLEMEGNDALPSLDETVLAFDKLTHLQELSFENCPPLSNKHLQMLTSLKTLKISKSGIVFLPLVKSDVKWQLPVTRLEIMSWTASGQELTRLLSHLPDLSHLEICLCDKITLLNVEAASLSVPASSGVKLQDTHGTNQQKDEVLQVEEEVVAAEQEEENDGLLLLPAQLPVSLKYFIILGCPELILTAHTHGAGGGGLHAMRSIQKIEIKSCPKFLSAYKDSDLCPFPSSLQRLVLEDRMEGMDTLVPLSNLTSLQELRVTYWGQHLRHEGLLHLLTQGQLTILEVRHIPNFFAGWDPARGLQGGEEQPSSKLQEFETDDIAGALAQPICRLLAPSLTRLSLEFNEQVERFTEQQEEALSLLTSLKELQFWWCEKLRCLPSGLRKLTSLERLQIYVCPAMRSLRRNGLPSSLQELVVEYCINLRCLPAGLHKLTSLKRLEIERCPAIRSLPKNGLPSSLQELDVRYCHNEKLKQRCRRATQASRLRQLKGHGCVQPMQSAAQGDIHSSPKELDVSCHGNDEVKHKLVHAYLELHTCNGGLFTAYEAIQARKLYESAIYLEPEILRAELARGDMRFGESWNNQAIFLLERY >cds.KYUSt_chr2.6815 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42496934:42497257:1 gene:KYUSg_chr2.6815 transcript:KYUSt_chr2.6815 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVASATTDDQPSSAMNSSANTRRHDDVLHSHTALWLTLAAPPASPAIAGLACRRWQRHSSTLMFTVLPTFCLRYALSHCRATAPPSLAPGRCDLGTGDVMIVVV >cds.KYUSt_chr6.27342 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173557614:173561600:-1 gene:KYUSg_chr6.27342 transcript:KYUSt_chr6.27342 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSWFCTQARSTGAHELAMDQRFTVVPGSEVSEAAERVCRVAMDQQFTVVPGSDVSKVAETREEVLKNLSNAGVLALTFFRWAERQEGFVYTAESFHNLIEALGKIKQFRLVWSLVEAMRCRGLLSKDTFRLIVRRYARARKVKEAVETFEKMGGFGLKTDLSDYNWLIDVLSKSKQVRKANAIFKDMKRDGKFVPDLKTYTILMEGWGHEKDLLMLKSVYQEMLDAGIKPDVVAYGMLISAFCKSAKCDEAIKVFHEMEATGVMPSPHVYCMLINGLGSEERLDEALKFFELSKSSGFPMEVPTCNAVVGAYCRSSKFEHAFRMVDEMRKSGIGPNSRTYDIILQYLIKSQKIDEAYNVFQEMGRSACEPQINTYTIMVGMFCSNERVDMALKVWNQMKEKGVLPCMHMFSALINGLCFEKRLEEACIYFQEMLDKGIRPPAQLFSNLKQALIEGGRISLAQEMAFKLDTLMGKDGSHMAGGTDHDWGHADLGLKTLGLEEAGTESVSTLNVVDIDESLFVQFDNCVCYHRSISLSAVLPEKPLKAPKVQKKEYDESDLGNLLKNRENEKALKELKAKAAQAALGERAAKNDLPPQLIFLLS >cds.KYUSt_chr2.31715 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195604162:195605610:1 gene:KYUSg_chr2.31715 transcript:KYUSt_chr2.31715 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFKNRYMVMEVFIDVGRGEVDPVILTQFNITKVIRDSIQLNFGECGLAASLGSLQVKYVNPLTKLCVIRVSREDHQKVWAAITMVRSIGKIPVSFNLLDVSGTIRASKKTALECEEAKFEQYKLSAGDRITPEIIESVKNCLDKLRGLDS >cds.KYUSt_chr3.3253 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18646402:18647564:-1 gene:KYUSg_chr3.3253 transcript:KYUSt_chr3.3253 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQEKRLSPPTPAVNGEHGAKKARAQALVPVVKQEPRQGVEREEGEVANADGPAAAAVAMAASTEALVALAPGPPALIDVRFEVALLHCKVCLLPLKPPVFKCESGHVLCCYCRGGHVEVCGRADIHCGGMDAFICAAKVPCSYKGFGCEQYVVYHQAEQHKRACQHAPCLCPELGCGFLGTPPALIDHFAAVHSRPIIAVRYGRPWNLSLPLAQRWHVVVGQENQSVFLVTLGELGAAATAVSLVCVRADGAAAAAGAPQFWCKLSVEHPGGDKDKVVLMASAVGSSTLSSGAPVPGQGMFLAVPQELMSVDTLAISVRIDQVQPVVDAAAAAKAIPPPPPARTTRRFH >cds.KYUSt_chr4.52924 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328228416:328228778:-1 gene:KYUSg_chr4.52924 transcript:KYUSt_chr4.52924 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLVLFLAVNMALLATTAHACGPYCPTPTPPPTCSIDTLRLQVCANVLNLLKLNLPVPANEECCPLLSGLANLDASVCLCTALKAEILGIKLNVPVAFTLLLNQCRKTCPDNFTCSI >cds.KYUSt_chr5.2022 pep primary_assembly:MPB_Lper_Kyuss_1697:5:13849903:13852815:1 gene:KYUSg_chr5.2022 transcript:KYUSt_chr5.2022 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRWRTRSTTGVGRTRRRRGWGGPGGGGGGEDQEEEGGEEDLSEDEGLGYLPFDPDQNLVWQAPADYEYVPAVERLRPHDRRPYRRGITQLPTLKHWRYSHVVLEPYGRSSFRFEDLSQRPPRGYSNILGGLLRRYFPGIVNLPTGGCDVAWRWAHYSLAEDALGRGTLVDVVVGKFWKYFKRAEGKENACDDVLHQLARKRVTGMHYEARVQCVRDWHADRFVHMTKEDARDTLMQPWQYMQNPPQYVGADDRCFLAMVMWWTCPQYLKKHEEGKKKRAEMRGGSHIQGSIPISLHLQNEEVRTGAKSNVFAVLKKMKQRKTPHPETGSVWVNPQSETQCMSYVSKFKQKYGEDANPEAEDFDPEVAVLAGEGLKHGRLWLGDGCVDPAKVPSLRQIRRGRKSGQPEVESRPRASDLAVERLRAEMAAKEQAARRAQMEKQILEYQQQQTQMMLQMQQQQQMMQQQQAQMSWLMSQTALSSPPGSIPAPPPYSMPWMPPPPTQSPGTPVTVNNLNIIRSMNRGAFAVRARARPHGKGEQLGTGWAHGKDVAHDNEWWRTAKVGRTAKMWRMAEMLTHGSDGNARQRALPCSSCMRTAKMALPSKTLPGNLCRECTHGKAVVVHTGVFAVQSGARQSPAFP >cds.KYUSt_chr6.8411 pep primary_assembly:MPB_Lper_Kyuss_1697:6:51622786:51624981:-1 gene:KYUSg_chr6.8411 transcript:KYUSt_chr6.8411 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAKMQAMLWLLAAVFMLEAAVGLAQDAKQGHNYYDFFVKETSYSRLCKNKTLLTVNGQFPGPTITARRGEWVFVNVHNQGDKNITIHWHGVDQPRNPWYDGPEFITQCPIQPGTNFTYEILLSEEEGTIWWHAHSGLDRAGVHGAFIVHPKNGTDYPFVKNYTLLDKEIPIILGEWWSTDLNLQLEEYLKTGGEIHNSNAHTINGQPGDLYPCGREDTFSVGVQRGKTYLLRIINAGLDGDMFFGVAGHNLTVVGTDGRYLKPFTVQTIMISPGQTMDALLEAKSSPSAGRYYMASKTYLSNSRLAYQNGTATAILEYKDAPLAARRAAPVLPNLPNNTDDAVAIGYTAQLRSLASKEHPVKVPTEVDEHMLITLAINTLPCTTGNGTCDGPGGTRLAASLNNASFEDPHVDILDAYYYSIQGVYEPDFPNIPPFLFNFTNTNGSRRYWPTKRSTKVKVLEYGAVVEIVFQDTDILGAENHPMHLHGYAFYVVGRGLGVFNETTDPATYNLVDPPYQNTVTVPKAGWVAMRFKATNPGVWFMHCHFDRHTVFGMSTSFIVKQGDTPESKMRPRPTNMPKC >cds.KYUSt_chr3.8925 pep primary_assembly:MPB_Lper_Kyuss_1697:3:52065195:52065881:-1 gene:KYUSg_chr3.8925 transcript:KYUSt_chr3.8925 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTEGGLWLHPLDVLRRSMVFGPYHVTYRNRSHEDAQESDVIGSARELYEAGIHFKKSNTNYLDDISFVHGVLSLPQVCVDDSTEYMLLNMMAFERLHVGAGHGVTAFVAFLDNLIDKANDVALLKSKGIIQDAIGSDAAVAKLFNSISRDTVIEPGSAFEAVHCEVTGYYRNNCRRVLNGWWINLVDKYFQNPWTTISLLAAVVLLTLAVLQTVYTMLSFYEHRDN >cds.KYUSt_chr3.12768 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76586107:76586916:1 gene:KYUSg_chr3.12768 transcript:KYUSt_chr3.12768 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVLAAMVACLSMPLPHPAVSSPAPAAAASANIIHHEGGAEIARNPANSLVALVNANRTAARLPPLRNSKGLGCMALQYVSHCITAATHDACDDTGALAASCHPPETDLTEVYAANCGVELPTVDLISGRLLGCSSDDDLLLLGANASATAAVVRGKEHTQVGAGFLRQRRHGPYLWCLLFSSGSPSSTFRLEAAGRGIAQTQGCFSDPDNTLSCSSGRRLITSMASPAALLLLFFAAI >cds.KYUSt_chr6.6252 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37760656:37763843:1 gene:KYUSg_chr6.6252 transcript:KYUSt_chr6.6252 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSTLDYSSGRERHAGKRCCMEKTGGDGGQRFLCSGGGPLGQKESHAAFGIHDGGAYSAIRQVATALYVWRASPRSLFDALVEGGEELGAEPQRVSYLEERGGTRVPLPGVRSRRPVPWDGATHGQLRVVHPQQEPRRRPVGAAARRTNLEVVRGAPGGGDARGEPRRHDADHERRRVPERVAVAEPRDDGELGEELGPRLGVGAVADDAERVVPDGAQRIQARVAALLLIPSREGSEALPGTLPEGEIITRGLYIAMPVSEAMRE >cds.KYUSt_chr4.45326 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280603988:280605196:-1 gene:KYUSg_chr4.45326 transcript:KYUSt_chr4.45326 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGQLDDFYRHHQFKPSKEEAVTYFLPRLLAGTPLPHGADGLIRHADVYACEPRDLAARFAPVPNAAGTGDRFFFTTCRRKSGNDARVVRRAGAGTWAVQTTEDVYHEGAKVGEAKQLSFKKGKATTGWVMKEYRCLRPEAVVAGGEMVLCKIHLAQHAPAAARQESDAYKLLRQEPAEPPAPQSHKRPAPAAAANAPPCSKKMRMAAPVPAPAASSHVRKMWTPFPVPAPVEMEHGDRPVWFTSAAPVSSPAASTEVPHHAPEADDDMGRMSCTMEELLGPQQQEQTLPVVVEEEDFDWDSLDRESEVHLLLKPWDDDDWESEEQTPPVEAEKNNIQQVDTHQPAPSASWELPEDLRNLLADHNDQEALLYMGCSYNTVAAACLHAPSLQGFFSFGAVN >cds.KYUSt_chr7.22373 pep primary_assembly:MPB_Lper_Kyuss_1697:7:138601985:138603307:1 gene:KYUSg_chr7.22373 transcript:KYUSt_chr7.22373 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRHLPLGVVGARSLTTAPVRHAGADASASTPRQTTTADYNRLLAGYARSPDRRLADARHLFDRIPNPDAVSYNTLLSCHFARGDVAGARELFSAMPARDVASWNTMVSGLSRSGAVEEARAVFLAMPARNSVSWNAMVSGFASAGDMGEAEACFRDAPRKEDPVLWTAMVSGYMDAGNVEKAVRFFHAMPVRNLVSWNAVVAGYVKNSRAEDALRVFKTLVTAAAVRPNESTLSSVLLGCSNLSALGFGRQVHQWCIKLPLSRRVTVGTALVSMYCKCGDLEGACRLFGEMRTRDVVAWNAMISGYAQHGDGREAIRLFEKMKDKGVEPNWITFVAVLTACIHTGLCDFAIQCFEGMQEAYGIKPRVDHYSCMVDLLCRAGLLERSVNLIRSMPFEPHPSAYGTLLAACRVYKNLEFAEFAAGKLIEQNPQSAGAYVY >cds.KYUSt_chr5.13906 pep primary_assembly:MPB_Lper_Kyuss_1697:5:90417107:90417631:1 gene:KYUSg_chr5.13906 transcript:KYUSt_chr5.13906 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPSPRPPSPNQAGGSGWQNQQQQEPTYEYWQRAGFGMEQQFPMPNFGWRPRMDEPEGEGHMSTGSGSRSFWSSAHDRDETQAELSRLDFKSTANSTSRSHAVQSARAGVHASSPTSPATCSYVLAVTFSGSTATEARWREGP >cds.KYUSt_chr7.4104 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24543926:24553964:-1 gene:KYUSg_chr7.4104 transcript:KYUSt_chr7.4104 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATALWQPQEEGLREICALLEAHISPNSDQARIWQQLQHYAQFPDFNNYLVFLLARGEGKTFEVRQAAGLLLKNNLRAAFVSMPPVSQQYIKSELLPCIGATNRAIRSTVGTVISVLFQIVRVAGWIELFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLSERPINVFMPRMLQFFQSPHASLRKLALGCINQYIVVMPSALYMSMDMYLQGLFSLVKDPSPDVRKLVCSAWVQLIQVRPSILEPHFKNVTELILQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLVSNMVYTDDDESLADAEEDESFPDKDQDLKPRFHASRLHGSETGEDDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIEQNLARIDDDAWKEREAAVLTIGAIAEGCITGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLEHPNGREQFDKILIGLLRRILDTNKRVQEAACSAFATLEEEAAEELVPRLEIILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLIAKWQQLPNSDKDLFPLLECFTSIAQALGPGFSQFAEPVFLRCISLIQSQQLAKVDPAAAGALYDKEFIVCSLDLLSGLTEGLGAGIESLVAQSNLRDLLLQCCMDEAADVRQSALALLGDISRVCPIHLHPRLQEFLTVAAKQLTPQSVKDAVSVANNACWAIGELAIKIGKEVSPVVISVVSCLVPILTNPESLNKSLTENSAITLGRLSWVCPDIVAPHMEHFLQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVSSLSYVCQACASWNEIKTEGLHNEVSQILKGYKQMLGGAGWEQCMSTLEPAVVQRLARYGV >cds.KYUSt_chr2.30701 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189088362:189091246:-1 gene:KYUSg_chr2.30701 transcript:KYUSt_chr2.30701 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLNHPAVLKKAQAEIDARLGAGPGRLLDKTDLPHLPYLHCVITETLRLRPAAPLLLPHEAAADCKLHGYDVAAGTIVLVNAHVINRDPATNGPWAWFLARSSSASTGKGLGMKTSTWPPALAPSCSRLTLSKPYAHRDPACMRSFTISEQARNATVKGIIKIMENLLHDTGYFLVFAETHRTIMYLPESSYLMDMVDIVRRDDDDDGDAEADVIDVDDAVDVEVAADKSATVGDEVVDVTVDEVATAAGPEVIGSGSRKTPPVLMLRWTLTNVMSIPPCRLEKTERGTKA >cds.KYUSt_chr4.8963 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53827075:53829953:-1 gene:KYUSg_chr4.8963 transcript:KYUSt_chr4.8963 gene_biotype:protein_coding transcript_biotype:protein_coding MERPQWSLSDFEVGKFIGEGKFGKVYLGREKQSGYVVALKVIFKRKLEKYRFHAHLRREIEIQRGLDHPNVLRLFAWFHDAHRVVLVLEYAARGELYKLLRSVGQFSERTAATYVASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAVRSNAKRHTLCGTIDYLAPEMVEKKAHDYAVDNWTLGILCYEFLYGSPPFEAAEQNDTLMRIVKVDLLFPSTPCISADAKDLICKLLVKDSSKRISLDDILKHPWIIKNAEPSGSISPSERS >cds.KYUSt_chr7.31716 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197564795:197565715:1 gene:KYUSg_chr7.31716 transcript:KYUSt_chr7.31716 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAAPDSGRAALLKAFDDSRTGVRGLVESGVSAVPDLFVHPDPYASVPLAPPGVSIPVVDLSLPAPLAAAAAADAARDWGFFYLVNHEALVPSDYPAKALAAVRAFHELPATDRTAHYGRAMGGGVSYSSNVDLYSSPAASWRDTIQLMFGPTRCEAERIPEVCRSEIVEWEAHAAAVARAVMALLCEGLGLREAALEEASCLEGKLMVCHYYPVCPQPDRTMGLVPHTDPGVLTVLAQDGVGGLQVKHTDKDGQSYWVDAKPVPGALVINVGDLLQVKCARSGQFLKGMLPTEFSSKYSL >cds.KYUSt_chr5.26992 pep primary_assembly:MPB_Lper_Kyuss_1697:5:170936921:170937431:1 gene:KYUSg_chr5.26992 transcript:KYUSt_chr5.26992 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGTLALFLLLAFASHGTWCAVAGRSVMADAAHHHLRPHLQVQAKGLLETKGKHLLEMHNPRKFGHAHMAGGGPGGGASGGGRNTGGGAANTRPHNSKNGVAMPLPAPVTSVLALVFTTTILLAALSF >cds.KYUSt_chr4.47022 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290935347:290937788:-1 gene:KYUSg_chr4.47022 transcript:KYUSt_chr4.47022 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLRVFMVPGTHRSFAGGGIRNAIERSERAGENARRRKVRRSAATVAKNRRCWGRYRAPRLNSLHREMREGEAHRLVVAARLGAAGTSEATRRRKRYCGGSPSVHGLERKKKRGGEDKERRWSGWRGPGKKQREGEGSREGGGGGERRRQRQEEASTLPACVRKKTRRGLGLHRPKGYGRKVEESRARCWWVWAARERKEERGDDGPGSERPRDEEVLRTGCGKFQVVRPEGLAAAGIKQGMGRCVLRLGVQRLYRRPMIYGVGRWSRAVEPEVRGSRRFCLGDEVDAAENGGRNRLLARCSRCGRRCLRPAAAAAGAEGSSGAGGEQQGRSGAGVVAGVCVELRPPRGQRGVAALEESSRGGAVLARWRTAVDIKVGRDTLLPAGEVQPVWSQMPASSRGCRGGRWELWRRTGAAVEERRRRRGSELR >cds.KYUSt_chr7.40111 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249016628:249020327:1 gene:KYUSg_chr7.40111 transcript:KYUSt_chr7.40111 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRMKILPDLVGADNGVAFLLEGIVVESRHPSAHHSRVNTQIRLAGLDDGDIFGCRDLVGGVIFWSLGSHLLSMLAPLVWVVVSGYRRCASAAGLLLAACLSPRSLKDLAVPFGSDFLSSDVDRAVVVLAVAFVLVSFDGAPYVVELGHVAKCGFRRFPLKLCRVGRAPVRPYLVMGVALRKVAALPALGWWLLVVGAVRLGFAWSGFFNARAVRAGGYSNTHVTDVHGRTVGAWTLLSCTLCFMCAFNLDNAPFCAATLLSFIYAYGYFVLEYLVHGTTTAASLGTLGIFAVPSIIWMVIHWRQQLLRQRAAKQS >cds.KYUSt_chr2.49200 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307969785:307971567:1 gene:KYUSg_chr2.49200 transcript:KYUSt_chr2.49200 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNLSLKAAQRDVKEFFSFSGDLVHVEMRSDDELSQVAYITFKDKQGAETAMLLTGATIVDMAVIVTPATDYELPAYVLAELEPKDTKPSALEKAEDIVGTMLAKGFILGRDALDKAKALDEKHQLTSTATARVSSFDKRIGLSEKISVGTSVVNDKVKDLDQKYLVSEKTRSALAAAEQGVSTAGSAIMKNRYALIGAAWVSGAFSKVANTANDVGAKAKEKIAAEQEGKAVAGESVQANMADGPAEHKDLDREFIKIHASETPADFPISTAATVPVTVEDSSISTPPPPPPAATAPKKPEPAQGLIL >cds.KYUSt_chr2.30497 pep primary_assembly:MPB_Lper_Kyuss_1697:2:187932477:187933995:1 gene:KYUSg_chr2.30497 transcript:KYUSt_chr2.30497 gene_biotype:protein_coding transcript_biotype:protein_coding FIQKMKQIHQSRADPGQSTAPSPSLHIFLQAGLHIDQAMSTKLAAVAGLVLLLAALNAAGVESQRLPPLPPGCHYTYDILRRLVVTICDNPTPSPPAALTSPSMFVFGDSFADNGNLPKDTQQLSLISRQWYDPYGASYGQDNGTAAGKFNPTGRFSNYLVQSDFIGRILGMNEAPPTYISSGINGNCDNAGMTFAAGGSGVFPVPLGVPTLSDQLDNFERLVASGCITKAQIDASVVLIAVSGNDYDRVGVAQPSAFGDVTVFIRNVTSQIAAAVDRLEKVGVNKILVNNLHPVGCTPAQTVLSKYATCDGAGNTASKTHNENLHQLLADNGNVMLLDLYGAFIGIVDKEHAGNKGSDLSRQFKNKKTPCCVGNDPTGFCGQMSSDAENPVELYTVCPNPEKHFFWDEMNPTQAGWTAIMTQLEGAIRQFIWPSQS >cds.KYUSt_chr1.15285 pep primary_assembly:MPB_Lper_Kyuss_1697:1:88869447:88870401:1 gene:KYUSg_chr1.15285 transcript:KYUSt_chr1.15285 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGIVIRDHLGRLQAARRRYVDQVVTPELAEAIAMRCALEFAEDGGFQRIVVASDCDALVNKVNSTAIDRSQIALPSPSGRGDRHEEVSSGVRRSGVWRHTGERVVLTSSEALNRRQILSVGIHSRCYLWPRGPLRTSEAAAPGNFYFLQAEVLKGKIFDLGLVIHPGDEPSGVVPGVAASDHGSRSSNLRGGEGPDCFAFHLSGFLFVIPLDPCAIVPKAKVLLVIVPTV >cds.KYUSt_chr6.14644 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91832808:91837434:1 gene:KYUSg_chr6.14644 transcript:KYUSt_chr6.14644 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKAPAKATKARTKAPPKPSIVAPKEKPTNMSQEAWEDEKHRCSFATADRRRRRLAALEAAKASSAAEVCFSLGGQPEGSQKPAGRNFSSSPSSSGTDDHVIDGTSLPRHLFADESRSAHQVFGSASGVSMGDDEIVAGYEILTGFICDGHVYEPPVDEYEEEADEDEGRQNFRPEPYLHGDEDDVEKIPSPPCLAKPEMVTKVMDIVKQQLALKDDANLTADSKFTDLGADSLDTVEIVMALEEEFKITVEEDNAQSITTIQEAADLIDKLVGDEGKAA >cds.KYUSt_chr3.35584 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223429853:223439648:1 gene:KYUSg_chr3.35584 transcript:KYUSt_chr3.35584 gene_biotype:protein_coding transcript_biotype:protein_coding MLELCTESSYLSRTPSPNKAMQPMRLRRQGPPLLCLSAALLACALLAGPAVADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKERFSAGVQWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMRIDSYDDQLLHLADDLAQRLLPAFDTPTGIPFGSVNLLYGVDDDESKVTSTAGGGTLTLEFGILSRLTNNSVFEQVTKNSVRGIWARRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYVFQEAYKAVMHYLHHDPWYIEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDYRYLDVGRDILASIQYGAKCPCGYCHISDVETHKQDDHMESFFLAETVKYLWLLFDLAVGPDNIVENGPYKYIFSTEGHLLPATPEIALVDEHCLYFGAFCNGSTDRGYGNGDSSSKQQSSNYTQFDDRQTSSSQYSMSNVLFATRGYIKGVCPGLNHAQKLGISYRNEEDNSLEQNSRDHENPDESHVATSGVQTQSSSVILMSHSVTSQPDESLETISIPHENIVVTADSEFMREPMRTDATGGSSQKLAGDTDEDIVYQEKNEDSTS >cds.KYUSt_chr4.27804 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174667152:174668502:-1 gene:KYUSg_chr4.27804 transcript:KYUSt_chr4.27804 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKVAVLVHHRNYQEPASRSQEAYHPDPASPPSSAGSIAAPGTLSAQRLPPSNLNERASVESSAARYQAQRPAHHCSSRGLLALSEEDPRRASHRDTPQEAGAPPTSQDTSHAANTENPEEEPLPELKFSGRRLQEGYDVKDTIAARFGMPNLSVRSSPRAHALAFPLKALALLGQPADSTSSVPDRGQPCPQREGKPHERGTTKPQSAHVPPMGGLAGKAARIHLREVSPATQHCTPRRQHRTDAPSRHAKMALGSAQPDRAAAPLRLEPRRTGEEEACSPIQAQVHKTAGARPPQIEPEGPRSRPVDPRRHGANSGRPAPSRQPSAVADFPSVVAIFPSAVAVAGHPRGSRRRHQVRSRFPMGGQEVEMPAAGVTARALPGSSGRRRRGRGRRGLG >cds.KYUSt_contig_554.263 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:1539686:1539886:1 gene:KYUSg_contig_554.263 transcript:KYUSt_contig_554.263 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASGDDGMAPFPRSPGEVVADEGESHEPPPRAAISPARALFPVSRPYGHPHVSLLLRLFILCI >cds.KYUSt_chr4.8515 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51139737:51140360:-1 gene:KYUSg_chr4.8515 transcript:KYUSt_chr4.8515 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYASAAPTWTSSPRLTGSGDGAALHAGSLGTDVVAALHGCGVDVAALHAGSLVKVVVAPRGCHGDGAVPHGGSHITDVVVVSRGSGVDGAMLRAGSLVADVVVAPPVCHGVDGAALHTDSLVADVVVAPRGCHGVNGAVLHTGSLIADVIVAPRRCHGDGAALHAGSPGTDVVAAPRWWWRWQWAARRRQLRRVHFVIVRGRAR >cds.KYUSt_chr4.40577 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250465199:250467564:-1 gene:KYUSg_chr4.40577 transcript:KYUSt_chr4.40577 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTRKLTHALIPSFERTLNLPANTGCSLLHQTREQFSLEHLKQLATKGLLADAAGYVESFLPTGPRSFDAQVLYNFLLMHHYIASFVAGDKAALGLILDNEWMHYVTFAHEADDDRLPPPVMARSVLFIQGVSFCRRRQVKKQVPRVKSVAVARVIKRSRRHIRRLKRSSFESFHEAKKWLSTVINNSLQVGMPSECYALQPSGKEGSTILPVWNLPNHAGSCGMTAVTNAAVVHCGSETSVGDTDS >cds.KYUSt_chr7.28562 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178187802:178188479:1 gene:KYUSg_chr7.28562 transcript:KYUSt_chr7.28562 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLKNDAHVVEIPVTGDGAGAFLGKAAAPGHHHPLGEIADSGGHLLLLKLWQREESRLTRRACALEALMDAARRDAFYLCAAFLALHGLSLALLFAASVAAGTPSRACGRWWAPSSLSLAASLALAAAVQLRVCAYWRAARRLRRERGDARALARCVQELRLKGASFDLSKEPQCGLTRANCASVEGAGAWGPLQWCYRNVVTACLLAVAAAALPFGKLILCA >cds.KYUSt_chr4.53600 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331593807:331595864:-1 gene:KYUSg_chr4.53600 transcript:KYUSt_chr4.53600 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGADAGESSQDSGTMIKTRRAPPTIEIVEHRGVGGLGSGGGGSTSFNQPWPETTPVSVSSGRRDGREPPEKRLTLFALRLAVLEKAASGLGKLDFAWATVVLLGGFASDLKITDFWCITVILFGEGARIFSRSHELEWQHHATLTSTAGNALRNSSRFFRHVGRAIFKPRTTAASLVRVRAVQFQRQIVGLMKQRTWHAPDVPLLPYTGWVFVSKKISRLLNWLQVLSAFACVALSVMRLWKQDFNDQGKGSMRPALLLFYTLALLEAFLFLLEKVYWWWKMSVRKLLDQVSGECELGPYGLVSLKRFFYDAFSQCIEGSIFDGIKMDLVTFAEDLILSDFLAEQLIGVRILQQLATSKGSARDTLRKLGTNPNSIDRVVEMLNWRRTDEEEVRQCAAEVVSKLAGKRQNALRVSGIPGAIESIMSLLYTGRGAPTSSAITIKGAAAEPEPDRMYDYLQFNLLGLRILKKLARDHDNCGKIGNARGLLAKIVEFSHASQALLLNPSATDSQVRAVKRALQVIKMLVYTTGATGKTLRRDVAENVFTVSNLRDVLKHGHQHMELQKLAMDILTGMAMDHRANETIVGTGGVVKLLLSIFLNAQELELGREAGEALAMLALESEASCSAILKTRSDVVDPLVSALECHDARCLNAMRLLRNLCTYSGEEHRTRLSAVTKAMPAV >cds.KYUSt_chr4.45411 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281095310:281099539:-1 gene:KYUSg_chr4.45411 transcript:KYUSt_chr4.45411 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRILSAALRRRSAAAASAAGTTRGASTAVAAAPPGAIAPDRAPVMPYDRISEAVNARLRRLEHPDPRFLRYASPVPAHADHSAILAAPATRVTTLENGLRVATESNLAARTATVGVWIDAGSRYEADEAAGVAHFVEHMLFKGTGTRSAGQLEKEIEDIGGHLNAYTSREQTTYYAKVLDKDVPRAMSILADILQNSKLDDECIKRERSVILREMQEVEGQSEEVIFDHLHATAFQYTSLGRTILGSADDVKSITKESLEAYIKKHYTASRVVITAAGNVKHEDIVQQAKELFNKLPTDPTTTGMLVAKEPATFTGSEVRIIDDDMPLAQFAVAFNGASWTDPDSIALMVMQTMLGSWNKSAGGGKHMGSELVQRVAINEIAESIMAFNTNYKETGLFGVYAVAKADCLDDLAFAIMQEMSKLSYRVTEDDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLIYGRRIPIPELFARIDAVDPSTIRRVANRFIFDQDVAIAAMGPIQSLPDYNWFRRRTYMLRY >cds.KYUSt_chr6.14235 pep primary_assembly:MPB_Lper_Kyuss_1697:6:88931330:88932950:1 gene:KYUSg_chr6.14235 transcript:KYUSt_chr6.14235 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEALRRPPIHRASAAGCQEDGPGEDQGGRAEGAAPAKVRTKAISRIGLAPPPPSKVTTPSSVRSGRCAASAAAPPPPTIDVDKVFDVESTTSYLDMLNDSTVNLDAGIGAFEGECNVEDFDEEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDRYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQQRYKDMAGSKNKEFQFQHCFSILQHLPKWKLRDNEPKCKKEALLTMDDEAEDMTGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKLIAAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWNETKADIIARKKAARQARAQGESPASGGAGGDGSLDG >cds.KYUSt_chr2.46010 pep primary_assembly:MPB_Lper_Kyuss_1697:2:287103640:287104902:-1 gene:KYUSg_chr2.46010 transcript:KYUSt_chr2.46010 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARFSEDSADPSTNCDWSQLQADLLVQIFGSLEIPDLFSSGAVCRSWHLNYLEARRLRLCSPNQSPYLVYSSGDRDANTATLHNMSTNKLYHVTLSEPAFRTRYVMGSSHGWLITADERSNLIFVNPVTRAEIVMPPPETMNNVRLRYTEEGVLDGYDILSLDLISRDFDIETETYDVTLEEGRFHFYLRVVLTCDPSSANCIVLRVHFPYDHLSYARVGDTKWTWIDTNEDCWCYQDILYNNSDGLFYGVRGRGEIDSIDLSGPSAEVKAILKPVISYQLHTRYIVRAPWGDFLQIWRHDRYNEENGKMERVAAKFFVYKVDFVEQKLVQTDSIQEHALFIGFNSSFLLPVKDFPALIPNSIYHTDDLMHYICSQRFGLRQVVVFNMKDNSFLELSPPSSDARLNWPPPVWIQPSLT >cds.KYUSt_scaffold_1854.178 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:952597:953022:1 gene:KYUSg_scaffold_1854.178 transcript:KYUSt_scaffold_1854.178 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAPALEAVPAPEPAAAVPVQGEPERRRRRAAVVVREGDEAGLDEPDFVIQGRIASLPALETCRAVDFRRNVWSGRWTRLARNDNCEDVDDAGQYRCLEPEHPCEAPWCDGPLGALESNGWGYSQSAIERAVVREVDFAQ >cds.KYUSt_chr7.22531 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139705212:139711374:1 gene:KYUSg_chr7.22531 transcript:KYUSt_chr7.22531 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLPQTNAAAQAEVLGDAWDYRGRAAARSTTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTATMHVGNAEAANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAIQASGVTILTISTAAPGLRPPACAAEGGPLSSTCTRASGAQLGVLYLALYLTALGTGGLKSSVSGFGSDQFDETNSGEKSQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRPYGYGACAAAIALGLVVFLAGTRRYRFKKLVGSPLTQIAAVVVAAWRKRKLQLPADASMLYDIDVAKVAAAEGASTKKSKLKDRIPHTKQFRFLDHAAINSNPSGEQTKWQLATLTDVEEVKTVARMLPIWATTIMFWTVYAQMTTFSVSQATTMDRHIGSSFEIPAGSLTVFFVGSILLTVPIYDRIIVPVARRLSGNPHGLTPLQRIGIGLVLSILAMASAALIEVKRLRVARDSGVPEGTPVPMTVFWLIPQFLFVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVTVVHKITGDRRPWIADDLNKGELYKFYWLLAAVCLANLIVYLFAARWYKYKAGRPGADGSVNGVEMADAEPCLH >cds.KYUSt_chr6.13556 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84948981:84951610:1 gene:KYUSg_chr6.13556 transcript:KYUSt_chr6.13556 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKVVEKNKQRMELLWRIILGSNIFYIVVRMAIMYSSFTWKHWFGLVVTSAAYFLSYKQLASMTKPEYSDSGEKELLSAGYDMRTGGISEYLEDVIYITVFVQLASIISGKFWWTYLVIPAFGGYKIFGLLRGTFFGGGSEGEVEDEKSRKKREKMEKKASRGKMVKTRTR >cds.KYUSt_chr1.3860 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23611069:23614741:-1 gene:KYUSg_chr1.3860 transcript:KYUSt_chr1.3860 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSYLHVDSPFRTISPQSKAESEAKALLTWKSTFMFSDANGSSPLWSWSPATSTCSSWSGIKCNAAGHITQLTVPGASITGTLDAFDFITFLALTSLNLSGNHLMGTIPANVSLLTSLTSLDLSNNNLTGGIPMALGTLHHLRVLLLRDNPLGGPIPASLAKLGALQRLDLQAAHLVDKIPVELGHLGALTFLDLSRNNLSGGLPPSFPRMREMREFYLSRNKLSGMIPPDLFTHWPKVTMFALHYNSFTGSIPREIGKATKLRLLSLHTNNLAGVIPVEIGNLVCLEMLDLEKNSLSGWIPASVGNLTLLVIMILSLNDLTGLVPSEVGSMSSLQGLDLSNNKLEGELPATISSLKNLHDLDFSNNKFTGTIPSIGSRKLISAAFGNNNFVGSFPQKFCQITSLEILDLSSNKLSGELPNCLWDLHDLLFLDLSSNALTGDFQSTGSANLSYLESLHLANNKFTGGFPTILKNCKKLVVLDIGENYFSGIIPSWIGPSLPSLRILRLRFNLFGGSIPSQLSQLSHLQLLDLASNHFTGPIHRLLANFSSMMKPQTEFNMSTSVHEEVLHLDEEIVYVDRINVNWKMKSYQFQGTIALMVGIDLSANSFSGEIPSEIANLQGVRFLNLSRNHLSGYIPENIGDLELLESLDCSWNELSGAIPSSISKLVFLSSLNLSNNHLSGEIPTGNQLQTLDDPSIYKNNSGLCGFPLSIACSNGSRTTETLDRSKKHDAEIETLYFYYSIIAGLGLGSWLWFGSLVLFRPWRSFLFCCVDRIQDRTMKGCRAFR >cds.KYUSt_chr3.25977 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161437570:161441000:-1 gene:KYUSg_chr3.25977 transcript:KYUSt_chr3.25977 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALAVFTLASLLLFAAAAPALAQTPSCPDGWQITPDNAKCFRHIPNSLSWDGSEALCRNFSAHLAALSSAQDLNFAKSLCGAGSSSGCWVGGRRYNTSSVAGWKWSDGSSSWNETVFPGEPSRANCSGARCGQATSGDMCSLVTSKHAALAGKKCGESHGLICMINHEDRCYHDHCHKEYFIVLIVVSGLILSTTLAVVVWLLVFRRSKKRKRSREASGTSATALVPPLWKVFTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSKLAIKRLKRSSLQRKKDFYSEISRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHIPRGGRSLDWATRMRVATTLAQGIAFLHDKVKPQVVHRDIRACNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAATYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRHLELLDPLINDLPEIGVIQKVVDLVYACTQHVPSVRPRMSHVVHQLQQLELKSAASEQLRSGTSTSATSPMLPLEVRTPR >cds.KYUSt_chr4.48858 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302596862:302597558:1 gene:KYUSg_chr4.48858 transcript:KYUSt_chr4.48858 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEQKARWTKEIDWLLSVADHIVEFVPSRQVSNDGGHHGGRKEEVERLGRMEPLNSTLFDYVGPRDGDIQCTLPECGPEPAMDAGGGAGAARVTGADEAVSSPGWPAPSMQGRGAGAGCGRPRAVVGSHHHRA >cds.KYUSt_chr3.22106 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136149090:136152269:-1 gene:KYUSg_chr3.22106 transcript:KYUSt_chr3.22106 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKLPPLPHFALRSSSSSSSASHSPPPPRRLPPPVPLRDLLAHRLPPPQSPPPRSPPPLHPHADLLSLLRRRGGDPAPESLHLELVKRGLTHDLFLSNHLVNSYGKGSRLACARRVFDGMPDRNAVSWTCLVSGYVLSGCTDEAFRTFRAMLRAGSSDRSRPTSFTFGSVLCACQDAGPDRFGFAVQVHGLVSKTTYASNTTVCNALISMYGSCSVGLPLQAQRVFDTTLVRDLITWNALMSVYAKKGYVASTFSLFVAMLRDDPAAELRPNEHTFGSLVTAASVPSCSSGVLEQVFARVLSSGISNDLYVGSALVSAFARHGMLDEAKDIFLSLEQRNAVTLNGLIVGLVKQHCSEEAVRIFMGTRDSVVVNADTYVVLLGAIAEFSVPEDGLIKGREVHGHLLRTGLIDLKIAVSNSLVNMYAKCGAIEKASRVFQLLSARDRVSWNTIISVLDQNGYCEGAMMNYCTMRQGCISPSNFAAISGLSSCASLGLLAAGQQLHCDAVKLGLDLDTSVSNALVKMYGECGARSACWEVFNSMAEHDVVSWNSIMGVMANSQAHIAESVQVFSNMMRSGLAPNKVTFVNLLAALSPLSVLELGKQVHAVVLKHGAIEDNAVDNALMSCYAKSGDMDSCEKLFSEMSGRRDAVSWNSMISGYIYNGHLQEAMDCVWLMMHSDQMMDCCTLSIVLNACASVAALERGMEMHAFGIRSQLESDVVVESALVDMYSKCGRIDYASKVFNSMNQKNEFSWNSMISGYARHGLGRKALDIFEEMQQSGANPDHVTFISVLSACSHAGLVDRGLDYFEMMEDHGILPQIEHYSCVIDLLGRAGKLTKIREYIKRMPMKPNALIWRTVLVASRQSKDRDKLDLGREASRMLLELEPQNPVNYVLASNFYAATGRWEDTAKARAAIGGAAEKKEAGRSWVTLGDGVHTFIAGDRSHPNTVEIYEKLSVLIQKIRSAGYVPMTEFALYDLEEENKEELLSYHSEKLAVAFVLTRSSSGVPIRIMKNLRVCGDCHTAFRYISQIIGRQIILRDSIRFHHFEDGKCSCGDYW >cds.KYUSt_chr4.13477 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83088140:83089416:1 gene:KYUSg_chr4.13477 transcript:KYUSt_chr4.13477 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKRELQRKRQLIDADFGGRKVLRRAEIEAREIQRLRAAERERLLEKQRKREQQQQQLRSSHPAASASPSASSSSLPPPAVVGEAAKADAPKESSLPREEVVRRLRLLRQPATLFGEDDAARLRRLHDVLEDPAAVAGVDAAEIGEGQTNDFLRDIQALRAKAAAANPKAAGAAERSHGGKEEKEEVPFEELCEEDKIIAFFKRLLTEWSQEVDEMPEAERRTAKGKAVVATCKQCARYLDPLFKLCERKALPDDVQRALLDVVRCCMRRDYLAATDNYIKLAIGNSPWPIGVTMVGIHERSAREKIHTNSVAHVMNDETTRKYLQSVKRLMTFCQRKYPTDPSRSVEFNSLANGSDLQALMAEKSASGSDETLRLVAAS >cds.KYUSt_chr5.28251 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178835040:178836643:1 gene:KYUSg_chr5.28251 transcript:KYUSt_chr5.28251 gene_biotype:protein_coding transcript_biotype:protein_coding MHREKDEETTTHPMPEQSKKRRQRKRTAAATLLLAYAALAMERADAALLPSVYREIGAALQASPTALGSIALSRSVVQAACYPLAAYLAARHDRLTVIALGAFLWAAATLLIGLSTTFAQADDAILQMAVTAALNGVGLALQIPAIFAFVADSIDGSNRGMAFGWLMVASKVGTVGGTTLGLLMAPTTFFGIPGWRLAFLLLAAMGAAVGVSIRAFAAAGSKAPARAAKPVRQELQDFAREAKAVLRIPSFQVIVAQGLTGSFPWSALSFTAMWLELVGFSHGETAALITLFKVATSLGGLFGGKMGDVLAGRLKNSGRIILSQISSGSAIPLCAVLLLALPNNPASFAKHGAALFVMGFMASWNTSATNSPILAEIVPPRSRTTVFALDRTFEAVLASFAPPVVGLLAERIYGYKLVHRPDAGGADHAASVETDRHNATSLARALYTAIAIPMALCCLVYSFLYCTYPRDRDLARAETAREGGGARPGGDESDSEDEGDDERKLLPQ >cds.KYUSt_chr4.889 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4653174:4653443:-1 gene:KYUSg_chr4.889 transcript:KYUSt_chr4.889 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEWSKSENERFERALAVYGNDAPGCWDRIAADVGGGKTADDVKRHYDQLVVDVHDIEAGGARTNSNRGGTAGTSNGGGNNGRRGQT >cds.KYUSt_chr1.420 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2211711:2214469:1 gene:KYUSg_chr1.420 transcript:KYUSt_chr1.420 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRRVAVGRLMDPLPPARRQPYQWRRRAAVRVLQDQEVEVLLAPAIWVGRPPVKGHAGQEEEAGDLLRLDVDAPLEREGGERGLADHTASGSGLTAAIILDDEPKVNRARYCAWQAAIPGHGAARRRTVAGCNILVLDSSDDIGSAQSVSPPSAAGRQSLHDMSESKRTEVLSCGHLHLWHACI >cds.KYUSt_chr4.6354 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37324433:37326040:1 gene:KYUSg_chr4.6354 transcript:KYUSt_chr4.6354 gene_biotype:protein_coding transcript_biotype:protein_coding MARESWLTKVRSAMSSSTKSSPSSSTSGAPPLAGANSRKGNVGILAFEVANLVSKLLHLWRAVGDAAVARLHHETFYLDGVRKVVSDDDAFLLGLARAELVDALRAAGDAVGALAARCTDPCLRDFRDALLEFAEVGRDRHRWAAATWKDMDVRARKMEKQVAGTAALRKAMEELVEAEHGLRKFLRCDVGGGGGGGGHRRSLSASKISVAAEQQQLIFSKKQDVKHLKQTSLWGCTFDVVVSSLARTAFTVLARIKLVFGDGGRDQRHAPLHRSLTLSSAVHPSLDAPPTPSRKSMSMETLPPFDVVGAVQVQSASKRRRGFFEYSSATLVPPAGTLGAAALAPRYAGLVISIERMARSPRRMVGPDERDELYGMLTASVRAQLRARLRGAVAEADAGLASEWRAALGGILEWLAPMAHSTVRWQAERSFEQRKTTTTTTDISRLPPRGGGGNTFLLQTLQFADRDKVEAAVVELLVGLNYVWRFEKEMSCRALFAVHRQLLDGTGATEDTGRNCHVGGYAVDGSGNGTVSSCA >cds.KYUSt_chr5.6689 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41506501:41512035:-1 gene:KYUSg_chr5.6689 transcript:KYUSt_chr5.6689 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALDKIKAFWDSQYHNEENWALNYKVLKAAGLFAGSIFLMRTQGAHPPRDGYQPASRLAHWWVRWFSGSLVLLPLCGQQQWHQPRDHPRTEVLAFLLVTCGLLATFLSTESMRKDGGFVRSHRGCHPEAQPEAQQAHHHIRRGQRALSHLTVKHETTGIHTFSLVRVLGLETEQNGKGYFKDHRRPATS >cds.KYUSt_chr3.8399 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48549548:48557553:1 gene:KYUSg_chr3.8399 transcript:KYUSt_chr3.8399 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDRCHPEFIIGMHYFLNVAEANRQSNGFMYYPCSSCKNMKDYSTSKTLHVHLLENGFMPSYNCWTKHGERGVILEDNEEEEDSDNYPLFTEDGGSRMGEDEAEEELIFDEPIFDDPDDDLGRAILDAKMNCENEKERLKLEKMARSNPSNDIDVYPRPLVEELLELWRDEGYEINGNTFYTFAQDKKSTNQNSGVRFDAEDGNGNKVTYYGYIEEIWELDYGPNFKVPLFRCKWFNLKDGVQVDPQYGMTTVDFKNLGYDTEPFVLASEVAQVFYVKDMSSKPKKRKERQEDTSYDEPKRHIVLSGKRNIVGVEDKTDMSEDYNKFDDIPPFKSQPTRALPPRFDYRDPDASDDDDGDYDDYSGEYYRARHERRAQRGSSGRSLAVVVTVVHADAVDRATPQQAVTVAITFVHGAAPLHAVCAGMCASLPFSGTVASLPLLRHRRRPPMRRHRETVAAAATRNAACTTRDRPEAVRPRHHAAAHHRSTPHTRCSTECSDEGEARRQCLHARGGRPRLGPSRRHRLARHVRQVRPPSPVPARCSTRCRPGTSSSGTRCSRATRATASPSTPLRCPPGSHEAAPAGQVEAVVAVGGDDGVAARDGGLHAHGHRLLPVVEVAEAPDELRLVERVGGEVSTVSGEVESEAARARGSSGVMRCVAVVGLRRRRIAAAMVGWRRQRCPVWTVGKEALPRSSLDWTLEQRGAGCGVVPMEHGGGRGDRMEMAIQINRMENGQIANEQQYDAIALSLGVPALYGFAINRDIEVYMEDGTFVRDEEGEEAVQKLIYESARGPEPDDGDDNEYQDFLNDFGEGLESEQVRKDNEVSITNSGEPSGSSSKSVRSKRGPTLVLKGEGRLALTAFKDNGEPVEPKEFCRKFTSQSGVIVRDHVPISIQEWHKPKNPESGASYVNDKMKKFLWDTLSTKFSLPEDMTEGQKNKVKEWTWKKMAIQFRSFKKNLWDKYKNEDPDIR >cds.KYUSt_chr1.16331 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94881550:94883807:-1 gene:KYUSg_chr1.16331 transcript:KYUSt_chr1.16331 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGEVVEISSDEEDVPMARKPIDDWLGKLLNDEADEINVDDFSDLMVMGELSAPPVPPQKMAKPGGCADDDDEDCVVLDGDPDKAITVREEKGDGGDSSSDELQIVGGKGPVACRDFPHSRHLCSNLPFNTTFHAKHCGMCHCFVCDAPAPCNSWGQGVSLDDHCHATDKESSTRPLMNKALPHVSQPVEAIPRTNTPHVSQQVQAIQRTNAFGGAVHKNAPQRSLSAPIVQGQLAPSCQVTSNGVHGIGAQLPRCTSLMTQRTQFLPEQVVDVSTQSWQDILASVASEMGVLDDSEYNSSTAESQQPVRTSSQPLDAGANQREEGLHAESVASTLNLMTSNGHGFPSHMTGADIQANTPVQTTQTLYHLNYDSSLGPHEAHLDGVSPPADELLAEAAHHRESSGLDSTGLIFDFELDDWT >cds.KYUSt_chr5.15652 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101068660:101069196:1 gene:KYUSg_chr5.15652 transcript:KYUSt_chr5.15652 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVSRLPPRLTTLAVYSSSPTPNPFSPNNRRVARARPRLPPISISMDPALADPAHVQALMLACAHSCAIRLSAPPAGPEPVDIRKLRTALAHSFLVVSVFCGARFLELEDGDGADERRFLGLDLDLDLGFGRRGEQRLVGFGRAVSDVGLTASVHDVVVIFSSLVVRAIACEGSAS >cds.KYUSt_chr4.6352 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37317485:37319337:1 gene:KYUSg_chr4.6352 transcript:KYUSt_chr4.6352 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGGRDLVLRSSELATLAALFSFSGTKPSYLGVQKSPPSLALCPATNSCVSTSEKMSDTTHYAPPWNYNPKDGPRGKPISKDEAMKELIEVVTKTKPDKFTPNIVEKGDDYVRVEYESPIFGFVDDVEFWFPPGKKSVVEYRSASRSGFKDFDINKKRIKASTHLSFVSFDLAVYILTNSVFVA >cds.KYUSt_chr2.9792 pep primary_assembly:MPB_Lper_Kyuss_1697:2:61944527:61946536:-1 gene:KYUSg_chr2.9792 transcript:KYUSt_chr2.9792 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLRIDSDSTGGVHDYGGNALVFSVLHDLLGAAAFLASHPLHAAYVLFFSRYLLWLASFFCPLLVSTSLLLAVLVTAAPHVTTGWPGVSSLGRTCGIAVAALCAELRPDGGGVSLVGQLCSFVLGPADVAAVLRVGEIMGELCDTGAGSCFLLEEKTFFLSGTQDEEGIRVTLQSPIGEEIFLEHGDFYEDVFKDEIEDKNVVSEDLKGPVSSPSSSEHCCQSETLFVKEIEEQEKSIKVQSISLSVIDGGVGGGVEEKRLECDPVPVETKKCEWPKSHSSISRRIRQWEDVTSGNLKRVPDDTLEMIPVDNSLEKASFKDVKVIIQLETESCNKEDGVNQLAQEIVSFGESDQTEKESCNKKFSDLAQEIVSVGESDRPEKESCNKTFSDLAQEIASVGESYRKQPEQEFVDVKELLQSKTEKCSKELKPEENAPIEQTQEEMQEQNVQPEPELQEQSHMDTQDEQDFQDVEPLKELGDQEHKDADEVSETLQDDAREENPLKSTSIARRVHTRISSESLVGEASPGKEKEWKRTLACKLYEERIQLKLLRDRAVVGACSDDMDMLWEAYETGGGSSATAGDTKRGGSKAKRGNAQDELVDEGESEEDEDVDDEGTVRQLCCLQALKFSTRKMNFGGGKPSLAKISKVLKRMTALSRVGSRRNVKG >cds.KYUSt_chr4.2883 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16421589:16430365:1 gene:KYUSg_chr4.2883 transcript:KYUSt_chr4.2883 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLALSKIARHKPANAIYNEAAVAAATSGPSFRAPAANASSGTGTVLRNLQQRRYRPSFASQGARGVHDDATYRGILSAARKEGHVLGTESAPFYIVEKDLVAKQLLRTCGGLIVTGAVFYGLHGLNNVGVLQSEDLKEAPEEWKEVPTDWSTKFSDVKGVDEAKAELEDVICYLRDPDSFTRLGGKLPKGILLAGPPGTGKTMLARAVAGEAGVPFFACRGSEFEEGPKRMRELFSAAKKRSPCIIFIDEIDAIAGRKNADDTKSRREILNQLIVEMDGFKQNDGIIVMAATNVAQSLDKAIIRSGRFDRHVQVPTPDVEGRRQILEAYMSKVKAKSVDVLTIARMTSGLSGADLANLVNDAALKASRDGANAVGMDHLEYARGRIIVGSQRKSMVRSDHSRKMTAYHESGHAIVAILTDGANPAHQATIVPRSKSLGFVEPLPPLDSTSRSRKQMLAMLDVLMGGRVAQELIFGEAGVTTGALSDLSRATQLATEMVTKYGMSKRVGLVSYNKNDGGKTATMSGKTTALVDEVVKELLDNAYKNAKTILTKHERELHALANSLLKHGTLSGDEIRKLVSKEGQGRGLSSCQQNQEASPLTGDKIMKPISTQVQASGLKNGQQNPEASPPLAGDKITKLVSTEGQTGELNNHQRNQEASPSFAGDEITKQVSTQGQVSGLNNGRQNQEVSPSLTGNKITKLVSTEGQVGGVNNGLILTTTLFQVGIEQPKCRIINVYRVLIRVQILYLLGVCTSKLQAPVVLGFGKNLARLRPGNVIYNEVAAAAATSAPSFRAPAANTSSGAGSVLRNLQQRYQSSFAGQRVRGIYSGAASRAREKGCALGTASAPYYVVEKTLLKKQLLRTAGGLVVTGAAIYGLQTLEDVQAGTFEALKEVPRDSGAKLSDVKGVDEAKADLEDIVHYLRDPKSFTRLGGKLPKGILLVGPPGTGKTMLARAVAGEADVPFFACSGSAFEEMYVGVGAKRVRELFRAAKKQSPCIIFIDEIDAVGGHRNSEEPTWARQTLNQLLVEMDGFKQNDGIIVIAATNSPQSLDNALVRPGRFDRLVHVPIPDVEGRRQILEAYMSKVLKAKGVDAMTIAKETPGLSGADLANLVNDAALKASRDGANAVGMDHLEYATDRINMGTERKSMVISDRSRKMIAYHEGGHALVAILTDGADPVYKATIVSRGNALGMVAQLPGEDDGLQISRKQMLAMLDVCMGGRVAQELIFGEIGVTTGASSDLSQATQLATDMVTKYGMSERVGLVSYDKNGAGTMSGRTEALVDEVVKELLDNAYKNAKKIITEHDKELHAVANSLLKHGTLRGAEIMKLVSAQQVDGLNNGRQNQGTPLAGDEITKQVPRGQVDCRNSSQQNQETFPSLSGDVTTKQVPAEGQVDGLNISRQDEGTPSLKGDATTKQASTQGQACGRNNGQQNQETSLGDDKITKPVSTEGQAGRLKNGKQKKETPQSLAGDETTKSASTHPQADGLSNSQTDQGTPSS >cds.KYUSt_chr2.11012 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69888394:69888852:-1 gene:KYUSg_chr2.11012 transcript:KYUSt_chr2.11012 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPRGTGFDPFSLGFSDPFDGFPFGSLVPRASSSSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVQDGNVLQISGERSKEQEEKTDTWHRVERSSGKFMRRFRLPDNAKVEQVKAAMENGVLTVTVPKEEAKKPDVKSIQISG >cds.KYUSt_chr1.30829 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186709118:186709925:-1 gene:KYUSg_chr1.30829 transcript:KYUSt_chr1.30829 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAVIADFVPAGSSADGGSSLTGDEKPTAKLPAPGRKTAYRGIRRRPWGRWAAEIRDPRKGARVWLGTYATAEEAARAYDVAARDIRGAKAKLNFPPAVGGAAASAAAAAVAAKKGRSVAAEESSASSSPLPAAAGAERLRECMSGLEAFLGLEDAGRDGGVDDVETWEAVDLMFE >cds.KYUSt_contig_2437.44 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000337.1:217236:217958:1 gene:KYUSg_contig_2437.44 transcript:KYUSt_contig_2437.44 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHTFFFLLVTAAAVGMRGASAGLVPAVPIGKRYIVGGADGWVVPPPQNKDMYIKWADSIQFFVEDSIEFMYKNDSVGKVNKYAYYHCNWTASATTPANKDGSALFLLDAPGFAYFASTDARHCKRGQRLMLNVKARPSSAPAPSPDASADAPSPPVARPPSSAPGAPAPGEPVMVDDSAAALASSSGRGLVLWVCLAVLALAGLIRA >cds.KYUSt_chr2.54392 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339406211:339411823:1 gene:KYUSg_chr2.54392 transcript:KYUSt_chr2.54392 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLMPRAPPANAADDYVPSRFECASDQRVLLASFENLAGDALRQNRELEDAIAARDDAVAAARDRAAALQAVESKVAQAKAAAKRVMWDSALAKALERRRRQDEVSDRRPDDAISCIALLNNLLLREPAAATRMGCKGDLVEHEEGSEEEEEPFERVFYDDDDDNANGDELETQPVDPCDDYPLPEEEEEEEEEEASGEEPCDDAAPPDAHDASDEEPFYSDEEEDCREEDLFHAEPLTDPVRNSRSTCRKQLHEVEPNYDQLENEQEPFSKRFSDVQAVRKEQNKQEALKQVLKKGGSNEHKVLPVTDEIEVKPFKKRLSVRFATDVSCYTYNTESFGAAKLEKRKAQFDDLDSQLCKKQEHMLSLPQDGGKLKEVDDTNLYVGNLPATMSSHKLLELFFPFGRVVQYKVVDDCFTGISQGYGFVKYAEPDSAASAIRRMNGRLVDGKTLEVRAAGVPPSVPNSSRHSKEIDTSDLYICNLPLSMDELKLLEHFLPFGKVTGIKVPRDHATGLSKGYGFVKYSDSHQAAKAITHLNGVLVEGRKMEVRVAVRPSTLSSSAVGSLTNTRTIKEIDMANLYVCNIHASIDTNNLVELFLPFGKITHARVAADQGTFSGKRYGFVRFADPQCAAQAIAAMNGALIEGEALTVRVAGLSSSESSSAVVQGSPLEINKSRLYVTNLPRSMNADKLVSLFVPFGQISKVVINVEYSLVYYTDVASAITAAKNMDGYLIDGKSLAVRRSDSFCPAPSNAAAADHALSDSAGKPMKEIDMANLFVGSIPPTLTADQFLELFRPFGQVVQARMFQHKGYGIIRYDNSSSAAAAIDHIDGYQIGGSALVVRVAGLPNPKDSSAATNSLAPLQMPGYEQKQVDMTNLYVCHLPLYVTTEKLIEIFLPCGQISQAKVVVDRYTGVSKGFGFVRFADTYGAAVALTHMNGYPLEGHILEVRIAGVHASAMTGYMTYLYSQLTYPDTSTMAVGLPTSYWPYYCAESAYATTSAENQGPATDASSQASQQEGLPESMSVSSVTEKDCSSVSSHATDPSQPRSSAGWAGPPGFEPHSQPESSVRWAGPPGFEPQADARKTLALS >cds.KYUSt_chr5.43116 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271967732:271971049:-1 gene:KYUSg_chr5.43116 transcript:KYUSt_chr5.43116 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDEPAPAPEATATLADGRSPPSKRGDARSPQHDAPPPKERSSKSRDRGGRDRDEDRERHRRRGADGERHLDRESGRDRGDRSRDRDRHHREDRERSDRREHRDRPDDRGYRRGGDRYAERGDRDRDGHRRHRSRSRSRPDSQSKRASGFDQGPSEAVPMAALPAVPAPIAGMLPNMQNMLPNLFNLPNLGQAQPMTQQATRHARRVYVGGLSPSANEQTVAVFFNQVMAAIGGNTVGIGDAVVNVYINHDKKFAFVEMRSVEEASNAMALDGIVFDGAPVKVRRPTDYNPSQAALLGPSQPNPNLNLAAVGLTPGLGGGIEGPDRIFVGGLPYYFTEDQVRELLETFGPLRGFDIVKDKETGNSKGYAFCLYQDVSVTDIACAALNGIKLGERTLTVRRANQGSEPRPEQENVLLQAQQEAQMKRLVYEVGALPTKVVCLTHVILPDDLRDDEEYSDILEDMTLEARKYGNLVQAVIPRPHPSGEPVPGVGKVFLEYADVESSARAKAGMHGRRFDGKDTVAVFFPENKFADGDYDA >cds.KYUSt_chr7.30615 pep primary_assembly:MPB_Lper_Kyuss_1697:7:190537610:190538669:-1 gene:KYUSg_chr7.30615 transcript:KYUSt_chr7.30615 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRHETREEGRAVPVADAAPGDTAPMVITASGNHGIPVLITPASTGATASFQGFLLLQQEAAKKEEEAEDPLAERKKWFREMRGWLMVLATVAASVAYQAGLNPPGGFWQDNNDGHRAGNPVLRDQHWVRYVLFYYFNATAFVTSLVIMVLLMSERFYHGEAKVMALMFATFIDLASLVGAYIAGTTRYASSCVYIVVITCVSFVGVIYIGEAMGEICAFVLRRIRCMRDLAKLKWCPVPADVVTKSLPEKEAEERRKRANERRKRPCCPCCAPPATTDSRDVEGQ >cds.KYUSt_chr1.19716 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115877932:115881072:-1 gene:KYUSg_chr1.19716 transcript:KYUSt_chr1.19716 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAPAKAARALAATAAALVLLWCVHFRGGLALSSPTNKGLIFNVPIMSYKTLPWSHDTNKMVHLILHAVALFLGSFGVYTAFKFHNESGIDNLYSLHSWVGLGAIILYGLQWVSGFVTFFFPGASPTVRRAMLPWHVRAGLFVYVLALLAAELGFLEKLTFLQTAGLGRYSSEALMVNFTALVVLLLGATVVLYVTAPAQNEHTLGYSAVHKS >cds.KYUSt_chr2.17540 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110509279:110510553:1 gene:KYUSg_chr2.17540 transcript:KYUSt_chr2.17540 gene_biotype:protein_coding transcript_biotype:protein_coding MADSALRSWKDLAADLLTEIARLLPCRVDRLGMSMTCHDWWLAANRLPPPRQLPWLLLPDTTTSPMNIRRVTFCCVLAKGSGDTHSLRIGEDTGNSRFFGSYDGGWILLAHGQTDHHMLTNLHTNRRLFLPDCVYYVSPVGGAILNPVSTTILVATFSSPPGQDTQCFGAAIIDSTWGVCRPQLAFWSMEVASDRRPVAMGFLKSLCEPWSRPEDVIYHRGAFHFLTDAEHVVVYDIFKLQEVEEGRGICLRIGARHHEYLMQGVPRSSTSVMARYLVESRGELLMVARYVGNSPTWFPHTGGFQVYQATQLPTSTGQVQLAWTELHSLDGRMLFLARGCSRSYEVADFPGSAFGEGIYFLDDRKSYDIDMVSLVAHAAPRRWYTCSDNGMCRWVQGEPRLQVFRRWFTNKQHSDYSPPIWCIP >cds.KYUSt_chr2.31309 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193271158:193271895:-1 gene:KYUSg_chr2.31309 transcript:KYUSt_chr2.31309 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQNELSSYSYIGRTSRRCFTVNTSCASRLVAVEQYIPQQCRPPLTGEERGEAAMRCCYVGKATKIFFAVVAALAVVGLVAAFATVVHRANSRRSDSDSGPACAARPGGCRPVPPEPVGEQPSLPSTAATATPPPPPQYPTFPAPETAFPPPLAPPLQPPPAPIASPSPATFPSPPPPDALVPPPPAIASPSPEFASQPPPVALVPPPPAFASQPPPVELVPPPPALLSAPPPAAPAAPSPSAS >cds.KYUSt_chr6.24946 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158060278:158061100:1 gene:KYUSg_chr6.24946 transcript:KYUSt_chr6.24946 gene_biotype:protein_coding transcript_biotype:protein_coding VPCSLCGHVANILEIILPRMQARCRCWNISPSCLRDYPTVFFCSSLTVPDILIPIKCRSIPSQANKPTSFIAIHISCLLRFWHPTLLQQHPKALQKHICHEYKQKRCRPLKLVFSPPPPITPQLHTLPGWQPPVSTGQHKLQFRLWIAQPASQLILHLQFWIQIFWPPLPSWQQSVSTGQHRLRATACVPFWLRIAQPTSRLILHLQFRVWVFRPALLGFIQPAIPGFIQPAIPGFIQPALPGFVQPAPPGFVQPAPPGFVQPAPVVLPTALR >cds.KYUSt_chr2.53369 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332964410:332965381:-1 gene:KYUSg_chr2.53369 transcript:KYUSt_chr2.53369 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLRLPAAFASPALLRPRHTAIAASGSLPRPVAAAIPRTPAPRGRRPHARRLPPPQAANPGHPRTAPTTRLSTVSQPRRLKAAGFLARCHKAFLPRASDAALPPRRPIPPHAAEPDQPAETKGPKARRARLWRRAADAVSAKSSPATHTSLVVLFCFIRSPGFEVMIKGLQTRFKLPPKLTLNIILGASVMSFLYDLAMGGKDLEDISEYVRSLEKQDTASGDGQDN >cds.KYUSt_chr2.6042 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37607198:37612992:-1 gene:KYUSg_chr2.6042 transcript:KYUSt_chr2.6042 gene_biotype:protein_coding transcript_biotype:protein_coding MWSALRSTRKTYGPNSSSQDDASKEVAFSNSSMASSSSPRPLEPVADLPSSCSPASHHPLKFITDVGLTVSHAESKVSPCQQADGSPSADVLEPEVTLPEDILWKIHALMPMQDAARAACLSHSFLHSWRCYPKLIFDMRALRKQTKDFINRVDHIMQNHSGVGVEIFKLQTRNDFSVHPSYLDRWLEVALTPGIKEFVLGLPIENEMKYNFPGSLLSRERAHSIQYFHLSSCLFHSVGKVGCLSSLRTVRLHDVGITEEELCLLLSNSFALEHLDLEYCYDIRCLKLSHLLSKLNRLDVYSCKLLQMIECSAPKVSILNYDGPTIPISLGGSLQVKKMQMTSTDVPNLLHYASTKLLSIAPNVETLFLYSLYEKVNTPMVLGKFLHLKYLEIKLFIPTRSPDYDFCSLVSFLDASPNLKMFVLRIEAPTIESGFIPGVKIGEDFSLAGCIRKHRHRKLKSVIINGFRPWKTMIELTRCILGYATSLKHLILDTTNGYHRRKFAKCFPLDKDTVNEARKAVVAIRTYIEGKVPPTVKFKVLEPCNCSNDKRVGAAEGSEELLQGASHRRLVRHLFTLEPRQEDAYFVHKLANLKALVIVLANRPRRFFDVAGNFSNSVYQAARSHHMSATKNL >cds.KYUSt_chr5.39036 pep primary_assembly:MPB_Lper_Kyuss_1697:5:247218969:247219679:-1 gene:KYUSg_chr5.39036 transcript:KYUSt_chr5.39036 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGIDDWTSCSPSPSTPEHGAAHAHPKRPAGRTKFKETRHPVYRGVRRRGSAGRWVCEVRVPGKRGERLWLGTYVAAESAARAHDAAMLALRGCSASVSALASLNFPDSAWLLAVPPWLADLAAVRRAAVEAVAVFLRREAADGAVAVVPVYEATSTASNASSEDNADGLFVVPTFSALDLGGDLFELDMSGEIDLDTYYAGLAEGMLLEPPPTPYWESRECGNGGGGDAALWSY >cds.KYUSt_chr7.19977 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123763736:123768729:-1 gene:KYUSg_chr7.19977 transcript:KYUSt_chr7.19977 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVMVSSASALLAMLQEPAAELKLHALSNLNSLVHVFWPEISTSVPAIESLYEDEEFDQRQLAALVVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYANTLLARALDEYASIRSKASKATGEEEMMDPRLEVIVERMLDKCILDGKYQQAMGMAVECRRLDKLEEAITRSDNLHGALSYCINLSHQYVGHREYRCEILRCLVKIYQTLSDPDYLSICQCLMFLDEHETVANILYTLFSGGTDDTLLAYQIAFDLVENENQAFLLNVRNHLDTLCIPKSALPGGQTGNATDPSGDTQMGDDVDIMNGSPRVVDPIEEARADRLTKIRGILSGETSIQLTLQFLYSHNRSDLLILKTIKQALEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQGGAAGGGSPYSEGGALYALGLIHANHGEGITHFLRESLRNTSSEVVQHGACLGLGLAGLGTADEEIFEDIKNVLYTDSAVASEAAGIGLGLLMVGTATEKAGEMLAYAHDTQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVNDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLNDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDPRVGTFRRQLEKIVLDKHEDTMSKMGAILASGILDAGGRNVTIKLKSRSKHDKLTAVVGLAVFTQFWYWYPLTYFISLAFSPTAIIGLNSDLQMPKLEFLSNAKPSLFDYPKPTTQQTATATVKLPTAILSTYAKAKSRASKKDAEKAQEKAEAAEGKAEAPPSEDASTSMQVDGVAAEKKAPEPEPTFQILANPARVLPAQEKFIKFLESSRYVPVKGAPSGFVLLRDLRPEDAEELVLADTPSTVATNVSGTAAASPAVEEEPQPPQPFEYSP >cds.KYUSt_chr6.13066 pep primary_assembly:MPB_Lper_Kyuss_1697:6:81603791:81607209:-1 gene:KYUSg_chr6.13066 transcript:KYUSt_chr6.13066 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSATKLHISPAHSAARRSLFLPLAAVALLCSASYLLGAWQHGGGLPFSSPSPRSVSIATDITCTTTLTPSTPSLDFSAHHAAAADPAASKAASSASSAAPRRYPACPVEYSEYTPCEDVKRSLRYPRDRLVYRERHCPSPRERLRCLVPAPAGYRNPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKLRFPGGGTMFPHGADAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWHLYDGLYLMEVDRVLRPGGYWILSGPPINWKKYWKGWERSKEDLNAEQEAIEAVARSLCWTKIKEAGDIAVWQKPANHVGCKASRKKAAAKSPPFCSRKNADAAWYDKMEACVTPLPEVSGRSEVAGGAVQKWPQRLTAVPPRISVGSLKGVTAKAFLQDTELWKKRVRHYRAVINQFEQKGRYRNVLDMNARLGGFAAALAMANYPLWVMNMAPTVGNSSAALGVIYERGLIGSYQDWCEGTSTYPRTYDLIHADSVFTLYKNRCEMDIILLEMDRILRPEGTVIIRDDVDMLVKIKSVADGMRWDSQIVDHEDGPLVREKVLLVAKTYWTAKDRDQ >cds.KYUSt_chr7.13224 pep primary_assembly:MPB_Lper_Kyuss_1697:7:81522286:81524705:1 gene:KYUSg_chr7.13224 transcript:KYUSt_chr7.13224 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMVGLPVQGGARLLEEDQSARTSPTSNRHRHHHGKGMAVPQNEARQRAGSCHAKKKNTMRNRPASGAARGTATAVRNPPWSIHPPSDLRGDPPFSLDSYSWYTFGTWEFDLRRGAGYLGVVDFFNWERRPHFDDDEEDKEGTDEEEDELQPPNLTEDEAMEMAIGNRKLDNHTQCDGLAVQLLRRVANLHIATHARRVRGVLFTYRRSVTKETNHAWIEQRGRAGAQVFLPMWTGEVEASQSTRTQKSSRTGMQCTARPSDRVRAASRRPAADCGSNVGSAASSPWWPAAAADDEAASPPSDTRAPLDDRRISPPPAEPARPPGGVLAARWAMRWPPAWGAGLEVEGAL >cds.KYUSt_chr3.37613 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236674376:236675365:-1 gene:KYUSg_chr3.37613 transcript:KYUSt_chr3.37613 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPADSSSAERRVWWPTGTSSAQSIRRELDKVLLAGVVPWCGRRPPSPAWFPRRCTAAGDQIELPDSIEDAHDLQDSIEDASIAEAQELAATMDGAARPGSRPAC >cds.KYUSt_chr7.19305 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119672669:119675612:-1 gene:KYUSg_chr7.19305 transcript:KYUSt_chr7.19305 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPASSGKAASDSSAPAPAVANGNGTPQKPPSGPGFDMPKPNLRGLNKPKCIQCGNVARSRCPFQCCKSCCYKAQNPCHIHVLKTNNTLPEKTPPAPAPSSDQPLTNSPVTGSSSRLSSVQKLPLHFLNSLRTRKSFAKKDVASINKWRFMKLKEHMQGDIDAENEAYDRYTQNIGLLEETFYLTEDAAGEHETEATSSEERMEIMVSEAKVRLKSDRANADGFKERIAIVLDQKLKELQEKHSTYEEGNSSDQNIDGHRKLLKLSIKQQMARNAKTNELLARLTKAQSGDDLKPCLDIMAQLFEKENASSCMDASEKPSNQELTVATAPSYSFPKLTNRLEVDENMVSMINELSSLSQVVQL >cds.KYUSt_chr1.27341 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164803651:164804229:1 gene:KYUSg_chr1.27341 transcript:KYUSt_chr1.27341 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARSTVSAASAGGGTAIPAADDVADSIDALYRMDEAMTELRSDVMEALQKEVRSLDDNNWMFAAPRSRINLVSKPGVYVCFGLGMCFSGAYLRKPQGKIAELDQAPKKTRNC >cds.KYUSt_chr3.960 pep primary_assembly:MPB_Lper_Kyuss_1697:3:4994584:4997978:-1 gene:KYUSg_chr3.960 transcript:KYUSt_chr3.960 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNRDVERTPLQDISNNRSLDPKELKRQRDREYYARYKDDIQKRRREASEKKKASTALITDEQNTPHTPLSISQSNVHPIEQKRQRERERYSQNRDGILKRQREAYSQKNVASTPDDIDVQENETQTPVSLDPKEIKRQRQRLYYAQNREEILKRQRQSREQKKIASALLNGGDTVPTGQSAVTQLHNNSCSGLSNVPNCSHSLIGIRHEKENNATDEDESGWLHRNNSYQMQQIAGRMRPVAMPPGQKLQTISTIVRDITHDPITQMSGFETPQLDTVLNLTNTGCTIDDAGTEPFIGSNQPQNTPANSTSREMETSLNAGQTNENLKIAGNDQPDDPYGIFEPIVHQTNLEVQGEQAASHEPDEEARLFSEQDVPFESYQLESHPSQATNVVDNDEFIYRNLPTKHHVLRKVPDCIHCGALRFPYEGPAFCCRKGKVSIVTPEVPEELKRLFTSQEDDDAKYFRENIRHLLRSGFMPKYYCWTKHGERGVMMEDNDEEEEDDDGYPNFPEYDDTAEGNEDNEVEDQEAPDEPADDDLGRAIADARRECETEKERLAFDKMIEDHNKLLYPTCEDGHKKLGKHTGIVAMEGGERCHRLRIWKVVDNN >cds.KYUSt_chr7.10556 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64828745:64832062:-1 gene:KYUSg_chr7.10556 transcript:KYUSt_chr7.10556 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLRSVLYPPPGHKNHLVPPPIVASSSGSNQGPRRRAAADTANARAGEPLQQPIHGRFNNPPVFFNQNPEDDQPAASGFVADSILQGFDLNKPAQTEGYDGADQDGNDAAKFVPGPNSTDKCRWMLAMNQMHPDHIMTDQDQAMATAIDKHMLRSFDMAENNHLNNMWKSRKTWAPVYFRKSFFPFTSTTGRSEGLNSYFKTLVRPSDSVWNFVQQYELCQNLMLDREDNAGFTMETTTAKLWGRKMNQLASDACFDDDTYVAVSRIVDEASKVVATMLKAKGQVQQEGEGYQHRQSEDQRQQKPEAPQQQTTTVDGTASHQVKNPPRTKPKGRPKITEKRRKTLVELRDEANEKRRKKQSEPKTPKEPKPKRKYRKKKCPFCGDEDHISVKDCKYMKIALAREDAMQAGADLTL >cds.KYUSt_chr2.52323 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326446857:326447246:1 gene:KYUSg_chr2.52323 transcript:KYUSt_chr2.52323 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCNRIRDIVWLRQTLRQWRSRAAARAAAVVPAGHVAVCVGGASRRFVVRATDLNHPVFRELLRQAEEEFGFPSSPGPVALPCCDEDRFLDVLRRVSSDDERFIRRSFGCRVPRDAAARPLLQGKLVW >cds.KYUSt_chr3.18234 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111987186:111988763:-1 gene:KYUSg_chr3.18234 transcript:KYUSt_chr3.18234 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNHPSALVNGDTLKMFVGRKVRTVVKVQRTEGGVLFGQSTDGHQLTIKGALEGVGSHYLEVVGIADNAQSITAEICKDFGENFDAEAFNGLCKVSMDNKVKELFL >cds.KYUSt_chr2.40585 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252083493:252084950:-1 gene:KYUSg_chr2.40585 transcript:KYUSt_chr2.40585 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSIAFPPLRLTPPSAAAPRWRSAAAAVTITMRDRSKNRKPTQRGRYLSSEAIQVVQSLKRATLCGAPAAGAVAKDPKLQRLLKADMVAVFRELAAQGEALLALKVFEEIRKEHWYKPRLFWYVDLITVLASKGLRSEVGQACSYLKREQLEPDTDGFNLLLKTLLDAEFTQLTMDCFRLMKLWDSEPDRTTYVTLVKGLESLGETDLSAQMRLEAESDYGDLWDFFDEEEAIDA >cds.KYUSt_chr2.28452 pep primary_assembly:MPB_Lper_Kyuss_1697:2:174634104:174637214:-1 gene:KYUSg_chr2.28452 transcript:KYUSt_chr2.28452 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRKLVVEVVEARNLLPKDGTGTSSPYARADFDGQRRKTRTVPRDLNPAWNEPLEFNFPGPGSGGVDPVAGEPLEIAVFHDVRVGPSRRNNFLGRVRLDARQFVRKGEEALIYFPLEKKNFFSWVRGDIGLKVYYLDEPLVPPEPEPTANDPPAADAEAAKDVPAPADPPPVQTEEPSPPAEVPAAEAAQGAPQGAGDEASTEKPPEAGTTAPTPATEDAPVMSSEAVPAADGAASESPPEEEAPAPPPIPTPMPRQVPVPPRAAPPPPDVPMERSKHDLVDKMPFLFVRVVRARGLPARAQPHVRVAAGGRHACTREARRGAFFEWDQTFAFARDPSIDSPGPTLEVSVWDLPPDADVSVADDRSFLGGLCFDTADVHARDPPDGPLATQWYRLEGGRRLAGADLMVATWAGTQADEAFAEAWKADSPASSSSSAAAASRAKVYVSPKLWLLRMTVIEAQDTLTAAPPRDAGIKVRGTLGFQTLKTRTTVVTRNGGPAWNEDLVFVAAEPFIDDDCFVISLEVRHGKEAFPVGSASISLASIERRVDDRKVASKWLDLLPSDEAMRKVGKRTAMHMHGGRLHVRVCLDGGYHVADEPPYASSDFRPSARQLWRPPVGVLELGIVGCKGLLPMRTAEGKGCTDAYAVAKYGPKWARTRTISDSFDPAWNEQYTWPVYDPCTVLTVGVFDDPLPSLPPDGGKDGACSRPMGKVRMRLSTLENGRVYRGMYPLILMLPTGAKKMGDVELAVRFATSGTALDVLHMYGQPSLPAMHHLQPIPAMSRETLRLAAARISSAHLARAEPPLRREVSMWMLDAAEPRGFSMRKLRANWNRAVAALSWVADAARWAEDTRSWRNPTATMMAHAVLVLLAWHPDLVVPTLTLHVAAVGVWKYRRRPRAPAPHPCVRASMAETPDREELDEEFDTIPSARPPEVVRARYDRARMVGARLQAMVGDVATQAERLQALVSWRDPRATGMFVVLCVVVAIVLYMVPMKVVAVVAGFYYLRHPMFRDRMPAPVINFFRRLPSMSERIM >cds.KYUSt_chr4.26200 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164736308:164739125:-1 gene:KYUSg_chr4.26200 transcript:KYUSt_chr4.26200 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAEIYGKLVPGWESQKRQGLRGDCDVVPAGGFLLVFSGLVLAGTMHGVPRVRHASLPALQPGAGPVTFTIGMVVMGLTGLLVMQTYSTLSA >cds.KYUSt_chr2.52995 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330666474:330669957:-1 gene:KYUSg_chr2.52995 transcript:KYUSt_chr2.52995 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGPVLFEFVLVLKGDPRGIQRLPDTFADFVAGDDSPGTLHLREIHLQVQMWSLQWDIKMVRSLPGLEILAESSLMQLLTWSYETCMDKSMLSSNGRIVSIIHQHGK >cds.KYUSt_chr1.20546 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121263727:121264098:-1 gene:KYUSg_chr1.20546 transcript:KYUSt_chr1.20546 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAVSVLVALLAAAVVVTAQAPAASPKMVPMPAPPSKKPTPAPSPLASPPAPFAGTPASSPELASGPAGAGFDAFSPAAGGLLTPATAPAASEKSAAGAVHAAASFVAVTGALVAAAVLF >cds.KYUSt_contig_786.243 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1340627:1340977:1 gene:KYUSg_contig_786.243 transcript:KYUSt_contig_786.243 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSKTVQALEGETELPLPEDNPRVKLVGNSGREVKLLGNRVREIKLLGNRVREIKLLGNRGREIKLLGNSGREVNLLGNRGREVNLLGNSGRRRMQTLHTNNLFFSSVFSGYVY >cds.KYUSt_chr1.28215 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170242849:170244741:-1 gene:KYUSg_chr1.28215 transcript:KYUSt_chr1.28215 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIAPWRACVRMEPDGEFASAATVISVMEPLPSFLRVSGILLMEDMQHVNATSNGALIALVSQTRPSPPPCSSTACCGDSSTNGNPKLYYKPKNKTGAKQVGGPPRDYPSTSALVLLPGCRAMVCPYLSTHPWPPPPGLPHDECTIVPLCIVVTCLFTCDTSGLITVMNNLAM >cds.KYUSt_chr4.18988 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119258688:119259020:-1 gene:KYUSg_chr4.18988 transcript:KYUSt_chr4.18988 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTRDSPARFPPPAITLPPPLPAHDDPWPRFAPLEPVSPLVEPAGAQAYHQDINAYHRCTEAVAPVYTSMMPVPTKLEQPVTTPPLLVGLVNWFVSLAPTFGFDPLLPR >cds.KYUSt_chr2.3103 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18261961:18262959:1 gene:KYUSg_chr2.3103 transcript:KYUSt_chr2.3103 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIEFMLDRTHQAGGIVPLGATAAAATNNGNAAAVPWRRLEGKVAIVTGGARGIGEAIVRAFVRHGARVVVADIDDAAGEALAAALGLGGACCSYVHCDVSEEADVERAVGCCVERYGRLDVLCNNAGVLGRQDPPAANGCTKSGGIASLDAAEFDRVLRVNTLGAALGMKHAARAMLQRRGGGGGGSIVSVTSVAGVMGGMGPHAYTASKHALVGLTKNAACELGEHGIRVNCVSPFGVATPMLVNAWRHRSHDDDEEADGIAPAPPSEQEVEKTEEMVRGLATLKGATLRAGDIAEAALFLASDESRYISGHNLVVDGGVTTSRNVIGL >cds.KYUSt_chr3.6576 pep primary_assembly:MPB_Lper_Kyuss_1697:3:37849777:37854743:1 gene:KYUSg_chr3.6576 transcript:KYUSt_chr3.6576 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLQDAAPLQLHTVEVDQPLAAVNRLLAGLHLALASAAIAHRGAHDIMIMLAADLVLLFLWLLSQAPMLRPVSRAVFPGRLSRPALPAVDVMVVTADPDKEPAVKVMNTVVSAMALDYPGARLTVYLSDDAGSPLTLLAARKAYAFARAWVPFCRKYRVQCPCPDKYFAGNEDQLGGGDHHDELADDRIRVKNMYDRFKEDVKEAMNDGALSQIWTKAARQDHDAHVEIIADEPGGSNGDSGGFDDEDAMPLLVYVSREKRPAWPHHFKAGALNALLRVSSLVSNAPYVMVLDCDMYCNTRSSVLEAMCFHLDRRSRHANDLAFVQFPQMFHNLSGSDIYANELRSIFSTRWKGLDGLRGPILSGTGFYVRRDAVYGARPASSQDQFSSMEVGELKARFGYSNGHLASLRRSWSTISRDVLPEDATFVASCAYETATKWGEEVGFLYQSVVEDYFTGYRQLYCRRWTSVYCYPAPSRPAPFLGSVPTNLNDVLVQNKRWMSGMLAVGLSKHCPLASALTVSVPQSMGFAYYAFMALYAFPVLCYAMVPQLCFFRGATSFPEASGHWFAAVFVSSLLQHLIEVSVAKRRLAVRTWWNEQRFWALNAVTGQLFACLSVALDLVGAGGRVVDFDLTSKASDSGRLYRDGVFDFSGCTTVLLPATTLCLLNDVALVGGVWKLVINGRWGDVSGEMFLLCYIAALSYPLLQGMFLRQDPARVPAWITAMSVGIAATLLFLFG >cds.KYUSt_chr4.26474 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166255699:166264437:-1 gene:KYUSg_chr4.26474 transcript:KYUSt_chr4.26474 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRLARSLVRLDRPMIGVVMTVALLPREEGLRGLQHDHLWDDYYNSPECMKNGLIVMPKAINTDALLLHQSTNYRFVIDTLKRMGLFDLMCLTPNEGYYCPILVRQFHCTVFFHDDPARTMTWMTGQGKYSCNYLDFCDAMGFAGGRAHGFKIYSQRMFNHGDISFCYPPEPTAGPPTISGMYYSYLVLAKLLLMRCFRTLISPCMLTVVFLLAMLMNLRLLDMETMVMNACDGKEHVRWEPQEEGKIKMLLDQQEELYERQSHLKALLEISKTSRDTSNTAPSVALEDWSGKFSWDSQADDMRFNVFGISSYRSNQREIINSIMSGKDVLVIMAAGGGKSLCYQLPAVLRDGITLVVSPLLSLIQDQVMGLAALGIPAHMLTSTTNKEVEKFIYKALEKGEGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLVAIDVSDDIFSLC >cds.KYUSt_chr5.19675 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127674671:127677798:1 gene:KYUSg_chr5.19675 transcript:KYUSt_chr5.19675 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGLFANDGSFMERFKQMQQEKDKEKATPTSASAPAAAPKAVNPKSPIVIPMNKRPLEVKKAAPVLSGGKLAFSLKKNKAPILPVKFGAEEDEDEDDVGGVKREDHAKRHKSADASSAAAPAGAVASATPNDMTVRQVADKLASFVAKNGRQFEDITRQRNPGDTPFKFLFDKNSSDYKYYETRLAEEEKVLAQTKDAQASKIVNSSTESSRAHSGSHRSSFEPKSNYQTPASALYGAYEGGPSQGSSSSHGDHSASAPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPCLVQGPPKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPVMAGDIKQDHLGVGAVQPGVVSSEDDIYEQYKKRMMLGYRHRPNPLNNPRKQYY >cds.KYUSt_chr3.18825 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115721632:115722845:-1 gene:KYUSg_chr3.18825 transcript:KYUSt_chr3.18825 gene_biotype:protein_coding transcript_biotype:protein_coding MMARSCSSLFLAAVAVALVLAVPSLASDPDNLQDICVADLNSELKLNGFPCKANATAEDFFSGILAKPGATNTTSGSVVTGANVEKVPGLNTLGVSLSRIDYAPGGLNPPHTHPRATELVFVLYGELDVGFITTANKLFAKTICEGDVFAFPRGLVHFQKNSGDKPAAVISAFNSQLPGTQSIAMTLFGASPEVPDDVLAKAFQIGTEEVDKIKSKFAPKS >cds.KYUSt_chr3.47179 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296110416:296111101:-1 gene:KYUSg_chr3.47179 transcript:KYUSt_chr3.47179 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNGVRFIRIELRNLSAATATLRCARGPNAHAQHATTSSRGALNLGSSQRATNDVTLNKWFASQLRLTCPALNTDNTTNNDIRTPNVFDNKYYVDLLNRQGLFTSDQDLHTDARTKPTVTRFAVDQAAFFDQFVFSVVKMGQINVLTGSQGQIRNDCSAPNKGRTNDDLPWSVLETVTEAAQSLVL >cds.KYUSt_chr4.10925 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66327003:66331495:-1 gene:KYUSg_chr4.10925 transcript:KYUSt_chr4.10925 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHRVHRLGGGAVAWTPSPVVALATSPCASQVAAAREDGSLELWLVSPGSVGWHHQLTIQGSTEPRVTSLVWVPTGAGGRLLSSSVDGSVAEWDLFNLKQKTVLDTLRGAVWQMAMEPGYDQLFPGSKSSECAANGHANQNGRADSELSYVDDGDSSDDEDDSAKTSSSYRVNEFQRLALACDDGSVRLYNVPESGALTYYRSLPGVSGRVLSVTWSNDAKFIFSGSSDGLIRCWDSALFHEKYRITAGLGGVGSGRDLCILSLLILRCGTLVSGDSSGSVQFWDSRHGTLLQAHTYHKGDVNALATVPSQNRVFSAGSDGQVILYKASKDEFSAHNQKVAEEQSHKWVYVGYVRAHSHDVRALTMAVPVCKEDDLPEEKAVKSRRREKPIEFSYHKWAHLGVPMLISGGDDTKLFAYSAREFTQFAPHNFCPAPQRPLINLARDSTVDGDYLMLVQSAACLDVVLVSVQNKLIPSTSSRGDAKIRRQVVHLKSDGSRKIISSAISPSGTLFAYSDCVKPRLFSLKQKGGKKYSLDKINLEKKIRCAQSMMFTADSSSLILSCHDGKIYVFDIAAGKFSIEFQPTRTMDGTQPSKEPPVTKMFLSADGQWVAAVNCFGDIYVFNLEVQRQHWFIPRMNEGSVTSGGFCPKNNALVITTSKNEVYVFDVEAKQLGEWSKRHTHHLPRSFQEFPGEVIGLSFPPLCSSSVVVYSTRAMCFIDFRLPIGQDGQLPHGSVVSAEKSGLHKATKTKVKRKDRDEDLKQEKWNNFEFVAFKDPVLFLGHLLDSSVLLIEKRWMDVVKGFGAPVHRDIYGT >cds.KYUSt_chr3.46448 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292240596:292246243:-1 gene:KYUSg_chr3.46448 transcript:KYUSt_chr3.46448 gene_biotype:protein_coding transcript_biotype:protein_coding SIMSTSGTPKDSFFEEVVNPYMNELKMHPKELLLVDGELQIKDVQGPKGEGSLEDRMEKLEQGVFNYKKMAERELDIFHKIVSELIDRHKKETAKLWDDILSLHDTTNQLQAQLYDIQNQNYLSHRGYLAKSYSRLCGAENTREKRALRQAEIRRGNSLPEGEIDAIVTIIELDIISITIIIIFIIITAVSTAAHHHRCSNLDLGDGPAGLIADRVLAYDVAGYIRFRAVCRSWRRCSAEPGAYGVLDRRFHPRRWVMLREPVAALNCNCHRHRFLNSSTGECVQVDIPELHDHKVLAPTAEGLLLLLHARKNVRLLNPLTGQLIKLPPLTTLLPKKYHHWLSARNHHFDADFTASGSGIADDDSTVVLCFYTLRMLGVAKPSDQSWTLLKFNDLLRTAPVMFAARFHCITLDGVMVLEMDPPRLVLAAKRHMWISLDGSAHLVDNGGELMLVHRKLLTGYRRGYDVYRVDLGTKTLFPVNSLGGGCALFMGMYCSLSVPIEGFPSGSISGDNIYLSFDVDERDGIEAYHLVDKSISPSAIF >cds.KYUSt_chr6.25915 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164256262:164257671:1 gene:KYUSg_chr6.25915 transcript:KYUSt_chr6.25915 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYPAAHPPIMAPPPNNTPLFLIALAAVVLVIRPAPAAAEVTVAPPIDILKTLSAYPEFSAFTSMVNDTGLALSINAQKKLTVLAANNTGLPVAALRRLPHQLLGDLLSLHVVLDYLDPEKLDALRLGRTGKGSKVTTLLPGRETKFLRVTGGDKTRITFSYAGPIGSGLPPRNATLVRVVTAQAFSLMVLQVDGLVLPAMIPATVAAPFDVAKIIAGFPDLGAFATLLVQTGLASAINAHPIVTVLAVNNTDLAAALGGIPPKATADVLALHAVLDFLDTERLDALRKGRTGDGSIVTTMLQGTGSGARGRGAGFVRVSGGEDGRITFSSAAPGVGASRNATLLKVVTRQAFSVIVLQVNGLIVPPGIVVPRAPAPSPQSPRARHMSLPPTPGPAPAYSPASPVMPEPEPEGPKTTPPPSSVIPIPSVHGGVAAKIPAPNAGHMVTGSWWSGAGAALGIMACLLASL >cds.KYUSt_chr7.12898 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79541252:79541743:1 gene:KYUSg_chr7.12898 transcript:KYUSt_chr7.12898 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGHPSTRMEEDSCNIATSYDLDCERLDSENSAIVAWVLSAPSGTDHTDVEDVFRRKFRMRESELMVSSHFPQQYLVKFSSAELRDKVMRATERYNFKLDSLDVHFQPWRAVSNAYNADLHFRVHVVVDGLPPFAWRPEIVDQLVGRKYAVQRLDEGFTTM >cds.KYUSt_chr1.26293 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158419494:158421368:1 gene:KYUSg_chr1.26293 transcript:KYUSt_chr1.26293 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFASCHAAHASLLLLSPNPTATAAAARVRVGGLRPRRLRLPVRLAAGGTPPNAGIPDPTVDDDEWGREPPASPSPNSRPVVADEWGEPGVLEPEQPSGADAPTNDDEWGGDPKPTPTPAPAPEEDGVLEELKRCLADTVYGSGLGLQASAEVRGEVVELVAQLEAANPTTAPVQAPDLLDGNWILLYTAYSELLPILLAGATPFSKVEKISQEIDSRSLTIVNASTISTPFASFSFSATASFEAQSPSRIEVQFKEGSFQPPEISSSVNLPNQIAIFGQKISLESVQQLLNPLQQAFASIAGSISGQPPLKVPIPGNNRAKSWLLTTYLDKDLRISRGDGGGLFVLAKEGSSLLD >cds.KYUSt_chr6.15245 pep primary_assembly:MPB_Lper_Kyuss_1697:6:95569309:95569848:1 gene:KYUSg_chr6.15245 transcript:KYUSt_chr6.15245 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLREPVHFVAIAIAGLAHRRRSQLRPSAPLLCDALSPSSFAPNRHLSVPSSPAALPAIACAAHQARHRRPRNASGLTPSSRNPAPLPSAAEQVPSGRDSRRLSAIRPIVAGRPARPRVDESMRTPSMTTYTPLDSPYRRVTLPSIVPSAAEQVPAGRETLRPVLPRQVESQPDCCFS >cds.KYUSt_chr6.18023 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113467401:113476084:-1 gene:KYUSg_chr6.18023 transcript:KYUSt_chr6.18023 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLLSVALRWREPEAEGGRASSPPSINLDGFSHDLDGSSLDPLLLRHHGGGIEGEAPGDAILGRSPERHPGASDLLRAQHMATELVAVILGQHGGPSSTSRSEALQFIYWSSTPPKDQVVRPRPSGGFQWRNLLAGMEYSSMQLLELSGDAWSSPAKGGRGTVLDCFFSYNFRVLSVKCESNPIPKTLTRPLHESLLGLASPDSPQPATHWLIPSAEPPTPNAGLPPLAVGRDGTPFPERRRVEVRSLALFPSLSLLVSLCRLLKRARNMVEELPRQVPIESVAASVDGNMPEVPQNGALPRNVAESQVLGGTPGRELPLHQGKEVIFVDDNDSGQEDAGKAKVDENAPRYGLRFKTYDDALEYYKQYAADSGFSTIILKSSYLKSGVCRRLVLGCSRAGRGRANACYLSRETVKINCPARISLKLRQDRWLHIDDAKLDHNHPLNQSSEPLMSCYTKLTDAKNREAASSRLKGRRNVPIPDKVQGNFTEIGRLKFGEGDDEHIQKFFGTMQNKNPNFFYLLDLDKKGRLRNLFWSDARSQAAYEYFGQREVVYFDTSYLTEKYDLPLVFFTGMNNHGQSVLFGTGLLSDLSAESYTWLFRAFLACIKHQYPNAIITEHYNAILDAAREVFSQVRHRLCLYRIMRDVAENLKTHAEFKTIKRALKKVTYGSLKKPEFEADWKRIIEDHGLAENQCLSSLYEHRELWAPAYLKDQFWVGMSVSQRGESVASYYDGFVYPKTSLKQFFSKYEMILESKYKKELQADEESSHRTPLTVTKFYMEEQLAKAYTINMFRKFQDELKATMYCDGMPSKVDGSIVTFEVKECSYMEDGKETESRTCEVYFDKEGLTVVCECGFYQFTGILCRHALSVLKLHEMFEIPSAYVLDRWKRDYKKLHALVHYPNEMLLGDTVERHDYLFTQCRQVLNLGFISDNRYLVALKLLREAEKALLDEGQAAGERQLRLLSFEAETPENGQGLFGPEFSEGVRNSLSTNAKRRGRPAKEVADNSDAALRSNKEQDFLRSSFVTAEANMIQGTPSASHHESPHMEVHAGIDLMEGISPNLSYGAPFAMDVNHQHHQLPNQPRMMPNNFMQVQTDPHAFENQWGYNPTVQKIYFKSCEL >cds.KYUSt_chr1.5276 pep primary_assembly:MPB_Lper_Kyuss_1697:1:32382436:32383795:1 gene:KYUSg_chr1.5276 transcript:KYUSt_chr1.5276 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCDGENSAKRPILSDGGGSEDRLSALPDDVLIHILLKLLDVAVAARTSVLSSRWRRIWRLLPELNFPFPSDPQHVRLALTAHEASALHRLSVYFIDATAESVAAWLPMAARRLSGNLLLINTAPHNETEDEAAEGGALELPCFETATSIHLELGYLGLAVPPLGEFAGLTDLFLACIKLHGPCELGEAVSSLRCPSLQRLTVCDAWGMVNFNIHSESLKKLKLRNLHQLQQLTVMTPALIHLCVSSCFSSSHNQPVANISAPQLVSLDWGDHYDPRFTQLGKMENLECLSPYPFLVCGLAESAQELNSSCVRLLQRFELIRSLSLTLANVPIYT >cds.KYUSt_chr5.31202 pep primary_assembly:MPB_Lper_Kyuss_1697:5:197735333:197736861:-1 gene:KYUSg_chr5.31202 transcript:KYUSt_chr5.31202 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLAGQTTTLQVENSYTIEHVKGKIQDEEGIPPDQQCLLFSGKRLEDGRTLAHYNVQKESTLHMLLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGY >cds.KYUSt_chr4.45552 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281916014:281917214:1 gene:KYUSg_chr4.45552 transcript:KYUSt_chr4.45552 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHNILLLTIAIVICITATPTTAREAVSTPTGGFKQIPDINTQEIQEIGKWAVAEHARQASDGLQFKRVVSGMQQLVSGMNYKLRINAVNGDGKEGMYRAEIDLSTPMVLDDNNYKLLPLNLGLQFSDDQLVRNLVFTGSANGTIKVRWRQMSA >cds.KYUSt_chr7.20967 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129910206:129911747:-1 gene:KYUSg_chr7.20967 transcript:KYUSt_chr7.20967 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPSNPNHRPTPNPNHHRTSSPPPPPPRRPAPPPAASPSGHVLIRPSCLSRREAREVRARLTRELGRVRALLSHIDTWEDQRPPPHPHPHPHPPPHLRDAMLARCAQILTKLRKQRNSVWFNRPVDVEKFKLYDYHAVIRNPMDLGTVKSALAAGAYASHEAFAEDVRLTFANALRYNPVGHEVHRSAGNLLANFEALYGEAVSWFDEERERAESAMPLDFSPPQPQQLIPVPVQAPPVPLISQEPLRMGVVGKRPKPKARDPNKRDMTEEEMHRLRVEIESLPEEKMVNILQIVQKRSTDPALMGDVVELDFEEMDVETLWELDRFVVNLKKVLKKGRQNGGAAMAPLLPAEDDMVMVNVDSPSVVEIGDSETDAPHKRSSEAEMIDEYVDIGDEMPAANYQSVEIEKDAQVASSSSGSDSGSSSSSDSGSRSSGGFDSDGDDARSPD >cds.KYUSt_chr1.6454 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39748511:39754447:1 gene:KYUSg_chr1.6454 transcript:KYUSt_chr1.6454 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGARGGIGGGGAGSGERWRWILFLSLVSVSFLLSFLFLFLSAYSSPTRLRLPGLTTAHAAAGVRRGPDALPCLAYFLIGARGDGPRLLRLLLAVYHPRNRYVLHLSADASNDERRDLAAGVAAAAPAAVAFANVAVVGTPTAGTPVGSSGLAGTLRAAAVLLRLHPDWDWFLTLNAADYPLVTQDDLIHALSYVPREFNFIDHTSDVGEKESEKVQSMIVDAGIYLSGRTNFFRASQKRPTPDAFKFFTGSPWVILNRRFIEYCILGWENLPRVLLMYFNNVVLPQEGYFHSVICNSVDFRNSTVNNDLRYKVWDEPPQTEPLFLNMAHYDEMVNSGQPFARRFQKKEPLLDKIDDKLLRRPGHGPVPGAWCSGRKGWFVDSCSQWSDVNVVKPGPQALKLQQYINRTLEEANSGAKSCRR >cds.KYUSt_chr4.22799 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143388491:143389207:-1 gene:KYUSg_chr4.22799 transcript:KYUSt_chr4.22799 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSASLPCIPNSTESKVEVELQGLQTRISSPSATIGTMCDGLRRLGDIYSCIEEIMSLPSNQVSLSLPLQRKMVEEELDRSIVLVDLCNAMQENLAELKMSIQELQLGVKRGDAAAIQLKIESFIRLAKKAHKPFKKITSSKAMSEGCMTVRLLVEAREMALSLIESASRLLPKQIVVTRWSLVSKRFQKRRVVCEETQLRALEHSLADLENGVEPLFRRLIQSRVSLLNILSS >cds.KYUSt_chr1.22757 pep primary_assembly:MPB_Lper_Kyuss_1697:1:134661494:134662882:1 gene:KYUSg_chr1.22757 transcript:KYUSt_chr1.22757 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFISAVRDRLDFHYFQGPDKYFESRIEKYGSTVIRINVPPGPFMAPDSRVVAVLDAKSFPVLFDLTKVEKMNILSTYMPSTSLTGGFRVCAYLDPSEPTHAKVKQLLFNVLASRKNAVIPAFRAHFSSLLATVDSQLRLGGKPNFNTLSDTTTFEFLCDAYYGVLPSASGLGTSGPAKAAKWLLWQLHPLVTLGLPIILEELLLHMVHLPPFLVSGDYKALYKYFSAAASEALDEAERLGLPREEACHNLLFATVFNSYGAMKLLLPGILAHVAEAGEKFHHRLATEIRAAVADAGGKVTMAAVEKMELTKSAVLEVLRLDTPVKRQFGRAKADLNIESHDAVFAVKKGEILFGYQPCATRDPRVFGATAGEFVGDRFLGEEGSKLLQYVYWSNGRETENPSVDNKQCPGKNLAVLVGRLLLVEVFLRYDTFTADIAKGPAAPTVEFIGVTKASSGPDIA >cds.KYUSt_chr2.5847 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36335704:36344890:1 gene:KYUSg_chr2.5847 transcript:KYUSt_chr2.5847 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPSSLRDLERDADGDDDGEPSLRPEAPIAVTALRAADLEEFVKGTSFDLSDKELFCIEEQDVFNSVYSLVRDFTCLPPALKFNLVEALRSNLSVLLPNIDSLSRASMSPSDGIPITDRIASHRNTLKIYSFFLLSIVLTQESSADSGTGAKVTTHGRKKNPVYAWNWEAQRGRIMNLVATSLEVDLTLLFGPGGADERYLSFVSKCTFVLFESQSVLKDDETRNGLCRIIGAIATKHQRTSQTSASVLHLIHKYDFTVAYLAEAAAAAEKKFGDGSLAISLIREIGRTDPKDYVRDGAGADNVGRFLVELADRLPKLMSTNLGVLIPHFGGESYKIRNSLVGVLGKLAAKAFKDVDGNNSAHSMRLRSKQAMLEILIERCRDVSAYTRSRVLQVWAELCEENAISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLEKYKEKLQGMESQSPEEDEPVNDHQLGEMIVGQDESVSDSCLPSSQDQRDQDPMIADITNLEQIRALVASLEAGLRFSTCITSLMPILIQLLASSSATDVENTILLLMRCRQFQVEGSEAALRKMLPLVFSQDKSIYEAVESAFIAIYTKRIPTETAKSLINLNIDCSIGDLAALENLVSSLVLKGEISSSTISALWDYFCFNINGVRPVQCRGALSILCMAAKSSPSILGSHLQDIVDIGFGRWAKEEPLLARTACLALHRLSEEDKVKLLSTSTRVFAALQSLITSFSLPEKIWYAATEKAISAIYTLHPAPEIFATEIAKKSLNSVFSSSAMDGMSNGVELETQNGPFVSAVSATKLGRFLFVISHIALNHLVYIETSVKKIQKQKPKNDKSQHTNEDSEVDASKNSEAQGINAELGLGATVDIAIESLAEQAEREIVSCSCEKNLIGHCGPFISKLCRNPTLLQKFPVLHASAKLALCILMIIDAEFCEANLQILFTVAESAPSEIVRSNCTIALGDLAVRFPNLLEPWTEHIYARLGDPSASVRKNAVLVISHLILNDMMKVKGYINEMAVRVEDEDERISSLAKLFFHELSKKGSNPIYNLLPDILSRLCNQHLKEETFRSIMQFLIGSIKKDKQMEALVDKLCNRFAGGNDVRQWEYISYCLSQLTYTEKGLKKLIDNFKMFEHALSEDSVMNHFRSVIAKCKKFAKPELKVCIEEFEEKVSKVHEEKKEQEATTRNAEAHKQRMSSIDRVTKEVGQNDGKSAEEEASGEVIDPSVDNNAEDTETEEASEAVDPSVDDTNAEDEETGETSEAVDPSVDGTNAEDKDTGEASEVADPSVDDRNAEDKETEEGSEVTGPSVDDSNAEVQEAGESTEVTGPSVNDGNAEDEETEEAADPLADDGNAEDKENMRERSENISSEKSQTSSTCTELEDGSAEVQSARKPRRGSSRSTLKKMREPALEGPADSAAPVALKGDAGIFSDLEQQQLRLQCNMK >cds.KYUSt_chr2.38536 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238935292:238940566:1 gene:KYUSg_chr2.38536 transcript:KYUSt_chr2.38536 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPAHHPHLRPASRPPPPGRSPPARAARPRLLHRRSSYALALARAQPPRAEAGETETSTAAATTSTSGSVLSFLCPLLKFFGGGDPSQKRNDIVEVATSSLSSLARLPWGSSVAASSGENVSPTTTTTAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVRNIGGKEQFPLLVDASTGVTMYESGDIVKYLFKQYGQGKSPSFGLLESTIFTGWVPTLLRAGRGMTMWSKAGAVPAEKLELFSFENNTYARFVREALCELELPYVLQNVGEGSSKMDSLLSIAVLLALHFSILPPKITSNALDIVWVFQKIRTLEMEGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKQWLSEIDKYANDSVRKLLVGNKCDLAESRVVDTAVAQAYADEIGIPFLETSAKESINVEEAFLAMSAAIKKSKAGSQLERQVSNLVQMKGQPIQQLQQKQKSSCCST >cds.KYUSt_chr3.25188 pep primary_assembly:MPB_Lper_Kyuss_1697:3:156442638:156445140:1 gene:KYUSg_chr3.25188 transcript:KYUSt_chr3.25188 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNHSFLSVWIGPWTECVSRVVVAAAHGPDFDTEVAMAVVLEDDEVPGLNAWERAKRLQPLWRNICPSLSNITSTGHRKVTPSNTRRRTWWDLALRRSRSGSLSPLPWAAVMDLWEFPCALVMAAMACVCSGDPLLGPVCRRTVVSSFDGVGLLRYGGYGVVRVVLLRRVSCGLRWRDLVLPGQIRSGARSARVAGRRSFASQIQSFGAGGYCGPSSPYG >cds.KYUSt_chr3.8866 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51699312:51705855:-1 gene:KYUSg_chr3.8866 transcript:KYUSt_chr3.8866 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTIPRITIKKHEDRQYHEDPTSSSKMKLKVLISQKRKMSDLGPQWSKDELMLFYEAYRRHGKNWKKVSAVIGSKSTDMVEALYSAHRTFLSLPERDELVRCIRRHPVEKRTPRVPVIAPSDINATYNSTPQIKNIISSSKNTKEDINDDGTVIAMDECSPDGSSGITEANKVAEGQTSLEIRRTGDTEISQTQQHLKKRRIQESMDEAQTSKVELGTTMVAEEGNNLDDYQRLSQLFSPDEMMVLDVLESLVTVPSKMPQPEINIPSGALGKRTSASSHRQEEVLPPVDQSKQRKQASESSASTAKKKRRNKLLDGEVLAEEQSNSGNSSVIPEARQVDTTERPSLNPDFEKGATDLPESTANISAEVSSDAPMEIDSQINMSRKSKRKSKIPCRTKHVFCNEGADNLQATKLLHCLSSESLRRWCTYEWFYSAVDYPWFMNNEFVNYLNFAKLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLAVEKEKLEDYRENVRKYYSELSDGLRDSLPADLARPFSVGQNVIVRHPSSRELCDGKVLLMERDCYKVQFDRPDLGVDIVKDTDCMPINWLDNLPDHLKKRNSLSNNAHRKVDIEHIPELTTKESCGHIINGVSRSEPSSSPHVTSEAESAVDCEMLPNKSTSGRYTVSPLQFADASSQPRGRANNMSARDTELDSFVNAFVQNSLSQAKQMVGEAMQAESREEGRCTSNQASHCLESEAALGDGQLPSSLILNCVATVLAIKDLSEYRHPPAKIAGVLEHAFSMLRPSCPENLAIYSEIESCISVVKNQILALVPTTSGNGSSAMLMPLYPRLPPPDAVAAPPPASVAAASPPSIAARRFHPDTAAPPASPAAAQAAPTPVVGVLLLRLPVTPPRLQHLSLVRGKCFYGRH >cds.KYUSt_chr6.8579 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52752885:52754814:-1 gene:KYUSg_chr6.8579 transcript:KYUSt_chr6.8579 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIFSRIAARTPRQPISASTQHIPILHQEQSSRRNQPHQGIHNTINPMTPVDANSEAQPTPTPHAEGSSRSCSPSIQATPDIERKYVHRVYDAIAPHFSATRFAKWPKVAGFLDSLRPGSVVLDAGCGNGKYLGFNPGCFYLGCDISPPLIEICAGRGHEVFVADAVNMPYRENVGDAAISIAVLHHLSTEERRRKAIEELVRVVKRGGLVLITVWAVEQEDKSLLNKWTPLCDKYNEEWVDPSSPPVRSKSSSVLDSIGETDEDTGAAKQTDDQLKNSYDGSEDKTTAACSDDSLIMDEHDKTQQEYFVPWHLPFHRAEIGGASAAALQNGLAKKDDKKGTVVYNRYYHIFVEGELQRLVAGMKNAAIVDQFYDKSNWCIVLEKL >cds.KYUSt_chr1.36923 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225222771:225228290:-1 gene:KYUSg_chr1.36923 transcript:KYUSt_chr1.36923 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAATASRFNSLPLPRHAIHRATEARAFTWSGSGRSMGIDLNSNVEEDGTAVSAELWHACAGAGVALPRRGSRVVYLPQAHLAAGGGGGEAPVGAGHVPVPVPPHLVCRVVGVDLCADAATDEVYARLALVAEGEMFKQNYREGGAEEGAGEREDGDGEKKPRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQVRPSQELVAKDLHGMQWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELRLGVRRAVQLRNEALFKAVNNNDSKLHTLSAIASSLENRSIFHVCFDPRSGASEFIVPYWRFSKNLNHPFSIGMRFKVSNDSDDANERSTGLISGISEIDPIRWPGSKWRCLMVRWDDTRCNHQRRVSPWEIERVGGSISVPDYLPATSSKRTKLYYPQGNLDARVTDANGCLDSVETGNFHRVLQGQELLMSSRTQGVGRSQSPDATKFRTPDRRRFSANAWNCMSHGLASGRSQNTEFPYQSSGFGESVRFPEVLQGQEMSQAVPFYQGTPFDARGSFGYVQRSVAPSGLSSGTQGYALGQFTPSAAKVSSPSSVLMFNQAAVPQFELDGRTNYRGGYGSQCAPGHLELAKEIETWPSVQRQTPSEIGCRQSDTGNALAPVNIAESRSANGDIGRSSCRLFGFSLTDDKIQGAGEDGVKDGSSIEEAERADPRVLDLFGYTHRTPSALHALVAAPLGM >cds.KYUSt_chr2.16049 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101071798:101072652:-1 gene:KYUSg_chr2.16049 transcript:KYUSt_chr2.16049 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRGSCVGVCRSSLAMFPLILLALSILTTAASSHPHPLDPLSPAEFIAVRAAVLASPLISDRPLTFHYVGLDEPDKTDVLSYAEARSSSSRAALPRHAFVITRAGGQSHELRVDITNATAPTVLSHAVHHGAGFPMHTIEEQFEAEALLFKHVSFVESVRRRGLDMDDVICPVFSIGWFGDAGPSESEEKGQQRLVKLVCFMAGPTPNLYARPIEGLTVVVDLDRMAIVKYRDRVVYPVPKAEGTDYRAGKVESPYNGPTPAPGVVLQPEGRGFHIDGHLVR >cds.KYUSt_contig_1181.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:142767:143516:-1 gene:KYUSg_contig_1181.15 transcript:KYUSt_contig_1181.15 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVETAGNMALVAETAGSTSNSDDSSLGDDTGCGSFSSYRGDSDDGSFGSDDSGDVALEDDTALESFALDHRNPLLAVEVSALMVCSAAWHSLLPCVEYKGPYQILFDGA >cds.KYUSt_chr5.13124 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85591664:85592560:1 gene:KYUSg_chr5.13124 transcript:KYUSt_chr5.13124 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGRLPHSEDDGRDLRRQIWANLGLLLLLPLLRWLEGGEAGSCIVFSNNPMVAGLSFPLDLSRGEALLAGRGGVGECDAAAVWLAYASLLAGQGGEEDEKSGSLVFCSGERSCSLCRCSCGSGSSPLLFSPPCRGGERRNGGRMDWILAHRRKPKGCYGTSSSELLRAKHTLLIIGGVIFGRHGGPSSTSSSEASLPSCWSSASRFHQVVCPRWSTGVQRRRIFAGVGCSSICALFLGGNAWGTPASGGGASQGPDRFSFYCLGVLVVKCEGLSSNIRFLRAKVVKGLSVICNRHV >cds.KYUSt_chr1.1298 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7018532:7019796:-1 gene:KYUSg_chr1.1298 transcript:KYUSt_chr1.1298 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPMKLSLALLLCVVGACMARNSEFSIVGYSEEDLSSHDRIVELFERWLAKHQKAYASFEEKLHRFEVFKDNLKHIDEINREVTSYWLGLNEFADLTHEEFKASYLGLSPPPARRSSSTRSFRYEDINAGDLPKSVDWRKKGAVTDVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTALSEQELIDCSVDGNNGCNGGMMDYAFSYIASSGGLHTEEAYPYLMEEGSCGDGKKSESEAVAISGYEDVPANDEQSLIKALAHQPISVAIEASGRHFQFYSGGVYDGPCGAQLDHGVAAVGYGSDKGKGHDYIVVKNSWGDKWGEKGYIRMKRGTGKGEGLCGINKMASYPTKDN >cds.KYUSt_chr1.34413 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209544149:209544838:1 gene:KYUSg_chr1.34413 transcript:KYUSt_chr1.34413 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSGARGGGGGCSRRSGDGFLLPRQPPVAVDVECNCRPPRVLSALYSSLASRVRGRGGGSRPKSPHASSSSSTATTAFTSSTATRVTTATTVSSVDDSWGVATYATSTLFEDDVEARRRRRSTRRRRRRRSCGGRGVAVMMTCGDENEEEAAAVAVEVESAAPYEDFRESMVAMVVEKEMYAWEDLNALLHGFLSLNSPRHHPLILHAFADLWAPRAGLFCPPSPCR >cds.KYUSt_chr6.33728 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211606341:211607825:-1 gene:KYUSg_chr6.33728 transcript:KYUSt_chr6.33728 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVATTIGRVFSAALLLLLPLVAAAPSPASTVGSHCTTRCGNISIPYPFGVEPGCYHPGFDVTCSKDVPPKLLLRNASEVFDINLPNGTVDVYVDRVDQSLPVPYYGIINWDVVVGVFIDSGPFTLAPGRNKLLVVGCDVQVLLMGSDEADIVSTCAAFCSRVSGNLYQVASPDCSGIGCCQAPIPTGLNVYLLQFRRMNGSWSSDQATVYVVDAERLSSFPMDMVSPAALPVVLEWVISNSTCQSNSTTSPECRSSNSFCQNSTAFRGTGGHRCHCAQGYGGNPYVLDGCKDIDECKYPEVYPCFGDCNNTVGGYQCKCPLGFVGNASIPTGCKV >cds.KYUSt_chr7.38271 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238642845:238643138:-1 gene:KYUSg_chr7.38271 transcript:KYUSt_chr7.38271 gene_biotype:protein_coding transcript_biotype:protein_coding MWEATTKHARQCEVGDRVYAYTAGQTGGVGGATVYVNSICQLVKIELAGVECVPQHLNRAQKAYVHQLLLEAFEQRASLQEADILLHASHSSARSGQ >cds.KYUSt_chr5.2783 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18371054:18374635:-1 gene:KYUSg_chr5.2783 transcript:KYUSt_chr5.2783 gene_biotype:protein_coding transcript_biotype:protein_coding MLALDGQHKAFLNLQLWRPFSYAMSGFRYSDPSGMSLVSAVAHGWTHHKLDGDGPDHIDVRLQADAEDLQLLLLRTFQWCWPKWFVPGADASQAQSREPSTPFLRPVSDTVTPSSATAGNHLMAAAKATGLASAAESAVFRGNQELTRLARSGQLSAARRLFDEMPRRNTVSYNAMLSAFARHGRFADARRLFDEMPRRNTVSWNAMISACSDHGRVADARELFGAMPARDDFSWTLMVSCYARAGELKLARDTFDRMPGEKCAACYNAMISGYAKNGRFDDAVALLREMPNPDIVSWNSVLVGMTRDGKMARAVRFFDEMPQRDMVSWNVILDGYVRAGDLDAASGLFERIPSPNVVSWVTLLNGYCRAERIGEARELFDRMPERNVVSWNVMLGGYARLSNMEEAYKLFTEMPDKNSISWTTIISALVRAGKLQEAKDMLNKMPFDSFAAKTALMHGYVQSKMVKDARHIFDGLEVRDAVCWNTMISGYVHCGMLDEAMALFQQMPNKDMVSWNTMIAGYAHDGQMRKAVSIFRKMNQRNAVSWNSVISGFVQNGLCVEALQHFVLMTRDAKMPDWSTYACCLSACANLADLQAGRQFHSLLVRSGYIVDSFSGNALISAYAKCGRMLEARQVFDEMAGQDIVSWNALIDGYASNGRGAEAISVFREMEAHDVRPDEVTFVGVLSACSHAGLVDEGLDFFNSMTKDYSLQPVAEHYACMVDLLGRAGRLTEAFELIQGMQIQPNAGVWGAMLGACRLHKNDELAHAAAKKLIELEPHKTSNYVLLSNISAEAGRWDEAEEMRVMITERGVHKPPGLAGST >cds.KYUSt_chr4.26082 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163985161:163987919:-1 gene:KYUSg_chr4.26082 transcript:KYUSt_chr4.26082 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLAVTKIGVALGHEAMSQAISQFSNFITQLTELQGSMSRIRRELRLIHEYLCRMDIRNSNNRTYEIWVEEVRMFVHGIEDIVDEYLHLVGQKHDTGWSTYLKKGFKRPNVFFSLNRLASLVKEAEVNLVHLFQAKDRWVSVVATGYMSDSSYIVEQSKYLANTSRSLDEEDLVEVDENKEKLEQWLADDELERSVIVLHGMGGVGKTTLAANVYRKVKENFDCYSWVSISQTYSREDVLRNLIKELFSDKASVPSNIETMDISSLEETLKNFLEQRKYLILMDDVWTAEAFLDLSGVFIRNLNGSRVVITTREGNVARLASQRYVLTLKPLSKDGSWELFCKMAFPRDTNYECPMELTEFAHELVSKCKGIPLAIVSIGRLLFIRDKTKEELKRIHDQLDWELINNPSLEHPISKVLSFEKEKLIRLWVAEGFIKRRGESTMEEVAEGYLQELVHRNLLQINERNSSGRIKSFRMHDFVRELAVDLCHRECFGVVYEEDKYTESLDESDARRLVIHKLKKDIYQSVSGVHRLRSIIALDIGIPSSTLLTLVVEKSRYMSVLELSGLPIEKVPDAIGDLFNLRYLGLRGSKVKLLPKSIEKLSNLLTLDLSGSYVKELPRGIGKLKKLRQIFSDKPSDRFRRDFQCGTGVCIPKGLENLTNLQTLLSLEAQDESVRQLGELRQLRSLEIWNVKGTYCGCVCASLAEMQYLSYLHVNASDNNEVLRLNGLPPNLKRLSLTGRLAEGMLEESPLFQTAGQNLYSLSISWSQMTEDPLPLFSPLRNLTDLMLTRVYNGKQMIFHAGWFPELKNLRLRDLPNLEVLEVKKGAMLKLEILTLVNLESMVEVPPGIEFLAHVKYLSFREITNEFLTLLRQCPRTQGMQWQHTLRRH >cds.KYUSt_chr4.50743 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314437296:314439862:1 gene:KYUSg_chr4.50743 transcript:KYUSt_chr4.50743 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAALLRRLIHCRRSVVISSRDSIEPVHQQLQLQRQLIQLRHFSNPHRGYHNPSPDLAGARALTKLSPPWPRRPRAPFTSPPLLGVASLSFFSSLVGREKKEEAEVLDMDAGTVRCAANYAPLTPISFIERAAAVYGDRPAVVYGEARPTTWRETRERCVRVAAALAARFGVSRGDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMVSALLKHSGAKVFLVESSLIQVGRAALKRLAADAASLPVLVTISDDADPAGCTDYEGLVRDAPPQFDIRWPENELDPIALNYTSGTTSRPKGVVYNHRGAYLNTVGTVLAYDITHMPTYLWTVPMFHCNGWNLPWGVAMQGGTNVCLRHFTAKVIFDSIARHGVTHMGGAPTVLNMIVNAPAGDRRPLSGTVRVMTGGAAPPPRILFGMEGLGFAVYHIYGLTETYGPATVCTWMPEWDALPGEDRARLKARQGFHHMAMQDVDVKDPATMESVPLDGKTVGEVMFRGNTVMSGYYKDMAATKESMAGGWLRTGDLGVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDHWGETPCAFVELKDGASATEAEIIGFCRERLPHFMAPKTVVFEALPKTSTGKTQKFVLREKARAMGSLTKTTGNSKL >cds.KYUSt_chr3.24955 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154901951:154904492:-1 gene:KYUSg_chr3.24955 transcript:KYUSt_chr3.24955 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTSTSSLLGRRLLLLSRRFLSSPLRPFSTTSSPSSHSAPGFDAEPDPEQPPADQTTADQTPSNKPPNTNRPLENGLDQGIYKAIMVGKVGQEPMQKRLRSGRTVVLFSLGTGGIRNNRRPLDNEEPHQYADRSSVQWHRVCVYPDRLGSLALKHVKTGTTIYLEGNLETKVFSDPITGLVRRIREIAVRGNGRLLFLGDDSNGPKIGELKGVGYF >cds.KYUSt_chr6.32091 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202706194:202706820:-1 gene:KYUSg_chr6.32091 transcript:KYUSt_chr6.32091 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRWWSSSPSCPRYGACVGEVVEAVWCLCLTGEAVAAVKLGLFVPGPLPCSAGACSGAGGGVSGVRFRSGWPAAVVLLRPASPDGGGAAAEDGKWLAVVGSGMSVPDLERVVLGACRRPLLLCVEDPALRGWWSLRLFNAFWLGVPPAPWVVVDGGCFAGVRAGGGSPRWLVDDEDEDPQQFLCLYPLLFCLYLYAFRSCILATVG >cds.KYUSt_chr5.29022 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183711079:183711855:-1 gene:KYUSg_chr5.29022 transcript:KYUSt_chr5.29022 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAGRMYGVATSTGLSVSLAIRLSNDEWGQWEKCDMMDFDPPFTVSPDCNPVLHDGSLYILGEDGKLVVYNGGSHDQWFEILEKPHGFGFKCENSYLFESDRHELMAIFVGHHGTPVNVVKLNKHTMEWEKTESLKGRTLFTVTLTTMMKTTNITGMQDEVFLPGLIDWRETIHVDLVQRDNELAFVPKLGFSHSTMQDDNYDTNIEVACLHVERNHIVGCSYLVIIDELGQGEEARAFRGVHRVDPSIWVDFSSS >cds.KYUSt_chr6.10321 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63570670:63571530:1 gene:KYUSg_chr6.10321 transcript:KYUSt_chr6.10321 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFQSIPGLAGRLFGGAAAAADIRRAQAQQGLPASRCGVFSQAPPEAVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKAKRSSSSSSTAAPSTPAATEAKNQRRASASSSGRSNSGSTSPTAVAAETTATAPPAPATPSSNSNTINFASRSPNYPFAADVPPPAPIFADQAAALASLFAPPPPPPLPVFNFSAALPKTEEAIGSALIAGQEPEVPTSNSTVADMAPFMSLDTGMFELGDDASPAAYWNAGSCWADVPDPSVYLP >cds.KYUSt_chr3.1799 pep primary_assembly:MPB_Lper_Kyuss_1697:3:10406629:10408772:1 gene:KYUSg_chr3.1799 transcript:KYUSt_chr3.1799 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTEMKMTLALELWFSHFLHHWEHCLVNNFMMAHMKRSSRQMLNDNHSLNDNQTPGMTIETLSEFALMNVDSATALTELIFACSMKMKDKKRRCLRLVGEPTWASKKRRQTNEADHGRRRAGRPRASVLETECYHGASARRQTSGVGEAADLGASVLATSVCQEGRRRRRDGRPLGGEVVAVRKASEKRWQRKSEKRRQREGRDDFLFRPADS >cds.KYUSt_chr4.38230 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235743147:235744042:1 gene:KYUSg_chr4.38230 transcript:KYUSt_chr4.38230 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWMCVHTRKDKIRNEVIHDRLRVAPIDEKLVQHRLRWLPPEAPVNGGTLKTTDLHHDGPSDAIQNINATPIAIMKHLNRLDYKFVTTDKDLDNIAGNLHDTKEQGANPRAHLVIAELEKWALPETSIRVNDQAAYQWRSPLP >cds.KYUSt_chr4.48914 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303048755:303056755:1 gene:KYUSg_chr4.48914 transcript:KYUSt_chr4.48914 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPYRQPTSLEEVRTLWIGGLKHGVDERFLYECFALTGEVHSVRLIHNRITGSPEGYGFIEFISHEAAQKALQSYNGARMPGTEHRFRLNWASFGCGETRPHEGADHSNGPYCSVRQTRISAADPKILYGSHLHDGDAKEYVAVQLRLDVPYCRLLDCLPCYLYQHFVHDIFPFPHFVIMHPPMLAVSLRKIVCDAYERRPHAGADHSNGGFCSTTPLQISAAIPNTSAGSQLQNGAAKAAYTIPQVQTALPDSNLTKTTIFIGNLDPNATEEELRQICVQFGDLIRVKIPVGKGCGFVEFASMVSAKEAIKRLHGTVIGQQVVRLSWGRSPASKQVEGATGMASAAGSHIPGMEQNKMYDLVNLPDIDKGVKVVTYPDTAGSEGYGFIKSAAENEKICAVTETNGACCSTRPMQTSAVIPKASSGSQHQDGAAKGDALNIEPTQYGLHSMLPDEPNEILASFKPWLQRFYGYGGTVPCPRDLVPLEEFKKKCPLSEPYVLHNLKEIGVTTNSGRFVLGGLLDDVINTFKSGFSWGGDFSKDDIAVQIGVRCYVSKMANLPGTYENLCKDLDQMAEAMIPHFRGVHKIAFIDHLYKTMKNPPPLSSTRQKIQRYLKFLKSHPARADPLSVSSLLVGIAQTYKSMGLSDKKAFEAAVATINLLNPPDWRDEVGGVQLMKTIDEVDYLVSDFFDNFIAELFEVLVCEFDMDKDILKSVWKMYDVGEDRKEVIKRIWKCENELDFMLDTQEIY >cds.KYUSt_chr6.25384 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160949832:160951167:-1 gene:KYUSg_chr6.25384 transcript:KYUSt_chr6.25384 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAISSPSNARIAVVTGGNKGIGFEVCRQLASDGVTVVLTARDETRGTAAVEKLKGLGLSDVVFHQLEITDASSIIGLAGFLKTRFGKLDILESFSLPFVNNAAVGGVEYLQELDTNEEKFSGLDYHQRLEWMVKNVNETVDGAKECVQTNYYGTKHVIEALLPLLQSSSDGRLVNVSSAYGLLTFINNEEVRQELNDIDSLTEKRLDELLDKFLKDFEAGALEARGWSTGFAAYKMAKAAMNAYSRILANRYPELRVNCAHPGYAKTDMTMSSGVLTPEEGARNVVKVTLLPEGGPTGVYFAKGEEASFL >cds.KYUSt_chr1.36232 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220908068:220910875:1 gene:KYUSg_chr1.36232 transcript:KYUSt_chr1.36232 gene_biotype:protein_coding transcript_biotype:protein_coding MSQILHVLLSPVVGVFANEIWKPIKRHSSYCLKPNTSVGRLAKATTYLKDAKDTIEEKIQLGELQSKRLTEQAQRWIDSARSAVDESYMINNKYEGRKIHFFGCSWNCFFNYRIGKAAAKTKSDAEELNKIVPRDGSIFSLLPPVGRELPLPPNIVGQTEYKDKIVGDIKQGCTMSFIGICGMGGAGKTTLLKQLNNVFSGAAEAHEFDHVIYVEVGQQQHLSTVQHNIAAQLGLALGHDESSISRSASIYNFLKERRFLLLIDDLWQMLDLVNVGVPQGSTQVGPRNRQMVIITTRLQHVCHGMQAHAHVIMLQRLKSDQAWSLFEVNAGCDKLTNSNSQIKGYAKSIVEKCGGLPLALKIVGQAMASKRSEHEWEHTVMLLQQSQFHKVPDAGSDLYHVLYVSYDQLPDERTKQCFLFVVLTSHDCVYVPYTIDIWMSHGLIGDDGDVRNSYLRGHSVLGCLKRACLLEEHPRGESYVRMHDIVRDVALWIVATQQGDGPNKNWLVRHCGEEVEPQEWSSSIERISLRNKSDVAIPDARSCAAHLLLTLVLDRNLRICKVPTGLFTSTPSLTHLSLPRTSIQQLPSDIGALVNLQYLNLSETPLQLIPVELQFLKSLRYLYLGYTDQLKTIPDGTISALSLLRVLDMYKSGPFPEEKTRAYIEELESLAFLQFLGFTVTDCESLHRIFNLPLRFLDIQGVEGLRRLHMSPTLVSKTRARQLDTLALYGIESLESLLIGETDMDSDWYFKILDELRLKNLQNLKSIVWKGVVPHLCLPLLRTLQIEGCHSIRTITWIKQLPCLEEVYVVDCYSVLELASTDDDEGPLSCAATAPFPRLKVLGLSVLMNLHNICDDTLSFPCLQRLVVYECCMLEKLPTRLLKEESAPLILGKNDWWQKLGWDDNSVKSILFPFFRELPAYFQGSMAETYSALHT >cds.KYUSt_chr3.30656 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192240717:192241079:1 gene:KYUSg_chr3.30656 transcript:KYUSt_chr3.30656 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTRLEVAPFPLAASLAGAASLRPILRMASDGRANTAEVDETDEPTVAVPTTMTVVKARKESERQAYLLPAVMSSLGITSMAAAAVYYRFAWQWQMEVCLSLSILAIPLVDFPSKFQFI >cds.KYUSt_chr2.514 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3118927:3120964:-1 gene:KYUSg_chr2.514 transcript:KYUSt_chr2.514 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLYFVLLLVRVVNAEVLCLCWQYPACSGKATGNILLQITPGNVASSALIKCHIQIAPLAFIKCGRQVPVGNISKKSNMARKVPSLFGNDSDE >cds.KYUSt_chr7.7104 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42736935:42737201:1 gene:KYUSg_chr7.7104 transcript:KYUSt_chr7.7104 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPVPLLHGDTGKVMVSDTSEVAATTTNDPRVGAAGRERGREEDGEVASSLQLAHCSRVDSSAAKESAKERGVAANRTGEQDAVVNR >cds.KYUSt_contig_686-1.867 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5320630:5322012:-1 gene:KYUSg_contig_686-1.867 transcript:KYUSt_contig_686-1.867 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADVSSRRPHVLVIAFPSQGHLLPLLDFAHLLSTRHRVHITVAATPSSLPLLSAFLASTPLAAALPMPLPDPSAPEQAGQLAPGTHHALLAVPLSTLRGPLVSWARSQRDPPTAVLSDFFLGSAQLIADDLRVPRVVFYSSGAFATAVAEPLWSGSLPLDPNSPVVLGDLPGSPSIPYRHVPTMVKAYVPGDPDWELAREGFRLNSRAWGAVLNTFAAMEGDFLEHLKRHFGHGRVWAVGPVSASGCRARERPTAGTEELFSWLADCPARSVLYVCFGSMYKPPPAQAAALGAALEASGVRFIWAVSADVAVLPEGLEERARDRGRVVRGWAPQMEILRHAAVGAFVTHCGWNSTLEGVAAGVTLVTWPMKADQFIDARLVVDVHGAAVRAAEGESAVPDPTTLARVFADAVDGAELAGVRAKAVALAVAAAEAVEEGGSSWLDLERMVRELEAVAAA >cds.KYUSt_chr1.27451 pep primary_assembly:MPB_Lper_Kyuss_1697:1:165473149:165478770:1 gene:KYUSg_chr1.27451 transcript:KYUSt_chr1.27451 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRAPIDWNLRCAVGTTGRLLARPSTTAASPPHSVPGDGSPLRTRAGVEEGSRRVQGDTLDACLTLRKKVTQWRHPCPFSCFEFLLRIDDDPLAIRRLPDKFAEFVDGVEPAQLQLREAICNFCRWSMEVLFDGQGKMYLHTGWDKFARDLALEPEASSPSSTRGTAR >cds.KYUSt_chr4.20384 pep primary_assembly:MPB_Lper_Kyuss_1697:4:128259879:128261703:-1 gene:KYUSg_chr4.20384 transcript:KYUSt_chr4.20384 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITTTTAPSSFRISSSPPPTTSTPRTNLTFHARNRCHSRLACRATDVSGAEPSAPPETGGGRTWVPVVPLAALPRGERRVIVQDGDEILLLWYKDEVFAIENRSPAEGAYSEGLLNAKLTQDGCIMCPSTDSTFDLRTGEIKEWYPKNPVLRALTPVLRKLFIYPAKTDGENIYISIRGAGASVGSAEILFSGKAQPGSTASDVNIEEVRMVVDEGVGGFGFTPYNELINGRAAIIGFLLLIDFELLTGKGLLRGTGLLDFIYAISRAFS >cds.KYUSt_chr2.13034 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82660739:82664505:1 gene:KYUSg_chr2.13034 transcript:KYUSt_chr2.13034 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAKRLAPLAKKWQRMAALGRKRVTATTKEDEESCSSVAVKGHCIMYSADGKRFEVPLAYLSTTVLSELLRMSQEEFGFTSDGKITLPCDATVMDYAMCLVGRNASAEVEKALLSSMHCKTVAGRKRHVTQPASSGAQGLMRDGAIDNSVTTQAERVW >cds.KYUSt_chr6.30540 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193497769:193500148:1 gene:KYUSg_chr6.30540 transcript:KYUSt_chr6.30540 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKKRNSAPPVSVTDRIGALPDSILHHVLSFLPAQAAVRTCVLARRWRYLWRYTTGLRIVGLEEDGEYKVSDLHKFVEHLLILRERTDLDTVQIKFDEFCEEDQPCVNLWVRFAVMCKVRALTLHISDERLNLDDLPLVSRHLRTLDLDGVALQKFFLDFASCPALENLNMSYCSIFAGKFIFARDLKHCPTFTKLKTLLLNEYWCEGPDLDPLACILKNSPVLEKLTLQLCSTGPNHKVVIKGSYSSMERPSAISEHLNIVEVKCNVIDEKILKVLKFLTTFNIRFGFL >cds.KYUSt_chr6.22172 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140088334:140089837:1 gene:KYUSg_chr6.22172 transcript:KYUSt_chr6.22172 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFDFDLNQPINWVEEEEEIVEDQYDGTVQDFSYGLVRGDTDQDKLEEHVYPGHGGGAAGQADSGGDGGDVADGQQGCHHGDFAGDVVAVSHANGYRGGAHGKGGGLDLNKIANTRRRTQYTDDERRAIYSMLLKRSGGSTVRRGVSKAVAAEMEMPVRTVQRIWLVGRRGGGIDAVVGKRAKNCGRKRIELDPDAILELPMDRGMSIQELAKAMRMKKTTVFRRLKEGSLRRPRK >cds.KYUSt_chr7.11823 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72610202:72614985:-1 gene:KYUSg_chr7.11823 transcript:KYUSt_chr7.11823 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWVLANLANPFERPCHTRPGADMWASIPRGPAVSGWRFQIACGEDSVNCNLQSLYLEIDYCKATVHLHPIALGFLFEYAAIMLMWMLSWPLDLLIHGFMEDFLTIDLWSIYSNKQIGIKEVFRFAAILHFMLILIQPNWPYGFEVVVCVRLPADLVQLCATRGRLRRDGGKTMIAIKDTLNEEEEEAVGAIARGGMQRPHRVGAVDLITGSCRVPGSGAAPTTVVLPLQHLALIRARQ >cds.KYUSt_chr5.35323 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223211974:223214399:1 gene:KYUSg_chr5.35323 transcript:KYUSt_chr5.35323 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAQVLKETNVTIFQCIVQISRECSSLLPSCATEGWKHRCGEIMRFAGSSVILLLLLLSLSASTAEANKERLRDIAGRKWNLRGRKAMAAPGHGDARKEDPAGAKNTVHFQGKRSSKGSATHPLFQQGASDHDDAATVAAEMLRRDYSVYADPHSRRPINNDAPLDELAEKKP >cds.KYUSt_chr2.11440 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72722791:72723264:-1 gene:KYUSg_chr2.11440 transcript:KYUSt_chr2.11440 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVILDGSTVRSFVADEAAFARSVDARFAALDANGDGVLSRAELRRALESFRLLDGEGFGSAEPLPLPTEVATLYDAVFEQFDADHSGAVDHAEFRDEMRRIMLAVADGLGSQPLQVAVDDEGGSFLLEAAEHEAAMIAAKVQEESRKAVEEASSK >cds.KYUSt_chr2.12867 pep primary_assembly:MPB_Lper_Kyuss_1697:2:81491635:81492528:1 gene:KYUSg_chr2.12867 transcript:KYUSt_chr2.12867 gene_biotype:protein_coding transcript_biotype:protein_coding MISDIRLRDLPTFIRTTDADDTMLTINIKRCELDAPAADGILLNTFDDLERVALDAIRARLPNTFTIGPLGPEVSPPSYLPSITSSLWKEDDRCVAWLDAQPADGSVVYVNFGSITVVTREQMVEFARGLAAAGSPFLWVVRPDMVRDGVDMLPVPEGFAEEVAGRGLMVGWCDQEAVLGHRATGGFLSHCGWNSTVESLRAGVPMLCWPFFSEQVTNCRYACDEWGVGVQLSREAGQAEVEAALRELMGDGEKAAAMRRKAAEWKEKAASAVAAGGSSQRNLDRFVDEVLRAHSSS >cds.KYUSt_chr3.23905 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148274630:148281009:1 gene:KYUSg_chr3.23905 transcript:KYUSt_chr3.23905 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPGYAAAPKRHRRRRPRDAEEGSGFPRARPRGADQVREVAVVQPEVTAMDVEPSSSAAAGGVDGSYLSGTRFDQCAVSPLSLQGIKDAGYERLTRVQEATLPVILEGKDVLAKAKTGTGKTVAFLLPAIELLSTLPRSTSINLLVMLPTRELANQVAVEARKLLKYHSSLDVQVVIGGTRLPQEQRSMKSTPCQILVATPGRLIDHLENTPGFSTRIKGVKVLVLDEADRLLDMGFKRDIEKIISFIPKERQTLLFSATVPAEVRQISHLAMHKDYKFINTVQEGDEETHAQVNQMYMVAPLELHFSIIYGVLKKHVAEDAEYKVIIFCTTAMVTKLVAEILSQLKLNVREIHSRKTQSARTKVSDEFRRSKGLILVSSDVSARGVDYPDVSLVVQVGVPSDRQQYIHRLGRTGRKGKEGQGILLLAPWETHFLNSVNDLSITETVAPPVNPSIQAEVQGAIRKVEMKTKESAYQAWLGYYNSNKTVSGNKSRLVKLAEEFSQRFLGDDQFNNDASSAPEVTVEDEEEAYDGDQNT >cds.KYUSt_chr7.31545 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196487126:196487822:-1 gene:KYUSg_chr7.31545 transcript:KYUSt_chr7.31545 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDEMMPYSPSADSSPSSSDLDTESTGSFFPDRSTTLGTLMGVSAFGGGQRRSTRAAPGEMGEGPTRAAPEEEGEGRRAGGWRRRRRRRRGGSWWRLCRDDTGGPPTRLGEFLDMERQLAGADFLCDGGGGPQVLPEAATVAATALFEDGRVRPPQQRTAPPEERGRWRLQRSGEASSASSSLARLPVLLTGICSGGAG >cds.KYUSt_chr5.40617 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256343048:256343901:-1 gene:KYUSg_chr5.40617 transcript:KYUSt_chr5.40617 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDRLTAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFSEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLMSRGIDPVTHRSINEQHGSNITISFEAAAAAREDNKGAVFRRDEPTKVAITQANHHQMEWGQGKPLKCPDLNLDLCISPPIQEEKPVVKREAGVGVCFSCSLGGLPKSTDCKCSSFLGFRTAMLDFRSLEMK >cds.KYUSt_chr6.6150 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36964441:36972312:-1 gene:KYUSg_chr6.6150 transcript:KYUSt_chr6.6150 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAKTMALHASMVRNTAPLSHPASPKLLLAFHFPVLVEAGWIGSPTPPQRCSGLQRRREGTAAFSFKDGNRLCSLFLRQQGLIKKKRSYQSDSVCLATPVSPMLLSSLNEALAGLDEISQQAAIAINRKLIKKSCPPEFLHVSRTSSRSHLVNLIRKRCESMIAKLQEGQDLPKNFAKALSVMKLHQKLTLRSMDISQSEFFPFSRGTMSLQQDILNALWLLPKINTNEMKLLRSIMGQVSEVKMASFKAAVRKYLTECLFECDDGNLPDLALRAIGFLVGMSPTCQQVILTEERKEVEVDAVLDLSSRLRSFARCAIEEGLSDDEFSLESYSGSEDNDFVLTGSNYFGSLSEQHMDEGCCSNFTIKNAEGSEYVGGAGHYGDNEAAASMKDPCLKGNVKMTRCFEEDLSEVCDDTASTAHKFIGQILKNMLTENEVADEISGCYLGGSSNSEDPRAPWTKLEGYWMVLSSETPIDEQCEMTEADVAPDDHSRLAMTQSLENICYFILVIQLPICVAEHGSDADQNQ >cds.KYUSt_chr1.27308 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164598166:164599098:-1 gene:KYUSg_chr1.27308 transcript:KYUSt_chr1.27308 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTSSNSSGGGGGHNQHLGVNKLGRNIRKATPPPPPPQQQQQQAQPARPPHPQPQVYNISKNHFRDMVQQLTAGTPSPPPPHHAHRPPPPLQHQHQQHQHPKPASMRLQKIRPPPIATPVARPPPPVHNHHHQMPNPTHNPAFHRPPPPHHMPMPPPGPAWADSPVSAYMRILENSLFSATPPGAAAAAAAAAHAHAAAAAGRGPPQHQHPYPPPPPPPVPSPGILPSPTGFLNLLSPTPRSPYPLLSPGYQQPSPNFPLLSPLPGTGILGPGPMPPPSPGLWFPQSPSGLLSPSGFFPMLSPRWRDM >cds.KYUSt_chr4.13243 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81478152:81480007:-1 gene:KYUSg_chr4.13243 transcript:KYUSt_chr4.13243 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSLSLPSPAASSSNRLLASSPSPSSGCAGSRRGLRAASHGSLTQTAAPGRRGDVRWRAPVSLSSFSSFLPSFFKNNKGKEDEEKAARLKEELLAAIAPLDRGAEATQEDKDRVEEIARRLEEARPAKEPLKSDLLNGKWELLYTTSTSILQPQRPKFLRPYGTIYQAINTDTLRAQNMETLPYFNQVTANLVPLTSRRVAVQFDYFKIFSLIPIKAPGRGKGELEITYLDEELRVSRGDKGNLFVLKMADPTYRVPL >cds.KYUSt_chr2.53164 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331650053:331650667:1 gene:KYUSg_chr2.53164 transcript:KYUSt_chr2.53164 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPPTADVFGRVRNATKLLSCTVRNHTVQVPVGGTTRWNPSAEQIKVLEALYRGGMRTPNAAQIERITEELGKHGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTLDSPSLPEITTKVTKEACDDMTSCKRRRTSWGDVQGDAATTEVAVHRTDDDVTLELFPLRPQGKA >cds.KYUSt_chr6.31743 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200558249:200562058:1 gene:KYUSg_chr6.31743 transcript:KYUSt_chr6.31743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase III precursor (EC 3.4.16.5) [Source: Projected from Oryza sativa (Os02g0114200)] MATAPRLLLLLVVCLAAGAAVDGLRLPPDASFPAAQAERLIRALNLLPKESGRNAGVAGADVAPGQLLERRVTLPGLPEGVADLGHHAGYYRLPNTHDARMFYFFFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTIANNMSLAWNKFGWDKISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYSFLQVFFKKHPEFVKNDFFITGESYAGHYIPAFASRVHQGNKAKEGIHINFKGFAIGNGLTDPAIQYKAYTDYALDMNLIQKSDYERINKFIPPCEFAIKLCGTNGKSSCMAAYMVCNSIFNSIMKLVGTKNYYDVRKECEGKLCYDFSNMEKFFGDKAVRQAIGVGDIEFVSCSTSVYEAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFASSAESSFLVDDAQAGVLKSHGALSFLKVHNAGHMVPMDQPKAALEMLRRFTQGKLKEPVVPEEETSMFYAAM >cds.KYUSt_chr2.32824 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202436586:202440424:-1 gene:KYUSg_chr2.32824 transcript:KYUSt_chr2.32824 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSPVIERAEEDGTIVFQDGSRIKADAIVHCTGYKYNFPFIGDDVGTYVEDNCVRPLYKHVFPPQLALLTSPSLDCPSCTWVARVLSGRIELPSQENMMHDVAAFYADMEARGCPKRSTHGLRCTFEYEDWLVEQCGMEKIEEWRKLIYIMARTTAPDRHESYRDEWEDNHLLAQAHRDFTKYL >cds.KYUSt_chr3.34941 pep primary_assembly:MPB_Lper_Kyuss_1697:3:219089858:219091354:1 gene:KYUSg_chr3.34941 transcript:KYUSt_chr3.34941 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQKLAASWLPVDFRLPAVPQASLGILAFEAAAAMSRLLSLHRSLSEQEVSRLRSDAMRSSGVSYLNSTDQAFLLRLACAELVLSLDAAAAAVARLGLRCGIDFGGVYASLKAGAHDARIDPLVAKGLKVKAKKMERLVAATSRLCSEMEALGELEADERKLTSRGWIRHSGPIPAKLTTDPPTHLLTGGGGGDTFGADSLRQEIKTQLLKVRRLKEESLWSKSYEKAVGLMARAACAVFVRICTVFGHYVPGLPPPMPCTAGDSVQARLSKLLSPRAGKARASSGPITRRERELGAPARVHPPMQQHLSNSCPIIGLRPLSGHKPGVDWRKLLDAPPSTLGGAGLEQQYANVIVSAEELLQMEAEGRQEEANAERAEMYEMLPGKLRAAVRSKLRDWWRDPGPLDAGLADGWKEAVARIMAWLGPMAHHTVQWQAERTMDRTRRFDGGTRVYALQTLRWADKEKAEAAIVEVLVALSCICWYEERRRGSVRHTSIR >cds.KYUSt_chr1.34755 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211684275:211685661:-1 gene:KYUSg_chr1.34755 transcript:KYUSt_chr1.34755 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMDQTMIIVSGIVGSLGVLSAILGFSAEGTKLTVSDLLSAYGVCYYPPNPALALGVCAAIFLIIAQVVFAVGGGCCGCCKSRAMPSETSRIIGLVCAIVSWISAVIAFALFVRGAASNATGERDATILGLCYVLKDGIFAGAAVLTLAATALALTSYILLRRQPDAAAGAKAQLPVSAAGISMGQPQFPQQSPPQGYGQAPPPNYPQYSPPAQGTYGQAPNGQFPPPAQGYGGHAPNQQFPPPAQGYGGHAPNQQFPPPAQVYGGHAPNQQFSSPPPAQGYGAHAPNQQYPPPAHGYAPNQQYPPSSPPPTHGYAPAHQPNQQAPLAQGYEQVQEGPEVAAEVAEGETDGGAWTSEPV >cds.KYUSt_chr4.34118 pep primary_assembly:MPB_Lper_Kyuss_1697:4:209285345:209289173:1 gene:KYUSg_chr4.34118 transcript:KYUSt_chr4.34118 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSRTSWADVADAEPAPPAPAPAPAAAPAASNGPARADRSSYVPPHLRNRSAAAPPPASSAPPPRAAPGLLGRPAPAAGGRFAASGPPPRRWDREPNPFGNEEEAAPEPVPFDEHQNTGINFDAYEDIPVETSGREVPPAVGTFAEIDLGAALNDNIRRCKYVRPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPVQRPQRSGSRTACPLALILSPTRELSMQIHEEAKKFSYQTGVRVVVAYGGAPITQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPRGVRQTLLFSATFPGEIQKMASDFLENYIFLAVGRVGSSTELIAQRVEFVQEADKRSHLMDLLHAQRDSADQAKQALTLVFVETKRGADSLENWLCTNGFPATSIHGDRNQQEREYALRSFKSGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNDNNSSMAKSLADLMTESNQEVPAWLIRYAARPSYGSSSGGRNRRGGGGASRFGGRDFRKESSSFGKGGGADYGGSSGGYGGSSTYGGGGYGGAGAPSAWD >cds.KYUSt_chr4.41847 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258770235:258771398:1 gene:KYUSg_chr4.41847 transcript:KYUSt_chr4.41847 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVPRSTFNFDFEYERMILAEAEKENPNWGKFVAERQTPATQQQPQPPGGPRHTTSTTSMAASVDPVVEKYISMGLGRQAVSFAVLNYGDNPTKACSFPLVHLVL >cds.KYUSt_chr1.30402 pep primary_assembly:MPB_Lper_Kyuss_1697:1:184085590:184091364:1 gene:KYUSg_chr1.30402 transcript:KYUSt_chr1.30402 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPAGDAEAEERRRLRSLAAEQRRLAGPGVAREGSAVEALPPSPPPLSSVTLGRCLQALLLGYHGGLQWQEMSRLQIGNAQQLQEVTIVDYSVGNTRPHDPFSVALSLHVTMSYAQRLRFVTVLSIGAVADLTTTLLVGLCMFLSWSSYGLRVLCFLHYVFICLVPEVLICQKHNYLVDWSSLLLPPLPPEDATHQHRAFWLRCCFIRPILPRKTRRPSSSFAETFAEIEVCGVVIEDGEFMCKWIFVLSGTRKAKCIFVAEHIKESEKKGTFQRSRFLLKVLHLLRGDYLSKMATTSVDQTAHSLVMVNATI >cds.KYUSt_chr4.12436 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75940653:75941663:1 gene:KYUSg_chr4.12436 transcript:KYUSt_chr4.12436 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERKLPQLHLKLDVPTSCAFRCPAPAPAVTAETPSTSAAARPDGEFRQLDFDKLSVLGSGNGGTVHKVSHRRTLALYALKIIHRGHPGAADEVAVVRRVDSPHIVRCHSVLPTASGDSALLLELMDGGSLDSLVSGGFPEAALAEVAAQALSGLAYLRTRRVVHRDMKPANLLVSRTGQVKIADFGIAEVVSRAGSHRAAYEGTAAYMSPERFGTERPNDGEEEVRVDPYAADVWGLGLIVLELLMGRYPLLPAGKKPTFPALMWAICFGELPAIPDGAASPALRDFVAACLHKDQRKRATVADLLAHPFVTGRDMAASRRALCQVIEQRCR >cds.KYUSt_chr4.47021 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290925432:290929573:1 gene:KYUSg_chr4.47021 transcript:KYUSt_chr4.47021 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVATMVVVKCNPKLLAATVNLSGPGAAAAVRVACCGIGHFTGTNHGIPAVVANAIEAGPGTIAFFALPKDLTPCTLLETVPSEEDRAGAASLLFFIISFERFLKGAGPGPEHREMRFGCFAKLSGGGFPLEHWTDVGIRTTFGSAVKVCCINMACLSGFDYFTVGVMVKLQNAFDVPWALLLRLFSGDVAMPIGVIPTYTVEEDGLSVSSELFADSVSPGVRADVLVGIDRPPPTQSDVDCERMLARMEWPIGISGRRLSFTPQPQVASPAAPPFIPPQLAAILAASSNMSQMAASSSSCPLPRLAAVRLGFFPTPLLYAPVPIVPLSAVPPLAPLPSAPPASLDTYSAAPDVAVSPVVTTTADVVVPVGPFAIDGPSNGPYITHLITPPVPPPTRVVSAVTATGARVSAENPAYRAWAAQNQAILSALQSSLTEGVAGLVVFAATSFDVWGTMADNFSAHSSARASALRQQLLECKKLDSSAHAYFHTIKTLADTLSAIGQPLRDME >cds.KYUSt_chr5.4014 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25891213:25891806:1 gene:KYUSg_chr5.4014 transcript:KYUSt_chr5.4014 gene_biotype:protein_coding transcript_biotype:protein_coding MTATATRLPCPSPPLLLPPHPSFPGYGSALLLQHYRRGSVFRVIDKRRRKLEKKCFRLDSDLGESATEYASAGKKQRLGRTLSDSPCPEATSASQDPDRCPRYRFSSVCGHRREMEDAVSVRPDFLSGSSMSHFFGVFDGDGCSHVRILSLPAAECLDNDFEEPPPEQPPYAIGVATEPPDPPLEWWPDALQQRGEY >cds.KYUSt_chr2.54254 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338509364:338511973:1 gene:KYUSg_chr2.54254 transcript:KYUSt_chr2.54254 gene_biotype:protein_coding transcript_biotype:protein_coding MELITNVSEYEKLAREKLPKMVYDYYASGAEDQWTLRENREAFSRILFRPRVLIDVSHIDIATKILGFDISMPIMIAPSAMHKMAHPEGELATARAAASAGTIMTLSTLATSSVEEVNSVGPGIRFFQLYVFKDRNLVRQLVKRAETAGFKAIVLTVDTPILGRREADIKNRFILPPHLVLKNFEALDLGTMDKTDDSGLASYVAGQFDRSLCWEDVKWLQTITPLPILVKGVMTAEDTRLAIENGAAGIIVSNHGARQLDYVPATISCLEEVVTEAKGRLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVLYSLAVDGEAGVRKMLQMLRDELELAMALGGCASLRDITRAHVVTDGDRIRRARL >cds.KYUSt_chr2.21119 pep primary_assembly:MPB_Lper_Kyuss_1697:2:132668087:132668880:-1 gene:KYUSg_chr2.21119 transcript:KYUSt_chr2.21119 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGWISIAGQAASPPCDDDQLGCHRQQVHGYATAMYISDTAQGVGNAGELTSLENTIFQLATLNSSIATLLVCAVLSPVEYLGNFTLNEYPL >cds.KYUSt_chr7.15209 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94386469:94390452:-1 gene:KYUSg_chr7.15209 transcript:KYUSt_chr7.15209 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSSPDTSDVDVSDGPGPASSNLTLLYIIIAVLAGVLLYLAVRYGRSLLAEWRALHGDGPPTDTHLGLSMEDIAALPTFTYRARAAPTPSPQGSWGGKRRSGSKGRAASASVECVVCLQELEDGDVVRVLPACRHFFHVSCIDAWLRAHSSCPVCRAHPEPERVRPGEAAMSPPLPQLRRCDVSPERPTATRIFADFLARSPLRIGGSTSGSKERVVSRSPSPAPMVRDYVLSRSPSRTPLTHGMVDERCSLSQSPPQMLEVVVVRSPSPMRMSMDMFMIILQGVRDYDPYFQCRPDAIGALGFTSYQKCSASIRMVSYGIVAGIFDEYLRMGESTSLESMYRFCRVVVVVFGKHYFTEPTVEDTRRLLSINESRGFPCMIDSIDCMHWKWKNCPFGWQGQYS >cds.KYUSt_chr2.48186 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301360554:301367402:-1 gene:KYUSg_chr2.48186 transcript:KYUSt_chr2.48186 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGILEVRCAGCGETLEVEHGLTEFACPDCGMAQSLPPELMPPRPRRALPLPGRVAPYAAPPAPVAPARVTCGGCGSVLSVPHGPGRFACPLCGAELASSPLAAIPVVTTPAAVPISSTRLPNHRQHVQHGPPNQSAHAGIVEKPIRSEQSHGQHPKQYFREESFSSFRADSRTQADAIGRLQNESLNPLVHREKSHTEPVQRTIAMPGKKKYRFVFGPKSWQEGNVQEEHLNQVVRASLAQVIPTKSSVRANQTEGGFPRDVISVHNKQATEHVAAPSAMEYEQITSQHPVVHEQQAGEIPSDTVQSNQVVHASQAQVIPKKSSVHASRTEGGFPYDMIPMHNKQGTEHVAAPSAMEHEQITSQHPVAHEQQAGEIPSGTVQPSQAVSASQAQVIPAKSSVDANQKEGGFPYNTFSMHNKQTTEHVAAPSVMEHEQITSRHRVVHEQQAGEIPSDKVQAEQEKVDSACKPSIKNKKSMENTKGNRRRKNRNLMNDPNEWSHLRRSKRLSKGSPDFIDIEPIQRLDASPLQNHSEAPQIDSTSADPASSSPTRYQCSQSGPIELDNIDVTPAPTSSHGAQQAEQFPHCHSKTYSPETRWVLLNPSSNSWHEHEIPQKSFNEIDQLDKSDDEDAQCDDMQSGSLSKSSKKRRGRPPTLLMEPRIEADRPVLTPNGTDWSVHPPGPKVVTTLSLLIKQNYPGTCISVDSDGRSCEVVVHYWHQYPPDVRATVLDEFLKRYKWSSGQEEECLKIFERKAIRQLVNLFCYEKQRIREELAAKRSKKSSTVGRASGEMALEKGDGTEVGLGDESAVLLDHDDPRSWKTFVPDWLQPIWWEMLCDHWGKDEFMKVSYQKRKNRNAGSHPCDAEGSRSTITRQQDMTYQDTEEASDAPLGPHHVQEEVGSSKRGRHYGTPAVSKMAQTDSSSKSSPYCLNKQGQQPRFTQDQVQQMINQALQGLNETWEKKFLSLEQNMRSMSSSRGVPADTLDSVEGEKDMDARDEDGENQGGHWR >cds.KYUSt_chr5.36887 pep primary_assembly:MPB_Lper_Kyuss_1697:5:233303362:233307387:-1 gene:KYUSg_chr5.36887 transcript:KYUSt_chr5.36887 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIRPGVGGVVPYPNPVARFLLRNPRPRTPHCPRCFVSSRSLAPCPVVVARAGDGGDVLDTLVLETAATSNQLHVTLLLEELRLKTLSLLGNKMGKGLQDESKVKLQKCKPAPINMNGVADLVFPLRHL >cds.KYUSt_chr7.39505 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245598706:245599128:-1 gene:KYUSg_chr7.39505 transcript:KYUSt_chr7.39505 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPLGHVRLDRLNDIVDGPHNLDADSWTSSAPPARHVCRANENNQHLVTTPFLHSIRHVVRTTPGHRPPPCSPCFLGLSAVHVDMPSLIPAPPRPWCPSLHLLKSKMSSTVEVPEEQMAVEEENNLASEEGNNLASGN >cds.KYUSt_chr4.23842 pep primary_assembly:MPB_Lper_Kyuss_1697:4:150177135:150180532:-1 gene:KYUSg_chr4.23842 transcript:KYUSt_chr4.23842 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKKSWLRASCEEAQGECSGSHCWADKERKTLELDSEGEDYMEPESMAARDVTLLEQVDADMAELKCGEDGDDGQDMKWEDIMAAQKLWIRKREICAKLPLIPFQAKYTHNYQYQNKEEETESTRAVKEQMALEESEFAVYRSSSEFSCFGSFETITTLSPMYYTHWTPERIPFMIGIATTGSSLQIYSFKIQMKGENLNWPLYVYGVVAARDKVDRNRNLLFYRPRHDCQILTEDDQILRLTGPSRAIIALDPVDFEVELKLKGITESKDRPLMNKRYHYWGVCSTDSVSIKLENCKCTAEISLKHHPETVQATVLGVRIVEGSLFPFKYGGRVVCYSPPEERLLMGSKGTIDDVMDLSKEILLLDSRDFDDGVEMPMGSDGYFDLSRRVVSVPWKESMKIVIQAYSESLAKSEGKKRCLEPEDIAAKGHVKFRSKYCNISQGTCDLGDCKVEVTVACGLFVQAFVYRSAMLDVLTICESALYDGDYAAKTSTPPEKDLAFVTFQHDNANVKYVSTSEEGPCLLALAEVKGMYLDVAPKEESGVKDVADAGPPPPAGDFSRTEPPPHHSQRAPDPEEPKLRGWDPRVAEAPSSDLAEVKPG >cds.KYUSt_chr4.43862 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271920626:271920874:1 gene:KYUSg_chr4.43862 transcript:KYUSt_chr4.43862 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCSEPLAVGSVLHQCVVEVDEDGTMAAAATEAQMMFGCCLEDYEEPERVDFVADHPFLFLVTEDHSGIVLFAGQVLNPLL >cds.KYUSt_chr5.9864 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63092199:63095764:1 gene:KYUSg_chr5.9864 transcript:KYUSt_chr5.9864 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAHQKAAAAAPADEEEAGEVGPFPIEQLQASGIAALDVKKLKDAGHCTVESVAYCPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSATQLHAQRLEIIQVTTGSRELDRILEGGIETGSITELYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIIDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVISNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLYLRKGRAEERICKVVSSPCLAEAEARFQISPEGVTDVKD >cds.KYUSt_chr7.13766 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84963896:84965107:-1 gene:KYUSg_chr7.13766 transcript:KYUSt_chr7.13766 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYFGSLLPPDLDEGADADPPKSCLLDRKPFIGKRTNATTATCRIQDDVAIQVSFFAAHPPCMSYILAWSSGTCFTDEPVVLSTDGGLILLSFAIQTERFPFSDYYIYQPSAPSLHLIPQPSGRRLVDDLCRAALLSHGRGSSGYHVVTLTMEAEGEFHLYVFSSDTQIWTLKKPVLILEDGAPKLANYMTYKAIPLGGGSMAFVDLWNGILICNVLDGGESPELHYLPLPSTGLCQPSPSCYPLSPRDITINVNGQGKGETMDIKLAEVVYPFNSEGWCTDTWVATTMAISPWRKLKGWHKESTHGSELSLPEGLNLAGLLDLNTLGCLFVDMPMLSLDQDNVVCFMAKNDIDDYQAWLIAVDMAKKKLQGVSLLEVERSPSLFYSSISDHLIMTAPAKYH >cds.KYUSt_chr1.1843 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10642739:10643632:1 gene:KYUSg_chr1.1843 transcript:KYUSt_chr1.1843 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPTMVIQDDYIDMDLSPSSPKCALLEFEFQSGAGAGGVSRHDRETAYESPADELFYRGKLLPLHLPPRLQLVQKLLQEQQVRVPEIKPAAAPPSASVSEAEDDKVGAKKYSWSKRLKLMKRWTSREYIKSFFLARSGDIGGGAAVRMGSVLDQDELCSHRRSFSGIIRRVRLVVATKASPPATSPLCSSSSSSSASTPSCGNPEGFFLRPRTATPVLKRSSSAGSEEGGIHGAIAHCKRSHQQLLQQGRRSASGVVFYSLSNTPRISTSTSVAVTAAAAASEAAQKERQEICRG >cds.KYUSt_chr1.5437 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33520583:33520876:-1 gene:KYUSg_chr1.5437 transcript:KYUSt_chr1.5437 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRSGGGGGRTKKSTVPVSTSTGNELPVDGAVRVTRVDRIQAYNLVSRPSVYHAVSAATSVPVESLAVSVVRVGDVVDDEHDGFVSVTVAHNDYKN >cds.KYUSt_chr4.18387 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115616400:115617176:-1 gene:KYUSg_chr4.18387 transcript:KYUSt_chr4.18387 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGQVNSWVGKVTSGLIKEILPAGSVDSDTKLVLANALYFKGGWTERFDASETKDEKFYLLEKSSVKAPFMSSAKRQYISSCDNFKVLKLPYQQGGDKRQFSMYILLPEARDGIWSLVTNLTSEPEFLENHIPKGKVPVGHFKLPKFKISFEFEASKLLKGWGLQLPFSAGADLSEMADSPLCVSSIFHKSFVEVNEEGTEAAAASAAVVMCKSLPVEDLLLPVDFVADHPFIFMIREDVSGVVLFTGHVLNPVIAA >cds.KYUSt_chr5.32789 pep primary_assembly:MPB_Lper_Kyuss_1697:5:207933013:207939596:-1 gene:KYUSg_chr5.32789 transcript:KYUSt_chr5.32789 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPFMVVYGFEPPTAIDLLPLPLHEQVNMNIDKRAQYMKKLHEDTRATIEQQVLRQATRHNMKKKARIFNEGDLVWIHLRKDRFPQERNSKLKPRGDGPFKVLKRINDNAYVIDIPTSKYLLIEGRCQHSAGFACEHTLLWMHVVDPAVIVLKCCREARRHARSRRASPVAHEVSTTCSGRRQGSVSRVPAKWTSTPDADFPIHLACGRCGSTSSRKEDQEELALLRGCGVWSMARVALLLLYVSGVFELARTLLVELDARPPFFCGGRGRLAMSRGCALQLLSHPLLTFSTHLASARCVFDEACSWTSLLTAYARAGQLNEARSLFDGMPHKTPVAWSAMLSAYVGAGGFADALEVFDGMLRARVRPNRAAFVGALAACGALRALEQGRWVHALVTGSGVDGVVATTLVDMHAKWGSLEAATQVFAAMLERERDTEAVELFGRMQDRGVRPNEITFICVLDACARAGSGLVGVTKEIFRTMPTVHGIEPEVEHYGSMVDVLGRAGLLAEAVGLVIRRRRQSAPGLQFWLLDLGFIS >cds.KYUSt_chr2.11529 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73335566:73336135:-1 gene:KYUSg_chr2.11529 transcript:KYUSt_chr2.11529 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTAATVALFFLVTLSASHVASSLRPSLGVCHASGYLPGKAGKCERSNDPDCCEDGKRYPQYHCSPPVTAATKAVLTLNSFEKGKDGGGPSECDKAYHSDKEMVVALSTGWFNKMARCGHKIKITANGKSVYAKVVDECDSVYGCDSEHNYEPPCDNNIVDASPAVWNALGLDQNVGMEDITWSEQ >cds.KYUSt_chr6.14742 pep primary_assembly:MPB_Lper_Kyuss_1697:6:92346165:92350303:-1 gene:KYUSg_chr6.14742 transcript:KYUSt_chr6.14742 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWIPLEANPDVMNQFMWGLGVTEGEVQFCDVYGLDDDLLAMVPQPVLAVIFLYPLTSQDDKVEEYDASTTSTAGGKDLSKSVYFTKQTVGNACGTVGVIHAIGNAASNINLVEGSYFDRFYKQTADMDPVQRAAFLEEDDEMEGAHSIAASAGDTDANVDVNEHFVCFSCVDGELYELDGRKSKPISHGPSSPDTLLQDAAKVIKARIAQNPDSMNFNVMALSKQ >cds.KYUSt_chr2.28575 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175371375:175371705:-1 gene:KYUSg_chr2.28575 transcript:KYUSt_chr2.28575 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSKARPKLGAEVSVKKAPMKDQPKKASLAPLTASAVGAPASDGTFAHHAFVRDPTGANKRDELVYFVSMLNDTSELDNTLL >cds.KYUSt_chr1.3637 pep primary_assembly:MPB_Lper_Kyuss_1697:1:22112663:22112923:1 gene:KYUSg_chr1.3637 transcript:KYUSt_chr1.3637 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTPRRHHRRLPALAPPSPTWCHHSPSARRAYEEEEEDCQGAEKQQQRWRLWEKKAASASSSPAAAAAPSLVSMVSMVSMVSSC >cds.KYUSt_chr2.6022 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37483116:37483358:-1 gene:KYUSg_chr2.6022 transcript:KYUSt_chr2.6022 gene_biotype:protein_coding transcript_biotype:protein_coding MIELTRCILDYAASLKHLILGTTNGYHRRRSAKCFPLGKDTLLEARKALEAIMTYIEGKVPSKVNFKVLEPCKCNKCQEV >cds.KYUSt_chr2.16691 pep primary_assembly:MPB_Lper_Kyuss_1697:2:104912336:104913643:1 gene:KYUSg_chr2.16691 transcript:KYUSt_chr2.16691 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPAMAPAAWPASATQDEMRWRQLDSGVSAVSFGFVATAILVSMFLAMAILEHFLRAPARARGMAPPFAPSPPRGGILFRLRFLLLRGRGGGEAGGFPGSDLEAASKLDGRASPESYKLRGVLFEIKGDGIERRTWQTVGDRQGPIPVCTHSKV >cds.KYUSt_chr6.29327 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185824454:185827601:-1 gene:KYUSg_chr6.29327 transcript:KYUSt_chr6.29327 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGLPLLLLLISALFAQIRASDPLFHETFDESFEGSWVVSGKEEYAGVWKHAKSDGHEDYGLLVSEPAKKYAIVKELDNPVTLKDGTVVLQFEVRLQNGLECGGAYLKYIRVQDTGLDTKEFDNGTPYTIMFGPDKCGSTNKVHFILKHKNPKTGEYVEHHLKSPPSVPYDKLSHVYTAILKPDNELRILIDGEEKSKANFLSSDDFEPALIPSKTIPDPDDKKPEDWDERAKIPDPDATKPDDWDEDAPMEIVDEEATKPEGWLDDEPDEIDDPEAAKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKKPMKQNPAYKGKWHAPMIDNPSYKGIWKPQEIPNPEYFELDKPDFDPIAAIGIEIWTMQDGILFDNIVIADDEKVANSILEKTWKPKFDVEKEKQKAEQAAAGSSDGLSEFQKKVFDVLYKIADIPFLEPYKTKIIDVIEKGEKQPNITISIVASIVVVLVSILFRTLFGGKKAVAPVKPAAEVKKPSAPAADAAGSSGVTEENEDEKDDTAAPRRRSRRET >cds.KYUSt_chr4.45837 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283580267:283584945:1 gene:KYUSg_chr4.45837 transcript:KYUSt_chr4.45837 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLENLSTLMGDEYRKLKGVRNKVVSLHEELSSMNALLVKLAAMDELDVQAKVWRDQVREMSYDIEDCIDDFMHDLEVKGATTGFLKKTAERFKKLKVRHQIANKINRIEARVLQVHERRIRYTLDEYNPTTSIVHIDPRALAIFVDAAGLVGIETPRDELIELFMDQGQELKVASIVGFGGLGNTTLASEVCRKIEGQFTSHAFVSVSLKPDIPRLLQNLLLKLTRQQSSQNSSFDDVRRQGADLDGTLNIVDIFGGKISGSLSILRMQSWDSQKLDGWTTFSPPPIHLREMKMWGCVFSTIPNWFGHLRDLQSLRFKVRATWLKDDGVAILAGLPSLVYLELESEEPLEERMRIPGSGMAFQALKDFQLYCRARLLTFEAGAMLVLKRLCLQLIPSSCENGGSVEGPLDGIEHLPAGLREIDIGIKGERDEALTSSLKNGFEEYHPGAALKIRYW >cds.KYUSt_chr7.29573 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184075297:184075744:-1 gene:KYUSg_chr7.29573 transcript:KYUSt_chr7.29573 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGTQREGQKEVDGQSPSPLDPQPRPPVFATGASPALGLLHSAESERGMRVLEVDMGALNRGLEKAIASASRQIQSKYRAGCQAGRLETSASSQLPPPVPALQVPQSAVADEWIQVWR >cds.KYUSt_contig_686-1.125 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:889880:892296:1 gene:KYUSg_contig_686-1.125 transcript:KYUSt_contig_686-1.125 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYRRAAELGHPVGMCNLGVSYLEADPPEAEEAVRWFYPAASAGNVRAQYNLGLCLQNGKGIKRNQREADHMRAKKWLQLAADCGHKKALYECGLKLCALLNDCEHDSGANKNGYRHYDFRRVSFLHISSIRSARRHVNRRRTVEESMRLQWRK >cds.KYUSt_chr2.35674 pep primary_assembly:MPB_Lper_Kyuss_1697:2:220446435:220451634:-1 gene:KYUSg_chr2.35674 transcript:KYUSt_chr2.35674 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGDKPSGSTVGQEVPPPRPAAAGSGIAKRLPRLAFMILLAVLFRQLQAPPPKICGTPGGPPVTGTRIRLKDGRHLAYHESGVPKEEAKHRIIFVHGFDSCRYDALQVSPELAQELGVYILSFDRPGYGESDPHPERTEKSTALDIEELADALELGPRFYLVGFSMGGEIMWSCLKHIPHRLSGVSILGPVGNYWWSGYPSNVSWAAWYQQIPQDQWAVRVAHHAPWLTYWWNTQKFFPASSVIAFNPAILSREDMAIVPKLAYRSYAGQARQQGEHESLHRDMIVGFGKWAWSPLELEDPFPAGDAEVHLWHGAEDLIVPVGLSRYIAKTLPWVRYHELPTAGHLFPIADGMGDVIVRTMVLGA >cds.KYUSt_chr4.52600 pep primary_assembly:MPB_Lper_Kyuss_1697:4:326323456:326329145:-1 gene:KYUSg_chr4.52600 transcript:KYUSt_chr4.52600 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCSVIKEAGLNGPICQQHTRRGISISRVNPLFSPRDLAAAAAAAAAMAFSARRSLTLSHHLTRRLHPSLSHLVPSHHDRREDPSSSSAAPPPPAQQTPHLPSADLRRRARSQSLPLPLPFGAHLLAHRTFSSSSSSSEIDAAATVLTDPAADQMDVAAGVLSDAATAVAPAFPASFPGEVAAAAADSFPPVAALQYVIDAVHSFTGLNWWAAIAVTTILIRTATIPLMVNQLKSTMKLNAMRPEIEAINMEMRNIQNMVEKVPSLKGGGAYWFTDLTTPDELYILPVLTAATFLATVELNMQEGMEGNPMLQTMKKFSRILAVTTIPFTMHFPKAIFCYWVTANLFSLGYGFALRKTAVRYFLNLPEVIPQPVPAQMSTFSLFEGPKSSPAVDPAAEVEGSEPSNAELKDRVVDLEKKIAELEKRAKARGESEE >cds.KYUSt_chr2.33633 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207772183:207775957:-1 gene:KYUSg_chr2.33633 transcript:KYUSt_chr2.33633 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDVETDSPLPVPDDLDDNGFPTAAATTSSSFADDFYRSGIDWSSLQAPPQHPREAASGTDAKGGGSLVQRSLFQAWGIEKPPREEAAPLVQRSLFQAWGIKKPPPPPREEAAQGFRDRSRVSSPSPSSSSGALSGKKRSWGGSEENGAPAARKPIACPFYKKIPGKGRSCTRYICPLELDTEYVIEGVTVTLLEANHCPGAALIHYRLRDGKTYLHTGDFRASKSMQLHPLLQTGRINLLYLDTTYCNPKYKFPPQEDVIDFVVRTAQRYLKKQPKTLIVVGAYSIGKENVYLAISQALEVPIYTDASRRRILHSFGWPNLSKRISSCNQSSLHVLPLASVQHENLKKYLETHNQRFLAVLAFRPTGWTFSEAAGKELDLIKPSSKGSVTIYGVPYSEHSSFTELEDFLKVHIVVPAIEVTSADSIS >cds.KYUSt_contig_1181.405 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2772552:2775733:1 gene:KYUSg_contig_1181.405 transcript:KYUSt_contig_1181.405 gene_biotype:protein_coding transcript_biotype:protein_coding MPYQKGELGITRRACRVALESLPLNAWEDEDTVKAVLGGGCELDRIEQRSVLQDNTAALFAWVWSLDPDLIPCVKAHSILNRPVVGRADLPEGTPAEEGRDGPLYRILIHLDTIIDYTPIDESRRKRGYNWPNKTRLDWEFGTKDNAAGARRRPGRDRLGPSNHRHDNDREDRRGDRDGRRGERRSSRHGGDRGGSGDAARRDSHQRHDRHDRRGSRSPDHRRRGDTSRRRSRSPAAAALADSLPVTHTVSLAREDRHEPMPVLLPPVHEHAPRSRRSRSGTPMGSEAFGSTPSPPPGPDRRMCIGSPMMISSSPPHCESPFMLISPRTDHFDDTTNGVPAPPSPQIPWAAIPDAEAGSDDAIAFTDCWSANIVDTNAVEGTTPCQFTQASIGAWEGLPLERSGEHIPSTQSMQDWIGAWEAEPSHGMMHEHGQIGWEQRTATPARSERLFGPGYQQTSQALVDHRVCTPITEKTVYEINEFCALLEPTSAGWQLQDIFATPTPLQDQQAPTMAAQQSPSPPEPSSDVDDDRLFEITLKSNALRALREAQLCEQAPGNSELNSSAKKRSQLKGPALMEEVTSKVAEMHVDPKTGIINKLMGMLSPSLLGFPTNNTKKKKPDQKKAAQMPTSSRRSERPATKSSTQLTNRRAQASVCKQLGLIQHEEEFNDEILAQYLSLYQQPLSTPNIQGLASLAEISRQPGFTLQDKELAALLKETPYAS >cds.KYUSt_chr6.20283 pep primary_assembly:MPB_Lper_Kyuss_1697:6:127793690:127794541:1 gene:KYUSg_chr6.20283 transcript:KYUSt_chr6.20283 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPAANTGSTSHCPASNYHIGLSPSSLDNVFKKRTTLWRRHRLARWTGHRVSPGTRGEEKHGWDQRLRLQEGSGIRTRRHRAGLSPWSFTIPPMPPDQRTGDDGWRCRRRLGPPPERQEATPASPSQKRSAGHHRPHRRPARARERKPPLSANHHCSRTHAACRRQSSSRRHHDPKPRPAQARPDRARPPCTTTTTSILTKPRAAASTTAASMCDRTPLTQLAGHRRAGPRQSPPRCTAAMEKRRATAHHAPGKEGPPLPAPSRLCPAAYAGGGRGGRGAGG >cds.KYUSt_chr5.12613 pep primary_assembly:MPB_Lper_Kyuss_1697:5:82352387:82363782:-1 gene:KYUSg_chr5.12613 transcript:KYUSt_chr5.12613 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEYSVDLKLSTLLNEARPSAASLRAAGEAVDAVAQLIKSVPPQQVAPEAASGFVRDLGLAAEKLTFSFRPPEVVRLAGSHAAGAVARPDVAADLLVRLPKECFHEKDFLNHRYHAKRCLYLCVIEKSLNSSHLIRKVSWSTFQDEARKPVLHVYPATEIAELPGFYVRIIPSASSLFDVSKLNLSTRNNVRAYTKDGINQPTPKYNNSILEDMFLEENAESISCTFANWKALQEALVLLKVWARQRTSIYAHDCLNGYLISAILVFLTMDSGGSIITRSMSRRQIFRVAINFFATSKIWSRGLVLQPIKKRTITKEYIAHLVRTFDVAICDVSGHVNVAFRMTKSAFLELQDEAACTLNCLDKCRDGGFEELFMTKVDFAAKFDSCLRVNLKGNSKVTALSFCLDDESWRVLEKDVQSLLQQGLTDRIKMIRVLWRSTPSEWNIMDGFSEFGTSPLVVGVMLSLLEKSYRFVDIGPNPENRDEAIKFRKFWGKKAELRRFKDGAIAESTVWETETWEKHTIIKRISDYVLTKHLLLRKEDLTHVVDQLDFCLLVGGKDPVSSSGALLGAFDNLTKQLRLLDDIPLKITTVQPLDSAFRHTSVYPPEPHPLAYEKSSQKLPKFAPTCIRSLEVMIQLEGSGNWPLDPLAMEKTKSAFLLRIGESLADRGMFVTASEDEVNVLTSGYSFLLKIFHERGLVVQKQAADANTQSDPSEDKELFLRSQHSSMINGLHGRYQVYGPVVRLAKRWISAHLFSSFISEEAIELVVAYLFLKPFPFHAPSSRVAGFLRFLRLLSSFDWTFSPMVVDINNDFNLKDEKEINEKFMLSRRSYEQNPHDIEPAMFLATSYDKSSEAWTKHSPSKSVLKRMASYAKSSAELLTNLIVHGQSGQYTWECLFQTPLSNYDAVILLHQEKLCRPQHVLFPAETPNGKMVIKGNPSNDFHPYMPLSQSVVRSSRDKLLLNFDPTTCFLRDLKCAFPVTFKLWHDSVGGDAIGLTWENSKKRGRDEAEEAMPDPASILKEVGDVGKGSPKGHGVPELAIANYDEMHAIFSFGLATGKFMGSSEPMCTPPSAPSVEDVFTQESDTVILDGPPKKVVDESKKLNVGKRKRGTFAQDELAAFTNMIVSMKDVAKAIRDNKPIDIHPQLY >cds.KYUSt_chr6.10831 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67155598:67159926:1 gene:KYUSg_chr6.10831 transcript:KYUSt_chr6.10831 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVEAADGAKLSVRLFKPAAPVEEAEDVAVVLVHPYTILGGVQGLLRGMAQGLAQRGHRAVTFDMRGAGRSTGRASLTGSSEVADVVAVCRWVADTLKPRAVLLVGSSAGAPIAGSAVDKVDQVVGYVSIGYPFGLMASILFGRHHDAILKSEKPKLFIMGTKDGFTSVKQLENKLKSAAGRVDTHLIEGAGHFQMEGPAFDAQMVDLIVNFIKSLPK >cds.KYUSt_chr7.29930 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186329673:186331184:1 gene:KYUSg_chr7.29930 transcript:KYUSt_chr7.29930 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSRDGNDGAERSAIVRTSRTLYLALLCAMFWAVLFILQQPKPAAFFSQLKIAPAFQLGLSDDKCVGRYVYMYDLPPRFNADLVRDCRSLTASTDVCKHAANDGFGPTITGGGGEGGSLPETGAYDTDQFMLAVIFHARMRRHECLTSDPATAAAVYIPFYAGLDVMRYMGQENPDISVREALPRDLVEWLLRRPEWRAMGGRDHFLVVGRGSWDFLRAPGATGKGGNSLMTYPAIRNATVLTVEASPYHGFDFAVPFPSHYHASSDADVSSWQGRMRRAERRWLWGFAGASRPSSKRTVRSQIMEQCGRSSRCAMFDIDTSVAATPGRTLQLLESAEFCVQPRGDAYTRKSTFDSILAGCIPVFFHPISAYLQYIWHLPRDYRSYSVFIHHGDVVDKNASIEEALTKIPPAKVAQMREEVIQLIPTVMYRDPAAKGVTFKDAFDVAVDAVILRVAKRRRAAAEGRECWDSVDGGKSWKHDLLEDGENTTRPHEFDKYVYT >cds.KYUSt_chr3.33538 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210555629:210561847:-1 gene:KYUSg_chr3.33538 transcript:KYUSt_chr3.33538 gene_biotype:protein_coding transcript_biotype:protein_coding MMHHDLKRSCTLHKPSTLKALGDKISDRLSNRGHLVTVVQEHNLLHRIGQWISAIYLQYETDKAETLSSSDKNCKSRERHCSLPSSEDEARERMPPATGNAGARVRCRRGARGAPTQCQGLLVLACCSLPGMVVLAQHPAAVDALSPRFDAYKAVFFW >cds.KYUSt_chr7.38948 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242462895:242463179:-1 gene:KYUSg_chr7.38948 transcript:KYUSt_chr7.38948 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLAAFFLVVTLIVLVIFQVRTTSPSSLLATVPAPPHRLPLDAISRWATTPLEVLAKFSSEDLHVVRVDFLLQIVQGFLDLRIVSLELLPG >cds.KYUSt_chr6.25070 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158838776:158851436:-1 gene:KYUSg_chr6.25070 transcript:KYUSt_chr6.25070 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAAAPVAGAAPPPPKKTKTVTLAAASPLTATRRHLFLAAAASVFPTAAASAAAGRFTEIPSSGGVKALELREGSGDVPADGDQVAIHYYGRLAAKQGWRFDSTYDHKDATGDPIPFVFTVGSGKSTVNPYLLNALSEIACLNHRIMLVKAPAQHTPRVNGKIPDFNNATIDHESLLERLGTYGLAEYQIEGDGNCQFRALADQIFRNPDYHRHVRKSVVKQLKEFRKHYEGYVPLDYKVYLKKMKSYIVFDSVDLGNGEIMLPYKQLQTGAAALGAGWGTAGLAAGGGCRCCGRLSSAARAVKGRRVWAWFRGRPVGPLVSDLKTEVPPCGARRNSPVPDSSGGWGCGWLWALDRGKPLADGGGCDVDDADGAVLLLEGDIEGFGLLFKTSGPSDATFESGTGAILPDLVADDVVMVGERWCEVKDKITMWYFHTMSWHASMDDGVMVVSGFVDGDVVMLVICAVMVCRHGRRRIVVSGFVNGDMVMLVMVAVVAC >cds.KYUSt_chr7.30479 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189750374:189750853:-1 gene:KYUSg_chr7.30479 transcript:KYUSt_chr7.30479 gene_biotype:protein_coding transcript_biotype:protein_coding MYFYWSSSHTAPPPLFAAGPSLRFQSILFAAASEASPMASRLRLGQPVPNPASTTDPEEANEGEGGEARKPEPKRPEVTDLGGGSEVWHIPRFMAREAAWEVFEELDKRIPWTRPTIRVFGRASVQVTAATPALITHFLLLVHAQVQVQLQTRDLGPLR >cds.KYUSt_chr2.29595 pep primary_assembly:MPB_Lper_Kyuss_1697:2:182075554:182075897:-1 gene:KYUSg_chr2.29595 transcript:KYUSt_chr2.29595 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRNGLSFRALLVMAFMLIAAFQAVSVQGGRAMKEVVGSEAITANPLNPGSTPAVPRGQPYTRPGCTKTYGCNPPAAP >cds.KYUSt_chr4.50756 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314609594:314610007:1 gene:KYUSg_chr4.50756 transcript:KYUSt_chr4.50756 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVRCEANYVPLTPLSFLERAALVYGARTAVVYGGREYSWRDTRERCLAGASALARLGVGRRDVVAVLAANTPAMYELHFSVPMTGGVLCTLNTRLDAAMVSVLLSHSEAKVFLVESQFLAVARDALALLYVECR >cds.KYUSt_chr3.35154 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220681685:220683784:1 gene:KYUSg_chr3.35154 transcript:KYUSt_chr3.35154 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQPKSGLFVGINKGHVVTKRELAPRPSDRKGKGTKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSGVLRKMRSAAGGAADKKK >cds.KYUSt_chr1.3249 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19623777:19624296:-1 gene:KYUSg_chr1.3249 transcript:KYUSt_chr1.3249 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDNGMEMEKPSPLHRSLSTVTYGCGRCGYDLKLSSLARDTAGMVGAGATKGCGRGGGAAVVVFDAIDDARFGHLDEFRCLDVRARRLFARRTRLLCRKCGSHVGFGYDDNTAATRPPRYHIKIRALHPASQDDEAADATPVHA >cds.KYUSt_chr1.25951 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156150716:156153413:1 gene:KYUSg_chr1.25951 transcript:KYUSt_chr1.25951 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVNPLVMNSKVFKPCHIRYSDYTPCQDQNRAMKFPRENMTYRERHCPAENEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLAVEKAVQNWIQYQGDVFHFPGGGTMFPNGASAYIDELASVIPLADGTIRTALDTGCGVASWGAYLMDRNILTMSFAPRDSHEAQVQFSLERGVPAVIGVLGTIKLPYPSRSFDMAHCSRCLIPWKSNDGMYMMEVDRVLRPGGYWILSGPPVNWKTYYKTWKRSKQDAEEEQKRIENIAEMLCWDKIYEKGDTVIWQKKANSNACQNKNDRTSKMCNVQDADDIWYKKMEACITPIPDGAQLQKFPERLFAVPPRILEGTPGVTEEVYEEDKKLWKKHVDTYKRINKLIGTSRYRNILDMNAGLGSFAAVLYSPGSWVMNVVPTISERNTLGIIYERGLIGIYHDWCEAFSTYPRTYDMIHASGVFSLYQNKCDLEDILLEMDRILRPEGAVILRDSVEVLNKVRRTVTGMRWKSKLLDHEDGPLVAEKILIAVKDYWVGSEEGNRS >cds.KYUSt_contig_3510.28 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000679.1:186757:194087:-1 gene:KYUSg_contig_3510.28 transcript:KYUSt_contig_3510.28 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRRRAVAVHPGGGGGGGRGRGGAFVPGSNESISASVGHVAAQLGMCKMSAQQKQTMKRMIESSDVDPERAQSQIPRSPPSPLPKCHGFISGMMEDMAGSDSESTDSGEMEELGPLHEAAGMGRMDTCKYLVEDLGFDINAEANDDSGMTPLVCAVSRGKAIAVRYLLEKGADPNKEDIIGFTPLHYATKEGNDGLVRLLLSNGASVNASSSEGTPLHMAASHGKSAIVQVLLQNNADPNRVCADLGTPMTATVLSAAVACVIPGKITESAALKCMKLLVKAGADFNCTNPDTPLVIATSKDLSECVKYLLEVGADANIPINHGGSTPIEIAADSGRRELVEILFPHTYPLHSVSNWSVEGIIAHAKSKQLKEKDKKHDKDNKVKLESESEKTSKKVEANSEKPSKKVEASASKSSAKNKVCDKDEKAKLKSLGAKAVEEKDYAAATKFYSEAIKVDPADAALYSNRSLCHLKSGKAQDALVDANASIRVRPDWPKGYYRKGAAFMALKEYKEACDAFMAAVKLDPENEEMHDAFCGYPLDGKAPPSTAVSTSCGQNATPNGDHDADTELSQAPPSHRPRALSSSCRQPARRNAPCQRRLLSDAYSCQGRPSDASLETFNPSARQLSRSVFVSEPLVIMYARCGDMGLLFDADVSWNSLVSGVIKSCSASGDSVMRYIAAMVQNVVVLNAMISGLCRDEAAVG >cds.KYUSt_chr6.28176 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178609993:178610778:1 gene:KYUSg_chr6.28176 transcript:KYUSt_chr6.28176 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVWTLPCSPSLPYILRMEILQLVAVRIEEVARPLREEAAKFKLLLARVTESMGRVDLIASCESYEQEPFVVVDDDVVDVMASKVDDEAINGRARGEADLVGEECFFGCLSPRVSPSPQPDVSVAPECEGNDGIMPVIQIMPDLQELCEDPSPPLSMVHHQADSLVISEVASAPPPVEASPCGDKVIEADVSAPNSDALFASELCDLLARLEAASPGSSKEIARLLEEKSSRGKIQKVKDYLRSKSKKNGAARKESAVG >cds.KYUSt_chr2.54298 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338750048:338750869:-1 gene:KYUSg_chr2.54298 transcript:KYUSt_chr2.54298 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSLQTLARRNLLLHYRKEARQPRRLSCKATGGGRVDRRDVLLGLGGTAAAGLATGRGAAIGAPIETPDLRSCQPPDLPDTEPDTNCCPTYGTGITEFSLPSASSPLRVRPAAHLVDAEYLAKYERAVALMKELPADDPRSFEQQWHIHCAYCDGGYDQVGFPDLELQIHNCWLFFPWHRFYLYFHERILGKLIGDDTFALPFWNWDAPGGMTLPPIYANSSSPLYDERRNPAHQPPVPLDLDFSGTDPSIPRDQLIDMNLKIMYRQASTYS >cds.KYUSt_chr2.54312 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338817945:338818382:-1 gene:KYUSg_chr2.54312 transcript:KYUSt_chr2.54312 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAALAWSSGGGRRCLARAAGEAAAPCLEILRAALRRADYAAATGCITTPSTPAAARGPRDALNTGGCKMQLAPRPPDDLGILSKSQRSNRCMAGTRCELRRQFPSLLQGSNPSHIVQADAASKSTCSPEREAVLIVESEPAD >cds.KYUSt_chr3.10609 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62966509:62967825:1 gene:KYUSg_chr3.10609 transcript:KYUSt_chr3.10609 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSVERLAQRLVIPAEPTPAGPLRLSWLDRYPTQMALIESLHVFKPSPDRDVNGAGPASTIERALAQALVQYYPLAGRLGFTDDGGLLQVDCGGDGSGVWFTEAAAACGLEDVEYLEHPMMIAKDELLPPTPAEEKDERRLVLLVQVTTFTCGGFVVGFRFSHAVADGPGAAQFMATVGDLARGLSVESLAVQPQWGRDAIPNPATAVIGSLPSPTGAKHLEYLAMDISADYIGHYKAQYNNGAHGGSWCSAFEVLVAKAWQSRTRAAGFEPDSDVHLCFAMNARPLLHASLPRAGAGFYGNCYYIMRVTAPAGKVAGSSIPDVVKIIKDGKRRMPAEFTRWATGEAGANGGKDPYQITSDYRTLLVSDWSRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVAAFHSGMLDMN >cds.KYUSt_chr2.32993 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203491643:203491900:-1 gene:KYUSg_chr2.32993 transcript:KYUSt_chr2.32993 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEVLWLTISAGSLALLWGPEISRKARRFKDLVKKGTETSEAMAEQRRLGYILEARREMMEAFKKEIQAEALKPWTRSSTGEKF >cds.KYUSt_chr4.26901 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168904906:168906925:1 gene:KYUSg_chr4.26901 transcript:KYUSt_chr4.26901 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASTVLTLLGFCASVLFIVYVCSRLVCTLIRRVRRRPRRPSPPLPRFPPLAARAGYSSHILPGRQGHAAGSGGLDSAAVAAFPTRAFSSAGEAADASAMCVVCLAEYEDADFLRVLPYCGHDFHVACVDIWLKQHSTCPVCRVSLRGNPGIKHAVPHRPSELIVITSCSPEVSGSDPCRCLFGGRGHSPRTSSEVLTNEPDQANQIQVVCHPPSEDRGNSLTPSEVRFPGENNNQTVKLNIESPRVVGIP >cds.KYUSt_chr7.23001 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142823890:142824708:1 gene:KYUSg_chr7.23001 transcript:KYUSt_chr7.23001 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYFLLLTAVLALVSWQATASDPGPLQDFCVADNSSGVLVNGFVCKDPKDVKVEDFFLAAKLDMPRDTKTNKVESNVTLINVMRIPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPENKFLSKELKEGDVFVFPQGLIHFQFNPNPYKPAVALAALSSQNPGAITIANAVFGSKPMISDDVLAKAFQVEKNTVDWLQAQFWADNHN >cds.KYUSt_chr3.7480 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43213443:43214006:1 gene:KYUSg_chr3.7480 transcript:KYUSt_chr3.7480 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAAAAAAAAAWKAVFRQRVLSVQEHVRDARDRLVALDASFREPLAVLDLLMGSLRSATPILNTAIVYIEAAEILALHGGGANPWTPLPSVLNFTPPDAAVQVALARYQNARVCLLGALTMVESSRGHLATAIALFAGNASIPDKMRFVMQEYGTAHTALQNAVQMVKDALSEVTISRNPITPP >cds.KYUSt_contig_2407.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000327.1:44785:51043:1 gene:KYUSg_contig_2407.7 transcript:KYUSt_contig_2407.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIESARGGVRTRGNLRAGLRRETRHRGTPPTHPPPETTANTRTRRDQGNGGAGRFACFLCAVGTPGTGLLLQLQAIAPPVSGHAIPFSSGNRSNVATMTLRPQALPRRGHRPARRPAGRSLSRNTTTPLNRAEEEDYDSNDGAEIIGYEEPDLSGRLNHHPIGAIDTMLEEFTEPKEESREKEPMENVVHTPVYSAGDYISFDPLAREPTPATHGNYLNSSYGGY >cds.KYUSt_chr2.19297 pep primary_assembly:MPB_Lper_Kyuss_1697:2:121365217:121365708:-1 gene:KYUSg_chr2.19297 transcript:KYUSt_chr2.19297 gene_biotype:protein_coding transcript_biotype:protein_coding MPCATELVNGEGGAIKVGTTGTIGSLMIRELESIKVTPQGATTPRLRRQSNPVSVPCGASPRKTILRKSSSNVACSSSSSNNGRTDRVSADEASKARRSSQRNKSHSPMLHSDGVLADRSPNVEKAKKKGNFHVVEVVDVRCSNPMSSRLRKLGFSKLSETFA >cds.KYUSt_chr5.18847 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121870681:121873435:1 gene:KYUSg_chr5.18847 transcript:KYUSt_chr5.18847 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAAAHLHPATFSPASLSSSSCSRSPSVARGVRGGGRTVRCAYTKDAILYALEHDAMFNSDEVIQWESGKTINTIAAAQGISIRRRCRPRYPSEGSGDDKAVPRDILEQIIWDKEVEVSQRKAKKPLRSVMESSEHAPPVRDFIGALAAAYNRNGVPALIAEIKKASPSRGVLRENFNPVEIAQAYEENGAACISILTDEKHFQGSFENLETVRNSGVKCPLLCKEFVIDAWQIYYARSKGADAILLIAAVLPDLDMKYMLRVCKSLGMTALIEVHDERELDRVLKLDGVELIGINNRSLETFVLDTSNTRMLMEKRGDIIRDRGIMVVGESGLFTPDDVAYVQNAGVSSVLVGESLIKAEDPGRAIAGLFGKELMR >cds.KYUSt_chr4.48974 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303418223:303419309:-1 gene:KYUSg_chr4.48974 transcript:KYUSt_chr4.48974 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLAVSSRDVLARFRNKGGTWAEVSVGRKFRPSGADVPVGRKFRPFGAEVPWYAGSPHNRRAPDGQSGQGRDGQLQSAQAALRGGAAGSDAAPTPLPPEAEPTGLPWMRSRGLSLVIASVLMGCISPTLGAPPPDSATMRRRHRAAPPPTDPWRHGRDAVEPTVLVTVPKEESSLGAKKKGKAATWALGLHAQRLRTRRANLLWPHQSNRQLALAWILPRP >cds.KYUSt_chr1.35496 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216454588:216456562:-1 gene:KYUSg_chr1.35496 transcript:KYUSt_chr1.35496 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSADLRSANTVHESSPPAATAQLRTAGDRISALPDDLLLLVLRRLDTRAALGTGVLSRRWAGLPRELAALDLRVSDVLPPRYQRWLLRHRDIYSKGTFILYRRRLAQHEFMPNMTRYERRAMRAFTRSLEGLLAAGARRRVSKLNLEFFTTHNTGCIDRLVSHAMDDWGVDDLEVIAKPTFYQQTVHTFPSHGLCDEPGASRLRSLKLVCCVIPRRLHRYGALTRLVLQDVAGSPPSAYENVLDSCPQLQVVHLNSCHCDREAVMLLDAPESQIRELVVDNCVIGTVWLRDLPNLEQLASMCTRLCIESDDSVPSLRQWHLTRRHGIEVEGFRQLFRRHLELNPFVEHTQDVVVLIM >cds.KYUSt_chr7.12300 pep primary_assembly:MPB_Lper_Kyuss_1697:7:75642760:75643161:1 gene:KYUSg_chr7.12300 transcript:KYUSt_chr7.12300 gene_biotype:protein_coding transcript_biotype:protein_coding MYHFRVTTPPRGEEALDPAAGRVGIVRRLRVEGKREGEAATANGDGGATLAGRADGRRIVGGVATCFRPAAASQLTSSGNEEEETRGRLCAWGKAEEMGNASHQRAALRPSEQSRGAVARGYGLNSGERERDR >cds.KYUSt_scaffold_6468.726 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3467882:3472484:1 gene:KYUSg_scaffold_6468.726 transcript:KYUSt_scaffold_6468.726 gene_biotype:protein_coding transcript_biotype:protein_coding MCNWYVGSCKDRAGIFSLTPDVPKRKTGACLGQDQQDSLMEEGQGFELLGQDFSAMAATPNNQGGDKTPANGSTMLPMQDWSTARVLFDDDNADQHRATSNADVNLLGTMKAATEQIQTAVSSLAQATSCMATLAASLNQVQSAADEKIVSVMEAVKANTDGVNELVKFLKSDQKNRGKKGANGDKAIEFNWMLGHGTAPKRGKRATSDQNKFERLKVHMKANATWFVYNSTPEELAETWIEFRDTPMASVDGLHLKQMLCCKEEMDENMGQIVVRILRNDESEKRADVHGNCHFVLHTWADQVMDPNSTMDSCEEIFLAPQFQYDISNCGKVVAMIKYADCWASVSIDMIKCITYLIHPALSANPHHQVLEVFQPSMQRLTEFACAHIKNVTNRVIPEISWKFDVALKKQHIMHG >cds.KYUSt_chr5.289 pep primary_assembly:MPB_Lper_Kyuss_1697:5:1913434:1916070:-1 gene:KYUSg_chr5.289 transcript:KYUSt_chr5.289 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNHSSPLDNEDLLQEILLRLPPKPSSLPRASLVCKRWRCIISDPRFPRRFRKHHRKPLLLGFFDKEIGKVPVFTPMLDPPDRIPVALPENLLGREPWGHLCFSFHGCRDGIALFLDHQRNEAILWDPLTSVQCCVAFPPWFLDGIGKDCSIQNATVLCPAADNQHEHSGSHMSPFKLVFAFHDAKARNEFICIYESKSGVWGDIIPTRTAYVISGYRPSILVGNALCWLLEGGNILKFDFGKHTLAVIEKPADTHAAGCEYVHSSHSSFQILRGEDNGIGLAVLSTLELSIQLWVRKSKCDGVFSWSESDMHFGYLSDVCGQSGSISLCHGCTHGQGHCPGFGCYWMRKSLDPYCQIIQTMSEIKPPSLVQADLLKEERYGLHLA >cds.KYUSt_chr2.19217 pep primary_assembly:MPB_Lper_Kyuss_1697:2:120896517:120900317:-1 gene:KYUSg_chr2.19217 transcript:KYUSt_chr2.19217 gene_biotype:protein_coding transcript_biotype:protein_coding MASALVYAILLYVCIISGGAIADSQNSTNGGISRPTEVRIGALFTFDSVIGRAVKPAIKLAVADVNADPGVLSGTKLTVLMQDTNCSGFVGTIEALQLLAKDVIAVLGPQSSAIAHVVSHAVNELHVPLISFAASDPTLSSLEYPYFVRTTLSDYYQMAAVATIISHYRWREVIAIYVDDDYGRGGITALGDALAKKMSKITYKAKLPPGAGRTAIQDILMQVNEMESRVYIVHVNPDSGLNVFSAAKSLGMMSSSYVWIATDWLSAAIDSSVHANSDAMENTQGVIMLRQHVADSGIQHSLLSKLNGLTRKANSTSLSSYSAHAYDSVWLVAHAVERFLTAGNEVSFSVNQNLQAVKGSNLQLDSLRIFNNGDKLLEKVWQANFTGVSGQVQFTLDRNLIHPAYDILNVAGTGLRTIGYWSNFSGLSVAAPENLHSAPRDSSTSNAQLHGVIWPGQTAEKPRGWVFPYHGKPLRIGVPLRTSYNEFVMQDNGPDGVKGFSVDVFKSAISLLPYPVAYNFVLFGNGLKNPSYGDLVQKVSENYFDAAIGDIAIVTNRTRLVDFTQPYTESGLIIVAPAREIESNAWAFLKPFTFQMWCVLVMLFLFVGAVVWILEHRTNTEFRGTPRQQIMTVCWFSFSTMFFAHRENTSSALGRFVLLVWLFVVLIVNSSYTASLTSLLTVQELTSGIKGLDSLISSSSPIGYQVGSFARNYLVEELNIADSRLVALNSPSDYARALELGSGNGGVSAIIDELPYVEIFLSKYCKFKTVGQVFTKGGWGFAFPRDSPLAEDLSTAILTLSENGNLQRIHDEWYNNQIELEPEIVNRPARLTSIKSLISFVDKREEEVKNALKKKPNVSQQTKIGSTEEQSTLPL >cds.KYUSt_chr1.7065 pep primary_assembly:MPB_Lper_Kyuss_1697:1:43410570:43411074:-1 gene:KYUSg_chr1.7065 transcript:KYUSt_chr1.7065 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRTEMQVGPDGVAVIAIANPPVNALSVDVEDDFIDWWPQCATVLLGHHARGLVDLEIFSHNAAVFDNAWPSTATLLDTIKAEAHSWANTRTGIQ >cds.KYUSt_chr2.13253 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83956450:83960665:1 gene:KYUSg_chr2.13253 transcript:KYUSt_chr2.13253 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQQLRSPTSTSCRKQSPPLLSKSHNRPNLQIPSMSRDFQTIPMVRDASRRLAFESDLFAHLSSSFRLICCVSRGDPGEAKSSTDKELRYDGFLADSRSVMSQRRRDPGLQYSTIKELSRRYDNASRSLLDMNHADDPAHLLAFFSSKTVTSPCHGRSEPCLRTELFGEAMTADDAEIFLCF >cds.KYUSt_chr2.19646 pep primary_assembly:MPB_Lper_Kyuss_1697:2:123618578:123623852:-1 gene:KYUSg_chr2.19646 transcript:KYUSt_chr2.19646 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPSPSLSPSPSPERTYPARRRAGVLPSRCRSPSPPPRRSLRPRRAAAASSRPLVDDFFPFPSSPSSSPPRPQHRRAPSPEPSSSDSDGSAVAGSSASDRRRRKLKLVVKLSQLPPDQQQRRAPPPPPFSDSSDGDDQPAADRTRGEEQVKPPKKRRIELRDDRSRNNEGAGRSDAASAPRTKRLPVPGMARTTPLPDRQTLDMILDKLQKKDTYGVFAEPVDLEELPDYGDVIEHPMDFGTVKRKLARNAYRSFEQFEDDVFLICSNAMLYNAPDTVYFRQAHSIQELARKKFQELRDEGIPTENQVKSEQKVKPIPSNRGPVKKTVLTYSDDDLDFLIRKEQIKRPIAKISGDDMSFKDQVKKPVSRNSENISSFHKERVTKPIFRNSENDLSSSFHKEHVKKPLSRNSEDDRSSSFRQVKVRKAISRNSEDDRSSSLHHVRVKKDISRSSENERSSSLPIQKVKKPTPRISKDDLSSRKTLIRKPVCRKGEEPDCSSRTDAFENPVCKKGEDLSLSPEKLVEEPICRSGDDLGNSSQKESPRQPICKDEQADLGYSCDEEIVKKPDCMDSQDALGSNVSPETIVSAGNGSDGLSVSQANGAEPLECALANGSSDKDISSPVDEVRSEKTDDVSARDHSAKISLKSFVVDETRRKTYHALEDQRSSDSDPTFDVFCAEPKELISVGLHSEHSYARSLARFAGSLGARGWKIASERIQQTLPAEVRFGRGWVGEYEPPLTPILAVQDQLRSQVSSDANIQRKTSFPRDNERLRPKESTNPKDMSLSLLNRITTVSNVIGVSGSLESPEYKPRLLDVTTEPQQRNTDASTLHEKHRASRNVVKTKRPTGEQVRKGRHSSNARPPEVQPQRSECSKGASSSVLDMPAVNKMAGQPAPFFQPAEAVRIQQMKKSDLSKSAHPLEMAPQRLECPKSATSGVHDMLSQNNSNGHPKPFFQSQEAAASQPKSEATWVYHGRPGDAKFGTSEKSRPMNNIGFITKNPVNGNGFAMNASAQNSIIDHAKSMGAMPGQVNVPNRGFDPSRSVFSAFPPAARESQSIPSAPVAQSWISFGASSESKPTIVSPNFHDSSSGWKMPFANLRTSDEPKISAAPQFFRQPVQVSREIPGQNKGLVIFPQLVQTDFSRSQGQPQWQGLVPHMQQKPNMDMLRPDLNIGFSSPGSPPARQSTGINLEAQQPDLALQL >cds.KYUSt_chr4.17399 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108829113:108829889:1 gene:KYUSg_chr4.17399 transcript:KYUSt_chr4.17399 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCLLGLLLIACVVTAGGDDVATLPHLQLPGTPDPSRGHWSDAPTPQGQLTDIMSTSGCGRFAALVAATPNASDVFQQRLIPGGGGLTLFCPDDKAVAAVEPTFRALADVDRVAVLLHHGAAGLYGPARLAGFAWVGVPTLAVADAATNEMQTVLVRDCCDRMRLCLSSMWPRAGKATVTKTVSSEEPPLVLYVVDAVLLREKLDGGEEKAAAQGAGGYLGWLQWQYCGNLPRLVLWWVSGVAGSLIGTWMVSRGI >cds.KYUSt_chr5.20254 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131634136:131637887:-1 gene:KYUSg_chr5.20254 transcript:KYUSt_chr5.20254 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCGIAGEGTLARWRRAAAKRIGFSCASFFSSHAASPSPPPPKTISCSAVNAPADRSDGEQEKLEEPTSTRMADKNLCAICLESLSISSSDIDNSDRPAIFTAQCSHSFHFLCIASNIRHGNVTCPICRAQWSQLPRDMKVPPLLHNQSDPILRILDDNIATSRVNRRSSIRATRYNDDDPVEPYTLTEHVDPCLRFALIPSPVAAAHHHALGHYPCGRMMPLQQHCQYSSSSMLSPSQMASPSAQRRAYLSVSLAPQPAMDLVLVASPNGPHLRLLKQAVALVVFSMRAIDRLAIVTNATTATRAFPLRRMSSHGKRMALQVIEHLCSVGGNDPVGALQKGLKILEDRAHQNPSKCILHLSDHPIRSYVGVDMNRSSIPVHQFHVGLGFGVQNSFVMHEFEELLARLLGGVIGDTQLRIGEHGGVVRLGELRGGEERRIPLDLVADCGFILVGYSYLEGGREDQPRNGEAAVGFEEKGGDNRCCGIRDMGPSIGGGERRSSCCAESWDHLDPFMARRWAKHFSVYRS >cds.KYUSt_chr1.18397 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107654349:107657342:1 gene:KYUSg_chr1.18397 transcript:KYUSt_chr1.18397 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALETAIEEVRVTLSDEQRADPRFFPDNHEAWITFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNQAAATAANQLAEEEAKRAEDAAVAEAIARSLKDLVPADNSLPIDAALEWSRRDWERQEAEQRAADVGSGCRATTRRPRRCTVVLAERRAQGGNLTRVRAKRQGSRNQRKQQAGADEEEQRKRMNPPHVVTLHLSLSLC >cds.KYUSt_chr1.41819 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256412322:256415304:-1 gene:KYUSg_chr1.41819 transcript:KYUSt_chr1.41819 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGRGGRRVEYGRSYVVRPKGRHLATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAATRPVAAFGGFPCTAWFDVDDTSVDGRDDIEGLDASAAHISNLLSSEPSDVKLGIGGFSNGAAAALHSAACYAHGKFSSGIPYPITLSAVISLSGWLPCSRTLRGKIESSHMAARRALSLPILLCHGRADEVVSYRNGERSTEYLRSSGFSSVTFKSYNGLEHYTIPEEMDDVCKWLSSRLGVDRSR >cds.KYUSt_chr1.7460 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45731269:45738872:1 gene:KYUSg_chr1.7460 transcript:KYUSt_chr1.7460 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAESGTGEPAANGDKPEEEPQQFDPSRMIGVIKRKALIKELAAAYHAECVASCKELLQLQKKWEEEQHAEAKMPEELKISSAKPSKRRKRKKPAMAAAADSSAVIIEPSSFDVVVCGTGLPESILAAACAAAGKTVLHVDPNPFYGSHFSSSVPPHALPSFLLSSASPPSTTSSDAGVVPLQSRSSLYSDIETLGTVPSEGSFAVDLVGPRLLYCAGEAVDLLHRSGGSHHVEFKSVDLLYWDHGDLFPVPASREDIFNTKLSEDAKVGLVEKTRLSAFVRLVKSHIAAQEREEGKASIPEEDFDLPFLEFLKKQKLTPKMIGVVLYAIAMADYDQEDAAADSCKTLLTTRDGMKTVALHFKSIGRFANAKGAFIYPMHGHGELPQAFCRFAAVKGALYVLRMPVTALLMDKLFVEIYPNEEFLPRNSAPQHEDDDSDSAE >cds.KYUSt_chr1.36430 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222239925:222240480:1 gene:KYUSg_chr1.36430 transcript:KYUSt_chr1.36430 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLADEEAFEASSCGYSSGGDSGDERDRFPDSAGGHRRQSAPPQAPLMRMNSDSIYDMSGMTAHLPAKKGLSAYYQGKSQSFACMSEVRSLEDLQKKEKPPRRIKPCKSYAALGGMPAKQVPAASSCANLGLMAAGNGFGRAPRNIPINQDCYHQ >cds.KYUSt_chr6.23077 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145821094:145826231:1 gene:KYUSg_chr6.23077 transcript:KYUSt_chr6.23077 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHSAANNGFCRRSLHQWEAGPLHMAGYPMPLDFRVPGGWRLSLGGGVPIPPLPVAGDELDAANDVVRVTLTEELRAEERYLADNNDAWNELSRLRHKRELAAYDSPPPPPARNNAARRRWWAMAAPPLLAVAVAVFLAFSIAGHTVHGAPEPGAAAADRHALLSFRSLIMGDPSRALASWTSTTATITNISSAPPPCQWRGVSCGTRGRRRGRVVALDLPGLGLLGTLSPALANLTCLTRLHLPGNRLHGALPPELGRLRDLRHLNLSRNSIQGPLPPPLSGCRQLRYLLLNTNKLHGPIPGELLRSLRNLEALDLGQNRLTGSIPSDISSLANLRLLVLEFNNLTGEIPWQIGRLGSLVGLGLGSNQLSGSIPASLGNLSALTALTADSNRLAGSIPSSLQHLSSLTTLHLEQNSLGGTIPSWLGNLSSLTSLDLQSNGFAGRIPESIGNLRSLSIVSFAENKLVGPVPDAIGNLHALTELYLDNNQLEGPLPLSLFNLSSLEMLNVQSNNLTGVFPLDMGDTMTNLQMFLVSDNQFHGVIPPSLCNASMLKMVQTVNNFLTGTIPGCLGARQEMLSVVNFAGNQLEAANDAEWAFLTSLTNCSDMILLDVSNNILQGVLPKSIGNLSTEMTYLAIAYNSIAGTIPGTIGKYINLDELDMESNLLEGTIPASLGKLKKLNRLSLWNNSLSGPIPAALGNLTKITTLFLDINALGGSIPSSLSNCPLEELDLSYNNLSGPVPKELFFISTLSSAMHLAHNSLSGILPSEAWNLRNLGELDISDNMISGKIPTSIGDCRALQYLNMSGNVLEGTIPPSIGQLRGLLVLDLSQNNLSGRVPRFLGSMKGLATLNLSFNDFEGDVPEDGIFLNATAISVTGNSALCGGIPQLNLKMCSSFTKRKISSKLVMIITAGVAILLVILFLFMLWKRSKLRRAKPQISFSNKEHTRVSYAELARATDGFTSENLIGVGSFGAVYKGRMEISGQQVVVAVKVLNLQQAGASRSFEAECEALRCIRHRNLVKVITVCSSIDSRGGDFKALVFEFLPNGNLDQWLYKQLEGDNEPKVLDLNERLQIAVDVASALDYLHHHKPFPIVHCDLKPSNIILDDDMVAHVGDFGLARFLHEEHSDKLDKSTSRNAIRGTIGYVAPEYGIGNEASIYGDVYSYGILLLEMFTGRKPTSSEFGEMLSLHKHVQMALPDQGANVIDQDLLKAENNGKETFADYHNSEDTRISHIMSILQIGISCSKETPSERIQIGDALRELQTIRDKFYMH >cds.KYUSt_chr1.33423 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203210359:203212510:-1 gene:KYUSg_chr1.33423 transcript:KYUSt_chr1.33423 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRPGCRDKCGGMSIPFPFGMDKPGCFLPGFEVTCNTSFTPPRAFLAYNHNDPPYQAIYRCKFGTDTSGCKYTYQPVELFGISLETNEVRVYGGVTSRCFTSEAAGLTKGQYMELDRAGPFLLSPRDDLVGVGWNVKSSMSYQIKPYPDPLSCTSNDPFRVTAPTGSCSGLGCCRVALPPPWPTMPVIETAVILEEAVAGDNTFWKYNPCSYGMVVEGSWYNFTAEDMAGYEVLSKKLTRGFPLVLDFAIRNGSCQRDSCPSGNSSCANAAYDSEGYVCKCKEHYHGNPYIANGCQADLLLPSPNSSFIREEQSFSMWIGPAHTGKMVLML >cds.KYUSt_chr3.33674 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211433283:211441672:-1 gene:KYUSg_chr3.33674 transcript:KYUSt_chr3.33674 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATIRKTFPETPPPPIPSRGIQEIASGTLPERGIISRRTLHRHGRLRSDEFHVGAGIPGVAPHYISPPSTFNVLLGSYWCIVSAAITTKVTTLGWRRKVEVHNRLQTAPSNQAARGKTAEEDGVELGHKAAFLYQPAAAALKGYFAVVSNARAATSSSSLRQGMHR >cds.KYUSt_chr5.8145 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51619854:51620147:-1 gene:KYUSg_chr5.8145 transcript:KYUSt_chr5.8145 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALSTPWCSHRRQCFRAIHITSAHAVEVKVVDEDDLMQACPDVGPVARMVARATEGGRHCLRKRPLLSCYWALSLASLHGSLAGEASEAICTHKA >cds.KYUSt_chr5.31585 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200182144:200186992:-1 gene:KYUSg_chr5.31585 transcript:KYUSt_chr5.31585 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGVTKERAAEYKGHMTFAVAMACIVAAIGGSIFGYDIGISGVNTMDPFLEKFFPVVFRRKNSPTRNNYCKYDNQALSAFTSSLYLAGQVSTLAAAPVTRNYGRRASIICGGISFLIGAALNAAAGSLTILIIGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFTANMINFGTQKIKPWGWRLSLGLAAAPALLMTIGGILLPETPNSLIERGHVEEGRRVLELIRGTAEVDAEFTDMTEASELAKTIKHPFRSILERRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGASAALYSSMLTGAVLLFSTLISIATVDRLGRRKLLISGGIQMIVCQVIVAGILAAKFGADKQLSRSCSITVVMVICLFMLAFGWSWGPLGWTVPSEIFALETRSAGQSITVAVNLFFTFVIAQAFLSLLCAFKFAIFIFFACWIAVMTAFVHVFLPETKGVPIEEMVLLWSKHRFWKNVMPDIMPLEDGWGPGGGDSAPPYESNIHK >cds.KYUSt_scaffold_869.1965 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:12272942:12273980:-1 gene:KYUSg_scaffold_869.1965 transcript:KYUSt_scaffold_869.1965 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALLQERLSLTSAPLPHMVDAIHSNHRDHASFPPENPHREPLQTLASPFHIPPPPQAIFSRPLFFLTQWRKHHSNHHGAAAADHPAPVRDHQKLRLVAPALLVQGIKPAFSQSSANSPIPLSGHHAPARFPTASDLVRLRRGVHQHPGEMEPLFPLFSVMFACCSVSLISDETVALSAQLQLRHGRRQASVPHALVPAGLLPGRRPRRLCSGRTILVLGEPVTPFHPFPVSILHRCCNAQARGRLLFWALLLMSQLVFFMLFSFVRLLYHPEAVSRLEMFQFAWEPFFQNQLQQAV >cds.KYUSt_chr2.6788 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42335744:42337063:1 gene:KYUSg_chr2.6788 transcript:KYUSt_chr2.6788 gene_biotype:protein_coding transcript_biotype:protein_coding MYWLPVYAILLFLSWPVLLFASDHRLIPGKPLFPGSVLIFQDGVFALGIFSTSSNHSYMGIWYNSIPEFTVVWVSNRAAPITDLSSANLAVTIGSNLVLSDSNGCVLWTTHSSISVVNSSISAEAMLDNSGNFILRSSAAGSSAVLWQSFDHPTNTLLPGMNLRLSHKMHPLQHLVSWKGQQDPSPGEYSYGADPDNFLQRFIWHGSAPHWRSPVWTNYLLRVSYDMDGIKSSMYMPLHHDGDEVYMSFGKLTGSFGVLLRMEIDYSGKVSILAWESNMSVWKALYTENEEHDCSIYGYCGPYGYCNNTEAVPGTCKCLDGFEPRDDKGWIARRFSQGCRRKEVLRCTTHGDGFLTIPGMKVPDKFIHIRSRSLDECKEECRSNCSCVAYAYSSMSNMDIDGDATRCLVWMGDLIDMEKFTQGGENLYVRANRLRGTIT >cds.KYUSt_chr7.16493 pep primary_assembly:MPB_Lper_Kyuss_1697:7:102197448:102205582:1 gene:KYUSg_chr7.16493 transcript:KYUSt_chr7.16493 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNSQGIWTTLSQSDGKYKYDYATIPFLAEFFKLSVSSFFLWKECQSPSPPRMTKEWKSVRLYLVPSVIYLIHNNVQFATLTYVDPSTYQILGNLKIVTTGILFRLVLKKKLSNLQWMAIILLAVGTTTSQVKGCGDAPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNSDSLYWQNVQLYTFGVIFNMGWLVYGDFKAGFEMGPWWQRLFNGYSITTWIVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSVYLFNVRATVQLREGCRPDWRQQLAATTPVFCLEAERCKWNRSEGARKRSARRWINWGLTPPGKLVRYANSGKGSSPGASGRALAPPVDESIEEEEEEEEAVLRVVFNSADYVLNDEEEATTIQQVTVILEAEARVLLPRGGGCRLSGARLRGGAEGGRRLPCEEGGHRPRR >cds.KYUSt_chr5.34813 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220530719:220531891:-1 gene:KYUSg_chr5.34813 transcript:KYUSt_chr5.34813 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLSSSLRRRLRLPHPVLRRAPSGGTVGLRNPASRRPVRVRGNQVFGERSRTTTGNTTSSDDDFPNDDFFPDINNLFGNLNMGDNQDAAAANIAAAAVAAANAGRYTFMSSSYQILLEFLVLLFGVDAIGLSCLDTICSSTLLICMISSSAVYRRVDGLLAVAAEVNEDLPLLLRDQPPLLLLVHFMVARLRDEPDDGVEVALVDEVARREASFLAELREEPLELFVVEVVTGREHRRRRVLGLHLHHQCR >cds.KYUSt_chr3.19134 pep primary_assembly:MPB_Lper_Kyuss_1697:3:117710191:117711222:1 gene:KYUSg_chr3.19134 transcript:KYUSt_chr3.19134 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKNTVSWTALISGYAQDNQIEPCLQVFQLMRQSACRPNDITFATIFSVCTNHALLALGRSVHGLELRMGFDLCVHVSNALISMYAKCGSIDEAQSIFQRIACKDLVSWNSMIFGYSQYGLAERCLSLLKDMEAEHIVPDVISFLGILSSCRHACLVEEGRLCFKAMIQLGIKPELDHYSCMVDLLGRAGLLDEAWNLIHAMSMSPNAVIWGSLLAACRMHGNIPIGIHAAEHRLKLEPGCAATHIQLANLYASIDCWSDVARVRKMMKEKGLKTNTGCSWIEIGSKVYSFTAENRSKNHQVNDVLAVLDCLRSHMVYKYDVLIDGLEFDDPQHFNVSFSTE >cds.KYUSt_chr3.42215 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266790109:266790900:1 gene:KYUSg_chr3.42215 transcript:KYUSt_chr3.42215 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASTDRAERRRRDRPLGQGDASTAGPLVTIPEDTEAADVTRRGCKVSPEPKEGGEPAAAQPGSPSFRIYCQDTSRVDALVAASDAADDRDELARATEASLAIRNNDLAGGSDELSKSKEQSGWMKFRGLALVDALYSLIVCRSKAASAHNPPHPHPPPAAVVAAKPDQPHVVLPPRPAPPRPFF >cds.KYUSt_chr5.33278 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211183653:211183931:-1 gene:KYUSg_chr5.33278 transcript:KYUSt_chr5.33278 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQHRPPLPDMLDGDIPAALLAVATLDGAVVGLPAALLSGGVPVAVTRGGEGDGLPAALRAPERDRNAAGVPERGRSERQSRSPPRVFRF >cds.KYUSt_chr1.41032 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251691025:251694839:1 gene:KYUSg_chr1.41032 transcript:KYUSt_chr1.41032 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKSKVELGGEDALQPTLPLKGKQAEVLPLPFNIVITPSQIRDLMLPPPHLTNCVTEPETKVAPSFTGFFTQFQSKRELVLAATPPPKIVREPESNVFPSFTQNQTELVLPAPPPPKRVREQETKKKKIVKLAGDNGITEVFNSGEKLRSSSRKKMRWMSCSRTLEKISSRTMRFSGTGKASPAPGLAGEMAVGDLRQSKDISHNLRRNDVSNSVFPEDVLNFLCNYVAHRSVFSVFMQLLEDIVADLEGECNRLRGEIAQWQKLQKETLVCLELGNACFEDAVAKRHELLLDCERLHEENLTLNGKLNQAMDDAMLEESELVDAYMKRSEMRNTLLDLKDKLTRFRNSNDDLRKKINDL >cds.KYUSt_chr4.5262 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30333763:30344901:-1 gene:KYUSg_chr4.5262 transcript:KYUSt_chr4.5262 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTTSQAPRRRSPGLFTIFHEDVTRTTTKPTRRFHVGAGIPGVAPHYTSPPSTFNVLLGSYWFHVVAGIPGVAPHYTSPPSTFNVLLGSYWTELCRFSGIKIYPGRGIRFVRSDSQVFLFFNSKCKRYFHNRLKPAKLSWTTMFRKQHKKDIHAEAAKKRRRTTKKPYSRSIVGASLDVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKRAKKVEVTKSQKTAGRGNAPKPGK >cds.KYUSt_chr4.49425 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306030184:306031110:-1 gene:KYUSg_chr4.49425 transcript:KYUSt_chr4.49425 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPTAPARRVTTGHLWPQRKQQRRADDFEAAFRKFDEDSEQEQDVMVVQDEEEVADSTPFAFRASSPNSNKKPSGSVRQRKPPQYRGVRRRPWGKWAAEIRDPVKGVRVWLGTFPSAEAAALAYDHAAQAIRGAGARLDFTSAATTAPSSRKRARAEAAPAAKAATTPVVNLVDDEEVHAPSFIKDEAEATESSQSSDALPDFSWQGMSAFDDAAAHPTIPAPELEIEQPTKRPRTEPHDTDALFDALLFSDQFPFFDGSAYQSLDSLFSADAVQSDEPALGLWSFDDTCLLDDCRLSF >cds.KYUSt_chr4.49700 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307713464:307714389:-1 gene:KYUSg_chr4.49700 transcript:KYUSt_chr4.49700 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVGVMAPSRAPACHALCRLSRVALAGNAPHALGWLRYVQRALRLARAACPGTAPEQGISGADQAFPTSDYFISLHASYDTAKALASEATDVKVEEGAHKDMDIVC >cds.KYUSt_chr7.30651 pep primary_assembly:MPB_Lper_Kyuss_1697:7:190783290:190784047:1 gene:KYUSg_chr7.30651 transcript:KYUSt_chr7.30651 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQVLHKLLSTKPETPAAAMTPCVATNGSSAETVAQCQPPTQDADSIRLSTQETEEQSGERKLTAAMLSVIVVICTKYDVSKDDFARDAPDDAALAMKLKEIIEINQDIEPDCLRIVKLSCHVVIRIAKLKPSCVMEFNRHSFEKVLSEAAKTLSDLDNCMLFAGDDREIIARVLAHEMKIWEDFALSVFSSK >cds.KYUSt_chr3.32905 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206731518:206731766:-1 gene:KYUSg_chr3.32905 transcript:KYUSt_chr3.32905 gene_biotype:protein_coding transcript_biotype:protein_coding MKADESFSLADVNGLERPQLIPKAASAFQLENNIVVVRSQPKLDVFLPMESGKCSSSSTSPQIDGKVFEAIPTKTSTAVVVT >cds.KYUSt_chr1.34514 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210050454:210053250:1 gene:KYUSg_chr1.34514 transcript:KYUSt_chr1.34514 gene_biotype:protein_coding transcript_biotype:protein_coding MRILGLPSLFLLLVGAGIAAADLQHTDCPSNTNYTRGGIFQANLDALLSFLPAAASAASGFAENATGAAPDQAFGLAQCRADVNESDCRACLDATAQDAAGKCPGQKSSMVIYDNCLLRHSNASFFGTADTSPEVALCNVGNATQVTQPELFMTQLGSLMRNLSSLAAYSSPRMFAAGEADVTPLKIYGIAQCTRDLGGDDCNRCLSGTVTDILTGCYLKIGGQIFYRSCSVRYEVSLFYNAKAAEAAMSPPAPAGGGLNGTDHSGGNNSTVKIALAVSVPVAAVLLVLLITALYLCKRKNKKPHEHVQISSAADCEDGEDMGSSESLLYDLSTLRAATDNFSEENKLGEGGFGPVYKGTLQDGQEIAVKRLSKTSQQGHVEMKNEVVLVAKLQHKNLVRLLGCCIQEQEMLLVYEFLANKSLDKILFDPVRRQELTWGHRFRIIQGIGRGLLYLHEDSRLTIIHRDLKASNILLDPDMSPKISDFGLAKLFSLDTSVGNTSHIAGTYGYMAPEYALHGIFSAKSDVYSYGVLVLEIIAGRRNTFSQYPGTNNEDLLTTVWRHWSHGSVTGLLDGCSADGLQPSEMLRCVHVGLLCVQEDAHLRPSMATVVVMLNSRSITLPVPTPPAYLVRSRAGALGRSQTHEEETPAGAVREPFGNDAYISDMEPR >cds.KYUSt_chr7.32061 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199600553:199611163:1 gene:KYUSg_chr7.32061 transcript:KYUSt_chr7.32061 gene_biotype:protein_coding transcript_biotype:protein_coding MPILMTSDLWTDNCAQEVLAYSGNEDAKQFFLSGKISVDSIKITFEGVGRTVVQMYIFIKKLPWEGLCQGRSDASAPLVEDWFSEDSEVEVAATGGEGAGGSDLELGCSQRRPPAATLDGFVQRAEELGGSLRHRRRSALAPGDRGSRPGSSAPPRFHRLGDAGFQGRRGGGRSRAAEVRSGRRAAAAPELAAALGLAQEKGSVGEGSVRGAGSGPPVAGPLPGPVRGPELQVVPSSCSPPVVVAGLGQRPSTPVFGPGGPAQYRSYKWLWLPSGCTDPGLGFRARKSEVRQRLSDPSAPPHRLLRPLRASSPIARSFAEVVLAGYDDDRKRRFAAEGGDRRGDGFGRRLEEAGREGAGRQDGGGRQDGGAGGYHYADELGPRGAPRGRESWGSSHQRWGDQRRWEEEQRDRYRQEEGRAMQGDRAAGGQGDHGEQGQRQAQKSRGKKTAAAAGSSQPRKARTTVQRAGALARGECFKCGRGGHFQSECTFEPLCMVCSGEGHSSTSCPTRGRSLKLQTMGQAFTGGGFFNIDVEPLRSGQRKGEVFSAIIKFNTVPLTAEQLSNELKHLVDEMWDWQVRRVSETEFSVVFPMRQTLRLSTGSGKLYLPLCKIETEIREAFSAPKPYLVLPSAWVQLTGVPEDLLERERLMAAFAMVGRAIDVDDLSLMKWETEQVRVRFQCRYPERIKGSVQVFVNGEGYTVGVQAERGPRGGPGGGSGAPPPPPPQTDREDEDDFSEEGSTDNEWNKHGRRKKVDQPDTQEKGRGNDGGEGDKSVGKQAPGSRSAPVAGRVWEGPNLPRSFDQYGSNLELGVWQQGLLPKD >cds.KYUSt_chr6.11089 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68800244:68803036:1 gene:KYUSg_chr6.11089 transcript:KYUSt_chr6.11089 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDGSTLLHVYIIPHLSSIPCSTMPLRLNANKVVDEHHSTEIRQHPAAAPKTMPQEDYQPGRPPPTKKKAASTVEPEAAAPGVLESREKPKVTAPHRRETEEMAQTVPPSHHRCATDVRQGRLQHAAAPPTSDRRRPAITREAEDLPPPSSRGPAIPAALPPKPSPGRHHLPAAANPTRHHLHIAQSHAQWREHRSSLRHLLSLLSSRVILSLVPPVSAFAAAYNTLVPDHALTASPLPYQLTAPVLELLLVVRTEASYACFDEGRKAWMRVLAGAGAGGADGLRWRG >cds.KYUSt_chr5.107 pep primary_assembly:MPB_Lper_Kyuss_1697:5:733253:734551:1 gene:KYUSg_chr5.107 transcript:KYUSt_chr5.107 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFLFLLLARATAADVVFPKEALPTNSGYLPIPATNASLYFAFYEATHPLTVPESTPLLVWLEGGPGCSAMLSNFLQLGPYLLDSGSASLSPNPFAWNRRFGLLFLDSPLGTGYSAVPTAAAIPTDQATIAAHTVAAIQSFLDAQPGSFRARPLFITGESYAGKSVPTTGALILATNPALPERQRINLRGVAVGNGIVHPVAQATTHADAAYFMGLISARQRREAEAMQAETVELIKAARWGEASTAWQRLVSWLENAAGVATLLDVSVQGGSFLDNFAGLQGFLNDGEVKAALRAGEGAAPVEACSTAVYAALHDDIMKSTKGEVEALLKTDTRVLLYEGIRDAKLGPVAAEAWLPELQWDGLASFLDSQRAVWRSSQGTVAGGVQSYGGLTHVAVYEAGHFVPASQGLAAQEMIEEWVSGTGLFGGQ >cds.KYUSt_chr6.6818 pep primary_assembly:MPB_Lper_Kyuss_1697:6:41086841:41087149:1 gene:KYUSg_chr6.6818 transcript:KYUSt_chr6.6818 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEDKKGKVKKGWLAVMVDEDQRRFVIPIAYLYHPQFRRLLEAAKDAYGYHYSSGPLRLPCSVDEFLRLRALVERDTSSAHSSSSSHRVHLAPCTRAKVTS >cds.KYUSt_chr7.28248 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176421989:176427052:-1 gene:KYUSg_chr7.28248 transcript:KYUSt_chr7.28248 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYGRRRTRIEGTWVRNRMDEKKIARISVTWRTRQLDVDADPSCTVKEFGQLLQDLTNVKPETLKLIVPQSANKGSKLITPFSDSHSSITLKEAAIDEGKPIRMMGVFEDEIEEVSDNGKRPDLRIIGFDEEERRLRQRSSGRPQGSLKLPQGQYIFCDFRTLHLPGVELNPPPSEALKRMHMLACDPGIVAIMNKHRWRVGIMTEMAPVGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVHSEHDAHFFALNKQLNDEAASLDWTKSSGHVLSGRKVLDSYEDEFLEPEGDVVGHKLGGGSSSRASARVLSGVAAYQRLLNASSTELESSHNRVATSVKKPDPDDAGQDFVQRNVKVELDPDDNDVMAIVTSGSPDFVSFTAQNTKGYSEPEPDAEKNSVDFVEPDPDDSLDAGTLNKEMEIDSENDKEPDPDDGTSGFVQESRDKMEVETELKTNTTVLKSEPDPDDSCKSLLNQEEVSIDGRHMGEPDPDAMESGNNIQAEIEQSRNLSILNSEPDPDDATDLSSNELQRIEEPVAALCSRLQKAIEMLRSQATPSEAASVLQTLFKIIKNVIENPNDIRYKRLRKSNPHFQRSVANYKAAMEVLELIGFCEDVVSDEIGRAETYLVLRRNDPGLLWLAKSSLEVSMG >cds.KYUSt_chr4.34989 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214943496:214944572:-1 gene:KYUSg_chr4.34989 transcript:KYUSt_chr4.34989 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASCLPSVRNLFSRGNTTSAIVAPPPVSGSHVVKIDGYSRTKGLGNGVFIKSETFEVGGHGWCLYYYPDGETSDSADYISTFLGLHHSNANKVRAEFKFSLLDQDSQPVPSHSRRSSQIHIFSHKETWGFDLIERKVLEKSLCLRDDVFRIRCEVTVMKEIFTEPIPPPLVVPPSNMHRHLGQLLLAGEATDVTFEVGAASFPAHRCILAARSSVFKAELLGPMKENIASHVQIDDMDVNVFKVLLHFIYTDSLPELDEDDTAAMCQHLLVAADRYNLERLKLICEEKLCNNICKRTAATTLTLAEQHGCDALKKECFKFLTSPGNLKAVMASDGYQHLRSSCPSVIEELLAKLAP >cds.KYUSt_chr2.8894 pep primary_assembly:MPB_Lper_Kyuss_1697:2:56060364:56061527:-1 gene:KYUSg_chr2.8894 transcript:KYUSt_chr2.8894 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFLAIAAQASSNSSTAAPPAPPLRSRPPRREQPSVVVKEATMADEHQELTKAFSGLGGLGVEENALVSALGRWRKQPEKRSQFRQGFPGFFTPSAAGGSNVTIERCSDEYVKHLKTEFSRFKNLMVLWAMHPWERDARWAHRALHKKHHPAAVIVELACTRTADELLGARRAYHALYHRSLEEDVAYRLKDKETSLLVGLVAAYRYEGPRVSEDLAKEEATALAAAGGAKPQSELVVRVLATRSKPQLRATFRMYEKISGKPLEEEFGGEAPCMREAVRCLDSPAKYFADLIVEAFKDGADKQAKAALTRVVVSRSDADMEEIKEAYLKQHGAKLVDAVAKNTHGHYRDALLAMIGK >cds.KYUSt_chr7.11093 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68197078:68200760:-1 gene:KYUSg_chr7.11093 transcript:KYUSt_chr7.11093 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEVSNKGVTLKRHVMGFPTEDDMELVTATVRLTVPPGSAAVIVKNLYLSCDPYMRSRMTRHKEPSYVPDFVPGEVLTAFGVSKVLESGHSGYKAGDLVWGIIGCEEYTLVTNPESLFKISHPEFPLSYYTGVLGMPGLTAYAGFFDVAKPKKGEYVFVSAASGAVGQLVGQLAKITGCYIVGSAGSDEKVNLLKTKFGFDDAFNYKKEKDLNAALKRCFPKGIDIYFENVGGAMLDAVLLNMRLHGRVSMCGLISQYNLEQPEGVRNLFCIVTKRIRVEGFMVTEYFGTYRKFEEEMAGYLKEGKITYVEDVAEGIENVPAALIGIFYGRNIGKQLVAVARD >cds.KYUSt_chr4.49709 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307769000:307771156:1 gene:KYUSg_chr4.49709 transcript:KYUSt_chr4.49709 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPPATAASTGGGYVAAPAPSPGYAPYPTLSPEDVAPPPPPPYHAAASYSAPPPSGNPYVSGPSAGSVPPPKNTMDSVKDVLGKMGKRFGEAARKAENTTGNFWQHLKTGPSIADAAMGRVSQITKVIAEGGYEKIFHQTFDVLPEEKLKKPFACYLSTSAGPVMGVLYLSTVKLAFCSDNPLAYKVGDKNEWSYYKVVIPLAQLRSVNPSTSRTNSAEKYIQVVSVDNHEFWFMGFVYYDSAVKNLQEALQEARILQA >cds.KYUSt_chr4.325 pep primary_assembly:MPB_Lper_Kyuss_1697:4:1767063:1772673:-1 gene:KYUSg_chr4.325 transcript:KYUSt_chr4.325 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTVGDEILTGFIRHGHAYKPLIDEYEEEADKNEGEEEEVQEELIDADTDVTTTTTMDRRTGSTRGPRWRSLEEECLIGQEVLAARAASASMTPPSPFDTAHERIRLGTFDTAHEAARAMADLLTIYLHYEDGNKEAKILQRSMMRRHVSYYDLILMTEEVGFHAVDFLYYSKKDPQGNAYLVHIDDQSIAIKMLSDPDIGKTVHLYVSKEKASDDIAPPHNRNDFAPSNHTNESALLQDGAEGQLRRSKRLNVINQRDDEDQGGDEDEDFNNGEQYTAPGDESQALEDNEDRVDNQVHKEVVKRKGTSLPVVWNMPKGQRIVVTCNEEGQPIGEEGAILGKFLGTIARNGGFCPLNINDWRDLKKNSREETILDCVKTKFVYPRSCEKWILKTIGRDWRKFKSCLKKAIFNPAMKKNPDIKRKALYKLCPDDVDSDQWRGLIKFWKSKKGRALAEKNVISRSLVKNTHNAGTKSYARWGEDIRQADPEKKRPHRSTVYLATHKKKDAPENKEKNDRLDRLEDLIAQRPELGQNVNGRVAWEGDALREVLGEEKTGQVHGMGLLPTPKQVYGRTPRYLKNINMTTTDEPACEGEHDVWGEIAMLKEHIRRLEDRNNKEGHGNEIEEEDGEHDNSNQLLIQQNLSLPQDPVSGSMPEVRETVDLIDESFATLNQQRIGNKRGSSVPSKPSKRRHTSSLKAGCEVVLRTSTYPNKRNVAYATIRSTDPATKAGGIELGAQFSLVRIDEPIMDSEELVREVSDCKTIDSKERLSCS >cds.KYUSt_chr2.53465 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333571838:333572566:1 gene:KYUSg_chr2.53465 transcript:KYUSt_chr2.53465 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKLAVLLLLAMLVLTSSTPSTGTLSTGSTIPRVGLPKQPNVVGGSVAASELDLGPLAPVVGGVAPVVGGVAPVVGSIAPVLGGSAAPVVGGLAPVVGQVAPVIGQVAPVIGGGLPIPGIGAVPTTTSLATHAPVVGGSVIPDVGAVPTKLATLAPVVGGVAPVVGSTAPVVGSVAPVVDGGIPGVGAVPTKLATLAPVVGGAAPVVGSAAPVVGGAAPIIGGGTVVSASRKTRHGGRKP >cds.KYUSt_chr7.24948 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155723830:155729341:-1 gene:KYUSg_chr7.24948 transcript:KYUSt_chr7.24948 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKSSASSVIRPQRGCHREDIAFDRLLQVSGCKQHPLNLSTGVERGLFFQKVASYVRMYGLGGRFALCSSKHSLDSMVDSLSKMDNQKWSDLLHMIDQELNERLLERFSPSSKYEDRIQAIANLSEHSNLSPHSNSSAGHGGLVGHMKHGKTSLKNSLCGNQVAPGTTEGIGFDNAKIYKCEDKCCTSSTRYICRDKDYGFYGHEECKLKPMMHISFIDCPGHPNLLAATLSAIGIMDAAILVISADESCGQPQTTEHLIALEIRNIQDIIIVQSKVDSVSTKKKRNHLREIEDFIKDTVAKHAVILEKGENYMDMVCETIVKNIHIPPRILEKPPVMIILKSGDDYSKNSNSRRRLVVHGVLLQGMLMPNCEMQISPGIVFQEKGTLKHSPLVVETDLIYAEETQLQFAQPGAYAFDLMRPNCDASVEDILLEVDQLKLAVAKALRSHCTSQPVLL >cds.KYUSt_chr6.7320 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44538704:44539546:1 gene:KYUSg_chr6.7320 transcript:KYUSt_chr6.7320 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPEDNKHQAPSSSVSTAAVAANETTGSSVNAYTSLQSLLVVARLTSNADDTRGACKKCGRVGHLTFQCRNFLSLKTIGIEDDILQASNEAEARAKFAEDKNKASDADEEGYDKDDDIDSDSSDSDIDPMLEKIIAERERAKSRRGKQSEELDKKTSRHRSSSTKVKNKDGRKKHQSSDQDSERDADRKRDRKSRKRQRTHHRKDGESGEEGRRHHHHHKRRHHRRNAPDGDSAADRSQYTATC >cds.KYUSt_chr4.22928 pep primary_assembly:MPB_Lper_Kyuss_1697:4:144247743:144255431:1 gene:KYUSg_chr4.22928 transcript:KYUSt_chr4.22928 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLGFPGGRGGVRLIFSRLLQRLLGPRSRRPRAVASPASYARDEDDETSPFMEGIPGSIVSDSTSGSHYARDSAYMRMYGANPLPFGPPEWPADQLFDVETAWPESAKGGLEMTTGTRHAARVPRARVPAQPPVVVVETVAGQTPMLHIPLTDGLLASFLAQAVGVHADGTYGVAENPASIPTSSDSKGVGVHTVGTDGVTANRPLIPTSSGSESDSKGAPTQWMKVFRGLLLAHVFFALPLVVIFFPRYEKGAKNDLLLSLFDRKEVGIEKLVVLALFVAHASLGSLGVLLAHIADGQCMGFVGSLTLCIIISVVGFIDYIFFKALPTETTYIVLFLGHFIAMLLLICDPLHEKLAWVLGSQVYRCFFITDPSKSSRVVVRRGKRSIIGMEGATNKQYIDMNGDPKIEEEFNKYFDMPTTYFKVRRKTTLPAKGCPYTRINLKVARLKYSTAMKKGKNIDKRR >cds.KYUSt_chr3.22481 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138959074:138961859:1 gene:KYUSg_chr3.22481 transcript:KYUSt_chr3.22481 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLVDFPSMGSACYFPSLESLLRDSTSRFLAAVSAAPDPDLTNFRSLFSRVLSTYPDPPLEAVWFFSALTFHDAPDDLRSILHLLSAFTAGSRDAAKPLALLAPIVSELFHSAKPRREVEALVDAVLSYISICCSRRRPAGDEVNADAGTLLPGFGELVKVWSVRNSRDRCPFQVLFPLVGDEARRELMKEGCTVTFLAGVVVAEAFLLRLCLKVQGAAGVPRSELQKELRIWAVSSISVFQNQEFFGVLLNMLVNPPLPVYSLLSADDEILLRDVLYDALILVDYSFLSGAEVAQANISLFPIFVSRLVITVDAINDARRKGDQGRAISYINAFSTSNIPSYLTGWAIHEAGTDQLGKPIAITPQAFLKWLVDLEDKGLKVFGENFSRIKERVMHDEGKNDNQSRISHSGADLFFIDKKSHQEGMDTKDGEDEEAVEMETADNAFMAAAHSMKVMANGIRKRKDCGTEDATAMKFVKYKTEDSSVKCYLQATNGMSSGSEVENPQSDDEMEA >cds.KYUSt_chr4.50242 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311236386:311237543:-1 gene:KYUSg_chr4.50242 transcript:KYUSt_chr4.50242 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSPNTEHFDSATNGMPIPSSPQIPWDAIAEDQSLANEANAYADCWSANIVELNQPNLVAQATDGMMGMDSWAGLPVERSGEHVPSAHSMQAWGGAWVEEPHPTSLHDEAHLIGPSFQDHDQSLLEQRLGTPIKEKTVYEINEFCALLEPASAGWQLKDIFATPQMHNVQQPELVEQQHVHSSPDSSTDIDDDKLFEVTLKSNALRALRQAQLCGPALTEEDGTGVETADCGLQGPALMEEVTSRVAELHVDPKTGFMGKLMGMFSPSLLGFPTNSSRKKKPQTKKNLLTATSSRRSERQATKISSLMTTRRAQIAACKQLGLIQRDDEFTEEVLAQYIALFHQPLSSAHLHGLATLAEVAGRPSFILPERDMTELLKESPYAT >cds.KYUSt_chr7.20791 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128885894:128888053:1 gene:KYUSg_chr7.20791 transcript:KYUSt_chr7.20791 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSTLGREGTGLLAVAGTPHAISLHHPLLPLVRNLASMDHPSGAYLLKKHGVGSDLPLMKPAGSKPGSASSYYKLGMYEPGRVILDSTYDLLHAWLMFSEKENHGWSLEDLLKENHGCSLEDLLTEMYRVMRPHGYAIITL >cds.KYUSt_chr2.22775 pep primary_assembly:MPB_Lper_Kyuss_1697:2:139512418:139513599:-1 gene:KYUSg_chr2.22775 transcript:KYUSt_chr2.22775 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRREPEVPAYIQQMMEAQAQLMQAVTQTITQLNNNLQNNNNNPPPPPPPPPPQVDMLTRFLRLRPEKFSKAADPMMANDWLRAVNKDLVTVGCTDAEKVRFAAHLLEGPGATCLARYAPDDVDTDAKRKEKFLDGLNDDLSIQLSIAYVPTYQALCDKATILESKLRKVEHKKRKHNHVSSNSGSYQKSRTSYGGNKHDHYGHTNHNNGGSDSGNWNGHENRGNSDRHHHNNTKKDISHLQCYNCKELGHYASDCPTKQKNAGNSNGDKPNLFHKGHVNHINMGGFVEELGELRDVMKSSHPD >cds.KYUSt_chr4.22127 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139155301:139156611:1 gene:KYUSg_chr4.22127 transcript:KYUSt_chr4.22127 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIQAIQTSAIPSWVMLDQRFRFEDLGSIRADGETSAETRDTNGDPVRVSFDLQAPPASSRLCLHWAEEREYSWWDIVVASHLDAVLFRIEIDFDGLWINHSYAMDYFIYRASSSYATLILLPRCFSTEDEADAEDASSWKRQSRMVHSGSIGLLVDEDNFVVAELLIEGGVKSPCDTAPLEAKLFRLYSSSSPEAAHGGGQWELTHARSHGTNVSFLDVAGWETQRVIPFASYLCWADYNRGVLFCNVRHKTPELRYLRLPVDDMPNENFPKKYSRTVCVTDKGETMKFVKVVENSIICPTCKHGSGFTISVWTLVVVNDDDMVWVEDVQITDSELWAMEGYNHDHLPRRVPQFPLVSMNDPHILYFVLRGTPAPTDEATASIVTLDLKSKTVLSSGHIKGYGSVDNDDNISSGFGFFPTNFSNYLTKHTTTKV >cds.KYUSt_chr3.22097 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136127787:136128629:1 gene:KYUSg_chr3.22097 transcript:KYUSt_chr3.22097 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRTCLFSPAFSTASSSGRPRVPNAPSIVSVVGRREHRFPVLKSTTAPRPRLAVVRAQNRDAQSKANQPPAAGGHFHEEIKRITQHHRDIISTDGGFLYAEATAMSARVCISALEALDMASLVLDSARLNLGEAGEISAETIHGTVRPYAALFSAAAEDAYCRKVNRNTVVRFLGALRGVAAVSHIMLEGALEALSHRRPREAVSEYAFNSDVEDMYREFEQGMRQVEDDIRNASAVATCKLAVPVILSGTKFAGSFVGLMVDRRRRVVEKARSKSPV >cds.KYUSt_chr5.1533 pep primary_assembly:MPB_Lper_Kyuss_1697:5:10524491:10528059:-1 gene:KYUSg_chr5.1533 transcript:KYUSt_chr5.1533 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSSFKKYADLKQQVELDEMESGVGGQGANLDKFFEDVEVVKEDIRGLESMHRRLQSANEESKTAHDARAVKSLRTRMDGEVEQVLRRAKAIKGKLEALDRQNAASRKIPGCGAGSSTDRTRSSVVSGLGRKLKDLMDDFQGLRTRMAAEYKETVARRYYTVTGEHAEESKIEALISSGESETFLQKAIQRDQGRGEVLSTLSEIQERHDAVKDIERSLLELHQVFLEMAALVEAQGHQLNDIETHVARASSFVHKGTVELQSAKVYQKIEQPKVARCAAVLCWVLPMHTSELASADGGYAPFTTGMLRRQQSVQAAMAAAFAPCVGGREARRKLGSARLGGRVGHRDGHDGDEQDQFSGRVPAARTGGAGLVRALWMRVVGKAMSRSRSSSKKQYGHEDYTENFDDGAAAGEPDNLPRSFSARYARRRPAGLALTDVAHRRPLHPACG >cds.KYUSt_chr3.31725 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199386109:199397142:1 gene:KYUSg_chr3.31725 transcript:KYUSt_chr3.31725 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVPSPSREASRPPERSDMVSATMPGKRKRAVYQPQAEAVVPPTFIPPPPPQAVNDAHNVFADSSTIEFLSALNDSSVDVGVADGIPPFDEEIGDEEYDEEIGDEDARTQGNQSDEGALGRGEGDHDDANQGHGRGLTGMVEGDQGGHHDEEEAFASRSQGKRWWCVYSEEFVAHDAEVRSLAIGKKSSRVFITGGSDRKVNLWAIGNQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKAVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYNGHRGAIKSIRFTPDGRWIVTGGEDNVVKVWDLTAGKLLHDFKFHSGQIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEDTGVRSMVFHPDGKTLFCGLDQSLKVFSWEPVRCHDAVDMGWNNLADLSIYEGKLLGCSYHERRVGVWVADISLIGPYALGVLPKANFFAELAHSMDDNPMKPNGSTTKSIRAVATPHPKNSYKVKESAESGIRALHLTPASTDKTKKDKSSTIPRRSDSSVKSSTPVRRMKLADSPSTNPRTAERNFGQRDMPLLSRAGVANKSSATKKFHPAELADVKDIYTTQSVSMPVAGPRDILEDKSIGSVNRGIGGRAAVPDDFCSPVHLRKLLPSGGTVDSVSSVRSMLSEPDACSEGLSGLKFSFGLTPYDKKQEFDGTDKGAVTQIAEKMDKIVSLEHPVQSNSDTSAFESPCSTTETARVKYVRGVAVPLGKTKSIVDRFEKRESSSIDCSPPTGSRGDRTVRVDSPPTSSTEPSQTYERDLSTVDEVINPIDLVRNHDEFINVLKSRLTKLEMMRHVFNQNGIKGAIAAVAKLPDIAVQADVVGTLKGKIGLFNLDVFSSFLPVLVGLLNSKTERHAFVSLEILLDLIKIFGPVIHSTLSASSTVGVDIQAEQRLQRCTRCFNHLQKVLQALQPLMMRGGQSAQLAQELNMSLQNLVVF >cds.KYUSt_contig_49.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000023.1:62396:68339:1 gene:KYUSg_contig_49.5 transcript:KYUSt_contig_49.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGRGKGKHPSMDEEAELDVDRRGQRRAAARDGRKGRRQRTRRHREEGCFDLLIPPMDGEQAVRLPQFRVSSAAMKEEDVLHLISILTALPPDWSTGSYCTAFSLCTTVSTKERQSLKHIDKRELYPFSFLDNSNSGTTKILFKKYGNTKQEDVLNFSLTYYVYMYDCWTTVNVLYLMGRLVDLWLTASMNDYMLLEALMCSHASRSDCTHLLAQYSTLMVKFGKFLHTVLAVRMVQIHYPSKVSLNFMESANCESNRKMSIFMVPESWMNLDVLSCFEMENAASEDVQIQAKPVLAVTKVLRCSAVVRVSEDDMLPGYAMETLPEEDIIS >cds.KYUSt_chr4.21110 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132974526:132978242:1 gene:KYUSg_chr4.21110 transcript:KYUSt_chr4.21110 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSSRNPSRSSRSQVFVDLDSDDSDGEGEPPIFYSRTIKHVLVIIECKSKRSRPSRRETVETSESPQNVLPSCGDDLLPKRSSKRITNSRMAKTNTNKLDTDIFELYMELVVDILKTEERQDSEQFINEVHLEFPESKDVMFNSEELEKFQKDIDSFQANRNVLPSCKPSFDDDDHLSERSSKHIANSRRGKTKEDKFDTDIFELYMEFIVDILKSEERPDIEQFINEVHLEFPEPKDVMFNSEELGNFQKDIGSFRANRNTEVED >cds.KYUSt_chr3.1331 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7434136:7434465:-1 gene:KYUSg_chr3.1331 transcript:KYUSt_chr3.1331 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSAYAAAAGGEAAAWPCRGALLRPRPPPPRLAFLSRTPARGASISLPTGLHRAAAATEGRTGMGVGSEEKEEGPAWLELEPIDSDDQLDWALAAGQQRCAPMVLLW >cds.KYUSt_chr6.21034 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132749663:132753690:-1 gene:KYUSg_chr6.21034 transcript:KYUSt_chr6.21034 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPASPSTEELEADLSAATISKKQLNKEARKAAKPAKAEKAGKKAEKFEAVEADPFAANYGYHEIQSKGISGRSWTDVGDLDEAAAGRSVLVRGFAERFRPVSNKMTFIVLRRQMSTVQCVLVANADAGVSTQMVRFATSLSRESVIDVEGVVSVPKEPLKSTTQQVEIQVRKVYCISEAIAALPFNLEDAARSEAEFEKAEQEGEKLVRVCQDTGLTYRVVELRTATNQATFRIKSEVEMIFREYLYSKSFIGVHSPKLISGSSEGGAAVFELQYHGQPACLAQSPQLYKQMYISGGFGRVFEVGSIFRAENSNTHRHLCEFTGLDAEMEINEHYFEVCDIIDGLFVAIFKHLNENCKNLLEIINKQYPFEPLKYLEKTLKITYEEGIQMLKDAGTEIEPMSDLNTEAEKKLGRLVKEKYGTEFFILYRYPLAVRPFYTMPCYEDPAYSNSFDVFIRGEEIISGAQRIHTHELLRKRAIECGIDTSTISSYIESFSYGVPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLTP >cds.KYUSt_chr1.22051 pep primary_assembly:MPB_Lper_Kyuss_1697:1:130353171:130354900:1 gene:KYUSg_chr1.22051 transcript:KYUSt_chr1.22051 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSSLPDHLLHHILSFLQTQESVRTSVLSRRWQRVWIGLPTFAFDDKRGSSIAGFASSVDAVLAPRLLQGTVDRLQISVRHPPHIARANEWLQRAAERVREDISIIFHENSPAERTLHDTDNGYDRIVLHLPCGGRATALTLDFHAIGIATLVIPPAVPSSLLTRLELKFLRVDASSLSSFVSSRCPSPRKLVVHTFGDMDALRFSNDALEDLDIHFYFGGLRRLEVWSRNLRRLCVRGVFSPEAMAHDGSKLASFFTPRLEHLCWTSSISIDPSRIDFAHSLSTVRQLEVELATHALVHHTRWYRDRNKLAPMSASGVVYSDLMAVIRHLSTVTDLTVSTILDHHAYGASIARLLSRCSNIETLTILVKQEKCEDEYTCPLTSACWCKCPADWREQKLCLDYLRQVDLKGFSKADWLPDLVTLLCCARHAVNVNYCD >cds.KYUSt_contig_1467.359 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:2015798:2017841:-1 gene:KYUSg_contig_1467.359 transcript:KYUSt_contig_1467.359 gene_biotype:protein_coding transcript_biotype:protein_coding MANGASAPFRPLAPPLTRLDELRSNPSILSHANFPAALPESTTKVAVVHYQLELLHPDDELEFSYVCGSENGPENWGKIKEEWATCGSGRMQSPIDLSVSVSGHLAYLNHTYRPAEASVVNRGHDIMLKFHGDAGAGSLWINGTAYHLTQLHWHSPSEHSVNGRRYNMELHMVHLSADNKAAVIGLLYKIGRHNDFLHKLEPYLQSLADTKEKEEKVGVVDPWDARGEGEAYYRYMGSLTTPSCDEGVIWTVVNRVATVSSDQLKLLADAVHDGMEMNARPLQKVNNRDISFFCPDDHHEHYYAAADH >cds.KYUSt_chr2.47155 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295016187:295017711:1 gene:KYUSg_chr2.47155 transcript:KYUSt_chr2.47155 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWKTGVFAAAVVAAVVCTVAAAASKFDGVVQPSWANDHVLYDGDLLKLRLDSSSGGGFASKNRFLYGKATADLKLVPGDSAGVVTAFYLSSAGDNHNEFDFEFLGNVTGEPYLVQTNLYIDGVGNREQRIDLWFDPTADFHTYSVLWNPSQVVFLVDDTPIRVYENKTATKGHHHRHPNSTTTPDIAFPSPQPMAVYSSIWNADDWATRGGLVKTDWSHAPFVATFRDVSVEGCAWAPDAADSDAGEVARCTGTQWGKEGRYWWKEKDMQELSVHQTHQLVWARAHHLVYDYCVDTDRFPVQPPECAGR >cds.KYUSt_chr3.8273 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47695977:47696981:1 gene:KYUSg_chr3.8273 transcript:KYUSt_chr3.8273 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVEHMRVSHHSAHEPRCGVCGKHCRSLDALRDHLGFGSVLPKAGCASVFAARGCHLCLAVFPASAALRAHRATCQLSSAPTQSQLTRSMSRMSIHGGGHGGAVALGCKMVGGGSDGTLDVCARVCLIDEHENILFESFVKPLIPVTHYRYEMTGIRPEHLRDSATTVKQARKRVEDILHNGEDPWKIRTARGSARILVGHGLDHDLDGLGMDYPAYLKRDTATYPPLMKTSSRMMSNSLKFLTKSCLGYEIQNGGHQHPYDDCVAAMRLYKRMRALRHGVKGEVKGCAGPPPASVAEAFPAWRQRELERMSPEELLRMSKPDYRCWCLDDV >cds.KYUSt_contig_686-1.145 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1071421:1077535:-1 gene:KYUSg_contig_686-1.145 transcript:KYUSt_contig_686-1.145 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFNVLLGSYWLKLATPRIHPPLGDILEHSLGPDTVLHYFDIATLLKEDASVISLWAWSSNPDSIPKIQWLTLTDNPTVGLGGTATSMVTGCQGLERRTLVHLDLLEDFSPDADGVVPRRPRNEPPYPWRLGVVCGEKHIREKERQKTPARRCNDEAERRARNGRDRRDDDDDDDGRHGRQDSRSSWSQRLFRSRSCAPQDRGGEYRWDNRDDRRRDDRDRRDGRDGRDDRRRQYRLLPDARHLDFARVQRLACGAVIPASGSRRREALIRVQHASVGNPKRKV >cds.KYUSt_chr3.12877 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77238232:77238681:1 gene:KYUSg_chr3.12877 transcript:KYUSt_chr3.12877 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAAAVLFALVCCLLVGSAPARRPAELPAQVVLEADDREAAAESLLPKPLAIVEAADPSTVLSSVQGLEVNLAGQAPQVISCGGEDAAVSEEPLSLGTMAQPAGKGEVREQERRDDDSDSDSDSDSDDEDNEHGIVAWFWRLARRF >cds.KYUSt_chr4.50448 pep primary_assembly:MPB_Lper_Kyuss_1697:4:312568231:312569808:1 gene:KYUSg_chr4.50448 transcript:KYUSt_chr4.50448 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQVHVLSALDGAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLIGRVYYTVPGSPHPGSLPPTVAAAVNGVAFVGTLSGQLFFGWLGDKVGRKSVYGMTLLLMIICSVASGLSFSHTPTTVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGAVAIGVTALFKNRFPAPPYAVNPAASTPAEADYVWRIVLMLGALPAALTFYWRMKMPETARYTALIAKNAERAAADMSKVLQVEITKEQAGDLEVAHKYRPSPSFGLFSGEFVRRHGLHLVGTASTWLLLDIAYYSQNLFQKDIFSAIGWIPPAATMSALDELYHIARAQTLIALCGTVPGYWFTVAFIDSVGRFKIQAMGFFMMTGFMLGLAVPYDYWTGKGHQAGFVAMYALTFFFANFGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIIGSFGFLYLAQSPDPAKTAHGYLPGIGVRNSLFVLAGCSLMGFMLTFLVPEPKGKSLEEMSRETEPDKC >cds.KYUSt_chr5.38270 pep primary_assembly:MPB_Lper_Kyuss_1697:5:241996108:242002135:-1 gene:KYUSg_chr5.38270 transcript:KYUSt_chr5.38270 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSHLQQQMEYGLSNAGSFLFSHGNPEDAAASLEASSVVLDTHPVANASPEKKRKPGEDTASLNSAHSPREPSKESTRKRGGRKQSKEMEEEEEPKGYIHVRARRGQATDSHSLSERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGMESDAAFSDQSQKIEGMFHGAAALPADPPMTRSCSPAPSQTMMDTGTSSSSPPYSLHGTQIMDIDDEMMMNLFMEEDDHANTDEEEHFMILAALE >cds.KYUSt_chr1.35740 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217800922:217808276:-1 gene:KYUSg_chr1.35740 transcript:KYUSt_chr1.35740 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGLELLPISEGFRQYIGNVPRTIVLKTNTGCSWMIKQREVDGKISMDQGWTGFAIAHEIKIGYFMAFKALRGGAYKNYSDGDGISNLQLEDLVGMIQNTEKNILLLNQARVQALEHADKILKEKEALQRKINILETKLSEADAQHKLSAEGNSSDSQLVMEFDVLKEENILLKEDIEFLKTKLIEVAETEESIFKLEKERALLDASLRELESRFIAAQADMMKLGPRKYDAWWEKVEKLEDLLETTANQVEHAALVLDHNHDLQDKLDKLDESLQAANISKFSSYLVDLLQQKVKSVEERFQACNHEMHSQIELYEHSIVEFHGTLSKLIEESEKRSLENFTGNMPSELWSRISLLIDGWLLEKKISYNDANTLREMVRKRDSRLREAYLSCRDTESREVMDNFLKMALPGTSSGLHIAHIAAEMAPVAKVGGLADVISGLGKALQKKGHLVEIILPKYDCMQVDQVSNLKVLDVLVQSYFEGNMFNNKIWTGTVEGLPVYFIEPEHPAKFFWRAQYYGEHDDFKRFSYFSRAALELLYQSGKKVDIIHCHDWQTAFVAPLYWDVYANLGFNSARICFTCHNFEYQGSAPARDLAWCGLDVEHLDRPDRMRDNSHGRINVVKGAIVYSNIVTTVSPTYALEVRSEGGRGLQDTLKVHSRKFLGILNGIDTDTWNPSTDRYLKVQYNANDLQGKAANKAALRKQLNLASAYPSQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFVLLGSSPVPDIQREFEGIAEYFQNNNNIRLLLKYDDALSHCIYAASDMFIVPSIFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDFDDEAIPMEVRNGFTFVKADEQGLSGAMERAFNCYTRKPEVWKQLVQKDMRIDFSWDTSASQYEDIYQKAAARARAAA >cds.KYUSt_chr4.46165 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285263629:285268090:1 gene:KYUSg_chr4.46165 transcript:KYUSt_chr4.46165 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARVYADVNVHRPREYSDYEALSVQWGEQDDYEVVRKVGRGKYSEVFEGVNVTNDERCVIKILKPVKKKKIKREIKILQNLFGGPNIVKLLNIVRDQQSKTPSLVFEYVNSTDFKVLYPTFTDYDIRFYIYELLKALDYSHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYFPEKEYNVRVASRYFKGPELLVDFQGYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLFKIAKVLGTDDLNAYLNKYRIVLDPQLEALIGRHIKKPWSKFVTAENRHLVSEEAIDFLDKLLRFDHQDRLTAEEAMAHAYFQQVRAAENSRGRT >cds.KYUSt_chr5.12765 pep primary_assembly:MPB_Lper_Kyuss_1697:5:83264597:83264857:1 gene:KYUSg_chr5.12765 transcript:KYUSt_chr5.12765 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAFAYGDAAYKAVAAGRRHACAVDAMGGLVCWGDGNPDVLREELPADMTAMALGHNTTCIRHDQRPMRRRLRLRGALRHRRRG >cds.KYUSt_chr3.1355 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7548638:7549550:1 gene:KYUSg_chr3.1355 transcript:KYUSt_chr3.1355 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSRDKEALRAILIQAMCAAKSVRVQLDHLLQLRRRLQQRIHADDDDAAVVQEVAAGLFKVYYRGLEYASRYLRSLFDIAMENGNHAFLYHLDFALALIPDEQLYGVLLAQRLPSRPTTQAQAFARVEAALHAVKLPEEHHLPRCIELLLGVRPPSVTGGPLLFRGVPGYPDDPLAAAHEHLANNGFPNPATAPAAPPQAAAPSSVDLDHALTYLHRACSLVYLASKHIDLAVAAFSTSFDPEELADNAETAEKFCFIPEE >cds.KYUSt_chr4.30675 pep primary_assembly:MPB_Lper_Kyuss_1697:4:192360367:192377658:1 gene:KYUSg_chr4.30675 transcript:KYUSt_chr4.30675 gene_biotype:protein_coding transcript_biotype:protein_coding MADWRLSKATCEWLFNLLAAGHLGGLSAPAEGYVVVLTDLTLLFWEIALVEKGPLVAVEIKTLAGQADRASARSVTPEIVLAIELAHELCTCSRIVKNELHQQISPIILSSKDRPPLPYQVVSDFRLFGSSQACNDDPLRAALRRPRASAKRRVAGSAPPQVRRPPRAAGSVRARRQQRTLALSVSVFDYVVYVFVHVSAHGTPECLRARLPPSQMHSTTPSASSDSRSWRQLAVSPSNLERVFVTVRPVYVVCSTAAMQAASRPRVLVICSETERSNHTWMEYTCKSYQLGHGGNLMFEKDLTQLVEYLGRPYPAFFGIPLNNHSGGPPRWEVTADLRRNPGAPIWETFWFLVTGNTWKEGLVRAMQEAIARLCGQNENKIKYTRFIYYPRHDPMGRPMTMPPHTEMNHYVAHLDFMLYKTRKELDNALNFLQAHYP >cds.KYUSt_chr1.24461 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146075897:146081335:1 gene:KYUSg_chr1.24461 transcript:KYUSt_chr1.24461 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSNPILLQLPHAAGTSLPRRRRREEALDYLSPNSQQPPGSPTFITSPDFFLLLAQTISLHVAVALVPVELRLLQLENCGCNRHAEPDGEGLLSDDLVSGVVDQPGAEKALHIISSPTSFFMVTVEEAKVSVMLPVAAGLLLILVFLVHHSCVDFLNALQLGSTVIDDPNEPPTSSTTASTDKRRMPLSQKAQAQQDAQGKKT >cds.KYUSt_chr2.8959 pep primary_assembly:MPB_Lper_Kyuss_1697:2:56464091:56465478:1 gene:KYUSg_chr2.8959 transcript:KYUSt_chr2.8959 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPALEPRTSMAEQYKKRPRLSDNAESSASKKPSILEVTSPVATLAPAPAPASVSPSPVATRTPSLAAPSPVSKRAPSSAAPSPAAMSKPIAVVKPTAATVKVTNPVPAMASALEAPNPVASSTPTSALPSPAAPNTADTATLAPSPVATAKPAVKHEPEDGRMLAVPAAEEVVILEVEKKWLYCVTCHSPLKPPIFLCEVGHAVCCDCAGRGGERKHCGACNRAATYSHVPWMDGMVTGHKEPCPYKSFGCARSIVYYAAADHKARCAHAPCYCFECAFEGSPASLVRHLTDQSGRHRWPVEEIKYESKKSFVVPASEHRRLLVSEEDGRVFLLAVGAGRGASGVRPVNIVCVRGNAGGGTTRPLYMGVLWVDGPPAASGHLRGDFKLKGELANCGVPGEVDMDHGQLHAHVNPEMLHGESKEVHLAICITKF >cds.KYUSt_chr7.32393 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201785695:201785952:-1 gene:KYUSg_chr7.32393 transcript:KYUSt_chr7.32393 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWADQWDTGADPSPRRGSGDGKKQGGDRVEKTKAAAATGLKKVKAGTAQGFQWIKDKYHQKKNAGAGKSSKQQGGGSQIAAGY >cds.KYUSt_chr4.12667 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77896082:77916395:1 gene:KYUSg_chr4.12667 transcript:KYUSt_chr4.12667 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPSLNKAGVRVVCRDVFLVVLGSTNYGRVKEKREAQHNISVSALFFRVLPPSRSASRQRRLPHDLTALPPPNPMEALNELCDLVAAHPDLLLADKLTWLASRCAPAASASSSSSSPHRASRAHLHSLLALARLLPAGAAGAPLPPPLLAFLTSRAFLSPAFWPQSFAPAPFLAKLLPLLASAPPSPVLSSALSGALLAALDVADPASAPLARAFLAAAAAAPPPLLPADAAPVAQRLLLEFAGTDEAPPKAKGKGEDAAGEESGGIRDVVQRFEAEEIDALERKEVAFRLILQVLGGEAGLEPDKVAKVRNAAAWQVRSLTDFLKIRKRDWKEQGVQLRARINTKLSCCQAAVVVLVRTVSVMDAADSKASKDMLQQTLAWFIEATKSCILSSWRKLKVCEDLFCTLLNGISQITVSRGGQLLPVLLIPLKPLVVSTCSQADMTGCSPGALFEAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKGKDAVPLMRLNVVRLLAELCVCLKRWEVVDMVLPLFIEHLEEGDASSPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKAKAVGAAENNTLPSEATIERTETLPAGFLLVASNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGGSGADLMGPLLPAVAEICSDFDPVSSVEPSLLKLFRNLWFYIVLFGLAPPIQNNQAPTKPASAPLNTTESSVALQAVAGPYMWNSQWSVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRGGNGNEKAVVGQRTALAAALGGRVEVAAMSTISGVKATYLLAVAFLEILRFSCNGGTLSSTSTLNKSNSALSCVFEYLLTPNLTPAVSQCLMAVVHRAFETMLSWLDDRISDIGVEADVRESALSVHACFLIKSMSQRDEPVRDVSVKLLTQLKEKFPQVLWNSSCLDLLLISVHNELTSGPVSDPAWVASVRSLYQKIAREWITSALSYAPCTTQGLIQENFCKPSGAQRTQHTADVVSLLSEIRICTGKNDWNGIRTANVPAVMDSAAAASGARKDAPDITLEVLSTAVVSATAKCNHAGEIAGMRRLFSTMGGLNMGVPQSGQAPQSFDEVFLSKFVRLLQDFVVTAEKQPIDNSLFRETCSQATALLLDHMVSDSRTNLEGFSQLIRLLCWCPAYISTPDAMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMKYCGPDAKLRPHLIPGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSPNHFSHHPAATGTFFTAMLLGLKFCSCQSQFNLQKCIMGLQLLEDRVYRAALGWFAYAHEYYESPNKSFAQREAQSVSIFVHNLQNERATSSIDSGPKSQGRDGELNTADQIHPVWGSVDNYVTAREKRKQLLLVLSQNEADRLEVWAQPINTKDMSTFRGKISSDKWIDHSRTAFAVDPRIALSMTMRFPTNPALQSEITQLVQTHILELRTIPEALPFFITPKAVDENSALLQQLPHWAPCSVTQALEFFTSPYKGHPRVMAYVLRVMETYPPETVTFFMPQLVQSLRYDDGKLVEGYLLGAARRSNIFAHILIWHLQGECEEADNEKEPGVPKTSAFQSLLPAVRQKIVDGFTPEARDIFEKEFDFFDQVTSISGVLFPVPKEERRAGIRRELEKITIPGDDLYLPTATNKLVRGIQLDSGIPLQSAAKVPIMITFNVIDRDGNPNDVKPQACIFKVGDDCRQDVLALQVISLLRDIFEAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPAFEAAREMFMVSSAGYAVASLLLQPKDRHNGNLLFDSHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAGRRHMNGIVTTVQLMVDSGLPCFSRGEPIANLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >cds.KYUSt_chr4.1483 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7855313:7865349:-1 gene:KYUSg_chr4.1483 transcript:KYUSt_chr4.1483 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPMGGVRCPFSFPRFVTGPTLRVIHTTLPHRNMSSSGVPWESSLKSFAEDKLSDTQKSTEEFRIKITESSVPFGVVKRSNFSSPTNGNSNDTDLAALLAFKAQLADPLGILADNWTTGMSFCHWVGVSCSRRRRVTTLSLAGMPLIGSMASQVGNLSFLSVLNLTNTNLTGSIPTELGRLHRLRYLGLRGNRLSNVLPISLGNLTRLDTLDLALNQISGHIPPEMLLGLIPHSIASLSMLEYLNLEMNELSGPVLEAMFNMSRVQFMYIGSNTLSGTVPSNQSTSLPMLQEFNLASLSNLTSLNTLELAYGNLTGEIPPELGLMSELSHMYLGTNQLTGYGRVSYYELIRATNNFSEDNILGSGSFGKVFRGQMSSGLVVAIKVLDMQLEQAVQSFDVECRVLRMVRHRNLIKILNTCSNLDFRALVLEYMPNGSLETLLHRTQSTRNLGFLKRLSIMLDISMAMKYLHHENYELILHCDLKPSNVLFDDEMTAHVADFGIAWLLTNDNSMVCRSVPGTVGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGRRPTDALFGAQLTLRQWVHRAFPTELAQVVDGKLLHGSSLSTGSLDNGFLASVLEVGLLCSNDSPNERMTMCDVVVTLTKIKDEYTKQPATTSHSTTR >cds.KYUSt_chr3.5757 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32542665:32550883:1 gene:KYUSg_chr3.5757 transcript:KYUSt_chr3.5757 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFIYRPSSAAGGDGDGGQALFKAATDGNLGRLKGIVKSLTKDKGDTSAIFSFNTGGLSVLHIAASFGHLEVCKYLVEELRGDVNAPGYGPAARGMNAFMASAQSGDVPIVKYFLDRGGDLMKVDDKGRTVLHHAVSAGCCKPNIVVGGMGDPLCMALTFRSLKCMKLLIKAGANVDGKGCAMTPLVFATARGGYTKFIHFLLKAGADPNIPDDLGRLPVEIAALRDCREEVEMLFPLTSPLPNVPKWTIEGVISHAKTEARKPMEQRDRERRKDFLKSQADTAFKQKEYKMASGFYDLAIAHGESATLYANRSLCKLLMGNGEDALSDALRCRMLRPKWGKACYRQAAAHMLLKEYKQACDALLDAQKLDPGNVEIENELRKARELMKNPSGDREQ >cds.KYUSt_chr6.34007 pep primary_assembly:MPB_Lper_Kyuss_1697:6:213166811:213167377:1 gene:KYUSg_chr6.34007 transcript:KYUSt_chr6.34007 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDFLGWRRLCRHMGGFVAASARVATYVALPSLNAAISPAIILQSLHANNLHNVAVDAMESINEAAIGRSKSTRGCALLGSWTAPLGRWVLPAMGKDRHRGSLLAVELAHNEEDRLARRPCGVGEGGAGRREDLPAAGKEGRVLPRGSLPAARNLDRRLGRKIGVARLDENDGGLRAFFFTLVSYLS >cds.KYUSt_chr3.12791 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76709032:76711340:1 gene:KYUSg_chr3.12791 transcript:KYUSt_chr3.12791 gene_biotype:protein_coding transcript_biotype:protein_coding MYHTGKNDPTFGRLEEGFGGNKQKPVDIAGDHVVNDAMEVGDGEGESDDEVEIVDADEGDRGDHGSVRCDANVGLEDDDVSITPQGKKRAAARVISDIESGEEHGNQEVGVASSRKGALCGVTDSESEDDDGGGGGDHGSVHSDANVGLEDDDVSITPRGKKRAAAQVISDSESGDDGDSESGEEDENDGGHGNQELGVASSRKRALRGVTDTESEEDDDGGGGDHVNFRSDANVGLEDDDVSIMPRGKKRAAAQVISDSESGGEEGDSESGEEDENDGGHGNQEVGVASSRKRALRCVTASESEEEEEEDGEPYEVEGCSTPASRRSARLVKSQSKIIRPARRELEFEEPRDHEETGDGSEEGYNTDEFIDDADCSENPSDPAEEYSAELEECDSEINFGKILDWIRGKMNTNNNDWKNAQEMLSAFDQQPELTLKAVCAMYRRQTEEEQAEKATIVHNKRGFSQIDAPRGSYIAQFLLDGDASGPLKKTPQDLEKYNPSGVEFCLKMAHRYSDQLFEIYQKKEDPYFP >cds.KYUSt_chr3.325 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1848049:1849538:1 gene:KYUSg_chr3.325 transcript:KYUSt_chr3.325 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYENTMDDTIQVSDMGLSKVMYECEKELMEKSVIEETISELLDAIPMLQVDKVPGELRASPLAGECSLQKSVSSECLNSADWMNGPVRPNFLDFQGLDFEAAFRLRKKWNFGANTPRLVTTSDLKTEERKQRLSRYRMKKFKRNFGRKIKEGYGRQPAKNAREVLQDAPWRHAQAKKVKSWEDRRGCCEADEEDKVVAKNYATTELPVKLVVV >cds.KYUSt_chr4.47721 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295415159:295417877:-1 gene:KYUSg_chr4.47721 transcript:KYUSt_chr4.47721 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAAASSGGSAALGALHGNTTAQDREGEAGTGLSGGMLQKQSSMLEPDTGLGGDMLHEHLAAEVDAGKGIKESDSNNEIVHVKEEDTREQVKRLIEEMNASCIGEQISSGEFIGYYKRLPRGPPLVYLGDMLEDAAMDKQEGGTEYGRWSEYHSCLRSYKTEKEYVKYCEELSKKLKWMEDYVCTCPSRKWDYITTRAAYQAIRIAATDFHEIDLSLAYSGYNECRRSMGYDATWFKEYDDLYFEIWQRVTKKSISFKDALEEVCKLNRFPLRQYRMEGALECDYTMMWMETEYQTCTKDIASGDTEEKARRLIAEAVQTLVNKPKSYEQYIRKKIEIARIIGILPPDEDPEE >cds.KYUSt_chr7.12086 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74316988:74322371:-1 gene:KYUSg_chr7.12086 transcript:KYUSt_chr7.12086 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSEFSPSTAVDMPPCHSSSGYRGVRARPTGSFYTEIRSAGYRLTLGTVDDDDPVWMDELYGEKRSETMTLLHDACAQWGFFWVENHGINDDIMDKFKELVNKHYEENMEKNFYSSKIAKTLSPDKVASNVDWECSFMYHHQPKSNVHDIPELLRTTVPEYAEEVVKLAEQLAEVMSENLGLDKDYLKKAFCKPSLGIKVAKYPRCSHPEVVMGLRGHTDAGGIILLFQDDLVPGLEFMKDGKRISIPPTQGNRIFVNLGDQIEVISNGIYKSICHQVLPNQNGSRLSIATFYNPGADAIIFPAPKLTYPSQYRFQDYLNFYSTTKFTDKVSRFQNTKMVFK >cds.KYUSt_chr4.6707 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39475140:39479513:-1 gene:KYUSg_chr4.6707 transcript:KYUSt_chr4.6707 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGGGDASAAARRRWDLTSKAPENIPMVKEAVEISTDEESDGIVICPPDGNTRDCEEVVSGSEHDSSPEGQATSVEPVNDGETEEDKLVNQDSLKLIDQENLALPKSPAKPSMSGSERSKRTVPQPFTLSTQRRASAGGNGVVAHPSSDGEKSGQGSSTSPASMIKKTNLMAPKKTSQPGHTFHPQEDDSCSVTSSTTTSTRAGKTKTTVAFAPTFVCDDRADKRKEFYTKLEEKQKALEEEKNQAETRKKDEQEAALKQLRKSLVIKAKPMPNFYQEGPPPKAELKKVAPTRAKSPKFTRRKSYSDTPPTPESATASAASQRSHRHSIGTPKDANKAQCSPKSGVGAKARAVKPVS >cds.KYUSt_chr4.20835 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131093169:131095367:1 gene:KYUSg_chr4.20835 transcript:KYUSt_chr4.20835 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLLSGFIELILPKLSSLAFAKYKLHRSIKDDIRFLEKELRMIHGAIDDQQLPTWKVDKGSVLSLSIEELREVAHHIEDCIDRFIYQAACEQDASLLRRVVRYPRDMQSRQRLATELHLLKKTTEEAHLRKERYSVFAGQSSPMPAEESAYSCSSDPRILDTDLVGIDIPRKELLEQLQEGQQKQLKVISIVGSGGSGKTVLAREVYNSDVGQRFSVRAWVSAADRSPRQVLVEILQELGRPVSDNSDVGSLAEDLREHLHKKRYFIVIDDMRTDNWSAMESAFTADNGVSSRVMVTTTVHSVANACSSENGYVHKVRRLGKKHSKQLFFKKACPKEYSGYMEPDSFRRKARRRGSLVFCCTGGIATVSTPTNLHRTKTATQGGTQQRAGHLAELEEPKEGGSCGDASKKVNGARVRHRRRQRRTRKVFTRTENHHPWSSRLGPDQTHNIIPGIGISTPARGCDRTPT >cds.KYUSt_contig_528.579 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:3571858:3573150:1 gene:KYUSg_contig_528.579 transcript:KYUSt_contig_528.579 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEQPAGHWPARGKGSAEEQPAGAVVGLVRKPAGSRPTRKLWEETAMAGRSSSQTIWRFKANRDRELGVGDAPEHKEPDGAGGDTGEPPEHRRRRTSASK >cds.KYUSt_chr3.41650 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262824816:262828408:1 gene:KYUSg_chr3.41650 transcript:KYUSt_chr3.41650 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASGVGGGGGGITPLQQMPDAVGLAAAADPQFVLMRNTMREKIFDYIGRKQTSADWRRRLPELARRLEEILFRKFPNKSGYYSMMLAPVEPQLQYAIKFLSAQNAQRQRDLQISRQTASSPGITQGARELSGVSYIAHNMGPSSSGAGMVHQNVHMAASMPAYDDAGIPCTCEGGFKGTKVQLPSLHERAGQCVIDHMRPHLLPEVHLGLHPGSEQVPYLPEDAEPE >cds.KYUSt_chr2.42170 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262606431:262611058:1 gene:KYUSg_chr2.42170 transcript:KYUSt_chr2.42170 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRDTALDMAKFVYMDVHVKLTDGRQGVAYAWIFNLAKEIVDFFLDYFMNLAENYTIGLQGFLVFNAVGDLAVNPVEKKSTTRPEVHVYVNDAPLESFRAWLLIGVFSLYSKDAVLMLFLQMRSRCHRKKDDDILLLGQWCAPGIVPCLAAN >cds.KYUSt_contig_2887.98 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:475200:479338:-1 gene:KYUSg_contig_2887.98 transcript:KYUSt_contig_2887.98 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRHGADANGRSQLEMPLDEAGPARKLDGVGGERAVKGDGGGGREVRRIGGDGDAGVSMRIDPDLLDCSICFEPLCPPLYQCQNGHVACFSCWSWLSNKCHVCSHDAIFARNIALEKIVESIKSSCAYAKWGCCNLVSYAQRSTHEEACLFAPSTCPIPGCGYRGFTGCWSGHFLVDHSADCLHFVYGQPFEVNLEVSLPFLVLLGEDDHLFLLLNKNMMPFGHAFTVVCLRTGNLNWKFSYEIITASGGNPENSLQLKASVTNTKEWGGMHPAEAFLLVPYDFCSSTSLTLHVAVARSASV >cds.KYUSt_contig_1467.148 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1004566:1005789:1 gene:KYUSg_contig_1467.148 transcript:KYUSt_contig_1467.148 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEQAFLEELFSVRRDQAGAWGECNAMGDFFSPACGGGAAMADCFQERHQATVSVLPTFTASYDHAPHHQQQQHQQAPGPGFDCLSEVYGGGVVPFNAVGYGGGEMGFLDALESKAADSGLGACKVEPGLLAAAADGFGMPAAAAPASRKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIRRLQEELEEQQPEGEAPAPALLSVFRELNPSEMLARNTPKFEVERKEDGQDTRVEIYCAAKPGLLLSTVNTLDVLGLDIQQCVVSCFSDFAMHAACSEMQRDMLSADAIKQQLFKNAGYGGGCL >cds.KYUSt_chr4.38292 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236114611:236117893:1 gene:KYUSg_chr4.38292 transcript:KYUSt_chr4.38292 gene_biotype:protein_coding transcript_biotype:protein_coding MSADLHYRGWKDDPPEYECIDEILRYLGRERDGMLHVYWCLPGKDITDGLVPIDRDAHFAEMVRASKTHKTLVLFIDHTNFLRTLRADVIINERPSMPLVISPTKVATTSKSATREACGSAIVEAREKECDEFVSQQSDDSDSDFDCDIYDSDCNAEDGDDDLFADNVDTEVHDHNEKEMIEEQEDEDALEDEDLNLIGGERENLKHKFRAFNPEVDMDNPVFKVGMVFRDVEEARKALASYTIRNRVKIKKTKNDKRRLEAVCDTGCPWLFKLSTHTSLSHRRRQRCGGLDLAAEPDLRALEPLGRIRVRGVTAASACGGMWAASESSTTCASKPGKSAMLPGRSGNVPRNTPAPPEPPPQPPRSRADTDDGLADTVTAVRTCFG >cds.KYUSt_chr6.33544 pep primary_assembly:MPB_Lper_Kyuss_1697:6:210732736:210733098:1 gene:KYUSg_chr6.33544 transcript:KYUSt_chr6.33544 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAQIVVVAIVAAMLLSAPFAANAAISCGQVSSALSPCMAYAKGGAAPSAGCCSGVRSLASSAKSTADKRAACNCLKKLVGSISGINAGNAASIPSKCKVSIPYAISTSVNCNTIN >cds.KYUSt_chr4.8159 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48809707:48810045:1 gene:KYUSg_chr4.8159 transcript:KYUSt_chr4.8159 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCSAASWSPSIRWRVRGLPTGTTPCSILCARTRVKALALRVRKGHHAVLPLVHNSSNAHGWLPFDSSSAAAMLAYVDPLSLHPLSILAAHLSTILFRAFMLVSFLLPDQ >cds.KYUSt_chr4.20214 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127082682:127083263:-1 gene:KYUSg_chr4.20214 transcript:KYUSt_chr4.20214 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLQRGDLRNLIKARIKATLSTDHGGNLIRILARTVAATATGGGPARLYNLSDSKRSTGRILQKKSPRHHQPNDFGPSSLSPLEPAAHAWLLADPAAPGGRQEHRPDARGSAVCRTHAAIAPVEAILPPEDDTVVVDDEEEEVAPLVPSATPMVVEIEAGAPLLMFPSVARLPDRPRAFVSAPAGASAFVFA >cds.KYUSt_chr5.43367 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273283370:273284914:1 gene:KYUSg_chr5.43367 transcript:KYUSt_chr5.43367 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISVIAETNAENSFKKLMSLSMIQEPPQEINLPGSGINFCQINGFFHEYIMSQPKEDNMVFALGRDNQSQQYTGRHLTIRSNWDRSRLFEIIDFSRLRSLTVFGEWRSFFISKKMQMLRVLDLEDTTSDVSDEDVEQIVDIMSRLKFLSLRGCTTVSRLPTSLGNLKQLETLDVRHTSITMLPETITKLQKLQYIRAGSTVPSTESQSIQPGSYRLPKFRRHQFISAHLGVKVPRGVGKLTGLDTLGVVNVAASGGNAFLKEVENLIQLRKLAVSGINRQNIAVLVSAISVHRDWDSLSMRLDKDEKGALCCVNDISEPQEILLKSLKIHGDVDNFPAWMKLFLSSEKLDLEVTILTQEMIDTLARGHFKCLRLCVKPTEDGEHNFSIDHTSGFYFLRTLEIDCSSTFLLAFGERAPDRLEVLKVHSSSGTQISGLANLEGLQLVWLIGSCDNALKQDVERQLAQHPNEIKPVLRLENVSSPQLAEHPNEIEPVVNLEEVSSTQVAEHPNDI >cds.KYUSt_chr3.3796 pep primary_assembly:MPB_Lper_Kyuss_1697:3:21594306:21595466:1 gene:KYUSg_chr3.3796 transcript:KYUSt_chr3.3796 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASAVYELLHGAGPDRKDAIGAERELQPNLPTPMHMVSTSRRWTQRRCSSDRRRRSSSCYGRGATILLPLLFSGLSSAFDWEGLYVVSARIVASGLAFLFIAAIGQVGA >cds.KYUSt_chr6.26413 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167280664:167281461:-1 gene:KYUSg_chr6.26413 transcript:KYUSt_chr6.26413 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGQRLTVVPTVTVMGMIKARLAAATRGHALLKKKSDALTVQFRAILKRIVAAKEAMGDAMRSASLSLAEALYVAGGPLRHVVQQSVSGPARLRVRAHHDNIAGVRLPRFEHFLVDDGARVPLLAGLAGGGQQVSASRAAHVRAVELLVELASLQTSFLTLDEAIKTTNRRVNALEHVVKPQLENTVAYIRGELDEQEREEFFRLKKIQAVKQRELERQVEAAKLYAVEKVAGEVALKRGVSFGAAASMLENGGGERDDDIIF >cds.KYUSt_chr5.12677 pep primary_assembly:MPB_Lper_Kyuss_1697:5:82680942:82684256:1 gene:KYUSg_chr5.12677 transcript:KYUSt_chr5.12677 gene_biotype:protein_coding transcript_biotype:protein_coding MDATEELNDDAAFYAPAYQTEGSGPSPTSRTSVQKVLSVISQFTEYKKFLVEQTGFAGILKLPQITRLNLRFSKWLLQKIDVGNTSIVFGENPTRQIRFFAADVHKVFGIPCGPRDIHAPESQCSPNTIEFIRTALGMNDKGNQILKVSESVIARPLDEHTSSNLEKDCFKIAFVIFLMGHLLAPSTKHDNRSIDFWGALANTDNIQDFNWCEYVLQDLFAAVRTVKDDIAKNRTSTHLYGCHLWAQVFYLDNLELEIFNLRHSVMPRVAAFDDTQMRRMILQCSTTINGVEQWSCATASSELGIILKQHNALGVQQANAARNNSINESTRFLDKLVEVLSATCICCSLRSLPCLVQQRPQDSHSNSSASNLFRRRVDQADSDEQAESDSSYLTPNDKIIRHKSTRDDKIKNWASAVRDSILMYNDDTSTPRDAVLIGQTAEPPTKACAEHTHYVIDHWSALADVEYQTIAQQDKLSFLVMSIPTTDCPRLTRQFSILPPRENGATGDKAWDIKSVQDQFLGAGVPYNIALCRMDSIIREKGLFLHQLMKLDGNLIEVPTGIKSIISSP >cds.KYUSt_scaffold_1259.36 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:235831:237026:-1 gene:KYUSg_scaffold_1259.36 transcript:KYUSt_scaffold_1259.36 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLERRLQEPPSETSPRLEMVPLYISAQSSMKRLHDSFIVSLSRLLATRYQHVDFTEIKQPDKDKDQERRRKGEKQCLQEASLDSSFVFFARKGAGATSSRAAAMVLVAFAATTTVHLVAPMVAVMGHAATSVAATVPAVTVVAATAQAASADLVTKSSAGTNATAMASTGPSNAQAVPSTSAPLVMLEAHPLPAWILAGPAAPNLYADEEMELADDDVDIDDSALAAPPPPLPCPVHGFACPRLAQQGIHVEEEAEPVVPEAASPDLPSPTPVHEPAPSSVEPATPSAGLALMVVRDAVLNNDVGGPATAAQPPQRRLRFIVPRAVLQASRAGRWPGEWSPARLGLSNGHSNGVAPGTLLPGGSSDKEDGGRNTRRQ >cds.KYUSt_chr2.8806 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55434367:55436902:1 gene:KYUSg_chr2.8806 transcript:KYUSt_chr2.8806 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWAASLVLLLLILLLHGRGGHGINLDMGAEVQMDSEAHRMLLSAASRGYISYDALRGDAVPCSRPGVPYYNCRISKTANPYTRGCESITMCRDAEEVVLFGEDESQ >cds.KYUSt_contig_2087.327 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:1960821:1963241:1 gene:KYUSg_contig_2087.327 transcript:KYUSt_contig_2087.327 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPGDGHFPPPPSPPLTSRQRRAALEEALEEEARQRREAQQAADLAAFSAPPPQLEEAAAAAAWQEQRVRHRCGVRRLDGTRGATAPVHGRRWSSDGPTSAGAGRDERQALFRERRDSIERRRRESIELQQQATAEERRQREAALTALWGRRAERLAAADAFAAAMMEAPTDVEEEAPMEEEEAEAEETEVEDDDDDDEFDRAQHVSPAFHHHLHSYRSARLRLSVSRSLFKRAMSQSYASCRALGDGATGATLFQDERGGCAPAMAGIGNAMLSNVPRSDLTCNDNNHNYAFVPRKRARVVAAAAPGFMDEQPRGVRTAAAAQGFVPVGDMASRATGSCVASTSGMSGNAAAGSSQGLLSHLYNQGVEIDALVRVETERMRAGLDEAWRRHVRALVAAAERAAAVRLRAAEATLELARSRNAEMEERLRQIGAEGQAWVGVAQSHEAVAEELRATIDQLLQSPCAGGEGEAEDAQSCCFETPAYDNAAADDDAASKASAACRACGQGGACVLVLPCRHLSLCRACDASVDTCPVCAATKNASLHVLLC >cds.KYUSt_chr4.6236 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36634130:36634765:-1 gene:KYUSg_chr4.6236 transcript:KYUSt_chr4.6236 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRPLQPTASVTVISGRRTKVFINLQAVMPLRRPFGFGAVRSRLLVPSGFVPGDVEVDCVEPNDVGVGAGLDRFFVVSSKGRGVTGGGRRRRRTRRSDVVGDLASGLYERDGEVRLDDTISSRLRQQFF >cds.KYUSt_chr4.27088 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170164241:170173519:-1 gene:KYUSg_chr4.27088 transcript:KYUSt_chr4.27088 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAIGWAADPYAHDDMPDRSCLSRSDRRVDLNNGGSHKRPRPGWSKGTMGTDNAATCRTVLCTLLLLTLSCDCLAADLDLAKTAVLEVNTSWVAARKIPQTLFGLFFEEINHAGAGGIWAELVSNRGGCFVEGEWLRNAFRWRETIGPWEERPGHYGDVWKYWTDDGLGYYEFLQLAEDLGAEPIWVFNAGISHNDEVDTTTLAPFVEDVLNSIEFARGSSESTWGSIRAAMGHSERFPLKYVAIGNEDCDKQLYQGNYLMFYNAIREAYPDIQIISNCDGSSKPLHHPADLYDFHVYSNATFIFLMKEKFTRTSRNGPKVFVSEYAVNDAMDAGNGSLLASLAEAAFLIGLEKNSDIVHMASYAPLFVNDNDRSWNPDAIVFNSWQQYGTPSYWMQKFFRESSGSLLHPVRLASSYSGSLAASAITWKDNEDMFLRIKVVPVHSGLPGAAEKMQALLVPYSLTSFDLALDQYSELVAEIRQKNRINNFMVGDQVLNQSTEIAEEAFAHFDALLGSTADRDCTLKPEQLIDPSADLAELDEPFTDAEI >cds.KYUSt_chr3.20919 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128184284:128186350:1 gene:KYUSg_chr3.20919 transcript:KYUSt_chr3.20919 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTQRSVVSYTLTGPPTIGSLGPAPTATGDLFVDLLDAGFNKAGATKPPTTAKGRTENLSPTFVSSGDPCLDFFFHVVPGTPASSVASLLAAAWAAEPATALRLACNLRGVRGTGKSDREGFYAAALWMHAQHPTTLALNALPVAQFGYLKDLPELLHRIIHGGVSTRTPGKKARRAAASGGGFLVRGRGSGFGGRRGRGRGGGFGGRRGRGRGGGYGGRRGGRFMGYRGSRFDSRTPSRRAFKGRRIFIGTSEERVAASLERDRKLSAQAAVERRRKRAEAVARAVERYNRDPSYRFLHDRTADMFTDLLAADMRKLVDGKVNDLSLAAKWCPSLDKCYDSSTLICEAIARRLFPKGSAPELPSDLPDAHYAYRARDRLRKEVLVPLRRTLMLPEVFISARAWGSVAYKRVASVAMKNYKDLFLKHDPERFGLYLADVEAGKAKIAAGALLPHEILESIDDASGGSVANLQWQRMVSDLLALGKLSNCIAVCDVSDSMTGLPMQVCVALGLLLSELSDDPWRHRVITFSARPQLHRVEGNTLSEKARFVREMHWGMNTDLQAVFDQLLGVAVAGSLPPERMVRKVFVFSDMEFDVASSRPWETDHEAITRKFTEAGYAVPELVFWNLRDSKSVPVTAGQKGAALVSGFSKNMVKLFLGSGDILSPRAVMEKAIAGPEYQKLVVFD >cds.KYUSt_chr2.6320 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39385012:39385215:-1 gene:KYUSg_chr2.6320 transcript:KYUSt_chr2.6320 gene_biotype:protein_coding transcript_biotype:protein_coding MKITSLDELKMKVGHVIVMILLVKMFERNKMVKITTGMDLLSLSVCIFLSSASLYILHNLHRPEHEE >cds.KYUSt_chr1.29866 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180872259:180875360:-1 gene:KYUSg_chr1.29866 transcript:KYUSt_chr1.29866 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSRWGPSQVGLELYGHLLECPFLDFILLAFRHVFRLKSLAEIIQKVLEIVVISIVGFRTTGTLIDQFLHRFFPLLISDEELAKLEGGFQYGNNADLCGTELPDLRPCTSSDLIDPDRPQPFSAGIPPRTSSDGDHEHCSGTHCPPSTKALAAVVVLAVILLAATAAGLLALSWHRWRKQRTAACLPPLTAVGGRCSTEATTTMKEPSSPRQDAPAAAAAKAPHPARPSDAPPVPPKP >cds.KYUSt_contig_1546.50 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000163.1:308431:311534:1 gene:KYUSg_contig_1546.50 transcript:KYUSt_contig_1546.50 gene_biotype:protein_coding transcript_biotype:protein_coding MALNDKHTYAELEKELYWPAEKLRISITGAGGFIASHLAKRLKSEGHYIIASDWKKNEHMDEDMFCHEFHLADLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAGRINGVKRFFYASSACIYPEFKQLETVVSLKEADAWPAEPQDAYGLEKLATEELCKHYTKDFDIECRVGRFHNIYGPYGTWKGGREKAPAAFCRKALTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFCEPVNIGSDEMVSMNEMAEIILGFEDKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQIEKEKSEGKDMAAYGTSMVVGTQAPVQLGSLRAADGKE >cds.KYUSt_chr7.32805 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204428206:204430544:1 gene:KYUSg_chr7.32805 transcript:KYUSt_chr7.32805 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLSPVAVTHLLQHTLRSLCTSDASQWVYAVFWRILPRNYPPPKWDLPGVAYDRSRGNRRNWILAWEDGFCNFAATSAAACGQEGAASVAYAECEAAAAAQQELKQNLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFKEPPEQETNLISSWNNPADSQPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKVAEDLSYVVTLRRKFGYLESIPGVLLPHPSSAGVFPDVAAGPWPGMGMMPPMPPPDMYMDPYGAPVPGPASMHIMPSMSSLEALLSKLPSVVPQQPLPPQQPGSMAASAVAAKEEELEEEDYAQCGHGGMDVSMSMPATSNGGESTSASAAAAATAAMSSYFVDVGGKPGEDGF >cds.KYUSt_chr2.41589 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258708683:258709480:1 gene:KYUSg_chr2.41589 transcript:KYUSt_chr2.41589 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHSLLLQNMAKNPQQARSKSKDGGAARARDLSKKSPWYQRAVELLLLIWKQPAAAGAPTSKAAAAAGVSASATGTGKAGAGAASGPGRLRKSSSLNVAASFTRVCLCAPISSYNEQSLYFQAGDAAAPRRSYSYPRASSASASGSGLGNNANPLVAPPPRAEPLQRGGSVERRPVFRGKSLTDDVLMRRLVVDEVASRRRSQMEVIRRRHAASSKRRRLGPSPLRRMALPESSEEEEGEDEAVAVAETPRTDRAFLDELSSVA >cds.KYUSt_chr4.8681 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52102146:52102700:1 gene:KYUSg_chr4.8681 transcript:KYUSt_chr4.8681 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSISKPAKGLTRKRSFKLGLPLLCGQSDVASPVARSSSSSSSRRSSGTGSSGRKSELRRIFQHFDRDNDGKISGAELSAFFASMGDDLQVPPSSGGYLLDFAGFVALMERGEGSQEEDLKRAFEVFNAVEPAGRITARGLRRVLAQLGDERSVADCEAMIRAYDVDGDGGLDFHEFQRMMS >cds.KYUSt_chr7.24088 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150072319:150079290:-1 gene:KYUSg_chr7.24088 transcript:KYUSt_chr7.24088 gene_biotype:protein_coding transcript_biotype:protein_coding MQCQVAADGSGRDGDAEIEGDQALVSPKGNRFPNEEAAIKLPPSQPDVNDCAHGDGVCDQGATDGQPSTVAMAKEDQVAVDGSERDGVGERNNDEALVSPKGSCFLNEEHVIKFPPLLVKEMPSTFSSLQHYLDIHRNLLLEETRASINIGLFDLLKGKTYHALSISPTATPYVHFIDIDLKKSVGCSHIAKDGDLFLLHTEPQGAPDHTSGCFALATEVGRYPCFQKSFRAFVSKDHNDFNFKEIKHVSFLTNIMGGITLSKAMTSVERGGSTALESILWIDKKARTEVCSCAELAVDEISLPNKFNDNQRNALKCILSRLSLFERLLRLSSKKMILHEKYAMDPSISLFVREHFYAGEVEDASHDFNKQLVDKSKLAPYGFFDITDVDELNIKGKGFVDCAVIIFLLQRLCKGEISVISIPTSKLMYNLHQYIALIYLCRVDMVKNRLGTEYGGHDRINLEVNTLNNSNEDWQGKNGRGMEDPTIQKTFWVPFEIKRMLLVVDEEIAAEEIGEKRGKHRQETAFEIAKTQGIIGRDKSETEERERLFKLESYERVDATLTTDEAEALVEAGNIMACNFRLSRNYFDLRPGEVYVYDRLCPYIHARSGMPVSHAVTVIGHGGQETRLQGPVRHVHFQNSEGKRSWDDGFGRVARDSVRGLYRLTVPPAPVPPPPPTAGSAPSLLEH >cds.KYUSt_chr4.9603 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58019308:58020897:-1 gene:KYUSg_chr4.9603 transcript:KYUSt_chr4.9603 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVMVLPMPCQGHVTPLMELSHRLVDHGFQVTFVNTEVDHALVLAALPEGDAAAEALRGIHLEYIPDGLADDEDRKDLNKLIDAYSRHMPGYLEALVREIEAAGRPKVKWLVGDVNMGWSFVVAKKLGIRVASFWPASAACLAIMLKIPKLIDDGVLNDKGWPEREETLQLAPGMPPLHTSLLSWNNSGAPEGQHIIFDLVCRNNKLNDLAEIVVCNSFQEAEAGAFKLFPNILPIGPLFADSKFQKPVGNFLREDQRCLKWLDARPDGSVVYVAFGSMAIFDPRQFQELAEGLELTGRPFLWVVRPDFTAGLSKEWLDDFRQRVAGAGMIVSWCSQQQVLAHRAVACFVSHCGWNSTLEASRNGVPVLCWPYFCDQFLDRSYITDVWRTGLAVSPGEDGVVAKEEVRSKVEKIISDPGFRKRAGWLKDAASECVGDGGSSYNNFTRFVDLLSE >cds.KYUSt_chr4.34275 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210317546:210318090:-1 gene:KYUSg_chr4.34275 transcript:KYUSt_chr4.34275 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEECPTMLSSIDSQALKVGITKRKVEETAMAGEKGCTTERKAAASSGDGGLKEEKLQVEVKPLTLISDSDINQPEELHNVNISGLPFHDPDLKLACSSRDLYPSHTLMLFT >cds.KYUSt_chr4.15384 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95028984:95029577:-1 gene:KYUSg_chr4.15384 transcript:KYUSt_chr4.15384 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHHQRSNKAKATRNSSSQGMATDGIRRVAALLLLLALASTAALATRDGAQVKTKPSPALKKPDKPFVPSPSGKKPAKPYVPPATKPGSGAVGGAGGSGGGVIPTIPGFGSIPGFDVPGMGGGWGGGYGGPTGGYSRGGVVASTTVCSEKGPCYKKKLTCPKKCYKSYSGSGKGWGGGGGGGGCTVDCKTKCIAYC >cds.KYUSt_contig_97-2.153 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:695639:696781:1 gene:KYUSg_contig_97-2.153 transcript:KYUSt_contig_97-2.153 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKAGKILVESDAGSYVAWSGADQPELAMEGLGCGLLVLKPLGLAMPHYADSHKFGYVLGGSGVVGVLPVGGGAAERVVRLEAGDVISVRTGDVSWWYNDDANSAGADLSIVFIGDTARAVSPGDISYFFLAGGNSVLRGLDAAAWAPGVSTEQAAAAFRSQPAVLLTKLSQRLPGVCPREHDRTGLVTNSGHVAAGTVKTLTAADLGDLGISAALGRLEAGAARAPWVVREGAAQAVYVARGSARVQVSSNAGGETLLLDVDVPAGSVFVVPRFAVAFLAAGADGVEWVSLIKSPRPAVEQLTGEGSVLGGVAAEVVQASLNVAPELVELIGAGRRAAPSN >cds.KYUSt_chr1.40874 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250767889:250769675:-1 gene:KYUSg_chr1.40874 transcript:KYUSt_chr1.40874 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAAGDDDQGLARRVVAAGHESDGVRAARRRRRLEHRRHGRKTPREVDDLAASKVRPGTGDDGASSDTSSAEAGRGILLPPCLSHGAVSVIGRRREMEDAVAVKRTFLSSPPTAEAVDGCGGDEDFFAVYDGHGGARVAEACRDRMHVVLAEELLRLRLAGTAAPSAGDALGMKEALAASFARVDGEVVGGAAAGADADVDEARSRTVGSTAVVAVVGRRRIVVANCGDSRAVLSRAGVAVPLSTDHKPDRPDELQRVEAAGGRVINWNGSRVLGVLSTSRSIGDYYLKPYVSAEPEVTAVERTEKDEFLVLASDGLWDVVSNEMACRVARSCLNGHLAAAFPESVSGRSATDAAALLTELAISRGSKDNISVVVVELRRLRSRAGSRRASAPAGSEIKL >cds.KYUSt_chr4.38436 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237032887:237035879:1 gene:KYUSg_chr4.38436 transcript:KYUSt_chr4.38436 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGDESVHTVNAAAVVLAAAARSSTGLQRNHHQHLQLYDHAPAGTKKRWWSWLTKPNLACFRPHVVGHPRRIANAGDTSPQPATTHTTASAAAYVHHAPPVHPVFAFVVPPSSPASSLFASESPSPVLLLNASTTSSPGMFAVGPYARGPQQLVSPPVLYSAFTTEPSTAPRTPPATTSPSSPEVPFARFLSPSPSSSMAVGEAGLFHAYQLQPGSPIPLGSSSPQLFRKKLHRRNEGSLLDGHIPMAAGGGELDLDVLSGARDEGHGGGVMDQDDDEVPKSGEFVFGNADNGGAAPAEDTDGEDRKSWQFFPMAEQGMI >cds.KYUSt_chr5.41459 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261602997:261605034:-1 gene:KYUSg_chr5.41459 transcript:KYUSt_chr5.41459 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKWQRMAAMGRKRLTRTTTARASNECCATSPSSSVAVKGHCVVYTADGARFEVPLAYLGTAIIGELLNMSHDEFGFASDGRITLPCDAAVMKYVMCLLRKNASEEVERALLSSVSLAYKSIRLAPPSPHQFIHKTQAKAAPLHLAKKRVAKQTMVSPKRLAQMAKKWQRIAAMGRKRLTRTAVAAKGAAYDECCTASSVAMKGHFVVYTANGARFEVPLAYLGTVVFGEFLRMSQEEFGFVGSKDGRITLPCDSAVMEYAISLLRKDASTEVVTAFMSSIVRPCSSDGCVVAPYVDLNHQVVVC >cds.KYUSt_chr6.24944 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158047301:158048150:-1 gene:KYUSg_chr6.24944 transcript:KYUSt_chr6.24944 gene_biotype:protein_coding transcript_biotype:protein_coding MISWYRLIVGAVDTGSTDPLAAKFTDLYLFGPREETVVQKEDLHLFGPSEETVVRKEALLLVSSVQIVEPIVHANVVLREGVNCSAVGQDNVGIPAPETPKHVPDPAETSTLPLFMDLTPQTARNIFSFRY >cds.KYUSt_chr2.8155 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51322068:51323465:1 gene:KYUSg_chr2.8155 transcript:KYUSt_chr2.8155 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHAAHLLVPAPPTPRRHAVLRFTVSASVNANPADATRKQAVIVGGGLAGLAAATHLAALSVPFTLLEASDRLGGRVATDEVDGYLLDRGFQIFLTAYPECQRLLDYPALRLQPFFPGALVYLGGDSPSFHMLADPFRYPVRSLSSLLSPVGSLPDKLLVGLTRLRAAATPDDAILSAPETTTATHLEKLGFSPSIVDRFLRPFLAGIFFDPALDTSSRLFELVFKRLALGDNALPEAGIGAIASQLANRLPAGSVRLNARATTLDPSSGAVTLTTGETVSAALGVIVAVEQPEAEKLLPQQLPTRPPAAERSTVCIYFSADRAAVQEPVLLLNGSGEGIVNNMFFATSVAPSYAPAGKVLVSVSLVGSFAGREDAELAGEAVRELGGWFGPEEVAQWVHLRTYRIGFAQPDQTPPTAPAGREPRVGDGVYVCGDHWCSATFDGAMVSGRRAAEALAQDNGIIS >cds.KYUSt_chr2.42463 pep primary_assembly:MPB_Lper_Kyuss_1697:2:264527640:264530930:-1 gene:KYUSg_chr2.42463 transcript:KYUSt_chr2.42463 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLTTGLSATADAWGAHAGLGEDVDRLRSTLSRVHALVERGEQWRFTSPGIADLLTQLKDAAYDAEDLAEELATSEKQSSQRLFPAVRSFLRGLVTGAADRARGVRSRLEYASTDLERAIAALDAPGGKEAARRTLRETSSFIGRPVVLGRDREREDVVRLLLNPVRADSSGGDDCGNAKRRKSRDGVAVLAILGMGGVGKTTLAQVVYNDQRVQDHFDLRMWVCLPESLDVTLATKEIIESASKGAAAQPGLVNLDSLQVVVRDLVRSKRFLLVLDNAWCEDSAEFQTLLAPLKYGHPGSAILVTSRSCKVADAAGASELVCLDGLPEEAYWELFEQYAFGDEDPAGHPELVAIGKRIAHKLKGSPLAAKTVGVALSSDMSAKHWSVVMENGLWELEQGAGGILPALRLSYQFLPAHLKRCFTFCAIFPKGYIFSKETLIDMWVAEGFITPQEDFGNKCLKELLVRSFFQQHMFSDLCYVMHDLMSDLAQSLSVDECFCLTDEKCLPKVPPTVRHLSVCTKHLELGKFVELGRYTKLRSLLIFGMFGQDLSYSLDNLFGKLSNIRLLVLRGCVIKELPRNIGNLKLLRYLDVSYTKIQWLPDSICQLSSLQILNVLNVPLKNHPKGLTRLANLRKCYTNEPITSLMSNISNLTSLESSSVFEIPIGQGHRIAELKDMTQLTEILHVTNLENIVKDDALKAKMNTKAHLHRLIMEWSSSHVGDAEFSEAERSKASMIIESLEPHSNLASLKLKYYSGIQIPSWLQAGTLCCLSELSLSNCPFLTEVTSIPSSLRRLHIIRCINLRNLDECLQPQSLQGINEIIVMNCSKLGLLPVDRFGGFASLHILEIQNCPELPPTRRLTLPPSTKELLMRSCGYVDASLPSCLHNLPSLLNLSLSKCPNLLSLPAEIVSQLQSLQGMYIDNCSSLQSLGGLHCLSSLINLHIVNCPRLTDLDPSMFLDICEGQGLQKLECVTISTTSLLSLMMRSSVPTLKTLVLYQSTDSVVVHDPRNKLCQCFPSLQELLFQDCGNLLALPEELHTLSYLQFLRIFNCPKIQSLPHKGLPVSLRTLSFEKCHPLLEEQLKKLKFSYNTA >cds.KYUSt_chr2.6575 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41047978:41051011:1 gene:KYUSg_chr2.6575 transcript:KYUSt_chr2.6575 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARTGSLKDLAAILDAASKVLPLVVAVSGRVSSDTPLVCQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVYVVGARSAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYASPKSIDQLILNLGKWAKLYKLASMGFAAFGVFLLAKRALEHFLQKKRQHEFHRKARAAAAQRQAREAEDGTSGGEPNSKKDQLVLEICVICLEQEYNAVFVP >cds.KYUSt_chr7.7992 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48262723:48263241:1 gene:KYUSg_chr7.7992 transcript:KYUSt_chr7.7992 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFESVAKEQLKVLFTVFLLGNPFRAVPTNPATACGWDLYARVVLAQHFLALVAFTAFILLVTVLSELRIAEAAAAYAGARAFDADQYEELVPVAGLWRSRWLRGSLLLAVALSFAASVLAVVAFQDGFRYRTGCRADMAGHGSGSPLGVLMMGLFALLHGVFAWVAATKN >cds.KYUSt_contig_1790.138 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:783241:783672:1 gene:KYUSg_contig_1790.138 transcript:KYUSt_contig_1790.138 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPTGDTMYIKLHELSACPSGDPGIKATTSMTSKFHSRSFAEKKSFTLVRVVTVLLEIRVTHVTKIPEQGRKEERKVGNIILPDARKTGFLMISDEMGQRNCSRTKASVVPIRSSSCDGRQQPSLHRRCLLCRPRLRVGDSP >cds.KYUSt_scaffold_1259.83 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:614884:616749:-1 gene:KYUSg_scaffold_1259.83 transcript:KYUSt_scaffold_1259.83 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPLATSWRRHASAAASSSSPRTLLLLLPILLLLLFVLSRAPDLTFSPLATASAPPHLPAHLRPFDCYASPQASPVFASLVEGVPRPFLYSLADMGSLPDRPHKNIARLLKGKRFRKPDISQTIQELLAKGSGGVVVDVGANVGMAAFAAAVMGFRVVAFEPVFENLQRICDGMYLNRVQDQVVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKSNEEVAVEVATIPLDEVISDADHVVLIKIDVQGWEYHVLRGASKLLSRRKGEAPYLIYEEDERLLQASNSSAQEIRSFLGSVGYNHCTRRGTDAHCTKE >cds.KYUSt_chr1.21181 pep primary_assembly:MPB_Lper_Kyuss_1697:1:125017819:125025290:-1 gene:KYUSg_chr1.21181 transcript:KYUSt_chr1.21181 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEGPPPPPPWKQNKSAAAIDSSSGPLASAAARLSARSRAIPPARDFHFYNNFPSFKSPVGAAAARADSSLGILGASSLLPKQQQPAFPREDLDDAYDWLVSRNDDLLEMFGASADEFKALREKEEAAGRKVAPEEMAGDGFQVVYGKKKKKIDMGAEAVGRGDAFGASGSVRMVTMDKAATSGTKAKVPFHIPTIPRPQDVYHIVVDNTSNPFQHAFLGRSDDGARPLHPLEKLPAVQLFDRRVLESEPLKPTALDDTPFTYVEDRKTLEVLATKLKNATEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRNYLGENLREVFQDPTKKKVMHGADRDIIWLQRDFGIYVCNLFDTGQASRVLQMERNSLEHLLHHFCAVTANKEYQSADWRLRPLPAEMTKYAREDTHYLLYIYDVMRLRLLNESSGENDLLLEVCKRSNEICLQLYEKEQLTDSSYLYIHGLKENELSARQLAVLSGLYQWRDGIARAEDESTGYVLPNKTLLEIAKQMPVTTGRLKRTVRSKNKFLDQHLGHVITTIRNAVANSDAFESIAEQLKKGRLEELMVADAKNSSEDTEMITVACANNDESNIHPSDESAVVPTLITNVGIASSCTGNVTSGASLGNLRLDSTTAETKSFGTLSGSTDLAYSEILSNGHQQQVAKATVQVLKRPTAFGALLGKPSSGRKPNIFAGFSSEQTKSKVDKIKSSVVLPFHHFSGGAKPLATTLPVAKSVHPEPEIICNDPASQMEEVIQLDTGTDDHNFPENNNADGQRQCEPEGTELSSPPSELSSGIEQHFQSLNEGRNLQQNQKAPEEPEFNDQLKAFDYAEARKNISFGEVRADRRKDNAVARAINKESGDKRRTSKQPGDEEDEGNFQNPRRRQAFPPSGNRSSTYH >cds.KYUSt_chr1.3724 pep primary_assembly:MPB_Lper_Kyuss_1697:1:22663844:22665278:1 gene:KYUSg_chr1.3724 transcript:KYUSt_chr1.3724 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGCSLLFAVILSVMPMRSTVPGYLWAYEIFGVEPDMMSLAKPLANGLPIGIVLVKENISAAIDYGDHGTTFGGSPVVCRAALTVLDKIQKPGFLAAVSKKGENFRQLLKTKLSGNSHVKEIRGIGLIVGIEFDVLAGPLVDACLDAGVFLLTAGKGNVVRLVPPLIISEKELEQAAEVIRDCLSALDS >cds.KYUSt_chr6.2209 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12982329:12983519:-1 gene:KYUSg_chr6.2209 transcript:KYUSt_chr6.2209 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPPPPPLPLQLRRPTLPLFPQGRRRSRRLNRIAASQEDPLTALSRVLWGRALPPSQLVLAVRHGWTAAWRLLMRQLAPSDPATGAFTRTPSRFPAVAAAPAPGARLHLYVGLPCPWAHRALLVRALLGLAPRLPLSVAVPGDDGAWSFTRDSPDALYGERRLRDVYAARRGGFEGRASVPMLWDADRREVVCNESIEIAKFLCTLPDANPNAPDLWPPELRQEIDRWYGVIYPSVNNGVYRCGFAQSQAAYDAAAAELFAALDMLEDHLSRSRYLCAAGTGMTLADVCLFTTLIRFDLVYNPLFRCSRRKLVEYPSLHAYTRDIYQMPGVAETCDMAAIADGYFGTLFPLNPGGIQPVVPASCDREALMKPHGREALLPPAPGRQQQGATASVG >cds.KYUSt_chr1.34604 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210680003:210688924:1 gene:KYUSg_chr1.34604 transcript:KYUSt_chr1.34604 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKKCAFGVTAGQFLGFLVHERGIEIGLKSQEAVRTMQPPTTKKELQRLIGKINFVRRFISNLSGRIEPFMALVKIKSDDEFHWGAEQQQAFDEIKRYLTTPPVLVPPQQDRPFYIYLSVADTSIASVVVQLYEGVEKVVFYLSRRMLDAETRYPEVEKLCLCLFFTCTKLHHILLTAEIIVICKSDVVKHMLSAPVLKGRLDFINPKHLMVGISEQPPRHTLPMRLGRMAEPSKVIHIRNVGQEIAEADLLQLMQPFGTVSKVVMLRAKNQALLQMQDIHSSVSALQYYSTVQPSVRGRNVYIQFSSHQELTTDQSSHGRNSDQDSEPNRILLATIHHMMYPITVEVLHQVFKAYGFVEKIVTFQKSAGFQALVQYQSRQEAVEAFGALHGRNIYDGCCQLDIQYSNLSELQVHFNNDRSSKVFQILAVFMPSNNLEMGRAAMIAAAFGGSLPPGVSGTNERCTLIVSNLNTEQTNEDKLFNLFSLYGNIVRIKVLRNKPDHALVEMADGFQSELAVHYLKGAMLFGNKLEVNYSKYPTITPSADAHEYTNSSLNRFNSNVVKNYRHCCAPTKMIHISALSQDISEDAILTHVSEHGSVVGTKLFEVNGKRQALVLFESEEEATEALVSKHASSLEGNTIRISFSQMQSL >cds.KYUSt_chr3.34139 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214112539:214115228:-1 gene:KYUSg_chr3.34139 transcript:KYUSt_chr3.34139 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDSLREAITAITNGSREKNRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMRVCMLGDAQHVEEAGKMSLDYMDVESLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVSHQESLEAKVNETKATVKFQLKKVLCMGVAVGHLGMDEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKRERLF >cds.KYUSt_chr5.28545 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180770238:180772319:-1 gene:KYUSg_chr5.28545 transcript:KYUSt_chr5.28545 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPRNQPKRRRLLALPAVCPCEGIAPEPLLASLLSLAADVASRRASDAPVLRRAVRQAVRLAGLLLAFLEEVRDAATSTLPFSAVLGLTELHVAMQKMRFLLTDCARRGARLWVLVNARQIASELRLCLGSVAAAMDVLPRSVVDVSVEAGELGRLVADQAWRAVVRPDAADELALRSVRSIMDQFRKGVAPDAEDVVRVLRRIGVQTWSQCSEEVAFLDGELAARSDDGDENSSEVVLINGLMAFLVYCRVVLFDRIDSKQADAVRPATCPDWIRPEALQCPITLELMTDPVTVSTGQTYDRASISRWMKAGCRTCPVTGERLRTADLVPNAALCGIIEAMLLSNGVSLPEASSTRHHHGDVGGSAAPFSAAAAGAARLAVAHIVAQFSTGSTEERRKATCEARKLSKHSLFYRARFVEANAVPWLLCLLSIMDASVQDNAVASLLSLSKHPGGREALVEAGGIGLVVDVVNVGAKAETQQNAVAILFYLSSNAEYAEEIGRFPEAIPTLVRLIREGAQRGRKNAMVSLYGLLQSPSNHAKAVAAGAVAALAGLLSSDRDGDLASDTVSVLARIAEQPAGALAVLSSAGLVARLVEFLAASASRSGKDHCVGLLVLLCRHGGEKVVALLGGMRGLMGSLHSLVADGSPTTSKKARSLINVIHRHFEMSRPSSLPAPVPDAGRERIVRVVQ >cds.KYUSt_chr3.9581 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56230989:56232981:-1 gene:KYUSg_chr3.9581 transcript:KYUSt_chr3.9581 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVERPPLVKEEKKPETMMEDEKLEATKAEMGEVREENERLKTLLSHIVRDYQSLQMHFQETVKVKQQAAAADKLPAVAPVEADVPPPMAAAADDLVSLSLGSGGYARSKGAAHERTSSSSSGTETDQDDQLSLGLSSRRSNEGDDKQASRPSAAAAPLLNLSSDSSADDAAPARHPLSTAACPPTSKARKSPSAGVDGADEEVLQQQAKKARVSVRVKCDTPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVAPSCPVRKQVQRCADDMSILITTYEGTHSHPLPPAAAAMASTTSAAASMLLAGSSSSSHGHHLPFASTGLLGPTTISTIASCPTVTLDLTAPHSLMQQQYASPYGAVAAGYESKALPAAWSSGYLAPYGGGLPYYGKSSLPAMGQHFGMGMATTRPDQLYGAAHSSSYLQRSSSVGVHGPAAPAPAVTDTIAKAITSDPSFQSVLAAAITSYMGRGAGAAAQK >cds.KYUSt_chr7.6482 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39177707:39178069:-1 gene:KYUSg_chr7.6482 transcript:KYUSt_chr7.6482 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQGRGYAGGVTGMVPTAVNAATAAVGFPGRWKAIAVKLGTRPARLSDLSSHPCFDRNALCREMLQHVAATLADALELAAQPPGIAKLQMQSAVDTLASRLDINCVSDPACYPTPRLLL >cds.KYUSt_chr1.31987 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194003170:194003919:1 gene:KYUSg_chr1.31987 transcript:KYUSt_chr1.31987 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATGELGLPGLENTSWPPFTPTHPTHPHVLSLLLSIPFLSPPQNPQNPAACAAAGGINPQNPAAANPPPSPAHRRPSQHRPTPHLRRHARPGHALPRLPRPCARRHRARAPGPHLGRESDYALLLDNRLGKRRFDGGYVHNGPLRATGWVLDKDCDLLRDLLGRYPDYTLTFTGHSLGAGAGVAAMLTMVVVLYLDKLGVVQMGRIRRRQQLGRPTGDKNFVILSEQHTCSSAERFLLFSDPVKKIV >cds.KYUSt_contig_319.315 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2204487:2207451:-1 gene:KYUSg_contig_319.315 transcript:KYUSt_contig_319.315 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYQKGVDIEAGTSAGAGAAPTRGLYPGMTESPELRWALIRKIYVILSLQLLLTAVVAAVVVKVRAIPHFFVSTNAGFGLYIFLIIFPFIVLCPLYFYRQKHPVNLLLLAIFTIAISFAVGMTCAFTSGKVILEAAILTTAVVFSLTAYTFWAARRGQDFSFLGPFLFASLIMLLVFGFIQILFPLGKLSHMIYGALAALIFSGYIVYDTDNIIKRFTYDEYVWAAVSLYLDVINLFLALLTLFRAGD >cds.KYUSt_chr7.18919 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117266272:117268191:1 gene:KYUSg_chr7.18919 transcript:KYUSt_chr7.18919 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPVRSDTWAMAHHPHLLVSIFVSIPILTVARRVALPAAAVGRTACLHHPRTASLPRLLVAPPSSNSPCPRRLKVPYPFEDEQASPMTMGMTTGIFLEESFILMAELRR >cds.KYUSt_chr2.54496 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339982183:339985764:-1 gene:KYUSg_chr2.54496 transcript:KYUSt_chr2.54496 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLRLPERPSVAMAMVAMSDLWEIGRDDDRGELGEMVAMVALIKGVGASVVTDVVGFQKHAIDGVAKDQPPPASPGVATEAEVRLLDGGGAEVSSAPGGSGVSLAVKNLEKQMVAAAAACSTRRSFRDSLKVLQADIQHANTLASDCSRDYDGACLQMRMSYSPAAQFLLFLVQWTDCSLAGALGLLRVLIYKVYVDGTTTMSTHERKASIREFYAVIFPSLMQLHDGITDDDDRRQKAACSERYGRRDDDSDKSKRPVDAEIDEECGICMELNGKVVLPNCSHAMCIECYRQWRSRSQSCPFCRDSLKRVNSGDLWMLTDHRDAVDMATVTRENIRRLFTYIEKLPLITLDNIFDAYDSHVK >cds.KYUSt_contig_60.440 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2601190:2616868:-1 gene:KYUSg_contig_60.440 transcript:KYUSt_contig_60.440 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPCIPPVSAPTTVDGILFLGSSTANKVAAVEANSTAVAVATVEATRVVVPTTEAGVGANSNSLGSATLPLWGHRCRHVLPGFRRTSMASSDIVLACGPSLPTDARTRSTHRAPAFTNTIMGLHHPLPDCPRLCTVFPQPGPDWIMKFGATTHFIGNQAPPPFFQRYAMDLLELANMLNCNPISTPIDIKCKQSARDGPTVSDPSHYRSMTRPDLSYVVQQGASHLGFQLYVGSSYELVAYSHANWAGCPDTRKSTSGLCVFLGKNLVSWSSKRQHAVSRSSAEAEYSVVANCVAEAIWLRKLLTELYQPPTKAIVVYRDNISAIYLSTNHVQHQRTKHVEIDLHFARDRVALGMAGPSMKPVELEELAGSSMKLVHLEEMAGPSMKPVELEELDPMGDVDKQRLKWETINEDQQVWDALDEQQKGTLEHHGGANAMRPVDVARTFAGFNGNSPPSMALVAVAIAANCTTIILAFALLYTFVKPVFMEGSVGDRRVYENLIPLFSNLMWALYTSVSHPYKPFFFIASGMGFVAQLICVFVYIYFAKGKKQTHALLLSLAVTAIALIITLLVAWMVLPDRWSSTFIDVIASVSGASVHIVPLFDLVTKRKEVKNLNPIMLLISLFGALAWTSYGCISVTVNYYVVSSFVAESTSMADLVFVVAVAEILRGVAVAESVAIVEELFPWRHRVD >cds.KYUSt_chr4.53108 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329004149:329007108:1 gene:KYUSg_chr4.53108 transcript:KYUSt_chr4.53108 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQHPPGGFPLRRGPGLGVAGQVGPLAPGGVQPLRPPMAGQPAQAAPRPTAAAAPFVHPAGGVAAPHVAAAPIAGTGLPSRPFFPQPSGQIHAGAVMQHRPMIPQAMLVPQANAQNAGGKHKSKKKKTTPHVPAQGGIIQAPGGQSFGQASSQQQGYASHSSMAPHMYQFPYIPSGYDQHGQFASQLQQLPVQSQTGQGVYVPPQQPPAPLIPVLQQPQPLVPQVGAVVQVAEVAKAAGKAKKSVRCWKCADNTHAVKDCKANHYCYICDKKAHPTARCPVLKLPRPSVFVSGSGLLETYFTAFPDSVVNEDLAPTQSPIAPVVVTGDEVPADLIAKQVARRCSDYPNWKWEAVPHVDMQFLISVPSFEDLDRLDGIQMGVPSFSSTISISAWRSAEVPHKAELEKVWLHVDGVPHTLRHFLGLWAVGSLVGKTVDVDLVSLRRRAVVRIQVAMLQAGVLGDPSDEARPIAKADAVVKFKAFEFRFRREPADYIPEPDFVPLIWVQQGGPSSSAPRGAQAMAPVYAVTPFNPNPKTPFAIEAVKRLRAISPSLEAPPSGVASPRVSADALRLALDAVSSVSPLPSGLAGDRPRLDRPARGRVCTLARTTPAAARRAAASENARVGALDGARASAPAIGEGAGEAGLRGGSSSPLPARTSPPSPCGVGSATPLTPCSVARGGSSSALPPRASTAGDGCPLARLAASSTAAAEVEERPPAPSVDQATQPTPPVSALGVVDGSPLPPLASGGAGLPSMEPARGGGGLPRLLPPRASTTTRPTGVNAATSIRRSNRHGVGIDGATATDEDTMAKAMRRKAEANLDFSGTVNSSKSFLSFPTPLMASKLHNVGLSLGTSISSISVSANALRRMEFDRLKFTPTLSGKSDTCFSDEDDEEAYAVSDGQLLSHLVGEVSEVGLDDTALDSCIELQATERKSRLSSIKRKAWPNKKAKIPKSPIVSK >cds.KYUSt_chr4.11408 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69383707:69391525:-1 gene:KYUSg_chr4.11408 transcript:KYUSt_chr4.11408 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSESGWSSSDDSDIEELLQDDDVEMMSLLVDVQEIEDRAKLLDHRRGSVMEREYIYRNHGLGHEQLMRDYFAKEHPTYPPRLFRRRYRMRRSLFVKIVNDCEAASDYFKRRRLVSGDAPTCNYKVMNYEYSMGYYLTDGIYPEWATLVKSIKEKNVCCYLRLGLIDVERVELFDGAGGLVEGSIQKVDKNGSDVELLENARLIPPQGIQWHVFAAFGTLKGGRADWLIEKCTELGASSVTPLLTERCHTIAENRVDRLQRLVLAAVKQCQRVHDMSLKPPIQIHDLSLVVAQSKLAFLASAEAPPVLSVLPNSSNEESGLLIIGPEGGRRGVGNHRTAYSMAGNGTAAVSRAPRATFSRMAAACALVAPLHPQASAAAVRRRRRRNATT >cds.KYUSt_chr4.15910 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98410297:98417149:-1 gene:KYUSg_chr4.15910 transcript:KYUSt_chr4.15910 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRMLSAGGGIDPIAEEPHPPRAAATYPDPAALACAISAEASAVLAVMRRSLRHPRAAADDAAADHPLEANAAVTSASLAALHGVMALTGRALPGAALREVVDAVAGCRFEAGAEPHAEEAVLMRMLQALLACLRAPAAPALGDQHVCTAVNTCFRVVHQAAAKGELLQRFSRHAMHELVRLVFARLPLIGGADDDADDGTVKPEMSGMDKNHPFGIGQMENGNGSYASEAGIPDENSADESGLSVEPYGIPCMVEIFHFLCSLLNVAEQIGFDEDLPLFALKLINSAIELGGSAIAKHPKLLSLVQDELFRNLMQFGLSISPLILSMVCSIVLNLYHHLRSELKMQLEAFFCCIILRLSQPRFGATYHQQEVAMEALVDFCRLKNFMVEMYANLDCDITCRNVFEELANLLSKSAFPINCPLSSMHILALEGLIAVIQGMADRIGNATSRPELRPVELDEYAPFWTVKCENFSDPQHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGNHLLPEKLDPQSVACFFRFTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFEDMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQAPQAFANKDTALLLSYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGNDLPREMLSELYHAICRNEIKTTPEQGMGYSEMSPSRWIDLMRKSKSTPPYIVSDSQPVLDHDMFAIMSGPTIAAIAVVFDHSEHEEVLLTCVDGFLGIAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEASMETVQGKPTPSSISTSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVARLVKANAGHIKSQMGWRTVVLLLSITARHPDASGVGFEAIMFIMSEGHLSKSNYPFCIEASRQFAESRVGLTERSIRALDLMADSVSNLARWSQDTQGPGEEADKGFEIIREMWLKLLQALKKLSLDQREEVRNHALVSLQRCLTATEGICLQSSTWSHAFDLVIFALLDDLLEIGQNHSQKDYRNMEGSLVLAMKLVAKVYLQLLPDLFGLSSFCKLWLGVLSRMEKYIKIKVRGKRSDKLQELIPELLRSILVAMKSRGILAKRSTIGGDSLWELTWLHVNNISMGLQSEVFPSQEYEQPNNVSSPRGVNNVEAQN >cds.KYUSt_chr7.81 pep primary_assembly:MPB_Lper_Kyuss_1697:7:477003:478332:1 gene:KYUSg_chr7.81 transcript:KYUSt_chr7.81 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRSNQAIHGCPGQANNAMAAVPQRQHLFLVLALAAAVALPQVASASSYRCGWCPRRSTASLLPPDAPVLTGAACGYDPETVASGFTDGGFHIAAVSAGFFRRGQACGACYQLKCRGRAACAEDGVKVVVVGDAPDANVTGDGGFMLTRDAFAALTNRGGDRLARQDDDDATIDIDFRRIPCAYSDKNLSVRVEEASVKNRGRLVLRFLYQGGQTDIAAVEVAQAVVNADDDAAPSPASMWRYMTRREGSPGVWSTSRAPDGPLRFRVVVTAGSGGKWLHSAGAVLPAEWAPGGVYDTGLRVADVAASTCGGASCGSADENGDKELR >cds.KYUSt_chr6.22021 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139092408:139093928:1 gene:KYUSg_chr6.22021 transcript:KYUSt_chr6.22021 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFDLLFFAGNELLYVKLRWSTFYLVQVMSVTLDKWTDDDINFMIEVGGNSQANAIYEAFLPKGYSKPHPDSAQEERQNFIKSKYELQEFLQPSLRIVSNYPSDIGMVEFIGILNVKVIGGTKLAVRDMYSSDPYVVLTLGQQKAQTSVIKRNLNPVWNEELKLSVPRQYGPLKLQVLDHDMVSKDDVMGEAEIDLQPMINAAAAYGDPEHLGDMQIGRWLKSADNALTTDSAVMITGGKVKQEVSLKLQRTESGEVTLSMQWIALNM >cds.KYUSt_chr4.15570 pep primary_assembly:MPB_Lper_Kyuss_1697:4:96184701:96187283:1 gene:KYUSg_chr4.15570 transcript:KYUSt_chr4.15570 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGTEGRVSDSGGDENIILNPEFDSGLDGWSGSGCKIELHDSLDDGKVLPASGKYFVAATGRTDTWNGVMQDITARLQRKMAYEVAATVRLSGANVSPCEVRATLAVQTADGRQQYIGVGKLQASDKDWAQLQGKFLLNSTVAKATIYIEGPKAGVDLLLDCLVVKHAQKATPSPPPDFENLVYGANIIENSNLDDGLNPWFPLGPCALAVGEGSPRVLPAMAQESLALDDEPLNGKHIHVTNRTQTWMGPAQIITDKLTLHATYQVSAWVRVAGKMNGAPQNINIAVQVDSQWLNGGQVLARDERWYEIGGSFRVETKPASRVMVYVQGPDAGLDLMVAGLQVFPVDRKARAKHLKKITDKVRKRDVVVKLTGADGGVIKATECVEVRVRQVSNSFPLGACIMRTNMDNEDFVDFFTKHFNWGVFGNELKWYWTEPQRGQVSYADADDLLKLCSDNGMCVRGHCIFWEVENMVQQWVKTLSADDLSAAVKSRIDGLLTRYKGKFRHYDVNNEMLHGSFYQDKLGKDIRATMFKTAGELDPDALLFVNDYNVEGMCDIRATPEAYIQQIIGLQEQGAPVGGVGLQGHVSNPVGPVIRSVLDRLAVLGLPLWFTELDVSAANEHVRADDLEVMLREAYAHPAVEGVMLWGFWELFMSRDDAHLVNAEGDINEAGRRLLQLKKEWLTHARGHPDDNGEFRFRGHHGAYTVDVVTSTGKISQEFTVDKDDSPMVLDINV >cds.KYUSt_chr2.16899 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106413161:106419285:-1 gene:KYUSg_chr2.16899 transcript:KYUSt_chr2.16899 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPRQPQKHAAILLPKEAVGDVVAANVNPVIDSCDEMMLPKVNTLFDSEDEAYEFYNSYAEKVGFFVRRSTLWTTSKNIITRRTFVCSREGFREKKKGAKESKCPRPETRIGCPASMTIRLTANGKYRLTEFVPNHNHHLATASTIHMLKAKKIRRKARAVRENLVDDTVVTPEFENEDKAYDFYSRYAGKLGFHVRRASLTVNAENVITRRMFVCSKEGFREKKRGANRVKKPRPETRTGCPACLVIRLAPNGKYNVTEFVTCHNHGLGAAAASDLVMESLTEIYQDYRVGLVDKSPGVSTGKQSLIEDHATSSCLEARSWRRYKRKVPHCGDVGASLEYLQKMQHDNPSFFYAIKSDEDGNLTNFLWADSKSIMDFAHFGDVVCLDSGYALQGYGRPVALFTGLNHHKQTVIFGTALLYDESFEAFRWLFDTFKLAMNGILPRTLLTERSPVISEAVATSWPATTHRYCVWQIYQNALQQLNHAFHGSRNLHCNFKRCLFDCDDEAEFLMAWREMLANYDLQDNQWLADLFALKEKWALPYGRDAFCADMKSVQQKDNLSSELKIHLSLERDLLSFFVQFERLLCDRRSAELQADVNASQSTKKPPSMRILKQAADIYTPAAYKMFENEFELYMDCMLYNCGEMGTICDYRITVEDNPKDHFVKFDSRDSMSRCSCKGFEFVGIPCRHMLKVLDTRNIKNLPPQYVLKRWRKDAKSGSSNGGYEYPFDGDPQLAQTKGHNLLCRIFSIAAARAATSVESFAYMENQLNTLMGQVEQFLENRTPDMAAIIGANCDRTQNMVTEGLHSHTNFVNGSADDSLTFPFTLSAGTLDYR >cds.KYUSt_scaffold_2697.355 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2371067:2372458:1 gene:KYUSg_scaffold_2697.355 transcript:KYUSt_scaffold_2697.355 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWNTAHLLRQYNQRRHRSGFPLPPVRLAATPTLRTYLTLNRPCQRTAVGISPVNGRLPRMYSIRNVENLHGDGEKKVKLKTHGGTRGNNIGKRRISPRLWDALPVCRLVPVSFVARHLNGDGGLHCTQGFDPPAPLIGR >cds.KYUSt_chr4.50861 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315297982:315299768:-1 gene:KYUSg_chr4.50861 transcript:KYUSt_chr4.50861 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLIFLFHFFTSDSLHRHQVEGVAPAGHPTGQAVASASALLRSRFHGVELLLFTGPLVDMYGWFARILRSPSICIILYTLPLELPPSLSSGTRIVFLSQLLSTSSNAQEKKTKMAVSVLSSSILLLPLLCLLSLASACDRCVRHSKAAYYTSSLTLAAGSCGYGTAAASLNGGLLAAAGPALYRGGVGCGACFQVRCKDKKLCNAAGARVVVTDRARTKSNRTDLVLSSPAFAAMARPGVTKRLARLRAVDVEYKRVPCEHEGKNLAVRVEDTSRAPNELAVRFLYQGGQTDIVAVDVAQVGSSNWKFMTRDHGPAWSTSMAPPGPLQFRIVVTGGYDGKWVWADKEVLPRRWRAGEVYDTGVQITDIAQEGCFPCDTQEWR >cds.KYUSt_chr3.21788 pep primary_assembly:MPB_Lper_Kyuss_1697:3:134118838:134120988:1 gene:KYUSg_chr3.21788 transcript:KYUSt_chr3.21788 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAQARNGSSVDGGAGPSTSYSTADNDDNGGAGGAKVWVLVLLFSLLVLLFLPSAVRRGGTSGGGGFQRGGITLKSGWDVVNLCLVLFAILCGLLGRGGGDGDGDGESASAAAAAKSRRELPPPMAEPEPIAEASTEDVWERLNSSYDSNQSAHTTAGIRRMKSSSSYPELRLGSNGVWGLESPELAWRPYDDAELYRPRRDDWPDRTRGDADRPRLRRTSSDVNAIPVDKYEVRAPPQDARRRRRSVEKPQKMDAVVEDDGRTHPAAETLAARPARSRTWSPEELNATLSEADKYEVPTPLGARRPRRSAEKLPKMPAVVEDEHPSAETLTARPSRSRTWSPEELNATLSELASAAPPAVIPRHRHRRHSVESLPTMEEVEKEIIVEEINNPLPSSTAMFPPGTPPPPPPPPPPPATMSRSKKKRSGSVGGAKELASAIALFYQKKRKSIIMKRERHHHHLSDDHYSSPSSESSASPEATTRTNPPPRPPPPPPPPPPPSSIFSNLFKKGGSKSRRIHSLAPPRPPPPPPPTHRSRKPPQPPSRLVPTPPPPAPVRTRPPRAHAHAYAHPQQPPHAQGYPQQPPLYTRPRGVVYHSYRLPPPSPPMPPPPPPPPMSEGEEEVPSVTASPAPSYCASPDVNTKADNFIERFRAGLKLEKINSYREKLQIQEGATVTMAEEDGEFMVIGSLFEDDDDMSLPGTPATAAAAAVAVGY >cds.KYUSt_chr3.24531 pep primary_assembly:MPB_Lper_Kyuss_1697:3:152160912:152166141:-1 gene:KYUSg_chr3.24531 transcript:KYUSt_chr3.24531 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKSSAEAEFFTEYGDASRYKIQEIVGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDVWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMYTISRVRNEKARRYLSSMRKKEPIPFSHKFPNADPLALDLLQRLLAFDPKDRPTAEESLSHPYFKGLAKIEREPSCQPITKMEFEFERRRVTKDDIRELIFREILEYHPQLLKDYTNGTERTTFLYPSAVDQFRKQFAHLEENTENGPVVPMDRKHTSLPRSTIVHSAPIHGKEQPRIGPSRDKPLSEESYRNSRDSEIYSANLQRTSQAPQRVPTARPGRVVGPVQPYENGGINDPYDPRRVVMNSGYPPQQQIPQTYGYYQTPAKSACSEPLQAERYTLHQQAYACANSSTVPDVALDMRAPPFHQSSGPKRGSSDRLSAETNLYTRSLNGIAATTSGVAASAHRKVSVVPYGMSQMY >cds.KYUSt_chr6.13755 pep primary_assembly:MPB_Lper_Kyuss_1697:6:86122277:86122794:-1 gene:KYUSg_chr6.13755 transcript:KYUSt_chr6.13755 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATEQRSPDKPRPVRCIVKLGGAAITNKGELQSIDEGSLRSACAQLRQAMSGSGDATPEKVMGMDWSRRPDDPADPAVDTEGFRDMAGLGIDTNFIVVHDAGMYSSKSVVASGLWFSVFGLLMMSLSGWFVV >cds.KYUSt_chr7.3082 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18289940:18291253:1 gene:KYUSg_chr7.3082 transcript:KYUSt_chr7.3082 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSCCGGSEKVEPGFATPSSVLGAASGGGSAWRIYTYKELHAATGGFSEENKLGEGGFGSVYWGKTPDGVQIAVKRLKPNANASKAEMEFAVEVEVLARVRHRNLLGLRGYCASGADHRMIVYDYMPNLSLLSHLHGQFAAGAEHALDWRRRFGVALGAAEALVHLHHEASPGIIHRDIKASNVLLDSDFAPLVADFGFAKLVPDGVSHMTTRVKGTLGYLAPEYAMWGKVSGACDVYSFGILLVELVSGRKPIERLPSGAKRTITEWAEPLIARGRLGDLVDVRLRGNYDMAELARMLEAAALCVQGEPERRPDMRAVVRILRGETKAVDGAAPAAGKGDSGVQAQPAVRLKSVKYADHLMENESSVYSAEGDEEEDDKEDERRTDSSEVEEYSLMDDQSSMNFGVFGAMPVVPVQTMRDPYVRRFGGNGVKI >cds.KYUSt_chr1.23517 pep primary_assembly:MPB_Lper_Kyuss_1697:1:139814969:139820593:-1 gene:KYUSg_chr1.23517 transcript:KYUSt_chr1.23517 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHHGGQGQPQHQYQQYPPPHPHPDAYPYPYQYQQYPPPASHYLAPSPSFPAYSPAPPPQQFAHHSGPLQPYPPPPESHQQQAYPPHPHHAYPPQDGYPPPPSSSQQHDGYPPPPPSHQHDGYLPPPPSQQHDGYPPPPSSQQHGYPPPPSTSAYGYDPYPAHPAYPSPGLSPSTSFHQPPHAAYTSPGLSPSTSFHQPPPHSTAPESPAASAPHYPLADVLASMRLSNRYDYSPVTTPPPAALPPSASFSSTSGSTHGGGGMQMVPYGAAASQHGSVRPSLKVVLLHGSLDIWVHEAKNLPNKDMFSKRVSDLLGARITSSISGKASNGSLTSDPYVTVQVSSYATVARTYVVSNSENPVWKQNFLVPVAHDTAEVEFVVKDSDVFGAQLMGSVSIPAESLLNGEVIEGVFPVLEPNGKPCARGAVLKLSIQYIPVARLTVYHRGVVAGPDCQCLGVPNTYFPLQRGMKVTLYQDAHVPEGSLPDIWLDHGLRYQHGQCWRDMYTAISQARRLIYIVGWSVFHTIHLIRDGPDKVPSLGELLKMKSQEGVRVLLLVWDDPTSTSILGYKTDGLMGTQDEVTRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGSIYTHHQKTVIVDADAGNYRRKIIAFVGGLDLCGGRYDTPRHSLFQTLETSHKEDYYNPNFATVDGRGPREPWHDLHSRIDGPAAYDVLQNFEERWLKASKRHGIKKLGKSNDDALLRIERIPDIININDAIYFSDNDPETWHVQVFRSIDSNSAKGFPKDPQKATMKNLVCGKNVLIDMSIHTAYVNAIRAAQHFIYIENQYFIGSSFNWDSNKDIGANNLVPIEIALKIANKIKANERFSAYIVVPMWPEGNPTGAPTQRILYWQNKTMQMMYETIYRALKEVGLDEIYEPQDYLNFFCLGNREVDDNPSTPSTGNTPQEQARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWANKISAPRGQIYGYRMSLWAEHIGVIEEDFNYPESLECMRRVRRLGEHNWEQFTSNDVNEMRGHLMKYPVSVDRKGKVKPLSGCPTFPDMGGNICGSFTAIQENLTI >cds.KYUSt_chr3.11538 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68789174:68791066:-1 gene:KYUSg_chr3.11538 transcript:KYUSt_chr3.11538 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKKQLNPMRDIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTIRGEKAMQLLDSGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGMKYDPSTGIYGMDFFVVLERAGYRVSRRRRCKARIGIHQRVTKEDAMKWFQVKYEGIILNKSHAG >cds.KYUSt_chr7.34701 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216654684:216657430:-1 gene:KYUSg_chr7.34701 transcript:KYUSt_chr7.34701 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSLVLAVLVAAMMMLAADAVWLDLPPTGTKCVSEEIQPNVVVLADYAIMYESHPTSHPTVAVKVTSPYGNTIHNNENATTGQFAFTTTEAGNYLACFWLDSAEKGAGVSLNLDWKIGIAAKDWDSVAKKEKIEGVELELRKLEVAVESIHHNLLYLKAREAEMREVSEKTNSRVAWFSILSLGVCVVVSVLQLWHLQGFFHKKKLI >cds.KYUSt_chr4.35170 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216112097:216112543:-1 gene:KYUSg_chr4.35170 transcript:KYUSt_chr4.35170 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHSCFSYQEREATERDQADIQLTVLTLHIHSIDMADVGAARRAMLFRMPRRRRTETVVAASGGHRKRMAVARLDGSGGGGGPQWRRFLGALRRVRVRWLAAMYCLMLRRLRANYAKALRELNEDVALLTAPNQPSVSSVRWAMRM >cds.KYUSt_chr2.2679 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16012038:16016778:1 gene:KYUSg_chr2.2679 transcript:KYUSt_chr2.2679 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLRAATGVARHAARRALIARATAGTTRSAAFHTTALRRSAASAPAPRAVPLSRLTDSFLDGTSSVYLEELQRAWESDPASVDESWDNFFRNFLGQSSSPTAAALSGQTIQESMQLLLLVRAYQVNGHMKAALDPLGLDDRAVPDDLDLANYGFTAADLDREFFLGVWKMSGFLSENRPVLTLREILRKLEQAYCGPVGFEYMHIPDRDKCNWLRDRIETAIPTPYPKDRRLVMLDRLAWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVHNIVIGMPHRGRLNVLGNVVRKPLSQIFSEFAGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQFYSDDADRTKNMGILIHGDGSFAGQGIVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKAVNVPIFHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPNSLKLYEDKLLGTGEVSKEDVQRIHDKVNRILNEEFAKSKDYVPNKRDWLAAYWTGFKSPEQISRVRNTGVKPEVLKRVGQAITTLPEGFKPHRAVKKIFEQRAAMIESGEGIDWAVAEALAFAALIVEGNHVRLSGQDVERGTFSHRHSVLHDQETGAKYCPLDHVVMNQNEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSSRLERFLQMSDDNPFVIPEMDSTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVTAPKNLLRHKECKSNLSEFDDVEGHPGFDKQGTRFKRLIKDRNDHKEVEEGINRLVLCSGKVYYELDEERKKTERNDVAICRVEQLSPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYTYISPRLYSAMKSLGRGSFDDIKYVGRAPSAATATGFLTVHVQEQSELVKKALQPEPIKFP >cds.KYUSt_chr4.14123 pep primary_assembly:MPB_Lper_Kyuss_1697:4:86947571:86952259:1 gene:KYUSg_chr4.14123 transcript:KYUSt_chr4.14123 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCVSRPSACVGKPNTPRSADAARAGGARRRRRRGGKGRRKAPSRAASMETIQEAEGPAPPPSAAVAPGPSAASGDCRTYSNPAFQVTGSMEEAWYDSYAISESDGEDDYHSVHDDAFSLNGMENDANGGSFNGAAHPSDLHYRKPRSSELPKGNSESRSSVSHDDMVSVSGDDSPNTNGGGGILDDCGLLPNNCLPCMASAVGVNEKKRALSTSPTHSMKMPSLKLSFKKKSGEANPSSALLSTKDFLERPLAGSQVQLCLLEKKVLNSWSHIEPGTFRVRGSNYLRDKKKEFAQNCAAYYPFGVDVYLSPQKLNHISRFVKLPDVQTSSKLPPLLVVNVQVPLYPASLFQNETDGEGMSFVLYFRLSEGYSKELPPLFIENIRRLVDDDVEKIKTFPMETTIPFRERLKILGRVANLEDLPLSAAERKLMHAYNEKPVLSRPQHQFFLGDNYFEVDIDMHRFGYISRKGFETFLDRLKICMLDVGLTIQGNKPEELPEQVLCCVRLNGIDYAKYQPLMTNGA >cds.KYUSt_chr4.40903 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252747210:252749138:1 gene:KYUSg_chr4.40903 transcript:KYUSt_chr4.40903 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKTTSHDDEPAEERHIREILHLTGGSRAHVAAAVAGCSKRLSRTRDYVVALKSLMLVHRLLTDGDAFFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYALYLDQRLEFLLHERKQGNGGSSNGNGNGSLNGPSPRDKWGSPDPYGRRSPSYSSPPGNGYGGYDDSRDRNTPAADDKRPPTPVRDMKPERVLGRMHHLQQLLDRFLACRPTGGAKQSRMVLVALYQMVRESFQLYADICEVLAVLLDRFFDMEYADCVKAFEAYASAAKQIDELCSFYAWCKDTGVARSSEYPEVQRVTDKLLETLEEFMRDRSKRAKSPPREPEPEPVKEEEPEVDMNTIKALPAPEDYKEPEPEKVEEVVKPEPPPQPQGDLVDLREDTVSADEQGNRLALALFQGPPAAGGGTNGSWEAFPSNGGNEPTSAWDTPAAEPGKADWELALVETASNLSKQKAVMTGGMDPLLLNGMYDQGVVRQHVNAQATSGSSSSVALPAPGKTQMLALPAPDGSMQNVGGDPFAASLTFAPPSYVQMAEMEKKQQFLTQEQMMWQQYQRDGMQGQSSLTRLDRTYNNGFGPNPAMPYGMPNAPPMANTGYYYPTY >cds.KYUSt_chr1.6817 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41921082:41921724:1 gene:KYUSg_chr1.6817 transcript:KYUSt_chr1.6817 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQQQHGHATNRVDEYGNPIAGAGHGGLTGGMGGHGGVGTGAAAGGQFQPMREEHKAGGILHRSGSSSSSSSDEDDGMGGRRKKGMKEKIKEKLPGGHGGEQQAMGTYGQQGHTGMTGTYGQQGHTGMTGTGAHDTMATGGTYGQQGHTGMTGTGTHGTGEKKGIMDKIKEKLPGQH >cds.KYUSt_chr4.48863 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302619805:302625949:-1 gene:KYUSg_chr4.48863 transcript:KYUSt_chr4.48863 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGGVPEAISGSPEMGSAAAASPEVHLRIKNGPTQIVIRVIKNRLIENELFFNFVFPHSTTTVFATESEKLRTHAHVCTASFNYDITSTASFNYAIASTAGVGAHIPTTTTTCSFDAHVPTNTFNAISSATSSSVLTSTAYVGAHIPTTLATGSIDACVPAITSTTCVGAHISAATSTNDHFPTATFNIITSAASTNAITSAASSNAITSTTSCDAHVSAASSNDITSTAANDAHVPATASNAITTAATFTVVVDAIVAATTYTASVDARAKCKLAGDPAGGAIRGAHLCLGEAARAGVRERHQLQDPTVERRIHL >cds.KYUSt_chr3.2627 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15078258:15080241:1 gene:KYUSg_chr3.2627 transcript:KYUSt_chr3.2627 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTFWRIVRSKSTEEFEPAPYVLTLLNSLLWLYYGVTKPDGLLIATVNGFGTVMETIYVVLFLIYAADHATRVKTAKLVATLDIGFFGFVFATTRFAIAGLDMKIMVIGLICVCLNVFMYGSPLAAVVPNGIGFFLGIIQLGIYAFYQNSRDEAPEEGWQASSVSLLAPHANGHGENNVSIGV >cds.KYUSt_chr5.20623 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133990775:133992513:1 gene:KYUSg_chr5.20623 transcript:KYUSt_chr5.20623 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPPLLRRLALLLTSRIRANHLLAASYSASSHQTAAAATATETPTPAEPEAVSMTDSCVRVVCDNGISSLQRLKELHANEPSAEGNMLRLSVEAGGCSGFQYTFVLDNKKNADDRVFEKNGVKLVVDNVSYDFVKGSTVDYVEELIRSAFVVSTNPSAVGGCSCKSSFMVK >cds.KYUSt_chr7.18107 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112362064:112362876:-1 gene:KYUSg_chr7.18107 transcript:KYUSt_chr7.18107 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGGCCLAPRCGGDGGQAWQMGNIMLKFRPIAPKPAAMAPAPVPATATAVGARKGKRKAAGRRGRKSKKAAAVAVVTAAPPPTPKADVHTGKPLPSPSSSSSGTTSVADSSTPPLPDMADLAPAHVHVARHVAALRPAASCVTVEAVTATWRDGEAPAAPACGGGEDDEAAPAFASDRWGRVTWTNPAFSRAASASDVVLAARDGAAVPAWGACAGFTCRVRVACGASPRRGGSLVAPCDVWRVGDGGYLWRLDLRTTLTLSLAGLV >cds.KYUSt_chr4.25959 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163264593:163265252:1 gene:KYUSg_chr4.25959 transcript:KYUSt_chr4.25959 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKALLITMVLAVLTPLAATAAQQTVTNLTLHNLCLYPVWPRITQNIGLPAIPDANDTAGRLDGGGEGLVTLAFPPGAWSGRIVARTGCIDDDDDVCDTGVAPAPTTVTQVSVHGPNGLAEYSVSLVDGFNVPVVVTPHGFEQGDQCPSLGCAVDLDVDCPSDAKAPAGGCRAQGQGHFFKQRCPDTRTTPTDVEATPQRCLQPGELKIVFCPDTSN >cds.KYUSt_chr6.32095 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202729421:202732786:-1 gene:KYUSg_chr6.32095 transcript:KYUSt_chr6.32095 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRRLHSAPAAPLDGEDLLCQILVRIPPLPSSLPRAGAVSKLWARVAADPGFRRRFLAHHRKPPVLGVFEKLGQDLVFSPILDPPDRIPPGRFSLCPEQEASFTHWTLLGCRHGRVLAIGSFSRHATLLVFDPVSRGRSYVPVPMDFRFNLCNVRGTVLCAAGNGEGHVHGDCHSGPFKVVLLGTRSRQEPAMACVYSSETGVWGPLVSTAEPCGAPVSRFPCTLIGSALYWWLNDSEDAMLEFDLDAQRLAVVRRPIFAGIGSSCIRIIRAEGGGVGFAVLVYPSFQLWGRKFSSDGVATWMLQRIVNMHEVIGLPSGIETRNEAIVGYSEDADVVLISVSTKQEHSTKHQHSAFIVQLDSMQSRELSRSFLEHSYHPFAYFYTADEVACWVLGFWTEIQILKPCLGTLDFFPLELTP >cds.KYUSt_chr5.33577 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213074287:213080362:1 gene:KYUSg_chr5.33577 transcript:KYUSt_chr5.33577 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAFLAALLLVASAASPAAALYSAGSPVLQLSPSNFKKVLNANGVVLVEFYAPWCGHCKQLTPTWEKAAGVLKGVATIAALDADAHKELAQQYGIQGFPTIKVFLPGKPPVDYTGGRDVKAIANFALSQVKALLRDRLDGKASSGKSSGGSSEKKTEKSESVELNSSNFDELVIKSKDLWIVEFFAPWCGHCKKLAPEWKRAAKNLKGQVKLGHVDCDSDKSLMSKYKVEGFPTILVFGADKESPLPYQGARVASAIESFALEQLEANSAPPEVHELTSSDVMEERCASAAICFVSFLPDILDSKAEGRNKYLELLLSVAEKFKKSPYSFVWAGAGKQADLEKQVGVGGYGYPAMVALTVKKGAYAPLRSAFQLAEITEFVKEAGKGGRGILPVDGALTVVQSEPWDGKDGEIIEEDEFSLEDLMGDDSTPKDEL >cds.KYUSt_chr2.5970 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37099721:37101695:-1 gene:KYUSg_chr2.5970 transcript:KYUSt_chr2.5970 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTVELVYKATSVLKKDGIHSQSWGRHGRVGEGTARQYSPELEKIEAASTTLAHEAIEGHNDIVSSGMDDGGVQEGVAATAAESNLEIIDDGVQSIMQRQIKLSSSSFGGLGVRALGLQNACLLVKLLHRLHIQADSPWAAWRWTEIGDRSIVAGPATSSGGHWAALRRLMPLYRCLTRVEIGDGGRTSFWHDDWLPGGPLSISAAALYSHTTSPEATVAQALAGGIDSILAPRLSRVGARELDSLRAALDEVALGDGADRRSLTRCSGPRNKLITGALYRLCNFGGVSSANAGFIWRCHTPSRVSFFGWLLTLSRVQTRDTLLRKTIVDAAGAGCPLCDATLETASHMTLHCPVAARFWSTVGVEVPRDFHVRDLHLLPMPSSISMETAPTFALLCCWQLWKQRNAAVFRGEVPSLPLLLKLCRDDATMWRGRFPDSQRSHIDAWHVCLGGT >cds.KYUSt_chr3.29403 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184076836:184077261:1 gene:KYUSg_chr3.29403 transcript:KYUSt_chr3.29403 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRVYDRELDPEGKRRAVHAVEEGQVVANGGFIRAPRKPTNQSKPGGRRALGRKPAVEEDDQILPPAPAAYYGYYSGAEWQSYGAVLREEAQARPVAAARCSGGRAASCKGSRHRSRHQMKEMCYFYGDELD >cds.KYUSt_chr4.18488 pep primary_assembly:MPB_Lper_Kyuss_1697:4:116053115:116056324:-1 gene:KYUSg_chr4.18488 transcript:KYUSt_chr4.18488 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQRLLAASTKIVGAGRNYISRAKDASNPVLKEPVLFLKPTSSFLHAGPTAGPIEVPDPLESLHHEVELAVVISRRARDVPEASAMDFVGGYALALDMSSNDLQSASKSAGLPWTLGKVQDTFTPISAVIPKSAIKNPYDLELWLKVDDELRQKGLTSDMIFKIPFLISYISSIMTLMEGDVILTGTPPDGVGPVRVGQRIKAGITDLIDVEFDVQRRIRPFSS >cds.KYUSt_chr2.44928 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279837988:279845327:-1 gene:KYUSg_chr2.44928 transcript:KYUSt_chr2.44928 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSIAAFYAVMVPYTKVEESFNVQAMHDILYHNHHINKYDHLEFPGVVPRTFIGALVIAIISSPAVLIMRAVHVPKVYSLLAVRLVLGCAILMTLRLFRVEVKRKFGHHVEAFFAILTAIQFHVLFYSTRPLPNIFALALVNLAYSFWFKGNYLRTLQALIVAATVFRCDMILLLGTIGITLLLSRSFSLLEAIKCCISTAVVCIGFTVLVDSIMWQRIMWPEFEVLWFNSVLNRSSEWGTHSIHWYFTSALPRSMLVAYPLCLVGALLDRRIVPYVIPVTLFVVLYSKLPHKELRFIIAAVPMLNVSASLAASRLYNNRKKTGWNFLYVLMLGAFLVSLGYSAVSFMASYSNYPGGNALKALHEVDNSTKEKMVHIDAFTAMGGVSRFCENEYPWRYSKEEGISIEEYQTRNFTYLLNEHRYISGYKCLFAVEGFSRAKIQPRFPPLSLVKAPKVFVHGNTGDPDILSLDWPGCP >cds.KYUSt_chr6.11903 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74041477:74046076:-1 gene:KYUSg_chr6.11903 transcript:KYUSt_chr6.11903 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKAAAAALSAGAAFAASSGRVHAGLIPGWSSPSPAPAPGTVPGAPPAPEPAAEEKPRVRNDNPRTSASGFNPEPLEEGVKLLDEMAKKHSSTEVKKTFEHLKKVEDTKQAELAAKKAEYMREAAILELERTRTEYEEKKKLAQTQAEIKSQVARYNDELARKRLQNEHEAQRTRNHELVKMQEESALKIEHIRRQFEEEIQELRKRTQQEMAAIEQETQRQKSRAEAEAKALEKKLSEDVNRRMLIEKANAEREKWVQAINATFEHIGGGLRTILTDQSKLTVAVIGVTGLAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGIPSRAMSTVTSKLKNGSNLGKDGKGFGDVILNPSLQKRVNQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARESGLDYALMTGGDVAPLGAQAVTKIHQLFDWAKKSDRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLYRTGSQSKDFVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERSKIVKLYLDKYIVKAGEKQGKGLFSFFRRQPQKIAVKGITDDLIREAAAKTEGFSGREIAKLLASVQAAVYGSTECELTPALFREVVDYKVAEHQQRRKIAGHA >cds.KYUSt_chr4.33896 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208112507:208114082:1 gene:KYUSg_chr4.33896 transcript:KYUSt_chr4.33896 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKNSLFTIFLLCLTSTLALKEILKLPAAMNKTRSDHVSAVEQTGQEMGAEASTEVEHSDEEHDRNLGMLLQWPVAAVRVTEVIELDEAPGLSASALDEEPNSTVQTALLRESSNSIEQIPRIEVPISQDASNPTAVNESVIPPPRFSASMPPTAPRVMENGEDIDELWYP >cds.KYUSt_chr5.43547 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274313866:274317290:1 gene:KYUSg_chr5.43547 transcript:KYUSt_chr5.43547 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVDPGGDEEMMMMGIIKEEEEEEDSEEEEEDEVDDYLLAAAGEVRPEEQHPPGRRGRRGREEKERTKVRERRRRAVTGRILAGLRRHGNYRLRVRADMNEVVAALAREAGWVVLPDGTTFPSSHSQTMLAAAAPPIPVSSSAAPVRVAVVSPLAARPISRRAGSAFVAPPRAVPAEGAASPLLAVPVPTDEAEAQDDDNAIAMDGTGLATCPAPAVAPPRPPERDFAGTPYVPVYVMLPLNVVSLEGEVVDADALVGQLRVLKAAGVDGVMVDCWWGNVEAQRPHQYNWTGYTRLFHIIRDLKLKLQVVMSFHECGGNVGDDVSIPLPDWVIEIGKSNPDIYFTDREGRRNTECLSWGIDKERVLQGRTAVEVYFDFMRSFRVEFDDYFEDGIISEIEVGLGACGELRYPSYAANHGWKYPGIGEFQCYDRYLQKNLRRAAEARGHTIWARSPDNAGHYNSEPNNTGFFCDGGDYDSYYGRFFLNWYSQVLLDHADRVLMLARLAFEGSAIAVKVSGIHWWYKTASHAAELTAGFYNPCNRDGYAPIAQVLKKHGAALNFTCVELRTMDQHEVFPEALADPEGLVWQVLNAAWDAGIQVASENALPCYDRDGYNKTLENAKPRNDPDGRHLFGFTYLRLCSVLFERPNFMEFERFVKRMHGEAVLDLQA >cds.KYUSt_chr2.49338 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308728108:308731097:-1 gene:KYUSg_chr2.49338 transcript:KYUSt_chr2.49338 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATAEPSASGVDYSLLIMEAAMAVKMAVKTAVEMAPGAIPRPAECRNRVLSPRIGVSRWRRRPESFSEFRQLWIKRRRAQPEVKSKDENTRLINQKKKKSFRLPSTNACPCICFLLFLLLLLAATSRHHSSPATNHVLKLTSTAPPSTSPSSGKNEPGNCEDLEALDGAEAKCAYILSHTPCAPAGYIDYLRLVYCGFGAAPAAVGGFVRDLCFLFFALCFLVAVLATGTVTVWVAAAFVSLYAAYVVLVWTSQCCADAGAGKPDLAEPLLLDGDAPTLPSHSAKPTDPAKNRVFNASRTRSPCRSTSRGARPSRTSRGTAGASPTPWPPPRRAPVLVATTFTSRSAAAASLDHGHGVGILLAGAFPGLLLAALAASTTDAGSTPRSRCHRVPWLAAGFPMSVSWAYTPARELVALLVAIGYMVGVDSGVLGVTVLAWGDSLGDLVSNVAMATHGGPGGAQTAVSACYAGPLFNTVVGLGLSLTLAAGAQYPAPFTIPADGAVYETVAFLFAGSIHEAVSALCSVLQTNSICSSV >cds.KYUSt_chr7.22287 pep primary_assembly:MPB_Lper_Kyuss_1697:7:138067789:138071202:-1 gene:KYUSg_chr7.22287 transcript:KYUSt_chr7.22287 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERRAAEEAAARAAEQARELQDAAAALLSRTWAEEEALRRRAAVLREDLARLRRAAARADSDKVEEDLDRAACLISDGDIATILPSKAHGAFLKLLLGPVNLRARKEVQLKVKEEYNSYRDRTAVVFLGFPMILLFLRSWLWNGCFPALPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWVCHHYCAMLMALVSLTWEIKGQPDCARKQRGVELFLCWAVMQGFAMMLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVEGQLLLLCPVLFLLQVFEGYVGFLLLRTAHRGLIPEWQVVVCGILLIAMAIGNFANTVDTLMVKSRFKAKMKKSKSKRDLAACTSPTGSPLTNSAAGA >cds.KYUSt_chr5.32452 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205969411:205975140:1 gene:KYUSg_chr5.32452 transcript:KYUSt_chr5.32452 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQQLSRALRPRSVPSPSPSAAATALLTSASPLPSRHFLQLHAHLLRTGVLLLDHTAASAFLSLAAASLPSLRALAVLHHHLTPASVPSTFCCNSILRSLPESDALTFLRRMRALGRRGNAFSLAILLKPSCTLPHARQLHANAVAEGHLGDALLATSLMRSYATCGAGESARQVFDEMPIKDTVAWNVLITCYARNKRTKDTLKLFDEMRRSESEAEPDDVTCILLLQACTSLGALDFGEQVWEYATERGYGGELKVRNSLITMYTRCGCVEKAYEVFCGTPRKTVVTWSAMISGLAANGFGEEAISAFEEMSKSGVAPDAQTFTGVLSACSHSGLVDEGFRFFDMMRYEYQMLPNVRHYGCVVDLMGRAGLLDEAYTLVVKEIRVAPDATIWRTLLGACRIHGHVDLGEKVITHLIELKAQQAGDYVLLLNTYATVGDWTKVADVRKLMKENGIQTTPGCTTVELNGELHEFVADDDSHPRKAEIYRKLDEINTHLRIAGYVPNVSSELHDLDSEGKGCALTYHSEKLAIAFALLVMPQRRPIRLAKNLRVCVDCHNFTKFSSVHMTNGIYDLYWNIPYRLQYEEMRCKFKMSQLEEIIWLKLSIQWCSWICEDAPKKKLSNSGVWGNNPQDFIKQAHSRKAFHLVAVKIVIIKLK >cds.KYUSt_chr7.2934 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17368621:17370377:1 gene:KYUSg_chr7.2934 transcript:KYUSt_chr7.2934 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVDDVDFFKRIGLKFLGMELLLVEHPELRGRAVLVQIVNLARSQGRDVQEVQEEAEVQDEWSKWFAPCEKLGVAADHGYFTRKKLVDHLESVLANEPLVVKRSQHIVEVNPQGISKGVVVESLLSSMVRSRKPPDLILCIGDDREVFACTVGKKPSMAKYCLDDTVDVIKMLQGLANAPSQQQPWPVQLRDTFESTV >cds.KYUSt_chr4.45966 pep primary_assembly:MPB_Lper_Kyuss_1697:4:284282685:284283272:-1 gene:KYUSg_chr4.45966 transcript:KYUSt_chr4.45966 gene_biotype:protein_coding transcript_biotype:protein_coding MSREIHHIAHRKHPLVLRDTGSSFRCDGCGCLGVGSHYRCDLCDFDMHEFCATCPPASTFAFHVQHPLTFDRAGFLDGSVTCSMCEEPIKGMNYSCWGCDVCVHPICSQLPPTAVSPMHMAHTFVLAVGAPVECTLCRTSCHGRYQCLPCNINLHPKCLFGTVPTPVTVPTPVYIPNDVDALDKIVRRVTLLNLI >cds.KYUSt_chr3.18681 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114930590:114940898:-1 gene:KYUSg_chr3.18681 transcript:KYUSt_chr3.18681 gene_biotype:protein_coding transcript_biotype:protein_coding MADASAGGFPDDYPTIDPTSFDVVVCGTGLPESILAAACAAAGKTVLHVDPNPFYGSLYSSVPLPSLPSFLSPGSSAPVPPPSTATSSSASQTAVHLDRRCLYSEVETSGTVPEPSGRFTVDLVGPRVLYCADEAVDLLLRSGGNNHVEFKSVEGGSLLYWDGALYPVPDSKGAIFMDSTLKPGMKTLLFSFFKLVESHIAASSSGDEEEGEGEASGKISEEDLDLPFVEFLKKQRLPPKISAVMLYAIAMADYDQDAADSCEKLLTTRDGIKSIALYLSSIKRFANAEGAFIYPMYGHGELPQAFCRFAAVKGALYVLRMPVTALLLDQEKKRYIGTRLASGQDILCQQLILDPSFKIPSLDIPSDVSDSNSPRKVARGICITSSSIKQGKSSVLVVFPPKSLQEQQVAAVRVLQLSSNVAVCPPGMFMAYLSTPCTDAFLGKQCINKAIEVLFGAQGSDDSEGHLETTSENIENAKPTLIWNCVYVQEITQGTSGTVLSCPMPDENLDYRNTLESTKKILILMKNSCLETQLPNMPMTTLILQNKKHVYRVVEATSFVSPKWVPQLADAKDVMEVVRNITGVNFPVLTPNLKGFQAAAAAGAKEVAIFASASEAFSKSNINCSIKESLARYNDVALAAKKQEIPVRGYVSCVVGCPVEGSVPPSNVAYVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEAVMSVVPVEKLAVHFHDTYGQSLSNILVSLQMGVSVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTGVNLGKVMAAGEFICKHLGRQSGSKAATALSKVTASASKL >cds.KYUSt_contig_1158.292 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:1756497:1758534:1 gene:KYUSg_contig_1158.292 transcript:KYUSt_contig_1158.292 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVFCAAAGASVAWRWSLLLAYGLPGLVVLWQLHRLLDRLWWRPRRLERELRAQGIRGTSYRFLAGDLTRKARLNKEAWSRPLPLRCHDIAPHVAPFLSDSVREHGKVSLSWFGPIPKVTIADPDLAKHVLSNKFGHLEKPKFPALWKLLANGLSNHEGDKWVKHRRLLNPAFNLEKIKCMLPEFSACCEELISRWMESIGSNGSCELDVWPEIQSLTGDVISRTAFGSSYLEGRKIFQLQSEQAGRIMTNIRMILIPGYLPLPTTNNRRMYQINDEIESILRGIIEKRIKAMKEGQSAKQDLLGLLLEPNIGETYENGQSNIGMTIEDVIEECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRARQEVLGLFGKNKPEYEGLNRLKTVTMILYEVLRLYPPSIHFSRKTYKEVQIGDTKYPAGVMIELAVLFMHHDPDIWGTDVHEFKLERFAEGISKASKNPGAFLPFGWGPRICIGQNFALLEAKMAICMILQRFEFMLASSYTHAPHTVVTLHPMHGAQIKLGLI >cds.KYUSt_chr2.17549 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110553907:110554575:1 gene:KYUSg_chr2.17549 transcript:KYUSt_chr2.17549 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAAAAANCRCSRVVYVGNIAFHAAEKEVLDACELIGPVLSFRLAADAATGKRKGYAFVEYADDATAQSACRNLHGHLSRGRELRVGLAERDRRVGEAPRRPRPRRHGRRHPRRLPRRLLRAAPRRSESPPSTRYPAGSSGHQLREAAAAFETNKLLKDTAMEMVQRLLDMAAADAAAEEAKRKRQESASAATADDHRAKMRKLEDGGKATPVPAGVACV >cds.KYUSt_chr1.5163 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31779567:31785355:1 gene:KYUSg_chr1.5163 transcript:KYUSt_chr1.5163 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPAQRPKLSDGGDSGSEDRLSDLPEDLLLRILDNIRDVPVAARTSVLSSRWRRLWRLLPVLNFPFPTDPQHIRLALQSHEAPVLWILQADVLDGTPDSMASWLLIAAPRLFGRLSLTSRASQNGPEEDMADERGAFELPCFQNAASIRLELGPLGVSIPPLGVFARLNDLSLVCVQLHGPCMLGDLVSSPRCPVLRKLIIKAASGLGNLAIHSDSLIAISLKDVHLHPADALGPGHVTIESNSLLQMELMSVHSLQQLTITAPALKCIYVDSCFANYRARASRHNQPVANIYAPRLKSLYWHDAYDPSSTQFGNIENLETLGAYLFHVYGRDNYAPNNYLLRLLRRFQLILSLRFLLHYQLRSLIFEVEDGKNRALAKAKEDEE >cds.KYUSt_chr5.39081 pep primary_assembly:MPB_Lper_Kyuss_1697:5:247418945:247419415:-1 gene:KYUSg_chr5.39081 transcript:KYUSt_chr5.39081 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMRGSSAASCLNFADSASLLAVPSAVFEFADVRRAALAAVADFQRRNAANGTPTASAGTVVKDTSSASAPSSAGNAGSSTTSSGATADGMFQVPAALGSDMFELDMSGEMGLGTYYADLAEGLLLAPPSPAGTEAFWENGDYDYGGPEGALWSY >cds.KYUSt_chr7.1573 pep primary_assembly:MPB_Lper_Kyuss_1697:7:8731861:8735978:1 gene:KYUSg_chr7.1573 transcript:KYUSt_chr7.1573 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKIAIVIGSGIVGTLVTGGDAGKLPDLRDVLSVSFKFMTKQDKKEGSSSAASPHTDQLLSQVNYLREQLRVLSDQKEMPHIIINGRPGAGAYGLTAIALGALGYLYIRWKGWKITDLMFVTKRGLSDACNVVGKQLDQVSESVHASKKHLSGRIDRVDCNLDECHEIIEATGKEVTVIHGDLSAFQEEIQSVHLVVRTLETKLGRLAYTQDHTTRGIHELCEFTKRLDRSPKADTLKIAASTPRPAIESSEGLTRTVSLPSGSEPESPVAQSPRVDTAKAPVINRATSMKEGSSSSSGAVRNDVPRPPSPAALTKPVSSSSRFGFLRGFTG >cds.KYUSt_chr2.36231 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223779512:223781701:1 gene:KYUSg_chr2.36231 transcript:KYUSt_chr2.36231 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPDTDSAGAAVAVAVAVAVEHSTLIQQSKSGGGSSVAPKLPPLHQSPSTHLPAMSAAPPPPPESTGGSDKVLAAAQHIVKSLATSKNAADDMIRILSGFDNRLSSITTDLFPSPDLSSTESSEISSAAAFDAAEQLIQLWDATPEALVFEAPEDDVAQYLAAVDVATEHLARGASGAGRAGVAVQLAMARLEEELRHHMVRHAVPLDPTGLCFSHRRFSLGSFEDLDTSTEFDAATPHSLDATPETARGGPLGLGNPFDDHVFDPVRPEAVDDLRAIADRMACAGYGRELADAYCSIRRDLQDEYLSVLGVERLSIDEVQRVEWKQLNDKMKKWVQGVKTVVRVLLAGERRLCDQVLAVSDQLKEECFLESTKGCIMQILNFGEAVAVCPRSPEKLSRILDMYEALAEVIPEMKDLCLGSSGDGVISDVQAILDRLGDAVRGTLFEFGKVLQKESSRRAMIAGEIHPMTRYVMNYLRLLVVYSTTLDLLLDDGADNHPSVERPEDQDHDPEHLESMTPLGRRLLKLMCYLEANLEDKSKLYEDAALECIFSMNNLLYIVQKVKDSELGKILGDHWVRRRSGKIRQYSKSYLRISWTKTLSYLRDDVHGSGSGSGSGSGSGSGSGHSSSRMSIKEKFKNFNLAFEEIYRNQTIWKVPDPQLREELKISISENVIPAYRAFLGRYGSQVDGGRSSGKYIKYTPEDLEGQLSDLFEGSPGSANHSRRRT >cds.KYUSt_chr4.35584 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218494408:218503494:1 gene:KYUSg_chr4.35584 transcript:KYUSt_chr4.35584 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRRLLSIVQRRRWAARDMEEYFIGVHGLPHVQLDLPAGASAKATRGQPTGEWRRGCEWTRRSHVKPVWFVGEEDQLGRKGETGECKVFFVVCPLIAIVWSWLWWRRCVEEGLGAVLAKRRICSHGRFMVLTEVRGILWNEFLGISKRTCAMVMPMMHNEEMVGPCSVLTAAALLAVRCSRLEKGDLASKLAISFPRFKLSMRFCSADINLTIGIHRLSSPAVYCRCFTRGTSQWWLMKIWVLNFRLRKYLHYRVLSRISSQPCCCLCIGSFEARLWLQQKCVKLYHAKVQGQKITWLIPHALQQVLTDHVDFNVMLTFLEFYED >cds.KYUSt_chr2.23003 pep primary_assembly:MPB_Lper_Kyuss_1697:2:140765371:140768330:-1 gene:KYUSg_chr2.23003 transcript:KYUSt_chr2.23003 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPPAESKARGSAPSSSTESDPCARVGCSATRSNGEGVDLDGECWSAWGARRREIGDGEFKIAGPEVPVNCSRGNPANTYFQIKSVDMISDFSSHLLIWKKVAERIRVRCHAEQDRSWGLTFAKYCGIQSLSRY >cds.KYUSt_scaffold_2697.916 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:5799199:5800050:1 gene:KYUSg_scaffold_2697.916 transcript:KYUSt_scaffold_2697.916 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSMASKGLIVFALLLAAAFLVSTADENQSKKDEPKDGLQHFHEGGGDEHHGGEHHGGDHHHHHHGGGEGGYPGPGGGEGGYPGPGGGYPGPGGGYPGPGGGGGEYPGPGGGYGGGYSGPGGGGGGGYPGPGGGGGGGYTQVTVEEAAAATQDMEAVAATPVTVEEAAAATQDMEVVAAAVTMAAAATGAMAAAAVRAPTRSRSSCTGPRSATEPPPSATCSANAFYVVWLCAPTKRVLVM >cds.KYUSt_chr2.17559 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110607963:110610950:1 gene:KYUSg_chr2.17559 transcript:KYUSt_chr2.17559 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEEASTASRSQPEREESEGEEEAMAVLDFDMLCASVAMSAERRKGAAGLAAAVAASEGGGGGVQRMWEGDVVIDCFDDRRIALEAACCPCYRFGKNMRRANLGSCFFQAMAYFISLVAVLVSLIAFSVTRHHVYLYMGLGSVILIAIYTGYFRRRIRKQFNILGTDSSLDDCVLHLICPCCTLCQEARTLEMNNVQCGVWHGRGDTICLGSNGEGNKAFAALHKSSFVPIKSPELCGMDRISSGADEHQPLVPSVQLEHE >cds.KYUSt_chr2.17575 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110725489:110727945:1 gene:KYUSg_chr2.17575 transcript:KYUSt_chr2.17575 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFFLLLFGQILLCAAVDTINSTTPLSGTQKIVSPGNKFILGFYSPTQSNTASSGSGNYYIAIWYSNIPIQTTVWTATTNVPVSDPTTASFEIATDGNLVLLDQAKNQQLWSTNVSVAPNSTMAIIRDSGSLDLIDASNSSIIYWRSLDHPTNTWLPGGKLMLNKTTGVTQRLFPWKNNADPSPGLFSLELDPNGTAQYFIQWNKSINYWTSGTWNGVIFSLVPEMTAGYNYDFQFINNATENYFTYTMKDNSILSRLIMDTNGQIKQWTWVPSSQQWILFWAQPKTQCEVYAVCGVYGSCNANTLPFCNCIKGYSQKVQSDWDLQDFSGGCKRNVPLQCQTNSSSGQAKPDKFYTMAGVRLPDNAQSAAGTSSRECEVACLSNCLCNAYTYNSSGCFVWPADLVNLQEGYSGNGVGTLFLRLAASELPDPKKSKTAIIGGVIGGVAAVLIILAIVLFFLFQKRRRDRTLRVSKTAGGALIAFRYSDLQHVTNNFAEKLGGGAFGSVFKGKLPDSTAIAVKRLDGFHQGEKQFRAEVSTIGTTQHVNLVRLLGFCSEGSRKLLVYEFMPKGSLEVQLFPGETTTLSWAIRYQIALGTARGLNYLHEKCRDCIIHCDVKPDNILLDESFVPKVSDFGLAKLLGRDFSRVLTTMRGTRGYLAPEWISGVPITAKADVFSYGMMLLEIISGRRNADHGDDAFFPTLAASMLHEGDVQTLLDPRLKGDADHDELTRACKVACWCIQDDESTRPTTGQIAQILEGLLDVNMPPVPRSLAVLGESPNAINFFSDLSSSQTTQTQNSTTSSQTHSATSGSSQP >cds.KYUSt_chr3.6684 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38426865:38427383:1 gene:KYUSg_chr3.6684 transcript:KYUSt_chr3.6684 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSAVLFVLLAVFAAGASAATFTITNNCGSTVWPAGIPVGGGFQLDSGRTSSINVPAGTSAGRIWGRTGCSFNGGRGSCATGDCGGALSCSLSGQPPATLAEYTIGGNGANDFYDISVIDGYNLPMDFSCSTGVALRCRDSNCPDAYHQPNDVKTHGCNGNSNYQITFCP >cds.KYUSt_chr1.30373 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183901016:183903493:1 gene:KYUSg_chr1.30373 transcript:KYUSt_chr1.30373 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYKGKSFTLYHCWELLDEAPPIHHLKNTEMLMMRNVDEGYDDKGRTRIPTRSSRMEAGAQATMGKMCCSNEAEEEAGFSFMGLLVAAVIALVMMLLCTPPRRRSVTIYPCC >cds.KYUSt_chr3.33192 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208250001:208252492:-1 gene:KYUSg_chr3.33192 transcript:KYUSt_chr3.33192 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAVEPLLPQPATAVDHLGRPVSLRTSGRWPAALFIIGVEISERFAYSGISGNLITYLTGPLGQSTASAAAAINAWSGAALLLPLLGAAVADSWLGRYRTVVCASLLYILGLGMLTLSSVLAPQQPAQFGGHMGSTVSSTPTTHLAFFYISLYMVAFAQGGHKPCVQAFGADQFDENDPEECASRSSFFNWWYFGTYGGSIVTVSILNYIQDNISWEFGFGIPCIVMSLSLVVFWLGTKTYRFYPVRSGGSLFGKVGKHLLSSIRVWHASWNSKSSDDLNCIPTSSSNRVKDNAETTCFPDEAKAVLKLFPLGASCLIYAVVFAQWMTLFTKQASTLDRWIGSFQVPAAALQSLISVSIVIFVPIYDRILVPLARKHSKNPRGITSLQRIGTGLFISVILMVVAAFVEMRRLRIARDYGLVDEPGATIPMSFWWVVPQFVLTGLADIFVMVGLQEFFYDQVPDGLRSLGVALYLSIFGVGSFISSFMVYAIDRVTSDGGDSWFSDNLNRGHLDYFYWLLTVLSILGLAAYLHFSQAYVHKRKGISVQ >cds.KYUSt_chr7.33406 pep primary_assembly:MPB_Lper_Kyuss_1697:7:208466542:208467068:1 gene:KYUSg_chr7.33406 transcript:KYUSt_chr7.33406 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGAERKKAVTRSAKAGLQFPVGRIGRFLKKGRYAQRVGSGGPVYLAAVLEYLAAEVLELAGNAAKDNKKSRIVPRHLLLAIRNDEELGKLLAGVTIANGGVLPNIQSVLLPKKTAEKAAAAAEKESPKKKKKPAAKE >cds.KYUSt_chr3.32245 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202531335:202531895:1 gene:KYUSg_chr3.32245 transcript:KYUSt_chr3.32245 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAAPATRQIAAVGVIGAGQMGSGIAQLAAAAGCGVFLLDSDPAALSRAVTSISSSLGRLVAKGQLSQAACDDSVKRIKCVSDVQELRGVDLVIEAIVESEDVKKKLFMELDKITKPSAILASNTSSISITRLASATSRPSQVITN >cds.KYUSt_chr7.4895 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29406782:29410913:-1 gene:KYUSg_chr7.4895 transcript:KYUSt_chr7.4895 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHPEVAGVFHARPSELAIIIQARVHVPTHSPPAPHPPCLILLHLGDTPALFPAPGATHTFPSIASSHRPPDLAVTITTVQNGLSPPPPGQIARKSSSMDAEIRPSPLLLLLLMSIHLSNLQQREHLPCVRAVNFRSIHVDELKHVLYSELKHGKMGSKHVLWIWEHGIQKTGAISVSSLLFLAVKCSSLQTHEPSPKPLYNTPKAARVPYENSVIYFLPTKRIGEALPEFSIDTAHAVVLPGFRGGSTTSAARWNREKDVFINTERVTEYGGAARLWHRQDLLRAFESGK >cds.KYUSt_chr3.21209 pep primary_assembly:MPB_Lper_Kyuss_1697:3:130025762:130026628:-1 gene:KYUSg_chr3.21209 transcript:KYUSt_chr3.21209 gene_biotype:protein_coding transcript_biotype:protein_coding MHARPHSAIIPSLACHYLPELLAFFRFTKAAEEWRHAVYMHPHRAYLQLEPTMIPSPALLHAVWIVAVAAVCLALCTIHSRKSSSSVRRGSSRPGSARGSTVGPREANAAAGVAATMAAKVSPTPSPRDTAKSCCVNVGDLHDLARVGDEVVVQEQDGPVTVIDVGTHGPIAPVFPVPDPMPPRRSLSVKNVRFERLVERIRSKRWGAGDDDEHEEAGHPDDATLWSKTILLGERCRVPSGEEAMGGTWKSYRPRQPRSVPVTRSNSFAGVLDNNRGGSCRPVGGARL >cds.KYUSt_chr4.40854 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252420438:252424947:-1 gene:KYUSg_chr4.40854 transcript:KYUSt_chr4.40854 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLQSNIKGEGAPRALTAKPCEEPRLDQCWNRVTLLFGTQTAWTTTVKWFGAKSEYNVLVMFVLGPSLEHFFCSCNRKLSLKTVVMQMGDVDIQDKVTSKCFFEVEIGGERVGEVVIRLFGEVV >cds.KYUSt_chr7.4938 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29622951:29623355:1 gene:KYUSg_chr7.4938 transcript:KYUSt_chr7.4938 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKEFPLSLSAGGSSAPTAGGVKYSLQPCNQAGESPDKRKKEPTAVLNGCAPKRRGEEASGAVDSQLTVLEGEEGDDSDYEEFMVGLRASFAADIEKMIANSPFLMLTSTPRSDGQNDEELGGAVVTPPVSG >cds.KYUSt_chr7.23655 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147475271:147475906:1 gene:KYUSg_chr7.23655 transcript:KYUSt_chr7.23655 gene_biotype:protein_coding transcript_biotype:protein_coding MADYNRYDHGHGQGYGQGYGGYPPSAPPARVPAPSSSSPYGYRYGQGGGYPPPAPVPASSSSSSPYGYGQGGGYRPPAPSSSSPYGHGYGQGGGYPPSAPVPVSSSPSPYGYGYGQGGGYPPTMGSFGGGAVAFPPGTHPDVERAFRAADRDGSGRIDERELQDALSDTYHRFSIRTVRLLMSFSQNTRASSPPQMGKDLTNLQQFSFLHA >cds.KYUSt_chr4.18576 pep primary_assembly:MPB_Lper_Kyuss_1697:4:116476929:116484569:-1 gene:KYUSg_chr4.18576 transcript:KYUSt_chr4.18576 gene_biotype:protein_coding transcript_biotype:protein_coding MSICDTGRPLVNLTDDGEARPSGAVKDEPVDEPDERDKQDVVIDDMYNFHRYYDASGRRNKRSEDRAAGDGHMPTASCPRPPLRGSGAAGRLRFPPPLAQLYPAGLAIGGWRRRLAGVGVAAASASPFDELYARGRPVHGPSKKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWSPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDAEFQNRDISEDSHHDINLGSPVTIFRLSEDDMPQDSGKSDEESSGGSIEEELGNLTPEAEEQIIRLQSRLDAIKKELHDLKRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTCPGVQESIQSVVHGLLATLSPKIHSKSPPPIENAAGGTLNRGGEDDDFAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAHLLRISSDVGSFSIDDDHFDFI >cds.KYUSt_chr5.2017 pep primary_assembly:MPB_Lper_Kyuss_1697:5:13811118:13812432:1 gene:KYUSg_chr5.2017 transcript:KYUSt_chr5.2017 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVDLQRSARRYALLLPCARPRARRQRPDPERGGSVYLIVVIGSDREGTMSFILFPIVDVALAWEAEGGLLLNRHGQGGNVGAVDNNSNNSYGSINRCKDWQGASKGIEVDSWGAVGTANAEAHH >cds.KYUSt_chr5.18525 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119687014:119688273:1 gene:KYUSg_chr5.18525 transcript:KYUSt_chr5.18525 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKRPLSPPAVAADATARLDERHLAEILLRLPSPASLARAAVVCRRWRRISISPAFLRRFRRLNPPQLVGFFICNGGFTAKSVGDQPVGQILEPTFLPALPPPRGVGGSAARYRDFSLRGLPDVDRWTLADARDGLLLFSSVYDDRMTIPRNFVVCDPLSGRSVLVRDAPRYQPDDKAAYLGAALVTVDGGDGASTLSFEVLLVTYFMSGPRLSVFSSRTGQWSVLPEAKCGNSLMPRLSGVGKPTHANGCVYWVMDNEFELYLLVLDTRTKKFSTSVRLLASMREEYASGNNLRVLRSEDGELRIVAMAWRSFGLHVWFLDRSRSTKGRWVRERAEEVSTLQGVSELLADVCNRTIKIMDAGEGAVFLKVSGLDWVYVVNLEERNVLKLPHQRFSSGPALPYRMELCPPLPKQAQG >cds.KYUSt_chr2.52765 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329225140:329227371:-1 gene:KYUSg_chr2.52765 transcript:KYUSt_chr2.52765 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKLCLLAFLLPFLFLALVATGETGDELSTYVIHVQPQESHLFGTSDDRKAFHQSFLPEHGRLLHSYYHVTSGFAARLTRRELDAISAMPGFVAAVPNVIYHVQTTHTPQFLGLNTDLGTRNLSVGLGDGVIVGVLDTGIFPNHPSFSGAGMPLPPAKWKGRCDFNGSACNNKLIGARSFISGSSPGTPPTDEEGHGTHTSSTAAGAIVPGAQVLGQASGVASGMAPRAHLAMYKVCDDFGCASVDILAGIDAAVSDGCDVISMSLGGESLPFYQDSIAVGTFAAAEKGIFVSMAAGNSGPLNSTLSNEAPWMLTVAAGTMDRLILSRVILGNGVSFDGESVYQPNTSSSAVPLVYAGASSTPFAQFCGNGSLDGFDVKGKIVLCERGNNVARIDKGAEVLRAGGAGMILTNQFIDGYSTLADAHVLPASHVSYAAGVAIKTYINSTANPTAQISFGGTVLGTSPAPAITSFSSRGPSIQNPGILKPDITGPGVSVLAAWPFQVGPPRFDFRPTFNIISGTSMSTPHLSGIAALIKSKHPDWSPAAIKSAIMTTADFNDRSGAPILNEQHKPADLFATGAGFVNPEKAVDPGLVYDMYNDGYIGYLCGLYTNRECSVIARRPVDCSAVAVIPQSALNYPSISVAFVKDWNPLAPVVVERTVKNVGEATAMYRAEVDMPAGSAVNVTVTPNVLWFGGKVQMQKFKVLVFPIKDSATAVQGAIRWVSDTHTVRSPVSATFPSR >cds.KYUSt_chr3.26514 pep primary_assembly:MPB_Lper_Kyuss_1697:3:165116219:165118525:-1 gene:KYUSg_chr3.26514 transcript:KYUSt_chr3.26514 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSYMTPEDHERIFLLSPDSTFSCGFHRVGTNAFNFAIWYTTVKTVVWTANPYSTVNGYSSPVNLYGSRISLNKDGNLVLTDTNGSTVWESKTSSGKHTIVSLLNTGNLVIIDSGNKTVWQSFDSPTDTLLPRQNLKKDTRLVSGYHYLYFDNDNVLRLLYDGQEITSIYWPRLDYNALANGRNRYNSTRVAFLDDEGNFVSSDGFKIVASDSGPGVKRRITIDKDGNFRMYSLDASTWSWVITGQAVIQMCYVHGLCGKNGLCDYSEGLKCRCPPEHVMVDPTDWNKGCKPTFTISSKQPPEDFTFVKQPHADFYGFDLGSNKSISFEACWNICLNINSCISFTYIGGDGWCYTKDILYNGQVYPYFLGDNYMKVPKNFNSSAFSISKQESLTCRPNGSEIMLGSENMYGIKRDNIKWIYFYVFTAILGALEILVIVTGWCLFFRNSNMPKSMEDGYKMITSHFRRFTYRELREATGKFKEEIGRGGTGIVYRGVLEDKRLVAVKKLSDVQQGEEEFWAEVTLIGRINHMNLVRMMGFCSEGKNRLLVYEYVENGSLDKYLFGETITESMLGWRQRYKIALGTARGLAYLHHECLEWIVHCDVKPENILLTRNSDAKIADFGLAKLAKRDGSSFNFTHMRGTMGYMAPEWALNMPINAKVDVYSYGVVLLEIVTGTRVSSGIIVDETQVEFPDFIEKAKQILATERITDLVDGKLKGCFDPEQAIAMVRIAISCLGDRSKRPTMDKIMKALMAYDDEDDHPAYLY >cds.KYUSt_chr4.1107 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5724453:5724905:1 gene:KYUSg_chr4.1107 transcript:KYUSt_chr4.1107 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPTTLAKIWVEEYLCQRNSLSTRLDGGARCHDTRCKSMAKETTLAKTSGTPLPSERSMTQPQPAGGAARRRCTRTQCRKSSSGRRWAPPQLTVGGHRAPPISPPPPPELAPRTAAVVGHDGRPGDALELEGAHIGEHLDGCLKEAPPD >cds.KYUSt_contig_1253.372 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2374041:2377304:1 gene:KYUSg_contig_1253.372 transcript:KYUSt_contig_1253.372 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAFYGSTYIRLVAVIASEVSKTKIINEQAQHLTPILIIDPSYVPCPRSGIETMKQVLEVNKTETPAASGKDVDIEAIAGLRGDDHSGQEPAWRRFLRHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLVFALIIQSLSANLGVVTGRHLAELCKSEYPVWVKICLWLLAEVAVIAADIPEVIGTAFAFNLLFNIPVWIGVLIAGASTLLLLGLQKYGVRKLEFLVALLVFVMAACFFVEMSIVKPPAKEVLKGMFIPKLNGSSATADAIALLGALVMPHNLFLHSALVLSRSTPASVKGMNDACRFFLFESGIALFVALLVNIAIISVSGTVCNAGNLSPEDATKCGDLTLDSSSFLLKNVLGRSSAIVYGVALLASGQSSSITGTYAGQYIMQGFLDIKMKKWVRNLMTRSIAIVPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSSNKMGQCNNSIYIVGFSWTLGFIIIGINVYFLSSKLVGWILHNSLPIYANILVSITLFPLMLLYVAAVIYLTIRKDTAKFVSRRELQDIDDTEKAKVANQDGSEDNSQ >cds.KYUSt_chr4.2255 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12469796:12474287:-1 gene:KYUSg_chr4.2255 transcript:KYUSt_chr4.2255 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGTGLRCSLNPVPNPRKISARVRFTTKRQPCAATCRPLLLRPSASAYTAAIDSGAGGYSGANKRLAVFVSGGGSNFRSIHEAALGGKVNGDVAVLVTDKPGEDSMLGCFLPASFGLCCGLVVSDAGKWNASGCGGADYARCNGIPVVVFPKSKSAPEGVSTDELLNVLRDLKVDFVLLAGYLKLIPGELVQAFPRSMLNIHPSLLPAFGGKGYYGSKVHKAVIASGARYSGPTVHFVDEQFDTGRTLAQRVVPVLANDTPEQLAARVLHEVGLMCC >cds.KYUSt_chr7.28175 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175988501:175990091:-1 gene:KYUSg_chr7.28175 transcript:KYUSt_chr7.28175 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRPVRVEPEPPPTMKHEVGTTRVAVVYYLCCNHQLEHPHFMEVPLASPQGLYLRDVISRLDTLRGKGMAAKYSWSCKRRYKNGFVWHDLSEGDLLLPAQGTEYVLKGSELQLDQSKSPLSLVPGHQQNSSISYGKVQLHKATRQQQSPLSRRLNQGWPSMSPCPDPAVSIIKEAVPPSAVIVSAIGKAAVQPTLLSSPSASTIGYDERCRMPHSGSSSNSSPKTSTLSSGASSPGINNPAVAHDMATQTEDKARGNNKTLQQRQDVAGVTPERPEIAVESHPRHPPAGERPSSRRSSTLQSLIRAEAAGRRRGLLEEDERTATTGSVSGRLKPANLLMRLMACGPNSPGFGLVQKSYKPQFTWLEYPSASPELSPLGVLKPGTSSTSTARASETENCIGSLVGGVVGRLECSSSSRGHQDGYVIPTTVDIVCPDIFVLIYPYTKFT >cds.KYUSt_chr7.21677 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134428877:134432531:1 gene:KYUSg_chr7.21677 transcript:KYUSt_chr7.21677 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRGDTKPALPGGVVLHQQERAPSPPSPGKPPTSSPEDDHIRPWRSPRRPLPLASLSNAASLQQLQQKKLPVYNTMRGTHNYDGEHNRHHGHHQQQQQQHLWPHRADRSGCLRWTMAVVFTVLAILVLLGALSVLLVVLVLQPRAPYLAVMTARLDTLEYDQQGVLDDAQLSLGVIAANVNAHAAVTFSHLELRLSFNDTVIAVLRADPFVVPPKGTLPLGYVAPSSAVPLDRPGRTAMEAALKRGVVPFRVNGQARTRFKVGGLVAVKYWTRLACEIRFTWPTGTALNFTCNSKARSRSVPTVTPSAQPTQFRAFFPVQIVTVCRRFWEKKKVKLCRPGVTVGTAGHVDGSWRDGGLGVPTVGRPTATVSRQRTPVPTVSCYADGACADLDACCADEATPTVTVGRACADGAGPSA >cds.KYUSt_chr1.5546 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34151175:34154575:1 gene:KYUSg_chr1.5546 transcript:KYUSt_chr1.5546 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGDEQLLLHLKLAILALEPPACVLTLARKAGGGSVTPHVQNFILENCIGTNVGKSPNYAYVETILKKVIAEAELSSDIVIDELYEELGQCMLSKANNSSLDVANKIYKDISFLSPTYDNVSSNPVSLVAQLSCSTNMLEGDTGCCLWPSSLFLSEFILSFPELFSKKSCFELGSGVGLVGVCLNYVGASKVILTDGDVSTLANMKANMEMNSLYIKDSQLVIESNNKVECKYLSWEEASDKDLWGCQRDVVLGADIIYNPSCVPHLVRVLSMLLREDNEQRTSVKAATNKETSDEASEKGATGGRVAYMATVIRNVDTFSCFAKAAADAKLSIVNITSTVAPSSFLPYMLSYDRSSVQLLEITLLS >cds.KYUSt_contig_1989.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:12192:12674:-1 gene:KYUSg_contig_1989.3 transcript:KYUSt_contig_1989.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLAAQERATDEPAPPGQALHPRAAENARRGQPWQGKPPPQDAPPYATTPLRASKPKKDPRLVPPKGPVQLAPPATAGATTTPGVPPLTRRTRHGPGQASGTASAGRRGHRRTSPPPPHLQAAASPARTAAAASLARTAARHRQPPRPRWAATLQAST >cds.KYUSt_chr2.7858 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49170897:49174638:-1 gene:KYUSg_chr2.7858 transcript:KYUSt_chr2.7858 gene_biotype:protein_coding transcript_biotype:protein_coding MRPINAPWSISLAWLWLGCREWKRTIGDKRYLHVLLPTLLRPSMEKREDEHNVPLLGPRPAASVVAENGLIRGGHAGGREEEEAVLGRRLLEENRKLWKVAGPSICMRFSGFGVTIISLSFMGHIGATELAAFALVSTVLMRFCNGILLGMASALETLCGQSYGAQQYHMLGIYLQRSWIILSSCAVLMLPIFLFTEPLLVFIGQDPKIAAIAGLISVWYIPIMFANLCNFALQMYLQAQSKNLIVTYLAFVNLGTHLFLSWLLTIKFHFGLSGVMSSMVISLWIPVSGQLIFVFCGACPLTWRGFSSEALTDLFPIIKLSLSSGVMLCLEMWYNTILVLLTGYMKNPEVTLDALSICLNINGWELMISMGFLSATGVRVANELGAGSARRAKFAILNIVTTSFSIGLALFVFFLLFRGKLSYIFTTSEEVAATVADLSPLLAVSILLNSVQPVLSGVAVGAGWQSVVAYVNITTYYLIGIPLGAILGYVLGYHVKGVWVGMLLGTLVQTIVLLFITIRTDWDKQVEVTRQRLSKWYIDGTGEKAASSGSP >cds.KYUSt_chr3.29115 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182135017:182139116:1 gene:KYUSg_chr3.29115 transcript:KYUSt_chr3.29115 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVFLLISTVDGRSDGLEGLVASGPSGELCHEENVPEGSRPLGDVQSLPPRPPMATTLPTSASATSAAARILQSTVRCRFPRTSPVLAPSRSATRRFSGVACSPGIGGVGGQATPREDTVRIVAVVGDGSISPLKDTPWEEVMRHTADRLKWVDEGFEMLVFTDKSMDHGAFREELSRCDMLVNVAITGQESVQWLIQNNDFSWGLFHYHGAAQAAGQSYTGAVLVTPDGSYPDGDNPRLDSALEKCGIKKWELYMVDNCSCMGAPLGIPEDPAPATAIRTHRLTVDNIAHSRMAGLYEKPSETYVKKRPRYPKEWFSMLASLTAGHHRAWDAGCGSGQASVSIAEHYESVVATDVSEGQLRHAIADPKVRYLHTPVDLSEDELVALVGGEGSLDLVIVATSIHWFDVPLFYAVVNRVLKKPGGVLAVWGYNYDIHPFGDKLQGTLYGAMRPFMDPRTRLAMERYRELPFPFEPVGVGREGEPADVDMEVDMTLEDLAGFVMTGSVATTAREKGVDLAALVKDVMKEVEEEWGDQPTVPRKLVFKAFMLAGKPK >cds.KYUSt_chr4.2812 pep primary_assembly:MPB_Lper_Kyuss_1697:4:15983132:15984178:1 gene:KYUSg_chr4.2812 transcript:KYUSt_chr4.2812 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYPKYHAEGKERKRRSFGNVVDHGFDEDLEDECRALKAEDELREYWVDRCLGERADARQAARQAAAPLASPPNQALPPPPANQGPAAPANQALPPPPANQGPAAPANQALAPPPANQGPAAPADGAPAAAN >cds.KYUSt_chr5.14538 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94273548:94273778:1 gene:KYUSg_chr5.14538 transcript:KYUSt_chr5.14538 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSATRYKLIVTNAEGQLLPPVTPSSQRCSLEQKDAEEFEELLASMVTCDLPSPRRSLHWFSDESMNVDFFFFRI >cds.KYUSt_chr3.2169 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12559929:12566206:1 gene:KYUSg_chr3.2169 transcript:KYUSt_chr3.2169 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLSCERRNSVENDVFITEVLDDDSFNFSNKVRKAAFEILTTYKGPCSDSVAASLLGMRKEANLVRELLKLNQDHMDRFARLNICECLRGRLLKFLISIHDRKLLYDTAPGTAISRLLPWKFGDVPFELYLVYLNEYFYRNTPAINVRAAARTCLAMEDKLSCAGVLTADVDALSKIIKERALLMSESEDESPVAAAGFMCIAEEAELMSELLACETKNSLEEDVFITEVLDDDSYNFSNTIRNIVFDILITYEGPCSDSIAASFLGIKKEASILRELLELNQNHMDRFARLNICESQRQLLRKFLTGIHTFKFPILKTSVRAKESGQADEVLCPLTDDGIAVASTPITSNDTTPDTAISCLVSSMGDNRLEKLAELITTKGDGGGSAGGGAIISHTKLELPANEIKLEGVANYLRWSRRALLILNSKGLDERVSGEAAEPTDKTIPERKQWNAINSLIVAWLFNSLVPNIAACVEALTKASEVWDILSNLYSEKGNIMLIAEIEDKVHDLQQGNKIVMAYVAELQHLWGDLDHDYRIIVDRYMCLIQKRESNKKIGTATRHRGLWHIDRDKMGHDASSVLAAIVGGKESMLHQTSCLDTPPQNGVAERKNRHILEVARSLMFTMNVPKFLWSEAVMTATYLINRMPSKILEPFYDGASDLSGLFQGLDHLGDAQEGEQQQGGDQEHQDGDQQQHQKIPIVAEIHVIPGTPTLPRPVPPQRWLQNPLVYSRRQVQREQVDALEEQQDQGQGSNPLGHKIKEAQV >cds.KYUSt_chr1.31162 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189029975:189033312:1 gene:KYUSg_chr1.31162 transcript:KYUSt_chr1.31162 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRHQHAAAAQQPANQGAAVPTGKQKAAAAAGRPEAGNPRRRALGDIGNLVHAHVLDVKIELPEGINRRITRSFGAQLLRKAQTDCAVTNKNAIVPAPPAALKPVAKKVLKPVPRPEEATKAIYAGSDENRKPSEGAAASPTHKLRRKKVVNTLTLVLSHRSKEACGFNRRPKELVQDIDKLDGDNELAVVDYIDDIYTYYKIAQHESRPVDYMGSQPEINPKMRAILVDWLVEVAHKFELMPESFYLTIYIIDRFLSLQAVSRRELQLVGVSAMLIACKYEEIWAPQVNDFIFISDNAYSRQQILGMEKCILNRMSWNLTVPTLYVFLVRFVKAAGSDKELEHMVFFFAEMALMEYGLVSLCPSLVSASAVYAARCTLKKSPLWTETLKHHTGFSELQLMEPAKVLIASHAAAPQCKLKTVYKKYCSEPFGCVALHNPAIA >cds.KYUSt_chr5.8319 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52572657:52578813:-1 gene:KYUSg_chr5.8319 transcript:KYUSt_chr5.8319 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDEQEQSHNRHEWLYPDGRGRRRPPTDSNWVEVRHINSHAAFMGYLSSAVTGLGFLVFTWTTVVLLGGFVSMLKKKDFWCLTVITLVQTKQAFEVILGESVSNSRHSYMALLSSALSIEPPHELSIALMGAYMVARAVMAVVRSLVFITVLLPIVILYTCGLYISTGISLWRLVQHDYGNADGDINKANLKAAMDALYLIALVQGLLFFYRLTYVSAGRKIVKKVAEEYEFGDQAQTSVANYLRETRIGCEKDPSFANGRNLVTYAVGLMKSESSGCFLSGARILGMLLWQSTPLGQLTLIKQLLIGSSASSSHHLLQKLLRVLDSRSPYDRETREHAARIVAHLAGDIRLEQFPQGIQCISSLIETFEEYRLLEPYLQRGWLVERYEQVWQQEAQYLLTSDSDDYYLENAYKELLLQGLLIIRKLAADENNCRVMSSTKGLLRKIMAPVTSDLLHHIDHGAWSDIVEKSLKVMRQLGSCPGETGRKLRREISNNKEAISTMERILQCDKCEAELQKQAMGILTQLSMDSKSRKEFIQMLVDIFTDDNKYNTRMLAEGSLSVKLMLLVCHMPSQPAENSWILSQWGKVN >cds.KYUSt_chr4.9705 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58614058:58614422:1 gene:KYUSg_chr4.9705 transcript:KYUSt_chr4.9705 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIKIHWHVKLNYKDYWRVKVTITYLNYRMNYSDWNLVAQHPNFDSTTKVFSFNYKPLTPYGDGINDTAMFWGRKFYNDVLKQAGPLGNAQM >cds.KYUSt_chr4.40345 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248964539:248965405:-1 gene:KYUSg_chr4.40345 transcript:KYUSt_chr4.40345 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRATAEHGGAAMAMTSAHSFGEEEYIDLDLSSCGEYEFRVRRSKAPCAEETTCRGRTHRVAPRPGGKLPHAEATAGSGGGGGRRSTATVAPLQQSHDVRGAQPARAARGKAVRARLQASRAFFRSLFARTSCSDHEWCRGGAGVPCPSGSVSGKKGPFGQFKNGGSGAGPTTLRSSIEQEKEEEEEHAASIRQRKSFSGVIRWRPSTTRAPAPTPPPPVSSTRRKSCGSVPAALTRSSSCRSECEPEGLIQGAIAYCKRTQQQQRVLARKSVCVSDATLCSSSSSS >cds.KYUSt_chr1.29559 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178952950:178963064:-1 gene:KYUSg_chr1.29559 transcript:KYUSt_chr1.29559 gene_biotype:protein_coding transcript_biotype:protein_coding MFAASTATATATASASTIRLFLPRPAAACHPLLLPRRRPRHRCAVDASSAPKEPPRTLFPGGFKRPEIQVPALVLRVRVDEALGSGDAVAAAVARGVGIVVLEAGEEGGGRAYEAARALKAAVGDRAYLLIAERVDVASAVGASGVVLADDGIPAIVARSMMMKSNSDSIYLPLVARTIRSSDSARSATSSEGADFLIVNTGTDDFSAVFDDAGAQHVKIPVFFTLNDVQTEDSYSDTTSKLLQSGASGVVLSLAGIQHLAENIIERDFIKVGAADSVPEVTYSSLSTLEEANNVMVLTREKTKVAGFTKLDEKVMQLIAMEKPILSEAVAVIRKAAPMMEEAELLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRKYLQEGVVPTTNEITLLSYSEVDSESIERCERHPDGQFTCYLSAPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTESEVGFLQYVQQWKKKVVFVLNKLDLYRNSDELEEASFFIKENAKKLLNTEDVTLFPVSSRSALEVKLSYSKNNGREHYGEVFSSDPRWRSSKFYDLERYLLSFLDGSTDNGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKAIDDLTSIRDLVSGANNYAEKIEADSNSWQKQISSLIERAKSRAITLMESTLQLSNVDLIFTYMLTGEKGPSAKATSFVQNDILSPALDDAVDLLSEYSTWLSSSNTREANLYLECFHERWDSLITQEERLPSDPNGLVNEGEKLSIKALNGFSASAAAKVFEEEIRAVATGTFGGLGVAGLSASLLTSVLTTTLEDLLALALCSAGGFFAISNFPGRRKLAVEKVSKAADELSRKVDEAIQKDISQSASKLVQFVDVASKPYQDACQRKIDWLQSVQSELSAVEPRNVRWLKEKLLCTVAVLDSWTRSKNGAQKGSRHCLNQLKRPLS >cds.KYUSt_chr2.16454 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103551014:103557399:-1 gene:KYUSg_chr2.16454 transcript:KYUSt_chr2.16454 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLESFWSFVNRCRSFRKYVCIEEVLVSHKTLDGHTTKEGLTFFLERAPRRCSLSSTKASVEAVIPSQDLVHVDAERYYRSRYRSRYRNRVQTLLDSKRYRSGTGAVSSVTSYGTWAVPWAVLPLQVLQRYRNSYYGSISAQNSERMMDTFGQNCRKNRTLHSKSLYLVLKSVVGWWLWVVVVASVMLVVVVEGVGKVDRWVFKVVVLLVESVVCMVVRRGTAHGRDPVGRRCFVHDVAPGVVW >cds.KYUSt_chr4.24105 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151732831:151739013:1 gene:KYUSg_chr4.24105 transcript:KYUSt_chr4.24105 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAWRLHCKYSAAGSRRAAWKYAGSDWVPPRLVDFAEGFRYHKPDDWGISRGISSCIAPFGTSHSCFKFLESPFGRVSGIRGRNSSSRKDDEGSINTSVNFRDTPEQSEVASPSASSSSFFKSTSLKFGSFSSPSSTTSTHIETFRVFAATWNVAGKTPDMELNLNDLLPSDDQSDIFVLGFQEVVPLNAGNVLVIEDNEPAARWLALINQALNRPSSSSPLTSRSFSQSASPAYALQTASSSPLDPSLFHKNGSSPKEVRRAAITRGRRLKSCTCPAEKPPRRRPNYRAPSSCLMMRCGSSKNQRQYAAEGDTTTSEEEDADIVVDEEAASLSMASNAALLLRPAAGSLQGRYCLVACKQMVGLFTTVWARRELVAHISHVRLSCVGCGIMGRLGNKGCISVSMSLHQTSLCFVCSHLASGEKDGDELRRNSDVVEILRSTQFRRVCKRSGRRIPERILDHDRVIWLGDLNYRIGLSYAEAKKLVEAGDWAALFEKDQLKTEREGGVFRGWSEGLIAFAPTYKYSWNSDSYAGDDDGGSAGGAASKKKPKRRTPAWCDRILWRGEGIVQVAYVRGESKFSDHRPVCGAFMVEVAVLDGTAKMVKLVAATASMKVGAEELFYPGNRS >cds.KYUSt_chr6.13996 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87554972:87556195:-1 gene:KYUSg_chr6.13996 transcript:KYUSt_chr6.13996 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCCTLALLGALVVLSLLVSPIACSRKLTKPKTRSAVSHNPAVKAHSSYNGTSPSAAYGSGGWLAGGATYYGAPNGDGSDGGACGYQSAVGSRPFSSMISAAGPSLYKEGKGCGACYEVKCDSASNSACSGQPATVVITDSCPGGACLVEAAHFDMSGTSMGAMAKPGMADKLRAGGILKIQYKRVPCKYPGVSIAFRVDQGSNPFYLEVLIEFEDDDGDLSAVDLMEANCGTWSPMAQNWGALYRLNSNTGKPLRGPFSLRLTSDSGRKLVVNNVIPASWAPGATYRSLVNFP >cds.KYUSt_chr1.41224 pep primary_assembly:MPB_Lper_Kyuss_1697:1:252896736:252898072:-1 gene:KYUSg_chr1.41224 transcript:KYUSt_chr1.41224 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPGRTGGGREESAVKLFVGQVPKLMTEAELAAMFRNVAVIRDKGSKVDAQSLHIDIDKLLVDNLCTVAAARILPPPPSWMPVTMKNKMTRKTRV >cds.KYUSt_chr7.17486 pep primary_assembly:MPB_Lper_Kyuss_1697:7:108330999:108334529:-1 gene:KYUSg_chr7.17486 transcript:KYUSt_chr7.17486 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHLIFLPVLLNLLLLFTSTSTTTASSSTNTEAAFLSSWLAASPSRPPDWSPAASASPCKWSHVGCAAAGTSVTSLTFQSIHLAVPLPAGLCAALPGLVSLVVSDSNLTGGVPDDLAMCRHLATLDLSSNSLSGPIPASIGDASALESLILNSNQLSGAIPEELGGLAGSLKNLLLFDNRLTGELPASLGGLRLLVSLRAGGNHELSGPIPDSFSKLSNLAVLGLADTKISGALPPSLGQLKNLQTLSVYTAALSGPIPPELAGCGNLTEVYLYENALSGPLPPELGALTRLQKLLLWQNALTGPIPDSFGNLTQLVSLDISINSISGAIPASLGQLPALQDLMLSDNNLTGTIPVQLANATALVQLQLDTNELSGLIPPELGRGLSSLQVLFAWQNHLEGAIPATLASMASLQALDLSHNRLTGVIPPGLFSLRNLTKLLLLSNDLSGVIPPEIGKAASLVRLRLGGNRIAGEIPAAVGGMKSIVFLDLGSNRLAGAVPAQLGDCSQLQMLDLSNNTLTGSLPESLAGVHGLQEIDVSHNQLIGAVPDVFGRLEALSRLVLAGNRLSGTIPAALGRCSALELLDLSDNRLSGGIPDELCSLTGLDIALNLSRNGLTGPIPARISELTKLSVLDLSYNALAGGLTSLAGLDNLVTLNVSHNNLSGYLPDTKLFRELTPSSLAGNAGLCTKGGDVCFVGVDGRTSASNGEGQRAHRLKLAIALLVTATVAMVLGIIGILRARRMGGKGDGGGGGGSSDSEAGSGGDLRWPWQFTPFQKVSFSVDQVVRSLVDANIIGKGVSGVVYRVSLDSGETIAVKKLWPATTAAAAASKDAGRDSFSAEVRTLGSIRHKNIVRFLGCCWNRSTRLLMYDYMANGSLGAVLHERGGGAQLEWDVRYRIVLGSAQGLAYLHHGCSPPIVHRDIKANNILIGLDFEAYIADFGLAKLVDDVAADFGRSSNTVAGSYGYIAPEYGYMLKITAKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRRHKGGLSGVLDPALRGRSDGEVEEMLQVMGVALLCVSPMPDERPTMKDVAAMLKEIQLEREEYAKVDVLLLKGGGNGGSLANNATVPKATSTSSTPPCRQGPGSSTCNSNSSSFSAVYPSSKAKSPFD >cds.KYUSt_chr2.26880 pep primary_assembly:MPB_Lper_Kyuss_1697:2:164561140:164570766:1 gene:KYUSg_chr2.26880 transcript:KYUSt_chr2.26880 gene_biotype:protein_coding transcript_biotype:protein_coding MAWARWMDEGPNPDENEEPGATGPNFAVRMGKTSLGGLVRETSGDALTSPEQIDFWRVIRMTSSKVYKEKFDSVVTYLHAAIGVDRKTVSQALDDLLKVYDYNWEHIEADDFRVLTDAIFDEPDPKGGKKWQAKGRKDRCGNLDSDHGNKKLKVEHQSQDLPIFEAPLAVMRPLVQDSTHQRAYKDAHTVSGVQHAVKGVSVSHERVTDPHESQAITSKKDSSTNFEVALSHSGAGKLSFTYNSASPDFSMPDMESVFLTNGKKGWGLRASEELPRGAFVCEYVGEILTNSELDERNSQTTANERHTYPVNLDADWATEGVLEDDHSLSLDATFYGNVARFINHRCFDANLIGIPVEIETPDHHYYHDLNPEPWCYCEYWSRDKGEKEIPDNIASAKSFSWFCDHRSYFASLGTNVLDY >cds.KYUSt_chr5.22681 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148002101:148006594:1 gene:KYUSg_chr5.22681 transcript:KYUSt_chr5.22681 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVVVPAFVTWLGWFINGQLHLYPQQWIPTTVMVATGKGASLGVLIKGGNALQKAHKVFADFKLNSTILLHGSELPSTAGCELYCLHYANAVFGCAETGLGIRIGGQMGKFSCLDCTQNWGMETGLNSEPPPARVTLGPNLSEVGTSEMLGISVAYLFQSSCPR >cds.KYUSt_chr1.26558 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160108497:160109614:1 gene:KYUSg_chr1.26558 transcript:KYUSt_chr1.26558 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRASLLAILSCLCIFSSVLAARELNDDLSMVARHQDWMTKYGRVYKDAAEKAQRFEIFKTNARFIDSFNAGGRKFSLSINQFADISNDEFRATKTNKGFIPNKVKVATGFRYENISLDALPATVDWRTKGAVTPVKDQGQCGCCWAFSAVAATEGIVKISTGNLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTKESSYPYSAADGKCKSGSSSAATIKSYEDVPTNDESALMKAVASQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTTSDGTKYWLLKNSWGTTWGENGFLRMEKDITDKKGMCGLAMEPSYPTA >cds.KYUSt_chr4.7271 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43064711:43067414:1 gene:KYUSg_chr4.7271 transcript:KYUSt_chr4.7271 gene_biotype:protein_coding transcript_biotype:protein_coding MMFQVLPHEISIANKEDYTQLLAKMGDWAEEGAVDATEELCAANTENQQDNPADARTVEGGMIEEGSDGSMNELDGPATTTQNVEVTEHVVTIASHEDPNVGSTLTEGGFALVTRSNASDGEDDSAEDLVVIKATYATRDDDPSKFPRFDIVPSPPADHIYLDTKEQGSKYGKKWVTMVQKEWKILENSLPDTIYVQAFEDRMDLLRTVMVGARGTPYHNGLFFFDMQLPPSYPAEPPQVYYHSFGLRLNPNLYECGRVCLSLLNTFGGEGTEVWSPRMSSLLQIVVSLQALVLNDQPYYNEAGYEQLVDTSEGHRNALPYSEKAFLLTLRTALHLLRQPPKGFEKFVKDHFCRCGRYVLETCQAYLHGCVATDHGSTELLCSTGFRIALANLVPRLMTMFKEIGAEDCNPSFLTTGAEDCDQS >cds.KYUSt_chr7.25806 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161038313:161040605:1 gene:KYUSg_chr7.25806 transcript:KYUSt_chr7.25806 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVAVSLVVAMLPSSQAAYGGDGLSKDYYSSSCPGLLSFVREEVTRKKNETVVTIPAVLRLFFHDCFVNVSSIANPASAGCDASVLIASPNNDAEKNSEDDDSLAGDGYDTVNRVKARVERECPGVVSCSDILALAARDVVSLADGPYWTVELGRRDGLVSKASDVDGKLPGPDMKVKELTAIFKNSGLSQWDMVVLSGAHTVGFAHCTRFYKRLYSFNSTHETDPWFNQAYAQQLMEACPRNVSATIAVNMDPVSPIKFDNEYYTNLVNGLGLFTSDQVLYKDNASQKIVQEFSANQTKFFEAFTESMIKLGRVGVKTGNSGDIRRDCTAFNH >cds.KYUSt_chr3.1267 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7084848:7086600:-1 gene:KYUSg_chr3.1267 transcript:KYUSt_chr3.1267 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVSEKELWTVLMQAMLAQKNLRPQRDRLLQLRRRLEQLSPGDADHADRNMELARVAADLFKVCYSGLGAGSRLLGSCIELAAKGGARLAINRAFAAMPDEQLHDALVAQRLPARPTTQAEAFSRVEAAFNAVKVTEDHHIPRCIEHLVGQRPVYMVHGKNGLEKPAPVAATPVNLDKARDYLDRACTLADLAVKHIDLAVLVISSFMDPKEVASLSEFTDESAYISEEPEADQTPLAHLRGEAAGAGRVGELLRARLHADLLARIPRQIRRLHTAAARLLVLGTRASSSARLHAVTYACSSPQHHWPLQRLVL >cds.KYUSt_chr4.45879 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283769510:283774973:1 gene:KYUSg_chr4.45879 transcript:KYUSt_chr4.45879 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFSYHAAGMVLLMVAILALPRIAVAGNPPFSCAPGATQGYAFCDRRLPVEQRAADLVARLSLAEKVSQLGDEAPGVPRLGVPPYKWWSEGLHGLAFWGHGMHFDGAVRAITSFPQVLLTAASFDEKLWYRIGQAIGTEARALYNIGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPTTASKYGVAFVRGLQGPSPTTLQTSACCKHATAYDLEAWNGAIRYDYKAWVTAQDLADTFNPPFRSCVEEGKASCVMCAYTTVNGVPACASSDLLTKTFKGAWGLNGYVSSDCDAVALMHDSQGYRPTPEDTVAAALAAGLDLNCGNYTQVHGMAAIQQGKMSEHDVDRALRNLFTVRMRLGHFDGDPRGSSLYGGLGAGDVCSPAHKALSLEAAQDGIVLLKNDAGILPLSRPAVGSAAVIGHNANDPAALYGNYFGPPCEYTTPLQGIQGYVKNVAFLAGCDSAACGFAATGQAAGLAASSDYVIMFMGLSQRQEQEGLDRTSLLLPGQQQNLITAVASAAKRPVILVLLTGGPVDVTFAKSNPKIGAILWAGYPGQAGGLAIAKVLFGEHNPSGRLPMTWYPEDFTRVPMTDMRMRADPATGYPGRSYRFYKGNTVYKFGDGLSYSKFSRQLVVPSTTGNTNLLAGLTATPAADGGASYVVEEIGADGCEQLKFPAVVEVQNHGPLDGKHSVLMFLRWPNATSGRPVSQLVGFRAQHLKAGEKARLRFDVSPCEHFSWATEDGTKVLGAGSHFLRVGKDEYEIRFDP >cds.KYUSt_chr4.34081 pep primary_assembly:MPB_Lper_Kyuss_1697:4:209024494:209026484:-1 gene:KYUSg_chr4.34081 transcript:KYUSt_chr4.34081 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHQVCFPPLPSPFPAWIAIRHVSAAVRLQAAARGLLVRRRVREMRDLQLQLLQVALRCATDLDLVRCVGDLGRAVSPMGGGHAVFPAGSDLKVCAIDSHPAGRRHGVTDRSAPRSTTAFHRRPPRGLLLSRWFPWDPGGCIGTCRFTNHKEKENACDHPGDHSDSIVRVLCPRVAVRRTHMVGPIEPDSDRIIFFLHSPFPNSSATSPVHGRAAHLRVQAAYPRVPPTPRLPPPALSPPEACPPRRPFPSRSSPASQIRARAEPPAVETTGPTRGSSPLPLLCGRVLRPRLQGSVVLHAQLADVVAQGADMVATRGGRYCYEGRPDLLQWRGGLAASGRWRCYQYTSRLLPRCIELLPRRGGVAAMAWWRCFQRLPELLPRCIGVPTMARRR >cds.KYUSt_chr5.40186 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253825919:253826935:-1 gene:KYUSg_chr5.40186 transcript:KYUSt_chr5.40186 gene_biotype:protein_coding transcript_biotype:protein_coding MTESPFMATPNQHRSSTKSDAVRVRSPSSVRTHRIRILLPPPRRTLHLECSWIARTGWLDTNGRNGRAGAAEREGKGRSSVSLRLRYEVSFPPSVPAAPRTATHSLDRLKSTRPSRLLIHTYCTQPRTRIKFTMQPSAGCWAARRLLASPAPTPADQSMDAERAHLERVLGVTTMVLLVASFSYLAVSTLYGCLRAARVVHAPPGNDDDPAPAETRDDTKRALDGIPVRVVVLQQFMPDADGGRNDDADADADDCAVCLAEFAAGDEVRVLPACRHGFHSECVDRWLLTRAPTCPVCRAPVAASGTKQDCAAGHGHDVGIGGDEYRASGAISAVSLVE >cds.KYUSt_chr2.2177 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13192139:13209463:-1 gene:KYUSg_chr2.2177 transcript:KYUSt_chr2.2177 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVAYKMMHCPTGIDHCAAGFITHCPSDAAAFSTAAAAASGPEGDVDSASRPKRLGPTPNLVVAAANVLEVYAVRAEAVADDGAQPSSSSGAVFDGISGARLELVCHYRLHGNIESMAILSDGAENRRDSIALAFRDAKITCLEFDDAVHGLRTSSMHCFEGPEWQHLKRGRESFAWGPDIKADPLGRCGAALVYGQQMTILKAAQVGQSLVGEDEPTRALSSTAVRIESSYSIDLRALDTSHVKDFTFVHGYIEPVLVILHEREPTWAGRIASKHHTCMISAFSISMTLKQHPMIWSATNLPHDAYQILSVPPPISGVLVVCANSIHYHSQSTSCSLALNNFSSQTDGSPEIPKINFHVELDAAKATWLSNDIVMFSTKTGEMLLLTVVYDGRTVHRLDLMKSKASVISSGVTTIGSSFFFLGSRLGDSLLVQFSCGLPASVLPDLTDDRSADIEGDLPFSKRLKRVPSDVLQDVTSVEELSFQNNMLPNSLESAQKISYIVRDSLINVGPLKDFSYGLRANADPNATGNAKQSNYELVCCSGHGKNGALSVLQQSIRPDLITEVELPSCRGIWTVYYKSSRGHTTEYNEYHAYLIISLESRTMVLETGDDLGEVTETVDYYVQGATIAAGNLFGRRRVIQVYATGARVLDGSFMTQELNFTAHSSESSSSSSEPLGVASASIADPYVLLKMVDGTIQLLVGDQSTCALSINAPSIFTSSSERISACTLYRDRGPEPWLRKTRSDAWLSSGITEAIDGSGSSSQDQSDIYCIVCYESGKLEIFEVPTFRCVFSVESFFSGESLLVDGAKGPDATKVSLKKEAANNIRIVELAMNRWSGQFSRPFLFGLLNDGTLLCYHAYCYEGLEGNMKANSFSPDGSADVGNTSDTRLRNLRFHRVSVDFPSREDISNLARPRITIFNNIGGYEGLFLSGTKPVWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCFHGLIYVTSLGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSIPVVRPLNQVLSIMADQELVQHMDNDATSADDLQKTYTVEEFEVRVLELEKPGGRWETRSTIPMQSFENALTVRIVTLHNTTTKENETLMAIGTAYVQGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLVIASGPKITLNKWSGSELTAVAFYDAPLHVVSLNIVKNFVLFGDIHKSVYFLSWKEQGSQLTLLAKDFGSLDCFATEFLIDGSTLSLIVSDSDKNVQILYYAPKMVESWKGQKLLSRAEFHVGAHISKFLRLQMLPIASEKTNRFALLFSTLDGGIGCIAPVDELTFRRLQSLQRKLVDAVSHVCGLNPKSFRQFKSNGKAHRPGPDNIIDFELLSYYEMLSLEEQLDIAQQIGTTRSQILSNFSDFSLGTSFL >cds.KYUSt_chr4.18487 pep primary_assembly:MPB_Lper_Kyuss_1697:4:116045141:116046328:-1 gene:KYUSg_chr4.18487 transcript:KYUSt_chr4.18487 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGADFASYDHHQHQDTTKVTSVARPESWAETVGAVAAVQRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPRTAAVEATSAVDAGAIYHHHTPPEPSALPSKVTNLLLLRLKRARTICHDDYLGAIAGAQSALVQEQEGYDVGGQEERSFQVDDFFSHDSSGDEHGHGVVKHEEDSNCSQDADDDDEEEAPLDFGFMDALPLSPGDVNDAGLFSPFEMMASEIGGTDADTETDGTEYDGASEHSTAIHDAMKRMKYERKISASLYALSGVSECLRMRLSSADNRAGHGLALSGLRDACMKKKQQNQESKGGVHEESSGSNSFSSSDVASSSPEAGISSPNAVDSEVLLWSSLDLAPICHMS >cds.KYUSt_chr2.21235 pep primary_assembly:MPB_Lper_Kyuss_1697:2:133201676:133207986:-1 gene:KYUSg_chr2.21235 transcript:KYUSt_chr2.21235 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDEVEASEMVASDEEEEVAGVDSVGKKRKEAVLGAFVSVKQTSRKRRPIRSDSTDQVASSAAMATASEELDDKYGEKRGCHGDPRTQGRCHGSMEEKEKEELDAPGRNFRPPPPELPPRFHPRLKMLALKNCDRNFRPSWPELPPPTGTSALRDRNFRPIDPQPDLSPFWLVTYPFIPLPINRHLPPPS >cds.KYUSt_chr3.39436 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248474329:248476136:-1 gene:KYUSg_chr3.39436 transcript:KYUSt_chr3.39436 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTALTAALVPTPTEPTDVRKVVDESPAVDDAPESFVDMLNDAAVDIDMPPLADYGDYNDGLIRSAEAVEEERKLRVVGQGEYSGTSSACTEVMASSTTREKRVEKCKRLGEEEQCGRPETIQLIAILVLHIA >cds.KYUSt_chr3.41714 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263223302:263226025:1 gene:KYUSg_chr3.41714 transcript:KYUSt_chr3.41714 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASVHKDDVGTPQKLLPKEGAVDGKGGAAVGDVLVDLKRKIEEGQAGFGHSRSPDSGSKDEMFFESRGWLDSDCEDDFHSVNGDFTPSRGSTPNYQPRANTVMSNVFLPNNEQGPNEHDSKSSEPSPTGRRKLSELLQEAMQNAHKENTDTATGEPDSSKQQPQPVDAAEKPVSESSTGCSTEPTPAREARIRKERSWYTGHCCLPTFVHSMTLEEDEGRQKMGPGPVAV >cds.KYUSt_chr7.14822 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91630082:91639109:-1 gene:KYUSg_chr7.14822 transcript:KYUSt_chr7.14822 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRTSKIPRPPKKANPKTPILALSTDCRRKETNPEPSPANSFAAATATAAAGDMDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPAISYVLDLLESSDLKNIIVVVEGQEAARLVGAWISSAYLDRLLLVEVVAVTEDIGTAGALRAISKRLVANDVLVISGDLVTDVLPGAVAATHRRNGAAVTALLCYVPVSGPSDAASSGGKDKAKKPSRLNIVGLDITRQFLLHIVSGTDVEKDVRVYKRKIRAVGQMEIRSDLMDAHLYAFNRTTLQDVLEKKETYRSIRLEVLPYLVRSQLRSAPSGGEGTIVDETGNVIVPPNSNLQCLSQHRAIAPSAFKQDLLSSSGGETHRCCVYIAGKNKYCHRLNSIQAYCDINRDVIGEASPLSGYSFSSHNNIIHPSCVLGSKTTVGPQCMLAEGSQLGDKCSVKRSVIGRHCRLGSNVKIVNSVVMNHVVIEDGCHIQGSVVCNNVQLQERAVLKDCQAEDLAPPPCLVTQEEQRRHLIAERDERMARVGARFPEDVLAEKKHFATHKAAQKEGGPPLPEGRQGGEARLHRGADGRSAHHLRQRCPLGPSVLVDTGVNHDARLVRCLVVR >cds.KYUSt_chr2.48889 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305912970:305914128:1 gene:KYUSg_chr2.48889 transcript:KYUSt_chr2.48889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homodimeric diiron-carboxylate protein, Cyanide-resistant respiration in mitochondria, Reactive oxygen species (ROS) scavengin [Source: Projected from Oryza sativa (Os04g0600300)] MAGTTLMRHLGPRLFAAAEPASGSLAASARGIMPAAARIFPARMASTDAKQVAAEMPEEAAATTDQSNKKNAVASYWGVQPRKLVREDGTEWPWFCFTPWDTYRADTSIDVKKHHEPRTLPDKVAYYAVRSLRVPMDLFFQRRHSSHALLLETVAAVPPMVGGVLLHLRSLRRFEHSGGWIRALMEEAENERMHLMTFLEVTQPRWWERALVVAAQGVFFNAYFVGYLVSPKFAHRFVGYLEEEAVHSYTEYLKDLEAGIVENTPAPAIAIDYWRLPADAKLKDVVTVVRADEAHHRDANHYASVRPNSLWFTNKIRQCVIDRRLTGLIDNSIYLLQDIHYQGLTLKETPAPLGFH >cds.KYUSt_chr3.42159 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266399531:266399908:-1 gene:KYUSg_chr3.42159 transcript:KYUSt_chr3.42159 gene_biotype:protein_coding transcript_biotype:protein_coding MHALLCCLEKEEEDVECTIMSCYAQLTDREATWDLLNSDGWPRQRYPVPYLGFHLKLYTHMLVCYLSVRLKPMKLPTKQLEVNHKASIKLTRETLVSSVWEVPTIPFMIPASWLVKGQPTIKATA >cds.KYUSt_chr2.29307 pep primary_assembly:MPB_Lper_Kyuss_1697:2:180201543:180205142:1 gene:KYUSg_chr2.29307 transcript:KYUSt_chr2.29307 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWWSGRRGIGEDEACEVIGVRRRHWPGPSSRGDWGKWARVSVPGMSPFIPHVFKLTFWGVMFAVVLFFVSAVARTCRKNKGKHMMIESFLEEMATENATRFTPQELEEFTRNYSSRLGAGGFGTVYRGALPNGLAVAVKVLHGGLDQRSGEQFMAEVGTIGRTHHINLVRLLGFCFDDAVLALVYECMDNGALDSYLFNPSRAVGLPSRRDIAVGIARGLRYLHEECQRKIIHYDIKAGNVLLDGSLTPKVADFGLARLVNRADTHVSMSCVRGTPGYTAPEMWMQSGITEKCDVYSFGMLLLEIVGRRRNFDEAVDIGQQWFPMVAWTKYEEGELMELAMPCLGDASSVDTADEPQMRLCRELAERMCKVAFWCVQQQPEARPPMGVVVKMLEGEMDIAPPPNPFQHLMTPAPARNLWEIMAASINTVSGSTNGIVSTGSNEIVSV >cds.KYUSt_chr6.27534 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174732610:174750103:-1 gene:KYUSg_chr6.27534 transcript:KYUSt_chr6.27534 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLLPHGYLHHAITLGTKPLLPPATAMLHFGALKASSIGSCRFLSNAYGKRLRFSIRNGKSSFGSPNVNWEDWGVGGNLFRGSAERRQSTLAACSTAIADEASTSKSSMSGTDAKKGTAQRKRSTKKKSRAAKTSKTAGKTTEKAGVKNQEEKKADTSKSNKGVGGSEEKKTLTRPKRSSKGNDSALAKSQMKTSTDGSGSERKPLTPLYPPKAKSVVVVESATKARVIQKYLGDMYEVVPSYGHVRDLAGRARSVRPEDDFTMVWEVPAAAWTHLKSIRVALKGAKNLILASNPDREGEAISWHIKEMLEQQNALGCHVTVSRVVFHEITEDAIKKALMSPRYIDMDLVNAYLARRSLDNLIGFGISPLLWRKLPGCQSAGPVQSAALALVAQKLYEGISLSSEQATGLITYLRTDGYHVGDLPLPGRSLRAAAAGGAAAVAADAPAASAAIAGPLQPTLLLSSPPPDVGLLQSPLPLSTISGPGHTSAPGVPLLQQSAAFFPTGTLQQQQQQLPPPPTPPLQLLSSPTMSLPFGGQLQQQQLLSLPPTPQQRLPPPPTTSLPFDGMGATLAPGSTSTPPGMPFHQVRFPPSPSPLPAWIAIRHVSAAVRLQAAARGLLVRRRVREMRDLQLQLLQVALRCATDLDLVRCVGDLGRAVSPTGGGHVVFPTGSDLKVCAIDSHPAGRRHGVTDRSAPRSTTAFRRRPPRRLLLSRWFPWDPGGCTEASLRQSVSLELSIVPSCLSVCHMGPPFWLTSICSRSLDNGLWVCLKSACAIQVDIGNPEGDMTFHSSASRLDFKGYQAIYEDIDNPEGEAAHQDNFEALSKLEIKDLVSPVNVHIGQHYTKPPPHYSEGALIKKLEELGIGRPSTYASIMRVLQDRKYVTIESRVLHPEFRGRMVSAFLSHLFSEIADYRSTANMEAELDNVSAGSTEWKGLLKDYWERFNKYCRDASQWDVRKVERMLEEKFCSILFRDLGSDSRICPRYIARILSCDEDDENEASEETPRSFEPRLLGLMPDTSEKVFLKQGPYGYYIQVGEDRKGASQKRAPLSKVKDVDSVTIEDAIELLQYPKILGKHPGDGQPVLMTHSTAGFSIRHRGTLAPVPKGLLAGRQRLLAEATRGSALVGEGRS >cds.KYUSt_scaffold_3611.241 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:1336347:1337813:1 gene:KYUSg_scaffold_3611.241 transcript:KYUSt_scaffold_3611.241 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVTSWVEDVEKMLLEDHDLSSEVEQWRKHAIYRVPARIKRLNGGAYKPQTVSLGPFHHGDPDLVPMEVHKRRALLRLLRRAGRPLRDLVAAVGELEKQLRAAYVGLGDEWGAGDRFVEMMVVDGCFLLEVMRTAAAAVAGRRRTVHPDYAPNDPVFSRHGLLYMVRYVERDMLMVENQLPLLLLQRIFAAEGGIGKTSTNVLINRMVLNFLGVADADKHPAAVGSLGLHPLDIYRRSLLHATGGRRDRDIHVQEPAAAKPVDVRSARKLHESGIRFRHSGQADCLRDVRFQCGTLTMPQLFVDDSTEYKFLNLMAFEALHVGAGGDVTAYVFFMRSIVGSVDDVRLLRSKGIVRSEWVDGDDTVVRLLNDMTRDVVCDERSPLCAVQGEVEAYCRSNVRVFLHVSWCYLRRTYFGNPWTFLSLAAGVLLLVTDIIQTAYAVLSYEVQGKREYHDPK >cds.KYUSt_chr1.667 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3573918:3575458:-1 gene:KYUSg_chr1.667 transcript:KYUSt_chr1.667 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTRIRARKQGHLDGSLVITAFDCSQKKGGIGPQTSFDGHIEHFSPPRRLKVEEIPAIVDDFRKAARNAIDAGFDGVEIHGANGYLIEQFLKDSSNDRTDEYGGSLENRCRFALEVVDAVVKEVGGDRVGIRLSPFTDFMECHDSDPHALALHMATELNDHGVLYLHMIEPRMAIVDGRRVVPKRLLPYREAFKGTFIANGGYDREEGGKVVTEGYTDLVAFGRLFLANPDLPKRFEVGAELNKYDRMTFYTSDPVVGYTDYPFLG >cds.KYUSt_chr4.52512 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325677947:325678600:-1 gene:KYUSg_chr4.52512 transcript:KYUSt_chr4.52512 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCLETLTSASDSFRLAVASDAACCSTSPRESAVRALGNIVCAVDPDTLVSLGALPRLAHVLRVGSPGAQQAAASAVCKISGSGERDMKRLIGEHGCVPPLVRMLDAKSAGAREAAAQALASLAAHPANARETRRDERSVPSLVQLLDPSPANTAKKYAVACLLALSSAKRCKRMMISHGAIGYLKKLTDMEVAGAGDLLERLEERGRLRSIFSKS >cds.KYUSt_chr4.11396 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69279989:69281660:1 gene:KYUSg_chr4.11396 transcript:KYUSt_chr4.11396 gene_biotype:protein_coding transcript_biotype:protein_coding MWALPFRSDKQEVRVLPQRGPRTWDDATTITLAANTTDGENPLSEVSFRAVGSCAPGDLQASLPAGAAGVAGLSRLPLSLPTQFGTILKVAKQFALCLPGGGSDGVAVFGGGPFQLLAAPPVELADGLRQNQLPLLKNPRSDTGAYYFRITGIAVNQQQVPTPPGAFDLDGGSGTGGAIFSTVTPYTALRPEIYRPLHDAFDAATSGIARAAPVAPFDMCYQASALTMTRLGYGVANIDLTLDGGRTWTLPGGSSLVQVNDQTVCFAFVQIAPSMPAAADSPAVIFGGFQMENHLLIFDLEKETFAFSGLLFGIRTTCSNFNFTVGSS >cds.KYUSt_chr4.19423 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122080035:122081765:-1 gene:KYUSg_chr4.19423 transcript:KYUSt_chr4.19423 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPSPLLPQSLPSSSSSSTTSQSRITATALSRTARKPLSLKTGCHGGTKKTLLARRGRLPCMPTKEEVGAVGGTEDEEERYLTRTAGWGVRRMGRVGEEMRRVALVQAEAFHVPVALFNDFFFDFFKAEVLAALIYKLRNSPPDRYACLVAEEADAATQLLQAPFENIIGVVDCTVQDEGDILSNLQGVQEYFYVSGIAVLPSFRRRKVGTALLKACEVLALEWRQSFMALRAYEDDRSARGLYSKAGYRVVSRDPGWVTWVGRRRRVLMIKDLPIHDPQVEQQ >cds.KYUSt_chr5.10454 pep primary_assembly:MPB_Lper_Kyuss_1697:5:67075852:67076932:1 gene:KYUSg_chr5.10454 transcript:KYUSt_chr5.10454 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSCQRLPTLNKSPPAHSPPAILPNTTALHGEVPPNPGVFRLVNHGIPRDLTVRLFRLTRHLLDTDPGEKELPGYFGGTPALSLRFKEYVAHMACIARKLFDALADGGEELALDAAQRTSYLEDGIERTTAGARHRAELSRQSGRHGGGGLGRDRGGTGEGARWRMLAVAPASGKKGSRMRVSGRGRAAPAAGGETESAEDGVAGS >cds.KYUSt_chr2.50757 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317287515:317290190:1 gene:KYUSg_chr2.50757 transcript:KYUSt_chr2.50757 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAKSKSGLAEAKGGSKASGKPAEAKSNGKGTPPTPKGDTPPTPKNERPRKPAVPKANAAHGTPPSAPRTADKSPRSADRKSPKTATTRIAATTPPPEKQGKVAKPSPEPQQQAAKPSQELQAQLDAVQEALKQAMAQLVEKEEEKGKVLDELEHAKKVADEADAKLKEALDAKSATLEVKKVPDIELEQASDDPAHGGEEDELRGKLKSMQSQQEADAAALHSTVEQLEKARYELADAIDAKNWALSQADDAMRASEVNAQKIELLNAEVGRLKGLLDSEVESKSREAADQIGKLEAENSTLKLELEKAKLAEKRVVELEGVVEQLRADVAKAEKASARSDELTEKWKKKVQLLDFQLEEANQSIILKGKSLDSVVEELDETSTLLKTKECEAAALHDNVRSLEGEVSRLKGDMDVTSERLDAAEKEAADLSAEVEGLTLKLQAIEKEKIEALHNDELASSEIAALTEQKNELAKELEASKDEVEKVKKAMEGLASALHEMSAESRDAQEKYLIKQEEIERARAQVEELNMSLQNAKESYEVMLDEVNYEKVCLKKSVERLEAEAKSVSEEWQPKEQSFINSIKKSEEEVAAIKVQMDKYLAVVSEKEAENAELVEKMNHLEAQLLEANKTSEEAKAETLQLKDKLLDKENELQNIQQENEDLQARESAASEKIKELSSLVPNGATNGGNKEEDNDNGGGVDDEPVVVVAKMWENSKVTDYDSTKEKENDGESEVDLESNKGDTTVDSNGLQSGKTTNGSTSPTKQQQQKKKKFKFGGLLKKKSTN >cds.KYUSt_chr5.3112 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20124073:20144955:-1 gene:KYUSg_chr5.3112 transcript:KYUSt_chr5.3112 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDKLSGLHERDIFDHFLPEFDKPWVVHLRENLLLFYKPLLLEAQHCLQEKEGEVDISGIVVADAAYKNVADGPHSCGGVEVPYPFGVVDDNGNGDFRDGEVGFSVACKAGKMDFFGSAIGNFSIKTAEVTVWLPVRWQCYDSSGQPNGSLDLSNGLAFNNKRVYRLSSTGNSFFVLGCNTLGFLWSDLVGDANVYNKLIGCTDYCRDQQSAVDGVCHGVGCCEAYIPPGLIDNTLYFRQIFGGFNESISFSPCDYAFVAQKGYYTFQTADLNMNLHQTKRLMPVRLDWAIRDSATCEEAKNNVTYACKSSNSICLNSTNGPGYICNCSSGYQGNPYIENGCTDINECDDPDACRGICDNTQGSYNCRCGKGTHSVDPFTAPCVSNLTRKQKIAIGAAGGLRRCWEVEGELPQLPALSPAQPDLGDELMGGASVVVLVSVFIIIVIAFFWILAKEKRKMKELFQRNGGPTLEKLNNIKLFTKEDIKKIQKTSTYIGKGAFGSVYSGRIEDSNQAVAVKEPINGTSADKGQFVNEIIIQSQVIHRNIVKLVGCCLEVDIPILVYEFIPNGSLDDILHGTRKMPLSMGLRLKIAAESAEGLAYMHSKANTTILHGDVKPANILLTDDFAPKISDFGISRLIATDLQQTAHVIGDMSYMDPVYFQTGLLTKKSDVYSFGIMLLELITRNKATHSDNNSLLRNFLDVYTTHKSVTELVDKELAELDDPELIDSLAVMINQCVNLDVNQRPEMTDVEERLHEMLRRYDSK >cds.KYUSt_chr5.16279 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104766180:104768628:1 gene:KYUSg_chr5.16279 transcript:KYUSt_chr5.16279 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSNYLEEHYDNDDEDDDEFDGDDDDDEPSPPLSAGSEEDRLESVLRRLTADEVRIRVHDVAIRGCARTRRAAAEAAVGSDLSRAATVPELLRAAAAAGERLRRLGAFESVSITLDAAPPGVPADGRGGVVVVLVDVTEARGAAAGGLGIFANTETRSCSVDGSLRFKNLFGYCETWDASGTFGLDQTMELGVGATIPRIGAIPTPLMARVSFLSEDWLKSSIKEHLMGVSVGLLSTMNHNLAYNLSWRTITDPARMSSSSIREQLEHSLLSSMKYTYKIDQRDSRIRPTRGYAFLSSSQVGGLAPDSKTARFVRQEFDLRVSMPLGVWNGALNAGVAAGVINPLARGSTGSVSPLPERFYLGGNKSLVCRLGGPSSLLGFKSRGLGTTGSQTCAPKNSENGSSISPELDAVGGDIALTAFADLSFDLPLKPLRELGIHGHAFVSAGNHAKLTERDIRKFPLTEFLKTFRSSAGFGVVVPTRLFRIEVCHCHPSSASLK >cds.KYUSt_chr6.10953 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67858928:67861348:1 gene:KYUSg_chr6.10953 transcript:KYUSt_chr6.10953 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGNGLSNGGGAAEAAPDGTTVFRATAHSRLRTTVALALWLGAIHFNVLLLLAALFLLPRRIAAMVLATQLFFMLVPVSDKSRIGRKIARFVSQNVIGHFPVTLHVEDYQAFDPKRAYVFGYEPHSVLPIGLGALIDLVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLVPASRKSFYSYLGAGYTCIIVPGGVQEILHMDHDSEVVFLKSRKGFVKIAMETGSPLVPVFCFGQGSVYKWWRPGGKLIVKIARAIKFTPIIFWGKFGTPIPFATPLHLVVGKPIEVKKNPQPTYDEINEVHEQFVVAIQELFEKYKTKAGYDKLKLRVL >cds.KYUSt_chr6.29415 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186424377:186430612:-1 gene:KYUSg_chr6.29415 transcript:KYUSt_chr6.29415 gene_biotype:protein_coding transcript_biotype:protein_coding MWVQLSWWLAGMGGLLPRAHAGCRRGQSPPAPLFFDREVDTTSCLPDDPSWLISVLCRAGAGMAKLMGGAAGFQQGAMHAQRARMQPSGLPPLSFRTCAQLEISRFCSIPRFVGAKAVSVPQQQHARHRVGSIRASALSRLQDDTTRYFDFVVIGSGVAGLRYALEVSKHGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVRVSPASVMSAVVAFLSVVIRVNGSLPVMNFNSFFLINDLLPQIVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVENDENISLFGHHYAIDLLTCQNNGEIYCYGVDSLDTKAQKVVRFISKVTLLASGGAGHIYPTTTNPPVATGDGIAMCHRAQAVISNMEFVQFHPTALADEGLPVKPAKTRDNAFLVTEAVRGDGGILYNQSMERFMPLYDDRAELAPRDVVARSIDDQLKKRGENYVLLDISHKPREKILAHFPNIAAECLRHGLDITRQPIPVVPAAHYMCGGVRAGLQGETSVKGLYVAGEVACTGLHGANRLASNSLLEALVFAQRAVQPSIDHMVDVDADPYLAAKWARPVLPISIRDSSLSDIIDRTRKTRMELQSIMWEYVGIVRSTNRLKNAEWKIGDLESEWEQFLFRRGWKPTTVGIEACEMRNLFCCAKLVVKSALARRESRGLHFTEDFPYLEESKRKPTVIFPTTIQELTWSSKPLQRQLQCK >cds.KYUSt_chr2.44577 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277359979:277365125:-1 gene:KYUSg_chr2.44577 transcript:KYUSt_chr2.44577 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRTSPPPPRRLFRFLAGMGVGRGLDSALVTRFTMKAARQRSLLLVAFADVALSPGCDTRMLTRWTGRDGWLRSTDFYKLVCFGSVRAEAASFVWGSRAPSRVKCFGWLLSLRGFRPEMETCESQAVTIDVTGPGATGSDPYDPRVEPLLDRYEGAGRHRRKKGGRTVWSPGEPSHPRHRHRLVFLVLPVKPREPERSESPPPSPSSPTPVAGAVVDSDLPSRRRPSRKHQGEPLVLPHPSAPSLSLCIAAATRACEHRRRVWPPAPLRRRLRQGRMLVDSDAVPLHVPVDAAGPAVLAVAGTATPTSTIPLSLYS >cds.KYUSt_chr2.48061 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300410603:300412198:1 gene:KYUSg_chr2.48061 transcript:KYUSt_chr2.48061 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERRQTKKASMASDGVDCISALHHVLSFIPAQKWCASAPSRWRHLWKSAAALRITGVKGCKNATWFVNFVHTLLFLRDPRVRLESFELDLDERDFDFEAFLPANEAHVNTWFRHAVMCGPRELLALRTTTGIYTDPEDHETLGFPNVPLISPYLTRLELTMVYVHSSTLDFSGCPSLVHLTMDDCDIEANISSPFLKHLRIFSSYFQTDPVRAHICVPGLVSLEVMGELRRAPVLESMPMLVSAVVRLELDCHDSCSKFDYGYCDDRQCYGCYGSGAHDWRGESVLLKGLSEVAELEFSVDSQVFIVSRDLKLCPTFSKLKTLLLSEWCPDIASDLNILSCFLKHSPVLEKLTLQISKVPKKPAEIQRSYTPPEQPFALSHLKSVDIKFDEVDGKVLNILRTHGVPLEKVNIQRTKLLDLNVS >cds.KYUSt_chr3.24918 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154655396:154659950:-1 gene:KYUSg_chr3.24918 transcript:KYUSt_chr3.24918 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHEGDLEGDSRVECCPDFVARGMSSPSTSQSPALAEGESTTANDNARPHDPGTDSAEPARSRLSLQLDQRSLHFAVSAWVLIIALIGILPLATRQLQYKGYRLSLLGTTCTTGYALFALYGLPRVGNTQAVQAWGHLVTSSKDFIPFMYCLMFVTSKLHLKLVLVPVICWALEHVARFLRRHFTNSSLYRAYLEPLCTWVDRNTTAVNFLIANAEILLGFLLILALFSKQRNVMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTVNPYIHSYTPFLHDPMNAGMRWWFRTTSKDLEAFTMK >cds.KYUSt_chr4.47918 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296711218:296713398:1 gene:KYUSg_chr4.47918 transcript:KYUSt_chr4.47918 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVPSQSWRAPIKAHLIIYQLTVRIPASSLNSIDNNRPHTEEQRPTGSSASGLLAERSHCRVGNQETKMRRFAGGAAALVLLLSVTTARVAVADFFSPLSPLLAPVMGSLCKAVACGKGNCTVTSGLPGYRCDCDPGWSQMHVGDDLRFLPCVIPNCTIDRSCSNDTSAPSPAPSPKNFSLSADPCELAYCGSGGTCKNGTGLNYHCECKEGFSNVLNMTTMPCFHECSYGANCAALGILPSSNSTSAAPPAGSSSVSNNCNAPAPGLVSRQILLPLLILALVAMMGQAT >cds.KYUSt_chr4.49026 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303708449:303708775:-1 gene:KYUSg_chr4.49026 transcript:KYUSt_chr4.49026 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSSTEKLVCVVLALLAVLSPLYIDREPEAEEEDEEEGWGLLPSALWLPAFLVVLIVAINVACFVDRRIVRFDPYWIHRVGGSSCGLMATLLLLGFVLKCKASFEF >cds.KYUSt_chr7.5611 pep primary_assembly:MPB_Lper_Kyuss_1697:7:33584228:33592206:-1 gene:KYUSg_chr7.5611 transcript:KYUSt_chr7.5611 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTVGLAKSVVEGTLSKAHAAIQEEAKLRQSAQRDLVFITGEFEMMRSFLNVANAERVENPVVRTWVRQIRELAYDVEDCIELVVHLDKDKTSFWFRLRRLCVPWAPARMPALDQAVDEIEQLKARVADVSTRNVRYSLISDTGSKPVVQQQLPAAATGGTVAAANMLAEARDAARRVQGLGGGDLTQLITKNVGAGLQVISVWGSGGDHGTASIIMKTYGDTEICSDFTYKAWVTLTHPFSPHDLVRSLMLQFCLEEEQSMDVPTMMQATQEVLFKNFQEIVTEKRYLVVVEDLSNMAVWHAFKKFLPDRRKGSWIIVSTQQPEIATLCVGHSYQILELKHFSTEHSVCALFNKGSFADGDNANKPVAEGSHSDGDKGKKPVVEESYSLVGRDSLIDQLRQYPAKARINSCQVMSVWGIAGVGKSALVKNLFDHTCYTGQFEKYNWVDVPHPFNLRDFHRSIPLDFRLETQSWLIVIDDIKSKQEWDLMQSSLLPRSSRSVIIIITTEASIAAYCSSGEELVLNVKGLEAAAASSLFEKVCRTTPSSSTVQEDTRVKELISKCGGLPKVIVAIADVLNKQTVTWMNTVVSLNERFMHHLERKPDYSLRDLFGWLNSYFSTCPDSLKPCIFYVSIFPRDHPIRRRRLVRRWIAEGYSRDGDDDSAEDRGEKQFCELLDLSIIHQVPHLATNTLIDTRMVLCQVNGFIREYIIPRRKEENLVFELGHNCVLATERTGRHLIILRDWNRDIIVFESMDFSRLRSLTVFGKWEPFLISENMKLLRVLDLEDADGLKFEDLENIVKWLHRLKFLSLRGQREIHHLPGNMDHLRQLQTLDVRGTSIVILPENITKLQKLQYIRAGSSDIPTTATPSALSWLPEFCRCSRVVGVVVPTGIRKLTALHTLGIVNVAASGGKTMVKALKKLTQLHKLGVSGINRKNIKDFSSAIKDHVNLESLSVHLDKDNQGCLDGIALPLGKLRSLKLYGLNESLPQGSDQLKMLRKLDLEMHTLSQSDIKLLGELPKLCILRLSVKQPSLNFISKHDASELFSYEKVKILEISCSSSLLSLEFGAKSMKTLELLKLDCSSGTPYDFTTSMVFLSELKEVSLIGIHGETCKTNLANKLCDYTKKPVLKVEELPRSS >cds.KYUSt_chr6.19676 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123800892:123806174:-1 gene:KYUSg_chr6.19676 transcript:KYUSt_chr6.19676 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGHACSLLAPLLLLLLSLGSAAAQKGSTWKTLSGDPPAVIAKGGFSGLFPDSSDLAYAFAASYQDSVLWCDVRLTKDGVGICLPDIKMENCTSIANVFPKGQNTYRVNGVSTKAWFSVDYDSIQLSNVSLERSIYSRTQRYDDVFQVIPVEVVLSQYKAPAFWLNVQHDSFYSQFNLSMRSYILSLSKQYTVDYISSPEVSFLKSLLGRVSSKTKLVFRFLDEGIVEPSTNQTYGSILKNLTSIKTFASGILVPKNYIWPVAADNYLKPATSVVDDAHKAGLEIYAANFANDFGLSYNYSYDPVTEYLGFVDGAFSVDGVLTDFPITALEAIGCFTNLNDTKVDHGAPLVISHNGASGDYPDCTDLAYKKAVTDGADVIDCAVQVTKDAIPICMSSIDLKDVSTVVTSQFASQAVVIEDIKPVAGIYTFNLTWEDIANNLKPMISNPWTGFALSRSPRNRNAGNFMKLSDFLTFAKGEDLAGIMITVERASFMAEKLGFGVVDAVIKALDDSGYSKQTAQKVMIQSTNSSTLVKFKQEAKYNLVYKLDEDVKDAAPSAVAAIKQFADAVSVSTMSVYPATSNFLINQTNPLAKTLQSAGLPVYVHLLMNEFPSQPWDFFSDATTQINAFVQKGGGGVDGVITDFPGTAHRYKLNSCKNMGDKTPYYMLPPQRGGLLETIDKAAQPPAMAPQKDLASAEVVEAALPPASSTTAPASSHGTSRIRTDVSFLATLLMLCFVITQIHVRTENTTWTAIRSISDACPVLMPLCQSVACVATAAAAGWFACLPPSPNMASAPTPATPKAPAGPAGRYAVYVAAVPLRAPQGPAQALMSAGYSLGLWDLQHFMVLLRPDPPRSQAPLVFDFQPRDPEDALAALAALSRSKIPGVVRRRTLRRIPDRRSWFVGLCDGDAADAAERFSERWSTDLVVGEHDCRDYTNGLRCNFTH >cds.KYUSt_chr4.16200 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100416258:100418549:1 gene:KYUSg_chr4.16200 transcript:KYUSt_chr4.16200 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSEGGLGVSEFSLPDDVLAVLPRDPYEQLDLARRITALAVAGRVTALEREAARLRDGAADKDRENAELRERVALLDRALQETNARLRAALEDNIKLSKERDSLAQTSKKQARDLQKLESFKRHLMQSLRDDSSSPQETVDITTCDLSVSSKTSSCGDGTISHSTTNLLNGSVDVGSTTREAARPPVQKYALSPHISQRLTPEATPNLMSTTASPRGMSTTATPKLMSGATSPSKTRMEGHMSMTPWYSSKQSTAANSPPRGRPNPGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREVGHEHLFVNLWIKFMCAMAAENNFPYLLPNQETLKKAEEIFGPESKDLYLSFQGLLNRSLP >cds.KYUSt_chr5.41740 pep primary_assembly:MPB_Lper_Kyuss_1697:5:263292035:263293524:-1 gene:KYUSg_chr5.41740 transcript:KYUSt_chr5.41740 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEEFYESLFDSAKGGSGKAHGQMIEKKIETLEDMATRQGGGARLHQAVAVKSEDMALPLRRAADERRRDASASQPLVSPRRRREARRAPAGRCVKREERVPPLHVVATDDERRHQHGRTAEHAAVKTRDVELNWVEQA >cds.KYUSt_chr3.35103 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220298139:220300440:-1 gene:KYUSg_chr3.35103 transcript:KYUSt_chr3.35103 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLHALHCLTLRCFPFRRPALLGGLWVPFARVEGELFPHGLQSARHGEEGNRVSEKEDKDECAFNWRERERPHRKLVFTGSELKRSDICGLGCLFSGFTVAAVLDQGLLHKSVLSTTKTELPASNKLWRSSLAGSRTVPS >cds.KYUSt_chr4.6897 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40793824:40797117:1 gene:KYUSg_chr4.6897 transcript:KYUSt_chr4.6897 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSVEEIPDPYWAQPSPRGPAGAHPGGEGAMNRCPSEWYFQKFLEEAVLDSPAAGDNNNPSPMAGTSGAAIGGEPAEVKQQPAVPAPAPAVVDPVEYNAMLKQKLEKDLAAVAMWRASAAMPPERFVATTSLPNADVPHIGTINPIPGNAVPVQNKLVGGASGGSGPHLVQNVDAPVKQAASSSSREQSDDDDMEEDEITGNATPTDQRLRRRKQSNRESARRSRSRKAAHLNELEAQVSQLRVENSSLIRRLADVNQKYNGAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMSALFPAASDMSSLSMPFTGSPSEATSDAAVPDDLNNYFSTNSEVGGHNGYMPEMASSTQEDDNFINGALATGKMGRPDSLHRVASLEHLQKRMCGGPASSGSTS >cds.KYUSt_chr3.16095 pep primary_assembly:MPB_Lper_Kyuss_1697:3:98971912:98973083:-1 gene:KYUSg_chr3.16095 transcript:KYUSt_chr3.16095 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALETAIEEVRVTLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGCRRRWRLPCRAGTVRRVDAGHRQEGVAVSGHREEGAGVSTADQRAQQRRARHPRPALLAATRRKRKTAKNQAAAAAANQLAEEEAKRAEDAAVAEAIAVSPKDLVPADNSLPHRRRGWSGPSATGSARGGASTGGCWISAARQLAARAAAPSPSRNAAPGEVIKLEESSDDDIYRPSPPRAGDASQGTSRWYEAPPPQDDAGSSDDDDGGDYTTFYRHLDM >cds.KYUSt_chr2.16126 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101479610:101480658:1 gene:KYUSg_chr2.16126 transcript:KYUSt_chr2.16126 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTTALASCRQEKRARIADLLGEHSGSTAAHSNWRDWANLIAGPASLIAERVLAIDIADYLRFRAVCGSWRRSTASPHAHGGLDRRFHPRRWIMLPRTLGALRKRREFMNISTGERILVDLPELRYQYVFGSTSGGLLVLCDKRTYDVRLLNPLTRQLTSLPNATTLTGSHDRPLSGYSIKFRRVYSAGLADDSTVTLHFDQYWLVTAKPGDKYWTRLIVHYPINTGVVASLSFAGRFYCVTETAVMVVDTSADTPQLVAAAELGDRAVIKLYDRTTRLVDNDGELMLVHRIPYDNLNTLREGYQVHSVVPGVQDRVA >cds.KYUSt_chr5.6690 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41512572:41513246:1 gene:KYUSg_chr5.6690 transcript:KYUSt_chr5.6690 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPNTVGATTARPNTSIRRGRVTAMATKGPKPSSGTKRSSGTVTVFPVSVGRPAGPPRPGTTKGSAPVKLLTNVQKLRLLTKAEKAGLLSAAERAGLSLSAVERLGLLSKAEELGVLSAATDPGTPGALQGLALLLLAAGPAVVFLVPEQYPWEVALQAVAALVCVAGGSAAFAASNFVSRLQGSSG >cds.KYUSt_chr1.32332 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196273737:196275230:1 gene:KYUSg_chr1.32332 transcript:KYUSt_chr1.32332 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSGPAAARLLSSGGTVTRCYRPAISPGISAGYTQTPVHVNQNQAGNYSPLHRVSSTLLPAMSLRRLLGLSSAAAFPGLLRRSFSFTTSGTPPPWAFIERSTEAAEGLSVRLGHPPSLSTIRVPKHLVRTSAAPDHDADVIQSISGSVCSASSDGLLLLSYFDLRLEAPILAQRGAARLRKSPADLAPGYGAHCTHFVCNPVTGELSCLPDPRTSDPVSKVMCGLHTGLLTRADGGHDGPPNRFAIAELHGNQMVRFLSETGEWEVVPVSPCQLPDARRMVLDHDVVAFGGRLWWLDVTCGAVSVDPFSDRPELCFVELPRDSVLPAAAQDGCDCAGTSGCGESPEHRRLCVSEGRLRYIEVAREEPFVLSTFALDEEASGWTLQHRLDLSRFRFQFQDYCPHPWLPLKEGDTPQIGFLDPLDDSWIYMSATIANPEDTPRFVFVVDMKKEDVIMCSAYRSGIPSFVPFVLPPWLGSSQIPAAGSDSLLNLLDYY >cds.KYUSt_chr7.30196 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188005560:188006045:1 gene:KYUSg_chr7.30196 transcript:KYUSt_chr7.30196 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVAQYEVEGLEVQAKDGTWLAVPPEPAAFTFMAGELFTVVTNGRVPPCLHRVRTPSNRERLSVLFGCRGKDGVVLSAMDELVDADHPLAYRPCTNDGYAKFRVSDEARKFSDPLKAFCGVEKDGLPTE >cds.KYUSt_contig_686-1.314 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1987280:1989776:-1 gene:KYUSg_contig_686-1.314 transcript:KYUSt_contig_686-1.314 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKVADVAVKAGRAIDWDGMAKVLVSEEARKEFATLRRTFEDVNHQLQTKYSQEPQPIDWEYYRKGIGSKVVDMYKQAYDSIEIPKYVDTVTPEYKPKFDALVVELKEAEKTSLKESERIDKEIVELKEMKKKISTMTADEYFEKHPELKKKFDDEIRNDYWGY >cds.KYUSt_chr3.6920 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39897815:39900245:-1 gene:KYUSg_chr3.6920 transcript:KYUSt_chr3.6920 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGVCSDLSDAAFTPHGAHDSVAKLGQDILNFQRTKQVPEGLGRHVVSSQNAQANWYKKLQVAWKKARPTPTTPEDAAQLVVLTLKNHQKADVEGFLAFYGLPNPNGAAPSAPPSHAPTPTPAAHPTAPTHKPVKAELHTLPVDAKAVADGDTVTVYVDVSDSSESGSVPADIKKAAAERTKARAKRDYPTADALQKTIADAGYRQVPNAKGQEVLARKYRIRLSGIDAPESAMPYGKEAKEALLKLVEGKCLTVHIYDTDRYGRSVGDLHAGGVFVQEQMLKKGFAWHYAAYDKRAELAKSQAQAARRGLWASKKPQEPWEYRKAKRNGGA >cds.KYUSt_chr4.16264 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100918388:100921456:-1 gene:KYUSg_chr4.16264 transcript:KYUSt_chr4.16264 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDLANHKSDGGKQLLVVASDGCSDSLKVGGHEKSSSCPQATSGIFGAAPPCAHHLLNEHVVPDPEHFHTSNDIVYTTLPGCCLTKAQDEKVQEIARSKKPDIPLHVAAMNKRNVDLKDSCVHIPLQLALGHFKDRKTKSTIQLEAPDKKIYSVGASKLNDDQIVLDSEWNSFVASHHIQEKDLLIFRSTENSRLEVLIIDPSGHEKTSSSFVMGNSSSTKETSGDSVHSVDPLPHAAENSSSTKEMSGDSVHIVDPPPHEVIELSSSDDDDIAFCAEYASAARLPGMKQALILQMEGKTKLWDATLCVLSNNVRRIHKGWKEFALQNGLEVDDICLFKLADGDTKSLKMMVYVIRKSEIEL >cds.KYUSt_chr2.27208 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166812358:166813732:-1 gene:KYUSg_chr2.27208 transcript:KYUSt_chr2.27208 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKRGLWSPEEDEKLLRYITANGHSCWSAVPKNAGLQRCGKSCRLRWINYLRPDLKRGTFTEQEERTILDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASKNLLHAHGGSGTANNPSNNHAASQFHSSNGNDTPPFTISSPTKAPATVAVPPSMVPPPGLYDVPNPGMANGQHDEHAHHHAAVAMQQGYPYNDHTNNGALLMSFTDQNSAGVHASMDFLNGSSNSSSSMEHAAGMPNAGNGFNQGMGMSAFMDETAAMWATVVEPDGMGGGIEMSHQHLQQQGLGQEEVVGPPAPIMMNGGAAAAKGVDMMDVSSAVYGSAVATTAFDLELMESCGMFYSGSGHGIGIDQLQWDC >cds.KYUSt_chr4.7971 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47503659:47504894:-1 gene:KYUSg_chr4.7971 transcript:KYUSt_chr4.7971 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDEAEKEQDHPEGSLPAEVLMEILSQVPYKSLCRFKCVSKPWLVFCSDPDICKRCPQTLSGFFYNYGGRVSFHNLSGRGPPMVDPSLPFLRGSYDRFEVKQCCGGLLLCECWESRHEEDKHDFVVCNPITGKWTVLPPILWQNKMDGDPVRFEPIEIFLGFNAAVPSCFTVFVPLTYYYEEEFTEMAIYSSKTRRWITVESNPITQLVGNSECVFLNGTMHLATKDGSIVSVDAKGEEWCEIDMPNEMEGSRRSKVSIGQSQGQLHVWQIYNDDDDAGEDDYDNDDYGDGDGHLYVWVLKDYDSKKWRLKCNVRVSELFKRECGEDDFSYTAFAIHPESNCIFLTDKDETTLSYDMDNLKVKVVRNFQEFQDALPYTPCFAEWS >cds.KYUSt_chr7.15064 pep primary_assembly:MPB_Lper_Kyuss_1697:7:93340798:93345214:-1 gene:KYUSg_chr7.15064 transcript:KYUSt_chr7.15064 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPHVPVLVHPVWAWSFHQEAARLRHFAEGARYVAVNVHYPGLVHHPGKDQDALTDEKRYPILKANVDALKPLQVGIAVCDRDGKSEAWEFNLRDFRRHSDPHDANSLAYLAGRGLDVDTFANHGIDSWSLGAMLLNYSGLIGPWRGLSWVTYTGAYHVAYLLKIVTGGCPLPNDLAGFVGAVRHFLGDQVYDVAGMAAECPKLPVGLERIAAHLGFHPPWNSPRLAAAAGVRALQVFRSLEDGELRGKAVWAWNFDQESSILRSFTMGARYVAVDVHYPGGHIAVCDRHGGIQAWEFNLCDFRRHSDPHDANSLANLDGRDLDVDTLTKHGVDTRRLGAMLLSFSGLIGHWHGHWHGLSWVTYAGAYHVAYLIKVVTGGRPLPNDVAGFITAVRHFHGDQVYDVAGDCFEIDPQELQSMEEKAVQNLVQRIGETVSCGPDQEVSLLRDKEKYDNSFPADGNTNAENVDQDELMREAADDVDDADVGDMPERQ >cds.KYUSt_chr4.11949 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72569797:72570543:-1 gene:KYUSg_chr4.11949 transcript:KYUSt_chr4.11949 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPSDDHVAAVAARLPPVRYYAPFEEEEEEPVQDLDSPRSPGQVSDDYSPLKIVNRGQPSVDYWADLEIVNDDKNAMDDDDEPTQLEKEPVNDSRWSPEDRELYCPVDPNDPESVRKGWEQQSKRFAVHTLRHYNAHDHCNGGVKYELVEATGSQGIVLDSGHIIGHVTFIARATAGHDPSVPQQADPRPFFAVVHAPDLVPTFIVSMDDGEEPGEDDGLCQFCRADLKHPKVHLELHRRRKRSII >cds.KYUSt_chr6.7556 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45928150:45932707:1 gene:KYUSg_chr6.7556 transcript:KYUSt_chr6.7556 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRSPWSPRPAPGPVRLVAVALLLGALAGGAAASRSDGYGHARRQYMRNKVVEMFYHAYDNYMAYAFPHDELKPLTKGFTDSLSELGNLNLQHLPHDYNGSALTLVESLSSLVVLGNFTEFERGVSWLSENLIFDVDARVNLFECNIRLLGGLISAHILAKDYNSQLKGGVYQDQLLHLAENLGSRFLPAFETPTGLPYAWINLKHGVMENETTETSTSGCGSLILEMGALSRLTGDSRYEAAALRALRKLWSMRSSLNLVGSTLDVLSGKWIEYSSGIGAGVDSFYEYLIKAYILFGSDEYWDMFHSAYLAVQKYFRHGPWYHEADIRTGEATHWQLTSLQAFWPGVQTLLGDVAAANLSHREFYNVWQRFGVLPERYLLDYGILHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIVGSLNYYTKVEGGFASIRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLRNKNYIFTTEGHPLPVRSTWHEKFPRSDIPTNWTFVKNDNQPIRASALSSKVCPETIFRQNAGSPWESACHVPDVFPTHRCRADDDCGIESVTCKRRTCSMAGYCGLWLAVY >cds.KYUSt_chr2.51148 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319859804:319860619:-1 gene:KYUSg_chr2.51148 transcript:KYUSt_chr2.51148 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSWSGGRDLAAWISADIGSFSLPLLLRWSEGRVERKIDAPFNKGVAVCLLLQRDGVETQFFLAGLGGEGENAYTSAICVLDELLAGHGGEEELSRIIINASASWRPYPFCFWCEGSAPKLFLSADRGGEGEGSDGAAASLYWRWCFLKLSLRWISSVGDSCRRYLRPRRPRRSSAVPAPGSFYFPHALRLWRKISNPSSAIHPGGEPSGVVPGLIVSGRCLRLNQRCGGEEGLDRFFLFSLEVLFVIFHGTCAISVQAKVLFVIVPTVQ >cds.KYUSt_chr3.23115 pep primary_assembly:MPB_Lper_Kyuss_1697:3:143134762:143136389:-1 gene:KYUSg_chr3.23115 transcript:KYUSt_chr3.23115 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEAAATTVLLNKVLRLRPHRGGAYSGVAKKPVRSSCTGVALRLLFLVATMMLCARQMSWFKVCGKWTADPMLSFNEVGRKLRVWSLSGMGMIPGQRATMEKASPVTEVKFISSQSIFAMVLLWLMDCWSLSLSSSWCSGSGGDERWVKKAVCEQAPEDILTICYLLSRLSAVVGRTKALSGGAAVEEWLRLRARHRRLYRSRVGPCARVWSRAQICFDRIWCLLWWFPSGELRWKETPDHVTAADISVNKVKGSCLESSADALLYLLLLACRGGEEKGRNSSGFGRSAGRRGSSAGLSSLRGADQSPAELLGKFPWWKKPVVALTVSPSLNKRSHLLPGDSASPLLLAGLGGEGGGVGDCKVARCWRWWSGRHPPPRANHMATSVVAMIFGQQGGPKSTSKTEAL >cds.KYUSt_chr1.19076 pep primary_assembly:MPB_Lper_Kyuss_1697:1:112007575:112011351:1 gene:KYUSg_chr1.19076 transcript:KYUSt_chr1.19076 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMPGGVLRRSDSVADMMPEALRQSRYQMKRCFQRYVSKGRRLMKNRQLVEELERSADDDKLEKAKLAEGFLGYVICSTQEAVVLPPFVAFAVRTNPGVWEYIKVHSGDLSVEQVTPADYLKCKETLFDDKWARDDNSLEVDFGALDLSTPHLTLPSSIGNGAQFVSRFMSSKLSGKTENMKPLLDYLLTLNYRGEKLMISETLDTADKLQTALLLAEVFLAGLPRNTPYQKFETKFQEWGLEKGWGDTAKTCRETLNCISEVLQAPDPVNMEKFFSSMPSVFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRALEEELLQRIKQQGLNITPKILVLTRLIPEAKGTKCNVELEPVEHTKHSSILRVPFKTDDGKDLRQWVSRFDIYPYLERYAQDSSVKILDILEGKPDLVIGNYTDGNLVASLLSSKLGVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKEKPGQYESHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTEKEKRLTDLHPQIEELLYSKEDNDEHIGYLEDRSKPTIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVIVGGLLEPSQSKDREEIEEINKMHSLINKYQLKGQIRWIKAQTERVRNGELYRCIADTRGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDEVSGFHINPLNGQEASDKIAGFFQKCKEDPIYWNKMSTAGLQRIYECYTWQIYATKVLNMGSMYGFWRTLNKEERQAKQRYLQMFYNLQFRQLVKTVAKLGEQPTQPVESMAAARIVPRPKERHGPFLRKLLKRGRGSN >cds.KYUSt_chr2.5944 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36919126:36920257:-1 gene:KYUSg_chr2.5944 transcript:KYUSt_chr2.5944 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTLHLRGHMVHTLRLRIHTPNHLQMLHLQVAVVETVPPYPPPGPYGSYPPPPYPYAPYGPYPPAPSEAPAPSSESNAAETIVPPRAKRLDWTVQEEEKLVNAWLFNSKDSVAGNCKTGTSFWGQIAATFNSTSDPSRHRTSKQLKDHWNAYNKEVSLFNAYHIQETNMRQSGADDAMVMKAAMERYANDKRVTQPFRKHHWWDAVKNEAKWKGQHGPGSRTDSTSKRSRLGPSGEYSSSDTTGDTEEERPTGRDRAKAAARKGRRKGKETSSSSEVGSKSFAMSNMMKGLVKAKLFKQWNKMKDRSTVDMNEAEKRKHAKAMKMVEKELGLEDDDDEEEEQEQEEEE >cds.KYUSt_chr6.11855 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73704059:73711105:1 gene:KYUSg_chr6.11855 transcript:KYUSt_chr6.11855 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATKPPLKSSSSHNSAAGPGPGAGKTIEETYQKKTQLEHILLRPDTYVGSVEKHKQKLWVYEGGAMVHREVEYVPGLYKIFDEILVNAADNKQRDPSMNSLQVTIDVPGCCISVYNNGDGVPVEIHQEEGIYVPELIFGHLLTSSNYDDHERKTTGGRNGYGAKLTNIFSTEFVIETADGRRQKKYKQVFSGNMGNKSKPEITKCKQSENWTRVTFKPDLEKFNMSELEQDVVALMKKRVVDMAGTLGKTVKVELDGQKVPVKSFPEYVKLYIDSANKEGPNKEGPELQSLHLKVNDRWEVCVSLSEGQFQQVSFVNGIATIRGGTHVEHVASQIASHVMEAVHKKNKNANVKLHTVKGHLWVFVNALIDNPAFDSQTKETLTTRLGSFGSRCDLPLDFLKKVASSGIVDNLLSWADYKLKKDLKKTDGTKRSNILGIPKLDDANDAGGKDSDKCTLILTEGDSAKALAMAGIGQVGRDHYGVFPLRGKLLNVREANTKQLTENAEIQNIKKILGLQHEKNYDSTNGLRYGHLMIMTDQDHDGSHIKGLLINFIHKFWPSLLKVPSFLVEFVTPIIKATNNRNKSVLSFYSMPDYEEWKEKLGGNASAWTIKYYKGLGTSTAAEGQEYFEHIAKHKKDFVWADDQDDIAIDLAFSKKRISDRKNWLSNFQPGTCLDQREKRIKYRDFINKELILFSMADLERSIPSMVDGLKPGQRKILFCSFKKNLVKEAKVAQFIGYVSEHSAYHHGEQSLASTILGMAQDFVGSNNINLLEPLGQFGTRNQGGKDSASARYIYTRLSPVTRLIFPKDDDVLLDYLNEDGQSIEPSWFVPIIPMVLVNGSEGIGTGWSSYVPNYNPRDIIANLKRLLKDEPVEPMDPWYKGFKGSIEKTIKATGVTYTMTGIIDAPNDSMLKITELPIRRWTGDYKDYLESMCAESGKEKDKEKGKDKNKDKTKKKDKDKDKENNKEKEPPFLETVRSYCDDVNVDFEVTLSEENMNIAKQEGLVKKFKLTSTLAITNMHLFGPDGKIRKYETPEEILEEFFTLRLEYYVKRKDALFKNITLEMRKLDEKVRFILAVVKGEIKVNNRKRAELFKELKQKGYASFPKNKKKNEPVAAGATDDDEGNEESPADAADASDYDYLLSMSIGTLTLEKVQQLIAQQENLSLEVERLRLTEPKALWFQDLDALEKELDRLDTIFQKALEKRRDAQEKNRKKKEAESKAAPKRQPKKAAVKSEKAGSDDEDYGAPMPKPAAQRKKPAKKASAPVKDEEEDMLELKDRLAAYTLHDSSPELSAMDTETTEGQQKGKTGRNAPSKRGAAKKAMASLIELSDEDIAVPTDESEDEEFAMTTEAPVEKKARGRKPAAEKPAAEKPKTTAARKRAPAPSKGMRQKVLEETFKPVDDSNSSAPSPEKKVRKIRASPFNKKSSSILQRGSTSTEDADAPPSGSSAEPVAPRRTVRERKTTLTYVESESEDKDSDDEDVLDVSDDSEYSDDD >cds.KYUSt_chr7.22406 pep primary_assembly:MPB_Lper_Kyuss_1697:7:138845817:138846422:-1 gene:KYUSg_chr7.22406 transcript:KYUSt_chr7.22406 gene_biotype:protein_coding transcript_biotype:protein_coding MADETYVTYVAFEGHVIKTTVTSSGTAVKRWLREIRYMYRWVYHKLIVGLDVEWRPSYSSVQNPAALLQLCVGRRCLIFQLLHADYIPDALDEFLGDPGFRFVGVGVQEDANRLDNDHRLRVATTVDLRGLAADGMQMPWLRQAGLKGIASAVMGANIEKPQKVRVGPWDAYELSDEQIEYACIDAFVSFEVGRKLLTGDY >cds.KYUSt_chr5.7240 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45307535:45308174:-1 gene:KYUSg_chr5.7240 transcript:KYUSt_chr5.7240 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLRNGEQAAAGRRKAAGRMGTLLLLLRVFALCFSLAAAVFAAMDGAVLRLAPFRFLLAANAIVAVYSAFEVAAAAWEVCKGATLLPEAMQLWFDFGHDQGFGYMALAAAATAAREAAVCGGGNISSAACLQADVAVGLGFAAFVFLALAALATGFRLVRFMATGSRLPGSSSAPSSTSPY >cds.KYUSt_chr6.25345 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160562036:160570191:-1 gene:KYUSg_chr6.25345 transcript:KYUSt_chr6.25345 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAATAATLLPRPSTGGHRLASSSYPSSWAAHGRSRLLPIRCQSPGVDKTKTKRNLFDNASNLLTNLLAGGNLKNMPVAEGAVTDLFDRPLFYSLYDWFLEHGSVYKLAFGPKSFVVVSDPIVARYILRENAFAYDKGVLAEILEPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVRVFTKCSERTVLKLEERIEKGDHSDKSTIVNLEEEFSNLALDIIGLGVFNFDFDSVNKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTQWIVPRQRKFRSDLKVINDCLDNLIKNAKETRQEADVEKLQQRDYSSLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSIFLLAQNPTKMRKAQAEIDAVLDDGAITADKLKKLEYIRLIIVESLRLYPQPPLLIRRSLRPDKLPGGYNGANEGYEIPAGTDIFLSIYNLHRSPYFWNKPNEFEPERFTVPKMDENIEGWAGFDPARSPGAMYPNE >cds.KYUSt_chr2.33305 pep primary_assembly:MPB_Lper_Kyuss_1697:2:205536640:205537452:1 gene:KYUSg_chr2.33305 transcript:KYUSt_chr2.33305 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAHAGSVLLPLAFLLGLHHTEFCRAASKHSYDSVKAYGFCTKILAVRGFSTARTANVSVEDEDFSSPMAEHLPCIKFKTPDKMDSHIMNVSQELFPKPWNIK >cds.KYUSt_chr3.36259 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228060230:228063749:-1 gene:KYUSg_chr3.36259 transcript:KYUSt_chr3.36259 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTTTGENDPLINPFVDGARGLEALACGRVLVCIGEGDVLRDRGSLYYDRLRSRYGFDMEQYIYKPEIVKHMAATID >cds.KYUSt_chr6.23113 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146070255:146080551:1 gene:KYUSg_chr6.23113 transcript:KYUSt_chr6.23113 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQSAEQFRGQARLPAFAAPRRYDLRLTPDLAACTFSGSVDISLHVAAPTRFLVLNAADLDVSAADVRFTPHASDQALCPVEVTNELKDEILIIRFNEVLPLGEGTLAIAFLGTLNDKMKGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPAFKAVFKITLEVPSETVALSNMPVVEEKVNGPTKTVYFQETPIMSTYLVAVIVGIFDYVEAFTSDGTSVRVYTQVGKSAQGKFALEVAVKTLILFKEYFAVPYPLPKMDMIAIPDFSAGAMENYGLVTYRETALLFDERHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADCFFPEWNVWIQFLEESTTGFRLDALAGSHPIEVDVNHVDEIDEIFDAISYRKGASVIRMLQSYLGAEIFQKSLAAYIKRYAYSNAKTEDLWAALEEGSGEPVNTLMHSWTKQQGYPVVSVKLKDGKLELEQTQFLSSGSEGVGQWVVPITLCCCSYSLQQKFLFREKQEDFQLAGLLECEKNDEFWIKLNVDQTGFYRVSYDEELASRLRHAVGTNKLSAADRYGVLDDTYALCMAGKQKVVTLLHLIAAYKDETEYTVLALAIETSLRIFEMMAVAAPGELGNMKKFLINFLEPFAQRVGWDAKSGEGHLNALLRGTLLTALAELGHEATINEAVRRFNVFLEDRETPLLPPDVRKAAYVALMQTVSKSNKSGYESLLKIYRETDLSQEKVRVLGSLASSPDSDVVLEALNFLLSAEVRNQDCIFVLRGVTAAAHEVAWTWLKENWDYISETFTGHILTYFISVTVSLLATDEKGDEAEEFFKTRTKASIARTVKQSIERVRIKAKWVENTKGEADLGLVLKELAHKQ >cds.KYUSt_chr3.45319 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285563652:285568808:-1 gene:KYUSg_chr3.45319 transcript:KYUSt_chr3.45319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Uridine 5'-monophosphate synthase (UMP synthase) [Source: Projected from Oryza sativa (Os01g0951400)] MDAAAMESLILELHAVEAYKFGTFLLKSGITSPIYLDLRVLVSHPRLLSAVAALLGTLVPATARPYDLLCGVPYTALPFASVLSVATNIPMILRRYHDADASAGGASAGVRTQGTFRAGDTVLIVEDLVTSGASVLEIVAPLRAEGLLVADAVVVVDRQQGGRENLAANGVTLHSLMTLTEVLAVLVSHGKVTQDKADEVKRFLDANRTVAVPPGTPVKPKVARMPFAERARLAVNPMGRRLFQVMEAKQSNLCVAADVQTTKQLLELANKVGPEICMLKTHVDILSDFTTDFGGKLRSLVENFTMAEVLISAVVGDMVGRVISLLASRCSNQEQSTDDKLQKISRMLIRIHSVVEEAKGRHITNDVALDWLSDLDDGVWQGRYLLDTVRRRDTEHEEDEHGDGEEVQPFSLSLFNPAKRVRVAASTMRCVLSGRSASLDEIHRVLENLQGLSGDLREFLMLLQGCNRIRRPLATNIFVDNGQMFGRHVERERIINFLLHDDYRPSPFKEKLPLLPIVGDIGVGKTTLVQHVCDDARLRSRFPLIMLFDFSSTYAIALGEAAVVLKSKHVIGGSGNLKHPLHVLNESFRSKRFLMVFEDVDMHKKKMLEELLPSLRRHGKQGSKIIVTTNNMRVAASMGTVAPIRLKVLPHPEYWFFFKAHAFAATDVEENPRLLAVGRAISRKLNRSFFGAKIVGGVLRAHPNLQLWCNILRRDIGGLSLLGDGLGYIADLAENLLPSHVSMRQLIITKKPFRSTQPKFAMFHDMFLPSPDGAPESCSADVGNAKVLFFRNGA >cds.KYUSt_chr2.8398 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52864139:52864669:-1 gene:KYUSg_chr2.8398 transcript:KYUSt_chr2.8398 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNSMNVMPSPAPASILTEDLLVEILSRVPYSSLCRFKCVSKSWLALCSDPALRRKSSQTLSGFFYRLVVTSGQPLEYRVHFTNVSGRGRPMINPSLSFMPGCKRIEIIDTSSALLLCRCVKMSPEHEYDYVVCNPAIEKWIPLPYREAMEGVEYTPCVWVSTQFSRATSEFFCL >cds.KYUSt_chr2.51709 pep primary_assembly:MPB_Lper_Kyuss_1697:2:323029712:323030305:1 gene:KYUSg_chr2.51709 transcript:KYUSt_chr2.51709 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHSSAVKLPSRTAPPPGMGAHRWSHAIAKGPPRKIRIVHVVEPTVIKTDARQFRELVQRLTGKPSAGGGGRGGGGASSSSSSAEIAASESSSSGGSESARVVVAPAAVKAEVKAEEEDAPSPEEEGFASACRDTNGGATTAAGFKAVVKEEADAALPEAEEFARAFGEEDDTFGAFFHGLDDFLLSAFQGDGFSL >cds.KYUSt_chr4.8841 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53076316:53077937:-1 gene:KYUSg_chr4.8841 transcript:KYUSt_chr4.8841 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYLAMKTGSASEAAQALLQSDLQELGMAARKFANHAMALDDQGGLGLGLLGSIFKWLAFAAAVYLLILDRTNWKTKMLTGLLIPYIFFTLPGVLFNLIRGEIGAWIAFVAVIVQLFFPKHFRDYLEMPAALILLTVVAPSLIADTFRNDFVGVGICLVIGCYLLQEHIRASGGFKPAFSRANGVSNSIGIALLFIYPVWALITMIF >cds.KYUSt_chr5.6432 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39778258:39783543:1 gene:KYUSg_chr5.6432 transcript:KYUSt_chr5.6432 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPDNSVSRLAEATASAGWKPPQGDSSQPTNNGDLPVLLSFKSFITSDPTQTLSSWTSDLAGNGTSTKVPDFCKWMGVTCSDHRHPGRVTAIRLQGLGLVGTICPQLGNLTHLRVLNLSANNMEGEIPGSIRHCAALRTMDLRVNYLSGSVPASLGLLSKLSFLNINHNNLTGDIPMSFSNLTSLTKLSMRKNHLHGQIPIWLGNLTSLTHLELAQNGFTGHISPDLGKMANLVRFDVMDNYLEGPFPLSMFNISSIADFNIGFNQLSGSLPLDIGFKLPKLNVFATHQNQFKGPVPASLSNASTLTYLLLRGNQYHGLIPRDIGIQGHLRLFSLGYNVLQTTEPRDWDFLTSLTNCSNLEILDFEENNLEGVMPVTITNLSTELNWITLGRNKISGTIPAGLAVFKKLTKLVLADSLFTGTLPLDIGQLPSLQYLDLSHNRFDGNIPQSLGNITQLSNLSLSNNFLDGSIPTSLGNLTKLTYLDLSGNSLKGKIPQEILSIPSLTVLLNLSNNVLSDSIPAQIGNLNSLSAIDLSMNKLSGGIPDALSSCVLLNFLYLQGNILQGQIPKGLSNLRGLGKLDLSDNNLSGSIPEFLENLKFLTYLNISANNLSGPVPNTGIFRNATILSLTGNSMLCGGPPFLQLPSCPSIGSHQTSQYRRRVILFCMLGTLIFFIFSLTACYLMKTRIKLDSVDQEARFHNEKHERISYAEIDAATESFSAANLIGSGSSGNVYTGTLNIDDSLYIVAIKVLNLGIRGANRSFLRECEALRKIRHRNLVKVITVCSSFDHNGDEFKALILEFVCNGNLEEWLHPNTTTNSMTFRSLSLMERLCIALDVAEALEYLHHQIEPSIVHCDIKPCNILLDDDIVAHVTDFGLAKIMHTKECKHSGGGTESSSLVIKGTIGYVAPEYGSGCEVSTDGDIYSYGVLLLEILTGRRPTDSFTDGVTSLVSYVKMAYPTNLLEILDVSAAYSGKSQHIIDILLYPMFKIGLACCEDSPRHRMKMNDVVKELNAIKKACSARMPVRGFRATA >cds.KYUSt_chr3.1838 pep primary_assembly:MPB_Lper_Kyuss_1697:3:10599537:10611286:-1 gene:KYUSg_chr3.1838 transcript:KYUSt_chr3.1838 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLLAVLFLAVYGCAAEGEAGEGSAAAAPGEAEAEEAPMEEKEKRALYAAIESFVGKGWNGSGLFPDPCGQTPIQGVSCDLFNGLWYPTVMSIGPVLDNSLQCAPDAKFSPQLFDLRRLKSLSFYACFPAANPTPIPATNWDKLAGSLETLEFRTNPGLTGAIPAALGRLAGLQSLVVVDNNLTGAVPPELGALARLRRLVLSGNGLLGPVPATLGNGLHAELLLIMDMSKNYLTGSLPPSLGGLKGLLKMDLSNNLLEGSVPPELAGLESLTLLDLRNNSITGGLPEFVQGMASLQDLLLSNNPIGGSLLRSGWEKMASLATLDLSNAGLVGTIPESMAAMPRLRFLALDHNRLSGAVPAKLAAMPSIGAMYLNGNNLTGALQFSAGFYQRMGSRFASWDNPGLCYSSATAGDDAPSGVAVCKDVQEPPSVGVRDRVDGTGRKPEASSSLQASSSSSSGRSAAMLTGLWCLVLMVMVCRQQV >cds.KYUSt_chr2.37809 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234015102:234017434:1 gene:KYUSg_chr2.37809 transcript:KYUSt_chr2.37809 gene_biotype:protein_coding transcript_biotype:protein_coding MNRALPLVGVTFFFVACELAAATGGDRRPYIVRMDVSAMPTPFTTHEGWYTSLLSSLAAKEDAAPEHLYTYAHAMNGFSAVLTERQLAEIQGMAAHVSAFPETYARLHTTRTPEFLGLVGSGASCGGGLWPASKWKGACETGKAFKASMCNKKLIGARSFSKALKQRGMSIAPDDYDSPRDYDGHGTHTSSTAAGASVKGTSYFGYANGTASGIAPMARVAMYKAVFSGDTLESASSDVLAAMDQAIADGVDVMSLSLGFPENSYDTNVIAIGAFAAMQKGIFVTCSAGNDGPEGYTIMNGAPWITTVGASTIDRDFTATVTLDNGSGGASSIHGKSVYPESTAIAGADLYYGGGQGNKNKERCEYSSLSQEEVKGKFVFCAASESVRQQIDEVQAIGGRGLIVACDTTEFLQPTDYTMPLVLVTLSDGAAIQKYLTATKAPPKVSIRFVRTELGVKPAPSVVYFSARGPSQMSPAILKPDIVAPGVDILAAWVPNKEIMEIGTQKLFTKYMLVSGTSMSSPHIAGVAALLRSAHPDWSPAAIRSAMMTTAYVKNNANNVIVSMPNESPGTPLDYGSGHVNPNQARDPGLVYDVTADDYVNFLCGLRYSSRQITAVTGRRNARCAAGASLDLNYPSFMVILNNTKSVTRTFNRVLTNVGSSPAKYSVSLTAPAGMKVTVTPSALSFGGKGSKQKFSVTVQVSQLKRAEDEYSYIGNHGFLSWNEVGGQYAVRSPIVSAFAQ >cds.KYUSt_chr6.513 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3189273:3191007:-1 gene:KYUSg_chr6.513 transcript:KYUSt_chr6.513 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQMEEEEDDEDDDDNFEWSDDDGPHPDEAADQQRALVVSFESEKKLQDDARARKNAQIRRAVELSLQAAQQGRAGDDALLEQRRLASALRMERRHAQQELRRRGGDDGAGSSNAPPGGYSQHAASLSTPTRFRCTAQSQSGCLTRAFPVATFQLTTPEPAPDEEEAKAADEGAVNHAARRRSGRAHACFYAPSVSPPISGCGPA >cds.KYUSt_chr3.4537 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25777318:25778413:-1 gene:KYUSg_chr3.4537 transcript:KYUSt_chr3.4537 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGAGAEGRNNGGSPSSMDESSAKKARHELPNGHVKQEVAVQEAAGEGGAVIAAEYGSPVELAVRINMRVLHCPLCTRPFKPPVLQCKAGHLACGACVAALPRCLCKLCVDADGVFGLCPALDAVVSSAKVACPHDGCGRFVVYHESGEHQNACAHAPCHCTEPGCAGFAVSPEALAGHLASIHAVPLHTVKYGKVSRLQVPASVPRLLLVAEDDGRVFLLTVGALGGAGTTAVSVVCVRASAAARPRFTCKMWVNLPPPPAAAENGSGNGGKSDVILVEMMVRSSTSPGAVVAADQPTFLSVPPMYLVPRPGDDGPSREMPLSIRIDKVSPWSS >cds.KYUSt_chr4.3907 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22371580:22376836:-1 gene:KYUSg_chr4.3907 transcript:KYUSt_chr4.3907 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGADVQGGNKRKRDAAGKHSAGKGGGGDGGVKRNKSYDAPAPRGGGGGGQPVTARDKRVAAKEMSESRKMKRKPNYNLEKTCVKWCSQPERDAIFVALQPHLLALSRQKYAVFLVKKLVKLATKKQFAWFISSVHGKVASLLRHTIGAAVVDCAFQRATPPQRRSLLLELYSTELQLFKDLTEQKSCSLVETISKLGLQKSSVRQYMTTIIQPILEKGIVEYSIVHTVLLEYLTIADKTSASDVIRQLIPHLTQGSAVIDGDELSAVPEVPTKTKAKKKRSSEPLLIRIMHTREGLKLGLACLKHGSAKDRKKIIKSLKGHIMKLATNDYGCLFLVCLLSIVDDTKLVTKQVIQELTKQLKQLVFDKNGRRPLLQLLRPLCSRYLTPTDLTCLNYSVHSLISKDEASESATVNLESKLDAVADKEQGGSDDKEHVVSADTLVASDSKKDPFQRRHELLMKSDLAETLIKTCVENVGELLRTNFGKDVLYEVAVGGKDNVLEGITEQIHLLHDAIASDAARPKSEDIEHAFENFHSSRVIRKMILDSPAFAATLWEKALEGKCKLYADGYSSKVVAAYLESSDSKVKDLAKSELQLLVDGGKLKIPDHKAAEKK >cds.KYUSt_chr5.39617 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250691469:250694183:1 gene:KYUSg_chr5.39617 transcript:KYUSt_chr5.39617 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVHHWRVRVLLLLALYLASASAWPSWPWRRTLSAQHDYRDALSKCILFFEGQRSGRLPPSQRAAWRGDSGLTDGQAAGVDLEGGYYDAGDNVKFGFPMAFTTTMLAWSVLEFGDDMPRDERRHAAEAVRWATDYLLKTVAHPGVGDPWKDHQCWERPEDMDTERTVYNVTTGRPGSEVAGETAAALAAASIVFRGEGDREYAELLLANAKKAFEFADTYRGAYSDDPDLKAAGCPFYCDFDGYQDELLWSAAWLRRASGDDKFQEYIQVNGKTLGAEDNINEFGWDNKHAGLNVLVSKEFIDGVAMSMQSYKESADSFICTLIPESSSPHIQYTPGGMIYKPGGSNMQHVTSISFLLLTYAKYLSKSSHTVNCGDISVGPDTLRLQAKKQVDYLLGDNPMKMSYMVGYGDRYPQRIHHRGSSVPSIKSHPERLACKDGTPYFDSSSPNPNPLVGAVVGGPGEDDAYGDERADFRKSEPTTYINAPLVGVLAYFVGNPNTGHIRH >cds.KYUSt_chr5.17840 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115259644:115260334:1 gene:KYUSg_chr5.17840 transcript:KYUSt_chr5.17840 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSETWKWDTKPVNSPESYSYNITDKVFTIGERYGSMGWVDFWHGIFIYDVILGGNTISYKELPSSGLPALKGLGCTVRDIVMVNGFIGTATSKLLRSWARLQDKPEGVEKPVLMLCAGSPVLSLHNVDVVYILTKPMYNDDMAWVHAVNMSISAERTVGFMFTYTQSSILKPKVIEVKEDNHENEEDLWTLVS >cds.KYUSt_chr2.55603 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346857018:346860292:1 gene:KYUSg_chr2.55603 transcript:KYUSt_chr2.55603 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSAAAGRGIVLWLHGSAETGEQSRAQVAPYFSAVPELRLSFPTAPTTPIACYGALAIASVLLYPQTLGGCGVFSGSIPLSKSFAEKVPPEARKTPVLWFHGMADGLVLFEAGQSGCAFLQELGMACEFKAYPALGHSLVDEELHRLRLYGEHQHYACSEHACIDADLQLPFALHLVGHEVDKGHRVDTALDYQHHQAAFVIYP >cds.KYUSt_scaffold_1242.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000011.1:108055:111205:-1 gene:KYUSg_scaffold_1242.22 transcript:KYUSt_scaffold_1242.22 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFVRSLLLGALDLAELLVSAAVHLSYGFYIFAAAVGRDLLSRALVEGRNSDATGSNDAGDDEDAVLDGEVPPIVLVHGVFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSVHDRARELFYYLKGGRVDYGEEHSRNYGHSRFGRAYHRGHYPMWDEEHPAHFVGHSAGAQVIRLLQQMLHDKAFEGYEDSSENWVLSVTSLSGALNGTTRSYLDGIRPEDSRSLRPICLAHVCRVGITLYHWLDSPWLKRYYDFGFDHFDMSWRVVGLSVLPSLLAGTRGPFATGDWIMSDLTVQGAARMNAGVRTFPSTFYFSYASRHTARVRGVTVPSGVLRIHPLLFIRVLQMCRWRHPTDAEPPCEGYRDEDWEDNDGALNTFSMTHPRIPVEHPSLFVEYDSDCHPLQPGIWYYKIVEADHMTFVINRHRGGVQFDLIYDSIFQNCRKHVFRTTPPPTLPNQNSP >cds.KYUSt_chr6.30926 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195958837:195959508:-1 gene:KYUSg_chr6.30926 transcript:KYUSt_chr6.30926 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASLRRRLPLPLSQAAPPLEDDDLLAEILLRLSPLPSSLPRASAVCARWRGLLSDPAFHRRFRTHHRRRSSPPLIGFIELINFFEGITFHPALDGPDRLPRGRFNLKIDGLGRRIRILGCRHGLGLIFVQFPCQLLVWDPVAGDLHRLDVPPEFKLHYDPLDNPKATIFTQGRRPEHGAISSRRRFHPRLRFSTTSPPFWLGVASTGRSSRLHGTYYSSLI >cds.KYUSt_chr7.25014 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156137464:156138811:1 gene:KYUSg_chr7.25014 transcript:KYUSt_chr7.25014 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKVPSAVQKDKDESIVFFRELCKHEKDTDMNLLEPMYSVEFDAIQGMTPSITICKFDETHCSVCVPVSLGGHMSKPPTGRRDFLVPIAEKHDYEWLKTPPAASLFPSLEVEANSSKTVLQELPIPRPFLGRPEGTKKASSPPGPPPMKNLTRGAPPVDKRSTHTALPSRQQKPAGVTARATTPTNRSTARAVTPTSSNSAKKQSDMFYATHDSSANATTEIVQSPEEVPYMAPKNLLTTGSAFPRRGALSTMVVRPRSRGPAFGADVKGESIGSRRPPPCPAARCFNEPQADSRKNVLPAKGKAVAGTGGEPAGEVVRPKGMRAADGKSERRRPRFADK >cds.KYUSt_chr5.42323 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266850347:266850913:1 gene:KYUSg_chr5.42323 transcript:KYUSt_chr5.42323 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLGQAATVAQMVGVDAGGLISMIIQAAETAHRNKKKCERLARRVSMIADLLPHLRELQDPELALPLSGLDDALREAHGLVQSCQGRSAAYRFVMAGRQAQRFRDVQGRIDAYLIVFPVVSHIAITRRLDRMCHLQRQLSDHSSASVLPSPGPTSPALLLSSEATPAPEVEDVVGNPERALSLVCS >cds.KYUSt_chr5.43394 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273396639:273397250:-1 gene:KYUSg_chr5.43394 transcript:KYUSt_chr5.43394 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPALWRGCVVKVCTYIVLVLMAAVVAGAIWLRIRNQDHPPPRFYATITTADLDIGRQDGMMSTSFDLSLGVAACPGRLLTCGGYCLERGTAVQVSYRGAALGRTVLASSEAVCAAWWLPPESTRWTRVVALGSDITALPGFMADGVAADARSGVRELDVSIRMADGKTAAWCGARRVGDDDKAAATCDVHYSITHASLLTG >cds.KYUSt_chr2.7033 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44004859:44006769:1 gene:KYUSg_chr2.7033 transcript:KYUSt_chr2.7033 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGRELLEEARAPELAAWVRGLRRLIHQHPELAFQEHRTSALIRAELDALGVPYAWPVARTGVVATIVGGAGPGPVFALRADMDALPIQEMVEWEFKSKEDGKMHACGHDAHVAMLLGAAKLLQSRKDGLAGTVKLVFQPAEEGHAGGYHVLREGVLDDVDAIFAMHVDTHLPAGAVGSRPGPFLAGAARFTATVTGKGGHGATPHAAVDPVVAASSAVLSLQQLVARETDPLQGAVVSVTFIRGGEAAFNVIPESVTLGGTLRSMTTEGLSYLMRRIREVVEGQAAVGRCAATVDFMEEELRPYPATVNDQGVYAHVKAVAEGMLGPANVRLSAQIMAAEDFGFYAEKIPAAFFGVGVRGAGEEMHHVHTPHLVIDEDALPVGAALHAAVAIEFLNKHASPVQALSID >cds.KYUSt_chr2.39011 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241885138:241886936:-1 gene:KYUSg_chr2.39011 transcript:KYUSt_chr2.39011 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSAIQLCKNPVFHNRSKHIETWFHFISECANGGKIDVKCIVTKDQLADILARALDDLEKTSHLKDLQAFGPLEIFRGELDVEGSFDESVSGCNYVFLVAAPMDMGSLDPERDLVQAGVQGTLNVMRSCVKAGTVKRVILTSSDSAVCQRPLEGDGHVLDEGSWSDVPYLRAEQPEAWGYAVSKVLMEEAAGKFADENGLSLVSVLPTFTLGAAPVSQARTSVPVVLSLLSGDEEQLNLLEAMHLVTESVSINHIDDLCRAQVFLAENKASSGRYICNSHDTTVVQLARLFADKYPQYNVKSQRFDGSPEKPRVCLSSQKLIGEGFVYNYDDLGAILDDLVEYGRTTGILPY >cds.KYUSt_chr5.40969 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258589778:258590200:1 gene:KYUSg_chr5.40969 transcript:KYUSt_chr5.40969 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQREAQPARSKMAPAPEPKTKGAPATEDFTASEVDVANLLIQLSESSASSGTSQARAPRAPAGSVASYCSRSEDAPPAPVLAPAPAVLLGGCVDWEEDEEQEVAGTQRRVKRYRLIAEIYAATEEIGGRPGRKNKKW >cds.KYUSt_chr7.4429 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26806507:26807957:-1 gene:KYUSg_chr7.4429 transcript:KYUSt_chr7.4429 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVVKRIENKVNRQVTFSKRRGGLLKKAHELAVLCDAHVGVIVFSARGKLFEYCSPLTRHVPSVYSPHSTWSELIKRYEAISNAQHQETNHDDDQQMSVEIARLRRECDQLKANIRRQTGEDLASANTDELDNLQKQLESTLGKVRDRKDELLNQQLDESRRKVHILEDHNRRLRQMVISILLPS >cds.KYUSt_chr7.16421 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101724787:101729144:-1 gene:KYUSg_chr7.16421 transcript:KYUSt_chr7.16421 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALCDQLLVDVDGEFQLPSDADDLFRILETWEDCVNGPAAALSPTTGGHGRGGPGLLQAGPAPGNKGSKRRAPQDEYDGTAKTQKRQRYSPEEGGGATPKTPHITVERNRRKQMNEHLTVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVKQSLEAKKQRKVYTEHVLSPRPLPSSYSPRLPLSPLHRSTPPLSPLLKSTPPLSPRLAIPISPARTPPTPGSPYILRPLPPPLISGSTYVSPAMTPTGHDPAASSYLPSLDAITAELSVYANRQALLLPPTNPLPDVRVEFAGANLVLKTVSHRAPGQAVKIIAALEGRAPALEILHAKISTIDDTDVNAFTVKIGIECALSAEELVQEIQQTFSNPSNEIFSELDEINAQGPIFPRSFQKTEEETKWGHEMARL >cds.KYUSt_chr3.24927 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154723678:154729283:1 gene:KYUSg_chr3.24927 transcript:KYUSt_chr3.24927 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLHTGDDAREAAALLEGSDPAGRRRRSSPVLSASPSPPGWDGGLRRQSSFFRQDVAHAASETYLVTRLTFSLLRYLGLGYHWMKQLLALVVYSILIMPGFWQVAYYYFYSSQVRRSIVYGEQPRNRNFPQGTISDMVSDASEGISFVCNNIASYGGDPNQYNLHNLVDHFHQRGLYRSIFLSIMNGEESLSSYSPEIVAKESSHQTIALLPPIFLMHGAADYSIPSSSRGGNDPLVEDVLSRIQADDVTCGIIASAPTPRRLVFEWQLKLARWISPF >cds.KYUSt_chr5.7811 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49243325:49252661:1 gene:KYUSg_chr5.7811 transcript:KYUSt_chr5.7811 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRSQAREARELHIKGQHEEALARALEVLGANPGSALALNLVGSLHRHFGSAAWRARASDDDEAASTLELHHHQLALDAFSAAARIAPNCVMTAICHAEALAACSRIPDGQVELLRVCSMPEANHMDPAVHHVGYDLVLEASTAKKRKSEALCKANLIMQDFEAMINDKVVPEEAAELLAGDAASADVRRRANLFSQRYPYSARAQLILVYVELEHVRTIDLAADRQRRLWPILAVISEAAVVFDRSLLVALFHAKVLFALDEFDEAERECRRALRVEEPTDPNLDDIPPAVSVPGADYESRVSSVRKQLRILLKRVIVVAALCWSSIESTQHGDRVDRVISLKAATLQEHYNRIDPSAAKTISDTLRFLKNQSSWSFLVCPNSSCHGKRFLGIESLWKHMRKQHRDGLWNKLEAVLGSHLYENTSNDDDDHPFDEITLSKDSQQHDIFHLPTVQPMFRSLLLSPSIGIQAEPLSEMRQRKCREGAEIIADIKKKLRMLPKDELNTEYEEVCFAINDLWLKFLKTSALDHREVILPLARSFQWIQMKISIALSAKDLGRFIGGANIDITFGKVPAAPDRNVSVPLCSDETLKDGEKCEESEIHVVDSKSETMVDQRSMDPPIDVHESGLNVLASDETLKDGEKCEESGIHVVDSNSETMVDQRSMDPPIDVHESGLNVLASDETLKDGEKCEESEIHVVDSKSETMVDQRSMDPPIDVHESGLNVLARIAELELEKEGWAAAMELYSDPDVSSGTSGQSVEEMADKDLSILSVVIQVGINFASEILATILIGLHMSETCSRFGLNKETEKHVVNPIMCGDCMCPTHNLFGIKFNAQMSCECGKCYGEYPYTALFHKLDAGSPQTTKIKSFAELRVLLDEQFCKDNNCKDCGNMLNTDMLLSNTPHFFTIVLNWLSSSESPDILSEFLAGITSPVDTGFFCKSADPSTMYTVTSMICCADESYVCFARDDEDKWLIYDFDTVETEDTWEHLLEGFKNCKLRPQVLFFEVIK >cds.KYUSt_chr4.3339 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19015140:19015400:1 gene:KYUSg_chr4.3339 transcript:KYUSt_chr4.3339 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPHFTGEAAGASWTHEVKQALRDKLRWSATGTVGVAGATRPAVSVPVTATAQPSHGDDGRGSAAATTTEDPIRRVMFLAPWGHT >cds.KYUSt_chr6.30237 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191603377:191605214:-1 gene:KYUSg_chr6.30237 transcript:KYUSt_chr6.30237 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTEVAAVAAPGPERLDSDGNARKRAESSDRDRDDADSDLISKLPDDLLSAIISLLPTKDAGRTQVLSRRWRPMWRAVPLNLDARAKLPGSPAHAHTVPVSAVSTIISQHPGPARRFSFSGFRAGDFDAEMESWFRSRALDKLEELHLCCHTSIDSSSGMPEQSQRLPLSALRSASTLLVARITGCSFPTVMPTMNFPFLAQLTLVYVLIPGDVFHGLLSSCHALESLYISGVSATAGRLLVTSPTLRSIAFHHWPSAKAAQLVIEDAPHLVRLLIPYDSQYGCVTIRVIRAPKLEILGPFFPVLSNLIVSQGISPVSSANWTRSVKVLALRSSGFALHAVLNILRWFPSLEKLYVIFRPHKYIEMDKEDDPLYDPLHPIECLQAHLKLVVFKSFVGNEKQVNFARFFVLNAKVVNRIEFELFKYKSESVAYQHQLLQVENRASREDVFKMQNEMAQKF >cds.KYUSt_chr3.48020 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300701885:300709499:1 gene:KYUSg_chr3.48020 transcript:KYUSt_chr3.48020 gene_biotype:protein_coding transcript_biotype:protein_coding MIMPTRPPARLSRRPPSSFTRANRSNLIETSVRVSPTPAAAARCRPPEARRPAYALRIAAPLLAAVAMGDLASTCKDKLAYFRIKELKDVLIHLALPKHGKKQDLVDRILTLVSDDQGQWHFGRGKKNVPSKETVAGVVDDIYRKMQVHGPPDLFPQRQVAPDFSFLKHQKEQEQPCHLGANNSRCVCGQTFLLGNLVKVLNLVPKEADGESFEEALARVCRCLRGGNTTDDADSDSDLEVVADFFPVSLRCPNSGSRIRTAGRFKPCVHMGSFDLQTFVELNQRSRKVQNCSEDVTDIDVKPDGSWRVKGVVEDTKLSQWHLPDGSLCNLKQDTKPVAGDANQFKKGASYDGSESSKIGSKGYLDLNGLWEISKGDDIKPSIPMSSSHTGICRDGEYQSVSECSTHFGLSSINGHDLDGFPDKFGPLYRDDVRPQEQLMDADVIVLSDSDEENVATASPPAAYNDGGGLGFTLGVAENYQEGGAVGGSGLGLFNHNSDIFDINSWSQPEQGFHLFGADTLVDSRNSSDAAPNAYTLDCNAGSSDTSMVQDLSTYRARTISFVDNLLPFEDDDSSLRIFLPSQPSSVPLQEVRNERDNMSNGVQPDDWISLTLAAGGGGTEQSEPAVTVNPQPQIPVKERSVEPSSDADSPTNFP >cds.KYUSt_chr5.35254 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222853368:222855836:1 gene:KYUSg_chr5.35254 transcript:KYUSt_chr5.35254 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAGVCSVYTRCSFRLFACSWRDAGRLPSGALGQYRRLLSCFSVAVLRGTALCEAISSSSNKASARLSCAWLLFYFLTGRGGKGGVEYSGRSSPASYLVSFLSPTLPRGAGRPSKCVPIEFVRWGFVLVVHVDGKLFNKRVFGHLLCFCGAVVVSLLRAGRGGEGKVEILMSCCSLLTGCGRHLFCGQSAPSYSSACWSSAGRSQRSSRHDASSVEAGCRWGDVSGSGYPLVQFGARGSFGGCCSPLFLLAGRGGEEEEGGNSELKAWPGRSGEFRTSPFRRNFPRYSDYLCPPSPTRGRCDVLECGSLDTDGATEDSCVGDFRSLEATSAGNILTAECRLSKPVSSGVFHTSIGGLLSAETSPPAPGRLPSAAMSFDWPCQRHRAGWMDFMAFKNSSIVAVDHEGRTILYDCASRAIRAM >cds.KYUSt_chr6.30065 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190551976:190553571:-1 gene:KYUSg_chr6.30065 transcript:KYUSt_chr6.30065 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQAEKGKGKEAASEETKWAAPSAAGAAADLSSSWGPSRFGSAVAAPAKKKRPYETEVQIRRLKKKNMKNKERQERKAAGLKINSPDDVPTFNESDSDDRSEEDPSDDVCSDRDVRHQKSRHVLRKLRVGAIPYLLGSGRFKCSWCNRKVLLSDFLGMYQHATYTGIGNEQTAAHLRAKHAAYGLFLKKYVPSQ >cds.KYUSt_chr3.9975 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58736338:58736604:-1 gene:KYUSg_chr3.9975 transcript:KYUSt_chr3.9975 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLCHIPLLWILPLHEFFRGRVLHRLVSGLAFRFSDAVVIAEAAPSVRDSADQFGWRSSLSLFRSIRWAQGAALDLLPMGRGMDWVL >cds.KYUSt_chr4.10460 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63496843:63498522:-1 gene:KYUSg_chr4.10460 transcript:KYUSt_chr4.10460 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRLAFETFPMSRLLYFATVAFPQHTREGLLLFEHFTPRPNLYIYNLALAALCPSQSRSVALYKSILASPATPDERTFLALLRSVECLSVGKQVHAHVLLNGLHSRVYLRNSLTKMYLDAGDAGTAEAMFRCAPALDTVSCNIMLSGYAKGGFSVKALQLVRGMASRGIAVDQYAAVALLSCCGRLKNTLLGRSVHGAVLRRMGVADRGLILSNALLDMYAKCGEMNAAIRVFAEAWEKDDISWNTIISGFADAGMLGLARKFFFDAPCRDLISWNILLAGYARCREFAAVVELFNAMVASCIRPDMVTALTLISAAIGKGELNPGKSVHGWVVKEHGTQDAFLASALVDMYCKCGNVDLAYAVFEKALDKDVTLWTAMISGLAFHGHGTKALDLFWSMQKEGVAPNGVTLVAVLSACSHAGLLAEGCHIFDTMKQSFNIEPGIEHFGCMVDLLARSGRLSDAVGLARTMPMKPSRSIWGSILSASSACQNTEVAEIALEELLRLEPAEEGGYVLLSNLYAAGGHWNYSDKVRETMDRRGVRKAAGASGLAINDATY >cds.KYUSt_chr2.29744 pep primary_assembly:MPB_Lper_Kyuss_1697:2:183034217:183036235:1 gene:KYUSg_chr2.29744 transcript:KYUSt_chr2.29744 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFHFLLPILLFQGFHCAAFGATGDDHFVFSGFHGADLNLDGTATVTPEGLLELTNGTVQLKGHAFFPAPFRLSRFPGGTVKSFSASFVFGIVTAYPNLSCHGIAFVVAPSKNFTTTLAGQYMGLANIDNNGNASNHIFAAELDTMQNIEFEDIDNNHIGVNINGLRSVRSHAAGYYINSENERFQSMSLISGDVMQAWVDYTEEIAQIDVTIAPVKVSKPARPLVSAMYNLSGVLTEQAYIGFSSATGPINSRHYILGWSFGINQPAPPVDIAKLPKMPRLDSKPRSKVQEILTPVAVATFLLALGIVAVLLLRKRLRYAELREDWEVEFGPHRFSYKDLYHATDGFNKKHLLGVGGFGKVYKGVLRTSRLEVAVKRVTHESRQGIKEFVAEVVSIGHIRHRNVVELLGYCRRKGELLLVYGYMPNGSLDKYLHYELGKPVLSWAHRFQIIKGVASGLLYLHEKWGKVVIHRDVKASNVLLDKEMNGRLGDFGLSRLYDHGTDAQTTHMVGTMGYMAPELVRMGKASPPTDVFAFGTFLLEVTCGQRPIREDARGGQFLLVDWVLDHWHGGTLLETVDPRLLEDYDVDEVSLVLKLGLLCSHPYNNARPSMQQVMEYLDRDTPVPDLASAHLSFNVMAVLKSKGFDPHIMAYPPSLVVSISTISDLSGGR >cds.KYUSt_chr3.36456 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229311907:229313780:-1 gene:KYUSg_chr3.36456 transcript:KYUSt_chr3.36456 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTPTLTASILAVLAAVVLLSATATADDAPLPRVKQSDIPAVFAFGDSTLDTGNNNVLSTAVRADHAPYGREFPGGAPTGRFSDGKLLGDYLVEVLGIKDLLPPYRSGQLADAAEAATGVCFASAGSGLDDATATNARVATFASQLDDFRELLGRIGAQKAGKVVGKSVFLVSVGTNDMMMNYYMLPSGRIRYTIDQYHDLLIGKLRSYIQSMYGLGARRILVAGLPPVGCLPLQLTMAELRQPPRPQGCIADQNTAAESYNAKLRRMLAEFQSASPGARAVYADIYTPLLDMVDHPDKYGFVEASRGCCGTGLLEMGPLCTDLVPTCATPSQFMFWDSVHPTQATYRAVAQYFMHANILRFDN >cds.KYUSt_chr1.19986 pep primary_assembly:MPB_Lper_Kyuss_1697:1:117747743:117749933:1 gene:KYUSg_chr1.19986 transcript:KYUSt_chr1.19986 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILARAGTTAGLRRHQQETSWGGRRRRLSSAVVVAAVTGAAPQEGALERPAWSGETPVSRLVAALIAFKPLYSLMKLASREVIIRTAEKSNIPWREMTKKVLESDVYEVFERIRDPNIVYPDYYLSPFHAYDEGNLSWLAAAEAEAATLSIAKRAIPEATTIQEANNIVRGNWMNAIEEHHLKYSGRNCQINDILDIGCSVGVSTRYLAERFPSAKAVGLDLSPYFLAVAAQKEEQLSRQNPIRWVHANGEATGLPSDSFDVVSLAYVCHECPARAIIGLAKEAFRLLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEKALSQAGFVNVHSILTDPRHRTVTATVPY >cds.KYUSt_chr2.3833 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23091195:23100149:-1 gene:KYUSg_chr2.3833 transcript:KYUSt_chr2.3833 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAAEAPALALPTAGAELERRVMAAVKASEARGDPPLLRAVEVARLVAGDGPGAGLPSAELAGILVSNLCFAHNSASLWKLLDQAMSSRLLCPLHVLALLTARVLPHRRAQPEAYRLYLELLKSNVTSSSLSLQAGPNRDKMTKAIDAALQLSKIYGVPKMDFGHVVILFVLSVTTRLIDCILEDCGFPSEGQDSVYPVEGPHAMDVDAKGVSAVKHTEHREQLRRKNTVMALEVLHMMAADKKIQAFLRLICLNMPDKFSALSQRLTLIEARKIALERLLPTRHKIDDLLMYIRRVSNVDYQPNNKRLVDVLGNMRSRGSMLSQLTGAGRAACWIIYDIYLENAIDGKHLSAISAIVIIKEMTKTAQAINEASWQETFKALWIAALRLVQRAREPLEGPIPHLDARLCMLLALIPLAIAAILKEETDAHGSEGNKNLPRRLGLVSSLQDLVQYSGLLVAPSSVVNAANAAASKAAIFRASCNAAGGNPSMIGQGDSSTKAVGNMLHLIVEACISRNLIDTTAYLWPGYIVLSGHLKDTVLPQESPWLNFMQGAPLSDPLKTALISTPASSVAELDKLYHIALNGSEQEKSAAAKILCGASLVRGWNIQEHVVRMVVKLLSPPLPSDSSTRGSMSHYLSQMSTLNAILLCVSYVDAVHILSLYGMVPDVAAALMPLCEAFGSMPPPANHRSTIFDETSVYSVFSCAFLCLLRLWKFYRPPQEHCLAGRGGSIRLELTLDYLLLMHNNHNELPNSSASSTYSSSSVGSFEEVSTQPIYIDSFPKLRAWYLQNQACIASTLSGVCNKNPVHQVANKILSMICRKMNKSGIVSSNLSSTSSSSVSGSSLSTSDDSYQRPTVSAWEFLEAVPFVLEAVLTACSHGRISSRDMTTSLRDLVDFLPASLAAIVSYFTAEITRGIWKAVPMNGTEWPSPGAALHSIEDEVKEILASAGVQIHSCYPRGVPPMLPLPMAALVSLTITFKLDRSLEYIHGIIGQALENCAGGSSWPSMPIIGALWAQKVRRWHDFIVLSCMRSPFGRDKDAVAQLIQSCFTSFLHASSSSGSDITASRGVGALMGESITGQGLQLPMAPGFIYLRTCRTFHDTYFVSETILKQVIDCSHKLASGWSSNGPPHLKSGRTPLSGAASMAYQVAMLGAGLLCIAGGPFAVQVLYEETLPTLLLSAREQIMENVGPVSSTLQGYTMANMLFFCGSLLWGSERTSSILKLSFLSRRPRVVGTHMDFIAGVLDGHILLGCEPGTWKAYVSCFVFLLVKFVPSWLLDIKLDTLKKIAAGLRSWHEHDLAISLLERAGPQTISIVVETLLQ >cds.KYUSt_chr4.45979 pep primary_assembly:MPB_Lper_Kyuss_1697:4:284342111:284346858:-1 gene:KYUSg_chr4.45979 transcript:KYUSt_chr4.45979 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWVTEMSPCEALRFKRRRVNGRPKARLDNLPEDLIQKILSRLTLKEVARISTLSSGWREAWRYHPDLIFDIDKLFDGKDKGDQEFVTSVNAIVKDHYRTVVNKFKVNYGLSEEHGDDLDGWISFAVSSKAKNVVLDLRPAPKCPDNVYNFPLHLFAHRNSSCVLSLRLVLVCLRPAPDFCGFVNLRSLKLHRAYVSKDLHCMLSQCVVLEWLSLTDCFISSFTMSEPLDHLKYACIQNCSLQSIQLDAPNLTVFEYSEKDVPIVLGKFHKLTKAKIEVLSDSDNLDYTFSHLVSTMPNVEEISLRLHIQNEARQFMTNNRCDFFNLRHLEIEVLVDGNPGCSSGILRLASLLELTPSLEVFNLHVLFSSELRYHAVADARKTSGRKLSHLKRVFMSGFADLRGQVELAWYILEHATALKRMVIDPGVKTRFGSHHAKEGVQLDLMAKYFLPKFPEVLTVLRS >cds.KYUSt_chr5.11381 pep primary_assembly:MPB_Lper_Kyuss_1697:5:73836533:73843560:-1 gene:KYUSg_chr5.11381 transcript:KYUSt_chr5.11381 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAMSAASSDAADAASKRPFDSSLADPSPPSKLQRSSQPDILPAEKLESAADGGGTVGAESEAMGGARISRAQRYLVAVEYAGTRFSGSQQQPDKRTVVGVLEDAFQKFIGQPVSVFCSSRTDSGVHALSNVCHVDVERISKRKPGEVVRLMVGLLKVVGTGDKTTADVEKILDAKTVTAAPPMAPACGLYLANVKYDPIV >cds.KYUSt_chr3.17965 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110491333:110492767:-1 gene:KYUSg_chr3.17965 transcript:KYUSt_chr3.17965 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVRETKVGRGDESAKRRRKEGGSSGRIDEESATTEQILEVEEEKISEDEQGNWADIDREVSMGKVLTEDEEMDKYRRGLEYILGGEFGCFEQETTVSSMLTTHKAIQNASTATALQLFSIKVEETKLVWPLHVYGMVAARDPVDRNRNILFNRKRDNCQILTEEDPYLLLTGPSRAIVFLDPVDFEIDLKVKGGVEDKERLIHQVYTFNGTTGADGPRCSNENCTIHLHFKELDETVQATIIGAQIIRGSWPSGYAGQIACSNASSHDEVVLLDFPAGSNPPVVDRDGNLDLSRRVVSVDVHKDMVVCVKAYPVCGDLKQTIVSGDVMFTPQQCGITEGICDLGGYCQVEFKIAWSLLIVANWLLPS >cds.KYUSt_chr7.3842 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22911051:22911344:1 gene:KYUSg_chr7.3842 transcript:KYUSt_chr7.3842 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVAKGCAAPTGSQLHLPARVRRTTAVAQLHRSADLRCSAGHQLFLIKRGTDEEDKGATVEEEKDDSSPWWCLTAAAVGAPTTVETSFPAQATGSV >cds.KYUSt_chr4.16303 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101210632:101214995:-1 gene:KYUSg_chr4.16303 transcript:KYUSt_chr4.16303 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLEKVAADLRASIWKQMSEAGIKYIPSNTFSYYDQVLDTTAMLGAVPDRYSWTGGEIGHDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPQTKFSYASHKAVSEYKEAKALGVDTVPVLVGPVSYLLLSKAAKGVEKSFSLLSLLGSILPIYKEVVAELKAAGASWIQFDEPTLVKDLAAHELAAFSSAYAELESSLSGLNVLVETYFADVPAESYKTLTSLCGVTAYGFDLVRGTKTLDLVKSAGFPSGKYLFAGVVDGRNIWADDLAASLSTLQSLEAVVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALGKALAGQKDEAYFAANAAAQASRKSSPRVNNEEVQKAAAALKGSDHRRATTVSARLDAQQKKLNLPVLPTTTIGSFPQTMDLRRVRREYKAKKISEEAYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPVIYGDVSRPNPMTVFWSKMAQDMTPRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVTYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYAEVMPALKAMVDAAKLIRTQLASAK >cds.KYUSt_chr5.29698 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188273957:188274778:1 gene:KYUSg_chr5.29698 transcript:KYUSt_chr5.29698 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAIACVGNDRPPAPIKTGSWSPDEDALLAALVREHGAGRWSLISAGVPGRTGKSCRLRWCNQLSPDVRHRPFTPEEDALIVAAHARLGNKWAAIARLIPGRTDNSVKNHWNSNFRRCQRRARAMAAAAARAAGASSSSVAGRATARKRMQEQVVVVDVDKDTAKDDPPPAPAGQQTNAGGATDDDDPTMVSLTLSLSLPQQPVGRNGAPSVEAKAPAAAGMGDDEASDAGVMAAMRQMVREEVEQQSGQLLYSVLMAVTAARTAGASNGNH >cds.KYUSt_chr5.35072 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221711125:221715005:-1 gene:KYUSg_chr5.35072 transcript:KYUSt_chr5.35072 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRFGRLLLAVAACLACALADAAPKELKVGYYAKTCPGAEYVVREAMARALKREARSVASVMRLQFHDCFVNGCDGSVLMDATPTMPGEKEALSNINSLRSFEVVDQVKAAVEDHCPGVVSCADIIIMASRDAVVLTGGPHWDVKLGREDSLTASQEDSDNIMPSPRANATALIRLFAGLGLSVMDLVALSGSHSIGEARCFSIMFRLYNQSGSGRPDPHLDPAFRTTLDALCPLTGDQNVSAGMDATPVVFDNQYFKDLVALRGFLNSDQTLFSDNPFTRAMVERFSRDQDAFFRAFADGMVKMGDLQNPRKGEIRANCRVRNGSPPPLKNHAAPFRLLDF >cds.KYUSt_chr7.2503 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14587761:14589519:-1 gene:KYUSg_chr7.2503 transcript:KYUSt_chr7.2503 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKVGVERIAMPVTVRELDMERDLPAVEELERQCQVGISGDQGSAAADSAKKSKKKKRECMSLCVEQIGDPLARVRHAPEHVMLVAECGEKMVGVIKACTKMVSRGSTSSSKNTSSSSSSSPSSVSPTQQQPYVKVAFLLGLRVSPYHRRLGIGTTLVRAAEEWSRAHGAAHATMATTVSNKASLALFAGRFGYAPFRRPVLLGRPVHARRLPLKRHHRVFQLQPALAAAAYARLLPPQDTEFLPADMPALLAHKLTLGTFVAIEGSDHHTATTGAATTDAEQPSFAVLSVWDSTRSLSMRVGGAPALLRHSLAAVRALDRAAPWMRVPSVPDIFRPFGAYLLYGVRMSGPAGPALLRTLCRHAHNVARKNPACAVVAADLAPGDLAAAAVPSWRRFSCDEDVWCIKNLSDGNADPSDDDDWAAPAPPGSVLFVDPREF >cds.KYUSt_chr6.5974 pep primary_assembly:MPB_Lper_Kyuss_1697:6:35934003:35934950:-1 gene:KYUSg_chr6.5974 transcript:KYUSt_chr6.5974 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVALVVALVFTMAQLSAGSSRRLMELYVPPESDRLSYHQGAVLSGDIPVSILWYGKFSPAQKSIVSDFLLLLTSAPNGATTPSVGQWWGTIEQLYLSKAVTAAANGAAAGSTRVLLGKQLTDEDCSHGTSLTLDQIEQLAARVGAKKGGVALVLTDEDVAVEGFCSSRCGRHGSSQDGKSTHIWVGNSVKQCPGHCAWPFAQPQHGPQGAPLVAPNGDVGMDGMVMVLATMVAGTVSNPHGDGYYQGPKGAGLEACTACSGVYGSGAYPGYAGNLLVDPTTGGSYNANGAGGKKYLLPALYDPDTATCSTLV >cds.KYUSt_chr1.15526 pep primary_assembly:MPB_Lper_Kyuss_1697:1:90348062:90354557:1 gene:KYUSg_chr1.15526 transcript:KYUSt_chr1.15526 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQEHDLKENHLQQVSEYLECNFKHHIAQANGSKLREVFFKGIFAGIRFESFRGISASAIMAEDGIGHWVSKDRFAAKRLHALAAELDGPKRRIIEEQSAFRALLNVSPFNIPNALIDFVASHTSPGLREFKFHKKRIVFTKDMVRKVFGIRCGDRLVVQKKGEHPQLRQIYIEEGQSRPFIQHAVKLLKACDVTDDLTIIRTWDLICLATVIAPGSANLISLDYLDCMLDPRRTHEFAWDEHLLELAMQEVTKINSKTAEVVYMDHLDFPPNQHVINYSIPRVCFVTSSDFKFVVQNDADRKILNNKTVFGRRPFLELSNTPYGVAAFSNRHHVEEPVEQPVEESEVNPSASLNEWLVFPTSQDLEVPAAYKHLYEKHRSIYGRDLDTTLKNFGVGLKQMHSQRMAALLIDIDAAKKEGDGPSVHFPNGGGVEDENMDGADRHDDEGTSNHDDEEIPAADSEETDNDEFVVEARAAVMDSRTLVVDMPQSAVLLDSSTGGDVAGEQDPDGVVVSEDQITIPAEEITPPADVPSVVKLDDTSSEQPKVMEATTPPIPSRDAEDHLGENVSPQHPTNTGAEVSVIKRARLVAADGKLSLIAGIPLNVGSTVLVAERSPSPSDVAADVVTKDASTGAKTVEKKTRYKRAAKGELSPPKLKKIKVSQDVVRKYDKYVSHGRKFKRKKKNEVPKEFLKIGRFFCSYKSFVGALRPRQYLSNVVMAVWTEKFNHAAKASAEKNPRNHKRYAFSPYFAEKLAVETSTFDPASVMKEFKIACSKFKILKDDMFSNFVRTANESKVSWVDFGKFKEATPDHPQQDTLYDCGFYSILYMEHFNGKVMPNFENDVVPDFRRLLAASLIDNRDNQSDDVDVIMNEDLQQG >cds.KYUSt_chr1.1339 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7265017:7270117:-1 gene:KYUSg_chr1.1339 transcript:KYUSt_chr1.1339 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRDICVAAGFNVATAVAFLLAFAFLRLQPVNDRVYFPKWYLKGMRDSPSSAGAAVTKYVNIDWRSYFKFLSWIPAALMMPEEELIEHAGLDSVIYLRIYRTGLKIFVPITILAFAILVPVNWTNDALEGLKVVQSDIDKLSLSNVPYGSKRFIAHVVMAYAFTFWTCYVLLKEYEIVTTMRLSFLASEKRRPDQFTVLVRNIPPDPDESVSELVEHFFLVNHPDHYLKNQVVYNANKLADLVEKKKKMRNWLDYYLLKFERKSKRPTTKTGWLGCFGSDVDAIDYYKSEIEKIGKEEAEERKKVMKDPKSIMPAAFVSFRSRWGAAVCAQTQQTSNPTTWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIIAVAFFFLIFFYVVPIAFVQALANIEGIEKALPFLEPLIERPTIKSFIQGFLPGIVLKIFLIVLPTILMYMSQFEGLISQSSLERRTASKYFIFLFFNVFLGSILTGSALEQLKTYLHQSANEIPRTFGVAVPMKATFFITYVMVDGWSGVALEITRIRAFVIFHLKNWFLVKTEKDREEAMDPGSICFYWSEPRIQLYFLLGLVYAPVTPLLLPFILVFFALAYVVYRHQIINVYNQRYESGAQFWPQVHLRIIVALIVSQLLLLGLLSTKGLEEATPALLVLPVLTIWFHKYCKNRFEPAFVRNPIQEAMKKDILERAREPNFDLKSYLADAYLHPVFKSDEVDKFFVADDPGAEEVIVPTKRHSRRTTPVPSVQSKQDGSDRLLLPESVIER >cds.KYUSt_contig_2792.234 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000505.1:1159972:1164303:1 gene:KYUSg_contig_2792.234 transcript:KYUSt_contig_2792.234 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPMSSEIEWGHEIVQEERQQRELGVHRGEIWPWKENLEMRTERDKVGKVVLKARGDVSHLLGGSYQAFWVGPDAWSCVRGNTKVMAFRAKTGLLTFGDKPYIPTAKFVEPLMGFVTGDDKGYIHVYSYEKAEKLQNFRGHADRVTSLVVHPSEPLVLSASWDKLIKLWNWEAGWQCIRTFEGHSSIVCQVKFNPQTAGNTFASCSADFTRKMWNMDSPTPVASFVCYPESGLNYFCPGGALQMLVTYTDCMGIAQHLAIEVGISWSTNAAHNGEDTHLHSTPNEGAASDHLQHVPGRLNASSSHSRVGGNACAPALEPRSGPRSLDRAQMPKRQFLFSAVFGFRNPTQEIFSELDETKAQGLIFPRSFQKTEEDMKWRHEGP >cds.KYUSt_chr2.11800 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75109913:75110890:1 gene:KYUSg_chr2.11800 transcript:KYUSt_chr2.11800 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGWAVSPWMARVLVCLEEAGADYELVPMSRSGGDHQQPEHLARNPFGEIPVLEDGDLTLYQSRAIARHILRKYKPELLRSGNLEESAMVDVWVDVDAHQLEPIIRPVVFNVIIKPFTGSDCDQDLVDESIEKLKKTLEVYEARLSSSKYLAGDFVSLADLSHFSFMRYFMATQYAEVVEAYPHVKAWWEALLARPSVKKVMAGMPPDFGFGSGNIP >cds.KYUSt_chr2.39607 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245711090:245712739:1 gene:KYUSg_chr2.39607 transcript:KYUSt_chr2.39607 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEAAPHSPSDDSPDFERPLLHAHGGLQAGKDPAAVRDHEAQCSPDAGGATSVRTCFNGLNALSGVGLLSIPYALAEGGWLSLVLLLFVAAVCCYTGQLLQTCMSASPDVRSYPDIGARAFGAKGRFAVSLFLYAELYLVAIGFLILEGDNLDKLFPGTSLSLGPGIVVSGKHLFIVLVSIAILPTTWLRNLSVLAYVSASGVLASVVLVFCVLWAAVVDGVGFQGKGTMLNVSGLPTALGLYTFCYCGHAIFPTLCNSMKEKDKFSKVLVICFVACTLNYGSMAILGYLMYGDDVESQVTLNLPEGKLSSKLAIYTALINPFSKYALMVTPVATAIEEKLLAGNKRSMNMLIRTFIVISTVIIALTVPFFGHLMALVGSLLSVMASMLLPCICYLKIFGTARCSRAEVVLIVTIIILGSLVAASGTYSSLQKIIHEF >cds.KYUSt_chr4.8049 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48020350:48023025:-1 gene:KYUSg_chr4.8049 transcript:KYUSt_chr4.8049 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCHVSAACELNLKHFVQRFACPSSPRRRPRRRWLAMRRLLRRRSFKPPQRKCLHAAFSTAASSATATATAGTCAYRLLALLRGCVAPTHLPLGLRIHARAVTSGLLAAADGPAALQTRLIGMYVLSRRFRDAVAVFSSLPRAAAAAALPWNWIIRGLTMAGHHRFAVLFFVKMWAHPSAPLPDGHTLPYVVKSCAALGALSLGRLVHSTARDLGLDRDMYVGSALIKMYADAGLLGGAREVFDEMDERDCVLWNVMMDGYVKAGDVTGAVGLFKSMRASGCDPNFATLACFLSVCAAEADLMSGVQLHTLAVKYGLEPTVAVANTLVSMYGKCQCLDDAWRLFGLMPKDDLVTWNGMISGCVQNGLVDDALRLFCDMQKSGIQPDSVTLASLLPALTDLSGFKQGKEMHGYIVRNCMHVDVFLVSALVDIYFKCRDVRMAQNVFDATKTIDVVIGSTMISGYVLNGMSEAAVKMFRYLLELSIKPNAVVVATMLPACASMSAMKLGQELHGYVLKNAYEGKCYLESALMDMYAKCGRLDLSHYIFSNMPAKDDVTWNSMISSFAQNGEPEAALDLFRQMSMEGIKYNNVTISSILSACAGLPAIYYGKEVHGIIIKGPIRADVFAESALIDMYGKCGNLELALRVFKFMPEKNEVSWNSIISAYGAHGLVKESVSLLSRMQEEGFKADHVTFLALISACAHAGQVQEGLRLFRCMTEEYQIAPRVEHLACMVGLYSRAGKLDKAMQFIADMPFKPDAGIWGALLHACRVHRNVELAEIASQELFKLDPHNSGYYVLMSNINAVAGRWDGVSKMRRLMKDKKVQKIPGYSWVDINNTSHLFVAADKSHPDSEDIYMSLKSLLLELKHEGYVPSADICDMTQPDNIDQVEQ >cds.KYUSt_chr2.10034 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63528577:63529080:-1 gene:KYUSg_chr2.10034 transcript:KYUSt_chr2.10034 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLISELAPSWKHGFSGNCISLPCQVQTEPLHPPGLEGAQPRPAGEPQLLLCIGSEPRRTLHLLICAAAAFKVAAATVAAKRFVVSMPAISAAATGAGAGAMRSSPLLTPCTGARCISMRQTMAERARSLELLLLPMLVRRAMVSAVAAAAALVETYKFCPGLALA >cds.KYUSt_chr5.37063 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234514639:234517704:-1 gene:KYUSg_chr5.37063 transcript:KYUSt_chr5.37063 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLLAVANGANPVALRKGIDKAVHELIVILKEKCIPVITKEDIKAVASISAGNDEYVGDLIADALEKIGPDGIIKIESSSSIYTTVEVQEGMKIDKGYISPHFITNPDKAIVEFENARVLLTDQRVNEIQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFVSDLGWGLQAITSDQLGMAQKITITSESTTIIAHPSMRPEIEARIMQLKKDVQETTSSYLKERFSARIAKLSRGVAVIKVGAATEAELEDRKLRAEDAKNATFAAISEGITPGGGVTYVRLSKHIPSIMDLVDDPEEKIGVNIVGKALLVPAMTIARNAGADGSAIVEKILESEFRVGYNAMTDRFEDLVDAGVVDPCKVARCVLQNSASIAGLILMTQAMMFDKVKKKKSPIPEIPGLPPLQINQNA >cds.KYUSt_chr6.10388 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64054869:64059241:-1 gene:KYUSg_chr6.10388 transcript:KYUSt_chr6.10388 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTTATTLHPQFRPPSHQRAPRRIRAYPLSPRFTIRATAASTSAPAQREAEAGVPWGCEIESLESAASLERWLTASGLPEQRLALEKVDIGERGLVALKNVRNGEKLLFVPPSLVITADSEWTNREVGEVMKRYSVPDWPLLATYLISEASLEGSSRWSSYIDALPRQPYSLLYWTRTEIDAYLVACPIRERAISRIGDVIGTYNDLRDRIFSKYPDLFPEEVYNMETFRWSFGILFSRLVRLESMDGRVALVPWADMLNHSPEVDAFLDYDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVEFLVSLNKSDECYKEKLQALKRHGLSESESFPLRVTGWPVELMAYAFLVVSPPEMIQRFDEMAVAASNKGSSKPAVQYPELDEDALQFILDCCESSIKKYTKYLEGAKGSAEVSINSKQATRTLLLKQLARDLCISERRILYRSQYILRRRLRDMRAGGELKALSLFNGLRNLFK >cds.KYUSt_chr2.517 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3128867:3132810:-1 gene:KYUSg_chr2.517 transcript:KYUSt_chr2.517 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKLAPEVCSTCRLALSVTSMCLDPSGILEPIKAGIRDAKLGVGKQEQDDFFTSEDNVQRKRLNVELEETEEHVKKREVTAEREQKIRSEVKEIQKTFFCSLCNKQYKLAYEFESHLSSYDHNHRKRFKEMKEMQSSSNSNRDDRQKRQMLIESSSNRSKNSQRTRERKSRQSLPLAIRISVER >cds.KYUSt_chr2.37741 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233554484:233554954:1 gene:KYUSg_chr2.37741 transcript:KYUSt_chr2.37741 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSTAAGSKGKKGAAGRKAGGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAAKDNKKSRIIPRHLLLAIRNDEELGRLLAGVTIAHGGVLPKINPVLLPKKTAEKAAKEPKSPKKTAKSPKKA >cds.KYUSt_chr2.26610 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162964743:162967137:1 gene:KYUSg_chr2.26610 transcript:KYUSt_chr2.26610 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHHPRSPLAAKKTSSSPPPEPPLRRPKIPTEWFDEHPEFLSNPFYVAGDSYSGMIVPAITLEIAKWKEDGNGPALNLKVENSTGYLVGNPVTDGNFDNPARVPFAHGMGLISDEMYQAYKDSCGADQSRQQSRQCTSSHDVIDEGTVPLWIRCNDDILYANNIHSSVKHHLEVTTRGYKCLVYSGDHDMIIPFIGTQAWIRSLNFSVVNEWRPWYVDAQVAG >cds.KYUSt_chr2.27074 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165931128:165936384:1 gene:KYUSg_chr2.27074 transcript:KYUSt_chr2.27074 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSPPPTHTHTGALRLITSPAPLHSTRDGPSSAVAVPSSLSQVPVRKPRGLTPRHPVLCEMLPAGHHLSLLALALVPLASLAGAAAAGAGEGFCSAEPSSDCSQGPPLYWKVTNPTLSPVHLQDLPGFTRSVYKKDHALITPESHVFSPLPDWTNTLGAYLISPALGAHFTMYLANMQDGSKSALPPKDVERLIFVLQGSIALSLESERSHSLLVDSYAYLPANMKHSMTSDEPSTLVIFERRYTAIGDYHPDLIVGSTDKQALLETPGEVFELRKLLPTSLPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDTIWMAPFVPQWYAALGKTKSRYLLYKDVNRNPLI >cds.KYUSt_chr5.9098 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57731972:57732283:1 gene:KYUSg_chr5.9098 transcript:KYUSt_chr5.9098 gene_biotype:protein_coding transcript_biotype:protein_coding MESKFFRFLKLVGVGFKARTECQGRELFLKLGYSHEVQFTAPPSVRVFCFKPNIVCCTGIDKDKVHHFAGAVRSCKSPEVYKGKGVLYMDEVVKLKQGKKQKK >cds.KYUSt_scaffold_869.370 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:2359371:2362866:-1 gene:KYUSg_scaffold_869.370 transcript:KYUSt_scaffold_869.370 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSAAPACRDMAPSLGIPTVDMSAPAGRAELSRQMVEAFAERGFFKAVNHGVPPQVAARLDAASAVFFAQPAPEKQAAGPPDPLGYGSRSIGSHGDVGELEYLILHTDPEAVARKAKAIDREDPSRFSEAVNEYVQAVRHLACQILDLLGEGLGLRDPSSFSKLISATDSDSLVRINYYPPTAAGDGDVKGPSSVGFGEHSDPQILSVLRANDVDGLQVLLPDARGEDAWVQVPADPAAFFINVGDLLQALTNGRLVSIRHRVMASTTKPRLSAIYFATPALHANISALPETVTADMPRRYRPFTWAEYKKTMYTLRLSHNRLDLFKVLVHSDVDEGGDQE >cds.KYUSt_chr7.8937 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54341972:54342295:1 gene:KYUSg_chr7.8937 transcript:KYUSt_chr7.8937 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSTDAGEKGALHQRHGGRCWTTRPSGCASASPEFRVRWAIRISISMDRNLRVVPSFVKPWSGGSRLSTMARIAGPWIAVVATASNALRSGWFAVRGAAVSGAGD >cds.KYUSt_chr7.12549 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77366229:77366627:-1 gene:KYUSg_chr7.12549 transcript:KYUSt_chr7.12549 gene_biotype:protein_coding transcript_biotype:protein_coding METGSTASYPWVVLHLGRSRGARTALCPSRGGGAGKSTPLLPLTSPSPTASRARAGASASGREVSVEAILEMGNDEEEEDRTRIPTVHGAGVDEVAVLAGRQHRLRVVQTATEPCHHHPLSRAAAMDAKIEA >cds.KYUSt_chr2.5254 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32631963:32636280:-1 gene:KYUSg_chr2.5254 transcript:KYUSt_chr2.5254 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVYGRPSAACTPSPDRRAASQPQHKADASSAAAAGEAGEKVEQPAAAVAAPVKRERRSRSSRHGPPEARLGGSFANKARGEQVAAGWPAWLSAVAGEAINGWTPRRADSFEKIDKIGQGTYSNVYKARDSVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPDIKFTLPQVKCYVHQLLSGLEHCHNQGVLHRDIKGSNLLMDDHGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPAEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLSIDPADRLTATAALNSDFFKTEPHACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGNRPNGDGARKTRTRDRPRAVPAPEANAELQVNIDKRRLITHANAKSKSEKFPPPHQDGAIGYPLGCSNHMEPTFEPPDPSSFSTVFPYEKGSVPTWSGPLADPSAGKQKRKHKSGRSSKQPSTARAR >cds.KYUSt_chr1.27448 pep primary_assembly:MPB_Lper_Kyuss_1697:1:165464513:165465058:-1 gene:KYUSg_chr1.27448 transcript:KYUSt_chr1.27448 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNIDLTGGEGGGSIGNGNNSSIGSGNIYNNSSFIGNGNGGNAVHGNHGTVHNMFIVLNICSGSGADADDRIQDQERGAAPMVARVLGVIGVATSSIATGATAYKYNRPRRIVHHGRELAYLIAIVVVFLAGLAEVGAAFWVSVDNRNRYGVSRKIMSAAIVLLVLTVGLGCFAIVFKN >cds.KYUSt_chr7.2634 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15489632:15490171:-1 gene:KYUSg_chr7.2634 transcript:KYUSt_chr7.2634 gene_biotype:protein_coding transcript_biotype:protein_coding MFQIGRWPSTVTQHHERNAAVPLQRLLRPEAGPPGSPWRRQQSISLGLRVASRCCCYWNKTYMHRSTIGTLHTHASSFFPSLLSLLTPPGEPPAKEREMESGGRKEEEVPAAAKAQRGAASFGVSVQEWFQHVKASMLGVVRKATARSEQEAAEADMRVAKEQVEATDEAEAKKKQLGA >cds.KYUSt_chr2.38267 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236952349:236954558:-1 gene:KYUSg_chr2.38267 transcript:KYUSt_chr2.38267 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGLQLRKKPAASSSSRPAPPARPLAAFADDGDNDVEADILRQSSKKRALQKVEELQKKAIEEDPSVFAYDEVYDDMKEKAARPKMQAKVVRQSKYIEALKEKAEQRKREQDIVYERKLQKERSKEDHLFSDKDKFVTSAYRKKLEEEKKWQEEERRRLIQEERDDVTKKKDLSDFYFGLAKNVAFGARTHDEAAEPEKLDTKADDIQASKSDARRICPFS >cds.KYUSt_chr2.42903 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267063779:267070133:-1 gene:KYUSg_chr2.42903 transcript:KYUSt_chr2.42903 gene_biotype:protein_coding transcript_biotype:protein_coding MPERSAATGAPPPDFGAATGAPTTAMAALPPPAPEASPFPPPVLPSTPPCPSLLGCVDSTAPRAGDGQQPATGSSGQEFVGGMGRVGGQGSEATDGDGGLKMGSSGQEFVGGMGRVGGQGSAATDDGGKGTQCKNTKQNQRKKLNGSSKKNKRGRSKKPKRAAAAAAPSSTGATMVEDPFLVLAGGREGGFLELEEIDGADYGIFGTIVEDVGASARKVGGDQKRKTKRGKRKRGDGAKRLDADDDGDCADGLVAQSKEEEGEKAEEKGKRKKRNRKKRKVNDKEKDSESKEDGADDNADEGKKDVTDDNTEKGEKKGKKKRNRKKRKVNDEEKDSDSKDDVANDIMEDAQDVDENMEQDNNGELKLGEDELYAWLELRLHPLLIKAMHRLGFKEPTPIQKACIPAGAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKAERVHVEDEKLTEESSSGGPLRALILTPTRELAKQVCDHLKDAAKFLGIHVVPIVGGLSMDKQERLLKKKPEIVVGTPGRLWELMSSGNQHLVELHSLSFFVLDEADRMIERGHFKEVQSIIEMLPLSNSSDEPTVKATSSCETVLNLQVKKRQTFVFSATLALSANFRKKLKRGLSTSKASTADDLSSIEALSKQAGMKPNAEIIDLTNASILPAKLEESFIECSDDDKDANLYYILSVHGQGRTIIFCTSIAALRHISSLLRILGINVLTNHAQMQQRARMKENLQQFPVDQAYMPQVMNRLSLARQIDKISRKNSQENANKSWLQRNAESMGLILDASDSEEERVQGHKQRKATSAKLQKLQQAGISPLLQKQLEDLAKRNVNGNTSNNENKGSQFVIGQDRVEPLQALQDSGQEVHENKREMTGDKQKRGTE >cds.KYUSt_chr4.28146 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176869105:176878698:1 gene:KYUSg_chr4.28146 transcript:KYUSt_chr4.28146 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSVASFLKASSSQSAATHSCCSRGNPKSGSPVSDDDGASCVVLSLGHHFWSSGWMEVDLGGVVFIYHVDVHDSRRRVAAGYRKWARTAGRMQDGGAVWCHGDIDDRSGKGGSTMAAMGPLGGDSYALRCVSDLPPPFRPVFGFRYFNSLQSECFPACYLSDVNMVVSAPTGSGKTALFELCILRLLSRFLTPDWRFSLVKGTLKTIYIAPMKALVQEKMRDWTAKLGTLGINCLEMTGDSEFYNKKAIHDSDLILTTPEKFDSMSRNGIRDGGLGFFSDIALVLIDEVHLLNDPRGASLEAVVSRIKMLSRLGHMKSSPLANVRFIAVSATISNAEDIAEWLLAPPEGLKRFGEEMRPVKLTTKVLGYAAAKNDFLFERRLQSFIFDILMQHSRGKSALVFCSTRKGAQEAAQCLSQTGGSHGYSNPFMKSMQQYERLREASLTCNDKQLQSCIVHGVGFHNGGLGSKDQSLVEGLFLKGDLQILCTTNTLAQGINLPAHTVVIKSTQFFNKEKSSYVEYERSMVLQMCGRAGRPPFDDTGTVVIMTRRETVHLYENLLRGCEMVESQLLPCAVEHLNAEIVQLTVSDITLAIEWLKCSYLYIRIKKNPEHYGIKRGIPCDLLEKQMRDICVEKIHELGEYGLIWTDEDGFLLKPLEPGRLMTKFYLKFDTMKLIVKASACCTLEDLLYIICRSAEISWIQLRRNDKKTLNDINTDKEGRLRFHVLTENGKKKKRIMTREDKIFVLANDCLTGDPLMHDLSFNQETNSICSNGCRIAKCMKEYFIYKKSYKSAINSMLLGKCLDQKLWESSPLLLKQLPGIGIVTAKALKNVGVDSFESLAAADARKLESATGRNYPFGNQIKESLSALPPKIDIQIEDVGNRQGKSTIIVTLSRQSLALRSSKQNYADMVVGSEEDNMILFHEKIRAREFSSPYSVKLFVPCPQGARVTLKADLIFEEHVGIDIHKKHVISREDDLHVTNLPADLCLVSSRTTQANIGRSPLSKEVCVIEDDDSVNAPDKAENIPGMRKFNNLASLEVPSFDLLLEEDNGDTEDASFYEPVEAECKGATSNTIFDHIRKKSRDFPTLMLSKSMDSSYEPLILKKMKTSRDQFDLDQGSLHANEVSPMDSEHTEATVSPTNTAEKCRRILSRSSEKSCSLFAEKIDSPLEKSKILTRSPYEISLQFPGRRDSPSERSKILSRIANENSLQFAARRDSLSEKTKVAWTTPDENSGQFAGKMDSLSEKCKVMIRPPVDNTLQFPARRDSLSEKTKVAWTTPDENTLQFAVRREIPPEKSKVLNRTSDENRLQFAGKMDSSSEKSNALSRTSAENCLQFAGKMDSSSEKSNVLSRTPDENCLHFDGKTDSSSEKSNVLSRTPEVNSLQFAGRTDNPPEKNRLVFGLPSSDFQAMQSTKQVPAAVQPLRIQEYCKDILASSKSREFKSIFSFL >cds.KYUSt_chr6.28793 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182462549:182470504:1 gene:KYUSg_chr6.28793 transcript:KYUSt_chr6.28793 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGGGDPWLRTRNAHVGRQVWEFDAAADDPDALAAVEAARRDFAAGRHRLKHSADRLMRIQFAKEKPLKLDLPAIKLEENEDVTEEAVSTSLKKAISRFSTLQAHDGHWPGDYGGPMFLMPGLLITLYVTGALNTVLSSEHQKEIRRYLYNHQNDDGGWGLHIEGPSTMFGSALTYVSLRILGEGPDSGDGAMEKGRNWILDHGGATYITSWGKFWLAVLGVFDWSGNNPLPPEIWMLSYRLPIHPGRMWCHCRMVYLPMCYIYGKRFVGRITPLILELRNELYKTPYSEVDWDSARNLCAKEDLYYPHPMIQDILWATLHKFVEPVMTHWPGNKLREKALNHVMQHVHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRIHDYLWVAEDGMKMQGYNGSQLWDTAFAVQAIAATGLIDESAPTLKLAHNYIKNSQVLDDCPGDLSYWYRHISKGAWPFSTADHGWPISDCTAEGLKAALVLSKISPEIVGEPVEVNRLYDAVNCLMSYMNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALASFKKLYPGHRRKDVDNCINKAANYIESIQRSDGSWYGSWAVCFTYGTWFGVKALVAAGRTFKSSPAIRKACDFLMSKELSFGGWGESYLSCQDQVYTNLEGKRAHAVNTGWAMLTLIDAGQAERDPTPLHRAAKVLINLQSEDGEFPQQEIMGVFNKNCMISYSQYRDIFPVWALGEYRCRVLAAGKK >cds.KYUSt_chr2.10478 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66469528:66470124:-1 gene:KYUSg_chr2.10478 transcript:KYUSt_chr2.10478 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVLHFANLPIKLMLPPAPLASVQEFAIKTVPSASKIDIRRCLESMYGFSIAEVRTLNMEGKKLRRGRFLAAKPDYKKAYVTLHAPLHVSPDIFPIGAILAERERKASAAAARRKAVEGAEIDGEREGKGKHWMEDDREGFSRAGHGKVVYGNPGRLGKKRRDRARVNQEPKEEGEKFPWNGMRLTTEKPAR >cds.KYUSt_chr2.3178 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18748052:18750082:1 gene:KYUSg_chr2.3178 transcript:KYUSt_chr2.3178 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMHATTNRNPFPRLAVLLLLVAIAAAQPLPVAPPPEDAGCNGVLLTYTFEGRERIRPFLDPTTEADSQPYSFRANATVLNSGVRTLPSWALLLTFIHGEILVGVDGAVLTSGADLPYNTTAAPTTTTSFSGYPQTDLLTPIATAGDLSKIQATVRLYGTLFAGPEPLLPLPSALSLSDPAYNCSPLAPAPTTTRSTCCVLKSPDQLAAEAPAGSAAASYLPRVAGDLVITYDVLQAHESTYLALLTLENDAPMGRLDGWELSWEWQRGEFVNTMRGAYPRKVDASECLFGPQGQYYKDIDFSKVLNCERRPAVFDLPPERRQDASMGQIEHCCRNGTLLPKSMGDGTQSVSAFQMEVYKMPPDVNRTSRLHAPANFRVTGASTLNPEHACGQPVPVSPSEFPDPSGLDSTTLAVASWQVSCNITVDDTSKPSKPPQCCVSFSSFNDESVVPCNTCACGCPAPATRTCSATAPAMVLPPYALLMPFERRDKEALKWAHDKELGAPPDPLPCGDMCGVSVNWHLATDAAGGWSARITLFNWEDSDMRDWFASVVLDDKVYGGFEQAYSFNATAAGDGTIFMRGREGFDVLIRESNMSGVDYPVPGKQQSVLSFTKKTSPADVDVLRRDGFPTKVFFNGEECAMPNRIPSHGGIGARASRAALLLLLSLFYLLVSSA >cds.KYUSt_chr2.45425 pep primary_assembly:MPB_Lper_Kyuss_1697:2:283269342:283272214:1 gene:KYUSg_chr2.45425 transcript:KYUSt_chr2.45425 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASVDAAGDHNPPEITPADLTSLDPAADPQRNGEPPAPPSGDDGTSAMGVTEIPDPARAECGAKRPRPLPSRAPLCSKCARRKAILRGTDPAPQRDDEPSALPSASASPPLTGTELADPGALGAKKGPPSPTATTLCGRCEFREKIRNEMLLRSTRNREAPAPPPPGTPRLHIPPDADMGWVMRQLHLWEPERAPKLKRRAKERPAPGKPLTAEEERGYRECDVGKKLMRHKWDGLQHKWGGMRRIKCIPRSRRQGAADGGANLLAKIQAAQEFFKEPPPGAEEVMRKSWAETRGMLDRMELSVKEFVDLGDKKLQLRQGPQKVPKLDDLDFTCRGVDPLPSTRLGVRKPLRVDDAKLAPPQGAQKGLRKDSVWEMARFGAISAGRRNPLENTMLSVQKALRVDDAKLAPPPQGPQNPSSSAAARVDVLHDKLAQMTKVLTKVLHEAGVLQVSMKLLRLLCPGVGSSAAAGGALDGNDWGLVEETIDNLVNQTGEGMQDIVKTVIPKVFSKEILYDLIMACVGRYPKLLEDDKGKLTAKKYEQYQKQLDLMVNLTTVYDNDPENFPKIMKLMCKIGGCGPLPSEVIDDISPGLDLSTMEQL >cds.KYUSt_chr6.21266 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134242683:134246845:1 gene:KYUSg_chr6.21266 transcript:KYUSt_chr6.21266 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPRLLIARLLLAILVGAVAAHGRLLPASDGLQRTADDSIAALRLPSESEGCEMTYGFLPCTDTAGGNLFLAVAYGFLMFKSATYLSAGSELLLEILGPGIVGGLFLPILGALPDALLILVSGLSGSKEVAQSQVLIGMGLLAGSTVMLLTILWGSCVVVGKCDLSENSTTVDSQDTKAFSLFGSGVSTDLQTSYAARIMAISVLPFIVVQIPSILKLQSGHRLTLLLGLIVAVLLLLTYCLYQIFQPWIQRRKLEYSRLKHVMSGLLKHAQMHTFGRLIDDDGRPNVSVIEKLFHKIDLDNDGSIERGELQAFIVGVNFEDIDLDSNLAADQVMADFDRSHNNFIEKGEFIDGVLRWLEEAKRAVAFSGVYSKKFMDDFHTTTRDEHTALLDKDEEDGESIENPTWTCFKAIALLLLGTAMAAAFADPLVDAVHNFSSATNIPSFFISFIAMPLATNSSEAVSAIIFASRKKQRTLSLTFSEVYGGVTMNNTLCLAVFLSLVYIRGLTWDFSSEVLVIFLVCIIMGLFTSFRTTFPLWMCFVAFLLYPLSLILVYILDYKFGWS >cds.KYUSt_chr4.7778 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46360784:46366784:1 gene:KYUSg_chr4.7778 transcript:KYUSt_chr4.7778 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASQVAFSATAGSDRAVRSSGIQGTNSLSFGNKSWTGTTALSCESKAAARRSHVRKVRCTAVQQSSKVSVVPLDLESAKEPPLNTYKPKGPYTATIVSVERAVGPNAPGETCHVVIDHGGNVPYWEGQSYGIIPPGENPKKPGNPQNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCNSKPGDKIQLTGPSGKIMLLPETDPNATHIMIATGTGVAPYRGYLRRMFMEDVPNYRFGGLAWLFLGVANSDSLLYDEEFTSYLKQYPDNFRFDKALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKKVAEQRGESWDQKLSQLKKNKQWHVEVREITTGSMAQGEAFNNSMRRRHGAVQVEASKTNPVKLSIIPIGGIHIFIGKTVDSDGNALVSNTDATATEQDAVAKIRSKMQELPKEDSALDLENSKPTQSAPEQETTVEDQCRSAWVSQVLEKQRCHFVTSSHIPLEPLFLRKKPDPCRMRLPEPATPRNSKRLPEIATHRISRRMPEIKKNQY >cds.KYUSt_chr6.16351 pep primary_assembly:MPB_Lper_Kyuss_1697:6:102869864:102871267:-1 gene:KYUSg_chr6.16351 transcript:KYUSt_chr6.16351 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASLLRRRSSRRDGVLLRTLCATTATPPAAPALSASAAKTRLRREYDADRSISLLEAIDTTSLSAGSTRHALSLAARRLSRAGRHADAEKLLSSHIQASPTEPHLSAILCSYASAGLPEKALDAFRSAAPSLASPISSMPFNALLSGFVRCRRHRRVPVLFEELSKEFSITPNAASYAILVKAHCMVRHDAKAHEVLARMREEGIPPTITIYTTMIDSMYKQKKSEEAKALWEQMLESGCKPDQASYNVRAMSHGLDGKPDGVLQVIAEMEADGVEPDTITYNFLMTAYCKAGKVEDAKALYRSLGDKGCPANAATYKHMVAYLSAHGDFDAALEIFRESISKHKVPDFKTMKGFVEGLAKGGRVAEAKQVISEVKKKFPDNMLSGWKTLEKELGFCSDNGDAIPQAECVAEEAVSEAQEPATAEALELEDSAAEETGVSQESAADGTPAPETSSDEEVPRGPA >cds.KYUSt_chr7.2984 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17684710:17685008:-1 gene:KYUSg_chr7.2984 transcript:KYUSt_chr7.2984 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSVVDPLREFAKDSVRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >cds.KYUSt_chr4.16188 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100327276:100328271:-1 gene:KYUSg_chr4.16188 transcript:KYUSt_chr4.16188 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDTAPRVVEDLFGIVQILSDATVVRSDEPVLQPKEAYPDVPGVQWKDVVYHAVHGLRVRVYRPAPLAGSGSSKLPVLVYFHGGGYCMGSFTQPYFHSFCLRAAQELPAVVLSVQYRLAPEHRLPAAIHDGAGFLSWLRGQAELGAGADPWLAESADFARTFVSGVSAGANLAHHVTVQVASGQLAVSPVRVVGYVLLSAFFGGAERTAGETDPPADVSLTVEMSDQFWHMSLPVGASRDHPVANPFGPESPGLALVDLPPALVVAPGSDVLRDHVRGYAASLKKLGKAVEVAVFEGEQHSFSVLRPFGEAADELLRVLRRFVYTATER >cds.KYUSt_chr7.6800 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41012078:41015287:-1 gene:KYUSg_chr7.6800 transcript:KYUSt_chr7.6800 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRQVVISVASFLLLVSSLAGACVDGERAALLSFLDGLSPRPGDGIAASWRGLLDCCAWEGVGCDGGAVTRVSLPGRGLGGTISPSVANLTALAHLNLSGNSLAGAFPAELLSLPNAAVLDVSYNRLTGALPDLPAAAGRAPLPLQVLDVSSNYLSGQFPSMLWNLTPGLVSLNASNNSFLGAIPSSLCAICPALAVLDVSVNALSGTVSPGFGACSKLLVLSAGRNNLTGELPDDLFDVTTLQQLLLPSNRIQGRLDRLNIANLTNLVKLDLTYNALTGELPASIGALTVLEELRLGKNNLTGTIPPALSNWTSLTYLDLRSNSFVGDLGAYDFSGLVNLTVFDVAANNFSGTMPRTIYSCTSMTALRVANNEITGQVAPEIGNMVKLQFVSLTINSFTNISGMFWNLRGCTDLTALLVSYNFYGEALPDAGWVGDHVSGVRLIVMEKCDLTGQIPSWISKLQSLNVLNLAGNRLTGPIPTWLGGMKKLYYVDLSDNHFAGAIPPSLTELLLLTSEKARAEFNPGHMPVVFTLTPNNGAAIRQGRAYYQMNGVAATLNLSDNDLSGEIPEEVGLMKTLQVLDLSHNNLSGGITPKFSGLVKLQILDLQWNHLTGSIPQALSKLHFLASFNVSHNDLEGPIPTGGQFNSFPPSSFAANPKLCGKEISVPCVRNSSTTSTGSSKTVSKRVLLAIVLGVCLGLVAVVIVLGLAVIAIRRIMSNGSVSDGEKFAEASLFDFSMSELNGDESKDTILFVSEEMASDCAARKSITFLDILKATNNFSPARIIGSGGYGLVFLAELEGGARLAVKKLNGDMCVVEREFRAEVEALSATRHENLVPLQGFCIRGRLRLLLYPYMANGSLHDWLHERPPDAAGRRPELDWSGRLRIARGASRGVQHIHERCTPQIVHRDIKSSNILLDESGEARVADFGLARLLLPDRTHVTTEVVGTLGYIPPEYGQGWVATLRGDVYSFGVVLLELLTGRRPVELVAAAHGQRRDLVGWVMQMRSAGKNAETLDPRLRRGKGEEAQMLYVLDLACLCVDGIPLSRPAIQEVVSWLDNVDTIGTS >cds.KYUSt_chr1.18988 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111517336:111517965:1 gene:KYUSg_chr1.18988 transcript:KYUSt_chr1.18988 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPANDEPGSSCSYEWSRWWGWGGDELLVVVVGLKLAAAGSSASSSLPGRRRALSHTLIGRSPAASSRQPRTYRALTCLKVGLARRLSPTTCASSAVASTVNALAVRLRRYQIKSSDVRSSLSCTRVSDSMSQNDKLPIGSLTPVVVSGVVTRRGQPVQKLGEDRSSISTSVPVTTDDAIPRTSAPCPGELELDSSGACACLQNVER >cds.KYUSt_chr3.32187 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202244730:202245668:-1 gene:KYUSg_chr3.32187 transcript:KYUSt_chr3.32187 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGVGLLASWPFFVLRFCVCSLVLVSPHVRGFINLKLGTCALCLKTWAKISRVVAERYPPSRTASTEESGEDWDEDRGKEDDFQAPTSVDYAALLESFETADELWRQPRQPATRSTSTAYPPWWNTATSSCRKRSVHGQFSTEAGAPSPQHVALKPTLETEEATTSCHYAAIIVVQTARAAVRLRDGKMAFSMWQAARAAKIEPSAEAETTALMKAAECDDMTIIRIRSCKLAAARGCTGLAKPLHRGMQGGEGRGSSGDPHSVEGQGRTGDMAGSEGQTSYADMGHNISLQFSFRLIFSLTCSGYQKGR >cds.KYUSt_chr4.13417 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82746139:82747473:1 gene:KYUSg_chr4.13417 transcript:KYUSt_chr4.13417 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPRAEHASSSSHHAGPAVSADLSADRAACTVQLPPPPPLRPGDRPQTVASLEARSLPFQAQVSHRGARLRSIIVAPNQSAGELRWWIPQDPQEDWQLVRPKFWWRKVNPSFPRALHADPRQRTSRGALASESDPFKGRCFRCLSAQHFVRDCKDAVHCLDCKKPGHRARDCPSKRSFTAAAAPQPPPPAPARQSQASAAVMPSPRRRLGSELEPGHPSNRPGEVYSSSLSTPAMEVAATEMRRTHLAILVSDTRLNISTRSIAKALQDELKFPWEDIHVSASFPDDFLVRFDQPWQRDMALERGTVPLRRGSLALTTWSPTSRGRPQTWRFYCRLAVENLPLNAWEDVPTIRAVLDGACELDKIERRSARRDNTAALFVWVWCLDPDLIPKVKPHSILDRPAERRQDLPEGTPAEEGRDGPLFRVLIHLDKVLDDTSPTYR >cds.KYUSt_chr7.40777 pep primary_assembly:MPB_Lper_Kyuss_1697:7:253017796:253019879:-1 gene:KYUSg_chr7.40777 transcript:KYUSt_chr7.40777 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRAPGPWLWPHRAISSAPRRRSPAARQGMNGEGCSAAPPTAGIPRAGRRRPERGGEAGDDSLQATAPVSRRPVSRSRGGLGFRAAIRLTLYLLDTDPGEKELPGYLGTPALSLRVKENVAHMARIARKLFDALADGGAELALNAAQRPAELEYGMEFEDLFEVPDEIPDITRSSGMVWRIRFIYRKSFYEFENDPVHLWKVLEGSRIF >cds.KYUSt_chr7.30917 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192570375:192571744:-1 gene:KYUSg_chr7.30917 transcript:KYUSt_chr7.30917 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSGGITIKAEQDSDGSAQSTADMTAFVQNLLVQMWDRRVLGRVKSSLATASTPFIYVGSIHSFRPLICPTGYTYVLLLSMYNILNQCLLDDMGARIDELELSINDLKAEMGSDGMTPTKAKDEESKPAGSSA >cds.KYUSt_chr2.33729 pep primary_assembly:MPB_Lper_Kyuss_1697:2:208442361:208446033:1 gene:KYUSg_chr2.33729 transcript:KYUSt_chr2.33729 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAPPSSSVAALRRYPVQFLRSSDVSKESKGSVSFPANSRSANMKSPGLAIAASLRKNVGFPADGYSENDDTLLRKSTSLRGQDHPTADSDLPLGSVAAAEIISTELSRVALADTFLNDENDAELDLDSPTEGFASIADAIEDIRQGKLVIAVDDESRENEGDLILAASLVTPEAMAFIVRHSTGIVCVSMKEDDLERLNLPLMVSSKENEEKLCTAFTITVDAKEGTTTGVSAKDRAKTVMTLASPDSKPQDFNRPGHIFPLKYREGGVLKRAGHTEAAVDLAVLAGLPPVGVLCEIVDEDGSMARLPKLRVFAERENLKIISIADLIRYRRKRDRLIERASVARLPLRWGNVRAYCYRSIIDGIEHIAMVKGDIGDGQDILVRVHSECLTGDIFGSARCDCGDQLSMSMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDIGVRSMRLMTNNPAKYVGLKGYGLSIVGRVPLVTPITTENRRYLETKRTKMGHVYSNRQANSQTQSTSSD >cds.KYUSt_chr1.1001 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5269246:5271237:1 gene:KYUSg_chr1.1001 transcript:KYUSt_chr1.1001 gene_biotype:protein_coding transcript_biotype:protein_coding MNDANRFLIMIDDVWTVAAWDAIHSKFPNNKCGSRIIVTTRIETVAKECSDPSADEYYIYRMQPLNPEYSRKLFLCRAFGTMAAPPHEQLEVTMDNILKKCAGLPLAIVSIASLLASYKYPERKDMWETICKSIGSQMESNPTLEGMGQILTLSYNHLPYHLKACMMYLSLFPEDYEINKNRLLYRWVAEGLVEDKRGLTLLEVAKSYFDELVSRSMIIPASSWGYAGRIEVCRVHDMMLEVMVSKSLEANFVSLVGGHYDGMFYDRVRRLSIQGIEHERRHSMERMDMQHVRSLSMFELNGKNLFDRLREFTLLRVLDLEGCQGLENKHVLVICQMYLLKFLSLKGTDISVMPNKVGELEHLQVLDVRDTNLHELPETVRTLEKVERMDLSHRKKWMIWWTLPKGLSKMKALREVARAEIDNVDVAREFGELGQLRHIFLFVNDHMHVDKKGDPKILREVALSLSKLYSLRFLGIGRLGTCEGKLDFLDRLPSPPRLLRYLFIDGEITKLPHWVSTLSHLTFFGGVWINLSGDHIFGILCKLPSLQNIKLWRACIRDSTLVLRSTHRLPVLRALSLCSTYCFYPEVIQFERGCMDRLEELSVTFHEGRGRRIVGIEHLKNLKQVQLTGKRNNDSLILAQEKLRSESNRRPKANQFKVIVRYE >cds.KYUSt_chr7.27683 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172827580:172828773:1 gene:KYUSg_chr7.27683 transcript:KYUSt_chr7.27683 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRETTVEAPWSRLEGQVVLVTGASSGIGREFCLDLAGAGCRVVAAARRADRLLSLCDEINASASAAGAGPRAVPVELDVAAGGSAVDAAVQRAWDAFGRIDVLVNNAGLRGGVHSPLDWPEDEWDKLIKTNLTGLWLVAKHVCRRMRDAKIKGSVINISSVSGLNRGNLPGSIGYASSKSAVHSVTKLMALELGAHGIRVNSIAPGIFQSEITAPLLQKRWLKTVVSKIVPLKVNGTTDPALTSLVRYLIHQTSSYVTGNIFVVDSGASLPGVPIFSSL >cds.KYUSt_chr2.41984 pep primary_assembly:MPB_Lper_Kyuss_1697:2:261447152:261451739:-1 gene:KYUSg_chr2.41984 transcript:KYUSt_chr2.41984 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLPLKALSPDGLKRKIVVRLSRIWEAKNQNTGHVYELAFAAVDHEGTVMEGNVLATNIAQFKDVLKEGTIYTIQGFMVKPARGKYKTVDNNHRITITQYTKVEQAVPEPVGIPLVAHSLQPLSILEKRARSVTVLSDVLGVVTDMTGVIPPKGQGQPRRNIKIKTIDGDQFTITLWGHQAENFDEESVRASSAEGSVAILFVSMTVGHYLGKLCFKATSLTRSYINIPIPEMSALRAKYGNEPNQVQWHEQSARPNPVETTVSALYKQERDDVQGNYYKIPIEITEVDNSKGWWFWSCDSCWKTTKPNGSTFTCTDVACPCIGGTQRYRINVKARDISYTQEDEEEIGCFTFFGTQGKILTGYDAELVIGTAEGRPDYLPPAVAREVWLGLVTTTSSWRRRKTRHEGQPPGGVVRSGNSTRPAPKQRKRCVPHSRGTASEILEEGGDDVKSAWPLWAGPHTCYNGNDNGKQGSTELIGSPAPPLQAASNTNLSLEVPSLSSANEIPVPPQAASNTNSPLEVPSPSSAKEIPGPSEGNTDSAATPPTDALEGLDSDAEENAPSASEKKKRKGKTTASPSAKKQISKNLFPKK >cds.KYUSt_chr5.33246 pep primary_assembly:MPB_Lper_Kyuss_1697:5:210934667:210940868:1 gene:KYUSg_chr5.33246 transcript:KYUSt_chr5.33246 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGTGFLLIGLWQLFNHIRLFSLRPSSYAAPVWFPARRVRHLELILVIVGTSASILMELVIGPAKHQPFDDDGTIPSDHLHNFEHASISLALLAYAAVTIHLDRVRAHMRDAVSQLVAAAAFAQQLLIFHLHSTDHMGVEGQFHWLLQGVIAVTLATTLLGIPWPRSFTVSLVRSASLVLQGVWFVVMGVMLWTPALIPKGCFLNFEEGHEVVRCRTDEALHRAKSLVNLQFSWYLTATVVFVVVFYLQVSRLYPEEPQYLPLVKGRHADGDRDARFSIGDDHEDDDDEDDLEAAKRSNGHVVSSTKPMEVERFHVGAGIPGVAPHYISPPSTFNVLLGSYWTNSNPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRNTPPGLKAMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVMPNENHNNKIVTTANAIQVRINENIRLMAELRARWKKEENAKEDNIAKVWTITTSSNANAPHVAAPPTINGKIIGVGNVSTSNAKREKLLETAKAVETLVIKLLKFFPTLGTMIPLL >cds.KYUSt_chr4.50087 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310299741:310303161:1 gene:KYUSg_chr4.50087 transcript:KYUSt_chr4.50087 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNMISKVFEKAVLPLDVAPAVKITASRTSVRRNPNMEKLRNGYLFPEISMRREAHQKKYPDAKVISLGIGDTTEPIPSIITSAMAEYSLALSTPEGYQGYGPEKGQKSLRKAIAEKIYPNMGITDTEVFISDGAQCDIARLQMLFGRDVTIAVQDPTFPGYVDTGVIMGQTGGAGESGRYAGIEYMRCAPENAFFPDLATVPRTDVIFFCSPNNPTGHAASRDQLRQLVDFARGNGSIVVFDSAYSSYVSGDQLPRSIYEIPGAREVAIEISSFSKFAGFTGVRLGWAVVPDELLYADGTSVARDFDRVVCTTFNGASSVAQVGGLACLETEEGREAVRRVVAKYKENTRVLVDTFSALGKEVYGGDDSPYVWVRFPGQRSWDVFGEILEKTHVITVPGSGFGPGGEGFIRVSAFNSKEKVVEACARLTSFLA >cds.KYUSt_chr6.19222 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120858706:120866698:-1 gene:KYUSg_chr6.19222 transcript:KYUSt_chr6.19222 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIHLSRLVEQGLWGDAIAYISRFLGPPIDPQSDEAKVLHYFLRHHKAFHSMVTGDHDMDVKRLYQTYKQYPKHDDSVSRDALRVRSITTTLLYSEQIRASLDWERVRLKASDIVEDLANKVPELTSLVVLPGGSMFPHDVLPIGFGFRRRRHLKQYSLPRPKTLAKLYLERKKMISSSPEHHPLNRGLTDKTRKWLADIIETDEPVPFSDESLQAGYPLELKSSGKKDAPVAPDSQTTYSTLATAATGAPLAPDSQTSFVTLAIPATGEVSAGAPVAGVEQTVNLTSSGKSSGISSMANAGAPVPRVQQTVNLTISGKNSGISSVANAGTSKNLGQESGYTESSYQFSHLRKNTRSEMATEEVYSDAKRQRTTKPFGEEERMFQRPMSVIPKTCSHRDNDWSALFVDGSFDDDGKGGLGVILRDLDGGVIFSAHRNVC >cds.KYUSt_chr1.5658 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34911339:34914310:-1 gene:KYUSg_chr1.5658 transcript:KYUSt_chr1.5658 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVQLEEADETALREEAEAVRRRRKRCHLRSGSSGDARWTRALRSGDQDEGDETALRQEAEGVRQRRLKMAAPAPNAFSGHLEKWILEKSKQSIFFINIMHDPECTEELKELVETVDHVPEDERDALFNGEGHTATGFVVQEGPHYFKILTCAHVIGHVFSADHPLSRDDANRMFITQVICDHYEMSFRRPGQDIHPNRVYAQGHIIGLSCRDDLMLIQVPRADVMNLDEICLRNHPHLPFSQTCPVAFDNCVMVSWPPLRHRTAVKGCVSHTSRSFNDLGEDNPVGYRINLLEVKIASEEGSSGAPLLNGNGKVIGMLHGGFGGPFSYFVRIADIIPFLVQRGVILRHD >cds.KYUSt_chr1.9935 pep primary_assembly:MPB_Lper_Kyuss_1697:1:60867459:60868107:1 gene:KYUSg_chr1.9935 transcript:KYUSt_chr1.9935 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWHRRTRSAKMAVQMWRKKTHDKATQVDGEKIDMEPENIRLHLEHFRMAVIEELEAKVKENNNKDVAQDKIKELEVLMQKSIRFLVVIVSVVIALADVLTNVYVARVIIPTV >cds.KYUSt_chr4.5203 pep primary_assembly:MPB_Lper_Kyuss_1697:4:29946406:29951497:1 gene:KYUSg_chr4.5203 transcript:KYUSt_chr4.5203 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKRWRSEAGSQGDARRSASGFDSRKRKFDHDSGYGGDNDSSYSTSRTTGKYPSTGTRGKFSAREGDGFKPRRSEGGGFKPRRSEGDGFKPRRSEGDGFKPRRSEGDDFRPMRRSSSKVSGTGTGDKGRSMLCMNSQASKWKKFDKDIRADRRNDADLDDHVAGSRKSDESGQMTEEKPRARPTRVLDKTGKKLRVFKKDSVSDSEEIAPPKKRKRMKLDRYDTSNKRIEDATPKEDVCITGKIPEKITPEPEETEMSINAKFRDVQPSSSILSYVEDNLLGRRRLIDIKNAGYNTKLSAPLDNVPFSTRIERDRIEDSVFRNHLDFFAAAKIPSSFPPPTLPEIAFAGVSNVGKSSLLNALTRQWGIVRTSDKPGLTQSINFFKLASKLCLVDLPGYGFAYAKDEVKESWQELVKEYVSNRVGLDRVCLLVHTKRGMKPLDYELIDLMERYKTPYQIVLTKTDLVFPIDVARRAMEIQESLKKNKSVIKPVYRSSSPMETTMKKMKMSWPEVVGWHVMPAVSKIHADRPDLEIEVHRNGNRVPLEHNMKRVRVFTTTGGHDGIVARMPVVG >cds.KYUSt_chr7.18110 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112376285:112383823:-1 gene:KYUSg_chr7.18110 transcript:KYUSt_chr7.18110 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMEEDLPEAGAAPPPSDVAGGGAGDGGKPSDRPPSDSDSDSSDSDDGGDGGDELRIQALERALQEQPLDYDSHVQFIQLLRKSGNIDKLRAAREEMNKYFPLTPKMWQEWAKDEISLSSGRGSFDDIEKLYECGVQEYLSIKLWRDYLDYVEEHDQSVSQCTQSGLSKMRDLYERAITAGGLHVTEGSKLWEAYREYEMAILTMSDGNDEEKAKQVQRIRVLFHRQLSVPLADIESTLAEYKSWEAEEGSTKDQDSQFDDVPPNVLSAYKKASEMYNARKQYEDQLSDTTESEINKLQVFLKYIKFEESCGDPARVQILYERAVSELPVSSDLWMGYTSYLDRALKVPAILKSVYHRATRNCTWISDLWVRYLLSLERIHASEDELRHVFEHAIQCSFPSMKEYLDIYLTRVDSLRRRMPAGLDFEVIRQTFVGAAEFLSPQLGTEELLLLHAYWAKLECNIGKDLAAARGVWENTIKKSGSVLEVWQHYISMETEMGHIHEARSLYKRCYSKKFAGSGSEAICHAWIRFEREHGTLDDYDLAIKKVTPRLKEIMMFKAQQEVKSDPSSAPKETSYANDSSQKRKASKMTSKVQPPAKKRKDVPPKKMAPSDVHGSKDQSTNSDLQEAGEVSRGKAEASMEMKAEGVNQGGNTSSNQPKPHVYRDKCTAYVSNIDFTATEVDIRRFFSDIGGVTDIRLLRDRFTKKSRGLAYVDFSDKEHLEAAIRKNKQKFLSKKVSVAYSDPSKSKKNREAGIASKGQDKLPSGGDHDEKAPADGSSEKEMPKGDTKMTGKSTLFAPRSVIKPLGWNKSEKPDVAAEELKSNDDFRNLLLKK >cds.KYUSt_chr3.23902 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148261541:148264806:1 gene:KYUSg_chr3.23902 transcript:KYUSt_chr3.23902 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDILLRAHGGLPVLARASPCHLRLRVTASVRRIAAPLAAAKVDVADVVSQLRSGSARGAADPKRQRRVAEDGLGFPRVVAGRRRGVREEEGEGEGEPLGFDAEKSGGEAGGVDGSYLSETRFDQCDISPFSLKGIKDAGYGKMTQVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLSTLSTSQRTQLRSSINCLVMCPTRELANQVAVEAKKLLKYHRSLGVQVVIGGTRITQEQRNLQANPCQILVATPGRLKDHLENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIEKIMAATPKDRQTLLFSATVPEEVRQICHVAMKKDYKFINTVKEGDEETHSQVSQMYMVAPLDLQFAILYDVLKKHVSEDADYKVIIFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRRSKGVILVSSDVSARGVDYPDVTLVIQVGIPAGREQYIHRIGRTGRKGKEGQGLLLLAPWESHFLTSVKDLSVSEAVTPSVDSSIQTEVKGALRRVEMKTKESAYQAWLGYYNSNKTIGGNKSRLVTLGEEFSRSMGLAVPPAIPKLILRKMGLSKVPGFRST >cds.KYUSt_chr6.29696 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188327927:188329108:-1 gene:KYUSg_chr6.29696 transcript:KYUSt_chr6.29696 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSKKKKKPAVSLPDDLVMEILVRVPYRSLCRFKCVSQSWRAICSDPDLRKISPETLSGFFCHAREESDGRDSCVRLVNLSGKGRPLVDPELLFLRDFTAIGRQLVDCCSSLLLCKFFETSPHPFDPDWVVCNPATEKWTVLPATEGLHCSKSFTIRLGFDPATPSRFEAFVLKQDSLIDGRITGVEIYSSETGQWISMKSEWGSETCVDDNDSQFVFFNDTLHFTTFHSARDDFCMEDSTCECDYSLVTLDTEGKTWRKFPLPYHDTSFCSIGQSQGCLYAMHIDHGKNQCILSVWLLEDYATGQWTLMHTANVPQLFGSHCCDRAHRGDVISIHSECNVIFLIDGVASMLVSYNMDDRKVHAICSIGEYCPLPFTPYIPCFAEWPSDGK >cds.KYUSt_chr1.624 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3243400:3243600:-1 gene:KYUSg_chr1.624 transcript:KYUSt_chr1.624 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLFEKKRPSPRQPPRGHAGAILGRGRLDGGKEVGTGLCCGRRDRDKQVADRGDEQVAKQLLTVR >cds.KYUSt_chr4.22601 pep primary_assembly:MPB_Lper_Kyuss_1697:4:142082447:142084954:-1 gene:KYUSg_chr4.22601 transcript:KYUSt_chr4.22601 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRRDLGRAAKVSMGTGDGVCGGWATAMGRGTGVRRKRCASGEVAHGVGGWGSVALSRVQKVWVPDPDEDEDPEAEEEEDDPEVEEDDPEAEEEDPEAEEEEDDPKVEEDDPEAEDEELDASIIDGGVGWRRRWRGRACVEEELAGGAEAILAGGAAVKYSGGTDRRNWLEE >cds.KYUSt_chr2.38071 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235710065:235711648:1 gene:KYUSg_chr2.38071 transcript:KYUSt_chr2.38071 gene_biotype:protein_coding transcript_biotype:protein_coding MQSISEQHQVYWQSLGNTRLFPTPGHRNFTTTLSIGEIKFKMELAMSAVAGELLSRFISFLSNKYHSSQAYSEDKQLEKLQLLLLRACTVVEEADGRYITNSGMLAQLKMLASAMYRGYWALGAASYRSLEEDSTLEVEVSNSSVCPKRSRAVHANARKNQARYLLELQGALESLESIVGNLREFVLILGGCDRMVRRPYDAYLYMENFMFGRHTEKQLLSKFLLQHDHRSPPAVLPIIGALGVGKKTLVAHVCNDERVRSRFPVILHLRESDLLTMTDHSTLVAEKTTLLIIEFVSDLGEKDWSRFYSALASLDSRSKVVILSRYKNSEKFGTVKPIFLNPLSYEEFSYLFKTLTFGSANQLEYPRLVRIADEFARELQSDWSLVTANLLADVIRRDLNVCFWLCILTRLRRVVERNLSMFGEHPKLLLERGHEIAVTDLVLHSSCPLRLVWGITDDVPMKKKPSKVTFHELLIDPGAGRKVESGQLTWESRLPPYTSFHHFVSPCAQGMLGGTTLSRRKRRGVPF >cds.KYUSt_chr6.6723 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40496726:40497427:-1 gene:KYUSg_chr6.6723 transcript:KYUSt_chr6.6723 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNAQQQQQQERLMNGGNAAAAAAARQRQHQQQQYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLRGSAADLNFPVHLPFHVPAAAMSPKSIQRFAAAAASSPLQPTAPVWNAGAADQPRGGYGYGDASFGSSTEEEEAGDNGADHNDDIMAQGEDDVDYGALADIDAFFQSPKCMDYCMDPCSTFFAPAPTAMAPGAEWEEEGEISLWSFSSSYN >cds.KYUSt_chr7.25279 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157618483:157624755:1 gene:KYUSg_chr7.25279 transcript:KYUSt_chr7.25279 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTATAKPSAPGVNYSLLIMETAMAVKMAVETAVEMTPGAIPRPGRVPEQRLLSPELEFRDGGGSGWFSLVSSNGVEDLENSNWPFGISSIDHCLESLHSSHMLYNSMIKVMDLQEPTANFPLSLFNSRTSKPPANPPAMAYVPPHKRRSGGSDPAPPTSSLGSLSISSSPRGRHQLRPSDNKIIHAVGCVSRWSPLPPFSPDSDDADSFRLEPFACEPFERNTGAKPLVLSLSSPKGSPGSSAEAAAATIAERFLPELLAAVERATHDVPSKEEAVVKLSLVARVGKVALFQFQP >cds.KYUSt_chr3.40519 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255602617:255609823:1 gene:KYUSg_chr3.40519 transcript:KYUSt_chr3.40519 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHGSSAYPVKQKSSSMGALSMAALERNPRVIEYEILDLNERYGGDSLVPNEIPAPVETEEVVNLDVEQGEALERREADKFNDGDAGSDTETVRRKDTPDSPTWPKRVRIAKEPPPRPSAPGRTSALEKSIRGFANKESESVINPKIATSFDSLQDAYDFYNLYSWELGFGIRYGKSRLNVERVKCMQELVCGCAGKPTRLNSRSFRCECPAMLRLLRTEDNGWYVAEHRVDHNHALSKTCEENLRWPSHRYIDRYTKELVKQLRENNVNAKFDNIVHRMLTEEEFEDGWAMLLSKYGLHKHPYLTQIYEVRHKWAKPYFRTKFCAKMTSTRRSESANNMLKVYVPPACTMHLFARQYEKLQFDTESEESYQERRTFLGGVVLKVNLPIERHASKVYTNAMFEQFGHNLLESSYYAVEEVEIGRRYLARHVNNGTREKWCKIVYEIMVHLGVEKIPTKHILKRWTVDAEDVLPSNLVHYQQDRGRTKLVSTRHSRLYLKALELVTIGESNIASYAAAMDVLVEGLLRVAPLSLVKDGLAENELATKSTAACIETVTESGDSVDGDFSMGGLSAENRPRVAGRPDASREKAPCIDKLIPCQLAPSVGIRGVKDLISMARSRSSTSSTASNAMDRGKQIETGPVDFVPHPPSRLDPYAYLEEPMEMTFGRFHFRVEKEGAYRLEIPISSGLSAVDSDFSNSTSSIESSEEETSSPRFISTRASEKLAKIFSDMSFESSADSDISDDSNSFDSFNFIDRSTTVGKVFTNLYDGVTKPSMVQYPKYHQIYAIGDPSRPQEETSENFDETGNPYVDPADLTRGLGTKYIGPGTREMVRFPQEVWDRVARAIDGTEPMTVTATPEELQAYQYRLARTRRELEKQKIELDRRQEAASASSRRRAELSRHSGTSGDPTEKLGTEQDLGCKTYLRLIGRTWFKTSTCPLCR >cds.KYUSt_chr3.30575 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191756764:191762354:1 gene:KYUSg_chr3.30575 transcript:KYUSt_chr3.30575 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSFADEDVNITNRNDGAMDDVAPRRNRKLIVRDNGKVASVENASNGDGVANAYEGAIAAVKSFSTDDPSNPPSVSSSLPSRNLREGAAEQPPFLVVAKLNRHGSRDGGGEVEGPMSLAGSALEAALQAVGRGLDAAGDHRLLYCKGAGRLVALDEARARDLPLAGGLLCGVPPDVEVEAYRGSPERSRPVSPGGPLDEPFVCSFHKMAEHFNRKAGLLETVPLGSFNSLFSFTGSWKNDAAATKSLAIDGYSVPLYRVKIANDELTLQESVKLAIPYTWDPSALASFVENYGTHIITSVMVGGKDEVYIKQHSSSQLSELEFRNYVREIGNERFSGAESKSNEPPINYSEKDMTVIFRRRGGCDLVQSSIEWIKTVPSAPDVIGMTFLPIVSLVDDVPGKKHIARAIDLYLTYKPPVEELQYFLDFQVQLVWAPVPPGIAGQNRKEPVCPSLQFSLMGPKLFVSTEQ >cds.KYUSt_chr4.38489 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237358652:237359836:-1 gene:KYUSg_chr4.38489 transcript:KYUSt_chr4.38489 gene_biotype:protein_coding transcript_biotype:protein_coding MYADKREGIYVVNGEGNLDGLIMRGHIIAKLVYELLWYFNGAIPLSQNNMLDCRIPPLHQLGRRRWAGGASILEADTWA >cds.KYUSt_chr3.22774 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140789676:140795532:1 gene:KYUSg_chr3.22774 transcript:KYUSt_chr3.22774 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLAAAATAMDAEQTLAPAAAPHSPVCVDDFDDAPTQPIPTDIATKKKGESRRTQGFVDEEDKCLCEAWLATTQDCINGAQQKGKVYWAKVLQQYNETRMHPPYHITGPRTEESLRKRWNYIKQETAKFCSAVEHAINNPVSGTDVMAVVSRALQKFRATHKKGFHMVHCWELLKDNNKWMISFAAYNEAVRNGTAVNLDGEDDDQGRPVLPPRPRGHKATKADLVREAQAIAFTQSMEKIMADNRAAMAARDEKRRLEKEAAAAIYQNLAKEIYGVYGGVISATWGQWVSYRHLDTAANHENKHRDGEAAADGVHSGTIPSFKVPSFGAATRNTTGCFLPSDGHSDRPFRVPKLIPSRLQYAEAKREATKFSPFSATEIPGSPPLRSSLRWRERKGTPDSRAWRVDRCRLGSLGGGALVVAAAARPIKVPEFQPHLGVVCCDVEELVGFRFPGAVGVAAAATALGIFVLSLLPRFGGGCSVAIEGTWRRLYRSFLQLRLPGVRLIWSGSAVVGRRFLLWSRLEVATTRLRRIGDVSPPMVYQRLRFRRSRWMATADSWPSGCF >cds.KYUSt_chr4.29335 pep primary_assembly:MPB_Lper_Kyuss_1697:4:184090507:184102539:1 gene:KYUSg_chr4.29335 transcript:KYUSt_chr4.29335 gene_biotype:protein_coding transcript_biotype:protein_coding NFNGRSDTVFCGVFDGHGPHGHLVARKVRDTLPLKLCDLIYDDYGESPTSNSDGSVLEETLSPYPDADDKSLTFAGQKEEHREFFDSMKESFRKAFRVMDKELKLHRNIDSICSGTTAVTLIKQGQDLIVGNLGDSRAVLGTRDQDGRLVAHQLTVDLKPDHPREARRIKRCNGRVFAHQDEPDVARLWLPNCNSPGLAMARAFGDFCLKDFGLISVPEVTYRRIIEKDEFIVLATDGVWDVLSNQEVVEVVASCSGRSAAARAVVDLANQTWKFKYPTSKMDDCAVICLFLSKDAIVGGLSGLSVGLSGMSIGTKGIESSPGMPARLMNPQRNSKRDIPEDADDECYLNISGDERSLEGFTRLNTLLALPKFCDTTPTKK >cds.KYUSt_chr5.42221 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266032840:266041580:-1 gene:KYUSg_chr5.42221 transcript:KYUSt_chr5.42221 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDVGSYSCKAGYAGDDAPKAVFPSVVGSIEQTGDTDEAKPEKEADSASDPKNGSKPMDVDKAKTKRKFFVGQELEFRRDHMELISPMKDGTITDWDVVDNIWNHAFRRRLLINPEEHPMLIAEPSTNNGQQREKAAELMFEKYKVPALFLAKNAVLTSFASGRATSLVVDSGGASTVVSAVHDGYVLQKSVATSPIGGEFLTDCMMKSLESKGVVIRPRYSFKKKEVSPGDYKVVDLDFPNTTDSYRLYCMRAIASDIKESVSRVPDTPFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPYLSQTIPGVNGFGDSTSIRGLPRMVLESVNRCDVDIRKELLSSILLSGGSSSILQLKERLEKEVMEESPQNARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKAE >cds.KYUSt_chr6.29611 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187696964:187699584:1 gene:KYUSg_chr6.29611 transcript:KYUSt_chr6.29611 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLCSFVFLLQGKTLTSCADTLSLRHLQYPVPVYPSWGSRFSSSDPATSGSSPPPRKFGASAPFIDPWRPGFTSFDGCPEFQASRVAGAEDAFLSVSREKGERRPTGAAAVRRSLVATYPVVLWERSRRRPAMVSCVGRGGRGIANEAYIRYYEAAPKTALEAAASDLTELQTMGLVSDDGARIRFSW >cds.KYUSt_chr7.27938 pep primary_assembly:MPB_Lper_Kyuss_1697:7:174283447:174286850:1 gene:KYUSg_chr7.27938 transcript:KYUSt_chr7.27938 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKENGEVRDEKRVGSDYEPARASVSSQGEARGKEDSRVKRVSVGSKKLLRKDTKENSPRMAKSSTSRPVQNKLQPKASNNTLNRSPKPWNAGNAAKTLEVRRPGIVKIPSRAPSELSEETDDLVSEAGTTDDRCSEEAKEIDVLDEAPHCDQSTGTDDEIVDIEEKIVDDDKTVLHKKNVELQSKLEKLEQELREVAALEVSLYSVLPEHGSSAHKVHTPARRLSRLYIHASKVWSSDKRASVAKNTVSGLVFVAKSCSNDASRLTFWLSNTVVLREIISKSFDISHQLTPTRTANSVNDGAQWFDGKSTAMLWKNNSNGKQTKHAVLQIPDDWKETSTLLAALERIESWIFSRIVETVWWQALTPHMQTPAEGSSTPKARRVSGPSLGDQQQGAFSVNLWKAAFHDVFSRICPLRAGGHECGCLPVLAKLVMEQCVARLDVAMFNAILRESASEIPTDPISDPIVDAKVLPIPAGELSFGSGAQLKNSIGNWSRWLTDNLGIDADDSDDEDLDIGNGSDERRGAAKAKSFQLLNELSDLLMLPKDMLLEKSIRKEICPSVGLPLVTRILCNFTPDEFCPDPVPSIVLEDLNSESLLERGAGKDVISAFPCIAAPIAYRRPSQQDVALKVSVTGGNTKLDRKASMVERRGYTSDDDLDDLDSPLASLFDKSAPSSPSDGVPHFSARRGASMENARYSLLREVWSEERQ >cds.KYUSt_chr3.12475 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74518746:74519111:1 gene:KYUSg_chr3.12475 transcript:KYUSt_chr3.12475 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSPTQTRAPLAAAVGAELARLEGRLGQWADPDARQRLAGLGESAATRVLRTIGESRTPVKTLSGYIRHLADKEAMQRNARGIPPAESAACSSGPSHGGEQDTVFFSFGGNLGSGRLAC >cds.KYUSt_chr2.38153 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236218620:236219489:-1 gene:KYUSg_chr2.38153 transcript:KYUSt_chr2.38153 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKPTNKYYPPDFDPSKLPSRRPPKNQQATVRMMLPMRVRCATCGEHLAPGTKFNSRKEDVPGERYLGAIPVYRFYIRCTRCSAEIAFKTDPKNSGYVVESGGFETRRGATAEDNDAAAMGRREDCEDAMSALESRARDGVREMAADAAQEEMRSLRSRHARVSQEQLLESLSYHRVAEDRKTLQELEEEDEKLISSTKFRSSKDFVFRIHEDDEEEEDDDFFEEFMARLTTTEADCPAHKKQRKAAPAVSSEGFTGTTMEAKGKANIEKGNNALRLLGCSYKDDSD >cds.KYUSt_chr1.39464 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241444935:241446062:-1 gene:KYUSg_chr1.39464 transcript:KYUSt_chr1.39464 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSTTVGGAAAAPRRRTRSTSTVLLMANYAALLVGSVASSLLSRFYFEHGGQNKWVVTLVQSAGFPTLVAAVFLAGRPAAAPRPFLWFSRRFLSVCLIIGALMGVNNLLFSYSSSLLPVSTSSLLLSTQLAFTLVLAVAIVRHPITFVNLNAVVLMTLCSVLLGLRSGDTGESPDRKGYILGFVVTLSAAGLFSAYLPVMELLYREAVSGGFILAVEVQAVMQAMASVVAAVGLVASGGMRDEVARWKGSHAVYWLVVATLLVTWQACFMGTAGVIYLTSSLHSGVCMAAVLTINVIGGVVVFGDPFGAEKAIATVLCIWGLSSYLYGEYTKSKKKAADDDDQDKDDDALAGDNDDALKSLTAGGDEATETV >cds.KYUSt_chr2.42192 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262771645:262780233:1 gene:KYUSg_chr2.42192 transcript:KYUSt_chr2.42192 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWTNVDPNNVPLASLVAQEEHVDVNYIKNNNFNNNAYRNNSSNNYRPYSYNNGVDYFNAKLKINLHVVPKVQYLVHPDMAGVDNTLRMNLCAICLSGMGVGGGQAIFMAECSHTFHFHCISASVAYGHLLCPLCNAPWRELPFVRPAAASVPPTHPPLQPPSVDVVPLPLLLSVTTQPADPDVFDDDEQVEPAAAAPSNRAVVVKTYTDYSAVARDSSRDNFAVLVHLKATGTEAPREPLDLVMVLDVSRSMHGRKLELLKQAMRFVVDILGPEDRFCIVSFSSTARRVTRLMRMTDAGKALCVRAVESLTALRGTNIAEGLRTAAKVLDERRYRNGFSSVVLLSDGQDNCTLTRQALGSRPPNYAALVPPSLARMGTTTGGRTAPIHTFGFGRSHDAAAMHVIAEASGGTFSFIENEAVIQDAFAQCVGGLLSVVVQDARLAVACVHPGVRVVSVKSGVYESRVDEDGRAASVAVGELYADEERRFLLFLAVPAAGETDGEVTTLLKVSCVYRDATAGVEFSVTAEDAVVARPEHAPDLARSVEVERELIRVETTEDIAASRAAAERGAHQEAVEILENRWRAVAQSDAARGGDAMIAALEIELRGMLGRVSSQQNYARSGRAHMLAGMSAHMHQRSSSSSLGSWSELELLLSSAIGFHAGGVTTSMEGTRQDSHRVDATLPYATPAILAMLLRSRRAREAAAEGRLEREEAEGSDPN >cds.KYUSt_chr4.47540 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294275376:294276901:1 gene:KYUSg_chr4.47540 transcript:KYUSt_chr4.47540 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGESGEDIVIVGAGLAGLAVALGLHRKGVGSVVLESSPALRASGFAFATWPNAFRALDALGVGDKIRNLHVQAQALRVASSSTGTVARELDFSGKQINHLLVRLVRGGGAPFEFRCVRRDVLLQVLAAELPEGTIRYSSKIVSIDEQHDDSKIIHLADGSTLRAKVLIGCDGINSVVSKWLGLAKPSYSGRSATRGLACYPDGHTFQPKFLQFYGHGFRFGYVPCNANDVYWFYTWSPSPDDDGVDESGAKMKHYVLAKLRSSKVPAEALELIQSSEVSDDAPAAPLRFRPPFSLLFAKISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGEAILGGGGDSAGGVAEKKRIESGLQKYAGVRRWRSIDLVATAYVVGFLQQSSNTIVSFLREKFLAGMLARKLVKMSNYDCGTLSS >cds.KYUSt_chr4.19136 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120270166:120270414:1 gene:KYUSg_chr4.19136 transcript:KYUSt_chr4.19136 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNGASNNGFGRRSLHQWEGRLLHMAGYPAPPDLRAPGGWRLSAGGVPIPPPPTTRSALEAEIDVVLVTLSDEQRAEERF >cds.KYUSt_chr6.1862 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11142350:11144616:-1 gene:KYUSg_chr6.1862 transcript:KYUSt_chr6.1862 gene_biotype:protein_coding transcript_biotype:protein_coding MARDKSGASNATSLALRIATVALSVASTVMMASASASTTGSPAPTPASNVSYSDYSSLRYSLAANVVSAALQALAVYLTTTKVRGNIGEHEAKAAKSLAQLVDTAAQVLLYSSSAMSFAVDDFGSCGQRLNGVCKLAGEFCRQVHVSGAISIAAAVALSVSLYIEDVVPISVSLDGDGYVGALRLEPIHVVPISCGEQMPRELSAVVLIEIVHGSRESVRVDDVEQDLEDGRIPRLGS >cds.KYUSt_chr7.38864 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241912854:241919633:1 gene:KYUSg_chr7.38864 transcript:KYUSt_chr7.38864 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDRKRKQDTDDTADDGERRGRRDKRPREEKPAPPLPSEIRNKEKRSEVYAKLKRDKKAQKRKLGRERAQAAQRAAELGEQASSRDEFPVPEKQVPRTIENTREPDETICRPDDQELFAGNDADEFNAVLKQVVTPKVLITTCRFNSGRGPAFIKELMQVIPNAQYVNRGTYDLKKVVEYANNREFTSVMVVHTNRREPDALLIINLPEGPTAHFKLSKLVLRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRYVFEEKEKKVVTKDKKGKASETKTEKHVNCRLQECGPRFILKLITLQHGTFDTKSGEYEWVHKLFRCLAISPIRPRSYHCQGPNSGRVRQRRRDNAVASAAAAGPGPHQVYISMNESAMKLSSQISYILAESTVAMQGPSLASSDKDPAHIHAGPPVDRCYAGPTARQLRQGPITYPLFLRSHVSSPFFLQLPAPPFPCSSSRSSQQRSVAAAARDVELRIWLTEVMAPLRRNRDE >cds.KYUSt_chr2.48961 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306364011:306372019:1 gene:KYUSg_chr2.48961 transcript:KYUSt_chr2.48961 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAHRQVKVGFRGLLEEATTRTARMARNEYYDYEAYIRLLDEDEEGLEPNKGRRRAAREGQYATPAVTEDMNMFLRLELRYKVLHHKCRLEKVDWMLEKETHDVLQSFPNGLLKPLILTWLWITMRGITSTTGQIGSWRLLSLLKIRIGELYESVDRLWINCLEEDCTVAQEVDTIVSSPCKNFAGRVGHGAGLKSLRNSPAKMALKRRKMLEGPGTPKAWAEIRMKF >cds.KYUSt_chr4.41059 pep primary_assembly:MPB_Lper_Kyuss_1697:4:253883472:253890592:-1 gene:KYUSg_chr4.41059 transcript:KYUSt_chr4.41059 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRADIEAGGSPGFAPERRAMRIHAGGRPVNSNSLAFLVTVLVLFMVLSSHQMSPNFMLWLVLGVFLMATSLRMLATCQQLQAQAQAHAAAANGFLGRTELRVHVPPSIAVATRGRLQSLRLQLALLDREFDDLDYDALRALDADNSPRAHSMSEEEINTLPVFKYKFQAQQASTAAQKSSDGPSVPLVSSPGSGKEKKQDTDGNSIMPEDELTCSICLEEVVVGDLLRSLPCLHQFHVNCIDPWLRQQGTCPVCKRQLTIEWISEVRLMFGKNSCVGFVTPVAAASSSSSSVKKIYPIDSPIKKRKPQYDLNDTRLSSLKYKFQDRLAWQENETARTESLGGDGIFIDKNCDTDMVNGVKELDSCENTQSLLGGCIEVDSINGIESQSMRKRASVSSSSSNNISSDAYSSFNSCGTKKTASWVRPRLEHDHPGLMLQPYDDDIERMYDVMNELANGDGSVDHIMDEKLYSNGVDDFMILPAGKTGCHGEKKKLTIDQEFEQYFSKLML >cds.KYUSt_chr4.28503 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179006398:179011316:-1 gene:KYUSg_chr4.28503 transcript:KYUSt_chr4.28503 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWIYEIAPKKKLIHGGVWGSNLHDLIFIKQAQSRTTFHLVAVKIVIIELKWNAQACLFHSRFDKELLIQNASAQTQQQPDVEPLSPHLPLSVNKGQCYGCGSNMKHPNADAYNGGHSNGFKFFHIGDGCRGPNIDTAKTMDDWKAEEARVRRLFEGLDDPSVVARLMEPPKFEFGANMATDEEVDSFMESIMGPYNGSTDIIDASTAERFRVQLK >cds.KYUSt_chr5.40942 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258446941:258452302:1 gene:KYUSg_chr5.40942 transcript:KYUSt_chr5.40942 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDDVEDDDMDFNPFLREGSPSETSSSLTSEAECEETSFEDQQGTEVYPRHNPDNENTGDCALLQKDAAAEGSCEENIPEGTSAQYCDNGEGHGNRLRKEPVQTEAPLPPSAQNSHPLSPEASEEDAICRRTRARYSLANYALDELETFLQESDDDGDLQNVDEEEEYRKFLAAVLSGGGDDTQVCQGDETQDEDENDADFELEIEEALESDGDDNGENYQSINGSRNNKDDNRPQTRKNRPELSRAFKGSTKSNLRPILPNVSSALLAPSNVSGWRYPAQNINVPSSSSSLIGAAVVNGFTVEQLGQLHTLIYEHVQLMIQTFSLCVLDPSKQRVAVDVKKMIVELVGFRDQALARRAANGFPINQRFYFERQHLQSALSHSSSESSQCQWVPSIKNPIMSILDVSPLHSAPSYLSDVAAAVVKYRRSHTDGTADKTSFRKEPLFPSPLLVTGNDANNASQDGSNNDSTASPASPGQLQPKKSLAATLVESTKKESVALVPSDIARLTQRFYPLFNFSLFPHKPPPVARVNQVLFTDAEDGLLALGLLEYNNDWAAIQRRFLPCKSAHQIFVRQKNRSSSKAPDNPIKDVRRMKTSPLTTEEIQRIEEGIKIFRTDWTSIWKFVLPYRDPTLLQRQWRVATGIQRSYSKSDALKEKRRSYEAKRRKLRASVPDSQVREQEADDDASEGVENDDDSYVNEAFLADTENRSINMMQMSTSADDECGAGHGCFEQHNRNRMKHGVSTSYTPFSSYTSLGPSTKRGFRGTLSKEKGSHVVKLAPDLPAINLPPSVRVISQMEFHQNAAHLIVTSDNTAKDVYTQLNLFPDHSASDRLQQHGIINGSAREDGAEQDFPMHPLLFQHPLEVHSSYSHPVENLNQQTTDGVAGDPVNANTIDFHPLLQRTECEMHGEASTSRCERENIIDLQASTSACERESNIDLDIHLCSSVDFRNAEDIRRTVSKFSIQPEGSIIASISNLEPINAFHHNVEEPSGGAMQGIVMEQEELTDSEEDVQHVEFECEEMDDSEEELVQDAEPCSTENKVMIGVISGSIINLYNVRQSGVGLYCDFPIFCFAFD >cds.KYUSt_chr6.12905 pep primary_assembly:MPB_Lper_Kyuss_1697:6:80474143:80475846:-1 gene:KYUSg_chr6.12905 transcript:KYUSt_chr6.12905 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAVRADSDADAGAAVAAAARAMAPFDFDVEFRAADLDRTIEHTCSGLEPFVVAAIPTMTYSSEAFHSKDDAQ >cds.KYUSt_chr3.4548 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25815959:25819706:1 gene:KYUSg_chr3.4548 transcript:KYUSt_chr3.4548 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCWSFTRTTLSKISGCTFPPHPQGHTLPLLVCFEASGASPAPQQEQLTMAEVAVCRRIKTGTEVGARPDGAAAEEGRPDGEIAIKISSEALLCRICLEPLKPPIFKLFINAIKVPCSNQTYGCNESVRYHEKEKHESQCTHAPCHCPETDCTFRGAVCCLLEHFITAHGWSPTNFSYNKPLKVSIPHDHRFTLLVGEDQSIFLLTNTLAGIGNALSMVCVRPCESGPNYSCNISAANSVVGGKAEGGLVFQKDPLVSSSSLSGGVQLGKFFLLVPPELADNPSGELTVHIRVDRLAA >cds.KYUSt_chr5.38096 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240718904:240719479:-1 gene:KYUSg_chr5.38096 transcript:KYUSt_chr5.38096 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVLHRAAGHVDDSLTCTIFRVDLPRQELTRVTSLGDRALFISRDRCLSVSSRDLPCIGSNSIYLAMPGEHPVSVYSLDDGSMESTVTVHQSQDIRKGVLPTSVRPFTLADHLATYCRHREWTRGLMFHEYCYLRPTWSELWQRIVAQDSEVSIPRLRGTQSELKENELPNLFRYARTQSEAVGAVLTA >cds.KYUSt_chr7.34449 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215083893:215085039:1 gene:KYUSg_chr7.34449 transcript:KYUSt_chr7.34449 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRRRRSRGAALGDAEAAPAAVVLGGGVRTRSRSAAVVAGANPDKRRKQATTATGPVEEAGCYLHLRSRRLFMPVAVAARGDLGVEEASTSRLANSSAPSGEAIAAGISRCSSAASSAAARERSGGEAESCPGLQACESRDDVETSASDSECGASVWRETTPSSQPPAVDDYISDLESSQATDEHRHSKRCRRTPATTTTTNTTAFHLQSRARMPAAAEIEQFFAAAEKAEAERFAAKYNFDVALGLPLDAGRFEWTPVAAV >cds.KYUSt_chr2.40261 pep primary_assembly:MPB_Lper_Kyuss_1697:2:250102515:250106382:-1 gene:KYUSg_chr2.40261 transcript:KYUSt_chr2.40261 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTTVNGTITGVDISMNTHLKTVKLTLKGKNHVTLDHISVRGNNIRYYILPDSLNLETLLVEDTPRVKAKKPTTGMGSLWAVVVDAAVGVVGAVGAELYAPSLLWKLVQCKSLRCNLLPSLRAMSRNVSVLLEDTNGRRQTSENLSGTLVLLLVNPGIVAHVLCLAVRSMVLSRFGTLTIPFGCGGAPPCFRPATERTGCCLLVVIIIVLLIGVLFGMGVFRHGYDKFKELGHNHTCYDCDRH >cds.KYUSt_chr3.24851 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154241815:154244315:-1 gene:KYUSg_chr3.24851 transcript:KYUSt_chr3.24851 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGRRVPSSSPIPVISLPPWRSKLSKSKQQREERMVAAEDDGAASGGGRWCLPMHQRGSLAGVCNGKGEQIELYNAHTATTVVVVTDNQGIDDWGTCTNAIGMICLTVQIRSKSDGDIDALKHVFVSRVMDVKDFPVWIQLSYVCASNGLVLMDELLQLLPAVEIVDLSRNQFANVDNLWKCTKMQNLDIGLIICVLFHP >cds.KYUSt_contig_824.19 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:118570:120936:-1 gene:KYUSg_contig_824.19 transcript:KYUSt_contig_824.19 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFPCFKPEKKMPSRRMERGEVPPANAAVSTRSGAPLEKSGKNRGGCSCHSLESNEPSSTSPKHTQSSENRSNSEPAENSAMAKTAKAFTFRELATATKNFRFDCLVGEGGFGRVYKGQLENGQVVAVKQLDLNGFQGNREFLVEVLMLSLLHHPNLVSLVGYCADGDQRLLVYEYMALGSLADHLLDTTADQIPLSWYRRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILLDEEYNPKLSDFGLAKLGPVGGKTHISTRVMGTYGYCAPEYIRTGQLTIKTDVYSFGVFLLELITGKRAVDSNRPANEQILVNWAKPMIRDRRRYHELVDPLLRGEYPEKDLSQAVGVAAMCLQEEDTVRPYMSDAVVALGFLAEVPAGCEEKPSAVLQKKQVEDPSLTNSTKQDESTFDRQRVVAEAIEWGAMRQKQKAQNQGKATDSQCIIEPTEANRV >cds.KYUSt_chr2.9077 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57098417:57105591:1 gene:KYUSg_chr2.9077 transcript:KYUSt_chr2.9077 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGERYYRSRYRSRYRNGLQSLLDPKRMPAKLSGHPEIEKQAVRLIVEDETIGGSGGAYRAKLLYGDRHPENCVALCRKRSRAFEVFEVLNACSHPNIAKPIGVWENEAKKLGYIVFQNFDGALSSVPTQVIFEVENLLEPKPAMHGFSEKGFNILWDLMSAVNYVNDHYQQEPSQTENYLPLKSLRMEACTVFFQLKEEGDYLVLLTDFEIDTGESSQNIKGHKRRGAKAKARTSGLWDLTTKIYFLHEIFWCYDKAPGLKADLNERLPLGLQSCIIKLNVREKANNELSGVTPPIMNEWSLYKSLHFLRVFLFAHQADILEKYSGPKGDIQDRKSAERFVLEHKSDYMVRIIEEIRDLKWIKTSPYLRSRHNYMIERHHPQEM >cds.KYUSt_chr4.50794 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314795611:314796630:1 gene:KYUSg_chr4.50794 transcript:KYUSt_chr4.50794 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPASTMEAEQQPLPGFRFHPTEEELLGFYLSRVALGKKLHFDIIGTLNIYRHDPWDLPRLAKIGEREWYFFVPRDRKAGSGGRPNRTTQRGFWKATGSDRAIRSAADPKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDHAAGAAPPREDTVLCKIYRKATPLKELEQRAFAMEDMMVQRPGWNGGYGGEARAWPAPVTISAGDDYLSSSDDIQDNFLFHSSSSSSAAPSGNSKNDDAPREAKKEADVAAVTVASASALSLQQSANVPSNFQLPAANPPCSIQIPAANPTCSLELPAANQGAFDWLQDPFLTQLRSPWQDQHCLSPYAHLLYY >cds.KYUSt_chr5.3821 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24719955:24720553:1 gene:KYUSg_chr5.3821 transcript:KYUSt_chr5.3821 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPVPVTAPAADAKSPLFCPKPRRPVAPLRCQQSDAGMDLLDLLLSKGEESSLSAASPQPPLFCGSPPRRASNPVVNDSRFGMDLPGSPTPWWPVISPATPAPVAVRPTSRPAPVPVRPTPRPAPSPMASPRSATGCARVFQPAVRVEGFDCLDGGRSGRGHGIAAMV >cds.KYUSt_chr2.35840 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221513753:221516326:-1 gene:KYUSg_chr2.35840 transcript:KYUSt_chr2.35840 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSGIEREDRIQEPVHGHRQRQDSDGAPSAVAADGGADASSPSPLPAAPVDRPQERFHEQAGGGSRGTHGSSSAAAWVGPDDIPFLAPPAPRSAGSSPSAPSPDGASAFAAGASSSATDRGKGVKWRHPVVVPKEARRPFDSKLVFEQLVREQAAINEAHIQWAEREEEEEKKKKKPVEQVKSSHAKKALWSKFKNYFTRKRKTIPITEVGNYYRVTEDMAIRVNLDAYSRFRLVHGDGECFYRSFIFSYLVIALLSFH >cds.KYUSt_chr7.2812 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16689360:16697413:1 gene:KYUSg_chr7.2812 transcript:KYUSt_chr7.2812 gene_biotype:protein_coding transcript_biotype:protein_coding MATVACRRAVVRTLLGPPISPIRAAAVKVPPASTGDSFVNQLDANFNKPAVKPPPAKTRTENNSPTFATSGDPCLDFFFHVVPGTPAASVSSLLAKAWAAEPLTALRLACNLRGVRGTGKADREGFYAAALWMHEHHPATLALNAGPIADVGYLKDLPEILHRIVNDDRRLGQSWSSGGSALIKIRLTVQEGREVVAVLALPSPPSPFPYHVCFVPAPVVAMLHQLLLALICCWPALQGRLKGRRKVCLARTDVQCSSLWRCQQRLCRRAAPVFYDWDLSYNTASPIARYLFLSRVLSNFLGLRNLKSVNAFQRVKLDDVKLAYVRLEAKQDFHEHEVFRMAMLAGITIKYGFEDRLLWLAARFPQRQRRHASRGCHDQDFHDHEVFRMATLAGITIKYGVEIDKWSVLLEQRPRTFIRSQCPLPPRQRDLEVEGHSALQVLHVAWQQTTCDTANGLARTPATSFAFKRMRCAPSCSCAAFSPPTAGRPSPAKKRATSTVVPKAAAPGDLVSRVKPEIASTHRREAGEKWRRPWAWPPPTTSPCRSAAESRREEGAGRPPSQPRRLIHQGRADGRRGAAQAAAAYPIRASAMLEGGRSGRCPPCVDEEGPPPPPRPVGLCPGGVTGGGGG >cds.KYUSt_chr2.31383 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193723843:193724808:1 gene:KYUSg_chr2.31383 transcript:KYUSt_chr2.31383 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGSGVVTVYGTGNTTTNNGATGTLLEPKSSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAEGGVARMSDPGMIRDIKRAVTIPVMAKARIGHFVEAQILETIGVDYVDESEVLTLADDAHHINKHNFRVPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVIEAVRHVRSVMGDIRALRSMDDDEVFTYAKSIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPAKRARAIVQAVTHYSDPNVLAEVSCDLGEAMVGINLSDPKVERFAARSE >cds.KYUSt_chr2.36970 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228686966:228688126:-1 gene:KYUSg_chr2.36970 transcript:KYUSt_chr2.36970 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCVLTHLLSSPSASPSPSSLHRLISAAAPAVSSNPGFAVEDYLVATCGLTRAQALKASTKLSHVKSPSKPDAVLAFLAGLGISSADVADLVAKDPLLLCTSVDKTLAPNVTDLTGLGFSVSEIARLVSIGRAGFRRRSIVSKLHYYLPLFVSIQNLLRALKFNDNILKYGLERSQRATKLNLAVLRECGLGVCDIAKLFIAVPWMLTASVERVRAIVACADGVGVPRESRMFRHALHAAACLGKGKIADKVEFLKKTFRWSDAEVGIAVSKLPFVLLRSKEALQSRSEFLISELGLEPAYIAHRPAMLSYNLEGRLRPRYYVVKFLKENKLLERDRDYYGTVTISDKVFMEKFIHPHKEAAPHLAEDYATVCSGEMPARFI >cds.KYUSt_chr1.3393 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20452326:20452631:1 gene:KYUSg_chr1.3393 transcript:KYUSt_chr1.3393 gene_biotype:protein_coding transcript_biotype:protein_coding MPATEDRGGSDQQQLTEKIGLQIQMSSKRVVQGGSKLQTGRAVASVSSAAALPSSPTTSRSGITYGALGWRGGEGEERQAVGDPAARGESFGKLRKVEGRE >cds.KYUSt_contig_5894.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001176.1:2059:5499:-1 gene:KYUSg_contig_5894.1 transcript:KYUSt_contig_5894.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWKLADHPRMGKGKVVGVVVLDGWGEAPPDPFNCIHVADTPTMDALKKGAPERWRLIKAHGTAVGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDLALASGKIYEGEGFKYIQQSFETGTLHLIGLLSDGGVHSRIDQLQLLLKGASEHGAKRIRVHILTDGRDVLDGSSVAFVEALENDLAKLREKGVDARVASGGGRMYVTMDRYENDWQVVKRGWDAQVLGEAPHKFQNALDAVKTLREVPKANDQYLPPFVVVDESGKPVGPIMDGDAVVTFNFRADRMVMLAKALEYETFDQFDRVRFPKIRYAGMLQYDGELKLPSHYLVAPPEIERTSGEYLAHNGIRTYACSETVKFGHVTFFWNGNRSGYFNPNLEKYEEIPSDSGIPFNEQPKMKAMEVAEKAKDAILSGKFDQVRVNIPNGDMVGHTGDLEATIIGCKAADEAVKIILDAIEQEGGIFVVTADHGNAEDMAKRDKYGKPIRDKDGNVQPLTSHTLNPVPIAIGGPGLASGARFRKDLTDAGLANVAATVMNLHGFEAPDHYEQTLIEVSAAPYLSASSADRT >cds.KYUSt_chr3.4069 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23121938:23126914:1 gene:KYUSg_chr3.4069 transcript:KYUSt_chr3.4069 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLPKLGALLGEKYKLPKGVKKEIASLYAEMSSMKALLVELAEMDGELDAQHKAWRDEVRDLSYDMEDCVDAITDDLDIAGARALPLSMLMTLMAAHKVAGQIEELKSRVVEAGKRRDRYKFGERAGASCRSPVAIDPRLCAFYSAADRLVGIDGPKNKFMDLLRAEQQRALKVVAVVGFGGMGKTTLATQVHDKVTEDSKIMKAELVKRWVAEGFVTGKHGYSPEEIAEDFFNELINRNMIQIAELDDCGHVLSCRVHDIMLGFIMVKATEENFITVIKGSPAVSDPPCIKGHLQTRRLSLLLK >cds.KYUSt_chr4.47480 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293908338:293909792:-1 gene:KYUSg_chr4.47480 transcript:KYUSt_chr4.47480 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLNTSGTGSERPRPHVVLLASPPAGHRIPLAELARRLVEHHGFAVTLVTFANLPLPAHVLASCLPPATVATAVLPAVDMDDVPAHDIIQVLVQLVRRSVPNIRAFLRRISATAGPLAAFVPDIFCSEALLVAGELGVPGYFFLPTNLNWLALERRFVELHHGLPPGEYRDFPGDVELAEGVSLHRTELPFVFRDSNSLDFQRLLENSRRYPLADGFLVNTFDEMEPALVEAFKLAAEQGAFPPVFAAGPLIRRPNPEPDVDDRDCLCLEWLDRQPIGSVVYVSFSTLGGLSLEQTTEVAAGLEGSGQRFLWVVRMPNLALPAAADGDPLALLPEGFLERTAARGLAVTAWTPQVRVLSHPATAAFLSHCGWNSTLESVQSGVPMVTLPMGADQTMNAAILEEKLGMALRPSAREDGIVGREEIATAVKELLVEGERRRDARRRAGEMQQAAVSAWLPEGSSCHALEEVATNWKTACDTKTQS >cds.KYUSt_chr3.11552 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68874198:68877778:-1 gene:KYUSg_chr3.11552 transcript:KYUSt_chr3.11552 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTEPAAAAKAATERKPRRLRGHKKGAVTCCVASSARPGVVASSGEDGCLCWFDLRTKDVILTIEATNKPISSICFKAGNEDFVYASAGNEILSFDVRMGSQSKPLETYNYNRDEINQIAVSSKGFLAAADDSGDVKIVNTSQKCLYKRLREAHTSICSTVQFIPWRPWTVITGGLDSKLAVWDFSKGRTLFSIDYGSPELQNGSSSGSAGQCFNPAFVHSIAVSEEGILGGLYKVCAVARGDGAVDVIDLEYELGPAKSKGPSRAGASTMSSKRTDLGNGSSNQSQGKRIHIDYTMGGHTAAVSCVAFSAFGEKGKFLLSGGNDASVKLWDWSKGFSYETNSTSELVLDIDVKKKVNWLCTAPTDSDNLIVCDTSKVVKLYNFP >cds.KYUSt_chr3.15748 pep primary_assembly:MPB_Lper_Kyuss_1697:3:96685039:96686067:1 gene:KYUSg_chr3.15748 transcript:KYUSt_chr3.15748 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSRSASSEYDTTSGSSDHSKVEQQPQHLPEQQNSGSDHDGRDSYEEESDEEIGGNGAAPKPPLSASNDVLTDSESEDDEVLSKKAPSPSALQSSSEEDTSSGSSNHDEVKQQPSPLAEKQNVGSYDDGGDSDEEESDEDNVVAQKPSLSDSNDMVPDSQCDDGVVPPKTYRKATSPSAPQSSSEEGDDSTGSGDEEEESDEKDQVPDTESNPNEALPPKPTPLSEESLPIATDPTEKPEPKRKLSPMAPLPQLKRIQPAEVTQDDMARRDLEKLFKEKCSSYKHLGPRMLALEKEYTGIEVPFLMMDDNKARELEGNLHKLENFVTNALIKSLKRSVD >cds.KYUSt_chr5.8697 pep primary_assembly:MPB_Lper_Kyuss_1697:5:55051238:55062959:1 gene:KYUSg_chr5.8697 transcript:KYUSt_chr5.8697 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPLLRAHWRAAAGLLRRLQCHRFPRAAGLKSPPASFLHRPHLHSDHHRRNTMGKKKNDFEASGSGTKKGVRPHMVPLPVSVGRMMHANWTSCDAWGDVHMPGGWSLSYRRLAQLSRVRERSEEEGGLHGRQGLPFDRPPTPYDWRYRNRPCRVNRRLHLDDHDDHDYVDHGYDDHDYIEALAYHNEEVKDDSDDYVAAIFHEWQQAMAEGRKFEFPENMTDDEMAKLDVLVSENDPPVQPPLPRYARALGASTSNTAGTPGRERSALGTVDDPLSPPSPFPPLKTPTTSTAMSTVEEVLVEESTTQIISGADPSRPVARSAHFLLPRGDSAHPPALPLAPSPDAGPVLADELQVELSGWPGTSKQWRRWVAKLRPRHELLWRKVRILPAVLATTSWVRRDEGLLLQLAPFWSGDTSTFVFPWGEATVTLEDVAVLGGLPLLGHSIGSRSDELRRDVDALEAVRILLNRSKCKKASYAAWAKHFAQQPTEDDDGRLLEHGAFLAMWLSRYVFPAPPFDVVRPEVFPIAARLARGKCVALAPAALASVYKDLSALNRYLSSGNTHRRRFVGCAPLHILQLWVWERFPELRPETKSTSRRDDPAAPRAAHWHNAKKALHPSYIHSVFMSPDEFQWRPYESTTSFALLQAKAGCWVHGQDIAASKELLSFAQCLRPCELVGMGCIEHYCPHRVARQLGFDQDVPRTVARVKLSRKAAWATYKMHPEKITFFIPQCDPGVTVAYSQWWEPYLSTSAAAVANAARIKQIHVEVSSRKRKAQDLPGVDSCKERHLKAGMILPDDTEDPQDEIPLVERLNSIILMTHKQDVERHNLKDRETLKENSETPLKDFVPTWTRKGRRKVVLRKVADQAFSDAETALVTAIGEPSCGSVSKNEHCKEQVSDSVMMHDDNNISSEHGDVEGAASTGSNKGIGPAIVVDVHSDLQDILAISDDELDQIFGKDTEVTAMYLKLSRLAVTINNSDQPYEERHVFTVSRDEQEGTKLKDIMAGNNCDYELATVQSDTTLRRGPDGVTRVINVKTNVDVLEASIDEIQPYNVTKEQDQRAKVDRKNSTVLEENDGASSDGLVNGNGELVTRVISTDTLYYVTPFERVKDAKIRDSRATNAVQGVFQPRREVGTKELIREVSEAREAEKAELEAIVDRLKQQLVALEALAPDDQPLLRPRLGSILCRGIRYRVGLEQQNPSNEIFSELDEIKSQGPILPRTFQKTEEHTKWGHEGPPPQGGTAKEGPAPPCGVGPSSAPRLCPSAYLKPPSRKLAKNHDTENLPETPPPPIPSRGILEISSGTLPERGFISRRTLHRHGRLRSDE >cds.KYUSt_chr5.33929 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215600282:215611401:-1 gene:KYUSg_chr5.33929 transcript:KYUSt_chr5.33929 gene_biotype:protein_coding transcript_biotype:protein_coding MREELGAVLRGLTTSEIILIAVVIVAYWRRLAVDAGEENEDDGLLFISETIWTVSLVGWAESWAALVGFGLLRCWAATACFGGQVEAFSEKYLGLPTAVSKITSEAFEFISDKARSKDLVRSLIQFLEDFGGEVWRLLTNPSSLCSQVLLGRYCHNKSLMDVSAPRTASKTWRAILAGRTAVDIGMIKRVGSGDSISIWKDNWIPKQHSMKPMGRRRDTGLEKVSDLITEDHRWRSDVIEDVLFVPDTEAILKIPLRQSWGADWIAWSKENNGIYSVRSAYRALMEQREMNQIISNGGGAGTSDNDADIWSRLWKLCVVPKVRVFWWRVLRGILPDYRTLSRRHVMENSTCGVCKASYEDLMHALVHCSHARQFWLAAREVLFLKLPKLHPVTWTRDILCDPRFTTEVRARIITVMYNIWTSRNNITHGKGGYNPSKTMEVIKDTLQFLELPKQKVQWGPRQPCKWTSPTQGVVKINFDGAICEIDSRAASGVVARDHSTFMGASTKVYEGVLEPLIVEALAARDAYVYVVQRGFDRVVLISPPPDLATASSRHHLLDTKTSSVARSRRLLAVAPNRTSNHRILCAVSSATSSSVELAFTPGTQALLDFNRRGGGSTKLGPLCLTLGAVYLILAKECTIIELQESKRRTLHS >cds.KYUSt_chr5.38456 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243159553:243159936:-1 gene:KYUSg_chr5.38456 transcript:KYUSt_chr5.38456 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVPGPVNRHYDRPGRPWSRLDRLLDRTSRPQSRSSRGAPLIRPVGRPVRPADGPDHPATVPVVRGLRSPARSDRADGPDQPATVPGRTELLGRTGRGGDDAGEPAAAAYQGRRYSQPASRPRWPA >cds.KYUSt_chr4.50035 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309930163:309931356:-1 gene:KYUSg_chr4.50035 transcript:KYUSt_chr4.50035 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQTGRMSDPSVLNTNAITQRLNNFTTETAGVDKSIGYLDIFVHQARDINNVCIYHKQDVYAKLCLTSDPEVSCSTQVINGGGRNPVFDEALKLDVRTVEASLKCEVWMLSRVRNYLEDQLLGFTVVPLVDILVADGKLAQEFSMSSTDLFHTPAGFVRLSLSYIGSSPDVIEISSPEKSASAVTDSGNDNLDAAEIEKIEFPDLNMARENETMVSKYFEMETLETYENLVKAENSKLLQNDVVIPGPEISTVNPEECPDESSCVSTADPQSVSEPSETAAAASSSEIQREKSQNVTDGEADSSEAPPPKDELVQTSVIGVNLQPGESVVQQDIVDMYMKSMQQFTNSLAKMKLPFDVVENITSPSADDSTDSITAEKSSPSKGSRVFYGSRAFF >cds.KYUSt_chr7.18159 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112679118:112679459:-1 gene:KYUSg_chr7.18159 transcript:KYUSt_chr7.18159 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAAASTSSGNSGSGRYFPARSTAAAKQRRAPAPAGSGGKIDGGDGYFGAEAAVVLALMTAALLVLPLLLPPLPPPPPLFLLVPVAILAVLLLLVLLPSDARGLRVAPSYL >cds.KYUSt_contig_534.299 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:1905670:1908331:1 gene:KYUSg_contig_534.299 transcript:KYUSt_contig_534.299 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRERSSQCTDGGSIVEEVPMDKDFAALRHIEEDVITRFSAKLDQIKGHNTILHKKIRHLVGRGILNRAISAENARSEQSSFDKRYSDVLAECKKLKKEVEELRAQPPMSVVTDKAGYKIIGLIVSRELVLSPPINSPHLRGIDFLVSNRSSMKTGLAYEAEQSAAARAEDASAVVAVAAGEASPGTQAARLLCLSDSSTSIETGVLAVEEKVDSNAVAPAEDPQGVAGHHGEVFLDPVLDADLDPFDDFGPNVQFQEDVEGDDEEEYSDDMDDNKERRDQKSRYVLKRLKGGEIRFRMRGELFCPFCGKILQKDIRSLIQHATGVGLSTSGKHRPATKAKHAAYGLFLQNYVLPGLFPVNAPAAGPHAPGPV >cds.KYUSt_chr1.1624 pep primary_assembly:MPB_Lper_Kyuss_1697:1:9085690:9086007:-1 gene:KYUSg_chr1.1624 transcript:KYUSt_chr1.1624 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNGGLLRSVVAAAVFIVCERSPAPVRDQEAEVGAKLTRWFRAEVAAKLNYTLFLMGL >cds.KYUSt_chr1.9580 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58426121:58429174:-1 gene:KYUSg_chr1.9580 transcript:KYUSt_chr1.9580 gene_biotype:protein_coding transcript_biotype:protein_coding MASASATVSIHRTAFSPPFQFQPGWRAPLPLRCTHSERGVSFDPGSAFYRSDSAPGRDLAVLAATLHRQRRLDPSAPFLCLDVMCGSGIRALRYLAQAGADFVWANDASDALRPVVVANLSRFKLPPSHGQRRWVVSHLDASRLLAERYLRREYFDVIDVDSFGSEAEYIRAAFLSLKIGGLAYLTSTDWRSARGYGGKCSLSSYGAYIRPVPYPNEIGLRMLIGGAAREAAMLGFHIKPVFSYYASHGPIYRAMVQLCHGKEDDISNYYGFICHCKSCGQTQTFGFDELGQISCGCADRADATSITVVGPLWTGPLHDASSITEMLNLAVEWGWAYTSENGIILQKLLDTMIEESDPRLPPGYIRHDEIACRAKVNSPPLGTLISSLRKEGYAACRSHIGANAIKTNCPISSCIEIAREIRNTR >cds.KYUSt_chr6.3176 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18607798:18609915:1 gene:KYUSg_chr6.3176 transcript:KYUSt_chr6.3176 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHDRDDRLSSLPDDILVNILDRLNARDASRTSVLSRRWSQLLVKLSRLIINAKDFLPEGLSNDNMSGDDLVRVNAAAVEATKSLLACRNPGEHTIRLLSTAFYLRDDVPISIGHAVGNAMATHKVEKAEFTVLTEKQHLQCTLDDTVNYGAQFVSFFNECLNAFSGLTRLYLENLTFAESDMVSNILVACKQLKYLGFLNCETESWMTLQVEHAQLSELSFVDCCFGEVELKWLPRLSRVNFVIWRVYKDLPLSFGHVPLLEAVTLVNVALSWHKMVKLSTLLSETSVQELHLGFKCEKIWVQPERLTKRQASAFQRLRIVNLDCIPEGYDLTWTMFILEAAPSLEEFYMTVMDHPCEMQMNKKRRKKALYSKRKGVEWESPTSNFVHHHLTKLIIFCFDSCMVSHVRRVIKAAVNLKDVYLYDRLTCDDCKHLEPLKPNTFPLSKKHRCSMRMVMTQGIESHARIRFLSCDGIRDDHVDMILF >cds.KYUSt_chr6.4066 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23561324:23565485:1 gene:KYUSg_chr6.4066 transcript:KYUSt_chr6.4066 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAEVEMEAAGDDVHTPHSAPSTASSARVSIWESVRACGLWGKDVDKAELRRRVVMPLYARRAVAAAVKEKSEPAGVAAAAAAKEEGEGDAAVVTPMVVFVNSKSGGRHGPELKLRLHELISEEQVFDLSDVKPSDFIRYGLGCLERLAERGDNCAKNIRGNLRIMVAGGDGTVGWVLGCLQELNKLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAGAAPIVHLDSWQAVITMPEGQIDELPHALKKVEPADHLEFNKENGIKLPEKASSYKGVFYNYLSIGMDAQVAYGFHHLRNEKPYLAQGPVANKSMSHSECAV >cds.KYUSt_contig_1181.663 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:4620175:4625453:1 gene:KYUSg_contig_1181.663 transcript:KYUSt_contig_1181.663 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRSHAKPATPTIPAADQPPAPQSPPPAMETGALSGTPAPSPSTSASRGLGSSAADGGALKSPDAGAGAGTPRRSLRLAGAASPNTHAAGSSVPDGASSAAGKQRGRPRLSALPAAAASPKDVASLTDGAGGGGGGEVRASLRSGVSGSLQSGPRTAKRQMDPSADASGEKGVRSDGRAGGMVYDEMPRSDAAAKRRKGTLVRGADYVPDSESESDKDSVLLAEGGTSVSTAGVVDYVPDSESDKEDCVPLRGGGMKVLARLSAYPNLIDLNVVPMGSDVTDVGRGDGSVRAGEGEIGEANNRNGHLLSGESMHLHVSAAAARIVKSPLASPVAMSATAEAYAGMNSTQDLLRHESGDKGNLKEKLVLGNNDSAAAASAGVRAGARTRKFSRDDKGKGKMVAEEGLLPQGLGNADMDVEPVASEANQRIPGAADAPVEPLWRQAARERAIKLAPKFAFFKPDEDVQSEEDDAEELEPPAGPQDWPGPFSTAMRIMDDRDAKLRARELNPSKLDSIADKVISWTPSKDKKKTPVRPVPSLTSLCLRTLANNAEGIESLGGISEELKNKLLMELCHSRKMNTHLLTELLCDNPVTLQLSECSWLSEDDFGNIFGKCMTESLEVLQLDLSGRCMPDYMLPATLAKAPNCMPLLRKISLMGNHRFSDIGLDTIISAAPSLSSLNLRECSLLTSTGIENLAKRLHSVLRELYIDDCLNVDAMVILPALQKIEHLEVLSMSRIQSVCDKFVNELVPTHGSNLRELAFAGCLKLTSSAIKTIGVNCPQLSSLDLRNLNRLRDSALKHLRGGCRLIKKLKFQKNAFSDEAVSKYLEESGGCLTELSLNNVGKVGNLTAQAIALKCSLEVLDLSFCRGLTDEALGLIVDSCSSLRILKLFGCTQVTDVFLKGHSNSLVKIIGIEGSILDRMDRS >cds.KYUSt_contig_824.209 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:1358161:1359924:-1 gene:KYUSg_contig_824.209 transcript:KYUSt_contig_824.209 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEDHISRLTDDLLRLILRLVPAKEGAFTTMLSRRWRPLWTSSGAVNIVARILENDEQHVMESTAFYARRDRFVAGTFKALDAFHKAAILDPVKTLTLRVEGQAGNIIRDYLNRDADWRGRPQVLAKLLSHPAARKLEEIRIAAVDNIDGEPMLFEQIDREADPNSFRVRLYTLSIGSLPSRTIRVLDLTNCGELAPASSELPFPLLVSLRLRHCNVPLEVLQGLIRAAPKLSSIYLEYVLLEEQQSFQEDDDPPQDAVLRLLSCPSARVLVIDRCCIKEEGTFRIYAPLLWRFEYTGVIRNLLLSPPPLDLVQAEVTLVDYRRKLERDPVAARHNFWSIVRGLCHARQLTLHIFHIEEFAVATKADQATLLPVLPNLKRLKLDGVFWPTRTEAATTIGNLLRCCPALVHLRLNLRTHQEMQTVSESDALAFLKRKYEDEFKESISRLERHRTQQAVAARDKEEDFKESTSRFKRRRTLQAVTATDNEDDVYFDDTVPDLAGLSDHTFECLESSLRTVSLQFLYAEKNRFAVYLVKYFIKRGKVLEKIFVDPGNQRFGDRMSIKIEKWVTCLSTRRIKVLPLLRD >cds.KYUSt_chr5.37860 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239153412:239156059:-1 gene:KYUSg_chr5.37860 transcript:KYUSt_chr5.37860 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGPNEPTQKLKPLLPGEVARSSAGSLSADLDPSIADPASSLATPSRAPISPSSPPAPDRHHHDRSPASAPSSSVQIASVSLRFALDCGRNLAKGGELGIHMIIRIAVSSSKAIKVAASCNGVESVTLSGGDKNLLLVIGDIDWTYVIRKMRRKVCHAEVLEVHRRYDGRYESTEFSIPPPQEISRGEA >cds.KYUSt_chr4.25648 pep primary_assembly:MPB_Lper_Kyuss_1697:4:161162239:161163792:-1 gene:KYUSg_chr4.25648 transcript:KYUSt_chr4.25648 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKEAPLEENDQKVMLELTGDDSSVDRPGLDLVAVLDVSGSMTGHKIQEMKTAMQFVVRKLCPIDRLSIVIFNSDATRMCPLRRVTKASHGELQEMVDSLMARGGTNMEAGLKTGMKVLTDRKVSDGRVVGIMLLSDGQQNSRTDAARVPVGNVPVYTFSFGKDADSYVLNTVAANSMGGMFSFARDGDGVTIAFSQCLAGLLTVAAQDLYLTVTASRVGDESTTIKKITTGSYLQTQHSDDPGSMTIAFGHLYNKEVRKVIVYLSLPAVESERSADILKVTYSYNRSSGREFVAPPATLTVLRTGVAIPEVAGPVEVRAEEFRLQAAELIKEARMLADAMKLVDARYKLMDALDLVADQSNPLLPAELQELLHLMDSQELYHGHGRAYALASETSHDRQRFAARGGDAGTIRLFATPRMDKYLKQAKMFESDPASPVTSADDDVKEEIALTINLRLCSHCNEEEMRRLSLDTES >cds.KYUSt_chr7.39577 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245995265:245995915:1 gene:KYUSg_chr7.39577 transcript:KYUSt_chr7.39577 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWFTSSSKNGAREEEELGGLALEVTVLSAESLRLPPSYSPLPRRLRPYVAVSTSADCSSTDVASSSSAGGQHSWDGDARLVVPVGAGFLEGRDDVRVALFSESGCARRLVAGGDTPLGWCRVPAADVLDGLRPPRALRRLSYSLRCPRSFGSGHGVVHLAVRVIGDVEVVRAAPPAQPGWCRVAMGIPVSGPSAAAAAVVGTPSPWSWSETSR >cds.KYUSt_chr3.12648 pep primary_assembly:MPB_Lper_Kyuss_1697:3:75721563:75722366:1 gene:KYUSg_chr3.12648 transcript:KYUSt_chr3.12648 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLTNGTWSEAVGDEVDDEGGHSRKKTVARQNWNSFRQRSVRGKKTRFWRRKNGVKSVGEGGEKKEDLTRNRSNTSGSPEDEGGGLKPRRSLAVGEDKDEEVGDFELDSSRAYVEEIQSDEGKLLVALAWRGEVGGDGTPAFCSRAKPSPFSFVEKGKEREQEEVDGVVWLWTAAEYQEELVRHDTHATDMSKRAHPFFVRRRMTPL >cds.KYUSt_chr4.21064 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132730651:132734025:1 gene:KYUSg_chr4.21064 transcript:KYUSt_chr4.21064 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEENRNWPFGISSIDDEEEQAHIKSKETRWRRPPAEEGRRWRGLVHRALAMVAGGVLRRETGVAGAALGGAREAGAVQPKARCAAPARREGAWIPRHGQHRRHPIRLAPRLRAAPQASTPTPRAVPLPRLTALDGTSNVYLEELHRA >cds.KYUSt_chr6.15831 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99624361:99625053:-1 gene:KYUSg_chr6.15831 transcript:KYUSt_chr6.15831 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKRAELEKSNHLKDDSFTIRCDIVVIQDYREEDFTPTVFVSVAPSDLCQHLGDLLKTEKGADVVFEVGGETFAAHRCVLAARSPVFSAELFDGMKEGNTAGVVRIDDMEAQVFKALLCFAYTDSLPAETKPEEEDVMYQHLLVAADRYNMDRLKSICEEKLCNYIDVGTVATILALAEQHRCEGLKKACLHFLDIPANLRALLDSDDFDHLCRSCPSIMKLIAMLAFK >cds.KYUSt_chr2.26395 pep primary_assembly:MPB_Lper_Kyuss_1697:2:161617281:161617777:-1 gene:KYUSg_chr2.26395 transcript:KYUSt_chr2.26395 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKRWWTGEDVGPGFSRLRPPLAWLLAPRPIRHLRMLGVERPQLSGRATSEVLAADPEEDRRLRELYEAEAAAYERASRPPRAL >cds.KYUSt_chr3.48408 pep primary_assembly:MPB_Lper_Kyuss_1697:3:302822341:302823209:1 gene:KYUSg_chr3.48408 transcript:KYUSt_chr3.48408 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRIAIGSPGELSHPDTFRAGVAEFISMLIFVFAGSGSGMAFAKLSDGGPTTPAGLIAAALAHALALFVAVSVGANISGGHVNPAVTFGAFVGGNISLLKAVVYWVAQLLGSVVACLLLKIATGGEAVGAFSLSAGVGVWNAVVFEIVMTFGLVYTVYATAVDPKKGDLGTIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSGVWENHWVYWLGPFAGAAIAALIYDIIFIGQRPHEQLPTADY >cds.KYUSt_chr3.47201 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296202251:296205055:-1 gene:KYUSg_chr3.47201 transcript:KYUSt_chr3.47201 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVYTHLAHAVALLLLAATTAAAVRSEADALLAWKATLTGASALSSWTKLAGPCSWFGVSCNTAGRIESLTLRGLGINGTLDALDAAAFPALTKLDLNNNNFLGTIPASLSSLRSLAELDLGSNWLDGAIPPQLGDLSNLIHLRLYNNNLAHAIPHQLSRLPRIMHFDLGSNFLNNTDDRNFSPMPTVTFMSLYLNYLGGSFPKFILNSRNITYLDLSQNLFSGPMPDSLPVDLPNLRYLNLSVNSFSGRIPALLLKLGKLQDLRISSNNLTGGIPEFLGSMSQLRVLELGNNQLGGPIPPVLGQLQMLQRLVIKSAGLVSTIPPELGDLDNLTFLDMSTNQLTGVLPPAFAGMRKMREFGITSNFLTGEIPGVLFTSWPELISFMAQNNSFTGKIPQELGMATRLSSLYLFSNNLTGHIPAELGELVNLVELDLSVNRLTGPVPNSIGNLTQLTRLALFFNGLTGVIPPEIGRMTALRVLDVSANRLEGELPAVITYLSNLNYLSLFNNNFSGTVPPDLGKGLALTDVSFANNSFSGELPQSLCDGLALQNFTANQNHFTGRLPPCLKNCTDLYRVRLEGNHFTGDISDAFGVHRNLVYLDISGSQLTGKLSSDWSGCTNLTVLAMNNNRISGNIPATFCRLTHLELLDLSNNTFSGELPSCWWELQALVFLDLSNNGLSGEFASTTSTSLDLPLQSLHLANNSFSGDFPSVIRKCRILVTLDLGNNMFVGDIPSWIGSSVPFLRVLSLPSNKFTGVIPSELSQLSNLQVLDVSKNRLTGFIPDTFSNLSSMKQPNKIVSRLQLSEKNNQFELVQLSRISTFSRRSMPKPNNQSYQDRVNIFWKGREQTFQKAIELMTGMDLSSNLLTGDIPEELTYLQGLRFLNLCRNDLSSLFQKVLAVWSYWSPLTCHGMNFPVLFLQAFQICKHWAC >cds.KYUSt_chr3.26773 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166969863:166970831:-1 gene:KYUSg_chr3.26773 transcript:KYUSt_chr3.26773 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKLPPPNPNLPYREDCWSEGETAALVDAWGSRYIDLNRGSLRQPQWREVADAVNTRPGASARRRPPRTDIQCKNRVDTLKKKYKTERARGAPSPWLFYRQLDILVGPTLSAAANAKKPSPPRGGGLAMLRRRPSPSPSPSPPSPMPHMSALPMTNSRRGGSANLPAADVVFDRHKAAAAAAAADYDSDDDAPYNNNNNNYRNNDDDEEEGSQQSASRSGGNAAAPLASTKRKRGGGFGELAMAMETFADMYERMEAAKQRHAEEMEKQRINFLKDLELKRMQAFVDMQLQLSRVKHAKNGASEMLMSLAALPFLSNPAYL >cds.KYUSt_chr1.37155 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226997517:227000626:-1 gene:KYUSg_chr1.37155 transcript:KYUSt_chr1.37155 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFWGREARAGGGMGNGGGTPVVVKMENPNWSISEVEPEMGDTGSPAGLAAGKAGRGKNARQITWVLLLKAHRAAGRLTGAASAALAVAAAARRRVAAGRTDSDAAPGESTALRTRSYLFIRAFLVLSVLLLGVEVAAYLQGWHLQMPEMPAGFSAEDGLVAIDGLFAAAYAGWMRVRLQYLAPPLQFLTNSCVVLFLIQSVDRLVLCLGCLWIKLRGIKPVPIAADKDDVEGGADDFPMVLVQMPMCNEREVYQQSIGAICNLDWPRSNFLVQVLDDSDDATTSALIKEEVEKWQREGVHIVYRHRVIRDGYKAGNLKSAMNCSYVKDYEYVVIFDADFQPQADFLKRAMPHFKGKDDVGLVQARWSFVNNDENLLTRLQNINLCFHFEVEQQVNGTFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKCNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPVTMSILSILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKSGRSSEGDLVALVEKHSAPQRVGSAPNLDALAKEESLPKKDTKKKQKHNRIYRKELALSFLLLTAAARSVLSAQGVHFYFLLFQGISFLVMGLDLIGEQVE >cds.KYUSt_chr4.7626 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45599362:45600138:-1 gene:KYUSg_chr4.7626 transcript:KYUSt_chr4.7626 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETSTPAPQQQPPLHRNPLAIVNIVMIGIGAVAGPLILRSYFVHGGSRKWLSSFLQTSGWPLLLPHLIFRRRKDCCSPLFLLPPWLLAVSAALGVGIGLSDFLYAYGLADLPVSTSSILISTQLVFTAFFALVVVRQRFTSFSINAVVLLTVGAAMLGMNGAGSDRPEGVSRAAYYVGFAMTIGSAATYGLVLPLMELSQARLAVAGRSAGSYSLVLEMQVVIGIGATAFCIIGMIVNKDFQVRSQPCILSTALQE >cds.KYUSt_chr4.17587 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110117101:110118531:1 gene:KYUSg_chr4.17587 transcript:KYUSt_chr4.17587 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRQLHAALVKSGLAKDPIAASRAVAFCAGEGRDAAYAARLVRYHRKPNSFMWNTAIRALSDGPGPDAAVALFLDMLRSPTPPERRTFPSLFAAYARLGRADDGAALHGMVLKLGLAGDAYTRNSMIAMYASCGRADEALALFGQCQEFDAVACNSAIVALARAGRVDEARAVFDGMPARTVATWSAMVSAYSRAARCQDAVDLFSAMQADGVEPNANVLVSVLGCCASLGALEQGAWVHAYIDRRGVAVNALVVTALVDMYCKCGSIRQARQVFDASRSKGFAVLSSWNAMMLGLAVHGQYQEAAALFSELETYGLRADNVTFIAILMAYGHSGMADEAKALFASMASEYGVTPGIEHYGCLVDALARAGRLREAEDVIRAMPMKPDAAIWGALLSGCRLHGDAEAGARAAREAVECDPRDSGAYVLAASVLARDGEVGTGLGVRGKMREEGVAKVPGCSMIEVNGVVHEFVS >cds.KYUSt_chr6.6270 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37870697:37873709:-1 gene:KYUSg_chr6.6270 transcript:KYUSt_chr6.6270 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIARAASFGGRATAGWFSYRRVTVAVCIGNLVAALLVLRALTSPFAPAPERVEVARYTEAQIRRVEESIRIRREAEPVELVQAVKKLRKVFAREEKRRRELPLELKQKVSYDLVGRLHELGDNSSVIQQREALETWRVETLKDTKSASIQNTSKLGLSIEEARMLKRSLEFNWHALLEDIGLWIPVEVPHTEHDDKPENEPEEEEIIAGPPLNPQCNAELHADYGGAAVKWGLTHHKESAADCCQACLDQAKNAKPGEMKCNIWVYCPSEFGCYSPDKYEHKHQECWLKQDDRPKLNFKDKYTESYRDSHPRAPVVVPWMSGVTSV >cds.KYUSt_chr1.21898 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129337251:129339171:-1 gene:KYUSg_chr1.21898 transcript:KYUSt_chr1.21898 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASLEGFPYRGSRYWGFRDGGFNHQSKFPQIFHLILFELLPSSAMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr3.14882 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90511784:90513019:1 gene:KYUSg_chr3.14882 transcript:KYUSt_chr3.14882 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKDVAVVADIAYRPPAASVSLFDVSAVEEPWLIAKKNAAANGDQVEAEHSDEESEEEEDESEEEEVQDAKKPTTGVALPILDKLDGYELAPASWSEVSKALEDIKPTLDSKQTTDPKPVLKKKKKKKKTKKQPAAQPEPTPPQKEQPSSQSPSVAGTGEAVNKAPPPATPYVLGSGDAGTMKKPLPELTGRRVVKENPFLLRDREAKNADGTAAAPKWRRRDPFEGYPERRPPGASGGGVVLYTTTLRGVRRTFEDCERARAAVETCAEAAGLTVDERDVALHGEYLRELRELLLAEAEEQGAGVLPPPRLFVMGRYLGGAEACTELVENGKLAEMLRWARARGEACAAKDGRGCEGCGGARFVPCMECGGSCKVVVGGDGSVSSGVVERCGKCNENGLMMCPICH >cds.KYUSt_chr6.5714 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34259862:34260404:1 gene:KYUSg_chr6.5714 transcript:KYUSt_chr6.5714 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCRSDRDRTGEAERALAFSAQYQPCNTATTTWAPVHMQAAGQVDPSDAQQLPPRRPRRRHSTSASRRSSTTVVATDVSNFRAKVQELTGFPPAAIFRPQPRRAHATAASHSLLAAAHGCGAGALLQGRSSDAAATAGNGSRDVPAVVQPLMHPTPGLFDGLADLGSPEFDAWSDLSFE >cds.KYUSt_chr3.5835 pep primary_assembly:MPB_Lper_Kyuss_1697:3:33137138:33138302:1 gene:KYUSg_chr3.5835 transcript:KYUSt_chr3.5835 gene_biotype:protein_coding transcript_biotype:protein_coding TVSRPTFQQLMPYSSAPSGDSNVNEGTSTTRVAADPDTHQDFQPTSKGSGMSLHDIVAQDIKENPVLIYMKGYPDAPRCGFSALAVKVLQQYGVSISARDILSNMKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILNMHQASELIK >cds.KYUSt_chr4.1963 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10763460:10763862:1 gene:KYUSg_chr4.1963 transcript:KYUSt_chr4.1963 gene_biotype:protein_coding transcript_biotype:protein_coding MFNITNTQAMKRDGGVDGDSDDGSAHDVSWTYGLMDDGAKLGLLGVWMALFTVFAARKFQQPIKSVVFLAMKDDIGDKSVFMFNALPEEEKKALLQKLEAPTEQKFE >cds.KYUSt_chr2.45614 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284455624:284459694:1 gene:KYUSg_chr2.45614 transcript:KYUSt_chr2.45614 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSLRPHRRGAALSGGGQWQWSFLDAVWAVFLVAVVVFLALVFTPRRPALPRAVQPCAASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEAPACLVPPPQGYRVPVPWPESLHKIWHDNMPYGKIAERKGHQGWMKQEGSYFIFPGGGTMFPDGAEQYIEKLAQYVPLKSGLLRTGLDMGCGVASFGGFLLKENIMTLSFAPRDSHKSQIQFALERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFTAYNGSYLIEVDRLLRPGGYLIISGPPVQWKKQEKEWSELQAMTQSLCYKLVTVDGNTAIWKKPNQASCLPNQNEFGLDLCSTDDDPDEAWYFKLKKCITKVSLAEEIAVGSIDKWPDRLSKPSARASLMDGGASLFEADTQKWVKRVSYYKTSLGVKLGTAHIRNVMDMSAFFGGLAAAVASDDVWVMNVVPGQKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADGINSLISDPKSGKSRCDLFDVMLEMDRILRPEGTAIIRDSPDMIDRAVQFVVTASMFDVATTFELNKI >cds.KYUSt_chr3.35618 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223765461:223769215:-1 gene:KYUSg_chr3.35618 transcript:KYUSt_chr3.35618 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHARQDSETNVRVAVRTKEHMERVNAESMEAHRNFKEAERAQLAFSEILGHKDAEFHQYQVVGRALPTPGDEQPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKANGQMLAINEIFEKNPTTIKNFGIWLRYQSRTGYHNMYKEYRDTTLNGAVDQMYTEMASRHRVRSPCIQIIKTATVHFKLCKRENTKQFHNAGIKFPLVYQKVRPPTRKLKTTYKATRPNLFM >cds.KYUSt_chr5.40114 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253392442:253395542:1 gene:KYUSg_chr5.40114 transcript:KYUSt_chr5.40114 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIFCPDCKKHTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESNDNDPVRVGGPSNPLLTDGGLSTVIAKPNGAHGDFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNTAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSEDKKPLKDISLATGVAEGTIRNSYKDLYPYAARLIPNSYAKEEDLKNLCTP >cds.KYUSt_chr1.37917 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231547526:231548569:-1 gene:KYUSg_chr1.37917 transcript:KYUSt_chr1.37917 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLLNPPPSRIALTAAVPPFPIPSFLFSQPRFPPLHIALYLRSPPPLRVQSSSTPPSPTPTEGSPTPPASREEAVAQARSCLATALRKPLNNTLPARKLKKQQRQPRFRAEIPVVDDSPGSLARLAFDVFSGLGVSRKGSPAKLLLVWPSSEEMELAVREFKNWGDSSSALAHAQLDSVSPDALGSCDAAVFLSPGREQVEKLRAAVNALDPKPAVLFNPAWSFEVEEEGGFGGVAKGFVGSFNVVYSFMGLEVKGLLSKKKGVLLRCVEGGRFGGDSWVLMVEEEDEEAPEFKVVSRLKRRPTIGEVENMMYNLMAANSPVTKSARFLRELVSNVTGRKGKQQQ >cds.KYUSt_chr2.28925 pep primary_assembly:MPB_Lper_Kyuss_1697:2:177828289:177830561:-1 gene:KYUSg_chr2.28925 transcript:KYUSt_chr2.28925 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSVVLGVDGGASNTVCVCIPAAMPFADPLPVLSRAIAGCSNQNSVGEDRARETLERVMAQALLKARRKRSNVSAVCLAVAGVNHPIDQHRMLGWLREIFPSHVTLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTNDGREARAAGAGPVLGDWGSGYGISAQALTAVVRAYDGRGPETMLTNNILDFLGLASPDELIGWTYEDQSWARIADILPVVVESAEAGDEVANKILHNSVGELASSVKAVVQRLALSGEDGKDLFPLVLVGKVLEANKKWDIGKEVIDCVTKTYPGAYPIHPEVEPAVGAALLAWNAIASEPDGDLRPVV >cds.KYUSt_chr4.18486 pep primary_assembly:MPB_Lper_Kyuss_1697:4:116044118:116044405:1 gene:KYUSg_chr4.18486 transcript:KYUSt_chr4.18486 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPRKTKGSSSAASGSGGTKTKRKMKRKTKVLPPLLSPGTAVEVLRNGKWVGGGTVTIRNDRTYMVSLPEGMTVLMTRGRVRPTAGYGTLYW >cds.KYUSt_chr4.41205 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254714160:254718407:1 gene:KYUSg_chr4.41205 transcript:KYUSt_chr4.41205 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEMSGEPGRWSLHGKTALVTGGTRGIGRAVVEELAALGAAVHTCSRKESELGDRLKEWEAKGFRVTTSVCDLSVRDQRERLVGEVAERFGGKLDILKLGNVSTLDSEQRKCQTVCKCKLSVTNASEFATTVFPLTARASKYMWCSEEFDHASQRLEHTGGNQQMAAAETSATAGAPGRWSLHGKTALVTGGTRGMGRAVVEELAALGAAVHTCSRKEAELAERLKEWEAKGFRVTVSVCDLSVREQRELLVRDVGDRFGGKLDILVSRN >cds.KYUSt_chr4.55052 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339889957:339891603:1 gene:KYUSg_chr4.55052 transcript:KYUSt_chr4.55052 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNPSVAVLALMLALLVLAAAPGGARSACDASQLAVCLSAIPGGAAPTAGCCANLKAPMDGTYHTGGLDLNEPFTEDVIMLDDMPDKQEYGSKTGQCNKEDESNKTGNEKNATWSNASEKEAVTGETISTDESGSDDEVKSTPCSQTDVQKLYP >cds.KYUSt_chr1.29308 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177367250:177375059:1 gene:KYUSg_chr1.29308 transcript:KYUSt_chr1.29308 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLATMFFFFAFQTLAEIAVPGSLAVVVDGLERALAPVAALPLHGAQGPDKLAIRVIVLQEEKPRKQRKLQRSPPELQLRRRPERERDAPLPLLVHTPRIDESIPKATGKSPLTAPGGVTPWTNGSAAKHHAIFFNIFEIQILRTGSGWGELHRADASIRERRGSRRLTTELRRAPQTKESRTCTKHFTGATTTSMAPPAANPTDPTLYTTAKRGSPALPPPERPAEGERSGKTAVARNVASIDEENLFSRGGDISGRGSLLAAAIYPFSIVFNQKSTGIHLLYFCSRSRSEWDLGLALDVVVRSLNLEFGVSCVVASGKEHAATTTGDEKKGGLRGKLKKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGDIEMEGLEKKAKASGASQLVVKNLQEEFVGEYIFPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPVPVSKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVSPENAPSKPEYLEIGIVAGVPTSINGKDLSPASLLAKLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELESLTLDRETMQWKDMLALKYAELVYAGRWFDPLRQSMDAFMETITATTTGSVTLKLYKGSVNVASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI >cds.KYUSt_chr1.20352 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119925098:119925493:1 gene:KYUSg_chr1.20352 transcript:KYUSt_chr1.20352 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEAAEVVEEKEEPKGVEEEEDWKGEKDEDEDDDEEEEWEQEDEQDEDGDEEEAPAEEPVQGVASGKGSPAKLADKYYEVETIRRSRRLGKGQKEYLVKWYLHLSLPTSSVSRNYRFIVLAIDSLAIDC >cds.KYUSt_chr2.44969 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280159723:280162347:1 gene:KYUSg_chr2.44969 transcript:KYUSt_chr2.44969 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDKIAEPKDPLAFAARKEPPPPLLIPIKASWKGKTSQEEEQEQDEKDLPDDGEESFRTVDSSDEGGRTSFSGASHPLEPIDMDLMKTVYVAIDEERPEPPVRGLSAKGPFLDDLSLRVTGMKASAGGAEGLAEERKLSGAAAVASLATARSSQAAETVSLPPDSEEKDCVWDASLPPSGNASPHSSIDSMGVATAMSIVSGCSNTYKTEAMPSRAVLAVERNSGSVKGSIRGDSLESAKTSMSRASDSSGVSDDSSWSHITGSTSKPHKGNDPRGKAVHAVRVRDGALGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQKQPGKHFSEYAARFYAAEVLLAIEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSAFDSDPRRAGGSFCVQPTCMEPTSACIQPVCFMPKLFGQKSKKKVRKTRSELGQSGINLPELLAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPSTSYASRDLIRGLLAKEPQQRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPMKYGVSEAIASNNKRAVGTDTKSGGKYLDFEFF >cds.KYUSt_chr5.38889 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246215073:246220209:1 gene:KYUSg_chr5.38889 transcript:KYUSt_chr5.38889 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEWVHVKRADEVGFEDRFDLVILLLELLGVEAKVAGGTKDPAGSGIEDEEIAASPATLDEGALLTGAMLTKVVCAEIEAISSHFEPLRCFSEEMLFVRLGAEALAWKKGVLWSSSFNQSTRWFVELLGTPLPSQMRPCPLKLKLNSESLVLNQERMQHTDKCLTESRFRRLILRAKPPCKSITQTHSPKSEQRRPLPAGEDTMRATSLLLLAAAAVLAAGMVMAVAEAAVPPPLPVLPIPSAAQLAWQRREVIMFFHFGMNTFTDSEWGTGAEHPSLFAPSALNATQWMDAAEAAGASLAILVAKHHDGFCLWPSSYTAHSVRASPWHGGASDLVREFTDAARARGIDPGLYLSPWDRHDERYGREVEYNEYYLAQLHELLTGYGKVSEIWFDGAKGKNATNMTYHFQEWFQTVRQLQSAINIFSDAGPDVRWVGDEKGFAGTTCWSTVNRSSITIGAEGIEKYINTGDPRGTDWVPPECDVSIRPGWFWHRNETAKPLSQLLRIYYNSVGRNCVLLLNAPPNTTGLVEDADIARLREFRAAVTRIFGTDLAKGSTAKASSERGAGFEAHKVLDGSDDTYWAPTAEDGRRNGYWIELRRPAARPFNVVRIQEHVALGQRVERHQVFVDGVAVATGTTVGHKRLHRLARPVAGRTVKVWLAARGGVPLVSAVGLHLDPYATDVM >cds.KYUSt_chr3.38364 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241580966:241586342:1 gene:KYUSg_chr3.38364 transcript:KYUSt_chr3.38364 gene_biotype:protein_coding transcript_biotype:protein_coding MPADGELPALPSGDGWPVMEVLVVLAAWCWWLLLKISSPLSKNKLEDVVRALDLGSEVMATAMCRSRAAEGSCLLLGARRGGENGGMLQISVCLLLVLRRGITEAPPGSFYTAVLDWQSSELVVGRLLPPPSPAATVFSSRRKQVYNNLQAMMPQRRPFIFDGVSSRLHAPSGFVPGGMEVGSGELFGGGTGAGLDRVFTFQSKTQLLEFPIVCFKYVLAISVSQGSLYDLPRGTKRKFDGLSLVLGNSSSSDSSKQSMRTSSTISSAKGSGDDSSVDLGSSYFTLGNEGTSTLDKQACDFTRTMGKSGLDLELSLSVGPCQSSITGADLSAATIQNNTYLQPCIMDFVQTVDEGSTSLHRPDGGQEQEVRQGDALLMVEVEGAIKMAAAKGLRARPSSAKLMEGVGAATILGAPKAQKAVLISASPMAVVGVVSMKDAEEQPEANLVAVLNMVEEKGANRTTAQRAQKGVQAYALLTEVVVAVCKLVAARGHRAALISVKLTVVAKDAHTLVVPRVQKEAHHSAKVMVEVNVVQLKVAQKVFMAAPSSVSHTEVERGV >cds.KYUSt_chr7.16328 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101124531:101132598:-1 gene:KYUSg_chr7.16328 transcript:KYUSt_chr7.16328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopropyl transferase [Source: Projected from Oryza sativa (Os06g0528600)] MAMIDQKSTTTNRRPTLSDEEEATSSVKPNAVAPGALVSRKMPEIASTHQREAEVDGMAQTEGLAAAHHQPLPECCGKPTRGGRPQRRPTHPRRPVRQGTADGRRAAATVAHPMRVCSAVYQGGGDPAADLHTSTRKGPRHRHAPRDFAPVALPAAAAEGGVRERAGDAVKSLSELAWLLVFECDPYEVTADSSRYLSFFKGKDILFPCLLPTTPSSSSQCAQGLMEGGGARNVSAAVAQTKGSGDDGSLPPCCIKAKAGVPESEAKCHATVVSGWFTESHSRSGQTSKVRYFNNPMWPGEAHSLKVEKILYQGRSPYQEVLVFESSTYGNVLVLDGIVQLTDKDECAYQEMVTHLALCSIPSPKNVLVVGGGDGGVLREIAKHDSVETIDICEIDQLVIDVCKDFFPHLYVGYEDPRVRLHVGDAVDFLRNAPEGKYDAIIVDSSDPIGPAQELVEKPFFQTIARALKPGGVLSNLAERRSTGELLDSRESNRENRRSYGSWKRNEILQFADS >cds.KYUSt_chr5.38893 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246251717:246253168:1 gene:KYUSg_chr5.38893 transcript:KYUSt_chr5.38893 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAHKDHLLAAFHGLLGAAALLLCVLAEALVFALQRSMTLYLVPVSAMLLLCRYRRRRAAGGSIGLVDFSCLKPPRRLRLPVAALIEHFNLIDCFDGGSIEFMANAIQSSGMGNETYFPPALHYLPPASTHAHAVQEAHMLFFPALDDLFAKTRVPPSAVGALVVNCSGFCPAPSLTAIIANRYGMRSDVKTFNLSGMGCSAGSVGVDVAAGVLRAHAMSYAVVVSAEILTVGWYFGKDHGKLLLNCNFRTGCSAVLLTNNMAAPVKYRLVNMTRTNTTANDRSYRAGYREEDDEGITGFTLGHGVGRMVSELLRAHLVKLSLSILPWREKARYTAALLVSMRRRGQDKLAGSSVSGAAPMPDFRAAADHFCLPSSGKPMILRLGKGLGLGEREMEAALMAFHRFGNQSAAALWYQLAYLEAKGRVRKGDTVWQLGIGSGLKANSLVWERVAVADDVAGGRAGRNALGPWMDCIHQYPMWDT >cds.KYUSt_chr4.51734 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321063825:321067171:1 gene:KYUSg_chr4.51734 transcript:KYUSt_chr4.51734 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANMDKMQLRQSYRNLWHTDLTNAIQADFPYCCLSLWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGESKCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQVACIFSIVAAIVGSEELSEASQILSCLSDMVYCSVCACMQTQHKVEMDKRDGKFGPQPMSVPPVQQMSRIDQPIPPPAGYAPQPAYGQPYGGYPPPPPAQGYPPPPAQGYPPAGYPPAGYPQAQGGAYPPPGSSYPPPGYPPQPGYYGK >cds.KYUSt_chr3.24804 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153924075:153928368:-1 gene:KYUSg_chr3.24804 transcript:KYUSt_chr3.24804 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTHGMQAELEEMRSALLHGVAPGWRPTGDARAVKRAVGGPEGGAGGRTVCVTGGISFVGFAVVDRLLRNGYTVRLALETQEDLDKLREMEMFGEDGRDGVWTVMANMMEPESLNRAFDGCTGVFHTSAFVDPGGMSGYTKHMAALEAQAAERVIEACVRTESVRKCVFTSSLLACVWRESHPHDTRGPSIIDENCWSDETFCRDNKLWFAYGKTAAEKAAWRAARGRDLKLVTVCPALVTGPGFRRRNSTASIAYLKGSQAMLAGGVLATVNVETVAEAHLRAYEAMGNNAAGGRYICYDRVIRRAEEFAELERQLGMPDRAATVQNVDDRPARFELCKRKLGRLMSSRRRCTYEDYYPMIRFE >cds.KYUSt_chr4.3139 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17950436:17951811:1 gene:KYUSg_chr4.3139 transcript:KYUSt_chr4.3139 gene_biotype:protein_coding transcript_biotype:protein_coding MATGARSGNNAREGTPEKLQSVAEERFLAGDFAGALRVAQDAKALCRMPVPSSLAHALAAYEVHAAASGSGSRNWYAVLGLACRGVTREDIKRRYRRLCLVLHPDKNRSAAADGAFKLLQDAWAALSARHPPAPPSADQKPSNHSRPPPAAAAPTEAEKRRASPPAASDTSCSRKPRREAPPPRTFRSAYCARCYHEFRAPGDEDDEVEYCGRCQEWLRNPRRRAPADADVETPRQGGSRSFLCPGACTVPGCRGQYVPCMLAVGMWKLRCRPLPCD >cds.KYUSt_chr3.28118 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175443315:175444745:-1 gene:KYUSg_chr3.28118 transcript:KYUSt_chr3.28118 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTPTTTLSPRKPVVLYPSPGMGHLVSMIELGKLFTARGLVVTIVVIDPPNNTSATGPFLAGVSAANPSISFHRLPQVKLEDAEHPEMPTLHLARLSNRHLRDYLAATTTAILVVDFFCSAAMDVGTELGIPTYFFCTSGAQVLAFFMHLTVLHRESTVSFREMGEQLLHVPGITSFPASHSIEPLMDRHGPAYKELLDVSAKLFRSQGIIVNTFRSLEPRAVDAIVAGLCTPPGLSTPPIYCIGPLIKSEEVGVKRGDECLAWLDTQPKASVVFLCFGSLGRFSAKQTREVAAGLEASGQRFLWVVRSPPSDKDSAKTKFEKPAEPDFDTLLPKGFLDRTKGRGLVVKSWAPQRDVLAHDAVGCFVTHCGWNSVLESVMAGVPMLAWPLYAEQKMNVVFLEQEMRLAVAMEGYDKELVEAKEVAAKVRWVMDSEGGRVLRERTLAAMRQANDALPEGGESEATLAGLVDVWVHA >cds.KYUSt_chr3.11556 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68893319:68893792:1 gene:KYUSg_chr3.11556 transcript:KYUSt_chr3.11556 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGASSNAAKTTSVAGAGGAKEQHEATAETREQLVERVAASIAFLATGGTTPEADERKAARRAAHRALLKARLVDYLGFHGMKEEDVVEEYRQAGRLHTYDPDKERQKRLARFAIVYPGSRQWSKATIQNIRQYIKYLDEDEDDYKIGISSLMVEE >cds.KYUSt_chr1.26792 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161632986:161633498:-1 gene:KYUSg_chr1.26792 transcript:KYUSt_chr1.26792 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTAAIHFASQKGHVEVVRELLASGASVKAKNRKGLTALHFAAQSSHLELVKYLVKKGVEITAKTNGGQTALHVAENDDVRAFLKECEQSLKKGTELPSEKKDESAAEKSDDAKVLGDASKDGAEAGHGEKRKSGEEIGAGSSTPEVKKAKVSLGHLENDMEDEEEAEE >cds.KYUSt_chr1.29772 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180252752:180253457:1 gene:KYUSg_chr1.29772 transcript:KYUSt_chr1.29772 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRKAPAPPRRPPSRLEYQARLDGAWYSVRLVLQGGSLRVMYEEFPEEMDEWYDPGGADLASLRGVAALRARFRPVSRPLDDARCADLRPGQRLCVFCDMSELERKYYDAVLDSVKRAPHDTVDGEERCACRFKVRWTEGPRRGGWAEVGIADVTCVQDSSPVHDPVLTEFLDSVTKSFGSGDATAASQATGVTSAPGGGEGRPAPV >cds.KYUSt_chr2.26875 pep primary_assembly:MPB_Lper_Kyuss_1697:2:164530879:164537168:-1 gene:KYUSg_chr2.26875 transcript:KYUSt_chr2.26875 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRRTTGRGAAPPAPGGGGDDDPRVPPFTGNNTDHNPRELRSWARRTGFHPSAFFSGESAVSSSSTATAHPPPPPPPPAASRRPPRPPERDPEPEPEFGPDPAPPVDLDRARNARPRRRIDLRGELEIPPAAAATAAAPVELSAEPEAPRGGGRGRRNGADRQLGETEPLNAGRNANGGVRADADARKKAEEADAKRKAEEAEARRKKKEEEDRDAELAAYYQEQWANEEEDGVAHGAQEGEAAPLSRASGLRCAVAENPGWGLLVFYGIQHYLSIAGSLVFIPLIMVPTMGGSDVDTATVISTMLLVSGLTTILHTFLGSRLPLIQGSSFVYLAPALVIANSEEFRNLSADKFKHIMRELQGAILVGSVFQIILGYSGLMSLLLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISMPLIVLLLLCTLYLRKISLFGNRIFLIYAVPLSVGIIWAYAFFLTAGGAYNFKGCSSSVPSSNILLDSCRRHADIMRRCRTDVSDAWKTAAWVRVPYPFQWGPPTFHFKTAIIMMIVSVVASVDSLSSYHAASLLVNLSPPTRGVVSRGIGLEGISTFIAGLWGTGTGSTTLTENIHTLDTTKMASRRALQLGAALLVIFSFFGKIGALLASIPVALAASVLCFTWALIVALGLSTLRYTEAVSSRNMIIVGFTLFISLSVPAYFQQYEPSSNLILPGYLLPYAAASSGPVRTASNGLNYAVNALLSINVVVALVVALILDNTVPGSKQERGVYIWSDPKSLEMDPASLDPYRLPKKISCWFRWAKCVGI >cds.KYUSt_chr5.842 pep primary_assembly:MPB_Lper_Kyuss_1697:5:5758012:5763033:-1 gene:KYUSg_chr5.842 transcript:KYUSt_chr5.842 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATLLALLLLLVAGAYGIAAQGGGAAGTRPRRQQVVDVGVILDRKTWVGNMSWTCIELALEEFYAHPSHANHSTRLKLHLRDTVVDAVSAAAAGVYLLKNVSVRAIVGPQTSTQAKFLAQLGNKSSVPIISLASGGHSRSGLNPYFIRMAWNDSAQAKAIASIVQRYNYREVIPVYEDDDSNIKFIPDLVDSLRQVDTRVSYRCKIHPSAKDDDIMRAISSLRDHWTSVFVVRLSNTLALKFFQLAKKEEMMSPGFVWITAYGLTDIFDVVGSPALDVMQGVLGVKPHVQDTMELQSFRQRWRKKYRSENPGTSLSGPTVSGLYAYDTIWALALAAEEAGFVKSDFGLSTTNNGSTDFERIDTSKAAKKLRDSLLHVNFLGISGRFQIEDMQLVSASYSIVNIVGQKGRVVGFWTPGSGISSSLNMTADIHTIIWPGDTDTRPRGWLFPRNKTLNIAIPAKGGYGEFVRYENDPKGFSIDVFQEVVASLPYKVPMYYLPFEDGRGENNGTLDKLVYKVYLKEFDAVVGDITILANRSLYVDFTLPYTESGVRMLVPVLDRRKKTPWTFLKPLTTELWLGTGAFVIYTGFVVCLEEVISKGSHVGYPSGSFIPVLLRCLKIDESKMIAIDSAEKVHEALSTGKVAVIVDEIPYLKLFLKKYCDNYTMTGPTYKYNGFGFAFAQGSPLTADISRGILKLQSNGRMVELQKNLYGDKSCPDIDDSQTSSSLTLRSFQALFIFSGACSVGALFLDVVRTYYRAHGSNSASRSPSNTPDNDEPTMPVGSTGDTGSPPEGEENPGRDLFDAGRESYSLIRGHRERRILSHSGSSLRRRQIELSNEQHGELIALEEDRSL >cds.KYUSt_chr5.20331 pep primary_assembly:MPB_Lper_Kyuss_1697:5:132175125:132175454:1 gene:KYUSg_chr5.20331 transcript:KYUSt_chr5.20331 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDVQPQTSQAIKSASTHQRRALQLIKQPCGARRSGRQLRMKASVGITMWPSGGTVCIVRRCSNHRDGNIPAIASSRRVTGTGTVASISGSFPAAPRGEFRTATRHR >cds.KYUSt_chr2.10698 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68080769:68083766:-1 gene:KYUSg_chr2.10698 transcript:KYUSt_chr2.10698 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPLALVFPVLCLSVLGAAHATTAGNSDGTERWGYVEVRPKAHLFWWYYKSTHRVTTPTKPWPTVLWLQGGPGASGVGLGNFLEVGPLDGNLKPRNSTWLQKADLIFVDNPVGVGYSYVEDDSLLVTTDLEAAADMITLLKALVKEVKTLESSPLFLVGESYGGKYAATLGVSIVRAVHAGDLKLTLGGVALGDSWISPEDFASSYGTLLLEVSRLDSNGADHANKDAQVVKQQIAARQFRKAQVTLSKMLNWIVVNSGHVDVYNFLLDAGMDPVADASAGSTSAPEYSRYLESKSVGDSIVGAMNGAIKQKLKIIPKDVVWQAQSYVVYYALINDFMKPRIEEVDKLLSYGVNVTVYNGQLDVICSTIGAETWVQKLKWDGLKNFLSLPRQPLYCGSVEATKGFVRSYKNLHFYWILGAGHFVPVDQPCIALDMIAKITQSPALALS >cds.KYUSt_chr5.38728 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245140457:245142088:-1 gene:KYUSg_chr5.38728 transcript:KYUSt_chr5.38728 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAGETTQVLLVPYPAQGHLNPILQFGKRLAAHAGVRCTVAATRFVVGSTKPSPGSVRVAAFSDGCDGGGPAELGGHEGPYFERLEAAGSETLDELLRSEAAQGRPVRVVVYDTFMPWVVPLARRHGAACAAFLTQTCAVDVVYTHARAGRVPVPMRDGDAALELAGLQVRLAADEVPGVLTEAADERTALRNMLMNQFAGLDKVDHVLVNSFHDLEPQEAEYLASTLGARTIGPTVPSAYLDNRLPDDVSYGFHLHTPMTAVTKAWLDAHPPRSVVYASFGSIVAPGPDQMAEVAEGLCGAGSSPFLWVVRATETAKLPEAFAATAKARGDLIVPWCPQLEVLAHPAVGCFVTHCGWNSTVEALSAGVPMVAVPQFSDQPTNAKYIEDVWRVGVRARPDAGGVVRKEEVERCVREVMGGEGTYMIKAAEWRKKAKADGGSSDRNIADFLSKYRAAK >cds.KYUSt_chr1.11748 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72473176:72473430:1 gene:KYUSg_chr1.11748 transcript:KYUSt_chr1.11748 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGRSKASVPVIEVVAPTSIERALRHAVIVVREWRLWRKFRELCMRYFPTLLDMADPAGCARKFAPDYLGPLMALADTIIFKD >cds.KYUSt_chr1.9497 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57924018:57924710:1 gene:KYUSg_chr1.9497 transcript:KYUSt_chr1.9497 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQPAQNDVRSSITKPRSAPTAVKQCHGNGAAAMSRTASTQSWLSASLSASRGELLASKGASRSGDYSRKIKVTPPRQAAGLASAATSSGLTRTGSRKSANADAKRAVNEDLRPTVQHRSMHSSIASRSRLGSASTSSAAGSIARGRRATTQKGKATVTARVTGADAFPSTRYDAMLLREDPKNLTWLNGCGEDDGDEDGICGVGLVEGSLELEPFSVAVTGTSGAAA >cds.KYUSt_chr5.17243 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111431643:111436043:-1 gene:KYUSg_chr5.17243 transcript:KYUSt_chr5.17243 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEVKPGKPYTHRYQSSHGRLRISQATLGSCDAAKRSVLQCVVGNKAPIIVCSLNPRLAEMCHLEIELEEVDEVLFSVLESYGEDVGESDTDRDYDESEDSYESDFIDDGDDEVPADNDVSESMDDGDVKRLRRSKKKHQVDSTDDEIEDSSCKPTARHNFRSIFDSGSEDEDLPANKTNASEEHADKVKRGRRLNKKHQVDSIDEKIEDSSCKPAFRRKPCSIFDSGSEDEDFLAKEKVLPSLSEKTNGKVSKENKPENVASKEETKKKSRNDRKRKSDTIDQDPASPMDETEVNGSSVPKQEAELKKKSKKKKRTASEADDGKHSNNIRTLENGLVIEDLSAGNQDAKVASNGSKVYINYVGKLQNGKTVHPNAKEKPYKFKLGSEKVIPGWNLGIDGMHVGDKRRLTVPPALCENGGKLFEQVPKNKTIFYEVELVKVK >cds.KYUSt_chr7.19768 pep primary_assembly:MPB_Lper_Kyuss_1697:7:122585413:122588223:-1 gene:KYUSg_chr7.19768 transcript:KYUSt_chr7.19768 gene_biotype:protein_coding transcript_biotype:protein_coding MCITHLERNVLSVGLPPHADSPDTSVDILTGIVEERKRHNGGNEDGSMDCMPACGRKEWASWSCQSMKNKAQVMKEELRTTILDSGSADLSLKLELVDTLQRLGLDYHYKKEIDDFLCGIHEAVDEAHDLHTTALRFYLLRKQGCNVSPDVFLKFRDAGGNITCNDTRSLLDMFNACHVRIHGEETLDRAIVYTKDHLQRFLEQSLSPSVLLDEVRHALETPLFRRPRRVEARHYISVYERTSTRNEAILELAKLDFSILQSLYCEELRDLTLWWKELQLQEHLSFARDRMVEMHFWMLGVLFEPQHSYGRIMLTKLFTFVSIFDDIYDSYSTLEESKLLTIAIERWDEQAAELLPGYMKFFYHKVLATVKVIEEDLKRQGNKHADYVKKLLIDATKCYYNEAKWREEGDAPATVEEHLQFSMPSSCCMHVPGLAFVAIGATSVAMDWAMTYPKIIRASCIVGRVINDVASHEREREQYSGQQQGMSTVEACMKENNYTSKEDAYYKLSELIEESWMDITEERLRPPAGQPAVPLLEAVVDATRMLDFLYKDHDAYTAPQALKRVADSIYMDPI >cds.KYUSt_chr7.21403 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132728957:132738379:1 gene:KYUSg_chr7.21403 transcript:KYUSt_chr7.21403 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLDIFGKLSPNPNPSTTQAPARGLTLTATLLVRPYVQPPPLCRCSSSWRPKPATSPSSRVRALRRSPPASPLARAFELAPTLILGVRVVGTAGALDGGKGRLREAVEGAIASDCGCGVLHVAAAHGRLPVCAYLVEDLQFNVDALDHKGETPLSYAVVKKGTVNTVRYLLDHGANPNKPGGDRDGHCEIVKVLLLKGADVNLFSYWGTPLYIAAASGFDDVMKILLDHHADAGADLKGVGSADPVIIAIAEGLTECLKCLIKAGADPSVPDDFGRLPIEVAASLNSRADVEILFPLTSRIPSVHDWSIDGIIAHVKSREEDDPILKMDPANLKLEASKAYKRNDYITAARIYYRALAHNLEDLTLISNRSLCWLKMGQGDKALRDAQICRRERCDWPKACYREGAAHMLLKDYEKARDAFADALKLDPGNTEIENALREALHSLKMSHDNLKDH >cds.KYUSt_contig_2197.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:152038:153540:-1 gene:KYUSg_contig_2197.26 transcript:KYUSt_contig_2197.26 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRPMSTADNQQGRREMRVYTARDGLGSGPPTRDHGRPPPEFTEEPRPPPPLQSSAVDGRSGRRRHHAMPAIEEIRRRHHAKRALPEAPSAAAAGGDALGEGRGGRRAGHPGAARCAAARGWEGLGGRMVGTSVSPLRTRKFGNLPLKRVTKKKPFADMHDSPVLISPLVKLRIGQESLARARRKKEWRLKGESCDQPEIGHNGQDYDPGFCSKLPRCRGPGVSVEGQPLQEQECMETIQKREEARRTMDKVVQTATHDPSARGSIAGMGDFQSMTDKSV >cds.KYUSt_chr5.29973 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190032146:190037783:1 gene:KYUSg_chr5.29973 transcript:KYUSt_chr5.29973 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNIVDDMLTVMIERAKYDDQIKGVIPYLVDGGLSIPQYANDTILFMEHDIEKVIYQCTSTLRFWSYLQCLHEYAFKAVKSSGVTSIGVRGKDSVCVVTQKKVPDKLLDDTSITHLFPITKYTGLLATGLTADARSLVSQARNEAAEFRKKWGYEMPVDVLAKWIADKAQIYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDSPQFTYDETVQIAISALQSVLQEDFKATEIEVGVVRKEDRVFRALKTEEIDQHLTAISERD >cds.KYUSt_chr7.39212 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244005721:244006740:-1 gene:KYUSg_chr7.39212 transcript:KYUSt_chr7.39212 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGGVLFFLLLVLAAPLATAWRPWPPRDASGAIDPGFGASKKFEGSSDFVKLEYHMGPVLAAAITVHPIWYGAWPADQKRTIRAFLRSLSDQKVPSPSVADWWRTVQLYTDQTTANVSATVALGQEKTDARMSRGASLSRMDIQSVVRDAVTARTRPLPVDAGGVYLVLTSTEVLVENFCGQVCGFHYFTFPSVVGYTLPYAWVGNSARRCPEVCAYPFAIPTYVPNRRPEAPPNGDAGVDGMVSVIAHELAEMASNPLANAWYAGGDPSFPTEIADLCEGIYGTGGGGAYTGQLLTDGRSGSSYNVNGVGGRRFLVQWVWDPYRSYCSGPNALDQH >cds.KYUSt_chr5.291 pep primary_assembly:MPB_Lper_Kyuss_1697:5:1931823:1932956:1 gene:KYUSg_chr5.291 transcript:KYUSt_chr5.291 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAQTRWDTSKRLDTMAMTLDSLAKLIAEGEQRRASEMQELRSTLSNIKPELESIKSSVDTFKPVLDEIKSNFESWKPAMESKVGDLCLAVRELRRQVDHIAKGVGVGALGSPPAGATPLTGTSPSVPPSLGVHSGESGHGVELQHRGAAVESPDTPPFAPVTGPSKNLSMVPFTSNTVVIPELETPKPAPVTNPPPQAEFPKFGGDNPCLWCRACEKYFRVYSVGEEYWVEYATMHFVGNAALWFQSAEDKMGNVTWKELCDTINKRFDRGQYQHLYHLSFRIRQHTTVTEYIERFDTLMHHMLAYKPDLDPIFFTTRFIDGLQTDLRATVLIQRPDDLDTAVSLALLQEEIGNDDDRVFPPQKSMGFQRVNYKV >cds.KYUSt_contig_2686.53 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000449.1:279204:279407:1 gene:KYUSg_contig_2686.53 transcript:KYUSt_contig_2686.53 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEGDRGWGFPTLLASRAAGGEQRQQQLPRAGGAAVAGRELGRRAEDGGNTGKTAKVMHLLLWGPK >cds.KYUSt_chr5.28993 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183517653:183517991:1 gene:KYUSg_chr5.28993 transcript:KYUSt_chr5.28993 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNGVGGEAVPNGGGAGNGKVVQAFERCSGQVQEILEHNRMLIQEIEQNQESGEDVGLNRNVALIRELNSNIARVGSLYSDLSAAFAKGTPAARAADAAKGYNKRSRPPQ >cds.KYUSt_chr3.33432 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209900571:209901038:1 gene:KYUSg_chr3.33432 transcript:KYUSt_chr3.33432 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKEDVEAAVAAALSPTHLVVTDTSGGCGASYEIEVVSDKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPEPAAADKAQA >cds.KYUSt_chr4.43140 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267231145:267235635:-1 gene:KYUSg_chr4.43140 transcript:KYUSt_chr4.43140 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPPREDPSSEAEMEERERRNQEEKMQMQQQLRESMQMQQQMLQQMQQQQQMFMNQAVLTSPPGSSAPSTSCPPIFPHFIPAPDPAVMALLQQAPSQSPLTPGLTVNNTGIIRSLQQFLALLAVCALLAASLHGAGAQQQLSSSYYDDSCPNVYGTVRRVVQQARAADPRILASLIRLQFHDCFVNGCDGSLLLDDSPTINSEKNALPNTNSARGFPVLDDIKAALENACPGIVSCADILVLAAEVSVDLAGGPSWRVTLGRRDGTTASFDGANNLPAPTEDLDVLRQKFAAVGLGDADFVALQGAHTIGRAQCRFIQDRLDNFSGTGQPDPTLDGAYLNTLRGSCPASAGTGNTHLNNLDPATPDAFDNSYYANIVRNRGLLRSDQVMLSAPGGAAATTAPIVRRFAGSQEEFFRSFARAMVKMGNIAPLTGSMGEVGEEGEKRERGKSNVFNAAPDNHRDVLNDAFEGAAAEKPEVGEAGVVAVVEVAMKEPPNFSSLGAPKEEAISVLISGGHERQNHKTQVRGKGTKLCRSNISKARNQEEIEVRGDGSGDVKVRKSGVREEVGEAVVERLNQKAMAAPMPMVAEEITLNLSMPTMMSFHIGVSR >cds.KYUSt_chr5.5185 pep primary_assembly:MPB_Lper_Kyuss_1697:5:32698112:32699395:-1 gene:KYUSg_chr5.5185 transcript:KYUSt_chr5.5185 gene_biotype:protein_coding transcript_biotype:protein_coding MREPRAMMCGRCGAYLTAPPGARSVCCALCDAVTRVQRRTSGLEEFLKGLIYSLMFPPQAPPSSSGDWLPASYPREPPGRKRALLVGISYSNTKYELKGSVNDVNTMSYLLRKRFGFISDNILRLTAEEGDPNLMPTMKNIRLGMRWLVQGCNTGDAMSLVVHFSGRGLDKALCPLDFEASGVIPDYEINETIVRPLGPGVKLHTLVDIDNSDTVVLDLPYRCRILSRFGQWKWENHQAETPKGTNGGLAISISGCRDSQNTRTTSASPPVVGGAMTYNFIRALESEPSTTYGRLINAIRAEMSPEPQLRASEEFDIYRKPFLL >cds.KYUSt_chr4.43847 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271855884:271856393:1 gene:KYUSg_chr4.43847 transcript:KYUSt_chr4.43847 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGQRSDIRRLSPGNGQGLHRQRYVFVVQVVGASKICVAAAGGEGQEVCDEGGVLVTGRPAAFLDAADEALERPASASSSHAGAACFWGLPRCSFCTSAGGDRAFGSFVGVCYLRGAWGVSDAKSRGGGGDAGSFVFGAGRGTGGAAVDRGKSRAAIQASGRGTGGLL >cds.KYUSt_contig_1658.252 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1667099:1673884:1 gene:KYUSg_contig_1658.252 transcript:KYUSt_contig_1658.252 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAKSIKENKAPREEKENEADNSAVISLDDLQKFLVTYLKGIGRLRRWCQMNGLHFDLSNLFGTNKVLFTTPPAGCFTFTFQYLGRKLDICLSGRNLYVMGWRSQNGTFELKLKSEKRKNFMRGEGVIVIPCKKNYRFLAPQGDVSNVRLGFFAIREAFEVLYKSTGETDEAMAAVGTFAVHTSEAGRLQDVLKDVCTASLQNIMGTVGSKNKFWIQRYDHYAELGMEQINALLHGKTAAGIEACEKAVAEGVEISQEIVLHQIRIFPRDAYNIGVFQHEQAPKDQSEDDSVDGSDSSLKSSKDMKRETKEKKRDKRKMKDDSVVEEVPTGDGGSPAAVPLYLLKDWSDHITTDSSAKGVKLVQDRHTLGVELINNSRVTLNICGLDRFWRWERWRLCTVLFICFSGYWLTRELATQHKQGDLAFYLAGILTSSSSGGDHRRGGGEAHLGEELPRTEEIILQDAPWRGPTWVGGDCPPLAPGEETVLQEHLGEDLPGPDVQGMEEKLLGPEEELLGA >cds.KYUSt_contig_257.416 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:3070377:3071628:-1 gene:KYUSg_contig_257.416 transcript:KYUSt_contig_257.416 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRTMSNTNLVNAITFIQIFELRRRHHECQQEGRCVEAPKSRKHTVDGRPAPSLQQRTQNREDDLHPQKLLRRRHPLQNAAGKHPATTYTMPWACRRPWFRTASRTASLVARALARAPALPTVEGVPILSKPASVQANLRLGIVDVLVLGPSGKHAFFRLPLRKAASSPGSKGMMEANFVTASVVLVDSIALVGPLRRPTLSVDILAGSKTAAGGAVVPLAVADALIMGSQEAPPALAVAPLEAGLPLASPACPQPMQLLAGTMKILMHLTRLC >cds.KYUSt_chr2.29668 pep primary_assembly:MPB_Lper_Kyuss_1697:2:182589400:182591391:1 gene:KYUSg_chr2.29668 transcript:KYUSt_chr2.29668 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLLNFLFVLLNLPVVLAAGVDQFVYSGFAGANITIDGVATITPDGLLDLTNAHQRLKGHAFYPAPLRFLDSTNGTVKPFAVSFVLAIHPNYRSSQGMAFFIAKNMDFSSALPFQYFGVFNSQNQGNSSNHIFAVEFDTVLNMELRDVDANHVGIDINSAISIQSHTAGFYNDKTGSFNNLNLTGGEGLQVWIDYGGETARINVTMSPLGMAKPARPLLSAIYDLSTVFTEEAYLGFGSAAGMDGSGHYILGLSFGMDRPAPAIDISRLPKLPRVGPKPRSKVPEIVLPLATASFVLAVGITIFVLVRRHRRYAELREDWEVEFGPHRFSYKDLYYATQGFKSNNLLGVGGFGTVYKGVLPKSKLEIAVKRVSHDSKQGMKEFIAEVVSIGRLQHNNLVQLLGYCRRKGQLFLVYECMPNGSLDKYLYHRDNPGLPTLNWAQRFRIIRGVASGLLYLHEEWEKVVIHRDVKASNVLLDREMNARLGDFGLSRLYDHGTDPQSTHVAGTVGYLAPELACTGKVTPLIDVFAFGIFILEIVCGQRPIEQDTREEQPMLVDRVLEHWHNESLSEIVDSKLPGDYDVNQVYLALKVGLLCSHPFMDTRPTMRQVIQYLDCARTSPELTPIQTTFEMLAVMQREGFDPYIMSCPSSMTASILSGGR >cds.KYUSt_contig_1181.963 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:6384344:6384934:-1 gene:KYUSg_contig_1181.963 transcript:KYUSt_contig_1181.963 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKQSIFHLGEEGGAACHRRSLLGAAMSGDGSTARLGREGLVVGLQILVHHEHQAGGHGHHHQQHGRHAHAANIVLKQMVKPLRAAAVSCSFLKACSLCRRELSPNKDVYMYRGDQGFCSEECRGQQILVDEARERRGQPRHRSHQHTPIRNRPRKTLLAVA >cds.KYUSt_chr2.24808 pep primary_assembly:MPB_Lper_Kyuss_1697:2:151689873:151690307:-1 gene:KYUSg_chr2.24808 transcript:KYUSt_chr2.24808 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRESVNLGADAEEEPRVAYGADDVQQLADELQPGIHAGACRVQVAVPAAIYGEPVLAHSCHGGRRSPTRHPSVRQDKRFVFRELLRQVQDRLEGRQRPLRLHVRLQGQEGKQKGQDGHQGHSQGKGQMLFVPDYGNRYVLT >cds.KYUSt_chr7.16857 pep primary_assembly:MPB_Lper_Kyuss_1697:7:104415037:104416150:-1 gene:KYUSg_chr7.16857 transcript:KYUSt_chr7.16857 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFARSFVLVTALLVICLLLPCNAKLSNKFYAKTCPNVESIVRAVMAPAVAAEPRMGASIIRLFFHDCFVNGCDASILLDDTPTFTGEKNAGANANSVRGYEVIDAIKTRVEASCKATVSCADIVALAARDAVNLLRGPTWKVTLGRKDSRTASQSAANANLPGPGSSAASLVAAFAAKGLSAREMTALSGAHTVGRARCLFFRGRIYGEPNINATFAAARQKTCPQTGGDGNLAPFDDQTPDTFDNAYYTNLVAQRGLMHSDQELFNGGSQDGLVRKYSGNAKIFAGDFAKAMVKMGGLLPAAGTPTEVRLNCRMLN >cds.KYUSt_chr6.6706 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40401856:40402302:-1 gene:KYUSg_chr6.6706 transcript:KYUSt_chr6.6706 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSQPRAPAHALGLRAAASVMVSSSISGGASSGTPTTCARTTRVASRGFDFNIGGRRREMALWTRDDGALAYTVEAALVAKPKGTLYVGFNIGSGGTSVARMSERRVAATTNARRPSALPARPQL >cds.KYUSt_contig_662.186 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1184937:1187943:1 gene:KYUSg_contig_662.186 transcript:KYUSt_contig_662.186 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSSAASRVDFYGFLDRMRRPAAAGLFRSIKSFLASLSLDEPSAEEDGARVQAFYAAMEAAFREHPLWANATHQEIDHALEGLEKYIMTKLFDRTFAASAEDAAADAELSERIGLLQRFVRPEHLDIPKVLHNEASWLLAVKELQKINSFKSPRDKLLCVMSCCQVINNLLLNVSMSNDRTPSGADEFLPILIYITIKANPPHLHSNLEFVQLFRRETKLVSEVEYYLTNLISAKMFIVNVDGRSLSMEENEFQMHMETAKLGTQICAASLSSLQGSATSTRGLQKQTDTEGSRFPFMDSETESLTPAELSCMGSIGK >cds.KYUSt_chr3.36460 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229337905:229338546:1 gene:KYUSg_chr3.36460 transcript:KYUSt_chr3.36460 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALAHDPIGHRGPAPPSAGSTTRSAPCADGALRPNNVEERVILDGLNGLPLASADELSFSSTAAAYCRRQDLDESSGADYMDGKQRQNLDIATAAASIDVSWR >cds.KYUSt_chr4.3939 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22601671:22601913:1 gene:KYUSg_chr4.3939 transcript:KYUSt_chr4.3939 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLEDYRGGSSASAPGPRNGSGEGAVSSSSSWWAGDPEAKRRRRVAAYKAYAVEARLKASLRRGFRWIKDRCTGVVHRR >cds.KYUSt_chr1.34973 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213091472:213092675:-1 gene:KYUSg_chr1.34973 transcript:KYUSt_chr1.34973 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLREVREIEMASNQEQASYRVGEAKGHTQEKAGQVMGAAKDKAYEAKDQAAGLAGNASGQGQGVTEAAKQKAGEAMNKTSQMTQAAKDRAAETAQAATDKTTGTAQAAKDRAAETAQATKEKTTGTAQAAKDRAAETAQAAKDKTTGTAQAAKDKTVESKDQTGSFLGEKTELAKQKAAETAELARQKAAETAQYAQDRTYDAAQYTKESAVAGKDKTGSVLQQAGETVVGAVVGAKDAVVNTLGMGGDNTNNSATAKDTTSATEKITGDQ >cds.KYUSt_chr6.9739 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60099487:60100022:1 gene:KYUSg_chr6.9739 transcript:KYUSt_chr6.9739 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVRSLLLGGGLTLSTATVATSSPHLPPSALSWTIRRRFLRLAAAASPPSPLSYASTNPRCSRWAVVMDDPPAPEGGGEVSRAEAVDYYVATLARVLGSERDAQMCIYDASWERSYEFCCEIDEDASKELASMLLN >cds.KYUSt_scaffold_1259.497 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3368765:3377072:-1 gene:KYUSg_scaffold_1259.497 transcript:KYUSt_scaffold_1259.497 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLAAARFAGEPSKAPAAAAGSARPRPGRLAMRAPELRRRCSVAVRCSGGARLFGDGGLEGGEAEEGGRFVGWFREAWPYIRGHRGSTFVVVISGEVVAGSHLDGILQDISLLHGLGIKFVLVPGTHVQIDKLLAERGKTPNYGGRYRITDSDSLDAAMEAAGRIRLTIEAKLSPGPPMLDLRRHGVNGRWHEISDNVASGNFLGAKRRGVVGGIDYGFTGEVKKIDVSRIKERLDRDSIVVVSNMGYSSAGEVLNCNTYEVATACALAIEADKLICVVDGQIYDEHGRVNRFMSIEEADMLIRTRAKQSETAANYVKVVGEEDISYAINFPIKEEKEQVWNGRDFIGDYTASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCHGGVQRVHIIDGTVGGSLLLELFTRDGAGTLIARDMYEGTRTAREEDFSGIRKIIHPLEESGVLVRRTDKELLEALKSFIVVERDCSIIACAALFPFFEDKSGEVAAIAVSEECRGQGQGDKLLDYVEKKALYLGLEKIFLLTTRTADWFVRRGFKECSMESLPAERRKRINLSRGSKYYMKRLQPAEIGHMAANNFAMK >cds.KYUSt_chr4.27841 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174941827:174942249:1 gene:KYUSg_chr4.27841 transcript:KYUSt_chr4.27841 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTFPTVPGGKCKLAPFPPPWFLAALSEDEDESGGAPWGGDEKMDMLWEDFNEELARVPPVCPLSPLTKGGGGLMTMTMMKEAAYWLDDGSDGVLAETGKRGRHMVVRRRRWSLLLMVRLLKKLFLVKKSRNQRTTPI >cds.KYUSt_chr6.12720 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79395808:79405804:-1 gene:KYUSg_chr6.12720 transcript:KYUSt_chr6.12720 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVYKYKEEEEEEEIMGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGTTKTLERYQHCCYNAQDSSSALSETQSWYQEMSKLKAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECSLSQARQRKTQLMMEQVEELRRKERQLGEINRQLKHKLDAEGSSSNSFRAMQQITWAAGTVVDEGAGAYHMQHQQQPNHSAAMDCEPTLQIGHLLRSGFMPNYYCWTKHGERGVMMEDNDEEEEYDDGYPNFTEYDDTAEGNEDNEVEDQEAPDEPR >cds.KYUSt_chr5.28670 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181524808:181530523:1 gene:KYUSg_chr5.28670 transcript:KYUSt_chr5.28670 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAHAERTAAPGQARPRPDKRRSAPHHNGEVHGGAIAPGALGAAGSRGSRNPSHGEGVEDEREQCRLQIDAEGDDRRRGAVRLRPMARANSGGARRNRAATAVATWIANCLNRLEEDYDNPEGAEIIGYEEPDLSGVVCRVTTETARRRKTMGKVPPNSIGGLRYGAVAVRGGVSALPPRLRVVRCSMSLSIGAGSSDAGDSGFSYQYAPVFRRYRERDPYKLLGVDRDASEEEIRSAKNFLIQQYAGHEASEEAIEGAYEKIIMKSYQHRKKTKINLKTKLLKRVEESPSWVKALLGYFEVPSMDIISKRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLLRASTT >cds.KYUSt_chr2.35968 pep primary_assembly:MPB_Lper_Kyuss_1697:2:222231637:222234748:-1 gene:KYUSg_chr2.35968 transcript:KYUSt_chr2.35968 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMTDESLASYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDVLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYDPIKQIIEICMNDQKDKLWPMLKKLGITMKNDEKDLMGKPLMKRVMQTWLPASRALLEMMVYHLPSPSKAQRYRVENLYEGPLDDVYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGKIATGMKVRIMGPNFVPGQKKDLYTKSVQRTVIWMGKKQESVEDVPCGNTVALVGLDQFITKNATLTGEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIVSPPVVSFRETVMDKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDEGRIGPRDDPKVRSQILSQEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALADENMRGICFEVCDVVLHTDAIHRGGGQVIPTARRVIFASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSATLRAATSGQAFPQCVFDHWEVMNSDPLEADSQSANLVKEIRKRKGLKEQMTPLSDFEDKL >cds.KYUSt_chr2.53849 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336096594:336098006:1 gene:KYUSg_chr2.53849 transcript:KYUSt_chr2.53849 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVRVIHASYVNVPATAALPLEPIKLTAMEAIWVIMPVLQHVLLYEGADMPPFEDILQALRSSLAVTLGSFAPLAGKLVHLKDTGDVAISCSTSDSVKFVVAESDADVRRLARDEEHDLPVLEQLVPDVDMSELPTAVLAVQATRFNGGVAGCRGDCAPRRRGRAVVVDVTTPPLFLEDRPRFTRRTFTLDMPDIQRLKQHIFHLGEALDAPLTRSLSSFVVVTALAWTCFARCKQFPLDDDVPLLFFADVRDRLDPPVDRGYIGVCLTRCLAMLPARELRRPRALLTAAAALQDEIRRMNRDPADQQSHLTPIMLASWDRLMNVSGSSAFAAYDIADFGWGKPRRTEPIRMNHDGQVALMRGRDGLGVQVSVSLLQQAQMDEFKSLFLELLHSN >cds.KYUSt_chr4.52430 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325240026:325250247:1 gene:KYUSg_chr4.52430 transcript:KYUSt_chr4.52430 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLRWASQPLTMANTEPRMMLGNRRLSKDDWTAMLMVRDAIDGDIPAVRKAAQKLRRSGKGVEEAVAAVKDRQYSGRGPLHMAAWAGRLEMCKFLVKDLRLNVDAPGDEDTCEIAELLLSRGAYVDPMCIRGTPLHIAAQSGNVGMVELLLRHQANAGADANAGRPFQPLVIAAAHGFIDFINCLLEAGANPNVPGQCGRTPAEIAVVGGWMDCAEILSPLTSAGIHDPIFGEHDGWALKEQEDAALEENSRFALKMQEDVTFEPMVLKMQGDAAFEENAYAYALALYTKAMEADPDDSTLYAKRSLCWLHLSEEDKALDDANTYKAMEMDLSNSCYEQAAALVLTKEYAQACQALMSGLKLDLRSDDLD >cds.KYUSt_chr7.29771 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185339865:185340368:-1 gene:KYUSg_chr7.29771 transcript:KYUSt_chr7.29771 gene_biotype:protein_coding transcript_biotype:protein_coding MCASFSSVMQRLRNQLCRPANTVVIVLLMLVIRSNPARNAAVREAPEDDDDVVEDLVRIPQLRRPPYGRAASTAGVTAGQTDGSRRPASGEAAAFQDGVSNGSQHPMHGRHASELAGGNAHHEEVRPGPARRVRGSSSASVREEPLCGQRQRRERRNAARGRRPWRL >cds.KYUSt_chr3.22703 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140375440:140378810:1 gene:KYUSg_chr3.22703 transcript:KYUSt_chr3.22703 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAHAASLLRRATACTPQVGAGGRDVPLLAKVWLCLHSSKMLTARRFKQSKNRYSTLSAPANEALIPPELLSSKAVWTPDRELGQYEDLVSRVTNFHSEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGCQFRGGATMHGPKPRSHAHKLPKKVRRLGLKIALSARTAEGKLCIFEDLEVPSHKTKNIVQYIKQMDDTKKVLLVDGGDIDKKLKLATQNLHYVNVIPSVGLNVYSILQHDTLVMTRDAINRIVERMHTPINR >cds.KYUSt_chr7.38919 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242336139:242337581:1 gene:KYUSg_chr7.38919 transcript:KYUSt_chr7.38919 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSYPQSAASTASFLLPEEVPASPAHSPRPRHRHRFVKAAAPPTGSCPPDGLLYDPAVLVEGLGSLSLLSVASGAPTEVSLHASDALLAQGLLWVASVDSDEEEDDQELAPQSSSASSKGVVTGSIHDTANVRHDEEAPAEPLFADVGTLGDEDDWIQVGRGGRPGREPSSCLRKEGHERSLAFKRWACGRCFRCLEHDHQDVATVQASIPFGFAEPKSSVVEITLEPHELCGDSSGVPELLELSGGVDMARSVNEVRSNSHKILIMTSSPCQTCGFEKSDIVDAAVAPSPESDVPVAYECEDINEIIVPVMKIMPELQKFVG >cds.KYUSt_chr7.19539 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121097734:121098117:-1 gene:KYUSg_chr7.19539 transcript:KYUSt_chr7.19539 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVRERLAVAFLLTTMARKKTPQPSNLQAPAAPTEMTGSCPSIQTRHAMLQLLLATKAGQEQRKGIPCSSAKKPHLASTAGQGNFGSAAEIERRTHLRSFVEEEDDAASYPFSLQPPEQRKGKHQP >cds.KYUSt_chr4.9792 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59229968:59231191:1 gene:KYUSg_chr4.9792 transcript:KYUSt_chr4.9792 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSPRTRRIPRGINRRRQPRLYTPLRSPRRVSLLHSPSTLPMAFYDDDSAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLTAGGVPIPPPPRGHALDVAIEEARLKMSDEERAEPRHHPDNYTAWNSYFLRRWEQELASYDGLPPPPPRNNAAGRRRWWSAPDRTLANVLEHIEGGNFPLASAGRRRGIIWQPRRMAASSSSSGSASRPSLAPVKKEATSPSTTGARQEGAGVSTAEQRRSSGALVIRDQPSSPQRGRKRKSAKKEDAAAAINAATNKLAEEEAKRAEDAAVAEAIARLLKDLVPVDNALPEDAALEWSRRDREREEAEQPAGGSSDPAAARQLAARAAAPTAADDVARYRRPATPPSGVAVPVVDLEASDDEWYKPSPSPPRTSGR >cds.KYUSt_chr5.16231 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104512248:104512781:-1 gene:KYUSg_chr5.16231 transcript:KYUSt_chr5.16231 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQSGSSSATPCASCKLLRRRCARDCVFAPYFPPEDPHRFATVHRVFGASNVSKMLQELPVAQRADAVSSLVYEATARMRDPVYGCAGAISYLQQQVAQLQAQLAVAQVEILQRIHHPSPGAAFHLQELQQRPEQHQLMQMDYDDKVYSSLVMQNDLMSTLLLQEACLKKESLWT >cds.KYUSt_chr7.7672 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46320114:46320806:-1 gene:KYUSg_chr7.7672 transcript:KYUSt_chr7.7672 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRLPDLAGKRVFAVGPLLEAVRCGGGPSPPAKLDAWLDAFDDGSVVYVAFGTQQALLPAQVACVADALAQSSAAFVWVVTSGTVLPEGFEAATASRGVVIRGWAQQVEILRHPAVGWFLTHCGWNSVLEAAAAGVAMLTWPMTADQFTNAWLFALAGVTVPVAEGVDTVPDTGRMASTIAAAVGRDGESEAAGERAVELGRKVVAAVAEDGTSHSDLDDLVCMLNDVG >cds.KYUSt_chr5.5353 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33578405:33579264:-1 gene:KYUSg_chr5.5353 transcript:KYUSt_chr5.5353 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPEEDVLCADGKTPTDPWRLCTMQQVEEVKCLARIIPVWSSGIVYFVVVTQLSTYVVLQAAQTDRRITASSSFQIPQGSFVVFVMLALTLWIPVYDRLLVPLLRRITKREGGITLLQRIGIGMVLSVQVLAGLSEAFAAIGQTEFYYRQFPENMRASLGALYFLGWALASYASGLMVTILHRTTGWLAQDLDEGRVDLFYLVTGVIAAVNLVYFVACARWYRFKKSDDDHTGSGAGDAGLDESPKKAANAAPV >cds.KYUSt_chr3.39472 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248661375:248662698:-1 gene:KYUSg_chr3.39472 transcript:KYUSt_chr3.39472 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLESGSSQLFSNSNVIDNTFDIYECNSMGGTQVVKDHSRFSRRSCTNNVPDPPPLPGTSYGKQRTSRNAKACRIHVSEEVQDSWSKLFSEGYEADVSILTDDGTKILSHSCILVSDSFPVMAIPKTLGGFDLMHILISGVPSEAVHVFIRYLYATRFKQYEMKKYALHLLVLSHAFSVPSLKIVCTDQIERFILAPDNVVDILQLARLCDAPRVSLICTRMIIGDFKTISLSEGWKVMRQANPSLEQELLESLVEVDTVSSLQRTILIGLRH >cds.KYUSt_chr3.48597 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303881020:303883045:1 gene:KYUSg_chr3.48597 transcript:KYUSt_chr3.48597 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGSSKFMKPILFTSAGILGSDQQLNPDFYNWNKVYVRYCDGASFSGDTEGWAQDGSILHFRGLHIYEAAIDELMRKGLANATQALLTGCSAGGLATILHCDDFSARFPREVSVKCLADAGFFLDVKDISGVRSFWSVYDGVVHLQVCSELIKSIRTPMFILNSGYDSWQVVEDKGDWGMFIDSCFTHCQTLYGISWNSPISPRLGNNTIAEAVGDWYSRRRQEVKQIDCEYPCNPTCSSLLPT >cds.KYUSt_chr6.10283 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63298952:63301957:-1 gene:KYUSg_chr6.10283 transcript:KYUSt_chr6.10283 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKAAAAAGLKAERLRLPSVAAVARSRMMRLWVLRATTTVLLWTCLVQLTAVGETWGPRVLRGWPSCRMAQHLAVPGPVVDREAAALPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWNDPSEFQDIFDIEHFITSLRSEIRVLRELPPRMKRRVELGMFHSMPPISWSDISYYHNQILPLVRKHKVIHLNRTDARLANNGLPLDIQKLRCRVNYGSLKFTPQIEELGRRVIRMLRKNGPFLVLHLRYEMDMLAFSGCTEGCTHEEADELTRMRYAYPWWKEKVIDSYVKRKDGLCPLTPEEIALVLRALDIDRNMQIYIAAGEIYGGKSRMASLTSAYPNVVRKETLLEPSDLRFFQNHSSQMAALDYMVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVDLVDHYKSGSLPWDEFSKLIKSVHSNRMGSASRRTVIPDKPKEEDYFYANPQECLRDPDLLRAW >cds.KYUSt_chr5.24217 pep primary_assembly:MPB_Lper_Kyuss_1697:5:157519581:157526869:1 gene:KYUSg_chr5.24217 transcript:KYUSt_chr5.24217 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSPSILSSPLPSGGAIFSKKPSQRSSSLVVSAARRGVRLVAAAAAASASAPSVSAQRTQPSAAEVARTVAELAPSGTLSVVGPDGWPLGVGARFVADAAGAPALCLAAAGVTVLDARSSFHVEFRQSGARTPQCTFLGALTKPSHEYDLKKLSTRWERKFGEEIDEDSMYLMSVERILHMEDFTEDRVWVAPLEYSDAEPDPLRNFAESIVEEMNSKHAEDVRRIYSIYAESDFQGDPLEAAVVMGCQLAPSRSRTLNGPPILGRSDSDGWLCLMAKMVVGDGTFALFCEDRWLQGKAIVELALDFLLLVLGRTHESVTVREAVEDRR >cds.KYUSt_chr6.30054 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190496617:190498923:1 gene:KYUSg_chr6.30054 transcript:KYUSt_chr6.30054 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPPAQDRSCVILATNDYSSHTYTLHHIDAAPFFSRPDPDNQAMDDGIPLPPASARFARPPDARGCSLEFHLLRGCERDAGVKVVSTDAERRTVIYDVARRAVGEGLFVLERTLASGLRRFEALRYDPLREDWYWYGLPLPPYVRDPGYRRSSVTALTAAAGGRIWTTTEGVGTYSFDTRRRSWRKEGDWALPFLGKAEHVPGRGEGGDGLSFGFLAVECNGYTSPNGPLCAVDLATATADSPPVVRGVWEDFKPPGEWTPSTPSLVHLGSGKMCIFRFFQTDRTGGSRNRRLVVITGVEVSADDGEIKMVKHRSKCIRSAGLEHTASVDNVADRIAKLQHAESVDNVDDIIGELEETESLQM >cds.KYUSt_chr2.7815 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48896586:48901562:-1 gene:KYUSg_chr2.7815 transcript:KYUSt_chr2.7815 gene_biotype:protein_coding transcript_biotype:protein_coding MRIELVKPAYDDNILDDPIEFDEVAQSHHDIYARKGRSTSIASALNFVRSEIQKTAEECEVVWDQSHRDEKHIGPLRHFIKNTARKMRRLANLLGCRNGEIPTSSSEEAEIPDDDTIPSQSISRGKKQATRSAYQLKPRGMAPNRYTPDDYVNRGKKVVIEEDEAPPRRSSLRRMRNDEPLSSEEEEHQEQEQEEQQQQEPRQRTKRKLSEPLPADQPDSVLDDISTNMARGYSYSPSPPPRGYRGRGRARSPSPRGDRYGAQGRDIPTSLLVRNLRRDCRPDDLRRPFGQFGRLKDVYIPRDYHTQEPRGFGFVQYFDPADAADAKYYMDGQVVLGREIAVVFAQENRKKPVAEAIAEAGLTIGGTLLLRGPGHLTEAVATQGLPLLGTQGAGSERSLTHVHPLTADQGAQRLLMGVTEGLREERGPSLLANEVAVALFGVQNCGGDD >cds.KYUSt_chr1.17402 pep primary_assembly:MPB_Lper_Kyuss_1697:1:101076874:101083490:-1 gene:KYUSg_chr1.17402 transcript:KYUSt_chr1.17402 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQGGDGQRKEEEKGSRPGPHPQLCGWRRDGRKYTHGLHPAQMEALRAMCGAFIPSLPAEEAAGAGGRADPPGGKDLERFYLASAADAAIPDEVAELMVTRCIREAVLLGWVVLWMLGTRVGTLLLCGTLSLSGAAGELPSVRRFADMPLERREAALQRWNRTRWFFPLRIVFALVKILSHYVFYAMVNEKSKNPHWNAIGYRVEEWREEPEEPVAPAPARSRPLDNGVVETRALNDTTLLRSLADKGLAAKLGSSDTHHTVECDVVIVGSGCGGGVAAAMLASAGYKVVVVEKGDYYTADDYSSIEGPSMERLFENGGIFCTSNVTTMLFTGTTVGGGSAVNWSASIRTPGEVLQEWSREHGLPLFASPGYQQAMDAVCARLGVTDGCLEEGFQNKVVRRGCEALGLPVDAVPRNSSKGHYCGSCNFGCPTGDKRGTDTTWLVDAVKNGAVVLTGCKADRFILERISGKNGRSKKCAGLMATCLSKGITKKLRIEAKVSISACGALMTPPLLHRSGLRNRHIGQNLHLHPVSMAWGYFPENKQGPPITGKSYEGGIITSMHRVTERTIIETPALSPGGFAAMVPWESGRDMKERMRRFARTAHAFALVRDRGAGTVDCEGRLRFTPSREDTRELRNGLRRVLRILVAAGAAEVGTHRSDGLRLRCKGVRDEDLEAFLDEVSIEKGPMHSTTDKWGVFSSAHQMGSCRMGSSSKDSAVDGSGQSWEAEGLYVCDGSLLPTAVGVNPMITIQSLAYCLSKEIAQSLAHAKKH >cds.KYUSt_chr1.5901 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36377580:36379116:1 gene:KYUSg_chr1.5901 transcript:KYUSt_chr1.5901 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTVDTCISSGASVVCRVTTLTDLVPADNSLPEDAALEWSRRDWERQEAEQMRRLLDLAAARQRVVRAAAPFAPTNVAHMLVELIKLEESSDDDIYRPTPPRAGDPGQGSSRWFEAAPSQDAASSSDDDDGADYTAFYRHFGM >cds.KYUSt_chr1.3483 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21069860:21071370:-1 gene:KYUSg_chr1.3483 transcript:KYUSt_chr1.3483 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEHVIYSFHIDTDKPEASAELQQAITSPRLGRLTSPLLRDAGLLLLDAHQSANPRALRRLVPRHPGTLLADVRHDLHLILCCGLVLLGNLFEEAVERSPDALHLLHLGEEAVDGDEVISARRPIDGEEGDGPNHDPFFSLCLDSSTIRWWSADVGAAHSTIIPLDLGLCGDGHVADVVAPGWPAAFHRGRRGSRSQRIGSKNRVWEAWKWRIQWRIQAALDFDD >cds.KYUSt_chr7.6913 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41690420:41691571:1 gene:KYUSg_chr7.6913 transcript:KYUSt_chr7.6913 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLARVLLLQAPRRTCPASQIPRRRHLRPSVSFTTAAVPGGVSGPVLRMCKNCKKQFDPAARTYIFKLMNSSGITESGESEKNINAQTHSCVYVFSDKSSTPSGFTLKPRKHVRSKRLEDVRMLGYDRMVLFQFGLGSNAHFIILEFYAQGNIILTDSGVLCSSQFYGANICLVKGA >cds.KYUSt_chr6.27780 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176180069:176183224:1 gene:KYUSg_chr6.27780 transcript:KYUSt_chr6.27780 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLHFSNRTDSNKLHIPSLGVALVLLICLASPASSCTAQEKGSLLQFLAQLSRDGGLAASWQNGTDCCKWEGITCDRDKTVTDVLLASKGLKGHISPSLGILSRLQHLDLSHNSLSGGLPLELVSSSSITILDVSFNQLNGTLSQLPSSIPARPLQVLNISTNLFTGEFPSSIWKAMENLVALNTSNTSFTGQIPTQLCNISPSIIVLDLCFNKFSGNIPSGLGDCSRLRELRVGYNSLSGTLPDDLFNATSLEYLSFPNNDLHGILADTRIFNLRNLETLDLGGNKFSGKIPDTIGQLKKLKELHLNNNNMSGELPSALSNCINLITINLKSNNFSGELNKVNFSYLANLRTLDLYYNQFNGTVPESIYSCSNLTALRLAHNNLGGQLSPQISNLKYLAFMSLAENSFKNITNTLHILKRCRKLTTLLIAFNFKGEIMPQDEKIDGFENLQVLGIGGCEFYGQIPLWISRLANLEVLILSHNQLNGSIPSWIKTLTKLFYLDISNNSLTGEIPIALMDMAMLKSEKTEVHLDPGFFELPVYDTPSLQYRVPIALRKVLDLSHNKFTGEIPFKIGQLKALLALNFSFNQLTGEIPQSICNLTSLQVLDLSSNDLTGAIPAALNSLHFLAEFNISHNSLEGPIPSGGQFNTFLNSSYDGNPKLCGSILAQKCLPSSLPPSSTNHRDKKTVLAIAFGVFFGGIAILLLLGRILVSVRMRSFTAKNRRGSNEDAEATSFYSSSEQTLVMMRMPQGKGDENKLKFTDILKATNNFDKENVIGCGGYGLVYKAELADGSKLAIKKLNSEMCLMEREFSAEVDALSMAQHENLVPLWGYCVQGNSRFLVYSYMENGSLDDWLHNSYDDATSFLDWPTRLKIAQGASLGLSYIHDVCKPQIVHRDIKSSNILLDKEFKAYVADFGLARLILPNQTHVSTELAGTMGYIPPEYGQSWVATLRGDMYSFGVVLLELLTGMRPVPVLSTSKELVPWVLEMRSEGKQVEVLDPTLGGTGYEEQMLKVLEAACKCVNHNQFMRPTIMEVVSCLDSIDADQQM >cds.KYUSt_chr6.26541 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168126314:168128212:-1 gene:KYUSg_chr6.26541 transcript:KYUSt_chr6.26541 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYSQNSGIQIHDSLSNTANENSPRASENAQLSSSRRRPPPFAKPPPAAMPSRRRRNRKRLLTCVGAASVGALLFFGAHSSHIGLGGGTWPQQQDQLFRSAGPPPPETLMSMPRQTSEADLSFARRLLPNRHHSPPQLREDAVLLPDREVLVLSADPAVGNAMCVFQGGASSPARALGRLPGPGRHAYLCPLPGSEQPLQPPPLLLSSSSYSSSAAPPATAPAPAADFHKLLNWNDSLVFDSAPLPGGDLLLFAKGTNSRQGVIKTATSNIQCIYSRDSDGTVASSPATTSAQQVIRCPPPPAPLSSSNLHVTVALNGQEPLPSLATYDPQNTALPVTRERKSICACTMVRNVAKFLPEWVRYHAAVGVEKFFLYDNASEDDLAGQVSSLNSAGIDASTVAWPWTKTQEAGLSHCAATNQPSCEWMAFMDVDEFIFSPNWNEVEKPSKSLLDSVVSVDPEVGQIFLPCYDFGPSGQTAHPQEGVCQGYTCRLTRAERHKSLVRLDAVADSLANSVHHFTLKPGFQKMWTTLARINHYKYQAWTEFKSKFKRRVSAYVADWTDPINLQSHDRAPGLGVDPVEPVGWAESFCELKDYTMKKLSEKWFGIGSGGHGAITEFNSNGDIAPSPSLT >cds.KYUSt_chr6.27822 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176506919:176510074:1 gene:KYUSg_chr6.27822 transcript:KYUSt_chr6.27822 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLQFSKKTQSKKLHIPLLGLSLVLLISFASPTSSCTEQEQRSLLQFLAGLSHHGGLAASWKDSMDCCKWKGITCSPNRTVTNISLASRHLEGRLSPSLGNLTGLQHLNLSHNTLSGALPLELLSSGNILVIDVSFNRLDGELHDLASSTPSRPLQVLNISSNLFTGRFPSTTWEVMNNLVALNASNNSFTGNIPAHICNSSPSFVMLELCLNHFSGSIPPGFGNCSMLKVLKAGHNNLSGGLPADIFNATALEYLSFPNNDLHGVLDGARIINLRNLATLDLGENSFSGHIPYSVGELKKLQELHLDHNNMFGELPSALSNSTNLITIDLKSNHFSGILAKVNFSNLPNLKTLDLLYNNFSGTIPESIYSCSNLTALRLSGNNLDGQLSPRIVDLKYLTFLSLAKNYFENITHALRILQGCKNLTTLFIGQNFRRELLLEDDKISGFEKLQVLDISDCTLFGKIPLWISELADLEMLVLSGNQLNGSIPAWIETLKYLFYLDISNNNLTGEIPKALMDMPMLKSGKAQANLDPRVFKLPVYNGPSLQYFIPAALPKMLDLSNNKFSGEIPLDIGQLKALSSVNFSFNHLTGQIPESICNLTKLQVLDLSSNNLTGDMPAALNSLNFLSAFNVSNNDLEGHTPSGGQFNTFQNSSFDGNPKLCGSMLTHKCGSTSIPPSYTKHRDKKNVFSIAFGMFIEVIAVLLLLGRLIVLIRTKGTTTKDRMENNQDAESISFYSSSEETLVVMRVPQSDGEENELKFADILKATNNFDKANIIGCGGYGLVYKAELNNGSKLAIKKLNGEMCLMGREFSAEVDVLSMAQHENIVPLWGYCIQGNSRLLLYSYMENGSLDDWLHNRDDDASSFLDWPMRLKIAQGAGLGLSYIHDDCKPHIVHRDIKSSNILLDKEFKAYIADFGLARLLLPNQTHVTTELVGTMGYIPPEYGQAWIATLRGDIYSFGVVLLELLTGRRPVPVLSTSEELVPWVLQMSSEGRQIEVLDPTLQGGGYEEQMLKVLETACKCVDHDQFRRPAIMEIVSCLSSVDTDKQM >cds.KYUSt_chr2.40624 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252367373:252369396:-1 gene:KYUSg_chr2.40624 transcript:KYUSt_chr2.40624 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDDVSSQWPGLPVGVKFDPTDQELIGHLEGKDEEEPGSSAFQGYAAPPPLLNTDIHENPTPLNFTDIEMLDELPDLDSTLLDDSLDWLYENEAWRKEEEHRSEEGSEVTAQRRETAFPCDV >cds.KYUSt_chr5.37232 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235435314:235435784:-1 gene:KYUSg_chr5.37232 transcript:KYUSt_chr5.37232 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNTAERSRGTKRYVRAVVVVKIGRDFRFTDGIRGTGAGGHTSGARSLLSSDLGVDGSSRSSFTATFVASSYSADTCIFVVDVAWDGAAEAASAADVEGGAILIGVSGGKPSSAEEAIAVGRIGGSNSPSKWVELEWDRILVLGWVQEWVKKDNE >cds.KYUSt_contig_1181.768 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:5244368:5256038:-1 gene:KYUSg_contig_1181.768 transcript:KYUSt_contig_1181.768 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRGLGSGRRLGLRRIADYLGEDHTEASDSESFITTHSDELLASTSGAGGAGRAMGGMLPEFLADQSDLVEVMLELDEESMVVRSVTPTAGAGVPHGGPAALLAGGAGTRTPGSGRSLSRSSSTSSRIRRTFAWLRSPSPLPVPPEQPRQEAAVMAARERRRVQARVNRSRSGARRALKGLRFISRATGSAEATALWGSVEERFNALSRDGLLARDDFGDCIGMVDSKEFAVGVFDALARRRRLNLERISKDELHDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLSKLKEQAEEYALLIMEELDPEDLGYIELWQLEALLLQRDAYMSYSRPLSSRSGGSGSAAQWSQNIGVGGGAQQEPAPTSATAPGQRKIRWWRKWISPRRAACRARVAAEEGWRRAWVVALWAAAMGALFAWKFAQYRRSVAFEVMGYCLPTAKGAAETLKLNMALVLLPVCRITLTWLRSSWARFFVPFDDSIAFHKIIAMAIATGICLHAGNHLACDFPRLIASGPEEYRLLAGFFGEEKPTYRHLMSGVVGVTGIVMVVLMAVSFTLAARPLRRAASTRRLLPPPLGHLAGFNAFWYSHHLLVVVYLLLLVHGWFMFLVSKWHQRTTWMYIAVPFVLHVGERTLRAFRSKAQAVKILKVCLLPGNVLTITMSKPCGFRYRSGQYVFLQCPTVSPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKHIFVENYFSPCLPRRASFGELGMAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDIAMETSRSEDISNTFSVSTAGSNKRRVYRTSRAHFYWVTREPGSFEWFKGVMDEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEEFTRIASKHPSSTVGVFYCGKPTLAKELKKLSLDMSHKTMTRFHFHKEYF >cds.KYUSt_chr4.42428 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262556500:262559481:-1 gene:KYUSg_chr4.42428 transcript:KYUSt_chr4.42428 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPGSGRAGPSRATRSQNPSHDDDEAAAAAARRVKDEIADDLNADPAEDDDDEEEEALANSDSAPLNSNSSNSDGAGGADDFAPRTLEEALVPRVGTVFDSVDEAFSLYKAYAFRAGFHAVRRTCHNYEGVRYRSTFACTQAGRPAAPSEAPGARYPLRSKRGNGKRPRRAAAEKTGCKAMMIMRDKRVDDKWKVEFVDLEHNHPCAPDMVRFLKAYRELPESAKRKARITDEMDETVEKSLSEIAETRKFPTRPKRSVSAGGLRCSRTDGIAQRFGEDDLAALKKFVETMQEKKPNFVHSWDLDQESRLKNFFWADTRSQAQYRYFGDVVTLDVMYLQHSRGSLPLVTLLGVNNHGHLVLLGCGLLSKDSKENYVWLLKRWLSCMNGKPPEAITTSYSDAVAEAVAEVLPGTRHRFCFWHILRKLQENVGRMHEKEALSSKFKEVVYDTVTLTDFEKEWVAMVEHYKLKDNEWFSALYSCRKRWAPGFVNHSFWAGTSAIRKVEKPDPYFDGVVTAKTTLPVFLEQYEATLKGKLEREAYDDLRSYYSRLTLLSGLPFEEQLVELYTVPMFQAFQDEIKQLMHVICKEVDRSGNSVTYMASELIQGKKIDFTVVYNNSDKDVWCICRSFPSRGILCSHALSVLKQENVLMLPSKFILDRWRKDFRILPMPASCDSARDLSIFDDLYVRGHECLEDVIDIGAREPELKEFVLSVMREAKGKLVRPVQTQQGDQRVDVNMSVTGQPADRIVDENMTSNTTSVIHGDRRVDANMTLNTRALAHEDNLTSNTTSLIHGDRRVDMEMQTPHLIHREGRLDMNMASPHLMQRDRRVDINMAVPHLIQGDRRVDRNLGSPHFIQSDRRVDMNMASPHLIHRDVRVDMNMASPHLIHGDRRVDMNMASPHLIQGDTRVDMNMVSTAQDDGMHTFDLMNVNLESGSLPMTATDFMQMHQHPAVYNPKQLLNMRDQVMDSNKRPNVETNTYFMGGGMHVG >cds.KYUSt_chr3.42694 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269936876:269937832:-1 gene:KYUSg_chr3.42694 transcript:KYUSt_chr3.42694 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKLYGMALSPNVIRAAAVLNEMGLDFEIVPVDLRTGAHKHPDFLALNVTHPDDLSCCFLSFVREPFGQIPALQDGDEVLYESRAINRYIATKYKSQGPDLVPTPSAKLETWLEVESHHFYPAVSPLVFQLLIKPMLGGAPDAEVVDKNAADLAKVLDVYEAHLAKGNKYLAGDAYTLADANHLSYMFLLTKTAKAELVASRPHVKAWWDDISARPAWLKTVAAIPLPPSA >cds.KYUSt_chr2.54973 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342722309:342722908:-1 gene:KYUSg_chr2.54973 transcript:KYUSt_chr2.54973 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDDGGRKSWTAGDYILAALGGCVAATAIVIIVSVVFSPGRIIFSITHASHKKLADGDVNLRLTIIANNTSHRAKVRFLSFSVVLINSSSSNGPYTTDAPVVDPTFPSPNATYLLEPTTMSITASVHLVAGDILSYFTGQRLESDSAGFTVVLRSQVRFWIGKARTKIFDVRVSCPGVKFVQDRHKSRAQKPVQCYG >cds.KYUSt_chr7.7497 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45162680:45167450:1 gene:KYUSg_chr7.7497 transcript:KYUSt_chr7.7497 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNGNHEAQAAGRVRLEVVVKALVTAACAGVPSLRSCPRRANDFLQWWCGTSEVACRRWPGSPSSAPAGKEDDVHKVLQFQLEPSLSCELAMAEDGDFPSAMFPSVASSDAPAPGGRREDVVEVHEIYGTGHGMESHKDMVAHDGNQNVLEKVLKEGIKGVLEKESEAKACWTWCWRSLRPSSFHIEYGRGKMYLHTGWEKFARYHDLEVGCVLTFSYLGEADMSVKVSDETRCRRHYHSDTDKEGD >cds.KYUSt_chr1.28812 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174279377:174282874:1 gene:KYUSg_chr1.28812 transcript:KYUSt_chr1.28812 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRSAVALLLAAVLCLGGVCRASSDFDYPAAFNFGDSNSDTGGRIAAGFEPMLPPYGSTFFGGPAGRFSDGRLILDFLMDAMDMPFLNSYLDSVGAPNFRAGVNFAQAGCSITPATATSVSPFSFGLQIKQFFAFKEKVTRLLSKGDRYRHFIPQLDYFSKGLYMFDIGQNDLAGAFYSKTEDQVIASIPTILLEFESGLKTLYEQGARKFWIHNTGPLGCLPQNIDLWGKDPTQLDEHHCVAKHNRAAKIFNLQLHALCTKLRGQFPGSDITYVDIYSVKYSLVANYSRYGFESPTEACCGYGGPPLNYDGRVPCGQTKSVNGNLVTAKGCSDSTEYVNWDGIHYTEAANFHVTSQILTGKYSDPPFSEKMPFLLKPRF >cds.KYUSt_chr2.33257 pep primary_assembly:MPB_Lper_Kyuss_1697:2:205245921:205247296:-1 gene:KYUSg_chr2.33257 transcript:KYUSt_chr2.33257 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGACYSCHDDEAHLPKRRRTAATEEGSPSAVSGPVGSGAVGDMFDELPDDLVVSILADVAASAASPADLAGAIMTCKRFRELAQSKVVLTKASPRCLAVRAKSWSDAAHRFLQRCADAGNLDASYLLGMIRFYCLGSRGSGAALMAAAAVGGHRDALYSLAVIQFNGSGGSKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSLLDGRRLLIQANARELAAASASLAKPSSSRAGRQHSCLLSDFGCRAAAAAAAGEAHAANRFLSDWFAARPLAAESVSGTGTGPGPMELEDGGSGGGGLRLCSHAQCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKMAHKAECTPMDRWLDAANANPIPEPNADAAAVAAPAL >cds.KYUSt_chr4.51324 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318378230:318382272:-1 gene:KYUSg_chr4.51324 transcript:KYUSt_chr4.51324 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRRSLAPPALALAVALLAALHWPGAGAATAAERRFLLDFKSVITADPDAVLASWTPTGDPCTDYAGITCDPSTGAVQRLRLHGSMLAGPLSPSLARLPSLESLSLFGNALSGGIPATFSTLAPTLHKLNLSRNALTGEIPGFLGAFPWLRLLDLSHNALSGEIPPRLFAPCPRLRYVSLAHNALTGPIPPALATCPRLAGFDLSYNRLSGALPDRLCAPPELSYVSVRSNALSGEVSAKLTTCRSIDLLDLAGNRFSGPAPFSLLGLVNITYFNVSSNAFDGQIPTITTCGTKFSYFDASGNRLSGPVPGSLANCASLRVLDLGANSLAGDIPPAFGTMRSLSELRLAGNAGITGSIPAELGGIEMLVTLDLAGLAITGDIPGSLSNCKFLLDLNLSGNKLQGVIPDTLNNLTYLRTLDLHRNQLDGAIPVSLAQLTHLDLLDLSENRLTGQISPDLGNLSKLTHFNVSFNGLSGAIPSVPLLQNFGFTAFMGNPLLCGLPLNNLCSGGVHRPRRLSVAVIIVIVAAALILVGICIVCAMNIKAYTRRSKEEQEGKEEEAVLVSESTPMGSPGQNAIIGKLVLFTKSLPSRYEDWETGTKALVDKDCLVGGGSVGTVYKATFENGSSIAVKKLETLGRVTNQDEFEHEMGQLGNLNHPNLVIFQGYYWSSSMQLILSEFMTKGSLYDHLHGNRRRSSSRGDGGELSWERRFNIVLGTARALAYLHHDCRPQVLHLNIKSSNIMLDEEYEAKLSDYGFGKLLPILGSFELSRFHAASGYIAPELASPSLRYNDKSDVFSFGVVLLEIVTGRKPVDSPGAAEAVVLHDYVREILEEGTKSVCFDRRLRGFIEAELVQVLKLGLIFQMAEEFELPEFNPRERAKQQISVPFLWEVKPGAPKRDWAISKPAPPVFSSCPSPLKLVVSVPFQWEEKPGKPLQINSPLHVPSDHHAGFLASPYSLNPFVAEGEEEYTLGFDLEAFGFPDDNKASGIAEYTDGSSRRGTWYSFSDSEDYSNSSGNTSARESQFPRAPSEQSWEVANDDDHQQIKPRSPPRSAFTLEELMMLSRKLGCGQGFSTDDVRKKSLSSSTPSSVVMPGHSAFSFV >cds.KYUSt_chr5.42527 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267960002:267961774:-1 gene:KYUSg_chr5.42527 transcript:KYUSt_chr5.42527 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLPKRDGNHVPLSPITFLPRAASAYADRTSLIYGSTTFTWKQTYQRCCRVAAALQEMAVAKNDVVSVLSPNSPAMYELHFAVPMAGAVFNAINSRLDAAGVATIINHAQPKVLLVDYEYTGLVTEAIKALSADAPKPTLVVMDDHNMPTGARMAGAEIEYEQLVARGDPARYPPRVLEDEWDAVTLNYTSGTTAAPKGVVYSHRGSYLSTMANMIQWGLSNEAVYLWSLPMFHCNGWACTWGVAACGGINICIRSPTAVNIYTSIATYGVTHMCVAPVLFNVLIQGRREPLPRPVQVLTGGAPPAAAVLDSIEKLGFKITHSYGMTEATGPATYCEWRQRWDALPAPERAALKARQGVSALSLAAVDVKDLSTMKSVPRDGATLGEIVIRGSGVMKGYYRNPEATEAAFRGGWFLTGDVGVVHPDGYVEIKDRSKDVIISGGENISSVEVESVLYGHPAVLEAAVVAMPHPHWGETPCAFMSLKEGVPKVKEEEVVSFCRSKMARFMVPKKVVFVDHLPRNSTGKVQKLLLRERARALVAAAANNKEQHLAIAGSSRL >cds.KYUSt_chr3.30709 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192664196:192667991:-1 gene:KYUSg_chr3.30709 transcript:KYUSt_chr3.30709 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQYEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFENLNNWREEFLIQASPSDPDNFPFVLLGNKVDIDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGTNVEDAFQVIVKDALKNEPEEELYIPDTVDVVGGNRTQRSSGCC >cds.KYUSt_chr4.43214 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267736540:267743159:1 gene:KYUSg_chr4.43214 transcript:KYUSt_chr4.43214 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVAAAESASGSGIWSRRRDEITFDRLQKFWNDLPPQARRELLKLDKQTLIEQARKNFYCSRCNGLLLENFKSLQQEVSDIDCLSSTGDSRITQQIESQDPSVHPWGGLATTKDGILTLIDCFIKAKSLRVLQNVFDNARSREREREMLYPDACGGGGRGWISQGMASYSRGYGTRETCALHTAHLSCNTLVNFWSALCEETRSSLLRMKEDDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRRESYCTSWFCVADYAFQCEVFEDAVMVDWRQSLSVKDGSYHHFEWAIGTDEGQSDVFGFENVGMKTQVHRSGIDLDQYEDYFITLRAWKLDGRYTELCVKAHALKGQSCVHHRLVVGDGFVAITKGEGIRSLFEHAEEAEEEDEDDAMERDENDLDGDGSHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGAAQQNAQSVFVSLALKLLEDRVHVACKEIITLEKQNKLLEEEEKEKLEEQERRMRRRMKEREKKNRRKERLKEKERDKGKKLVEFKTSDDISSSTLRNSSTCTNNDSGNAFGSKDSASEEEDNSAVVDLCHSDTESSCIEINGQDNVDCYDTVTKCLPINSSEPFTSEQSKPSRRNLRLRKDIPQDQSSCWHDNARDESGNVGNLQWQSMERTRNGAGSCNSLFSTNNRTRDRQDYSSCSYDHQERAGDSYFSPTARSGREMKIARKTGVDKPLVQYRRVGSTQERDAIPKKVWERMDTRMKTCLHETNNMSGSVDDVDSPKPVQSDISGCEKLDKGPEPRGQVSEMSRDVCKSETDQLHEHSEENQSACCDGTPMMNKQNCYSTNNEGSRVDEELMTNSASSDGSSSCMSEADRESSSSSMTSLSTHNPEPSSSDSEESSERINSIAEAPSTKTVSRSLLETCAGNGFREYHPKAKCPPHNERFGFNVSPFQDQLLRHQNMHAPAYSPTTVGPHGHSCAAPTNGYFQYGQPPSFISSPLRFGVPGNQSADFPVQYNNVHHYPAPPYSCIHPEQIRKTPASFRVMPPPPPPPYQHRTGPISGHPGGDLNPDMHSSMLKPMGLKDAAEDGNKLHDRSASFSLFQFNLPIAPQAPPSSKDVNSGEHLARTPFAPVQAQPCSRAQADMKEYNLFSTDQRVYFPLSR >cds.KYUSt_chr4.19083 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119899026:119901661:-1 gene:KYUSg_chr4.19083 transcript:KYUSt_chr4.19083 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARQVDDKECYSSYTTNGTASGRPQRKSQAAPSRLKPMPSKWDDAQKWLVGMSNGGSSDGIHGGKGAVAKPRNSNADDRRLLSSSSQNGRVSCSSVDGGVEYNMVVAPPTPPQLGEGGSGDDVGETKNVDCGGMLLRPHGHGYGSPVSVCLRDMGTEMTPIASKEPSRAATPLRATTPVARSPVPSRSSTPGRRRQDEPPVSVAAGVVRTPEQAAVSNDFSGGEVDSNGGVDGRVPRANTLESRAAAWDEAERAKFTARYKREEVKIQAWENHEKRKAEMEMKRIEMKAEQMKARAQEKLANKLAEARRVAEEKRASAEAMLNEGAARTSEKADYIRRTGHLPSSFFSFRIPSLCG >cds.KYUSt_chr5.6787 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42020604:42022309:1 gene:KYUSg_chr5.6787 transcript:KYUSt_chr5.6787 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGGRSLDPRRRIQAGLGLLLAISLLRWSEGGAAGSGAVFFNKAAATSSSPSLSGEEEAPHAGHGGKVGILLRAARCASASLLAGLGGEEERMRGALILDRGGGSGPLRRRGAWLVVANLQLLLPGHGGQKRSGGEALATTARRLLPAGCYGAADQALLRAKHAVLFFDAVILGRQGDPSSTSIVEALLRSCPSVEVEIASA >cds.KYUSt_chr6.31388 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198512860:198516613:1 gene:KYUSg_chr6.31388 transcript:KYUSt_chr6.31388 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGEGGGSADWLPIYDRVEAMLSKSQVEAEAFAADRARLEAADSVQRESREAARLLQRTVDELQAAAREKDVEMDRLREEAADARKKLQEVRQADVSRRGRWEAAYLDLLLGANQRLTGEEEPLFLGAISDFLGFLSILLELRDGDLEDSRACAGTPNPKEVGSCPRLSQNMVDHIGSDLRTELRKLKQAYETLSSNKDQEVCALVAEKDSVSKQLSMMHQDYANKKVEAALATEAALKLQQSVDELKVLAQKKDDEIARLQAQAVGAKMNLQKTHSLVKEKDDETPRLKIRQPMSVLRPIKDSNETHKKSRSDDPARQHTVRQHYGSTSAPSFATSLAKLIVADQQSKLDQRLPGRSGRGRGRGRGRLVGRRRSCDQAEIGGQIEVQEEEKQQPEEEQSHQRAVAQPCEQLPLMSAAAAAGLIKLKEVRIHNGRSVVVSTGNWAQYVRDVKDIMADQPDNKHEEFFEFLCNFENWRIEDVARTMAVLLDGHPKLIRRFNRFLPWYWQIEIEEEEEKHHHDESGTAGLVMLKEAEQ >cds.KYUSt_contig_2278.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:42111:44943:1 gene:KYUSg_contig_2278.3 transcript:KYUSt_contig_2278.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIERSEALEELDYSNAPTPEYSVEDLIKTIIVRHPRVDEGVLRSCILVSLPRLDDLQVASFPTILKMQYGAAAEQAWYMPAMPVAVAAETAAERVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPRGLELERALAALLGSGGGAVPVVPVVFIGGKLVGAMDRVMAAHINGTLVPLLKEAGALWL >cds.KYUSt_contig_815.308 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1809434:1810898:1 gene:KYUSg_contig_815.308 transcript:KYUSt_contig_815.308 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLIANIHDFHGYATALFAASGPGHSYEVRAPSMRFFCTCDPANVRHIFVSNFPNYPKGDDFASFFDTMGGSFFNADGESWRRQRARVQHLMASPRLLAFMAGSCCDKVERGLMPALARAASARAPFDMQELLTRFAYDMTAMPVFGVDPGLLSARMPPMDVPNAMDAVMEVGFFRHTVPISCWKLMRRLRIGPERKLAVAQPVLRRFVAEMLQKRAHENNGAQQLDIVSSYIDDPEYVGLDGEPKDFLYATIINYMFAGRDTVGTTLAWLLYNLTQHPHVVSNIRRELEPIVASRKAATGEDGGNGMASMRLHPPGPIERKAMLADDVLPSGHEVRAGDTVLISVYSMGRMERVWGEDCAEYRPERWVTAEDGGGAAVRHVPAHRFMPFNAGPRSCLGKDVSVAQMKSVVAAVVWNFDLEVVPGHVVEPKLSVVLQMKNGLVVTAARRGSDAADETTELCRGQQ >cds.KYUSt_chr2.49313 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308581484:308581879:-1 gene:KYUSg_chr2.49313 transcript:KYUSt_chr2.49313 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSRGPNVLAPEILKPDINAPGVEILAAWTGASSPSGLEWDTRRVQYNIVSGTSMSCPHVSGIAALLRQARPEWSPAAIKSALMTTAYNLDSAGGVIGDTSTGKASTPFGRGAGHVDANHSNFPFRFL >cds.KYUSt_scaffold_869.1426 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:9048221:9050668:-1 gene:KYUSg_scaffold_869.1426 transcript:KYUSt_scaffold_869.1426 gene_biotype:protein_coding transcript_biotype:protein_coding MDASWASLFPASFLFLLVKAVLDAVHLHDGQLVRLLLPLVAMTAAKHSTFPAGPPPPQQAGVSFNSPLKLSSSDQSLFCVLDWMVFRNRERYLLISNRRYEMTTLARLPFRLGLGRVMDVTGYLQDS >cds.KYUSt_chr4.25191 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158305844:158306242:-1 gene:KYUSg_chr4.25191 transcript:KYUSt_chr4.25191 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSPLQELLSDTVTFAIFFIVSALVFWCLHKQRRKELAKMIDYVPSYTRPHGQGSGSGGASATAGEPAAAAGEECAVCLGALEAGDVCCVLPACRHEFHRECMRRWFLTGKTTCPLCRTKVQQPAVAEIV >cds.KYUSt_chr4.14928 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92077566:92081864:-1 gene:KYUSg_chr4.14928 transcript:KYUSt_chr4.14928 gene_biotype:protein_coding transcript_biotype:protein_coding VFTVYGSWSPLKFCKEMVRPDDLRVPFERFGPVRDVYLPKDYYSKQPRGFAFVEFVDPYDASEAQYHMNRQVLFGREITVVVAAESRKRPDDMINRARVRGYSGERERRHSRYGRSRSRSRSYSPRYRGRPRS >cds.KYUSt_chr5.38779 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245494248:245498575:-1 gene:KYUSg_chr5.38779 transcript:KYUSt_chr5.38779 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERPVRLVLDASLLLDPVEGGGTGEVAPALRPGAEALLRRLRYSNLGVAICGPKEMPMNVSDFLEKTANAHSFGYTLLPAPSGNILLDESMLEWSRSSSCFYATSRVDEDLFSALQSHKWRVIAVDNEHKTKDSGVVNISKPEELLLNLATLIKKEIASSSVLMVGYAMKQSREQDFAKRGAFPLYPSKNGLIFVPLSFELPLASQLNEVDMILHKMTDEIVSIDPNCSISFPKGISFSAGMSEIIRFMEEYPDFCIIDPFKNISPLLDRLQIQEILVRLQELGTEGRPKLRAPHSLKVKNFHGSELHKQLAETNLSFPLIVKPQVACGVADAHNMALVFQVEEFSNLSVPLPAILQEYIDHGSKIFKFYVIGDKVFHAVKNSMPNASLLKSSSGDEPLTFNSLKTLPVATKEQLLQNRVQDNKSLDIDLVEKAAKFLKESLGLTIFGFDVVVQEGTGDHVIVDLNYLPSFKEVPDSEAMPAFWDAIRQSYESKKGKGQT >cds.KYUSt_chr2.36933 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228421325:228424100:-1 gene:KYUSg_chr2.36933 transcript:KYUSt_chr2.36933 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAVRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >cds.KYUSt_chr4.51350 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318597782:318599303:-1 gene:KYUSg_chr4.51350 transcript:KYUSt_chr4.51350 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSALKFTVRRKPAVLLTPAAPTPRELKPLSDVDDQNGLRFLIANIFFFERRHSGRDVDDPVPVIRDAIAAALVHYYPLAGRIRELEGQKLAVDCTGEGALFVEADADDVRLEQFGTQPPFPGVDELLFDLPGSNEVLDAPLLHFQVTRLACGGFVLAFKIHHCVVDGQGVVQFMEAVAELARGAAAPTVRPVWGRELLMAPCNDTAPLRFAHREYDVVEPDPDERPAMVHRSFFFGPTEAAAVRSHLPPALRRVASTFDVLMGFLWKCRTAALAPRDRDEMRLLFTTSVRGKKKQGGGEPFIPVGYYGNSFATPAAISTAGALRAKPVGYAVDLVRKARREVDVEYLQSVARFMVRSGRPQLALLHAYLVSDVSKAGFDAIDVGWGKPVYGGTMRCSLDTSPVICSFLTAGKNTIGEEGIILPVCLPAPAMDKFVEEMSNLLRPYDHVTAQPNNISPVIKAAL >cds.KYUSt_chr5.38460 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243178825:243180534:1 gene:KYUSg_chr5.38460 transcript:KYUSt_chr5.38460 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVKIAIDTAKGLEYLHEKAQPSIVHRDIRSSNVLLFEDYGAKVADFTLSNQSPDMAARLHSTRVLGTFGYHAPEFAMAGQITQKSDVYSFGVVLLELLTGRKPLDNSMPRGQRSLVIWLAAVAALCVQYEPENRPSMSIVVKALSPLFQHKPQPPPAAPSDTCNG >cds.KYUSt_chr7.14404 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89008181:89010078:1 gene:KYUSg_chr7.14404 transcript:KYUSt_chr7.14404 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNTAFLGLLQLLLLLLPPSLRDYLWAATSDHGVGQKLQVYHPIIMSAGISCPVLEARLTDAYTPSLPHCGELKGKGWFPLWNSKQDLVDHDYISCFEEQMSLVFDPVTNDYQNRPGVETRVPDFGSAYGFSYKDDSCPFCCNIKLRDELETLGYRDGDTLFGAPYDIRHAPPRPGHPSKVSSEYFARLKDLVQNASAKNGNKPVIFVGHSFGGKLILDFVNSTPLPWRKQFIKHLVLLSPTPSTGFMEVVTNLASGPSCIHFDAAPHLALRTMWWSFASSLLSLPSQAVFAHEPLIVTNQRNYSAYDYPDFLAAVGFSMEGMLPSTKLALPTNLSVEAPMVPTTYLSGVGIQTIKQVVFWDGNFDIYPEDVFGDGDGVVNWNSVLVFVNELKRQHSSENILFKFIKIPNVTHSEIAIQDNSLKIIMAEILEANS >cds.KYUSt_chr2.36785 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227214007:227218122:1 gene:KYUSg_chr2.36785 transcript:KYUSt_chr2.36785 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNPLSCAGLLQVCNPVGTWEAKSRLTGREAAQAVQGSGTDGREGATAVYSWNLLALLPAAGPGHLRSRSMAESAGKRPPASPPASAGMATKLSIILLVTLSALFYQQFQPPPPKICGSLNGPPVTAPRTKLKDGRHLAYLESGVQKMKAKYKVIFVHGFYSCRYDALPISPEVAQELGIYLLSFDRPGYAESDPDPAPSEKSIALDIEELADNLKLGHKFHLIGFSMGGEIMWSCLKYIPHRLSGVAILGPVGNYWWSGLPSNVWRDAWYQQPPQDQWAVWVAHHLPWLTYWWNTQKLFPPSSVIARSPLLLSEEDVMVRKKLGLRTYTPTIRQQGDYNSLHRDMMVGFGKWDWSPLDLEDPFAGGKGKVHLWHGAEDRIVPVGLSRYISQRLPWIIYHELPKSGHLFPLDSEKADAIVKSLLLGDQ >cds.KYUSt_chr1.41277 pep primary_assembly:MPB_Lper_Kyuss_1697:1:253245414:253246106:-1 gene:KYUSg_chr1.41277 transcript:KYUSt_chr1.41277 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGELLALLALLSWTSSRAPSAAAAAAVRVAGSLLFSPRFVFVLGNAIVLLLLVLSKRERDPSPASSSHSVTGTSTAGVAVEVEQIPAASATASFPLYVAPTMPTPESEAPAAMEATWVPEVLPCREMATVFEEEIKPAAAAVPTARAVVSKARAPRRSRSEKMSGSRRAASPEQQLMMRRSELDNGRRRWSSASARDVAAGWAPGTEDAEEFQRKVEAFIARRGMMG >cds.KYUSt_chr2.3319 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19749947:19751394:1 gene:KYUSg_chr2.3319 transcript:KYUSt_chr2.3319 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHRLVGEGRRRCRLASPRKCGVDGAALHAGSLVQVDVVLAMADGAGLYASSLDVVVGGMCGGDGVALHAGSLGTDIVAALHGCGLHGAMLHAGSLVADVVVAPRGCGVDGAMLRAGSLVADVVLAPRGCGVDGAMLRAGSLVADVVAALHGCGVDGAMLHAGSLVADVVVAPCGCVDGAMLRAGSLVADVVVAAVCVLMVPRCTPAASSRTSSLRLTGVSMAPCYAPAASSRTSSSRLVCVLMVPRCTPAASSRTSSLRLTGGGDGAMLHAGSLVADVVVAPRGCVDGAMLRAGSLVADVVVAAVCVDGAALHAGSLLADVVAAPHGWWRWRRSARRQPRHGLVVVLMGEEDVAVLHAGSRVADVVVAPRGCHGVGAALHAGSLAQMSSPRLAGATEMAPHCTPAVLTSSSSPWRMVPGCTPAAPASLLSDASSERHRGAVCMCACPGEKERKGEGD >cds.KYUSt_chr4.14505 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89547793:89554147:-1 gene:KYUSg_chr4.14505 transcript:KYUSt_chr4.14505 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBM48 domain-containing protein, Compound granule formation and starch synthesi [Source: Projected from Oryza sativa (Os03g0686900)] MLPSCSLPALTLALALAHPPPAPAPRHRRRVFAAPPAAVYKAPPPRQPTRRRVVAAYKPPPRQPYRRRPAPAPRPSNVPPPGPGGQAAEEELEAAIFDFMRSSSKPGAFPTRAELLAAGRADLANAVQSSGGWLSLGWSSGDARPASSPGLGVHPDYPPLAPHAEAAAAPGREAGSGAGLEGMLTRLHRDRERARPPPRQSKNKVGAKGVNGAAEIHPSEGRSLPKRADLDTALVKDDVPGPSNGVATSDFPSEHVGSERDEIHARLQNLEVDLTDALKTLRSRFDSVLTDMSNGDGTNVLNGLSDDWEFEETKVMHAQEELRSIRAKIAVLEGKMALEIIERNKIIEEKQMRLDEVEKALSELRTVCIVWPNPASEVLLTGSFDGWTSQRRMERSERGIFSLNLRLYPGRYEVPPAVRGAQAIGLLDSTDSAPEKTLEAEDSEKKKIRIPNPAYGVWIVRDKQLVSYLVKSISPDLLGEVLGLEHAAEIWAAIAAKFAAQVKVRVGTLTAALINTKKRYLSATDYINKKKGFASELACS >cds.KYUSt_chr5.5330 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33417107:33417406:-1 gene:KYUSg_chr5.5330 transcript:KYUSt_chr5.5330 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSTLKLVDTGNMLDQSVLDALLVRLRSMYQASCSTQRNVVYADPLHFNTENIVTVEGMDMMVEFLHNALQVHKDKKYDKGWPDPPDEATVVTMITG >cds.KYUSt_chr5.8677 pep primary_assembly:MPB_Lper_Kyuss_1697:5:54952193:54953076:1 gene:KYUSg_chr5.8677 transcript:KYUSt_chr5.8677 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTFMALGLAAALLSAAGPAAAQNCGCQPGFCCSQFGFCGTTDPYCGKGCQSGPCTGSGSGSGSGGVGSIVSDAFFNAIKSKSSGGCAGQSFYTRAAFLNAAGSYSGFASGSSDAAKREIAAFFAHVTHETGHFCYIEEINGASQNYCDTSFSQWPCSSGAKYYGRGPLQLTWNYNYGAAGKSIGFDGLGSPQTVAQDPVVAFKTALWYWMTNVHGVLPRGFGATTRAINGAVECDGKNTAQMNARVGYYQDYCRQLGVDAGGSLTC >cds.KYUSt_chr7.1613 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9006479:9008472:-1 gene:KYUSg_chr7.1613 transcript:KYUSt_chr7.1613 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRIPRRRRGRSPASLPDDDDILREIFVRLPPTPSSLARASAVCRRWRGLLADPKFHRQLCARHRDPPLLGSFVPEDHGQRVVFAPMLDPPDRIPPRRFDLGRCGQNTDVLGCRHGRVLVKDRVPHAEVIVCDPITGDQRTVAYPPDFTRVSVNGAVLCAAAAADPGHVHGSCYSSPFKLVLVTMYRRHPNRLLACVYSSNTGLWGDLISLQSPSDIHGKPAVLVGNSLYWLSLINGSILEFDLGENNIAVMMGPPVTHTDRFINHQIIKAEDGAVGYTMLVYPSLQMWKRDVDAQGVTTWAPWKTIGMDTIPGLPPRTERRQRIQGYDEDTDVVLLHVNGTVYMVDLKSMQSTELSGPLIHIGAYHPLRCFYTPGKKTF >cds.KYUSt_chr5.16794 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108101909:108102835:1 gene:KYUSg_chr5.16794 transcript:KYUSt_chr5.16794 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWRLGHNILPVKMNLKHRGLDLETLCPMCHRLDEDCGHSFLKCKFSKAVWREANLESVRGMLLEYFLKINIDGSFCKETSTGGWGFCIRDFQGDVCGAGMGHLPHVHDALQAETMACLKAIEFAAELGIGRIIIETDATLLKAALQTTDLDLARHGVLFREAKFLLLTSFLDYKVLYCKRGCNSVAHVLANKGASLGAGGVMLWHEDVPEFVSTAVASDLAAID >cds.KYUSt_scaffold_1259.225 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1419297:1420845:-1 gene:KYUSg_scaffold_1259.225 transcript:KYUSt_scaffold_1259.225 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGRLRKPFTVEMLAVATRYIGLRDEAGQLWTANAIAAKRANGDLTYMVWLEEPGRRTLGTCLAAFRQQLPAPQSPEYAEACALRCAVSLAQDEGMLKAVFATDCLSLVQRLKSHQLDLSDVGMVVDDIKIMVKDFISASFVHKDTAEKARFSSAVRPKYAVFYVPEQPALKNQQLMLCDHTPIDAVRHAAPATPMPVLPLPKYDFMPHFKDSTAILNKLKWSN >cds.KYUSt_chr4.5660 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32853262:32857507:-1 gene:KYUSg_chr4.5660 transcript:KYUSt_chr4.5660 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLQKLGSIAKRSAGSGSSLLQAVRCMSSSKVFIGGISYGTDEQSLGEAFSSYGQVIEGMFLSSKVIMDRETGRSRGFGFVTYTSAEEAGAAITGMDGKDLQGRIVRVSYAHDRGSRAGGFGGGGGFGGGGGGYGGSGGGGYGGGGYSGGGGYGGGGYASGGGGGYNGGNYGPPQGGQGGYGDAGYTGGGGGYNAAPGNYGGDGLNQGGGAPAAAFGDGNYGADNDASAGKLDDLLSDLKFDVSGKEDVAVDAKTSDGQDDLLDDDFKAEDVAEDDYANKRS >cds.KYUSt_chr5.16664 pep primary_assembly:MPB_Lper_Kyuss_1697:5:107252185:107257619:-1 gene:KYUSg_chr5.16664 transcript:KYUSt_chr5.16664 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGCTALVPLRYRLGSKTPKIQSPSERDRSDESRLPSNAREVEAMRLDSAARNPLTAFSFEELRKVTNGFRQDSLIGGGGFGRVYKGAVGTGEPLQVAVKVHDGDNSFQGHREWLAEVIFLGHLSHPNLVKLVGYCCEDDHRVLVYEYMPLGSVESHLFSRVMAPLPWATRMKIALGAARGLAFLHEAEKPVIYRDFKTSNILLDYDFNSKLSDFGLAKDGPVGDMSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELVTGRKSLDKSRPVREQTLTDWALPMLTHKKKVLAIVDPRIGFDDYPVRSVQKAAMLAYHCLNRNPKARPLMRDIVASLEPLQQPPLVPDDHTPSAS >cds.KYUSt_chr3.30750 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192934590:192935279:1 gene:KYUSg_chr3.30750 transcript:KYUSt_chr3.30750 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWSSSPEIGHVRRFLVVFLFFVVLQWLETKAERGCTASISMNKAVWCYPLRWRRGAWKLPPAGRGGKERKCAGKSCSAAGRWRGWILLRLGKKISGSSSSAALLQRRPVVQLLSFMAVGQPLPPVSPVSWRALPNLQAIVPDRRPSSADAVYSRRSTPSGHVPGGGAVVCVWLLREDFGGEGARRRPGLDCFYVFLARVFSANFKGHIVFLFLFKVLLIKLALPTII >cds.KYUSt_chr3.45957 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289370160:289370930:1 gene:KYUSg_chr3.45957 transcript:KYUSt_chr3.45957 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTGLLRSSTNSPPPTTQPALAASAALTVSLHPPKSVDRHEDEEGGDGLFFFLGTAPAPAAVAGGGGRGGNGRARLAATALVGVVRRTLVPEPGFFFVGDLTTDRGVLGRHGAAEASFFFFLLKLLLGSSTTTSLSLQVRTLRYPADEAEEADEVVAVEMKELAAENEEKEELVDGVGAGELEASGSGCTATVLRLWLRVGEPPHKEMESSPLPARGVEGEDEEQGLHLLTQVPMVETNLSSLSNVHAIYIYMCS >cds.KYUSt_chr3.26690 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166389042:166389647:1 gene:KYUSg_chr3.26690 transcript:KYUSt_chr3.26690 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQNQRHPLLSNRTDGNNNLSPMQKAIGQTYKSTGHLAKLLPSGTVLAFQLLAPTLAKQGHCGDMNRMMAGGLVILCTLSCFVLSFTDSFRDEKGKVRYGFATFKGLWVIDGGATLDPQAAVEYKIKFLDFVHATVSAMIFVAIALFDQNVVSCFYPIPSEDTKQVLTTLPVAIGVIGSMLFVSFPTTRHGIGFPVSTQ >cds.KYUSt_chr5.33024 pep primary_assembly:MPB_Lper_Kyuss_1697:5:209383410:209387500:1 gene:KYUSg_chr5.33024 transcript:KYUSt_chr5.33024 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRRQASDAGGDLATQSPATGAPLRGLSASGALRCGPATSKESEEGPSALSSVVPADVAGCSSERSFGSRFWALQSDEEDDGSDDEELGASGDEESPVREGVRLASYLCRTPSPSQNADLFEDPAELNQRQMKRLRRRDVQRLATRAANFFSSSAEEFGGGSSHPSSYCRGGFNAGRGGFGGGRGNYGGRGEFGGGRGDFGGGRGDHGFGGGRGNYAAGRTSYGAGRGGYGNNRTDYGPRGRFAQGAGRDYAAYGTGRGQQGHGFGVGGGNRNFVQGESSGSAGSSYGENIENSRYGGNQSRWNNGRGGAMANQSRGQVSDGALRGGIDAVLLQQTVEAVVAAVTAATKPKEVVAEQPNMAVHSSEPAAGVTNDSVPQASGPAAIDKESDGQGASKKKKEEKAGCFRCKKPGHYIDDFPTPFCDICESIHHITSACHLLNAPKPTAILHGYANEGLMFFELACGVFKAKAENPKLAKVTVEGITLTIPEIIEQLKKIVPSEKFNWEVFHFKDNIYRVKLPSKLEVQRLKNFGTYICTDKEACLAFDSWSSVEEPLHMLPEVWVRVSGLPSDVRSDYLTLWGVGTLFGKTLDVDMAYTRKNKVLRTKIGCLDHRLIPAYSDMFIRRGFYKLHFEVELEDESHKVTMVEANNGSDGNNGDNQGEEKNGDAHDMDMDGRDKGIEGASKGNDQVGSNSNKGGDVMREQCDFLEDIQFGSVDVKCVSPDMLNRVATPGLVSTGIGALSWQPATGQDLPAAAPGMHGACGGPPAGRQFAAASAVASPRDTSGAADSLQAAGKLLAGSLQAVASGRAATEAGLSAPRRSTSPVAVKHTAPQKIQAVAVSQSELSAPREGNQVPMIGAMQDGLAVQHSSRVLGVPDGEFLANNLDDLNFGVSQLFDLDWSSDSVHDMNICDNVGVGSGGSLEQSKGRNTVDVLAMAKGDGLCAVTKEAMGVASSSVHVGKPLDSVVSCSVKEKSSVSLEVIDNSIDNSVVRPTTEEVIAFGGIPKPSLGVRSSARLVGQPDGDMIQMDKAMRIAQSRDASGVDEYINKEDDPSNLVMSKVSTLCSDLAEDDCIPLDLDDHLEHLDPVIKEKRSRVRKVYDTTNIRKSTRRRIKRQFS >cds.KYUSt_chr2.10555 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67109895:67118217:1 gene:KYUSg_chr2.10555 transcript:KYUSt_chr2.10555 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGNGRRAAALGGLAVLPDELLCAVVDLLEPTDIGRLACVSSVMYILCNEEPLWMSKYLSVGGHLEYKGSWKKTTLSRLSLCSENSENEQKARHFDGFNSLYLYRRWYRCFTNLSSYSFDTGHVERKDDLSLDQFRSQYDGNCPVVLGKLVENWPARTKWTMQQLVHDYGDVTFRISQRSPQKIIMKLKDYVSYMELQHDEDPLYIFDDKFGESTPALLEDYSVPHLFQEDLFDVLDYEQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWALYPPGRVPGGVTVHVSDEDGDVDIDTPTSLQWWLDIYPHLAEHEKPLECTQLPGETIYVPSGWWHCVLNLETTVAVTQNFVNQSNFEHVCLDMAPGHCHKGVCRAGLLAVPGKFIRDAENHPPGTMSRWNHSDMTRTEKRLKGSGPVRASNCVNQCSSFEFSDVHESLEDQVFSYDIGFLSQFLEKEKDHYTSVWSPTNPIGQREAREWLRRLWVLKPELRGLIWKGACLAINVDKWYACLEEISACHSLPPPSEDEKLPVGTGSNPVFIVSDNVIKIYAEGGLGYSAHGLGTELEFYELLRKVDSPLINHIPEIIASGFLVYEDGVYRTVPWDGKGMPDVLAKYYPLELSFANNCFPLGLWSKQQFGMDSSTDVSNKPIWPYMVTRKCRGDIFAHVRDTLSKTDIFNLASSLGVQMRNIHLLPLPCVESLPESADNNVKNSVPREWKQEISTLDRRKNNIKKHLANWGGTVPTVLIEKAEDYLPPDMTSLIKFVKDVDGEPVYTSPSWIHSDIMDDNILTERTPKMGSFTDAKSTGDRELEENAIHIIDFSDLTIGDPLFDLIPLHLDVFRGDIDLLREYLRSYQLPFLRGISNADISKSVQNSKFSKASYRAMCYCILHDDNVLAAIFGLWKELRTATSWEDVEHLVWDELNRYQQLPPTLSC >cds.KYUSt_chr3.5595 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31635760:31636588:-1 gene:KYUSg_chr3.5595 transcript:KYUSt_chr3.5595 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQCEMKDVQLTLEEKACLDEMIKQAERSLESHELLAGALKNQTVGPLSIVATKIFAGQVVRNFPNPLCNLDGFAMSGTYVTGVKAAVVYSAKNKDGVECGWLLAFSDTNNAVGGRIFAECGLKGKFRNINWAQVEQKLEKSGTIAKAYDLETGTSLYASICGPTGKSAAGAVFLG >cds.KYUSt_chr6.1072 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6823546:6826015:1 gene:KYUSg_chr6.1072 transcript:KYUSt_chr6.1072 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLINPTKKPLLHLPRPFSSSSSSNPPFPPPPPPHSDDPDASPRSPPPNSGEPPQRPSLFSDLRVPLRSSPAPPPPRRIPTTPPRPTGQPAASLDDIRRSLETFRAESRNPGGAPSTPPLFSSGGGGTPSFQDLLRSSGPPAARNPNADGAKPIDFTTLRESLRKIDPRQQQKQQPKEFLSATSNGIFAKERAGAEADDPDAAVMLYKNYTYEALGKELQELRPPGAGKDGKDWFSLQELQGRIAKLAAKDKDTRLGGQFDALKQSMRNIEKTDKQKAIRNMGGMFSIANLTGNTIPEYLSQPPQEELLERYFHPDHMSGEEKMKLELQKVRDEFKMSENDCGSARVQIAQLTLKIKHLSSVLHKKDKHSRKGLQDMVQRRKKYLKYLRRTDWDSYCMVLSKLGLRDIPEYKAPDYKKTQPTKAKSKKSKRKRKMKT >cds.KYUSt_chr2.42580 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265213672:265216908:1 gene:KYUSg_chr2.42580 transcript:KYUSt_chr2.42580 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSDVDIGAWHWTFGPFKVRIPQIRAFGWSWHWATVFGLDIKEEAERQKGEASKVEVLAWRLGDIRERTSSLVSSRSSSNQAFGRNPSDAGAGAVRPHPPVSLPPSLSTHGAAGLRRTFSSPQFSPSFRDSVLPLSSLAPPPCAGIERASAACARIPEVRRPSSGAGKYYLADAGYTNGPGFLTPFRSTRSMEEVPGKKFSRNYTQWTQEMDSALLDVFVEHHNNGDRAQNGWKSHVYRAAIKAVREKCGVDVTKEKIVSRLKTFDKHYEIVSKILSQSGFGWDWEKNVLQLESDEVWERYVEANEKAAPYKNKVIRNWNEICTIYSKDHATGLGARTGAESTDPEVIQPAVEANDTSPEAVGPSPKRPRTGEAIMCMLGSLKTSFDDAMKSTEPLQQPQVTPPSVMLATIEAVPDMSRTEQLRAYAKLTVSERLFHSLLELPLDARKEWLLMLP >cds.KYUSt_chr6.8830 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54256895:54258878:1 gene:KYUSg_chr6.8830 transcript:KYUSt_chr6.8830 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSLTAQQAAAASAAADTTPPPALRLSFPHPLQLFAHDGKLRHHAYSRKQKSLGLLCSNFVALYDRDDVDSIGLDDAARRLGVERRRIYDIVNVLESIGILVRKAKNRYSWIGFGGVPMALRELKERALSEKSGLAPLQLVEHSAANLSDDEDDDKLADPEGDTESEKLSQTVDNPSDKPDAPRCHLRSDHRKEKSLGLLTQNFVKLFLTMEVNTISLDEAAKLLLGEGHDESNMRTKVRRLYDIANVLSSLKLIEKTQVDTRKPAFRWLGMDGKSKAEYGVTVAVSPARKTLSNKRAFGTELTNIDINRSKLGSAIQKKAKLAQGGGDILNTYKVAVQGQLRQANKSGFVYGPFHPSCARKQEPDDCKNAGQKKGAQDWESLADSFQPQYQNQGGYL >cds.KYUSt_chr4.37553 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231429469:231430155:-1 gene:KYUSg_chr4.37553 transcript:KYUSt_chr4.37553 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQPSPPWSKAKHEEIAKNKADGEALTWEDLAKMKLTWRVAQETLRTVPPIFGNFRVALKDIEFDGYFISKGWQVLWTANVTHMDASIYHEPAKFDPSRFENQSVSAVPPCSFVAFGGGPRICPGIEFSRMETLVTMHYLVRHFTWKLCCQSDTFVRNLLPSPLHGLPIEIEHRTSA >cds.KYUSt_chr5.30217 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191579984:191581948:-1 gene:KYUSg_chr5.30217 transcript:KYUSt_chr5.30217 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAAAELERAERVVMRWDSTASASTGGGSGDDQMLFDGSGDRAEAERFLQAVDDLRRLAPPSPGNGTGSSPPRRSSSAGGGSSGAVQVAMARLEDEFRHVLATRAVDLEIEVLADLTSSLSMTSDRTSFSAESADREPAPPARGNDDDDENSNSRSSCVGRRSSYRSMTSIREIDLFPADAISDLAAIAARMAAAGYGRECVQVYASVRKPAVDSALRRLGVEKLTIGEVQRLAWGVVQPKIRTWIRAARAIVRGVFASERRLCFLVFHGLNLSNPTTTVTTHAPTTTTTPSAPFTETVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDAVSDLLPDVSDIFAASKAGDSICVQAAEIRTRLADAVRGILSEFENAVFRDLTKTPVPGGTLHPLTRYVMNYIILISDYRATLSQLILARPSASSRVAAEGNDLTPAFPDLDLADPDSLLPLATHLIWIIVLLEHNLEAKASLYKDVALYHLFLMNNVHYIVHKIKDSPELWGLIGDVYLKQLTGKFRLAATAYQRSAWLKILNCLRDEGLHVSGGFSSGISKSALRERFKSFNAAFEEAHRIQSGWHVPDKQLREELRISIAEKLLPAYRSFLGRFRHHIENGKHPELYIKYSVDDLEISVSDFFEGSPPPPNSRRRSHG >cds.KYUSt_chr5.39630 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250732907:250733722:1 gene:KYUSg_chr5.39630 transcript:KYUSt_chr5.39630 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEDFLDVLLRLREEGTAGLELTDDSIKSLVKDMIFAGTETTSITLEWAMAELIQNPRAMAKLQDEVARVSNGNPTTEEDDLQRMEYLKAVLKESLRLHPPAPLLVPHESTAAAVVQGYEIPAKTALFINAWAIGRDPAAWGDAAKEFQPERFLDGGGVASIDLRGNDYQLLYNIPGNGVTKIEESDVCIAFMHRKSGEFSRFKVKQIHDRLKFHLTLVELK >cds.KYUSt_chr7.6850 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41328552:41330211:1 gene:KYUSg_chr7.6850 transcript:KYUSt_chr7.6850 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPQYLRTSGSFKKLLFSLSHRVAPHKPSHLPDAKQEPPPRPDSPAWECFSYDEIHRATDGFRAGNLVGRGGSSEVYRGTLTDGRAVAVKRLLGAAACERRERDFLAELGTVGHARHPNVCPLLGCCVDRDLYLVFAFSARGSVSASLHGGEGEVAMGWGARYGVAVGTARGLAYLHKGCRRRIIHRDIKASNVLLTDDLQPQISDFGLAKWLPTEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLEVMAGRKPVDGTHRSLLSWARPLLNEGKTEALVDPRITAGGGGYDADQSRRLAFVASLCIRPSATWRPSMTEVLELLEGVEIPQDRWAMPEAAAGDEEGEEPWAFDDLNDDDDDSDDEFCTPSPSSASSSDEHLISVQEYIR >cds.KYUSt_chr2.727 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4376890:4377429:-1 gene:KYUSg_chr2.727 transcript:KYUSt_chr2.727 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWHIATEYCSIASSNEEADQMHHRQQEKVKLENYQQVTATLSKYCAYLMFFIPELLPGNSTDTTFVLHDMMLEGCRLLGKGKTSRDELLNIIANSESSSDNNIEHTIFVRGLKLGRDLEKEDVVLCWKVMAEFWAETIIYIAPSDNVTAHMERLAQGGEFLTHVWALLTHAGILKHV >cds.KYUSt_chr3.40560 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255898794:255900756:-1 gene:KYUSg_chr3.40560 transcript:KYUSt_chr3.40560 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPPELYHRILDVPKGTSPQELRAAYKGLAKKWHPDKHPPSSKLEAEARFKAITEAYEALMDQQENRAVFGVCNDGRAGETTGSFGAGAGACGAARMARTRSDDFCMRSAPATPAREFAKVYSTGNTGGRRAFAEFSSSIMRKAPPLERPLECTLEELCRGCKKQVKFTRDVVTKNGSIVKKEVAQMIMVKPGWRKGHKVTFEGMGDERPGCLPADAIFIVSEKKHSTFKRVGDDLVLKAKVPLVSALTGWSFSFRLLSGKKVTCSFEDEIICPGHEKVIKGEGMPIIGRLGARGDLRVKLEIVFPEKLTNEQLTGLAEILKDCT >cds.KYUSt_chr1.7334 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44920231:44924015:1 gene:KYUSg_chr1.7334 transcript:KYUSt_chr1.7334 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRGRGARLAAVLALLLHLAAVIHGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPGNEEATKKFAEISNAYEVLNDQEKKKIYDRYGEEGLKQFQGQGGRGGGGGMNMQDIFSSFFGGGGGGMEEEEERIIKGDDVIVELDASLEDLYMGGSLKIWREKNIIKPAPGKRQCNCRNEVYHRQIGPGMYQQMTEQVCDQCANVKYVRDGDFLTVDIEKGMQDGQEVSFFEEGEPKIDGEPGDLKFRIRTAPHDRFRREGNDLHATVTIPLLQALVGFEKTIKHLDDHLVQIGSKGVTKPKEVRKFKGEGMPLFQSNKKGDLYVTFEVLFPKTLTDDQKAKLKDVLA >cds.KYUSt_chr3.20213 pep primary_assembly:MPB_Lper_Kyuss_1697:3:124668790:124672967:-1 gene:KYUSg_chr3.20213 transcript:KYUSt_chr3.20213 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTATTAPSQPEGGDGAGEAVRPLLSGAPAIEEDEDLDVRYAPYARRDAYGPMGRGPLPAAQVARLMFAAAVLLPLRLIAGMLLVVAYYLVCRVFTLFADVGEEGRPRLHGWRREACLGAGRALSRAMLFVFGFYWIPVCDRSLPNAEDVPENQSEELERPGAIVSNHVSYVDILYHMSASSPSFVAKNSVSKLPLIGLISKCLRCIFVQRESKGSDSRGVSGDVTERVQEVSQYKNSPMVLLFPEGTTTNGDYLLPFKTGAFLAKAPVQPVILRYPYSRFSPAWDSMDGKRHVFFLLCQFANYMEVVRLPVYYPSEQEKEDPRIYANNVRKMLATEGNLVLSNLGLAEKRMYHAALNGNSPRALHQKDD >cds.KYUSt_chr4.18331 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115283108:115286641:1 gene:KYUSg_chr4.18331 transcript:KYUSt_chr4.18331 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGTRYFVAHQCECAVEKKAQIRQKAHQPRTPKVQIDHPLPHAGQMLRSLAAAARWPAAAARRRLIHLGKGVGGGEELESVAYRMSMLRAPPVVRKSAIISPNSCSLIGRLDAPVRPYRDSSEDEPRAYTFLSVTPSSSSSSSSYSFSVTLQLDGNLANVCLKHLKHNDLVYVSGFLNSYAKFSETGERDIYYKIHVKELNYVLDHNKKEVDDKDAGDPTSTSSASTEILEENKYKDRLRLWQVFFASPYEWWDNRQHKRYVTSPDFKHKDTHEKLWLQPNDPPWVRKQIELIDQQTAEIGHRDGRGRSTNHRWNAQDFDYSDDWQDDEHETRRQANG >cds.KYUSt_chr6.21908 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138215214:138215624:1 gene:KYUSg_chr6.21908 transcript:KYUSt_chr6.21908 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr1.20654 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121978224:121979673:-1 gene:KYUSg_chr1.20654 transcript:KYUSt_chr1.20654 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSAADFPDAPASPSSPATTLAHSRCESPALIFLSHVHSVPLINPLNITSAGRHFYLAVDRLQFKMRTLLELLGVLSDRHGALPISICVSSRDELDAVCAAVANLPFASSSPLYSDQAEAERASVLEKSRRAAIQRNQIENTDIAGSPKLESLVSKLSIAVVTDACLPSAAMGEAPLMARVLINYELPTKKEAYLRRISACLSADGIVINMVVGGEVALLKSLEETSGFVIAEMPIHVHMLLLTLTLHSVTLLVI >cds.KYUSt_chr1.33692 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204663025:204671669:1 gene:KYUSg_chr1.33692 transcript:KYUSt_chr1.33692 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHAHRPRPCLAVARAASADAATGAKSPASGGQMLVFVPPHPLIKHWVSVLRNEQTPCAVFRSAMAELGRLLVYEASRDWLPTITGEIQTPVAVSSVEFIDPREPVMVVPILRAGLALAENVSSVLPATKTYHLGLRRDEETLQPSIYLNNLPDKIPEGTRVLVVDPMLATGGTIVAAIDLLIERGVTSKQIKVISAVAAPPALTKLSNKFPGSGKQSNEAMANIVHLYQQDRHWSALEWTNSSVPYFCIAEVVRASPMAGNGGHRSRGFFHPSPVICPGREPTSHELSNDLDFQEGITSVQALLRQHPKRTRGVLATVDHLKRLCIDHYFQDEIDNVVNSCVDLIHSGNLQDAALSMRLMREAGYSVSADEVLQKFANGNDDFNLAHSKHIRGLLSLQDMSHLNVGEASLFKAREFSSKQLRIAIKYLEPNLARYVKHSLDHPYHVSLMQYKARHHLSYLQSLPTRNIAMEKLALAEFQINKLQHQWEMQEVKRWWMDLGLAQEIPAARDQLLKWYMWAMTVLESFSFSRYRIELTKIISLIYIVDDIFDLVATQEELFLYNEAIQMWDLGAADSLPSYMISCYKTIYTVTNDIADMVRKEHGLNPINHLKKAVCVLEYSSFLFSSLCLRHDLRETDVNHITSVVACPAKIMRLRDDMGSAKDEAQEGLDGSYKELYLRENPHGNAEEHMLKMIEDQWVELNRVCFSGTKSSLSPSFVGASLNFARMVGVMYDYDDKQRLPVLEDYTRMLLL >cds.KYUSt_chr2.13119 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83209950:83210657:-1 gene:KYUSg_chr2.13119 transcript:KYUSt_chr2.13119 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCGSDISQSQRRFLVGGGGGLTFFCPDEAWQGLVDLMSKNGCGSFAGLLAATASAAEIFHEHLLGGSGLTVFCPDDKAVAAFEPTFRSLAAGDRVAVLLYHGVASCYGGERFKGFNYVSVNTLAEDAATKKNQAMFVCDEGGALELWPAPPSYPNGAAWVTKTASEEAPLAVYVVDTVLLPSTVACVGYLGWLRCCIALFPDWIVPVCISCVAGSVVGALLGVLILEFLDPID >cds.KYUSt_chr3.45262 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285232652:285233281:-1 gene:KYUSg_chr3.45262 transcript:KYUSt_chr3.45262 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRPHSDRHLLTILSQGEVSGLQAKHRGRWIRVQPIRNAFVINFGLQMEIVTNGLLPSVEHRAVTNSSRARMSVASLITPKMDCRVGPAPAMLDEETNPPKFKDFVCSDFTEAYEAAAGNREAVLHFFRIHHTQKSRLRQSTCTDFMTSETPFVCSSLLLPTMMVNQHAC >cds.KYUSt_chr1.7422 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45500302:45501045:1 gene:KYUSg_chr1.7422 transcript:KYUSt_chr1.7422 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETRIRAGAPTRFNKFDGECGGVSSVHLRCTVTFEHASRRLRGGGAAVPDRVKPELQQLPWTSDEVRPLRDPSILLRPDDTLRAVRDMLAAMPRLRGVDLSPANWDGEHTPRVVAAWLQEQGSRWLGGARRPCRFEVEARLQVKQVFHEPRAVLQRCLEVAMQTVAPGSDEECGICLDEFRNGGKSGPVNLPCSHAFHRHCMLTWLDRGTNCPSCRYDLTGMVAAPWTSRTSIDTTARTRRRWRG >cds.KYUSt_chr1.34265 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208501314:208504064:1 gene:KYUSg_chr1.34265 transcript:KYUSt_chr1.34265 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSTATPARASSRKRKATTKVVADHEPDLDQDVAAGKRPRKGSSSSGAKKPPKKEKAPRKKEETTAAGKPDEDEVCGEETDEEELALGEDEDLAAAGDQEGAQEAGAAARKRIAKPTKAPPTSHLPTHLPVHLLSSHLPVSDEDPMRLHPDREPEGCAKINVDAALAKTRPGGAVGAVCRSAAGIYLGASSLTVEGITNPSVLEAMACREALALAQDLNLRRITVASDCLAVVQNLSRPFAGDYSAVLHEIKETSTLFERVLFRHENRASNTEAHRLARSVTTGNVGRQVWLLEPPDGLCINTYLYE >cds.KYUSt_chr1.8264 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50901035:50902098:1 gene:KYUSg_chr1.8264 transcript:KYUSt_chr1.8264 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVIPLKHSKTPKSRQKISKFKRMKERLQYKNVSQSVQEETIPLQTVPPSDEPPFDVPEYPLHDEEKSDEEDNVVEKKNNLFNRSSPMKVVRVCKGMTSKQRALISGADFSAILSMKCSKLIPELCRFLMEHFDPVACVLDFGERGKILVNVQSVVSVMAVPMGTHPVPYKQNIDATSSVLEMMGINNGRQPTLSEVEKQLERSYPADDAYLRKFIIFLMSSVFAPTTGIYVSPKCYPAVINIEAIRRLDWARFIIDILIKTANAKEKKTGLKLACHT >cds.KYUSt_chr5.1667 pep primary_assembly:MPB_Lper_Kyuss_1697:5:11383415:11384375:1 gene:KYUSg_chr5.1667 transcript:KYUSt_chr5.1667 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSVSKIVPRSASRTPASLRCSRPRAIKVVSDVCDPVDRNCVLALCPPPPRASPTPPGPPSCSSASHLQPVRPSSSPALLFVDLACTAKLCERRHLLDQDPPDVLYRARVLRVVPVPAPRQSLRHRSITDVHDHVRPQQPRALAVSLGLFVTNSIRLLEDVRGAPRHPLQTGQQSPSVSKPRHGQAQTAVDASGRLAPSAPVAPPSVGIACSRRQRAALLVQFDAVRDLAQLMGTTRQCLGLIFLR >cds.KYUSt_chr7.38065 pep primary_assembly:MPB_Lper_Kyuss_1697:7:237290593:237292937:-1 gene:KYUSg_chr7.38065 transcript:KYUSt_chr7.38065 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLPTHNQVPIPFDASFAGDTEASAAGFPTVLPLPLVDQATTHSISCMTEAEQFVPWSELRPELVGLVLKRLPSLADRVRMRAVCHPWRNGTMSQALPLPFPWLTLPDGTFLSIPGGEVHQIPVPDGSSCHGSIDSSLFLMSSDGAFSLLNPFSRTTFELPNLVTAWQREIDDHTTHLADVPVSYKLVAPTPLGSSPKSLAAALIIGSGYAENLCIIKPQVATYPFRLSADPYPLVDFAFFDGRLHMVSEFFKLFIVDLSENLENNPNISCAIDSVGDFLGAPQYLDPKGVYQLKQYLVESGGKLLMVQRFMSSSFRNTNVQTVGFKVLEADMRTNPGQWRMVSDLGGHALFLGKQSSKSLPAGEGCGSHEDCIYFICDYPCPESSANPLRDSGIYSMRNGTIRPLYSGTPAVPERQAGQWGLTWFFPPEAV >cds.KYUSt_contig_2724.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000465.1:93799:98452:-1 gene:KYUSg_contig_2724.12 transcript:KYUSt_contig_2724.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASRGLGFYHVDVPSADEMKWLNFDNCAIVNIRKGEIDAHDLEKNLNAIFCKHKKWPWQIREMTPKNFLVCFPPWINILELVDFPAFDLETEGVEQVEQDDDDEDPNEDHVVNEGFDELEDLNESNQYMDTGREPTQSTEIQGTVGNHRTCAVIPECWEDLELSDDRLVIHPGCKSWHGDELADEWGCDTPMSHKSLPSRMDCDKMDVDVWEFEPQSITKIDEEALYEFEKSTNTAYVSEVLSSFNLDDTDEDMSDCDSFDGKVDDEMECLPQELVDRLGSVRKNLFPILERNAIDSADQDLKKPEVAGHGKQTWGPIVSTSRMATRNQGHVNMIEKTKEYQKRKNLKIPPTFKERLQGHRRHRETPIRGTEVSVPAPCRDEEVPPEAISIDATASIMLRE >cds.KYUSt_chr5.43454 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273785679:273789158:1 gene:KYUSg_chr5.43454 transcript:KYUSt_chr5.43454 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEDAAAAPESWETADLDGAMSRLLLSSSSSTSARRVASSPDLADDDDHDTQALTATQASLARQDPASADDLVSQVDQFLREALEKPRERLSVLRMEQDILKFIHDPRQAQFEFQGLPTSYLRLAAHRLAQHYFLQSIAMPDPSLPDGTTSRIILRKTSAECRLPVIRLADIPVNLPQEETSTVATKVAIKQRPQKNHHGGAGAGANLSRGNLQKSVEERKEEYNKARARIFSTTSSGSDAPDGRPVEEVILPNTLHRSTSLELNSNTRYAEVSEATLERSLTSTSSSSRSNRSRIDKEPPLNRGRQGNNRVAIFRDRDSERKDPDYDRSYDRYMQRFDPSFGFNGGAYTIQPLYAPAVTYNTEFPQLGSPQMSPVAVEQPHPMAQHMPGPWSPAQSPNAIGYRPADGVMPPYSPGQPGAPVRLTNNSKLKLVYA >cds.KYUSt_chr2.3865 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23339357:23341471:1 gene:KYUSg_chr2.3865 transcript:KYUSt_chr2.3865 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPDTSGGGGGARHVRCPKCRSVLQEPAGVPVYQCGGCGASLRAKPRSADAQDATVSAAQSSESALPSPRLSRSGRLGSGDVASTSAPASTPDAPASARHQGAGAARRSETGGLTPGREHRVPDQTSSGRDLRSADQGEADGGSERHRARGAVSASAQAPPEGRDHRSAGRAGAEGGSGRHRARGAVSAPSPSASADFSRGTRDAAAESQNSERTREAHPEPVAGAAATKRGSAEAARPPSRDLGEASPVAVRAADSRSAPAAVSWERRDDAAAAVVAEEKAPSPPPRHAAPPQKMSPLHEKILKTVDELKDDLSELFSKSPERNPSTPPRTPRHRAQEGYASRPAIPTSRPRHAAAAAALHRRGNAGYAADKHGHAAPRGLPSRRYRRCRADTFSGHHARLDEPCRRHSCCDHGHGKPECGSCRGHCCGGSGRAREPARPEAKRRAPPPKQHCRPVLKGAPFIVCSSCFTLVQVPAGFAVASARVRDLRCGACSAVLSYSYRDPDRKKPAASPARHVGARPDLFSFIEDFAGGVSSYSTTEDERPLHVSRNSSFDTDVAAEEAAAAAARPQRQGNSLHRLMGYGSASELLLRRSPSLYEYGSFDKRSTPPSNASRRYDDRKGKAICLETDDDVAGDDDSDDGGALRRSNVRGTGWGHGRGVPAPGAIRIRS >cds.KYUSt_chr1.3147 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19047851:19049242:1 gene:KYUSg_chr1.3147 transcript:KYUSt_chr1.3147 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKHGAALLAGRKRLRPEHASGDWASLPTDMVCLVTDRLLHIGDVVDYVVFRAVCSAWRASTPAPRERDPALMDPSLRQRDWIALCDGDSDRPDDAGDLDLFHTRTARRVRVRVPDLADHRIVGFSEGLLVLMHRSNAGIRVLNPLTRVAVDFPSLASVYPEAIKYPCAFLGMRAAVCTAASSIAVVVWCPYMDPVLAAEADSDHWEILHRELPVSNTLVFQGRLYATTTFSSLDVVQLYPPTPKRTSLDDLPVTVARAPKIDGRPVGYNILLVESRGQMLLVTRHYFAATSANIGAYSPDKWWQQISFGVYEVNLNSNGNDAKLTPVSCLLDRALFLSSYGCLSISAIDLPSLRANSIYFSEGYHPVLMHSLTTGLSEELSLECQIHDTYKRIRPSVRPFTIIDHLLTFCHPEEWTKGLMFHEYHYIPESFRELRNKIRSRNLALFTDSFHSKQFISLV >cds.KYUSt_chr2.52731 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328980167:328980565:1 gene:KYUSg_chr2.52731 transcript:KYUSt_chr2.52731 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDPQTAHAAGVHALQGSPALIVLFAVAVVAVAAIAAFGCAEGAKKKKSSAKSVQYYGSHGYTATAAPKYSTPSAGKSTTQASNNDLVTGMALGAATTMMMSSAASACGGGGGGCGGGGGCGGGGGCGGC >cds.KYUSt_chr3.12495 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74628373:74629110:-1 gene:KYUSg_chr3.12495 transcript:KYUSt_chr3.12495 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKRVFEPLVTEPVCPAKKERIGGCRKKRSVLTVFDSVQPGAVLEEQGPVLEDVQIDAGLEDVQIDFVLEDVQTEAVFEDVQTESIFEDVQTEDLLDQVEIDDVLDQVQTEDALEHVVVG >cds.KYUSt_chr7.8953 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54424555:54429912:-1 gene:KYUSg_chr7.8953 transcript:KYUSt_chr7.8953 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPSRAGARPHPRRELARRDPGLTASYEAERHAVARDGGGMRRYLEIVALADTGVAAGIAADAHRSCYQGTSVLLLSPIGVAASATPAAASTTPPASPATPATTIVDGGATSACADQGRQSAEDGCARRTAALATPQRILRRCHDGSSGDATTGPPAMPRRRAIGGRVAGVGGESPWGKTLATLNVVSREPPKKWLPFQASFMLEKECELTKISVRTDKGFKEVHLPDISKAMFEHCGD >cds.KYUSt_chr4.16098 pep primary_assembly:MPB_Lper_Kyuss_1697:4:99791794:99795317:-1 gene:KYUSg_chr4.16098 transcript:KYUSt_chr4.16098 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQAGQCGNQIGAKFWEVICGEHGIDPTGQYTGTGTAPEQLERMDVYFNEAGGGRYVPRAVLVDLEPGTMDSLRSGPIGNIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENSDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPVGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEYDEEGEHTADEA >cds.KYUSt_chr1.28247 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170585786:170606210:1 gene:KYUSg_chr1.28247 transcript:KYUSt_chr1.28247 gene_biotype:protein_coding transcript_biotype:protein_coding CKSGIGRGRLLSSVLSGGGGHGGKGGSSFVNGSSADGGTTYGNADLPCELGSGNDTTGSSTAGVGITASEINSGVLHQLVVGSILVHVAGLHLLVAGGLLVLVAGGLLLALGGAPLGGGLRSMAGDAHLRGVLGSGDAALRRPSRVALRLSGSEDLHLVVEGQIHPILHHQFSKKGECNIRDQEGYQGVLVLEGVLEEGAFPTVAGAVACLPRGASRARDMCAHWQYSGGGGKRTHFMGTGFAASSGNDDSCDMVRYTGGSPPSGSHALAHLHGGASFHPLPFTCYATPDTRYATPDLGLTVHLQFLDSQELDKEKGLVMLGIMSLIGLGLMIVLLFRCTGELMSRGGRLSGRSCIDRRLVSTMSKWRSLAGDDDQRCHHTVHPPAQAFAPPRPREHPCPGLAAGQHKAVVVVVPEEWMGSKNGAEVKLQRNASCVLQAPTVEKKHLKFKRKANGLFYEHTLSLTEHLCVFQLESDALCLQESGNDTTGSSTAGVGITASEINSGVLHQLVVGSILVHVAGLHLLVAGGLLVLVAGGLLLALGGAPLGGGLRSMAGDAHLRGVLGSGDAALRRPSRVALRLSGSEDLHLVVEGQIHPILHHQFSKKGTKKGIKESLS >cds.KYUSt_chr3.19426 pep primary_assembly:MPB_Lper_Kyuss_1697:3:119497664:119501679:-1 gene:KYUSg_chr3.19426 transcript:KYUSt_chr3.19426 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRPLIDAASPHPPASQLPPPQPEPPVRTDPLGFSVELPDPFRPPLRDQPDPTASQPELLQQEDAAESRAVVVGEASAEFAGNAIRTAKYSALTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASALPLAFVLLATAVKDAYEDLRRHRSDRAENNRLAAVLAPPAAEFAPRKWKRLRVGDVVRVASSETLPADIVLLATSDPTGVAHVQTVNLDGETNLKTRYARQETQLKFSRDGGVGGILHCERPNRNIYGFQANLEIDGKRVSLGPSNIVLRGCELKNTAWAIGVVVYAGKDTKVMLNNSGPPSKRSRLETQLNRETVILSIMLIGMCTTASVLAGIWVLNHRGELEFTQFFREKDYTTGKNYNYYGIGMQIFITFLMAVIVYQVIIPISLYISMEMVRLGQAYFMGADLDLYDESSSSKFHCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASVHGVDYSSAKDTSGYSLVVDDLLFTPKMAVRTDPQLLKMLKNGGSDEEAKLVLDFFLALAACNTIVPLVLDTKDPRQKLIDYQGESPDEQALAYAAASYGIVLVERTSGYVMIDVLGDRQRFEILGLHEFDSDRKRMSVIIGCPDKTVKLYVKGADSSMFGITNKELDNVLATEAHLHKYSSLGLRTLVVGMRELSQPEFEDWQSAYENASTSVLGRGNLLRSVATNIECNIHILGATGIEDKLQDGVPEAIESLRQAGMKVWILTGDKQETAISIGYSCKLLTNDMRQIVINNSSKESCKKSLEEALATTKELRVASSVGTLNPESSGVILALIVDGNSLVYILETELQEEPQNVVLSYVVEWLLYKRQG >cds.KYUSt_chr6.28780 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182324847:182329101:1 gene:KYUSg_chr6.28780 transcript:KYUSt_chr6.28780 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVALQRAAARLLRGGAGAPRAALPALGGARSPVRGLQGREPAGFLGIWGGPAAGGGGSWWFRCAVSSVSRPGLLVEQLLVGGGRSFATGAVPEEVSFSPAAREADGSQPEKSVSTSDKDMLADRPLKLLSGSSYLPHPDKEETGGEDAHFIWDEQAIGIADGVGGWADLGIDAGQYARDIMSNALTAIEEEPKDSIDLTRVLEKAHSGTTVKGSSTACIIALTDQGIQAISIGDSGFLVIRDGCTLFKSPIQQHDFNTPYQLESGNSSDLPSAAQVFKVPVASGDVVVAGTDGLFDNLYNNDIAAVVVHATRAGLEPQVTAQKIAALARQRAKESDRQTPFSTAAQEAGHRYYGGKLDDITVVVSYITAFST >cds.KYUSt_chr3.46015 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289671326:289671619:-1 gene:KYUSg_chr3.46015 transcript:KYUSt_chr3.46015 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRRQLYVCMCLLLLAIAFPASIVLAEGECYKTAMMVPHWCSGEFIKALFANNMSGISERCCILLACVREWSCAGVLRNFCVPPEAHDCPPPPLP >cds.KYUSt_chr7.34097 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213033390:213033872:-1 gene:KYUSg_chr7.34097 transcript:KYUSt_chr7.34097 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQRLDKLYTDASRHCQLQDAAPLPAAGRVIAALDPGRIAAVRSKTCRRRCRRRSTRCRRRCWLQPAGRIAAAAVGCNLQDALPPPLIPDASPPPLSLPEEFPGRFRERFHGVGDDFSFPCGLEGIGVYWAGKFLTPGLTECINGDGLKFIPVGLDHGV >cds.KYUSt_chr7.478 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2590207:2593565:1 gene:KYUSg_chr7.478 transcript:KYUSt_chr7.478 gene_biotype:protein_coding transcript_biotype:protein_coding METPAALAGGATATATDASALSSSSQPGAAMSSSTTPLLAPAAPARKRTPGRWRRLPRVRTPAMEATRVAMQAVEVLGIVDFEERNRFYLKKAEELEAMDADDCDSEEAKATFLIARAEEVAFCRKIARTRPEDVVLRPIELSDTKEELVTAEEMEARFAGRKVSHVAQLQHFAQLALDHYNARKAEHNQFDLSQALTSNCFSEACGTTYAHVNFTAIPQKNQSDHPMKRLFFAELMLIPELLSCKDAEPMKVLQVSTINDVPCFGMVSVSESLAMYFEVTNVLPRGCHEIRRRIDHKMRGVMDYERCHACRDILKHPKGETFDGGHNSTRMPYFSAI >cds.KYUSt_chr4.28465 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178783608:178783811:1 gene:KYUSg_chr4.28465 transcript:KYUSt_chr4.28465 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPWSGLGSAQEKCFPPLERTNKDATIATIFIFLASTAGQVDVEDVKGTSLHLAVLIAEGLGKRSM >cds.KYUSt_chr5.8441 pep primary_assembly:MPB_Lper_Kyuss_1697:5:53405970:53411734:-1 gene:KYUSg_chr5.8441 transcript:KYUSt_chr5.8441 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAAATVAQERVLRNHGALSDVDVATRRAQEAANRRYDAASWLRRTVGIVCARDLPEEPSEEEFRLGLRNGIVLCNALNKVQPGAIPKVVEAPSDTGVPADGSALCAYQYFENLRNFLVNVQDLGLPTFEHSDLEKGGKGVRVVDCVLALRSFSESKTSGRQTPSKYGGISKPSIPGKYFILKNSDAFMNKLMRSHTAEPIQNGISPEQNLTTDCCIESCEMATSESIKMLVHTLLLDKKPEEVPLIVESLLSKVIQEYECRTANQHLVKCIGASKGTDPFSIADTLSQDQSSTSNRVKELRKNLSSVKSGMEELSLQYSEDFTKMGKHLQILSNAASGYHKVLEDNRKLYNQIQDLKGNIRVYCRVRPFLPGQENSSTSVAGMEERTITIITPTKYGKDGSKSFTFNKVFGPAATQEEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMVISFAKRTFHYFLGKGCLTVHVQGCDITSGAILRGCMHLVDLAGSERVDKSEVVGDRLKEALYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAVNETISTLKFAERVASVELGAAKANKEGGEIACLKAALAKKGGESENIRSTQSSPNIYKISRGNATPVFHKNRQPMEEVGNIEVPNNVTPMQKKLKFDLPGAGILAKNNSPNWIDNCNDLPKEKGSGGWVDKGAVGQNQFENGKSVPELEPNLTTHTMLPTFFYQRHTPGQQRCKVESVPSQDSDEFDGVASCSPDQEMVLSASGLKPVGFPSGGISNKKKHQTKNTNNMIMR >cds.KYUSt_chr2.23837 pep primary_assembly:MPB_Lper_Kyuss_1697:2:145399596:145402799:1 gene:KYUSg_chr2.23837 transcript:KYUSt_chr2.23837 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSLTSRGHLCGEDETKARETFLGVSRAFASSGVPERFPIGNHCIGPKAVAFYHLRYRIIVGHKRECGTDFAQDLLAQPRGIGQLLLGACREDCLLDGGHGHSASGQKDTRGVERDMNFQLEVQT >cds.KYUSt_chr7.38784 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241312982:241314685:-1 gene:KYUSg_chr7.38784 transcript:KYUSt_chr7.38784 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGALFALVLLAVAGAAAAEPERASEEASQLQVLPRPLVIELPTEEDAAAELRCASWRMAGEANNLAPWTALPDECAPHVRRYLAGPAYRSDLDLVAREASAYARAAAADAYNAWVFDVDETLLSNLPYYAQHGYGLELFDHLEFDRWVERGEAPAIPSSLTLYNEVRDLGFKTFLLTGRSEGHEGVTVDNLRKEGFHDWDKLILRAPADRKKTATDYKSEKRKEMEAEGYKILGNSGDQWSDLLGYSMSARSFKLPNPMYYIP >cds.KYUSt_chr1.9 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63456:71760:1 gene:KYUSg_chr1.9 transcript:KYUSt_chr1.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIAFVLGSGYLWNQLTPDAAKNVPIIGDVLSTAANFAKVVGKDGKDTPSSSSSTGDQLMSQVSSLREEIRRALREREDVTVIDNTSGSGAYTITAVVVAGLVGYAYIRWKVTKKHLAERIDRVDATLDETQQIIEGTRDEVAVIHVNLSSFQKELQQVNRTVEIWVDTQDRTVRATEALVGFSQQMEHGQSNNFRQISSFPAPDKIFRRLPPPPLALETSSSEAESVSTLDDTLENRKALPPSEGSTRWKLPGLGFLRTASNIGESMRHLGRNGRERMAGPGGRGRGRRGPGRPPGRGRGRRGGAARAPRSPSPASSSSSHEERCFEFLLRIDNDPLGIKRLPDKFAEFVDGHEPAHLQLREASCNFCRWSVEVLFDGQGKMYLHTGWDKFARDLHLEPGCQLTFLYEGDGEMIVKVFDDTACRVHYPHTGESGSDTDS >cds.KYUSt_chr1.32402 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196740789:196742024:1 gene:KYUSg_chr1.32402 transcript:KYUSt_chr1.32402 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRISTAEESARGASLSLAPPPLVSHLTIPRHAIGLEPFQDNTDRDPVNFFSSGAPDPKQGASSPRPPSTDRNFINVFGSGVLAASGDGLLLFGTYRNRANAWRMFEHQPPTPVPFEVLDQVFARSFYDPMRLARFVCNPITGEMVRLPDFDGTEDAFSAATGLLTQADGGGRGPPKRYAAAQLSLLDGGQRFLLRRLSSETGEWDELVLPSPLPPGRRMHMNHEVLDYGGRLWWVDVSWGAVCVDPFSDRPELCPVELPGDFRLPDQQGEEEMWQLVKHRRMGVSEGRLRFVEVRQEDPFQINSFTLDDQSGRWMLEHQVSWRTIHSAAKKTPFIAAIDPLSVDSLYLTVPVDKGFCISADLRRKILIDSMEFGSAIHPSKCESSFLLPCLLPSFLGSSPIPGDIFLSR >cds.KYUSt_chr1.27864 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168023384:168026959:1 gene:KYUSg_chr1.27864 transcript:KYUSt_chr1.27864 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHGACASRSSPAAAFFLAAAAICAQFATGLAADPSKDDDKAQSKGHTGQTVLFVLIGIGAAILLSFFLFKYWQKKKREEQHARLLKLFEEDDDIEMELGLRD >cds.KYUSt_chr5.20750 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134818351:134819553:-1 gene:KYUSg_chr5.20750 transcript:KYUSt_chr5.20750 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCSEISAPSPTTEHDVPDELLELVFLRLPSSLHLVRAACTCKRWRRIIAHAAFLRRFRSLRASPVVAGHYRVDKLVHFSPPPGCNPVFFLSPSSDTVDLRPQHFSLDFLPSRNGGSWDIADSRGGLLLVNQCPGNNVAFFQDLVVCEPMTRRCRVIPPPGELRRKLTCGAFLLDGDADEAGEPISLSNFRIIVALVYEGVALACVFSSGNNNGNDSGWMVQIPMDSLVTPHNSLQFAGQAAGSIYWSNDVHEIIALDKDTEKFSCSLFPEEAMYCRHTFVGCDGGKVRLACLDRGHLKVFIQAEDTDEWVLEKDVELQQLASQVHGQDDGELQVNMLKKIISVEEGSVLLCTEKGVGLASVDLSTMEFKRVVQDDDRYFWPTYMYQLPWPPTIKACLD >cds.KYUSt_chr5.34235 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217135552:217142709:-1 gene:KYUSg_chr5.34235 transcript:KYUSt_chr5.34235 gene_biotype:protein_coding transcript_biotype:protein_coding MRALTAAAAAAAVAAPTPARLLLVSARRAAPRFGESSIPPRPLVHLPNPVRFRLRFGCSYRRGAAPSPRVARASSASRLLRSSCYASTTMEDETSTSASAQVQESGAGSVKQQVSNLVALSLRATVPEVDVEPTVEVCTTKFGDYQCNNAMGLWSKVKGSGTSFKNPNAIGQAIAKNLPAGDVIESTSVAGPGFVNIVLSNSWVAKRIQDMLVNGIKTWAPILPVKRLVVDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVDVLRRNHVGDWGTQFGMLIEFLFEKFPEWEELGSQAIGDLQLFYKASKEKFDNDAEFKDRAQQAVVRLQGGEEKYRSAWKKICEISRNEFDQVYKLLDVQLEEKGESFYNPYIPQVLEGLSNQGFIKESEGARVIFIEGHKIPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFKAARMAGWLPDPNEKKFPKTSHVGFGLVLGADGKRFRTRSTEVVRLGDLLDEAKARSKSELKQRLTDNGKIVDWTDEELEETSKAVGYGAVKYADLKNNRLTNYTFSFDQMLSDKGNTAVYLQYAHARICSIIRKSNMDVEELKMNGKISLAHPDERVLGLYLIRFAEVVEDACTHLLPNVLCEYLYNLSEMFTRFYTNCQVVGSPEEPSRLLLCEATAVVMRQCFHLLGITPVYKL >cds.KYUSt_chr7.36066 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225405578:225406352:-1 gene:KYUSg_chr7.36066 transcript:KYUSt_chr7.36066 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGELKLLGLLVSPFVVRVRMALSMKGVSYEYIEQDVFNKSELLIRYNPVHQKVPVLIHHGKPICESLAIVEYVDEVWGGGAAPSILPADPYERAIARFWAAYIDDKLFPAWMGVLKAATEEEKTEKVSQTLAVLVHLEEAFAKCSNGKAFFGGDTVGYLDLALGGNLFWFKTLREMFDVELIDEGRTPLLASWAKRFGEAAAVKDVVPNVDKAVEHAKKMRRVFVH >cds.KYUSt_chr1.15563 pep primary_assembly:MPB_Lper_Kyuss_1697:1:90495086:90496984:1 gene:KYUSg_chr1.15563 transcript:KYUSt_chr1.15563 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGGIVKEELLEQQPQPQDGAPRPMEGLHEAGPPPFLTKTYDLVEDPSTDQVLSWSRAGNSFVVWDPHLFAEVLLPRLFKHSNFSSFVRQLNTYLDGQEYWKKYRNQIGFRKVDPDRWEFANEGFLRGQRHLLKMIKRRKPPSNVPPSQQQQAITSCLEVGEFGFEEEIDRLKRDKNILITEVVKLRHEQQATKDHVQAMEERLRAAEQKQAHMMGFLARAMRNPRFFQHLVQQQDKKKELEDAISKKRRRPIDNVPFCGLGVTSQSEQHDSELLFDSGVLGELSQPGMPRLENFAQNIQELGKGKRDEEKMDQANGQVELNNEFWAELFSNDFGDDSGLSELEGRRPEDIDELAQQLGYLSSTSPQ >cds.KYUSt_chr6.30393 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192503167:192509803:1 gene:KYUSg_chr6.30393 transcript:KYUSt_chr6.30393 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLRLLSAAALPSPAPASASLRRARLLPPAPAPPLPSTRLLAQPRRLGLSPRAGVRCRCVAVAGAGDGAELEVREEEAVVAPDAGIWEQVRDVVVFAGPALGLWICGPLMSLIDTMVIGQTSSLQLAALGPGTVFCDYLCYIFMFLSVATSNMVATSLANKDEELAQHQVSMLLFLALAFGIGMFLFTKIFGVQVLTAFTGSKNYEIIAAANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGVGDIFLCSVCGYGIAGAAWATMISQIVAAYMMMQNLNNRGFRAFSFTIPSTRELLQIFELAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQVMVNILCMCTVWGEPLSQTAQSFMPEMIYGAKRNLMKARMLLKSLVMIGAIAGVTVGTVGSIVPWLFPSLFTNDQMVVQQMHKVLIPYFTALLVTPSVHCLEGTLLAGRDLRYLSQSMGACFCIGTVLLLVARDKFASLQICWWILVFFQWSRFGSGLQRLVSRNGMLYNENFNQPDYAKVKAT >cds.KYUSt_chr4.35095 pep primary_assembly:MPB_Lper_Kyuss_1697:4:215615739:215616185:-1 gene:KYUSg_chr4.35095 transcript:KYUSt_chr4.35095 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVYHVQKHLMREMQTSRLTQAHAGTKPKLDVWRNEKATDCHKFYGFSESCTPASEEECNLELTLATGSHSSRNSSRSQKGKQVMKSADSDSGTAVSTTSTESELAQFKEFDTAAARFQSDIKRFTIADEKNRAPWPNQPASLRMAW >cds.KYUSt_chr2.46846 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292878952:292890010:1 gene:KYUSg_chr2.46846 transcript:KYUSt_chr2.46846 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKKAKAAPAAAAPPPAVCEALLLATVCMVGLPVEVQVRDGSAYAGVFHAASLDAGYGVVLKKARKIVNGKDDANLSLGAFVDTLVVCPDDLVQVIAKDFSLATKDVAKSTACGVMAASLEPQTSHLKDPKASGSVNVSPSKQVEKCSRQCQNSDISIGKIAPSINSSSSDLKTSEPVNNSSTKVVLSSKSTTKIMGHNVGRLDPARLGTPYHPLQTGSTYISPSPQPVLDGKFSPVVYVHPVPQICSMKRSVEIKILEPVNRIGTDPPEVAGSSSGHQQVQPTSHIAMKQKAAACRGHPPKRKKNKVVPQKTGDAGVQKETATKQKEKEVKKKRIAQMIAILKMDLGGLLNIGACTMPIDLSQWVMKCYNHEKSELVIPNRVKIPVDAESVSRVWGLPNSGLKVCYEMKTDIIKAINEEYGFPGTNAPEKTAWCKMIKDMEGAADDRFLRAWAIVAFDCFLAPTTGLKVSPRCYPAVNDIKLLPRTNICQFVADQIRVAFSALEDKKSVCCCVFHLVDNSLISKVKKKDRISPGVFGKLQKKRKIGALLYGLCSEFEESMAKFVQGIGNLEQRTAAGSTTRANVVPKEKPQRPRKRQRTSHEEEAALQDDSDDSANSDDDEADNLVLNDDDSDDDRRQATKSIKQASSLPSAAINLPIKATRTAFICNFPKFHDHCNYIVCKEEDTEDDSENDNNDDNRADAEDDGEQRDDADEEEVEGNGDDDEEEEKEEEEQGDDDEFDGKGGDDGDDDSDGDGGNNAATGFQGGQNDDMYDDEASDDSLTTAQYYLNTRSKRGSTCTGDKRKKKDDADVLPTATMEDASVPD >cds.KYUSt_chr4.8284 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49555267:49557027:1 gene:KYUSg_chr4.8284 transcript:KYUSt_chr4.8284 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSLSPSSSSWAPSPAAVMALVALLGLGLAGYIVGPPLYWHVAEALGRSTGACPACLCDCDALPLLSLPEDCAKQFKGVSGRASAEETEKSFTEQLIEELKQREEEATEAQQEADVKLLEAKKLASQYQKEADKCSSGMNTCEEAREKSADSLLGQKKLTALWEERARELGWKPGNVKTHQNL >cds.KYUSt_chr1.39088 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238910184:238915730:1 gene:KYUSg_chr1.39088 transcript:KYUSt_chr1.39088 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRGARSRSKAVAIILPLGLCLGGIRRLRPYLLSKLPDWLEAADIEGVEVMTTGMMVCNSEKKENAMPSSKRQKAVVPGCRPSVAEDIVTEVLLRLPIKSVVRFRAVCRSWATLLSSEEFCRLHRLINKAVGVPLKLMYFSPTQRFDTTAAYSCSLSPGPRDNQLLFTLDYAHGSWVEVLTPAPCHGLNLLYDALARAYYICNAATRTVVRLPPSGDAAAHRMSTGLGFDARTREYKVVRLINGIRLSHEHDTIRCEVYVPGGSHGDCWRPAARGLPFGLRRYAISAADDKRLSPVFANGFLHWLIQPYHEFERIRGAILYFSVTEETFRCVRSPPVPASKFGQKPLFIAQSGFYMHWSPQTPAGHLVEMDSQLCLVRDLRNDPYHSTVQIWRLLDYSSGDWSLDHQIDLSGYIMRRELREPQSVRVIGSIDNGRSGKKIVITTCKHKVHEKFEKKVHTYDLSTKDLYTILSVTETSKSRYGSLPYNPPASGFSLFEDCLAPLHKTDEELELSPATVKAVKEILIRLPAKSVIQSKLICKQWLRLINSESFIKSYTEHRNIAEGQS >cds.KYUSt_chr7.19465 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120733663:120735117:1 gene:KYUSg_chr7.19465 transcript:KYUSt_chr7.19465 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCPRHGSGGSDDLAPTPKSDRTMTAFDLASLWVGLVVGVPAYYLAGSLVDLGMSAAQGVATVALANLVVLATLVLTAAPAATHGLPFPVLARAAFGVRGAHVPAVARALIGCGWFGIESWIGGRAIFLLLPARLKACAPLLAPVPGLGGASALEIACLLAFTAAQLAVIMRGMDAIRDLEKYAAPVLVALTSLLLSWAYVSAGGFGTVLSLPPRLAGHEFWKIFFPALTANISFWSTVAISIPDFARYARSQADQVLGQVGLPLFMGLFTFAGLAITSSTEAIFGRVVSDPIELLGLIGGPATKILAIFGISLAIITTNIAANVVAPANTLVALAPRTFTFATGALVTAMIGIAFQPWRMLSSSESFVFTWLLGNAALMGPIGGVLLADHYVVRRTALDVDALYSEEAGSPYYFQGGFNAAAMVAMAAGFAAVVPGFLHKVGLLPSVSKALVLAYDNAWFASFFVAGAVYCLLCRRREGAPF >cds.KYUSt_contig_6503.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001320.1:60321:63730:1 gene:KYUSg_contig_6503.11 transcript:KYUSt_contig_6503.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVGERLRAFSTNRWLVFVAAMWLQSMAGIGYLFGAISPVVKDALGYNQRQVAALGIAKDLGDCVGFLAGSLSAMLPPWGMLLIGAVQNFIGYGWLWLIVTKQAPALPLSMMCVLIFFGTNGETYFNTTSLVTCIQNFPKSRGPTVGILKGFAGLSSAIITQLYAVMHTPDSATLVFMVAVGPSLVALGLMFVIRPVGGHRQVRASDNNSFMFIYTICLLLASYLVGVMLVQDFLELSDDVATSLTVILFILLILPIAIPVTLTFFSKNEYPCSVEEALLCESPKGQASTSEERDDQPELILSEVEEEKPKDMDLLSPSERRKKIAKLQAKLVQAAARGGVRIRQRPHRGDNFTLMQALVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQAAGYIDVHNFVSLTSIWNFLGRVGGGYFSEIIVRDHTYPRHIALALAQILMAAGHFLFAMAWPGTMYMGTFLVGLAYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGLIASTFYDYEAEKQAQMIPGMGSLAEEGPLKCEGAVCFFVSSLIMSAFCVVGAGLSLVIVYRTKSVYRRLYRSVRR >cds.KYUSt_chr1.20123 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118576016:118579699:1 gene:KYUSg_chr1.20123 transcript:KYUSt_chr1.20123 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRSHRPPCRLPLLHRITMSSSSSTTPPPASSAAADYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFSPSSLIALPNPPPPLSPVPYPALFHSPPPPPRPLSLDSLSDLLFHSLALSAWKSAGASTWSLRVNPSSGNLHPTEAHLLFHHPEQEPRRLVLAHYAPRDHLLEIRTTGPTPNPSALLPPPATALLALSSIFWREAWKYGERALRYCNHDVGHALAAVAVAAATLGWDARLLDGLSDQDLGRLVGVDKGCPAPPPDNDVVTSRGKAPWVERHHPDCALLLFPVGSEPEVDYARISDALRGFDGLEWAGKANGLSKNHVVWDVIYRTAEAVKKHHPEPGEQFSVNPWRKSAELSEGLYKERTVQEVVRQRRSAVDMDGTHVMSKEQFYQMLLHCLPSGEVGPGERQGPQSALPFRVLPWDAEVHAALFVHRVSGLPKGLYFLVRNEDHFDTLRHAMRQDFEWARPEGCPDGLPLYRLLKGDCERLAMQISCLQDIASHGCFSLGMIARFEAVLRDKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEDGASHGCFSLGIIARFEAVLPDKGECMYPCLFWETGVLGQVLYLEAHAVGISTTWIGYYFNDVGKLMQKF >cds.KYUSt_contig_2008.29 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:248609:252161:1 gene:KYUSg_contig_2008.29 transcript:KYUSt_contig_2008.29 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRKGGDGGVSRRWAVLLCLGSFCLGLLFTNRMWTLPEATEIARPNQNDEEGNNVAAGDCGSKKVQELQNYKDVLQVQDAHQDVQTLDKTIASLETELSAARTLQESLLNGSPVAEEFKVSESIVKRKYRMVIGINTAFSSRKRRDSIRYTWMPRGEQRKKLEEEKGIIIRFVIGHSAISGGIIDRAIEAEDRKHGDFMKIDHVEGYLALSGKTKTYFSTAVSLWDADFYVKVDDDVHVNIATLGHILSKHAWKPRVYIGCMKSGPVLSEKGVRYYEPEHWKFGEPGNKYFRHATGQLYAISKDLATYISINKHVLHQYINEDVSLGSWFLGLDAEHIDERRLCCGTPPDCEWKAQAGNICAASFDWKCSGICNSEGRIWEVHNKCAEGDKALWNSTF >cds.KYUSt_chr1.39108 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239044157:239044591:1 gene:KYUSg_chr1.39108 transcript:KYUSt_chr1.39108 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTLTDGAMEEVDRSEIRRERTSPQAPGIPRERPPANVKRTAEPREGDAYDDAVLDYDSDTFEYLQKHGAGAYKPVQFVYAGQFSEPSDEEVEREWELAMAESRRWASAKGPPLQINSRPRFAGLGSVEEFQPYRQATSADE >cds.KYUSt_chr2.27607 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169650185:169656086:1 gene:KYUSg_chr2.27607 transcript:KYUSt_chr2.27607 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETRGEVAALEDEMRSTLAAGSSRPVGAAGPARRRCAANTYEAETLTLAAGMLQVDRLTGIKPLGPPHREEGACRPWGRKEPAGRGEEDLVGLTGPGAAALGYGAAASRPIQGGCSFRSCSDELDSVVLWLIDLLESGGFGSLEELVDCNFAGLEAPRLQLALRLWCLS >cds.KYUSt_contig_1790.91 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:556787:558161:-1 gene:KYUSg_contig_1790.91 transcript:KYUSt_contig_1790.91 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCTMVKAVVSTPPHPLAGEMVPPTLFDRATFDLLVPLVFVYPAPTPSNEALKVGLSRAMAAYPHLAGRLAVDKRGRRCIHVNNMGALVIEDAMAVDLDSVVLVDAGVVADLGALYPILLTPEETTGAAVLQIQLTRYRCGGLVIGFAFHHKIMDGTALDGFLATWAMAVRQGRDFTAPSPFLDRGILTAVNPTTPVFDHGSIEFKGPTDAPARTCNRKTIKLSFTSEFMAELKARVGAPCTTFQCMLAHMWKKTTQDRGVKPDEFTQVRVAVNCRGRATPPVPSDLFGNMVLWAFPKLQARDVLSSSYGSVVCAIRDAVQGVDGDYIRSFLDFGAMADAEGQELTATAPATGTMCCPNLEVDSWLRFGYHKVDFGGGPPSPFLFPDIPAEGIMVLWPSLLDKGGVDVMIALAEEHVAPFQQICYLLL >cds.KYUSt_chr6.21016 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132614036:132616478:1 gene:KYUSg_chr6.21016 transcript:KYUSt_chr6.21016 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGTDENEPEDRPPARTPTTTPPSSPSPPLHGAGLGRRLFGSIRALLPARSPVAAPNLGLGLLLHLRSDHPLLHPIPSPSASASASEEDALELEEDAAAAAAAGLHVTANGRYGLGDGAATSAPANTTCSRRALHLPEPAADAGGELQEEKLVGGKEKVVEQRGAADRQGALVKDVDAAGFDLVECNADPLRAARDAIHIHQKDGTTSCDIACADDQEKLGPAKTKNAHAVEDQEALLPDVIVRTSECTDTTAAEDQDRAVQQCHTCVLGTTKKDGHAEDDQEAVEQGVIHKEGSTMTMNAVKNHQVVEQIVMAGMHATLHDTAVQDQHKGVDATYDLGAVENGGTAEDQEVVEQGVVGKEGFTMDDDSTQDQAVVDQCIVHKGGLTMDDISVEGQAKLLHSVNEHNKVEKNQGVIDENGRPKDDINVEEHDYLKEQTIDANWGTFSDSTHSEDQKNKAWLYNGDEQIARKDMYAVHVKRSFLDQRAGDKQGAKKSDFTVQKHKDAVWRVCNERSAPDDDLVMDKAACLSIGAISSSEVVSSTANGSDVGIGKKEKLKAKSDGTRCPSEQGNHGVAEILELNSIGLPIREV >cds.KYUSt_chr2.36957 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228575479:228576192:1 gene:KYUSg_chr2.36957 transcript:KYUSt_chr2.36957 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYRPRRSPATERFVGLFSSPSSSPTEPSFIAGDEFHEDDFMFSSSDAAAPDAPPDDSPTARVPHAHLGLLAALHDGDKRLLLRRGAGAPSEAIPAALLRRKATIAAAAASASSGGGSLSPTQTPASAAWAIPANPRPRSRAPAPQYHQSAPVKVPVRPPRKPAMDRWDEADDDDDQLRRGDAAMLPPHEMVARASAGGAGPVAPFSMLEGAGRTLKGRDLRRVRDAVLRQTGWLD >cds.KYUSt_scaffold_6468.251 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1202028:1207664:1 gene:KYUSg_scaffold_6468.251 transcript:KYUSt_scaffold_6468.251 gene_biotype:protein_coding transcript_biotype:protein_coding MGVALFATVLLLLAPLAATSAYESETKTKTDEQLLERFKAAVRNKRELGGWTRGDSACQFPGAGCVGSSLSSLSLAGIPLDVDFRAVAGTLLRLGSVQTVSLRGANVTGSLADASSGRWRCGHTLAQLDLSGNGALRGHVADAAVLAGACRGLRELNLSGNALVGEGRKGDGGAAGFAGLDVLDLSYNMIAGDLGWIASAVGIRQLGLAANKISGSIPSLSNCSRMESLDLSGNDISGELAPGVLSGCSSLVSLDLSENHLTGACPPDILGLASLSYLNLSFNNFSGALPAGDALAAGLPRLATLSLSFNYFDGSMPDSIGALAELTTLDLSSNALTGVIPPSLCPSTGTSKLEVLYLQNNYLTGGIPPAISNCANLQSLDLALNYINGSIPTSLGDLRLLWDLILWENELEGEIPASLAGARGLQNLILDYNGLTGGIPPELVNCQDLIWLSLGSNKLSGPVPAWLGRLDNLVNLKLNNNSFSGPIPRELGDCQRLVHLDLNDNQLNGPIPTELARRSGKIPMVLDTGRTSTYLRNQEPRSGECRGKGNLLQTNGVRSDDLNRMASKKLCNFTVYYFTVLYYLSSRDFAFTPNISMVYLDLSFNQLDSEIPKELGNMNYLIVLNLGNNLLSGAIPDELGDAQKLLGLDLSHNQLEGPIPGSFSTLALEDIDLSYNKLNGSVPVLGPLVTFPESQYVNNSGLCGIPLPPCQPISPLQGGYHSGYNYHHLKMTILLAGTTVALGVIVFCLYLGIVNKTEKGEVRASVDDPTDPAGNQFISHLELVRATDNFNEDYKLGSGGFGEVFKGQLSSGLVVAVKVLDMRFKHTTRSFDAECRVLRMARHRNLIQIISTCSNMDFRALVLRYMPNSSLDTLLHHSQLIERQFGLGERLGVMLDVSMALEYLHHGCHEVVLHCDMKPSNVLFDEDMIAHVADFGIARLLQGNDSSTIASNMPGSIGYMSPEYGSYGKASRKSDVFSYGIMLLEVFTGRKPEDAMFVGDLTLRRWVQQLFPAELIHAVDTRLLHGSSSWYELHDSFLVPTIETGLLCTEDSPNDRIKMSDVVPRLTKIQMEYTKWATSHNDLCKTGDCARSLSCTLSGQPPATLAEFTLGSQDFYDIFVIDGFNIGMDDFSCSTGVPLQCRNSNCPDAYHHPNDVKTKSCSGNRSYRIVFCP >cds.KYUSt_chr3.45851 pep primary_assembly:MPB_Lper_Kyuss_1697:3:288710564:288713374:1 gene:KYUSg_chr3.45851 transcript:KYUSt_chr3.45851 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLLEKEENIGKLDSTIKNLEARKQEIQIRLMNSERKQETCNPEVVEWLEKVAAIESEVIEMKHGHRKRRAQSFSYWSDYETGMQAAKKLKEAERLHEKGSFKQVSIEIPPCFVQEMPTVHPTQGTDCNLTRVLQYLKDDRVGIVGLWGMGGVGKTTLLRKINNHFLDVIEEAYGYDLVIYAIASKACGIGQLQADISEKIGLFQKPGSSIETRASIMLSFLRRKKFLLLLDDLWNYLDLAEVGIPYPNGLNKQKVILATRYESVCGHMEAHRVVFLECLDPEKAWNLFKEKAKEGVINSDPRIEKLAYEVAEECGGLPLALVTIGRAMSTKKTCHEWALALSFLKKSRIHEIPNMGNVSNIYTRLKISYDYLQDKQIKECFLCCSLWPEDYSIWKVELIDCWIGMGLIEYDTMEEAYDKGYSIIEYLKNACLLETGYLEDEVRVHDIIRDMSLWISSDCTEGHMKCTVQAGVGLHNISNRDIETFRSASKISLMCNYITQFPQAMNCPNLQFLSLQQNFRLKVIPPSFLKSILSVTYLDFSWVPIRELPEEIGTLVELQYLKLMQTHIKLLPRAIGKLRKLRFLDLSYMDFLEKIPYGVLTNLSMLKVLNLYGSRYAGHEADLDSGNHMDYDEFRIEELSFLTRELKSLGITTKKVTTLQRHFDIPGIHLRCLGLYELDGERSLTLTLPESIFVLNVMGCFDLKDFGITNKPQYYGERLPRLEFLTFWDLPGLEKISLDHLQNLRVLTVGRTNHLVDLSCILNLPYLEHLNVSCCDNMRQLVDIHNSIEPEVRYEIPVHEFRQLKILQLNSLQSLEKICNSKLDFPSLEYIDIFGCPKMKKLYFWKMAELKRIRGEKTWWDNLEWDNESSSLSLFPFFKASETCSASLRPELDTTLISSSPKAFFTKRQPILNSSVRFTSYPHAIFETEEFEGR >cds.KYUSt_chr4.16209 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100467151:100470514:-1 gene:KYUSg_chr4.16209 transcript:KYUSt_chr4.16209 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSGGGPLSPQAAAALQEGIGLVFGRWTALQMAVENQWGGRDSRAKADQLAASIFSWFANSKGMRLLLLFNILVIREIASYMTQVPGVSGPFYYDDLGDMMFDSMSDSFNTEVEDGSVGEVAKKLLEMHEECLQNNFSSIEKLRNTRPQGNAVSQSRQIATEDDDSSDDDDEPSMVENEAARSEDMAVDEPKPSKPTPDADGWTTVPPRHGRGKN >cds.KYUSt_chr2.48803 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305330767:305332693:1 gene:KYUSg_chr2.48803 transcript:KYUSt_chr2.48803 gene_biotype:protein_coding transcript_biotype:protein_coding MWDRAQGKLDVLRICEKVFEELAVMNTAKNTKLLDISSLHVATLMVYNSINKQLVGPHKDPPCMQVISYKMESYRAVKPEGITQQEFRELIMEWVKKDLRLVLANKAAVAILAAPLLAVSAKNAGRQVPRMRDTVDKVPTPLLFVVFSAGLMLLQDVRAGKQRRNIMKAGLVDWLKEVRVAVMLRDLLGIQGSAAVACMWGGSTGEAQNLTSQGENPSSGLNWLGLAMNLLKALF >cds.KYUSt_chr4.9658 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58310741:58312539:1 gene:KYUSg_chr4.9658 transcript:KYUSt_chr4.9658 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAARLFFLLAVAAALAGRSAAALWLRNGGRAEGGWCLCRTDLYDVMLQKTLDYACGGGADCRPILQNGACFAPNTVKAHCSYAVNSYYQRSGQNPQACLFSGTTFVSNNDPSANGCVFPATQGAAGTNGTTVGVYSPPAFGQGPSANDMSGGTKIFPVAGTVKRAVILACCWLLALYLSA >cds.KYUSt_chr4.23265 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146562492:146564245:1 gene:KYUSg_chr4.23265 transcript:KYUSt_chr4.23265 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMLSPVPIKSKMAAGFRRLRIDPDEANGLFRRATAAAIATLGLLAPIFAVANHFLNGCENFFTRFFFPTPPVDHASRLPDALLGNIVSRLPVKDAARTAALSRRWRGVWRSTPLVLTSADLLPATSAVSSVLAAHPGPFRCVHLTSARMPEFHGLLQILATKGAQELLLVNGRWPHVLVLPSTFLRMATLTRLYLGLWKFPDTAAVPRASFFPNLLELGLCSVAMENRDLDFILDRSPVLETLCVQGDMLRLRLCLVSQSLRCVQIIGSFEEIFVVYAPHLERLIHSQGQDWPFPQLHLLGYLELDAGKHMIEVRNTIIKAGTRVSPRTMVPSLRILAMEVRFDIRNDAKMIPSVLRCFPKVETLHIKSGKTHQSTGKLNLKFWHESGSTIECIHSCIKRLVFRDFQGGRSELAFLKYFFESALVLQEVVILLAAGLASMEEVRSKVESLVPMRRASGAFSLLFNVSSDPQEDYIQSFKRGSDFSLRNPFANY >cds.KYUSt_chr5.14628 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94815511:94816914:1 gene:KYUSg_chr5.14628 transcript:KYUSt_chr5.14628 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSCDAPAPAAMPDLVRDHLYFGGINDAIAALTGPLPDGTDITHVLSVVSSASISFLTDYRPGLSLPAEEVRRVVAGEDGAPSSVAPGRLMRVVERTGEGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDQGRKEGSVLVHCFAGVSRSNEVAMDIVLKKEKVAMDTILRDDTGCFRLAKARWQQHVP >cds.KYUSt_chr7.21457 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133025507:133038724:-1 gene:KYUSg_chr7.21457 transcript:KYUSt_chr7.21457 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPVPAVVHGCSELRLLLRRGEEEGLDCNLRSFSKWSPEGTDVVHMLAGSMNNDNDNVIDISDSDSDFDFDFDSDDPASPNVTPGENGEGKSVMFQDEESTPSSSSRAIKNSNGQYRILPSSFASAIDAEKARYTLGSGDRTYPRSNSQVWPRHDSERATLSSSRRGSAGLAVDAKDNKNGLLSPSFSNGSTSKSTHPNVASDTRLLPSRFTNGNSQRLGDNKMGTHVAKEIGQPSSSGFPSQSSSVSNAQKVNMEDDDDDVYVYDGPSSHRVLPSYLDMQARPNLENRILDSEERAVYQEALQHISRDGTEDDLPEGVLSVSLLKHQKMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALILKQRIQQSKFMHADSDPLKSEALNLDEDDEAVAVVDKAEQYMPVEPKKELDAGLSSTSASTSGVKPYISHMDTVPDIVVESKVERKKTKTGTSSASSTMRSMTRPAAGTLVVCPASVLKQWANELTDKVSENDKLSVLVYHGGARTKDPSELAKYDVVITTYTIVANEVPKQNADDDPDQKNGEESSAGNKKKQPSKSKKRKKKLKDSDIDLDSGPVARVRWFRVVLDEAQTIKNFRTQVAKGCCGLRAKRRWCLSGTPIQNAIDELYSYFRFLKYDPYSTYSSFSTMIKHPIARNAAHGYKKLQTVLRIILLRRTKETMINGKPIINLPPKIINLEKVDFTKEERAFYMTLEERSRQQFKEYAAAGTLKQNYANILLLLLRLRQACDHPLLVKGHQSVFKGDGSIEVAKKLPKERVIDLLARLEVSALCAVCRDTPDDAVVTMCGHIFCYQCIYERITTDENTCPSPNCKNILSTESVFSSGTLKICLSGKTGTYATASSSANDELSSISQSSYISSKIQATVDILNKIINTHALTDSDTIESNPSRVAPVKAIVFSQWTGMLDLLELSLNSNLIQYRRLDGTMSLNSRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAIDRAHRIGQTRAVTVSRLTIKESVEDRILALQEEKRAMVNSAFGDDKSGGHASRLTVDDLSPMRSIPCPRPEASPQAMDWFNLHGIDLNHPPGYDDFIEPDGTEAPVQGASVDGTGANSGFMSVDDTTDGAPTGQTLSSEQSGSDGEVQSTPEGFAPRKPYLGMKFDTWEAAKVHYNRYAEHVGFSMKMSSSRNPVLDKQKDKYLFVCNKSGTNSEKEETEAVKLRNRAITIRTNCQAKMRVKRKGSIWEVTQFIEEHTHETIKKLGLKKYLRSHKKIPKEEKKFIDLLDSVNLSAGRIMDIMSELYGTGKAVPYDTKTISNYMASIDEKQNVKDIPELLSYFEELKKEDPNFFYKYKLDSEDMIENIFWVDGPTREVYKNYNDCISFDTTYMTNAYKMPCAPIIGINRYGQTIQLGCGFLRNEKISNFVWLFEQFLDAMDGLHPLNIITDQDAAITTAIQEMFPNSCHRNCRWHIMQNAQGSPLGPFMAKHEELRREFNEIVDYSLTPVEFENRWGEMIERHGVSDNTDLAYLYEIRAKFVPAYFMDRFFPFLQTTARSEGFNAVLKRYVDPNASLLHFFKQYMKLQERIDITEDSHEFMGEDKTLKTWCDFPMEEQVLSIYTLPIFRRFQLELKKFTSYNIQQINPSLFEVSPIRNAVFGYGARNYRITANLEQDEYSCECCKFTRDGLICCHIIKVMSAVGKVEVMPERYILPRWSIPPPDIRIPQTEPQQMPAGKLSRKEMRLLRYGNLTKDFAKLAVNACASEKTDEVARKHMRAMEAEFAAMKKQAADALKRKKKQKETVLPCAEHAETTENPSSSTVGAEHNMHVNKKARDPPLTVTKGRPDEKRKKSGLHLKPAKPTKCTICGSSLHNPKDCPSKIAMKEKTPIIQLFQ >cds.KYUSt_chr3.16544 pep primary_assembly:MPB_Lper_Kyuss_1697:3:101430022:101433238:-1 gene:KYUSg_chr3.16544 transcript:KYUSt_chr3.16544 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAALWCPCSDGLALGSASGKGGLGGSSDPRVAALFWWWGSTGLAASGVCRCLLASAAVWTAWNRRVLVVAWGLLRWSPASDRKPPAPPLLGCARDVCNDAVPLYLVDSPLPNTTINLSILRGKQLAMASAETGGDKYRSFIHGEGEKNTVWRLGAPPNFDVVNKLFEEERTNEWPEGSVEEKVQRLLKTWEMEMFHKVRPEDQKCVHSQGFTASTNGMKALTRKEWSAMGGYNAFLATTLPPEHRIYDPDKETVDSGMSTFLTAFPRGFAIEVLDVYNSDPPKVAFKFRHWGYMEGPFKGHPPHGQRVEFFGVCIFHVDEEMKVEKAEYYYERGNFLASFLSPPAASAASASGCPMMKAN >cds.KYUSt_chr5.110 pep primary_assembly:MPB_Lper_Kyuss_1697:5:758084:770559:1 gene:KYUSg_chr5.110 transcript:KYUSt_chr5.110 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSALATAVGINLGLTVLLISAFSLLRRRPPFVSVYSPRRPYAPLESWIAAAWRLSEDDVHAAAGLDGVVFVRIIVFSIRVSAVAAVLGVGVLLPVNFMGDQLSLIDFADLSNKSVDLFSISNVKDKSNKLWLHFSAVYIITGVACYLLYHDYKYIAGKRLEYFMTSKPLPQHFTVLVRAIPRTDGGSVSEAVDKFFKQYHSSTYLSGNVVHQTGKLRRLVNDTEIIWRKLKNLKYAPYQSPSEEPPKKFLGLFGRSNVLGKYQKKLENLEENVRMEQSEATRRQEISAAFVSFKSRYAAANAIYIRQSDNPTEWQTEHAPDPHDVYWPNFSTTFMERWISKFIVFVASVLLIIVFLIVVAFIQGLTYMEQLEAWLPFLKNILEIAIISQLVTGYLPSVILQFVSSCVPKLMKKFSAMQGFASVSGIERSACNKMLRFTIWTVFFSNILTGTAYRQLDIFLDPKEIPSKLAILVPAQASFFIAYVVTSWTTITSEITQTSALLYHLWESCAKCCKRDDPETQSMKYHSEIPKILLFGLLGLTYLIVAPLILPFILVYFCLGYFIFRNQLCNVYAPKYDTGGRFWPIVHNANIFSLVLMHLISIGVLGIKNFPIGASLLVPLPFLTLLFNSYCGNRFFPIFEAYSTESLVNKDKQEESKPEMSEFFRSLETAYTDPALKPIQRSSGYDYDEPTATIIYATATPAAAIPGGWSKIGNVANPHIQELGKWAVLDDNKVGKDNLTFQKVVSGEQQIVNGVNYRLVIDALRLDGSHGTYKAELFEENSGNPNTRKLISFIPAN >cds.KYUSt_chr4.20738 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130571189:130571843:1 gene:KYUSg_chr4.20738 transcript:KYUSt_chr4.20738 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGSAPPGGYFIGRPANTDEHSEPPPPAAEPAPAVNLPNDYFVGRPGNHQAEKPAPKPEPGFLAKCFPCLAPGDKAIS >cds.KYUSt_chr1.9998 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61236707:61239677:-1 gene:KYUSg_chr1.9998 transcript:KYUSt_chr1.9998 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTGRAFRNTTIQPTRREEASDRSSLGAREILGHLPLLGRTAGPLAASRWSTSTAEEEAATDHRPGNESSIASPNPEEGHHREPLGRRGTEDPPATTKQDHAAVDAGSTAARVTPAASHIPKHHLATGAAKRNVLPNHRPRPPPRIPILRSTTSGAGDGHHRENVVCSHSFGQSSTICEVTWFLNLKDRSYNNSKLQCERFCL >cds.KYUSt_chr2.43064 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267962475:267962891:-1 gene:KYUSg_chr2.43064 transcript:KYUSt_chr2.43064 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEKLEAARVALGKRKERELQAQLQQMGPTPSPAAATAKAKAELSSKPLGGGNGNKLLAGNLAHEFLTRGTVLGRRVEPAPAAPGRRIEPAWQPARPEPEPKRRYAEVSWLLMANGAHIPGVVNPTQLAHWLQIKD >cds.KYUSt_chr2.51834 pep primary_assembly:MPB_Lper_Kyuss_1697:2:323730213:323731625:-1 gene:KYUSg_chr2.51834 transcript:KYUSt_chr2.51834 gene_biotype:protein_coding transcript_biotype:protein_coding MYLANKPGKADPNEARLSRFTCCALSGEPLAAPAVVDRLGNLFNKEPLVEALLHKRLPKALSHIRGPKDMIPIHLHRRALSHQRALSHLRSIRHRRCLSHRRALIHQRSICHRRVLRHRCPVCRTSYEDISDDGEDFVSEEDDYVSFEDDYMSDEEHLLIEEDEYDEKKES >cds.KYUSt_chr4.10028 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60655160:60661761:1 gene:KYUSg_chr4.10028 transcript:KYUSt_chr4.10028 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCLRRGLGILLVPLPSPLSRPPPVPLAALLLLPRRLDALSLRPFCSYGGGRAVEQFSDDEYDHEYEDHRPSSSVANIDEWRWKLNMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSELYGRVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLPFDKDGHETESGSEKTEPANLDERQDPLLDQSVMEKVLQRKSIRMRNFQRSWQESPEGVKMVEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVMESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGMKGRDTHLLFCTSGILLRRLLSDRNLNGVSHVFVDEIHERGMNEDFLLIVLKDLLSRRPDLRLILMSATLNAELFSSYFGGAPTIHIPGFTHPVRAHFLEDILERTGYKMTPSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALQNSNFETYGSRTRDSLSNWNPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWDDISSLKDQLKAHPLLGDPNRVLLLSCHGSMATSEQRLIFDKAPPNVRKVVLATNMAEASITINDIVFVMDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVTPGECYHLYPRCVYDAFAEYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPEPRAVQNAVEFLKMIGSLDENENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPILTVVAGLSARDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSGYEYCWRNFLSAQTLQAIHSLRKQFSYILKDAGLIDSDANTNNSLSHNQSLVRGVICSGLFPGISSVVHRENSMAFKTMDDGQVLVYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVTKGSVAGHLKMLDGYIDLFMDPSLSECYLQLKEELDKLVHKKLEDPTFDIHKEGKYILFAAQELAAGDLCEGRFVFGRETRRARLRDSEDGKSNIIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRSMVEFKGMQFVGKPKRNKQIAERDAAIEALGWLTQTSGTKLQDEGDDSPLDLTDNMLKLLSKPRKHTRKNSRK >cds.KYUSt_chr4.621 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3300568:3310333:1 gene:KYUSg_chr4.621 transcript:KYUSt_chr4.621 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLRDLSQPIDVPLLDATVAAFYGTGSKEERNAADQILRDLQNNQDMWLQVVHILQNSHSLNTKFFALQVLESVIKYRWNALPTEQRDGMKNYVSDVIVQLSSNEVTFRQERLYVNKLNIILVQVLKHEWPARWTSFIPDLVAAAKSSETICENCMAILKLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQSSELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPMAAYRNLTLQCLTEVAALQFGDFYNMQYVKMYTIFMMQLQAILPAGSIPNAYANGSNDEQAFIQNLALFFTAFFKSHIRILEASPENRAALLLGLEYLTGISFVDDTEVFKVCLDYWNIFVLELFEAHNQIEPATAAVSMMGLQAQMIPGVVDGTGTAVQHRRQLYSGPLSKLRTLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLTKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSNLHTTILDLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQAGQSIDILKNQDVIRSVLNILQTNTSVATALGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPYASKSSFVKLLRSIKRETLKLIETFVDKAEDLPHLGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKAEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFKALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASAFQNQFYKTYFLNIEQEIFAVLTDSFHKPGFKLHVLVLQHLFCVVDGLTEPLWDVATVQVQYQSNAMFVREYTINLLGASFPNMTVVEVTKFVDGLLSSRHDLTSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQREKERQRMLAIPGLIAPGELQDEMVDS >cds.KYUSt_chr2.6074 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37846246:37846897:-1 gene:KYUSg_chr2.6074 transcript:KYUSt_chr2.6074 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLVPPDPLSAVLADPLAAEDLLRCVVGPDLVKCHPCPPHHHRRSMKALSGDNPTSAPLLQANKIAIPAASSVGVGAEAAFLGNERYKVWALAVIALWSMSATSVSLHWSAGDLAAYGSPLRDDLDSLVHSPFRCRRLTC >cds.KYUSt_chr4.126 pep primary_assembly:MPB_Lper_Kyuss_1697:4:742724:745779:-1 gene:KYUSg_chr4.126 transcript:KYUSt_chr4.126 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARLILASSISKSIGCCCSLLSSAGLKLSWSIGVGGEDTEPDKVRSASSSSQPRPGSWLPSMNSSRSLLSSPLFPTSSPNFRGAASSPSPSRTSVPMIHDNAGRASTACHYSPSLVAAEEQAVQGSVSLKGEKALLEFLLDMALEQHTQGKTRLKGEEEGAEGEFESYLRDLQRQVIYQQAFGEKDTSTLLSTSTSSPESGPRLGLGATSASLMQKVALMLSTSVESHPYEKLLGNGQVFIRSTRLRERRSKKRNAPRASSGDVQCGVADSRKDKSKKYGRVLGPDEPFRLFLRDPETTEFLTAKEEKHLFSQIQNLMKLEEAQRRLEEQCGHEPTLQEWAQAVGMSCKDLQSSIHVGRRCREKMARSNFRLVIHVARKYQGYGLDIEDLVQDGCCGLMKTFEKFNPGKGCRFPTYAYWWIRQAIKKSIFKHSRLIRLPESVYARLKKVGKARLECILEGEQPTNLNVARRAGITIEKLAKLKAKTRKPQSMQDQVWSNDAVTFQEITEDPNIEPPDLVMDRMMMRQQVRDFLGILSTREKEIIEHRFGIYDGEPKTLHVIGDMYGLSKERIRQLQNRALDKLKRSVSTQGFDVYLDLLTSNG >cds.KYUSt_chr5.31731 pep primary_assembly:MPB_Lper_Kyuss_1697:5:201105891:201106169:-1 gene:KYUSg_chr5.31731 transcript:KYUSt_chr5.31731 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVILRRCEPIVRFSCCSVRYGDCRRNHAASTGGYAVDGCREFIADGEEGTLAALKCSACGCHRSFHRRVQVYEVAWDCESDESSSSSSS >cds.KYUSt_chr6.1002 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6364256:6365407:-1 gene:KYUSg_chr6.1002 transcript:KYUSt_chr6.1002 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPSGRRITVRSIACRGVKAFVPFQKPPLYAAVSLAGRREKTPPDPDGGENPDWEGAVFGFDLDGDGELLQFEVKAQVPLLGNKLVGTICVPLSHLCSGGGDGTAPRRVSYQVLAPDGRPNGSLSFVCAVTGGAPHSYQQPQVYAARPEQDASPCCAPPPPPPSLAYPPPATPYFEQQGSSYPPPPVSTSLYPPLQDMLPPSNYPLPPPRMIDSLFPVPNSGPNGSYPVPPTQTTAYPPPPASCEGYSVLPTQHIGNYPPRYPPTPASSCYPPQPQMNHEFPAPINCYPPPPPPPQESGSVFSEFPRSMSSPAPRSMDRESPYMASSFQDSGIVTYPESHGPRVPSGGSQYPPSSGIRHQEDGASSPYYYTHPGPSYSHG >cds.KYUSt_chr7.7823 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47326093:47326533:1 gene:KYUSg_chr7.7823 transcript:KYUSt_chr7.7823 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTKQARNQFRSFPGANTLRVSMARIDYSPGGQNLPHTHPRATEIIYVTHGVLEVGFITTANKLFAKTVTVGEVFVFPLARARALPAERGHGPASVIAAFNSQLQGTQVIANTLFAATPPVPSDVLAKAFRVGNEDIDAVKAKFK >cds.KYUSt_chr7.34568 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215783108:215786077:-1 gene:KYUSg_chr7.34568 transcript:KYUSt_chr7.34568 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSPGSSNFLNVKASDYRQIEKIAAVKAASMIASHAPKKEKKNLAQTAAPVSITNKDTETAGGSDPGVTTVGATLLPKKSQAIPKANTNEASKPSSVPAVTHLSQKQIRVSNKKGNTTSDEKRVTASSKLGAPNINPSVGEVSVEKRSSPKETAARFLKVYHSVKAGGNNKKNVTTVEAHVPVPDGNLVSSLEETAVLPKNRGKRSSPQPVRGEGKTDLVKPDAEDKKLDVPSEQSEDHPDKSPVKKQRLGRPGDEQDLEAALSKAATLKSTIDRTIDCYLSNVGDDFTKLKRNSDQSGINKYEERTGIVVPQFADPGLRSMMGYVQTDLKRIHKWIAAPILDKVALYTRIGKILNNWRAMLRDKIPKEVQELINELEHLCENLNSKVEQAVPFSISQLRADEEHVKEQLSIGQKSHGSVMLGYNSLKGYGSVWRGLKDEVKQRKEEHLVKIKELNDALGIARAKLSLEKEYEEKINELHSQYDEVLNGASGALSRFEETLAQGSSCLESINKAIEETGSLGDSELPIGLREQLKFMESCMIEGVEENDE >cds.KYUSt_chr2.1950 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11705383:11708707:-1 gene:KYUSg_chr2.1950 transcript:KYUSt_chr2.1950 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLGAKSLIAPSHHALFLLCTLVFLYSNSIVFSSAQATNRTEDDRQALLCFKSGISRDPAGVLRSWRNDSLNFCNWQGVTCSMTPAIRVVSIQFRSALLRGTLSSCMSGLTSLVQMDLRNNTLSGSIPDEIGELPGLQTLMLAGNRLAGNIPLSLGTAASLRYVNLANNSLSGVIPDSLSNSSLLSELILSRNNLSGEIPANLFNSSKLVAVDLRWNFLSGEIPHFQEMDALQFLNLTGNLLSGTIPASLGNVSSLRCLLLAQNNLTGSIPETLGQIPSLTILDLSYNGFSGYVPTTLYNVSALTLFSLGFNNFIGQIPSEIGHSLPNLQTLVMGGNKFHGLVPGSLTNMSNLQVLDLSSNLLTGAVPSLGSLANLSQLLLENNTLEADDWAFLTSLTNCTELLRLSVDGNILNGSLPKTIGNLSTKLERLNFGRNQISGSIPAEIGNLVKLTLLDMGQNMLSGQIPLTVWNLRNLFVLKLSNNRLSGQIPSAVGNLPQLGQLYLNANSLSGNIPAAIGQCKRLAMLNLSVNNLDGSIPSELLSISSLSLGLDLSNNKLAGLIPQEIGNLINLGLLSVSNNKLSGELPSALGQCVAMVSLHMDGNMLSGIIPQSFSSLKVIQLIDLSENSLTGQVPQFFGNFSSLNYINISYNKFEGPIPIGGIFANSNGVSLQGNTGLCETAAVIFGLPICPTKSIRKRKMKMNTRLLLIIAPPVTIAFLSFLCVVATIMKGNKTQPSESFKETLKRVSYSDILKATNWFSLVNRISSTHTASVYIGRFEFETDLVAIKAFHLSEQGSRNSFFTECEVLKHTRHRNLVQAITLCSTVDFDNNEFKAIVYEFMANGSLDMWIHPRIHKGTPRRLLSLGQRISIAADVASALDYLHNQLTPPMIHCDLKPSNVLLDYDMTSRVGDFGSAKFLSSCRGTPEGLAGFGGTIGYIAPEYGMGCKISTGGDVYSFGVLLLEMLTAVRPTDAQCGNAFSLHKYVGLAFPERIAEVLDPNMPLEEDEVAASLCMQNYIMPLVSIGLMCSMESPKDRPGMHDVFAKIVAIKEAFVETL >cds.KYUSt_chr2.31671 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195363551:195373346:-1 gene:KYUSg_chr2.31671 transcript:KYUSt_chr2.31671 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMVEMDEEQAEIVVDHESSEKKEAASAASRLQLASSSLREALSCTSSLSLSLREQHNDEEVELKWAAIERLPTLDRLHTSLPLHAKADANGLEPVDVRSMGAAERRELVHTLIADIHKDNLRLLRHQRRRMDRVGVRQPTVEVRWRNVRVEAECQVVDGKPLPTLLNSAISMLSMLTTMLGFKSNQERIHILKDVTGILKPSRMTLLLGPPGCGKTTLLLALAGKLNRNLKVTGEIDYNGVKLQDFVPEKTAAYIGQYDLHVPEMTVRETLDFSARFQGVGSRAEIMREVIKREKEAGITPDPNIDTFMKAVCVEGLERSLQTDYIMKIMGLDICADVQVGNAMRRGISGGEKKRLTTGEMMVGPSKALFMDEISTGLDTSTTFQIVSCLQQLAHISESTILVSLLQPAPETYELFDDIILMAEGQIVYHGSRNRIMSFFESCGFKCPERKGGADFLQEVLSKNDQQQYWSLAEETYNFVTVDQFCNKFKASQSGQDLAEELLKPYDESKGHKNALSFSIYSLSKWDLLKACFARELLLMKRNAFLYITKAIQLGVIALIAGTVFLRTRMGVDRVHANYYMSSLFYALLLLVVNGFPELAMAINRLPVFYKQRDYYFYPAWAYAIPSFILKIPVSLVESVVWTSISYYLIGYTPEASRFFGHLLVLFLIHTVSLSMFRCVASYFQTVVVSSVGGTMTFVAILLFGGFIIPRQFLPNWLKWGFWLSPLSYGEIGLTGNEFLAPRWLEITVSGVTLGRRILMDQGLDFSSYFYWISVGALIGFILLFNAGFAIGLTIKNPPGTSRAIISRNRSNTFDGRDQHMSKVMDNGMPKLQVETASTPNRTGRMVLPFTPLIMTFQDVNYYVDTPVKMREHGYMERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKIQQTFARISGYCEQTDVHSPQITVGESVAYSAWLRLPPEIDSKTRNEFVNEVLETIELDENRDSLVGVRGVNGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHLSCDIIHYFQAIPGVPRIKDNYNPSTWMLEATSTSMELQLGVDFAHMYRESSISK >cds.KYUSt_contig_195.137 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:860554:860817:-1 gene:KYUSg_contig_195.137 transcript:KYUSt_contig_195.137 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVNGAADLGAGSFAIRLMSARSRLHWSTGDDEDQVVLRLQSQLMVALPPPHDVVSVDLKPAKGGDGVGVEMRIVRRREARALGST >cds.KYUSt_chr6.14621 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91713228:91720258:-1 gene:KYUSg_chr6.14621 transcript:KYUSt_chr6.14621 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEADADEQLRRRLRRVFAAEERSFRMDRRSQAATALRAAVADVLPRFLGTYSDDTLAEYIVILVCNGKHQYQARDDLEAFLGDDSAKFVAWLWGYLSKRAATLADDSSVQHGVENEIRNLNDKKNLLVTKTQPGDARIVNSKDLTPQEHKGLQKLDSIKGQNVAQRRISSTVIVSPEMLVGDNGYWDGPHQKKDWNSTDGRSSMRKSGVASKTEHALTQEELHDEYLGANPSTRRLPVAVATDDGEVSESMKRRRNVWDRLGKPAVEDQGLPRETDNMHVENRMHKKAKLPVSEHERRTDGDLFDRANSGNFSSSYPDVNTVRAHEHREKFNRSRLSGRLDFGDVDRNHHQVRDFSSQKSTPTLPVKKFHSQSLNEFTSDVKSSSAALSEPARLASNSFKGHVSASKNFSQLNTRLNSGTDVLQSQQINSPAQSKSGSSVREDGSSYSNKPVKDEMLDVKLKLKQVELDMLKLRSKQAQINNGKQGSLPSGPHTNLEEDADARTVLVTNVHFAATKEALSTHFMQCGTVLKINMLTDAITGHPKGAAYITFADNESIGRAISLSGTSFYSRVLTVLRKADAPPGYLAPIQQIGRPQAWNSPPFQKGVSPKQSSGHHLQWKREQSAMENSPANCPTS >cds.KYUSt_chr2.7057 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44174067:44179085:-1 gene:KYUSg_chr2.7057 transcript:KYUSt_chr2.7057 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLSEDSLRTVVFLPSGVVAPELGNGENGGGGHGSQQSRRRTSNLHPLVFGRSWEVNQSTWLGPSIGLGPSTSFLQICENLYRSVKATVLNNELIIVASETVNFDSELPHYKTEGGVYRDPSDDGRIFSPTIMWVEALELLLEKLKPKIDFSKVVAVSGSGQQHGSVYWKKGSQAVLSSLDPSKSLMSQLKDAFSTMDSPIWMDSSTTKQCREIENAVGGALELSKLTGSRAYERFTGPQIRKIYQAEPQIYENTERISLVSSFMASILVGCYASIDETDGAGMNLMDINKKTWSKTVLEATAPVLEEKLGNLAPAYATAGLISPYFVERHQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNQCAEKSWDVFNNYLEKTTPLNGGKLGFYYKDHEILPPLPVGFHRYIVENFNDASADNLTEREVQEFDAPSEVRAIIEGQMLSMRGHAERFGMPNPPKRIIATGGASSNDSILKSIAQIFGCPVFTVERPDSASLGAALRAAHGWLCNKEGGFVPISCMYMGNLENTSLGAKLAVPAPSDGEDREFLKKYTLLMKKRMEIEGRLVEKIGRA >cds.KYUSt_contig_3510.33 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000679.1:230683:239711:-1 gene:KYUSg_contig_3510.33 transcript:KYUSt_contig_3510.33 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNGSRRSGGGAGGRGRGRGPASPNDLQRERVAASLIDAAARLGLQATDAGTGWPPEMQFRFACTDGDLARAKAVVDAMDEAERESLASLRFTDLGPLHLAASSGDMAICKYLVEQLGFDVNSDASDHGSGVTPLHFAVSHEQVTAVRYFLEKGADPNIKDSSNGTASLHEAAALGYDEITQLLLANGANVDEPSTHGTPLVAAAAHGKFSSMKILLEHHADPNKVSWEYGTPLTTTLYATPDRMDESTCLKCVKLLVEAGADVKCTNPETPLAIATTNGLTKCAEYLLEVATNANVPVKHDTDVKTSEDRRKARLKSNGPKARLKSNGAKAVQENDYAAALKFYTEAIKLDPEDAVLYSNRSLCHLKCDEEYDALHDANACIRLKPDWNKGYYRKGAALMSLLEYKEASDAFLAGVKLKPENKEMEDAYWAPRIWLIKAPGCRVYTESPSRNTRCLTTEYYIAVNVKDPPFLIRRIGSGYFMGLYGSDYLELSINYCVGSCSSDDLPCEDFVLSQLLLVNEMLKEDSKQNGFPAGSELEQHVCRYVIISPDVLDFISEEALGDLVNFVSLGHHNGVSGVPGSDYLLCHQVEISATYDVLDPDFLSDEQSV >cds.KYUSt_contig_2686.49 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000449.1:266269:266970:-1 gene:KYUSg_contig_2686.49 transcript:KYUSt_contig_2686.49 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVEDGLRATRRHRRRLLADEQHLEPHRLLPRAAPPDEAPTENGCIVASPFERVTMPRRRFPPTGENARKDAVRGRAYSLELGEATVPSVRTGEDARKEEGAALRLPRRNGCRFAGGTPPSRRPKRKTTSTATLDGGARRTMSSARFSGERGHRVGKEQRGGPAAAAVATLVGQKYRRRGRRTVRVFDWPRFRSDREDFRPSIQSNGHHRCGLVTGPQLLYGPNLMMGFDPG >cds.KYUSt_chr7.3681 pep primary_assembly:MPB_Lper_Kyuss_1697:7:21953474:21954128:1 gene:KYUSg_chr7.3681 transcript:KYUSt_chr7.3681 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARAPELGTAGWGRSGEGRVVDVNVIVRRFHLLVGVEVWRGWSGENPRRQRLCLRHLHWGGCGWDDLGVRWPCAITTSTTTTRAMAARAMAATSIPVEAIAMAFVPAEDLATATKMSKALHMAVVAAKSTDTMAAARDEAAPATFSAKKTKEESKETSCRHCFSPFLLVLVSSDLEIARD >cds.KYUSt_chr5.16276 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104754863:104758619:-1 gene:KYUSg_chr5.16276 transcript:KYUSt_chr5.16276 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTLFPSLVMDSFPSRILLTILTILVVLVPPNAALLPSLGIQAGALLAWKATLSNQSQQALRSWGNMSTLCSWHGIRCGMRRHRTMITGIYLRGMRLGGTLESLHFSALRTLTSLDLSRNSLSGSISPSIEVLGELHALLLQGNQIRGSIPPSLANLTKLHSLMLHDNQISGEIPRQNKLTRSIPNSLGNLTKLATLDLHDNQLSGNIPEELDNSITGVIPPSIGQLTQLRIFDVSSNKLEGHIPPEIGNIMTLFNLSLGNNLLKGSIPQEIATLKNLEYLDLSSNNLSGQLGGSVEHCLLLRLLNLSHNHLNGSIPNELGMLVNLQGLLDLSDNSFDSMIPSQLGDLSMLEALNLSHNALSGRIPPSFQRMNSLLYMDVSYNKLEGPVPDSRLFEEAPTEWFVHNTHLCGDVKSLPPCDHTQSYRQRKKTRAILLGILPPTVSFLFIAALATWICKKKKSKAESAKGLDQVKMFGIWNFNGEDVYKQIIGATKSFSDDHCIGTGGSGSVYRAQLPTGEIFAVKKIHTVEDDELFNREIEALIPIRHRNIVKLFGYCSAAHERFLVYEYMDRGSLEKSLKSKETAIELDWTRRLNIAKDVANALSYMHHDCFAPIVHRDITSSNILLNLEFSACVSDFGLAKILNVDASNCTRLAGTNGYIAPELAYASRVTEKCDVYSFGVLMLELFMGHHPGGFLSSMANKSTPFEDLLDIRLPLPEAEIASKILEVITVAIRCIEPDPSHRPTMQQAMKVFSTTEIPDDHLDYLQTDVGIPASWL >cds.KYUSt_contig_2343.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000305.1:102863:106976:-1 gene:KYUSg_contig_2343.21 transcript:KYUSt_contig_2343.21 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNKCKIEASIAEAVLNLEVANFTTKHYDPNIPTKHNPVLRYNATNNEEVPKLSIFVGLGGKSSGSKPHRTNLHERTLIHSYVLNTMVEVKPYIKQFTAIHWKNTHREPTPEESKQNFDKGGGFGFSSWFCGLARTDKEIKSELRKIARGFDHSVEAFNSYDVNGYRFQTHTYTTSRPNAKTINSGVVCQGDDGLHYYGRVEGIYELNYGFHKGLNPVVFKCHCSFKFEDPSQRPPHGYANILGGLLRRYFPGLVDLPTGGRDVAWRWAHYSLAPDPLGRDSMADVVVGKFWIYFRKAEGKENACDDVLHQMASTRVTGMHYEARVQCVCNWHADRFVHMTKEDARDTLMQPWQYLQNPPQYVGNDDQCFLATVMWWICPQYLQKHEEGKKKRAEMQGGSHIQGSIPISLYLHNEKMKQRKTPHPETGSVWVNPQSETQCTSYVSNFKQKYGEDANPEAEDFDPEVAVLAGEGLKHGRLWLGDGCVDPAKVPSLRQIRRGRKSGQPEVETRPRASDLVVERLRAEMAAKEQAAQEQRAQMEQQILQYQQHQTQMMQQMQQQQLMMQQHQAQMNWLMSQTALSSPPGSLPVSPFSMPWMPPPSTQSPVTPLTVNNTNIIRSMNHGESSYTCAQPATCTCSSVQYANANANSINLVDYLSQGNDDEAGGSSGGGQG >cds.KYUSt_chr3.41540 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262053047:262054975:1 gene:KYUSg_chr3.41540 transcript:KYUSt_chr3.41540 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSATLFFLSVVTLVILVSLVSRKPSSKLRRPPGPRDLPFVGSLHHLLTSQPHVALRNLAKTHGPVMRLRLGQVEAVVVSSSAAAQEVIRDNDLSFASRPNLLATEIMCYGNLDVAFAPYGAYWRPLKKLCVLELLSSRKVRQFKPVRDRETMSLIKEICAAGKGGKPVKLRSLLVKCTSSVTGKATFGERCDIDLREQFMAAMEVAQIHSSGFCVGDLFPSLRFLDVATGMRYQLWRARQQLDNVVDKIISDCEARREEKKSTPTGDEDLLSLMLRIRDEGGSDFPIETINIKAIIVTTHNGSIIGTETTSSTAEFVMSELMRNPKVMKKAQEEVRRMMENKSPQDHENHMDELPYLRMVIKETMRLHPVVPLLLPRLCRESCHVGGFEIAKGTRVIINAWALATSPENWNEPEAFRPERFEDSVVVNDKGTQFKLMPFGGGRRMCPGDGFALATLELMVARLLYYFEWSLPDGMRPDELDMDVKVGTTSRRRNELRVVASPCSIPVEI >cds.KYUSt_chr3.43872 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276951539:276952513:1 gene:KYUSg_chr3.43872 transcript:KYUSt_chr3.43872 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGMTIFEILMRPINRRDVASDAHRPKGKEPRWAGHATRLAGNRGYSTALNSRRLAGKVAVITGGASGIGKATAEEFVKNGAKVVLADVQDDLGHALAAKLGADSASYTRCDVTDEAQVAAAVDLAVSRHGNLDIMFNNAGIPGSPARPPLASLDLADFDTVMAMMPAPPPRSPRRPSPSPTLSRHYVEQVVGGRG >cds.KYUSt_chr4.38534 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237594352:237595302:-1 gene:KYUSg_chr4.38534 transcript:KYUSt_chr4.38534 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAIAVALLALACCWLAVTAADTAPIKWQRANATFYGGADASGTMGGACGYDNLYTAGYGTRTAALSTVLFDDGASCGQCYKIACDRKRADPAFCKPGVTVTITATNLCPPNDALPNDNGGWCNLPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCVRKGGVRFRMVGHDYFNVVIVMNVAGAGSIKSMDIKSSDSNDWLSMSRNWGANWQSGSYLTGKMLSFRITITDGQTIEFNNVVTGGWKFGQTFASKLQFK >cds.KYUSt_chr2.41804 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260261473:260263927:-1 gene:KYUSg_chr2.41804 transcript:KYUSt_chr2.41804 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKINAFFKRQAPDPDPNSGDEARREGGGAADAKVLNKKRSYGQFHLELGQPDFLLHACAVCGMMYARGNDEDEKVHRAYHTTYFQGVPFKGWRDETVVARSEGGDRIILATGENSCTRNSKVQEVIKVVEKELGFGEGRLLHKLCKVYLFVSSGRIVGCLVAEPIKAAHKVIPSSSSEDKHDLPDHKIEPAQANHTLEFGKISFKREVLRQHNHPDKNREESQGPGAIICQQEAVPAVCGIRAIWVVLSRRRKGLGSQLMDAASDIFPQCQHSCFPAQTFEINTGEQLGALRYLPNVHILGNA >cds.KYUSt_chr4.8349 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49889439:49890056:-1 gene:KYUSg_chr4.8349 transcript:KYUSt_chr4.8349 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGPEAPAAQWWPSWHHHRDDPPPPPPNGSKSVNFLLRLSALILALASAMVMAASSDCTPSSGVAAFTYTRFGAFVLLVGCNITVTILEAAAIYLQLSLDLAAAAAPPDDDKTVATDLEEEEKGITPAGIVLVVVDLLVPALLYSAMAATYAVAAVFSDQIGACPNFARKVVQAKILSLAACAAITLAAVARAVPLPFNVPPVL >cds.KYUSt_chr5.14057 pep primary_assembly:MPB_Lper_Kyuss_1697:5:91288696:91293688:-1 gene:KYUSg_chr5.14057 transcript:KYUSt_chr5.14057 gene_biotype:protein_coding transcript_biotype:protein_coding MWEKFRSFQRRSDGGGIIGESVEETVVVPGHGEEVRPGWVQALGLINTNACFTAALVFRVRAMCICLADDITVWSFPLAFPVYETLIKNMKYDLPDYGFPGRVFLHIPVARHMQGRITYPLVAAQPTLDRGHGHPPEKPPKKIRRTSCTKNLSPANSNDTRGPTNLEPDGQANRIRSATHIIAADTSRITKLAAPDAIIDISLDKEEGDPPC >cds.KYUSt_chr1.16268 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94558850:94560856:-1 gene:KYUSg_chr1.16268 transcript:KYUSt_chr1.16268 gene_biotype:protein_coding transcript_biotype:protein_coding MADASDADVCAICLGGMVRGQAGFTAECSHAFHLNCISASVAHGNHDCPLCKAPWTVLPAVNAPRVPPPPSPQQVPPPSSSSRQVRGRTYEDDDPVQEIVQADAEAGTNGGAMVLKAHCECPAVARGSSRDNFAVLVHVKAPSAAATEAPRAPLDLVTVLDVSGSMIGSKLALLKQAMGFVIDNLGSADRLSVVTFNHDARRLFRLVRTSDAGKAEAKRAVESLFANGGTDIGKGLRVAAQVLDQRRYKNAVTSIMLLSDGQDSNTYYGMRHDRNAANYINLVPSSLTYSGAGNRPPAVHTFGFGADHDAAAMHTIAEMTAGTFSFIENEAVLQDSFAQCLGGLLTVAVQEARIAMTCLHPGVRVREVKSGRYDNRVDAGGRAASVDVGELYADEERRFLVLLDVPAAGADDGVTGLIKLSCNYRDAATGQAVDVTGDDVVVQRPVEVTDEEPSVEVERERLRVAATEDMAAARDAADRNEHAEGAGILRRRLEAVERSAVRMSGGSTFTALEGELRDLIARVEDRDEYETRGRACFLSGMSSHRQQRAGGMQLMSQSIPLSLELDNSDEDDEDECEEAEEDEDGEGLRDCLRSRTVEYKRPIKQSKGSARAYATPAMAAMVKRSRDQRRKTAAAPPQQQQQKKRHVERQQQQPGDQSEPRKRHRKHY >cds.KYUSt_chr2.7704 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48342213:48344395:1 gene:KYUSg_chr2.7704 transcript:KYUSt_chr2.7704 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKAAAIRADTSQPVKMESYMNGAKFDCLLFDMDDTLYPLSLGINLACRQNIIDYMLNKLKIEESLVPKMCLDLYREYGTTMAGLKLLGYDFDCDVFHACVHGALPYEKLKADPVLRNLLLSLPQRKIIFTNSDEAHAVTVLKKMGLEGCFEGIICFETLNQPSPDSPTSDKRILCKPSLESMEAVVEIAKLDPKKTVFFDDSPRNISSGKAAGFHTVIVGSSVLVQGADVALESIHNIREALPELWEAAGDHVDAAVDLRSAVVAETTVLA >cds.KYUSt_chr5.5664 pep primary_assembly:MPB_Lper_Kyuss_1697:5:35366894:35374792:1 gene:KYUSg_chr5.5664 transcript:KYUSt_chr5.5664 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTYPIFVAKVLVGMGFVDYNQADVFFLRFDDIFRLFHMKRLTPNLVCMFALNEAYRQGQKRARVHPDNLDRGPGQSKLYTMEGQQAANKDKDTFLLPYFPGPPEEKLEGTRRVFRHQFEFPFMKQKANSMTETIPSPGVESTTPCRQHISAVAATKTDGKQSSEVESGFFVHTGTAVPGVAHNSGNTLKPLVTGSLEQSKGNFLDKSNGAQMNSGCKGAMGFDSSLTNKEEVIAFGGIPKHTQQVRSSTRLGGQPNADMPQLERAMQNAQMRHDPFGSGRNILEGVVILHETIHELHSKKMDGVLFKIDFEKAYDKIPSYVVYKGPRGRARWLGGRIRARRRGLQHLSLSHLRPDFMVCNFFKVFGENPWGFADLFMKKASLGALEHCAMK >cds.KYUSt_chr3.46283 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291228126:291229282:1 gene:KYUSg_chr3.46283 transcript:KYUSt_chr3.46283 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKMAAALGGRFNAVANGESAAADVWIPADEEDTSGDGIRPLLFRTMKVKGSILHPYRLLIFLRMVAVVAFFAWRVEHRNHDGVCLWAMSMVGDAWFGISWLINRLSKLNPTKRVPDLAALADQHGEASLPGIDVFVTTVDPVDEPVLLTVNTILSILATDYPADRHACYLSDDGGSLVHYEATVQAADFAAPWVPFCRKHGVEPRCPEKYFDMKTQPYTGSMAGEFMSDHRRVRREYDEFKVRIDSLSSKIRTRSEAYNSSKQMQADGVRVTWMADGTQWPGTWIEEAENHRRGQHAGIVKVILNRPSSIEQQQQGEDAAFGMGTLT >cds.KYUSt_chr1.8184 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50421335:50425396:-1 gene:KYUSg_chr1.8184 transcript:KYUSt_chr1.8184 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDAPAPSEPVVAPDAVINLELGDPTMYEAFWREAGERAAVVIPGWWGMSYTSDAGNLCWFLDPEFEREVRRLHRLVGNAAIDDGYHLVVGTGATQLFQAAMYALSPAGADRPVGVVSPAPYYSSYPPQTDLLLSGYYRWAGDANALDGGDDGHIELVCSPNNPDGAIREAVLSGKAIHDLVYYWPQYTPITGAAAHDIMLFTVSKITGHAGTRLGWALVKDRDVAKKVVYFVDRAAIGVSRDSQLRAAKLLAVVSDAYDNADSGGGTRLRLFDFARQRMAERWRALRAAVASTGGAFSLPEETTGYCNFTKQTVAAYPAFAWLRCEKEGVEDCAEFLRGHSIVARGGEQFGGDARLYYDVAAEVAARLATSKKNKNRREVERALKTGQERNATMKWLPLMSSFVLEKMCGLIQSGVRTDKGFKEVHLNTVAKGLAEHCGVTVCSTQVYSHLRNWRQRWLTISRLRDLSGALWCEDTKCIVLEGEHYCGHIADVEFLNVPIDNYNEMHTIFSFDLATGKYAMGSKEPLGSAAPSPAPEDVDTQESDTINLDADKPADAPEKPTAGKRKRGAFADDEPVAFTNMTVAVKEVAHAIRANKPTDMHPDLYNAVMDMLGFTEDDLMVALSRLVDHKAQGSSFVGMIDPRRVLWLRNYLGKYHGKV >cds.KYUSt_chr2.7807 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48860577:48867148:-1 gene:KYUSg_chr2.7807 transcript:KYUSt_chr2.7807 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLFSCPVEVDDECGGLIADGPGGAGDAAATVLKASLSSGKLRIEGSLSFKREQQSPGAGSLQLETKISISTTSPHALDAVTAPPLPVPVPRELVRTRFADAAAPESPKQQEAAAVTLQKVYKSFRTRRRLADCAVLVEQNWWELLDFAQLRRSSVSFFDIQKQESAVSKWARARTRAAIVGKGLSKDDKARKLALQHWLEAIDPRHRYGHNLHYYYDCWLRCESKEPFFYWLDVGEGKEINLEERCTRSKLQSQCIKYLGPKEREDYEVVIEDGEFMYKNSRQILDTSGGPRDAKWIFVLSTSRNLYVGQKKKGTFQHSSFLAGGAASAAGRLVVEDGVLKAIWPHSGHYRPTEENFQDFQSFLRENNVDLSDVKMSPTEEDEEFWSRLRSIPSDRCTDADKPEEAAEMVPAEETTTCRTLQVTETTPEEASQHQELGNHLSQVKTFTRLDSFENVVNTGTSTACVSEDHQKGSDDHQPAVPREKILERVNSYKLGKQLSFKWTTGAGPRIVCVRDYPPELQHRALEEVHLSPRSGRATSRFSSPQRVDAGEGKEIDLEDRCARSKLQSQCIRYLGSKEREDYEVATEDGEFMYTRRAGRVDLFFYGTIKWLTEGDQNTKYFHRKATGRAKKNRIRKLKSKEGYETNNEDDFNKIATEFFKDLYTDDPSTDPSILIDLIQPKVSEEINRALLAELTDEEIGDALFQIGPLKSPGLDGLPARFFQRNWSLLKKEVCAAIKQFFRDGSFPENFNMTKIVLIPKINDASDLKDFRPISLCNVIYKILSKCLVNRLRPFLNDLISENQSAFLPGRLISDNALIAFECFHTIHRSRKGEESFCAFKMDLSKAYDRVDWVFLEQALLKWGFDSVWVGWVMNCVKSVKFAVQVNDQLTETIIPTRGLRQGDPLSPYLFLFVAECFSSVINNDILTKSLQEFKISRSSPGRMNKGKFQPSKDRLSKKLNNWVEKLMSMGAKEELIKSVAQAIPIHVMGIFKLPAGFHDDYMKLVRNFWWGEDEMKRKDPSHEDSSGLGSSTVDPVQVTEDSRTFAANPSKQTSWSPPCEGETKMNVDAAFCPASGESAAGVVIRDHQGAIILAASMVGTKCRDAEEAEATAIYEGLKIAVEYNLTPSSLESDCANAVAAVNNHSAISSVNWHIYKNIKLYTSVLPNCIFSKVGRNCNEAAHRLAHLATSSGSSNIWLSPVPEAVRELCNQDSVNYFVS >cds.KYUSt_chr3.42286 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267264549:267267039:-1 gene:KYUSg_chr3.42286 transcript:KYUSt_chr3.42286 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLPKLAAPRPAAAAPLPSQSLRSAALAFAPSARRFRVSVSGRARSPVIAMASGKEGNGAATKRTTLHDLYDLQGQSPWYDNLCRPVTDLLPYIANGVRGVTSNPTIFEKAISTSNAYDDQFKQLISAGKDAESAYWELVIKDIQDACKLFEPIYDETDGADGYVSVEVSPRLANETQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIQEVIANGISVNVTLIFSVARYEAVIDAYIAGLESSGLSDLSRVTSVASFFVSRVDSLIDKNLEKIGTPEALALRGKAAVAQAKLANQLYLKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYSDTLYVDPLIGPDTVSTMPDQALSAFLDHGTVARTIDANVSEAEGIYSALEKLGISWEEVGKQLEHEGVTSFKKSFDSLLTSLTEKGNALKTAANL >cds.KYUSt_chr1.548 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2960010:2961072:-1 gene:KYUSg_chr1.548 transcript:KYUSt_chr1.548 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSLTSTFSLPIPIGISSFDMAARSGWINWDALGEEPEEDAAGLNYDYEFVVIDPGSHSITHRKKRMKSRRRPIGAAAAGLAWPEELDQGAAMDARHPMSTAYTMVVTTTHDTQFTSTARWQWYIEEEKNILQTP >cds.KYUSt_chr4.45961 pep primary_assembly:MPB_Lper_Kyuss_1697:4:284253851:284257400:-1 gene:KYUSg_chr4.45961 transcript:KYUSt_chr4.45961 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGRRRKQQATDPEPEEAMGNKENSASAAPPPKRPRTGRNPLAELPTTANNASASAAPAPIQASKRTTRAAAREAAAAAVEEEARKREAAAVAPRPAPSKQPDAGAAQASVAPYVGDIDQYLRSLEVRVSRFHFSVDHAESEQLRRPRDDYIGTIQNDISANMRGILVDWLVDVADEFKLLADTLYLAVTYIDRFLTVSVVSRNKLQLLGVASLLVAAKYEEIYLPKVNEFSEITDGTYTKQQVVKMEADILKFLNFQPASAKRLELTCSYLAELSLIDYDCIKFLPSVIAAACLFVARLTISPKTRPWNLTLQESTGYKVSDLKSCILRIHDLQLGRKYPTLKASKSKYSDRKVTTFMKRVDAIAEKFHIASSLFRPRLAWFVLMTPHASRGREPCLADAFHGKRPADAAFPGTRLADAEGRGACLADAFHGTRLADADAFCGTRLASRGSSDATEFGSARLLERHGRRRQYALPCDVSIAAISATFTCFQPTPHAATGALRLCCMSLSAWLLR >cds.KYUSt_chr5.14846 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95989428:95990606:1 gene:KYUSg_chr5.14846 transcript:KYUSt_chr5.14846 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQFQQQQMPMDFPQPGAFGHPQQPFGFVPGPMPPPWAFNGAYQQFPHNPAYGMQSNQWLASQQPGFQQQSQQPPVQQQQQQQPHQQQQQPQTSTGGDMMSKPKNSARGKKKLGPVQQNSPSAVSSNAAQMSYTNTICMCCGEPGHHQAACGRTPMCFICKATTHLVDECPVKKRPHQLAKYVGSGAPGLEVTREELYSEFAQIYKTNWPWQIRELGQSDVYLVKFPPHLKVEEVIGYPRFGLKKKAIWVKVEAWNDDPEPVEVLKEAWIKVTGLQTKWCEWTSLDQAVSVCGLLLEVDWLSFFRNNAQEVRVKVHCRDPSKLPPGRLFGFHGNLFHLGFTLESVIPTAEDNDDLLGEELED >cds.KYUSt_chr2.19511 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122774856:122776194:1 gene:KYUSg_chr2.19511 transcript:KYUSt_chr2.19511 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPAATPTVREIWRAQRADGPAAVLAMGTANPEKCVPQEEFPDFFFRATNSDHLTALKDKFKRVCLKLGVQKRYLHHTEELLRAHPEFIDHESPSLDARLDIVATAVPELAAQASKKAIAEWGRPATDITHLVVTTNSGAHIPGVDFRLIPLLGLRPSVRRTMLYLNGCFAGSAALRLAKDLAENNRGARVLVVCAELTLMLFSGPKEGCFQTLVNQGLFGDGAGAVIVGAYPASPGERPLFEMVSAAQTVVPDSDHAITMHLTKGGYGGNISTREVPGFIGDNIEQCLQDSFGPLGIAAKWNDLFWAVHPGSSAILGHIDTVLKLQPEKLAASRLVLSEYGNMFGVTIIFILDELRRRHKEQGGAGVPDWGVMMTFGPGLTVETMVLHATQHTQASV >cds.KYUSt_chr4.18777 pep primary_assembly:MPB_Lper_Kyuss_1697:4:117779965:117787822:1 gene:KYUSg_chr4.18777 transcript:KYUSt_chr4.18777 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPTSSPPSAAAAAAAADGGGARCISPPSSRRKGSPTRSGGVARKSPGSRECGSSILKSVNKSTSQFKKSLIRRSGSSNDWIPRKKTESYLKRKIKRLQETAGMTASLHDTLGNANPHYTRMAREKIAAREAASKAMEARKTAMVEASWCRILQAARIQNKEAEELMEKAKLHATEAFEKARVLGVMMYDRPDCPNQQYEVESSAQTGERSTHKVTASFQTGFEVDMEVAAALKKAFLKLANSPDSSNQEEFKELLWKISQNPDAIDTTDVNSEAERQLGDCNNEETNDFKLNTKTFRTSTIPSDFNTANLEQPTDLVNIMLVRIKALHEDELASLAVIVATSGLNAALQNDKGNYHELKSVNYTAAGSHRSQSRRYSTAASFIDIQGPKEVSSDLPSLDKFLVKHLSKLEREVKEAKEAGRKVTSVKPVAQDIQSQLTGDNANALESASDLGSILVKSVSRLEKEILEAKKSKGRIDSSEGSYKVLQASTEESEGSYKDVQASTEESGYQKAQPEILCDSDLKMNCDSRGSGEESNCIQACPVSSQEDKENKILISHRLPPLGAKGNKGGKRLTRIEAAKLEALKSFCTKDDSALDFGLDKVFVKPVNRLEMEKKKSIEQGQSNMQKDPQKDCHNTTVSLDEILVKRIPRLEREKMEYEKRNALGEGQRVVSNDQRKYGNNATSSESLDQVLVKRVSRLEREKMEYEKRNTLAEAKTRVQIDQKKHGNNDKASDSLDQILVKHVSSLEKEKMEHEKKGDGDTLLVKKSDTQYADGAAGSLADIFVRRPTKLEQAKQEAAAAEENATRILNPAEERRRAREKKLLDAWGGEGLGSSVKPRLSKVESEKAACRKSEGEWKQERRRAREKELLDAWGGEGLGSSVKPHLSKIERDKLATTDSMLDRKGRTMQANTDFELSDLNFDDSDFNEWNNTVIADLDAEAAEEAS >cds.KYUSt_chr4.41315 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255525631:255532044:1 gene:KYUSg_chr4.41315 transcript:KYUSt_chr4.41315 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRGEPGQQPRWRAQPPPSAAVTDRTQLQEQTRPLWPGRAHRAREAPVAALQSTRRRPSHPQQEKLHQPPGADLGMPDLAHPRPYGARQAQIWREEPPRPDNEELDRQIALSLAEDAKHPKERNHNKGENDEDLAKAMQDSMNMNPYMPHNPYGPAQALPRAHRVCGGCKHEVGHGHYLSCMGMYWHPQCFRCSSCTHPIRETEFTLLGAEPYHKLCYKELHHPKCDVCLQFIATNRAGLIEYRAHPFWGQKYCPSHELDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLDSAVMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGECRGPHHMPETRGLCLSEEQTVTSILKRPRIGGHRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLSPEVEEGICQVMSYLWLEAEILPASTRHAHPSSSYASSSSSSYRPPSSKKGGISHTEKKLGEFFRHQIANDTSEAYGDGFRTAYKAVNKYGLRQTLSHIRLTGGFPV >cds.KYUSt_chr7.28845 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179600805:179601593:-1 gene:KYUSg_chr7.28845 transcript:KYUSt_chr7.28845 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCHDVDPVPGKPTETGTSLLETATGAIQGFAPVNQIHQHLCAFHFYGDDMTRQVEAHHFCAHLNEDVRQCLIFDGPDAGARLIGLEYIVTEELFLTLPDDEKPLWHTHEFEVKGGVLFMPGVPGVVERRDLETVAKTYGKTVHFWQVDRGDALPLGLPQIMMALTREGQLRQNLAECVEKKFGVSFQKERENRAYMTGPAHGIHPLANAAGKGLKTSIREVDLPDPTTRARVFT >cds.KYUSt_chr7.24747 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154261021:154262436:1 gene:KYUSg_chr7.24747 transcript:KYUSt_chr7.24747 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGLLMYTRSLVSMIFLGRLGRLPLAGGSLALGFANITGYSVLSGLAAGMDPVCGQAFGAGRTSVLATALRRTVSLLLAAAVPISLLWVAMRRVLVATGQDPDIAAAAYEFILCSLPDLLVQSFLHPIRVYLRAQSITLPITYAAAAALLLHVPVNYLLVHGLRLGIRGVALGAVCANLNFLLFLVAYVYFSGIMNGNGGGDGKGVSSPAEEEYVLEWGRLVRLSVHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGILIQTTSLVYIFPHSLGCAVSTRVGHELGAGRPERARLAAHVGLVCGAALGLVACAFAASVRGVWARMFTADGAILQLVSAALPILGAAELGNCPQTAGCGVLRGSARPGKAARINVSAFYGVGMPAALALAFWPARLDFRGMWAGMLAAQLVCAALMLRTVQRTDWAEQAVRARELTGAEGDGDVKSGHAGAAELKAGNGLLVVTVLS >cds.KYUSt_chr1.7593 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46614586:46618966:-1 gene:KYUSg_chr1.7593 transcript:KYUSt_chr1.7593 gene_biotype:protein_coding transcript_biotype:protein_coding MASALNGGGGNGGGGVGGVMKNMSLLRFQYYCVLGAVAGAVIFATLRYMPAAAAGAGALSTTSAVATATAAAPAVSEHRKSKGAAVQPRKAAAVKVDAKASEVLVFNFGDSNSDTGGAVAIMGIRIAPPEGRAFFGHPTGRLSDGRVVLDFICETLNTHHLSPYMKPLGSDYSNGVNFAIAGATATPGDTPFSLDVQVDQYIFYRERCNDSITRGESAPVDPKDFHKALFTLDIGQNDITSIMYLPYDQVLAKLPHFVAEIRKAIQTLHKNGARKFWVHGTGALGCLPAKLAMPRDNNGDVDEHGCLTKFNNAAKKFNELLSEACDDLRWQLKKSAVVFVDMFAIKYDLVANHTKHGIEKPLMTCCGHGGPPYNYDPKRSCMGVDKDTCKPDDKLISWDGVHFTDAANSLVASMAISGEYSIPRMKLTSLVKPAKSTDS >cds.KYUSt_chr4.33534 pep primary_assembly:MPB_Lper_Kyuss_1697:4:205669829:205670660:1 gene:KYUSg_chr4.33534 transcript:KYUSt_chr4.33534 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSTGGGRRRARHGDIEVRSELSEDSPEWSMEGGDMEEDQAKIQDGHRSGSWSGRTSEQKRKGNGTPRGCAPHGSTTNQVDTALERNRQGRKTGATAPTTTPAPGATASTTSRRHIAHNGHAAQIDPSGPAHGADDHRRQRLRRGLSTQRCGKLDAGRAAARHP >cds.KYUSt_chr6.9733 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60025786:60033144:-1 gene:KYUSg_chr6.9733 transcript:KYUSt_chr6.9733 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTNRQVTFSKRRGGLLKKAKELSILCDAEVGLVVFSSTGRLYEFCSTNMKSVIDRYTKAKEEQPAGLDVTSQTKLWQREAASLRQQLHNLQESHKQLMGEELSSLGVTDLQGLENRLEMSLRSIKTRKDHLMRSEIEELHRKDKAFSGYANEDPKADLITYNALCDMFRQKGVSKEFVLLKLLRRSPTSEHSVTNRLMYSEKWGIKHVT >cds.KYUSt_chr7.14004 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86493788:86499187:1 gene:KYUSg_chr7.14004 transcript:KYUSt_chr7.14004 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVANVGVALGAKHGVHGGPHYCCRLADNELTSGVRLHGAGKKAKNLLVHDPSAGLRRVVNLHSGIYFSLVVQSATMGSLRRGLLASLALLFINLLPMALLLPGAHGAPAAGTGKSKISAVFVFGDSIVDPGNNNNRLTEARADFPPYGEDFPGGVATGRFSNGKVPGDMLASRLGIKELLPPYIGSDLQLSDLLTGVVFASGGSGYDPLTSIPATAISSTGQLELFLDYKEKLKALVGEEEMARVISEGIYFTVMGANDLANNYFTIPLRRHQYDLPSYVEFLVSSAVNFTMKLNEMGAKRIGFIGIPPIGCCPSQRELGSRECEPMRNQASQLFNSEITKEINRLNAEQSVPGSKFAYIDIYYNLLDLIQQPGLYGFKEVTEGCCGSTVLNAAIFIKNHPACPNVYDYIFWDSFHPTEKAYSIVVDKLIQQNMQYLM >cds.KYUSt_chr7.20951 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129774271:129779320:1 gene:KYUSg_chr7.20951 transcript:KYUSt_chr7.20951 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPASPAAAGGSRVSGSPTSGGVRERERFLPVADISRIMRKAIPPNGKIGKDAKEAVQELVAAFIAFITSEASDKCKTEKREAMTGDDLLWAMATLGFENYIEPLKLYLHKYREMPLFDEGMEMEQCEQLEVVPPPHCSAEGITEMGHEKAKEGLLNVDPKPSNITIHQSFPEPVTVLLPCKMLPCTMEVEPKALLESDLRDWSELPLDALSAIFMKLGTIEILMGAGLVCHSWLVTAKSPELWRFVDMTRHEVVFSKAENIMRKMAKVAIDRSDGRMESFWAQKFVSSELLDYIANRCNSLKSIRVIASGYFWDDAVTRLASKCPMLEEIEYSYQKQSWYFFKMLGAVRPELKRLRINMPWFDSDAMEREMRMEQQHDEDEEEEEEEEPYEAWEARHNQEAFAIAENLHELQLLQMTGYSLTKKGVYAILEGCPHLECLDLTDCGHLEVDDELLARCAKIRHVWLPRRWPRVHCPDLRTIGENEGEVIEPSDLYEMEARSLRDEGAMEDDSYGDNYWDDYSLPSSPDCPTAPDLRNVTCDDTRYYTYIHDYYSL >cds.KYUSt_chr4.41560 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257163570:257164951:1 gene:KYUSg_chr4.41560 transcript:KYUSt_chr4.41560 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSRSDAHLSPVDEAVRVAEVREYYDDAAPKRHTKPSRSEHSAVYTDDALVDSSHPELDKLQELEAHTEKLVLEGGKPGEEFVETEYYRDLGGVGKQHHTTGTGFIKMDKPTGASFELSEDPDASERHASCKGNPATNEWIPSADTVYQASDKPSRSDS >cds.KYUSt_chr2.51956 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324314410:324316093:1 gene:KYUSg_chr2.51956 transcript:KYUSt_chr2.51956 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGAVRPSVRSLARRRPERPVGGVVGWAGGGLEQQRNWDRAGEETTWEAGFWGGPRPTRPTLCVRPCSTAWLDCGGLRRVAVSAGLKDGTGSTLVYRSKDFRQWERNAEPLYSSDNSGMVECPDLFPVVGPGDQNGLDYTPSNGAEASYVLKQNVMVTLSNYYVLGRYDDAADTFSPLEADNDCRTWHRFDYGHVYASKSFYDAGKNRRVLWSWANESDPEPDYIARGWAGVQTVPRRIWLSDDRKQLLQWPIEEIETLRKTRVGLLGAEMNAGGMNEIIGVAGTQADVEVVFEVPSLEGAENIDPNQLLDPQRLCGQKGASVLGGVGPFGLLVLASGDLQEHTSVFFRVFRHEGKYKVLMCTDLRRSTTRADVYKPPYGGFVDIDIEKERSISLRTLVDHSVVESYGGGGRTVITARAYPEHVATPTAASSCSTTAPAPSRCPSSTRGSWRRPK >cds.KYUSt_chr2.7724 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48447433:48449319:1 gene:KYUSg_chr2.7724 transcript:KYUSt_chr2.7724 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEEAAAAEESAGPGSSAEPPRIGGSCGRDEEVGSRPQNAEGVGRAAVQVETMPPAPALNGPAEPEVRAVSLAVSAAPDVGAQSLAASAAPEVTVESLPAPPAHPHPAPRPWSWSGIPWMRVLLGGLLAAAIGYAFYKWGLPLLSEKVLLPIMRWEATSFGRPVLAIVLVISLSLFPIVFLPSTPSMWLTGIIFGYGLGSLIIMSGTAIGMSIPYWIGSLFLHRFHGWLEKKWPQQIALIKLAGKGGWFQQFRVVALLRISPFPYTLFNYIATITQIKYTPYICGSVVGMVPDTLVNIYSGRLILKLADLKYDKRRMTTVEIVYNVISAVVAVLIGVGFTIYARRALDGIQSEESTRQPEPTAIPTGPASELRGSHRSASSIPVDVV >cds.KYUSt_chr2.39368 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244126982:244128034:1 gene:KYUSg_chr2.39368 transcript:KYUSt_chr2.39368 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKWRGSLTAGFQFFLGVGVLTANLVNYATARISWGWRLSLGLAGAPAVIIFLGALFLTDTPSSLVMRGRTDDARAALVRVRGANADVDAELKDIVQSVEVARESEDGAFRRMATRREYRPHLVLAVAVPMFFQLTGVIVLSFFSPLVFRTVGFGSNAALMGAVILGATNLVALMLSALVIDRYGRKVLFMVGGVQMIIAQVAIAWIMGAQIGKGGEAPMARPYGVAVVVLTCVHAAGFGWSWGPLGWVVPGEIFPVDIRSAGQAMNVSIGLGLTFVQTQSFLPMLCAFKYGTFAYYAAWVAVMTVFIALFLPETKGIPLESMATVWVKHWYWKRFVEPQGKSAEALA >cds.KYUSt_chr5.42747 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269307331:269308308:1 gene:KYUSg_chr5.42747 transcript:KYUSt_chr5.42747 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAVDAYVPGRGDEGGLAGCLEVGVVRPMSQESRRWGEPRQPASMPPAPARTCLLYLSCCSPPSAHSSTSQPAHAAPAAARARADTRPHGHVTARACPPRRRPESTTTAAPALGQTSSVRLPTVAVSTRTILAPRRAVKDVPEAGLDAPDPGRLVDGRAGVLFADEDQHGDAAAVLPRPLGSVIWSSGPELQQREFSDKRKSSLPSGKSYGTLERPATPEAPAATVASLTGQPYGAREGGSAREAREQLATMRRQRSSRTTTARPAPSSAAAATPRPELRCRHLAPMPP >cds.KYUSt_chr3.48754 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304708653:304711412:1 gene:KYUSg_chr3.48754 transcript:KYUSt_chr3.48754 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAILLAVSKIGTVSLNEAVSSVMEKLSRKVDNLKELPLKVKRIEKELMTMNAAIQDVGTTHLSNNVIKTWIAHVRKLAYHVEDVIDKYSYEAIKLKEEGFLNRYAVRVSPHVKVFSKIADEVVEIEEEIRHVKELQREWSGTIHLSKNEHAELDRRWSGSCFSELVSDEELVGIEENRRKLTEWLATDEEESTVITVSGMGGLGKTTLVKNVYDREKAKFPDAHAWIVVSQAYDVVDLLGRLLSEIRRHTEKLSSLPPVVTGGKENVYELTEAIKKTLQDRRCLVVLDDVWDKKAYTQMCSAFQGVQGSRVIITTRKQDVASLAPLRRRLLLQPLGRAESFKLFCSRAFHNIPYRNCPPELQTVAVAVVERCHGLPLAIVSSGSLMSTKLLTGQAWNQMFNRLQSELRDNDHVQAILNLSYHDLPGDLRNCFLYCSMFPEDYAMPRESLVRMWVAEGFATQKDRSTPEEVAEWNLMELIGRNMLEVVERDELNRVSTCKMHDIVRDLALAVAKEERFGSANDQGEMMRMDREVRRFSTSGCWTDGNTAAAGVEFPRLRTVISLSAASSSANMISSLLSGSSYLTVLELQDSAINQVPASIGNLFNLRYIGLRRTNVQSLPDTVEKLSNLQTLDIKQTRVEHLPPGIVKVDKLRHLLADRFADEKQTEFRYFIGVEAPEMISNLQELQTLETAHASKDLSLQLKKMSKLQTVWIDNINASNCKDLFDALSSMPLLSSLLLSASDEKEIFSFQALKPVSKMLHRLIIRGGWADGTLKCPIFQGHGKYLRYLALSWCDLGKEDPLQQLASHVPDLTYLSLNRVSSAGILVLSADCFPQLKTLVLKNMPNVQQLVIEKRAIPCIDGIYIVSLQGMSIVPQGIESLRSLKKLWMLHLHRDFMAQWIQNQMHYRMKHVPELRI >cds.KYUSt_chr5.36338 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229682416:229682966:-1 gene:KYUSg_chr5.36338 transcript:KYUSt_chr5.36338 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLRNKGAMQKLQQEIRRHSTNSADHYLVVTEQDLPAMEYLRAVIKETMRLHPPGTASDAAESFPGTSWKRRGNHFWHATEMPLIVFAGIS >cds.KYUSt_contig_2278.143 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:1176528:1178740:-1 gene:KYUSg_contig_2278.143 transcript:KYUSt_contig_2278.143 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGEAGTGSGRAARLPRWTRQEILVLIEGKRVVEVRGRGRGRGGGGGAAAAEPTKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIREWERSLPSSKDQVSFWAMRNDARRERRLPGFFDREVYDIIEGRGAGGGGVRDGGVNAGVVHAEEGAEEKVVFDGGRAAAALDHGLFSSSSSSEEDDEEVSASMSVPVPAPAPPMAPPPPSPSPAPAVVAVPVTEKKTEAPRQETSEQGTSKGKQQEQITDDPPPMQSGQKRQRSDDALGPGEATDLQGQLIEILDRSSRMVAAQLEAQNINCQLDREQRKDQVSGLLGVLGKVADALYRIADKM >cds.KYUSt_chr3.11551 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68870231:68873479:1 gene:KYUSg_chr3.11551 transcript:KYUSt_chr3.11551 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAASISSHLRAPPPWPPPRSTSQRARVRCSVLAPSGHVLEAAASPRDTEKSSHWVPRSDVNLQIQRLCRSGELTEALRLLGSDGVDDRSYGAVLQLCSEVRSLEGGKRAHFLVRASGLGRDGMDSVLGQKLVLMYLKCGDLASARRVFDEIPQVSDVRVWTALMSGYVKAGDLREGVSLFRKMHSCGVQPDAYTISCILKCIAGLGSIEDGEVVHGYLEKLGFGSQCAVGNALMALYSRCGHNEDALRVFEGMPQRDAISWNSVISGCFSNGWHDRAIEHFSKMWFEGMEIDSVTMLSVLPSCAELGYELVGRVIHGYSVKTGLLWELESLERGVEEDLGSKLVFMYVKCGELGYAQKVFDAMSSKSNIHVWNLLIGGYAKAGEFEESLFLFEQMRDNGITPDEHTISCLVKCATSLYGGRDGLVVHGYLVKLGFGAQCAVCNALISFYAKSNRIEDAILLFDGMPHRDVISWNSIINGCTFNGLHGKAIELFVRMWLQGHELDSATLLGVLPACAQLRHWFLGRLVHGYSVKTGLIRETSLTNVLLDMYSNCSDWRSTNKIFRNMDQKSVVSWTAIITSYTRAGLFDKVAGLFQEMGLEGIRPDAFAVTSALHAFAGNESLKHGKSIHGYAFRNGMEKVLPVANALMEMYTKCGNMEEARLIFDGVMSKDMISWNTLIGGYSRNNLADEAFSLFTEMLLQFRPNAVTMTCILPAAASLSSLERGREMHAYALRRGYLEDDFVANALMDMYVKCGALLLARRLFDRLSNKNLISWTIMVAGYGMHGRGREAIALFEQMRICGIEPDAASFSAILYACSHSGLRDEGWRFFDAMRHEHKIEPRLKHYNCMVDLLINTGNLNEAYEFIDSMPIEPDSSIWVSLLRGCRIHRDIKLAEEVAERVFELEPENTGYYVLLANIYAEAERWEAVRKLRSKIGGRGLRERTGSSWIEVRGKVQVFVADNRNHPQGARIAEFLDEVATRMQEEGHDPKKNYTLMGADDAVKGEALCGHSSKLAVAFGVLNLSEGRPIRVTKSSRLCTHCHEAAKFISKMCSREIILRDSNRFHHFEQGRCSCRGYW >cds.KYUSt_chr7.12155 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74696025:74696399:1 gene:KYUSg_chr7.12155 transcript:KYUSt_chr7.12155 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGGGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPVNMVFDPRLSISYGLVELIASLV >cds.KYUSt_chr7.31991 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199198708:199199718:1 gene:KYUSg_chr7.31991 transcript:KYUSt_chr7.31991 gene_biotype:protein_coding transcript_biotype:protein_coding METRRHKLHGLSAGLICTAGDESPNPASARPDPVATRLDAPDPVVARLIERGADPVDSFQKHGMSGVAGFFDKVRVGMAKIPADALLPREIVAANVKGEHEESTELQ >cds.KYUSt_chr4.4370 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25011935:25017220:-1 gene:KYUSg_chr4.4370 transcript:KYUSt_chr4.4370 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSALPSGGSGGASPAPTTPQPRSAKKRKRGDYYSAGPASLPRRATRGSEAARKDLVGPVRKARRAAAGALWSENTGRGRGRGRGRGRGRGRGFGDTERMRAVILGMRLARSVPVLVPDDDPDSPYPRDMRSGSRSAERVNCNISYLSRDPPKRAITDPVKHQASVPGWENKPSKKEADDYKAKTLEMLGTIVCPLNMDIPLTVDPENAKKERVDKCNCSHPRSEGCVLVHVQKARSWIKEQLGEEAFKNCGLDVMGEQVEELWTAVDKKKLEDVYKSIPQNEHQTFMKIALKVHSDKEKERLARYYYNVFLPRRLASFTRAGHKHEDVDTGDEKSSESDDNNAMVLISVVIMSTAATPAMAAGRSPPPFFREEKPPYALKPAPCLLPPCH >cds.KYUSt_chr1.25017 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149839866:149840531:1 gene:KYUSg_chr1.25017 transcript:KYUSt_chr1.25017 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHDRAFQQAERTPSITAVTCPMTVLEASRALEGVTADHVTVTTSEAPATSTPEPSRDSTANKWRGWQSRAARAALRHHLLPAITLVHHTLHLLANNLVNSSTIEGLGGWRCPGNQFIFVVLIVVVRSGHPRSKPGHSSKWQRPFTGLTVVSSRPHHRRGEHFFDLIDIDCNRGCNHGRRGKLHMDGRASTHPPSTWTGLPTFRMGSEPRHTLVVVSC >cds.KYUSt_chr2.33068 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204027686:204030881:-1 gene:KYUSg_chr2.33068 transcript:KYUSt_chr2.33068 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRACLPSHKASPSPSVAQRRANNGPPPVVAMASTINEVKTAKKPYAPPREVHLQVMHSLPAQKQEIFDSLQSWARDNLLNLLKPVEKSWQPQDFLPDPSSDGFYDEVKELRERAKEIPDDYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYMYLCGRVDMRQIEKTIQYLIGSGMDPGTENNPYMGFLYTSFQERATFISHGNTARHAKQFGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKISMPAHLMYDGEDDNLFEHFSSVAQRLGVYTAKDYADILEFLVQRWKVADLTGLSGEGRRAQDYVCTLATRFRRLDERAQARAKQGPVIPFSWVHNRKVQL >cds.KYUSt_chr2.33065 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204008434:204008826:1 gene:KYUSg_chr2.33065 transcript:KYUSt_chr2.33065 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPERRASSDAHGQHTPGRSRMRPSNQGYNVVHSNPWFLIGLQNAEEEVAVPPFGEWDAGDATSGEKYTGIFNRVRDDKLSPDSSSRQQSSGNRRQDNKVEQVVKATPK >cds.KYUSt_chr7.19249 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119305521:119309809:1 gene:KYUSg_chr7.19249 transcript:KYUSt_chr7.19249 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGERKKSVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKSRIVPRHLLLAIRNDQELGRLLAGVTIAHGGVLPNIHSVLLPKKSAAAAEKKEKEPKSPKKKAATPKKKKALAGLRRIDLEVLGRRVYDAKGQARILLLLQLYIGHLKERRLMDQMEKLPTYVHWPSEGKKSEGPDRDKRIG >cds.KYUSt_chr7.2864 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16980358:16984365:1 gene:KYUSg_chr7.2864 transcript:KYUSt_chr7.2864 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGLGRQRPAVQERLTRPQRLVRQLSDLDSGRLRRLIRSGDLAPCFDADDDERAVECPICFYVPMYFQTKANGVETKPSLETSIRNSQVMLTCKAPVKESSHHFAPPFTVSIMCHQYINIKLWLYIHAYMTLHTSPAKIITQSQSPMASSRRASVLAVLVLVSVGVAMGRPMRSNLGLGLGLSANGGLGIGLDLGLGGSGSASNSGEGSGYSAWSGQNGGSHTAYGSGSGTGNGFGYGSSSSNGASASGCGSGTSSCPGSSSGSGSVGLGTSINAGVGVGSNGGTKGGSDCNTGTGSSQGSRIGSGYGSGSSQGSRGRQSYGVGSGSGVSSGVGSGSGNIGQNGGCSSCGSGSGVVDGSSSNSNSGSDSSSNSIGGSGSNAGSYSNSGAGSGSSIGSGFDASGSGSVSMSGSGAGAFGASGSGSTSNSGSMSGANSGVGSSTGAIGASSSGSNSMSGSGSSSSSNSGTVSGANSGADSSSDAVGASGSGSNSMSGSRSSSSSNSASGSSSGSTGSNSGASAWSGSGSNSGTLSGAGSSSWSTSTSGSNSGSWFGPGQGLGPGTRPGFGTGVGGAFGSGYGFRGGSGNGHAGWGKHH >cds.KYUSt_chr1.2735 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16361287:16367497:1 gene:KYUSg_chr1.2735 transcript:KYUSt_chr1.2735 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLVSEMRLPPHLAHLLAARRLTTAKDVLSLPEVELMTLLDAGILTARAAVSRVSEFACPPCQTALTLLEERVRLGGGGRLPTTLCGLDEALGGGIPLGKLTEVVGPSGIGKTQMAGRILVMRPTSLSDFTKSLEQMKVTLLQNDVKLLIVDSVAALTSSSIAEFARIPVVATNQVRSQTNDDGYHYSFEVKNMGDGNGAERLESHLVAALGIQWAHAVTIRLVFESHSDEFDMMNNGGVHVVAEMEGVVDVGAEMQGVEEVAANMEGVEDVNAEMQGVEEVVADMEGVEDVDAEMQGVEEGCIPLMFSHLVTPRNIFYPFYLRNNLFYTLYVSTIIFYPFHLCSNLFYTLHLRTNIFYPFHICSNLFYTLHLRTNICYPFISAATCISPLFIMSNSSSTSRRSIAMFIHEDTNMHVKVMLIHEDDVGVVLHDVASTSTGHRFIKVAKSPMSPAVAFPFIVESSGITLLNLSQGKICWVWEDDHLNASAVTATAWKILHSHMLIGTSSKNACGGGVRQKSEVAGCN >cds.KYUSt_chr1.2577 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15381116:15384366:1 gene:KYUSg_chr1.2577 transcript:KYUSt_chr1.2577 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAAAPMDIDAAAAAAAPPPPAFKGKGPLPASAVKSSPWVEKYRPQSLADVAAHRDIVDTSKCPAAPFAYSTALLSWLTRRRVSVFAGAIMIPYLEHWSCGRPVGWFYRMRVRFGLMAHGFVDRLTDENRLPHLLLYGPPGTGKTSTILAVARKIYGSQYGNMILELNASDERGIGVVRQQIQDFASAHSLSFGAKPAVKLVLLDEADAMTKDAQFALRRVIEKYTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGSHVTERLQHIIKSEGLDVDEGGLTALVRLSNGDMRKALNILQSTHMASQQITEEAVYLCTGNPMPKDIEQIAFWLLNEPFSSSYKYISDMKMRKGLALIDIIREVTMFVFKIKMPSDVRVKLINDLADIEYRLTFACNDKLQLGALISTFTTARTALVASAD >cds.KYUSt_chr2.18428 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116094809:116095506:-1 gene:KYUSg_chr2.18428 transcript:KYUSt_chr2.18428 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSGALLAVMAVAALATTALAKNYTVDGSTGWDTYVNYGKWTAGKTFMVGDNIEFKYEVYHNVLEVTKADYASCATGSPISTHSGGDTTFELTEAGTRYFICGIPRHCSNGTMHVKITTVAYDATTAAAIEAAAAPGAAPSSAPLPSPPADVYSDARTAPAGAPGASVPKSSAPRYQRTSAAVAGLAFAALLAIAA >cds.KYUSt_contig_1790.30 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:175752:176006:1 gene:KYUSg_contig_1790.30 transcript:KYUSt_contig_1790.30 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRALTWASTATIALADAQQVNLPTGRFDPLPGIDPCRWVETNAGAPGGSREKAHPPRFDPMPRHLSRFAPYPLPSYAAQMAS >cds.KYUSt_chr2.10246 pep primary_assembly:MPB_Lper_Kyuss_1697:2:64908457:64913763:1 gene:KYUSg_chr2.10246 transcript:KYUSt_chr2.10246 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDLQNDLEVVGASDDYGFEFDDDAGGEGFQTSGGASRSHMDKQMDATSALEFRQGKDMQGIPWERLNYTRDQYRQVRLRQYKNYESLARPRDGPEKVCQRVERRDSFYGFQSNTRHVKPTIVHFQLRNLLWATSKHDVYVMQNYSVMHWSSLLQSGKEVLNVAGQVAPTQTVRGARPLSRVQISTMAVKDNLLVAGGFQGEFICKHVDQPGVAFCTNLTGNKKSITNAVEIYESPNGGSTRVMAANNDCVVRAFDTEKYSLLTEFSFPWSVNNMSVSPDGKLLAVLGDSSDFIIADSQSGKEIASLRGHKDYSFASAWHPDGRVVATGNQDRTCRLWDVRNPSEAFAVLEGRIGAVRGLKFSPDGRFLAASEPADFVHVYDVAAGYARAQEIDLFGEIAGVSFSPDDGAEALFVGVADRTYGSLLEFRRQRQHGYLDCYF >cds.KYUSt_chr5.5434 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33960291:33960581:-1 gene:KYUSg_chr5.5434 transcript:KYUSt_chr5.5434 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSTLGPNSCDRPSPPSPPPPPAPSAEPASPPLEHELDEAYMEEFVELGTQFMADAEQEATAHAMAEEDDDDLEGCSDRPNPEEKSTEKKSILAP >cds.KYUSt_chr5.31204 pep primary_assembly:MPB_Lper_Kyuss_1697:5:197741366:197747676:1 gene:KYUSg_chr5.31204 transcript:KYUSt_chr5.31204 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPNVKAETMRLMDRRAAVEAEMDAIIAALSAPGGPGIAGGLVDAEGFPRADIDIPAVIAQRRKLGELRNDHKDITNKIEKNLEALHSTKLTRNEQSTPRSSGTSVPLRGGLSQNDPMEEDVVTKLPFAMIDEIAEGSPAAVDGLLLGDEIVKFGSVEAGDRLQERLVSEALSNEGNQVPLLINRQGSPMNLTITPRSWHGRGLMGAPGIRLIKAHRSRVYMESPSRNTSCLTTVQCLAVLVKDPPSSKYVLDPDTSWAVTDPASFVGRLRSGFLFLGWTSSFMIYSNWAARWATCLITNYGPPGLAGSRPCR >cds.KYUSt_chr2.25799 pep primary_assembly:MPB_Lper_Kyuss_1697:2:157819627:157825973:1 gene:KYUSg_chr2.25799 transcript:KYUSt_chr2.25799 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSHHDDDCSSSLGPPSPAAAMDLPDEEACSWDTDHVVAWRDADLPNTVFVRTDRSGAFHAYPALGGPFHSLHQVQTAVDLHARGTARAQLERRSALDKLSRKERAIREFLYYPDGKTRVRTMRLVADLDRDKMRRLAEALLDKHNDAEGHAYELQDVVHWQSICEGKAKWYYHLNITVRTKPAADPETGSGDLFFAEVSRVPGDVLGYFLSCFYRVQPDGDDNEVRCHGCENNGSVDMKHPHADLYTGGHRNIRFPRGFGPRNEMQLHGSKSDPTEEADMIKAEEDRIRATFDESRHRTTDRLVQPRNGILEPSRQMSSSSSAMAPPSILSSVTVKLSATNFMLWQAQILTHLRGHCLLGHFDGSLDAPP >cds.KYUSt_contig_60.266 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:1564211:1567951:-1 gene:KYUSg_contig_60.266 transcript:KYUSt_contig_60.266 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGNTGNATSAYTTTALGRTFRVSFCLADSSWTSEFILNFVESKTTPSVAMAQESWKESEETVQTPEAPILCVNNCGFFGNSMTNNMCSKCYRDFIKVATIATPVVQKKVFAAASSSTLPLEPAKTDEAPAATAADGQAAQEPPKPPSNRCLSCRKKVGLTGFQCRCGGTFCSMHRYADSHECSFDYKKAGRDQIAKQNPVVVAEKINKI >cds.KYUSt_chr2.21037 pep primary_assembly:MPB_Lper_Kyuss_1697:2:132242665:132243912:1 gene:KYUSg_chr2.21037 transcript:KYUSt_chr2.21037 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFLLPSCQSGKDLQRSLRAKEFNCCPQYSISMDKLNSNLEYFGEALEICDEQGLIPLMTFSHNYSKEVICQFYATAVFLEDEGGFRSLKWMTREHVMEATWEDFARGIGYELHGYDTNVFKIHLQPKPMAKEKMVKLYIPGRMLCGSAYNLLPVYDIMNRIYRSTINPKHTNHDEVHGFLVNLLVCTHELRGCGKQLDVMDYIWHEMRDCAFLRKLPQYAPYIMTIICLKWDQEGRGDLLEQCRPNITIHKEKSPLVKNHDLPRYGKGAPKDKDEDEADSDDSDFVPNSIKTKGLFAKLTARLKKSFCFKRDLEDRMYQANHDNKKIRQRQKTMMRHMQLPVSEGSEDNIAPPGEWKSKLVWSSSEDSIPEPPHGKGLAQEEDDDEGDDEEEEDIEDEGDENEDDDDEDDDDE >cds.KYUSt_chr3.29640 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185450018:185451356:1 gene:KYUSg_chr3.29640 transcript:KYUSt_chr3.29640 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEPPSAASAQQLAQWRGAVDQAEQSKLCFNLQLCYGAKTYAQIIRIRDAGFFSGGFNYHKYEMCGKDDSVPNFAPLFFGNGAVEIDDEEDVPLVPSIVDNWCLSIAACCNTSSAIVQLIFADQTFVS >cds.KYUSt_chr1.36418 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222172737:222177670:-1 gene:KYUSg_chr1.36418 transcript:KYUSt_chr1.36418 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRAIPIELPPQFPSSHPTLAPSSPPPEEASRRRRPPASSDCESNGSPETSRNPQKPGLAKLQSMSKASDTRCPDLLQSQPDSSSYGCLSLLKEKIPGDETSALDALHTLADGPVNILRPFSNAETESSTHIKGSKGNESDDKPSAPATVPLFEKNANSRKRKTIERLSEIANNEMVTRKSARLMKDPHHDGITISEVEQQDPHNDGSAISEVEAMDIMDATQNALSQKSDSMSKARSSHKLGILKSLAPECKPSEVADDSRNNITNPVNSVVDLKDKLRRWCMSEWCCTAIDCPWFPKNDFFAEYLNHVKLGHESAKNEFVKCLNQVEMGHGPKLIRVEWGVITSSFGKPRRFSKQFLQEEREKLSQYHESVGQQYPDLQSVVREGLPTDLARPLAVGQGAIACHPKTRELHDGSVLTVDRNCCRVLQIDGSELKSGFEFVMGTNMQREVTHLRWKIMCEISSSRVNIRDLPGYLLANDW >cds.KYUSt_chr1.39064 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238797056:238799677:1 gene:KYUSg_chr1.39064 transcript:KYUSt_chr1.39064 gene_biotype:protein_coding transcript_biotype:protein_coding MMCNSEKKAAMPSSKRLKAALPGRRASVAEDIMVEVLLRLPIKSIVRFRAVCRSWATLLSSEEFCRLHRAITRAAGVPLKLLHFSPAAMFRTMTAYSCSLAQGPRDDELLFTLDYARGKWVEVLTPASCHGLTLLYDALAKAYYICNAATRAVTRLPHSAAVASHWISTGLGFDARTREHKVVRLINGAHQSPEQDTTRCELYTPGGSHGDCWRPAAGGVPFGLRRFADSAVCNAVEQRLAPVFAKGFLHWLIQPYLLFKRPRGAIVYFSVMEETFSCVQSPPFLSPDFGPNPLSAPGFDLPFAHQAPPAGHLVEMDNQLYLVRDLRSNPHGSTLEIWRLLEYSSGNWSLDHRIDLSGHAMGRELREPQTVRVIGSIDSGRSGKKIILTTCKHKVHEKFEKKVHTYDLSSQDLETILSVTEASTSAYGFIYDKPLPSRFGLFEDCLAPMHKTDEEIALSSTLSKAVKEILLRLPAKSVAQSKLICKQWLRLIKSESFIRSYFEHKNMGRSLTIMLVGKGTGQSAFCFAPLDTWLSEAPNHCALLDTKVVCSKPCHGMNLVSTATNDYLYNPGTGFHRVYRNPGPQMYLALGSQRINAAEKHAFAVGGKNVGLTFDPLSREHVIVEIVYHQKNFHSRGYRSVCELRWCNSTELAHEYLVPLPPLPVNDMPPAYVGGVLYWMSDPRLGRSYERAIVSFDISTRLFDTIHCPSCITVWSKRSPCSAFVVELQGALCAVLADPVTNSLDIWRLERGRCIWGRAWVIRLEASPDYSLVTNVVVPLAVDPKDGGILLNTGRKLGVYDPLKQTIKSLYSQDQLPLATSAPHLGVPQRSKFTSLEDNSALDSEILPLVPMVYEESLACYPVVGLPRWLSFC >cds.KYUSt_chr2.53498 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333710114:333714370:-1 gene:KYUSg_chr2.53498 transcript:KYUSt_chr2.53498 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLYDKRRHLVVLLSLLTLTLTAGLSTADAAAATVSFYPSPEAAASAHCDGTLYPDLCLSTLATIPNLHKKPLRVVIGATCNRTETEVTDMSANCSSYLQESSLTARDRLAVSDCIELLDDTMDDLRATTSDLEQRLSTSSRPMMHEVMTVLSAAITNQQTCLEGFAYQKGGHLRRLIEPRINHISRLVSNSLALARKLPGANASSTSTSSMESMQRQPFMGYGQMVKGFPRWVRPGNRRLLQAPASGIKADAVVAKDGSGAYTTVSAAVAAAPTNSKTRYVIYIKAGTYMENVEVGKKHKNLMFIGDGIGKTVIKASLNVVDGSTTFRSATVAVVGNNFMARDLTIENAAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFYRECDIYGTIDFVFGNAAVVLESCNLYARRPLPNQSNIYTAQGRTDPNQNTGISIHKCKVAAASDLAAVQSSFRTYLGRPWQHHSRTVFMESELDSVVDPKGWLEWDGTFALDTLYYGEYKNTGPGADTSKRVNWKGYRVINGASEANTFTAGSFIDGDIWLQI >cds.KYUSt_contig_988.307 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1838174:1840533:1 gene:KYUSg_contig_988.307 transcript:KYUSt_contig_988.307 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLIPILLVLLVVSHVALASIVKETCAKVENISLRKELEAVCVTTLQAAPGSATADTHGLAVIATNLTLVNYTAAVATIKDLQRHGGWTVGQQAALATCRERYTEGLNAMHRAIQALATMQKQAYEDNMIAAVRASTDCAAASVAADKEESPLRKVNADAEHLTVVAMVRRAARRRALPAVAEQRGDHRRPRLKPSSRLTLYVARSSVECTASCTTVLGGDEPVSQLVGVLQKVRATTLPAQKVSGKGKETSPPLGSSSMVLSEMEEKFPLGVTSGVTGNGQRFCRVSLVSAARRCRQSGGGRKQEGARGRGARPW >cds.KYUSt_chr2.45942 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286755508:286758774:1 gene:KYUSg_chr2.45942 transcript:KYUSt_chr2.45942 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSEEAELVEVEKAPGQHPGHGPTQAAAARSLPAMEAPPSAKVVGRRSPGSSMNSFTTRTTSGGTSVGGGEPESGTILEAPDLRVFTFAELKAATRNFKPDSLLGEGGFGQVYKGWVDEKTMNPVRSGTGMVIAVKKLNQESLQGLQEWQSEVNFLGRISHPNLVRLLGYCVENRDLLLVYEFMAKGSLENHLFRKSGSFQPISWGMRLRIAIDAARGLAFLHSSEKHVIYRDFKASNILLDTHFNAKLSDFGLAKNGPTGGDSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDTTRPAPQLNLVDWAKPMLADRRKLARLVDPRLEGQYPSKAALRAAQLTLSCLAGDPKNRPSMAEVVVVLEEVERMRPRHRRASPEQDGSGGASPRTAARSVHAGGRHHHHQQSPRPRPGADGARSSHQSPRVR >cds.KYUSt_chr4.50055 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310067508:310068095:1 gene:KYUSg_chr4.50055 transcript:KYUSt_chr4.50055 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGHRASLLLFLAVATTVLLLAAGATAQGCANATFPAGRSFERCDTLPVLGASLHWTYHAGNGTAELAFRAPSGSGGWVAWGINTAGAGMAGSSVFVASQNAGGAVSVLTTVLESTSPSLKNGTLKFDVPVPPTAEYAGGAYTIYVTVALPGNSTTQNTVWQAGPLSGSGAILPHPMSGANLHSVKKQDFLSG >cds.KYUSt_chr6.11885 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73894872:73897106:-1 gene:KYUSg_chr6.11885 transcript:KYUSt_chr6.11885 gene_biotype:protein_coding transcript_biotype:protein_coding MERGERAPLLPESHGPKMQEDTLQVPLLKHKKSGGSKAPAVILGFECLESTAFNGISTNLVVYLETVLHGGNLASASNVTTWFGTSYLTPIFGAVIADTFWGNYNTILVSLALYLLGMMLITFSAFLPTTTTAALCAVGASCAATAGTWAVSSRTVAFVGLYLVATGAGGVRSSLLPFGAEQFDDDNAADRDGKASFFSWFYLCVSFGPIISGVFLVWIQQNVSWGLGFGIATACIAVAFAGFVLATPLYKRRMPTGTPLKSLCQVLAAACRKISVRVPADAGFLYEVSDKIDSQPKIAHTSEFKFLDKAAIVTESDMEERPEAATSWKLCTVTQVEELKILLRLLPVWVTSVIVSSAYAQMNTTFVQQGSAMDMTILSVPVPAASLGSFEVVCVMAWVLLYNKLIVPALRNFSSRPDGEPSHLQRMGAGRLLMALTMAVAALVEMKRLDSAARGEEISIAWQLPQYFLLAGGEVFCYIAQLEFFFGEAPDTMKSMCTSLALLTVALGSYMSSFIYAVVEAFTATKGRPGWISDDLNQGHLDYFFWAMAAMCTLNFVVYSAVVKNYKLKMVIS >cds.KYUSt_chr4.12906 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79363063:79364214:1 gene:KYUSg_chr4.12906 transcript:KYUSt_chr4.12906 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQTLPLPAPSNPNQIHTPTAPLPLPGDDSTTPPPPPTASTAARKLPIKRRSPPRPSASPTSSSGANPAFKFQRIWSETDELRFLQGLLGCGAQGQGLAFPRDLNVFYDQFSESMPQPYTRSQLSEKLRRLKNKHRAVAARVANGLDPARLAPHDRDVLHLCSRLWDPAYAANSPFAGPDSANKRRRANPAPSGLAPLPAPSGDSSYNGGITSSAAAPFPEEDGMYLEQESGHNNLPYFDHQAAPLAADPSLDGVAMSQPGETVAAFTDNQAVPAFTNIAHNGPATVAPNTFANNNHNMVADNKNCKVVPPRSNDHRVASAVLDIFEECMREAKAEGATCDANAEETELAKRWRQQRIDELDVLSRRLRLIIEDATTAAGH >cds.KYUSt_chr5.27028 pep primary_assembly:MPB_Lper_Kyuss_1697:5:171175836:171178410:1 gene:KYUSg_chr5.27028 transcript:KYUSt_chr5.27028 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSRIRRRQAQAQAEEAQGAGQDLLMSLPPEMLDNILRRLPFDKLVRTCCLNPAWHRRWESIPNLDIWFSAGSNAVPDARVLWRCAAPVRSFTARVRMPHFYRAARWLQALARKRVEKLVLKFDNPWFSRSAGVLGPALFACRELTHLELCGYCHLPRTPHGFGGFPNLVTLLLSHVAFPFSGGAAQLEHLISSAVVLTELSLNDVKTSHFDDGAPAQRCAIRAPKLRVLKLIMFFDNGCRLSEEFPLLEEAIISIDDLFWTPDYINTFRRIRNAKRLLIETDSIQINENPLQGISWKFQNLKAGHLSANFGKLPSIMSIFSLLRSAPHIEELHIEVEITKRDDENDEDFANGEIDDPDDAIDEEIIKAEISDDLFANLKHVSLDGIKCLPNDIWFMKFVLSKTRLLESFIVTFGYRQISKSYLDACTELAMCQKASPQAKLMVRLRDEPDSI >cds.KYUSt_chr2.4403 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27211618:27212160:1 gene:KYUSg_chr2.4403 transcript:KYUSt_chr2.4403 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLTPNTAPPPAATGTAAHSGWDVNRSAVKVAIVGNVIVVLLFFVAVVWRLFFSGKGQEGTIAPEGGGAATAEADALSSAESSPSASPRGKGLRKEDLVALPVYVHGAGASAEEGSGGKVECAVCICELGDGDTGRLLPRCGHRFHAECVDRWFRSHATCPLCRTVVGGQPQGAAAE >cds.KYUSt_chr3.34055 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213666641:213667546:1 gene:KYUSg_chr3.34055 transcript:KYUSt_chr3.34055 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRSLTPFQLTATLFVALLATCHAGSIAVYWGQNDGEASLAETCASGNYEFVILAFLPKFGKGQRPQLDLGSHCDASSGGCKSQSKDIKSCQSGGVKVLLSIGGGDGSYGLSSPGDARQVAMYLWNNYLGGTSSSRPLGDAVLDGIDFDIELGGTKFWNNLASDLKKLGNNIDADKHVLLSAAPQCPFPDEWDGGAINTGLFDYVWVQFYNNPECQFNAGRQAFMDAWKRWESVPAGKIFLGLPASKDAAGTGFVPAGVLTSRVLPLIKGSPKYGGVMLWSKFYDDRTGYSSAIKSHV >cds.KYUSt_chr5.19814 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128581480:128581686:-1 gene:KYUSg_chr5.19814 transcript:KYUSt_chr5.19814 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAALEAEIDAVLVTLSDEQRADLRFFPDNYDSWSDFFRRRYERELAAYDGPPPPPARNNAAGRRRW >cds.KYUSt_contig_195.260 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1727261:1727548:-1 gene:KYUSg_contig_195.260 transcript:KYUSt_contig_195.260 gene_biotype:protein_coding transcript_biotype:protein_coding MRVITGPDARKSPCGHDRQTRARCTSGSEFHSAGVIDDTAGGRLEPSLESTAKTQTRPPDMDATGAGEDAAWLAGIAVTGAGQESRECTGSRGHR >cds.KYUSt_chr5.20861 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135453523:135456022:-1 gene:KYUSg_chr5.20861 transcript:KYUSt_chr5.20861 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCMFAVSAPFAASSSSSSSARRSRRFRVVAMASVGQKVYAPGVAVSEGNGGLPKISLKSPQGSEAEIYLFGGCVTSWKVPSGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGAIQQHGFARNVNWSITDSEVTEGDPAVTLELKDDSYSRSMWDFSFHALYKVTLHSTSLSTTLTIINTDDKPFSFNSALHTYFSASIAGVSVKGLKGSKTLNKDPDPKNPLEGKEEREEVTFPGFVDCVYLGAPSELTLDNGLGDKIVISNTKYV >cds.KYUSt_chr1.23272 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138136173:138136496:-1 gene:KYUSg_chr1.23272 transcript:KYUSt_chr1.23272 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVELGIWVIPLTLVLVPCRRIVLLLTRLQELYRSMTAPRLVITSREEDMWSRLSRLNSMAFMIFVSLHKLIVVEV >cds.KYUSt_chr7.30477 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189742541:189744258:-1 gene:KYUSg_chr7.30477 transcript:KYUSt_chr7.30477 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGDGRDLDRALLEVEAGDGTRWSWKGMDWAVRLRSWRPATERLAKVEPGDELGSRMWSPATGGARRGGDRRLGVVQAHLHAGLRGEEMRLEKIRKNVGDPPGNEALVSLLTRTTPRDTCYVADEGLKDLKYSGHQPRAHSWDEFPVLKDILKAVHEALPGSYFNSLLLNRYKAGSDYVSWHADDEPLYGPTPEIASVTFGCEREFLLRKKPTKTQVAAGSGESVRKRLKIDDPQQHSFLLKHGSLLVMKGYTQRDWQHSVPKRAKAASTRINLTFRHVLS >cds.KYUSt_chr2.41634 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258974889:258987915:1 gene:KYUSg_chr2.41634 transcript:KYUSt_chr2.41634 gene_biotype:protein_coding transcript_biotype:protein_coding FFAGKLLSKWEGPYVVEEVYRSGAIKISSLQGNATQVVNGQRLKHYISGDSYNVDVDIIRVETPEAFIKGQIDDPLNSTLNRSTMASNNKGKGLSEKEVKRVSSRQEQQAVGSKQILVGSVDARRSFSHNLQGPLPPSLSLDSLPVLEEAPRTTDEFCDQYRALRREVEILQEENYHLRRMLEYYSIPITRSSSPTSDNNESLRVLVQNCQAEKLKLKEIYRTVSGFSARPRSGRTSLETPAPKIFPSYRRSLDESDFRVAAGDETGQPPLLARGASMDSAGQPGWYVLGPTQEHVGPYTLTELREHFANGYLNESTMLWAEGRSEWMPLSSVPEVHSGVTKKDQPEQETEDDFEKFQKELIEAESEVEALKDKTADHDVNIQLAVDDPDERPTSPPDGVEEFTDDDGTIYKWDRTLRAMVPQNDVSGKTEGYKENDVSGKEDDYGLEEMTFAVEEEVLQPPEIPVSSTLDENNALTEKQNKEPEKVENRQQKKRKSKEKPAEKKEADKPPEAWFELKVNTNVYVTGLPDDVTAEEIVEVFSKCGIIKEDPGTRNPRVKIYTDKETGRKKGDALVTYLKEPSVPLAIQLLDGTSFRPGGKTLMSVSVAEFQQRGEVFVAKKADKQKKKKGKKVEDKMLGWGGHDDKKVMIPTQVILRNMFAPAELRENDALLPELEVDVREECVKFGPVDNVKVCENHPQGVILVKFKDRKDGLKCIGAMNGRWFGGRQVEASEDDGTIKHALIRDYDGEVSRLDRFGEELEEST >cds.KYUSt_chr3.46845 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294363393:294364532:1 gene:KYUSg_chr3.46845 transcript:KYUSt_chr3.46845 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLPGLPDEIVIWEILVRLPPKSLLRCRAVCRAWRRTTSTRAFLLAHHGRQPSLPVVCGFDGYNQSILAFDHRAAADAWLRPVARLDGERFFCLQASCDGLLVFSATTAAWRPCLAVCNPATRQSALLRHPRDASLFGVLGMYLHRPSGEYRLLLHRTSGRPAILGHDPLPERRTGCYVSALGSDQPPRYIGGPAAAASALGFYTPALVRDCLHWSPVGSCKVVTVFDTAAESFRQMRAPIAPTKLSIFQLDGKLGIYSNDNATKAVDVWVLPNYEGEVWEHKYRVELPAAEIRGQFGGRGGRLNVSVVSAGDAVLLLLICGQWLFYVDVDGVLVDSFSCDGQKLYACGLALKQTLVPHAFFTALEDYTVNASPFI >cds.KYUSt_chr3.17399 pep primary_assembly:MPB_Lper_Kyuss_1697:3:106551709:106552764:-1 gene:KYUSg_chr3.17399 transcript:KYUSt_chr3.17399 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAAVTHDDLSLRKAQERRAGRSGGQIAVALVALSVLCGLVSFILCLAAEGSRSEVRFLPAAIHAWLATGLARADRWWLAACMQVSNYLMSVGASAGQVDVCVYNSSGRSPLGFAIGAFLLLAVAMFAVHAYMLLAVAAPDSAAAGLAVAEDHPRVSSATNTLTWQTCCLFFITWICFGLAEVLLMIGIGVESGHISDWRKPRQVCHRVRPGMFAAAGILGLITVVVGFVVYVTAVQTQKLRARHDGGHFAGHGAPYPGSAPYPAPYPGTQQHHLQPPVSYPPHPHPAPNAPEITAAACQVQSSNAWSITKDKE >cds.KYUSt_chr1.22992 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136352181:136355160:1 gene:KYUSg_chr1.22992 transcript:KYUSt_chr1.22992 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGGLAAVVAALELGKSGKDRVATPTAFNSFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCITYILSCITKHSPQYRILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLVAIVAGLFANLLADNLGFGPVAPFDAAACFLAIGMAIILSSWGENYGDASEGKDLMAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLSSMLGSSIASRLLARKIKVEGYMQIVFSISAFTLFLPVVTNFIVPPSEKGSSISFGGCLQLLGFCIFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFIAAILQRRLMVVSDLHRSI >cds.KYUSt_chr4.39312 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242567649:242570938:-1 gene:KYUSg_chr4.39312 transcript:KYUSt_chr4.39312 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGGATTAASAATPGSGAEMKEGVGKHVMVILVGPPGSGKSTFAEAVLNAASGRPWARICQDTIGKGKTGTKIQCLKAAADALKEGKSIFIDRCNLEREQRADFVKLGSTLQSDVHAVFLDLPAKVCISRSVSRTNHEGNVQGGMAAMVVNRMLKKKETPLLTEGFSRIMVCQDDDDIKKAVDTYSALGPSDSLASGVFGQKSKAPVQAGIMRFLKKVDTSSAEKSSGAMLSSSGRKPGQQDTSPKQDNPEAVSTSSMEVDNKSNNMKGNEEKSKESASSDISSLTLAFPSISTADFQFDLERASEIIVDTASEFVQKHDNGRLVLVDLSQKSRILSLVKDRAAKKNIDSRRFSTFVGDITQLKSKGGLHCNVIANAANWRLKAGGGGVNAAIFNAAGEDLQRATKECADTLRPGSSVAVALPSTSHLHQQEGVTHVIHVLGPNMNPMRPDCLKNDYTKGCKILHDAYTSLFENFASIVQSHTVKQNDETSSKKSASGVISPNDSKMKRQDSHDSERAKKYKLLPPILTSRQNHEHQGTNTGNYHDKSMGSSDAPNQAREEDSKKNGAVTNKTWGNWAQALYEVAMHPEKYKNSDSILETSDEVVVLKDLYPKAKKHVLVISRRDGLDSLADVKKEHLSLLRSMHSVGVKWAQKFLEEDASLIFRLGYHSVPSMRQLHLHVISQDFNSPSLKNKKHWNSFTSAFFLDSVDVMEEIDQQGSATISPDEKLLAMELRCHRCRSAHPNIPKLKSHIAACKSSFPSHLLKKDRLLSSDSTLGMDCA >cds.KYUSt_contig_319.1797 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:11749959:11751872:1 gene:KYUSg_contig_319.1797 transcript:KYUSt_contig_319.1797 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLSAWFVNPRKNPLARLHMKTVAYRLSNYGLRYDDLYDPYNDLDIKEALARLPREVVDARNQRLKRAMDLSMKHQYLPDDVKALQTPFKSYLSDMLALSWVHDTQLLLALSTSVAIRSTNFASHRSRSFALSFTCKELQKLNQ >cds.KYUSt_chr5.116 pep primary_assembly:MPB_Lper_Kyuss_1697:5:801306:802625:-1 gene:KYUSg_chr5.116 transcript:KYUSt_chr5.116 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVQLEEAGRYATTCVATRAAGRSCDTRRRPLLRIPLPRHGHAADVGGRKRWLLPSGIGGLPCYLAAMLPAAAIIATMGGRTFYKELAALLLTTEALAAAGELRRLLPAAADIATKEGRTCYKELAALLPMAEALAATGELRRLLPAVADIATKGGWTCYKELAAFLPAAEAVAASSERRRLLRPPLLQKEANLATKDSRTCCKRASDVSLKVRRGRRCGEP >cds.KYUSt_chr1.6373 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39278261:39283922:1 gene:KYUSg_chr1.6373 transcript:KYUSt_chr1.6373 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLGRVSCRSREGAAGGENDLVLRDGEEQRRKPSAADRRCWPLALGHVSMDEDEEEGAEKEEPETDWSCLHALVLTLVEGNKRKRREMRLLKMSKVEEVSSHDPTEPHISLVDAQNLWNQWEIHCLILASFVLQVFLFSFAGVRLRSSSRVVGVVLWLGYMSADSVAVFVLGHLSVHTGGPNHQLLIFWAPFVLLHLGGQDTITAFSMQDNVLWMRHLLGFASQLGLAVFVVSKSPWPDRWLLTSTLLMFVSGCIKYGERIYCLATANPGQLMKEFLGGFEDRIRSIKELQTIARQGLGSYLSSRTFTEVSLNPAMDIMSTDILPNYDWSVSRDIFSDLYSKQVDSSVPQYRSSAYIFAEDRLWICYQRLYTKATFRLCPAGALLHLIAFLSTSAAMALFFMAAKSGQLQHYGGADIAVSGVLLVGAVTLEAASFVMSMFSREDLWRHPFCWSGNHTVILCVFFITCGTCADLLRKRWRRPQWSRTLVQYNIITGCVKRASMVPRWIVERLSASAPGIERIPVTNDLKNLVIKNLLDNTMMSTDFTSSRGEVALGRKRRTAADEVQAPAAKSLSEKVLSESVKEVDFPTSVLIWHIATDIRFFTDAGCDASADKTISRQLSNYIMYLIFKCDVMLSSNSKFLLRKARDEITLTLKKAGPLGRDDSQHDADKLSWNKKTAGTAMAVRHLVNSPLFVQACSGMDISVPFNPSTADILGDPVLPRAFKVAEALASIPEADRWDIIVDVWLEMLYYIAPRCGAAFHRNHLNTGGEFITHVLVVMYSIGPLGYHPSLLKFGPH >cds.KYUSt_contig_1158.155 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:978965:979306:1 gene:KYUSg_contig_1158.155 transcript:KYUSt_contig_1158.155 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRAYDLCLWLELEQEPDEDGNYQPRRPISAHTAVPGTAIANGGFVRAPRRPTNHSKPSGMYKPPGGKGDGSSASGKGRHKFWHDELKTYYLELADAVDGYGMD >cds.KYUSt_chr1.28251 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170645237:170645821:1 gene:KYUSg_chr1.28251 transcript:KYUSt_chr1.28251 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGTAPLRGFNGSPGYFVSATGITVDQKKVPLSGPLVVGLSSTIPYTALRADVYAAFVKAFDQAAGSPNFSPFVSRVAAVAPFERCYNSTKLSVGLSRLGYPVPQIELLLEGGQSFSVLGANSMVQVKANTACLGFVRMKAAGGHQAAAAVIGGFQLENHLLVLDEEKKQFGFTTYLGAIGLSCSSFNFTRAA >cds.KYUSt_chr2.38902 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241157183:241163344:-1 gene:KYUSg_chr2.38902 transcript:KYUSt_chr2.38902 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRHFPWSLLFPCLCCLLAPVASSPVAVTHLPGFDGPLPFSLETGYPILFSLNIYELKRYVEVDESNGVHLFYYFVESEKDPARDPLVLWMQGGPGCSGLLGLAYEMGVGDKADDSSDRSWKLGLLPEDPKKLDNPSNQHSAGSIVPVVRIGEWSGPFKFDVEGYRGGLPTLLYRPETWTKLSNIIFIDSPIGAGFSYATSEEGLKSSDTMAVKKLVIFLKKGYFAGNPSTDARFDVAGNIQFFYGMGMLSDELYEFSRLLNHTQNAKKFYPQTANENCRGNYSDPPNALCAESVQAIANGDTLELSNIWANDERVRKSLGVRKGTKGEWITCDHGIPFTRDIMSTIEIHLRLRREGYPALIFSGDHDSGVSFVGTQAWIRSLNLSITDDWRPWYVDGQVAG >cds.KYUSt_chr7.17158 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106311140:106312897:-1 gene:KYUSg_chr7.17158 transcript:KYUSt_chr7.17158 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQWAVQILVLTSFSLQVFLFLVGGTRRRGSSTVLRVLLWLAYLTADTVATYTLGHLAITSGSHLHQLSVFWAPFLLLHLGGQDTITAYALEDNRLWLRHLQTLVLQFLGVAYVLYRCLSGSWGLVTAAILMFILGAAKYGDRIWALKCADNIGIDGLTDKYCHKVLNNRYLEVSGMEEEEMVKQGAHILLSICVSQFNDCTLKKTTLYQYKTLEYLVGSKKIYSVIEMELSLMYDMMYTKAGVIHTWYGHGIRLFSVITIVHAFVQFRFFINKDGYRRVDVYITYILVVGAFVLEMTSWIMALGSTWARHFLYIRGWNRLYRVTVSLRRLVNAVGWRMWSGNVGQFNLFKYAQEHASMDMHKLKDLAPPVHISAPTKDLVVNMITKIVARHGGEADGLYSLNQKAENCELPVLDCDFDTRIIIWHIATHAILLWIKDKERQRDDRNYEKAIKTLSNYMVFLLVKHPEMLVAHTSRQLCLEALNLLKFVDTNGLAEYLSQDTLPVQGPLMKNDPCVRGMALAKSLVGNEWERHHLLEVVFGAWVVMLCYAGSHCNRDSHARRLIEQRRRVSNHRVAASRAPLPL >cds.KYUSt_chr3.43884 pep primary_assembly:MPB_Lper_Kyuss_1697:3:277022653:277026758:1 gene:KYUSg_chr3.43884 transcript:KYUSt_chr3.43884 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYLKLLLLLALGGVTMHVPDADVLAPLGPGALRLHGHLSFHDVAAVARDFGNRCSLLPAAVLHPGSVSDIAAAVQRVFQLGERSPLTVAARGHGHSLLGQSQTAGGIVVKMESLGGNARMQVHNGDGATTPYVDAPGGELWINVLHETLKHGLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQISNVNQLEIVTGRGDVVTCSPEENSDLFYGALGGLGQFGIITRARIALQPAPKMVRWIRVLYSDFASFTEDQEALISAEKTFDYIEGFVTINRTGILNNWRTSFKPQDPVQASHFQSDGRVLYCLEMTKNFNDDEADIMEQEVGVLLSRLRYIQSTLFHTDVTYLEFLDRVHSSELKLRAQGLWEVPHPWLNLLIPRSTIHKFASEVFGKILKDSNNGPILLYPVNRAKWDNKTSVVIPDEEIFYLVGFLSSAPSLSGHGSVEHAVNLNNKIVDFCEKANVGMKQYLAPYTTQQQWKAHFGARNMHEHQGYGRIKNIAADHLVLSIECELVHVCQGPDKNWITLERNEGTCTDLTFNTDKKENKPD >cds.KYUSt_chr7.16488 pep primary_assembly:MPB_Lper_Kyuss_1697:7:102149653:102153382:-1 gene:KYUSg_chr7.16488 transcript:KYUSt_chr7.16488 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTRGGADQAQPPPAPDASLGFLTKRDTEVKLPRATRVKNKTPAGVQITAEQILREARERQEPEIRPPKQKITDVHELADYRLRERKRFEDLIRRVRWNVSAWVKYAKWEEGQKDFARARSVFERALDVAHRDHTLWLKYAEFEMRNRYVNHARNVWDRAVSLLPRIDQLWYKYIHMEELLGAIANARQVFERWMSWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRFAKFEMKRGEVERARQVYERAGDLLVDDEDAEVLFVAFAEFEEKTREVERARAIYKFALDRVPKARAEDLYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDKIRDVYERAIANVPPADEKRYWQRYIYLWINYALYEELDAQDMERTREVYRECLKLIPHKKFTFAKLWLMAAQFEIRQKNIKAARQTLGNAIGMSPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDEAEFDRARELYERLLDRTKHLKVWISFAEFEASAGLGEDNESEEYKNDAGYQEQQIERVRRCRAVFERGFDYFRTNAAELKEERAMLLEEWLKKELSFGDLGDVTLVQKKAPRKVKRKRPLPSDDGSNIAYEEYIDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDE >cds.KYUSt_chr5.30536 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193639546:193644942:1 gene:KYUSg_chr5.30536 transcript:KYUSt_chr5.30536 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSLSRQSCVLLAVLCGKHADKRTPPGRSGPEAKRLRPSYPFPELSSAGRLEVHTLYNPTPEQFLEAQRVVQPNFFYIQGQRLEDEKEIGSLVWGDVDMSDPQSFICLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRNALLSVVQSSVSHTWDSFQLAHASFRLYCVRNNHVQSVKLGPRLLGDSPKINVVPPENEMVEEEGSSEVSPAIKIYDDDINMKFLLCGVPSSLDPCLLGSLEDGLNALLNIEIRGSKLQNRISASPPPLEAASLPRGMVTMRCDITTCSSSHVSLLVSGSAQTCFDDQLLESHIKNELIEKSQLVRALANSEDKLSSAEPFTSMSTACGASTFEVWMTLPKWAGQVLKHLAPEISYRSLVALGVGCINATPVASFDRQDADRLLFFCTSQRKDLASENGSYFHLPRWSASLIKDRSKTGSESKPNLLGVNGTIEDKKPPAIGPSSLTSFKGKWKPATMRPIPHSRQQQMHPFMGLPEANAHETSQSKPNLPVALPVKHNSAPAATAAHRKSTGPSHAQSIIQLNPLPMKKHGCDRLPIQVCSEGDFLKDVMQFLIQRGHNRLVPHGGLAEFPDAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELSHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPQKVANGFANTVPLSRNV >cds.KYUSt_chr2.14090 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89190168:89193656:1 gene:KYUSg_chr2.14090 transcript:KYUSt_chr2.14090 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRPRSRRAAPPAALEDDDLLWKIFLLLPPQPSTLPRISAVCKQWRSVVTDPRFLRGFRDHHRIPPVLGLVMGYTGHPFFRSNLSSPDLIPHECFFPPDILNHYYMELMGCRHGRVLFFDRRLLKIMVWDPATGDRCRVAVPPRFDDKEIVIFNAAVLCAASDEGHVHGDCHSSPFQVILIGIHLEENRAFPPIHSSIYSSETRTWGDVISTVGIQYTNTYDMSGMTGPATLVGNSLYWLFDGYEDGMLIFDLDRQSLVCIEMPDLLHYSCWSSFQVMPTDDNTSSIRLAILEYQKFEMWERKVDSDGAAGWVLQETFQMYTILGLGRMGGRENLILGYDEDDHVIYVRTDIGVCMIQLETMQFKNLGKDNFTTTTYYPYKSFYTAGAFDKSKHGAKVMDADLVPSRVLTQTRVDVIFKKEMETRSKLSKAWAKWFRSNGIPESKADCPHFRSAMKLTQQLGVGLVQYYLMNCTEFFRTLYL >cds.KYUSt_chr4.7429 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44173447:44174474:-1 gene:KYUSg_chr4.7429 transcript:KYUSt_chr4.7429 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDVSSPSKKGGLRGPRPKALKVSSSPSPTSRPSTKKNSASDGGAAPVIVYEHTPKVVHARPHEFMTVVQRLTGMRPASSLVPSPGYDIHVAPVEEGPGGGDPLLLTLGQHNAPPAMSAGQLMFPGGFFFSPNTMQYIQDLSPILTQHLGDAMHAAAQVQGADNAQLSADPTNYDLHSPSLDTQGTEDSRTGHFSPPRMARGIYMDPHYAARNPTTISISHDAAQNQFAGSHIPSQANLQEVQSSDQDSVAQQAAAPASKAPRAP >cds.KYUSt_contig_554.89 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:683039:684528:-1 gene:KYUSg_contig_554.89 transcript:KYUSt_contig_554.89 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLATVPEESADGSTAAYPPRGLYLDLIRSIAVSGAQIRSTLFSHSHSGESRSSYSRGSRTSDSYPSHNSGCSSGSAQSTAPADLCTHELKTIARRMVSDGYTQRMVKAFNNASPDQPNRVLESWFLELDVDWVLQIREKHGSQGQLWLQDKSASSLQDLVARWIRGLTVIIHCICELVFPDHNELEGVARFGKASITGMLAFVDAAVPLLKTEKLHTVLVAKFELAVRKTCQAHKFWKVPDPLLRNELRGAIATRVVSGYRHYLEEHPELQKHVAHGGSSPEVLEEMLGELFEG >cds.KYUSt_chr6.3848 pep primary_assembly:MPB_Lper_Kyuss_1697:6:22103862:22104488:-1 gene:KYUSg_chr6.3848 transcript:KYUSt_chr6.3848 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAPSVSPIIAPCSFPDLPAPPKTEASFPDVSLTRAMRGTRKLAGPTLDVNDCRCIGFLEIFGAQPFCWTPCRLAARFLPGADFCDHQPMPHMDLQFEMYST >cds.KYUSt_chr6.25054 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158760371:158761515:1 gene:KYUSg_chr6.25054 transcript:KYUSt_chr6.25054 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAPLAGLRRVRARPCDPLQILLVLVAVLVADGVRIDHRQAKGPPPVTAAVLRRGCAAATYRSCCQRPPFHAPVANDDLPYKLLLVQIILEEEMWGVKVLYREVVSVANTIGNILKCNVQALRWLRID >cds.KYUSt_contig_319.923 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:6070781:6072719:-1 gene:KYUSg_contig_319.923 transcript:KYUSt_contig_319.923 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAGVDVPRALCAAVVKFSFHPHAHLLAADASLLAAVLRRLSSLPSVALAFFRSLPPPHPLDASLALLRLLAPYPRHHPAARSLLRDLSLRHPLSSPLLLPSFLAEPHLPSWLLHALSHTARPHDAVRVFNQMRARGLAPDAHACTALLTALARARMTATARKVFGDMARAGVIMNTHVCNAMLHVCLKAGDATCAEALMTRMDVAGVPLDRFSFNTMIALYVRKGMQYEAMCVRERMRSEGVEADTVTWNTVIHGMCKDGRVKEAAQLHRDMVAEGLKPDIVTYTTLVDGYCRAGDVGEAVRLRGEMDARGMLSSVATYNAIIRKLCEDGKMKEVNGLLNEMDERKVKADHVTCNTLINSYSKKGDMVSACKVKRRMVESGLKLDQFTYKALIHGFCKGKQLGEAKEALFEMMGAGFSPNYSVFSWLVDAFHKKNNADAVLLVPDELVQRGLPPDKSVYRSLIRRLCKKGLVDLAQKALHQMKGKGLEADCLVYATLAYAQLAAGKLAAAWDTLNEMAKKQMSVTPQIYNCMCTSYGDEKETLNMFWVHAIERGLIGKNVYKLMHQERLKSSKPPVENEGHAPVSRHSLPAPAK >cds.KYUSt_chr7.27651 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172588944:172589669:1 gene:KYUSg_chr7.27651 transcript:KYUSt_chr7.27651 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFLQLFHHHHTAVSTSVDLCRLNSEPRRSCQCQRQTETMLVRSSFTPILGALHASSDGGGHSQAVHFADSCPTVAYHPPAISCSLSCGGGGGSDHERSRGSGLCRTCSDGNLSSLGGCADDHHRSRAPLETIQSFAARDGSWDEEEGDNDHYDEGADAEEEMSFGMFGDGGGGGGGGGSGSTYTQEHPLFLARGLGIDRLRSRRCLLVRPLRQQRTGPGFDSVLRTAVFFCEGTAAYTV >cds.KYUSt_chr4.20951 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131912923:131921540:1 gene:KYUSg_chr4.20951 transcript:KYUSt_chr4.20951 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPLPEEDEQPYEEPVEVDYAQEEHVESAVETLRREREERRMKLKREQQDEGSRQHPQQIRNEYAPPIKVGRGRIKETPQGWLDCPAFGEPIDRIIPSKVPLDETFNESVPPGKRYSSKQVINKQRKAGREIGLVIDLTNTSRYYSSAEWTNQGTKYVKIPCRGRDAVPDNESINAFVYEVMTFLERQKQSRTPKYVLVHCTHGHNRTGFMIIHYLMRTHISWVAEAINIFSQRRPPGIYKRDYIEALYSFYHEVPANMIVACPSTPEWKNPFDLDLNGEAKQDDDDDAGDLAPVHNEVEEKVITNDDVLGDAVPFDQQEALRIVCYRLLELAPARGHAQFPGSHPVSLNSDNLQLLRQRYYYATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPRRNFNEGSHHMTLIDGEMIIDTVPDSGLRRRYLAYDLMALDSVSKTKLPFAERWRLIEDEIIRPRYNERKQFESGAKSSPMYRYDMELFSARRKDFWLLSTAKKVLKMFIPKLCHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEVGNENRQLVFLYERGKKKLMDGTRMVFPNEEDPSSISGRIVECSWNKQEQCWVCMRVRADKSTPNDINTYRKVMRSITDNITEDKLLEEINEISSLPMYADRKKADERMAQHAKMAQHRRKMMPQQ >cds.KYUSt_chr6.31510 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199134572:199134898:1 gene:KYUSg_chr6.31510 transcript:KYUSt_chr6.31510 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVAACLLATLGGNASPSKDDVRAILGSVGAEVDEAKLEMLFKEVDGKDIAALIAAGREKLAFASRGAAAAAMDASPAAAAGAAEEKVEEKEEEEDEEDLDMFSLFD >cds.KYUSt_chr6.21033 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132740678:132741400:-1 gene:KYUSg_chr6.21033 transcript:KYUSt_chr6.21033 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSAAAAPGLGSRDEEEGAGNRQSARGSALAVPRVGGKLTSGARERGWYPALAQFPPRGEEKGRAEHEERRRGGARGDERLMTALLPPSALAKGKGGVEEMELGRRPRELPWPSIVLLSSSAAALWRSSSGVRESQQLGRRRTGRGARGLGERMSSDWARRLGFRSTDLIFYMAGAERPKIPLRVEIHCLTQMCTDRDPAAVADRRVRAMLGPRRSLMRVDNGRFMAFARALLPEMDG >cds.KYUSt_chr2.19499 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122682658:122684340:-1 gene:KYUSg_chr2.19499 transcript:KYUSt_chr2.19499 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVISSAVVVALFCLLAAHGCCGCGFLPDLFCYPPPPAPTTNVPATNVPATNVPATIVPASNSSSGGTNADDGSWIAARATWYGAPNGAGPYDNGGACGFKNVNQYPFSSMTSCGNQPIFKDGKGCGACYQIRCLAHRACSGVPETVIITDMNYYPVSRYHFDLSGTAFGRMAKYGRNDELRHAGIIDMQFKRVPCQYPGLTVTFRVQHGSNPNYLAILVEYEDGDGDAVQVDIMESRLPDRVPTGFWTPMKESWGSIWRLDRLRPLQGPFSLRVTDESGRSLVADQVIPAFWQPNAAYRSFVQFDETLPTSLVTSGANSVTSRASRRLVLPMYSGPLTYLWRCVRSILSL >cds.KYUSt_chr6.6187 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37339549:37343675:1 gene:KYUSg_chr6.6187 transcript:KYUSt_chr6.6187 gene_biotype:protein_coding transcript_biotype:protein_coding MATANLSSVVVAVDGSEESMKALSWALDSLRLRPDGALVVLHVQSPPGIASGLNPRPIPFGGPKIAEVPAFTQAIEAHQRRITEAIMEHALKICSDKNVEVKTEVVVGDPKEKICEVTAELKADLLVMGCRAFGPVKSTAGPVWFVHRTCMLVPIRGVASQGRTATGQRQQHQRCCAIPELWRGDADDGKVGFEKEILKPDTGPKPVKGQKVTVHCTGYGKDGDLSQKFWSTKDKGQQEFTFTIGMGSVIKGWDEGVLTMQVGEVARITCTPDYGYGASGFPAWGIRPNSELVFEIEVLSAKSW >cds.KYUSt_chr2.30950 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190750746:190761278:1 gene:KYUSg_chr2.30950 transcript:KYUSt_chr2.30950 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPECYGKPTRRGRPHRRPSHLRRPVRQGTADGRRAAAAVAHPRLLRQASRREDLLVRRSSSLHSGDSSRRAWWKEEAEMPAKYLHALGEERRPELHRQIGCVTGILQAFDRRYPIAAHHHKRLLPPGNALSSSPSVGEERTRYGPQIVLDKNLSRSWIENQRASSTVELSQTSYSSSSCSSFSSLDGNRSTQQDLSSTDRMLFPEKPFKSSPRLKSSPDSDYGPDYYPDGTLIEPSDMSSAQSSHRTLGIRNLVKDSIYKDTHDLSIRISSKEAVNDHRYNYGDSATRFVEPPSSCNQGKSKGTMDVNESLRVLAKLRESSWNPSESSQQQRLSYDAPRFSYDGRESTSKLREMPRLSLDIKEGPLRTCEMDMRPKESMNAEERTISSDKEVNAEIQQEQPACKRLPSVVAKLMGLEELPERNDSKATTSQASISVQERKQEPILIPLSLSSHNEPARRQQRILDATIRNVPNSKFPAESAPWKQQERIVLPRKLPKGSKGAHGKEQPAASVYSEIEKRLNDLDFQHSNKDLRALKQILDSMQAKGLLQSKRREEASMLNLYDENYNSQAVTDVNHRLNTNPNPNQMSQEASSPSVEEESVAERFFKSPIVIMKPAKSADLGDEDSSVVPLGGLSDLTQPRTVNSTDKKKISRINRATIGQHPKSSPRVPASQPLVSPDGKANGRNEMMTRRQKSSAQLMTESSSRKQQAPRDNNGSFSKHKNSSSTRLPQKKLEVERRARPPIPYMESNKNQRQSADRSHLDTVSPRSKIRRKLAQGEDGHQNGAKSRARSLNQPGDDMSTKSEGSMSVISELDIEVTSADRSAEANALSFQRGNQTPPGRNPQKVKTCYDANKDLSSLDPAASIPERPSPVSVLDSSFDQENSFCTSKTTNSPNVDDEWHPSEESLKHCGPKPANLAAQPGNNKFANVASLLQKLQQLTVHKDDDEAPPVDHIAFLCEAQIPDHRYVSEILLASGLLMKDLSSGLSQIQLHASGYPVNPDLFLVLEQRKSGWISKPDSVHQSRRSDDPKRAHRKLMFDAVNSLLLQKYEKETSAHSTSSLTRVREVSSGQQLVKAICSEIEHLKTERSRMCHEDSSSVIPDADILHRLEGWTTSFGRQQLPGMVLEIERSIFKELVDEVVRGESADGAQAKAGRRGRRRLFT >cds.KYUSt_chr5.20031 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130106007:130106573:1 gene:KYUSg_chr5.20031 transcript:KYUSt_chr5.20031 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAIGDAGQQVADCVPRDCNVSHMRVIIIKKKTEISEGPPSKKRPINIGLKTGKMTLGLGHWWHSLQSREKITARGCNSSSEFGTKNAGPKQAWEPMDVQKKSKAGLGNSASDDFEVGDNAERSDLLNPESSPTSDSGEWNRKGAIASPGDPPKQGASGFITEDVKKESKEEKQERKQTSSPRKLIS >cds.KYUSt_chr4.34039 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208785948:208787073:1 gene:KYUSg_chr4.34039 transcript:KYUSt_chr4.34039 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSWSSCCASTSTRPLLSHIAGSKSRKLWWTSGGGRGGSGGKTQQLSICAVAAPAAVDYADTGADDVPSLKFKLLSAVSGLNRGLVASQEDLERADAAARQLEAAAPAPVDLAKDLDKLQGRWRLVYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSEDFDNIVELELGAPWPLPPVEATATLAHKFEITGTASIKINFDKTTVKTKGNLAQLPLLEVPRLPDNLRPPTSNTGSGEFEVTYLDDDTRVTRGDRGELRVFVIS >cds.KYUSt_chr6.24130 pep primary_assembly:MPB_Lper_Kyuss_1697:6:152408311:152409069:1 gene:KYUSg_chr6.24130 transcript:KYUSt_chr6.24130 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPKSVARRLWRVVRAVLFMLRRGVLPTGRKLAMDLSLLLRRGKIAGKALGGLVSFNHQHHHHHSGGLISSARSSFSSSCRALDPALAVHEPSRSRREVEFSCSNTPFSAAAKGRGHHHRDADEDAWYYGNYDAADVAKVFEMLNDGGRLFDDDGDDALLAVAPATDTPSPAPRYSSRKLRVTDSPFATSDSDSAGEQQQVDRKADEFIRRFYDQLRAQRSRAATPDSYGYAAGSYAGHSPRPVAAGIA >cds.KYUSt_chr2.52282 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326125626:326129258:1 gene:KYUSg_chr2.52282 transcript:KYUSt_chr2.52282 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFDNAPELFGIFAEGPDGTEKHRVNEIPTVVVHTKGVMLTTAQTLKKEQLLRERGLGLGVVFVHTLMNTDLKGNGLVMAEMTCVGCEQPSENCGRAERKTTSFNVIVVPGFLHGVNVPCSFRERLNKFTKNWLVARTPNFRKYDLFLRKDRLRTYICGPYWKSLIRAYDIEVGDVVHFEYNEDSLDRLSNLFNVTVYKNNIEKAVVEETVVGDMPSSIRSILYRTVFTYINSVTEQEMAAILFGIYKDVYVTEHEFYELKHQKNFWVHKMDEDNQEFEALYMPSEAVDGYRYPVFGKANLSRNGQPLEGEEEDFIDCTYKIEWELGHVCFQNGWTDFVAAAHIGVDSTVLIKIYPMQE >cds.KYUSt_chr3.17394 pep primary_assembly:MPB_Lper_Kyuss_1697:3:106514767:106515297:1 gene:KYUSg_chr3.17394 transcript:KYUSt_chr3.17394 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTHALLLLAVAAVAAASCFSPAAAGVAVADVCKGTPYAELCTATSGKQASRYPTVDALTVLSMQVDAFSKRAAAARLHAAEMSASPTVAPGAARALKTCDGLYSDVEDNLGAARRAIGFKDAVTIRAMMGMAAQDMKNCDEEFRKEAAENPLTRFDKSLLDISENCRALSNMI >cds.KYUSt_chr1.37796 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230752286:230754169:-1 gene:KYUSg_chr1.37796 transcript:KYUSt_chr1.37796 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEWWSSARTGGVHALACSTTSPATTESGTTRLPTTNTMSSQLQPGLPDSAAAASSLFLDDPHMDWTQSLMGGRIAASEAPTSFNALLHLQGDASRQLLLAQAPAAPPVPPPTLYSESHYFSSLDSSYGDTPAICQQLLLKSSVPPAAEQQQFPSFFSSSGLFDARAQGQGSPSLLSQAQKPKPLKSNTATQPAVQDACSSSAARRNSPAAAKKPRIETPSPIPTFKVRKEKLGDRITALQQLVSPFGKSLSSPYFISSGRAVQGQHQQGSDNEGGEAKEDLRSRGLCLIPVASTYAVANETAPEFWHPTFGGTFR >cds.KYUSt_chr2.24340 pep primary_assembly:MPB_Lper_Kyuss_1697:2:148640441:148642400:-1 gene:KYUSg_chr2.24340 transcript:KYUSt_chr2.24340 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGGSSFTSDPFVNSFVGWFLDALSASFSGASSSNPGLYMDSFDDESPAGLLCSPADSLTASSSSAGHPIYGCDFGAGSFPSLPTDNMPVAPALSSEFNANSINSASEAYFLLSLPHRLSDMGKAIKDKKRKNKNDKKGPAKALFKGKQ >cds.KYUSt_contig_319.1501 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:10024857:10026461:-1 gene:KYUSg_contig_319.1501 transcript:KYUSt_contig_319.1501 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASKPLFPAAPHTSLLPSHGNPNRVSPEASYWRNFRSSELVTASNYPVTHLTFSPAPANAKPTLAAVCFSAVQLFTGDPLAPRPKISVTKDFVYSPSFRSDGALLAAGDIKGVVRVFRVDKPTSGPLRTLRAHSAETRVVRYPVAGGDKIHLITAGDDALLAYWDVPSETPVFTVPAAHRDYIRAGAPSPADHNLFVTGSYDHSVKLWDARTGKAPSLSFSHGAPVESALFLPSGGLLATAGGNMVKIWDVIGGGRLVHSVESHVKTVMALALGKMGTAGETRLLSAGSDGYVKSFDFGELKITHSMRYPKQLLSVACSPCGTVLVAGSSKGDIFVRRRKKATEEEEQAGKVVSGVFDWTSPKPEKQALKPSYYRYFSRGQNEKPKQGDFVIERPKKVKIAEHDKLLRKFRHKEALVSALAKNNPRSVVAVMEELVARRKLVRCIGNLDVDELGFLLEFLHRNATLPRYARLLLGVANKVLEMRAEDIRSDGKLRGYIRNLKRMVVEEIQIQHTLQGIQGMISPMLALASR >cds.KYUSt_chr4.34999 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214999075:215002033:1 gene:KYUSg_chr4.34999 transcript:KYUSt_chr4.34999 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLAKLAALLGEDCYRMQRGTRREVAFLRDELSSMNALLERLADAEADAPLDPQTREWRGQVREMSYDIEDCVDDYMDQLRGRGPDLSLSGGGVLGFVLGYVQAVREMVSRRGIAEQIQDLKARVVEAGHRRKRYKIDAAVSSTRVVQVDRRLPALYAELGGLVGVSGPREELIRLLDDGGQRMKVVSVVGAGGLGKTTLANQVYRSIGDRFDCKSLVSLSQNPDIGMIFRTMLSQLKKDECGELTGSGEKEQLINELRDFLQDKRYLIVVDDIWSTQAWKIIKCAFPENTHGSRIIVTTRIGTVAKSCSSPDYDLVYELRALSEDDSKMLFFRRIFGSEDECPHQLKEVSVEIVRKCGGLPLAIITMASLLTTKSDTREEWMKVCSSIGSGLEKNSDVEEMNMILSLSYNDLPHHLRTCLLYMSMFPEDHEIRRDYLVRRWIAEGFIKAFGGRNLEEEGECYFNELINRSLIQPLDFQYDGRVYSCRVHDLILDLIVSKAVEDNFVTVVTDKRQILHHQGKVHRLSLDCASVENMLSHSMSSAHVRSLNIFKYSELMPPLSNFQTLRVLDLDGNENLESFYLEDISNLFHLRYLRIRASNITLPEQIGELQSLMILDLLNCSDTAELPSSIVKLWKLKWLIAHRVKLPNGIGNMQALEFMSLVVVDFTTPVAVLQELANLTKLRTLGLDWRISNTRKDKMAYADNFLSSLVKLGTSNLRYLTLISPWSLDFLLDSWSPPPHHLRELAIKGWCLKKIPMWMASLANLTYLDIEVKVIQETLQVLANFPVLQFLKLYSNAADPEERCLVVNNNGFRCLRKLNFVHWTNLMFSEGATPMLGTLEFQIIVHEVKTACGSSSPDLGIFHLSALKNLVVNIYCECARVEEVEALEAAIKFSASMLPNNPTPRFHRFRESEMVMDDAG >cds.KYUSt_chr4.4054 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23168565:23171994:1 gene:KYUSg_chr4.4054 transcript:KYUSt_chr4.4054 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPAGVDNTFRRKFDKEEYLERARQRERDEKDEARRGKDRGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFESLKKRKDPGTFSEQDLDERIFKQQQEEEEKKRQRREKKKEKKKELAGPNEPEDIDPDVAAMMGFGGFGTSKK >cds.KYUSt_chr1.23746 pep primary_assembly:MPB_Lper_Kyuss_1697:1:141501396:141506061:1 gene:KYUSg_chr1.23746 transcript:KYUSt_chr1.23746 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSGKQIKADTVSLAKKLEALEDLKRKILGENLGGCSVEELHSIELKIEKSLRTIRGKKTQVLEQQIAKLKEKEKTLLKHNEDLREKGILEAPLVVPALNCVAPLQLRDAPEPVKSDDDVETELYIGLPGRDRCSNRPSG >cds.KYUSt_chr4.20751 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130645099:130646437:-1 gene:KYUSg_chr4.20751 transcript:KYUSt_chr4.20751 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVPIRPKSQHQPKSQYVVAGPTGPSPTPPEARQARAVLPDPASEHQRNTRIPMKMCSPKIRRFVHLIVDDLKSGAGGYYSLRNIDVQPLFAASAAASSRTKMRATRPPRQAASFEFAKKENHMEFFLLGSRIVGVSKNRHTVMYDTSTNAFRAGPDLRHGKFIQPAWAAVRGRLYLADVVPLGHGEPCFESLRFDDGALQDWVWDLLPSPPFFDGTPCGIGNIIRSYAAGDGDTIWLSTLKGTYTFHAGTATWRKEGDWTLPFDGRAQYVPDYGLYFGFTTKRPSRLCSAELVAGARAPQPPAHRDVWCDVDGYTGTVDDEWPDIDLDAYGAGDGWRLARSYLTCLGGGRFCVTRFYDTTHDQEEDFGGSCNVAVITAVEARLDDPSTGGLRMVKGASRCYKFSDHTSYGWAL >cds.KYUSt_chr4.21454 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135104968:135106517:1 gene:KYUSg_chr4.21454 transcript:KYUSt_chr4.21454 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGDGRGGAVARRHRRMDWMGPAASRAIARDLEDEEEDVKARCHYRCVQIDNIVYMLDDDVYVQVANNGTDAIAKAYSSHLVSSLLTTRLCCTLKTEPHFASFGDSSEFFIDPGGTLIA >cds.KYUSt_chr6.19469 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122489704:122492219:-1 gene:KYUSg_chr6.19469 transcript:KYUSt_chr6.19469 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPTSHPILAYVLRRLPSIKTAGSPRLSSPRDPEQPPPPSPSPRAPSGPAEFELVERMPGLRHPSVLASMTRAVADITCARDAIRHLDPRPDHELVDAARAFLRSHAQGQGDPVGPGQEEIEEKVAASREVVRLDEEHEAYGALLREAEEKLERVYRMAMHGRDVVEAGGKRGEDEGSGAVDEEVVRVLKEAEEGKVVERVLLADRQLRHLPEHFGRIRGLIVLDVSRNQLQAVPDAIGGLEHLEELRLASNALVALPDSIGLLSNLKILDVSGNKLRTLPDSISKCRSLVELDASYNVLAYLPTGIGHELVNLQKLWVHLNKLRSLPSSICEMRSLRLLDAHFNELHGLPTAFGNLAALESLNLSSNFSDMRDLPASFCDLLGLRELDLSNNQIHELPDCFGRLERLERLCLDQNPLAVPPSEVVAKGVGAVREYMTKRLQDEEERRRNAAVAAESPKASSPIAWLSRSVSSLSTWAKQEKTEEEDKFLEQEL >cds.KYUSt_chr3.47250 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296426110:296430982:-1 gene:KYUSg_chr3.47250 transcript:KYUSt_chr3.47250 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKSPGDPAAASSAGGEQKQQQAVVLANASDVSRFGFFQRPAAREFIVFVARTVALRTAAGSRQTVQHEGTPTLLFVHSYNPNGLCAVIFTDDHYPVRSAHSVLIKVLEEYQKSFGESWRTAEEDATQPWEYLDDALTRYQDPAEADKLLKIQRDLDETKIILHKTIDSVLERGERLDSLVAKSSDLSAASQVGKFSLGYCEEEHVDVQPAASIMEAPYDVVREILIRVKDVTTLFRCATTCKGWCSLLVADTSFLRRCLPEDDAVSPFSGFIAQRHRYAPCFLPVPHPVLAPRPVLYNGSRFLDSLFPGVPTGYFDNAVPLTSRRGLLLVRLKPRREAGDPDPSGVFMAVCNLLADTVHELPRLEHYWDFQEGNQVTGYALLTAADCSSSNDTGGVERRFGFFKVLIITINHRQGHYNLHTFSSPGESGWNMCSGIHGISAMLRQRDAVVLRGAAHWLLSGATGTYTLDVSAETGHVSSTEMMDVTIYSFCRKYNGKPYLTVNGEGNLTVLRLRKDGCWLEIWTSHDSGRSFQTSTLMLQRPEQLTNWNTQYTCLGEKGGMMLVKVKNRHVYVVDIKTGVMREVPDWPSSNALNRRKIVPIEIDWTAFFASRLAYVLP >cds.KYUSt_chr1.32717 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198699377:198702127:-1 gene:KYUSg_chr1.32717 transcript:KYUSt_chr1.32717 gene_biotype:protein_coding transcript_biotype:protein_coding MELFGDGRGGRLEEAMHASARAQAAARRHISAPMGLGISVNGASSASSSPASAPDEDGPTSDPTAGDDNAADANDEKCEEKLKKENIPYAYEYNYIQIYFLNYMLVDEQSNITVW >cds.KYUSt_chr7.24437 pep primary_assembly:MPB_Lper_Kyuss_1697:7:152313268:152314527:1 gene:KYUSg_chr7.24437 transcript:KYUSt_chr7.24437 gene_biotype:protein_coding transcript_biotype:protein_coding MWMMFEAVVLNLTVRIHDSHRSPFSTESTPLAAGAAMLRLRSCILTRLLSSPSSASPISPLHRLLSAVAADPSPAFAVEQYLVDTCGLTRPQALKASTKLSHLKSPAKPDAVLAFLADLGLSSADVAAAVAGDPLLLCADVDKTLAPVVAGLTGHGLSRTEVARLVSLGRTIFRCRSIVSNLPYYLSLFGSYENLQQLLKQCPELLGCSLEKVVKPNVAFLRECGLGDCILSKVHLSTPRILSTNPDRLPAMVACAEGLGVPRGSPMFRHVLYAVARVGEDKIPAKVDYLKKTFRWSDAEVGIVACKNPQVLSRSKDMLQRLSEFFISEVGLEPADIAHRSVVLTYSLESRLKPRYYAVKFLKKNGLLKCFPSYSTIFNMTDKVFVERYICPHKEAAPHVYEDYVAACKGEVSTRFLSA >cds.KYUSt_chr5.32888 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208556393:208559948:-1 gene:KYUSg_chr5.32888 transcript:KYUSt_chr5.32888 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPRREAADGEAAVAIAAVRATNKRGLVGMGLRGIHPSVAWSCYAEVAAGISCPKRGIGDRVSWIQLRRRRRLVLDDYSERHPSHHKGSAFASCLTAAVPIVQRFYYGRCLLLTGSFTHGHVRPWAPDPNGPEPSASASVSATARGTKRRLTSAVWDEFIKDECAPVGLKQQKLKLTENVDGSVNFRNNDGVFDAALARKELALMICVHEYPLSIVEHALFRKFYKTLQPLFKMVCRNTIRFAYVPCPHNGEVICQALYECLVDWHLEGKVSTITLDNCTSNDKAVEELTDKLNTQSLMLNVLDPRYKLHMLQALFGSLYGYEYANREVERIRNLMVDLLEEYQPVGNDGCSSKHGSVAASSVGTDEAMQIFSAYMSSQPVVTASRVRTELDLYLEEPNIPMTTELDIIGWWKFGGIKYPTLQRMAHDILPIPVTTVAF >cds.KYUSt_chr6.6258 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37828702:37829145:-1 gene:KYUSg_chr6.6258 transcript:KYUSt_chr6.6258 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGRRGWSPFDAIRSFPSAPESLMSQIDAAIASTEYARACAHLDPASASSRPPPPSEEREEASSAARAPPPPHDARVADEAYRAACAALGAGRPDAAVRSLRVALASCPPEKAAAVAKVRSMLAIASAQLHRQQHQAQQQQSGRK >cds.KYUSt_chr7.37894 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236323411:236327232:1 gene:KYUSg_chr7.37894 transcript:KYUSt_chr7.37894 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHHRLHLHHLPPAAPPAADPYYVYAPHAHAHPDPQRQGVVTLFVAGLPDDVKPREIHNLFSRRPGFDQCLLEYTGRGNQVTSLSQLAVLTETKPSLRQTLRFGCFAVAFVSFFTHHAALSAMASLNGSVFDPENGDCLHIELAKSNSRKRHGGGGDVYRVIDKRVHRTEENSDNDNNGDEGGDDVSADGDGQGGSDESLGTENDNSSDKDELPADQSGEPGIKQQKRHSSSNGQPDKSSTETPPCSTLFLSNFGKACTEEELEELLSKQPGFHVLKMCRRGGLPAAFADFTDIESSTAAMNNLQGTILPSSDSDGLQIEYPSIFVVQDTA >cds.KYUSt_chr6.6734 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40559428:40563252:-1 gene:KYUSg_chr6.6734 transcript:KYUSt_chr6.6734 gene_biotype:protein_coding transcript_biotype:protein_coding MVENSSIPLLPNSPTNKHDNGGQGLAIVRSLIQQLGPPSLKVEIVRPRMDVDGRNANPTFHHPPKALGVAAMEEKAHLPTLKTRLLLHVNHPGEVNNFRNAPNFGPRKPVAYPRRLSPRTTAGVRSAAPSRTPRKAEIPTSAAMARSPAVALVAALALAVACLRAEAVWLDLPPTGTKCVSEEIQANVVVLADYSLMYESRPTSHPTLAIKVTSPYGNTLHESGNATVGQFAFTTKEAGNYLACFWMDSAEKESGVSLNLDWKIGIATKDWDAIAKKEKIEGVELELRKLEVAVESIHQNMIYLKARQVVYS >cds.KYUSt_chr4.41027 pep primary_assembly:MPB_Lper_Kyuss_1697:4:253636616:253638364:-1 gene:KYUSg_chr4.41027 transcript:KYUSt_chr4.41027 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQHHHYTARTERVWEFEDDTELEARSRDHVSSERRVSGEIWSQRPGERERSQGRGEPSQPARWRRRAQPRKGQPLSDARRAEIKKRVTRNGCSGAVAAVARSDGGVFVGASAVHFDGISDPEVLEAMAVREGLNLTLDLNLHRIKVASDCQTVIMALHEQNLGVFSSITHEIKKTADGFGEVVFVHENRSSNMKPHNLARLVLSNPSGRYVCFVSPSDGVCIPQLLIV >cds.KYUSt_chr4.2298 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12842336:12845871:-1 gene:KYUSg_chr4.2298 transcript:KYUSt_chr4.2298 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGAMSPLLRKLGDLLVDEYNLENRVKKGIKSLETELTFMHSALRKVGEVPPDQLDEQVCLWAGKVRELSYEIEDAVDAFIVRIDEEGWQRAGPNNTKNRVKKFLKRATNLLRKGKGLHQISSAIDEAQGLAKQLAELRQRYGLQMHGTNGVATIDPRLTAMYKDVTELVGIAHSCDKMIEMLTEGDKGPDKRLKVVSIVGFGGLGKTTLAKAVYDRIKVQFNCAAFVSVSRNPDVKKVFKDMIYELDKVQFSHIHSTTMDEKQLIDKLREFLKDKRYLIVIDDIWDDKAWKFIKCVFSENNRSCRLITTTRIVSVAEACRSSTDDIVYRMDPLSDDDSRRLFHRRIFPDGKMCPNELAQISIDILKKCGGVPLAIITVASLLVVNQQIKPKDQWYVLLNSIGRGLTGGACVEDMQRILSFSYYDLPSHLKTCLLYLSVFPEDYVVGRDRLIWRWIAEGFVQTGNKKTSLYDLGESYFNELINRGMIQPVDIDIEGRAKSCRVHDMVLDLICSLSSKDNFLTILDGIEQNKPNSQSKIRRLSIQNVMVEPTTPWLDTRSMEQVRSVTLFSSAIYQMPSLSCFQVLRVLDLEYCNLRDSVHKINMRCVENLLHLRYLGLRSTYVGELPTKIGNLRFLQTLDLVETGVKELMPGIVQLQNLMCLHFDKYTKLPPGMGNLTSLQELSELHVDRYSSGIVKELGHLTELRVLRINFEESDESLDKAFVEALGNLHKLQSLHIDGNDGCVDLLRECWAPQQLRRLVVLNGKENRFLTLPAWINPSSLPLLSYLNIYLDQVQAKDVQIIAMMPCLRFLLLAAWRRIEDPAAECFSFTADSFPCVRECIFFNFITAPSLPRGAMPMVQLVQFCFRACDITCANLDLGMCHLASLEQVRVDLWCKKASTKEVKEAEAVLRFAVGEHSCRPRLFIRRR >cds.KYUSt_chr6.30181 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191317445:191317660:-1 gene:KYUSg_chr6.30181 transcript:KYUSt_chr6.30181 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQSRRKTSWPEVVGMAATPAVMKIMTDRPDLSVEVLPPGTQLLPGSNPGRVRVFIDALGAVSKTPVIG >cds.KYUSt_chr5.16292 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104802809:104804407:-1 gene:KYUSg_chr5.16292 transcript:KYUSt_chr5.16292 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPYDGGSRLHGALLVDEILTRLPAAAAVRFRSVCRAWKEALTSDHFVTTHAARAAAARQPEILFFPPAEGSSTSFYTCSLPPEGEGSPPAAARRLLTVRNLAAEYVVLSRKPCRGLTLVMEASSSEYYVFNLSTGDHVALPPCEPAQACGNLYGRFLPLSTPWTPFEFSSAGLGFDPATGEHKAVRLFLISGKQRQFKCDVYTMGSAGGWRPCAGDVPPDVHGYFLAGLPPVTVDGSFYWLLTPSRDESVEFKNTPILSFSVGAEQFGWVHMPPGLRKRVRHLAELDGSLCAVVHGLHHRFRSRNADRVIKVLTWNGGTTSSWRTRCRIELDSLPRPISKELDEEKLTIIPLCTTAGGKILLATGRHKVFAYDAERNSVHKVFSMYDYVDFPVCHTDARLLINIFLHEERIVGVPKPPVKPPAERLHGIPKDLIKPGQTCLRCHLFGENHCRKSLTQAAAAPSTSLAGRPRSRGLISPAGLHVTLGGHTVGRREKHRSDESYAYFIRERWTKQWTPALRNCLPNVMSLE >cds.KYUSt_chr5.39960 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252547708:252551757:-1 gene:KYUSg_chr5.39960 transcript:KYUSt_chr5.39960 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKRGPWTAEEDRKLMAFILGNGGRCCWRAVPKMAGLLRCGKSCRLRWTNYLRPDLKRGLLTDDEERLVVDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLDGRKQPQQESPTTTSAHSSTVTAESKSMDDQQENKHVDTDKDVTSFGEESSMPESSIVNTTTSVGITNHDQDPLVKWLMEEEEDMPIGVVDESWLHLTASDNIDDLNIITATTPWDIVRATDWLLDYQDFGVEESSLLVDVSMVDNSSRSNL >cds.KYUSt_chr6.6542 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39474330:39476222:-1 gene:KYUSg_chr6.6542 transcript:KYUSt_chr6.6542 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDTNTPCTILKQPFMADTPEEVLGFVRPKPPRASIFFDLPATPHKQPQHVHMALDSISHMLMEEDIVEKIMCQYPDNPILLQAQQPFAEILYGASDIVTSSNGQEFSAPIMPSSALLPSQDTVEPNSIEGSTSMQMMSTMAFLKGMEEARLFLPGGDNERFACKKRFHEDGDVRDNNVGRRRKQIMISESEEQVTARNLLDQLVLKDHGLGSGATQKPTSEVKQQNICMQVPRIRRGMAQMIVVDLETQLLRCAEAVATNDRHNAGRLLEIIKGHSSPTGDTTERLAHYFAEGLEARLAGAGSELYLLLMTVKHSSVVEFIKAYQLYMDATCSTKVAFLFSNKTIYNIAAGKSKLHIVHYGIGDGLQWTDLLRWFAEREGGPPEVRITGINRPQTVFHSAKRTEEAGHRLILSARKLGVSFKFRAITAKFETVRVEDLDINPDEVLIVVNTIFQFRTLMDETIIVDRINPRDQVLNTIRKMKPAVFIHGVVNASYCAASFVTRFREALYNFLAGFDLMEATVPRDNNLRVVVERDIFARSAMNIIACEGADRVERTQHYKDWQVRNQRAGLKQLPLDPDIISVLKAQVKKRNQKNFMINEDHQWLLQGWKGRVLSAISIWAANNVTS >cds.KYUSt_chr6.7192 pep primary_assembly:MPB_Lper_Kyuss_1697:6:43673561:43675037:-1 gene:KYUSg_chr6.7192 transcript:KYUSt_chr6.7192 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDGERGGGGDTSQPEPDVGEAGQGCARRGRRGRWRSRPGWQLVPELERHMSAGAAGARLRVRAGRQAQPELVRPAAGLGAVGEEEVMGAGAGGGTRKSAVRQPDERLPRGEDEDARNSRTQRRSRTVASRMTRSHGAAATYRGAGAELLLLPHAGEAKPPGAAGDPGAGEEDDAAVAMTVKPSPERAAMPAALKAAAVKPCPERAAMLAVLKAAAVKLCPKRAVMPAAMKAAAATMMRKGPVRTNSGRRHCRPHGGRQMSWYRHGICYGVAKEGGSCVGVDGIRMRDWYTAHGDVPRFRALAVEVKPLLLHVRCI >cds.KYUSt_contig_6451.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001307.1:96170:100401:1 gene:KYUSg_contig_6451.15 transcript:KYUSt_contig_6451.15 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSYPQWPFSSGLSLRRKYAEPSPPVQPPAASRRKITPPSPSLPPAQPPQASGHRIPNLGPPSPSLAPPVQPPQASGRRIPNLGPPSPSLAPPVQPPQASGRKLPVPRSTGAGPHRRPFAAPSPSPSHSLDQGKERRDKVLTSSPAQAPQAPLRRTVTIPLSPTASTFPSLESLPPGQIHNISVLRPASTGGEGHRGVGASHSEKNKEEPDYETEEDDYYSEEDDHYSEEDDHYSEEDDYFSADDDDWNTWTILRGPGLSGDQSFDKCCIFGNEVQLTCKQRDQLCSIVEELPMPEMKHYVCTLMKNNVIPGEGKLDFSQEYTSAYLVQFLMSRQHIKYGISGGAQDVAVVVNSSTSSLNKYCRMRYDGSRKAKISVGWTKTVEAFKLKEGDVCKITFKDEREIPYTRRDQFAQLRMAVPCKFKEQVSKLASSENWMVAKCPMRVFDFYLEKDGTTTTICGPYWRSLVRLYDIQLGDVVSFTYMEEENRFHLNVYQIVNTDKVEKPYVREQVVYDVIPRIRMQLHKTIFTNIRAITEEHMAAITFGLTKVVLAAPNQELDENEFYESTKELQFFAHIYNEENVQFDALYLNPKPFNHVGSPVNGFAHLWKRDLEEPVVAWYHIEGDDHIAITIGWDNFREQSHIVEGTLLLMIATPRAEAIVLDFVEIINP >cds.KYUSt_chr2.5290 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32834644:32835517:1 gene:KYUSg_chr2.5290 transcript:KYUSt_chr2.5290 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADDTAKPSPPPPRIVVVVLALVPFVLAPMLALGRIVGVAAQALPYLGYGVIWVLAAASAAKVVAARAWGDGSAPSVFLQALTDAAFKVSLRGTFLLLALAAVLLCGACLAFMVAAVSGSGSEFKKGALGAFKQHSTREFFPRTAVLGWVAYVPFMLLLFTGYLIQDMMPSPVEGSISKGEMIGSVIVDVGVVGTSAISCFVVIPALALRNWRDNQSDRKAGLTV >cds.KYUSt_scaffold_6468.777 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3754923:3755405:1 gene:KYUSg_scaffold_6468.777 transcript:KYUSt_scaffold_6468.777 gene_biotype:protein_coding transcript_biotype:protein_coding MGNINSCWTAETAETSSSCVMKTATTAHNFTVPNYSLLKGMGVGKFVSSTTFSAGGHKWKIRFYPDGNKATGHAAAFLCLCSGAPAAGVHTKFTLSLLVNDGKVHADSKASSSCITHTFEAKADNAWGFTDFIEMSKLHQNCFTIRCDLTVIKKPSKMQA >cds.KYUSt_chr2.47676 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298226673:298227253:-1 gene:KYUSg_chr2.47676 transcript:KYUSt_chr2.47676 gene_biotype:protein_coding transcript_biotype:protein_coding MMILNPGGQGYHRRCGQTWREREGLAVPVRACGVVSQYPCREPYPALRSLVASRTASRPPLKLSDFNFSNDSEFNEWNEVFIVDVDAEVERSRCSGAVKSSSRER >cds.KYUSt_chr3.1663 pep primary_assembly:MPB_Lper_Kyuss_1697:3:9516583:9516801:1 gene:KYUSg_chr3.1663 transcript:KYUSt_chr3.1663 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASRPRGADLDAGDCEMQLVSRPCGADLDAGACEMQLARPCGADLDVGACEMQLASGPNGADLDAGANEM >cds.KYUSt_chr3.14684 pep primary_assembly:MPB_Lper_Kyuss_1697:3:89293689:89297072:1 gene:KYUSg_chr3.14684 transcript:KYUSt_chr3.14684 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPELELSQLPGSSVGEGVERRHQEEEDLGSPAAAAAAPLVPAPDTADMSAPELSQLAGSSSGEGVERQEDSAAAAGGDAVPLASASDTADMSAPELSQLAGSSSGAGVERQEDSPAAAAVPAAPAPDTADTGEIELQEVEREMLEADLIFVPVLNNLFTRALIVPNPMAVRYVYGALFGLMTIVAWTVRDIELPGFDDHYVCDGSHDCIAANVVIITSTYTVGKDYKCIQFSNRIIMLEDDVPYGYGFFHLVFASGAMYIGMLFVGWNAHRTMENFDAGFSRVVEFDACCDIKFFAGETCWSLYGGASCMIHYSAHL >cds.KYUSt_chr2.38239 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236719269:236724282:1 gene:KYUSg_chr2.38239 transcript:KYUSt_chr2.38239 gene_biotype:protein_coding transcript_biotype:protein_coding MGVREPVAMEIPLEEGAAARVPPRIRRRLLEGRTAGGGGGGQTSGEEIEARLKEAEQRRQQFYDWLSCKARKKPRSPSWSSQEEDYGQRLEARLQAAEQKRLSFLAKAQDRLAKLDELRQAAKNDVEMRFEKEKEELETRVESRVRQAEENRMRLLNADMERRAALKERTAKSLVQKATSDSKHAEQVRSAIVRKRAAAEKKRLALLEAEKRKAHARLAHIQRAAETVCSQRETERIKLKEHLDSKLQRAKRKRAEYLKQRGSPRSSAHADYIKHADFLSRKLARCWRNFVKSQKTTHALVQAYDALGINEKSVKSMPFEELAMSIESPAALGATKALLDRLEKRLIVGSSNAENIDHLLKRLVSPKRKTPQSRTRVAAKRPARTSEPSRSSRYSLRVVLCAYMILAHPAAVLSGQGEQEKLLMESAANFVREFELLVKTVLEGPGRASRQPSLDTDAAESSSCQMPSHVTGQSKFRTQLVSFDKAWCSYLYRFVVWKVKDARSLEGDLVRAACKLELSMMQTCKVTSDGKSQDLTHDMKAIQKQVTDDQKLLREKVQHLSGDAGIERMNSALSDMRSKFFEANENGSPLATPVANVSTPLSINPSGQLPPADVNISSKTDAGGSSSTSPVSLPTDNEQMINEMLHENGGAFAVNSDDASTIEKDFQARVRETMEKAFWDVVTDSMKGDKPDYSQLINLVKEVRDSLHDLAPKGWKEEILGNIDVEILTQVLESGSQDTQYLGQIMHYSLDMVRKLSAAAKEDEMKKSHDKLLSELASSSEVNGNGVSSFVIAVIKGLRFTLEEIKELQAEVSKARIQMMQPMITGSAGVEYLQKAFGDRYGPPASASASLPATLQWVSASKNMVDAEWSEHLGSLSVLPAADHAQPLVTVLRAGHGAPGGQTASLSAAGSSGLPECTGEKVDKLVRIGLLQLIGGMEGLQLQSTPESFHLNFMRLRAVQGQFQGVIVMATSMLVLRQVLMSENPKITPLELENVISELFGTLVKLLDNSREAGTEDIVEAMMSSSASAGTSSDEKIQSRRQVITRVFLKSLQADDVVFKKVSRAVHCAFRGVVLGGSALKGQKLAEAALRRIGAGKLVDRVVKAGEVLIRVATLSEKVHGLWYKAIA >cds.KYUSt_chr6.1880 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11259828:11263084:1 gene:KYUSg_chr6.1880 transcript:KYUSt_chr6.1880 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLAHLLPSSSAATGQPQLSASECAAGDSAAYQRSSCFGDDVVIVAAYRTPICKAKRGGFKDTFPEDLLTPVLKAVLDKTGINPAEIGDVVVGTVLGPGSQRAIECRTASLLAGIPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSTNSVGWEGEVNPRVIELQKAQDCLLPMGITSENVAERYGVTRQEQDQAAVESHARAAAATASGKFKEEIVPVHTKIIDPKTGEAKKVVISVDDGIRPGTTVSGLAKLKTVFKKDGTTTAGNSSQVSDGAGAVLLMRRSVAVSKGLPILGVFRSFVAVGVDPAVMGVGPAVAIPAAVKAAGLEIDDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIAMGHPLGATGARCISTLLNEMKRRGRDCRFGVVTMCIGSGMGAGAVFERGDSVDELSNARPIQSHNFLSRDAKH >cds.KYUSt_chr6.18757 pep primary_assembly:MPB_Lper_Kyuss_1697:6:117942635:117943060:-1 gene:KYUSg_chr6.18757 transcript:KYUSt_chr6.18757 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAPSPSENSGVAPMNEAPPSPPRGEFFELRGVRRPREEEPVMEEKEKKAMEVDQEEADSSKLINVEVQEHQDYKRARRAIEELEASNAELFAWIDAGCPDDDESTTLKSRGRMRWTCSIGEHVLTPMHRNRLWDPPGE >cds.KYUSt_chr7.38656 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240620664:240626777:-1 gene:KYUSg_chr7.38656 transcript:KYUSt_chr7.38656 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHVSKPSVDEVKERLNKGDIVEADSMLWRFLRVRCQNCQIVQYGEVEKARHHDGRALQRFCSATRVLALFLLHVLGTLRRRSSHKFVHAVVLGTYTLSYLLVSYTLGLMQDSDYHFEEFPVWAVSLLMLLGGTDNLMACNLSDVDNWKSYHVKHLIKGVLVVFIVALYHADVPQYLRPLCAILVVNAVQSYVRITSMRMASKSHLLWKNVKPIADYMKRGDQRQVASGRQPNPVSMEGYRYIVAGENRLKSNVKKPKDMEAEDMKIITVEEIWQCKGSLLCSERGLRLKDVCLSMALSKMLHRRFAGFELVEANLKKTKDLVFQGLLIGDKAYKRVFRVIEVELAFVYDLYYTRYPYLYYKVGCLALCLPVVMVFLCSWLTYQLFKTCKKSDDNGHNFPAHTALTLFLMAVVTFLEAFQMYLHMASGWFKVALIRSYVRMPILQAIDGKVAHTILVWHIATTICKHKLDAAHSKKNKQLPELSEEDNTAISKTSKVASSLSQYCAYLIAFAPDLLPGHSFDTVSMLEEAIDETCKLLKGTKKMGQKCDKLNSIITDTSDDDPRLVVQGARLARQLTEEIQDKKLQWKVLSDFWAEMMLYIAPCKDAQAQAHLEALTKGGEFITHLWALLTHAGVLERDHVGLMAAV >cds.KYUSt_chr1.35662 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217429980:217431609:-1 gene:KYUSg_chr1.35662 transcript:KYUSt_chr1.35662 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSSKRRRRAPGYEDPAVLAAQTSFTVNEVEALYELYKKLSFSIIKDGLIHKEEFQLALFRTSKGANLFADRVFDLFDLKRNGVIEFGEFVRSLSIFHPKASESDKTKFAFKLYDLRGTGYIEKEELREMVLALLDESDLCLSDSAVEEIVDSTFNQADTNGDGRIDPREWEEFVKKNPASLRNMSLPYLQDITTAFPSFVMHSEVEDCGGIDK >cds.KYUSt_chr5.22937 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149767549:149770440:1 gene:KYUSg_chr5.22937 transcript:KYUSt_chr5.22937 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQRGAPLPISAYLCSSWISTDDNDERLRSLRGMERIVHIHHVDKDAFLKGNIEPDPEEVDLVFDLSPRFHVGTGIPGVASHYIPPPSTFNVLLDSYWSD >cds.KYUSt_chr6.28539 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180758591:180760028:1 gene:KYUSg_chr6.28539 transcript:KYUSt_chr6.28539 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPAASGAAPPAPLPVVGHQFCAPYVVPLTVTKKALSISDGDFAITDANGALVLKVKGSIFSMRHRRVLLDAAGQPILSMQEKVFSMHNRWEVFRGDSSSTSDLLFSVKKSSLIQFKTEMDVFLAGNTAQQVCDFKIKGNYFDRSCAFYLGDSTTMVAQMNRKFTISNVLLDRDTFVVTVFPHVDYVFIATLVVILDEVHREKYDG >cds.KYUSt_chr2.52459 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327297571:327298890:1 gene:KYUSg_chr2.52459 transcript:KYUSt_chr2.52459 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKSSSRKLAHAILANSKPTSSNIGPSFASGLAYKTRAYLHNGPSTPFMLGRAKEGLHWSPGARNFSVLSACSRNAFHSQLAWKQLMARVPKAPPALRKAACAVTLAASRSKLVPYLAALVAGELMLAQKSSADGEYLQIRENIYNRAQDSRIYVSSVIFSAVEMVIIILRSIYLAFLFTPSIMMAPFADNLGSKYRKTWLRLVHRTLEMAGPAFIKWGQWAATRPDLFANDLCTELSKLHTKAPAHSYAYTKKTVEKAFGRKISDIFVEFEEEPVASGSVAQVHRARLNFKHPGQKTKVITVAVKVRHPGVGDSIRRDFSIINAVAKTSRYIPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRMWKDVSFPKPLYPLVHPAVLVETYEHGESVSHYVDDQDGHERIKSALAHIGTHALLKMLLVTHS >cds.KYUSt_chr2.9787 pep primary_assembly:MPB_Lper_Kyuss_1697:2:61894919:61902705:1 gene:KYUSg_chr2.9787 transcript:KYUSt_chr2.9787 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFGLYQECPSQWQDTDHTLHRLDRHRQRKITNWPDHHRGHVTAFQHCLKAIRNGGNIEIVPHDLAAFNNYLQWFHDSTRIELVNHAHDDNILDDPIEFDEVAQSQYDRTAHQGRSTSIASSLNFVCSEIQKTATECEVVWDQSHIDGKPIGPMRHFIKNTGRKLRRLANLLGCREGEIATSSSEEAEIPDNTILSQGKKKATRSAYQLKPRGKSPNRYTPDDYVNRGKKVVIESDVEPVFLRRSRPREEDLGGSRWPARRSRPWPWTARGRGEGRRGGAATAPRSPSLAPSSSSSDVEGRCFEFLLRIDDEPLGIKRLPDKFAEFVDGVETAHLQLRVASCNFCRWAVEVLFDGQGKMYLHTGWGKFARDSALELCRSSPSSTRETVRALPFSPSHPTSAALLLFFFLCSPTPRTSFQKQGKVKGEEAELCCLLFDMARVLPLNIEPGETPRVGETVAGMPSSSARASLNGIEHSEHNIKDDEYARLVPPAQHATADINTQVLSEQPKPRHFIWWMKLLLGCFLLMILSYIFVKFGVPFAFEKVLLPIMQWEASAFGRPVLALVLVASLALLPLILVPSGPSMWLAGMIFGYGWGFVIIMVGTTIGMVISYWIGSLFRERLHAWLKRWPQQIALIKLAGEGNWLQQFRVVALFRISPFPYTIFNYAVTVTEIKFSPYLCGSVAGMIPEAFIYIYSGRLIRTLADVQYGNYKMPPVEIAYNIISFIVAVVLTVAFTIYAKRALNEIKSSDEEADGLAALKNDHVALDVV >cds.KYUSt_chr5.40484 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255593243:255598579:1 gene:KYUSg_chr5.40484 transcript:KYUSt_chr5.40484 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLGEDAMEAVRRAFPGQNFAIDVVSRAFGRTDPAERSQQDSSACGITGARASEMRVDLEQAENAGQSCAQQESSACGVRGPDASEKQLNSEEAERAGQRCEAPTVPTDVGTEAAAGWKRRVRRGRIPDEREPDACRVSALEKALTGFAERQTDAVVNPEVGTCFDSIEEAYDFYNLHSWEMGFGIRYGSSRRNTNGGKTMQEITCGHGSKRQASGKSGRCECPALIRLLRTDDNGWYVTQHRKVHNHAFSSMYGKKVHWPSHKHLDKYTRDLVCQLRHDNVNLGVYDTIASFFGRRENVPFSKRSIRTLCGEVIRELADEDARRTTETAAASEERDPKKHMREETANNCTAPDSASTLVERYPEQDDTQNFEAGRLGDLMLAFGDCHLVLKNCTYDDLINSKNTMSGLEDAVKALTPHLKQGIFCDLWSSAHNSGGVVSAQVLTIRDLFRFTRWLTTTTGQHNLQSVQQRFKLFKSGKNVSEPEEMALLHLYQAANDEYSSQMSKLQEERDAEIAHYMAKIEETRKSFDVNVEAAKVQYPVSASYVALNSNELRGQCWTMYLTHCRKETKDLNAQASNIVDKYGPEVQQRHLFEFCSRDANRQALLEYGQTKVKNLKKAGVARGENTLHSYMKLLDIEKAYALLAAEEQIATGPDTSCGGSDDEDQSNRINIAASDEAAEGDEHDGGEKAQGSPQQKRRRHGQPFSADS >cds.KYUSt_chr5.8895 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56504469:56504783:-1 gene:KYUSg_chr5.8895 transcript:KYUSt_chr5.8895 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMNLASERAVVVFTLSSDCLCHTVERLFSDQLGVNVLVHELDQDPKGKEMERALLNVLGRGPSVPVVFIGGKLIGGTNKVMSLHLGGELVPMLKNAGALWL >cds.KYUSt_chr7.9132 pep primary_assembly:MPB_Lper_Kyuss_1697:7:55598740:55599564:1 gene:KYUSg_chr7.9132 transcript:KYUSt_chr7.9132 gene_biotype:protein_coding transcript_biotype:protein_coding MNASDKRKHESYMRRLVAKWNAQNPNATPLVLEELTQEQRASLKQEHLARENEIGEAYAARMKEEDARVEEERDPNQDNDDRLYQHYREHWEWKTNKEFGSFEDRTRIPAMCFTDDPMPRISRTMRSMQIFSVKVEKVGGDLHWPLDVFGIVAVRDDLDYNRNIIFERNRDNCQTLNEQVHIPYHS >cds.KYUSt_chr2.31182 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192480097:192481626:-1 gene:KYUSg_chr2.31182 transcript:KYUSt_chr2.31182 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGCSMEKATKWDQHVCLHNTLGSHVHHHARATPVHAHATSTAVVALEAELEKARAQIEDLEDEKRVMRKKVERFLKKLAKEKSSWKSRARDAIAALKEDAKAERNHRRQLEAANGKLMKEVAEAKASAKQASQSYEMERKAREMMEDACEELTKEVEEDQAEVELLRRECLGMREEMEEERRMLQMAEVWREERVQMKLSDAKVALEQKYSQLNRLQAEMESFLRRDGKSADASSGSAALREARMISEAASSVRLRGVKELNHQNSHAPEDVDRVFQHFCRKEENNGAANGGSPASPAASNVHSVSPATDIFLEKLDGDGSLSWGTPGRDRRRDSSSSAGTSTDRSVARAGKNAPALSNGSGSRGGSGLTVTDPPGVSRIGATGKNTALIRRLWRSAISESRKLKTAGSASVGTTTTTTQTPSSEQRSTVITPTLPVGEQCSSSYSVKPGRIREAKGLARGGGSDKQKQSLQEKLMEARMDDHSKPLPALQPSRRIRAASGVQMTTN >cds.KYUSt_chr3.8437 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48826318:48827607:-1 gene:KYUSg_chr3.8437 transcript:KYUSt_chr3.8437 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLGETESAATLVVGTLRRSVRTLQCGGAVLSSASTTLTPNAASHPCYMPNKWGEDKRIWIRGGEGESNCNTAEASVPISTLTSNASAPATTSTSIGGAHIDWPARPVAALVTQRPACRCALLSKMAAALPPYLHCLPCTGYYTLGSDIYEGRRSDDQFTKEKKSTYYIPWLCPCNCF >cds.KYUSt_chr7.17707 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109648281:109650722:-1 gene:KYUSg_chr7.17707 transcript:KYUSt_chr7.17707 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGIAGEEMHDPASGASDGEGAWTGQKRPKHQCPSPTRDSGEIAGARTSSSSSDVHAVPDHQEPSTEIARAIGMDTVTVASRDELEENDIISDEEFLGYLKVLATRPAPITISVGSLDNDEQRKLYERLALYRVRASKLARGVCVDDLDEDGIRYFDWVTYRSSFTSYEIDEEYVKLSEEISKKIKWVKRYMECELTSCEMVCDSHLDPLISGYLPCVIFANAFHMLCLLFSLIPAWKASLMTQPRMEHVS >cds.KYUSt_chr2.3574 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21618231:21619066:1 gene:KYUSg_chr2.3574 transcript:KYUSt_chr2.3574 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYRRHENYGGYGMATPGYGMATPGYAPPVPYGMSQVNIEGNYGGRTLPPQPTVKVYCRANPNYAMSVRNGKHWIKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHAVRLVPYNPDFLDESVLWTESRDVGNGFRCVRMVNNIYLNFDALNGDKYHGGVRDGTEVVLWKWCEGDNQRWKIQPYY >cds.KYUSt_chr4.37483 pep primary_assembly:MPB_Lper_Kyuss_1697:4:230916330:230916956:1 gene:KYUSg_chr4.37483 transcript:KYUSt_chr4.37483 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSTSVDERERKRKRADSTPSAGAGPEAQPSKWRTRREHEIYSSKLFEALRLVRGGSTAASPSTAPARGRAVREAADRALAVAARGRSRWSRAILASRRRRLQAAHRARLRAPACPSRHPSAAASAPATGKAPALARKAKVLGRLVPGCQKLPFPALLSEASDYIKALEMQVRAMTALAEVLASVSSSSGPGSASAGSSSSSPA >cds.KYUSt_chr2.35174 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217034051:217037623:-1 gene:KYUSg_chr2.35174 transcript:KYUSt_chr2.35174 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGGPPCCSCFTQLQPAAASRLRLPPARAANTTSDSATRLRAVLEQVDDELRKGNDEGALSLVRGTQGEGGGLRCFGAARQVPQRLYKLDELKLNGIDTSSFLSPEDRTLGSIERNLQIAALLGGLSISAAFELSQFQALFLFVGLLFVWSVDLIYLNGGARNLVLDTLGHSLSQKYHNRVIEHEAGHFLIAYLLGVLPKEYTITSLETLKKKGSLNVQAGTAFVDFEFVEEINSGKLSAKMLNKFSCIALAGVATEYLLYGYAEGGLADVNKLDGLFKSLGFTQNKADSQVRWAVLNTILLLRRHEKARSKLAEAMSSGISVGSCIQVIEESINTEDI >cds.KYUSt_chr4.13661 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84157487:84159610:1 gene:KYUSg_chr4.13661 transcript:KYUSt_chr4.13661 gene_biotype:protein_coding transcript_biotype:protein_coding MADSMVASFWGPVTSTMELCEENYARSSYIAEFYNTLSNAPCILLALIGLVNALRQRFEKRFSVLHISNMILSIGSIIFHATLQHVLQQSDETPMVWEILLYLYVLYSPDWHYRSTMPTFLVLYGAAFAVVHFFVRFQVVFKLHYIGLCLLCIPRMYKYYIQTKDLAAKRLAKLYVLTIFLATVCWLVDRIFCKKLSHWYINPQGHAWWHVLMGFNSYFANTFLMFCRAQQRGWEPRIIHLFGLLPYVKIQKSRKRE >cds.KYUSt_chr2.1408 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8404300:8407180:1 gene:KYUSg_chr2.1408 transcript:KYUSt_chr2.1408 gene_biotype:protein_coding transcript_biotype:protein_coding MVAANGQPIDVCTQIANARQEGDLRNNINNSIANRHDQELQVEQARRSSFSQFGPTSSGPMVRGEPYPPGFKGPRDIEKYDTTVDPAGVDQCIHHGYGNRRPLQATRRSRDPDPMELCSVASIMSLGSLAIQRTHLCSLVFRALPRLLGYTPSSLKKLCKDVDPLLTETIVGTLRELPQDVLMDIFTTLEIPDLIRVGAVCPSWHSAYTSLQSLGLYKLSQTPCLLYTSESAGDSSAYLYSLREKRSYKLTLPDPPIHTRCLIGSSHGWLITVDERSEMHLLNPLTCEQIALPSVITIEQVKPIFDEYGDLHKYELSWHTGMRAGYNSPSIFDLDKLRDELHYKAFVFPDTSTGSYMVVLIHNPKQQLSFARLGDDKWTWLPPHDAYQDCTYKDGLLYAVTGFGELHAFDFSSGPVVTVEMILQMRNMYGWAYMYIVQAPWDDLLLIWRIWSKLKEIDCLHDHVLFLGHNQSLCFSAEEYPSLKGNHVYFTDDNELWTLRWKNDHRDMGILNLDDNSKEEVVSPQLFSNFPAPIWVTPDLRKMDVASRVD >cds.KYUSt_chr4.53630 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331803810:331805024:1 gene:KYUSg_chr4.53630 transcript:KYUSt_chr4.53630 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDQTNAGVGAAGRDGVKLSPDMVANIHGRLGILDRLAFASVFRESCEALEAPWIILPGKTPEKATLFSLVDQRSVVVHAPEPALRGHAIIGSSSGWLATADGRGRMSLVNPVSGEQRALPAITTIPGLEEEYDGVFAFPLKPFVRGPPYAGGREPCGSFTMKAEHMRGYLYRKVVLSDSPGGGGYGTAMLITGQQFGVVAFATAKDAAWRLAPSRDGVEDAIHHDGRFYSVTYSGAVEAWEHDADTGEFMSKAVTPRLDNNADGGDPTCHRKYLVAAPGGQLMVVLKHWKETKDDEFDSGRWTCSFKVQVLEGGQWKERDDIGEGALFIGANESVYVSTRVHLDLKDGHVYYTEDDLSFWGNCRCDKDRRVVRVFCLKDGTEGNVEGLGQHWSWPPPAWFTP >cds.KYUSt_chr2.32422 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199973444:199974916:-1 gene:KYUSg_chr2.32422 transcript:KYUSt_chr2.32422 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPFLLVALLMVADAQPAPKIDCPSACKARCAKNWKNKMCNKDCNICCGKCNCVPSGTTQNTRNECPCYANLVNSKNGKLNTQQQCVSPLPWDLEVPSICVTTIRTPSSSQLSPLSVLLAAARTPQNCTKITSSNVNFAIAAAAILETFANGGRRDEVSGFGVPEDFPLEKLGQI >cds.KYUSt_chr5.38317 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242299457:242300014:1 gene:KYUSg_chr5.38317 transcript:KYUSt_chr5.38317 gene_biotype:protein_coding transcript_biotype:protein_coding MKISKAPQLLKKAAAMCKSKTGVLAGRLLVLAALQRRRMTSVAVISHKIHALVVLDRERMDCRKALMLHKVERRPAVIHEDDMASDLSHQLALFGQETAHGGCPDWTLHPIFSDDDNSYTEESNDEDDGDVLLDACHDDDDEPSVMDVIRINREVEGLEFNMEEEIDQAADMFIRRFRKQLSKGF >cds.KYUSt_chr7.30428 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189455053:189457365:-1 gene:KYUSg_chr7.30428 transcript:KYUSt_chr7.30428 gene_biotype:protein_coding transcript_biotype:protein_coding LVDDAYLFPYILKGDVDVLCGGPPCQGISGFNRFRNSDAPLKDDKNMQMVTFMNVVSYLRPKYVLMENVLDILKFSKGYLGKYALSRLVAMKYQSRLGIMVAGCYGLPQFRMRVFLWGALSTMVGNDQYDDIIDYLEKPKTEFQRYIRLTRREMLDFSFGDQAGPGEGKLLDHRPLKLNTDDYERVKKIPYKKGANFRDLEGVKVGPNNVVEFDPNVPRVYLESGKPLVPNYAMKFVGGKSLKPFGRLWGDDTVSTVVTRAEPHNQAVLHPSQARVLTVRENARLQGFPDYYRMDGPIKQRYMQVGNAVAVPVARALGYSLGLAYLGKHDGSSDPLFKLPANFFSPGQTEGVVRASSVELPVGKVAEQ >cds.KYUSt_chr7.20486 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127031012:127032462:1 gene:KYUSg_chr7.20486 transcript:KYUSt_chr7.20486 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGTEGSVNDGGTNNDNIILNLKFDSGLYGWSRSEGDPDKPQFLTLNRKKSSTNRKPQFRNPDMMSRLPPNKKKNKIELNKE >cds.KYUSt_chr4.22732 pep primary_assembly:MPB_Lper_Kyuss_1697:4:142997002:142998889:1 gene:KYUSg_chr4.22732 transcript:KYUSt_chr4.22732 gene_biotype:protein_coding transcript_biotype:protein_coding MCATMMAPCDGDTSLPAEDGSYACVSGSREIRGEVGMAGGRLTRRLVGGTRAGLDGDYLGRFRCSGSGSGFGFSASTEDGDGVTDGGIPSSPVEVVEVIQVASDSTTGTVVVVDSSTGTVVLPSSSPGSPASPTSVLRVASLTRLLQHGFKEQQMKKVAADVLAFAGVHVTTLQLYSHIRNWRTKWSVIMKMKSDRILDWSEDGCCFYGDDEETADEYIQRYRKHRQYFGTPITNNAQMKMIFTPQFVCKAQLFQPNLLVRAIDFIADNEVEHVKYRKLQPSERRSWLRTWLCNQFYA >cds.KYUSt_chr5.20617 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133910354:133911949:-1 gene:KYUSg_chr5.20617 transcript:KYUSt_chr5.20617 gene_biotype:protein_coding transcript_biotype:protein_coding METRREQEIDIVNDENGQPERTTNRLHWTEKEDIRLISAWLNCPKINKYDTYWANVTETYNSTTPRDRRRETKYLKYHWYKMIPKIARFDDCWCQVKAKYPSDLSDNMQLLDKTWAMFNVEARAIYLEEVKRRFAYGHCWIALWDQPKWKSYILSLSSKKAKMSESGDYTSSSEDTEDDLEDEMSEEGCVTAKEKRGEGCVTAKEKHGEGCVTAKEKHGEGCVTATEKHEGKGRMQSSSEVEKDNHCSVDLQKMLNMNTEELTGVEVLHTDQNLEPFRIEQPERRDNEALISEKQPELSIAGASWYNGFLPGTELLGGNSKFNEFQHGEVLREDEPEKGMSGQGYKALDHDREALMQNLPEKETAQICKKPDHGRAAGGNIPEGKTGAQSCKVPKLKRKGKGNGKELPCSSEVQEDIKRAVDLQTMLMKDREKVSEVQLRLSKEKLELAKLKQQEAKDRKETTLYKKYSELLMADTSKFNDFQKAEYEKAVRRMGETLFGRDDS >cds.KYUSt_chr1.20322 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119780813:119783104:1 gene:KYUSg_chr1.20322 transcript:KYUSt_chr1.20322 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCSPRRPISAPCFLICFLLGFVAGLFFFAHRHLHLDHQLPLPSATEAVTDPSRHPHPHPPPPPPPLQPKLLLVVTPTRARPLQAYYLRRLAHALRLAPSPLLWLVVEDGAATGETAALLRGCGVMYRHLSSPAPEPPQEPRRRRQDLPVDSRARRRNAALDHIEHHRLHGIVYFADETNVYSLDLFHHLRQIRSFGTWPVAMLAPGKSKTILAGPVCNGSRVVGWHTAEKSRRLRRFHVDMSGFAFSSTMLWDTKKRAHQAWNYIRLLDTVKLGFQVTTFIEQLVEDETHMEGIPAGCSKIMNFHLHMEDRDLLYPKGWQITENLDVVIPLNQEGSTRL >cds.KYUSt_chr7.14907 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92239948:92240403:-1 gene:KYUSg_chr7.14907 transcript:KYUSt_chr7.14907 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTWRLPLLASLGSRHLSIPHKIARLRLLDAGGFDPIAEEPHPPHVANGRDPTALACAISTEASVVLAIMRCSLSRCRRAPAHLLPRDTAPPHLLLGRCRFALTPRCHAAALLTGHSLDTGRATRYGRSSTPSPAADSRTRRKPTLRSPC >cds.KYUSt_chr2.2661 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15929036:15929464:1 gene:KYUSg_chr2.2661 transcript:KYUSt_chr2.2661 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDLDDAALWACVDTAAQASQRGLPKPPPSPAISRCGPVDDPHRGEVLQPARPYKLQRIASHAHAHGHATPPPPSPPPPYMAPDASRGLMLVQHPRPELPWVTEPNAASPVAAAAAAAHSLFPAAAASVASFRKYQEVSL >cds.KYUSt_chr2.37459 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232060061:232062676:1 gene:KYUSg_chr2.37459 transcript:KYUSt_chr2.37459 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGVLQSGRGREPVPAFRDIQSCLPWKLCWSSRGTTAGGAGSAAERARAPAGAYPCVQGRPVLSSMEAMVELSWNGNRRSRECCRVGVGAHRSLSPHSRGMPSGLPLEAMAELSRDGCGRAGVPRQRAAVRFWMLSAPLNTERLWSVGFSGWMAKMGWIRPSGSFISSGDGDDIQFDGSINDNPFDKKKLPDTFTEFLAGREQGAVTLREGGCDFFQWTVKVLFDGEGKMYLHIGWKKYARDHNVDIGCLVKFFYEGDDKLSVKVHDKELPYPLAQRRQQR >cds.KYUSt_chr4.40445 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249550741:249556806:-1 gene:KYUSg_chr4.40445 transcript:KYUSt_chr4.40445 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPNPSRRSWVGPAPTPFLTPRPERRELRWAEAGSHSSVRRSGVGAVGVNGGSDRDREANVQVVLRCRPLNEEEQRANVQSAVSCNEMKREVTVLNSLFKQDDKTLTFDKVFGPKSQQRAIYDHAVAPIVDDVLEGYNCTVFAFGQTGTGKTYTMEGEIMQQVGELPATAGVMPRAVRHIFDILEARKADYSMKVRAREAGELNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVTPAVHCLEETLVTLDYAYRAKSIRNKPEAMREKVDCLELRLEKQNKELEKYKKLYLAEQECRMNLEIQNTELKMKTESCKEEFLDLQEAHSRAEMSIKEKEYIISNLLRAEHQILERAKGMCGSFESACGDIADLQNKLAKSELANHMERRIANAKDMHASGLQCMNELAKTLKLRSITDSEQMELNISSHAIAVGNGLQKSLTSAQAMSKTSIGFFNYISTQASRIMELMEQSQRGSSSQLVEFEKGFKELAIQEEQAALNKIAGILAGLTARKTTMVSEYVGQLNEKYSEEQKHMILEMSNLQHVSGNGIKEATSCAGKIEKQFQEVKSSHANTKDQMGDVLQLCLERSNHSVSYWSHTQSSLEHLNKSSVLEAHDFIGERRSENDSIIQEILLLSAQNDAGFHAMTSDILGASKNSHLLDHETRKTMEAVTTSLSNHLGLLNEEHSQGTESIRSITSDCVEKDYSVNSPVHNREPPTGAYSFKSIEELRPSVLDLVAKFKAENKLSEPDKGKQYSDQRTRSAPRSPLMPVNH >cds.KYUSt_chr4.39044 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241058967:241059203:-1 gene:KYUSg_chr4.39044 transcript:KYUSt_chr4.39044 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPRLSAQSTGAACRRRWEDDEANRCRSSTPLRPGLPPYSRPCCSDGHEPGVFAVVSLPDEESERYRPGQKHCRR >cds.KYUSt_chr6.1038 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6581284:6584003:-1 gene:KYUSg_chr6.1038 transcript:KYUSt_chr6.1038 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEEVQEHCGYLFSQQEIVSLYERFCQLDRSAKGFVSEDEFLSIPEFSTNPLSQRLLRMVDGLNFKDFVSFLSTFSARASLQQKIELIFKVYDIDGKGKVTFKDLVEVLRDLTGSSMSEQQREIIDHPGLKMEVEVPID >cds.KYUSt_chr1.9786 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59780282:59783757:-1 gene:KYUSg_chr1.9786 transcript:KYUSt_chr1.9786 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIRPTQTTQMRMRCSEVEVMRCGRLALAAWSTLRLPGPSSKMRKVHTRMSARSIHQSPSLIGVLPDVDAMWEVGARGMEHSAVTRPFQQDEEGIGYHERTTLWATLVLTGQSVLRTVVRFTFVQNTGPMICVLPIQEPKRGVLVRCLTSDIQGDLDIVQMICTDDFNDAALKHVPAEKDWDPWRFVSVATVYRLSYRMFFCAIPPSDMRCLLV >cds.KYUSt_chr2.14917 pep primary_assembly:MPB_Lper_Kyuss_1697:2:94028901:94030190:-1 gene:KYUSg_chr2.14917 transcript:KYUSt_chr2.14917 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSAPAPAANGAGELIGYVDVHVRSARDIQNICIYHKQDVYARLSLPGEGAPAASTQVINGGGRNPVFDQSVRVGVRAGDLDATLRCEVWMLSRVKNYLQDQLLGFALVPLPDVVAAEGGTLAGEFTLSTSDLFHSPAGFLELELAYIGVVPDVIPVSPTPKPALADPDECEDAGDDGAAKDYENMEFPDMNLVEENKMMLSEYVGLPCTAVQAQSNESLLTSEDVDGEESHDAGVRVVQSFSTDNSTADSAGAFRSDTPHSSVSTTESPAAAVPATPQSNPSEPPGNALSSAAAGQKEKASDAAEVDSSHTVQESPAVDSPGTMSETAVDKPPPAMTFNFEQEVQVNQKEIMDMYMKSMQQFTESLAKMKLPLDMDNGSDKTGGNDAGAATSPADSSGTDSSAATKKPTPQDKSPKVFYGSRAFF >cds.KYUSt_chr6.28187 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178651469:178658108:1 gene:KYUSg_chr6.28187 transcript:KYUSt_chr6.28187 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPGSIPVAGAACAQGVRPNDSSSIAYITSSAGRADMPTETDRGQAKFIHAQPDDLGFISIDCGIPVYSTHQDPVTSILYVSDYGFIGTGENRNISSDYINPSLAKGYFNLRFFPHGPRNCYTLRSLVTGNRYLVRASFYYGNYDGLGKPPIFDLYLGANYWHEVNFDARSVNWMDIIVVSPTDYLQVCLVNKGRGTPFISALELRSLNTTLYPPVNASQSLALISSNRFLLGPTGKSIIRYPSDTHDRIWSTYDAVPNWTEISTTYDIQNNLSGVYDVPSSVIQNAATVNSSRMDFSWDPSDTSVDISSKYFFVFYLAELQNVPRNAVRQFDIIINNKTWNTQPYTPTYLFANYFSGIVDGMSNFRVSLVSTKNATLPPILNAMEMYLVKPITEVATDPGDGRKKFNGTRLVAIIVPIVASMALFIVLFLLMRPVLKGTTALFTVLFVLLRPVLKGKDESVLLENREFSYRELKHITDNFSQEIGKGGFGPVFLGYLENGNSVAVKVRSESSSQGSKEFLAEAQHLTRIHHKNLVSLIGYCKDINHLALVYEYMPEGDLQSYLRATSTSRPLTWEQRLQIALDAAQGLEYLHIACKPQLIHRDVKSRNILLATDLVAKIADFGLTKVFGDSKTHITTEPVGTFGYLDPEYFRSFRVTEKSDVFSFGVVLLELITGSLPVVPISDNMRIHIGEWVQQSLDHGTMENIVDTKMGGDYDINSVRKAVALALHCKREVSRERPAMAEVVMQLKECLVLENRWDRMRRNLGSNGDGLTLTCAREGSALEAEEEQGEEIEAVAYGPAM >cds.KYUSt_chr6.11449 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71020431:71023231:-1 gene:KYUSg_chr6.11449 transcript:KYUSt_chr6.11449 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALMSKRAKLQEEVRSIERQVYDMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQPDERLFSLSSVTSPAVEEQLAAREDGREYGTGRSKGASTPANGQGKPKKGGRPGGRDGKRIRPSNDPDMDDEDDY >cds.KYUSt_chr3.32902 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206706670:206708675:-1 gene:KYUSg_chr3.32902 transcript:KYUSt_chr3.32902 gene_biotype:protein_coding transcript_biotype:protein_coding MQERVVRHFWKYFKRAEGEEIACDVILHELCRVRVTGMHYEARVQSVRDWHAERKVWMSKADCRDTLMALWQYLQNPPQYVGEDKACLLAMVIWWTSPEYARKHEEGKQKRLEMGGGSHVLGSKNLALTLQQEEVKTGVTPNLFGLFQKSKTRREPHPETGSVWVNGLAEAQCGAYRSTFKAKHSEDADPTTEDFDVEVAVLAGQGKKGGRLWIADGLVDPKTIPSLRQIRRGRTSEQPRVETRPRASDLAVEKLRIPAPDPQVLALLQQAPSQSPLTPGLTVNNTGIIRSLQQFLGGQGDGGQGSGEGQGSVEG >cds.KYUSt_contig_97-2.39 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:168898:169497:1 gene:KYUSg_contig_97-2.39 transcript:KYUSt_contig_97-2.39 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLLQDSVDRLTEMAAPPLSASKAAGVHTDTLLILGAVLCFLLCVVGLALVARCCRLCNPSAFSVDAMMAKAPCKGIKKKALQSLPTVLWPAPEQTAERMDHEEEGERPECAICLAEFASGDEVRVLPTCGHGFHAACVDVWLLSSSTCPSCRRALVVAPAAATACAPPHTCCERPDVAPQDSATGAGASRCRSSAQ >cds.KYUSt_chr3.23554 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145577336:145580706:1 gene:KYUSg_chr3.23554 transcript:KYUSt_chr3.23554 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLINQSHDDGGGEVITWKISPVNDRLRTRHLPGMALHPCSNNEKHSSLEAMKKWHSSPLGLSRCTASARNPNACGIGPGGCDGMEDTQSPFRTPPSLPYSTEEPPPEEGDGMPVKLAGHLKFMLTLANHIQHYWEDGISRFVYTTWVHVRWTMVMNQMINMIATYDEYDG >cds.KYUSt_chr2.49119 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307480588:307488825:-1 gene:KYUSg_chr2.49119 transcript:KYUSt_chr2.49119 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVVSGPDYDDDEYDYEDYEDDYDDYEEVEQVDVKPLVKEKESLKKSSNAVPVLWKCSMCTFDNHETMMYCEMCGVFRESFVKSAKDAPIKVESVNGISNNYGTSALSNSDSTKTPVKTLTTKFDGDSEGKYASTSRDKVNSAPLASVGSSSGTGKKKQPIKLPEDVPVERTTRLIPDHFQLKEDQSSRASSSAQNKDYMQTLSSDIGQLTTERNNVNVAQPYLPEEYKPEEWMLADQESGALSQLNLAIVGHVDSGKSTLSGRLLHLLGKISKRDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDASTGSFEAGMDGEGGKNVGQTKEHAQLIRSFGVEQLIVAVNKMDVIGYSKERLEFIKVQLGSFLRACNFRDSAITWIPLSAVENQNLAKPPSDARFTSWYQGLCLLDAIDSLQLPSRDVSKALILPICDVIKSQSTGQLAAFGKLETGAIRNGSKVLVLPCGEVATVKTIERDSRSCSIARAGDNVAICLQGVDGNRIIPGGILCHPGFPVPVANYLELKIRVLDITLPILLGHQVEFHIHHVKEAARITKILALLDKTGKPSKAPPRFLKSKQSAVVQVTLDGAICVEEFSKCRALGRAFLRASGSTIAVVCLFIPFALALQKPSCATMSSSSSASSGLSTQSSSSREPTPEWDPEEAHAANIRRAIEAGEESSHDFSVRSEDDKSSTDGESDLRFLADGETEEESDDDRFSWDDFTSSEEEEEEEEEEDYTYSDEPPAKRFCPWPGNLSDFDSDDDDADEEDEDNKGPAGGRCSSDDEPAGSSADSGDDGDDEGSDGP >cds.KYUSt_chr7.19092 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118289590:118292969:1 gene:KYUSg_chr7.19092 transcript:KYUSt_chr7.19092 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQASPENCTPPKDERTAREKAIDDWLPITSSRKAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVMTLSWIITVYTLWQMVEMHEMVPGKRFDRYHELGQHAFGDKLGLWIVVPQQLLVEVSLNIVYMVTGGNSLKKFHDVICDGKCKDIKLTYFIMIFASVHFVLSQLPNFNSLSAISLAAAVMSLSYSTIAWGATLDKGKAPNVDYSLRASTTTGKVFGFLGGLGDMAFSYSGHNVVLEIQATIPSTPDRPSKKPMWRGVVVAYIIIAACYMPVAMIGYWAFGKDVDDNILITLNKPKWLIAMANMMVVLHVIGSYQVYAMPVFDMMESLLVKKMNFSPGLRLRLISRTLYVAFTMFVGITFPFFGGLLGFFGGIAFAPTTYFLPCIMWLIICKPKRFSLSWFSNWICIILGVILTIVAPIGGLRQIILSAKTYKFYS >cds.KYUSt_chr2.28012 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171856915:171858474:-1 gene:KYUSg_chr2.28012 transcript:KYUSt_chr2.28012 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAMSLVTVLIVLVLGSLLSWRSRRKALNLPPGPRGWPLVGSLGALAGTVPPHHALAALAARHGPLMHLRLGSYHTIVASSAEAATLVLKTHDLAFADRPRFAAGEVASYGYRGIVHAPYGDLWRMARRLCATELFSPRRVASYEHIRAQERRTLLRGMFERAGRAVPIRERLADATMRNMLRMALGDKWSGYYDSKEGEAFRRTLDEAFAVAGSVSNVGEWVPLLGWLDAQGFVRKMKQLSKMYNRFLEQILDDHEEERRQRKATGADDTTATRGLVDVLLELAEVEGEDRLTRDGVKAFVQDILAGGTDTSALTMEWAMAELIRRPDTIAAAHDELDRVVGHGRWVEERDLENLPYIGGVVKETLRMHPVAPLLIPHLVREHTVVAGYDVPAGARVLVNAWAIMRDPTSWPDRPNEFLPERFAAGSGAMVDVRGQHFELLPFGAGRRMCPAYNLAMKVVAGGVANLLHGFKWRLPDGVSPEDVNMDELVGQTTRMKDPLVAVPEPRLPAHLYATAG >cds.KYUSt_chr6.18961 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119330536:119333124:-1 gene:KYUSg_chr6.18961 transcript:KYUSt_chr6.18961 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRGYEVARERESDLGASRRDKEYHHRQPSRHRDSDRRRDGGRGRDREPSNGHIRHRSPHLPPPKSRPSGRREEREPGEVSSGSGSEESRGRPLKAREPSLNGVAGVGSEGGVRSPSRKRKLSPVILDRNGSKPRIQDVVRSMEEVDSVAAELPDVSTPGTMDLDVPVDVQKVERLQEHDSNGIVVEDEEGEDVYAATRNIMTSRWADADDEEEIVPKKKKSVSPEQGSTKKVTSPELGDPRGNSSVSSDSGVVRCSPNGDVEVDKGDHTNAEEDAGEDSSALCTVHTVSESHASRSRTPETAGSSRRCINMLQGCRSVDEFKRLNTINEGTYGIVSRAEDMETGETVALKKVKMENEREGFPLTSLREINILLSFHHPSIVDVKEIVVGSGDSTYMVMEYMEHDLKAVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWVIHRDLKTSNILLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGATEYSTAIDMWSMGCIMAELLTKKPLFDGKRDIDQLSKIFKMLGTPNEGIWPGYSKLPGAKAKFPKQPYNKLREKFPAVSFTGGLTLSEAGFDLLNRMLTYDPETRISADAALKHEWFREAPLPQSRDLMPTFPSLNEQDRRMRKRVKSPDPLEEQRMKEQGSIGDRGIFG >cds.KYUSt_chr1.24700 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147842376:147848841:1 gene:KYUSg_chr1.24700 transcript:KYUSt_chr1.24700 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCGTASHGALLAAAPFAGPRPRVLALSPPPSTPAIQIHSRLYSISQLPLPKARGKGRCEASLASNYMDTSEFADLDWESLGFGLVETDYMYIAKCGPDGNFDKGDMVPFGPIAMNPSSGVLNYGQGLFEGLKAYRKSDGSILLFRPLENATRMQTGAERMCMPAPPVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLVGSGAVLGLAPAPEYTFIIFASPVGNYFKEGLAPINLIVEDKFHRATPGGTGGVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVALSKGFQVEERLVSVDELLDADEVFCTGTAVVVSPVGSITYQGKRIEYAGNQGVGAVSQQLYTSLTSLQMGQEEDSMGWTVQLN >cds.KYUSt_chr7.496 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2732007:2733209:-1 gene:KYUSg_chr7.496 transcript:KYUSt_chr7.496 gene_biotype:protein_coding transcript_biotype:protein_coding MASILPWLLLRCRQTLQPSATALFYLRFIASPLHNGPTTISPPRLGALPERSRAAAGFATAARIQSSARRGSTAVTLDTDGGFARFSVGDELRTTQPQGKKKKKMSKKSKVNQLKWFRLKAKKKMKSPNPEVRIRYKLDKAKRKEEWLIEKLRKYEAPWRGPAPVHDPEILTEEERFYLKRTGEKKNNYVPVGRRGVFGGVVLNMHLHWKRHETMKVVCKPCRPGQVYEYAEELARLSKGTVIDVKPDNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRFEQSLEHTSKFIEQLEKELEDYQKHVELFKKREGAIAEEISDGDADVDDLTSSSDTE >cds.KYUSt_contig_319.732 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:4720497:4722652:1 gene:KYUSg_contig_319.732 transcript:KYUSt_contig_319.732 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQASRDVAATRQRRHGHGHGVMGGAPQADDGSGVADPGGYAEVDPTGRYGRYSEILGKGSSKTVYRGFDEWQGIEVAWNQVRLHDFLLQSPADLERLYYEVHLLGALRHRAVMRLHSSWIDPRRRTLNFVTELFSSGTLRQYREKHRMVSMAAVKHWCRQILDGLAYLHAHDPPILHRDLKCDNIFVNGNQGQVKIGDLGLAATATAQHRVVVGTPEFMAPEVYGEAYDELADVYSFGMCVLEMLTIEYPYSECSNPVQIYNNVVSGIKPEALYRVKNPEARRFIDRCLAPASRRPAARELLHDLFLQVGGGGGLASGDRNYDHVHLHQPSRQEKHGYSNGGSVTSNGLSTVDDDEDTAPSIERSYCEEDEDDDADSRYGGIDLLFAEHEDDNVAGVDIKIRGRRMEDGGIFLRLRIADKDGTGLVRSIYFPFDTDADTALSVATEMVGELDIIDHEVTHIAGMIDGEIGALVPDWAAGPGLEDDEDEDGAPDAPRSAACCQNCRLSLSGGSLLDIMSSAAHRGCRCAELHGRFEEITFQQADEEQVHSEDSGCSSIEHP >cds.KYUSt_chr5.6925 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42914023:42917623:1 gene:KYUSg_chr5.6925 transcript:KYUSt_chr5.6925 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVELPAKGGFSFDLCRRNAMLEKNGLKMPGFRKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATSRESRVVTALTLLKTHLFNYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESRYKEGLTREEGIRLVADAICAGIFNDLGSGSNVDVCVITKGKTEYLRTHQEPNPRTYASSKGFSFAKGHTEVLSTKITQLKLKPEVTEGDAMEEE >cds.KYUSt_chr6.3083 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18052944:18053444:-1 gene:KYUSg_chr6.3083 transcript:KYUSt_chr6.3083 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLALLFALSSVMAAAVVTAQNSPQDFVDLHNAARAEVGVGPVSWNDTVAAWAQDHAETHRSDCELKHSQEDEPYGENIYGGAGGGASWSAADAVNAWVSEKAGYDYGTNTCSIDACGHYTQVVWRNSTAIGCARVVCDSGDGLFIICNYYPPGNYPGESPY >cds.KYUSt_chr3.36175 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227451497:227452926:-1 gene:KYUSg_chr3.36175 transcript:KYUSt_chr3.36175 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTPIQAYEQLGNDPSMGDTAPPQLPNDQVWKEPAPVQETVLMPYAAVDSSLRAMAGQAEGFGRHAIGGLHGDVYHVTNLHDDGPGSLRDGCRRREPLWIVFDVSGTIRLASGLRVSSYKTVDGRGQRIVLSGKGLLLRECEHVILCNLEVEGGRGHDADAVQIKPGSRHVWVDRCGLRDFADGLLDVTCGSTDVTVSRCRFWAHDKAVLIGASSGHVGDRRIRVTIHHCFFDGTRQRQPRVRFGRVHLYNNYTRNWGIYAVCASVESQIISQCNIYEAGDKKKVFMYMPEQAADKDRSSGGRIRSEGDLFLNCAQEHTGDDSETAEDNPWDFEVRECYQPCSVQPASLALKELLEYRTGWQPVPLPEDICFQGKPAVAHLNR >cds.KYUSt_chr5.9800 pep primary_assembly:MPB_Lper_Kyuss_1697:5:62525719:62531648:-1 gene:KYUSg_chr5.9800 transcript:KYUSt_chr5.9800 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRHRPTSRSLQIDQNSMELEDLVVPTTTFEPVDVLIKPLPSRKPLRSTKPLPPTKPSLLPYLQYKEDKEKRDKEERAEIEAAEEKWHKIMQSGMEVLRRTGQLIEIVYCKVDVRHLVNRTSNCDEIKWGNEPVDKEIVLERENALWNAAMEYRKVQNMASEYDLIPSNMTLFPIMSKKSMWYHCNLVGCKREFPSKGVQQHFFLEVNMTRGKTYNVTACITLADDDVDNTCKACPSHMGILHPCKGGFAFGCKEGPCKEDVGATPASWMDIGVPSPSISASLPTLGNESLGPVARRYRPTVPLRVLNCFVPQYELRYRPTVPLRVLSCFVPQYELRKVWTPLRYLKRYLER >cds.KYUSt_chr6.29757 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188691143:188692076:1 gene:KYUSg_chr6.29757 transcript:KYUSt_chr6.29757 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLPPLIDSSANPHRPPLVASSLQITRSFHFRRRALELGMARRSRKKKQPAATASLPDDLLLEILARVPYRSLCRFKCVSQSWRALCSDHDLRKRSPQTLSGFFCHARDSRVRFVNLSGKGRPLVDPELLFLRDLAAFGIILVDCCSSLLLCKCFKTYFDADWVVCNPATQKWTVLPATEALHCSNTFTIRLGFDPATPTRFQAFVLKQDAYIDGRITGVEIYSLETGRWISIKSEWGRETCVHLVDSQFAFFNGTLHFTTFHSSARDDSFCPKDSTCECD >cds.KYUSt_chr1.37053 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226264185:226268129:-1 gene:KYUSg_chr1.37053 transcript:KYUSt_chr1.37053 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRRSSSWLSSCSRSPLGAAGIVNEAKVSPEIAPDDAPEEQQDADEERWSRLLPELLTDIVRRVDAGAERWPARRDVAACACVCRRWRDAAVSVVRPPLESGRITFPSSLKQSGPRDAPMHCFIRRNKSNSTFYLYLSLTHALTDNGKFLLVARRFRNGANTEYIISYNSDDLYPGSNSGVGKLRSDFLGTKFIMYDNQQPYHGTKTLKSRSSRRYPSKQISAHVSGSNSEVGQVSYKFNFLKSRGPRRMQCNIQCPVGQGPVGQGTASDPSKEKPQSTSSTLSLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAPAGTSNPWGVGDEETVILQFGKIEDDAFTMDFRHPLSAFQAFAICLTSFGTKLACE >cds.KYUSt_chr6.1145 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7277773:7291310:1 gene:KYUSg_chr6.1145 transcript:KYUSt_chr6.1145 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTPAPAPAAGAAAMAVDDAAEDDQLASMATDDIVRASRLLDNEVRVLKDELQRNNLELENFKDKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPDNLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKEIQELVEAIVLPMTHKEQFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFELAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALLRSGRLDRKIEFPHPKEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEEKNSGTYVEELLAKIGRNRDDWTTPGPTPTPILKKRGLIKLNDEDMREAKKSLKEKGIKSEDVKNLPPIEDICEIIPPSSMIEVNSLQRFTREDIPYSKPPAQCLDEFDNYIDNDKNDTPDKEEVEEEPEKHAKNKKKEMKKFNFGELFKKGTTSTGRPSRTATQLRRSYNEDIIAPSFAPEEDNGAPNASSFPCYDFLTNAGILDDFFTLVNKAGLATYVGDEREQYYMLTKIFVESFKFQNTQYEPTVAFKIYGNPVTMELKEFCRALDIAPVGTARRIDDNPRDLLELYRGITNDDCRTIQRGKIRNIQLPAIKYFAYYIATSILGRENTSNISSYHLAFLNIALTGQTSYHLGSLIACRLSNRGPIFGGTIALRILTHLRLPIDPNDVPLTPRRLDIAAMKSHHFVTTDSTLDNMVYRMLFADGDEKEIPLP >cds.KYUSt_chr6.5139 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30428839:30430942:1 gene:KYUSg_chr6.5139 transcript:KYUSt_chr6.5139 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMLFGCFAPRGAVVDPAAVGNDDGTTPAAAKKSPCTKTKPKKRSMRRVQSSTARLRTLPVDDLSRTLASSGMHAFTYAELRLATRNFAATNKVGEGGFGPVYKGFLDDRIVPGIEPQHVAVKALDADGPQGHREWLAEVVYLGMQLTHPHLVKLVGYCIEDHHRMLVYEFMARRSLEDHLFQNVLSTLPWATRLKIAVGAAKGLSFLHEAETPVIYRDFKASNILLESDYTAKLSDFGLAKEGPVGEETHVSTAVMGTHGYAAPEYILTGHLTAKSDVYSYGVVLLELLTGRRSVDKRRKGREQNLVDWARPYLRRPDRLHRVMDPSLEGSYSEEAAAKAAMVAYNCLRSVPRTRPTMCEVVDALEPLLSMRDGLGVGTFVYTAPPVDVVPDTSEADKENGRGGGEATAMKKMAVQRVGRHRPYASSVAGSEGAGSPRQSRDRGA >cds.KYUSt_chr3.9444 pep primary_assembly:MPB_Lper_Kyuss_1697:3:55530805:55532810:-1 gene:KYUSg_chr3.9444 transcript:KYUSt_chr3.9444 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGCHAHFRWGWSDLPDDMLGMVYRRSRWLGLDLRAKTNFPIFSRLSFPHLPIELIPQTLVAVAVAVAVAMEEGDHHQSSRKRAPETRDDSIDGASSDLAAIRRRTKPARFVSAGMWHGQSIAEKDRDKQLRMAARRRLAYVEVTDPGAGLPPGYLEHSKGAKMMAAMGYKVGMGIGKEPGIRIPVERPRPPKAGLSGIQEPTRGHDASVSAADC >cds.KYUSt_chr7.21520 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133453765:133455433:-1 gene:KYUSg_chr7.21520 transcript:KYUSt_chr7.21520 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAARCPLLLGRHPLRRSFTSSSTRRVCAAAGGGGGSEGRSPAYGGLLLDAGGTLLQLARPVAKTYAALGRPYDLELSRFDAIVVSSEVGYEKPAPEIFKIALDQIGVEASKAVHVGDDETADKAGANAIGLECWLWGEDVKKFSEIQDRILARD >cds.KYUSt_chr5.720 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4970691:4982144:1 gene:KYUSg_chr5.720 transcript:KYUSt_chr5.720 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGDGGDDGGDDDDGDGDDVQLDDGDDGVDFPLPEGISPADLSPPESSFLSGVLRPAEAAVTLREIWPKRYYRFGVSGTTALSSNSIHIWSKDAERYYRTRYRSRYRNRVQTLLDSKRYQGGTRADRARHLLTKLIKFYEEMHELFTGSSADGSLAMDQNTCMDVSDDSGSDLREMHDLNGHTPPEDPLGDDSDTIPTPITNATGENNYPSNYTRSGIKRSRGDPMCTLSTKKAEKYKSRLVESNDKITATMKSLRDTLVATAPPHISQLVDPHATLWQRLETIPLTPDQRIIVGEHLSSKENEVKRSWLCNASDGTLHAWVFKFLCEKEGLNL >cds.KYUSt_chr3.46296 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291301011:291305233:1 gene:KYUSg_chr3.46296 transcript:KYUSt_chr3.46296 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWVWSRPAPHNPFCIVDGRAVADEYLSGDAAAVSPIPTAAFHSASCARARPGVVGDSSLGWRCVGGEPGRGSIVGNIMEALAPLCRKFPCCFSTHDQLRQGWVLLSAAATYRSCCQRPHFRAPGCRRPPPQQAAAVLLRLSNAQGWAPVFDVLRLFPDDGCSYPTVCGVCTDAQCSCPDAANFRPVDFRRPNRGCVPIATPATSCGSSRRQGTQHRLVSLRDTGYFNDHATSMRAVERVSEEACKKACLDDCKCAAAQFYYGPDAGDGFCYLQSEVLSMQTLQPELVHYNSTMHIKVQAKSARS >cds.KYUSt_chr3.30683 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192508899:192510161:-1 gene:KYUSg_chr3.30683 transcript:KYUSt_chr3.30683 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALAASRLLLLLRLVVTTIPFLVGFAGGAMLDPKQLIALRALGLAPHRASDPCDAEGAVAASCDAGVPLRRVTSLALTNCSDTTSVSAAALEALAPSLRTLAFLDCPAAPPRLLPPEQLAAGLWYFSCTASLRRLSAVWLSRLANLTELAVADTPLATGSASELAVVISHMDRLTRLTLSNANLSGSLPHHWHCPNLTRLDLSRNRIAGSIPDTITLLAGITRLNLSSNALTGHIPADIGDLISLTAVDLSNNSLSGGIPETISTLPELQVLNLGSNKLNGSIPPFLSEMRGLQELNLENNDFDGMLPFSARFLSSLRVFRAAGNGRLCYNRSVLAPEVAVGVAPCDKYGYPVTAPPAATAQSQKSTAYDDDGGGDGDRDADAAGGGPSAVVLGLGIGLSCLAFVVILLVCLCKVCR >cds.KYUSt_chr4.33681 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206646041:206647701:1 gene:KYUSg_chr4.33681 transcript:KYUSt_chr4.33681 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVELARRRRVHYHGDVVGLGGGDHHPKLGPSTSHAATGMVGPALAARNRLQEKLRGAASRSSSTSSSSRWGWRTRERDNGASSRQHSIQQEQQQQDLAVAASTFRSPCPVPAMTATTSRRAEMRRTLSKADVCAVCLDEVRERHQRVTRLPCSHRYHSDCVLPWLAIQPDCPCCRTLVPSVETLS >cds.KYUSt_chr2.3427 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20521821:20526691:-1 gene:KYUSg_chr2.3427 transcript:KYUSt_chr2.3427 gene_biotype:protein_coding transcript_biotype:protein_coding MARPREGAVYRLHRALVVLCALCWTSSARLVMGQTGQLSVDASPQTARKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWFIIGNESSIIVGTDRTSCFERNPVALRMEVLCDSKGTNVCPSGGVGVYNPGYWGMNIERRKSYKVSLHIRSSDAVSLSVSLRSSDGLQKLACHTITGGKKQFTNWTKIEFHLKSSINNTNSRLQFTTTKTGVIWLDQVSVMPSDTYMGHGFRKDLASMLADLKPQFLKFPGGNYAMGNYLRNAFQWGETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGASANEEVSTSRIASLVKDVVDGIEFARGGPKTTWGSVRAAMGHPQPFKLDYVAIGNQECWMLYYRGNYQKFYSAIKASYPDINIVSSCDKSTISPSNPADLYDVHVYASSANMFSRSSMFDNTPRSGPKAIVSEYAVTGNDAGKGTLVAALAEAAFLVGLEKNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQHYGCPNYWMLHFFKDSSGATFHPTAMQISNYDQMVASAITWQNPKDKSTYLKIKVVNFGNKGVDLNITITGLGNAIKSSGSKKTVLTSSAPLDENSFQQPEKVSTHNTESLRRVDYDDE >cds.KYUSt_chr4.6807 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40176923:40179794:-1 gene:KYUSg_chr4.6807 transcript:KYUSt_chr4.6807 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSCGAGAALPAAGFYTEGVTAEWLCIYDRVEAQVDALCADRARMEAVGSGLGEASLRGDKKNKFTELQELNLEDVTTGVNLLALQNTEFKEVEGCPEYGENTADHEHSVRELRTEVRKLKGAFETLISEKDKEVSALHAVQDFLWNRLRTMGKDNTALSENRKLEATQAIEELQNNLKELQVASQKKDCEIARLQGEAVASANKLQGMHYLAKEKDRKIEQLHGEYDSLLAGKDFVWNKLCKKEQELRGYVMLLKDKQVEAAQAIEAAEKLRHKLKELGVAAEKMKKDDENGRLQAEAGDGKTIQASIPHLELQHLSRSGGLLSIWVTDAISIEQQRLYFAGRLLVDSRTLADYNIGQEKCTLYLDLHLPWPRDRTHIQVNTSGPFGCKTIISAEVCSATTVDNIKGRIHDETGIPSDRQHLSLDGNLLKNGHTLGEYN >cds.KYUSt_chr4.19899 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125138826:125141055:-1 gene:KYUSg_chr4.19899 transcript:KYUSt_chr4.19899 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGFCATLVQILLCFTLCQPCYGTVSDIQCLKRLKASVDPNNKLHWTFENNTEGSICTFSGVECWHPNENRILSLRLGGMDLKGQFPDGLENCSSMTSLDLSSNSLSGPIPADISKRLAYVTNLDLSYNSFSGEIPESLANCTYLNTVNLQNNKLTGTIPGQLGGLSRLTDFNVAGNKLSGQIPSSFSKFAASNFANQELCGKPLSGDCTANSNSRTGVIAGSAVAGAVITLIVVGVILFIFLRKMPAKKKEKDVEGNKWAKTIKGEKGVKVSMFEKSVSKMKLNDLMKATGDFTKENIIATGHSGAMYKATLPDGSFLAIKRLQDTQHSESQFTSEMSTLGSARQRNLVPLLGYCIAKKERLLVYKYMPKGSLYDQLHYESSDRKSLEWPVRLKIAIGAGRGLAWLHHTCNPRILHRNISSKCILLDDDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDVGYVAPEYSHTLVATPKGDVYSFGVVLLELVTGEEPTHVSNAPENFRGSLVDWITYLSNNSILQDAVDKSLIGKNNDAELLQVMKVACSCVLSAPKERPTMFEVYQLLRAVGEKYHFSATDDELTLGPRDADSERPDELIVAR >cds.KYUSt_chr5.18589 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120193918:120201497:1 gene:KYUSg_chr5.18589 transcript:KYUSt_chr5.18589 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGGSLVLANSSSGGHENTGPFSTTVGEETYADVVAGCSSEMDVQTSVAPPGLDGVLPQMVIQDVEKQANVVGSSPLGDGMERPAPLGGNGVPPGRLRPPCWMDLLFSLAMEARKGGARVAATAGAWIYKGERFGFSEEVSHADDFVSVLHGRKAASPVGDMPKRYGIWNGVRSFVRSLGWDPGHHEEFRNAMSSSLSVSSGLSFQSSSPNEPISELEWDFDLMSDGDIPLTDEEGDLHLLVEGELESENDDDSSSWRNPTSHDKEEEDEDAEEEEEEDDSSSSTGYPPAKRLRVWADSEDDDDDAEEEAPAEGWSSSDEEFPGSSAGDSYDADDEGSED >cds.KYUSt_chr4.1196 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6268316:6269260:1 gene:KYUSg_chr4.1196 transcript:KYUSt_chr4.1196 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSGRRLRSLPTPPPRPSRRPPGFPDGDGSDRISALPDEMLLEILVRLRCARAAAHTSGLSRRWRGLWKQLLELSFRDMSADAADAALFFLEVDIPDEHLGAALVSALLLAAARLEPADLVFSLWGNCKDGNFPFEIPCFERATSVKLDMVGLYLLPPAGAVEFPLLEKLSVVCCSVDTAELVRRCPRLRVFRIEVYPSEDVAAANRIKVHSPTIEDLAVVVKAVGVQLDSLDIMAPVLKRFSLRVNVAEDFTVSFSAPMVENIMWDCLCDQQNVGFGEKWRLHNLKLVMKEDVGVLRMHIFSEVDNFFPES >cds.KYUSt_chr4.27280 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171345013:171347722:1 gene:KYUSg_chr4.27280 transcript:KYUSt_chr4.27280 gene_biotype:protein_coding transcript_biotype:protein_coding MGETMPRMVLEPTRSRGELFVASSVGLRLRPPTSARHFKQLVREVTATRPELIVFDVTHIRLPYNPILGFPALAKFMAFTHHAYNLVKMPGWNGEIITVCCDEKDAVNSMEHAYREAAAAFPVNEDVMEQFEGCDRKRHMLSQERACQRFVSAKEHGYHQTREVRTQGTQDHVVALPKAGRRRALQRETQQLPRFRALGEGFRGAWRSPVINARRSKRWRTHRSGFLRREEGSPPSSLPILHLLQSSSYMASKLSMLAGAAEGSVARKPRSPRVAARAREPPTATAAGATLSAAVGPSGSGGPAKHHRGRPPGSRDKKPRKRHGERSPRPSPPPRSPRLASVKPEEAHIVQFANLLVVLDTSDWVDRLRLSAFFARECAGEMPCGVALYHTGGCRYWSVNAERQGPDVLLLTIGWRHYVIENALLRGSRLRLRYRSRGELLGEHLGPRRSSCRRRTPAPDPVKTRSRGLLLILL >cds.KYUSt_chr1.28831 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174434900:174435412:-1 gene:KYUSg_chr1.28831 transcript:KYUSt_chr1.28831 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRAERGWLVDDPEREYSDDELETPPPMMRYFERHGNRPRPLSPTPPDEVAEHFGPPGYADITEFFQPPVDVAADAPPTALPDLNIPAQEVEEEKNEEAAPSPAIPTPSPEARVLLRRFASAMAVRPAGIRIGTWPPEELGLTGRAIELRLNEVAPHSSSSAEGPSHH >cds.KYUSt_chr6.30191 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191361106:191361321:-1 gene:KYUSg_chr6.30191 transcript:KYUSt_chr6.30191 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQSQRKTSWPEVVGMAATRAVMKIMTDRPDLSVEVLPPGTQLLPGSNPGRVRVFIDALGAVSKTPVIG >cds.KYUSt_chr7.30864 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192223476:192228010:1 gene:KYUSg_chr7.30864 transcript:KYUSt_chr7.30864 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAHRKARLPNWERLLGSPTDISIFQRSGGQARKASLADSVAAVSEANMLAVATAADIDQDKFLDVGSRLQRVPPPTTMELQDSSTDAMHVVVEYLAANVDLAKDLVARCSAVAQQLMDEDLQSITEDLDNVIKNIGHELSKIPASAFGSNRSAHATAGTDRQVTGNGHHPYDQRSCDAYSETDLPIVVANEKPRRRALHDGDMPRLVDFLQGMYHESHEFGGQSFSSLPEVAEYVEPLYDSFFCPLTNKVMVDPVTTESGVAYDRRTIEEYFEKFTDDSEPVICPVTKIAMQSKTLRSNLPLKSTIAEWIMRNEATRIRIARTALSLASTEAMVLEAIHELKLLASTRRKNREQMHKIGITKFLARLLEHKDALIRCDSLELLCLLVKGDTGKEIIAKTRAVSRTIKLLSSSNPDERHAAISFLVELSKSELLLENIGSTAGSILILTTMKFNDSDDPIAAEKAGEVLTNLEKCPKNIKYMAESGYLDPLQRHLVEGAEDVQMEMVVYLGELVQKQEMTINIAGSASEILIKMVHNGNSSICKAALDVLVQISSHRPNGKTLVDAGAVPVMVEALFIRKIDDEPMGYKTEAAAVLANIVKSGMNPDVIVVNKEGHVITSKYSVYNFAHMLKSSMPDDLNLNIVHVLLALTALPKPLSTVVSVMKEQGSSQTVIEFMTSPSEALGIAATKLLIALSPHMGHTIAEKLCKAPGQLNRLIKSIGQLGRITERHAVSATLLSKLPYQHLTLNLALLQRGTVPTMLSKIEEMQRGGVRASRHAKPYLEGLVGILVRLTTTLHDPDVLLSAMDHNFTSVLTGLLVRPSGSDEVQRLAAVGLENLSHQSLILAQQLPEEQSPKKKNILRRLRTGRVYDNQKPPAHGRLCPVHRGACSPNTTFCLVESGAVECLLGVLESNENGRVVDSALGALCTLLDGDVDVVGGVAVLAEQDAARHVLRALRQHRNDEQDNGAVLRRCFWTLERFLEHGSDRCVKEVSSDRALPSVLVGAFHKGDTATKQAAESVLRRLHRIPDYSATYDSVEM >cds.KYUSt_chr3.38889 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244967488:244968583:-1 gene:KYUSg_chr3.38889 transcript:KYUSt_chr3.38889 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGTLRRRVSSLDQQKEVFQVSTKPPSAAVSTGHSELRSLLIHSVVFAVVIPSLISYSGGEQVRASWTLSLFVILYLFGCSVSYSTSSTPLAKMFFALSYVGLLAFVVHTLFSSVLGVVFIYSDSILAAGLFGSVLAQHREVNGRETAAAVAFSKAPFTRIYYRQDGIYPFLAFFALFGAICWVMRPEGHYDALTTVMNLFAAIACLEFIHTVCVLGWLNGAVFGMDSAPPVVFIFAAIGMQFPISYLLGGKLVAAIILWLCVLASTAFLGYYLRVHATYEQMMFTRDAMKIRNARTIRNSAGVVPTKSNTPTPATASFTKETNADASAAAVSP >cds.KYUSt_chr6.6660 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40142300:40145020:-1 gene:KYUSg_chr6.6660 transcript:KYUSt_chr6.6660 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGDDVDSGGGFCDDTDFMVLRPDKGGLRDLVHLLWSPKVAENAAVDCPTGTEIAEARRRWAVFVSLVAQMLLLWAKRPVALLGRAAEYWMNLLNENGGSVFMLVANALHGKVKMPDRESLNYRSCIGLLDTRIELDKKIKPGDSNYHAALSIMAAKMSYENELVISRVVKNHWHMEFLGFYNCWNEFEGDYTTQAFVLADAPAPDATLAVVAFCGTKPFDTEQWCTDVDFSWYEVPGAAGCRVHGGFMKALGLQKHGGGWPKEIHDPTGARDNRPFAYYAIREKLKTFLHDNPGTKFAVTGHSLGGALAVLFPTVLALHGEEAVLGRLQGVYTFGQPRVGDARLGTFMQPHLENPSRYFRFVYCNDIVPRVPYDDSTLLFKHFGTCLYFDSFYRGKVTGEEPNKNYFSLMMVVPKLVNAVWELIRSFLIGYAEGPEYTEGWLMRLARVVALVLPGLPPHAPQDYVNTTRLGAASLKPLR >cds.KYUSt_chr1.18423 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107817306:107817632:-1 gene:KYUSg_chr1.18423 transcript:KYUSt_chr1.18423 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSARPTARRRPFPSRRAKALAEVATGGGALTEVVAWRRRARGGCDRWRRARGEVATGGGPLAEVATGGGALAEVLAGGDALAEVATDGNTLGLSLRKGKIFLEEIR >cds.KYUSt_chr7.36297 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226845583:226846170:-1 gene:KYUSg_chr7.36297 transcript:KYUSt_chr7.36297 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAGARPTTTVQDLAAGAPDVPARFVAREHHNHDQQTATAPVPVIHVGRLFQQDGSGAAVDEAAKLRSALESWGLFLVTFSPRTPGHHFGARSIRPCRAVSCPALQVSNHGVGADVMDGMMAASRDFFRRPAEEKQIYTNLIGGERFQLQGYGTDRVSSPDQVLDWSDRLYLKVEPEDERSLTLWPAHPQNFR >cds.KYUSt_chr5.33710 pep primary_assembly:MPB_Lper_Kyuss_1697:5:214084029:214085528:1 gene:KYUSg_chr5.33710 transcript:KYUSt_chr5.33710 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGGGMDSVPDGVVQHILSMLSNVRDVAACACVCRRWREHVPYLPALFFPRNAFDAAAVGGGAADEAIGRMVAAVERLRELVIYCPFSLARLPGWLAMRSASLRVLELRMDAAADREALDAGYLDCIALAAGLEELRLWGVLLTNAPAWGPLQGLRVLEIVGAPLRDTAVTDLLAACPSLTDLSLLGCDCSGEVSFSLPMLQRCRLDFLGGGNCALLLAAPRLQSLEAQGFTWISLQGGHSLRRLSIAKSTGRVYKVDTGKLPDLEFLSLRGVQWSWAAVSAVLQCASQVKHLVMKIEFCGEFDLLQPFPEVDLVDFFNGHPRLRKFEIHGAMFAALCQRNSLKNLDSRFTIPCLEELLITVRSPLNAEQKLSTLESLVKYSVNLQAMVIRISQMKNCHDAADDFFEEICKFKYTNYRKVRIE >cds.KYUSt_chr3.25417 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157831424:157833063:-1 gene:KYUSg_chr3.25417 transcript:KYUSt_chr3.25417 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGRTPMRTQEKGLGRTGLNLRYLWAGSGLKVETDKSWAHWETGWVGGSGSRPIRRRIWSKQNKKVGLVAAVGIELRISTSCKYGRTNWADASAVGRMEVCSLLNQANAEAPGRTAMVELAVERRSGDSERNRTGRDGVGDAD >cds.KYUSt_chr3.7259 pep primary_assembly:MPB_Lper_Kyuss_1697:3:41999279:42000340:1 gene:KYUSg_chr3.7259 transcript:KYUSt_chr3.7259 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVMWALAHYVPRALVDLPTDAAISLLDGAVAELDTRVDLAKRLALGALSRPDAPPLDSSPTLLLQAAHGEVANLSSIHAAVARAFFVARACLGARSHPDLVAAYVARRHDALICSKRCLDSLVSAAGHAEAAAEAFHLARDGAYPSPLWRGWADDAEGLARAAHKDASAALDALLGMRHHVNEEYFAALGILNALERDVWVNKARQVPLTVYRVARFFLAEPADATPAEKVDAARADLAGVLQVHAEAGETLRACARHLALPRSDLWDRWTTRHGEVATAGQETLGFLADAYDTVKHVLPALEGGKPPNDLLLRAREEIRSARRGLKQLRDLATLEFFSCTWYTLKNISQ >cds.KYUSt_chr1.18170 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106062454:106064470:1 gene:KYUSg_chr1.18170 transcript:KYUSt_chr1.18170 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLGLGNIGGEGNGRSHCSGGKAKLLALGKALPKNVLHQDKFVDTYLHGTSCNDPATRAKLERLCRSTTVKTRYTVVSKELLDEHPKLKTEGTPTLTPRLDICNAAVLELGAAAARAALAEWGRPVADITHLVYISSTEIRLPGGDLFLATRLGLPPNTVRTSLLLLGCSGGAAGLRTAKDIAENNPGSRVLVIAAETTVLGFRPPSHDRPYDLVSAALFGDGASAAIIGAGPIRAEEDPFLELEFSRQEFLPGTDKVIHGKITEEGSDFKLGRDLPEKIESRIEGFCRTLMDKVGIKEFNDLFWAVHPGGPAILNRLEVCLELEPDKLMISRKALMNYGNVSSNTVFYALEYLRDELKKGAIMEEWGLILAFGPGITFEGMLVRGIN >cds.KYUSt_chr2.39738 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246650717:246654316:-1 gene:KYUSg_chr2.39738 transcript:KYUSt_chr2.39738 gene_biotype:protein_coding transcript_biotype:protein_coding MEELHKLIPWVIILLLSYGAGSISCDGNYTDLASLLDFKRAISNDPMEALSSWNTTVHFCLWEGVACSTTRPGRVVTLSLIGRDLAGKISPSLGNMSYLVSLNLSANKLSGQIPPLLGNLHNLKILDLRENALHGNIPDALTNCSSLIALDLSRNLLVGEIPRKVGLLSAMSYFRLNGNGLTGTIPPGLGNITTLQNIFLHGNQLHGSIPGELGKLPNMLNLLLGVNSLSGRIPEALFNLSSLRQLAMVENMLTGPLPSGTGDFLPNLTRLLLSGNMLEGQIPDSLGNASELRHIALGDELTGQIPTSLGKLQKLILLMLQNNKLEAKDSESWAFLDALGNCTLLEGLSLKGTLLQGVLPDSVGNLSSNLDTLLFGSNMLYGLVPPSIGNLHGLTKLGLELNSFSGHIDGWIENLVNLEELYIGGNNFTGHVPGSIGNISKLSKLFLEWNLFSGPIPSSLGNLSQLSLLDLRYNNLQGGIPKEVFTVATLVRCSLSHNNLEGQIPYVASLKQLNYLDLSSNKLSGQIPLTLGTCQQLQIILMDQNFLSGSIPVIFGNFSILTMLNLSHNNFSGSIPVPLSKLQLLTYLDLSHNHLEGEVPTEGIFKNTTRISLEGNWQLCGGVLDLHMPQCPKASQRRTTWQHYFVVPILGGVVSITLLIYFIISRKKVSRVQLSTPFSSEQFPKVSYKDIAQATEYFAESNLIGRGSHGSVYKGRLIISEPMVVVVKVFKLFMEGDDSSFMSECQALKNIRHRNILPILTACSTIDNRGNDFRALVYSFMPNGSLDTWLHPSSNRDANSKLDLAQRLKIVVDIADALQYIHHDCESPIIHRDLKPSNILLDDDMTAHLGDFGIARFYLETSPTVGDSRSVGTLTGTIGYIPPEYAGGSYLSTSGDVYSFGVVLLEILTGKRPTDSLFCNGLNIVNFVERNFPDQILDMVDVYLLESTTGCRSEGYLRPERMAGDGGSQFTTDEFGDFYY >cds.KYUSt_chr3.41492 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261760600:261761205:-1 gene:KYUSg_chr3.41492 transcript:KYUSt_chr3.41492 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRPMTLWEQLSSTPDPAIRDILKIPHEADDGDRRPCTLMDAIGRESRGEGGDGRVNWKPLRDRLWLRRAATASSTTTRNRRADEDGSEEEDEESEAPAVASMSLMALLEQSDSQWDDQDDEEDGASKSGAVHVDGDGDGREEEEEMVRACCVCMVRHKGAAFIPCGHTFCRPCSRDLWRTRADCPLCNAFIHDILHIF >cds.KYUSt_chr5.41472 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261646151:261646603:1 gene:KYUSg_chr5.41472 transcript:KYUSt_chr5.41472 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKALAQLAKKLQRVAATRRKRLTWLSSTSKEETEGSCGTSYSSVASKGHCAMYTADGMRFEVPLVLLETTVFSELLRMSQEEFSFVGTNGGRITLPCNASVMEYAMFMLRRSASAEMEAASLRSMAMSCNYHAEPHLGVIQHFSVCNS >cds.KYUSt_chr5.18392 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118850202:118850891:-1 gene:KYUSg_chr5.18392 transcript:KYUSt_chr5.18392 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSLLGWLARHCPIPPSSFPCFLQPPVPPAMPPSSSLDHHTAAAAHLSTTGSNSSTSSDEDRMQGVGSRDGDGNNEEIHATDPSEEVGGGFKLTTARVFSEVLSAVGWHSLPSTSFPPFTQYLQLQATVPSSMATPTPPPSPSLHISEADLSGGPQSPVHAEPAADVAMIMKVKLPGCRRLCKISNTSSGQDQLQGVGSSSWEMEEPMSSGGAGGMHLYASEYPRI >cds.KYUSt_chr5.30736 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194821401:194825076:1 gene:KYUSg_chr5.30736 transcript:KYUSt_chr5.30736 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRAGGSDGRHDDEAVLTEFLSSLMDYSPTIPDELVEHYLGRSGFHCPDVRLTRLVAVATQKFISDVASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALREHGVNLKHPEYFADSPSAGMAPSTREEQN >cds.KYUSt_chr7.9116 pep primary_assembly:MPB_Lper_Kyuss_1697:7:55511207:55513721:-1 gene:KYUSg_chr7.9116 transcript:KYUSt_chr7.9116 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAPAEEPVELSSDDSLSSDSDDEAGKGKGENFFRLPNSSKSAASAEGALIRKAEMYQEYMKHIPVPEHCGSLIPSTSWLGLGRSMKQLYKQPLHYLTNILLRDWDQQSLVVAVSKKKKPGGVDFSALSRHGYRGGPSVLTVAPPKVEPNWTWSTGKDRNEKEVLTESYEERERTRAAVTEGEKLIGVRNPQPRQTEKEKEASFSQKEKKKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >cds.KYUSt_chr4.2411 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13446966:13447487:-1 gene:KYUSg_chr4.2411 transcript:KYUSt_chr4.2411 gene_biotype:protein_coding transcript_biotype:protein_coding MSEREPPTSTPRSTQTEAATPNRAAPAPALPILPAAGYGAPLPVGLELHFGSITPEVAAASARRSQSRETRAAGLKPAPPSRGPAAPASSTPATYKPTSTDLTDGAYPEALLPPPPLLKPSDRPVRAGDASRPGISGSGKVSPAPTRLRSIIVAPLKKTEELRPEIHGDPEEK >cds.KYUSt_chr2.46664 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291803585:291805458:1 gene:KYUSg_chr2.46664 transcript:KYUSt_chr2.46664 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGTASVIPRSATPRPRTPCSPQALGRNRAVLLRDVARPPRRLTCGAAGGGGRSVDRRDVLLGLGGAAAAGLGTQRGRGAAIAAPIQAPDLPNCHPPDLPNTAPDTNCCPAYGTGIVDFQLPQQGSTPLRVRPAAHLVDAEYLAKYERAVELMKQLPADDPRSFEQQWRVHCAYCDGAYDQVGFPDLELQIHNCWLFFPWHRFYLYFHERILGKLIGDDTFALPFWNWDAPAGMTLPPIYANTSSPLYDERRNPAHQPPFPLDLDYDGTDPSIPTDEEIDQNLKIMYRQMVSGAKKTQLFLGQPYRAGDAPDPGAGSVENVPHNPVHRWTGDPRQPNGEDMGNFYSAARDPIFFAHHGNVDRLWHVWRGLRPGVNVDFADADWLDAAFLFYDEDARLVRVRVRDCLDPAAMGYAYQDVGLPWLDAKPAKQSAATPAPAAGSFPATLDKTVRVTVTRPEVSRSPEEKEASEEVLIVEGIEIADHFKYVKFDVLVNEPQSGAGAATGYCAGSVALTPHMIRQNKKKGSVKTVARFGVCDLMDTIGADGDKTVVVSLVPRCGGELVTVGGISIGYAN >cds.KYUSt_chr5.2204 pep primary_assembly:MPB_Lper_Kyuss_1697:5:15038701:15039228:1 gene:KYUSg_chr5.2204 transcript:KYUSt_chr5.2204 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNPDDFFNAAMLDQPRDTMKSKVGSNVTNINVINFPGLNTLGISLARIDYGPLGVNTPHIHPRATELLTVLEGTLYLGFVTSNPNRLFSKVVKKGDVFVFPKAMIHFQMNLAHDKPAAALSSLSSQNPGVISIANAVFGSKPPISDDVLARAFQVEKDLIHWLQSQFWENNNY >cds.KYUSt_chr1.31192 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189277450:189279637:1 gene:KYUSg_chr1.31192 transcript:KYUSt_chr1.31192 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCPGSRKSRDPAADSGGSSRPGGGSSAGPASVSPSSAPQHNKPPAPIGPVLGRPMEDVKSIYSVGKELGRGQFGVTSLCTHKATGHKFACKTISKRKLSTKEDVEDVRREVQIMYHLAGQPGVVELKGAYEDKHNVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVEIIHTCHSMGVIHRDLKPENFLLLSKDENAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRNYGPEADIWSVGVMFYILLCESEHGIFNSILRGQVDFTSDPWPRISSGAKDLVRKMLTSDPKRRISAYDVLNHPWIKEDGEAPDTLLDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKGMDSDNSGTITVDELRKGLAKKGTKLTETEVQQLMDAADADGNGTIDYDEFITATMHMNRMDREEHLYTAFQYFDKDNSGYITTEELEQALKEKGLLDGREMKDIIQEVDADNDGRINYTEFAAMMRKGNPEAANPKKRRDVVL >cds.KYUSt_chr4.8930 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53666793:53668048:1 gene:KYUSg_chr4.8930 transcript:KYUSt_chr4.8930 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTALRPLSPATTPAYPATHTATSSSVGASRRAVAVRALRDYGSIPKREPFSSSRSILDEFFKQEKPLVQRTKDQITDYCTTLEGDECCSCWDAYFELNKLEKELPQDDIARMVKESRSDPKYLISSIHHRSDLRKKMAEKAQSSVPASSSVQATKPRPFPVPDGLPKTQEEIDEEEGALMPESSYTRLLRRMGRYPDWYTPRPDHETD >cds.KYUSt_chr5.42617 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268428772:268429503:-1 gene:KYUSg_chr5.42617 transcript:KYUSt_chr5.42617 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPLSLVTAILTAVQLIRSAASTASQNKEKCLELAERVKNLGEVLPSFAHAAANDAATARVLERLRDAIGEALTLIQSCKTAGMFSGKYSSRKAGDLDSVDKRINNCIMDLSLINQARMNSGEAAAGDGDGKVPAAQTGAHVDHHSSYYQAQGGGVSTAHVAWPPTPTLQHAWSTPPSYYYQQPPPTPTLQHAWSTPPSYYQPPGYVPAPPHYAASPTPPGSSLSSYCTLPTVNKIIKRVFR >cds.KYUSt_chr7.34073 pep primary_assembly:MPB_Lper_Kyuss_1697:7:212848174:212855925:1 gene:KYUSg_chr7.34073 transcript:KYUSt_chr7.34073 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTAGLVAATLRYFAGPAVPLYVLATVGYAWLCSLSFVILVPADIYTTITGDQKGDVGFFWSWSYWSSFILAWVVVPTIRGYEDAGDFTVKERLKTSIRANLLYYEIVGAIGFFGVILIMIMRHDWGGAILGLAMACSNTFGLVTGAFLLGFGLSEIPKDIWKNANWNRRQKVLSHIVAKMDVKLVNAHEEYCNTIAVVQATSKQMSKRDPVRPYMDIIDNMLAQMLRDDPLVKISGGKLEENDMDYDTDEKTMAELRRRLRRAHEEYCRCKSNYTTAVMEALELEDTVTNYEQHDAYGWKYVSGIRESRSGTLGSFLDHIEFIWRCMLRKRLLKVLAVLLGCISTAILLAEATLLSTGVHLSLFSILINAAGKQEVLVQVVAFAPLLYMCICTYYPLFRIGMMVVYSLTPRQTSSVSLLMICSMVARYAPAISYNFLNLVHLGGDVRTTFEKRMGSIDDAVPFFGRNFNRIYPLIMVVYTILVAGNFFGYLFEIFGSWKRFKFWTEEEEDMDGFDPSGVFILQKERSWIEQARKLGEQVTPLARNFSSVSKDVESGNVLQDWAILMQCLHHDNNAETFVGGVDPSSCPLLRANLRAKA >cds.KYUSt_chr3.34470 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216252771:216253480:1 gene:KYUSg_chr3.34470 transcript:KYUSt_chr3.34470 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPSTEQIQRISTHLSAFGKVESKNVFYWFQNHKARERHHHKKRRRVASSSPESSSNEEEISGLRAAVRDAEPADLVLQPPESKRETRSYNHHQRIMACYVRDVVEQETMWERPTMTREVETLELFPLKAYDLEADRVRYVRGGEQQCREISFFDVATGRDPPLELRLCSFGI >cds.KYUSt_chr7.12396 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76325988:76326668:-1 gene:KYUSg_chr7.12396 transcript:KYUSt_chr7.12396 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPRSSMRSMVLLLSHGKPRLSSLFSSIPTVTATPETSAPAAPADQPPPVLGSLLTLCVLLRIHAHAALFSSIDVCVLIPMLLHGVAVPGSGSSCHSSVAPQKRALHRVPKTPFGTPENEVCEDPDLGVAKGGPLISSKDEPKHPTPEPALAPNLQEDHEHSIPGDSCSTFEPLPNQLTKDDDLPAAGGPSPRISSRDKPPHHVVEPTGRTRIGTATRPTSEEY >cds.KYUSt_chr7.36492 pep primary_assembly:MPB_Lper_Kyuss_1697:7:228044983:228052673:-1 gene:KYUSg_chr7.36492 transcript:KYUSt_chr7.36492 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRTSASCSPDNLLDEPTRAQAARTSADGSGDDVSALPERVTSRLRARKTLRDICKRYSIPDDFAPLLAGDLSSCSPPPPGSVCVYVDALDAGLRLPLHPFFGTVLTHFGLAPGQLAPNGWRALAGFVVLSHFAGVAPSLAVFRHFFSLCPFPPHRLYTIRGKDAAGLLFARIGGNKYRAWKEDFFFLSSTAPWPCPVEWGEPYRSATFEPTLTVPEKAVAEHLLRARGSSPIDLTTYLLDSNMAAAKIIILPPPTPQGMGKPFKVATAALAPAGKVVLKKEPDFKVSPCAPSLGKKRKPAEEDHESSASDTSCPWKPSTSKDDGKQRGRDGHWIAARRMLQGVVTPLRQRELAACRPADVVTSSYVSLLQTANEVAFSLGYALELEDKLRAREREADTLRSELRRAKAELSQSKAPALRAELHTAKVELAQTKADVLVAREEADALRSELRRAKAELANADALRAELRGAKAELAQTMADAERAKAAASRALVGRELMGYERGLADMKRAALHRYPLLDPANLVAGSSAGNPSQASTSPPAGSSPAAMASSSQPPINLGLPPQELLTRDNYPIWRSQVLPAIRGAQLVGLLDGSDAAPPTEVEIVPADKTSGTAAKMGPNPDYASWLSRDQIVLSYLLQSLSREILPHVHRIEHTAGVWRALQEMFAAQNEAKINNLLVALANTKKLQMTTSEFLSKMQGFADDLIAAGHPLTDRQLVSYILAGLGADYNALVAALGVTTTPITLSLLFSHLHAYDQRQLMLNGPSPPEFETSANAAARQWRPRSANNNFARNRGDRGDRGDRGDRVDRGDRGERRDFRRDDRPFYQGRGGGRGPSGGGRGRGRGRRRTTPWVDVTCQEGHPAKDCWSRYTEDDDYGDKEIHAAYGVDTNWYQDSGATHHITSELNNLTFRDTYKGSDRVNTANGQVFPFKSLHPNAGALLRKQILLLDPSLCNFEQGDDTISDSIMENTHTTNPYASVVPYDLQGAARRDVAAGENSAQNSAPSSSFQQSGENNSSTDSHGDFLPESASGSSQVPSGSASDASGSSSVPPGSASASGGQRGPNARGRDAASSSPGSSADSLPHAQHSPDSTARSAATADPGASQSAPVPPDSAGHTGSAAPSSRVAQPVPSQRPTTRATRGIVKPREYKDGIVRWLLTAATDEPTNLQSALDDPNWYKDRLVAKGFKQRYGIDYEDTFSPVVKIATVRLVLSLAVSRGWSLRQLDVKNAFLHGVLEEEVYIKQPPGSDASLFIYRKSNITIFMLIYVDDIIVASSSQAATDALLRDLSQEFALKDVGDLSFFLGVEVQKVDNGIVLSQSKYARDILARVGMLNCTVGLTFVKSASTLVSAFSDADWAGCVDDRRSTGGFAVFFGPNLISWSAKKQATVSRSSTEAEYKSVANATAEMMWV >cds.KYUSt_chr1.26935 pep primary_assembly:MPB_Lper_Kyuss_1697:1:162549694:162551914:1 gene:KYUSg_chr1.26935 transcript:KYUSt_chr1.26935 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTTAVLVSALVVLLVAAALVLFSRRRERSIFGGGARPEDDKDAEMLPPLDVTLYQKLEISVGDVTRSLTPANVIGQVWSGAVYRASVPSTGASIAVKKFRSCDEASAEAFACEIGVLPRVRHRNIVRLLGWASNRRARLLFYDGLHYTYVRRRGGRDERVTGEHRSPALQVTAVVPALTADSLLCPSNGFLLPGSPTASTTPTSSPPRPTPTCSSSRAPTSSATSSTSTGGVRACTALEDGIPSSRWCAASTGGVRRRGADGDGLQQGNGSVARSGSGHGLARWRYIGVIVVSSPINVATVVVILADVIVAGIGVAVVEPRRVSTRGLGLRVAQAGATVGHDALESPAAPPQPTAGLVEVRRRRAALLLPGKRPLTPIDEEAFPSQVVVGMPLGIPPLLWREL >cds.KYUSt_chr1.22893 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135658849:135659409:-1 gene:KYUSg_chr1.22893 transcript:KYUSt_chr1.22893 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTVSKATPAAAGNTTANKEREGAEIVTGAEACYAHSKEMLKGLGFPGGVMPMRGLEECGLVRETGYVWMRQEKGKAYEHYFRGTGTRVRYDAEVTAYVEEGRMKKMTGVRSKQMLMWVPIVEMSLVDGGERVYFKSSVGIGRSFPAAAFADEDEDAAVDEKAAPAAAPVPVPAPEAADANDEK >cds.KYUSt_chr7.8050 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48666158:48674211:1 gene:KYUSg_chr7.8050 transcript:KYUSt_chr7.8050 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELPANSPAMPSSDGGPSPPRRLVFAFYLTGHGFGHATRAIEVVRHLIAAGHEVHVSTAVPEFVFTAELRSPLVHVRKVLLDCGAVQSDPLTVDPLASLEKYHQTAVVPRESILKTEAEWLGAVKADLVVSDVVPVVCRAAADAGIRSVCIGNFSWDYIYAEYIVAAGYHHRSIVWQIAEDYSHCEILLRLPGYCPMPAFRDVTDVPLVVRGLRKSRSEVRKDLGIAENAKVVIFNFGGQPAGWKLKQEWLPDGWICLVCGASDSQELPPNFIKLAKDTYTPDVMAASDCMLGKVGYGTASEALAYKLPFVFVRRDYFNEEPFLRNLLEHYHNSIEMIRRDFLSGHWKPYLLRALTLQPCYDGPINGGQVAARTLQDIAVGKKCVSDKFNGARRLQDAIVLGYQLQRTPGKDVEIPDWYSLSGAEIGAHPILENIEKEGSAESCCEDFEILHGDLQGLTDTMKFLKSLSGLAGSEFKNSEVQSRERTAASVLFDWEREIYVARAPGRLDVMGGIADYSGSLVLQLPLREACHVAIQRNHPSEQKLWKHAQARQLEKEGVVPVVQIVSFGSDLSNRAPTFDMDLSDFMDGDKPISYEKARRFFCQDPSQKWAAYVAGTILILMTELGVQFADSMSILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNITPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVTIPNHMRFWGLDSGIRHSVGGGDYGSVRIGTYMGRKMIKCAASDIVSVSSTSDASARSDDSKENGRDVPSSEASMEYLCNLPPHRYEAAYSKDIPEIITGDAFLVKYGDHNDTVTVIDPKRSYSVKAPTRHPIYENFRVETFKALLTAANTDEQLAALGELMYQCHYSYNACGLGSDGTDRLVNLVQEMQHRKTQEDGSPSLFGAKITGGGSGGSVCVIGKNCLESAEEIAEIQQRYKAATGYQPILFDGSSPGAGKFGHLKIRWRRPSSPIA >cds.KYUSt_chr7.16122 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99904900:99905293:1 gene:KYUSg_chr7.16122 transcript:KYUSt_chr7.16122 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEQDISINKAVFSNYWRLVVLVLSRGASRSLVKLCVKLSRWKLGEHGGSGEAFFNKRAMVILFFGWSSFVLLLLMACRGGEGIESDQIKVLAGSISEP >cds.KYUSt_chr7.4533 pep primary_assembly:MPB_Lper_Kyuss_1697:7:27469901:27473086:-1 gene:KYUSg_chr7.4533 transcript:KYUSt_chr7.4533 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARPLAVFLFLLLLAVQLVEGSKSRNSERDALRAFRAGVSDPSGTLQSWNSTAHFCRWEGVICDHGHVTSLGVSGLSGSISPAIGNLTYLETLNFSKNAFSGSIPATLGRLRRLSYLSFCDNGLSGVIPDSLRNCTGLAYVYLNNNSLAGAIPDWLGTMPNLTYLWLYGNSLSGDIPLSLDNLTNLESLKLDQNLLQGNLPVGLSRLPLLQTLTVSQNKLDGDIPPGFFNMSFLADMSLADNAFRGSLPPYAGAGMKNLGGLFLGGNRLTGLIPATLANASGMTYLSLSDNGFSGRVPHEIGTLCLYALEISNNELAATDGVGWEFLDRLTNCSGLRRLSLDNNNFSGRMPISISSLSRELLELNLGGNRMSGSIPPSIGNLTALQTLGLESNLLTGTIPDGIGKLKNLMELRLQENKLSGTVPSSIGSLTKMLKLVLSSNVLSGSIPPTLGNLQEMVLLNLSANKLTGQVPTQLFDLPSLSQAMDLSNNRLEGPLPRDVIRLGNLAFLKLSRNFFNGEIPEQLDSCQSLELLDLDSNLFNGTIPLSLSKLKGLRRLNLTSNRLSGSIPSELGDMPGLQELYLSWNNLTGVIPDELGNASSLIKMDVSYNHLEGQVPLHGVLANLTGLNIAGNSELCGGVPQLHLLRCPVARHTQHTDWRLPIVVPIFGISLFSGMFLAIFLCYKRKSRHGESTTQPDILDGMNYERISYAELAKATDGFADSNLIGAGKFGSVYKGTLPLKVKEGFEHGTVAVKVFDLQQVGASKTFLSECEALRTIRHRNLISIITCCSSITPRGDEFRALVFELMPNYSLDRWLHPTPEALKNVGRLTAIQRLNIVVDIADALHYLHHSCVPPIIHCDLKPSNVLLGEDMTACIGDFGLAKLLLDPGIQDIASSESTIGIRGTIGYVAPGNLNTRLTRSDVVLHIAHISWLDCFTTAEYGTTGKVSTNGDTYSFGITLLEIFSGKSPTEDSFEDGQTLQGFVGAAFPDRTEEVLDTTMLVTTEFDGDYSGVSVRDCLVSAIRVGLSCTRAAPYERMSMRDAAAELRTIRDACLSA >cds.KYUSt_chr2.39381 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244210685:244210963:-1 gene:KYUSg_chr2.39381 transcript:KYUSt_chr2.39381 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKSRVFSKTMLFRLATKSRVAVDDSIRSCIVRSLLPNEVDGCASADPKQILHRVAAAHNAAGATPRTRALTLRMFGCLADQAKFQMDPLC >cds.KYUSt_chr4.49977 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309612641:309616062:1 gene:KYUSg_chr4.49977 transcript:KYUSt_chr4.49977 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAATGQSTRDAHAHLSAYFLDAMRLGEPSPPERQRHAAQAPPPPPSRGQQPDQSYVAVFAEMASPPPAPQPKMSPMSKMMQELEITRQFWPPSKPYASLARSSSNRDAYASQIGGAAFTKNTTQSGPQRQSGPSPPPQQPLRASARQYQPGPAAAALTVSPYHQPYYASQYQADPVAAPLTASQYHQPYYASQYQAQQIAAPPTASQYHQPYYASQYQADPAAAPQTASQYHQPYYASQYQPEPTAAPLAASQYEPYAIVPHQPEYGSSFHQRMRAIQAAQAQATPSRARRCRTLEETRAALLSGAPMELQLVTFPDSAAHVIYLLLHRDGEGYEQVLRSVLAGVTRGVHDFIDNKEGHKVLVELLGACAGRRDEVEAIVQAALAPGANGTRYPLLRSTKHDCWEKCVRELMTAAAPYPDLSAMLVDRLMSEGLLKHDRGDQLLQHCFATTSHQDTVCALDKFDDMIGTASGAKCLVECYARATGHQLQAFNDILLARALEIATGKFSNYFMQHILEHGDAETSRLLVERLMADVVQLSLHSIGSYVVEACYQKTGLLPLVLAAFLRLDDDCLAQLVQGAYANYVVHKLLNTAIHVFPRETMALVRRIHGLPQDVTRQPHARKVMTVVLKILARAGRIVCFVTAAVLLPCDATIPTPPAAVPPQHRSTRRPGLALAARESMIVLGVGSGAYLPAVLGPNIADCAAFPRLPQPVRSIAPMLEKFRAEVVDSSSGEESDQSTQILATTAASMVHEFTSNEGSVHRGSVKGRSKNLPRNRVEGQLRFHKDYFHLTDPVFKEKMFRRRYRM >cds.KYUSt_chr2.2914 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17220120:17221226:-1 gene:KYUSg_chr2.2914 transcript:KYUSt_chr2.2914 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRHQSQLNGSRTGKRPRLVPKKHLYLALDDWDKGFSIHKIDADTLQDTCTDLRVGFPDPAALRFAAPVHYLGMGFTAFGNNIFIATNPRCGQTPTLVYDTNTAGLAIGPSLPVPLLDGIDISVVAGDVLYALQSCHGSEQHSFEAMSWAPTGNDEDSPRPAMGWSWKSLPSPPPFAMDEEITSYAMHPDGRTIFMSAHDRNYRHLPKGTFSFDTKHCEWKWCGEWVLPFEGQAYFDGEIDAWVGLHKDGYICSCQVASRSRASAVQPDWKIVNEKLFLKVPERRVQHTRPTLAYMGDGKFCLVECVLREGVEFRCAFGDRDGFLLHMSTFGLKYDHRGELRTTRHRTNSYVVSKHLGGSSPVVFWM >cds.KYUSt_chr2.26775 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163923767:163925203:-1 gene:KYUSg_chr2.26775 transcript:KYUSt_chr2.26775 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHVLVFPCPAQGHINCMLHFAAGLLDAGLHVTFLHSDHNRRRLGLAAAGAEASSPRLRFLSVPDGLPDDHPRSAGKLLEFMESMQTHTSVAYRALLASLRAGAPGRDDGFPPVTCVVADGILPFAIDVAEELGVPAIAFRTASACSFLAYLSVPGLVELGEVPVPAGADLDAPVRGVPGMEGFLRQRDLPSFYRGHAEDGGLGPMLKMLHEFTAHSSKARALIFNTAASLERSALAHVAPRMRDVFAVGPLHAMFQAPAAGGALWREDDGCTAWLDGHADRSVVYVSLGSLAVISLEQFTEFLSGLVSAGHPFLWVLRPDMVGESQNAVLQEAIKAAGRSKACVVDWAPQRDVLRHRAVGCFLTHAGWNSTLEVVTEGVPTVCWPFFADQQINSRFIGEVWRTGLDMKDVCERAVVERMVREAMESEEIRRSAQALALEVRRDIAEGGSSKEFKRLVGFINELSLSSQHQGATSSR >cds.KYUSt_chr4.37411 pep primary_assembly:MPB_Lper_Kyuss_1697:4:230364548:230369427:-1 gene:KYUSg_chr4.37411 transcript:KYUSt_chr4.37411 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILSGGGGLAALRSARAVKQTTGIVGLDVEPEARKVLIGLYTRALDEIKAVPEDEGYRKAVESFTRHRLQICQEEEDWKRIEDRIGCGQVEELIEEAQDELKLIGKMIEWDPWGVPDDYECEVIEDDTPIPKHVPQHKPVALPEEFFKALDAMKADPALKGDSPPQGLPMLKDTQAREVFDRIVKIETSVALGVPQLEVVALVLDDHLKDWWLNTRNNLDVRRKKKLDAWTTLICWSLWKQRNAKVFGNLT >cds.KYUSt_chr2.37202 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230290697:230295269:1 gene:KYUSg_chr2.37202 transcript:KYUSt_chr2.37202 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLGKEDGENTLYGRYRWWTEGVRAARREVPGKYALFSFLHRAPEPSWAEHRIRTLRVRPTLHFAGDGANLCQRGSLPARSQGHKPDGGTRWLPQQSEPAIMAAMVVGIVPSIATTIHGALQFFAPASSSSAVFDGGEDSSANRRRKMQPSFAGSMTLLNTAMPQQ >cds.KYUSt_chr2.1255 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7426922:7427143:-1 gene:KYUSg_chr2.1255 transcript:KYUSt_chr2.1255 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSNTTTTTSGGGGGGGGGKDKDKGKDAPAAFTEGERVLAYHGPLLYEAKVALPFLHPPSPSPPRYTSIPV >cds.KYUSt_chr4.48250 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298761263:298761484:-1 gene:KYUSg_chr4.48250 transcript:KYUSt_chr4.48250 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVVAVLLVQCCNVVLAARLLEGDGGWLQGGAGAGALIMQVLKKGGPAPGPPNGCTGNSGHAPGGPCHHN >cds.KYUSt_chr7.17791 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110300528:110301455:-1 gene:KYUSg_chr7.17791 transcript:KYUSt_chr7.17791 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAMLLTFYKGPEFKLLHRLPHPKLGHISEAHHSHPPSTSHQIVGSFLGIISCFSYATWLVIQAKVGEVYPCHYSIAAIVCFFGAIQSTIMAVCVQREMEQWRLGLNIRLYSSAYAGLIASGFAFPLLSWCLRKKGPLFIAVFSPLMLIFVAVLSSLLLDEALYLGSVLGSILIVGGLYLVLWGKAKEQAKVSKEDNLDHQSQRGELEQTSTV >cds.KYUSt_chr6.25493 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161569404:161571551:1 gene:KYUSg_chr6.25493 transcript:KYUSt_chr6.25493 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVTGKSGVGKTKLVKERYEKSSTKRYFEVKAWVTCAPNLSASNIMKLILLRLVEGPLRCPKEKLGEMLRKELENHRYLLVIDGEVNSTEWSYMLDFLPTDIAESRVVRITQATNLEPPPASFEPKDIALDHFEEQNTSVNLFLATLFMDDKEKHCGSVIEEAVKGKHAQFIFNVTGGLPLAIVLLSGLLRTKEYPGEWEKVFKHLEGKSSESKRLDNILSMCFDDLPHDLKSCFLYFAGFPASTLVKARSLVCKWMAEGFLRPKEGKTMEKVGERYLHELIHRRLMNLPPLENAAPGDERVTVQTKVHDFLVLEAQEANFVEIHHGDDLPTLSTARRLSLQNHTDKYAALADPLPKLRSIMSNFEKEEPQGSAETREDSSRTHDGATCSPFSRKADSSEVMRKLLKGSRFLRVIYLDGLEIGNKLPSEIGSVVHLHYLGITSCSLGEIPASVGKLTRLQTLDVRGTDVTRLPSEFWKIRTLRHVFGSIVLPRRVGNLEQLQTLQAVKPDDDGGSWDATTFASMKRLQSLYISGLTARNAHALVPVYALKYLVLLSISGDEISLDLFACSNYTRLQVMVLKGKTLSSSDWSKRFYFPSLTKLSLKNTKVSQEFINKLSELPLLASLALFRESLEGKCLSLTDGFQSLKELKLDVDVLEIIIMGQACPNLEKMELSIYSWDLNLQVRPEIADIIRLQDKFLYANVKRSKALQLL >cds.KYUSt_contig_402.68 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:339008:339661:-1 gene:KYUSg_contig_402.68 transcript:KYUSt_contig_402.68 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSVFHFLKGTYNSPNGERLLGGAQAVRMNAPRVGGSFAVWGGLFSAFDCTMVFARQKEDPWNSIIAGAATGGFLSMRQGAAAAGRSALMGGCLLALIEGAGLMLNRVLAVPPPLPADDPAAAIGGFQGFPGLPQPAVIAPEVGTSSDGGSWFGSLFGRKKEEEKKPTSGEMLESFETPSPPIPSFDYK >cds.KYUSt_chr2.48788 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305248685:305250479:-1 gene:KYUSg_chr2.48788 transcript:KYUSt_chr2.48788 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHMEGRGLDRISGLPDDLLQEILLRLRSLPAAARTSLLSRRWRRVWTGLPELVLAGFHAPSTFLRAVNGTLAAYSAPTVSALTITVPEVEGFHVPYCPTAAWLRFASRRVAGTFSLTLTNFPSPVTINALKLPPCGRATSITLSLDWFTLRLRPLGSFPALTVLTIQWAVVDAQELGELISSMCPRLTDLTLRVQPLTDRAVSVCSTSLKRLKFQLNESACPLEVVAPVLEVFVLGCPFIEAHISAPKLAEANVPGLNRCHFADDVPRRLRRLQTTRYYGDGTPPLSPLKMRRFDAVDELRLRVCIEVEGYSNFLDDLDKLPMCETLSANVTGRHYGYFPSMPLLLRMRNSLRKLVLEIYEMKNICLLDCPCRLTASHQADNSTLDSLEEIEIRSFKGTDDHVEFLKLLLSKCSPTKLINVEINISYIYEPYLDLPAPIEEVVDKVRAICRPNLKVRVHITSNNGISEIVL >cds.KYUSt_chr7.10641 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65361694:65363819:1 gene:KYUSg_chr7.10641 transcript:KYUSt_chr7.10641 gene_biotype:protein_coding transcript_biotype:protein_coding MLVARGFRRAMVPRFERCGMCGAAATSGLAVPGADARFHDYDAAVTSCVERRALREGRQVHAHMVIARYRPPVYLATRLVIMYVRCGALDDARNVLDGMPERNVVSWTAMISGYSQSGRHAEALELFVRMLRAGCKPNEFTLATVLRSCSGPQSIHQVKQVHSLAAKTNFESHIFVGSSLLDMYAKSGNIQEARRVFDMLPERDTVSCTAIISGYAQLGLDDEALDLFRQLYSAGMQCNYVTFTTLLTSLSGLASLDYGKQVHGLILRKELPFFVVLQNSLIDMYSKCGKLLYSRRVFDHMPQRSAISWNAMLMGYGRHGIGHEVVQLFRTMTEEVKPDSVSLLAVLSGCSHGGLVDEGLDIFDLIVKEQNAMLTIGHYGCVIDLLGRAGRLQKALDLIEKMPFDPTPAIWGSLLGACRVHVNVSVGEVVAQKLLDMEPGNAGNYVILSNIYAAAGMWKDVFRVRKLMLEKTVSKEPGQSWMILDKVIHTFRSSERFHPSKKDIDAKIKELYVDLKAAGFVPDLSCVLHDVDDEQKERMLLGHSEKLAITFGLMNTPPGLTIRIMKNLRICVDCHNFAKFVSKVYGREISLRDKNRFHLLADGACTCGDYW >cds.KYUSt_chr6.23610 pep primary_assembly:MPB_Lper_Kyuss_1697:6:149236143:149237259:-1 gene:KYUSg_chr6.23610 transcript:KYUSt_chr6.23610 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDSPTSSRQESAAGHSVAVFEIGPRVSSWRPRVGSSAGDQGVFRSSSEAARSPEGIWDRRLRHCYDALSKRGCSGSRSKSSGVFIDLYRLHGASVL >cds.KYUSt_chr4.8865 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53217541:53217864:1 gene:KYUSg_chr4.8865 transcript:KYUSt_chr4.8865 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTRQLLRIQEQAAAHRTRPSHVGVLWLQLQRLSPGDSYIRVYTVCYDSPSDYSRMLDRPGTVTIRANMGYVYVTCAVLSNAVCARPKVMVRLPWLYPYVYVHGQ >cds.KYUSt_chr5.3907 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25303727:25304296:-1 gene:KYUSg_chr5.3907 transcript:KYUSt_chr5.3907 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATSSALLGALLFLSLFSAVVHCSGAGGSSLDLLCGNLGGYYVTPELCTSALCGADPSACRNARSKPELAALATSLAAANATATKASLESALGHGAGRDAEATKGMQSCLQLYAGAVPALQWAAQAVAAGRYRGAGQVLQAAVYIGSGCTGMAGQAALPRESDRFSYMAIVAHAVVASMYPGYNML >cds.KYUSt_chr2.51897 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324061386:324064960:1 gene:KYUSg_chr2.51897 transcript:KYUSt_chr2.51897 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRLAAAVAAVHLCLLLSPSSALRWLADPAPETAASATGQGHDSFRTAYHFQPAENWQNGPMYYKGVYHFFYQHNPYRATWGNGNLSWGHSVSVDLINWSALENAMDPDSSFDINGCWSGSATFLADGTPAFLYTGIDANNNQVQNVAFPKNASDPLLREWVKPSYNPVIALPDDVVHDNFRDPSTAWIGRDGLWRVAVSAGLKDGTGSTLVYRSKDFRQWERNAEPLYSSGVTGMVECPDLFPVAGPGDQNGLDYTPSNSAAVRHVLKQSVMVTLSDYYVLGRYDDAADTFSPVEADNDCRTWQRFDYGHVYASKSFYDAGKNRRVLWSWANESDPEADYIARGWAGVQTVPRRIWLSNDGKQLLQWPIEEIETLRKTRVGFLGAEMNAGGMNEIIGVAGMQADVEVVFEVPSLEGAENLDPNQLLDPQRLCGEKGASVLGGVGPFGLIVLASGDLQEHTSVFFRVFRHDGKYKVLMCTDLRRSTTRADVYKPPYGGFVDIDIEKERSISLRTLVDHSVVESYGGGGRTVITARAYPEHVATANSRLFMFNNGTGAIKVSKLDAWELAPAKVNLPGDGLITAASSMHLREAY >cds.KYUSt_chr4.51161 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317238154:317244993:1 gene:KYUSg_chr4.51161 transcript:KYUSt_chr4.51161 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLTTGAAPSPEQGVRRVATALLFLAAVALPCLVLYHAVAPAGVLVPPAAMLPWMQAAGPPHDDDEVNLESDSEDERLERVLRAAAMANDTVILTTLNSAWSEPGSVVDVFLESFRIGEHTRELLDHLVIVSLDATAHRRCKQIHTHCLAVATEGVDFSGQKNFMSDGYLRMMWRRIDFLRLVLEKGFSFIFTDTDIVWFRSPLLHLYAEGDFQIACDHFTGDPDDLGNMPNGGFTYVRANSETVEFYKYWYAARKLYPGRHDQDVLNMIKRDPYLDEIGVSIRFLSTEFFGGLCEPSRNLSTVCTMHANCCVGLRRKINDLNVMLHDWRRFMSLRDKDKHSVSWSVPQNCRCLRRLRLRARNRSWRRQFPPPLLPLSPSGWTLQRIPARRRGDLRAPEKTCRAGRSEQQTEEAAKKSKARKRDSEAKGKWWPCTTTEMELKNLESEGFPATRKAGGRVPDEPAPAPRDDEMVLTKALGGARIFISAFGFLPGRLRRRMDSNPTIYLRTACSPSATTSLSAKVTSGRLRHEDRLMFEYTRRDDPMRATKDNLSADAIDKRIRVLIKIPRELHVHVCNKDIHTEGTGTALEALEENELGTLLRVPSTGHADPEATSEAEAPEAPRPAKRKKPAPSSPYAKRPRETLSIAATRKAEAEKKRLALINTSNKGQPAIQHFFKPSGSGSQPPKAPRVIKKKVKPSPASFPITPESVTRKFPPCLNKVWGKPEEEVGYLAERRTALKEFFVKHKEVRQLFRVHNTFNPAFGRSAKHSSLDELSAKVKVLEAENESLQNFMKESSSKEARRGRSSPRSTPVRRRNRSTRLERSQGRALSTIAKNKAPEAEAEAIDKLIFPSLGFEWTKDSNLTRTEAYDEARISIDALFGACRGIATALRLKKAKTTVVDTMTKLMRRVPEFMKERRSLRRAEPPP >cds.KYUSt_chr6.29263 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185370975:185376987:-1 gene:KYUSg_chr6.29263 transcript:KYUSt_chr6.29263 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRPPTALAELDPAAEDSSQDEGAKPAKPFPAEQMPAKKKKKLAMELRKQRKQVDKDRHRHSADKPKPPQPAPPAEEPAVPVPAPAPVPVAGPGLHMKVFRDLASPEASVREAAAEALVAELREVQSAYEESKRDEEEDEAAESDAPPQMEAEKEDGLDNCAPAVRYAIRRLIRGISSSREFARQGFALGLATVLESVEAISVEAVIKLIPNLLEYSASMKGPEAKDNLLGRLFGFGSLARSGRVSGQWAQDKSSPILKDFVSEVVQLGSKKRYLTEPAAALILDLARKLPDEAIFSEVLESPGVQDWFNRAANVGDPDALFLALKLQERTNVQREMFGKLLPYPFSPDNFFTQEHLLSVAACFKESAFCLPRIHSIWHVITDMLIQDEASQNDNNASSGKKHKKSKKSSSSEDSRKNLRNFCEVIIESSLLLSSHDRKHLAFNIVIDLLPRLSPSSIQVILSSKVVLGLMDILSNSSSWLYNAGQHFLKELVSIVSNDNDRCVAVIINLQKYSCGRFDSLTKTKTVKGLIAKFHNGQDCLYLVQNLMALFVDEGSVTDEPSDQSQTTDENSEVGLIEDKELIGEGNADLVKSWVVNTIPFVLKNLRLKPDEHSDSEMVKCIEEKFQVQTEILKFFAVQGLFSASLGNEVTSFELQEKFKWPKAAISTSLRNECIEKLQSLLEDAQKDEALDVGGDIKSNDLGFYFMRFINTVCNIPSVSLFRTLSSNDDNAFKKTLATESALFQEERKAESGLDSTKMHVIRYLLIQLLLQLLLHPEEYWEAAIDVIICCKKTFPSIAQCDSSSLPKPLEDSVEDSDEDGSEEPNEDGSLEFMDVLVQTFLSLLPHVSGPVCFTIEQVFRVFSDEITETGLLDMLRVVKIDMKGSRRQTDSDDDEDDPRVGIEDDDDDEMEDADVGNVDDATDELDEEMDDDSADEVDQDQDGSEKTVDNKAEDGDGTEAAKGGEDSDDSDGMDDDAMFRIDPYIARIFKERNNLPGSETQQSQLMRFKLRVLTLLEIYLQRNPGKNLVLEVYAFLMQAFVKSHSSDGSEQFRQRIGGILQKRIFKAKECPKGSDVELSRLEILLQKALHLASRSRHKAVASAAQNATFWILKIINSKSCSKQELASVVEKFHYMLTDYFNNKKSRLQIWFVKEVVRRNPWLGRELFGFALQKVGSAKAEYRRVQTLELVDCILKSWVGDDVSSASKVLKKNLALLCELMQEILTKMPENKSRRQEVRRFCTRALQTVTKLNLKEKFQKKLSSEAYSLCEAQLGAAFVPFKE >cds.KYUSt_chr1.8730 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53562534:53564004:1 gene:KYUSg_chr1.8730 transcript:KYUSt_chr1.8730 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQVRIPSPHLAAPSNLTMFYAQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKVQSFENVNRWLRELRDHADSSIVIMMVGNKSDLLHLRAVSEDQGKALAEKEGLFFLETSAMEAVNVEQAFQTIITEVYGIVNKKALAAKEAAAAAAPLPSQGKTISIDTASGNSKRACCSS >cds.KYUSt_chr5.36801 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232648093:232649686:1 gene:KYUSg_chr5.36801 transcript:KYUSt_chr5.36801 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNKIVLTVLLAGAAAVLIGGTMALILTAGTWKVKLKESQEKICDKGWECSGSKYCCNQTITDFFKVYQFENLFSKRNYPVAKAVGFWDYQAFITAAAMFEAQGFCTTGGFEMQMKELCAFLAHVGAKTSCGFGVATGGPLAWGLCYNHELAPDQGYCDDSYTQYPCVKGVEYYGRGAIPVYWNYNYGAAGDGIKEDLLSHPEYLEQNATLAFAAAMWRWMTPIKKKQPSAHEAFTGIWKPTKNDTLSNRLPGLGATMNLLYGESICGRGFIDPMNVIISHYQYYLDLMGFGRERSGLNLHCAEQAPFNPAPKKDDEQKPSGGQQPAG >cds.KYUSt_contig_528.565 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:3467071:3471939:1 gene:KYUSg_contig_528.565 transcript:KYUSt_contig_528.565 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPDVQARTEPKKSCRLEILVSAYFTVVDGMKIYNKGRTVSWVVDSVEYTLTDLERDIGPYFQWGSYQKANFWVLERNAMKFKLDSDAQLLELVRSTEVLKLMMIVGRHEEMEEPAIHKVRAAANVVRNDVPAVAEVMDQEVSNAADVDMGPELTELCELDETFAGPPRAEKVPNVAALDIGPELRELPEFGETIAGPPRAEEEEKEHFMTVGCDPDGDEPAGADEEWRYFKNVDDANVQPIKNVEVEVPNRKRSRPMPYFDTEAVPNDEAGLVDDFIVADTTYDKENPVIKEGHTFVDKAEFMEIIRTYAIKNEFQSKIEHSDSERYRARCADLECGWRIYAKKLHGCNTFKVVNLSSLDMHTCSNGNKAREATTGWLSRRVKDIVKEDPTLSAKKLQKRLEKQYNIELSYWKAWTAKKTAMNALHGTWEESFTMLWRFKAALEESCPGSIIEIDCKKINGKMHFSRMFVSIRACVDGFLSGCRPYLGVDSTHLTGKYKGQLAAATAIDGHNWMYPVSYGIFSKETNANWAWFMDNLRRAVGTPPGLTIHTDACKGLAYAVNKVFKGEAEHRECFRHLMANFRKKFKGDVLKYMWPCAWACTTRRHDALKDKITETCPKAIPWLNKHHNLVWSRSKFSKECKVDYVNNNISECFNNWIKDEKELPAVYLMDKIREKIMVKIATRKVIAEKLEGRILPSVLHELNMKSRGLHYDFTKSGPLSAEISGTTNEGKTWRYGVDLAKRECGCGQWEVSGKPCTHAIFLIGKVRQLKIEDFVDDYYSVQRFKLAYQFQVTPMGDKSQWPKNDPPFEVVPPPLERPAGRPRKQRIKASGEPGKRGPYQCKRCFQFGHIEKGCYATQAELEQELPPPRPKKQKQQRKAKAESLETSSFVDPKVMSSPVVMLPNVPVHLLNTKLRRFFAGKLLSKWEGPYVVEEVYRSGAIKISSLQGNATQVVNGQRLKHYISGDSYNVDVDIIQVETPEAFIKGQIDNHLNSTLNRSTMASNNKGKGLSEEEVKRVPSRQEQQAVGSKQILVGSVDTRRSFSHNLQGPLPPALSLDSFSVLEEALRTTDEFCDQYRALRREVEILQEENCRLRRMLEYHSIHITRSSSPTSDNNESLRVLVQNCQAEKLKLKEFCKKRGRSSSPSSPKE >cds.KYUSt_chr6.25406 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161115378:161115753:-1 gene:KYUSg_chr6.25406 transcript:KYUSt_chr6.25406 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMITAATMATVLALVLLSSPTGGEGVICGSHPCAPCPVPDICAGSSNDKCAAWCVSEKFDTGACYGDPKQLLICMCERSCPVPGRGARRSKIM >cds.KYUSt_chr1.35154 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214331964:214337718:-1 gene:KYUSg_chr1.35154 transcript:KYUSt_chr1.35154 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDGEYAQDGSVDLRGNPVLRSKRGGWTACTFIVVYELFERLAYYGIASNLVMYMTRNLHQGTVEASNNVTNWSGTVFLTPLIGAVVADAYLGRYWTFVAGSAIYLMGMILLTLSVTVPALKPPPCDGTTCPRASALQLGVYFGGLYTIALGHGGTKPNISTIGADQFDDFDAVEKLQKLSFFNWWMFTIFVGILFSSTVLVYLQDNVSWSVGYGVPTLGLLASVVVFLAGTPLYRHKKPNGSPLTSIGKVVAAAVWKHSVKLPVDGKQLHELELEHYTSRKRFRMDATNSMRFLNKAAANVNAEKRLPPWTLCTVTQVEETKQIAKLMPLLVTMVVPCTLFAQAGTLFVKQGATLDRRLGGFLVPPASLGAVVVLAMLICLALYDRVFVPAARRRTRNPRGITLLQRIGAGLLLQAVTMAVTSVIESRRLRFARSHAPDAHGVVPLTIFVLLPQFLLMGASDALLLVGQMEFFYDQAPESMKSLGTALSLTAYGAGNILSSAVLSLVVRVTGKTGTPWVTNNLNASHLDYYYALLAVLAAANLSVFLALSGSYTYRAESRETIGVAMDVQGELVA >cds.KYUSt_chr6.11598 pep primary_assembly:MPB_Lper_Kyuss_1697:6:72081119:72082970:1 gene:KYUSg_chr6.11598 transcript:KYUSt_chr6.11598 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFILFLCLLAPFVVAACALRAAKKRSSSSSSSGGKGKSSLPLPPGTMGWPYVGETTQLYSSKNPNVFFARKRNKYGPIFKTHILGCPCVMVSSPEAAKFVLVTQAHLFKPTFPASKERMLGRQAIFFQQGDYHAHLRRLVSRAFSPDSIRGSVPAIEAIALRSLRSWDGLEVNTFQEMKTYALNVALLSIFGDEEMQYIEELKQCYLTLEKGYNSMPVNVPGTLFHKAMKARKRLGAIVAHIISARRQSEQERGNDLLGSFMDGKEELTDDQIADNAIGVIFAARDTTASVLTWMVKFLGDNPAVLKAVTEEHAAIAKEKEVSGEPLSWADTRRMKMTGRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHNPDHFPCPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVFCHHLATKYSWSTSNSESGVQFGPFALPINGLPMTFNSKNDSA >cds.KYUSt_chr2.18426 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116081463:116089495:-1 gene:KYUSg_chr2.18426 transcript:KYUSt_chr2.18426 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLSRIRRRVPTIAPPLFSGVARDDPASKRVTIHQLTSSKLNAFPNRPIAVRSTSRSARLSAHANAHATGVASAVFLDSIFKRDNRINLARPAVDATMTPDGSTIHSTNIRQHPAAAPKTMPQEVQHDHLAYTSVRENATGDLSVNALHYIFSTFDYRYHACPSTRKTKLKIEKNATAGSCRSGQYLPMAAHRQKLRSTFVYHADAMATTATAPYIHRTPEIARLEVITAENEVEDAEGLRAQLRRLREAGVDGVMTDVWWGIVEGAGPARYDWRGYRELFRLVQEEGLKLQVIMSFHACGGNIGDAVNIPIPEWVRAVGQADPDVYYTSPGGARNQEYLTIGVDHRPLFHGRTAIQLYADFMKSFRENMADFLESGLIVDIEVGLGPAGELRYPSYPESQGWAFPGIGQFQCYDKYLEEDFRAAATDAGRPEWELPDDAGEYNDAPDDTRFFAADGAGATYLTEKGRFFLTWYSSKLIEHGDRILDEANRIFLGCKVKLAAKVSGIHWWYRHPSHAAELTAGYYNLGGRDGYAPVARMLARHDGAVLNFTCAEMRNSEQPEEAMSAPEEIVQQVLSAGWREGIEVACENALPRYDRRAYNQMLKNARPNGVDLGGGGGGPVRRIAALTYLRLTDELLTGNKYRAFKTFVRKMHADQEYCPDPAQYFRPLKPLERSRPAIPMDRLLEATSPEAPYPFEAETDMSVGGDLAELIDWAVDKIEWIFG >cds.KYUSt_chr5.37540 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237233243:237236836:-1 gene:KYUSg_chr5.37540 transcript:KYUSt_chr5.37540 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNRFRQLLLSSWNIRGLNDPDKCLDVKMNLSAQPLHVICLQETKLQSIPTQKAASFLPPGFSSLSLLPSIGASGGIATAWDSRFVTHLSDRALQFSLSSTFELVEDGVRFTVTNIYAPCDRARREDFLSEMRSLSDLDSDAWLLVGDFNIARYASDRNNDNFDAGAAESLNDLVDELALQELPLLDRRFTWTNSRADPTLVRLDRAFINLAWGARLFNSTLHSLVRNTSDHVPLLLTASSRAPKSQIFRYEKTWAFSPEYRALVASVWARPQNRSLPCASRRLCNTLKWARAESKKWAKNRRRPAEVVSNCRKVIELLDLTEELRSLLPPEKLLRDRVRLRLSLEYKALDTYWKQRFKFRLCRFGEENTKFFHACASARLRKNQIKVLHDGDRVVYNHAEKAELLHNFYVGLLGASTPPLWGFNLRAAMPRVAGLQELERPFTLQEAKDAVWAMRVDSSPGPDGFGPAFFRAFWDVVSPDLMAFLQDFYDGVAPLDGLNRAFISLIPKKDDVLTADGFRPISLQNCVMKIITRILTTRLQHYIERLISFEQSGFVKGRNIVDNFLYAADVVQSCHARRSPAVVLKLDFKKAFDSVNWEALDAILDARGLGPLFRSWISAILSTGRTAVLLNGVPGRWISCKNGLRQGDPLSPYLYLAVADLLPCLISMEAGGDRLLHPLVDDLPCPVIQYADDTLLILRAEHSQVRRLRELLDLFSQATGLHINFHKSTFVPVGGVTAELASDLANILGCPVSSFPQTYLGLPLSDRKLPAAALEFLSVKISKRIPGWRTSLLPIGGRLTLTTAVLSALPSFAMSVLPIPKGTLSKMDRPRRAMLWKAKDKCSGGDCQVAWDYVCRLRSEGGLGVIDLGLQNKCLLLKALHGLFTGRDSPWTRWVKRSYLGAHPQVATPAWKCFQSLIPLYRSITRVEPRDGRTTSLWHDAWTPLGPLSAALPAAFSHCLRPLATVADALENGAVEVPLVHRVSAAAAGEMEFIHACLSRISLTPSPDIRPLTDFSTGSVYRSLHSSGCIVPGQDVNWACFAPLKVRVFFWILRLHKTRTRALLHRIGCVASSDCPFCPNQLEDTSHLFVGCPRLRPLWNVVCPSGRPHVGTDVPALLDALSEDLPPMHPEARNTVVLALLWTVWKSRNRMVFDADFMSTPRILAMLVDHLRLWVIRAPPRIDTSALLSWCQAIS >cds.KYUSt_chr4.36876 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226844792:226848825:1 gene:KYUSg_chr4.36876 transcript:KYUSt_chr4.36876 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFPFRSFTGNAGNDKPATGHDKRNDNILDEGGTDAASHSPDTRAFRSRGRHGKPGKEESPDPQLRRCLSFTATAADRPLDERAMSFSGDIPCSVLDSSDTPRHVADVECYSWPPERHPNPNLGEYTIKVPKGHDVQETDSPRSRGYSCSSTGHSPLSSPVALECRPARLTNSLHKNEVLDLYIDGDQEADRLNEKHKQKFPIRTSASHLGRGRPPRPHSTAPSSPKSCKEIYENYYNIDNNDAYHHQLSQEGTKGSLNHKVASMCDSDGNGTSLFEGSSDNFSHPEECKSQSMTTVEDIYEDSRDPQPPCFCGSSVNPFSVTTSRCFAADTCHHDESYGFHDKNLEQDTDDKLLRRAEELDACFMVPSEEVSELKMLRDKRLNSTDLMQLIQNLIEDRKQLALELSSQIESRLTERFVAKEQYKRSKLELDTRTRKLNNEKTEAQTSLELELDRRSNDWSAKLARFQSEEQRLRDRVRELAEQNVSFQREVTLLESNRIDASKRINSLELQNKQLNDELEKVMNEHSSLYRSSVELHDSLTKTAEERDQIQECLKSREDNSRALHKVIARLQRASNEQEKTITGLRQGFNAELENKSVGNSESTNRMQMELLRLTGVEQKLRKEIQSCTLEVNSLRQENIGILNHLQSSGSGLSLSSLRLEQELNARVDNLQIQGLSLLDDSSRLCGRLLDVLKSKSENIVSVDALAVIEYSLKYQNIKEGMDNLALGLRRIKSLLVEKHNEEDIALGIPSRHDTSSRLKEEALINRVLKEKLLSRELEIEQLQSDIASLGRIQDVMQEEIYRAQDELCCMTQKSKQFEVEVLKKAESIKQIQQDFQESAKELTALRCALKSVSDERDSLWQETKHLRNTVSDLQNDVALFKQKIKSLDEDIQLKEGEILLREGEISILRDSIDRPFDIICSPRSMKQFDME >cds.KYUSt_chr6.28906 pep primary_assembly:MPB_Lper_Kyuss_1697:6:183188201:183197032:-1 gene:KYUSg_chr6.28906 transcript:KYUSt_chr6.28906 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEANGGDRRLRGEEEEPPQQQGQAEEQAARPSSGRPQQPEAVAPRNVGYVGKHRLSAAIQRLDQELQSLQDELDELETMESASAACKEVITSTEGKPDPLLPICHSSQPAMASAVAFSPAVAVGANVQRSRRSSLLRLVQRARPVAARSSSEQAVQARSGRTIEECEADAVAGKFPAAPPPNRPQAPSGTPEIRPLDMAKRPRRNRRSPALRAAFQETSITPANLVLPLFIHEGEEDTPIGAMPGCFRLGWRHGLLEEVYKSRDVGVNSFVLFPKVPDGLKTQTGDESYNDNGLVPRTIRLLKDKYPDIVIYTDVALDPYSSDGHDGIVREDGVILNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRSALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIETASDEAEGADILLVKPGLPYLDIIRLLRDNSALPIAAYQVSGEYSMIKAGGVLKMVDEEKVMMESLLCLRRAGADIILTYFARQAANVLCGTRPRT >cds.KYUSt_chr5.27204 pep primary_assembly:MPB_Lper_Kyuss_1697:5:172179440:172182183:1 gene:KYUSg_chr5.27204 transcript:KYUSt_chr5.27204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin domain containing protein [Source: Projected from Oryza sativa (Os08g0258200)] IVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGATAIHFAALKGHTRCIRLLVADYVPSVPDFWNSMHVTPTDETNKEAFDGGNLRRLVNAKSDGGVSALHLAALHGHAESLQLLLDLGASVSEITVNDGSTIDLIGSGSTPLHYAACGGSVVCCQLLIAAGADMGVENANGLTPLMVARSWHKTSAEGILSKLPEGRVRILPSPYLSLPLMSIVKIARECGWRKTSVSSTCHDPCVICLEMECTVAAE >cds.KYUSt_chr2.4321 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26566949:26568082:-1 gene:KYUSg_chr2.4321 transcript:KYUSt_chr2.4321 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASASAAHPPEDIRGSLIPVLPDDLALHCLALLPRAAHPSLALVSRALHDLLCRHPEPLLAARRRLRRSDPHIIISVRPPYPASPRFFLLLPHPGWPPLPLPAPPIPVSSSSSAAAAGHRLFLVGGSVAGIPATSVQILDARTRSWSIGPRLSSPREFAAAAVLPGALFVAGGCLPSSPFWAEALGLDPPCAKWSPVPSPEHLREKWMHGCVSLAGKVLAVADRGGLLYDPAAPPGEAWAPVSPALDLGWKGRAAVVGGILYSYDFMGQVKGYDPDTDAWSTVEGLEKELPRFLCGATLANVGGLLYLVWEGKCKEKAGSEQGKAKGMVVIEWATIEVMRVEEGRLIGKVISRDTILFTDMPRGSAITHCIALDL >cds.KYUSt_chr3.39316 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247661132:247662302:1 gene:KYUSg_chr3.39316 transcript:KYUSt_chr3.39316 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTTVKPENEEEMSTGYGNDSESLRLVENRRFTYEELDMITNGFQRVLGRGLRQCLRWLLTGWHSSGSEATVSLLQSRKQGVPRRGSDFDPDSSQEPCLYDWLLQGREYMALVYEYMAQGTLREHIAGLEYLHKGCNPPLIHRDVKATNILLNARLEAKIADFGLSKAFDQHNDAYISTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKQAILSDPEPTSIIYWARK >cds.KYUSt_chr4.13637 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83993281:83998082:-1 gene:KYUSg_chr4.13637 transcript:KYUSt_chr4.13637 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHYRPAGKKKEGNAAKYITRTKAVSYLQISLAVFRKLCILKGVFPREPKKKVEGNHKTYYHMKDIAFLLHDPLIEKFREMRVHRKKVKKAFAKKNKDLADRLLNRPPSYKLDRLIIERYPTFIDALRDLDDCLTMVHLFAALPAVEGERVQVQRIHNCRRLSHEWQAYISRTNSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFINFKLYHSINVNYPPILDPRLEALSAELYALSRYMSTGRLPGNPDSNGSTEDKETENNKENSKTDESELRLAQLQHQLPANEPGALMHLVEESVADDIEDGPAKDSRNLFRGLKFYLSREVPRESLLFIIPAFGGTVSWEGQGAPFEETDEEITHQIVDRPTQSHVFLSREYVQPQWIFDCVNALLILPTEGYIVGRVPPPHLSPFVDNDEEGYVPEYAETIKRLQDAAKSKVLPLPGLGDEAHENSMVDTRSEYNEVAEKKRKLDMLEKQYHEELKMEIEGTTFSNISNKKADIPDVPDDAEGDESEEAKEDDPIKQAADDAEEISKSLMSNRKRGLLKAMEIGKERKKSKIETLKQRKKKAESSASAKKRSS >cds.KYUSt_chr4.16947 pep primary_assembly:MPB_Lper_Kyuss_1697:4:105552499:105553749:1 gene:KYUSg_chr4.16947 transcript:KYUSt_chr4.16947 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPRRPVAALYVPARGLLEARVPWGRDRALDHVVERERHLVPFLLTKDALLTAAPPPHAVPLHALPSSIPFPFRPLRFLRLYGSAFALSDHPVAVSPTHRLSALHLDEAQAADATRADAADRLLRLLMLAPARALPLHLLARLRLDLGLPADFTRSLLPHYPDYFALSADGRLLELVCYRKDLAVSDVQSYAQRTGGYKVGDPIAFHLSFPRGFELHKNVRKWLDDWQKLPYISPYEDGTHLGPRSDITEKRTVAVLHEALSLTIGKKMEKEVLVKLGEALRLPPGFRKVLAKHPGIFYLSHKLRTQTVVLREAYRRHMLVVKHPMMGIRYQYLHLMHMGKEEAGKSKGKERKTVRGEQMMGDEYGADEDNGEEEYDDEEDEEDEGDDIEAGAASENEDSDDEDTENVGNSAEG >cds.KYUSt_contig_1358.29 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:181188:182213:-1 gene:KYUSg_contig_1358.29 transcript:KYUSt_contig_1358.29 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATRSVVLRLDDLALPPRYLTVASDLPVSHLLRSLPLPSSSFYLTSDGRPFSPSAPVAALPPSASLQLRLRALRGGGGDGGSTCAESRDCYLSMYLAKKPDKADPNEARLSRFTCCALSGEPLAAPAVADRLGNLFNKEPLVEALLHKRLPKALSHIRGLKDMIPIHLHPKPGAADEEVRFQCPVTGFEFNGKSQFLVLRGCGHVLSVKALKEVKTPGCLVCHKEFEEADKMPINGTEEEVAALRQRMEEERGKLKDKKDKKLANGLSGSKHAAPAAIADTEKLENGKKGEAAPAKRYKAADHAPAYANKKVYASIFTSSNKSDFKETYSCRSLPLGRN >cds.KYUSt_chr1.35559 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216793527:216794930:-1 gene:KYUSg_chr1.35559 transcript:KYUSt_chr1.35559 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDALWHGLEGAATVAQLVGVDAGGLISKITQAAITAHQNKVECGHLAGRVQMIAELLPLVRDPEAMRPLAGLDGALREAHDLVMSCQGRGRTYRFFTASRQAERFRSVQSRIDSFLAVFPVISHIGITRRLDGTGNDSVLSRGHSSQHAVSQEGPEVFTLAEITAATKNFGVVLGHGDSGTVYKGKLRDGREVAIKRLRDGLRSAEDTFGTELAILHPVSHEHIVRLLGSCAEQEERLLVYEHMDNGTLRDHLDASPDLGAASWNWKARVGVLLGAARAIYHLHCRACPLLIHCNVTSSNILLDRSWTPRLSGFGASVWRAPDVDSQDVDVVQTYGYGDPEYCSTGRLKPATDLYSLGVVMLEVLTGNQPAVPAWDETKKTMVDTTLVSWALPSIEAGQLGEVLDRRPASAPTPRQREALQLVGSTAASCLRLHGDNRPDIRDVVANLEKALQIICSDTLGRFGR >cds.KYUSt_chr3.20672 pep primary_assembly:MPB_Lper_Kyuss_1697:3:126813114:126813533:1 gene:KYUSg_chr3.20672 transcript:KYUSt_chr3.20672 gene_biotype:protein_coding transcript_biotype:protein_coding MCGEREYPFGLSARATSSAVRVRSLRAGDLLPRAATTAEKPLRLLLKFPASSPSSKPKKAASPPASAAQEYPPDLTLPDLKSGSLQHRRVPHVQLQGEALLGEPLVPAVNVLKSLLQFSDFLGPPTTTSQEAGLAEDVL >cds.KYUSt_chr3.47530 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298093702:298095932:-1 gene:KYUSg_chr3.47530 transcript:KYUSt_chr3.47530 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFPMAATVVCAVVLAALAAAAGGEAAVVEHTFVVHEMNQTHLCNTTKIYVVNGQLPGPTIDITDGDTVVVDVVNHLPHGLTIHWHGVRQIRSCWSDGAGFVTECPIPPGGNRVYRFNVTGQVGTLWWHAHVTCLRATVAGALIIRPKGGRYPFPTPTKDVPIIIGEWWQLDLIELDRRMNSGNFDDNPVSATINGKLGDLGNCSGQPEESFILDVVRGKTYLLRILNTALFSEYYFKVAGHTFTVVGADGNYLTPYKTDMVTVAPGEAIDVLMAADAPPAHYHMVALANQPPEPDTQIPAYVSRGLVRYAGSDKNNNGLPVAMPLMPDQHNTMPSFYFHKNLTGLAHPDRNRVPMHVDERLFFTLGLGSVCRGNATSCKRRRSPESIVVATMNNVSFRHPTNASLLERYYEGRTSGLYTEDLPDRPPHPYNYTDRDLIPLGPLEEALEPTIKATKLRRFRYNTSVEIIFQSTALLQSDSNPMHLHGYDFFVLAMGLGNYDPKTDPKKFNYHNPQLRNTVEVPRTGWAAVRFVTDNPGMWYLHCHYEFHIIMGMATAFIVENGPTPETSLPPPPPEFKTCGANGFTQP >cds.KYUSt_chr4.25404 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159564074:159565249:1 gene:KYUSg_chr4.25404 transcript:KYUSt_chr4.25404 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLNLQILNLQRCNALHSLPSAITQLSNLRRLGLGGTPINQVPKGIGEMEFINDLEGFPIGAGGDNGKTQDGWKLEELEHLSQLRRLDMVKMERATPYSTDSLLTEKKHLKILSLYCTKRTYEPYSEEDVSNIEKIFEKLIPPPNLEDLCISRFFGRRTGGHQLERAPIKTVVEDFPFLFETLLIDGCGGLERVSNLPQVGELRANRCPALRCVEGLGSLQQLWLDEGMKELSSLWIPGLQQEHKQRHGEDLDVYDW >cds.KYUSt_chr1.28538 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172381026:172381907:1 gene:KYUSg_chr1.28538 transcript:KYUSt_chr1.28538 gene_biotype:protein_coding transcript_biotype:protein_coding MLASHLIDAVLDRGTDLYATALDVFGKMAAAHGILVNTIEALEGSAVAAMKDGRCLLDRATPPVYCVGPLTVEEEKKEEMHPCLPWLDAQPEHSVLFICFGSQGTVSPEQIRGMAEGLEKSGHRFLWVLHAPPALATAASEPDAALSLLPEGFLARTADRGFVVTASWVPQLDVLRHASTGAFLTHCGWNSTLEAVACGMPMVCWPLEVDQWMNKVIMVEEMKIGVEVRGHKPGGLVMADDVDWTIRRIMDMESEGRRAVVERALAVKESAAAAWKEGGPSCAAFTEFVKQME >cds.KYUSt_chr3.8822 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51466185:51469123:1 gene:KYUSg_chr3.8822 transcript:KYUSt_chr3.8822 gene_biotype:protein_coding transcript_biotype:protein_coding MCAARRRGKLWSVAAWLFFLSLLLVLAMSQGDHAYERLVRVDDASRPSPVGTASRYRSEFCAEFVPQNKLRYLYVPLWYRFGTALDPMKVRTLLRYLKRYLRAVVPQCVHVDKICGDSNSER >cds.KYUSt_chr7.30288 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188632891:188635470:-1 gene:KYUSg_chr7.30288 transcript:KYUSt_chr7.30288 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASIEKMECCSSGTSAAAPPKDETSWEYHLRKYLALLATLVATSTYAAGLSPPGGVWQENEDGGDGYKAGEPILYHSPRYLAFFYFNATAFAASLVVNLLLLVLNEKRTISLAVLRSVMVLDLLALMGAFATGSCEDPPTTAYVSTLVVALAAYVGIQILLAWYDKQDTSQSQEEEGPDEALKIKEHRKELLVLATFATGISYAAGLSPPGGFRNDAAEGGHEPGDALLKAGQSARLMAFFYFNSTAFVASLLVIVLLLGRRMQRYYAKMQLYGFILVVLLGLMGAYAAGSSRKADTTAYVVVLVAAVPVYIFLVILAKVLVSGPRDYIPSPRSRHADKANTGNKNEGIEKAKSLILLLATLAATITYQAGMNPPGGVWQKDDPKGHYNAGDPILFFTNAKRYKVFFYCNSTAFVASLVVILMVQNKSLVRGHALEVAMILDLFGLIGAYAAGSCRDVSTSVYVMALAGAVLVYVVIHVVFFTLDNHDLSEEEKRRIDKRRKRLLLLAILVATITYQAGLTPPGGFWTADGTIQDGDKVHKHHAGFAILGDVSGEYRRRYLAYFYCNSTSFMASMALIIMLVNPNLYRPGIRCYALYVCMVVALFGLMGAYAAGSARELRTSIYVFVLVGAVVAFILIQLLVFFEFCNFCGASSSGSTSGGSSKANDSLSSRRPQSPDRSSSSSSSTSSRRKYLMLLAILAASVTYQAGLTPPGGTWEKSVLDLHIMEGNPVLRVTDRARYRAFFYCNSASFAASVVVIVLLLQESLQDRRGLLIYAMNTAIVLDLLGLLGAYAAGSSRKWDMSGYVIALTAAVLAYVAVNLVIGRRGRGRVSSIPLQLPEARAQPKRTARCWSSPC >cds.KYUSt_chr3.7547 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43476298:43485794:-1 gene:KYUSg_chr3.7547 transcript:KYUSt_chr3.7547 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVTRAVLGYADAVAHHAGQAVAEGTKILNDRMSMQNYKSVKQTVKRLEEAAVSARGDERVQVLRRWLRALQEIEAALGGSEGSVTQNASSNEPNIRKTPLARVLFYDADVGGAPMNFRDVFLYSQALEGITLSMVLEAPNEEEVSLLLEIFGICLTGGKEINNAIISSIQDLAKSFSVYHDEVLVKREELLQFTQSAISGLKRSADIVRIDAEAIELWKKLDEKEAPRVQSTQGPEKVSENTAAAIVESFKEALTEVRFCSRMEDLLLKKRSINAGDSPEVHFQKVDKLKVLASSLANSSSKAEKRIADHRRQKEEALNFRAKKENEVSAVEKDLTAEISELEKQRDELEAQLKKVNISLNAAVGRLKLTREERDQFDEANNQMIFSLKAKENELSKTIASCNVEAGVVKTWINFLEDTWQLQSSYDEQNEKKANDELERCTSNFMKLTKYHLSTFKEVLSPSIERIHTFVDNLAVLNSRDESTELENEEVSEKTSPQKSLEEEYLETEKKIVIAFSITDHMKKLFYSEQGASSRRDDPEIGSLFSEIEKLREAFDSIERPTLDIEVRRAKVPTKERPESSSSPVQAPSTPEAAPAVSPKSPVKSEQMPHPELAELELEFGKSGRYSHEDISGWEFDELEEELRADISKSSSTK >cds.KYUSt_chr1.40156 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245947204:245956795:1 gene:KYUSg_chr1.40156 transcript:KYUSt_chr1.40156 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVSEAISWIPRDGIGGGGVSGRSPHFPSFHHATDVSDLLGLRCSRWAPVGPEEKNREAPPLGPEKQNKKRFPIPARPHPLLPSVQPWLHAFLLLPNLSLPSHALAPSSLPPKAQYPSTARAAARSLTSALQPEELLVLHAARRRPYMGRLAMDENWRDNESAVTAGKFDDVLDTIGVPESERIGINLLRRSGHYMAVQEEAAAPADRYGLLVGLPAATTALLNEQMHYRCYHGIEVTTEAAIHALQLPAKIVLTIIWCVWAKKIVWLRFYCGNQEVGSKSQTKHTLRQTVTPPSPADNERGRAAVTAPTELGLVVGECDADHGRRGREADRWFYPPRRIKSLAPKLDRLVDADVGEASAGLLAAPAPIHDNVVAGAEAPSPDGREDFVDLLFDGRARATGSTSRLQPRKRASGRP >cds.KYUSt_chr4.27331 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171690261:171691003:-1 gene:KYUSg_chr4.27331 transcript:KYUSt_chr4.27331 gene_biotype:protein_coding transcript_biotype:protein_coding MVALGEGGSVLKLECHCKGELAMAHRDCALKWFGIKGNNNCDVCGHDVLNLPVTLRRVCDDAPPPLVLPSLAAAATPGNDNGGCSGFRRHGTAILVVVSMLAYFCFLEQLLVDDHGTTALTSSLPFAGVLGLFSSLIITKMVSRRYVWIYSAIQFLFIVLFTHLFYRYVQLQAVIAIILSTFLGSSVAICANTVLQIIRWRFCVYS >cds.KYUSt_chr6.4388 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25394200:25394805:-1 gene:KYUSg_chr6.4388 transcript:KYUSt_chr6.4388 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGIGLHGVAGSAAAFITWLYRAFLRPGKDLVRRYGAWAVVTGATDGIGRAMALELARQGLNLVLIGRNPAKLSRVREEVQKAAPSCKVTSVVFDLAGDTPDMCRGVARVAAAVEGLDVGILVNNAGATYPGAAYFHEVDTQVLETVVRVNVEATTRITRAVVPAMMRRRRGAVINVGSGSSAMLPAFPFYAVYAASKA >cds.KYUSt_chr6.18554 pep primary_assembly:MPB_Lper_Kyuss_1697:6:116713984:116718202:1 gene:KYUSg_chr6.18554 transcript:KYUSt_chr6.18554 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVAGRKLAALPALGWWLVVVGAVRLGFAWSGFFDARAVRAGTYSGTHVTDVHGRTVGVWTLLSCTLCFMCAFNLDNRPLCAATLLSFVYAYGHFVVEYLVYRTITAASLGTLGFFAVHLAKTRARPGFGPSPKSPNVKCQDRAQPGPIVGSINQAQTRRKQAKVWPDPTLKCENCKPEPGPARRSGLKVKPEPDTKCKPNPVRPGIFGSDTKSPDLSSKFVMHVVSLRYIPVPDRYCTIRKKKNMIWHAALSTSVLNRLCELVMEEKVGVRFIRNRDLKEIVHVVLKFTGRETLPRDAELINKPIENYAQMKKIYEGGVPAALLTPTAVHRALSHLMDRKAQVTRHLATTHDGRMAWFNTFPTKYYLEGLKAGAEGFGRLPLSPSLFRGGPDPPAAMAKYNVVTKNKREHSQDRKRRAHGDPNSGKLKQRTDHHVISGKRKRKLQRRDKRDQKEAALVKALENNMGDVDMVSAED >cds.KYUSt_chr1.24083 pep primary_assembly:MPB_Lper_Kyuss_1697:1:143597791:143601820:1 gene:KYUSg_chr1.24083 transcript:KYUSt_chr1.24083 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWIPPTLREGSYATISEENDKVIKINMEELHTVLDTLGVSVAERHKVTNNVLKKCLVAYKGALEYEKNRCSSMAEAISDLEAEYRELCSVLGEKDQSASLSQIHQSLTASLRRLNVFKKQRLENLQRMQAKVMDLWDHMGVTLDQQKEYRYIMRNNVASLAEVTQKDALSAALLTKIQSELAILEGQLIEKVAKRFAVLAANLRQTHLSDDEDYKINFTMIDVRAGSMDMFGAADKLEELVVKSAKDILLRESIVSRAEIVLRHVKEGSSLHTRETVENLINRVKAWEAKNERKFFYDKERLIATLHKLKEGNDHHFSDATNVSPGMTPHCYT >cds.KYUSt_chr5.13722 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89203949:89204860:1 gene:KYUSg_chr5.13722 transcript:KYUSt_chr5.13722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative phosphatidylethanolamine-binding protein, Rice TFL1/CEN homolog, Control of inflorescence architecture, Repression of flowerin [Source: Projected from Oryza sativa (Os11g0152500)] MSRSVEPLIVGRVIGEVLDPFNPCVKMVATYNSNKLVFNGHELYPSAVVSKPRVEVMTDPDVPGPSDPYLREHLHWIVSNIPGTTDASFGGEVMSYESPKPNIGIHRFIFVLFKQKRRQTVSVPSFRDHFNTRQFAVDNDLGLPVAAVYFNCQRETAARRR >cds.KYUSt_chr7.28324 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176854115:176855452:-1 gene:KYUSg_chr7.28324 transcript:KYUSt_chr7.28324 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGALRKLCAAFDAVALTVIAAGLSHPRCRPFSSRAPSPPPDFPTIAACRAALAASNTRPQPSSPPTNAERPSDSDTPVLVRIKRERDPVRLYDLFTANADNRVLVENRFAFEDAVGRLAGARRNDLVEKILEQHRALPQGRREGFVVRVIGLYGKARMPEHALRAFREMELHGCPRTAKSLNATMKVLAQSRRFDDVLQLLDEASATYGVALDAVSYNTVVKMACDMGELRAAYRVMQEMEKEGVRPDAVTYTTLMAAFYKSGQREVGDGLWNLMRLRGCMPTLASYNVRIQFLINRRRGWQANDLVRKMYAAGIGPDEITYNLVIKGFFLMGEHEMAQTVFGAMHGRGCKPNDRVYQTMVHYLCKRRDFNLAFRLCKDSMEKNWFPSVDTIHHLLQGLMKIRKDRNAREILKLVTERQPSYSADEVKAFQDILSHGKTGRS >cds.KYUSt_chr3.7720 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44365961:44367456:1 gene:KYUSg_chr3.7720 transcript:KYUSt_chr3.7720 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFSGNIPDALGSIGNLQELYLAHNDLSGSIPAVLQNLTSLTKLDVSFNNLQGEVPDGGIFRNINSTAVAGNINLCGGTPKLHLAPCSTSTLSKNKRKIPKSLVVSLATTGAIMLLLSVILLVWILRKKLKESKKTIVQDSVAEDHYERIPYHALLRGTNGFSDENLLGRGSYGAVFKCILANEERTLAVKVFNLGQSRYSKSFEAECEAMRRIRHRYLIKIITSCSSVNHQGQEFKALVFEFMPNGNLDGWLHPKSEEPSPNNTLSLVQRLEIAVNIVDAVEYLHNYCQPLLIHCDLKPSNILLADDMSARVGDFGISRIIQENTSETMQNSYSSIGIRGSIGYVAPEYGEGSAVSTPGDIYSLGILLLEMFTGRSPTDSAFRDSLGLHKFAEDALPDRTFEIADPTIWLHGEPHDNVTSRIQECLVSIFRLGISCSKKQPRDRKLTRDAAAEMHAIRDAYIAFVASS >cds.KYUSt_chr3.36161 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227303221:227304977:-1 gene:KYUSg_chr3.36161 transcript:KYUSt_chr3.36161 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYRDGIKYEEGFTVNSRGSRLFTCKWTPMKLQIKALIFICHESYNGPRKRFGTIQRSKTDEVTLPCHLDTAARLVHAGYAVYGVDQEGHGKSSGLKGYISSFSNIVKDRADYFKSVCEKSENKMKKRFLYGFSMGGTVVIQLHRKDPTYWDGAVLLAPMCKLGEDTRPHPLVVGALKMICTVAPSWRVIPSPDNLDKVCKDPQFKKEFRSNPYMYKGKLALQTGNELLAVSLDIEKNLEEVTMPFLVLHGEEDVVVDPEGSRLLHERASSRDKTLKMYPGMWHMLMAEPPADVERIYADVTSWLEERSGTATASVAK >cds.KYUSt_chr3.41146 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259689015:259694517:-1 gene:KYUSg_chr3.41146 transcript:KYUSt_chr3.41146 gene_biotype:protein_coding transcript_biotype:protein_coding LSWSADERSLTDAFSSFGTVTEVRIMYDKNSGRSRGFGFVQFSNDYEAKCAKDAMDGKVMLGRPLRISFALGKVRGGPVIVPRLPMVCKFNI >cds.KYUSt_chr4.35665 pep primary_assembly:MPB_Lper_Kyuss_1697:4:219022372:219023007:1 gene:KYUSg_chr4.35665 transcript:KYUSt_chr4.35665 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHWRAVVQTAATNVDHASSQPFGRSFIPAFVVLHDRTEHLTPLLAPGAVVPWQDFDLDGPTVRLRETSRDIAQYTELHNRVVRLVSVYGRLIGVLPDLLLSQNTVIFTRDPGPRWLHWVDRRADALGRIQHASRTLREAAALNLATVDAFDLARNFPDNSPSRNTWLRAAENLSERAEREVRKVAGGQMPMASAARAQCRAGLAVVPPP >cds.KYUSt_chr5.13898 pep primary_assembly:MPB_Lper_Kyuss_1697:5:90361830:90362971:-1 gene:KYUSg_chr5.13898 transcript:KYUSt_chr5.13898 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLGVVLALAAVVLLAAAAAATAQSDKGKGPKSPPNGEGPKPKPKPKQVKCNVNRKENPYCFNKKMDCPDDCPETCFASCTEYDCKAVCACDQPGAACGDPRFIGGDGNAFYFHGRKDADFCVVSDRDLHINAHFIGKSGHSGMSRDFTWIQAIAVLFDGHHLYVGARKTGTWDDAVEHLEITLDGEPVHLPADQVDAAKWTSSRVPALSVTRTKAANGVLVALDGKFSVRVNAVPITEEDSRVHRYGVTSDDCLAHLELAFKFDALTDDVHGVVGQTYRSDYVNKLDVRASMPTMGGDASFTASSLFAADCAVARFGTIRSNNEASVMSELAGITCASGMDGQGVVCKK >cds.KYUSt_chr3.12373 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73927127:73932369:1 gene:KYUSg_chr3.12373 transcript:KYUSt_chr3.12373 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGPTPPAQTRATREAAALPEAVGWELARIEGTLRQLADPKARQQLADVGEAAALRVLRMVGESPTPVNNFSAYIMWTARRAPDAPSAAAWSSGPSRGDDSVQGPMHDDDIQMDDEVTNTQMAFNPSNHAMIEAVESSARQLPYGLHGRESPAGAAACFTPNPVGMEVEENPFGWINSAPMAVDPHLPEPAHAMPNQGGMEGVLPLRMQDQVQQPLQAQSPTTPLGHLVPEPDRAVMQAGSPGFGMVSGLRDQAGFDSPVRQTIPTSRRVDSTPTPVRQITRHLHQMGCLSGNAMPVEPLVTANTSRATASPRMLALGELEFVRVFLIYVYLAGKKAEDVSVLHEDYIRFLNSLPMDRFESEIWNKFGHEFIAESDRRKGPYIENATTRLHKVVGEDNVLAVKFSDVSVHANATDNFGNLCQVYHHIFEDGIMLGLRCYRFFIFKDSGKADKIKEERKEKNKRCTSSVRCYFVCTESGWGRGVPQFLSDRTIGDARKIFMHIHTVPSVAKYLARFSLILSKTITLLNAEDLSTVKVVTIDDVPCEDKNGRIVLKHGEVLIHTDGTGLISEDLAKRCPTGVFKGNFSRTHEVQIRKNHL >cds.KYUSt_chr3.31662 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199043992:199044296:-1 gene:KYUSg_chr3.31662 transcript:KYUSt_chr3.31662 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLRSIVSPLRKLWCNMNTVPRKKRGIYILYDDVKSCQCEDVHVLWSILMESHGLPPPTPTTVLRLTR >cds.KYUSt_chr6.20907 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131804285:131804854:1 gene:KYUSg_chr6.20907 transcript:KYUSt_chr6.20907 gene_biotype:protein_coding transcript_biotype:protein_coding MHASEEPYSLYGYPAMTLEAAKRDAARQAITYMEQSEKKVPSGFADAAFEEEKKRAEFLRHELEKNKEKLIRKSNQLIQTAAACDEYIDIVTESNRRIKDIILKMLTPGCTTPAHQCQSSLLEIQNIAISLSQHTPKTIAMVRAAGPSPFGEDTFHNDRLSSSGNSDLSSTRFAPPEFDSDGRPTDYSV >cds.KYUSt_chr1.42692 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261231563:261233821:1 gene:KYUSg_chr1.42692 transcript:KYUSt_chr1.42692 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRTTLLLLSIESAGISVAVPFAAKMLHFWFGGSPEDFHVRPADAGKFEFEVASPDVAAEIALVGFWAAGPLQVSITMPPKPTGAVCAALLHARHDARHLNVELSGTAGRAGRRDLTGLRRSLVSGAVHRSTAVPSTSLVEAARSTLLNGINKVSTGSYSTPAQAMYADVPSLTAVESGNFENYTAKLSTGAKHCTFPGTCGGQRIMGFDEDWTGNHPLRRCVTTQGMQRPHMQNPSSAGMASNRTATSSAEPPPSSPSYKEVLLRPSNTPRHRMPSTRLHLHQPLNLHKRCFRCLEYHHRASLCTNQVVCWFCRRSGHKRNLCQTLLNLRRQSTAGTRAMEPVIHGRPTALDIHCPPVQDPHALACLVDIQPPRHNPIPWLRRVFHQRLGNPAVRFASSSSGSCYAMFLRRGDHVAALERSPLRVRDIDDTLVLVHIKPHDEGDDSFTFSYRYIACLTLEKFPLDFWERRGIRLAVSGFATLMTVEHGAVRGHDYSALFIMVKVESPRHIPHHLAFHKHGERGNYADVFINEIWDTASDSSSSSSGGRGPTHPAPRFHRPSPPARHRGGHHQRFGGRPREAYTWVRSGSAAPPSSPASRGRNAVSAGGQQAPRLASAIPDCPSAEERPLSLAARRALHTPAAASLMDFKTLIAQSARLSVAVVPSEPPFAKVSFVSDGDTVFDVTVALSRARVFRVRIPVGEPGAAVADQVFPVYNFNRRPHVQGMARGCGVDSGERPLPPFQHVPGRGQ >cds.KYUSt_chr7.31435 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195847015:195866413:-1 gene:KYUSg_chr7.31435 transcript:KYUSt_chr7.31435 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVTCTKISMSQLVPRKAGPTTLVVYNSGVVDSCVTSLPHSAFHSARLLCPGLVVAVAVGEITPPMPSSSAIVAFVGADEPSRALAASFLRSGAIVRLYIDPQADGSAAATALAELGGAVRCGSPAEAARDAALVIVLSDADAVDELFFGAQGIVKGLCAGALVLIRSTLVPSRLDKLEQRLADEKKDTLLLDGYLFSGLSDELNHNTVVVASGRKDVAERAGQLFSGLEKTIYFVEGELGSSSKMRLVNDLLESIHFVASIEAMFLGVRAGIHPSIIYDIISNAAGSSRIFVEVVPKLLSEDPVLTDSLKSVKKHASYVMDMAKAVTFPVPLLSVAYQQLIHGSSAVIGGESASPLKVWEQLFGVNIIDAANKQIYDASKLADQLVMASKEVKRVGFIGLGAMGFGMASHLLKSGFSVTAYDVYKPTMARFADLGGLTKDSPEQVSKDVEILIIMVANEVQAESVLYGNAGAVPALSAGTSIILSSTVSPGFMTQLKKRLEAESREIKLVDAPVSGGVKRAADGTLTVMASGTDEALYSAGRVLSALSEKLYVIKGGCGASSSVKMVNQLLAGVHIASAAEAMAFGARLNLRTRRVFEIIQHARGYSWMFGNRVPHMIDNDYTPYSAVDIFVKDLGIVSRESSSLGIPLHVSSVAHQLFVSGSSSGWGRCDDAAVVKVYETLTGVKVEARPPMLDKDDVLRSLPAEWPEVPMDDLVSSASHDSKKVLVVLDDDPTGTQTVHDIEVLTEWPVEALTEQFLKLPTCFFILTNSRSMIADKAALLVKDICKNLEAAAKTVPGISYTVVLRGDSTLRGHFPEEADAVVSVLGDMDAWIICPFFLQGGRYTIDDIHYVAESDRLIPAGETEFAKDASFGYTSSDLKQWVEEKTRGRISANQVSTISISLLRKEGPNAVCQHLCRLEKGSVCIVNAASERDMNVFAAGMIQAELQGKRFLCRTAASFVSARIGIEPKPPIRPNDLGLKRTLAGGLIVVGSYVPKTTKQVDELRSQCTQSLLVIEVSVEMVSLKSTEDRDQEISRVVELGNAYIQSRRDTLVVTSRQLITGKTPEESLEINYKVSSALVEIVRRIDTRPRYILAKGGITSSDLATKALEARRAKVMGQALAGVPLWQLGPESRHPGVPYIVFPGNVGDNSALAKVVQNWACPSRSSTKELLLNAEKSGYAVGAFNVYNLEGIEAVIAAAEAEESPAILQVHPSALKQGGVPLVACCIAAAEQASVPITVHYDHGTSKQDLLEALEMGFDSIMVDGSHLSLGENILYTKNISSLAHAKGILVEAELGRLSGTEDGLTVEEYEARFTDIAQAEQFIDETGIDALAVCIGNVHGKYPPSGPNLRLDLLKDLRELTMKKGVSLVLHGASGLPHELVKECIGLGVRKFNVNTEVRNSYLESLKKPEKDLVQVMASAKEAMKAVVAEKIRLFGSAGKA >cds.KYUSt_chr5.38645 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244542492:244546053:-1 gene:KYUSg_chr5.38645 transcript:KYUSt_chr5.38645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Transcription factor RF2a [Source: Projected from Oryza sativa (Os09g0516200)] MNKDRSRISADGGGDGLPPRRSATPPPEYDISRMPDFPTRNPGHRRAHSEILSLPDDLDLSAPGGGDGPSLSDENDDELFSMFLDVDKLNSSCGPSSEAESSSAAAAGGTGHGARPKHQHSQSMDESMSIKAEELMGAPGMEGMSTVEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIGELERKVQTLQTEATTLSAQLALLQRDTSGLTSENSELKLRLQTMEQQVHLQDALNDTLKTEVQRLKVATGQMANGGGMLMNYGGMSQTAHQFGGNQQMFHNNQSMQSLLATHQLQQLQLQQHPQQQQRPQQHHQQQLHPLQAHQFQQAARDLKMKGPMGGSQSMWGDGKSSGGSGM >cds.KYUSt_chr7.15708 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97550862:97551224:1 gene:KYUSg_chr7.15708 transcript:KYUSt_chr7.15708 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSSFAQASGLAVFLLLALAVQQLEADMSASCSGVLSGLSPCLGFLEGEEDYPSADCCNGMSSLVAAEATTADRLDACECLKSAAAEGSAESTAARDLPADCGISLPFTISADVDCSQ >cds.KYUSt_chr1.37395 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228399742:228400761:-1 gene:KYUSg_chr1.37395 transcript:KYUSt_chr1.37395 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIVSCLGAVFGIALRCRWPWLRWSPEPRPWSLVPASDDKDALALFQAGTIIRLGDGHRAKFSTDNWVPGGRSVQDTWPILFSFVRNSGISVAAALENRRWVRDISGGLSVQAMGQYLQLWDLTNSTVPHVEQRYEAIWRGETDDNLERRGWPHNTSCILCQTSNENCNHLVHCRFTNQVWVKFREWTRASFPTPNDSFQNTEDWWLKARKTAPNELRRDFDTMTILVHWRIWKERNGRIFQQEFNTVERVVEHIIEDLRSWRTAGCVTVI >cds.KYUSt_chr3.44188 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278992897:278998346:-1 gene:KYUSg_chr3.44188 transcript:KYUSt_chr3.44188 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRSAADTAEADRSRLQEMGYKQELKRGLSLASNAAFSFSIISVLMGVTATYNTGLRYGGPVSMTLGWFVVSFFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKNWAPFASWITGWFNIVGQWAGTTSVDFSLAQLIQVIILLGTGGANGGGYLASKYVVLAIYALILFLHGLINNLPIHYLSWFGHLGAFWNIAGILLLAILIPAVAKERASVGFIFTHFNTDNGMGIHSKVYILAVGLLMSQYSVLGYDASAHMTEETKNADRSGPIGIITAVALSSIFGWIYLVALTSVVIDIPYLLSPDNDAGGNAIAQALYSTFRLRFGSGAGAVACLVVIAVAMFLCGLASITSNSRMGYAFSRDGAMPFSHLWHRVSKHEVPLNMVWLSVIVAFVMALTSLGSQVAFQAMASIATIGLYISYALPIFFRVTTARKSFIPGPFHLGRYGVIVGWTAVLWVAFVTVLFSLPVAYPVAKDDFNYTPVAVGGVLLLSVGSWVFHARYEDKESIAQGGGEQLDIVLDMKTPHGRAREEREACAKEEDEVQAGATLGTTGRPGPTLGQTGSWTGASRARSRDPGPPVRFQPDAWSCSDRPARSLARSTGPPGWPCPSQSRTDLDLELHTPFKKQYQQDNNNNNNNNSSSSSSNNSSSNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNMKKGTVFREILKNNSLLKSMVLVVVPVVVVLFPSEQPAVSLHNRKTMVWISQNSQSPNLEEILMLKNTSLGS >cds.KYUSt_contig_2437.139 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000337.1:721389:723115:1 gene:KYUSg_contig_2437.139 transcript:KYUSt_contig_2437.139 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPDAPNPSNPTLREYLHWMVTDVPASTDDSFGREIVPYESPSPTMGIHRIVLVLYQQLGRGTVFAPQVRQNFNSRSFARRFNLGKPVAAMYFNCQRPTGTGGRRFT >cds.KYUSt_chr1.26157 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157345295:157347460:1 gene:KYUSg_chr1.26157 transcript:KYUSt_chr1.26157 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPAAAVAKGGAPAAAVARLTEERPTLHGIECCAMGSIGNRIPEVGMRFRNSDEAWDFWVQYGGHIGFDPKKEDGVQQKKKDGVPKKKKDGVPKKKKDGVQQKKKDDVQPQVLLEKCTNTKGVNMEPQGYNAIMSFTQLLTAPPCGEDGLF >cds.KYUSt_chr3.14880 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90503086:90504508:1 gene:KYUSg_chr3.14880 transcript:KYUSt_chr3.14880 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVNPSKRPFQKNSSEHNGRAKWQKTKHASSQQPQLVIQPGVPLIRILCPTEKCGNVIGKGGGIIAKIRKENGVKIRVDEAVPGCDERVIVITVVEKDKEASHEPGKENDGGPSVSADGEHGKEKDPSQEEEKDDSDNVHGKEEKDDPERDDSKEEKEDLSVAKDAKLESERVMPSAMNAILRVFERIFITEIENGTEDASGQRTPVSFRLLVLDSQVGWLLGNRGSAIKQMSADSGSEIRVSKEKLPLCALLKDELCQITGDLESVRKGLNAVAQVLLTHTPRESDVLPVAHPSGSSSHAFNRSDGLPPGMQPNFHLPLQGPSHARGPFDIIDQRPNNAPFPIFPDQRSNIPPFPTFPDAMHGHASVPPEPLTFKLLCSSDKVGSIIGKGGNSIKTIQKDTGCEIKIVETVPKSEDHVIVISGPAVNTLLFP >cds.KYUSt_chr3.37979 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238995844:239001694:1 gene:KYUSg_chr3.37979 transcript:KYUSt_chr3.37979 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSPKRADEVGFEGGLDLVMFLLELSEQLLVDKANETYDDLGFSPHNRIILLQSIYENLRIWRVITSMFAFSSTPELIFGVALLYYFRVFERQIGSNKYAVFIAFTMTVSVLLQILALAYLQDPSFNPLTSGPYGLIFASFVPFFFDIPISTKFRIFGLRLCDKSFIYLAGLQLLFSSGRRSVIPGLSGILAGLLYRLNIFGIRRLKVPDCATSIFSRLSWPWPNISYQRLPISSTDENIPHRQARQMEDAHTATLDPMESSIATLVSMGFDRASAVQALALTNNDVNLASNILLEAQ >cds.KYUSt_chr6.11485 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71288315:71295657:1 gene:KYUSg_chr6.11485 transcript:KYUSt_chr6.11485 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLPRPTVDLVYVDRGPDSENPSICSLVSSRGSLVGGGNPVPPLLPPHACLLPLKRYPPRAPIPAVHAPIRRPAMPKQLAPPVRPPATLFAHISWPRSATPERKSEYRRSPASGPALRCRCSLASAARDQLLRVLRIGSFESTVVLAMAEEAEGKTRQVTRMPPAALNERILSSMSQKHVAAHPWHDLEIGPGAPEVFNCVIEIPRGSKVKYELDKGTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDISELPKHRLQEIRRFFEDYKKNENKEVAVNDFLPAEDAINAIKYSMDLYGSYIIEGLRK >cds.KYUSt_chr4.9539 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57606567:57608030:-1 gene:KYUSg_chr4.9539 transcript:KYUSt_chr4.9539 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPRPHVMVLPFPAQGHVMPLMELSHRLVDHGLQVDFVNTDFNHDRVLNAMATETGAVPDGIHMVSFPDGMGPDGDRTDIAMLADGLPAAMLASLEEMIRSKKIKWLIADVSMCWALELAVTAGVRVALFSTFSAAVFLLRLHIPKLIEDGIIDVCGKVTRNETIQLSPMMPPIEATEIPWTSMDSSPDRIEVIIKNVLKTNPAVSQAATVICNTFEEIESEALDLVPNSLPVGPLEAPPASSSSATGQFWPEDMTCLAWLDAQARNSVIYVAFGSFTVFNATRFQELADGLELTGRPFLWAVRPNFTDGVVGEGWLDAFKRRVEGKGLVVGWAPQQRVLSHPSVACFVSHCGWNSTMEGLRNGVPFLCWPYFADQFSNQSYVCNVWGTGMKLRADEHEVVTKEEIKGKVEQLLGDEEIKVRAAMWKDKACASIAEGGSSHQNLLKLVNLLREQ >cds.KYUSt_chr2.27188 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166696045:166697045:-1 gene:KYUSg_chr2.27188 transcript:KYUSt_chr2.27188 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGMGYPARYSAHASATLRLPRPKPFAAAILRKDDDIGILDRGCERSGSSGFGQIQSGPSSGGVALCSGGRSSETPSSTDLINKASVISFKLLLTPLLPLLAGHGGEGVWSELASTA >cds.KYUSt_chr1.32220 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195672260:195676772:1 gene:KYUSg_chr1.32220 transcript:KYUSt_chr1.32220 gene_biotype:protein_coding transcript_biotype:protein_coding MADASDPAADQNPKDAGPRVPEPRAGSNGETPEEEYEYEEVDEDELDGPAAAAVEREKVQSVLRRLSSDPVAIRVHDVIIRGNAKTKEQLIEAEAADILRAATTVQDLLEAAGQASARLRGLDVFDAVNITLDAGPPELPGTTNVVIEVVEAASPVTGNAGVYSKPEARSWSLEGALKLKNLAGYADIWDASVAYGWDQTSEVGVGVSVPRFKSIPTPLMARASLMSQDWLKFSSYKERALGLSLGLVSTRNHDLSYNLAWRTLTDPSQSASQSIRRQLGHNLLSALKYTYKIDHRDSHLRPTRGYAFHSASQVGGLWDNKGLKFFRQEFDVRAAVPFGFYNAALNFGLGAGVILPLGRGFLDSATPVTDRFNLGGHSSPVCSLGGISSLLGFRTRGVGPTEPRRLVPGESDSAAAPGRDYLGGDLAVSAFADLSFDLPLKVFRDAGIHGHAFVTAGNIAKLSEGNYKNFSLDEFRRSFRSTAGVGIILPTKLFRVEVNYCYILKQSEHDSGKTGIQFSFSSPH >cds.KYUSt_chr3.47765 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299323959:299325194:1 gene:KYUSg_chr3.47765 transcript:KYUSt_chr3.47765 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLLPPATPSTAAAAATTTTTCISSTSTATTIFSLTEDDLREIFLRLPDLRALIRAALTCRPWLQAIRSSRPFRRLFRALHPAPLLGLFLEIGNSSAPSFLPLRRSDPVVAAALRRGDFFLTSLPPLSTGWGFADCRDGYVLLWNMMPKRPSLVALNPVTWAIDVLPRLPGQIATGSRRDFAVLGFHLLSSDERPWSFRVSCVCADQRRVRVAVFSSEAWEWAVRPWVHVGGNCSLKYRAGTLVGGAIFWPYQGEARMIRIDTATMDISFLDLPPEVEVAGFNFAVGDTKNGELCIVYESAFFLLTWIRRSVDGTEVWAPPTVLSLGEELDRHTLASLLDVQLDLKIVQVRSGLVHLSSTCMTHAGTLHCWFFSLPLETMELELLLEGSFEGDVHLYNMAWPPSLVGDG >cds.KYUSt_chr6.10357 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63872497:63873946:-1 gene:KYUSg_chr6.10357 transcript:KYUSt_chr6.10357 gene_biotype:protein_coding transcript_biotype:protein_coding MMCGNGTSSKERCTQNFIERVNAILKQCGATAVEEFKIKFPFDNMLVGHLNSWVKFAVSSRAKNLAIDLEPEDFRCCKYRHRFPFELFDKRSMSHLETIYLSMVSLKAQPSFTGFPNLKVLDLRLVDVSSNDLQVVLSSCINLERLSIVLCDLCDHITVSLPRLQHLHVSHSDIYKIHFVSKNLRTFVYDGTMEPLDLSESLQLRHADMHFPWLTLEHAITELPRAMPHAENLNLSAYLQLKVLHLPEGASKFSHLKHLQLKLGFSSYNQDNLLSLASFLKAAPVLEDLDIHFLHHSFPLQDFEKLPIRCLPPCRHDHLKRVLITGFHGTRGEIELAAHVAVNSTRLEHFVIDSAMRGVNNTWLMLRPKGRDMFLCMARENARKYITGKVAQGALFDVI >cds.KYUSt_chr3.4695 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26671879:26672716:1 gene:KYUSg_chr3.4695 transcript:KYUSt_chr3.4695 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAEPPAPPLPASDPAAAAEGGADAAEGAAEAASDTTTSSARRGALSSFPSSTLKTWGSHRVLRCAAVNRAGDAIAPAASRRSSSQQLDEVREDLLLGLREVAAAGAPPPTGGTGAAAEEEKEQSPEEATPRPRPRRARGRRRPATSPSTAAAATVSPSPSQRRLVRADALDRPRFSATLSADEIEEDVYALTGARPRRRPRRRPHAVQRQLDMLLPGAWLSEITAESYRVPDDR >cds.KYUSt_chr7.10878 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66852779:66856641:-1 gene:KYUSg_chr7.10878 transcript:KYUSt_chr7.10878 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIEDKGDAIAIMCASLLFLGTWPAVLTLLERRGRLPQHTYLDYSITNLFAAVFIALTFGQLGEAKHNMPNFFTQLSQDNWPSVLFAMAGGVVLSIGNLSTQYALAYVGLSLTEVISSSMVVVIGTTVNYFLDKRINRAGILFTGVACFLAAVILGSSVHASNALDNEQKLSGSTNNYKLGKTGGTETRKQVKGKDAPRDLEDGASADYATKAEAGTADYLIELEERRSIKVFGSSTYIGLGIVFFSGICFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSISCFIIGIGLNILFLYRPMAGVPKSSFKAYLNDWEGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSKKTYTLLAFMLVMFIAAVATLMASSGHRSTK >cds.KYUSt_chr6.25740 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163051528:163054988:-1 gene:KYUSg_chr6.25740 transcript:KYUSt_chr6.25740 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGYLADVDAATSRSSAKRVAAVVGKFSDEKKQLVRDIGFGGILQMPQINKTPRKFTVWLLSNVDISSRSIVVNGQCKVKITDKAIERVLGIPCGPKKLIGLETNVVEEKNDFIKLAIGSVSTDAMETCGLKVAEDVLTLDYPIGMNKEQKDRFKVLYLDSLDISTFALPPDKLPRIALFDLVLLKRMINADQENNISYCNSDSSTAGGTNVTVQLEECQLRRLGHREKTKKLFVEEMEGCSKRIKVEQDNASAIQPGKCDQFILSEHDITAIIENGSGNSMCPSDNNLKQSMFSKLKCKQRGFAPNPWMGGAAKSRVRTGVTNDLHDWLANNNSSTLRRNWIVHALPKYIELDGFNLKDIFIKKRRFTAEAFDIAIRRTIQLDSSMYGLRRKHCWRHIMESDFAMLVLENKDPLECISIIYQFTMMFNEYDISECRMIIVPALVEMNWCAYYWDMEEERVHVVDPKVPEGILTLLAIKDFDGSKYVERHTEESVEEFTKLILYEMVSLEGNYGKQPEGFIQTII >cds.KYUSt_chr5.38541 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243840387:243852267:1 gene:KYUSg_chr5.38541 transcript:KYUSt_chr5.38541 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYSKKTQASKLGDAQGIPFFIDNIIRFHVGAGISGVTPHYIPPPSTFNVLLDSYWSAICKPNPKPSPTRGRPRSSDLTNEEATVNPMGLEETQKRLLSLSHQNLQSFCKQYNLPANKTHSQLASSLAVLLEKEKLNSGPEREKIGSTQASPVPSSPATPSAVLPNNKEASRCGQDNHKRGTYSDRDDADRPHVKHQKVSKKQADAALTSGTRTSLPPVSVNNGKVDCFSSCSGQGIAHNVNSQTADGVATSSTALELKTGNHVSAAPVNDTISFIASHPVPNGVVSESRSHKKVGGSGIEKGSGSSNDIPAKKNSPFHLFVMSDEGIDLFCDLDSTPGDWIDSFKGGVSIPPSIHHTETEMLSNSISSLRSKNDQNANSSSDNIIMNVENKGPESIAAQTNSSLGSTDCENSRSRFCPPDRTVNSSSPTSTLPGTLGETSGSQEGVPVVHSSCLTSDVQNNMSLDMMAAALGNNMLPQESVDVSALSGRGHAPLPYDSVQPTKEDMLSPGKTKVCVKTGCTQDVVVISDSDEDSSAPFVDKQEMPGATSGVKLTRNSDTNEVLTEGVPIEAVPMEEDNSHGDTLSIDQIAKQTVAGLPATDAQSDASSADRGVAGNFNLADPTTSSVAPDNAITPLASKHGAEAANSQASEGLPSVHQVYYSAQTVTKACPTVITTNDRDEILRQECTA >cds.KYUSt_chr5.39646 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250841846:250845163:1 gene:KYUSg_chr5.39646 transcript:KYUSt_chr5.39646 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPVVVVFLLLLLGLGLCSCGKVHIVYMGERHPELHPDLVRDEHHGMLAAVLGSEQAAKDAILYSYRHGFSGFAAVLTNAQASALSYWPGVVRVVRNRVLDLHTTRSWDFMRVNPSPSGRSGVLTESRFGEDSIIGVLDTGIWPESASFRDDGIGEIPKRWRGRCVAGERFNASNCNRKIIGAKWYVKGYEAEYGKMNTTDVHEYKSARDAVGHGTHTASTAAGALVPDASFRGLASGVARGGAPRARLAVYKVCWATGDCTSADILAAFDDAIHDGVDVLSVSLGQAPPLPAYVDDVLSIGSFHAVVRGIVVVCSAGNSGPYSETVINSAPWVLTVAASTIDRTFLAKISLGNNSTYVGQTMYSGKHAATSMRIVYAEDVASDNADDSDARSCTAGTLNATLVKGNVVLCFQTRVQRASQLAVDTVKKAHGVGVIFAQFLTKDIASSFDIPLIQVDYQVGTAILAYTTSTRNPTVQFGTAKTIVGELIGPEVAYFSSRGPSSLAPSILKPDITAPGVNILASWSPSVALSSAVGSVNFKIDSGTSMSCPHISGVAALLKSIHPNWSPAAVKSAMVTTANVYDEYGFEMVSEAAPYKQANPFDYGGGHVDPNRAAHPGLVYDMRPSDYVRFLCSMNYNNTAISSMVQQHTTCQHAPKSQLNLNVPSITIPELRGKLSVSRMVTNVGPATSKYRARVEAPPGVDVAVSPSLLAFNSTTNRLSFRVTFQAKLKVQGRYTFGSLTWEDGTHTVRIPLVVRTVISRFYVNA >cds.KYUSt_chr3.27737 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173221825:173222617:-1 gene:KYUSg_chr3.27737 transcript:KYUSt_chr3.27737 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEEAGKLQLLGAWMSPFVIRVKVALQIKGLSYEYIEEDLQRKSDLLLRSNPVHKKVPVLIHDGRPVCESLVVLEYVDEAWPKTGPPLLPADPYDRATARFWATYVNNTFFQSWRALFRSTTAEQRAEAFKNVVPQVETLERAFKECSKGKDFFGGDEVGIVDLALGSFLVWIRVVDELAGTNLLDGEKFPGLAAWAERFLAVDAVAEVMPEAGKIMEHYKWFLAKLAAPAGSS >cds.KYUSt_chr7.12110 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74463793:74468047:1 gene:KYUSg_chr7.12110 transcript:KYUSt_chr7.12110 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSSIKRDPLQALSSWGNQSIPICRWRGVACGMRGHRRGRVVALDLRGLNLLGTIASSIGNLTYLRRLGLQENRFYGIIPSEIGQLVGLEHLNLSSNSIGGNIPPTLSSCVHLQVVSLSDNNLQKVIPSALGVLSNLRVIELRNNVLKGSVPRELASLHNLEVLDLGHNNLTGNIPVEIGNLKGLIRLYLESNMIVGEIPTCIGNLTNLTVLFLHSNGLTGHVPASLRGLQKLQFLSLSYNKLSGSIPPSLGNLSSLLLLDIQATDLTGSIPESIGNLNLLKTLSLTSNSLTGSIPHTVGKLSSLIELYLNTNQLQGSIPPSVYNLSSLRTFVMNYNNLSGSISNDLGNKFPELQQLVVDHNQFQWSIPESLCNASMLQLIQLGHNFLSGVIPRCLGATMKRLSGLILSEAQLEARNDADWGFISSLTNCSMLRYLDVSYNELEGYLPNSIANLSIDLQSLGMGNNMIRGNIPEGIGNLVNLQQLSLEGNFLHGNIPESTGNLGMLGLLYLSNNSLSGAIPPTLGNLTALNKLDLSQNLLTGPIPSILRRCPLESLVLSVNRLVGPIPKEIFLISTLSIALKLQGNMLTGAFPPELSNLVNLGSLDVSDNMISGSIPASLAQCTSLEYLVMQGNLFQGTIPASMSQLRGLLVLDVSRNNLSGDIPVFLGDMHGLVTLNISFNKFEGKVPERGLFLNASAALIEGNYGLCGGIPQFNLPPCSNHTSKKWSRKLVVSISIGSTVLCIALVLFALFAQRNLRSKFAKMRTVPSLHGGKCMRVTYAELVKATSGFASENLLGTGSFGSVYKGTMRNGDQELIVAVKVLNLQQRGASQSFAAECETLRCVRHRNLVKVLTVCSSIDFRGLDFKALVFEFMPNGNLEQWLHNHLLEDGSEGLLSLSLRIDIAIDVASALEYLHHYKPVPIVHCDLKPSNILLDNDKVAHVGDFGLARFLHQDDTSLPEISSGWATRRGTIGYAAPGKRPTDDEFQQDLNLHRQVEIALRDKATNTVDLSSLGEGAEITSTSVSAIEMRTACITSVLRIGILCSKELPTDRMQIGDAMRGLLAIRDKYRTRLLREELSPQTNKAIVLSYSAFGLAIGASLGHQVAEKLTGNNHLLLSLKKKEQLLVVEGADMYFPL >cds.KYUSt_chr2.2616 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15694624:15697275:-1 gene:KYUSg_chr2.2616 transcript:KYUSt_chr2.2616 gene_biotype:protein_coding transcript_biotype:protein_coding MGVECRYELAQAAYVKLALHALKHPAAAVNGLLVGRVADPAASPAVVSVADAVPLSHQPHHLPLLPTLELALTLVEDHFAAQGLAVVGYYHANARRDDADLPAVAKRVGDHIFRYFPRAAVLLLDNEKLEKVVKGNSRDAVVQLYTRDSSKSWRQAGSDGSSQLSLKEPSTNVVLADHVTTKKWQQVVDFDDHLDDISKDWLNPSLLG >cds.KYUSt_chr2.26694 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163448217:163454397:1 gene:KYUSg_chr2.26694 transcript:KYUSt_chr2.26694 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIVPPNKTAADDDTIFNLTKPSHLYDVLDKVLCHFCFKPKKVTDEVLKPANGRKSQMLISDIIVLKTALDAIPFLSKVLKGAKSFLLQNIYQTVCENPKYASMKKRIGDVIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLATKYREEFNMPNLKIPYNNRLGFYFIIPQKDITEKLPNKFIQVVRHGKNVHCSSFELASESLLIMEQWIME >cds.KYUSt_chr4.41117 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254206247:254207101:-1 gene:KYUSg_chr4.41117 transcript:KYUSt_chr4.41117 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVSYWCYHCSRFVRVSPATVVCPDCDGGFLEQFPHPPPPPPQRGGGGSGRRGAMNPVIVLRGGSLSGFELYYEDGSGDGLRPLPGDVSHLLMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVTVPAGGGAHCAVCQEAFEPGAAAREMPCKHVYHHDCILPWLSLRNSCPICRSELPAAAVPEAEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVYTELDGGFSNGVGPRRVTWPEGEGQVDGGEGRIRRVFRNLFGCFGRGSSRPESSSQSRSG >cds.KYUSt_chr2.44814 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279135840:279138975:-1 gene:KYUSg_chr2.44814 transcript:KYUSt_chr2.44814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter of the Copper-Nicotianamine Complex, Fe(III)-deoxymugineic acid transporter, Copper distribution, Allocation of iro [Source: Projected from Oryza sativa (Os04g0542800)] MNSRLRLPPKPAGAAAAAVGELELLVPRAAAAGMDRQELGGAGAAEIEKAPREAAADMESEPAAARAAERVPPWREQLTARGLVAALLIGVVYTVIVMKLSLTTGIVPTLNVSAALLAFLALRGWTHALHRLGIASRPFTRQENTVVQTCIVACYTIGFGGGFGSFLLGLDKKTYELAGASTPGNVPGSYKDPGIGWMMGFLLAVSFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKKQVLGFLKYFGISFFWSFFQWFYTGGDFCGFMQFPTFGLKAWKQSFFFDFSLTYVGAGMICSHLVNLSLLFGAVLSYGVMWPLMKKQEGNWYSAKASASSMTGLYGYKAFLCIALLIGDGSYNFFKVIIVTLNSIREKSKRGRLNRVADADSAIDDMQRNEVFNRDNIPSWVTYAGYVLLSAIAVVAIPLIFQQVKWYYVVIAYLLAPALGFCNAYGTGLTDMNMGYNYGKVALFVFAAWAGKDNGVVAGLVTCGLVKQLVLVSADLMHDFKTAHLTLTSPRSMLVGQAVGTLMGCVVAPLTFYLFYEAFDIGNPDGYWKAPYALIYRNMAILGVEGFDALPKHCLQLCAAFFAFAVLANLARDFLPRRIGRFVPLPMAMAVPFLVGASFAIDMCVGSLVVFLWHKLNGKKAALLIPAVASGLICGDGIWIFPSSLLALAKIKPPICMKFTPGS >cds.KYUSt_chr4.17366 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108605265:108605954:-1 gene:KYUSg_chr4.17366 transcript:KYUSt_chr4.17366 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNTSAMSSRRERRPKPSPSPASASCRPASHRGQGVSPEHVAPSCIVLKARPPPSLNPYLYHGHHTHPRWAAPLVVHRARPELLVTAAAAAGDAAPANRRTCPGHGQHHRPSPALPERPLRRHSPPRRPARPDRAREARTARRYQQRRHDLARPPRVTADSRARRPSPPRSPTVVVPAARVRSEQPPTLPKRPRAPPPLHAELPLQTGNSRPAAAGTTTQRTPPASTP >cds.KYUSt_chr4.9429 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56876709:56877776:1 gene:KYUSg_chr4.9429 transcript:KYUSt_chr4.9429 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATGIAPPVIIVGAGPSGLAASACLARRGVASLVLERDDCVGSLWRKRAYDRLHLHLPKQISALPHAPHADDAPDYLPRDHFVRYLDAYADRFDVRTRLRREVRAARFVDGRWEVEAVDLGTGDAERHAAKFLVVATGEFDERVLPEVPGLDTFTGEAMHSRDYASAEGMRGKAVLVVGCGNSGMEIALDLAEGGAATSIVVRGELHLMTREIMSASTALFAYLPVWMIDKLTLLACFLVFGDTAKHGLPRPALGPFARKLQINAYPIIDVGTYDKIKNGQIQVLPAMTSIDGDVVEFADGRRHRFDAIVFATGYRSTAKKWLKVRAARVRQEPTGFELNFAQLNLKWTVAS >cds.KYUSt_chr4.10293 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62359263:62360747:1 gene:KYUSg_chr4.10293 transcript:KYUSt_chr4.10293 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGLGDGDGDGGRRPLRVFFLPFFARGHLIPMTDLACRMAATRPAEVEATMVVTPANAALIATTVTRATASGHAVRLLQYPFPGVGLASGVECLGAAPAHDAWRVHHAVDLAQPIHEELLREHRPDAIVADAPFWRTTDIAAEIGVPRLTFHPVGVFPQLAMNKLAPLRPDIVRAGGAAGPTVTVPGMPGGKEIAIPASELPEFLVQEDHLAMSWDRIKAGQLAGFGVIVNTFAALEKPYCDEFSRVDARRAYFVGPVGSPSHSTVDRGGDGDVECLRWLSMKPSRSVVFVCFGSWAHFSVRQVRELALGLEASNQTFLWVVRSGDSDAPEGWEQRVAGRGMVVRGWAPQLAVLAHPSVGAFLTHCGWNSLLEAASAGVPVLTWPLVFEQFINERLVTEVAAFGTRVWDGGKRSVRAEDADIVPAEAIARAVAEFMESGERREKMRARAEELAENARAAVSDGGSSWHDLRRLIDDLTEAKASRVPCDEDP >cds.KYUSt_chr3.14729 pep primary_assembly:MPB_Lper_Kyuss_1697:3:89534641:89535189:-1 gene:KYUSg_chr3.14729 transcript:KYUSt_chr3.14729 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKASARLGDCGGERVPGDDGGEGDSGGGGHQSALNQAATASALALPLFCLRFSGSKGHGGGLLCHPAAPTERWMGLGRRTAGAKVIDPRCLAQFDKEDAALLRLTSSASGLVQLEYLRGISPITTSNTCVTYPSFTIYLHSCSPQGTKMQA >cds.KYUSt_chr4.52855 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327843320:327848469:-1 gene:KYUSg_chr4.52855 transcript:KYUSt_chr4.52855 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGASNDSGSPPQPCPGGGVDLISALPDDLLLQVLERLGSVAAAVRSGLVSRRWRDLWTSLPKVTVALHDIPFGSLLAALRRATRPGVCHYYLDIRVPRQDDRIAPSSVSTLLHAAALLSPVELLFTLPQDLQVSRFRAELHHSVKYACPVNCLCDDPKDWRAHTISLAVEEVEIEGIKGKDHEFDFLKVIFRCAPMLKRVDVRLSDGVTLSVVWCATINKFFMAYPSVECNLDISSALDFQLPPAGACGFEHIVLVALEHCHFPSSTAMDEDRARHHGRHSELGGPTGSGGDDLFIALPDDVIILILVRLRCSRTAVRTGALSRRWLGLWSHLPDLVFRDVAPGSLLAALSSLGACGVPISLLDIDVPAAVQDKVRANHVGTRTGLRSSLRLRRRPASYVSSLLRAAGKFSPAAFRFNHPHQLEKPFLDVDLSTCFQGTTSIELHAKFLCFPDPQSELPALQRLSLSGCCISLASLVPLCPRLHMLRVADAFLQPDGFAIRSASLQELVVENNVVKSTIQCIHIIVDAPVLKRFTMSLRTRGDLSISVSAPLVDKVSWRCLYTGSTAGLGLWGLSTVRLETEESKGAQEEDDVPRVHVLCLHMFARMKDRCTPLVNCSCDEPKDWRAQTISLANLEKVEIKQFNGQDHEFDFLKLIFRCAPMLRRVALELTKGFTPDNDWCTEIHSIFMGYPSVECTVDRPGKNVQHTFS >cds.KYUSt_chr5.26617 pep primary_assembly:MPB_Lper_Kyuss_1697:5:168558473:168563775:1 gene:KYUSg_chr5.26617 transcript:KYUSt_chr5.26617 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSQQLVLAASSADAGVAAWDLRTGAEAIRHRPCSSRPRAITAVADRFLAAAQAPAGNSAPIHYYHWDKPQVAVKSFPAEPIRVLIADPEGNYLIGGGISGDIFFWEVASGELLVQWHAHYRDVRCLALYDLLLVSGSEDGSIKVWDLLTMLDEQSRLEAKTPYLYNFNQHALPVTDVACFHGAIAVSSSEDRTCKIWSLSEGRMLRSISFPAIIDSVEVDPRSHIFYAGGRDGKIYVTAMGVDPSQGRDDSSILGALDDHRMPVVKLCRQQMSWYSTIKPGNSADAEDEFLETMKIIPLDVLTGELKG >cds.KYUSt_chr2.7349 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46099980:46101929:-1 gene:KYUSg_chr2.7349 transcript:KYUSt_chr2.7349 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGTIAFATISVAGFGFDVFSVAVPQSTDTVSASELAERRHTDGVSVNYNAQFADDGGEEVAFVSERAGSAGLYLSRPGSERAVPLPTVEESPFHDRPTVRCGRLYFVSAHEKPAAPFRSWAAVYATDLGGSKETVRVTPQGVVDMSPAVSASGEVLAVASYGERPWAFDFQVLETEVAVFRAADPARRVVVAARGGWPTWHGEGAVFFHRVADDGWWSVFRVDVSPETLEPSGAETRVTPPGVHCFTPAASGGRWIAVATRRKERAHRHIELFDLETEQFTAVTSLVNPELHHYNPFFSPSGARLGYHRFRGAGAPGESAIPYLQPVRSPVGSVRMLRVHGFFPSFSPDAKHLALNGDFNKGPGLTILRTDGSKRWVLTEKPSLFYTSWSRSETGVVFTSMGPIFQTHKATVRIARMEFDPTDLTDDRDDVHAAVNELTRPEAGNDAFPAASPCGRWVVFRSGRSGHKNLYIVDAARGEETIRRLTDGEWIDTMPSWSPDGNLIAFSSNRHDPANAAVFSIYLVRPDGTGLRRVHVAGPPGSPEADKERFNHVCFSPDCQWLLFTANLGGVNAEPVSAPNQFQPYGDLYVCRLDGSGLVRLTCNAYENGTPAWGPALGVEPLSLGPPAADDSLGEFAEPLWLSCDV >cds.KYUSt_chr5.4062 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26146966:26147481:1 gene:KYUSg_chr5.4062 transcript:KYUSt_chr5.4062 gene_biotype:protein_coding transcript_biotype:protein_coding MCWLRVCVLNESCGQMVWMLKHDKDLKHMPCQLFRTPVKWILEDINYNMFRDLSEFQQDNNKETTKGKFDWSSDGDVQDTNMVDHGYSLEDARGLFYDIELLGFHPNEEIVFLSASQQTCLAYHLNGSKIEEIGNIYPKDYYWFKELANEKERIKSFLYTPCWMQEFPGSN >cds.KYUSt_chr2.46937 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293535121:293541648:1 gene:KYUSg_chr2.46937 transcript:KYUSt_chr2.46937 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTCQAGNYVTPAHLISHRINGLTSSSTYIDNNPTMETAGIRAATWVVGKALSPLSGGLLEAWGASSMLGSNMEDLKMQLLYAHAMLNNVQGREIHNPALGELLDKLRQLAYGADDLLDELDYFRIQDELDGTYHSADAHAAGCVPDLVLNARHTVRSCVNKVKLPACSRAATRDEQQDGVKQGCFSRICSCGRCDICSLPPSPASQVGLEVDKGCVPKVASSARDAARTVGKHFPCYSFPSVHDDDSDTSVREQRFVCGAWQSSKAPQRNHDAQAPRLKFDRVELSKKIRDIVEQLKPVCAMVSTILNLELLGSSRTPSKETAMNRAQTTPDIVEPKLYGRDSQKIFVENEIVNGEYCALTVLPVVGPGGIGKTTFIQHIYDQMKSHFQIPIWVCVSLDFNASRLANEIVKRIPRVDNENNNCSDEELIKQRIKGKRVLLVLDDVWTHHESDWTKLIALFKKEGAKGNMVIVTTRIPKVANKVKTTKCSLELERLSPKDIMAFFEECVFGDQKPWVDHPGLDEVGSKIVEKLKGSPLAAKTVGRLLRKKLTVDYWRWDHLGRQAEGLWPLLAHGRLTKLELHAASGFFADPDPSRPHDTDVFSRSSKLLDLTTGSKTGVLAASICSLLSSTLTRLNLSFDNELEHLTKEQEEALQLLTSLQELQFSLGAKLQRLPAGLHKLINLKKLSIWYCSAIPSLPSLPSSLRELKIYSCDSLKSLPNSLPSSLEILEISDCHAIKSLPKDGLPSSMLKLNVHEDTSEELKRACRKLIGTIPVVRT >cds.KYUSt_chr1.16358 pep primary_assembly:MPB_Lper_Kyuss_1697:1:95049976:95058761:-1 gene:KYUSg_chr1.16358 transcript:KYUSt_chr1.16358 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTRHMDHRKCPVTKEDHSMDDIVLVKTNKVVKPRPLQAASVPGLLGIFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDESRALLALAERQIPASMAGATPAAAVSNGKRAMEEEIGPDGKKIRPGINPVMIDELTECNTMLSAQRKKRQVPPSLAPIDALERYTQISSHPLHKTNKPGILSMDMHPSKDIIATGGIDTNAVLFDRPSGQILCTLAGHSKKITTLKFVPRDELVVTGSADKTVRIWQGSEEGNYSCIHTLKDHTAEVEAVTVHATQKYFVTAARDNTWCFYDISTGSCLSQVGEASGQEGYTSASFHPDGLILGTGTTEAVVKIWDVKTQTNVAKFEGHAGPVTAMSFSENGYFLATAALDGVKLWDLRKLRNFRTFSPYDSDTPTNSVEFDFSGSYLAVAGSDIRVYQVANVKTEWNLIKTLPDLSGTGKVTSAKFGADAKYIAVGSMDRNLRIFGLPGDDQMEDDTKPSSDTHPGHNFVTYLTLCHAYEIAKLKKNDPFCKHMSLEALCKSIIGTANSMAIGIEIVKDL >cds.KYUSt_chr1.19056 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111893983:111894578:-1 gene:KYUSg_chr1.19056 transcript:KYUSt_chr1.19056 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSARREAFRRREEHTRGRREEGKVCKVPWLEEAELDVGEHASEMWNGGGVRPPPPPFSSGGYRERAVAVPVESTRATSMDGHGGRSKRWATATAAAGRARAMAVSRSQDLLQKVPDRGRKLRRASSKKVATRRGKIASWCMVVIFQVYDTFSFLGVPCEILAEVCGI >cds.KYUSt_chr2.47626 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297902327:297908712:1 gene:KYUSg_chr2.47626 transcript:KYUSt_chr2.47626 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCPSWSSCLRHGSVLFLLLLLSVMCSSRTAAAQAQLQPQTDPTEAAAVNAIMAKLGLKTQSSWNISGNPCSGVATDDTLLDDNPNFNPGIKCDCTDQNGTLCHVTRLKINGLDAAGPIPEELRNLTRLTKLDFRKNSLTGPLPAFIGELTDLMHITVGTNALSGPIPKELGNLTNLQSLALGSNHFNGSLPDELGKLTKLQEMYIDSNDFSGPLPATLSQLKILSSLWASDNNFTGQFPDFLGTLTNMNHLRLQGNSFQGPIPKSLSNLTQLMSLRIGDIVNGSSSIAFIGNMTSLDDLVLRNCKISDTLASVEFSKFVKLTSLDLSFNNITGQIPLSIFNLTSLKFIVLPWGLNCLQRNTPCFLGSPQSSSLAVDCGGSKTISGSEGLIYQADNANLGAASYSVGGAPIWGISSSGRFTTLSNGSSIIYSSHQFDNTQDSGMFQTARTSSSSLRYYGIGLENGNYTVTLEFGEIDSPDPEAWKRRGRRVFDIYLQVA >cds.KYUSt_chr1.1326 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7201799:7204112:-1 gene:KYUSg_chr1.1326 transcript:KYUSt_chr1.1326 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRIAPIVGGNTQKTGGVGESLVVIEVRKQLYLAGPLIAGCLLQNVIQMISVMFVGHLGELALSSASIATSFAGVTGFSLLSGMASSLDTLCGQSFGAKQYNLLGIYKQRAIVVLTLVSSVVAVIWMYTGQILLLFGQDPEIAMGAASYIRWMIPALFMYGLLQCHVRFLQTQNIVLPVMLSAGVSALNHVMVCWLLVYKLGLGNKGAALANAISYLTNVSILALYIRLSPSCTSTWTGLSMEAFRDIPSFLRLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVLSICLNTSSLVFMIPFGLGAAISTRVSNELGAGRPDAARLATRVTMVLGVVSGTSVGLLMVLLRKLWGHAYSNEEEVVGYIARMMPVLAVSFLFDDLQCVLSGIVRGCGRQKVGAYVNLSAYYIVGIPAALCFAFVYNLGGTGLWFGIMCGVVVQMLLLLSITLCTSWEKEALKAKGRVFASSELTTRKYPRYTTSMGRMTLEEEEPLGI >cds.KYUSt_chr7.34091 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213000277:213006411:1 gene:KYUSg_chr7.34091 transcript:KYUSt_chr7.34091 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLSDDHGDAAELSGYEPMLAKFLRRFHSDDLRRILLHSEPTLHFPLVIEILAEHWEDDVIVSGILSAKWSSDVKDVRCNLDPVFIANYARRTNELKSGIDIPEEIIQEFDFFWAKHRDTPLKGRNEILKGICPQIYGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISVAKAGLVTTLTSRTTVFGATNPKGQYDSDECTALNSNSIAMLLQKVKKPKAIFQASHIDFFSS >cds.KYUSt_chr2.7114 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44555650:44556734:1 gene:KYUSg_chr2.7114 transcript:KYUSt_chr2.7114 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFELAITVTCVLLLAGACRGAPDTELQVGYYQDTCPEAEDIVRAAVSDAVAEDAGIGAGLIRLLFHDCFVQGCDASVLLDPTKKNPFPEKLGAPNVHSLRGFEVIDAAKFALEDACPGVVSCADVVAFAARDASYLLSGYRVDFAMPAGRFDGRRSNASDTVPSLPSPSANFTQLVDNFAVQGLDAEDMVVLSGAHSVGHARCSSFAGDRLRDGADIDPSFARSLRRRCNDTSEVEDPTVSQDPVTPAELDGQFYRNVLKGRVLLASDAALMETKEAARMVRESAGVSGRWEKKFGQAMVKMAGIEVKKAGRRGEIRTNCRLVN >cds.KYUSt_chr3.15306 pep primary_assembly:MPB_Lper_Kyuss_1697:3:93531032:93533875:1 gene:KYUSg_chr3.15306 transcript:KYUSt_chr3.15306 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRIPSPRPTHYEQSPIRTSASAVNKLFHLRGPQPIRTSAVNKLFQLRGPQPRNVCRTTRDALCIYRPPGRISNPAPSALPSTATPVSICLQILIPTMTPATTTVSSGEFSSSSWVLLNKYAQVGENSNETTAHCQVSDDLKIYASLSRECPPLPSNLYVYCSGATFAEPPSSLSMVDDLILFHVYTGPPFRSRSGLPSYFFLYRADPKRPSLSSYYRTRKVCMYDSETNAWSFKKLSVASPQKDFPVAIPDAYCPLFHHHTSTVLTLGGTIGWVDLWRGILFSDMLSGKHTLSGVPLPLPFKFMEEDIGLGSARSHRGIGFISGHLRLVEGVDERFHAAAADGELLLEVEPRGANLMGGSKAGCNRWRLCTMEKQIAELNFGPDGIPSLVVDLKLSKPVVDLTRTTGRSKSG >cds.KYUSt_chr4.12897 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79292745:79294879:1 gene:KYUSg_chr4.12897 transcript:KYUSt_chr4.12897 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLTVVAYAFLNFWMSFQVGKARRKYKVSYPTLYAIESENKDAKLFNCVQRGHQNSLEMMPMFFVTVLVGGLQHPVIAAALGVLYTLARFFYFKGYATGVPDNRLKLGGFNFLAIIGLILCTASFGINLVIREAI >cds.KYUSt_chr4.47607 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294684976:294685904:1 gene:KYUSg_chr4.47607 transcript:KYUSt_chr4.47607 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKRLILQLLVVCLAAPAVRSAWLQGTATFYGGRDGSGTMGGACGYSNLYDEGYGLSNAALSTVLFNDGASCGQCYLIMCDQSKSRMCKTGKAITVTATNLCPPNYNLPNDNGGWCNPPRPHFDMSQPAWLNIGIYEAGIIPVVYQQVKCWRTGGLRFTILGFNYFELVLVTNVAGSGSIKSISVKGTKTGWTQMSRNWGVIWQGMSGLAGQSLSFSISSTGGQNIVFQNVIPAGWTFGQTYSTWQQFNY >cds.KYUSt_chr6.6031 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36218727:36223399:-1 gene:KYUSg_chr6.6031 transcript:KYUSt_chr6.6031 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPVDADMEGGDVDMTAGGDDAAKELDEMKRRLKEMEEEAAALREMQAKVAKEMQGGDANAAAAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVSPKRTNVPGMKQRPPRGAFNPYHGYPYRSYGSPYFPPYGGYGRVPRFRRPTRYRPYY >cds.KYUSt_chr3.26801 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167157997:167162847:-1 gene:KYUSg_chr3.26801 transcript:KYUSt_chr3.26801 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKPKPKERTKSLAPRASRGGRRGGRGGAGKSGERRSHGPHLPNKLRRELESLAPARDRGSDSDDEDDVVGEDVYEYEEGVPEEEARKNDRYDAVKTYEYDFDSDGSNADEDVPSDEGEDMEEDDDGDDDEEKQIRILKETTGMPREAFDGGKKKKQQQAQELPLQPAGGPVTIHDLMDDIQDKPGYSKIRKRVQQQEKKPMVVQAPMPKVKRDLLDRAATYKVHKHDISKWEGLVKSNREATTLYFENDLDLGQSTVGAIASKFEPRSTFEKQMAEVLRSTEIMEAHKNDGAKILELNKMDVEDVRERQNRLAKMRSLLFRHEMKAKRVKKIKSRTYHRLLKKDKRKASSADLEADPEAAEESAMKQEFKRAEERMTLKHKNNSKWAKRILKRGLSVQDEGTRDAITAQLQQHALLTRKMNSIKNDSSSSDESSDDDDEDDDGSNLEAKLLNRGKEKIRRVVEEDNAIPKSGVFSLPFMERAMKKRADATYEETRLDYEELEQSLRKLEDGNTEENVDSIKVTGKRTFGPVKRTHAEANKRPKLGDADRNSDSGYDSDCGQHFDSSEENKKADYANNKADDVQLGTALLDDEQQNDLFISFDDARKSPRTKTEIEVGILADNSWKKVKSSKANGDQNMKESKNKSKVQIPSAVDPNPLLHNSDSDPEEEMVDGFLTIPDEKENYKLPSQADLIRQAFAGDDVEAEFENDKLEALNEENPEPEEPALVPGWGQWTDIQQKKGLPSWMVKEHEDAKRKREEALKRRKDAKLKNVIISEHVDKKAEKLLATNLPFPYTSKDVYENSIRMPLGPDFNPAISVSALNRPAIVKKPGVVIKPIQYEEINPHEKPDEPRRVIQRAKPNPSLKKTSAKQAKRTTSHPRN >cds.KYUSt_chr5.9853 pep primary_assembly:MPB_Lper_Kyuss_1697:5:62993957:62998441:-1 gene:KYUSg_chr5.9853 transcript:KYUSt_chr5.9853 gene_biotype:protein_coding transcript_biotype:protein_coding METIPAASAMDWSIDLDRSLRSRHLGTRLQALDAAAPRIRELASCPAVPAGVASASGIMPAESRIFAETMLLRLATEFRAAADDSIRSRIVRSLLRNKGGGWAAAEPEQILRRVTAAHGAAGATPRARALALRMFGCLADLAKDSVHVRSLVLSGLRSSNAAEVKAALFAAGCFCKLSEDFSRITLQVLAGLVTSPTSEAQVIIAAIKTFSKLDCTLAVIRRVREVGKEMVLGNLEDVFKAEMLFALSRLASKSIVFFGDQVINTFYLPVASTVFGTLLHLIDDEAFPLECKSYALRILQKMLCVKAPTIRHINASELTKLALAAERFLHCSSWEMLDTALRILVDIFFCFHKQTKPHQTISTLGSSSFSNTGKQGISNNMLATHEENSEDESSLNKILTVIMDHIIPLANQLSNRSKEVETRQTYMSSCEQEKCRTLFSLMLKLVSGYPSAASVALDKLRCLIKELARINASHYSDVAASCVESFVAQEQFRASDDTTEPATASIKASHMETDTDKSLASADFCSKKSSVVHDLILCTLQFANACHDMCCRTSGSSCNPHHSIKGLTECVQQNASQYCSTYEFFRLIMSARISWNTCKVRNDIGNKGAGGSKEYPEIFSTPSWIAHELCALRMAKMLTRKQSYWEAYRSAMYCCREGLWFTASFFFRKVSGAFESGSFSFWFKSLLLFGAGELEMKLLVFPSAINKLVGELNTEGVLHEDLYCIETDADSTLARSQELHGCQEKITGICERTCLANDVLASNASSDCEFFFQRWFISLRVSFLEILTDVLGILSAHCSAPKDISHLESRGDSCSVATEQNQVLLALTNCSLRLSDLAKSYDLLDASHGDMDHQSFTTVARLAFMCSLLSFCTVFSLDFSNLLSSSEPCRLPERFSHASVLQDLHERVDRKDGQVLSQLRQFISVSSHELDSIQFSTRMNCSGYLEKDTYSLFKFSVASLLRARADAKGVATTREDTLPQLHRGMQFLSSVLQRLMELPFVLPKYFFSVRPCFGAELFIFDSNPANRDGVSISPGSQLSLTLCLQWKRVLERTRIRIAKLYCVLATSPSLHLHTAGTRSKQFEMRRTAEMVVLNSRLLRHIKRDPRKTCENKSSRPETDLVTAFACFTPDGGGQGFSDCLLDVSLFPVGSYQVAWQACCVDENGGYFSLLPLNDGVVFSVR >cds.KYUSt_chr2.7845 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49065163:49081241:-1 gene:KYUSg_chr2.7845 transcript:KYUSt_chr2.7845 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPGRPRGKEVGPRGADTTGRRGLALGRAALACGALVWPPALPFRLLKAFVAKPPIARATIRKTFPETPPPPIPSRGIQEITSGTLPERGIISRRTLHRHGRLRIDEFHIGAGILGVAPHYISPPSTFNVLLGSYWFHVGAGIPGVVPHYISPPSTFNVLLGSYWFDKPWFLTEGKLAVAALGVFTTPITLSHLYAQLNTYEQRQELLNGSTDTDFDTSANLANRQRRGRFSSRPRDRGDRGNRGDRGERRDDRRQDRRDDRGSTQGRGGGRAPPGGGRGRGRGRRRTTPWVDVTCQICNKEGHPAKACWWRFEYDDDDSADDKEAHVASYGVDTNWYSDTGATNHITGELHNLTAREKYQGHDRVNTASGQATYLTNMLPSKTINYDTPVYRLLGTKPESHPQLLLKIWVKTVLRNILQALMTLLHYRTVAQNMRQIPDAISLGIRWILLGSCASQPRQRVSALSHPCGATWRDPSASQPWSPRPRIFCGAVELPVIVLTYARAQLIGCRVPCPDRGDRAQSCRFTCPCTGAARILCSASPCVHVYGFWVFNAYKCKIFRFESYCLSEEGFLEVVNEAWNGGEAKDLKLVGLIEPLTNEQAVPQCLSVYADIIRKASPEECNTVRGTDEQSGHAPIALMATPASQSAGRITHRLCFFGNPEKTKSSILSVERCDNGGGRGGRNIRCANAVNGAVKINGKAADVRSSAAHPVTVDSDDDDTFRLGKFVEGRLVYRQRFVIRSYEIGPDRTATMETLMNLLQETALNHVMSSGLAGDGFGATRQMSLRKLIWVVTRINIQVDKYSQWGDVVEIDTWVASSGKNGMRRDWIIRDRRTKKMIARATSNWVMMNRETRKLSKIPEEVREEVLPFYLDRNIIAGDNPNPNRRIEKLTDATAESPRWSDMDVNQHVNNVKYIGWILESVPLNVLIEYHLTSITLDYRRECRQSQLLESLTTMSSTGMPSPSLSSADLQSTHLIRQQDDKAEIVRARAEWRCKEHH >cds.KYUSt_chr1.31702 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192324299:192331453:1 gene:KYUSg_chr1.31702 transcript:KYUSt_chr1.31702 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWFIPAYFKKTQASKLGDAQGIPFFIDNIIRFHVGAEIPGVAPHYTPPPSTFTCFLIPTGRLFLGALPPPTSSSFVLTLQKSISDVYVVEALILVGYVLRGKSDEEKKASQPTAMQAGGTKTRFGRCPYCRAIICQNTDAAVYYCSKCRTPIGGKNTEPTEDEADRALSRLEILSAVDTSSVYSDEEPDASCTPASVIDVDGDQPPPLSCGYNSNSDANSQGITASSPSPFRGGFGSPRSNGCSPRGVSDYHPGSSDRQGEVRSVKNRVTELRVSSRRTRRDSSASDPIILRRRDFSAPDHEEAPRNSGEQQLRRSALSTREMGTSTSMSGLEASAVKASPLTDPAFNRDLLHALDKLRGMIVAIQLQPPQASGSARGAMTRRESRLFRRMESQLARELPAAYAEAHEPRRMFRNQASTASASWSSASASSYSGHGARSRKRHCFPVHGAAPFVVCGECSELLQAPNSMTASRRGVTKLRCGGCGEVLELRSAGIAANARRASRACSATRESGSGSLHHSDGLERTASGGGGEQQVPPLLLYRALGFDSMSPLLHSQRY >cds.KYUSt_chr6.23243 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146793970:146795922:1 gene:KYUSg_chr6.23243 transcript:KYUSt_chr6.23243 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYQTKTTDENLQSRRRNRTTSSQNRRNYLDRNRRRPLGVGAHPGAYIDRVRTDLDTYQEETSIARGVEDQLDMKTDVKMDVKLDMELDMKISHGRAREEQEACARGEVDVQAGPAPGQTGLQTGAPGPWPG >cds.KYUSt_chr3.47516 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298024576:298026722:-1 gene:KYUSg_chr3.47516 transcript:KYUSt_chr3.47516 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRLSPFWHVQMLIIAAASKSDDQRCFLSRLSSSSSSSSSSSSSSSSSSAHVAAVPASNKLIFIMAGQSNMAGRVILSSDCSPAPSVLRLSPSLRWEQVRQPHHHDLVCTIIIGISTLVTLVLTLNNPNDRVAHTLHPTRYSFRGKESLKFRNSNSADLNVNALSPMDPEGVGAVRGDGAPARVAVETGGRIGDVVWYHGGERHGQASGRDEYGQWMCSLVRNLRADLAIPGLLLMHLLIGPLLSSNVPMFQVGLASVLGQHTQVVRPSPTVGLPIPRSRVQMRRTG >cds.KYUSt_chr6.32652 pep primary_assembly:MPB_Lper_Kyuss_1697:6:205675969:205677244:1 gene:KYUSg_chr6.32652 transcript:KYUSt_chr6.32652 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVRSIATFQHAIGLMPSFSSLVVLRVLDLNGCNISGHNHLNLRDLGTLLHLKYLGLAQTGISEVPEEVGKLQFLQVLDVSRNDDMELPSTIIKLRRLMCLLIDYDHKRLPDGLGNLTSMEVLSEIHGDSLSTVKELGNMERLRKLEIRFYNNLSLELRNALVKSLGKMPNIQSLRIEYRAHVFEPMILLEERWVPPRSLREFVTTGMIKFSRLPAWIKRNPLHMLQLSKLQITVEEVRQEDLDNLGRLPALRILDLWSLRQSWLLLVGADGFRCLTSFKLQSWSSPGQVVFMPGAMPKAERVVLRISLQVAKEEAAGNGGDRFDLGMSNLPSLRKVDAKFFRSGVTVGEARQAEAALENALRAHPNRPTFGIDFVPDIPQDAGDDDVCSEYDVSD >cds.KYUSt_chr1.21691 pep primary_assembly:MPB_Lper_Kyuss_1697:1:128081104:128081946:1 gene:KYUSg_chr1.21691 transcript:KYUSt_chr1.21691 gene_biotype:protein_coding transcript_biotype:protein_coding MPPACPKRVPWYINHVHARVLLNLLLRRYASPDGTLQAKMSTVKRMQVRAVRFLLRAGMWSVLRGIDVMLLEKRGTKLTKELKEKKEHRQRRRQPRASTAATTRPALPPRAPRHCRPKLPGAAGLSTPALPAPESRHCRPDAFDAPKPGIAAAGPPALPASPKSTTSEPSV >cds.KYUSt_chr1.9593 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58523137:58525369:-1 gene:KYUSg_chr1.9593 transcript:KYUSt_chr1.9593 gene_biotype:protein_coding transcript_biotype:protein_coding METKGSNTVQYSSSTVGAKPRAQWNILLEKSLVEILHEHDTPYHRGQNGWSGESWSAMVDMFHQRNPHVRFDKSQVHDKEKELKRDYRMLKDALGQSGVGWIESKFMLDAEPHLWDNLAISFGPRILRFKKKPFPLYETLANLYHKHTSEGNFNFTSTADQKPHMDIETDSDDDEEDKDADFEILEQPHVEHVQVNQRQVGLEHVVATQTQASVTNVEANQRNGAGPSTNKPRRRKSSPKMKPKSTGDALVGVIDRFVNIKEKEVNNEAAQQFTISKCIATLRTLQGFDPAEKPKAFVVFKSVDNREIFLSSVEDNDGSALAWLRGPRDVEALKFFVLNEAEKAGDATIQDEL >cds.KYUSt_chr6.29978 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189868623:189869763:-1 gene:KYUSg_chr6.29978 transcript:KYUSt_chr6.29978 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRSRRFRNRSSCVPRPPEPERDWAELHPDLISCILKRLDQVELLVGGVATVCRSWRRAREEPVLWRRIDLRGGLYFAPPSGARACLPNMVRAALRHSAGQCEAFLCDRIYDDSLFAIAKRAPSLKSLHLITSKVSNEGFAKAIKMLPLLEELEISHCSPLDQLKAVELVAGACPLLKHFRLVSRYGNKEVGFVVARMSRLRSLHLVRLTLDNQGLTAILDSCHDLKYLNMRNCSPVTMDDDLRAKLSRINVDNREYKDDCEYWSYIDDRYYYSGMHDFWSLEECAEESYADNYYYRGDGDDVADADIEEHEKILDIKSMRRYLS >cds.KYUSt_chr5.9366 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59396234:59398137:-1 gene:KYUSg_chr5.9366 transcript:KYUSt_chr5.9366 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKMSPHWKGRRKEESTGSRYRTEEVVSSFKTPGGGCSGVKIQGSAGFSGCKANFSGSDATSCSSSRVSIGHPCLLRMTLRHILGLTGVVPEDAGIAVIRCAFEAGVTFLPLMPMGLIPTGSCLESSGALLVAAVGNEVNIVTILNFVF >cds.KYUSt_chr2.47764 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298767089:298770708:1 gene:KYUSg_chr2.47764 transcript:KYUSt_chr2.47764 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMMVGSVAPVGPVGRWGAAPPQALLERMKDYGQEGAFALWDELSPEDRDLLVRDIESLDLSRIDRIIRRSLGSQGFLAPAVEPVPESSVSRVEDRSSEDKERWWKKGLRAISEGKLAVVLLAGGQGTRLGISDPKGCFNIGLPSGKSLFQLQAERILCIQKLAAQSTPGNILPIHWYIMTSPFTHDVTRKFFESRKYFGLEAEQVTFFQQGTLPCVSDDGRFIMETPYRVAKAPDGNGGIYSALKSKKLLEDMSARGVKYVDCYGVDNALVRVADPTFLGYFIDKGVSSAAKVVRKAYPQENVGVFVQRGRGGPLSVVEYSEMDAAMATEINQSTGRLRYCWSNVCLHMFALDFLNQVANSLEKDSVYHLAEKKIPSIHGCTMGLKLEQYIFDAFSYSPSTTLFEVSREEEFAPVKNANGAAYDTPDTAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >cds.KYUSt_chr6.25162 pep primary_assembly:MPB_Lper_Kyuss_1697:6:159480393:159484961:-1 gene:KYUSg_chr6.25162 transcript:KYUSt_chr6.25162 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSYCAIMLRSCDLPIMIKIIYLSRPTPATQALPWLSQEIKPPAAASDVLRDHPGFQLLEADEVKLLGARARPLSADAPLHRGHLYFLVALPTPPGLARGPPRRAWSGNLQVGARERLESLKLSCRSTSDLSSFQGHAAASAPTSPLNAISEQLLYCMGRPPCCEQAGVKKGPWTPEEDLVLVSYIQDHGPGNWRAVPTTTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRSKDELLRNNLEVGSLKITRATSLSGKMHAGEPEDNSNESVVQI >cds.KYUSt_chr2.272 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1611938:1612993:1 gene:KYUSg_chr2.272 transcript:KYUSt_chr2.272 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEEEAVVAPVADLPSILPSLPVGLGFPPYSMRCYRGFWLYEGWLKALAPTHARFHPRPTDVFLATFPKSGTTWLKALAFCILNRTAHPPSGGADHHHPLRRGNPHDLVAFLEENPGLIAADYAAGEDELYGDLPSPRLLSTHLPYSLLPHGITDGESKMVYVCREPKDTLVSFWNFHEKIKAGLDPSIFSASGASSTQTFEEAFELYCQGKSLAGPQWRHVLEYWQASRRRPDHVLFLRYEDMLRHPEENLRRLAAFMGCPFSPDEEAAGVVRDVVQLCSIGTLKTIEVNRSGSTAVWGIRNEAFFRNGKMGDWRNFMTPAMAARLDGIVAEAFSGTGLTYASPSC >cds.KYUSt_chr7.32991 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205789917:205793076:-1 gene:KYUSg_chr7.32991 transcript:KYUSt_chr7.32991 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLRSWNHLRDLVSNAHILPDGLDAIKEAGVAWRTLNAALEHDASAVSVNDSTQYKDKEKQCPYSIRRMNATSSGNRFVLKVPCGLIQGSSITIIGTPGGLLGNFKIDLTGVAVPGEPDPPIVLHYNVRLFGDKLTEDPVIVQNTWTIADDWGSEDRCPSSGSDAKDNAKVDDLEKCSSMVGNEQKQILASKLNSNFSRMHPTRKKIGEPKKYYPFKQGYLAIAILRVGAEGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSLIASGLPTTEDFEHVTDLAILKAPPVPMDKFVDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSGKVVVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILSSLHQVNISHGLLYGRVNSDSQPHRDPYSKWYITSEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKEGLDVKYENDGRILVVGCEDGYVVAHYQEPRQMMCLWDKIQKGKRGICCNEYQ >cds.KYUSt_chr7.12789 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78913146:78917670:1 gene:KYUSg_chr7.12789 transcript:KYUSt_chr7.12789 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVELSAMARIKFRQLLLCFGVVFGDGVEGLVRSEQRRSWAPGNTGHGLGRGLKGRSTDTSSRRARTWGREVIPSPPAASVGPLPIPTELRLQYLAMDISTEYIDHFKARFLEQTGHRCSAFEVLIAKAPSPGAPPCDVVRLIREGKKRLPAEFARWSRGELGSDPYRITSDYRTLLVSDWSRLGFAEVDYGWGAPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAAHGVDAFHRDMMRLDD >cds.KYUSt_chr4.44266 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274257155:274267716:-1 gene:KYUSg_chr4.44266 transcript:KYUSt_chr4.44266 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATAEPSAPRENYSFLMGDTSGDEDGGGVDGDAFRGQFPVPAACRNRDFCPPDIGFAMAAALEENRNWHFGISSIACKRRLFLAGLGGEGENARASENSVVDGLLAGRGGEEEHSHVVASSSASRRSYLHWIRSLVSSHLLCFSLACRGGGEGDAADAAPHAYRHQSLPKRCYGVATTSTPLLRRHSSREALQRGTYAGVIALPLHHMADWRPFSRRSYFPETKKSKGKIYRSCHDAGPSDLRLLHCTQPKLIPDPKPYPLSSASSTMISPRPTLSPGFFSRSVSFSRSASFSPSPPSSPVAQPPPLLSAPFARPSATFSRSASFSTSATAVSTLERGASDTFYDVLGLDAGASCRDIKAAYRRLARAVHPDVSPHPAASADEFIRVHAAYSTLSDPSKRADYDRGMTMIPSAVGRRCAPNLTRSPSFPGSRRRTWETDQCW >cds.KYUSt_chr4.12359 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75490865:75498187:1 gene:KYUSg_chr4.12359 transcript:KYUSt_chr4.12359 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSAYDAVELVSGVPGRIEAVASHAGKLLVAASDCSLRIYSPPVPADGEIRRDGPYALERQEQRLWRRAPSAMEASATRDLLLSLSDWVALHRLPGLETVAVVSKTKGANVFAWDDRRGLLAAGRQKRLTIFRLDGGREFVEVKEFGVPDMVKSMAWCGDNICIGIRRDYMIINSVTGALTEVFSAGRIAPPLVVPLPTGELLLGKDNIGVFVDQNGKLIQDGRIIWSDTPASVVIHKPYAVARLPRHVEIRSLRAPSALVQTVVLRDVQKLVQTDNCILAALSNSVYGLLPVPIGAQIVQLTASGEFEDALALCKLLPPEDSNLRAAKESSIHMRYGHFLFDNGSYEEAMEQFSDSHVDITYVFSLYPSLVLPQTHIIGEHDKLHDLPELARESSDVTDEMESYSLQLHDSDDKSPLENKKMSHNSLIALVKYLQKKRNGIIDRATSEVTEEVVSGAVHHSLILSEPYKAKKPNKKRPQTLRSSVARELATVLDTSLLQALILTRQSSGAVELLKGLNYCDLKICEEFLKERSDYMVLIELYRSNDMHREALQLLNQLVEKSKSEMANTDSIKKINPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSDTIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSETGINPNLQNELVQLYLSEVLDWYKILKDEGNWTDKTYSPTRNKLISTLESNSGYNTDTLLKRLPQDALFEERAIMYGKMNQHLRALSLYVHKLHMPERAVAYCDRVYEEGAQQPSKSNIYFNLLQIYLNPRKAEKEIEQKIIPVASQYPGIQRVSSTTKVRGGRMGRKVVEIEGAEDIRFSTSGTDNGRSDGDTDDVSDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQVIIVFVRKI >cds.KYUSt_chr1.2745 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16407849:16408943:1 gene:KYUSg_chr1.2745 transcript:KYUSt_chr1.2745 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKSGASFRLSALPVVLMAQLLAAAVFTLTLVWVLHFRGGVSWEMSSNPQLVYTAHPLFMVIGLVICTGEAVMAYRIVLGPRAAKKAVHLLLHLLSLGFAAVGLHSGLLPCTP >cds.KYUSt_chr4.17225 pep primary_assembly:MPB_Lper_Kyuss_1697:4:107702124:107703458:1 gene:KYUSg_chr4.17225 transcript:KYUSt_chr4.17225 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLAMDVDKLSYEIFSLLESKFLFGATGGTAGCFSGPGTPFRGANDGRVRVLAIDGCGAGAGDALLAAAALARLEAGLRRQAGDPDARVADFFDLAAGSGAGGVLAAMLFLKGADGRPRYTAEEALAFVAGSVGKDWGGRRRGLARLLRGGNARKGDKSFRRVFGDATLGRDTVAPVLVPCYDLATGAPFVFSRADAVESDSFDFRLSDVCAATCAAAGAAAAVRSVDGRTAIAAASGAVAAMGNPASAAITHVLHNKQEFPLAAGMDDILVLSIGTGASSTTSSGGNGWNTPMPTRSPSRHELARVTAQGVADMVDEAVAMAFGHASDSNYVRLQACNAPTSLQTETAAAAAGAMLSQRNVESVLFRGRRLSDRTNAEKVDALAAELVKEQERRMRSPLPNVVIKQVSSPRLSSATTASSVTATVRTASTMPSPASWDSRQ >cds.KYUSt_chr2.7378 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46300959:46302953:1 gene:KYUSg_chr2.7378 transcript:KYUSt_chr2.7378 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFAAAKTSVWWDIENCCVPRSCDPQLIVQNMSSALAAAGYRGPISVSAYGDTNGISQPVLHALSSTGVSLNHVPAGVKDASDKKILVDMLFWALDNPPPANYLLISGDRDFSNAIHKLKMRRYNILLANPPNVSQTLIAAAKSVWLWKSLVAGEPPLAQSPYISSTSSGNKVDLDKSKNIVLSSSDVTQDTNHEVQNILCDPQSDANGKADKKSEVEQPREMKTDKLSKAARRKQLKRSNPGGSELTKQTVFKKSKKSKKAKRAKRKRLICFRCGDRHCAVECTFSGDCQWCGKPGHKDLLCRENPHRIVRRIPHYRPSQGQGMICFKCGVVGHCGAKCTYIGSCHHCGHLGHMESVCRQNPDSIIKWEQVQVHADGNAKSFQESVHMTVHAS >cds.KYUSt_chr5.9367 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59399701:59402040:-1 gene:KYUSg_chr5.9367 transcript:KYUSt_chr5.9367 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNRAPANKLTAASLHAHVLHLHQCGAGGNALRRAHAASLVSGALAACLPLAGALLLSYAAIADLPSSRLILLHHPLRLRSAFLWNSLSRALSSASLPSEALRVYNLMLRSAVSPDDRTFPFALHAAAAAAEAHPAKGLELHAAALRSGHLADVFAGNTLVAFYAARGSAHDACRVFDEMPVRDVVSWNSLVSAFLTNKMFDDARQALVSMMRSGNPVNVASLVSVVPACGVEQEEGFGMGIHGLALKTGLDAMLNLGNALIDMYGKLGHVQASMQVFEGMPERSEVSWNSAIGCFLNAGLYGDVLAMFREMSERGVMPGSITLSSLLPALVELGYFDLGREVHGYSIKREMDLDIFVANSLVDMYAKLGSLEKACTVFEKIKAPNVVSWNAMVANLVQNGAEVEAFRLVIKMQNDGERPNSITLVNVLPACSRLASIKLGKQIHAWSIRTGLTFDLFISNALIDMYAKCGQLTSAQNIFDLSEKDDVSYNTLILGYSQSPWSFKSLNLFKQMRSVGVEYDAISFMGALTACTNLCAFKQGKVIHGVLVRRLQSNHPFLENSLLGLYTKGAMLDTASKIFNRMTQKDVASWNTMIMGYAMQGQIDVAFQLFDLMKDDGVNYDHVSYIAVLSACSHGGLVESGKKYFSQMLAQNLEPQQMHYACMVDLLGRAGQLTESVEIILDMPFHANSDVWGALLGACRIHGNIELAQYAAEHLFELKPEHSGYYTLLINMYAEAGRWNEANKIRTLMKSRKVQKNPAYSWVQSGNKLQAFLVGYT >cds.KYUSt_chr6.18886 pep primary_assembly:MPB_Lper_Kyuss_1697:6:118960350:118972570:1 gene:KYUSg_chr6.18886 transcript:KYUSt_chr6.18886 gene_biotype:protein_coding transcript_biotype:protein_coding MERFLDMGFSSPKDPQNLSLEEEKNSCLDALASHVFSIVVSNVVTSSIMPFGSAHELWTKPQDKYDVSNIIEDDCFASTSGHDEFSSSSTSPKCGKTQGNDMVSGDENCNIDIELTIDDSSSLSHCNASSLDLNTSSTRNDLHACVDSPCISCVSCLKKSNDDMLALSCGHDKNDSISSSCCVSNNVEETKDSIGQDKILKGASSNSSSLSHGPHICLMAKGSTVPPTMEPNMSRGDKDEDEYEEEDWVVSLRDKGKSVFKVICKDKIASTHFFEILTTAIESQKLFWMHENTIDKKGALEREYANDIASLKNDLEEEQETIASLEEQLETLEVSQNEIVSKLTKERDHAKAQVKMLKKENPKVDVGHDKLVKDLDDLDKAHKVLESEHSILTKSHEQLQASYLKKHAMLPSLLNMSCDDACATNSTSCEASILKENVELRAQLELLTSNYGKLEENHGKLSSSHEDLLASHDRLKLAHEAIISKATPCSTSSSTCVVTNHVEEIKELKAQVTSLKTDLVKSHEGKCKLDKMLSVQQSPNDKSGLGFNSNNKNKSKNNKTKKGQLQVKYPAKIVCFKCKIEGHHVRSCPLKKKQKGKRPQAQTHIQPQVEEMPLPKKNQANAPIVEKSSEKKEKKRTCYICREKGHISSFCTIGTSSNSITIDDVYSLHAPKKKLSHGCLWGSNLQDFVKQTQARKAFHLVEVKIVVIKLKWLQAKLPALTVTALRTSAPHSRNLEIRICTNRICTRQSGREVLATLGDLAPPPPHVEVASCGCLGHCGAGPNVAASVPVRGTAARTARILEHLLGAAEFDAGSGIAALVVREKAEAALVEGNAAEAEALSTENIGLDAPGQVDCIWCTGADLIEATAGSHMGLRFGAYEYRGLLEPDAARAMKGLYQEEAGDNLSVLYVRLAGPAFGASTVDHATGIGSMVLASPREHEAVAHIDPETSHMVPFTGELALRKPASQEHVAEPAEGFMPAKDMLVSSLKFDSKITMVIFDSVEVNISVLSKCVKSYLFCRYKKLCVFVKRFVGDEL >cds.KYUSt_chr5.5573 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34819594:34820058:1 gene:KYUSg_chr5.5573 transcript:KYUSt_chr5.5573 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCLLLIALLALAASTTSYAQYHYAEYGGYSDQAGGGGECEQQQVRLSSCKDYVMERCATMKDFPVSWPSKWWKGGCEHEVREQCCEQLNKVAQQCRCKAIWGAVQGELGGFLGFLRGDIGKRIQRAKSVPTKCNMGPECDFHPTSPNTGYYW >cds.KYUSt_chr3.31842 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199991509:199991769:1 gene:KYUSg_chr3.31842 transcript:KYUSt_chr3.31842 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQVPLVEMDGGPAVEMEMERANGEQRGCVAPRWWPSTSCPLPGDRATGSPPLHFPGQIKQLFLPDQFVEFEMQPVSPSCSSLQN >cds.KYUSt_chr4.4170 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23934152:23935744:1 gene:KYUSg_chr4.4170 transcript:KYUSt_chr4.4170 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMIFSAVVGDLAGRVISLLAGQFKGQESTEAKLQRICYMLVKVHSAIEEARGRQITNFGTLEWLSELNDGLYQGRYLLETVGSREQDTAEDDEPADKVVAAQPFSLSLFNPAKRVRVAACRVRNLLSRHDVGVHERIDRVVKILETMSGDLKEFLMLLQTCQQIHRPLATNIFVEGQMFGRHVEKERIINFLLYNGAGKLGVLPIVGDMGVGKTTLAQHVCDDERVRSHFPVIMYSRFACTLVMARGETTFVLESKHALGDVKQFIESVHGLKENYLTKRFLIVFEDVDMPKKQMLEELLPILRQHGKHGSKVIIITSNRDVVSNMGTVQLINLKVMPHPEYWFFFKAHAFAGRDVEEDPRMVAAGKAIARKLNGSFFGAKIVGAVLKARPDPRFWCKVLRSNIGGMSLLGDGMGYISDLAENLLPSHAGMCELTISKNPFTSQTELASFDDLCQVSPSASAARPDDGLAGDIQYAKVLVCRTVLPFQSLYYTAHCAVHGADDSSKFVRIGGSGRFAHVPCQEKVFPY >cds.KYUSt_contig_1181.89 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:582502:589229:-1 gene:KYUSg_contig_1181.89 transcript:KYUSt_contig_1181.89 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSYSQAQAASRRRRCAWLLPLLVGAAFLGEIAFLGRIDLSKNAAAVESWTTSFYRRSANSGEDALPGGGDGDDDEIRQCVERLEREDAVPYDRDFETDPVLVGGAAKACPFPPALHFVLTLRGSTDLLPNWVNIYFLTTLSWGLGRGYQIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLESLDVKIDSYGSCHRNHDGKVDKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVTGAIPVVVGAPNIQEFSPGEGAILHIKELDDVTSVARTMKHIASNPDAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHIATKIHEKEERTPKFMNRTCSCSSKKATVYHLFVRERGRFKSESIYLRSSKLTLGSLESAVHAKFGSINHVPVWKDERPSSIRGGNELKVYKIYPVGLTERQALYNFKFSDDAELARYIKDHPCAKFEGYVANRFMFV >cds.KYUSt_chr4.11708 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71183987:71192114:-1 gene:KYUSg_chr4.11708 transcript:KYUSt_chr4.11708 gene_biotype:protein_coding transcript_biotype:protein_coding MPKALASTSITAVALVRTAIEEGGVAAGLAGRESLGEEDEFKAPTGLTSRGAHSHLRKKIKKRNWYRNRMKIWIRASESKDKEEPAVSCSLQVGFYSESCPSAESTVASVVRGFSASDSTILPSLLAFQFHDASVLLIKGGSNKADLDNAKHQGLRGMDVIDSATAQLEAQCPGVVSCADIVILATRDAVAFTGGPSFDVPTGRRDGKVSNLRDGDALPDVHDSAQVLRSKFAASGLYAAHTVCTTACFFTQDRLYNFPLPGGGHGSEPSIPAGCYNRDAQ >cds.KYUSt_contig_402.118 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:712123:729720:1 gene:KYUSg_contig_402.118 transcript:KYUSt_contig_402.118 gene_biotype:protein_coding transcript_biotype:protein_coding description:APETALA1 (AP1)/ FRUITFULL (FUL)-like MADS box transcription factor, Specification of inflorescence meristem identit [Source: Projected from Oryza sativa (Os07g0605200)] MGRGPVQLRRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSQDSNMDVILERYQRYSFEERAIVDQNIGGQANWGDEFGSLKIKLDALQKSQRQLLGEQLDPLTTKELQQLEQQLDSSLKHIRSRKNQLLFESISELQKKEKSLKDQNGVLQKHLVETEKEKSNVLSNIHHREQTNGAANIHRREQMNETTHIHNQEQLNGATTSSPSPTPVAVLDSVATLNI >cds.KYUSt_chr1.23558 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140102495:140103264:1 gene:KYUSg_chr1.23558 transcript:KYUSt_chr1.23558 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPYVLRAQLALSLKGISYEYVEEDLKSKSEQLLTSNPVHKAVPVLIHGGKPVCESLVILQYIDEAFAGIGPSLLPEDPHDRAVARFWASYIDDKLVKASTQASRGKTEEEKEEGKKQAAAAVETLEGALKECSKGKPFFGGDNAGYVDVVLGGLLAWARAGDLMKGVKTFDPATTPLLAAWADSFGALDAVEAVMPEVGKLVEFAMAMHAHAAAAAAAAATN >cds.KYUSt_chr4.26076 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163942896:163946743:-1 gene:KYUSg_chr4.26076 transcript:KYUSt_chr4.26076 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTYNLTPSDNHRCNLTVAGVALAVGAAAAALTGLAGGHLKLPSLVLMSHADDDHGLGPGGSAGLLVQHSSSSQVTVILEILRVNIIIGYSVNDRVLPCSTKVTLSSPSLLEVEDTHACRSI >cds.KYUSt_chr4.39611 pep primary_assembly:MPB_Lper_Kyuss_1697:4:244574713:244579172:-1 gene:KYUSg_chr4.39611 transcript:KYUSt_chr4.39611 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCADWSQAKRARVLACSRRQAHPPPYGTISIAISFPKSLVVLMHAHIVDMILNFGVINMSLMLKHRGPDWSGLYQCEGNFLAQQRLAVVSPLSGDQPLYNEDRTVVVVANGEIYNHKKIRKQFAAKHTFTTGSDCEVIIPLYEEYGENFVNMLDGVFAFVLYDTRNNTYMAARDAIGVNPLYIGWGSDGAVWIASEMKALHDDCPKFELFPPGHLYSSAAGGFRRWYNPEWFAELVPATPYQPLVLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVTKRHLIETEAAKKFGTELHSFVVGLENSPDLKAAREVADFLGTIHHEFHFTVQDGIDAIEEVIYHNETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFIDVAMSMDPEWKLYDTDLGRIEKWVMRKAFDDEEEPYLPKHILYRQKEQFSDGVGYSWIDGLKAFTEQQVTDGMMKNAAEVFPYNTPINKEAYYYRMIFERLYPQESASETVPWGPSIACSTPAAIEWVAQWKASNDPSGRLIASHNSATPAHTDAAAHAKGNGKVQNGNGKVANGNGHINGNGKVTANGKANGALE >cds.KYUSt_chr5.29224 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185166253:185171843:1 gene:KYUSg_chr5.29224 transcript:KYUSt_chr5.29224 gene_biotype:protein_coding transcript_biotype:protein_coding MAIECLVLGAGQEVGKSCVVVTIGGKRIMFDCGMHMGHHDCQRYPDFARILSAAPGATDFTSAISCVVITHFHLDHIGALPYFTEVCGYNGPVYMTYPTKALAPLMLEDYRKVMVDHRGEEEQYSYDDIQRCMKKVIPVDLKQTIQVDRDLVIRAYYAGHVLGAAMIYAKVGDAAMVYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTVRDSKHAREREFLKAVHKCVSGGGKVLIPAFALGRAQELCILLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKIKDSYTVHNPFDFKHVCHFERSFINDPGPCVLFATPGMISGGFSLEVFKRWAPSEKNLVTLPGYCVAGTIGHKLMSGKPTKIDLDKDTHVDVRCQIHQLSFSPHTDSKGIMDLTEFLSPSHVILVHGEKPQMAFLKDRIESELGMPCYYPANNETVSIPTTHYLKINATEKFITSCTAAQARDGLEKANLLCGNHLSGVDGDAKADEGILLMEKSRAPKILCEDELLQLLGTERHSVQFEPLLSSRIEEAQTTIVDDVATCE >cds.KYUSt_chr6.806 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5142518:5143975:-1 gene:KYUSg_chr6.806 transcript:KYUSt_chr6.806 gene_biotype:protein_coding transcript_biotype:protein_coding MKCMMEDLPEPLLGEVVKRITRASDRKSLSLVSKQLYTLEAEHRDAIRVGCGLGPATQALKSLFSRFPNLCKVEINYSGWTSSHGEQLNKHGIHVLSSHCPLLSDLTLSFCSNIGDSGLAYLSCCTKLRSLRFISTPKISWRGLSLVAVGCKLLSALHLVDCMAISIKEWLVYLGIHGSLEELVVKDCKEISQYDLLKFGPGWAKLQKFEFEINGHYWLTAPSDPSYVAGYPYSYDICCDNLKDLRLAHTVTDKEIGLRYLLGKCKALETLCLEYVFGLDENEMIALFQSCGNLKSISLRLMPLHFYYEHDHDFRTALTDDSLKALALSCPMLQVVEFTFTYCSPDYPTEIGFTQNGIVTLIQSCPIRALVLNGANIFYDSGMKGLSISQSLETLELVDCRSITDAGLDFIIQAPCLSNLTLRKCMRVTDKGIAALARSGKLESLTVIGCRQISQERVLGAAKSVHYSAEVESHDSLKGMKTQRK >cds.KYUSt_chr4.10924 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66324798:66326279:1 gene:KYUSg_chr4.10924 transcript:KYUSt_chr4.10924 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYIPKTTFAFCHSLLSSRFRPSAAGPAAPLLPVQALLTTAGLSPRHPDLSLVSLNSLLRILSRGASSPAHPLLALRLLLLMLSPSSPLPSPDHLSFPFALSAAAAAAPITPPPGPQLQALLLKNALFPSDHYVTTALLQLHAPRPADARRVFDELPRREAIHYDLLIGAYARAGMAAEGLALFRAMFADGVAPDAVVLTTAIAACAQSGALECGEWAHRYVERNAPALLADAFVGSALVSMYAKCGCLREAVRVFEGMPERNEFVWGTMVGAFAVHGMAREAVACLERMAGEDGVRPDGVAVLGALSACAHAGKVEDGLGLLKEMRRRYGVAPGHEHYSCTVDMLCRVGRLEEAVELIGRMPMAPLASVWGSLLAGCRMHGNVELAEVAASELEKLGAGADQGVHVQLSNIYLDANRKDDARRVRKLIGSRGLKKVPAYSEVEVDGELSSFVADDQAHPQRFEIWDVLGLLAEQMGPSPEEEEGTTLVTLL >cds.KYUSt_chr7.32137 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200176052:200177134:-1 gene:KYUSg_chr7.32137 transcript:KYUSt_chr7.32137 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGEAAAALLIFFLAAVSGEAGSALPRFAEAPQYRNGEGCPAPSGAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESLFFHFLAAAEPSDDVTALRRALAASFPSLRFQIYPFRADAVTGLISASVRAALEAPLNYARNHLADILPPCVPRAIYLDSDILAADDVRRLWETRLPAAAVVAAPEYCHANFSRYFTPAFWSDPALGARVFAARRRPPCYFNTGVMVIDLRRWRAGNYRRRIERWMEIQKEKRIYELGSLPPFLLVFAGEVEAVDLRWNQHGLGGDNVHGSCRPLHDGPVSLMHWSGKGKPWDRLDAGRPCPLDHTWKSYDLYVPGDGSGAASPASGPAALSASW >cds.KYUSt_chr7.31119 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193760325:193763729:1 gene:KYUSg_chr7.31119 transcript:KYUSt_chr7.31119 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPAQLGSLWSTLEDQRGGGRGDVPLLSSTWSLPGGEGGGKEQEHKGLLGRAGAAVAGAWGAVCDGAAEMWAFARADRRRPVFAAKVGVALALISLLVFLREPREIVSHSIWAVLTVVVVFEFSIGATLSKGFNRALGTLTAGGLALAVAELSKNLGALEEVILIISTFTVGFCTNLIKLHPKMKPYEYGFRVFLLTFVYVMVSGYNTGKFTDTAVSRFVLIAIGAAVSLGINIGIYPIWAGEDLHNLIAKNFAGVAKSLEGCVDGYLKCMEYERIPSKILTYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGPYKMMSYPWKSFTKVGGALRHCSFAVMALHGCILSEIQAPPEYRRLFISEFHRVGREGAKVLRELGDNVKTMNKLRSSDILFEVHLAAEQLQKKIDEKSYLLMNTERWDTSKRAEGIKDAMNGTGKENKNEVAEPTIAEQTSAHHYKSFAASSFLSRYDSQSTIDGYRTLLAWPTRRSFHPNLPLEDEESKTYESASALSLATFASLLIEFVARLQNVVNAFEELSEKANFKDPVEEPAAVSNGDGGILDKICKSLGLKS >cds.KYUSt_chr7.34634 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216208467:216209975:-1 gene:KYUSg_chr7.34634 transcript:KYUSt_chr7.34634 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQGASNGMGGDDIGSAAKAHFVLVPLMYQGHVIPAVDTAMLLATHGALASVVATPYNAARIRPTIDFARRSGLPIRLVELPLDCAAVGLPEGADDVDKIPPALHTNYFDALALLAAPLEQHLRAHPPYPTCIVSDFCHSWTVGVAANLNVPRLSLYSMCAFCLLCQHNVERFNSYDGVADDNEPVVVPGLEKRIEVTRAQAPGFFRAPGFDHLADAIERARVQADGVVMNSFLEMEPEYVAGYEAARNMKVWTIGPVSLYHRYAATLAARGNTTTAIAADECIRWLDGKEPSSVAYVSFGSIVHADPKQVMELGLGLEASGHPFIWVLKNPDHYGEAVREFLGDLEERVAGRGMLIKGWSPQVLILNHAAVGGFVTHCGWNSTLEAIAAGLPVVTWPHFSDQFLNEKLAVEVLGIGVSIGVKEPLVWRMDNKEIVVGREVVEAAVRSIMDGGDEGEGRRRKALALSEKARAAVQEGGSSLANLLDLIKRFEVDAGGCTTE >cds.KYUSt_scaffold_869.1799 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:11472108:11476616:-1 gene:KYUSg_scaffold_869.1799 transcript:KYUSt_scaffold_869.1799 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGATAASAARALRSRLLADPIRHPSGAIAPIASARAACSAPSAAAPAPTVAEAAAAAATVSSVAGSASDVLRHYGGCYWELSKARLSALVVATSGAGYVLGSGSIVDIAGLCYTCTGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRISPVHAAMWATSVGAAGTALLACKANGLAAGLAASNLVLYAFVYTPLKQIHPINTWVGAVVGAIPPLLGWAAAASEVSLNSMILPAALYFWQLPHFMALAYLCREDYLAGGYRMLSFADPTGKRTAWVSLRNCLYMLPLGLFAYNCGLTSEWFSFEASLLTMGLTIGALSFVLDPTRKSARRMFHGSLLYLPALMAGLILHRLPNEQKEQNIHQTSEMAAGVLYDSELRDEERARQKREDQKKHSRVQSRPPVAYASVAPFPFLPVPVYVSTQGHEL >cds.KYUSt_chr1.40457 pep primary_assembly:MPB_Lper_Kyuss_1697:1:247922250:247923161:1 gene:KYUSg_chr1.40457 transcript:KYUSt_chr1.40457 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVKKLQWACTALGDHGDESTLPTLWDSLPSIAVVEGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGTREYAEFLHQPRKRYTDFGNSSNFLLIFKLYDADIRVKCMAKEPSS >cds.KYUSt_chr3.4771 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27096332:27097610:1 gene:KYUSg_chr3.4771 transcript:KYUSt_chr3.4771 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLHLGMLNLFPVLLLISFGFVLGKIGSATFSPESYLPNFRALSPTPASKKACVKLPTFMESGRFTASSGGPMHNMTDEELLWRASMEPRRSTQVSTVPKVAFLFLVQGALPLWPLWEIFFNGQDKELYSIYVHASPGYTDLAPKESVFYGRLIPSQGTKWGDMNLVDAERRLLATALLDLGNARFALFSESCIPLLGFPAVYAHLTGGSNTNVIFVDSYPIKERHDPFFADRNISLAQWRKGSQWFEMDRTTAVEVVAEERWYAVFRGDHGMLNMEEHYLPTLVTLLRWGARCKNRTLTYVDWSTRREHPRSFPEKDITAELLEGMRQGDGKCGYKYHGDDDGASEVEFCHLFARKFSKDALTKLIQLAPELWLV >cds.KYUSt_chr5.11780 pep primary_assembly:MPB_Lper_Kyuss_1697:5:76407221:76408851:-1 gene:KYUSg_chr5.11780 transcript:KYUSt_chr5.11780 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNGGGKKVVAPMEVSVEAGNGRDAEWLDDDGRPRRSGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAAVIYYTSTLLAECYRTGDPATGKRNYTYMDAVRSNLGGGRVVFCGVIQYANLVGVAIGYTIASSISMRAIRRADCFHDNGHADPCKSSSNPYMILFGLVQIVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGISQTISNGAIKGSLTGISVGVGITSMQKVWRSLQAFGDIAFAYSFSNILIEIQDTIRAPPPSEAKVMKNATRLSVSTTTIFYMLCGCMGYAAFGDEAPDNLLTGFGFYEPFWLLDIANVAIVVHLVGAYQVFCQPIFAFVERWAKSRWPDSAFIGREFRVGPFALSAFRLTWRSVFVCFTTVFAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIRQRAVPRGSTQWLCLKMLSAGCLIVSIAAAAGSIANVIEALKVYKPFSG >cds.KYUSt_chr6.32319 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203949861:203950667:-1 gene:KYUSg_chr6.32319 transcript:KYUSt_chr6.32319 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRTASREDARQRIPHGSETFAVQNVVVARRRSLSTQTLPSALCRAAVHGKGFAVNKGVAMNLVTSKDERMLFDIENFYDVVSEELPPDVANLF >cds.KYUSt_chr4.28611 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179762668:179771362:-1 gene:KYUSg_chr4.28611 transcript:KYUSt_chr4.28611 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSRILAARHLLRGSRLQPSTAAAASCLLRRLDGTNEPPVPKPLTSPHLPGLGPNCIPGTGRGAPFGRLNCLLPDSTYPPRSPRDLRGHAFSTSANAATVGKPADGNVRKDTPKKDIDDQIADSQILRSLWKYLMLNDSPDFRFRLVLSLGLLVGAKVINVQVPFLFKLAIDWLAAIGGAEASLASFTDANATLLALFASPAAVLIGYGIARSGVSACTELRNALFSKVTLRAIRSVSRTVFFHLHELDLRYHLSRETGALNRIIDRGSRAISYILTVMVFNIVPTIIEIGMVSGILAYSYGSSFAWITSVSVATYIAFTLAVTQWRTKFRQAMNKADNASSTVAVDSLLNYETVKYFNNEKFEVQKYDKYLKNYEDAALKTQSSLAYLNFGQSIIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKNMFQLLEEKPGIKDEPHAKPLQFKGGCIEFENVHFGYVPERKILDGVSFSVPAGKSVAIVGTSGSGKSTILRLLFRFFDTTSGSIRVDGQDIQGVTLESLRKSLGVVPQDTVLFNDTIKHNIQYGRLSANDEEVYDAARRASIHDTIMNFPDKYDTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLMSLSVDRTSIFIAHRLTTASLCDEIIVLENGTVVEQGPHDFLLSKGGRYAELWSQQNNSEANDAAAVSLEV >cds.KYUSt_chr4.52610 pep primary_assembly:MPB_Lper_Kyuss_1697:4:326367544:326368563:1 gene:KYUSg_chr4.52610 transcript:KYUSt_chr4.52610 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSLPFFLIISILVPSCHSCCTRRQLLHGANSSITHLLDGGGANVTDGLKRYLARFGYVSDHSGDDGDGHLVKLYQSTLGLPVTGRLDNVTLDLLATPRCGVPDLHASARFAFFAGQPRWARAPGHFLLTYAIVSSPPYQSLPLPRKTVRAAFRRAFARWARVIPVRFRETANYNLADVRVGFFAGDHGDGEPFDGPLGVLGHAFSPPSGELHLDAAERWAVGRADLMGGEGAVDLESVATHEIGHVLGLAHSSAPEAVMYPSLKPRTRKVDLTVDDVRGVQALYGSNPLFSLSSLSEPDTSSAAATTRSPGTTTATYTAILLSLVAITLLSATLF >cds.KYUSt_chr3.49481 pep primary_assembly:MPB_Lper_Kyuss_1697:3:309111557:309117047:-1 gene:KYUSg_chr3.49481 transcript:KYUSt_chr3.49481 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPLSPNPSPGLGPCQKNCPRPDCGCRELHSGASMEYRDAHKSVTGETNEKDSCAASGASFSVEGQNPGSEDYNVKLRIKSFTIPELFIEIPENATIGTLKRTVMDVVTSIIEGGLRVGVFLEGKNIQDDSKTLRQARICHGENLDNVDFTLECEAAHNSSPGVRTPEETDFLGADAMKPLAMIKCEEPFSETKASGNNQHSIVEMTMQETPGSSRAIVPVASPNADALAIVPVCKSKRATMGQRRIRRPFSLPEVESLVDAVEQLGTGRWRDVKMLAFDNTDHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQGLLDRVLVAQAYWSQQQQLSGKASGQGSSSC >cds.KYUSt_chr2.54141 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337901143:337919455:-1 gene:KYUSg_chr2.54141 transcript:KYUSt_chr2.54141 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARDLADGGLQRQRDRALVSSLPIPHVSLSSSSLLLATLAMALKSDACICDGQAAHFLLGCGPVSSGIADLTKDLHHQDNSMFQILMVVLGVCNGEVEHIDLYNAQTANNGVVVTDTLTQFPEEVVLLQGSELQHVDAQMALEAATEGVDFDVSMLQRMLKKLVAGSSRSGYKKEKSNQILPLVLQLFGDALLSLGIHVLGNMRQNLYELDFNVVVMRYISYELDFNVVAMRLLPEWCYFFELKHARAIWASAIFCRQGGETTSSTAEAFFDSAVGAWRLLFIKWCVPGELKRNGSSSFLEHALGAAALEGRGLYRVDATMVVAAGGRVRWQWPVGGASTYGFVLVLFLLPFLVVAQLASEASLLHALASLAASLLFDPRQRSWPARGAALELDALPVLFCSTSPFAHRLRRCSAEQLLLDSAPPRGLCFSSTWSSRVSPLS >cds.KYUSt_chr6.10758 pep primary_assembly:MPB_Lper_Kyuss_1697:6:66649720:66651319:1 gene:KYUSg_chr6.10758 transcript:KYUSt_chr6.10758 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRAPGPRKDEVVTREYTVNLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEVPQEGLKGLGTKVVEDED >cds.KYUSt_scaffold_869.332 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:2104512:2111217:1 gene:KYUSg_scaffold_869.332 transcript:KYUSt_scaffold_869.332 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAADTTDASTAGLALAEANINWERLDKTKFHVIGAVLFTAQQGVLHPTAVVKTRMQVAQGGLSQMSGFAVFRKILRSDGVPGVFRGFGTTAVGALPGRVLALTSLEMSKEMAFKYSEHFDMSEASRIAVANGVAGLVSSIFSSAYFVPLDVICQRLMVQGLPGMQTYRGPFDVINKVARTEGIRGLYRGFGITMLTQSPASALWWSSYGGAQHAIWRSLGYGNDTQKKPSQTKLVVVQATAGTFAGACSSIITTPIDTIKTRLQVMDNYGSGRPSVVKTTRLLLREDGWRGLYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKPEQ >cds.KYUSt_chr5.42922 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270475415:270477087:-1 gene:KYUSg_chr5.42922 transcript:KYUSt_chr5.42922 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPHHMGAGAVALVMAAATSSPEVDGLVGIWGRGSWDPTKISGGEDPVDDEFRHWPTNVDPDVAFYLEIAAVVAVALIIVAIASRGVCEGAEDISAGTTGRAAVHATDVESALGDITLMTYEQAATGKVKEEERCAMCLSEYGEDGELVRVVPACGHFFHARCDVDRWLRKSRTCPLCRGGLWPECPPMPPRQAIPLV >cds.KYUSt_chr6.11870 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73821653:73822258:1 gene:KYUSg_chr6.11870 transcript:KYUSt_chr6.11870 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAALRTAGLQGYRRSASTAAAHADGGAGAARTKAKHVGDYVPIYVALGMIGLSVTLGLHTARQQLAHAPNVRLDKRKRETVPEVADPDLVIDDVERFVGSSVFRKVAHVQDDRSLAAGVADPVADYPVRKAVTLKDVGVAPPGIPGQGREGVLERIFKKNTT >cds.KYUSt_chr2.7623 pep primary_assembly:MPB_Lper_Kyuss_1697:2:47808365:47809176:-1 gene:KYUSg_chr2.7623 transcript:KYUSt_chr2.7623 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGTANCIDIILAIILPPLGVFFKFACGIEFWICLLLTFFGYLPGIIYAVWVITSLLLFSHLLHSSGAIIIQFILVSPSL >cds.KYUSt_chr1.30303 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183439224:183446667:-1 gene:KYUSg_chr1.30303 transcript:KYUSt_chr1.30303 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAGDREQQQQPAGGGGAGPMEDGGGREESAVKLFVGQVPKLMTEAELAAMFRDVALVDEVTVIRDKATKVSRGCCFLICPSREEADKAVNAYHNKRTLPGAPSPLQVKYADGELERLEHKLFVGMLPKNVTDAEMTDLFSQYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAVAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQFQPSNLSNANAMQQSSLFGALQMGYVPPYNGFGYQPQGTYGLMQYPLSPMQNQAAFQNMAQPGNQGNPMRGVNSELSPNSVPRPYNSMQLGSPYPAAPGMQYPGSYPGGARPYMNSHNNSIKVPNANATSPTSSSTSSNPGPQIEGPPGANLFIYHIPQEFGDQDLANAFQTFGRVLSAKVFVDKATGASKCFGFVSYDSPASAQTAISMMNGFQLGGKKLKMAVEIYTWTVPQENVQVQEMKMEPKASLFHDYGDGYGGGGDGGGGGGDGGGGGDGGDLDESWVLD >cds.KYUSt_chr7.13795 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85170731:85171351:1 gene:KYUSg_chr7.13795 transcript:KYUSt_chr7.13795 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWTRAPPLTVGSRRQRRRSVKLAGEVVHAVAWKSYRWIWIRSCNTDTSPMKSHRLFVDHRDLPVSSESPTSSASVQLRSGTKLSCNVAADRGPACVYEETKPSLLRSPTSSS >cds.KYUSt_chr4.47109 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291485738:291488966:1 gene:KYUSg_chr4.47109 transcript:KYUSt_chr4.47109 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSPTPSLSPTPSPTAGPRGRADRWRGGRGSDSEDTPRSFRDVLASGGGAGQTEAPESSRARREVRSLICRGEESTAIDELDDSEDQIDDEEEAPWEEPAHVTRKRARGRRGGKRVAARAARPVRDAGAYADFDGLCPLCTQPGHRATDCTTGPVCLRCGEVGHMARECSLPRPPRPASPPGGEEPARKRLNDDGRGRRMGEGAVAVRARAPENRQAAVVRHREVAPVLRDDGGERRVVRRVEATGRPGAGPRRGSPCHPVRTKWLPLGAGMGWTPELPARWLRFRWLLSGCALRRWGGELARRPARSVCVLPRTPEMDGAEEALAKALLAVIVGVRRAVTTEEVAMALEDVHGLAPGSFSVHCHRPEDFLVYFAAREDRDRVLGDGVLASPYFRLLLRPWSRRTHAASGGLCVHTEVEVEGVPANAWSLATAEAILAPAAWVERLHPLTRSRADMGIMRFTAWCLDPAAIPREVDLHVVEPDEPPSLSDMAAPSQAIVPPHVNTLVYPLLVHVTTTVDFRRPTTGGAAGGGGDGGRTNAWPTRRQYHYTRGMPDVLPGSGGGGAAPSSSQAGAGGGGGTTRTLSSGAVVGDLDQAATRHKVKRKRYRGGRKIRALRAKATAAVMGADITDQDEAADSASPSDAAGCEPLVAGAAVKSGGALAGSACGDTLVEAPVQALHMGAGLRVGSVVILPDVAVDACQGPQQRKVAREDGATRCVVEETSTSMPPGDVHVHVQPVAMLGPLLGSHVGPSPPAEGIRLQPSGQADGLSAESQLLSKARENLDVVSTPPIPTPNTPLVTDVATPSAAPCAAQGADATLVPEVAHPSPVTLVTSPIADSAEAHVAVGDESDGEQVDAEMETPPTRATHVASPAPSPPVMTPTVCRFATPPVVFSRARQPPAPRQQVSVARPRTLGEFLTAAKSRSDALLQTPAVRRRLAELNFQPRRSSRIAGQPGGLNAEMKAVRNLMRKLGLLKGDEAPSAAALEAYHKMYELPLTDDMIEAIAEFYGWSLSTIRGCSPPMLGMTGGRLIEA >cds.KYUSt_chr5.20219 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131401796:131402443:1 gene:KYUSg_chr5.20219 transcript:KYUSt_chr5.20219 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRTPHVQIGRRQRAAMAGLAAQLAAAFAVAALAAVASASSPTSAATGSSASSSSYYAALENRLPAGGGMELVCRALGGLFVTEWSVVPRGRVPRDGKRIVELLVDAERYAWVSCSWAYEGNYLGAIRLLDSRWPEAKRCQDVAAGGGMCRLVFEDDAVRLETPGGGPVRVIGDLPVKRCRRHWLLFSTECTYPDHPHPYAGRLLGNAFEFFGV >cds.KYUSt_chr2.26770 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163902547:163904262:1 gene:KYUSg_chr2.26770 transcript:KYUSt_chr2.26770 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRAALRRLFSTESSGTPKLRNLPYRLRRAAVPAARTAVSDYLISTRYLPSSHADSIAGLAPRSLLDFLAGIPAVPTTLPSSDLPSLLRRHLSYHPLNELPFFLESIGLPPSVDSDLMFLNDHPSLLQAVAVLAHFGFPWSRLGLLFPNVLLQVPPDLISARLVALEECLRPLLRAAIIAACLAFPSLIENDLSSSAPLVDDLRRAYGGLDPDLGASNDIDMFLRVCRRMQMFYDAGVKIGSIGGLVGCNQRVFLELKEELIGERLKFFKSLGFAGEEAGRFLLSNPGVFDIDFDHVVISVPEYLRRVGLVEDEVDAAVKLHPFVVGRNRLKNLPGVVRAMGLSHRFLEKISGGGESLRYLSPDFLAEDASYDLEVERAFLDRMVKVKAEKNVQLVDAKLEFLKSIGYGENKIATHVIPVLHSTQEMLQERFDYLLERGVEYTMLCRIVSVFPKVLNQGKEMLNEKLNYMTLELGYSLEYLDCFPALLCFDLENRVKPRYAMLRWLQEYGLLKRPLAPATVLANSEKKFISNLYNVHPAVPKLWLECFSSRIHMECYLKNIQHQHPDNE >cds.KYUSt_chr3.33021 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207357150:207357530:-1 gene:KYUSg_chr3.33021 transcript:KYUSt_chr3.33021 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSRSPEFLGWFRCGLGLILPSVIFWSQEVEQILGISVNKAVSWSFVVLVVSHAAGQSSSTFSVKLPWRKMKDEVAGTGSSNKCGYLQSCDFGAELFVLACLGGEGDEENNLKCTGSGWWRGIF >cds.KYUSt_chr4.7047 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41716819:41717178:1 gene:KYUSg_chr4.7047 transcript:KYUSt_chr4.7047 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSAVADAVVVLFSLTIVVAAPLIDGQSLLPHTLYPAPLRDLKRWYAAEFGDYLMAQPPAFLRGLFWLELAFLWPLAVATLYGVLARRRWAATTSLMAGVTTLTSMVTQSSALFSVI >cds.KYUSt_chr5.32632 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206970373:206971514:-1 gene:KYUSg_chr5.32632 transcript:KYUSt_chr5.32632 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQQHGGGEARTRLRWTRQLHQQFVGAVSELGGAERATPKSVLRAMGVQGLTLYHLKSHLQKYRLAVSRNLGNSGGSLNERSSSSESQPNQCHDDSTTASYTFACADGEAHDDDDAKGALCDPSMQMQMQREVQRKLFEQIEVQRHLQLRMEAHGRYLQSVLRRAQQVLADNCLASSPDAAKPEFSELVSAADTECLSSSSLQRRHRSVDSSCVTSSSSDAESKAAGSKRLYTSMNCHHHRDYTVEQPVQDKRTFPFLQRMQAEQEAEAAAEPEEADDGSSSEIDLNM >cds.KYUSt_chr4.37285 pep primary_assembly:MPB_Lper_Kyuss_1697:4:229551781:229559075:1 gene:KYUSg_chr4.37285 transcript:KYUSt_chr4.37285 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISHLRRGAQRHALLHLSRRHFSNSPLTAAAPLAAAAARRLLSTTVESATSAAAGSYKPPPLDPFRAALAPSSPPLEAPPLDELPTAPSHSEAAPEQDPVDLQHEELDGLKAGVQAVRSREESPQEKEAWWLLNRAVVNYCGSAVGTVAANDPSTANHMLNYDQVFIRDFVPSAIAFLLRGESDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSVPLDGNNEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMVNIDDGSKNLIRVINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIVSSLATPKQAEGILNLIETKWDDIVANMPLKICYPALEYEEWRIITGCDPKNTPWSYHNGGSWPTLLWQMGRPDLARRAVEAVEKKLSDDKWPEYYDTRNGRTQSCVALSFNLHREGTDATTLLI >cds.KYUSt_chr2.7061 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44194071:44196776:-1 gene:KYUSg_chr2.7061 transcript:KYUSt_chr2.7061 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIQRAVKVPPNSASLEEARHRVFDFFRQACRSIPSVMEIYNLDDVVTPAQLRSSISQQIRRNKGVSDPKVIDMLLFNGMEELNNITEHAKQRHHIIGQYVVGHKGLVQDLDSKDQGSSEFLKKFYTSNY >cds.KYUSt_chr1.5457 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33666426:33668097:-1 gene:KYUSg_chr1.5457 transcript:KYUSt_chr1.5457 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKKPATAATTSTRRKAAGAAAPAPKRSASGGAGGGRPRKRAPDLPSHGDLISNLPDAILGTIISLLPTKDGARTQAIARRWRPLWRSAPLNLVADYYLCASRFKRLPLVSKILSDHHGPALRFRFPFIRLHKAKKRYAEDAAQVESWFRSRALANLQVLHISFEQDYTHASEKRFPLPPSVLLCASTVVLVGISFCDFPKEIPPWVSFPLLKELYLRCVSISEDVFHGVLSSCPVLESLYLQSTGDVGCFRISSPTLRSLGLCKCFSIQGELIIEDAPCLERLILPQPGEGGDTIRVIRAPKLQILGRLSGRISEIEIANLVFKSLTPASFDNTLSSVKILALEFSSPDLDAVIDVLRCFPCLEKLYVMYNRLDPVKCLETHLKELVFDVYEGDEQAVHFAKFFILNAKVLKQIKFGVSEKIGKECMADQYKLLEVGNMASPDAQLEFIHSGDNSLGAHELQIP >cds.KYUSt_chr7.37922 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236489371:236490373:-1 gene:KYUSg_chr7.37922 transcript:KYUSt_chr7.37922 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGGRGHSRRGWSDLSGDILNIVYSCYCSSRYDHVRLAAVCTSWRTVASWHPKIPALPLLLPSTGVVKHDWRARAYILEDGRALRRPLRGFPWGKRIVGSHDGGWVAAMTGTRLFVMNVFSAKRTPLGRSSFQRNLPQAVAFCNVTLYGLTQGGLYRFVIGKNEKGAPLITLVRHIDIEMGILTECWEMSRDKYIFELHGKLAIAMKFLPSIYCCNHHYFRVFQFDGIKYKSIEVTRLGDHGLFLGPTCSKAVHMPVTRRHGGRVESNHIYYSEQQLCPRHEIKCLERMDLVSYTVYYGKNTTT >cds.KYUSt_chr6.23054 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145681096:145682970:1 gene:KYUSg_chr6.23054 transcript:KYUSt_chr6.23054 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAATVAPWKRLGELVSSGRHQEALLFYSGAHASNLRPNAFTFPCLLKSCAALQDTSAALQVHGHLAKSGFSACPYTATALTSAYARLLRLRDARVVFDGMRERTVPCFNALIAGLSQCGKAGEARDVFGLLGKEGMLPNSVTVASVLPACRAVEQGKQLHGLAVKAGHCLDHYVATALITMYLDCGDSGAARRILELTVGKGVESYNAMASGLLRNGEHFTALDIVRGMIRGSSGKPNETTLLVVLSVSTSVMAPSLGKEAHCYVLKRAMDCNVKIRTALIDMYSKCGSLECAYQVFSTMDERSLVTWNAMISGFLIHDKLADAVRLFEQLRLKGFRPDRITWNLVINGLAHHQMFAEVFSFFSKMRLEGVSGVNLETMTSMLSACSAMSDIQHGKQIYCQVIRTMQDFEDDVFQTTVIDMFMSCGCDRYAGRVFEKRGRKLNDPALWNAMISGYGRCGKNSLALKTFSEMLQQQVQPNSATFLCALSACSHSGLFQKALHIYQVMENTYSINPTVEHLSVMVDLFCRAGKLSEAYSLLLKHNDPPASMWYSFLGACRKYSNAELGEIAATKLYDLDPSCTTPWVILSNIYAEQYRWNDVETLRKSMSDKHLVKAPACSELV >cds.KYUSt_chr2.44143 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274526148:274527711:1 gene:KYUSg_chr2.44143 transcript:KYUSt_chr2.44143 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRTEDYKDSVRVAALSHGYTESQLAALMSSFIIRKPSPRSPFTNAAVKTLESIRELEKFIVKHRKDYVDMHRTTEQERDTIEHEVGVFVKACKEQIDILKNRIHEDERNKRAKTWLGTSDESSRLDLIAHQHGVV >cds.KYUSt_chr3.24359 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151160110:151165254:1 gene:KYUSg_chr3.24359 transcript:KYUSt_chr3.24359 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRCCQQLAQIPKQLRSPAIHSIVNAIIVRQQQQQPFQPQPQHVGQGFIQPQQLAQFQAMRMKSEVSDLKQQLRQLAGTRAADGDDQRHDVFKRVISFITAGIDVSVEFREMVLCFATSNIVLNMCYLYDPTILGLALRSLCSLRIPNLIALLTTGLKDPSAYV >cds.KYUSt_chr1.9308 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56867300:56868259:-1 gene:KYUSg_chr1.9308 transcript:KYUSt_chr1.9308 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTQTPCCESAMGHVSTSANFHGQESFVAKSADPDKRRHQRREKYSLMTDEQREEYLRNNRKYKHCRRESGTLFDHNDTIHVKNSSASSPRTNAAMHAQSSEPDPGETNITDESDPPGIFEPVEQDARFEENTETMQEEETVLDDDEECRIFTGLGDVFDSYRLTTDVPQSEQNDDPYDFVYHNLPKKHHVLKTDTGSHPLWSNEATI >cds.KYUSt_chr3.8725 pep primary_assembly:MPB_Lper_Kyuss_1697:3:50729001:50739931:-1 gene:KYUSg_chr3.8725 transcript:KYUSt_chr3.8725 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSDPPSDLVCFGLNLGCFYGYDCGCASPSLSPRLQDIMLVDMVVALDLVGTAPVLFKKSLNSIASHSPWDCEGTKKPRLAPQMVEVPRSTFNFDFEYERMILAKAEKENPNWGKFVAERQTPPTQQQPQPPGGPRHTTSTTSMAASVDPVVEKYISMGLGRQAVSFAVLNYGDNPTKACSFPLVHLVKEFVKSYNALHEMGFTSPKVPELLAIHDNDLYKVLRDMLRKSNWYSCKVLRARVGDGRADGPKEALQERARRTAEARGEWLEMVVHNWLELEISFFSVFHPQEEKRKELGDWRPPRIGDLGLPAHLCLAFFAINCTFCALCKVLAILIMLPVMGHVLTDYAYGETYRMLLPYQYQRNKRVSPISLTSIKDVEEESHLTPCWSPEEMASPINFNRFLEKEKLKSNGSNFTDRTETDEVKAVYETRKTRYSQVQCAILCSLESDLQKRFEHHDPHELMNELKAIFETHAAVECYEASKQFFSCMMEEGSSVSEHMLAMTGHAKKLGDLGIVIPNGSGINRVLQSPPPSYKNFVINYNMQNMNKELPELFGMLKAAEIEIKKEHQVLMVNKTTRLQETGQV >cds.KYUSt_chr4.7284 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43132608:43134008:1 gene:KYUSg_chr4.7284 transcript:KYUSt_chr4.7284 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARGSTAAAAGAVALELYLGLPSPSPSPRTPPAAGVPHSAAQPKSGGTGPIVFGLDLARFSGSSPAGACGGADERGSDRVKSERPAGADAPPPPPQFDAVGLGVPSAPRSQDSEPNSKRVKIEQPAAGSAIAVRRFTQLLDLEVLADLGMQSTPRNQDTEPGMERAPGSTAAAAIDLDLHLRLPSAPPRAPAAADRVKAEGPTSGGPDGLLLLLAVMDLGMTSPPRNQDSEPPSNCVKAEQPSTTSPRPVKPLLAIQFPRAARRARRSRRRRVINPHPPVNNRALDAARDTVPAWVRAEVLPRHGLPGDLLLHYVGEKVLQPSDMDCRQARFLFPSDASRRFREFLSADEIAACGFDCTDRKARRGENGKRAKATTYAGVPVSVYVSGGARCGMSELKLNKFHRSDGTVINGRGYRKFIGRCGLVVEDGVGVWAFRRPPHRLCVLIAKRDDVCPPLDCNGRPHF >cds.KYUSt_chr2.19117 pep primary_assembly:MPB_Lper_Kyuss_1697:2:120272541:120274962:1 gene:KYUSg_chr2.19117 transcript:KYUSt_chr2.19117 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGKVYATIVLIRLIYAGMHILTKAAFEEGMSTTVFVFYRHAVAAIFLAPFAFFLEIRKRPTPPLTVRLSFKIFVHAFYGMAGTINLYSIGLNYASATSSSAIFNIVPVVAFILAVMFRMETLKLKTVHGMAKASGILLCVGGVVALALYQGPQLKSFNHHPLLHSTSKAVHAHPEKNWALGIFLMTASVVIWSLWTVKQGPLLLEYPSKLLNTTLQCTFASVQSFVIAIVMERDLARWKLAGGMSLVAVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMVIASFLLGEDVSLGSIIGGALLVAGLYAVLWGKGREDRAVASPLDGTLPQLEEHKSRAAETKESETSDATAKV >cds.KYUSt_chr2.42332 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263653243:263653989:1 gene:KYUSg_chr2.42332 transcript:KYUSt_chr2.42332 gene_biotype:protein_coding transcript_biotype:protein_coding MREGAGLHPGMPVTARSVAKLLPAAPSDPPRPIDAMSCLAPHPASFLPNTSSQAYYTDADIARALNSSMPDHYSPATASTSSPSSSSSLLADLPTPTAQTGCVASDAAPRPPSTPAGATTTSNKRRLGLERHFVEHPKGGVDAAADRGLASPAWASAAGSLRWGRGRLQHRRGRERGRVATRHRRCRRRTRAAAGRASGGRKKEGEERWTNLRSAADGDGAAADGGAAWLGRRRGVIADGGAASLCGV >cds.KYUSt_chr6.28653 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181544373:181559553:-1 gene:KYUSg_chr6.28653 transcript:KYUSt_chr6.28653 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASGSRRIAGTEVPITGSDKVRWIDLTVPSSPAAAPASPADPFVSVPPRAASGCHVVSSGGESQRYLAWRIHEEHQNVLEVIELCASKEFPSSGLRLVFQEALCPFAFMCESEGARRGESVYLLYVLTISGVALLCNLRSPFSYVSGSILSQNDVLEFSLQTHTQSANVTAVKAKPGCLVIGRQDGSICCYSLGKLAPSSSGFSNELRDDAGIGRLWTLVSRTKALGPVQDIDTATVNERELLFALHLDGSLRVWDISSHTKLLNYNVHPNDFEGQPSRVWVGEADDDQELISLAVLHQGTMVPACDRVVVYGFSFGAGERFLLSPEPLVSAIPLLEGKLVDLKISTEKLWILKEVGPLLYEIVQYDSDGEEICSYVLQEDAISEQMFQSSESALDDLVWTADSIFSSMKEHSFSFISSMFLRRLLQPGVNHCSALRETLLEYKRFLSDSEFQSLTTSGLRKEILSIIEHEGSSQSASSTAYHWKKFSARYLHKWCANNRPYGLFLDMNREVFGLVRKGSFSLFRCLEGVEQLIYGSSDELGNLEGLEMNLFDDVSDTETLIEVLRCMGHINHLLGRSSAAIYYESLISSVVSPDEIASQILKILESGFSPQSSSPLITLLGTDAYVERRQMAHKSQRKFSVEMLLSFHKLQSRSTSWSAVFDVIEKFMKCLNTKVTIQEHELKRLCNVNSTILVQATSQVARTMFESAFDLFLFLSYLVGVGGQVSLLQSDVSRIKLKLFPMIQDILGQWIVLHFVGISPTTPPAMEDFSYQLSYLQLGIADEVSLHRKLGSSDFTLACLLDFPKSAEGDHLSACFPSTAEVIDLVRQFISSIMCRNNFDHANSFLGSTINLAAVFVRHGQYEAAQNLLGILDTYLNYARASQTDQDTDIACSARLHLNGFCLLMLAHDEANIVLRESRVHEAIRCFFRAASGQEAPKALKKFSSETGFQISGECESISLWRLHYYEWAMQKFEQNSMSEGACQFALAALEQVDSIVDLDNGSEVEGLPETAQMIKGRLWANVFKYSLDLKNFRDAYCAIVSNPDDDSKYVCLRRFIIVLCELGETKVICNGEIPFTGLLEKVEQELFWKAERSDVSSRPNLYKVLYSFEAYRNNWRKAAAYMYRYFVRLSREGDAGGSCQLSHVLQEKLHALSTAINSLQLVEPSCAWLDSVCEADDQTSPSKKPRNNLLMENSAFGTDSELSRLQFCVDIEILEKEYTLTEALYMLNTVNSRSKSHESHSIEALTDILINENLYDLAFTVVLKFWKESGMKRELERVFAAIAQQCCPNRVGNSGRNFTDPQQLLLLPSSEDDARDGGSKTIAVAHQVQGSCQWETLELYLDKYKDLHPRLPVIVAETLLYADPEIELPLWLVQMFKTTKGGNRMISWGMCGKEADPAALFRLYTNYGRHAEAANLLVEYLDSFASSRPVDVLHRKKMSAAWFPYTTIERFWCQLEEMQIAGHSADQCDRLKKLLHGALMKHLQQVVVDSEDVLSSVGGGQGVESQSN >cds.KYUSt_chr5.26498 pep primary_assembly:MPB_Lper_Kyuss_1697:5:167740139:167740441:-1 gene:KYUSg_chr5.26498 transcript:KYUSt_chr5.26498 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPRPPARSASPSLASAPVLALAPPDSAAICQTASERELREKEHATDQALLPQMSQRGAVLVGVVAVGVLSGLCVEGEEQRQGKERCPPIVWFFCRLFR >cds.KYUSt_chr1.15968 pep primary_assembly:MPB_Lper_Kyuss_1697:1:92810848:92812879:-1 gene:KYUSg_chr1.15968 transcript:KYUSt_chr1.15968 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAATARVLPKAVTFVTGNAKKLEEVRAILGSSIPFQSLKLDRMILIDFSSIPSTSPPFMYYKLSVPELQGEPEDISKEKARMAASQVNGPVLVEDTCLCFNALKGLPGPYM >cds.KYUSt_chr5.27190 pep primary_assembly:MPB_Lper_Kyuss_1697:5:172031600:172032746:-1 gene:KYUSg_chr5.27190 transcript:KYUSt_chr5.27190 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLQSLQVAGLTSKSMGLRERRDAVRLSSDVAMALARGSTAPWASALVTRHAAERRHEALMRRILSTAGYESSLRAAATASCRKEIRSRKIVRRSHGVCSSRRKRRSSLVAASGSNGATVARRMVKRRLQLLRKLVPGGEELHGFSLLSEALDYVMCLKTQVELMQRLCKGSIPAASSIRAEAAPNQNADI >cds.KYUSt_chr2.28063 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172139287:172141026:-1 gene:KYUSg_chr2.28063 transcript:KYUSt_chr2.28063 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSILLPAAAVLYPPPASAFVTAMSVVSFASLASAGLSELRGEHMAYSKFWHVMSGQQKPSAGASGALLPGRHGMLVAYMPALVAAAASFAVPGAVEGLRAQILAAALAVHFLKRVLEVLFIHRYSGNMPLNTALTISSSYLLSTITMIYAQHLAVGLPDPSTDLLYPGMILFAIGIAGNFYHHYLLSQLRKGGDDDKGYKIPKGGLFELVTCPHYLFEITGFFGFAMISQTVYALAMASGTAGYLIGRSFATRRWYESKFEEFPAWIKALVPYIL >cds.KYUSt_chr6.21519 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135820949:135821810:1 gene:KYUSg_chr6.21519 transcript:KYUSt_chr6.21519 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVLRIRDRMQYPRGMEREDFSAPKSQKRKADYRSLPSGQIKTEVELLRREVPLPSPNIPKPPKRSFKNEARPPTPQSDRDSQPDSGPADEYRALRKKYLLLEEENYALDEQLGMAEEEAKTLEDEKFALLDQLVVLEGLMEPPQLKPQRSFS >cds.KYUSt_chr3.25007 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155193452:155195181:1 gene:KYUSg_chr3.25007 transcript:KYUSt_chr3.25007 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMERSSSDMRAPRKPNPAPQRTKSLIGPSRPPGGPPPPGPPPRLPGGPASPPPSVGGPSKVVGSGGDRMRRAPEIVELYQSLTGRGEVKQTGSRGPRAASGGSTAPKGDLMGEMNRNSSHFLAKGMIVIRPALQVQADVETQGDFVRTLAAEVREATFATIEDVVAFVAWLDQELSFLVDEQAVLKHFQWPEKRADALRDAAARHQWLVQLDKQISSFVDDRALQHDAALGKMYSLFEKTEKSVFKFVLERDTSDVKINLTSRYKEQDIPVGWMSDTGLIVKVKLACVNLARQYMLRVVLEIDSLSGTSKNPTKETALAKLLKEQNRQVLLHQGVRFAFRVHQVL >cds.KYUSt_contig_528.294 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1800074:1803964:-1 gene:KYUSg_contig_528.294 transcript:KYUSt_contig_528.294 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHYQATTLIASPSYPNAITWSSENLVAVASGHIVTILNPAALDGPRGLVVLGPSNPFPIGAVNREDLLEPCLVPTCLSRDTEPCARSVSWSQQGFAPNSGCLLAVCSGDGRVNLYRPPIFEFGDNWVKVADISQLLFNYYQSINFREDDGPISFPLEAANNEQAPQEKLNSEHGHDTGYAGELQEPLSCKVLGRRKRKPTRFEGYVYDEDEDGLGASKDADFSPNPCSRLSKGFMKKIVKPVHEMAVVIGEGGLQNTKEALSCNGENKLVPLITAKQYARRNAHLFSLVVAWSPVSPSHDTTHWCILAVGSKSGDVSFWKIHKPEHYTIDVGTVNRDPIFVGVLQAHISGVCAMSWEVTCASSSKSSLLLATGCLDGSVKIWSGDMKGLNQCTDVKEVPFSLLAEVTTNSSAPVSSISLSAPAQPRDEVNLAIGRVSGSLETWKLDLCNNKIENSSACHAHDRV >cds.KYUSt_chr3.15097 pep primary_assembly:MPB_Lper_Kyuss_1697:3:92153368:92157031:-1 gene:KYUSg_chr3.15097 transcript:KYUSt_chr3.15097 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGTLSAGGASAGVAGLLRLRRGPAVASPLPAAARAGAVHDGGQLVWGRQLRPNLILPAGLLPSQPSKRLPLRPPAASSSDPAPAGDTKSVGLLEKYPAITTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLLVGVVYCLISWAVGLPKRAPINSTLLKLLFPVALCHALGHVTSNVSFATVAVSFAHTIKALEPFFNAAATQFVLGQTVPLSLWLSLAPVVLGVSMASLTELSFNWKGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPAVIIEGPQLMQYGLNDAIAKVGMTKFVSDLFLVGLFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIIIFGNKITTQTGIGTVVAIAGVATYSYIKAKIEEEKRAKSA >cds.KYUSt_chr6.26692 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169212580:169215480:-1 gene:KYUSg_chr6.26692 transcript:KYUSt_chr6.26692 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPTMPHGLPPGTLSSGMDLLLNFLYSYLPEPPVRTTASLCCAAAGDSADRVSRLPDDLLRRVVSLLPAKDGARTTALSSRWRHLWRSTPLVLVDTHLLPGGDGERRPAHVGAASRAVTSAVSAVLESHPGPFPFASLTCSFMVHADDRLLARWFLLLAAKGVHELVFVNRPFPIPGLRLPPAIFSCASLRRLCIGAWVLPDTTALPRSAAFPNLRQLFLSCVVMEANDLDFVLAVSPALEILTVTGSLTPLRARLTSHSLRSVQFCLSIMNEIAVVDAPRLERLFLWKNWNERHGLSDVKTTVKIDHVSKLRVLGYLEPGVHLLQIGNTIIEAGSKASTHNTVPSVQMLAVQLQFAFCSEVEMLPIFLRCFPNVETLVVESRAHRETLSNLNLKIWQRANPIECVQSHLRTLAFHELQGRHNEFDFLKFIAENARKLERMFIVMKSELTYAERQVVFAGVGALYSAHWASRDCTVQYTISSLPVGSSTWNFPAGSELSLDDPFEAFRED >cds.KYUSt_chr6.955 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6044111:6053303:-1 gene:KYUSg_chr6.955 transcript:KYUSt_chr6.955 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTHVDLSLLADEPPGLLPLQPPPNSPRLATAVLVLRPRLASASRGAGRDVEQEQRWKQGDEQGPARPARAPAGAAGQPAAFLRPPRPPPLPRVQAPPLQAGPPRRRQFVSPAPAPCSASFVVRLFFARTHMRPGHAVLSMYRYPAGCSYGMSTELVVFVHWRLSPAQSPGKETVERRLGRGRKPAEARLRCGDCGVGDSALRAHVPLCMAPPTRTSVPILEAPLPRDAAEGGEAGLLWPWPWQVGDSCLRLLCLLQRNEPGWMVWLLDEEYDRDHRAFHMTERRTDLHPLKIRYHGIVDMAYDERYTEFIQPTGPLPFITLVSRGGEHECRGTHRLVDRWRPETHTDVYFPLLFL >cds.KYUSt_chr5.17124 pep primary_assembly:MPB_Lper_Kyuss_1697:5:110438517:110439111:-1 gene:KYUSg_chr5.17124 transcript:KYUSt_chr5.17124 gene_biotype:protein_coding transcript_biotype:protein_coding MCRERSPVLPPPALPSQEQPQEEKEEASAGGMVSQRALKRGEVRRFIQLSISITLQYASRKALAENRKRIGGRFAQARDEKSCLPEKIDQTPSSSSGSAVPEWWPAMEVAMAREEEEDSFANLLQLDWEMFSSYLGFNLYSTTDPSIHPST >cds.KYUSt_chr3.46300 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291325758:291325982:-1 gene:KYUSg_chr3.46300 transcript:KYUSt_chr3.46300 gene_biotype:protein_coding transcript_biotype:protein_coding MNARHRHDTRHGLMAGGNCLYPRPPLAEACPAELTLAAPSRSSPRRPVAELVGVSTSPWSPAPPLEDISCAGLG >cds.KYUSt_chr6.20405 pep primary_assembly:MPB_Lper_Kyuss_1697:6:128512514:128515402:-1 gene:KYUSg_chr6.20405 transcript:KYUSt_chr6.20405 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTRPTHLLLLLLLSLLSSVPRRFTRAALGMGPRPVLALLLAAALLAAAAAAGTADAAKAGAAKGKYRALFNFGDSLADAGNLLATSPPGFLTTAKLPYGQTYFGKPTGRCSDGRLVIDHLGQFHRFAFLFLFLFACLLLLVPPLPPEIRSSPLGPPPAAEEFGFPLLPPSKLNRSDLTHGANFAITGATALDTPYFEARGLGAVVWNSGALMTQIQWFRDLKPFFCNSTKEECKEFYANSLFVVGEFGGNDYNAPLFAGKGLTEAYKFMPDVIQGISDGVEALIAEGAVDLIVPGVMPTGCFPVYLNMLDMPAHEYGARSGCIRTYNTFSWVHNAHLKRALEKLRPKYPNARIIYGDYYTPVVQFMLQPEKFGFAKQLPRACCGAPGSVAKAAYNFNVTAKCGESGATACADPSTHWSWDGIHLTEAAYGHIARGWLYGPFADQPIVQSS >cds.KYUSt_contig_988.507 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2946118:2946597:1 gene:KYUSg_contig_988.507 transcript:KYUSt_contig_988.507 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFTEEELVEPQVQKSPPAESAGNAAETTRDTVKRKKKILVQEPGWYVKHVLSYKPVELKEPEVPEAIIKHDPVLAANMYIMMANSALYDEYTKEDMLEKQRNFRHQLKTQGRVTREIEVDEDDDRFECAAARTGRRRHRPGVMNKQDGQTRKLN >cds.KYUSt_chr1.3926 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23950942:23954442:1 gene:KYUSg_chr1.3926 transcript:KYUSt_chr1.3926 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTCSLGKWKYLNHLKYNTTGREKDYVPSVGQWNMMNKDFALEPILLTIYVCPDQVERALKARFHDVVTVLGPHYKELKLLIGIFPDNNGPLYVCLTKQLFKMNKQILANLALKVNAKVVGRNTLLADVVSRCIPLVTDMPMIIFGTDVTHPHPGEDSSPSIAAVVASQNSREVTKYAGLVHAQAHRQILMEYLCNVTHDPTFCRLREDFSYPSKDQLEKRYIGLRATGGELPASDELVIVSG >cds.KYUSt_chr3.39210 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247039678:247046621:1 gene:KYUSg_chr3.39210 transcript:KYUSt_chr3.39210 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWFIPAYFKKTQSSKLGDAQGIPFFIDNLSGLLERDISDLYLPEFDKPWVIHLRETCCSSTNLFSWRHNTVYRNRSVRGHHTSAAAPVQAPIVASNVAAGASAAGASAAAPVQAPVAWDPYEPVPYVAPENPYDTSIVDDEPEVQSSDVDSDDESFHTKTRHVLRRLQSGHYDGPFARGIYKCPFCNRKLRATDFNCLVNHAESIGRCGARVGTTVNVHAFMAKHKALGIHCATSRASHERNLEALNMPTALFVCDRSIARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr4.49962 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309520970:309521170:1 gene:KYUSg_chr4.49962 transcript:KYUSt_chr4.49962 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMGATKLAADAVGAVAVGVLAGVDAGEGEVTVAGSVATGAGVVGNGSTGAAKHHFALGVSDANS >cds.KYUSt_chr4.12049 pep primary_assembly:MPB_Lper_Kyuss_1697:4:73277054:73277852:-1 gene:KYUSg_chr4.12049 transcript:KYUSt_chr4.12049 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPRFSGATHSDWIVCSDAHMVQICVSTDTYTLTTAERHRSTMGKKNDFEASNSGSKKAVQPRRVSLPIQGTPPASCRQTSRRKRPYDGRSRTRPRNRCNRRLHLRCTTRGNLHLHHRRGRYRHHHRSGLFNLHHRPRLLSLHHQPGLLHLHQRPRHWPMFCLLRPVPELVVINSDNDTQ >cds.KYUSt_chr6.6749 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40652024:40652887:-1 gene:KYUSg_chr6.6749 transcript:KYUSt_chr6.6749 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRPRFLLDDRRPEPSPSPRKSERKRRRRAGPESAAVTARRPRALQDSASPSAAAARRPRAFQEAAALAVLAAGAPRAAPGSRSYGAVQRLWSDADEVALLTGAAAFRARNGHVPRLPDMAALFGYLRGSLSPHIDQDKVYYKLKRLKSKYEHAAPSAAAGKHELRVRDLCGKVWGARVGLSDEDDSPPEEEQARDRERRAVPDAAAMLPVATEVLDAYWKTNGLALSGVSLEKGLSLLATEDARSIETRWRQQLDAEVRSQMRRHDLAKDVYGLLLDAIKGLGP >cds.KYUSt_chr2.52626 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328302282:328305669:-1 gene:KYUSg_chr2.52626 transcript:KYUSt_chr2.52626 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLPAAPGDEFGGYGAPNPPPPSLFPIMDHQESVHREHHHLGYNLEPNSLALLPPSSHATIAAHSPHDILQFYHHQPTSHHYLAGNASPYGGAHFSNNGGFQSYYQQQQQQGAEYYFPTTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSGAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSEADAGEKRRTQAGKAASTKGKATGSSSKSTGTGDGMDIQVQLGSADLSKDQDETMGLGEVVKEMQVDPKGKASMQQQQQGHHGAHGLHLQSHHGFPFPSSSAGPCFPQSQAVSSANNTSNIGQVQQEQPGLGFHQHLHQHSNILQLGQAMFDLDFDH >cds.KYUSt_chr6.26487 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167768135:167768676:-1 gene:KYUSg_chr6.26487 transcript:KYUSt_chr6.26487 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIRRCIVAQAQPRGSIPATMVASKARQGAVFGDDFVADEILARLPAHCAARCTVLSKRFRKLITARHFWLRHRRLGTPLELRHAARLYRQMAARPSTSSTSSYSPSSSSTPSTSMTAAGSQHLLWDSLEFLLC >cds.KYUSt_chr7.32050 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199517068:199520023:-1 gene:KYUSg_chr7.32050 transcript:KYUSt_chr7.32050 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVSASHGVFGPLLGKLNALLAGEYARLKGVRREMRSLKSELSNMHAALQKYTMLQDPDVQVKAWISEVRELAYDTEDCIDKFIHRLGNTTGSHRHGGIKEFFRKSARRLKTLGSRRKIAKQIDELKARLRAVKEHRSSYKLDDIACSSTFRHTAVDPRVSALFSEEAHLMGIEGPRDDLTKWIVHMEDNRTKDRRVLSIFGFGGLGKTTLATEVYRKIREQFHCHAFISVSQKPDIKKIVKDVISQVSCHDGFTKAIHSWDERRSIEKLRELLQDKRYLIVVDDIWSTSAWNAIKCAFPENTCSSRIISTTRIFDVASSCCPGGDDRIYYMEALSELDSKRLFLKRIFGSEDCCPDVVKEVSDEVLKKCGGLPLAIISISGLLANIPTLRNEWLKVKRSIGFVLEKNQSLEGMKSILSLSYNSLTPNLKTCLLYFSNFPEDYEIERDTLLRRWIAEGFISEERGQSCQEVAENNFYELINKSLVQPVDIGYDGKARACRVHDMMLEFAISKAAEDNFITVLSGQMAVPNSHCYIRRLSIQYINQELASLLAYKDLCHIRSLTATGCIKNLPNLAEFETLRVLDFEGSEDLKEYDMSSRDKLFQLRYLSLRSTCISKVPLGIVMLRDLETLDLRDTFIEELPPSIVQLDKLQHLLTARCIFYGETKIPSGIGKMRSLRVISGFNITKSSVGAVEELESLTSLIELHVVFNGGGSDKYKKHEEMLISSLCKLGKYKLQSFRIHSKDSTPLEFLDSWSPLPSSLQIFIMSTSYYLPKAPKWIAPALTSLAYLNINLSEVTEEDILILGEMPALISLELWFKAVRKERLAVQGIGFRCLKEFYFIHSYYVAGARYLLFEKGALPKVEKLQVPFYVSVAKAYGLYLGIEHLPCLKDAEISLYNEGVTSSESKAAAVSIRNEANVHPNRPRVTIFGAEEISFHFS >cds.KYUSt_chr1.21551 pep primary_assembly:MPB_Lper_Kyuss_1697:1:127259973:127260233:-1 gene:KYUSg_chr1.21551 transcript:KYUSt_chr1.21551 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVPRSSVSGSSVEDAGDPDSWFTTAEPVRLCFLVRRRRTVLHHRASSTAKLFRALRPATSSRAVPCDAALLRTSASYRFSRGDN >cds.KYUSt_chr7.39389 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244909824:244914311:1 gene:KYUSg_chr7.39389 transcript:KYUSt_chr7.39389 gene_biotype:protein_coding transcript_biotype:protein_coding MHLATCVWQEKKASAAGMGAAAGEWAFSRASLWALLAAAGGALNCAVSFLVFSFLDVLDPVLCVVYKVVDYAVEAEWKPCYCSAAAREGGPGGGGGAGSAAGTLFAPPRVSAAAAAGPKVVRLSSSSANKMQVEDVSDTLYVRASLLSDATRKPGPSAPALTVSPAIAELIRGKLDRAPRPPRQAPCWSDCDCKQCHSWSTGARSSHLYVHVQSPPPPLAESSATEAVVFIHGFISSSVFWTETVFPAFSPGARSKYRMFAVDLLGFGRSPKPAESLYTLREHLEMIERSVLQRYRLTSFHVVAHSLGSVLALALAVKYPDAVKSLTLLAPPYFPVPEEEAGAATQYVMRRVAPRRVWPPIAFGASMACWYEHVSRTICLTICRHHRVWDRLFRIVTRNRMRTFLIEAFMCHTHNAAWHTLHNIMCLSASKMGAYLDVVSNNLSCKVALFHGRDDELLPVDCTLAVGAKVPRASVTVYDNKDHITIVVGQEELFAAQLEAIWTAADHQD >cds.KYUSt_chr5.16151 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103944509:103954821:-1 gene:KYUSg_chr5.16151 transcript:KYUSt_chr5.16151 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATGRALSLGGELLPPHHGDSDGGEDGGGGGFRGQFPIPAACRNRDFCPPNLGFAMAAALEGYFPCCYYYLSASAINSATRSQLDTMVKKTSSWSQVVSGRPTNLSVASRNLQLQDLGAVIFGCTNSTIAECHARQLFGLPRSHLSYVQNIKEGLPLFLFNYDDRRLHGIYEAAANGKYCPESNAWTHNGNEKTNYPAQVAMRVRTWCVPLEESKFRDAIIGNYYQKLTSIYGQKTQKPHFFRFELDHAQTRALMAMFTPSSSPIKFWTPPVAQTGGDHVREPTPPPVWVQKVEGDNELKSEKGFVSYADMVKQNKFEVERVGMGDVDNGHANSSKESSNGFDDLDCKETPPEWEDHALFNKEVEVQQQQQSAQLDTELSFTLVLEKLKALSVQQLSSDSYANGAGTEVIDPYSCKDMQEIKGAFLEGYSTLPENLDTEVDQLAWGHSCLLLQGLDYESCSEAKLIDVVKELSDRIEAMEKKQVKSNKEVKDLQGVNDRLLKRVVELKNTVKNLNSKIDPLSLDDSLNQFVEECLGSEDVIYLIGGFDGISFLPSLDSFSPSLDILTPLKQMTVGKSYTSTVALDGKIFVLGGGDGASWFDTVDCYDPTRDDWIPCPALTSEKGSLAGVSLYGKIYAFGGGDGIGCFSEVEVFDPAQGKWIKSQPMLEKRFALAGAELNGAIYAVGGFNGIQYLSSAERLDPREPNWKMLPAMSTGRGCHTVAVLDEKIYTIGGYDGDAGAMTATVEFYEPRMPSWVVVEPMNYTRGYHASAVLGGSIFTFGGVKGEADTILDVVERYKEGCGWVNTGMKSIGQRCYCSAIVL >cds.KYUSt_chr3.5805 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32883603:32890560:-1 gene:KYUSg_chr3.5805 transcript:KYUSt_chr3.5805 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVCVASGEVTQRLEPFCFGPGRGSWTGSSCTKSIVRFTSQSPDSVVHRHQALPLYCALLAKNPTCSAPRRAAQGSSPIPAATRSFQQQATETWRRPSSTSPPTTAATVHTTYSSPRFPSHSPLRFPSSFWPLDTFLSNYCRSFRALVYADEKAVIKAALDGNLGRLKGIAKSLAKRNGDHSAIFSFNTGGANALHIAAYGGHLEVCKYLVEELGGDGNAPADGPLALGSSPFMMSAQSGDLPTFRYFLDRGGDLMKADDKGRTALHHAAGSCKITEFLLSKGVPVDLDCGRGTPLYMAATNEQDKTVKILLDHHANPNIIISGMGSPLLSAIIYRSLKCVKLLIKAGADVNGKGSMVTPLVVATMQGGYTNYIKLLLKAGADPNIPDDEKRHIERRYTLLKSQADTAFRQKEYKLASQFYDVAIDIKESATLYANRSLCKLLMGNGDGALSDALRCRMLRPKWAKACFRQAAAHMLLKEYKQACDALEDAQKLDPRNAEIEIKLRKARELMKNPPGDGGEQ >cds.KYUSt_chr7.19681 pep primary_assembly:MPB_Lper_Kyuss_1697:7:122044302:122045773:1 gene:KYUSg_chr7.19681 transcript:KYUSt_chr7.19681 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIILGQKRQLLHTKLARKNRKHREMGNGLTEAGAAETVRIMSRRMVQVPSEEAVVDIHLKPWDLRLITIDYIQKGILLPKPPAGYPLVDGLTFSFARALGGRFYPLAGRLVEEHHADETITVLLRCTGEGAEFVHAVAPGVTVHDIVASVYTPSVVRDLYPFNHVLGADAAIKSLPVLAVQVTELVDGIFIGLSMNHCVRDGTTFWAFFNAWSEISRGGGESGDLHEITKLAQVLQNQQWFKSPVPIHMPFSKLQHVVRRFERPAVEDCFFTFSAASIKKLKARANKELAARPMLLYPRYRPCSPTSGGQCNAVVLGEATSTVGKILDKGLGWTAWKLNRLVSSFDEAAMEEWLDRWTQVPDFEYIGQLSSGGGTLMTGSSPRFDVFGNDFGWGKPSAVRSGPGDKMDGKATVFEGPEKGGSMSLEVCVAPDVLNRLVADAEFMDTVSMPMSSA >cds.KYUSt_chr2.17228 pep primary_assembly:MPB_Lper_Kyuss_1697:2:108555172:108555384:-1 gene:KYUSg_chr2.17228 transcript:KYUSt_chr2.17228 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVWVAATVAAVRAQRERAAPGARDRLAGLAPQAAALGAARAATDDARRQAGADESLRKAMYLSCWGPS >cds.KYUSt_chr3.38591 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243036888:243037598:-1 gene:KYUSg_chr3.38591 transcript:KYUSt_chr3.38591 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCVLLLQLAHARLLVEDENTLDDSKSFSIRGGSGKGGGRGFGVIISHGGHDTSIAIGGGFGGGGGTTSGGGASAGGGAGAGVGIDVGRGGVDVGIDGGGGGAASASGVHVGGGAGGGVGVHVGRGGVDVGIGVRGGGAASAGGMHVGGGARGGVGVHIGHGGVNISPGVGSAVGGGEGGGSTGGGSGVGRAGNTVGDGAGFASLDGNGGRGSGIGVGSSSGGVAGGSGNGGGHG >cds.KYUSt_contig_915.478 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:3082913:3083365:1 gene:KYUSg_contig_915.478 transcript:KYUSt_contig_915.478 gene_biotype:protein_coding transcript_biotype:protein_coding MCFEFGSCFGGGRREDYGGERSAAQKAQHGGGRRRNRRSSAYYGVAGNKVPPPATYHIHNQPTPVFDEAGHKAYHDGARKPDRTEVISQVGYGPYSHGKAWHNKVGDDTGHTYSYTAQPHEAAADRWQNDAMGYHQYPATTANTTTLVRY >cds.KYUSt_chr5.43113 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271955183:271957672:1 gene:KYUSg_chr5.43113 transcript:KYUSt_chr5.43113 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAALLLSMSTAATGRVPVALAPDCNTTCGKVKVPYPFGMGPRHCYRQPGFKLICDYGSKPPRPYLDVDGGREFEVTDIFLENSTMRVVSSHGLNMSGTGRGRWSLGGRIAAGADGAGAMPLPYVLQADFNELIITGCNVLATLVGNGRIVSGCASFCSFTDDDGNGITGSFHPDGNICSNIGCCQSGIPLDYASYDVRLRRLDLDDDGKKKKKNSTTAGSQLPVNVIIAAMDWLTQDMAMFLNDPEFSPRPKTGLGRVPVILRWAVPHGSAVSDFGTRECPRDAARSICKSINSQCRDEASATFRGYSCKCKEGYQGNAYLTDGCQGLSVTIGVSCGAGLLVSQKAGIAERMIITLDELKKATRNFDEDLVVGGGGHGTVYMGILSNQHIVAIKKPKKLVQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPMLIYEFISNGTLSEHLHVEGPKSLSWSDRLRIAIETSKSIAYLHSTASIPIIHRDIKSANILLDDSLTAKVADFGASRCIPIEKSGITTKVQGTRGYWDPACFYTGRLTEKSDVFSFGVVLVELLTRKKPFEYLSSDDEALIVRFARLFAEGNLVDILDPQIVEEGGHEVEEVANIAVA >cds.KYUSt_chr6.15457 pep primary_assembly:MPB_Lper_Kyuss_1697:6:97053034:97055222:1 gene:KYUSg_chr6.15457 transcript:KYUSt_chr6.15457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, DNA-binding intermediate protein for SLR1, Modulation of gibberellin signaling pathway, Regulation of plant growth and developmen [Source: Projected from Oryza sativa (Os02g0643200)] MSSSSASSAVFNLQDHLAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPPATPPATQLPFGHSLLSPTSHHGLLDEVAFQTPSLPMDQGSTNLNAFTGRTNSSCSSNLPAAMPMPAAKPAQQQEIEQSAKSAPSANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGFKRTFKAQDGPEDMLLKDGLYAAAAAAAANMGVTPF >cds.KYUSt_chr3.32185 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202240424:202243010:-1 gene:KYUSg_chr3.32185 transcript:KYUSt_chr3.32185 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVADAASSPALILHLFIPVVARVSTNHSLISFPVLHEIRHRESAGSIKGYLSMVGDPEVEGAPETETELETGGVRAVLPVGSLVSPSGDEVQLPELEGKVVALYFAANWYPRCEAFTPALAAAYGQLRDRGAGFEVVFVSCDEDAPSFERFHRGMPWPAVPFGDLRRKKGLSQAFQVEGIPRLVVLAPDGDVICSDAVELVLRYGDPAFPFTPARVAELVAEEQSKFASQTLEKLFSVSYVNGGNDQVPISSLVGKTVGLYFSAHRCEPCLKFTARLAAIYGNLKGKSEDFEVVYIPMDKEEDGYLRSCGDMPWLALPYDGDGASSRALARYFDVREIPTLVVIGPDGKTVTREGRNLVNLYFDMAFPFTDEQIRMLQELEDEEAKAYAPSLLHAGHRHELSIVSGKSGGGPYICCECDEQGFGWAYQCIACGYEIHLRCGRNLVDGGSAGTAGP >cds.KYUSt_chr4.8103 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48333070:48335084:1 gene:KYUSg_chr4.8103 transcript:KYUSt_chr4.8103 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKSRYEEIVKEVSSYLKKVGYNPEKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTIKPGMVVTFGPTGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKEIEKEPKFLKNGDAGIVKMIPTKPMVVETFATYPPLGRFAVRDMRQTVAVGVIKGVEKKDPTGAKVTKAAAKKK >cds.KYUSt_chr7.16341 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101188578:101189066:1 gene:KYUSg_chr7.16341 transcript:KYUSt_chr7.16341 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRVRSVQETLCDPSFNPIRYPVGLVLGQAGSPLQIPLQATTAGCNQLATRKRERDMEKVSARATVAGAGEATEQAQETEETTPGTAFIAALYAEALRYIAMTEEDLVEEYWQARKLRKYDRNKEWPKRAARIARVHPPPKEMEAEIAQYMKYLEEEEAQ >cds.KYUSt_chr5.38143 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240992475:240996929:1 gene:KYUSg_chr5.38143 transcript:KYUSt_chr5.38143 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVPAVKEEEPPRLSTCVVSPPCFGGSATGDLGKLPTGGSSSRAFQYPSISDLKRKAALDSLNGFGVNGPAGFQCLKPDSRDPGSRSCPKLGPSVQIPAVRVIGFESGFAGSTRDSDRMVADKMNSSLVIDTSHSSTEQHVPQARKRVLSPLTNVLPGKFHGDALYIGSADTKIQHSDCVRRLCASGFQDSKKAHTATLDSCESPTWSALRCSNWSKGQVVDKLSSKVFTDGPLVEGRELYSYSDHLEAERIMNLEKVAVPVAKMAHSPPLTLSPLGPKWMQRVKNSGAHKNLVREIENDFLGLKETERSIGEEHSDRIRVKDATGKTTILHVNFDTVFPKRCSGGRFQNWASESASLSPSVGCLRGLSLFPVRRSLVGSFEESLLSGRYSCGKDTQNIDGFLAVLNVTGGNFSPPSQKLPFAVTSIDEDSSLLYYSSINLAGRLPTNSNSKSPKLKRSSNSNDSRSAKSRLHIPVKGRIQLVVSNPEKTPLHTFFCNYDLSGMPAGTKTFVRQKVTLSSVPPSSSVKEGSDASHTKVESVRYGSELRECGTLFSECCEQGQNCYSTDESEKEGYTNKICCSMDCDNSKSNQSSPTEKSENSTNANGCCCQVDTLRSGEKKSCCSPSKVNNCSTGGVLRYALHLRFLCPFSKKSSRSMQRSKPGLSSEPLNRSTAINEERRFYLYNDIRVVFPQRHSDSDEGELRVEHDFPADPKYFDISN >cds.KYUSt_chr6.10622 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65833285:65837383:-1 gene:KYUSg_chr6.10622 transcript:KYUSt_chr6.10622 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPSLQGQFTQVELRSLKAKFVSLKRESGHVTTKNLPALMKKLRGLNEVVSEEEIAAFLSESYPDSDQEIEFESFLHKYLNLQARVSAKEGGAAGTGGGAGRGKNSSSFLKSTVTTLLHNLNQAEKSSYVAHINTYLREDPFLKKYLPIDPSGNQLFDLIRDGVVLCKLINVAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLKWMNHHLKKAGYKKTVNNFSSDVKDGEAYAYLLKALAPETSPETTVETKDPDERAKMVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTSDIKQVTLTQSATRDDVLVSREERAFRMWINSLGVETYVNNVFEDVRNGWVLLEVLDKVSPGSVNWKLASKPPIKMPFRKLENCNQVIKIGKDLKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNILQLLNRLRSHSQGSQGKQITDSDILNWANNKVKASGRTSRIESFKDKSLSNGVFFLELLSAVQPRVVNWKVVTKGEADDEKKLNATYIISVARKLGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQRTPLSQSDMIEPSEPSSMASDAASDIGSEDGASTAAPSECEEVNSLSDGMSNLTTDDATSNAPPAENGSDEAGS >cds.KYUSt_chr3.41676 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263024267:263031416:-1 gene:KYUSg_chr3.41676 transcript:KYUSt_chr3.41676 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALDGFSYRGFSVSRCPDELGSHASSWVASFIPLAMGIAVMSGRTTRAFVHGYASQETGITTPLPSTRVVAIDMGSPHDGARVSHSVSFLLGALLPALLLFFLASDRVGEQLSSMASLANGSPHQQTTHANLSTDGSAAEKEEEESFPGLVELLSKVAMDDRTVIITLVNEAWSAEGSLLDLFRGSFHDGQGIAHLLNHTLIVAVDPGAMPRCVAVHPHCYFLEVTAAKVSSANRFMSKSFMEIVWAKLSLQQRILQLGYNYLYTDVDIVWFRDPFRHISLYADMAISTDFFSGDPYDAVKNAPNTGFYYVKSTNRTVEMLRRWRAARSLPRPGGGGPTNDQGIFNEIKAGLVAGELQIRLVFLDTVFFDGFCRLHGEMDKVCTMHANCCIGLETKVHDLRNVVADWKNYSTLTPPEKTGDQLKWSSTELGRVRRVWLVILFFVVLERLETEAERGCIAGISANKADVGSPDLNLEACGGATRWVADSLLLLFNHRGDGGAER >cds.KYUSt_chr7.13276 pep primary_assembly:MPB_Lper_Kyuss_1697:7:81820413:81822830:-1 gene:KYUSg_chr7.13276 transcript:KYUSt_chr7.13276 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFTHLLLLTLTPLLLRISAHEFLLPGSSLSVEDSSDILHSPNGAFTCGFNNISHNASVFSIWFTSTAEKTVVWSANHLHPVYSWGSEVMLYTDGRMVVKDYNGQLVWGNTVNSSSNAEQALLLDTGNLIVMGKGDIILWQSFDSPTDTLLPYQNITASTKLVSTNRYTYKELQRATRKFKDQIGRGASGLVYKGVLKDNRAVAVKRLADINQGEEEFQHELSVIGRIYHMNLVRVWGFCSDGPHRILVLEYVENGSLDKNLFSSKGSHILLQWNERFKIALGVAKGLAYLHHECLEWVIHCDLKPENILLGEKMEPKITDFGLAKLLNRGGSNKSVSRIHGTRGYIAPEWVSSAPITAKVDVYSFGVVLLELLKGARVSEWASDADEEVEMVLRRVNRMLAENLMLEGGEQLWIADFIDSRLDSQFNNVQARTMIKLAVSCIEEDSRKRPTMENAVQMLLSVDEAVS >cds.KYUSt_chr5.40157 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253647425:253647685:1 gene:KYUSg_chr5.40157 transcript:KYUSt_chr5.40157 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKGSGGGDTPGAGPNPTPNQPPPAAASRPDDDAAAVAAAAAAQADDEARRPFTALSQVDADLALARVLQDQASATRSRGPGRS >cds.KYUSt_chr3.5994 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34184145:34186103:1 gene:KYUSg_chr3.5994 transcript:KYUSt_chr3.5994 gene_biotype:protein_coding transcript_biotype:protein_coding MERHPLWCTVNVAVSCECTRARASGHLVDAPFLKVNYNYKMLFMEDIKVHFNCYPFQARGKIGSSLLVTDGARNISENNGDAGITLHLADSKDIVISKIEDRISLWSFIPKEHGESMQILKYGANQSDPNKDITQSSSGGNRIVTILMYLSDVKQGGETVFPRSELKDTQAKEGALSECAGYAVKPVKGSAILLFNLKPDGATDKDSQYEVCSVLQGDKWLAIKHMRASKIEKPRSSPPSDDDCTDEDDKCVGWAAAGECERNPVFMIGSPDYYGTCQKSCRAC >cds.KYUSt_chr5.15735 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101593710:101594775:1 gene:KYUSg_chr5.15735 transcript:KYUSt_chr5.15735 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSGHGAEIEALLRQQQELVTQLRALILPQLHSVDSGSAELALQLFDDVIGCNTSLVSKLFSVRGGAAAEPVDDKSLVRKNSASTTANDDVIHERMEKQGMPSRSAGGKRRRNDGKRSRSLVTNVPDYDGHQWRKYGQKNINGSQHARSYYRCTYTERNCPATKTIQQQDKDRGSANYSTDANGDEAAKYTVVYYGDHTCKASDIISNNNTSDCLPDLVDTGCRSSGKTAQLTADITEWETELDVPALLEVFNNSLLNWEMI >cds.KYUSt_chr3.3074 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17589667:17589888:1 gene:KYUSg_chr3.3074 transcript:KYUSt_chr3.3074 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPQCVHRDGPPFALLSSHLALRLRELTENSDSVWAVCAHGGVTTLLHAIADAANGGVRQVHRHLSSARTPP >cds.KYUSt_chr1.6946 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42668130:42669185:-1 gene:KYUSg_chr1.6946 transcript:KYUSt_chr1.6946 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVEGETAAERAKLCAGSEDCLSALPDDLLLHILRELPTTAAARTSVLSRRWRCLWALLPNLYFFPSHNPDSVRGALAALEAMSDDKAPPLRYLFVFPSHASADSLAACLPIAARRVAGVLIFDLAAATVAREDGRDAFELPCFNRATELRLGLGFLSVTLPPSGVFTRLTDLSLEELHLHGPCGIGEAVSSPRCPSLQTLKVLNAQGLGNFAIHSESLLKIKLEKSCGLQQLTIVAPALKELTVFYCFAHHSNPSQPVADIAAPQLVSLHWRDAYHPSSVKFGEMAQLELLSATFFLVDEADGYEHNRDCVRLLRHFEDVSTLTLQLAYMPEEDIEVNTHVFQVKECAI >cds.KYUSt_chr2.871 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5175481:5178850:-1 gene:KYUSg_chr2.871 transcript:KYUSt_chr2.871 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRKGGFKKKIKAVAELVGLSSGSSSQTRPPSAPPSPPPRRKNAQPRRLRTPSPSPPPAEDDDEEQWGGEDEEDGEEHGGQDEEDGGEDGGEDGEEDGGEDGGEDGGEELEEDEGLGGLPQELNKDLAWYPPEEEEYVAAEERLEPRDKKPYKRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRLPTREYSNILGGLIRKHFPGIVNLPSGGRDVAWTWKHYSYAEDPSGKCANMQERVVRHFWKYFTRAEGKEIACDVILHELCRVRVTGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQNPPQYVGEDKACFLAMVIWWTSAEYARKHEEGKQKRLEMGGGSHVLGSKNLALTLQQEEVKTGVTPNLFGLFQKSKTRREPHPETGSVWVNGLAEAQCGAYRSKFKDKHGEDADPTTEDFDVEVAVLAGQGKKGGRLWIADGLVDPTTIPSLRQIRRGRTSEQPRVETRPRASDLAVEKLRAEMEERERRHQEEQMQMQQQLRENMQMQQQMLQQMQQQQQMFQQMFMNQTVLTSPPGSSGPSTSCPPMFPHFIPTPDPAVMALLQQGPSQSPLTPGLTVNNTGIIRSLQQFLGINEKQKKSGIFPAPLPCICTRQRHMHTAKKAFAVHIHTAKVALLCTARLWQCCCSIFAVRGEVEAHGKEETLPCGMGAEHTAKPAARQTLKAHGNHTRTATLSQHTAKPVRTAKAFAVPLPRGARQRRLCRAVHCRAFFAVQARSAKALPSVFGPLPCVLAARQSPVLP >cds.KYUSt_chr4.26571 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166818544:166820210:-1 gene:KYUSg_chr4.26571 transcript:KYUSt_chr4.26571 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVRAAAADGVVTFLWVLCVSSLGASTAAVTTYLSLHEGIHYALLVTVSILTLLLFAFNLLCDALGGASFNPTGVAAFYAAGLTNPSLFSIALRLPAQAAGAVGGALAISELMPDKYKHMLGGPSLKVDPHTGAVAEGVLTFVITFAVLCIIVKGPRNPIVKTAMLSVSTVSLVLTGAAYTGPSMNPANAFGWAYVNDRHNTWEQLYVYWICPFIGAILAAWIFKAVFLRPPPKPKAKKA >cds.KYUSt_chr7.1812 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10365966:10371527:-1 gene:KYUSg_chr7.1812 transcript:KYUSt_chr7.1812 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGGEAEEEQVMSEVHLGCPPRFSGLYLSRFTFSSLPLGDLPDAVAVDNEGDLVLERRRRKRDRRRSDDHVLTVQHGITSSLNSVGLQVWKAAMLLTDFVLHKSFTSSEFDGVTAMEIGAGTGLVGLVQARVASKVFITDRGTDILDNCLANVRLNSSTLKFDEAKIHIRELDWKTSWPPPVGTRDASDPSSIYLWSASEIEEAEKATLLLAADVIYSDGLTDLFFDTVRQLMSRGVKKVLYLTLEKRYNFSLDDLDVVANGYKHFRTFFAVQDGCGVLNNAAFIPGLVGERIDLGKVPQYIREILFEIVHFIGCVEVKNLLEKPDHEIDRMKLSVKHLRSLQEARERIEGLQQFGTDLAMIQQLFPQKSHDQNLLPDFVNDEEDGPD >cds.KYUSt_chr3.11239 pep primary_assembly:MPB_Lper_Kyuss_1697:3:66972740:66973185:1 gene:KYUSg_chr3.11239 transcript:KYUSt_chr3.11239 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSGHSRGAVPAGVIDDVYVKELKCSLPIEPTSWSAFHEGRRIPSQAKGDTRDAPKQEAHRRYARWPAPYIPSGHAKMGGELNAFCDRDVLKSTAALVAAPSGSAISLTAAQMAA >cds.KYUSt_chr7.34846 pep primary_assembly:MPB_Lper_Kyuss_1697:7:217745312:217746705:-1 gene:KYUSg_chr7.34846 transcript:KYUSt_chr7.34846 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQPSPSPSPSSFLLLHSDPSTSAAPQQTPRNAERSQERRGLEVDGGGSKEEERRHANVDLGHKSAVARRLALPQDNAAGCVDSPGADLGADDLHRRGLVNGHGGTDWKLDMACTSRLGEQAATVHGPVANGVAAPTLAVKDQKINGSDGSGGAKKRRGPAVLLEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRMRAATAAAAAGGGRGGPGQLGRTEHRARIPVSIGAVTTAAAKSDEPGLGSY >cds.KYUSt_chr4.38471 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237262724:237263303:1 gene:KYUSg_chr4.38471 transcript:KYUSt_chr4.38471 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNSWTHEIVSSVAAPRLFFAAVMDWHTLAPKLTPKIVASAHVVLGDGHASSVRELHFTKEMPFSCMKERLDFVDVEKFESKSTLIEGAGIGVWVETATTDIKVEPTADGGCVVKVQWACKLMPGVEVNDDQLISAKHSLTGIFKTAEEYLIANPEAYSKPI >cds.KYUSt_chr4.52043 pep primary_assembly:MPB_Lper_Kyuss_1697:4:323023655:323025663:-1 gene:KYUSg_chr4.52043 transcript:KYUSt_chr4.52043 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRKSPWTGPDGTDRKYVVDDDLLIHQASVMSVAKHWRRDEANVVEMDITMGKHEVVVLLQAMLTSKTVLTDVFIARLEAVLPPEEPQGARP >cds.KYUSt_chr7.4506 pep primary_assembly:MPB_Lper_Kyuss_1697:7:27282411:27287043:1 gene:KYUSg_chr7.4506 transcript:KYUSt_chr7.4506 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRKVRIDDGDVNTQGARPMLPCNIVSIVRFTAVLEPYARIELVPVNHTDQPAADTRPDRDSFRYYSKPLSQSYFGRSYLVVTNICEQSMFPAFEARNNSKREKRRETMAAAAGAAQEDLQRWVARAVALTRLPAVGSEVYYFHQGHAEQFSLPHPQPDQPMVPCTVASIVRFTAVQEPYARIELVPVNHSDQPAADTRPDPDSFRYYSKPLSESDLRRSYLLVPKPCVQSMFPALQPGNDRQPLHMLDLNGEHYDFEHTAAARDNLVLELYPATVPDLGRALVQVFRCCRRRSPRRRRSPQAAMASPSSESTPATVSVTPPGVSPIGSTAPPPAPIVSAASLFPASPVNSAGALQLPAASSGADGAPQLPAASPGAAMAGAPSTAESTITNATAPLPVIPPSIYTNAALLAASLGHGSGQGHGVNFPGYPGYYPGYPGYAMMAPGSYAPGGYALPPMAPGSYAPPPVASPSLGFGSPAPTTALARPMALLHHRHRALLHHPCRCRRCITSRSQDTVRHRWSPLPRPSPSD >cds.KYUSt_chr7.13408 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82691842:82695057:1 gene:KYUSg_chr7.13408 transcript:KYUSt_chr7.13408 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLHGTLHATILEADKLTDPTRATGGAPQIFRKFVAGIEESIGRGKGSTQLYATIDLGRARVGRTRVITGDPENPRWYEDFHIYCAHFAADVVFSVKVAQPISATLIGRAYLPVKDLLDAGGQEIERRLEVVDARKKKLSHGPTIHVRLRFCDVTANRREWGSGVGGARNPGVPYTFFSQRPGCRVTLYQDAHVPDAFAPRIPLAGGRTYQQGRCWEDVFDAISDARHLIYITGWSVYTEITLIRDGSRQRPGGDATLGELLKRKASEGVRVLMLVWDDRTSLQSLGLTWGYMGTHDAETAQYFSGTDVQCVLCPRNPDAGRSVLMGTQIAFMISHHQKIIAVDHDMPVRGGSTRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHNDFHQPNFGGTSIGCGGPREPWHDIHSKIEGPAAWDILYNFEQRWRMQGGAKDLLVDLKSMENLIIPPSAVTFPDDQEAWNVQLFRSIDGGAAFGFPSTPEAAARSGLVSGKNNILDRSIQDAYIHAIRRAKHFVYIENQYFLGSSFGWKADGINPGDVEALHLIPRELSLKIVSKIEAGEHFAVYVVVPMWPEGAPESESVQAILDWQRRTMDMMYYDIAIALEGKGIDANPKDYLTFFCLGNREVKRSGEYEPAGRPLDGSAYERAQKARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDTEIAMGSFQPHHLNTKGQVARGQIHGFRMSLWYEHLGMLHNDFINPGSVECVRRVNKMADKYWDLYASDELNDDLPGHLLTYPVAVTKDGTVTELPGARCFPDTRAPVLGVKATHLPPYLTT >cds.KYUSt_chr7.16591 pep primary_assembly:MPB_Lper_Kyuss_1697:7:102753152:102758696:-1 gene:KYUSg_chr7.16591 transcript:KYUSt_chr7.16591 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLDSGGQDEAAVLAAVTDGEGNRALHLAAAAGRVEVCRYIVEDLRLDVNQPNFKVKSNICNTAMSIKFMHNITGETPLFYSAFYGGAAAARYLIDHGADPLAGKIWSPLHGAAAKGNFEIVELLLSRGINVDLHSVQGTPLHAAALHKQDCMMKFLLEHHADAGADVNFVDFSGITNLILAVQYGLPGTVQCLLDAGANPNIPDEVMAFDPSPDDRVTILANLSLCSLRGGNGSGALSYATMCRMARPHWPKACYRQGAAFMLQKDYEKACEAFADGLKLDPKSAEIANALRSYAQNSDNAYVRIVSGHREAREAAKNAC >cds.KYUSt_chr4.53769 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332669907:332677428:1 gene:KYUSg_chr4.53769 transcript:KYUSt_chr4.53769 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYLHASSNVISMNAITANAMEKSKLGEASFCDLFSFPSLGEKICSNNALSPICDNSNNACDILNPPAESTAFKIPMKIIERVVDNRYEGDGTVHPGDHLLCLHKLCGLFKCAGISMDEVRKKLFSMSLSGKAAHWYKLLKDGHSLDWKDIVPLFYSKFYPPSEIHKDRNRIYNFWPRDGESIAQAWERLKSLMLKCPIHELPGNIIIDNFYARLSFQDKTLLDTACSGSFTRKKEGFKWDLLNRIQENAEDWENDKGYVEKPPIKPLPPKEGNEEKEKEKKKKKGTKKRKKRGNKKKKVTAYPRVYEITIGNRKYVAPNDYYDNESEYNDLPMPFTHISDHDLEEHTTFDIGNLFGTDYESNDVSTIHVPSNVDIESSKLGDVVLEDPILETFTFSENDDIIYSGLDNRYRDGYDTCYNYPYETCHSCDGIAKNHSLSMQLVYHVQILDNDPAPITINEKSFSYAKNNDTFMHMNHDKNVLSDGYIVDFINDATESYYERGKHGYMHFNNIKFPLFISSDPIRILTNWYQSSESSAQNFLPDGFFSSDSSMARPYFPFPTTAPTYDNFPAPAYVPCTFPSAADYRNSTFPFAFPSPIPTQFDPNPPPPAASPNHGDLHNSPFPFFYSAPPAFPYVATHPHPLPAVAYMPATGSSALDLPAHAAKEMNKDLAPEDISHTTLLMLSDSKVDAFQHRATDLLLDWSPSSSTGRPPRMTPPRWCAHPSTAPPSTATASVTPHMFDGMPTPQSGHENYRYGHPNPIQSSVLGGSTSFSEQNLDGRPQPYGFHNLNRQSVFLADGTEHSYFTLPADYPLEQATPTMSPSAAEHISVTPQSSVAAQAAGLAIVPSSKANNTVDSDSLFVHILQLPTTAQDTSNVCKEMHVYDIYEEDMSYLNGMDEHLAKPFHKASDNASSEDFTDVTCTRAPSVFVNLSIKCSVRNNRTELPSLPDSELCGEQRKPQHGNNQQRNFLLAEMRREVWDPGTSTSLHSSMANLCNQGGTYGREVWDPGDTNLHVLFMIADGATELQCTDADQSTMVPILAMHFGEKRATISEATMASQDSPASLTPLLMHVDDMQLSTSVQVAPGMFEEIPVYDDYDYEEDGNGSLNFFVELCDTGGQQKQYNSETPIYDHEEPHCAAKLESDNELLAVCFNNTSDNAFSQEVDLVYGILA >cds.KYUSt_chr4.35327 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216858307:216862163:-1 gene:KYUSg_chr4.35327 transcript:KYUSt_chr4.35327 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVIVMLPLGLLFLLSGLIVNTVQAILFVSIRPFSKSFYRRVNRFLAELLWLQLVWVVDWWAGVKIQFHADKETYQSMGKEHALVISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERNWAKDENTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAVSQGLPAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIIPKDSSQPTMLRILKGQSSVIHVRMKRHAMSEMPKSEEDVSKWCKDIFVVKVTLFWSCLLLYGAVQFFRWTQLLSTWQGVGFTAAGLALVTGLMHVFIMFSQSERSSSARAARDRVKKG >cds.KYUSt_chr4.11987 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72830885:72836753:-1 gene:KYUSg_chr4.11987 transcript:KYUSt_chr4.11987 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMANGVKVEPAAAVPDTTAAANGKADSPISVLGDEKMPEPKVEKAALLDTLKVEDTAEEFLDAPSSLPIDLEAKNGDAALITEVMAKEEEELCQARIKADEEEEARRREEAARQAADPKARFNKLDELLTQTQLYSEFLLEKMDQITDVHSTPKKAVEIKQEEEPVEEQKKGRGRKRKSNAKPQYNDKKAKTAVAAMLTRKREDGAAADGTLTEEEKWEQEQANLVPLLTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIAFLAHLKGNGMHGPYMVIAPLSTLSNWLNELTRFTPSVNGIIYHGTKDARAELRRKHMPKTTGPDFPIIITSYEMAMYDARLLANYKWKYVVVDEGHRLKNTKCKLLRELKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHEEFESWFDFSGKVDEEQQEESDENKRVLVVSKLHAILRPFLLRRMKEDVELTLPRKKEIIIYANMTEHQKQIQNHLVEETFDEYLHENMDIVLRKPGIKTKLNNLLIQLRKNCAHPDLFNIAFDANSLYPPVDKLLEQCGKFQLLDRLLDILLKRNHKVLIFSQWTKVLDLLDYYLEVKGLKVCRIDGSVNLEVRRKQIADFNDLNSGMNVFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTQPVHVYRLATSNSVEGRIIKRAFGKLKLEHVVIGKGQFQQDAAKPNALDEAELLALLRDEQAEEDRMIQTDISDEDLLKLMERTDLTGAPAAAGAAPLVPLKGPGWEVVLPAKGGGGMLSALAS >cds.KYUSt_chr6.1304 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8175161:8176393:-1 gene:KYUSg_chr6.1304 transcript:KYUSt_chr6.1304 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRAGSTARSAAAAAARASPAPTSSDPNLPTPTSTPRADLSSSGAKFAVASANRSSVGSSSSASATDLPAPAARDVASIAKEVGKRLSYDDEDCSAFPTAASALQPDPALEVPAVLAPLLELPGPDQVSSTTVVPASADSTVTDVVPASADSTVTQTQPPVLIRIPNLNSLCLRQSGSSNPNALNKIHVGVLFLSMQVAAPADSTAAVAAADAEGPVLTGMELVLAELRHARGLTPRSKRLLAALAETASAELSYDPTAAAIRTRRAAFWSKVRVGILAAMVFSVAAMDVALAVALYGASRGSHHHLVLPPT >cds.KYUSt_chr7.41104 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254659808:254664949:-1 gene:KYUSg_chr7.41104 transcript:KYUSt_chr7.41104 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDGGEVDHLAGERATALFDVDAMKVAWAGSRHAVEVNDRMARLVASDPVFRKDTRTMLSRKELFKDTLKKAAHAWKRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIKGQGTEEQQQKWLPMAYKFQIIGCYAQTELGHGSNVQGLETTATFDPNTDEFIMHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLDDHSPLPGITLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTREGKYVHSDVPKQLLYGTMVYVRQTIVADASKALSRAVCIAVRYSAIRKQFGSQAGGPETQVLNYKTQQSRLFPLLASAYAYRFVGEWLKWLYTDVTQKLEAKDFSTLPEAHACTAGLKSVTTSATADAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNVVLLLQVARFLMKTVSQLASGKQPVGTTAYMGNIQYLVQCKSAVNTAEDWLNPAAIKEVFEARALRMAVNCAQNISKAPSQEEGFSELSPDLLESAVAHVQLIIVTKFIEKLQQDIIGHGVKDQLQNLCNIYALHLLHKHLGDFLSTGCITPKQGALANEQLGKLYAQVRPNAVALVDAFDYTDHYLASVLGRYDGNVYPALYEEAWKDPLNETVVPDGYQEHLRPLLKQQLKLSRL >cds.KYUSt_chr1.42276 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258828634:258829442:1 gene:KYUSg_chr1.42276 transcript:KYUSt_chr1.42276 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTSGGGDGRMNVKVKGQDGEEVFFRVKGTTRLKKLMNAYCDHKSVRANSFVFLFDGRRINGEQTPGELEMEEGDTIAAMLPQTGG >cds.KYUSt_chr1.2164 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12608350:12608886:-1 gene:KYUSg_chr1.2164 transcript:KYUSt_chr1.2164 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSVAFEEKEMARVLLLVSREQAMQMAMPMPVPVALRGDRSPERAFVCKTCGRVFPSFQALGGHRASHKKPLLDGDDLKPKLHGCSVCGLEFAIGQALGGHMRRHRAMGGVMAPLAVIKKPRGVAVGRGNKRGLWLDLNHPPCGDDADHGECGHDAAAAGYKFHQFLDTGIMAVDCV >cds.KYUSt_chr2.50525 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315914012:315915256:1 gene:KYUSg_chr2.50525 transcript:KYUSt_chr2.50525 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPQLFLCPISMELMEDPVTVSTGVTYERRSIERWFFKYGKTTCPATMQRLASFDLTPNHTLKRVISTWRDRASSPSSPTDALAPMAREMLPSVLAGIEATPFKVTALKSLRSCMAVDEAAQDDFVARDGIQVLGRVMAQALAESSAGGDFSAFRTCEEAAAVLDTLPLSENASVDLVLKPECMRPMVALVQRGSAEARLHAMSILDKVSKASGGRNWAAVVDVDDMVKSLLDLLSDGASSKLSSRALDVLLDVTARSPSSGRARRGKDVVEVGAVRVLVELLPDADRHVTERTLLLLKRLCKCPEGRLAFAEHGLAVAAVSRTILRVSGLATRQAVNVLWLVSCAARPSEKVLDDLVTSGGVSKLLALLQVESSASTKEKAGRMLRVHGAFWRQYPCFPADLKDYLKFLN >cds.KYUSt_chr6.28217 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178804441:178809336:-1 gene:KYUSg_chr6.28217 transcript:KYUSt_chr6.28217 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDGSGEEDEEEGVGPWGGGGGGAGFSLAIEGVLGGACGLVVSDALEPDFPIIYVNRGFEDATGYHAEEVLGRNCRFLQCRGPFAQRRHPLVDAVVVTQIQRCLEEGTEFQGDLLNFKKDGSPFMTTLQLKPIYGDDETITHYMGIQFFNDCNVDLGPLPGSVAKEVVKSIWIAPDNTIRSSPTRKGNFCSEHSNLFQLSDEVLCQKILSKLSPRDIASVNSVCKRLYHMTRNDHLWKMVCQKAWGSEATRALENVAGTKSLAWGRLARELTTLEAVAWRKLTIGGTVEPSRCNFSACAVGNRVVLFGGEGVNAQPMNDTFVLDLSASKPEWRHITVGSAPPGRWGHTLSCLSGSLLVLFGGCGGQGLLNDVFILDLDAKQPTWREIFGRAPPVPRSWHSSCTLDGSKLVVSGGCADSGVLLSDTHLLDVTMERPVWREIPAPWTPPSRLGHSLSVYDGRKILMFGGLAKSGPLRLRSGDVFTMDLSEDAPSWRCITGSGMPGACNPAGVGPPPRLDHVAVSLPGGRIMIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVMEGTKAIVLGGQTGEEWTLTEIHELSLASSLV >cds.KYUSt_chr2.46241 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288802120:288802657:-1 gene:KYUSg_chr2.46241 transcript:KYUSt_chr2.46241 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAYSITRKEIDGFWRRKETEEEERRFAAEKDAARTKAKTLKVEDYMLFEQMIKEILEEGNEGDKAMKMERGIKKNRWTEARVGIKHW >cds.KYUSt_chr3.32348 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203227439:203229838:-1 gene:KYUSg_chr3.32348 transcript:KYUSt_chr3.32348 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHSMGGLLAVWNEWEIRVLVLTSLALQVFLLFSAGIRKRNVSALLGVLLWLAYLLADSIAIYALGYLSQTHMSKGASDPQFFNRNHRIQVFWAPFLLLHLGGQDTITAFSIEDNELWKRHLLSLLSQVALAVYVFSKSRPGADTLYPAVFMFLSGILKYGERTWALKCASMDNLRSSMVTTPDPGPNYAKFMEEYRFTREAGLQAEIVIEPERRTGVTTAAIAEETVPYATVITEALCFFVTFKRLFVNLILSFQDRTGSQATFLRLTPEQAYKIIEIELSLMYDTLHSKAAVIHTWGQWALQCKGYYKELGWSVEVEFDESILLWHIATDLCLYSDDSSDDAKLSEYVSISRAVSNYMLFLLVARPFMLTAGIGQIRFGDTCAEAKNFFAREMTPPDERSAAMMVLEVNAEIAPRDVKGDRSKSVLFDACRLAKSLLELQPGKRWRLIRVVWVEMLCYAASNCRSNFHAKQLSNGGELLTIVWFLMTHLGMGEQYRIESGHARAKLIVEKN >cds.KYUSt_chr4.16136 pep primary_assembly:MPB_Lper_Kyuss_1697:4:99983407:99984629:1 gene:KYUSg_chr4.16136 transcript:KYUSt_chr4.16136 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAADGGGMAAELVTRDFLGGCATAGDDAAARHDASVTGKSPLHKHLSPDLNLFPVSGAAPGTTEPAASAVAAATTTYHSVCTIEKVKTALERFERGKQGHHPGQQLSGAGAGGSPSSSSVTTSSVKRGAVEQGDGCDSPSAAAGGGGGMVAAACPRCFLYVLISRSDPRCPRCDSHVPPPPSVPTHKKKPRIDLNVGFLGT >cds.KYUSt_chr7.35398 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221106194:221107309:-1 gene:KYUSg_chr7.35398 transcript:KYUSt_chr7.35398 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFRWQRKKKRQLKKHQVAESIPEGPLVEILSRVPYSSLCRFKCVSRSWLALCSDPDIRKRSPQTLSGFFHNYKYGNLEFRNLSGRGPPMVDPSLPFLGDYESFTVQQCCRGLLLCSCSKPGATIDHFDLVVCNPATHKYSVLPPLVFLDEEAGGDPACFLPMNAFLGFDTAAPSRFVVVVLLAGCPDNVAVYSSAIGRWIRRAWDHGAVPVVTAECVFLNGFIHSPIHEPYIAVVGTKGETMYWSTIPLPEAMEPSNGSSSMGQSQGLLHAWYIDPEDYQLSVWVLEDYKIHIWTLKHNVNVLELLDTQQDDGGFYEVFAIHPEQNMIFINNGEDTTISYNMDTREVCVMCTSGEFMDGLPYIPCFAE >cds.KYUSt_chr4.3195 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18228720:18229131:-1 gene:KYUSg_chr4.3195 transcript:KYUSt_chr4.3195 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSRSVPVAATVCLLLLLAAVASATAGARLERGRELLAEDAGSPPVALSDEQPPDGHLSYRIVKGILEKASGQSVRVDLEKQKGDALKPLMNIP >cds.KYUSt_chr4.54070 pep primary_assembly:MPB_Lper_Kyuss_1697:4:334487832:334495078:-1 gene:KYUSg_chr4.54070 transcript:KYUSt_chr4.54070 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDHHLRLGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDVDAASLVASFKVFDGVRVHGIQPRGAPNCSSSDGHAVAVFGERRAKLLRLRVDADHDGGVRLELEQRLPGFDHWVLDACFLEVDGLLAIGLSDNSVALWDLTDHAFVTRVNSPEKCLLYSMRMWGDSVKSLLVASGTILNEILIWKLVPRTLSSSLLRTDEVGAPAVENRENVHFSGNRYMAVHIGRLKEHEGSIFRISWSSDGSKFMSVSDDRSARMWMLSCQPQNFTNQAANIDNVDIIPKLTLFGHSARIWDCYISDSIVITAGEDCTCCIWDMDGKLIKMFKEHIGRGLWRCLYDPSSLVLITAGFDSAIKVHHLCNSSFHDILEEKVAPDGLKYESEVFEISSPIVSGQYGPLDSKSEYVRCLHFVQENVLYVATNNGYLHHADLSDTNDVRWTEVIQVTEKAPIICMDVMTVHSDSFDKDDIVALGDGHGNVTIVCLTSGNLEPKVDLSFTWSAEKDRQLLGLYWCKSLECSHIFTADPRGVLKLWHIRKALSSNAHALTASQEVSLVAVFESPLGARIMCLDVSLQDEILIAGDKKGNIVAFPFNKTLAAHDSSGAQQKIPFCDRFKGAHGISSVTSVHITTSTSDDTQIHTTGGDGCICFFKYGRYVNNVEFVGMRQLKDLGTIQSIYADHASDTQLVGTYAVGFTSADFVIWDLGNDAKMVQISCGGWRRPYSYYLGMVPEYQNCFAFVKDHSIHVHRHWVPAQDKKLLPQVLHTQFHGREVHSLCFIDPASYSHPEKSTYLCIATGCEDGTVRLTGYSASNAGRWRSSKMLGEHVGGSAVRDTCFIAKTYTLIDKSCNSSGSSGNILVENEDTTFLLISVGSKQVLTTWILQPRIVENRQVCSGDLDLDTKQSSECSDNGDSAVTFQWLSTHMPPKLTTNRLKAGHVKQNFVERNSSAQPNLAIMDQMENDWRYLSVTTFLLKHPTTTLTVCFAVVACSDATVVLRALLLPSRLWFDVALLIPQTSPVLVLRHIVDASSARSGDDVGNGDRYIIVSGSTDGTITLWDLTDTIHGFMQLVSETQPHMVIDCQKRPKTGRGSQGGRRRWRSLANNSVKKDSKQTLPPTENDLNALCVVDESSHEISGPEENEVITTEDTMSSTHSCDVPEVQPMQTFSGVHQSGVNCVHISEMERACPITGMPSYCIISGGDDQAVQCFVFTLGSPQQSCSMNKASLNSPADGALTILSQHTVPSAHSSAVKGIWTDGVWAFSTGLDQRIRCWKMDPSGKFMEHSHVIISVPEPETLDVHHDRGRGKYHIAVAGRGMQMVEFSPRKDDSMEAA >cds.KYUSt_chr6.9427 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58135318:58136947:1 gene:KYUSg_chr6.9427 transcript:KYUSt_chr6.9427 gene_biotype:protein_coding transcript_biotype:protein_coding MREQEAGGEAGRRGGVIRALLGVARLAEDDAAVAVGGASKKKAEAPDADRNKAVVRVVAADMPPALQRRAFRCARDELAAMPHYPRRLEPKRIALALKKEFDTAYGSAWHCIVGTGFGSYVTHARGGFLYFSVDKVYILLFRTAVEPSPQ >cds.KYUSt_chr3.22826 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141111939:141112442:-1 gene:KYUSg_chr3.22826 transcript:KYUSt_chr3.22826 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRFAAACGALSQYVKEAADHRAQLAQPAPSPSPAVRPLPLMPGADVTSRGEEPEADPASRAAAAQLTIFYGGRVLVLDDCPADKAAVLLRLAVAAAAAAKAKPETEPQVGARGDVLVAVADLPVARKASLQRFMDKRKGRLAARDQPYRRPDAALRDHLALAL >cds.KYUSt_chr4.995 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5151604:5153332:1 gene:KYUSg_chr4.995 transcript:KYUSt_chr4.995 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSGRRLRSLPTPRPRRTRRPPVFPDGDGSDRFTALPDEMLLEILARLRCARAAADTSGLSRRWRGLWKHLLELSFRDMPADAAVAALGQVAGQVAGQALSFLEVDIPYKHRVPEPARVSALLHAAARLAPADLFFRLYGDCKDGNFPFEIPCFERATSVKLYIMGLYLIPPAGAVEFPLLEKLSVVCSRVDTAELVRRCPRLRVFEIQFYPSRDVAANRIKVHSPTIEDLAVDVYRQLDRLDIIAPVLKRFSLRVKIGKDSTVSFSAPMVENIWWYCSFQHNYVGFGEKWRLSSLKLVMEENGYDVLPDRTFSQEIAQLLAFSVLELELELDLQSKIHGIGALVSHLLGVCSSIRRLKVDKQYFGATKCSPNCPCDQPQNWRNEIISLTALEEVELIGLDGTENDVDLLKLLFRCAPLMKSMTVVLAPDSSLPTDQDCEEIYSMFEENPHVKCSVDRSPCKG >cds.KYUSt_chr2.26654 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163215863:163216851:1 gene:KYUSg_chr2.26654 transcript:KYUSt_chr2.26654 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKDFVGARKMINKAQKLSLELDNITQMLTVCEVHCAAGTKVAGEIDWYGVLQVPPFTADATLIKRQYRKLALLLHPDKNRFAGAEAAFKFVGEANTTLADSSKRCVYDMKRKGSVRVDATTAAGSPPAHHQSWRAGPVRPNTGPVHQQHQHQPSTSERSQSQTYWSICFVCGMQCQNFGLYCANCKDKQGVPYGANQQSNGLYNDAGAPQNHRGRRRDVAGGQQASNCATPWVQAKPGTRKSMYNTPHQYRAPAADDTAKRQRLAEWLAQQQGPAALGDTAKVKQWLSGQQNTL >cds.KYUSt_chr2.45078 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280909177:280925293:1 gene:KYUSg_chr2.45078 transcript:KYUSt_chr2.45078 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASQLQAIKSALGSAPEAPRGPITRPSVLFDAKEAADIDLRAILPIALSGLEHLTGVDERFAKYRKTLFSETSLELNREQQTTKENDKLNKSISSYLRLLAGYLQLPAALKTLEYLIRRYLVHVYNLDELLLCALPYHDTHAFVRIVQLVNLGNSKWGFLNGVKSSGAPPPRSVIVQQCIRDNSLLETLSNYATPTKDFLHSRTVVCFCTAVIVECLGAIPRVDTDIVQKVLGYVFDSLNPQIRADQDYKAGALMIVGVLATRATLAPKLVQDLILFVARSAQHDALESIDLPWLRVTVMAIISLVQSQSVHDFRKKPLMILKDIRDFSGVLSVLSSEFNIENFIRLYVESLVDYSASDDSCHAHLIETMETLPIKKFVERIVCKVLGNCIKASQAAQNPDINRTGTWAKKSLVAIEKKYPLELRDAIRKFLEDSEVNSSGRDSTSKLLSLVFDESESAPAEISDSNIWFSLDHPKAVVRQSALSKIATSGILKKDTVNPQKFINMQDAIIRNLYDDDLSVVQAALSIEGLAAVASPVGLLKAYDHVLAKCIDIIRKGGSKASKACDVAVSCLEKMVMEYQLHHVEHTKDIATVVFSLLIIHPKTFRVNLKALELAKKIQWDFYTSSSLVYELTADEMKNMSSESIASINMKNIQAFAETLLSNPNKHVTWLADSGNGSIFCRTPFLLIVLQALLIPTEVLDKQVNLCQVCLPVLKNEWSHIQPKGDCIGDEISIDKLEKCIMELVMQIFNNDTEALNAQILVCIFWGLLRVQSSYVKQNYVIGASGHIMVDDLFLFFLTSTGKNVFQKHLQHLVINCTRGPFQFISKYLVDEGLSAGVQAESLFVLASVCSTSALSESSSLDESLCVELLLLFPSLIVPLSHENKDVRSSAMKCVEALSLVWQRLSTSVSRNGNSGKLPVCMSSPTFGVFLDSLANQKAMISSDATFLPAYISSMLSPIQDLMVPENLHERFDQPTKNSILYFILRSSMKLSPYGKLMVLSALKGVGSILFEAEVVKTLFLYLLEHYSHHQNGHDSKQLLSTYETQILCLLLKVLFSVADETNLGFDMSEALLKALKVDGLSPKDPVAVMPCLTALQSLQPVFFENLKTDTKEKVFGLLISMFRAENFEIRNATRDALLRINVHASTAVKFIELILAQGDKKGNQKRIKREEKPNCDSHFEDYFGEKPLASVLVSLLDILFLMKDVNQRQCLLQPLCQILSKLLSDQWISGVVCQYTEGLDASSEIHDIPSFVKEAQQLVLLILKDIIDTLQSGHQDKLLNSGNVNLFIKCIRSSDDVGTRNHGFSLIASLAKAFPQLVTESIVDLFVAIGDAVKQDDSHSQRVMEDLLSVLVPCWLSKTTSIEKLLQIFIKSLADVDEHRRLTLMMYLLKTLGEENSLSTVVMYLLYALVERGSHSLSKLQKSHGLPSLSAMSQEWEYDLAVNITGQYSYRLWFPCLCKLLQEIRMHQKQCLLPMLHLAMQFILVKVQDTELRFELEAEEAANSIQNSLGTLMEEVVLCSVKDKKGDISGDILKEVRNSANIILNIITGWMHASTYFKVITRLLEHPKSLVKRKTLGILCETARANSMVQNKQRKARKLKHSSLSTALQVDKSSCPYFSELCYKILELIDREVESDTSVKIAAISSLETLAKEYPSENPAYSKCLVTVINQISSGDAITSSGLINTAGSLINVLGSKALPQLPLIMTNMLQRAHQVSCCPSGKFAHGSTTTMASFSSQSTSMLLSVLTTIEVIVQKLGDFVSPYLEGILDLVILHPECASQIDGKLDVKAADVRRLLTERVPVRLILSPLLILFPSATKCGEASLSLTFQMIGNLVSTMDRLAVGTYHTKIYEHCLVALDLRRQQLDSLKNVNLVEESIIHTIIALTMKLTESTFRPLFLRSLEWAESEIDKSTSKRSMDRAIVFYKLVNKLAEKHRSLFTPYFKYLLEGSVQYLSEDGALVSSKRKKKAKLEDSKVKDSLSGQKLWNLRALILESLHKCFLYDNDQKILDSSNFQTLLRPIVSQFVVEPPESLELVPDAPSVEEVDEIIVLCLGQMAVTARSDVLWKPLNHEVLMQTRSDNVRPKMLGLKVVRYMVQHLKEEYVVLVPETIPFLGELLEDVELPVKTLSQEILKELETLSGESLREYL >cds.KYUSt_chr3.30329 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189996671:189998917:1 gene:KYUSg_chr3.30329 transcript:KYUSt_chr3.30329 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMRWLKKLLTGAKEGHGGVKADWHDAAEKEASRWSFVKQRKSGADGGKPRPSVVALAAAARPCRCVGEPREEEAAVVVQKAFRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQADSYMTWMNAWILSLTRFAMQERIVTEDVRTKPSPSPAKAVHRRRLSDSTDSNYERSPRIVEMDTCHLRSRSTRIASGRYTPDRSSARLAADLAPLSVKQPQRLSTRRHHEREPVRHARTAQNTPRFSSPNPPYAYDSPAKSVDGLTPRPLWHRDLLASPRYMGGTASSAAKTRCHSAPRQPPAEAARASLTSQAGSRRSASKRMQSGYLSEATLKGYSGLIEEAARDYYLDRMW >cds.KYUSt_chr5.80 pep primary_assembly:MPB_Lper_Kyuss_1697:5:567963:573882:1 gene:KYUSg_chr5.80 transcript:KYUSt_chr5.80 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYYRKFVRGYGIISKALTELLRKGVQYIWTSEAEASFQALKKAFISAPVLALPDFSKKFIVETHASGKGIGAVLMQDGHPLAFLSKALGPKQQAMSTYEKECLVILLAVKKWRSYLQHAEFYIKTDQRSLDLRRRFPGAPAWGQAGSQEEEDVMNLPSATRKKTLRDKEKEVEASGTEEQKVQSSCHLPLNSMAGVGAGDGPLRVFFLSFFARGHLIPMTDPACRMVVARPGEVDATMVVTPANAALIATTVTRHAVRLLLYPFPDAGGAASLAVSVPGMPGMIAIRASELLEFLVQEDHLAMLWDRIKAAQLAGFGAVVNTFVDLERPYCEDFSRVDARCA >cds.KYUSt_chr5.7759 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48812877:48819157:1 gene:KYUSg_chr5.7759 transcript:KYUSt_chr5.7759 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSIDDVYSLPTYPPAPTPAISQIGYPDGGRRHVEQKTPGATQEKSKHHSVFSRPRLPPPNHPLPVGFAWCTRCAVMAALEELKQRLQPIFFDADGNVVPPPDSADAASEDTCDCDDPEVLDGATINLLSKSSDEYNINELGFHKRTTRQDKAYRCSFHDMHIFDPVGNGASSVVHRAIYVPVHRVLALKKINIFEKEKRQQILNEITTLTEASCYPGLVEFQGVFYAPDSGEIYFALEYMDGGSLADIIRVKKFIPEPVLSHMLQKVLLALRYLHEVRRLVHRDIKPANLLLNLKGDTKITDFGVTAGLHDSITKCATFLGSVTYMSPERIRNENYSYAADIWSLGLTTLECATGRYPYDVSGGEADLMLQILEDPSPTPPRDIYSEEFCSFIDACLQKDADARPTCDQKYEGPGVDLAEYNKSVHDPSERLSQIAHMLAVHYYLIFDGGDDQWRHMKSFYERYSVFSFSGETHVGKNEIFDTLSRIRTMLKGNSPCEKIAHVVEKVYCRAHGEEGMSVQVSGSFIVGNEFLVCADGFRAEGMLSMEELSPDILSNQAGHFQEDFFLEPGTAMGCYIIAKQELQIAET >cds.KYUSt_chr4.50182 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310900863:310903064:-1 gene:KYUSg_chr4.50182 transcript:KYUSt_chr4.50182 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVESNEEYLVEEQIQQQNCVEKVQESSDHQVITTSNDEEGSTDCDDDADNDEGTKGRKKRKLKYIWNLPVGKRIVVKCNDLDQPIGKEAKHLGNFLGTIARNGSLCSLSYKDWRLLIGEKDKETNVRINLKAILDQVKMRFLYPSRLEPYILKTIRDRWRQHKSDMKALYFNEKKSIEANYSSGPSCVSPDQWRALVNNWTSQKAKARLKGILEEQPELADTSQGKTAWKGDALNLVLGDEKSGHVHGLGLVPNPNKVLDVSTSRRFQNIQFSSLEDIPNEAMLSLSVEMEKIGQYVKNQGAEMLELKEKIRELEREPDQRSLNLVPTLRDDPPVDGHNSKRKRVYGASPSKQPPMVKEPNNLMIKQSGFPGLDSQSSIKSATQDKVFSHYRGSNPYA >cds.KYUSt_chr7.15035 pep primary_assembly:MPB_Lper_Kyuss_1697:7:93146232:93150558:1 gene:KYUSg_chr7.15035 transcript:KYUSt_chr7.15035 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGVLDEMKRQLKLGLPIAFTNTLNSSLLFVSLMFVGHLSDSEHVFAGTALATSFSFVTGFSLMVGLSAALDTFCGQAFGAKEKASVEPILIFIRQNREVSAVAGSYIRWMIPALFGYALVQCQTRFLNNQGVVLPLMLSSLLCSVLNVPLCWLLVLKSPLANKGAAMALSISYWFNAILLALYIKYSPTCKETWTGFSRDAFHHVPQFLKLAVSSALMICLRFWALELLVLLSGILPDPKLETSAFSIT >cds.KYUSt_chr4.4712 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27014141:27014392:1 gene:KYUSg_chr4.4712 transcript:KYUSt_chr4.4712 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSNVNVHYQRQRKRSALSTTFLDEYDLEDEQHSSIPNGEDDDSDFLQDDVDVIDDDEDPTTAEQDGEDNTNATMVEFDGGY >cds.KYUSt_chr2.25212 pep primary_assembly:MPB_Lper_Kyuss_1697:2:154189249:154195571:-1 gene:KYUSg_chr2.25212 transcript:KYUSt_chr2.25212 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVVCLAADGACRIEVAVPERLAHGDRQARVAPPQCGRDVSESGRSKRWKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQRAIKSHAPHPSPHPHLFLSHFPHPSPLPSASCPHTFLPVGALPYHDLPPAGDLPTHGFHLAGALSPHAGQRRLLAAAIHGSSQAGPSPAGALPTHAGQRRMLARAEAEAPRPALLPLRHLPRSARPYLHRQRPAPVEDLRFPSAVCGKAFAPQQPSTNGTSRRYVRHELLHPPPWSEYMVVT >cds.KYUSt_chr2.7128 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44641737:44642696:1 gene:KYUSg_chr2.7128 transcript:KYUSt_chr2.7128 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAIFISCALLLASACQGLQVGYYKKTCPNAEALVRAQVKKAVRSDAGSGAGLIRMLFHDCFVEGCDASVLLDPTSANPQPEKLGAPNNPSLRGYEVVDAAKAAVERACPGVVSCADIIAFAARDASYLLSDARVSFHVPAGRLDGRRSIANDTLLFLPGPSSNLSTLVSGFTAKGLSTEDMVVLSGAHSIGRSHCSSFVQDRLASQSDIGAPLASILRRRCPASPTTGNDPTVVQDFVTPRKLDNQFYRNVLARRVLFTSDAALLSAPATGKMVRANARFPASWEKKFAKAMVKMAAIDIKGRGVGEVRKNCRIVN >cds.KYUSt_chr6.16352 pep primary_assembly:MPB_Lper_Kyuss_1697:6:102871670:102888445:1 gene:KYUSg_chr6.16352 transcript:KYUSt_chr6.16352 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVCARLAHGLPVPELWPALRGAFGAAGLPLDPAVKRVLWARLLALPVITLVAGDGDGSALAPGDPAEKDLEAAERRGVRLVASEALRGNFLGMYDQRFGKTKLSPVQKGTLELVGASRTSGVTQNELCETFSMKGNNFHFIVKSLASQRLIVRQSTIIKVKDNGADGEEASRNKHVINTNSIYLSRYAKDMNMNSHQRIEITKPELLGTNEETNIDALQEDGAFGVNFKNDKSVHDYLPAMEAICAKLDNATGKALVVSDIKVDLDYRMAYGHRAWRNVLHRLRDAQLVEEFDAKIDDKVVRCLRLLKKFDPVEFRPKSTIPDYKIGQKRQATDQVMELPLDYCIYDMIKAQGSKGITLVELGKRLGHKNSGKLHKRVSSMRQRFNLTWDAEVLDKTSQYRVWTKKDFLLYKSGSALQSLEGLPDDHANRSDLLSLVPSRGLDSDSPHNDLVVNNNLLFEEECHDEPVGHYLQSNDEACVGVSQLVKQDKLALGRKKRQRCPPSTSDDRRQRRILHMLKKKKFVLKVELHKWLERLEKENGKMMDRKTLARTLNKLQQEGSCKCIKVSVPLVTNYTRSRLIDVILHSSVGELSPVLVDQIRTRQRNFDTEIRSGAAAKLKQNQHKTAIPGLRISRRVKDNKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSGLPSCCMPFDYSKEGHHDKDLNQSCLLFSMAAAINEMPVELFLQVVGSAKKIDNMISKVLSEIPTKEYNQLMDTHAKGRLSRLINILDKLKLLQLAKEPVDEWGVPSDAVPTHAMELRPYIEEPIRRILPSSHVNLNHRPKIRHDFVLSKQEFVDAYWETLEYCYLTAGLAEPLSAFPGCSVPEVSHPRSWSSRRLMTTEQRLELQKRIMSISEEGRIPFKDCLGIARELNLSVEQVLCVSSSQNRQPRFPATQKQQGASSGSISQKRKRSSDKVTLRFIKQKVQASGSTGKISAQSTQDDEVPRSTSASSTGRQFQWTYESNRKLLMIYTRFCAARGPKCYWNSVSGLPAAPHTCRKRMAYLNKNINVRKAVVRICSLLREERRSKVSEGHSHISNSSHGNCEDSDSEIVNWDYFEDPEIKNALDEVLEFIRVEKLNQTGEVGPNNAKSNSDNNVNEEIPSGQEELVVQGATSTSAAVPEAGSHEHAMLSRHSNATHPSKSVDVPCISHEKVIKVNRDGCKSLAVANAIELLKLVFLSTSSGSEVQASLTATLQLYSESEIVTAFSFLNEKKFTVSGNGTKPYTLSRKFFLKASHSPFPFGSGKKASEFSKWLIRQQKNIMDGVGDADGPNISSYLVEDTSGLNDGTHKRKADMVEQESGGYYPSDGSVESSDMQDLVGKADT >cds.KYUSt_chr6.3622 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20906297:20913971:-1 gene:KYUSg_chr6.3622 transcript:KYUSt_chr6.3622 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEERLDVLTAAGDKTGVSKPRHAPIRSPLPFDSVGPPPPVTPSAAAAALPACRSEVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGKWDISSAGHVSAGDSSLSSARRELHEELGIKLPVDAFELLFVFLEKCVTNNGTFFNNEYSDVYLVTTLSPIPLEAFTLQESEVSAVKYMHCDEYKNYLATENGEYVPYDLKGQYGQLFSIIEKRYKDNMESRSLTLQKQISRYAPIHLEPELTTLSEGDREALGYILKASIVIDDIFYEQVWNSNRMLRDWLKARSGSSSFDNLKWAYYSINKSPWSGLDENKPFLSTADSAVNLLTDATKPISGWKGVEYRAAFPQDKPGGANFYPPDMNKMEFELWKSGLPDQEQKDATGFFTVIKRPDALLPSALSSSKGSDQTNNSDDLYVVPYSKEYKSSLEKAAELLCKASECSDSPSLKNLLRTKANAFLSNDYYESDIAWMELDSNIDITIGPYETYEDGLFSYKATFEAFIGIRDDIATSQLKLFGDQLEDLEKNLPMDNIYKSDNVSAAPIRVMNLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKLIVKPIADACIREEQKDYVDFEPYYTHIVCHECCHGIGPHSIILPSGKKSTVRLELQEFHSALEEAKADIVGLWALNFLINKGLLPKSLSKSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWMYEKGAFILHPDGKFSVDFTKVEDAVESLSREILTIQAKGDKPAAQALLQSRATMTQPLRVALEKIEHMQVPVDIAPIFGTANKLLANI >cds.KYUSt_chr2.14404 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91112488:91113292:1 gene:KYUSg_chr2.14404 transcript:KYUSt_chr2.14404 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATGVALAVADAVWEQIKAAGHASDEHLSILEHLFGKNMLRACKILDEGGVRRVTGAPSGRSLFLVMGESKRKEEYICFPEHLCTCYSFFYDIVGRGEQLCLAARLAEAVGQHQEMEVTDEDLAHMLAKL >cds.KYUSt_chr5.2694 pep primary_assembly:MPB_Lper_Kyuss_1697:5:17922956:17927031:-1 gene:KYUSg_chr5.2694 transcript:KYUSt_chr5.2694 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRLSFGESISVIYRCTGILRSWLPLQRVENRDLFTERLDSLDAIARNNLFKAFVTYQEIATANNIANANFIEVGQKLWIPLPCSCDQVDGSDVLHFAHIVAGGESTSWLAAAFKVSESTLLKVNKITDPRTVQNGQILDVPLPVCSSSISSTSADHDLRLADGTYATTAQDCIRCSCRSDTYQLNCVREENNSRCPAPPPCSGGLQLGETSGTGCGSTKCSYSGYSNNSTLSIQTTLVSNQATPACQSKYSPSPHRSTRLLIGVSVGSVLFLLILGTLIWLYVRKPQRKHRKQAKEQMLEESNFLDDQAMDDDFDKGTGPRRFPYNDLAIATDNFSDDKKLGQGGFGSVYRGFLSELNLDVAIKRVSKGSKQGIKEYASEVRIISRLRHKNLVQLIGWCHRGGDLLLVYELMPNGSLDGHLYGARDDVLPWSVRHKIVLGLGSALLYLHQEWEQCILHRDIKPSNVMLDASFSAKLGDFGLARLVEHDRVSLTTVLAGTMGYMDPECMTTGRTNTESDVYSFGVVLLEIACGRRPVVVAQHEEDAIHLAQWVQNSYDRGMILDAADARLGGEFDAREMERVMIVGLWCAQLNFKLRPSIRQAVNVLRFEAPLPSLPAMMPVATYMPPVGIRSSTTSSLTGGSSGDTFTPPVAATARPAHSQDY >cds.KYUSt_chr2.37825 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234160866:234162955:-1 gene:KYUSg_chr2.37825 transcript:KYUSt_chr2.37825 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFCTYPAASAAANPTRRRLTPPSAVPAARKSNRQPPSFLSFRRPNAALPPLRVAGADPQVVKGEDFPPMNDLIRLYKKAFLDGNNDVVSDIEKAIAGLEEERSKAASQFESITAEIISGKNKFLRLNADLENFRKQTEKDRAKFTSSIQVDLVQSLLPLVDSFEKANVEVTLETDKEQKISTSYQGIYKQLVETLKSLGVGVVETVGKPFDPLVHEAISREESTQFKAGIVSHEVHRGFLLRERVLRPATVKVSTGPGDQNVSSASSEEPVEDTKEDATV >cds.KYUSt_chr7.2174 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12662977:12667391:-1 gene:KYUSg_chr7.2174 transcript:KYUSt_chr7.2174 gene_biotype:protein_coding transcript_biotype:protein_coding MTEADACGGGVAAAALEEEAAGIICSLREGDLAGWTPPWKSTPGPYDAATTKEEVNEKAWPTVTRGKRSRSSRRRSPSGSVSGSAAKGRWARGSPASPLDYSGGGCGSGASTSGGEDGAFCSPPAVAVPTPVQPSSTSSAKQAASAGARRALILPVPATVLQPRPAGQRSRKKMRLPEVQQLVQSLAAENDGLRQEMQLLRRACTSLSKENSTLETRLEHSSSSKRKRIGSEEQQQQAKPRASERSAATNGFVLPDLNIPAQDITDGSAVAAP >cds.KYUSt_chr7.39941 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248062087:248063234:1 gene:KYUSg_chr7.39941 transcript:KYUSt_chr7.39941 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSCPSPPAPSAGHPPVCLAADASGGAGSQEAPLRPSLVLFGDSITEQSFRPGGWGAALADTYSRKADVVVRGYGGYNTRWALFLIHRIFPLVGVPPVATTIFFGANDAALLGRTSERQHVPVEEYKQNLRTIVNHLKPVQLDILSAME >cds.KYUSt_chr1.19518 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114660918:114663738:-1 gene:KYUSg_chr1.19518 transcript:KYUSt_chr1.19518 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGATSATAAAGAFAAAGAKARGSSAAACARVVAAGGRRRCGVVRCDAGGDAQAVAKAASIAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAIAELTGLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSTKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRNGQNSGGLGKNIDRMFKDAITAGKRVRCETNISAGAVSVSSAAVELALMKLPRSECLSARMLLIGAGKMGKLVVKHLIAKGCTKVVVVNRSVERVDAIREEMKDIEIVYRPLAEMYEAAAEADVVFTSTASESLLFTKEHAGELPPISLAMGGVRLFVDISVPRNVGACVSEVEHARVYNVDDLKEVVEANKEDRLRKALEAQTIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLTKIGEDNLNKKMRRSIEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAVLEQKIKAKVEKTQS >cds.KYUSt_chr6.21062 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132909343:132909867:-1 gene:KYUSg_chr6.21062 transcript:KYUSt_chr6.21062 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMKIALLAVAAMAVLSTASAATYNVGEPAGDWGFGINYGSWASSKQFIPGDSIVFKYSPQAHDVLEVSKADYDSCSVASPLTTLNTGNDVVSLPATGTRYFICGFTGHCTAGMKVAIDVVSASSPSTPSSPAPASGPSASNSPPPPSPSAATSVRVTAGLGLVVVLAGLMA >cds.KYUSt_chr2.10868 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69032605:69035867:1 gene:KYUSg_chr2.10868 transcript:KYUSt_chr2.10868 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPMELHAVADGSGVPLAVLLKRELCNQRVEKPDILSGEANKSKKGEDFTLLVPKCRRTPGEGAGDSAGDDDTISVFAIFDGHNGPAAAIYTRENLSNNVLAAIPPNLTSEEWTAALPRALVAGFVKTDKDFQTKGTTVTFVIIDGWVVTVASVGDSRCILESAEGSVYSLSADHRLDANEEEVERVTASGGEVGRINIAGGAGIGPLRCWPGGLCLSRSIGDTDVGEFIVPVPHVKQVKLSNAGGRLVIASDGVWDALSFQEALNYTRGLPAEAAANRIVKEAVSSKGLKDDTTCIVVDILPPEKLSPPIKKHGKGGIIALFRRRPSDELSEEQTDNGCFEPDVVEELYEEGSAMLAQRLNMNYPAGNMFKLHDCAVCQLEMKPGEGVSVHGNMPKHSRVDPWGGPFLCSSCQAKKVAMEGKLHSTSMDVFNHVLKILLHDDNRG >cds.KYUSt_chr6.7902 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48465358:48465741:-1 gene:KYUSg_chr6.7902 transcript:KYUSt_chr6.7902 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSYGGGIGGGMVGSYGGGIGGGMPTMGAYMAGSYGGGMGGDIGRGMPTMGSYMGGYGGGMASGMGNYMSGIFGGSMDGAMAGGMSGGISSNTTNVDEDGGNGGNGGMTGGEGMGANADTTVINEP >cds.KYUSt_contig_1781.88 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:514529:518019:-1 gene:KYUSg_contig_1781.88 transcript:KYUSt_contig_1781.88 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMEADRVEEEEECFESIDKLITQGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLTQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPLHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAISFTLSMFTACAIHCFNYYSIYIVVKLLIKNALLRLAHHIYSLILAANLHD >cds.KYUSt_chr1.31809 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193006927:193008358:-1 gene:KYUSg_chr1.31809 transcript:KYUSt_chr1.31809 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIVGGKRREPRQDIVLENLTWRPSSETGAAVDNGAATLRGGTFRERADRERISNGEREHGWVWPAHRWGGAGALDEQQRRLVGSDGRGRARRQASHQASRESKTSFPICCLSPNPNKKNVFLRHYANYLPTYLVASLAAYICALPAHHTHLSEITIRFTHPSSDAAILLQSLGSMASPANKGGRSLAPALLAMASLMAVVALAPRGASAALSCSTVYNTLMPCLGYVESGGEVPQACCGGIKNIVATASSTTDRRAACTCLKNVARGVGAGPYLSRAAGLPGRCGVQLPYKISPNVNCYSI >cds.KYUSt_chr6.32028 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202332741:202341239:1 gene:KYUSg_chr6.32028 transcript:KYUSt_chr6.32028 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPHGAGVGGSSVTLTCPVLTPTNYTVWAIKVEAILDAQGVWEAVSPADGVAVDAAKNKMARAQLLGALSEDILMSVSTKKTAKEVWDSLKTRFIGVDRVKAARLSTLRGEFDRLYMAEEEQLDDYAGKISGMAARTSIVDFQRSCNKCSYVLCLSCSRELRGLSPGAASANGMVLPQPGVEGKDDLQQGSNHDNIASQKPSDGQNDLLMDSAVPIEDRAPSLRQWKVNSNGSIPCPPNAFGGCGDAVLELKSLLEENAVSDLLEKANVVVKNEGMLEVGGSKCSCFTDSDHFHEHWLKGQPVIVHDVLELTSGLSWEPMVMWRALREQKENNTTDERLAVNLLECLTWSEVEINMHSFFNGYSRGVVGPEGLPMLLKLEDWPQHSSLEERLPRHAAEFMSALPFREYTDYKSGPLNLAVKLPREVTKPDLGPKICIAYGVTQELGIGDSVTKLHCGMSDAVSILTHTDVIKLKSQRITAIEKKKRILTIKEDSRNLQASQTDTDCFYEKDKVGDGSNSEDKNESPDNTEGTSEPTGGQKRRRQRGCHSSNASKKKRKKNREDKVHGISIFLETEDGLSFVEGDQPEGGALWDIFRREDVSKLCEYLRKHSEEFRHYNYKPVKQVIHPIHDQCFYLTNEHKRKLKEEYGVEPWTFEQKLGDAVFIPAGCPYQVRNLKVALNFVSPENVQECITLAEEIRLLPKGHRANKDKLEIKKIVFHAIEQAIEDITKKGDKESSDDEVEDQPGPSEHAEMEEDKKQSAQTMSEAEDEHAEMEEHQERSSEDMSDDEVEPAELEGPQGKSDQDFSEVKGGSSEMEEHKEQSPQEMSEAKDEPAELEEHQEQSAQDMCELEDGPLEMEEHQEQPAGGMPEAKDELVEIEGHQEQPSDEVDPSESAEMEERQEQPSQDMSGDKGELGEMEEHQDQSAEAMLEVEDEPTESKEHHEKSAQEISEVEGEPAETEEHQTQAAQVAIEVEVEPAEMVEPQEKSSQDMSEVKDGSTQMEERKEESAQNMSEARDGPAEMEGQEQSAEDPGEVKDGPVEMEVCSKKPSVLRKKRAGVRKVKAAPETIAKMEAAAKVARARTDVSNSSTKRNASAASIAMRTGRLTKRTRDNNADSETILILPVPLQVITEEAEIAFASAAKKKKEELLSEATNATENIVAEESESPRAKELAIVEEKETMITSFEKPESNETAHPKPANDEAEESTPEAKDQFVAPEGPVASPPQHVPSEEREYTVPLTTDDDEAASVNSQLLLQILANQQKILKNQADQAAKIEEHSKSIRQFRRVLNKLVIPNNQATSSQVSSTSS >cds.KYUSt_chr1.25262 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151540999:151542867:-1 gene:KYUSg_chr1.25262 transcript:KYUSt_chr1.25262 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPANRGRWRRRSARSNVPLLVAVLLLLLPASLLLSSAYSSLLRSLLPFSASPSPSSRGGGVQRCGRSAELDGEKFLWYAPHSGFSNQVGELRNAAVAAALLNRTLVVPPVLDHHAVVLGSCPKFRVSDPADLRTAVWDHAMQLLRERRYVSMGDIVDLSHVKALVRTIDFRVFVSLWCGVDMRKTCFSGLCCAVSGGESSPSDYGRCRSLLSGLEGSESSGCVYPVQDDCRTTVWTYQENNDGTLDSFQPDEELRRKKKISYVRKRKDLYKGLGPGSKAEDATLLAFGTLFSGPYKGSESYFDIHESPKGHRIQTVLEKTEFLPFAPEIMAAGKEFAKSTIKEPFLCAQLRLLDGQFKNHWKTTFSALKDKLKALEMEMKRNKSGGPIHMFIMTDLPPANWTKTYLADIAKDEKYELYTLKENDVLQTAEKLMAAEHGLRVCGDRWINNSRKHRNNEDEQCLQIIGEVHPLSNLPES >cds.KYUSt_chr3.2715 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15654838:15659700:-1 gene:KYUSg_chr3.2715 transcript:KYUSt_chr3.2715 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTAKLSCSPAATASLGRRSGPARRAAVVRAYAGSYADELVSTAKTVASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTAGLGEYISGAIMFEETLYQSTTDGKTFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVVSIPCGPTALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHGIERTLEVAEKVWSEVFFYLAENNVLFEGILLKPSMVTPGAEHKEKATAEAIAHNTLTMLKRRVPPAVPGIMSELEATMNLNAMNQSANPWHVSFSYARALQNSVLKTWQGRPENIEAAQKALLVRAKANSLAQLGSYTGKGESDESKKGMFQKGVMAQLEGTDLSPPEFLEVEDDDKKKQTVPNPAYDTWVIRDQQVMSYLMNSLSEEVLAHVLGLEHASEVWAALHELHGVQSKSRVSALRGALANTKKLDKTVQVYLTMMKGFVSELAAAGKKVDDDELKDYVLNGLDGTFNPLVASVRAVPSMSFNDMCSQLEAYE >cds.KYUSt_chr7.11228 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69004258:69013832:1 gene:KYUSg_chr7.11228 transcript:KYUSt_chr7.11228 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRLQGVMPLEQKWHIHGLGLCLISLFPLASQAELLPIRGGFGGQRQGREQTCRYGRMGLDADVKAQCEIWTEIETERVPISSEFLYFSGNDMMQFSEQQSWDIGRSYIAEDAYGSAAYDDLPSAAYDVYGSMSSPEPRMEVEQKPVLVGNTNEQAIPRKDEQAVKPTISLDSSVINLPSDVQGQAGTSNIGPGYENPPNEWDVYPPYASAEGLEVGPAVVYNEDPSMMYHGGYGYDPYAPYSPISTPNGLVVSLSTTTAFPKKAIFEATSARGKL >cds.KYUSt_chr1.22924 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135881963:135887886:1 gene:KYUSg_chr1.22924 transcript:KYUSt_chr1.22924 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQPTARPTPADGAEERAPPVEVEVEYGDEEEEEPPRSATAKQEEANVALGADGSRPFTTKEDAEAAEADGSGNGGGTGSAFGEGNGTLSADEGSSSSQESLQQSSAHHDVAMDLINSVTGVDDEGRSRQRILSFAGKRYVSAIERNPDDPDAYYNWALVLQESADNVDPNSGSSKDSLLEEACKKYAEATRLSPTLYDAYYNWAIAIADRAKTRGRTKEAEDLWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPAREKQTIIKAAISKFRVAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGRPDVSANELYSQSAIYVAAAHALKPNYSVYRSALRLVRMMLPLPYLKVGYLTAPPANNSIAPHSDWERSQFVLNHEGLQQVDGSNQPPSQSPGNTDGGRKPTRIAVADIVSVAASADLTLPPGAGLCIDTVHGARFLVADSWEALDSWLDALCLVYTIFARGKSDVLAGIITG >cds.KYUSt_chr3.18877 pep primary_assembly:MPB_Lper_Kyuss_1697:3:116118978:116119851:1 gene:KYUSg_chr3.18877 transcript:KYUSt_chr3.18877 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVVAGDDSTWSSGRATFYGGNDASGTMGGACGYGNMFSAGYGTNTAALSTALFNNGQSCGACFEVRCAGGASCSSGSVVVTATNVCPPNYALPNNDGGWCNPPQSHFDLTVAAFTQIAQYRAGVVPVQFRRVACIKSGGIRFTVTGHSYFNLVLISNVAGAGDLKSVYVKSPGTGWLAMSHNWGANWQNGAKLDSQPLSFQVTSSDGRTIQCPNVAPAGWGFGQTFSGGQF >cds.KYUSt_chr3.22255 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137372258:137373347:1 gene:KYUSg_chr3.22255 transcript:KYUSt_chr3.22255 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNEPAWTLSLVPFLLAAIDRALDADARRTLGVQALWSLVPSKETNLAMDATLAMDAVHAPSRNQCEVTGVGHLPVRSRNVSPSTRENGARPVHLWRQDSAPGAPPPELRCSGPSAVSLHLPPLPASRQRRYFLIVPVLAAEPSRLRRRPGSRPCMAELSEASLCSPAAPSPASPSSPPSSYPPCMSLSTEFRPCRPGNISSACRVAPLRCLTRRSSSASSLNRRRTSLGEPLILLRHCMRASPTEPRSLRRILQRRPPSSSSFDHRR >cds.KYUSt_chr7.37821 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235868605:235869906:-1 gene:KYUSg_chr7.37821 transcript:KYUSt_chr7.37821 gene_biotype:protein_coding transcript_biotype:protein_coding MADHLIGMLPPVPDCVTSARILLIATVFFLGVVARLLRNLAPHGTRRGLSIQVTDSAAAHRALVEHSASVLNRPIGVVPSGILTVERHYNILAAPYGPYWRAVRRNVTAGVLRPSNLALLGGTRARVLGRLVHDLRSGAPAAESIYFSVYSLIAEMCFGEEVVSELGETRLRAMHKLQTDFLSVLPSFGVFVRYPRMGKLLYPSRWRQLLALRRRQDESYLRLVAEVKKSNTDRKDDSRVKTYVESLLDLRILEDGGRALTDGEFVSLISEFLGAGTETTASTLQWTIANLIKRPDLQQKLRAEVDAVTSGQRSIIEEAELSCMPYLKATLLESLRRHPPLPFVLRHVGREVAAKVLGLTTVPEGGAAVNFLVGKIGRDPAAWSDPTEFKPERFMSTDGDCKNADLTCTRELRMMPFGAGRRACRRSRPPCCT >cds.KYUSt_contig_2804.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000512.1:78552:80047:-1 gene:KYUSg_contig_2804.8 transcript:KYUSt_contig_2804.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYNVLKVNRSATEEDLKKSYRRLAMKWHPDKNPGENKAEAEAKFKKISEAYEVLSDPQKRVIYDQYGEEGLKASADAGGSSSSMNGPSSHRFNPRNAEDVFAEFFGSSKPFEGMGRAKSMRFQTEGAGTFGGFGGGSESKFRSYNDPVSASSSQPRKPPPVETKLPCTLLELYSGSTRKMKISRNVMKPNGQVTTESEILTIDIKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDQYTREGNDILFHQKIDLVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELAIAKEGMPIIKENGRRGNLRIKFDVDFPKKLSSEQRQNIRKVLGG >cds.KYUSt_chr4.9442 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56971249:56972145:1 gene:KYUSg_chr4.9442 transcript:KYUSt_chr4.9442 gene_biotype:protein_coding transcript_biotype:protein_coding MANTASLLQLLVVVAVATAAIPRSEAGGISIYWGQNSGEGTLAETCATGNYKFVNIAFLPVFGNGQTPVLNLAGHCDPTNGGCASQSSDIKACQSSGVKVMLSIGGGAGDYYLNSSQDAKNVATYLWNNFLGGKSSSRPLGDAVLDGIDFDIEGGTPLHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNAPCQYSSGSTSSLEDSWKQWLTVPAKQIFLGLPASPQAAGSGFIPADDLKSDVLPLIKTSGKYGGIMLWSKYYDDQDNYSSSVKSDV >cds.KYUSt_chr4.38601 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238089815:238091170:1 gene:KYUSg_chr4.38601 transcript:KYUSt_chr4.38601 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAERMLGERRMRGINRFARNARLTVVCLFLTVLVLRGTVGAGRFGTPQQDLTELRHRFISHSHPQQQRALAEHHHALSKSTSTSKPYSASTDKDEPEPQPRSLRDPPYTLGPKISDWDEQRAAWNARHPETPPFLNDIKPRVMLVTGSSPKPCENPVGDHYLLKSIKNKMDYCRIHDIEVFYNMALLDAELAGFWAKLPLLRALLLAHPEVEFFWWMDSDAMFTDMAFELPWERYGPYNFVLHGWDEMVYDDRNWIGLNTGSFLIRNCQWSLDYLDTWAPMGPKGPVRTEAGRVLTKHLKDRPVFEADDQSAMVYILATQRDKWGDKVYLENGYYLHGYWGILVDRYEEMLENYQPGLGDHRWPLVTHFVGCKPCSKFGDYPVERCLKQMDRAFNFGDNQVLHMYGFEHKSLASRRVKRIRNETSNPLETKDDYGLLHPAFKAVKTTAT >cds.KYUSt_chr3.38332 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241419908:241424823:-1 gene:KYUSg_chr3.38332 transcript:KYUSt_chr3.38332 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGVYAGREATDLLSQIRHRTIFFSCFKVLRWSELVLWRRRSVEISINKVDSAGSGGQDQSYNLFSLIRHGGVIGEGMRLLVGCPLDLQQGSGVASHRRFHTAPLSPFFMAERRPLQPRVMASGRHFQIFFWSFIPYMIALYHLQAMWSEEWELYPSYIGAQVIEYGTVTEETSDDRSGDSMVSLDAVLPDDLLEKVISFLPVASVIRCGSVCKRWYEIVHARSRTWSKMVAQKPWYFMFTCSEEAVSGFAYDPSLRKWYGFDFPCIEKSNWSTSSSAGLVCLMDSENRSRIIVCNPITKDWKRLVDAPGGKSADYSALALSVSRTSHQYIVAIARSNQVPTEYYQWEFSIQLYESETGVLVNSNEHRHCVFMYDLSTRSVHTSLMSMAIPVPCPLTCGRLMNLRERLVLVGGIGKQDRPGIIKGIGVWELRNKQWHEVARMPHKYFQGFGEFDDVFASCGADDLIYIQSYGSPSLLTFEINQKLWRWSAKSPVMKRFPLQLFTGFSFEPSLDIAS >cds.KYUSt_chr6.1401 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8590262:8590468:-1 gene:KYUSg_chr6.1401 transcript:KYUSt_chr6.1401 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPVGLLGGASEGAVAQQGLCRMALLHSALGLQCAGESPPRRQGSAVEHAASAIDITIHVAAQSCRP >cds.KYUSt_chr7.39341 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244678092:244679360:-1 gene:KYUSg_chr7.39341 transcript:KYUSt_chr7.39341 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLLLAVAVGALALDLAAALGVNYGQVADNLPSPQDAAVLLRALKATKVKLYDADARVLSAFAGSGVDFTVGVPDNLVPRLASNPSAAAAWVRANILPHLPATSITTVTVGNEVLTGTDTTMLRSLLPAMESLHAALAACNITSHIAVTTAHSLAVLSSSFPPSSGAFRHDLLPYITPLLAFLAKTNSPFFINAYPYFAYKADSGSVDLDYVLFESNAGVLDAATGLRYGNMLHAQVDAVRTAICAADYGRAVEIQVSETGWPSQGDGDEAGASPHNAARYNGNLMRLVAQGKGTPGAPGEPLQVYVFALFNENQKPGPASERHYGLFKPDGTPAYDVGVKAPTISGWKGSGNDNGNGANNGTGGGAGLVVADGPGETGGGVGPGTGYYTISSATLKVPNCLYVITRRNAHALLLCELRQ >cds.KYUSt_chr7.40591 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251995474:251996851:1 gene:KYUSg_chr7.40591 transcript:KYUSt_chr7.40591 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGFLPVVVMVALNVAAAVMVLLVKVAMDGGLDPLVLVTLQQLIAALFLGPIAFFRERKSRPKMTMEIFLYLFASAALGAALRQYMNFVALRYTTATFVTAFSNLAPVLTFLLAAVTRSEKLNLRTGTGRAKLAGTLVSLAGAMVLTFYKGVPLTNTHLHHSASPAAPSSSPLSAAESSRRWTLGTVAILGNCVCLACWYLLHGRLAKKYPYVYSCNAFMSTFSFLQVAAIGLCAKRNLAAWLITSKFQILTVLYAGIVACGVSFVLLTWCIEKRGPVFVSAFIPVVQIIVAIIDFSILHESLYLGSVLGSVFVIGGLYLLLWGKRQEALQQRPKVSEHDREQQQQQVQLQP >cds.KYUSt_chr6.576 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3574552:3576085:-1 gene:KYUSg_chr6.576 transcript:KYUSt_chr6.576 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIFDPPTLEALACREAMSLAQDIGARRVHVSSDYAGVIDAIKAGSRSHYSSVIREIQAMMPRFDEILFTHEKRDCNVDAHNLAKFASNLEPDAHRAPHYVDAIEPATEDFCGSIKCLLAMEKKAAVICGTAGLLGLLAVILGFVGESTKSQSFSGFDGTNCVYRSTPALGCGVAGAFFVLTAHVFLTAATGCCGCYRPETRKMIPSQTKRVFAVTMSVVAWILLFIALGLFLVGATWNIAGDREPAEATSSGVGECYVLQGGVFAAASVLSLVIVGFGIASYFLLGASGPPREQEPGIAMGQPPPYFQPEGGYPAGATWQTRV >cds.KYUSt_chr7.4278 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25588052:25592806:-1 gene:KYUSg_chr7.4278 transcript:KYUSt_chr7.4278 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRNILDGVAVLHETVHEMHSKKLNRVILKFDFEKAYDKVKWSFLQQTLRMKGFSPEWRALINDFVYGGSVAIRVNDDTGHYFQTRKGLRQGDPLSPMLFNIVAGMLAVLIERAKSDGQIEGVIPHLVDGGLSILQYADDTILFMDHDLEKARYLKLILAAFEQLSGLKINFHKSELFCFGDAQDDIALSYTDAQVFSVRGYLFSVWRTETYLRRSSSTPSSGTAVDGLTDDLLVEILSRVPAKSLCRFKCVSNNWLSLIDHPDHRKKLPQALAGFLHSSTYTDEWLLEAPIHFTGFPGRRCPPIDASCTFLPSHRHVDILDSCNGLLLCRWYDISSEGDQFRYVVCNPATEKWTVLPDSGKATKEVCAVRLGFDPALSSHFHVFELVTDQVVYWDPDIVGVAVYSSETGRWVYKEKRWDAHIRLISHDFASVFLSGYLHFQADRRELSPGLAVVDTEGETWMDLAVPDGGLIDGFIQRSQDRLHYASFHVDGAVIRLVVYVLENYESKEWILKHSVETSCLFGGIEYCLVGGMDYSMDGGFDWVAIDPECNLLFFTIGWENKLMCYSMDRRQVKMISKLVDGKPPYLPYVPLYAELQSLRN >cds.KYUSt_chr7.28967 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180206148:180210736:-1 gene:KYUSg_chr7.28967 transcript:KYUSt_chr7.28967 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGSSGSTSTGGDGGGGSTSSSSSQLHSSQAVNGQVAAAIAGAAVAGLLFVMIAIFFVVTRKRKKDGLVYHTDGSYYMHSGQLVGSNHPSGVFYGPPPPGASGGFSYGPLATPGATDSFRGAGYYRSGSLEQQQQGAPGSNKSSFSYEELTSITSNFARDNVIGEGGFGCVYKGWLADGKCVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCVAQHHRMLIYEFVPNGTLEHHLHGRGVPVMDWPTRLRIAIGAAKGLAYLHEDCHPRIIHRDIKSANILLDYSFEAQVADFGLAKLSNDTHTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDQDRPLGEESLVEWARPVLADAIERGDHDELVDPRLQGVYNKAEMVRMVEAAAACVRHSAPKRPRMVQVMRALDVDVDEGSMSDLSNGVKVGQSQVFNNNQQEAALEQLRRTAFASEEFTGEFEPSREYGAANSDSRPMNRRPAS >cds.KYUSt_chr3.28486 pep primary_assembly:MPB_Lper_Kyuss_1697:3:177764254:177765798:1 gene:KYUSg_chr3.28486 transcript:KYUSt_chr3.28486 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSKPAAMEVEPASKTTFRIPVDSDNKATEFWLFSFVRPHMSAFHLSWFSFFCCFVSTFAAPPLLPLIRDTLGLTAKDIGNAGIASVSGAVFARVAMGTACDLVGPRLSSAAIILLTTPAVYCSSIITGPSSFLLVRFFTGFSLASFVSTQFWMSSMFSPPKVGLANGVAGGWGNLGGGAVQLLMPLVFEAVKKIGSTPFTAWRVAFFIPGVMQTFSAIAVLAFGQDMPDGNYRKLHKAGDMHKDSFANVLRHAVTNYRAWILALTYGYCFGVELAVDNIVAQYFYDRFNVNLHTAGLIAASFGMANIISRPGGGLLSDWLSDRFGMRGRLWGLWIVQTIGGVLCVVLGVVDYSFAASVAVMMLFSFFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQVIFFRGGKYKTETGILYMGLMIIACTLPITLIYFPQWGGMFVGPKPGATAEEYYSQEWTEQERQKGYNASTARFAENSVREGGRKSASGSQSRHTVPVDASPANV >cds.KYUSt_chr7.37860 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236096234:236097176:1 gene:KYUSg_chr7.37860 transcript:KYUSt_chr7.37860 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSVSALLQRLAQEVDPWAPGFWRDFGIGMLKPLAATAAVALAVALSSTQRLGIEAEMLYAVARSFLQLSVIGFVLQFIFTQKSPLWILLAYLFMVTVAGYTAGQRARRVPRGGYIAGVSILVGTGVTMFLLVVLSVFEFTPQYIIPVAGMMVGNTMTVTGVTMKKLHEDVKSQRNLVETALALGATPRQATAGQVRRSLVIALSPVIDSAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTKAFQLEDSVFSE >cds.KYUSt_chr2.14769 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93045172:93049641:1 gene:KYUSg_chr2.14769 transcript:KYUSt_chr2.14769 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSWQELGVVDTIYEDDHEEEEDDDEGETEDCFNSPTISSSAPTSASCSPSAAAAHSASLPPVLRTAAREWSRANGSRKPDVIVRVQERCFPLHRDPITSESSYLKRQLSESNDIALDLPAGLTVDAFADAVASCYGADVALSPANLAATWAAADWLELSAEDGLARRAEDYFFQEVATDHGRAAAVLRSCTTFLVGEVAGAGAALIVRCLETLAASGGADCGWLEDVAALPLEEFQVVVEAMRARLTHDHDLMYTIVDHYLENHKGKLTEEEKSRLCYNVNCSKLSHHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLTQHHHAAAPVSAAPLPLPPAMLKRSISGAFSSAVAAAAAAGDVASMTLGDILQRDAVMRQSAHIRASMEATGHRIDTLERELAGLRCRLRRSEEAAAAAHTASAAIDRVSAKSASFRIPRSRLWDGEDLSSGTGTATTVSKDSLSGKVGIKSRLVHGFKNLFVRRPGNGVAPPASSDGAGTDVRVGEKGARASCSTGLEGASRDEELCKGEWSTRTHRRNLSMA >cds.KYUSt_chr3.19792 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121844807:121846311:-1 gene:KYUSg_chr3.19792 transcript:KYUSt_chr3.19792 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLNHITKHGHGCWSTVPRLAGLQRCGKSCRLRWMNYLRPDLKRGAFSQDEEDLIIELHAVLGNRWSQIATRLPGRTDNEIKNLWNSCIKKKLRQQGLDPNTHKPLTEADRSKAAPIISTERTSEFSDVDQSSGGALGNLGHLLSETAQSPELFPLPGKHHMKAASLAGVKVPPKEFFLDQLASGHEKLPSCHSSVPVPNFPFRQLLSYNNEFSSRDAGSMNSLWFNQNESSGSVISTVMPPVSPSTHSTSTVLNSSPENPHSGIHSAQFYWDAANPSSSCSTRSSGNNNLGFELQSTGSLVENSIFPWTDLARDKDGQVQLEEELKWPDLLHGTFPETSTAMQSFSNSLYEDVVKAESQFNMEGLCAAWSQNLQPQQHLQVKEDIYDKDLQRISSPFENI >cds.KYUSt_chr3.44923 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283104614:283105391:1 gene:KYUSg_chr3.44923 transcript:KYUSt_chr3.44923 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEPVKLIGCFGSPFVHRVEVALRLKGVPYELIEEDLNNKSDLLLNHNPFHQKVPVLLHGDRPAVCESLVIIEYVDEAFSGPSLLPSDPYERAMARFWAAFMYECKDSMWIALWTDGEVQAASAREMKANLTLIERQLPKGKKFFGGDTIGFLDIAVGAIAHWIGVFEEIAGVRLLIEEEHPALCRWAREYTLDETVGQCLPDMDRVVAALTPRKELYVSVAKAMAAQK >cds.KYUSt_chr5.5489 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34244079:34245350:-1 gene:KYUSg_chr5.5489 transcript:KYUSt_chr5.5489 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLMAPPAGGGEKGGLVEKPTHPKPAGGGSADPRQRRRAILAPDRQLEEDGNLDDTDVMEEMGLPQGPATQIGGVLDMEDDVYLEFEEEEEAMFTRLIEEVWHLRSGIEYSEKGKNYYMITLFSRGDYDFVKRGGPWIFNQNALIVTDLHESLQPSETALNIVPVWVRIYDVPWGKQNEIWGYIYGDGLGKTLEVDVPASEQQKKEFFRVRVNLPYDLRLHTRIIAGEKGRPQEAKIFKLKNEQVPYYCSHCGFMGHRTYDCEKRRMGTPSLDYDTNELRCSPYKKFEHITYYVPPAAPVKRGLSFSSFGSVGSFKNFDQNVPMTRRRSSMTPDYVPSQADSVDENNSMPPLMEDPLQDGVVLEEADVPMQAEVESTLVAQVDAMLVTAETGAQTN >cds.KYUSt_chr7.28014 pep primary_assembly:MPB_Lper_Kyuss_1697:7:174928632:174929969:1 gene:KYUSg_chr7.28014 transcript:KYUSt_chr7.28014 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSTVVSVRPSTLMDPTNADAADPASSTSARAGYPERVLLNKTARISADRNATTAACRTRDGQAVAVSFWLANPPDVSHFSVHCPGIEEGDFSKPPPYVISAEGAFVLFRVTLEGSDHHLVYSACGNPTTGKKKNKPSLHLLPDPKPAVEAFENQQFGLMPLGDEHYAVAFLDHRWDSRGNAWAYRAYVFSSKTKAWKRSRDASLHLSESDRLLFDRHASSKQITVGASSLGWVDLMRGIILLSNLFDGEPPVITYIPLPAEKVCITDKHGYPHYAPEYFCDVVCCDDLISFVEIDFEDPGCRANGKAWKATTWHRKVSWDDWHKHATVDVADISVDPRYSALLFPDLLLDDQKQELELKNLFFLNPTLGVSGDDDLLYMLAKVYEGDDAAWVAAVDMKHAALQALAAVSTKDSNAIPMYRECAFPKYYINNISSSGTATLLF >cds.KYUSt_chr3.8579 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49783303:49785206:-1 gene:KYUSg_chr3.8579 transcript:KYUSt_chr3.8579 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAATMTTPAPAPARHHRFRVRAAWDMNPGAATIAAPKTSKPKPKPALGQSPATATTPARAPPPTHADLFARSSEGQAKKSTYMGFEKWWLPPAPVVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINEYNKRVMDVVKCEAQDLLLNKLLGEDFLTEEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLVGYLYLTNFKRLEQMMFQLGFTSGASSQNIAEELRASFK >cds.KYUSt_chr2.5889 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36610603:36611517:-1 gene:KYUSg_chr2.5889 transcript:KYUSt_chr2.5889 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVARRLFPGSPDGRTRRLYAATAGRGGGSPDGRMQRRGGGAAAGRCIGGGSPEVDGRKAGMLRRRGSGGGGAAVRSGEDAEEEEEEEGVEERELVETGKAKGVVQYFEFQFECVLIDLRPY >cds.KYUSt_chr2.8038 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50542678:50543824:-1 gene:KYUSg_chr2.8038 transcript:KYUSt_chr2.8038 gene_biotype:protein_coding transcript_biotype:protein_coding MRISPMEAGDHSGGNGKRARGDEEVKPEEGEVVMQDGDEGRNALAVVEAQAPMADQPQMDLRMDVALLHCQACLLPLKPPVFKCEAAGHVVCCYCRAGHGDICSRANTHCGELDAVVGAAKVPCPYKVFGCERYVVYHESADHQRVCQCAPCACPEPGCAYMGSRGMLLDHFAAAHSRLATALRYGRSWNLSFPLSHRWHVLVGEEDKSVFLLILGALGAATAVSLVCVRADGAPVPQFWCKLSVERPGDDKDKLVLMASTVGTSALTGGAPAPGKGMFLAVPQELLYGDMLALCIRIDQLRPAAAAPSPSPRSTTPQARIPRKTVFV >cds.KYUSt_chr4.46940 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290424062:290439657:1 gene:KYUSg_chr4.46940 transcript:KYUSt_chr4.46940 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGTGIPGVAPHYISPPSTFNVLLGSYWFDKPWFISEGKLAALIQSAQKGCRARRRRAEGLMKEVPVDQKTATAAPPLRFMVVLVAVGWISFLYYQFSVLAGYGQAPLVAGGRSDSADPCRGRYIYVHDLPPRFNADILRDCRKTKDHWPDMCGFVSNAGLGHPLADPIDKVFTGQNGWYGTHQFALDTIFHNRMKQYACLTNQSSVADAVFVPFYAGFDFVRYHWGYDNATKDAASVDLTQWLMRRPEWRRAGGRDHFLVAGRTGWDFRRSTNINPNWGTDLLVMPGGREMSVLVVESSLVHEREYSVPYPTYFHPRSDAEVRRWQDRVRGLERRWLMAFVGAPRPDNPKNIREKIIKQCTAAPGACAQLGCAFGSSQCHSPYKIMRLFQTATFCLQPPGDSYTRRSVFDSMVAGCIPVFFHPVSAYLQYKWYLPEDHAKYSVFITEEDVRTGNVSIEGVLRQILPETVERMREEVIRLIPTMLYADPRSKLETVRDAFDIAVDGIIGKSKECPFFFGIQPLASRPSPSIDDVWKLSQEGAMRPRALCSTTDFIFITDSDELFPDEDFFPDISSLYDDMGDNNGNVNGSTSASLVTHLKLDVEMVELEYGMEFEYLFGVPDEIPDITRSSGIVRRIRFIYRMSFYVNKIDAEGSMEDMASPINFNQFLEKEKLKSNGSNFTDWFRHVRIFLSGGNLQYVLDAPL >cds.KYUSt_chr5.8678 pep primary_assembly:MPB_Lper_Kyuss_1697:5:54961256:54962136:-1 gene:KYUSg_chr5.8678 transcript:KYUSt_chr5.8678 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTFMALGLAAAILSAAGPAAAQNCGCQAGFCCSQYGYCGTNSSYCGDGCQSGPCTSGGGGVGSIVTDAFFNGIKSQSGGGCAGQSFYTRQAFLNAVGSYSGFASGSSDAAKREIAAFFAHVTHETGHLCYIEEINGASQNYCDTGYPQWPCSSGVKYYGRGPLQLTWNYNYGAAGQSIGFDGLGSPQTVAQDPVLAFKTALWYWMTNVHGVLPQGFGATTRAINGAVECDGKNTAQMNARVGYYQDYCRQLGVDPGGSLTC >cds.KYUSt_chr7.17378 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107597882:107598481:1 gene:KYUSg_chr7.17378 transcript:KYUSt_chr7.17378 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPQEADDGDFTFPTPPPPQLLNGHHRYHGRVSPSSPPPAWMLSSPIRRSFSAADCGGSPWRATPGACRSPALSDYAGWFEEEEEELMDSLWEDLNDDEAPSGGKTDLFVASLDVSRRRSVGGPGRPVEVKMPMPTTKEREAVLGASRSSRRRSPGLVVMMRAVKKLFVAHKSRSRVHKVDDQSSASASSASGNSVKK >cds.KYUSt_chr4.45494 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281563008:281564027:-1 gene:KYUSg_chr4.45494 transcript:KYUSt_chr4.45494 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKTHTHKAFLLCNYALLGAASSCIFLTLSLRLLPTPCGLLLVFLHALTAVFSAAGCSGSFTAGPAPWHTAHTAGTARSRPSSRAPSRCSPSPAPPTSSPSCSPTSATTTAPSSSYDDYLRHQLNKTLDPRLRRDWRRKVDAFARLFESLRADDLLSDASRALCVGARLGRGGRRAPASSACAAPSASTSPGAAARRPRRVHAQPFADDALGITSNTLRVFDGRRRGGGAQQLHGDGYLHGDGKLCAQGKTPTTRQGRRRRGAVVEDVPAAARGERRCAGADEGRRRRRWRGAAWRRRGTAATARTTAWHRRGTRRRRRRLCGCEKKGELGGKSPRG >cds.KYUSt_chr3.38966 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245557101:245560885:1 gene:KYUSg_chr3.38966 transcript:KYUSt_chr3.38966 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNNWLGFSLSQQELPPSGAVSADVSGADVCFNIPQDWNMRGSELSALVSSEPKLEDFLGGISYSDHHHHQHKATAGNMVVPAGSGNPSGASYANSGGSSVGYLYHPSSASLQFADSVMVASSAGVHHNGSGVMDNATANNSNGGGIGLSMIKSWLRSQPAPAQQRVEMVAEGAQAAAQGLSLSMNMAAGMPQHLGGERGVLESVSTSTQGGMAARKEDAIGASSTGSGAVVSAGADSTGGSGGTVVELPAARKSADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVNNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMTRYDVKSILDSSALPIGSAAKRLKDAETGAATSASLQQQHAGVVSSYDIGALSAYGAAYHHPSVAAASAWPTIAFQASPLQSSGGQYMYHPYAAQAQPLRGWCKPEPDHAVIAAAHSLQELHHLNLGAGAGAHDFFSQHAQAMAMQQHGGLSSIDNTGASLEHSTGSNSVVYNGTVESNGGGSYMLPTATASHEQAALAHGRVAQAYNNGSMRDQDDGKIAYENFLLGTTEAYGGGRMTSAWTPASAPPATSSSDMSGGCNGAQLFSVWNDTN >cds.KYUSt_chr3.47815 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299614518:299614946:-1 gene:KYUSg_chr3.47815 transcript:KYUSt_chr3.47815 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPAARRRVRVDQDAMAKSLLVVLGLALLAAACTGQHGRGAATAASSDGDNLQQRQAAMMEAVQVFSEYNQEVTDPRALERAVATVNREVGTLRPIFQVVSRMPEGSAKEEASAAAKELLTRHLAQLLPGGSVKIADDMP >cds.KYUSt_chr7.314 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1828649:1829212:-1 gene:KYUSg_chr7.314 transcript:KYUSt_chr7.314 gene_biotype:protein_coding transcript_biotype:protein_coding MINGNGSSSRSTQQLATPVTGNLKGLVSGGADGGDKPPTHPYSTKSVHQLDGAGRGSKRPAVPTIAVGGFEERPFKCSSCEGFYISEEDLHCHIRRCHVPRSWRRRKEQAPFVEKRLSLGGHLPISNGTSEKGYSVVSKQNEEKEQEQKKQASKDAATEALVMPADNFVFDLNNPVPELEEGKRSSG >cds.KYUSt_scaffold_1259.559 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3762985:3764091:1 gene:KYUSg_scaffold_1259.559 transcript:KYUSt_scaffold_1259.559 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSPKKLQTDPPASLTDDLIVEILSRLPVRLVHRCRCVSKTWRDLISHPDHRKKLPQTLAGFFYGSYHSGHVPRISRHFADVSAAGPHLPVDASLPFLPKYSNVDQLDTCNGLVLCRCYKTPSENEFDYIVCNPVTQRWLELPPHPTPDEPDCISIVRLAFNPAVSSHFHVFQFEETDKEMHITGVNIYSSQRGAWNHRDSVWDARTVLPFTTRSVFLNGMLHLITAEPALVTVDTEGKSWGTIHMPHSLYFGFIGLSRGFLHYVTMTPDSKISILCLEDYDSKEWVLKLTVRTEELFGGTEQADSMIAIHPYGDIIFLSSRDTGTLASYDIRRQEFHRICNLEEGFTGPYLPYVPLFTKSVVDVD >cds.KYUSt_chr3.21039 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128985493:128989856:-1 gene:KYUSg_chr3.21039 transcript:KYUSt_chr3.21039 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKPQQQREDEQEQERKVGMEKTVKVLSSEEEADSPWSDADEPVQNHRGWKAMPYVIGNETFEKLGTMGTLSNMLVYLTTVYHIPSVSAATLLNIFSGTSNLATVAGAFVSDTYLGRYNTLAAATISSFVGLVILTLTAAFHSLHPPSCNPKAGEQCQGPSGGQLAVLLASFFFLVVGAGGIRPCNLAFGADQFNPRTADGRRGIASFFNWYYFTFTVAMMLSATVIIYLQSNVNWALGLAVPATLMGLSCAVFFMGTRFYVRVRPEGSPFTSFAHVLVASVRKRRLPLPDETSSQLFDPPHRSKLVSKLAYTDQFTCLDRAAVQGPEDELNADGKTAANPWRLCTLQQVEEVKCLARIIPVWSSGIVYFVVLTQLGTYTVLQAAQTDRRLGRSGFEIPQGSFVVFNMLTLTLWIPVYDRLLVPALRRITGREGGITLLQRIGVGLALSVATMAVAAAVEHERRRRSAPMSCFWLVPQQVVAGFSEAFSGIGQTEFYYRQFPENMRSVAGALYFLGFALASYASGLMVMVVHRTTSWLAEDLDEGRVDLFYFITGAIAAVNLVYFVACARWYRFKKSDQDAGGVELDDDSLRKKDSATAVSA >cds.KYUSt_chr7.3722 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22180369:22181682:-1 gene:KYUSg_chr7.3722 transcript:KYUSt_chr7.3722 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSVKGLASSNRWSALAMAEPEEGDQDDWLSKLPDGILLNIAERLDTADAARTSVLSRRWRQIPAMLSKICLTVGSYDPEHDRLVTCDDVARANANTVTMSNTALSWHKMLRLSEVLGKATVSDLHLNFESEKIWVKPEEPRELWPVFHKLRFLNLADISEECDLAWTLFILEGAPSLKELCLQIWNRCTMRRDEEERKEYLFSEEKKDAGVEWEGSASKHHNLAVLRIFGFQLEEKFVNYVSGVMESALNLQDIYLHGRPICETYKCKKRKDRYPWTKKQRVSLINCFNMDLHPLLRIHFPSVRA >cds.KYUSt_chr2.43996 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273810294:273813827:1 gene:KYUSg_chr2.43996 transcript:KYUSt_chr2.43996 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCLLALAVMLATAAETEAGVTSWYRRKLVATADMPLDADVFRMPPGYNAPQQVHITLGDQTGTAMTVSWVTANELGNGTVKYGRSPEKMEMSAEGTHTRYDYFNYTSGFIHHCTLKNLKHGVKYYYAMGFGHTVRTFWFTTPPKPGPDVPFKFGLIGDLGQTFDSNSTLSHYEANGGDAVLFVGDLSYADTYPLHDNNRWDTWARFVERSVAYQPWLWTTGNHELDYAPEIGETTPFKPFTHRYPTPFLAAGSTEPFWYSVKIASAHVIVLSSYSAYGKYTPQWKWLEDELGRVDRKTTPWLIVLMHSPWYNSNNYHYMEGETMRVQFEQWLVDAKVDLVLAGHVHSYERSRRFSNIQYDIINNKATPVHNLDAPVYVNIGDGGNIEGIADNFTKPQPGYSAFREASFGHGTLAIKNRTHAYYEWHRNHDGAKAVADSLWLTNRFWMPTHDDSN >cds.KYUSt_chr1.18299 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106955883:106957710:1 gene:KYUSg_chr1.18299 transcript:KYUSt_chr1.18299 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELGGGDCIGHKRADPARFSGGGDAKRTREGNNDLDRSSVEMDDDDVDEDCSSPENTLDHHRKCWMDSYGSFYSFEDETEFRPMAHTDGPMLPLHVDPMHTMQIFSVKDSLLELTGPSRAVLLLDCPAFEIDLKVKSKESSSQDKTLSYYAFIYNNYAYHGNASYAMTEVLPSEHSTMEVRFAHLPNAVEATITVRVVKGSNGFQACFTARTKSIEDDMVLLDSKSANVAGDNSGLFVLQRNVVVVEEKGELILGVKAAQADGAVGTEGVVAKQRAFCARSALRSEDYFDLGFSRLHVVIAWSMLP >cds.KYUSt_chr7.31787 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197924150:197925184:-1 gene:KYUSg_chr7.31787 transcript:KYUSt_chr7.31787 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVPAPAGPVPFKDVENNIDLQVTTVPAERFPEEHDDAMLLVSALPIKLAAGTKLKLRCYQGFWLPERFVPAAAAMQRRLVPRPDDVVIASLPKCGTTWLNALAFATMARRSYPPAGADHPLLRLNPHECVPFLDALLAEGGSREARLAALPSPRLMYTHMPHAMLPRGLNKVVYICREPKDTAVSLWQFRRSAHPEIPFGDTFDSVCDGGSTYGPFWDHVLGYWRASAACPEQVLFLRYEELLRDPAANVRRLARFVGVPFSEAEEEAGAVRGIVELCSLGSLRNVEVNRTGLMDGLGFPRKALFRKGVAGDWANHMTPEMARRMDEVVADKLRGTGLTFQ >cds.KYUSt_chr2.49086 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307181680:307187322:1 gene:KYUSg_chr2.49086 transcript:KYUSt_chr2.49086 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDHSAECPGCVLGQGFKNLKTLELDSIPGFKIWHGNGSRDYLSHLEELTVRNCSELTKLPFGNSASCYQSEEEETMACFPKLKIISITRCPKLLSLPPIPWSRTLCRASVQKIVSDPYSLSFHSNYFVNELTSLDIGGNGASDSALWNALSFSNLTHLQYLSILRCPPMQLDHLQLLTSLKILSITDSGHVLCPAESESNVRYQFPLEHVVIENCGADGKELTELLSYCPNLSKLRLRECEKKLQSLPAGLSGLSKLKILEIYYCPGIRSLPKDGLPSSLVELDISYGNNGIFTRQCRKLQGTIPVIKISKASGSNRVLEAQEARKAGHSSNKALKLILGDHIETELLANSHHTSQLDRLAVPALQFLEVTAFYGIYLSLIVYLQEVFHGHSASNVACVNYWVGVSYHMPVLGAAIADSFWGKYKTVMIGLSVSVVGMAMVTASAALPSLSPPPCVQNAICAPATLSQKLVFFSGLYLCGVGIGASKAVVISFAAEQYDDEDDGAGKKGPGRGAKASYFSWYYAVANMGMMTAGTLLVWVEAKVNWGLGYGICTSFVAVAVVILAATAPMYRISPPAGSPWKGVFQVLYAFSRKVKLKVPDDATALYEGEEDAKDPLVRPLHERLQHSDQFRFLDKAAIITDEDLNEDGDRPWRLCPMTQVEELKTLLKLIPIWLTSAVYFVANTQAQTTFVQQGTRTDTRIPAPSLTAVETALVAACVALYNRTSRRLTPLRLMGLGHATAAVAVGVAAWAESRRLRMAGDHERMGIAWLLPQYVVMAISDASLSVGQLEFFYDQSPETMKGASTAFYFVSVSIGNLINSQLVTLIASVTAAGGRTGWFPPEMDDGHLDYYFVLVVVVTVVNFAVFVALAKNYTPKRVR >cds.KYUSt_chr3.4837 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27503644:27505010:1 gene:KYUSg_chr3.4837 transcript:KYUSt_chr3.4837 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPMPVPRSGSVGVMLLLLVFCLAYVLGLMSSAIFQNIYIHNTLSPLQLSVFWSQSSSPSSLPPPPEAVPCILPPSPPSQTTVLRTMSMTPSGGRRMEFTDFLAPSSGLMHNMTDEELFWRASMAPSMKSMPKHVIVHKIAFLFLVRGDLPLRPLWDKFFKGHEGLYSIYVHASPSYTGSPPPDSPFYGRMIPSQTTKWGHISLVDAERRLLGNSLLDLSNGHFALLSESCIPLFDFPAVQAYITGSNNNFVDSFDREESRERHSPFFAEHNVSLAQWRKGAQWFVMDRVFAREVVSDETYYGPVFRNGRHKYMDEHYIPTLVNILGLGHRNSNRSVMYSDWWTPRVRHPKSHSGSEVTEVLIKEMRRGMDGNCSYNGRAAEFCALFARKFRPDALLPLLDLAPKVMGFG >cds.KYUSt_chr6.29533 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187182362:187188872:-1 gene:KYUSg_chr6.29533 transcript:KYUSt_chr6.29533 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDDDDRNLFAGVRFVLVGFDSVSESQYRAEMVRRGGADAGRLGGGCTHVVACGRVYDDPVCVAARAQGHKVVSELWVDDSLDRAVLADADRVRSQFPLLTASLLPQSFSCTILPQSFSDVAALGEKYDLAKKVNIKLTNHRWLEDCSWELEMLEAQVKDSDDEVEDVDRKPFNSRSSVKRTPNPQSSIRTSGNPGIDAQIRPPIIPNDDKNMAVDRHLNTPSQIKKEGNMIKKTHDITAQLQGTPNFSRLASSANTDSGAPIQTAFVKSGNRVEAEERNLNSPDQVHAAEYEYVNTSDQIRKEENMVKKTHDITAQLQATPNFSRLASSANTDGAAPIQIPFGNSDNREEAAVRNLNSPNHLQAAEYEYVNTSGQTRKEENMVKKTHDITAQLQATPNFSRFASSADTDSGAPIQPPFVISGNREEAAVRNLNNPNQVQVDVGTDIATGAPGATSSSDIATGATGTPSSSTMAVPANHHFHSSNDTLMVPKNTATPRARKTGARRPCSVSMEVDGSVVNNGKAVVSESETDKIIPCQQAGTIPKDGSSIASATEREITSLKKVPAGTPSSSRMSVLANHNFSSSNETLTVPKTKATPRARNAGAKRPHSDSLEVDGSVVNNGKAVVSEPETEKMLPCQLASATSKKGSSSASVTERKTTSSKKVPFGGVRSAHAKRQQSVHIEVNAAQVGSQLELNKVISQENIETGPKRFCSSALDAEHERKSPKKLPNTRVKSTVAKKSRKSDTNMTTESPADEAEKVPAESLFDDLFPSENVEDCPKKLSSTASVDGCDAISPENMSKTRARKVVAKRKIKAVEDKSGSKHNKIGSALVSAAKAFSSKRMESTCNIDEVTADQDSRKDNNDAMRDVSVSSCKDTLTVEKLEGMHKSKLRCSERNKALASDHDKENQQDHGNLSSKSDCGKGGLNSKFALRSARNKATASDHDKENRHDPGNLSSKSDCENRGLNSKFDLESAKKNTDMLDKHGGREGNGAGTFITLDPTCFILSGHRWQRRDYRSILRRLKGRVCRDSHHWSYQATHFIAPDPLRRTEKFFAAAAAGRWILKPDYLTSCNEAGKLLDEGPFEWSGTGLNEGETISFEAPRKWRAIKQQMGHGAFYGMQIIVYGQLIAPSLDTVKRAVRAGDGNILATSPPYTRFLNSGVDFAVISASMPSADAWVQEFISHNIPCVSADYLVEYVCKPGYPLDRHVLFKTNRLANKSLAKLLKNQQEVATDDLEELEDDDPADDLSCSVCGSTDRGEVMLICGSEDGSVGCGAGMHIDCCEPPLECVPDDDWLCPKCDVSKAKKKPSAPSKSRGSKRRR >cds.KYUSt_chr6.2744 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16070093:16077113:1 gene:KYUSg_chr6.2744 transcript:KYUSt_chr6.2744 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPGCRDTCGNITVPYPFGIGAGCYRDDGQGGYALKCDDSGSSPPRLTVEGYNHRLAALSLAAGEARAYLNATRECFNSTDGLIGGRSRDTSMALVFSVYRFSDAKNRLVALGCPNLGYFVDAAGYYVSGCMSVCRPSQYAMPGPCTGVGCCQSAIPPGIDFFEPHQRNFPKGQDDSSAFTTNATSCHYVFLVDTEWFTYSDRVFLDRADDFDVPVVLDWAVRNVGNCSAARRNATDFACRSMRSECFDSTNGPGYRCNCSAGFHGNPYLDGGCADIDECQLKDDYPCYGVCTNTLGNYTCQCHPETSGDPTVKNGCRPNDKFTLALKVLTGVGAGVILLGSMCCCLCLTLQKSKLMRRKQKFFEHNGGIILQQQMRSYNGSTGGSTSGFKIFSSEELKKATNNFAADQILGRGGHGIVYRGALADNSVVAIKKSKMMEEAETKEFAREMLILSQINHRNVVKLLGCCLEVEVPMLVYEYVSNGTLYQYVHGGKGLDSDTALDTRLRIAAESAEALAYMHSSALPPILHGDVKTANILLDGNLTAKVTDFGASKLAPNDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKVLCFDGPEENRSLVSRFVMAVKAGRHDELMDCQVRKEMGPEALEEITNLVMRCVSGAARDEGEVSAQEQQKPPPPPQVVRPGCREKCGNITVPYPFGIGDGCYRDDGKGGFQLKCDDSHATPWLTAVGYDIRITNLSIATGEVQAKLKATRYCYDNKGVSVNQSRDGATVLSSSHYLFSATRNRLVALGCPSHGYFVDPTGYYVSGCMSICRPLQYAMPGSCTGVACCQSAIPSAVGYFEPYLLDVQKKDGDPILYTTTTTCRYVFLVDTEWLSTRYNNVDKHLNRTDNLTVPIILDWAVRNVDNCSTARLNKTDYACRSVASHCVKSINGAGYQCNCSKGYEGNPYLEDGCRDIDECERIEKQEEPCYGVCTNTPGSYTCQCPPGTNGNATWKNGCRPKDSFTFALKLVTGASVGVFLPVFMCFWLYLGIQKRKFIRAKHKFFELNGGVFLQQQMSSYNGTGNCAGGFKIFSKEDLEKATNHFASDCVLGRGGHGIVYKGVLEDNTVVAIKKSKMIEEALTKEFAMEMFILSQINHKNVVKLLGCCLEVEVPILVYEYVSNGTLYHYIHGSKGIDSGASFETCLRIAVESAEALAYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGASKLAPTDEAKMATLVQGTCGYLDPEYLMTCRLTEKSDVYSFGVVLLELLTKKKALYFDGPEEDRSLVLCFMMAVQVGQHQELLDSQVRNEMRIETLEVITHLIMRCLNMCGQERPTMKEVAERLEMLRRYQLHPWDQADANTDETQSLLDMEQRNVEYRFTQDCVLDFDGGSTYSFSS >cds.KYUSt_chr1.30850 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186832119:186832767:-1 gene:KYUSg_chr1.30850 transcript:KYUSt_chr1.30850 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSKITLATVFCLVAASAVAVPPATLQDTCKSAGEQAVLCSVLLSSNPAAQKTPVDTRGLAHAAVMAAGANATATAEKLNQLFDSDDIKTKSPDLQRCIEDCTQRYQSAGTFLSQASSKLDAGSFDEANVLIAGAQSVIKLCQRTCQNVPKGELTVCSKNVDLLCGIAASITRLLLQH >cds.KYUSt_chr4.50687 pep primary_assembly:MPB_Lper_Kyuss_1697:4:313980736:313987987:1 gene:KYUSg_chr4.50687 transcript:KYUSt_chr4.50687 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLPLLLLPFSSPNPALTTAASRLHAPTKPLALPPRRRPLVSSCSSSFAVAAAVNDDYDDDVVLGDCLVFEDEAFEDPALDLRTRRPPRRRGATSRAGSLVPERWSDAVEEINLTKKEKRRIAHGLRFNSRLDRRAPSAVAAPDEYRAYREGRLDAELGRVARDYVEPLEDKSRARRDTDPGRVYRDYIEPLGERSRGTDMVEAPPPPDPGTRVAPRNPRMAMARAGLEDIAELFSSSDYVPGETEDGKSAKSRRKLFTDEEKALLNKRLPDLEAAASSKWLPLHTLAASGDFYLLDNLLKHKVDVNALDKDGLPAIHKAIISKKHAIINYLLRNSANPFIYDKDGATLMHYAVQTACNQTIKTLLLYNVDINRPDDNQLLTECGCCWKERTYLGYGLAKKTVTPESCKANLSCHNGQCNVTRPSRLAKLYAGVSAALLLPSQPSRIELEHGDELTVTSEDEWKLCGMELGSGSLDYTGEVE >cds.KYUSt_chr5.3772 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24361626:24367331:-1 gene:KYUSg_chr5.3772 transcript:KYUSt_chr5.3772 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFSACRSLYHCGSALEAELGACMQSIALALQQTQDPIIVETDSTMLVEFVKIPRSQNKASDALARFGRVYDTIAVWLGSGLDEILEFILEDCNATVTCYQRQGSPRIEAKSMEEVYDALAEHLLSVLKNVDHLDSKYIVGLAGPPGAGKSTVASEVVRRVNARWSQKHSKDSSLNSNEDIATMLPMDGFHLYRSQLDAMEDPKEAHARRGAPWTFNPCLFLKCLQTLRKEGSVYAPSFDHGVGDPVENDIVVKPEFIDIDIDVSMQRVLQRHIGTGKEPDVAAWRIAYNDRPNAELIMESRKAADLVIRSVDFSS >cds.KYUSt_chr5.6832 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42308309:42309551:-1 gene:KYUSg_chr5.6832 transcript:KYUSt_chr5.6832 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGRGRHDMLIRVTLRRVPEPEQAEDRAGEAEDCAGDQVEGREGQAKCCAGKAEGRAGQVETRAGEPADGRDGETKEGGEDGRVGEPVEGLTALRVSRRRASRASRRRDREEEDIQNTAAIAKLRRDGELEEIIAIITTDASPSTSHVSPIHV >cds.KYUSt_chr6.29175 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184864733:184866079:-1 gene:KYUSg_chr6.29175 transcript:KYUSt_chr6.29175 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVASIADRREHISLPSDEILEEIFLRLPTPETLARASAACTTFRRVITDRLFLRRYRKLRPPPLLGLISDRGGGFHPAEAPHPSARLARALLDAADFTYSFVPKPREGWLSPWHPRDVRDGRVLLDCRIPGKTFRTAFAVCDPVSRRYVVLPPVPDEDIAGRPAELAPVLAPVGEHEDERSFKVICMVHYETRLVAFVFSSVTGQWCISASPTWSSLGTGRPRGFRNSSDPDGCRGLSSFDCVRGCFYSASPWMDKFLVLDTRRMEFSVIHDRDDVYKMLRNMLDPPAGQHRRRPGQTRSLPGVVGGEGSLELLSLVGAHNPNASPRVYHSSQQSNGEPCKSPRMNIIPLCGSYDYFTVGAAEGFLFLGATKEDRVGQYHVDMVVAQDNPYDETPLLETWDVDYFSVELRTSEVTKICTMKKSYFNHERVHSYFGYPPSLSTPTI >cds.KYUSt_chr3.14208 pep primary_assembly:MPB_Lper_Kyuss_1697:3:86207028:86207456:1 gene:KYUSg_chr3.14208 transcript:KYUSt_chr3.14208 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQGRRKRRSSGAQLRLSGSTVSGAKKPAAAKAAPVPPCNYHLSMAAVQSILNHRREPFFIGSYFDNLDEEGKRIVLEAAAEHEKIEDRYAVYQEKVRKEFAAKGFVALPDDYDKRRAAVNAASEETFRRFAAQYNPDGI >cds.KYUSt_chr7.10508 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64497005:64504577:-1 gene:KYUSg_chr7.10508 transcript:KYUSt_chr7.10508 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLDASPPPATPAAAEASAPAPATAPAAATASPVLRPRRESFEHGLLPIPKLVFPEGTLTQTLTQLKAKLAAPGAGRVGAAALAEALQIPADQAALALGTLATVLPAADDPAEGSYEADLRDVLLFLYIQSYKRLVPRAHKDSPSVTDVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEAHQLSYLQKHMANILTLLADSVDGEGDESMVLTMETFEHLGFLLQFSEGTPLSQAAPFFANSDPDMPAAPVPATQVHDWILQNIASSLEYTAEKSTTKENSQQNVSDPDVTMADAGTNTRIQSSTPTGASVQNSPGYYRNTSFVEAISKTSVVKQGSDIKGHSIKVLNCHDSVIYILAPLKYATVYGCSDTTVVLGAIGKVVKVEHCERVQIIAASKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLAQVGVDANINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATRLDPDLFTNFLIPSWFEAEGLTKYNPFTLPEVYWVSQRKKKDSLEDIQKNIRELELDDNRKKELACALHAQFKDWLYGKLFLY >cds.KYUSt_chr1.19440 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114156495:114169618:1 gene:KYUSg_chr1.19440 transcript:KYUSt_chr1.19440 gene_biotype:protein_coding transcript_biotype:protein_coding YQSDLGMEHWTAVKNILKYLKRTKDMFLCYGGDQELVVTSYTDASWNTDPDDSKSQSGYVFILNGAAVSWCSSKQCTVAKSSTESEYIAASEASSEAVWMKRFIVELGVVPSALDPLVIYCDNMGAIANAQEPRSHKKLKHIKLRFHSIREYIEDGESAEERLKVYLRIRPLPAPERERGGKPGGRPKAKEQQARKPPKQAGDGVCLVATGPNSVALTVPQSKLVDHKRGRTEVFDGFSAVLPPDCSQHDLFSQVMNPLVDDFLGGKSGLLVAMGPTGSGKTHTVFGTARHPGIVPLTLQKIFNTEDESDVGSQPTRSFCLSMFEILSEGKAERILDLLSDAADLVLQQSSIIKGLKEVDISNFMDAESLVSRADHSALSLYVLLIKIMTYKILNVKQGDDDYSDTSYLLRQASPYMKIRYTSLDDSSDLASQKRSSVSLICQENKKRRKVHKPEALTAEGKGSVDKSDEIKLSEKDEFLNSELQRVSRNEQIMKNLFRALWAVSKQKLMESESAARSMKELLSEKDNQIRELKKELNDLKSCSHEKFPVDEDTPGQAALSSASQSNQTDMGSNDVAFDDFNLGTELVVEEVSEEFRCYDSEKSSANSDKKGKFVDCDTSRYLKV >cds.KYUSt_chr4.23898 pep primary_assembly:MPB_Lper_Kyuss_1697:4:150622855:150624750:-1 gene:KYUSg_chr4.23898 transcript:KYUSt_chr4.23898 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLRRSAAVLRRLQIASSTASDPTAVYAGWSSSAEAPSFGSCWYSKHNARNFSTDDKIITTGELGPGTSVKDNDAINDPIQNSTKVLTQGPAGHTLSSSEKRKSLVSTLLDLEDSEEAVYSTLDAWAAFEQDSPVVSIKKAIVALEKKEQWNMIVQVITWMLSKGQGNTLGTYEQLVRALAKDNRAEEAHEIWEKKVSHDLRVVPWSFCRHMLATYYRNNMLDRLIKLFNDLEACGRSKGHIRRVNEKLGLLEEKKGLLDKYKDLYNMPSVSDRKKGRQFMKTEKKAG >cds.KYUSt_chr5.29766 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188765514:188765915:-1 gene:KYUSg_chr5.29766 transcript:KYUSt_chr5.29766 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPTTAGKRKRPAAAAAAVDEVSDADVEEFYAILHRHRRMLDASRHPVSGAGARAGTQTPTHMPAPWRPSFSMADFAQPAPTPAAVPALRQQQLPVAGNATPPRRPASIALDLNAEPEPQEPPTPRPERDQA >cds.KYUSt_chr7.33367 pep primary_assembly:MPB_Lper_Kyuss_1697:7:208235519:208243464:1 gene:KYUSg_chr7.33367 transcript:KYUSt_chr7.33367 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKDSMLLWFVVNSDIELALCYAIWTSTTKHRDSTRTGLGNSVRRTPATQGLRGNALYAVDPFVFVRFTSTSPPPPTTTRTTGTITSTGSHRSSTTSGRPRRCYTEEIEAAGLNTELCAKSWFPWTFSLSQDTTGEGPWTVRDHASVSFVNIDSLDQEDDQDATQDPLDQRIHEDHNVV >cds.KYUSt_chr4.48903 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302966488:302966856:-1 gene:KYUSg_chr4.48903 transcript:KYUSt_chr4.48903 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLQAVVVAVLLIVVAATLPARTGAVWEPIQAGDLNSLLVQQVARFAVLLHDLSRHANLVLVKVDRGAIERSGAGGVGTTYRLELTAETSPGRSRWQYRCEVRGVPGSISYTWKLLSFKAI >cds.KYUSt_scaffold_1854.315 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1711783:1712001:1 gene:KYUSg_scaffold_1854.315 transcript:KYUSt_scaffold_1854.315 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLKMEAHAARGAFPMLALAVAAEVHLYTDGADEVAPDLNVVKGRACSLLREKVIEAGRRRPLRLHRRRV >cds.KYUSt_chr2.45308 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282604258:282604569:-1 gene:KYUSg_chr2.45308 transcript:KYUSt_chr2.45308 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNESSSHGVGVVGGGRRGEDESQHPGVGGHAIAYSEDFRKATDYFGVLYDAAALALSAFTAEAIHLNPGNYTVRLSVPILSSSPHPYWIGLEIHRASIVSR >cds.KYUSt_chr3.31882 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200323819:200325172:-1 gene:KYUSg_chr3.31882 transcript:KYUSt_chr3.31882 gene_biotype:protein_coding transcript_biotype:protein_coding MLGACSCVFVLLVVITCIYLFQRLRRKGNIFSSTSPSVTTVQLPPGPSSWPVVGNLPEMMFHKPVFRWIHLLMKEMGTDIACFRLGGVHVIPITCPKIAREVLKTQDANFASHPLSFASGAVSRGYTDAVLSPFGDQWKKMRRVLTSEIVCPSRHRWLHDKRADEADNFTRYVYSLTGTSGNNVDVRHVARHYCGNVIRRLVFGSRYFGEPQPDGGPGPLEVEHMDATFTSLGLLYSFCVSDYLPWLLGLDLDGHEKMVKEANARVNRLHDAVIQERWTASRNVGERQEEHEDFLDVLISLKDGDGNPLLTIDEIKALCMDMTLAGTDNPSNAVEWALAEMVNSPELLAKAVEEIDRTVGRERLVQESDLPLLGLISTAPEPNGSGTTP >cds.KYUSt_chr3.10062 pep primary_assembly:MPB_Lper_Kyuss_1697:3:59405913:59412208:-1 gene:KYUSg_chr3.10062 transcript:KYUSt_chr3.10062 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTRTSILLLFSLLLLLPNTRTPSCATLSKHDGAVRRVGNPGERLEILQVAARLFPITSAPRVSVYTMVRKASKTSDVQVAPTRDRKSRQKVKKKVEDDNDDKKDVKKEKSSDGKSGDKGKRNLEDGTDKVSKKHKTAAEKDLPMKNDTGAKKDLKKQTSSDGKSGDKSKRNSEDGATNVSKKHKAKKQLPPKEVESSISDEDSSGSMEKDGTAKVSKKHKTAAEKDLPMKNDTGTKKDLIKQTSSDGKSGGKGKRNSVDGTTNISKKRKAKSSISDEDPSDSMEKITRLLKNHFSSCGVITKTLIPVDTETGASKGALFMEFRDQLSVSNALKLNGSRFDGGLTLSVEEAEVLAEPRANNRSFDGGRGGRRGGRGRGGRQGGRGRGGRRGGRS >cds.KYUSt_contig_1537.108 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:658694:661818:1 gene:KYUSg_contig_1537.108 transcript:KYUSt_contig_1537.108 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHTMTLGGFQGLRRANFLDTKSVVRRDFGSIVASQLARPRGKGSRMVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRITNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQIVFKLSGNYDRYNQFTYLYFTFSIVVDVFFFQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLQYTDDALIAAAKLSYQYIRFALVQYLARPMRAYNVSALKVCPISL >cds.KYUSt_chr2.34704 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214257474:214260546:-1 gene:KYUSg_chr2.34704 transcript:KYUSt_chr2.34704 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAPHRRHRWVKEWIPQDLIIAGGPCALYKWVREDRLAALKSNDKEQVTDSAKPEPTTEILFLCSYDGCGKTFVDAGALKKHANVHGERQYVCHYEGCGKKFLDSSKLKRHFLIHTGEKNFVCHHEGCGKAFSLDFNLKAHMKTHFADNYHLCPSPECGRRFTQESKLRAHYRAQHDKNPGGPVMNLHNPVKPLATPPVPSADRPYVCPYDGCAKAYIHEYKLNLHLRKEHPNHYADAGAEAGPSRGTASKKSRRRKPNQTPNLPLKIPKRSEYSAPSPAVSIPEEHQWPRKVLYEEDSEETEEEGENLEDGGSRYRAASSDDDDDDEETEDEE >cds.KYUSt_chr3.25089 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155759904:155765075:1 gene:KYUSg_chr3.25089 transcript:KYUSt_chr3.25089 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTALQYGMCLTGAGHFGHGLDPREACVQHDCCGRAQAVTIGRRSKGRHCLSLSLSDRVLPSSVGADVRYHREKRGDEAIQYLRLPDWYELHGIVRQGAHLNLAIMMEVVRSESASESAFSMGGRIVTDFRSRLGVESVEALVCSKDWYRGQGPSAKLMPVGYGIKKLQIMMTIIDDLVSVDTLIEDHLCVEPANEYIQSCDIVAFNKICEVLQLL >cds.KYUSt_chr7.35506 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221878845:221879483:1 gene:KYUSg_chr7.35506 transcript:KYUSt_chr7.35506 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGPRFGARKPAAGLSFRTSAAHDCNPSSSRLSFAAETSNKVFEDQVRGIVCYRDDKGELVCEGYDEGPRLGMRLPEKACFPWPVGVQVTDFIHLATLRVFEDGADDDVLRPKNGKNWQL >cds.KYUSt_chr3.42381 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267949399:267955549:1 gene:KYUSg_chr3.42381 transcript:KYUSt_chr3.42381 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEWARRFPEDVAATEAFYAQKEEEKAAAKAKKKASRDKRRAESAARKAARAEKAARKEEEKKNGAEPSTIVLSSSSSFEWTTTPVSETYSEHPLLGLRLGGFRPAAADDAAAAMPAAAMAPPQTPSAAGDPLFDELWHACAGPLVTVPRVGDLVFYFPQGHIEQVEASMNQVAGNQMRLYDLPSKLLCRVINVELKAEADTDEVYAQVMLMPEPEQNEMAVDKSSPTTGATPVKPVVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMDWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGESGELRVGVRRAMRQLSNVPSSVISSHSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESVKNNYSIGMRFRMRFEGEESPEQRFTGTIVGSENLDQLWPESSWRSLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSRVKRPRPSVPAASPESSVLTKEGATKADMDSAQAQRNQNNMVLQGQEHLTLRTNNLTESNESDATVQKPMMWSPSPNIGKNHPLTFQQRPSMDNWMQLGRREPDFKDAISGAQSFGDSPGFFMQTYDEAPNRLGSFKNQFQDHSSARHYPDPYLYMHQQPSMTVESSTKMQTETNEVHFWNSQSTVYGNSRDQQQGFRFDEHPSNWLTPQFSQAEHPRVIRPQASIAPVDLQKTREGSGFKIFGFKVDTTSGPSNHLSSPMAATHEPLLHAQPSATLNQLQHAPTDCFPEVSVSTAGTTDNEKSTQQAPQSSKDVQSKPHVASTRSCTKVHKQGVALGRSVDLSKFSDYDELKAELDKMFEFDGELMSSSKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIFIYTKEEVQKMNSKSSTPRKEECSVDGDGTSEKAQLLTSSHLDN >cds.KYUSt_chr4.40607 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250681077:250689692:-1 gene:KYUSg_chr4.40607 transcript:KYUSt_chr4.40607 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPSRLVCSVSSADGYVSAAPILLPDGPWKQVISWTIASAPARTRPFPLNPLALVQVEGGVTAAKGFKAAGIYGGLRAKGQKPDLALVACDVDATVAGAFTTNVVAAAPVLYCKHVLTLSKTARAVLINAGQANAATGDAGYQDAVDSAEAVAKLLNVNTNDILIQSTGVIGQRIKKEALLNSLPRLVGSLSSSTEGSNSSAVAITTTDLVSKSIAVQTEIGGVPIKIGGMAKGSGMIHPNMATMLGVLTTDAQVRSDVWREMVRTSVSRSFNQITVDGDTSTNDCVIAMASGLSGLSDILTHDSAEAQQLQACLDAVMQGLAKSIAWDGEGATCLIEVTVTGSNNEAEAAKIARSVASSSLVKAAVFGRDPNWGRIACAAGYSGIHFNADQLDISLGVIPLMKNGQPLPFDRSAASKYLKDAGDIHGTVNIDVSVGSGGGSGKAWGCDLSYKYVEINAEYTT >cds.KYUSt_chr1.25024 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149917722:149923459:1 gene:KYUSg_chr1.25024 transcript:KYUSt_chr1.25024 gene_biotype:protein_coding transcript_biotype:protein_coding MISWYRLIVGAVDTGSTDPLAAKFTDLYLFGPREETVVQKEDLHLFGPPEETVVRKEALLLVSSVQIVEPIVHANVVLREGVNCSAVVRTPRQEREHSETRFACLKEKHASIILDPHHPDCVRLNNITSKLVRAVHTHLIIKSHDTAMLELDRGNAASVVEVLRKKLGSAGRAQPATGHLDGLNWEVMVVEDKRVNMWCYPAGKIVVPTGFLQVFRTDAELATMIAHEVGHVVARHWAEKIIYRKCLPKPLRVPFFRRAEREADQIGLMILAAAGFDPRVAPPAFQKLGHSGRFLSTYPSSKKRAQLLSGNKIMEEALKLYREVSPNQHTEDSL >cds.KYUSt_chr6.6460 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39076643:39081682:1 gene:KYUSg_chr6.6460 transcript:KYUSt_chr6.6460 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGKRGVDAAHPGLLDDEEEAAEGLAGVEEEGGRVVDDEDVDELELLPALASLRKTRMKKAGTSDWPADTQRRGAGPGRRAGSMMSATKTSESRDVEHELLERTATAGLVGYRQPEALIPTCDAPEPRFMQRGKRGVDAAHPGLLDDEEEAAEGLAGVEEEGGRVVDDEDVDELELLPALASLRKTRMKKAGTSDWPADTQRRDAGMILGAERMSEEKQNGREWRRGRGLAGLGLQGRNREDL >cds.KYUSt_contig_815.361 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:2185668:2187779:1 gene:KYUSg_contig_815.361 transcript:KYUSt_contig_815.361 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGSLAGALVTPPRRHEELPVDEKRRRTESGAVASSTAPPRSKVVYGPDGFPVDGVTRAVLLHDCKHSDGSIYTVDYWSKVYCLGDTREIQLYGYMAVRDLLNPFRNYVFNRSRDDPFTVEQEDGFIQMSGPKRGIRMEGYVLLEYDMKIKMGGEERDDLQLIDGVAYFNNLTIMNASEHKQRIDGDCGAVDITLSLLRCAVEATVQVGISDLEHGSGLSLRLTASYISSRFALREGIRLADGVVDPETCELNKYVVAVPWKVKLGLKLQVSQIGGSDHSVIDKFFLCSPHKHGHQNLAFKLGLATVKVKVTWSTLDIPRSLLGPDCYMYDFEAARDLGLLNV >cds.KYUSt_chr6.9643 pep primary_assembly:MPB_Lper_Kyuss_1697:6:59491551:59493393:1 gene:KYUSg_chr6.9643 transcript:KYUSt_chr6.9643 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWTPFLAVVLATVLVLITILRRGRRAYRLPPGPKSWPIIGNLNLMGALPHRSIHALSKRYGPLMYLRFGSFPVVIGSSFEMAKFFLKTHDSIFIDRPKTAAGKYTTYNYRGIAWSPYGAYWRQGRKLFLTEIFSAKRLESYEYIRREEMHALVRELHAASGHVLVLKDYLLTMNLNVISRMVMGKKYLHKEATEDGTTLEEFKWMVGEWFMLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSKMFDRFLEHVVEEHDRRRCLEGESFVAKDMVDVLLEIASDPNLEVQIDRDGIKAFIQDLIAGGTESSAITVEWAMSEILKKPEVFAKATEELDHVVGRNRWVSEEDIMSLPYVDAIVKETMRLHPVAPMLAPRLSRKDVSIDGYDIPVGTRVLVCMWSIARDPVLWDSPEEFMPERFLGSKIDVKGQDFELLPFGSGRRMCPGYNLGLKVIQVSLANLLHGFAWRLPDGMAMQELSMEEIFGMSTPRKFPVEAVVDPKLAAHLYTED >cds.KYUSt_chr2.6374 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39762490:39762912:1 gene:KYUSg_chr2.6374 transcript:KYUSt_chr2.6374 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSRADQVLIAVALLLLLSSPRAASCAPNTTPLSVRCNGAVYGAGDPFAESLAYVLADLLAATPSSRARDAYSISPYPNAFAYGHAKCGGAGLTAADCATCLGSAVGRMNTTCHHAVGARAVLVDCRVRYEQYAFVD >cds.KYUSt_chr1.1230 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6674691:6674891:-1 gene:KYUSg_chr1.1230 transcript:KYUSt_chr1.1230 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLFEKKWPSPRQPPRGHAGAILGRGRLDGGKEVGAGLCCGRRDRDKQVADRGDERVAKQLLTVR >cds.KYUSt_chr2.46680 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291939803:291943438:-1 gene:KYUSg_chr2.46680 transcript:KYUSt_chr2.46680 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNRSAASTAAAPPPAPTLRTPRRLRRRALKAPGSGGGRRSGPATPLLRWDTGTGNGAPPEKRGDKAAAAGARPGPGAGEKAPRDVSVRRLAAGVWRLRPPEAVVGGSGGEGGAAEGRVHVGLEHIPRHLQVQLLKQNTVGRHQNLKNEISSPISVLQPKSEELHKVQFHGASPMLPVTTMEKATKWEPENMKGMESHDAYLIASQLNLLNEQQDASYVANLQLELRQARDRVDELESERRSTKKKLDHLFKKLAEEKAAWKSREHEKVRAVLEDMKANLDHEKKNRRRLELINMKLVSELKETKMSANQLLQEYDEERKTRELTEEVCNELAREVEEDKAEIEALKHDSMKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDAKYTELSKLQQDVEAFIAACSCSRGDVKVVEEAEKIIQTIKSVRAQEPEFRYEPPAASEDIFSIFEELRPSDEPVIKEIEQCYNNSSTVCESEIQEASPMADMFLEKPAKVCANKKPHNESDDGDASSWETISHEEMQGSSGSPDGSESSVNKIFDGSISWTSRTDFEYGEIEKLKDDLADAYLTTMSQPKKKESAISKLWKSSRPKNSDVCKKDAMEALNGRSSNVRLSVGTHSTIESGMQEIGLSPPSAEQWSSPDSMNIQFNRGFRGCMEYPRTSQKHSLKEKLMEARMVSQKLQLRQVLKQKI >cds.KYUSt_chr1.28609 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172931114:172932739:1 gene:KYUSg_chr1.28609 transcript:KYUSt_chr1.28609 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAASPASPPSPATLARRSSRLPPAGRFRRENGGMIAPRAAVSGPATATLPSPLPQVGQAWELCSQAPPIPSVLAAASASGPSPAGELLLPSGAPSWSSVVDDVEDEEELTPQTPPPSSTPSSPLVCPVQVGSGPVEAAAVPPVVSKFRASNVVGVEEDKEELAPQSPRSAAGILEASAGALATPWPPSWVSAADNDNEDDKVELAPQTPPTTKSFNVVADVDKVDDKEVERVAGERDGWQEVMPRRGPRRPALVAPPFARRPVPLWLKGRCCRCLAPGHRVVVCCDPFRCSRCLENGHRARDCRNAWRPLSWLACHVAPLPRQENAPRRAQVEVSLPSDVPRRRSWASVVSAPVGSLASEDMQSSLEKHAKFFQEAVRPLHEAIDSLHSWMLAIGGFLERAEAALDRLSRTPADPVVLPVDGKVGVSGAGLHGCFSPRARASSVITTPVMQIMPELVELCGGVLTPPSVKEVRPVLHESSDVYSPPCQALGFEKCGVDGTVSLSPEFGRQLVPGAVVAREVCDFLATLVVAFPGSAVG >cds.KYUSt_chr3.25637 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159140504:159144780:-1 gene:KYUSg_chr3.25637 transcript:KYUSt_chr3.25637 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSASSLAFSHPKTLNPAPKTPAVGSVSFPAAQPPCLLAASAGRRGAVAAKVASPSVISTTMPSLDFETSVFKKEKVSLAGHEEFIVRGGRDLFPLLPEAFKGIKQIGVLGWGSQGPAQAQNLRDSLAEAKLDIVVKIGLRKGSKSFQEARAAGFTEETGTLGDILETVSGSDLLLLLISDSAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSVGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSIALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVEALFRRYTEQGMDEDLAYKNTVECITGIVSKTISKKGMLEVYNSLTEEGKKQFNEAYSAGYYPSMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPQGDLGPLHPFTAGVFVAMMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYVLTQQAFVTVDKKSPINQDLISNFMSDPVHGAIEVCAQLRPTVDISVTADADFVRPELRQSS >cds.KYUSt_chr2.45059 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280824166:280829590:1 gene:KYUSg_chr2.45059 transcript:KYUSt_chr2.45059 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLWLFPLCFAGGVLVHGQGTPDTTGTELYHLHTLLFNSPVDLHGYALCVPFGYAGFISIDCGLSEQRSYVDGTSKLPYSSDDGFIDDGSNYNVSAEYTDLAYSRIYPQVLNLRSFPGPPGRLGCYTLSSFVAGTSKYLIRATFMYGNYDGLNKPPVFDLYLGVNFWKTVNISKPDVMDVGEVIAYIPADSVQVCLVNTGSGTPFISSLELRPLEDTLYPLANSTQGLSLIGRYNFGGDYLIRYPNDTYDRVWVPQTNQEWFTISTRLTVAMPVDDDDRKPNYDVPSVVMQTAVTPVNTTKNLIWFPWEAQPNHIYPLPGLLPVLYFAELELVDSEHERMFFISIERNSTWMLLGRLDYLDTFVEYRDAPFPFINPEDSYILLRAANATRLRPVLDINGTVLPPFINAAELFSPISTADVGTEAQDVSAITAIKTKYQLKKNWVGDPCAPKALVWDGLNCSYPISRPQRITSVNMSFGGLSGDISSYFANLKAIQYLDLSYNKLTGSIPEGLSQLPSLVLLDLTGNDLNGMIPFGLLIRIQDGNLTLRYDHNPNLCSNSSSCQPMKKNQNSKNSTYIYLLIAAAFMVGGLVVLLVLVMRGKQGPTKPQNEESDLQTRSRNRQFTYTELKVITSNFQRVLGEGGFGLVFDGFLEEGTRVAVKLRSQSSNQGVREFLTEAQNLTGIHHRNLVNLIGYFYDGEYMALVYEHMSKGDLQDKLRGRDDGDGCLTWKQRLRIVLESAQGLEYLHKACSPPFIHRDVKTSNILLDENLKAKVADFGLMRAFNHDGDTHVSTVRVVGTPGYLAPEYATAWELTEKSDVYSFGVVLLEVITGQPPFVQIPEAQPTHVTKWVQQRLSSGDIEGVVDACMQGEFDVNSVWKVADLALECTARTPEQRPTMTRVMAQLLEYLELEESRGIFYSGCSSDLSLSSTMYATDQATSDVAQSITGAHSGRASTVASGPAAR >cds.KYUSt_chr3.21610 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132731810:132732025:-1 gene:KYUSg_chr3.21610 transcript:KYUSt_chr3.21610 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATPSAPEAGIVTTDLDASVSTHAHRKPASANAPPAPRRWRRGRCEEDTAVERLDAVGTLGGQINSDS >cds.KYUSt_chr5.20224 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131446058:131454169:1 gene:KYUSg_chr5.20224 transcript:KYUSt_chr5.20224 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREDDKKGGAAAGSSAPGSRFKNLVSREYYSHKKKVHSVAWNCIGTKLASGSIDHTARVWSIDPHGHSKVKDIELKGHTDSVDQLCWDPKHPETVATAAADKTIRLWDARSGKCQVVELSGENINITYKHDGTHIAVGNKEDELTIVDVRKLKPIHRQKFPYEINEISWNKTGDMFFITTGLGFVEVVNYPSLDVVCKLNAHTAGCYCIAMDPLDRYFAVGSADSLVSLWDVKELLCIKTFTKLEWPVRTVSFSHSGEFLAYASEDPFIDIANIQTGRSIHQIPSKAAMNSVEWNPKYNLLAYAGDDKNKYQADDVTAPHTVTPPSSTYKSVDHELIGQDKASTSKDQGPHVS >cds.KYUSt_chr7.18050 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111989790:111991222:-1 gene:KYUSg_chr7.18050 transcript:KYUSt_chr7.18050 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEHFEGVKQHWASNFAFLDYFKKVYGRDQPLPKWSDADVDEFIASDPLKALRESRKFALGGALVGAAHLGGVAIKYSKSPHGVVLATGFGALTGAVLGSEVAEHWYQLYKMDKQGANLRFIYWWEDKVSGQKN >cds.KYUSt_scaffold_719.392 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:2619797:2632055:1 gene:KYUSg_scaffold_719.392 transcript:KYUSt_scaffold_719.392 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDVASYGESIGPVDYGCVRRYRHRRLLTFLWLHGFRDALRGLLNETDALMSVEHLSRLVQQGLWDDAVSYVSRFLRPVTHPQSDEAQVLIHFLRHHRAFAGMVAGEKNRDIKYFNYKYNSRYLKHDDSVSHDALRLRSIVLSLLHSERVRASLDWERVRHKASLIVQDLAYKAPELKDLALFPAGSMMPHDVLPIGFRYRRRRYVKEQDLPGSKTLTKIFLKIKKRALPCSPNARQRDKPARQSLCRAAVHGKDCSAKIRPAKGSLPCVARKSHGKGFAERRSVAVSCGIVAVRIPLPCVWPLCRASFFAVRLRGLFAVGAYFAVRRLAALPCGFALPSAVLALCRALLMKVYKLVVWNFNQVGRKGLVGGAVAAGAPPSPCGRRAATSSASPGGEALLWLDRAGDSGHGDHRASSHGEWGPEPPAGCGRRTEQRLLPEALRPLLPGAALVLSAALLVGAAHGLLPPRRLLLLLPSRSEGLGVELPALRLLHLPAAPPPPFRRCEWPHGGAPVASISQTANLTSHAGNSGISAVINESMEDNQGQKILHSTVARLHGSQVESVVEQQDEALEEPEVELGLELVAEQQDEALEELAVVLGLEQQQDEAPEELAMVIYRCTALLRSWIPLQRVEHRDLFTEVSSRLEDTARDIFSQHGWQRDLRLDPPS >cds.KYUSt_chr2.39904 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247739720:247740883:1 gene:KYUSg_chr2.39904 transcript:KYUSt_chr2.39904 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLNDSAVNLDAGIGAFDGECNVEEIDEEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDVILVRAWSKVGMDACTGVDQGGKRYWQRIEDQYHQLKPRTKSMADRSYRSLEGRWNIIKLACSRWSAAMDQVADSPPSGCVPEDYVSFPCVDDVKYHELSILCVHDVETSPQTIVVQPKYAQGRYKDMAGSKNKEFQFEHCFSILQHLPKWKLRDNEPKCKKEALITMDDEAEDMRGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARNELDMRMIKAKEAKAMKELLAEEREIMMMRTDGMDEDQSAWWKETKADIIARKMAARQARDQGESPASGGSGGDGSLDG >cds.KYUSt_chr6.18178 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114344499:114344741:1 gene:KYUSg_chr6.18178 transcript:KYUSt_chr6.18178 gene_biotype:protein_coding transcript_biotype:protein_coding MVARDLEPHGLRGVLHRLTLRWLETVLDSATCDDEDDVAFAFMTMSSDANTSWCSARTYLASRDPFPGGVPTRASPQWRP >cds.KYUSt_chr3.45861 pep primary_assembly:MPB_Lper_Kyuss_1697:3:288769867:288773060:1 gene:KYUSg_chr3.45861 transcript:KYUSt_chr3.45861 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSVLLLLLLFLVGLHSTAAEMPMPVNEEVLGLVVFRSALTDPSGALSTWAESDATPCGWAHVECDPATSRVLRLALDGLGLSSTSGLPRGLDRLPALQSLSLANNKLSGALRPGLSLLPSLRSLDLSRNALSGNLPDDLPLLPALRYLDLSSNAFSGNLPSSFPPTLRFLMLSGNQLSGDIPNALPNSPLLLHLNMSNNQLSGAPDFATALWPLSRLRTLDLSGNRLSGPIPAGISGLHNLKALDLSGNRFSGNFPEDIGMCPHLSVLDVSNNAFDGELPASIARLASLVRLSASSNRLSGEVPAWLGDLAALQRLDLSDNVLTGALPDSLGELKDLSYLSLSKNQLAGSIPAAMSGCTRLAELHLRGNRLSGSIPDALFDVGLETVDMSSNALSGVMPSGSTRLAETLQWLDLSGNQLTGSIPAEMALFFSLRYLNVSRNALHTQLPPELGLLRNLTVLDLRSSGLYGPLPSDLCESGSLAVLQLDGNSLAGTIPDTIAKCSSLYLLSLGHNDLTGPIPASMAELKKLEILRLEYNHLTGEIPQQLGGLQSLLAVNISHNRLVGRLPASGVFQSLDASALEGNLGVCSPLVTEPCRMNVPKPLVLDPNEYTHGNSNNGDVAENGGGGRGGEDVPRKRRFLSVSAMVAICAALSIALGVLVITLLNVSSRRRRSGGVGADEFQEKELVELESIVSGSSSSTKSRKLATGKMVTFGPGSSLRTEDFVGGADALLSKATEIGRSGVFGTTYRASVGEGRVVAVKKLSTASVVESRDVFDREARVLGKARHPNLVPLKGYYWTPQLQLLVTDYAPHGSLEARLHGGGGESMRPLTWEERFRVVAGTASGLAYLHQSFRPPVIHYNVKPSNILLDSRCNPLIADFGLARLLRKPTQAEGGGGSSRFMHGGGVGYAAPELACSSLRVNEKCDVYGFGVLVLELVTGRRAVEYGEDDVAVLIDQVRAVLEQGGGDDAVECVDPAMGGEFLEEEALPVLKLGMVCTSQVPSNRPSMAEVVQILQVIKAPLLTPGCTRERF >cds.KYUSt_contig_2087.288 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:1717584:1717823:1 gene:KYUSg_contig_2087.288 transcript:KYUSt_contig_2087.288 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTIARSLAIGGEGPRPWMALQPCSDWRLEVKVLAPGRQHSYGVCRRLVLQPLPELLILASPRATASPRAPGADHLHD >cds.KYUSt_chr2.34030 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210348948:210350496:-1 gene:KYUSg_chr2.34030 transcript:KYUSt_chr2.34030 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVVVGEVKDKITMWYFHAPHGMLACLSGFMDGGVMVVHAFMNGDVPVLVMGAIVACWCERWCTVVSDFVDNNMVILVMGAAVAYRCRRRCHADAGFHNNNLVMLMMGENCRCEVRGILCPLPIMPPLQLLYFLGLDNALYVLMNWSLATASVIA >cds.KYUSt_chr4.7757 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46220577:46222342:-1 gene:KYUSg_chr4.7757 transcript:KYUSt_chr4.7757 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENAQVEVAAPAAAPTPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREVAKAIEKHAAQICVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >cds.KYUSt_contig_2817.24 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000520.1:97151:106171:1 gene:KYUSg_contig_2817.24 transcript:KYUSt_contig_2817.24 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSRSSSHKREYVCVEEVLVSHKRLDGHTTKEGLTFFLERSPQRGSPFSTKAPVEAVVPSQAVPRRYYRSQAVLPLKGAYDESDNEDMDRAIALSLAEGDPDKGKAVEPDYSLEEDEQLARALQESLNTESPPHQHVPVRNVPSESIPTREPPQPVFPSSGYRTCAGCKNPIGHGRFLSCMDAVWHPQCFRCFACNKPISEYEFAMHEDQPYHKSCYKDFFHPKCDVCKSFIPTNKNGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPTDVKYITLEDGRKLCLECLTSATMDSPECQHLYMDIQEFFEGLNMKVEQQVPLLLVERQALNEALEAEKSGHHLPETRGLCLSEEQIVRTILRRPTIGPGNRIMDMITGPYKLVRRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGYRSLSPQVEEGICQVLSHMWLESEIIAGATSNAASTSAASSSSSSSTATSSKKGAKTEFEKKLGAFIKNQIETDSSVEYGDGFRVGNRAVERYGLRSTLDHMKITGSFPI >cds.KYUSt_contig_60.405 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2390564:2390776:-1 gene:KYUSg_contig_60.405 transcript:KYUSt_contig_60.405 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAATNAEPAALSIALRSSKESIDKGVVDAYDAGPVATTAERHMAFLAVLIKGKMVGGLDRLMAMHIAG >cds.KYUSt_contig_6638.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001342.1:44842:45422:1 gene:KYUSg_contig_6638.5 transcript:KYUSt_contig_6638.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFTLGGGAAGKSKAAHARSASEPCHCQCHHVHTRLDAGIRSLGAWSASATCSGVNDGPSGLARVDAVLAVLGEFLALPQAAAALREDAAAYDRILDGSLALADAYGSFEPALLALKQSAAELRAGVRRGDGAMVAASLRARKRAEKELCHLAAAMGHASRHAFPSPADGEVVGVVAEVAAATASASEAIFL >cds.KYUSt_contig_915.270 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:1785026:1789674:-1 gene:KYUSg_contig_915.270 transcript:KYUSt_contig_915.270 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVPAGPLRADTGPVAATNVLFRHGNSHGLADASVQYKDAMLLPTGSANTVCKMRKTTKAPHAAELLDELVFEILLRLPVKSLLQFKSVSKVWHAIISDPFFIRVHLQQSASRWRQDPSLLVTPHALNYVIEDEAWPTTFSNKIRFYQWQQPSSEEEPEESKLVMHGGDFLGYFNSVCCFQHCDGLVVAHTNTNVYLFNTATRDAMTLPHSDRNKMHRNPRTGIYAMGMEVFTVGDAPASRRETAADPPYPVADWITAVFVNGALFWVIENRGLDPNPHSLLRFSLDDETFSVTRLPDSLDPALEESFMLDEMHGELCLTAFNSSKPAKQQPLKIWTLVEEDGRWEHRYSLTISGLVHPVALLPGRGVMIVQRSQYICRYDLRTHELDTVCELDRLRYERTGTLQAARRKIFYFNVIPYTESLVRITAAA >cds.KYUSt_chr3.10756 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63934131:63938780:-1 gene:KYUSg_chr3.10756 transcript:KYUSt_chr3.10756 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAPSRARGLLDGIPSRGACLVVGARPPDLRMLLRAYAGMLARGGRPDAYTFPPLLKALAAERGVVAPPAVGGAIHAHVVKFGLELNAHVASSLVLMYAARGDHLTARALLDAWPAGGGGTPVLWNALISGCKRGRQLALSCCYFVDMARAGVARTPVTYITVLSACGKGDDILLGMQVHKCVVASGVLPDLKVENALVDMYAECGEMEAAWQLFEGMQVRDMVSWTSVISGFVRLGQVDRARVLFDQMPERDTVSWTAMIDGYVRTGRFREALDIFREMQFSKVMADEFTMVSVVTACAQLGALETGEWARVYMSRRGIKMDTFVGNALIDMYSKCGSIERALGVFKEMHSRDKFTWTAIILGLAVNGHGEQAIHMFYKMLRAFEAPDEVTFVGVLTACTHAGLVDKGRDFFLSMVGTYGVAPTVMHYGCIIDLLGRAGKLTEALETIGKMPMRPNCAIWGTLLAACRVHGNSEIGELAAANLLELDPENSMAYILLSNLYAKSNRWEDVRRLRHVIMEKGIKKEPGCSLIEMNGTLHEFVAGDRSHPMSIEIYSKLDKVLTDLKNAGSENEGRIELIFSVHWKLLHLGVIMSVAERLFWPQLLLMEVNGHGEEVIDMFYGMLRVFEAPDEVIFIGVLTACTHAGLVDKGRDFFQHDRELWDCS >cds.KYUSt_chr2.6716 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41842260:41842604:-1 gene:KYUSg_chr2.6716 transcript:KYUSt_chr2.6716 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGEQEPLGRAVFAPLMADDYPLEPPEALDFESSEQIYDQFRFMLDVDPLELAELMDFESSENLYDKVMRRIQADPEPWSREDVLPEKFRAFFDAPDFSTPLPEFHVPSEKA >cds.KYUSt_chr2.31679 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195419679:195420212:1 gene:KYUSg_chr2.31679 transcript:KYUSt_chr2.31679 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHASYLSMMLLLALLAPPCTPAMDTDDGRRARAAAIVADILSAHNTARRAVGVAPLTWSTGIAELAKRYAGSRRGDCEPRRSSLFNFGENAVVGKGRRWNASALVAQWVDEGRLYHRATASCAAPAGLSGCARYTQVVWRNTTQLGCGKIVCDSGDTLLVCDYFPPGNYGTGPAY >cds.KYUSt_chr3.31656 pep primary_assembly:MPB_Lper_Kyuss_1697:3:198989015:198998591:1 gene:KYUSg_chr3.31656 transcript:KYUSt_chr3.31656 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRALALLLALAASFFSHSVAATDIIDLTTSITGNQTLVSTGGVFQLGFFIPPGGRRTYLGIWYASIPSKPVVWVANRNNPLFRTPGALSLTSDGRLVIVDRRNSTVWSSPAPTSSESPITTRAAAQLRDNGNFLLSSDGSGSPESVAWQSFDYPTDTLLPGMKLGIDLKKRLSWNITSWNSASDPSSGLYTFKVILGGLPEFFLFRGPEVIYASGPFNGAGLTGVPNLRSPNFVFKVVSTSDETYYSYAVTDPSLLSRFVANGEAGRLQRYVWTNGNWSSFWYYPTDPCDNYAMCGPFGYCDMTATPLCSCLPGFQPRSQQQWTLRDATGGCVRSTKLTCADAGDGFWPMNRMKLPQATNATVYADMTLDHCRQVCLANCSCRAYSAANMSGGVSRGCVIWGVDLLDMRQYPGVVQDVYIRLAQSEVDALSAAAATRRRPKMKLLIAIVAGVAGVLLLGAIGCGCFWRNRKRKKVQAKTTPSTSSRGQRQAEDQIDSEEDVDLPLFNLDVILAATDNFSVNCKIGQGGFGSVYMAQLEDGKEVAIKRLSMRSLQGVGEFKNEVKLIAKLQHRNLVRLLGCCIDGDERMLVYEFMHNNSLDTFIFDEGKRGLLEWKNRFDIILGIARGLQYLHEDSRVRIIHRDMKASNVLLDRNMIPKISDFGIARMFGDVSFPADKSELVVFSGYMSPEYALDGVFSMKSDVYSFGVLVLEIITGRRNRGFYVDELDLNLLCYAWTLWKEGRGVDLLDEAMAGPYDYSVVLSENATMPEPNEPGVNIGKNTSDRDTDLYPITAHSGTNFTEVDASSLITGKGKLVTAMCWIQGQSQDLNIEMASSINFNRFLEKEKLKSNGSNFTDWFRHVRIFLSGGNLQFVLDAPLGDPPAEDESDEVKAVYATRKTRYSQVRCAILCDPESDLQKRFEHHDPHELMNELKAIFETHAAMECYEASKHFFGCMMEEGSSVSEHMLAMTGHAKKLGDLGIVIP >cds.KYUSt_chr6.31912 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201560983:201564497:1 gene:KYUSg_chr6.31912 transcript:KYUSt_chr6.31912 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGCRETCGNLTIPYPFGIGQGCFYSQGFDVSCENNRVFMGNSSSQMEIYNISLVRGQARVSTLIASKCFYSNSNNTDGWVSSGTADFFTISTKANKLTAVGCNTLAFLGGFNEHNVGAGCFSMCADKQSVDQSGQCSGMGCCQTSIAPNLSSSNITFDARFDNSGVRGFNPCSYAFVAEQDWFRFEASYLEGNRFTEKFSDGVPTVLDWVAGHERCDEAVKNISSYACISKNGRCVMSPNATGYLCACNDGFAGNPYLEEGCQDIDECSLPDQYPCYGTCSNTNGDYSCSCKSGTQSIDPKRETCKPIAVSERARLTKMFIGISACAMLLLICTSALLIECHKRKLKKEKETFFKQNGGLLLYEKIRSKQVDTVRIFTQEELENATNNFDSSRELGRGGHGTVYKGILKDSRVVAIKRSKVMNMVQKDEFVQEMIILSQINHRNVVKLLGCCLEVEVPMLVYECIPNGTLFELLHGKNKRLPISLDTRLRIAQESAEALAYLHSSAYPPIVHGDVKSPNILLGDNYTAKVTDFGASIMLTTDEIQFMTLVQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITMKFAIYSDSAGEKKNLASTFLLAMKQNGLQFILDNNILEFETELLQEVAQLAKCCLNMRGDERPLMTEVAEKLKSIRSTWKEQLIQNPSKETECLLENSSHYDPSSTGQHGSLMALDLESGR >cds.KYUSt_chr6.845 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5330958:5332126:-1 gene:KYUSg_chr6.845 transcript:KYUSt_chr6.845 gene_biotype:protein_coding transcript_biotype:protein_coding MADYTPDEHGPSTQSFDDTDADLKLSNPTYTEPEDDDSYLRLPTSPSYGGPEFDTMADYIPNEPGLNNHLLDDEDRGIPMYDIADNFDSLYNDGNTFSKEDLERQTNKFVAAALDHYNSQEENMVGFEASKVMMDTMARKFVLTPNIAMHVEKN >cds.KYUSt_chr7.35042 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218903369:218904202:1 gene:KYUSg_chr7.35042 transcript:KYUSt_chr7.35042 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRACCAKEGVKRGAWTSKEDDTLASYVKAHGEGRWREVPQRAGLRRCGKSCRLRWLNYLRPNIKRGNISDDEEELILRLHALLGNRWSLIAGRLPGRTDNEIKNYWNSTLGRKALPGAGRPRPATTRIITTPGASSSSSSTAPAMLANPEPAASRAAAPSSAVWAPKAVRCTGGLFFRPETTPVAETRTGGSGGGDDCSGSSSVASEFAAEPCDWMDDVRALALFLESDEDWVKSLHMAE >cds.KYUSt_chr1.25656 pep primary_assembly:MPB_Lper_Kyuss_1697:1:154056125:154059415:1 gene:KYUSg_chr1.25656 transcript:KYUSt_chr1.25656 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHLPVSLAVPPDAAAGADPPPPSDPNHPPSPHPSSLRIHIPASPHHALPSTPHKRPVSMNSSSSSTPTRPSPSPSPFTPPRRRKLASSAAPPAPAAAAAAAAAARHLLRCLHLRLRILLLLSLPTLYFLSPSPAFLPRSLLADFLSAAAFSCALLLLLCLSLPRLPFPLPFPLPLRRPRRSPILWSIGSSPSASASAPTTGHFVQVYSNGDIYEGQFSRGRCTGSGVYYYYMSGRYEGDWVDGKYDGFGVETWARGSRYRGQYRQGLRHGHGVYRFYTGDVYSGEWSNGQSHGHGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYTFRNGETQAGHWQNGVLDTLSTQNVVPGSPIAVNHSKVLNAVQEARRATERAYDVPRVDDKVNKAVAAANKAANAARVAAVKAAQKRIPNHSDDLPLSIV >cds.KYUSt_chr6.21521 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135828677:135830722:1 gene:KYUSg_chr6.21521 transcript:KYUSt_chr6.21521 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRHAGGALLRRVPAASLWRSAVDGQRPALRLLQQYPRGLHRPAAARAAFSTASTQGDISSSEPNSCIKESEEDKKNRRRMAAKMLMKSHVTDDRESEMRSINELFALLDPSNEYSYSELTELQDRRGLFEKKKNELLIALLSGDAQQEKEKMAELVAAGRLLRDAVSGRYQLHGQRRDALNLEEDVSEIKRDLKKMMDGQGKSVFEEPGAADSRALLARVLADNRDILQILDSLKESVANNKTMLESFKGQQEELKESVDNLDSSVDTLDDSVRDAMKEMKERIGTVKELVKEALEESVEETLKETIEEAVKETIESTEEMLKETIKETVKEAINETVKETVENVEETLKETIEEAVKETIESTLEESVKETIESTVADSMRDAIESTMEETVKEAIESTVEESIESMETSVDKLNESVEKLEETILRCLGSQV >cds.KYUSt_scaffold_2697.699 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4397030:4397947:-1 gene:KYUSg_scaffold_2697.699 transcript:KYUSt_scaffold_2697.699 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVSCLQLYSSFGVRAYRVQTHPALSDQQGGNPINIDLRFSALHRKLASRYLAILTYSIALRLDSNQIKQATMKQKIVIKVEMTCDKCRSKAMALVAATVGVDSVALAGDSKDQVVVVGDGVDSVKLTSTLRKKVGHAHLVQVGEVKEEEKKKPAEAAVTANVVEYTYPWHYYQYPSHAVPVYEHPAGAYGYQHRPDTWWM >cds.KYUSt_contig_554.92 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:697017:698528:-1 gene:KYUSg_contig_554.92 transcript:KYUSt_contig_554.92 gene_biotype:protein_coding transcript_biotype:protein_coding MALIHTVAASKAGRLATVPEESADGSAAGYPLCGLYRDSIGRVAVSRAQIRSTLFSRSQSGRCYSSDSGASNSCPSSHSGISSVASLSGSAAELGNHELKMIARRMVCDGYTQCMVQAFNAASPLGINGGPYRALEIWFFELDVDCVLQIREKYGSQWQLRLQDRSALSLQDLVERWIRGLTVIVHSMIELLSTNCEMKAAERFGEASISKMLIFVDAIVPALKVQNLRAVLDMYICVCRALHNIEKSNMCLESDEEGIIFSLFRLVNKLSEAIPKTMEKVRTLIEDDDSWAIEIPRRGGEVHMNTRLIADYIVSLGEAEAGSTFLSINSSGRLPHGRDDTIRYLKDLLLRKSEICSDPSLRYLFLLNNSHFVAELTKPCESGNDWKLTTECQQFMDSYVYVSWAPVLSCIPKSHYPGPLGRWFNTTSLAKFQSVFHKVYKAQRFWKVPDPRLRSLLRKTITKKVILGYRYYLKEHPELAKQVNGGSSSPDDLEEMLGELFER >cds.KYUSt_chr7.28131 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175724098:175727340:1 gene:KYUSg_chr7.28131 transcript:KYUSt_chr7.28131 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPSFLQSAPVSNDALQHKEFLFRGLYMQQRLEESPSQDMNALVNPNLPQHDWTIYDGPSTDAKLVARAQGSHTGTGLTKGTWLICFDIAFVHERFKDSSLNVLGNFEALVDGKCAILGGTGEFAYAQGVFSFKKVLESDNGKTRVRELEIRVLCPNFASSLPVPVKMEPRGGNGGFAKDMVVRESLRLESITLKSGSYVYSLAFTYVDNHGNRRTEGPWGGSEGTTQTIELGPKEFVKEVSGTIVNVVSSLVLVTNVKTYGPFGQEQGQRFVQTAPENACVVGFFGRSGAAIDAIGVYTGPILEAYIYFFVWEVKMGPWGGNGGFAKEMNIVLGPKEFVKRVSGTIDNVISSLVLVTNIKSYGPFGLERGQRFDETVPENTCVLGFFGRFGAALDALGVYTGPILV >cds.KYUSt_chr2.31388 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193738579:193741517:-1 gene:KYUSg_chr2.31388 transcript:KYUSt_chr2.31388 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSARSANVAGGRSRPVGNGGGLISGGGGGGGPRSAWALVMAAGVVLVAAVDVAAMGSTGGLLEPMRPRQSDTKVVEARVLPGLGQRFPWNMLGHVDSEIYGVTTGCSSRRQILENYQVYGDSVIGQYGISRPNVRQLVKPLLHLFHSEPGNGLWKRKADSTLRHCKTLAQFLEETLDAIPDSVLDAPISRETSMEEAYFAHVDSLMPPRYTKQAIGSYESPALVTAST >cds.KYUSt_chr2.49543 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310054098:310054448:1 gene:KYUSg_chr2.49543 transcript:KYUSt_chr2.49543 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAKSLVETAARQIKPVNGLPRLMTITTPQKHEKEIRSTKSALTKDENAEPLVAFSRPPPMPPVLGPLIALSLFQTSSSDEDSK >cds.KYUSt_chr2.33036 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203745982:203751840:1 gene:KYUSg_chr2.33036 transcript:KYUSt_chr2.33036 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVALPPFLAHLGPSTRIKVPFWPSRVLPHLDPGGRLPSGSMGHGHVVPHLLLLHPDPSTTTIPGHLDSPLGQSTRVVPRIDQLASTIPDQIAITRVLPHLDPGTLPGSMARPRPSTRVHNSGSPRSIENTGSDNLHHPTIDSRPCAPRLRTSINPRPPRLAAPRPSNGASGSCKSSPPVNKQLEEADNEIEKLNKLCLGEDISYEEYCSFLEQLPEKPDIDILTKLDALQLKELHSAHELYRKSYQLSQQVTKEDYPPSRLEEKRLLKRHEELDWSFRPDYCEIADLEDYQRLVPRNYSMRDIGFSCFSVTKEESSTFVNKVPDLKQNSHGFGSTHHPLQKFDTHDGGYEYVKWDEYHTDFHSYEIEQEYIKYCEKMSKELKWMEAYVPNKSPSLKEWLDSMTFDVCYCNEFDGVYYEIWQRYTNEKKFGDALDEVYKLNRFPLRQDIMRCALEDDKFCSDFEEEFFACTTCLKEVKDKANVTEEKARELITEALRKEKPKFYEEYCRKKINIARAIGLVSS >cds.KYUSt_chr5.13998 pep primary_assembly:MPB_Lper_Kyuss_1697:5:90933692:90934972:-1 gene:KYUSg_chr5.13998 transcript:KYUSt_chr5.13998 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTINNVKITVICGFITILVLRGTVGIDFLSFSGGGGGSEAATDAQVFEDIERILREIRSDSEPDEDDQLAGAASGSNSTAVRVEEMRNYTLGPSITRWNAQRRQWMSQNPGFPSRDALGKPKILLVTGSPPGPCDNPAGDHYLLKSTKNKIDYCRIHGIEIVHNMAHLDRELSGYWSKLPLLRRLMLSHPEVEWVWWMDSDAIFTDMAFELPLARYVASNLVIHGYEDLLWKQRSWIALNTGSFLLRNCQWSMELLDAWAPMGPKGSVREAAGKVLTASLTGRPAFEADDQSALIHLLLTQKERWMEKVYVENKYFLHGFWAGLVDRYEEMMEKHHPGLGDERWPFVTHFVGCKPCGSYGDYPVEQCLGGMERAFNFADNQVLRLYGFRHRSLASPKVKSVANRTATPLEAKEAALNMTTKIET >cds.KYUSt_contig_786.510 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2898763:2901905:1 gene:KYUSg_contig_786.510 transcript:KYUSt_contig_786.510 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDLNPIPPSRWVILRRALQFIVSNDPNEGIVGMWGPDKDDNTNFLKHINNSFLEQSLFDFVIFVPSPSDCSVTNIQYEIISRLGMKKDGNEATRATRICGQLENKNFLLIVDDLHQNLDLQAVGIPYPLGFVGEKKRKVVIMSLSGYRSVGNLMGVNKDIELPILQEEEARELFRQSINYQGDLYSDPSIGPHATDLVRTINGLPSELVRYGKSMRGTTDASSWKVAIDDVTSKFSRLRSIEDTLRLIEDDPTLGVIGIWGPGGVGKTHLLKKILGFFKGRMTVIWVTASKECSVLKVQTQILDELKLEGDGKGNVGTQSGMIRGFLENKNFLVLLDDLWERIDLEVVGIPLPLGIEPLNKLKRKVVLTTRGTKICGEMEVRKQIEVPYMQENEAWELFRKKVGNQTIFSPGIEDRARILVTEMKGLPLALVTVGRAMYGKFHPDQWDSAIQHMKRSCCIDTDEDPLDMEKEVFRKIIFSYDNLRSERLKNCFLTCALWPEDHEIMRQDLAKCWVGLGYVDEGGIQSSYTKAYSLMSDLTGACLLEGCGELNYRFKLHDVIRDMSLWISCGCGKNNDNWFVRAGVGPDENFSIPWSSAEYISLMFNKMTKLPSVGDPLKLRVLCLQENRLDETIIGGVLVNCAKLTYLDLSHNGLKRIPESLCDLTELIHLNLSLNLGIEEVPHSFGNLIKLKFLYLQPNEIKIIPKEVISRLEALEIIHVNLSWVSDCIRSNVYRELATLNHLKVVVTSEGLLDAWTSLHDAADLPIRSLSLVPSAKKGEFHLYDILSLNFAQKTLCELVIVGDRDAIDITLIQRPGQQPYSFGVLSDLSMRDLKALTTVKWMGTSPASVFPRLTCLIVSGCRKLEHVSWAMYLPCLEELNVECSDSMRKAFTRNHVDNVWSGQENSQTFPCLKHLCLQYCRRLVTIADPGVTFPSLEVLQIGDCPKLKKLPFDMASLPQSLKVLRMGDTESWERLELEEGVKSFLQPKLRRVLCCELDRSIARDLMCS >cds.KYUSt_chr7.31345 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195205410:195205940:-1 gene:KYUSg_chr7.31345 transcript:KYUSt_chr7.31345 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHLLCCRQPSSGPYRSLCRTSSSLVVVGRVDRSLRRTSSSSLVVVGGLVEPSQRGTSSSLVGDGGALLAPRIILARLRRLEDGDLAEPSAVRAPRLLLPRWPSGALAAAHLLLARLWRWSSTSASPRPSSSAEARGRRPHLLLSCLRSRPCAAVPPRGCPPMAASACERMSGQQ >cds.KYUSt_chr6.31540 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199328992:199329321:-1 gene:KYUSg_chr6.31540 transcript:KYUSt_chr6.31540 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRIDCEGCERRIRKALHGLHGVEVVPKQSKVAVTAGYIDRRPGEGDARGGWRARRGSGWSRGRTCPTTVVPRPYAPSAYDKKAPPGYVRNVVADPTAARSAGRHPRR >cds.KYUSt_contig_1253.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:90297:97513:1 gene:KYUSg_contig_1253.18 transcript:KYUSt_contig_1253.18 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHITDEAAANEPSITTQTPSYDPSQGPLVYKVGYPPQKNLATEFTDTLRETFFHENPLRQYKGQSGWTRFTMGLQFLFPVVGWGRNYNFIKFRSDLIAGLTIASLCIPQDIGYSKLANLDPQYGLYSSFVPPLIYAAMGSSRDIAIGPVAVVSLLIGSLLQNEVDHVKNKEEYIRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIVNFTRKTDIVSVMKSVWSSVHHGWNWQTIVIGTSFLAFLLFAKYIGKKNRKFFWVPAIAPIISVILSTFFVYITRADKQGVQIVKFIEKGINPSSAHKIFFTGPFVLKGFKIGVVCGIVGLTEAVAIGRTFAAIKGYQIDGNKEMVALGTMNIVGSMTSCYVTTGSFSRSAVNFMAGCKTPVSNVVMSVVVLLTLLVITPLFKYTPNAILGSIIISAVISLVDYEAAILIWKVDKLDFIACMGAFFGVIFVSVEIGLLIAVAISFAKILLQVTRPRTALLGNLPGTTIYRNTSQYPEAKLVPGVVIVRVDSAIYFSNSNYVRERIIRWLTDEEDRAKAVGLPKINFLIVEMSPVIDIDTSGIHSLEDLYKNLQKREIQLILSNPGSVVIEKLQASKLTEHIGSSNIFLAVSDADIPTNPLPPSLEDEDDVAVKLKSNEVRIGPITRARAKLLKEQVRRWSKHRTRRRGTAGREAGHGAGHEAGQEDIPWMRGGGEDGMREGGRRRPGRRHARSDRPPRRTAQSHVRSNRTPHRVSPAPTGSCAGASREGVLFATSVARSQDDPMPGPNRTGRPLARSTSPQAGRVRVSLDQIPIWENKNSVDIMTWREYEALCNEMRREFRTQDDELRGTVQGISQKLDATSETVTTMKDQMTDIQHSLQVLQLAVDNLTQQQQQEEAEDPDLQDEAPGVGRGVGRGHRGHGFVELGARCVPPQPQDDGLGKPKFSIPKFEGGPDVEE >cds.KYUSt_chr7.11713 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71973306:71973659:-1 gene:KYUSg_chr7.11713 transcript:KYUSt_chr7.11713 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRSCTEKSSSNNTPASAVSELRCYSSSYVTPKKAAGTTWPSPAPSSISSSAAAATGSKVKTWSGGLCSAPAELRRKGRVAGYRVYGAEGKVKVSLKNGVRWLKGKCTQVVDGLW >cds.KYUSt_chr1.15150 pep primary_assembly:MPB_Lper_Kyuss_1697:1:88109999:88110319:-1 gene:KYUSg_chr1.15150 transcript:KYUSt_chr1.15150 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRQPERLSDTICYFAHAEGKLEHPVIAITAFDRARRWLRGRAHPRRTRRGDGPHHVAHHDEDALLRGRRTNHVSFREYVSGDALLVLTLEQLAHDSAELSVIPA >cds.KYUSt_chr6.23492 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148361726:148362460:1 gene:KYUSg_chr6.23492 transcript:KYUSt_chr6.23492 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSLEYSSSPSSSSTAENGGAAAQWPWPPKRPAGRTKFKETRHPVFRGVRRRGSAGRWVCEVRVPGERGTRLWLGTYVAADAAARAHDAAMLMLRGRSAACLNFPDSAWLLDVPAAFADLADIRRAAVQAVADFQRRREAAAAVQEVTSSVSAPAFSARSSETLQTSADVASEAPSVALESDVFDLECLFGETDLDAYYYASLAQGLLMDPPPQPAAVAYSWDNGDCGDGGAGTDVALWSYSY >cds.KYUSt_chr1.9472 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57778344:57778801:1 gene:KYUSg_chr1.9472 transcript:KYUSt_chr1.9472 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAEREGEEQRRPLLSPQPAAEHQQQYQHLGRSSSSALRGGGGGWGGGGPEVSAAEVRSAASFSSSNYYPPAPEPHHDVVYPPSIHSAVLSPSPSPAPTPPHPHGDSSVLLAYFYIFLVPL >cds.KYUSt_contig_1861.165 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:860129:861569:-1 gene:KYUSg_contig_1861.165 transcript:KYUSt_contig_1861.165 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIAVATVPPSGAKHSVLLLRRANDRLAAALRARYLVVNFYASGASLPAFLAASAAAEPEPPRAALVVGDGTIRVNAAFLDAAPYLRCVVTTAAGLDHIDVAECARRGVLVAGAGQTFSTDVADHAVGLLIDVLRRVSAADRYVRRGMWPVQGDYPLGSKLSGKRVGIIGLGSIGSSIAKRLKAFGCVIQYHSRRPKDTVSFRYFPDVLSLAAESDVLIVACALNNQTQHIVNKDVLEALGKDGVVVNIARGGNIDEAALISALKGGEIAGAGLDVFEKEPDVPAEFFSMDNVVLTAHEAVFTTESGSDLCDLMIGNLEAFFDGKPLVSPVLPN >cds.KYUSt_chr6.14587 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91486706:91488094:-1 gene:KYUSg_chr6.14587 transcript:KYUSt_chr6.14587 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLLPPVHEHAPRSRRSRSGTPMGSEAFGSTPSPPPGPDRRMCIGSPMMISSSPPHCESPFMLISPRTDHFDDTTNGVPAPPSPQIPWAAIPDAEVGSDDAIAFTDCWSANIIDTNAVEGATPCQYTQASIGAWEGLPLERSGEHIPSTQSMQDWIGAWEAEPSHGMMHEHGQIGWEQKTATPARSERLFGPGYQQTSQALVDHRVCTPITEKTVYEINEFCALLEPTSAGWQLQDIFATPTPLQDQQAPTIAAQQSPSLPEPSSDVDDDRLFEITLKSNALWALREAQLCEQAPGDSELNSSAKNRSQLKGPALMEEVTSKVAEMHVDPKTGIMSKLMGMLSPSLLGFPTNNTKKKKPDQKKAAQMPTSSRRSERPATKSSTQLTNRRAQASVCKQLGLIQHEEEFNDEILAQYLSLYQQPLSTPNIQGLASLAEISRQPGFTLQDKELAALLKETPYAS >cds.KYUSt_chr4.15855 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97953540:97957107:-1 gene:KYUSg_chr4.15855 transcript:KYUSt_chr4.15855 gene_biotype:protein_coding transcript_biotype:protein_coding GVPKDGNLLGPFVFKVNYPAGFRPNRKYLEEVVKWQKMAFPSPYLNAREVEPAIPQARNTGCQ >cds.KYUSt_chr2.41081 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255342176:255343018:-1 gene:KYUSg_chr2.41081 transcript:KYUSt_chr2.41081 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGGVGKSTFSAQLSFALAEKDYQVGLLDIDICGPSIPKMLGLEGQDIHQSNAGWSPVYVESNLGVMSVGFLLPDPDDAVIWRGPRKSGLIKKFVMDVDWGEIDYLVVDAPPGTSDENISIVSYLQISGIDGAIIVTTPQQVSLIDARKMINFCKEVKVPVLGVVENMSGLRQAFSDLKFMNPSETGDTDATEFALNYIKENAPKLLSLVACSEVFDSSKGGAEKMCHEMGVPFLGKVPMDPQLGKAAEEGRSCFTDQKCSASAPALRSIIKKLFKPQ >cds.KYUSt_chr1.22096 pep primary_assembly:MPB_Lper_Kyuss_1697:1:130622403:130623212:1 gene:KYUSg_chr1.22096 transcript:KYUSt_chr1.22096 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPEPFRVFVGYDPREHEAYEVCRRSLLRHATVPLDVRPIRQPDLRAAGLYRRARGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLYLADVADLLAAAAIPPSPSDSDLAVVCVKHEYQPAEATKMDGAIQTAYPRKNWSSMVLYNCAHPKNVAALTPDAVSTQTGAFLHRFSWLEDHEIGEVPFVWNFLVGHNRVDPDDPDTQPKALHYTCGGPWFERYRNCEFADLWIKEAEELRAEKEKLKAIQDHHDNDEEINKGNSN >cds.KYUSt_chr1.639 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3325726:3329370:-1 gene:KYUSg_chr1.639 transcript:KYUSt_chr1.639 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLAIAILLLPLISHGRDLSTLWVWDDKDFFKYCPPSRCSQHGPEIRYPFCLDSSQSSCGCGRKLVCSGQDTILVHPVLGPYNVSTIDYRYSSMKLIPIVEPCMVIQKKLIISKSSSSQQVDYFSKEGSYVDILFSSATLVCCSREFIPGAADRIAGPISCLSNTTHFSYFVDSYEYMSLLPLDCKVIPISGGSDGRRIQLMRMFDDQRLESSAQSFQIGAESILSFDEKTVHWLRLTHQNNRRTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKEKVGQGGYGSVYKGELPNRVPVAVKMLENATGEGEVFINEVATIGLIHHANIVRLLGFCSEGMRRALIYEFMPNESLEKYIFSHDPNIFQHLLVPQKLLDIALGIARGMEYLHQGCKQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSTGSLNDVYLPEWIYEKVINDQELALTLETTQEEKEKVKQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLQNLQMPPRPFVTSENQPMP >cds.KYUSt_contig_2073.81 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:484045:487617:1 gene:KYUSg_contig_2073.81 transcript:KYUSt_contig_2073.81 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRVPFLCKKSTEKKRANPMREIKVQKLVLNCSVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGMKYDPSTGIYGMDFYVVLERAGYRVSRRRRCKARIGIHQRVTKEDAMRWFQAKYEGVILNKSHNA >cds.KYUSt_contig_824.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:37338:39703:-1 gene:KYUSg_contig_824.9 transcript:KYUSt_contig_824.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLHSTTPVSRTLSPEDAHHLFDELLRQTAPVSERSLDGFLAALARAPDSAACRDGPALAIALFNRARREEAGPRVAPPSDYTYCILMNCCCRTSHPELGLAFFGLLLRTGLKTNEIVGSTLLKCLCYAKRTDEAVNLLLHRMSELGYVPNAFSYSIVLKRLCDDRRSQQALDLLQKVRKEGGACSLNVVAYNTVIHGFFKEGEIGKACDLFHEMAQQGIVADVVTYSSIIDALCKARVMDKAELFLRQMVDNGVQPDEVTYTSMIHGYSSLGQWKEASKLLRKMTSQGLIPNIVTWNSFVASLCKHGRTKEAADVFDSMTAKGHKPDIVMYGALLHGYASEGCFADMINLFDSMADKGIVANCHVFNILIDAYAKHGMMDEAMLILTQMWEQGVSPDVITYSTLIAALCRMGRLADAMDKFSQMIYIGVEPNAVVYHSLIQGCCTHGNLGKAKELVYEMMNRVGKMEKAMRVIDSMVSVGIEPNVITYNTLIDGYLKRGRIVDGLSLFREMSHKKIKPTTVTYNIILDGLFRAGQTVAVKKMFKEMIESETTVSISTYRIILGGLCRNNCAEEAIILFHKLCANNVKFDIAILNTMINAMYKVQKIEEAKDLFAAIPASGLVPNASTYGVMINNLLKEGSLEEADSMFSSMEKSGCAPSSRLVNDIIRTLLEKGEIVKAGNYMSKVDGKSISLEASTTSLLLSLFSGKGKYREQINLLPAKYQFFDGVSFVA >cds.KYUSt_chr1.26311 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158555564:158558872:-1 gene:KYUSg_chr1.26311 transcript:KYUSt_chr1.26311 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPKEAKAMKELLAKEREITMMRTEDMDEDQLAWWKETKADIMARKMLARQARAASDVGASTPRDETEPTILERASQCFDDHNVYKECAEELRLGVEGAFHVGSENVDAYCGGMCLVETKMALQCVEAIADESFRFSNGASVLEVKAALGTGCSYTPERGTFEIRESREYCGGAYHDNSLHMQEQLGHGEQEQYHDQYQDQYQDQHQDQYHDQYQDQHQGGGFSDYCSGAAGSSSRMLFLTIFFAVSAMSMLLAAI >cds.KYUSt_chr3.4643 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26320935:26322027:1 gene:KYUSg_chr3.4643 transcript:KYUSt_chr3.4643 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDHSCRNVTEAEVKLEEGEVMQDGGSALVAVDAMPEPPQMDIRMDAALLHCQTCLLPLRPPVFKCEAAGHIVCFCCRAGHGGICSRAVTHCAELDAVVAAAKVPCPYRAFGCDRYVVYHAVADHQRGECQCAPCSCPDSGCPFVGSRGMLLDHFAAAHSRLAVTVRYGRSWNLNFALSQRWHVLVGEEDRSVFLVSLGALGAATAVSLVCVRADAAPAPQFWCKLSVELPGDDKDKLVLMTSTVGSSALTGGMPAPGQGMFLAVPQELLSGDVLPLSVRIDQLRPASAANNKSATPRARTPGRMQ >cds.KYUSt_chr5.32567 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206561707:206562516:-1 gene:KYUSg_chr5.32567 transcript:KYUSt_chr5.32567 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSGWMVFGCRKHAYVDDPLLSELLACRDGLEAAEVKLLHIGREGNKAAHCCAREALSVVSSSRFDVIPGFLTDVIQSEWPPPRQPNHTSPPLPPSSYHPSVPLRKPTHRGALAPYVVLPPSVNARSIPDPAPLDPDNPDNDYPLHCR >cds.KYUSt_chr3.28047 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175040670:175041644:1 gene:KYUSg_chr3.28047 transcript:KYUSt_chr3.28047 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKPPSQSGAGSAEGQTAPLKRGPWTAEEDELLSRFVEREGEGRWRTLPRRAGLLRCGKSCRLRWMNYLRPDIKRGPIADDEEDLILRLHRVLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKLIAQGLDPRTHMPLPPAAASGKTAAAGRSHAIAAAPAPAAADKTVAALEPPQTPPPSATKPTSTADGTGCDRRDSPADGGDDDFAFAATMSLNAQGFDGFDDQFIAEYGGAVRGGGFDGVMACPMVDDDTFTSFLDSLISDKQFNGYLAEGDAADHKDAKNDQGGAH >cds.KYUSt_chr3.37751 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237485615:237488393:-1 gene:KYUSg_chr3.37751 transcript:KYUSt_chr3.37751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator of gibberellin-dependent alpha-amylase expression, Regulation of nutrient mobilization in germinatio [Source: Projected from Oryza sativa (Os01g0812000)] MYRVKSESDCEMMHQDQMDSPLADDGGGSSGGSPHRGGGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPNLKKGAFTPEEERLIIQLHSKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRCQRAGLPVYPASVINQSANEDQQGSSDFNCGENLSNNHLNGNGLYLPDFTCDNFIANSEALSYAPQLSAVSISNLLGQSFASKRCGFMDQAGMLKQSDSLLPGLSDTVNGALSSVDQFSNDSGKLRQALGFDYLHEANSSSKIIAPFGGALTGSHAYLNGTFSASRSTNGPLKMELPSLQDTESDPNSWLKYTVAPTMHPTELVDPYLQSPTATPSAKSECASPRNSGLLEELLHQAEALRSGKNQQPSVRSSSSSVSTPCDTTVVSPDFDLCQEYWEEHSGAMMHEYVPFSGNSLTESTAPLSAPSPDAFQLSKISPAESNSLGSGEQAMEPTYEPGAGDASPRPENLRPDAFFSGNTADSSLFNNAIAMLLGNDMNTECKPGLFDPSSWSNMPHAFQMSEEFK >cds.KYUSt_chr3.24740 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153528279:153529923:1 gene:KYUSg_chr3.24740 transcript:KYUSt_chr3.24740 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSHPSKRRTGGGEEPSGDRADFISNLPDAVLGTIVSLLPTKDGCRTQALSRRWRPIWRSAPLNLEADRGFSEQVVSKILSGHLGPARRISLNKIRRLPHRYQADDDRSNGWLTPNLQELELVHRFDCRRNSLPHSVFRLAPALRVARFGLCHLPPNLAVDFPHLKQLTLHMVSLTEETLRGVLSGSPALESLLLDQNVGVSSLRISSPTLRSIGFCALWNKQVVAGVVNVQEMVIEDAPCLERLLPLNPDYGPATIRVIRAPKLKILGSLSCGILQLQLRTTVFKEMVAVSLTTIMRTVKVLVLDNFGPGLNAALDFLKCFPCLERLYVVLELWRARMDMKYASLSYCPIECLELHLKKVALKVYYGEGPEVDFARFFVLNAKVLEKMEFGLVGGHDDKWWGNKYKQLLVEYRASRAARFEFKSFSTSTSQDNKECTHDLSLADPFDASFLDGYVTL >cds.KYUSt_chr4.26237 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164983029:164984948:1 gene:KYUSg_chr4.26237 transcript:KYUSt_chr4.26237 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHSQHDLLGLAQPAQPSPFIFLDHSSTTNGTPQTQQPHDDLTLAYISRMLMEEDIVDKFYQYTDHPKLLQAEQPFAEILSSSGITSSDVQESSILPPGYGNHTGTASMVSGFLPDEVHNPAFFLNGTVAPEEPISSTYMSMLSSMAFFKGMEEASRFLPTHDGLVDDRGRQNMFDIDGDPMEACLGRSSKEIEVLVHTDSKEEDTTVEMSDLLILYPSEMMKGRGDKAAQQSICRKAPRVRCSAWQMMVADLDTLLIRCAEAVANSDRRRTDDLLKRIKRHSLPTGDATQRLAHYFAEGLEARMAGTGWQLYHSITAAKRASIVELLKGYHQYMATCCFLKLSIHFSNKNIYNAVAGRKKLHIVHYGVNDGHQWPELLRWLAGREGGPPEVRLTGITSPQPGLCPAKQAEEAKCRLSYCARQFGVPFKFRAIIARLEDVHAEDLDIDPEEVLVVNNLFHFRTLLDESLTFDTVNPRDLVLNTIREMRPAVFVHATVNGSYSSAFFKTRFREALHNFTAQFDMMETTMPRGNSKRLLVERDVFARCALNIIACEGSDRVERPQNYKEWQAQNRRAGLRQLPLDPDIVEILKEQVREQYHRHFVINEDGRWLLLGWKGRVLYALSTWTADDASASQLA >cds.KYUSt_chr3.46525 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292662687:292663442:-1 gene:KYUSg_chr3.46525 transcript:KYUSt_chr3.46525 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADVARSVVGIVGNVISFGLFLSPVPTFWGIIKKKDVEEFSPVPYLATIFNCMLWVFYGLPLVHPNSTLVITINGIGLAIEAAYLVTFLLYAPNRKRLWVLAVLAAEAVLMAALVTGVLLGAHTHDSRSMIVGILCVIANTCMYAAPLSVMGKVIKTKSVEYMPFYLSLVGLLNGGCWTAYALIKFDLYITIPNGLGVLFSIAQLILYGCYYKSTPKKAKNVELPTIADKTLSTNVSITVHDEDDDDDA >cds.KYUSt_chr7.35166 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219677344:219678147:1 gene:KYUSg_chr7.35166 transcript:KYUSt_chr7.35166 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRSRRGTRDWAALPLDVLWTILSLVPQDDILRTAGLVCASWRRLALDEPLLWRRIDLPAEKDKDGNPPATWKARACAAVRRSAGRCESYRGRVNRDFLLFLAGNAPSLRSLHVTSRFDMLDEKFMTVLAKKLPMLEELVMSKGRIEHSSLAALVDHCPRLQLLDAGGCHTFNSIGESLQARLESKIKHLRLPRLQDVRFRGRIGLVRAVPFPPPTGRLGRQLKPVGM >cds.KYUSt_chr1.35563 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216806806:216808134:-1 gene:KYUSg_chr1.35563 transcript:KYUSt_chr1.35563 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIACAASVTQLVGLALKIMKAAANAHHNKDKCDDLALRVYAIADHLLRLKDPEVMGPVVGLRDALEQAHKLITQSRTRRFVKAIFQPDSFDDVNKRIDSFLLVFLIGINRGNVPVPNSTAGHPHSSLPAVSRAESEPEGAGKFTLARIKEATNNFADVLGEGDSGKVYKGELDGRAVAVKRLKNGPRRRADDVFGTELVILRQLSHKHIVRLVGSCADEEERVLVYENMDNGTLREHLQNNASELAASWKRRVQVLLGAACAIEHLHCHARPQLMHSNVTSSNILLDRRWQPRVSGFGASVWRAPGAPSQAVEVVHTNGYQDPEYRRTGLLKPATDVYSFGVVMLEVLTGREPVVGNIWEDNKRMMIDKMLVPWALTSIKARKLGEVLDRRPASEPTTSQKHALMLVADTASSCLRQDGDSRPAISEVVASLEKALHLIC >cds.KYUSt_chr2.50574 pep primary_assembly:MPB_Lper_Kyuss_1697:2:316150301:316150642:1 gene:KYUSg_chr2.50574 transcript:KYUSt_chr2.50574 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKAKDMVSSAKEKVKEGAADVQGKTGKAASSTHGEKEMAKEEERAHKAQAKAQKHQEKAEHRTDAAPGRHGTRVPTTAGTHGHHGPIGAPVDPAYPGTGTYPAAEKYI >cds.KYUSt_chr2.30913 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190470876:190477151:-1 gene:KYUSg_chr2.30913 transcript:KYUSt_chr2.30913 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVAVVVFSPKGKLYEYATDSSMDKILERYERYSYAEKALISAESESEGNWCHEYRKLKAKIETIQKCHKHLMGEDLECLNLKELQQLEQQLESSLKHIRSRKSHLMMESISELQKKERSLQEENKALQKELVERQKAARQQQQEQWDRQTQTQQAQNQPQAQTSSSSSSFMMRDQQAHAQQNICYPPVTMGGEAVAAAPGQQGQLRIGGLPPWMLSHLNA >cds.KYUSt_chr7.13621 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84012512:84014248:-1 gene:KYUSg_chr7.13621 transcript:KYUSt_chr7.13621 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSTADDEEEKAAMAPPSRPAGAEMVDDPAVEHQPSPSPTTKPCRNTSTCGGVGVVIGTIVFLAFLVRTKWIHLDADYYSFLAGTSSGSVSRRGCHFRARSSTAHLIPIPFSCDANGTSGPALCRRGASLAPEPSPSSSSPGVPPPWCPDYFRHIHTDLEPWRTAGITRDAVERAQRHAELRLVVVSGRAYVEKYRPSYQTRDVFTEWGILQLLARYPGRVPDVDMMFATGDVIRVRAADFHDDPSAAPPLFRYCKDVDKEVAILWPDWSFWGWSEVNIRPWAPLIEEVGRENARLPWQEREPYAFWKGNPGVSEARRDLFRCNNDSAAGKDWNARLFAQNWAAAVRNGFKDSSLAKQCRYRYKIYVAGRAWSVSEKYILACDSPMLALDTPYRDFFSRGLVAGKHYWPIDLADKCRAIKFAVDWGNAHPEEARRMGQEGSGFAREEMDMEVVYEYMLHVLTQYAALLRYKPTVPEKAVELCPESMACPRRGREWDFMMESREKYVATCEPCTLPPPFTADEVLDKAVRDDGVRSKLLHKMDRD >cds.KYUSt_chr5.11308 pep primary_assembly:MPB_Lper_Kyuss_1697:5:73472257:73474243:-1 gene:KYUSg_chr5.11308 transcript:KYUSt_chr5.11308 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGQSRFGEEGGENEDAGERGRPRDAQRGFVGPRVVVGPGTGPVGFDRSHATSKDWTLVEKLVEDAKAAFEGLEMTRGHMVLELRAQTGLNKGTAVKYLINHILGKLGLDRSEVLPMYFGDDVTDEDAFEVLREKKLGFGVLISEEPRESKALYSLKNPLEVKRFLNELVEWRMSLEDLSSTAENDDEIVETSSR >cds.KYUSt_contig_2860.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000546.1:53684:57290:1 gene:KYUSg_contig_2860.15 transcript:KYUSt_contig_2860.15 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSGNQEPVNGHGQREDSKNGASDGAPSAVAAQGGADASSPSRLPAAPVGGGSRGTNGSSSATVGVDQKGELPAAIPLPEPPAPSSAGSSSPDSAWPFGAGTVSSAAVDCKEVFDRLVRAQAAINEAGRGSAEEGEKKKKKKPEVDQVESSHHKKALWSKLRNYFTRKAFKKLINKVMGWKRQGRWNSIASPNSYRKEKLLAFFSTYNKTEDTREFTDHIMMTALARALEVPLRLERLHGVGSDEDNIYTRPGDVSVTLLYTGNHYDIIYPRDALVEDPAD >cds.KYUSt_chr3.6995 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40350267:40354520:-1 gene:KYUSg_chr3.6995 transcript:KYUSt_chr3.6995 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYGSDDYRGGGGGGYGGRGLRWILGIRLRPFLVVRFSDCFVFAQMAAVAGAEAVEDTVVAAVGVMEVVAVAEGMAAVVGEEVVEEEATAAAVAEAAGEVAGAGEDAKATGSALTRVRLRIMNSCYSCGNVNFARRTECNKCGSANPSGGGGGGGGGGYDRSGGGYNRGGGDHGSGGGGGYSRGDGGRGGYNRDGGSGRGFDDHRGGSGGYGGRDQENNQRVEKNTYNDGGYGQAPPKGPPSYGGPAGDYAAPPSSYGGSNVYSSDNAVPPPNSYGGGRGSYPPSYGAPPQNPYSGGAPGGQGGLPPTYDGGYGGRSAPGSGGAGGAPPSYHGGGGGGGYTGNATPEPATKVKQCDANCDDSCDNPRIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYADDSGKNKGDACLAYEDPSAAHAAGGFYNNYDMRGHKISVVMAEKSAPRAPTSGHGGGRGGGGGYGGDRRRDGGGHGPNRNQGGGSRSRPY >cds.KYUSt_chr4.830 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4344448:4348115:1 gene:KYUSg_chr4.830 transcript:KYUSt_chr4.830 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIAEQVVGGFSSAVIQRAIDKTMDFLESNYKRTQGSEELLTKLCTSLTMVKAITEVADNQIITNTSLSKWLRNLHNAAYEAEDVLDRFDCLETVTGKRKMSELISSSVVALKSLIVPDEGIKMLECVVQKLDHLCATSSTFLELLKHSNPTTIKRGGTGGDTTSRLPIDVKVFGRDEVLELILKIILGSSGSEPEPSNIRTKHGARYRIAGLDVIPIVGMSGVGKTTVAQVIYDHENVKGHFMHRAWVYVSKHYSVKRTLQEILCSFKGSDSYFNYEDSLETTVNNIQRVIQQNGRFLLVLDSVWDEMCDQWNSLLTAVACEVPGSVVLVTTQSKRVGDMVATMCQVPLAPLPWESFCKKARWSSISSKSNGKSVEIQIKCGCVALSDIPFIGQLSQLQELENFSARKKNGFMINELKNMQELSGKLCISNIHLIKSTQEAKDASMIEKKHLEILELRGRNVSKDVLEGLRPHPNLQELMIEGYGATNFPVWMIQDHIFTKLHSLHVENCRFLGALPPFGNFPSLKHLTLDKLPSVKHADGTNFSSLPNVEDLKVASMTSWIEWSHAEEDHGPLFPHITRFELLDCPLLKELPNLSFLSSLSELGISYCGDFVKALSQYLQLLACLKRLSMSYCDNPLMLSGHQLKSLEYLHLRKCGSLRLVGALHNFPNLMKVNVLGCPNILSELSDQSTRQDEQCVLQLTSITTDSSLLHRNSFLPSVRVLEIAYIEDRYFTPVQEEWFLSVNKIINR >cds.KYUSt_chr4.40029 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247179634:247184899:-1 gene:KYUSg_chr4.40029 transcript:KYUSt_chr4.40029 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPIATHASLLLKAAAAASPKPLFSPRAAQIPAPVAGRACPRWFRWPASARGLCSSTPPNQSGPAHGMGSDANGTRRRVPPVNGLSKEGATQPVPAPPKLLTLPTVLTIGRVAAVPFLISTFYMDGPWAATATTGIFLAAAITDWLDGYIARKMHLGTPFGAFLDPVADKLMVAATLVLLCTKPLEASLLSAGPWLLTVPSIAIIGREITMSAVREWAASQNTQVLEAVAVNNLGKWKTATQMIALTLLLASRDPSLPVQGALVAPGVALLYASAGLAIWSLVVYIRNIWRILLK >cds.KYUSt_chr1.4963 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30486053:30486585:-1 gene:KYUSg_chr1.4963 transcript:KYUSt_chr1.4963 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVDGGGKPCRHELRRHVTRVAWGRATTLYTTGCATSVSTSTAHRATAMAAPATTWNQMPRSHASSSTSELKNICKATKQPSSGTKMAAAAQNFEISQLVEIELMAFAHGAKEEGVLENRHCAGDVGNHGKVRSTGEERGAM >cds.KYUSt_chr3.3301 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18949301:18950667:-1 gene:KYUSg_chr3.3301 transcript:KYUSt_chr3.3301 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPIHSFCPLLRWEVTGGGGSLDCSLNKLTSLLPCVPNREVLGGCLLLVYHSRGHRGGEEEEKKKQLLGKSEGNLVKLQLPGLVIIIFELDSQSVVESAVGGAHQQWFVGSYAAHAGGRRAVSFRSYAAHQFGRLAVFLPPLLLLVEWQPSFLPTSVPIGRQQSFLSASMEFFHGNSVVPSGVIPGGAPANLSISISARHVSDMPLKKNVVAKGPSKPHKVTLKEKLAYMLLWEGNVERSHYSVVAEDDSRAMR >cds.KYUSt_chr3.49113 pep primary_assembly:MPB_Lper_Kyuss_1697:3:306811286:306813019:1 gene:KYUSg_chr3.49113 transcript:KYUSt_chr3.49113 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASSHLRLLLLRRHRLFSTATTPIPIPSTPPPAPAPTTESVLYSLRSLSKEDPSLALAFFRRSAESGHPLGSAAYNLMLRTLASRPTSAAQHFWPFLSDMEAAGHSVDQGTYLAALASFKKASLNADYASLTDRLAKARTDAHPVAAAVLRGLDDEEEDGSLDKRLEGVELTETAVTKVLREVRDHPAKALTFFRWAGRQNCGYKHESAAYNAMARVLGREESMREFWDLIQEMKAHGMHLDIDTYVKLSRQFQKRHMMTQAVELYELMMDSPYKPSQADGPLLLRRIALGPSPDLELAYRVVRKYEAVYEFKSKDVFDGIHRALTSNGRFDEAAEVMYDMRAAGHQPDNITYSQLVFGLCKANKCDEARKVFDEMEAEGCVPDLKTWTMLIQGHCAAGEVDKALHYLTEMIENNLDADADLLDVMVKGLCNQDKVDAAHTLFVEMVDKGELSPWKHTYKHIIRELLRVRKLEEALGLLKSMKARKFPPFADPFPPKIAEYGTLEDARDFLKALKGSVNTYPAYTVYLLMFKSFFAEGRYSEAQDLLYKCPFHIRKHHEVTKLFESIKAKTTS >cds.KYUSt_chr5.18275 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118065667:118069380:1 gene:KYUSg_chr5.18275 transcript:KYUSt_chr5.18275 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGGGKGKGAAKTAKKRVKKLANIVTSVKKVVGGPSGSTSRQRDSPPRDSPPRDSPPRDSPPPASSPPADPPRRRRRTRRPTSRPLAEEEEVEADVEDGGEEELHELVHPSFICHSDEERHAETRASQEEEEVESSSEDEAMTYDDDDGRQWVEPEEYVESEEELLNAPAITRRQRGASGLPDLPYAHSHLELEPVGSRVFAYKNDVTPPRTYSSILGCIMRRNFPGIVKLPSGERTVAWYWEDYKYAKNPSPEYRDMQEQVQCLFWKYFTMQPGKHEKCKAVLFNICTKMVTDMHYDARVSCVLNWYAEKRNVRISKSQARNKHLHAWQYMQVVPQYVSSNKKCYVAMVKHWTSDEYKKKHEEGQTYRAMMDGASHVQGSLPLEVARRREAKKTGVDPNVFEFWETMHTRKKPHPTTGSMWVNKGSELRSTKFVQKFKEVHGDDADPRTSDFDPEVAVLAGEGQRNGRLWIADGNIPPETIPTLSQLRRGRTSSQPAIEKRPRLGTIAMEEIRNEVAEERRRREDMETLVSQQQQQLAQQQQALIQQQQMLEVMRVQMQSLIPTGGTGPHPPPFTFPWSQSSVGGSNHAAQGIKRKQSKKKLGVPFAVCIYTAKIFAVCMNTAKITRGSSLCTRSAHLVRPNGLCRAP >cds.KYUSt_chr5.21362 pep primary_assembly:MPB_Lper_Kyuss_1697:5:139410472:139410730:-1 gene:KYUSg_chr5.21362 transcript:KYUSt_chr5.21362 gene_biotype:protein_coding transcript_biotype:protein_coding MESESDCGVPDAEGPTLLLSGASDVAGDTLDALVKPGGDVNCRAGFGTEDTGDGRRVGCELDGTCRELDGPKGAGTTGFGPGCKLD >cds.KYUSt_chr5.15798 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101957541:101961518:1 gene:KYUSg_chr5.15798 transcript:KYUSt_chr5.15798 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSTPPATRRLLLLAWAALLCTFCCSCEGRFVVEKNSLKITAPDTLKGTYECAIGNFGVPQYGGTMVGVVAYPKANRKACKSFDDFDISYKAKPGSFPTFLLVDRGDCFFAKKAWNAQNAGVAAILVADDKDEPLITMDTPEESGREDYLENITIASALVTKSFGDRLRKAVEKGDMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGPAQILEKKGYTQFTPHYITWYCPESFTSSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVYQVAKDSQKPWLWWDYVTDFAIRCPMKENKYTKECADEVIKSLGLDHKAIDKCIGDPDADKENPVLKAEQDAQIGKGARGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFKETTEPAVCLTEDIQTNECLENNGGCWHDKAANISACKDTFRGRVCECPVVKGVKFVGDGYTHCEALGSGRCEIDNGGCWKETRNGLTHSACTDGGCKCPDGFKGDGVHKCEDVDECKEKTACQCKECKCKNTWGSYECGCGGGLLYMKEHDTCISKNAAAQVGWNFLWVIFFGLAAAGIAGYAVYKYRIRSCMDSEIRAIMAQYMPLDNQGDISSHSHHIEM >cds.KYUSt_chr2.9321 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58709018:58711739:1 gene:KYUSg_chr2.9321 transcript:KYUSt_chr2.9321 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKGADGGEPNEDGGEMMLKTHSAPPTLEIVEHRVVGGLGSGGGGSTSFNQPWREMTPGSGSGHGGSSGRRGGREPPEKRLTLFALRLAVLEKAASGLGKLDFAWATVVLLGGFASDLKITDFWCITVILVGEGARVFSRSHELEWQHHATLTSTAGNALRTSSRFFHHVARAIFKPRTTAASLARVRAVQFQRQIVGMMKQRTWHAPDVPLLPYTGWVFVSKKISWLLNWLQVLSAFACVALSVMRLWKHDFEDQGKDNMRPALLLFYTLALLEASLFLLEKVYWWWKMSVCKLLDQVSGECELGPYGLVSLKRFFYDAYSQCIDGSIFDGIKMDLVTFAEDLILSDFLDEQLIGVRILQQLATSKGSARDTLRKLGTNPRSIERVVEMLNWRRPDEEEVRQCAAEVVSKLAGKRQNALRVSGIPGAIESIMSLLYTGRGAPTSSTITTKGAAAAEADRMYDYLQFNLLGLRILKKLARDHDNCGKIGNARGLLAKIVEFSHASQALLLNPSATDSQVRAVKRALQVIKMLVYTTGATGKTLRRDVAENVFTVSNLRGVLQHGHQHMELQKLAMDILTGMAMDQRANETIAGTGGVVKLLLSIFFNAQELELGREAGEALAMLALESETSCSAILKARSDVIDPLVSAVESHDARCLNAMRLLRNLCAYSGEEHRTRLSAVTKAMPAVLRATMTGHSKILEVSVGLTTQICQFMDGDKFAAELRGAGLDMRAYVQRLAGILRQYKYPEVTVPRMRRFVVQQVICLMKSSPRRGGGGFGELLRDRELGMERLLEAIADTTSEVECYHVFSGSVPISRHRESFSAIVETALHLVAAGGGGSSEGSFG >cds.KYUSt_chr2.47035 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294159843:294168051:-1 gene:KYUSg_chr2.47035 transcript:KYUSt_chr2.47035 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAEIAEEPKPPRMNRRQWKAARGNREDKWTRKDRLLREATAEKHREQEAAEAAADAAAEAANKEDPEGAKAARYRECWIQIFSRSHGSYEDTTSIPPMRFTDDQPPPSAGVGYADSVVIFSVKVTQLKDSLEWPLDVYGIVAARDSLDRNRNLIFNRTRDNCQKLTPEDASLSLTGPTRAVVMIDPVNYEVELKVKGDTPSQDKLLSLLLIEEKYYPPAPGERCQGVHCHTYSSKLSTVEVTVGHLARTVEATISVQVIEGSWPTHHHGRFVARMASLDDLEMVLLDSRDGTVTIANDGAIELSRCVVPVEADGELKLSVDAWQGDYKADAVGKGQIKYCQGFVAHIRQHSHDTSVLTFQEYYDELIQSKKHDGVRVNYNGKHGKGVFANKDFAEDDLILKDQMLVGAQHSLNKIDCVVCSYCFRFIGSIEFQIGQRLYLQNAGSSIGCHSERHCHGSESGSSTGSPGVTKGNSEDLPLEVIESLITGETSLPFSDHFALPEVVSCHGCEEKRYCSQSCADSDWESYHSLLCAGSNTEPSRRSALHKFIEHANGTNDIFLVAAKAITFTLLRYKKLKKQHDFQNKLAGSNFSLLMEAWKPLSMGFKKRWWDYIALPQDVDASGEDSFRREIRDLAFTSLQLLKDAIFDAECAPLFSLEVYGHIIGMFELNNLDLVVASPVEDYFIHIYDLPDDKKEEAEKVTMPFLDALGDDYAVPCDGTAFFPLQSCMNHSCCPNAKAFKRDEDNDGHAVILALGPISKGDEITISYIDEDLPYEERQAELADYGFTCTCSKCQEEKPN >cds.KYUSt_chr1.35665 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217441428:217443641:-1 gene:KYUSg_chr1.35665 transcript:KYUSt_chr1.35665 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSSSRFSSRIPSLLDPVSKPPKPTRHRRRLLQTLASIPADAAAPSSTPPPYLSRLLAAALRGGRVGGELPDLLSSGGAGGAGIGTLLMSTTAAAVTKARESPYLLALAANPTFVSGMLAFAVAQVAKVVLTSVVERRWSPRMLCSSGGMPSSHSALCTALTASVALCHGVRDALFPVCLGFSLIVMYDATGVRRHAGMQAEVLNKIVEDLFQGHPISERKLKELLGHTPSQVFAGAFLGILVAWFCCQGCTAAI >cds.KYUSt_chr5.5835 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36356217:36358609:1 gene:KYUSg_chr5.5835 transcript:KYUSt_chr5.5835 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALGNLLYLNNQSPGPYTEFSGILQSQQNCMEMPGHGHHSAMSHDSSARESDMLASHLGQRSFGHVKDMKNEMLMHMMDGAQGGGAELIHDGGHNSAQFEFGVMNNHNSPNVPSGQGQGLSLSLNTQILAPSLPYWSIKPDMLTPSSYHDSLRVDDIRMKNMQSEASRAIRHSRYLKAAQEVLDEVVNVWKNIKQKAQKEQAETGKTDGKEADGGPKSEDQQESGANAVPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQNVVSSFDVVAGPGSAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTVLRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEETGDLEQESNSSSDNVPGSKNKVASSEENEDLKSARIRVCETSQLSDSRASIGTMNIVGAPVGFHNEANHDDSFMNLMMKEQRSSEADGDLLLHSTVSQHSDENARFMAYHLAGLGRYGNGNVSLTLGLQHPGSGLSVPNAQTNFPGVGDDDIYNTGAPLGVSIASSDYESMNQMDQRQRFEQSPLLHDFVA >cds.KYUSt_chr4.28406 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178427701:178429041:-1 gene:KYUSg_chr4.28406 transcript:KYUSt_chr4.28406 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYRYRSGVDTFSLPVTAPSISVGEVKRLIMGTSRHGHGRTRGRGPREGIAISDAQTGEEYTDDSALVLRNTTVVVRRVAGPPADAIVSTPTQRPKATQDGGGSSSESSASAGAEEDDEAKAISAVIDAAELKCEGPSRYNHRGAAYHGPAPHAGYVCRRCRVPGHFIQHCPTNGDARFDFGKATSVLSPAPVAPVDDNDGVPADLYCKICKTVMEDAVMTSKCCFSSFCDRCIRAHIVANSKCVCGSQACADELVPNSALRTTISNILAARAGSASGDSNPVAATSQHSQVSSKMGAASEHSDGSDSTSAPAAAHEPRKKKRETTDTAGARAANHAGHQYGYYDAPPFAPACYDPAFFGGVPWPADPSMYYGYGGMPYAYGGGGYPMGQQQSDAMGNMTASYGYQGERHDGRKRTGCDDQRQHDRSFKRRCSGSRSQVALVLT >cds.KYUSt_chr3.28653 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178867362:178868468:1 gene:KYUSg_chr3.28653 transcript:KYUSt_chr3.28653 gene_biotype:protein_coding transcript_biotype:protein_coding MATASDSLVWELVKKNNCFLIKQFGNSNAKVQFSKEPNNLYNVHSYKYSGLANKKTVTVQPAADKEMTVVLSTTKTKKQNNPAAFSHRTVMRKEFRKMAKAVKNQVSENYYRPDLTKPALARLSAVHRSLRVAKSGVKKKNRQ >cds.KYUSt_contig_786.352 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1900942:1902060:1 gene:KYUSg_contig_786.352 transcript:KYUSt_contig_786.352 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLDVVGQKVEEIPENFPFKITDKKGLNEITLTRTYQGEKIEVLVSMPSLVTGDEAEHDQDEDDKEKDNDQEDGEKAPKSTIPLTVTVSKSNGPSLEFTCTAYPDEIMIDTLSVKQPAANDDDELIAYEGPDFNDLDENLQRAFHKYLELRGISPLTTNFLHEYMINKDSREYLFWLNKLKDFVKQ >cds.KYUSt_chr6.33315 pep primary_assembly:MPB_Lper_Kyuss_1697:6:209333891:209341178:-1 gene:KYUSg_chr6.33315 transcript:KYUSt_chr6.33315 gene_biotype:protein_coding transcript_biotype:protein_coding MLILAVKFSTTVLSDTAMRMSARRCWSCPRLQALLQLHQRHAQARPRRRFSTSSSSPSPVCAAASGRFLCATPASPCSSTSLSVFRILRIRPSAALSYHITAAATSAGHLTSFVSPMRPAALFRPPTLIDSLGTQLWPRPPRACLADKVFGHMPCDDELMIQQLVQEEADAAADDDEHLRTISCLMKRPELLMAVREGDSPVRLISDLLSCNNETAITIDKDDSVHPAEAVTSGLDSILHVVASAGDSAGLLASASMIHSKARHLLVATNRRGDTPLHCAARDGRIEMVSHLIQLARAEAGDDGVKAMLRKQNRQRETALHEALRLPGEQMAGEMVGRLMSADPQLARVPEVFGASPLYLAVSLGLYNVARQLHDKDEGLSYCGPRGRNVMHAAVLHPNTRMTDVLINWGEHGLIKQGDQPDGNTPLHLAASCGEPGMVKLLLDADKSSAYQFDNKGSLPIHVAAMENVGEVVRVFLNKCPSCAEVRDAEGRTFLHIAILERNSDVVSTVFSFFRGRQQLERFETIVNMRDRDGNTGLHLAVQKESDEIFYCLLWNKGVLLNLRNSMGRTAMDLAKKPTSLRSLLDPTCTWIMLLRAAGAEYGAHHQDHLDLDVKKEATVISESTIQTNGAHHQDRLDLDAKKKEKEATVLTDPTIQTIGLISGLLFTVCFAAAFAMPGGYRAGDETMAGTPVLATRALRFPGFRRRQQPSAALLRPGHRLPRVRRDDQERDCLRHLHVPHSLLIPEPGSRICVRYGRGAFAGCSRYCSPDMDWYDIDLH >cds.KYUSt_chr4.47481 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293916692:293918155:-1 gene:KYUSg_chr4.47481 transcript:KYUSt_chr4.47481 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFNTRGTGSERPRPHVVLLASPSAGHQIPLAELARRLVEHHGFAVTLVTFANFPLPAHVVASCIPPATVATAVLPAVDMDDVPAHEWHDIIQVFVQLVRRSLPNLRDLLRRIHATAGPLAAFVPDIFCSEALLVAGDVGVPGYFFLPSNLNWLALERRFVELHRGLPPGEYRDFPEDVEFAEGVSLHRTELPVVFRNSNSLDFQRLLVSSRRYLLADGFLVNTFDEMEPALVEAFKVAAEQGTFPPVFATGPLIRPSKQEPDVDDRHCLCLEWLDRQPIGSVVYVSFSTLGGLSLEQTTEVAAGLEGSGQRFLWVVRMPNLALPAAADGDPLALLPEGFLERTAARGLAVTAWTPQVRVLSHPATAAFLSHCGWNSTLESVQSGVPMVTLPMGADQTMNAAILEEKLGMALRPSAREDGIVGREEIATAVKELLVEGERRRDARRRAGEMQQAAVSAWLPEGSSCHALEEVATNWKTACDTKTQS >cds.KYUSt_chr5.43146 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272174106:272178976:-1 gene:KYUSg_chr5.43146 transcript:KYUSt_chr5.43146 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIIQNCAVISIACCVFYSHCGNRTLSRDKSIDRRTASWVAFSLWRKQNDDNTLISRLLRMHKFKDQICSSWFAPVGSASDYPLLSKWAIYGELASNGSQDSNIISPVYSLWATFIGLYMANYVVERSTGWALTHPLTISEYENLKKLLKPDFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKGPDEAKRGDLLYDHLDAKEELWFDFIADTGDGGNSTYAIARLLAQPSLVIKSDDSRLTFPRGELLLIGGDLAYPNPSEFSYERRFFCPFEYALQPPAWYTPEHIALEKPELPLGVSEIRQYSGPQCFLIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGENDSVILITHEPNWLLDWYWSDRTGKNVTYLIREYLKGRCKLRMAGDLHHYMRHSCVESKEPVHVQHLLVNGCGGAFLHPTHVFENFKECYGNKYETKAAYPSYEESSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWGDRMNSFFIAMWNAIFEILERSYVSLAGVVILLMVSFCFVPTKLSRRRRALLGFLHATAHITSAVLLMLLMELGIEICIRNHLLATSGYHTLYEWYRKAESEHFPDPTGLRARLEQWTFGLYPACIKYLMSAFDIPEVMAVTRTTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSMVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKEWMLDPDWDMEPKEPFQMSHNRRFPSKWRAGSGWSEPTSTVRVVDQFVIPRTPTDPSLSDLAT >cds.KYUSt_chr5.30173 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191361124:191361576:-1 gene:KYUSg_chr5.30173 transcript:KYUSt_chr5.30173 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGTSATILFDGWKTSAWTGYLLSLLALFLAAAFYQYLEAFRIRLKLLASAKADPLPPPAGSDARAPLLGPGSALAGCRWPAKVATAALFGVNAGIGYLLMLAVMSFNGGVFIAVIVGLAAGYLAFRSSDGEDLVVVDNPCACA >cds.KYUSt_chr6.22735 pep primary_assembly:MPB_Lper_Kyuss_1697:6:143471439:143474310:1 gene:KYUSg_chr6.22735 transcript:KYUSt_chr6.22735 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLVASHRLRLPPLPSAAAHAHLLRHRRLALAATPLRLPTTPLRQPAALPLRPCLKPLRAASLASPAPAPAPDKFLGVDLLTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYSKLADVLSKEALFYTVIFPFIAFFGVFGYVLYPMRDAIHPTALADRLLASLGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRQNLGPGVDGWAISLRGMMSIVVILGLVIASIYWGVNKFVIDKTSLPVERKKKNKPKLSMGESLKVLVSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRVIFRKFGWGVAATITPAVLLATGVGFFSLLLFGEPLTPLLAKFGMTPLLAAVFVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSSLAKEDLEREQTLKAETIETTAQVVGTGNGSLNGNGATPTNGAVIKPSQEPESTASEKSGQQSQ >cds.KYUSt_scaffold_1854.200 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1100881:1102567:-1 gene:KYUSg_scaffold_1854.200 transcript:KYUSt_scaffold_1854.200 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGAETANQVFVTNLPGTIVDDRRLEELFSHCGEVLEAKVIKDRETGLSRGFGIVTFVDREAVEHAVRCMHEKPQDGHHILVCKHVMPRR >cds.KYUSt_chr6.19643 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123623992:123628558:1 gene:KYUSg_chr6.19643 transcript:KYUSt_chr6.19643 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGTAYLVLHKPDKKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTSVCIVTSYCEGGDMAQRIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTKDNNIRLTDFGLAKLLMEDLASSVVGTPNYMCPEIFADIPYGYKSDIWSLGCCMFEILAHRSAFKATDMATLVNKINRSSISPMPPIYSSSLKQIVKSMLRKNPEHRPTAGELLRHPYLLPYVAESSNCSPIYLPIKPTKSNLGDKQSRRPSGGRKSGGKANGSNEALQTAAEQTMDRSANNSDLSTAGTQDACILQIPVDPETGNSEQQRTDVLSLQHTEENLTATSDRQIDETIRLKTIRTRSSVEAAPANSASQELNEAPIPKEELTIGVVQEQRKDVKTPRSCQGTKPGMCDVDAGTEESSPVSTLKLRSADSTPAEFDHLNVVQQRADALESLLEICAKLLEQERLDELAGASSALLLLLLIVATEATILNITNRCSYTVWPATLPVGGGARSLESGQVWTLDVPAGITGCLWARTGCWFSSNGKGSCKTGDCGGDFACNISGKPPYTVVEIKTSSPQNLFDISLVDGFNVPMEILPVPVQGEKECSKGLRCAANITSQCPEEMKVPGGCNNTCTTGTGSSNCTYSGFFKRMCPDAYSRLSDDSATHSCPAGTKYQVIFCPPMNLTISPAAARAGQRTRVRPTIVAIAIGASVGSVILVAVLFTITSYIRTRRAQWKHQLTEEEHEFGGELQGTPMRFTFQQLKVATEQFTDKLGKEDLGLFSRDNLGRAVLQ >cds.KYUSt_chr7.33325 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207924731:207927046:1 gene:KYUSg_chr7.33325 transcript:KYUSt_chr7.33325 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGAMQTRTVGIVGAGSRSGALGGDRRGRSGSLRIGGPAGGAALRARGAKPVAPLCCVKTSRGMMGSGKSTVGKILAEVLGYSYFDSDSLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMQRLVVATGGGAVIRPVNWSYMKKGMSIMLDVPLDALAKRIAQVGTASRPLLDQPSADPYTAAFAKLSLLAEQRGDAYANADVRVSLEEIAAKQGHGDVSQLTPTDIAIEALQKIQNFVNEHSMASGPFEDL >cds.KYUSt_chr5.16696 pep primary_assembly:MPB_Lper_Kyuss_1697:5:107434288:107436406:1 gene:KYUSg_chr5.16696 transcript:KYUSt_chr5.16696 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPWSARRGNLSEKESPEKSERDVTSLIFRKAVSEPTFCSMYAQLCYEVHDYLPSLPAEEPGGRNIIFRRLLLNNCQEVFEDRDSLRVEIARLTRPDQVMERGDDGMILKLTTFGNIRLIGELMKARMVPEKIVHHIIKELLGSDKKACPDEQHIEALYQFFNTVGDVSCDIWHWQDAYTNYLSNLGYIRAEMEKLESEMKRIALEAQQKQTCKLSDEDMKITRMTMLLTALLQDNKDCKNEILKVLKLTVTILFAILCDEVNTESF >cds.KYUSt_chr5.27765 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175787118:175788578:1 gene:KYUSg_chr5.27765 transcript:KYUSt_chr5.27765 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALVTEIVKKISRTSDLNSLSLVSKQLYTIEGNQRGAIRVGSGLCTAAEALISLCARFPNLLKVEIDYSGWIPGHGNQFDNNGLFVFSSNCSSLVDLTLSFCSYIDDSGLGCLAYCKTLASLSLNSTPQITSTGLISVAVGCTSLSALRLIDCEKIDSVEWLEYLGKNGSLEELVVKNCKGINHHDLLKFGSGWMKLQKFEFQRINGIHDIHPGYEFFDPYYDAHGRDIYDFCCESLKDLRLARIKTSPEIGLRVLLGKCKSLEKLCLEYVHALNDNDMIALSQRCSNLGSISLWLSLQRYYSEEDGYCETRTSFTDKSLYALALNCPMLQIVDLRFTGCSPDYPTEIGFTQKGFVALIQSCPIRVLVLNNANFFDDEGMKALSSSPYLDTLELILCHAVTDTGMRFIAHAPSLSNLTLRACHKVTGAGVTELRRAHKLESLVIEYCGEVSLQAAQDVTKSVHYSSKYSNALMRKIVLGGA >cds.KYUSt_chr6.31684 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200258130:200259288:1 gene:KYUSg_chr6.31684 transcript:KYUSt_chr6.31684 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVFETPLLHGLPDEIALLCLARVPRQCHNALRCVSRRWKALLCSEEWHSYRKRNNLDESWIYVICRGTGCKCYVLAPDPATRTLKVIRVMEPPCSAREGISIEALDRRLFLLGGCSWLKDANDEVYCYDASSNSWSKAAPMPTARCYFVSAALKDKLYVTGGLGLTDKSPNSWDIYDKATDSWFAHKNPMLTPDIVKFVALGGELVTIHKAAWNRMYFAGIYNPVDQTWRGTANEIALCWSGPTVVLDDGTLYMLDQSLGTKLMMWLNETKEWVMLGRLSDKLTRPPCDLVAIGRKIYVIGRGLSTVTVDVDTAARVDGFLVSTSTGPLMEHDFPPERCRVITI >cds.KYUSt_chr4.52215 pep primary_assembly:MPB_Lper_Kyuss_1697:4:324012038:324012820:-1 gene:KYUSg_chr4.52215 transcript:KYUSt_chr4.52215 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRGRKVVSSVVKTKVVKETVEVATTILPDSDSLPADTQPEPEVVDVHGSTAVHVVEVTTPDGEAPAAKKSKKGGQGQDASPKSPEPPVVEPVSVQSQETQEDPYAYKDQPEQRTTEKPQIEKKPASEGPETPRQKKPKPGRKSTALKEEGSKYGRGRRRRGSRPEMGYKRFVYRVLKQVHPDLGASGKTMEILDMMMADMFERLAEEAARLAKHARRATLSSREVQSAVRLVLPGELAGHAISEGTKAVTKYMSRD >cds.KYUSt_chr3.33172 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208163818:208169550:1 gene:KYUSg_chr3.33172 transcript:KYUSt_chr3.33172 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGEATEEEAWAQVDMSAMRRSLLSLIHRYYLEAISRLPAADLRATLARGLLVAGHCYGPLHPVHNILLSSIWYAAAFPLRDADQIDAHMISNDGVVRACHRSLDGLVASLRLFCPHLSTGDALWNLMSADADLSAAVALADRTSRSSAQRAMGSQAHGTFQAAAQAARHPNPAAFGLFSSSVLPTVKRDIVLFLRSTHMLSPMDIERLNKSLVLDLPNDLLQPHLMVSPGVLDVIASHRKLFKDTQEIVLKVVTVALRKYALQSGEQFVLHSVCGVNLLKKERMDNCYHINFFAHRQESGSVLGAPRLFFTEALVPALDDSSIRLCVVVDPLREIGSCFACETNKKKIVHPTYDEYLGGRDFQVDDVDNDGDFPNPLDVDYIFFDAERDSVFAKHLDDIATCDKGGSTDVLGTARPYYDVDFGILTGRGMERIVHVHHVDKDAFLKGNIEPDPEEVDLVFDLSPSFAEVVAQTMRINSEQRWSVYKETVTGSQDKALELFATKTVDARIELDLNRPSSPVRERSPPPMSQEEATQSPIAQQPPLDNEYDEHDDGDDGFEMTSMTSMTMVMMV >cds.KYUSt_chr7.12017 pep primary_assembly:MPB_Lper_Kyuss_1697:7:73897670:73898284:-1 gene:KYUSg_chr7.12017 transcript:KYUSt_chr7.12017 gene_biotype:protein_coding transcript_biotype:protein_coding MARADKHLLVYRRRTLEEREAAAARRREEEEAAARLREEQQAAVTLKQLECKAVAATSHFVEQVEGAVAERATTSQDGGAEQVLLEAVVVEKVSTRDGRTAAQVVASQVVA >cds.KYUSt_chr1.41804 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256325787:256333365:-1 gene:KYUSg_chr1.41804 transcript:KYUSt_chr1.41804 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRWIAALVGGRAPLPVLWVPFFVCRVKVCRGGAQVVVAPPHAIKSPRLQPHLGGNVERLVGVVSSSRFSSGCGDLRIVKELQRQFILLLRLRNGCGLLDSFDDFPSAINNVKPSQGGAAAAARRRHGSVENMICSHISTAIVLVFIEWFCYTCIDNWSAIINRCPLCKVEFQHITSTPVYDSTGAGVEDNQPLTSEDDDWYHAICVGFNPETTSEDSWLCPRCVVTDAKNKSDVILKQTISDVSDRTSTDALFSGKVSVSVADDGETALVVSMVGVNSGSESALLEGSLSSKTVQEAFPSNSYPSYSQDHLSPDAVVNGCILRNKDSSCRSQDKSLEINLAAMVSSDPTKRSSELSPIHESAFSLSGAKHGNLLNEGSEIPQHVPSYSLLCGNKEAESTGEDIALPTSSNEKSPVIKSAQLSSAASKVAISTDIDMINTDTDQQVKSDQDTQLQPMRDIHNTSDMESGSEISHPAKKARLEVPDQEMHLIANSSISSTDCHTTSTAAKAVTSDTSNIVTQDKYVPVPDIMSIVEGNSYMRDPGRELAKPVGRRAGDKPGLRMKKIFHKEGKESYVAVQKLQQEIREVVRDNGIGILEKDNGFDEKLLTAFRAAIGKSMDGPDKRPNASLLRKSLLQKGKIRENLTKKLYATSTGRRRSAWHRDREVDFWKHRCSPGINPEKIETLQSVLQLLKKSSETTGPRKESAEEKKAFLSRLYLADASIVPRKSDIKPLSALEGCPPLDKNSQIKAIDGKSTNKPSPVTATTKVNAPNNTEKVPSSLTLKKEASSRRENKNGQAAQIQQNQSAGDVKDDKRKWALEVLARKNASSIASKDQTEGTDDLNKNYPQLAKLPLDMRPQLTMGRQKKVPTAVRQAQLYRIAEHYLQRANLDVIRRCADTELAIADAVNIEYDIYGRSSSKSVYVNLCSQATRQSAEAKPENDALTLTEKTEISSDLLSPQIRTESTSIGSSDVEEAMGRAGLLDLPATDRQADESELGGVPEQNAREHTVSFNSVEEALKRAGLFDSPPNSPERKMSTAEGNSTAVSGCPNIAQQKDTSAEDTSVVNLDSGLSKRVRDAPPHKGDDDSSVQVLADANCQSLDAVMSCQQPKCNSEEDQKSMPIRETTDATTANKTCSVNLAEADRCNAVCEETSQTDKGTVVETPDGGTAGQEEKLKEMDVAENDSHSQSRVGNNSPKEGEATSQPTKLESSSKERPRSDSQESNSKRSKGDKPSTRPAPDPGNGNKASHSSSSVYKKVEMFVKENIRPLCKSGVITVEQYRWAVGKTAEKVMKHHSEAQNANFLIKEGDKVKKLALQYVEAAQQQIS >cds.KYUSt_chr2.10717 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68222575:68223501:-1 gene:KYUSg_chr2.10717 transcript:KYUSt_chr2.10717 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRRFPEELFRTGEPELRPEQGRFVLSRTPGMLRMEAKMRGRALEATVWATEQGFRPPVSPEDVLAALFAQCGVRRCDVKVEVSAPPVDFFLRFRNEEECTAVLHRSRHFVAGGATLSFGRWHRGRGARTSELEYLSKLTFERFPREAWERDAVGRFVNRLGGHLEEMLKPTDSWYLSVTAWMKNPSDVPKSFAVEVLEPDELPPVEPDSDDPTTPSPPRAPTMKRTVVHDVLVHVSEVVDRGPVFTDLPVEYQDLTVDTTRTHEFTWFGGCVDGTIPARVRDGGHCYGGHNGNGSAGAEKMEMLN >cds.KYUSt_chr5.30251 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191807228:191808782:-1 gene:KYUSg_chr5.30251 transcript:KYUSt_chr5.30251 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCVQVDQSTVAIREQFGKFDSVLEPGCHCLPWMVGKRVAGHLTLRLQQLDVRCETKTKDNVFVTVVASIQYRPLAGKESDAFYKLTNTRSQIQAYVFDVIRASVPKLLLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVIGFAENVPGTSAKDVMDMVLITQYFDTMKEIGASSKSSAVFIPHGPGAVRDIATQIRDGLLQGQSATQQ >cds.KYUSt_chr4.9578 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57836923:57840598:-1 gene:KYUSg_chr4.9578 transcript:KYUSt_chr4.9578 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPHVMVLPFPAQGHVTPMMELSHRLVDHGFEVTFVCTGLTHALLLDTMRRSANSSDALVDGIRLVPIPDGMAEGDDRRDLCKFVEAVSRRVPGYLGDLIGETEASGGAKVKWLVADVNMGFCFQVAMSLGVRVAGFWPAAASSLGTSFRIPKIMQDGFIDDKGFPKRQGLYEVAPKMPPIYASHLPWSIDGPPDEQQVVFHLASSYAPWTRLAEITVCNSFLDAEPTAFELFPDIVPIGPLFADEEVRKPVGQFWPEDPSCLEWLDGQSDSSVVYVAFGSFTIFDPRQFRELAEGLELTGRPFLWVVRPDFTSGGLTKAWFDEFQSRIAGKGMIVSWCPQQQVLAHPSVACFMSHCGWNSRTEGVRNGVPILCWPYFADQFANRSYICDIWMTGLAVTPGENGVVTKDEVTSKLEQIIGDKGIAERVRTLRDAAHSSLSEGGSSYENFKGFVNLLSE >cds.KYUSt_chr5.22153 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144560899:144565194:-1 gene:KYUSg_chr5.22153 transcript:KYUSt_chr5.22153 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTESWLIALWLAVSNIQRDGNAPDVFSWPWSSSGKYSVKSTYSMLMHGSERKMAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRSDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGIWKESEYSGHSIPVGGLAYYVTAPSSLADILANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >cds.KYUSt_chr6.11095 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68833666:68835050:-1 gene:KYUSg_chr6.11095 transcript:KYUSt_chr6.11095 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTLHRRLHRRLPSISISPPESGDRSIDPPPTAGRSSPAKKRATSTVVPKVAAPGDLVSRVKPNIASTHRREAGGKWRRPWAWPPPTTSPCRSAAESRREEGAQRRPPPPIPTAPADPPWESRREKAAQRSPPPPIPSARPPCLRRGDPAAVHHASTRKGPHRRHAPWIFAPEALPAAAAAAAIVFQKTVESASDGILKDCDSLLKRYINLKEEAEKPIEDSENEDALKQGAVLARELLNLETDEVRWKILAEVWTELLIHIAPTWNAQAHKKCLSGGEFITHIWALLWHYGIEKSSLWPKDIGPENNDPQEVGLGNDSDQAGAATRNDQIEINVAEIVIVKEHEAGNSRGVLRTVDGTWSSEIEEIIQNVTVKTTNVQRGKAGQAQNESKMKKI >cds.KYUSt_chr6.20332 pep primary_assembly:MPB_Lper_Kyuss_1697:6:128103818:128104702:1 gene:KYUSg_chr6.20332 transcript:KYUSt_chr6.20332 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGAGHLVSSVGQLLGEEYRQLRGVGGQVAELRDELATMNAVLLMQCEAEDDGTVDHFVREWTKQVRELAYDTEDCIHLYIFRVRRRPKDRFLVRSKRLLETLFPRRRLAAEIKALRARAVAISARHARYGLSRPAASLAPAPAASAHALRPVSNDPDQLVGVSDQAKSLAEKLMAANDHERDMKLKVFSVVGFGGLGKTTLAMEVCGQLEADFQRQAQVSVSQAFEGRKDLKGLLKRVLRQIVKVKADHEKGIKIEDSLTRGEIDKMNLDDLVQELKDRLKDKRYEHYINN >cds.KYUSt_chr2.2138 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12950691:12953058:1 gene:KYUSg_chr2.2138 transcript:KYUSt_chr2.2138 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYRRLHLLRRLSQPHLLPSAPKPALASALLPTSRHFSFASAEDAAAERRRRKRQLRIEPPLHAQRRDPSHRPPPRDPNAPRLPDTVSSLVGPRLSLHNRVQSLIRSGDLDGAAAEARAAIPTRVRPTVFTCNAVAAAMVRAGRHGDAVALFDSFFRRHGAIVPNVVSYNTLILAHCEAARVDQALQAYREMLGGGATSFPPSAVSYRHLTRGLVAAGRIQDALELLHAMFHRGHGADSIVYKNLIDGYIGVNNWDKAFQLFDELREKAAVYDGVVHTSFMEGYWKRGMDREAMENYKSLLDRNFRMTPATCNVLLETLFEHGKRAEANDLWETMIDNHTPPSFIGMNSESYNVMVNQCFKEGRFREAVEVFHRQPRRNVQMDVGCFNNIIGKLCENGMVAEAEKLFQEMESKSVLPDVYTYTYMVDSCFKEGRVDDTLQYFYKMADGREHGPKFNIGFFNRMFQGLSEAGRVDDALKVYGRMPDKEIKPNTTTFEILVKALCKEGELDRALELVRDMARSGVVAPPEFQETVGEIFKNADRHEEMEKAFEEKPAPLPPKPRPEAIVLLNHSILAMVHLKCGNPSGCLLTLSSLCLETKRLKKKKLLLPINGSRTFLLKKNNLGLLYPKINSQNLVPLALGSKQLVLLKCSNLILGQLHRCSLYLLLSRNNQQISLVILNIPGMTHLVSGRQHMVLIKGNSQAMALIKHNSQTMVLVKHNNQTMVLIKHTSSDMGLTKHSSLVMALIKGNSLGMALS >cds.KYUSt_chr1.18398 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107660152:107660757:-1 gene:KYUSg_chr1.18398 transcript:KYUSt_chr1.18398 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWRKLALAVRATLRQASASHEATRLIDLATIKLMAQREALRANRAGVAFRYVELGPAGVSPASLLQAARRVIQRCSVCHGLADSALPLCLDGLGLVLSAEAVQSWATHSSDALRNAEEAQVRLRNGVSFATAAIDAVGVATALLPAGDILQRAWLLAAEQLQNKAIKEVCLARNHLREMRADISRLLMDARSIAQLLD >cds.KYUSt_chr7.15882 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98643499:98643975:-1 gene:KYUSg_chr7.15882 transcript:KYUSt_chr7.15882 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGPTDLPNSEHDKHAGQGTLIFSYTCVSLTGTAVFAVLFFFCYKIRNRTPVAAAGAETARRRAVDLTKLPEFAYTRSARHKGESGGGGDGAQCSVCLGTVQAGEIVRMLPLCKHLYHIECIDMWLASHDTCPLCRSEVEPLEDDGQPSPTTELLV >cds.KYUSt_chr7.21456 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133021772:133025192:1 gene:KYUSg_chr7.21456 transcript:KYUSt_chr7.21456 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQIAEKKYREAAQEMYSEIDVAIAKFSMQVSTINTAKKQKKSSTRSTPSSRGPTENKTRQQFSGEETDGSDSDYQVNLSGFESGSEGEGSKENAYGSDYDDFVSVPRKRKNCNRVVQQKRQSDDLGRNDELPCKVVQSHVLKELTKAPEVVFPAPQLLDDNIARTANDTTCKLPRPSKLKQKKKIKAANVNSDAVPKQMIAPEVVCVPQQHQDSVGATNVTTTKLPRPSEPNGNKKRKSADVNSDAEPSNKARYVILPQETRGTPVGDCAQESHGNELQVEEKGSFDLGFDSPNKENDKGKEELVTGLPVITSSNEDEFYGPAEDYEMLAAMVGEKYFPTSCCTLNVTSLEDTAKQKNKLRDHAFTGKDIKRVFSFTENNRLDHKEQNLSTIDDPKYFCGHYYLIKLNLKAERFEIFDSLRNLGDKKLKKDSAIIIDYVKTLWARNYKQSNVSIQNYETIYIPSPKQLTICDCGYSMLKCIELWDGRKLGHFDQKEMPLYRMLYSFKWLDWWENKISWRNKFKAKK >cds.KYUSt_chr4.45732 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283052484:283053639:-1 gene:KYUSg_chr4.45732 transcript:KYUSt_chr4.45732 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAAFTDLSDFEFFVAIHQNSWDKLRLPDKFGELLDGREPREVKLREAGGGRRLWDVEVVFDGEGHMYLGRGWDQFAREHDVQLGHFLVFRYDGDEVLTTKVFDGTMCRRHYKHDEDDDDSSTTPVPRSSQLPTIKEEDPGSESSSESGRKNGIDSGSKNSIYTGSKNSNGDGSSSAEMDIDDATASQFTVMLRQCHLGAKQKQYLNVPVEFQIAHEYHKRSGVVLRMRGKSWPVNLKRSLRAGGIPRMSLRYGWHQFCVDNRLDVGDTCFFRALRGGDADRGEDHVLKVEVRRRDGTFAD >cds.KYUSt_chr6.17609 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110816077:110816842:-1 gene:KYUSg_chr6.17609 transcript:KYUSt_chr6.17609 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSPPLSSLCRRRRRRRRMCFLLSILFLLSSACSLEEEAKALRVGEELVGETMPLRRGRRFYRLAGLRPPACFSIRIVNDPHAVEEWGSKNRRLLNTEKMIFKAESTKPVYVLVTVEPEGVVAKPNVPERELALFNIGMLLMFLYTSFTFPS >cds.KYUSt_chr1.42918 pep primary_assembly:MPB_Lper_Kyuss_1697:1:262561485:262563413:-1 gene:KYUSg_chr1.42918 transcript:KYUSt_chr1.42918 gene_biotype:protein_coding transcript_biotype:protein_coding MITHAMTELGSGAEATRAAIAEYILHSYSGLPVSHDALLSAHLRRLVSEGVLRTDATSYSYALAAPLQARADQDRNFQNKRGRGRPRKEKPRPAEAAAMSPESGNAGSRGVKRRRGRPRKEKPAAMLSPIAGSASRGFKRGCGRPSMVTAKPAAAAMSRKEMPPAAVAGVKRSRGRPRKDQCITGLVEASVEELFGDIMAEASTAQEEEEDLVAGDKMQTEEAVAPGDRNQSRAAAMPTEDRDAESMETEAWGEHSRLEKPSAARSPETGDAASTGIKRSRGRPTKNKPPPAAAMPAEKGDAASAGTEAGREQSTMEKTSVAISRETGDGASTGIKRPRGRPRKNMPAADMPAANGDAASESGGGTPKMDSNETMAAAMPAEKGDVASAGTEAGREQSRMEKPSAAISRETGDGASTGIKRPRGRPRKNKPAADMPAANKDAASEPGGGTPKIDRNETTAAAMPAEKGDAASVGTDAGREQSRMEKPSAAISRETGDGASTGIKRPRGRPRKNKPAADMPAANGAAGIEPGGETPEMDRNETTAAAMAAEIGDAASAGIEAWCGTPRIESSAARSPETVDDAASTWVKRPRGGPRKYPAAPMSAETGGATSTEVKRGRASPRKKTRAPPAQGKRSRARPRKD >cds.KYUSt_chr1.15247 pep primary_assembly:MPB_Lper_Kyuss_1697:1:88713757:88714422:1 gene:KYUSg_chr1.15247 transcript:KYUSt_chr1.15247 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKAVPSSKQSLDFFPRSLFLLFLLHRPIVSSPDLLPPPCPILPPPRSTRRPSSEARPCLLLPWWAASRLAILGGEDADEVAVLPSGSAELGEAGSHGDLQTEEASSWSRVRCAAASSALLRPPRRRLCGCLLGYAIAGTSSAPRPPSPPRLRGGWRGYFVTACGSCSGCRRVRTPRQADAEDGARSRPAPRLLLFRFHAISVLGRAPTRAPGKPSPALG >cds.KYUSt_chr3.44104 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278434427:278435810:-1 gene:KYUSg_chr3.44104 transcript:KYUSt_chr3.44104 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLQCHLEAALAALLAGLLALAAAAGHRSPAPLRFAPDGRFKVALFADLHYGENAWTDWGPAQDIGSDRVMAAVLDAEKPDFVVYLGDLVTANNVPIANVTLYWERATSPTRRRRIPWATVFGNHDDMAFEWPMEWFSPDGVPAVHCPPSVSDCSFRGTPRVDLMMAEVDRDGGEQGLSRSSVGPEKLWPGVSNYVLQVISREKEQDPALLMYFLDSGGGSYPEVISDAQVRWFQTQSQFLNPDGRIPELVFWHIPSIAYAKVAPKAKSKIRRPCVGSLNREGVAPQQAEWGMMDSLAKRPSVKAIFVGHNHGLDWCCPYDKLWLCFARHTGHGGYGSWPRGSRIVQMSETPFSVESWIRMENGTTHSHVVLG >cds.KYUSt_chr1.40856 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250648274:250648645:-1 gene:KYUSg_chr1.40856 transcript:KYUSt_chr1.40856 gene_biotype:protein_coding transcript_biotype:protein_coding MALETAVLSRASAGLFGRCAMAGAGGGAWNSLFGGGEGMVGLDGGDWGAAAGSSMQPPHGWFQELDIAAGTAAAPQGNQSGGRGEMDAGGVGQADAPPASAAATGRRKRRRTRTAKNSEEVES >cds.KYUSt_chr7.16385 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101466565:101466909:1 gene:KYUSg_chr7.16385 transcript:KYUSt_chr7.16385 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGSAMSGPHTPSAITVADAGDATEQAREETEETTPGMAFLTALYAEALRYIYMTEEDMVEEYWQARKLRKYDRNKEWPKRAARIARVHPPPREMEAEIAEYMKYLEEEEAQ >cds.KYUSt_chr4.2122 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11606041:11612420:-1 gene:KYUSg_chr4.2122 transcript:KYUSt_chr4.2122 gene_biotype:protein_coding transcript_biotype:protein_coding MFREIGVAVVSVVCLLAAMAAVPCFFMARALWRAASREAALQGDLLRQKEALQQAERKSMNKSNAFASASHDIRSSLATVAGLINVSRTEARANPNLTYYLDQMEIGTKKLFDILNTILDISKVESGKMQLEEVQFSMADVLEESMDMANVVGMSRGVEVVWDPCDFSVLRCDAVIGDCKRFKQVLDNLLGNAIKFTHDGHIVLRAWANHPIARTSMISTPSRFTPHWRASVFYRWLLGTREDGAEQNSRRSVQNDPNYLEFYFEVVDTGIGIPKEKRQSVFENYVQVKEGHGGTGLGLGIVQSFVRLMGGEISIKDKEPGEAGTCFGFNVFLRISDAEEDIEQGRIAPSLFREPGCFKGGQCVILVHGDETRRILQTWMENIGMKVWPVPRAELLAPTMEKARAAVGASPSRPAPISSSQGGNDYLDGVADRCFSPKEMVTQVLRNSSGNHAGHLRPFGLLVIVDVSGGRLNEILQEAPSVARIKHQVPCRVACITDLKTSSEDLIRFKEAVICDMDLRKPIHGSRLRKLLQVMRELQASPFSQQHSHQVGITINEPPPADQPTTPSSEITYAAAVPQEPPRLGEDKPLEATAASSETTFAAAVPQEPLKLEDDKPLEGKRVLLVEDMRVLRFIEKKILSTMGATVVVAADGSEAVVMFINALEIASGCAASEGQLALPYDVIFMDCQMPVMDGYEATMRIRDEESRYGIHTPIIALTAHSEEEKAIQAGMDLHLTKPIQKEKVVEVVHQAGNGEGAAHSGLKAKEPPPSPSSSLTEMAHQGVPKFGSWEDEGQAYTQYFENARKGKSPGRSVNPNDPKEATEAPSNDPPSVKASPLRAGTEPGLRSKDETRATREDDLRRHDATARKASNERSPMHHRHAARLANKGGGASPSGDRRGSAEGNRGNAPTTPGRSKMRPSGRGDETPERGSAVPKFGDWDEKDPSTGEGFTDIFEKVREEKQSGTDNVSTSHAYTNRYNQGGRYESSFLPRISAALAVENQDSELSI >cds.KYUSt_chr3.39232 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247157851:247158357:1 gene:KYUSg_chr3.39232 transcript:KYUSt_chr3.39232 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKTHTHKAFLLCNYALLGAASSCIFLTLSLRLLPTPCGLLLVFLHALTAVFSAAGCFGSFTAGPAPWHTAHTAGAALTAIFQGAVALLAFTRTADFLAELQSYVRDDDGAVILKMVGGLGTAIFVLEWAALALAFSLRLDDDDGEDYSGDDDLRRAKNWADSYHV >cds.KYUSt_chr2.6574 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41044633:41047564:-1 gene:KYUSg_chr2.6574 transcript:KYUSt_chr2.6574 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSLSSALFAGTRFDRKRFAGDIARFRKGGPPAHVPEAAPPPPAPEKKRKRKSKNNAKKKNKKKKRAEGAPSDVVEGFSVFKGAEVKDAAEDSVEVEPRKDEDTEAERRRKEAEREIERAAILRKRFDIHISGHNVPAPLESFEELVSRYGCDSYLVGNLSKLGFQEPTPIQRQAISILLSGRECFACAPTGSGKTLAFLLPILMKIKPGSKGAIKAVILCPTRELAAQTTRECKKFAKGRKFSIKLVTKDLSQDGNFKDMHCDILVSTPLRLNHAIQKRDLDLSRVEYLVLDESDKLFELGFVEVIDSVVKACSNPSIIRSLFSATLPETIESLARTIMHDAVRVIVGRKNSASSLIKQRLIFTGTERGKLIALRQSFAESLNPPVLIFVQSKERAKELYKELAFDDIRADVIHGDLSEEQREDAVDNLRAGKSWVLIATEVLARGMDFKGVNCVINYDFPESASAYIHRIGRSGRAGRSGEAITFFTEEDKPFLRNIANVLVSSGCEVPSWMVALPKLKSRKHRVDRDHISFLTDED >cds.KYUSt_chr2.15029 pep primary_assembly:MPB_Lper_Kyuss_1697:2:94685317:94688728:-1 gene:KYUSg_chr2.15029 transcript:KYUSt_chr2.15029 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTGALVRVDGGATTGAAQGWVALSARESPKVRSAPSPGLSPSPQICDVGFVSVSDGWGAGVAGWGREKSSVFDHSGGDAFGGAVTWFPIFWDQGNVFRVNPVKIQGPEAMEVVDHIHDLQLENTPTCLVQTTGEAIQTLHLVTCGAENGAFDLLLSEVSIKSEWSHSGTGI >cds.KYUSt_chr4.25985 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163402650:163404330:1 gene:KYUSg_chr4.25985 transcript:KYUSt_chr4.25985 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNEPGSDGGSRYTYVISVVISLLIVIVIVKLTRYILGPPKEEELASSVDGEGVPPAEVALEVRAPGPVLCAYRRGDGWRESTCPVCLSDLADGETIRVLPACMHYFHAACVGEWLRHECAVLDQEFRPVKAKAASLPPGVIAERCWCGRLAKVKQVEDFSDQFGMKFFMCASYEHVPPRSSASSSTRPPSPPPLCKWFHWIDTEQPDWARQEVEEKHRRAWATFFEEERREKVRANEKAERERQIQKLRAEQARNREVNQKRMDDEAARRFAEEDVRKEAREAERKRLRERAAEAQVAEERGDKSGKWPRWTQGK >cds.KYUSt_chr2.53310 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332643417:332649902:1 gene:KYUSg_chr2.53310 transcript:KYUSt_chr2.53310 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLIESVELPVPDAFAALPTADADEAGTSSSTAGGGATASNPISPRSSNPLPSTTSATTPLELPGVPPAASARNPKIHHTRGVLHLYRSSPSLPASSYASAVAVAATPSSSSSGPTAPPLQSDSLLPSWRGTRLLVLAVPTRVSPEDFVRFCGPYVEHASEIRVISDDGVEDRYSVLVEFEDQKSADGFYLDLNGWRFSSSEVEVCHVLFIVAVQYMPSAVPPVGSTELPTCPVCIERLDQDISGIVATNCDHSFQCSCVSMWVSSSCPVCQFCQKQSETPTNPTCSVCQTSENLWICVICGFVGCGRYKEGHSIRHWKDTQHCYSLDLETQRVWDYVGDSYVHRLNHSKSDAKHSKLRSKCEFSGDNDDSDMGGVMFSSKTDTIVDEYNRLLASQLETQREYYEALLSDAKKDREHISVAVDKAVNDKLQEMQLKLENTMLEKKKVAEMNEKLMKSQDIWSKTVKGIEERERAQLRLKDDTILDLEEQIKDFKYSIKLQKSIEKSTHADDLKGGMLVPLAMESESGKGIGPLNSLWERSKLSISSMTPKEIGEYLQLWLRVTGTILSDEPDRLLWKWTASGEYTASSAYKAAFHGSTPSPSWKHTWKFWAPPRVKFFAWLALQDRCWTAARLARRGLQHHPRCLLCDQDPEDMRHLLIECPFSKQTWHETLSWLRMTCRIPSNNDATLTNWLDEALHATPKPLRKGLGTAALLIPWMIWKHRNECVFDRAQPCIQRLMAKIKEEATLWARAGALGLRVALPPDWDVH >cds.KYUSt_chr3.17314 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105993810:105994391:1 gene:KYUSg_chr3.17314 transcript:KYUSt_chr3.17314 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKFHEEEQKKSSKVISRDGLRGTWFPCHPDPNQLQILLEFFEVESFPTPKPGYIVICRAWIERDLSLPPSKFFSEVLHKYGLQLHNICPNSFTVLSNFQTLCGRYLGVEPDIKWFQWFYRIRPEYDSEKNICNCRTVTFILRARRNFHALASDESVCYWNREWFYHKNLSSKDQANKPSDFKDEAAKETPF >cds.KYUSt_chr1.35429 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216000987:216007857:1 gene:KYUSg_chr1.35429 transcript:KYUSt_chr1.35429 gene_biotype:protein_coding transcript_biotype:protein_coding WLHTPAFATVSPEEISGSSPAEVQNFVQGKWIKAANWNWIVDPLNGDKFIKVAEIQGAELKPFVESLSKCPKHGLHNPLRAPERYLMYGDISAKAARVLGQPEVLDFFAKLVQRVAPKSYQQALAEVQVTQKFLENFCGDQVRFLARSFAVPGNHLGQMSNGYRWPYGPVAIITPFNFPLEIPLLQLMGALYMGNKPVLKVDSKVSIVMEQMIRLLHDCGLPAEDVDFINSDGITMNKLLLEANPKMTLFTGSSRVAEKLAADLQGRIKLEDAGFDWKILGPDVQEVDYISWVCDQDAYACSGQKCSAQSMLFMHKNWSASGLLEKMKGLSERRKLEDLTIGPVLTVTTASMKEHTSNLLKIPGSKVLFGGEPLENHSIPEVYGAFKPTAVFVPLVEILKSDNFELVTKEIFGPFQVVTEYSDDQLELVLEALERMNAHLTAAVVSNDPLFLQEVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPLPKKWALPSAT >cds.KYUSt_chr1.4299 pep primary_assembly:MPB_Lper_Kyuss_1697:1:26309972:26315037:-1 gene:KYUSg_chr1.4299 transcript:KYUSt_chr1.4299 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTLAVGSVAAAVPVPPRLLVVPYVVSKPRRAHGSEEASPKDNSCELNAFLNIYQKLYESPDPYPALSSMAGLEICYDKANPVFALVPEDVRFHEELFEKVSLLQQLMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEGLNIMVRIPFLLLLPSQLMCSAPPESQLHEMRFLVDLS >cds.KYUSt_contig_2455.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000345.1:61866:67427:1 gene:KYUSg_contig_2455.11 transcript:KYUSt_contig_2455.11 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTLPELFSMLKTAEVEIKKEHQVMFNKTTSFKKRGRPNENGKFKKGGKKADAPPKKPKVGPKPDTECFCCKGEGYWKRNCSKYMADLKNDNIKKKAMASFSNFLPPLVLLATLFSTPALCYINPSTARPQRNVAEPSAYRTYIVLVEPLRSDAGKDAHRRWHESFLPSLHAGESIESRLLHSYTEVFNGFTARLTKAELYSVAKKPGFLRAFPDRKLQLMTTHTPAFLGLRNGTGFWSEARYGKGVIVGLLDTGIHAAHPSFDDHGIPPPPPRWKGSCKAARCNNKLIGAKSFVGDDSGDEVGHGTHTSSTAAGNFVPGAYHGVGTGTAAGIAPGAHVAMYKVCADNQCAESAILAGLDAATKDGVDVLSISLGGNTGRRFDEDPVAIGAFGAVSKGVIVVSAAGNNGPNSGSITNDAPWLLTVAAGTVDRSFGAEVHLGNGKSIHGEALTQEAKPRSKSYPLLFSEARRYCEYGDENSVAGKIIVCESTMSAFQKSQVHSLIGAGAAGVVLFNDDLSGYTTLLRDYNSTVVQVTAADGAILTSYAASSETTSVASFNYNNTLLGIRPAPVVSWFSSRGPSFIVPGFLKPDILAPGLNILAAWPPKMDSASGPFNIISGTSMATPHISGVAALLKSIHPSWSPAAIKSAILTTSDMVNSTGGSILDQHHTKASVYDTGAGHVNVTRAADPGLVYDLGITDYAGYICWFLGKDGLATIVRNSSLTCTNLPKIEDVQLNYPTITMPMTSTPFTVNRTVTNVGPATSTYTAKVDVPKSLVVRVFPETLTFSKVGEKKTFSVTASSHIGKELFFEGSLRWVSDRHVVRSPIVVAAIAVRRSLVKNIT >cds.KYUSt_chr4.622 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3320613:3321437:-1 gene:KYUSg_chr4.622 transcript:KYUSt_chr4.622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Lysine decarboxylase-like protein [Source: Projected from Oryza sativa (Os03g0857900)] MEQEEASTTKTITGSTTSSKPASNSSSKVSPLPSRFRRVCVFCGSSPGKKASYQVAAVQLGQQLVERGVGLVYGGGSVGLMGLVSRAVHNGGGQVIGVVPKAVLPSELIGETPGELKAVSGMHQRKAEMARRADAFVALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYDSLLAFIDKAVDEGFIAPAARHIIVAAPTPGELLARLEDYVPAHHDASSAKLTWESSVDTNTMVCSPDISR >cds.KYUSt_chr6.30520 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193355545:193357858:-1 gene:KYUSg_chr6.30520 transcript:KYUSt_chr6.30520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) transcription factor, Tapetum development and degeneratio [Source: Projected from Oryza sativa (Os02g0120500)] MGGGGGDHHHQSIGIAAAVHGHGGATVEAALRPLVGGAHGWDYCIYWRLSPDQRFLEMTGFCCSVEFEAHVAVLGDLPSSIPLDSSSIGMHAQALLSNQPIWQSSGAASGPGYDATGGGERTRLLVPVAGGLVELFASRYMAEEQQMAELVMAQCGGGQGWQEAAEAPGYAWDATAATADPGRMYSAASLNLFDGGGAGGSGEPFLGAVQDDGAAGVGWQYAAAESSEPPSMAAQEHHQQQLHGGVGRAADSGSEGSEMQLGDQDDDGVDGEPQRGGKKQQCKNLVAERKRRKKLNDRLYKLRSLVPNITKMDRASILGDAIDYIVGLQKQVKELQDELEDPNPPAPPPGHSDSKAPDVLLDDHPPPGLDNGEDSPQQQPPFSSGNKRPRGKEEEEKEEEEAEDHDMEPQVEVRQVEGNEFFLQVLCSHKSGRFVRIMDEIAALGLQVTNVNVTSYNKLVLNVFRAVVRPACSQSGVCHCCTCSSSAADGLTYGVQMRDNEVAVPADRVRDSLLEVTREMYGGGSGPWSSPLPPPPPTMTPMDPKLDGMDGQAMPAGPGDHYQLQHQVLGGYHHQHLQYLAMD >cds.KYUSt_chr5.6291 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38882492:38886954:-1 gene:KYUSg_chr5.6291 transcript:KYUSt_chr5.6291 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTGEARFKAVVIPEAARSPAPGLHDPGHQDTQVLDDSLTTSNKHDQYLIKGKLVTEPVIAASSTGFRSSTQGAVVSTIAKWIATDQVAEESRVAGSLAEELCTKAAVTCAIDLHSLHESLVREVSLEMSSPVMIVEGLCQRDLLGVQRWNRSRARTLNLILSSLGHQKSFLHLHESMPCRLATTYAMLNHTDGGQVLPPPPPPPLPPPPLAHIPKVPELELFFEDDDILEEDRDLAALNAQFMVGANREAAEEEVSHRVFDAEQWQRREEAATEGQVDYADDLDSWSDDGPAPEEMVVEQSIIHTSFESLKETEDDTRAREPGWA >cds.KYUSt_chr3.36236 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227881463:227885797:-1 gene:KYUSg_chr3.36236 transcript:KYUSt_chr3.36236 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGGRHAADRPPPVPLDGITVDSGGGSGARAQGMGLPRPPGWMKQPSRLASGVRQFASRVSMKVPDGVAAGIGIRPGGLGRMTRMQSSAQVGLKGLRFLDKTSGGKDGWKAVERRFDEMNKGGLLPKESFGKCIGMGDSKEFAGELFVTLSRRRKIEAEAGVNKEQLKEFWEEMTDQNFDSRLHIFFDMCDKNGDGMLTEDEVKEVIVLSASANKLAKLKSHAATYSSLIMEELDPDDRGYIEIWQLETLLRGMVSAQAPEVKLKRTTSNLARTMIPLRYRSPLKRHLTKTMDFIHENWKRIWLVTLWLAANLALFIYKFEQYKHRSSFQVMGNCVCIAKGAAETLKLNMALILLPVCRNTLTTLRSTALSHVIPFDDNINFHKVLAASIAIGTTLHTLAHVTCDFPRLISYPNDKFMALLGSNFNWKQPTYLDLLQSTPGITGILMIIIMSFAFTLAMHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLLVAYILLVVHSYFIFLTREWYKKTTWMYLVVPVLFYACERIIRKVRENNFGVTVLRAAIYPGNVLSLHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRDLFGKCCEAQVTSKKATLSRLETTVIADSQIEDTRFPKVYIDGPYGAPAQNYKKYDILLLVGLGIGATPFISILKDLLNNIKTNDEVESVHGSEIGSFKNNGPGRAYFYWVTREQGSFDWFKGVMNEVADSDHSNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFADLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQSTTTRFHFHKENF >cds.KYUSt_chr5.8996 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57157019:57167784:-1 gene:KYUSg_chr5.8996 transcript:KYUSt_chr5.8996 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHFKMASVLKLVMMENHATPDEVINEKSASHILHKQLLGAHEPNLLDEDGTIAVDMHIFGSKPMADPLDLVCCDTCQKPVKASQYAPHADKKVYIKVKAKSQSENKNNVNDFNLTNGNMNKPLSSTADQRLKAAISCPESRFQDVPAPLATKMYHSQGNYRLRFELVLHGSGNSVTDGAPNNFKPKTKESLSNYQQAGQAVCRQPNLKERIPKYLQLEMNLQEADPTKRQFRLQKAQIKGMVWRQMIMVLPIIGKTEPTQGSEDDNGDPLASLIAWIRAVSWAVGDLKYVGPNTTTTTLQNLYKQSRAVDSVMRRTWEFDACRRAGYHDDLDYFDREIAAEEEENDNEDEDKGEDEEAERANKDHDAGGPAWDLETQPPDITEDEAVAMALANSELAMWNGLAIQLRESALAQGRPATPLATPTRSNDRAPTVAPAWDLWPPSP >cds.KYUSt_chr7.28892 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179799219:179801982:-1 gene:KYUSg_chr7.28892 transcript:KYUSt_chr7.28892 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDSTDQGDIYDRQSLVAAIKSADVVISALGYAQLPDQTLIIAAIKEAGNVKRFFPSEFGNDVDHVHAVEPAKSMFGAKARIRRSIEAEGIPYTYVSSNFFAGRFLPSLAQIGVTGPPTDKILILGDGNVKGVFSLEEDVGTYTIKAVDDPRTLNKILYLRPSSNTLSHNQLVSLWEKKVGKTIERVYIPEDEVLKQIQESPAPLNIALAISHSVWVKVGHTNFEMDPSFGVEATELYPDVYYITVDEYLNRIL >cds.KYUSt_chr2.35099 pep primary_assembly:MPB_Lper_Kyuss_1697:2:216488112:216491336:-1 gene:KYUSg_chr2.35099 transcript:KYUSt_chr2.35099 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAARRRVLLAALYHTTALWSGPASDGASTSEEHGAQRGTAEVLQAFGIKGGRGSPVFRLRVESAGMEGCSSDLVGRIWRVLGFFFTPLLWWQELLYVGIQISGISENKAEIWSFSVWMAWCGTGRSCLSFSDQLPRWKEEEKILKAGSFNKHGSLLIWSQVLVLEAFGGSVLLSGGALLFYFSRRGGVRRKKQARLSHCGIELLRSWGTAKYRRTLSAVIVCQPTQMASRWPALTPASFPGVLSTSLERPS >cds.KYUSt_chr7.17003 pep primary_assembly:MPB_Lper_Kyuss_1697:7:105440724:105442202:-1 gene:KYUSg_chr7.17003 transcript:KYUSt_chr7.17003 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAISAVTGDLAGRFISFLVNKCSDHLCSEEKVERLQQLLLRVHTVLEEAEGRYITNSCMLIQLKTLSAAMYQGYHVLDNIRYRQHKESSKELVSDSFSISVYAPLKRSRTTTAGTSSSSSMSKAFSSALRNALQNLETAVANMVEFVVLLGGCERISHRPYDAYLHVDNFMFGRHVEKQQILGFLLRHDEPTRRPAVLPVTGCRGVGKKTLVAHVCDDERVRSYFASILHLKGGGLSRITDHERLPGRTLVVVEFVSGVDGDDWTEFYSSVRSMGRGSKVIILGRDEKLEKLGTVKPISVNCLPFEEYRYLFKTLALGSSDPADHPRLAAIVEEFAILVGGSLISANLIAHAMRMKQDAHFWLCKLNNVRIIFKLNMSRFGLHPNGLFTQGRPVHLINGYRLSPGAPSCVVPSTSIPSTVSVKNLPKVRWDFLAEAEHVVPPKGDFELTSWESRLPPYTRFVHLVRYVPSCDDDKPDKSLSGKKRPGLSV >cds.KYUSt_chr3.1469 pep primary_assembly:MPB_Lper_Kyuss_1697:3:8207770:8208953:1 gene:KYUSg_chr3.1469 transcript:KYUSt_chr3.1469 gene_biotype:protein_coding transcript_biotype:protein_coding METSSPTTACAAPGQSGTDIASMTAASWCDLSKENGPVREGHTRRGERERRPERGGRNGIHDVARTDELPANSARKKGDKGVALCGCSGGQGIPGGVVWSGVRGKETRARVFKGEAVRCCYVELEAWLPWAASVSGGIVTRRGHGAVPGWSRD >cds.KYUSt_chr6.16298 pep primary_assembly:MPB_Lper_Kyuss_1697:6:102515653:102516813:1 gene:KYUSg_chr6.16298 transcript:KYUSt_chr6.16298 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSYGWPAQPISLDTGDSDGVVLQSILGPNTHAICSPGGKTVAVSRLPSLSLWRRKGQAGGRCVRGGEQQLGAEGSRRAVEVSSENADGLCRVLLPKLCLPPREPKGSGSTLALAAAGSEVVSCLAAAISSVP >cds.KYUSt_chr3.10138 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60083728:60084888:-1 gene:KYUSg_chr3.10138 transcript:KYUSt_chr3.10138 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRLARDGFHGSRFVFLKNDRYLFLAEVLLPPASRRRSFAWEPLLLHPSDHEVLHLRPSPQPSDSTSGLQLLLLRPASPGSDRSGKPATVPLARSSSPLVQGFARQQGQPGIGARTASSRASSSTDDLVELPSSRGPARRQL >cds.KYUSt_chr3.44919 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283086732:283089063:1 gene:KYUSg_chr3.44919 transcript:KYUSt_chr3.44919 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEPVKLIGCFGSPFVHRVIYTQCKDSMWIALWTDGEVQAASAREMKANLTLIERQLPEGKKFFGGDTIGFLDMAVGGIAHWMGVFEEIAGVRLLIEEEHPALCRWAREYTLDETVGQCLPDRDRVVAALTPRKELYVTEASKQTLTMMAEPVKLIGCPVVHRAEVALRLKGVPYEIITEDLNNKSELLLRHNPVHRKVPVLLHGDRPAICESLVIVEYVDEAFDGPLLMPADPLACAAARFWASFLDKECMESMWMSLWTDGEAQAASEGDQGEPDADRKPAA >cds.KYUSt_chr3.47756 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299276155:299278272:1 gene:KYUSg_chr3.47756 transcript:KYUSt_chr3.47756 gene_biotype:protein_coding transcript_biotype:protein_coding MCALGKPALVLLGRQSGERRKLYEVAGERVEQADAYEQRPLPEQNEEALGGVRDHVVVSELREIHLDELASGGVEEAGSVGCGESFLEHDPLSGMCRSRPSCARGFGEHRRQFRHMERKRM >cds.KYUSt_chr7.10643 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65371447:65375571:1 gene:KYUSg_chr7.10643 transcript:KYUSt_chr7.10643 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPSLVVVVVALAMVAAAVVTAKECTNVPTQLSSHTVRARLQGTPGAEEWRLRELFHEHVNPTEESTWMDLRPLATAGAAPAEELDWAMLYRALKGGAVGSSSAEGLQQQRPPVLVEASLHDVRLDPGDEVYGRAQQTNLEYLLLLDVDRLVWSFRKQAGLPTPGAPYGGWEAADGELRGHFVGHYMSAAAKMWASTHNGTLAGKMSAVVDALHACQQAAPNGGRGYLSAFPAEFFDRFEAIQPVWAPYYTIHKIMQGLLDQYTVAGNGKALEMVVAMAGYFGDRVRSVIQRHTIERHWTSLNEETGGMNDVLYQLYAITNDQRHLVLAHLFDKPCFLGLLAAQADSLSGFHTNTHIPIVVGGQMRYEVTGDPLYKDIATFFLDVVNSSHTYATGGTSVSEFWYNPKRLAETLTTENEESCTTYNMLKVSRHLFRWTKEITYIDYYERALINGVLSIQRGRDPGVMIYMLPQGPGKSKAESYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGSKPALYIVQYIPNTFNWKSAGLTVTQQVKPLSSSDQNLQVSLSISAKTNGQYATVNVRIPSWTSVNGAKATLNGKDLQLASPGSFLSVTKHWVSGDDLEIQLPINLRTEAIKDDRPAYAALQAVLFGPFLLAGLTTGDWDAKTGAAISDWITPVPSSYNSQLVTLTQESGGSTFVLSTTIATSLTMQPRPEGGGTDDAVHATFRLVPATTKEPSSAMIEPFGLPGMVITNGLTVSSEKGPGSLFNAVLGIDGQPGSVSLELGTRPGCFMLTAGAKVQVGCRSGSGGGEAGFLKAASFKRAEPLRRYHPISFAARGTRRGFLLEPLFTLRDEFYTVYFNLGA >cds.KYUSt_chr2.7447 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46716581:46717726:-1 gene:KYUSg_chr2.7447 transcript:KYUSt_chr2.7447 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAGDGPSGAVAALSFPDADGDDYSEDGDFTDAPFLEPPDPGLPDPTSSSATALLPAGGGSGGQASSGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRRRLQLDFSKSQLVEKLRRLKRKYRNCVSRLRGSGAAFSFRSPHEQAIFEIARNIWRPANKHGKDPSADSDDEEAVVAVAAAAVAATAVPVITSPNGNGEVKSPSGSGRQRRRRRSSESTPATAPVPATILVQPPQPVQVPVSIPVKIDDSLPALPQTPMPVTVTMEGSEPLRFPVMSPQSGVVDVDKNCLTPLFKEMIHAVIHAGSNPFGVKLPEPPLGLPMDGEKWRNQRILELEVYLKRIELLQDQVKAALEELKSSTPEN >cds.KYUSt_contig_319.377 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2484414:2485067:1 gene:KYUSg_contig_319.377 transcript:KYUSt_contig_319.377 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSSFAGAAVVGRSAARSSLAPRRRVLVVRAQTEPEMEPSMETASASTSSPSPISSPTPTPAAPKPKAPSVWDALAFSGPAPERINGRLAMVGFVAALSVEATRGGGLLDQAGSGAGLGWFLASAAVFSVASMVPLLQGQTVESKSSGIWSADAELWNGRFAMLGLVALAATEFITGTPFVNV >cds.KYUSt_chr3.35476 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222733674:222734120:-1 gene:KYUSg_chr3.35476 transcript:KYUSt_chr3.35476 gene_biotype:protein_coding transcript_biotype:protein_coding MYWGGSPSVSVHLAGYDGIDPGGAARVVSPAFNITLRLNDTCVDRADVAVMYSGVALGWARVDPRDCAKRRWAKDVEVVARGVGVGLSERLRHRMASDWRSGTLELDIDVTTYKELRFSTDTEFPQDMIVHKVRIMETTDASQSFWSA >cds.KYUSt_chr4.16919 pep primary_assembly:MPB_Lper_Kyuss_1697:4:105358611:105359765:-1 gene:KYUSg_chr4.16919 transcript:KYUSt_chr4.16919 gene_biotype:protein_coding transcript_biotype:protein_coding MILPPLPKRLVVVDEMHTNSLYGGNKLNWSQYRCWTNDQFLQFLHRADDYKLEQHVLWWITHRGKGVSKEDHDIEGRGRGSVDGHGRGGSECVRRRQSGGGEGVEKEEAGARRPTAAVLDGGGAVRGRERENGRPGQGNGKRPVGATPPREHPNCEWIRADSISPGSCRWQQRAACPDARKKETPWFGPILK >cds.KYUSt_chr1.23377 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138792398:138793639:-1 gene:KYUSg_chr1.23377 transcript:KYUSt_chr1.23377 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSSLHLSKHLLLPKAHRGRHPSSRSPSFVPAARVNGAPHVNGKASKSPNGKVQINGGDGKKSGAVNGRGKKVPPGVLNGKTHHVNGGHDRIHLSVSTGPGGQDGTGLRVAYQGAPGAYSEFAAKTALPGCETVPCRAFADALAAVDRGVVDRAILPVESTMEGTALRNYDLLLRHDLVVAQEINLFVHYCLLAMPGVRAAEVRRVISHPMALAHCGRALERLGVDRQPVEDTAGAVEMLRSNMMLDTAAIASPRAADLYGLDVLAHGLQDESWNVTRFLLLSRPPSPVELPADADAKTSMVVAHRGGSMAVVLKVLSAFSSRGINMSKLEIINNEGAGGAGELRPPVMILDTGARGAPTLRSFPHVLYVDCEGAADDPLVRDAIKEIEKFAVFVRILGCYAADTNVYDLQ >cds.KYUSt_chr7.31903 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198614399:198616174:1 gene:KYUSg_chr7.31903 transcript:KYUSt_chr7.31903 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRRLATARARRRLSSSAAAAASPPTNEPSAASVLDDLLSSPTPSTSALSLLRDTPSLAGELYSLLAAPSHALTAASLALLLSLPSRHRLPPTSAPVLAALLSKLLARPPADAARFLRDSLAAGAPPPDTSAFNALFAALARAGDLPGITSLFASMRDAAVRPNVVTFGILVNALCKAGRVGDALRVLDGMSGPASDVRPDVVMLNTIVDGLCRSGRVQDAVKFVEERMRSVHRCAPNTVTYNCLADAFCRAGNVGMACDLVERMEKEGVAPNVVTLNTIVGGLCRVGKIGGALEFFKEKRTVWPDAKGNRVTYSTLVGALLHANNVGMAMELFHQMADEGHSPDAIMYFTMISGLTQAGRLEDASSMTSSMKKAGFQLDAKAYNILIGGFCRKRRLREAHELLGEMKEAGLRPDVYTYNTFLSGLCKAGDFSAVDELLGKMIDDGCGPSVVTFGTLVHGYCKAGKIDEALKIFRSMDESGIQPNTVIYNTLIDFLCKSRDVGLAVALFDEMSEKRVPANVTTFNAMFKGLRDKNMAGKAFVLMDRMREERCTPDYVTIDVLMEWLPVIGETERLKDFMQQRAPKRTIS >cds.KYUSt_contig_402.309 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1839323:1839673:-1 gene:KYUSg_contig_402.309 transcript:KYUSt_contig_402.309 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESFVLAVCASEGVVSIESIDGRTRQSVVSVDGHEGSGCRKAGLTGAGAVEVPLIAESIEAQPSTSMVLHRGAYLAVSVGSQLASRPELDRVLAKLELSLLFRLVSKTSQPEQS >cds.KYUSt_chr2.28941 pep primary_assembly:MPB_Lper_Kyuss_1697:2:177941377:177947046:-1 gene:KYUSg_chr2.28941 transcript:KYUSt_chr2.28941 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAALRLLRRRAPSPMLRSLFPGPHSKATAAAFLPTVSRLHVAPPLPQPYLLRRYSSKGTDGTAGTDIPGTGRMNQVVWLIKTECAPILTDVGIDDTTTTDDIPCACLKNGLKVVGQLELLVDDLLEQHKRMHKAGATCLEAVRMMNDDPDNEKASALWEEGWDDLMRSAAMYRYCIDGIAKATASIKGTPLDGVLKENQVCSEFVEDYARTARESCTTVEDFLSTSRDSKKSLQDMERNIEEHDAMVRKGLQDRKVANALFRKRLDDLRELLAKIRNKRDYSAKMDAMYRRLQDGYDRDWAIQEAKVRLSDEQFEVLLKKREDYADALRRYHELERSTMEQVEKVKKVAKVGAAVGLVGGLLLILAKM >cds.KYUSt_chr2.44479 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276770760:276771179:-1 gene:KYUSg_chr2.44479 transcript:KYUSt_chr2.44479 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQNASTGSAANDGHELPPKVEAEAAVPTAEKYLAQRRGDDHSRSPRTGPFRREATPSALLILPRQHVDSWRRSPASTPPGAAPPRPFLGAAPPKEAATVTRGRHAAWAVAPLLTTPTVIWGMGNEENNHTEEREMY >cds.KYUSt_chr3.38266 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241021896:241024298:-1 gene:KYUSg_chr3.38266 transcript:KYUSt_chr3.38266 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNVNSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKADRAKYESTARSWTQKYAMG >cds.KYUSt_chr5.37205 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235267861:235269183:-1 gene:KYUSg_chr5.37205 transcript:KYUSt_chr5.37205 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEENQPKKQRNEECFINCLPGDLIEQIFLRLHVSTLLRCIDVCKQWHKIIRDPQFVASHLQHAPHCVLVFFPQESVSGEPYPADAIVIDGAWSHSKYAVPVIGPDDFLCGSCNGLLCLYTKTSTIKIANLATGEHLHLEKPVKNSRGDHFLFYSFGCHPSTKEYKIIHFLADHVEGRYRPHDDNKFSVIQVYTLGDEKWRDIRTPEALSLNCVKNSGAINVDGIMYWLTEDMVASWQHAVMTFDLNEESFARIELSAVAPEDCASGGPRRYWIREIDGKISIATAQTYPSQPRRLVGDLQIWTLDNKAEQRWSQKYNIQYPPDYIPGPNLGHGDKIILPSFGCSLYSYELLGENFRTKLGKMAKLLDFSPHKPGNMKSYIFVKSLVRLDVYKNAGIVRRPKQRQGWELKKWETWEQELSKNEKSWSVVHKNEHDGNVR >cds.KYUSt_chr4.23733 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149544577:149548251:-1 gene:KYUSg_chr4.23733 transcript:KYUSt_chr4.23733 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTQGVLLRLLQAMHTDERVTGEHRSPALQVTAVVPALTASTADSLLCPSNGFLLQLSDGLHSTYVQPSAPDADALLSARPHVVGHLVHLDRLRFASPVPRALGLRLVPSSRALPCAGCPEPLVVRAAPCARGYVIRPDSSSDAAPPLMPSASRAAPPSDAPSKRTVLAPKNFPDASAPPAASSVKRRFSSPAPSKQRDPSPAAKGASRAASPAVKAASRASSPAVRGPSRSSSPAPSKCVVPSLVAAKEENRRAAKEPAIIVPSRYRQPSPAGGRRGAASPAGGGRRGSLSPSSRRLSGEGGSKKKVLVSGISKMTDLSGGSAMKPGRKSWDESAMAVAAAAAGSVKKSRGKVDRDTILRTREAMSGRLSDATTELSSNDDSSVDERPKPRRKAESTAMKAKTAAPKIILHDAKWTDGSISLGAVSDKLSKIGKEATERRDAAAAAAADALQEALITESVIRNLSKFSELCSLSKTSNPFPTVDCFLAVYEDTLKWKKIAESVGSNRAEEAAIWEKSTTHWVEAALATELEVLKLVNSATGSIYQKKSSTEKPKAPPSVIEPPRASLSSKRPSAKVVQHRVSPPLPAAAASWSKTPGMNETVELANTLWSEMHVWFLRFVNEAMDVGFHLFEDQNVAARGKQSGHITLVLSQFKRISDWLDGVGKVAEEEATREGVERLKRKIYQFVISRMGSAFESSVSVSAKS >cds.KYUSt_contig_662.282 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1604533:1607544:-1 gene:KYUSg_contig_662.282 transcript:KYUSt_contig_662.282 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSSAVDFYGFLDRMRRPAAAALFRSIKSFLASLSPDEPSAEDDGARVQAFYAAMEAAFREHPLWANATHQEIDHALEGLEKYIMTKLFDRTFAASPEDAAADAEVSERIGLLQRFVRPQHLDIPKVLHNEASWLLAVKELQKINSFKSPREKLLCVMSCCQVINNLLLNVSMSNDRTPSGADEFLPILIYITIKANPPHLHSNLKFVQLFRRETKLVSEVEYYLTNLISAKMFIVNVDGRSLSMEENEFQMHMETAKLGTQICAASLSSLQGSATSTRGLQKQTDTEGSRFPFMDSETESLTPAELKQLHGLYRQVVTRYTSLSKALRKLSIDEDQLLASVDDDP >cds.KYUSt_chr3.42808 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270589320:270589529:1 gene:KYUSg_chr3.42808 transcript:KYUSt_chr3.42808 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLGSCSGDVGCRLGAGLADGDCELEISPALVLPWRQIPAPPWPAAMVRLGSFSCSYVAAVDEVLRLP >cds.KYUSt_chr7.10179 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62339790:62340553:1 gene:KYUSg_chr7.10179 transcript:KYUSt_chr7.10179 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGLVTRRNACRPLSSLAGPTVLSPRQEHAPRRAQVEIPLPSNVPPYRRSWASVVSAPVGSSTSADLQSALEKQAALLHEAVRPLLEVVDSLHGWVLALGGFLERAEAALGRLSRTPADPLVLPDVGHARAPRLCGDAVMPPCAKEVRSDLHEISVVASPSSQALDSEKSDVIDAAVDMLVAPFGDGDAMSGSLSTVPGAIVAREVSDFLATLASAYPGSTVV >cds.KYUSt_chr3.46292 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291265051:291269064:1 gene:KYUSg_chr3.46292 transcript:KYUSt_chr3.46292 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRRPAHRLTRLTLVLVPVLLCSPPSSALSPGGGGDGDSLARLNLSRLSPSADPALLSAPSLAARTLAPGCRRLRNRTDFISPGKYSSPFYPFVNSWGARWASYESVNLVLSDDGKPKFEIEEVEPSKKGRYQTKRRLKLQRKREKKKRKEANKNDPRRIRPKGKKIKQKFPTAEARLKYKIEKARLKEAMLVEKLKRYEVAKAQGPVAKPEDLNGEERFYLKKVSQKKSNYVPIGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYASEIARLSGGVPIKVVGNDTIVFYRGKDYVQPEVMSPIDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHVALYGKPESENTDLVHGYGREASSLEMVELKHCGKDQGPHLAGKDFSDIDTMDTSESDEEYDSSSESDVNNVVTGDAIESSEDTDVLDNRVL >cds.KYUSt_chr2.45630 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284547300:284547785:-1 gene:KYUSg_chr2.45630 transcript:KYUSt_chr2.45630 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADEPSITRWSFEDFERYYDAGLGIRHQPKGDGDDDDDDKEDAPPGSGPADSARANGGADLAVFEQYERLDRNVEFRNGAMEAGPP >cds.KYUSt_chr3.483 pep primary_assembly:MPB_Lper_Kyuss_1697:3:2637589:2639712:1 gene:KYUSg_chr3.483 transcript:KYUSt_chr3.483 gene_biotype:protein_coding transcript_biotype:protein_coding MASTADLADLADRFEVSGPARILYRATAGHPSTTMIDWLQKIYRFIAHNLMSIFTAGHPSPTMIDWIRRTYGSITNNILSDATGGDPSPAMIEGLRKLYGSITNNILSKATAGQPSSTMIDWNNEEDRRCVAACVVQGTYTLENDRTKCRMNEDALAPPWWESFNFRLIEVLEDEALNNKFIFGAIFEQLPPEDTPRHPSAPQYIVAFRGTMPYHPKIIQDIHLDLNVIANTLPSSERTKLARLAVEKLLITTTQAGLENCTLWLTGHSVGASLALDVGRAMMSDRGLNLPTFLFNPPQMSLGPAINLLLPSDAVWREMQVASNLVKAGLGLVLNPHRRRMEALFERLSPWAPNLYVHDKDLVCQGFIAYFEQRQQMQERFSTGVAKSATTLSYRDMLFSAVGKEKERSHLLPSATLWKNSTMRKDVRGLQQTSLAAHELQQWWKPDTELRLSNRRYSLP >cds.KYUSt_chr4.44807 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277280784:277282322:1 gene:KYUSg_chr4.44807 transcript:KYUSt_chr4.44807 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLLPLFFVGAVLVLHAARCDNKKAGLQPYPLLGHLPQFVANRHRILDWMTEVLESQPGCTLVLRRPGVQGVVTANPANVEHILRASFDNFPKGPRFGSILHDFLGRGIFNADGEAWRTQRKAASYEFNTRSLRVFVAQSVHSELHGRLLPLLRRAVTSGQQIDIQDTLERYAFDNICRVAFDHDPRQLPDGDEGGGGDGASPEAESGRFADAFRDAANISAGRFRYAVPGFWRVKKAFNLGSERRLRESIAMVHGYADRIIRSRREEISMGCEKHDLLSRFMVSQSDSYTETALRDVVISFLLAGRETTSSALTWFFWLLSSHPDVERRIRDEVAAVRGRRAQSDLNNVGFDLDELREMHYVHAAITESMRLYPPVPFNTLDAQDADVLPDGTPVGAGWFVAHNSYAMGRMESVWGADAPEYRPERWLDPAEGTFRPESPFRYVAFHAGPRICLGKEMAYIQMKSIVSCVLEEFELEVNGEYRPLQVPSLTLRMADGLPVRVKARGN >cds.KYUSt_chr2.17152 pep primary_assembly:MPB_Lper_Kyuss_1697:2:108064912:108067787:1 gene:KYUSg_chr2.17152 transcript:KYUSt_chr2.17152 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKKAKVDAVEGDDAAADDRLSALPDELIHKVMSFLRAWEVARTCVLSRRWCNLWASAPCIDLRVCCKARHRPLPMQLARFANHFLLLREVSAPLDTLRLLSSPTRNETLYYPYTHSPEYDDDGEDYCSTDVEMWIRAAINRGARFIQLSQHPRDEAFSDLRSVPVISCHLKHLHLSGTILHDKTLRQLSSQCPSLEVLELKECFLDGPQISSASLISLTMVECRIMVDLSVAAPNLISLHCVCPYHRAPSFENMASLATGTIMLNDSFLHDKFEYKYKDLNPDVFECDSDDGNDSNDDNYDSDADSGLSTCEGFYGANVLGGQNVICSLSNATSLELIADAGEVILSRELEICPIFSNLKALSLGEWCMAADLHPLVLFLQHAPNLERLFLKLKMMITVIFSHEAQITAAQLE >cds.KYUSt_chr2.32724 pep primary_assembly:MPB_Lper_Kyuss_1697:2:201838617:201842391:-1 gene:KYUSg_chr2.32724 transcript:KYUSt_chr2.32724 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEADAAELTGPLLAGAPATAEEAVPPWRDQITVRGIVVSAILGVLFCLITHKLNLTVGIIPSLNVAAGLLGYFLVRTWTAALARFGIVSKPFTKQENTVIQTCVVACYGIAFSGGFGSYMLAMDQKTYELIGTDYPGNRAEDVKNPSLSWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTTGAELAEKQVSCLGKYLSISFIWNLFKWFFSGVGDSCGFDNFPSLGLAAFKNTFYFDFSPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYVTTKAGDWYPADLGSNDFKGLYGYKVFISVSVILGDGIYNLIKIIYATIKEIMNARSKQGRLPLVRVQDDDESSKLSTEEKLLNDIFVKDSIPPWLAGSGYVGLAAISTATVPMLFPQLKWYLVLSAYVVAPLLAFCNSYGTGLTDWSLSSTYGKIGLFIFASWVGQHGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWTAFDIGNPDGMFKAPYAVIFREMSILGVEGFSALPQHCLAICTFFFFAAIAINLLKDVTPDSVSKFVPLPMAMAIPFYIGAYFAIDMFIGTVILFVWERVNRKESEDFAGAVASGLICGDGIWSVPSAILSLMRIDPPMCMYFKPSVAYGLI >cds.KYUSt_chr2.27176 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166609194:166610186:1 gene:KYUSg_chr2.27176 transcript:KYUSt_chr2.27176 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYPTLTLLLLLLAAAISGGRCAPVYRADYLVDGNQLVDMQYHMGPVVSGSPTNLFLIWYGQWEAPAQAVLRDFLASLSAPAPSPAVSDWWSHTPRLYTDQTGANVTATFVVAGEHSDAGYSHGASLKRIDMQSIIRTAVVAYPDPLQLDPYNGVYLVLSSPDVQVEEFCRAMCGFHYFTFASVVGVTVPYAWVGNSGSQCPGRCAYPFAAPPPGYGGGGQGPVLRPPNGDAGVDGMVIVLGHELAELATNPLVNAWYAGDTPTAPTEVADLCVGVYGDGGGAGGFVGNVSRAADGSSYNVNGVNGRRFLVQWLWNPVRGACYGPNSSN >cds.KYUSt_contig_528.601 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:3677424:3688367:1 gene:KYUSg_contig_528.601 transcript:KYUSt_contig_528.601 gene_biotype:protein_coding transcript_biotype:protein_coding MWTARPDEKGRHTRGRLLYSPSLLEETKITPRRWERGISERGERSSKRIGEYRKRKARMESSKVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQNWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPLKNREQMIETMFEKYNFSSVFIQIQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGYSYPHLTKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYISYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGLADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKATQGRVLSCMAAWPSADVIARNHPLPPLYIKTPRGSSLTSPKPSLRRHPSQIFSSLLQTMSTSSSRNIAANGFGRDSLTVPEAWALCRAGYPVPPDMRLPSSGGWRMAANGIGVPPPPKPDTEQWRDGIKARRAQLTAEERADLTWAAKDNNEWWATYFKAKYDVEMRNTIGLIGGPNNWNKDGRALLWGVPGRTLDNVIRDIRNGAPRLETPPSPPVSPRGGPPQWQPRRTTAMAAEEDDVLVLLELFFLRTGPVDAVLVVPLGALHRPQTGGEGGPATPVNTRHGGSGSRRQQERRRGALLIPKPEVKEEPEEAS >cds.KYUSt_chr7.17298 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107146406:107147101:-1 gene:KYUSg_chr7.17298 transcript:KYUSt_chr7.17298 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAASSSSGVSFRQRSTAASSPLLQEDQLQQEKQQVADGGSQVPRSALYQALTSTASLANLLPTGTVLAFQLLAPTFTNHGACDATTELLTRALLAVLALSCLLASFTDSLKGPDGRVYYGLATPSGLWLLDYPPGAPAPDTAKYRLAFIDAVHAALSVAVFGVVAARDKNVVGCFYGPSPAKETAEALDIVPLGVGVLCSLLFVAFPTRRHGIGYPVTNGAGGSSVST >cds.KYUSt_chr6.1055 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6680931:6685269:1 gene:KYUSg_chr6.1055 transcript:KYUSt_chr6.1055 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTASPRARSIRETVLESVAAYHHQQRMRRRFRKSLSYAGELSAAGRAVSSPSASAASFAAGPDDDDAEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFGDDYRVFVKDLCKDHAGWPLNNMENSYKFMVKHVQLWKVAFHGTSPRWVHNFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFTTVITDLNTCHPTWFHPNVNRCYCPSEEVAKRAALDDLQPSQIRVFGLPIRPSFCRAVLVKDDLRKELELDPELPAVLLMGGGEGMGPVKKTAKALGEVLFDQELGKPIGQLVVICGRNKTLSSSLQALEWKMPVKIRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSPKETATLVAHWFGPGKDEHKRMSENALKLAQPEAVFDIVRDIHELSQEQGVKAQISSSLTSSFFISSPDINPSEILL >cds.KYUSt_contig_528.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:49055:49576:1 gene:KYUSg_contig_528.10 transcript:KYUSt_contig_528.10 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASQEHEHRAADNGTTAPSPSATNSWGPYSGAGNFASNMAVILAALLAALALAVALTAAVRYLLRLRRRGRAGVAVGDPEKPLSAEVTSPPPSLVYSAAGTKLAGAAECAICLAEFVDGDAVRVMPACGHGFHARCIERWLAGGLRSSCPTCRAPAATPQTDGAAEIAAS >cds.KYUSt_chr5.7868 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49608241:49608813:-1 gene:KYUSg_chr5.7868 transcript:KYUSt_chr5.7868 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLVIALILAVFGTALSASYTVGAPRGSWDTQTNYVQWTSNLKFRAGDQMLFRYSRAAHNVVEVSKADYDACSASSPIASFQTSNDIVPLTAAGSRYFICGVPGHCDAGMKVRIDVEEATPGGALSPVSPRAGSAAPAVAPMPSVEITPSTSGQAMPPSSSAVSVGTGSVGLYLGAAFTASLMVFY >cds.KYUSt_chr3.9265 pep primary_assembly:MPB_Lper_Kyuss_1697:3:54248691:54254610:-1 gene:KYUSg_chr3.9265 transcript:KYUSt_chr3.9265 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPVRMKTPAEDAEGPTVGAKCRGIVTAYAIGWLTKVVPRRSQGGIRMRACLDSGFFYVLDHGISQEFMDEVFAQSKMFFELPNSEKMKLLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVPSDDPQSERPFFGPNQWPSEEVLPKWREVMEQYHREALRVAKSVARIIALALNLDADFFDRPEMLGDPIATLRLLHYEGGRETGQVSNPSKGVYGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPVKGGFIVNLGDMLERWSNGIFRSTLHRVVLDGRERYSIAYFVEPSHDCVVECLPTCKSEANPPKFPPITCSAYLSQRYKDTHADLGSYSNGKA >cds.KYUSt_chr5.33357 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211674134:211677300:-1 gene:KYUSg_chr5.33357 transcript:KYUSt_chr5.33357 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALSQTLTLGPIKRPKASYDIDEETLFGQFDQTMGQDTTMDDDTSIEDELRLVPRTMPKLTMGRKRSKRPQDTWDQWLLWKVMELGLSLGDAMAEAGRELGLGLGVGGAGVARREERGRRDLEVAAGAMRCGSSPEPTMRLALLPMAPALGFPWPSDSRHLEASTRGFDVNQAPSCGASAWGAYSAAAAAEDELEDAAAAGAAVSSSPNDSGGSFPMDFSAHGERGPNDAAQGGGGSRGSDEDDGGSARKKLRLSKEQAAFLEESFKEHSTLNPRQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELAELRALKTVHPFYMNLPATTLSMCPSCERVASTSAPPSSAAAASAAAPAAGGSIAAAPERRPSSFAALFSSARSFPVPAQPQAQAPSS >cds.KYUSt_chr1.7866 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48342936:48345461:1 gene:KYUSg_chr1.7866 transcript:KYUSt_chr1.7866 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTTDPKMALALLGSIIWVLLLGTTCNAEFTPADNYLINCGSTSDATVGQRVFVADNSGSTILTSKQSIAASGWTPGSDGATLYQTARIFSVPSSYSFRMRSRGRHFVRLHFFGFRYQSYDLAAAKFKVSTQDTVLLDNFTPPSGNSPTIREYSLDITEDMLILTFVPLGSNASFVNAIEVISVPDDLIGDSAQTVNPSGQYIGLAAQPFQTFHRINVGGGKVTADNDTLWRSWDTDQDFFLNSTTTQLVTSQAKLNYKKGTATEEDAPDSVYNTARQLVAQNRSSSASNMTWQFNVDARSSYLVRFHFCDIVSKATYSLYFDVYVDGWSATQDLDLSDKGFGALAVPYYTDFVLKSSDPSGKLSVSVGPSSLPNVTLDAILNGLEIMKMNISTGTVEVVKPTTPKSKLPIILGTVLGCVGAAIVVAILCCVLRRKRNTKKKPRPAPTSRPSSVWSPLNLNGLSFLSVGTRTTSRTTLTSGTNSDASYRIPFALLQAATSNFDEQMVIGVGGFGKVYRAVLQDSTKVAVKRGNHKSHQGIKEFQTEIELLSGLRHRHLVSLIGYCDEQSEMILVYEYMEKGTLKGHLYGSEMPSLSWKKRVEICIGAARGLHYLHTGFAKSIIHRDVKSANILLDENLMAKVSDFGLSKMGPELDQTHVSTAVKGSFGYLDPEYYRRQKLTDKSDVYSFGVVLLEVICARPVIDPSLPRDMINLAEWATKWQKRGELGQIVDQRIAGTIRPESLRKYGETVEKCLAEYGVDRPSMGDVLWNLEFVLQLQEAGPDISNIDSMNQISELPTDARRVGALEIGTADESHINIDYSQMSTNDAFSQLINTEGR >cds.KYUSt_chr4.48697 pep primary_assembly:MPB_Lper_Kyuss_1697:4:301593998:301596532:-1 gene:KYUSg_chr4.48697 transcript:KYUSt_chr4.48697 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSPGVGELGHGVGDLRPVRREAPFRALCRLRRLRLRDLRLRLRDLQVQLLGLNAGGSYLVLLLLRVELAANVNNLLPTHVVLFCKMAPTAQPAHHPASYKQVRSPSPSKPPPTPNTSKEAEQPKKQSAERRTLSVDSAIESMAGCCVFLRWPSTASASRLGYHAIDDEAGPPPATVTVVVGKDRRVFAVDQLVLDTYPFRVLLETAARKEERRGKSLFVDVDAILFEHILWLARCHDRSAVSLLQPDLKEIIDFYSQEA >cds.KYUSt_chr5.34275 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217355432:217356370:1 gene:KYUSg_chr5.34275 transcript:KYUSt_chr5.34275 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAADTVAFDAPGYFRFYKSGKIERLNQSPILPAGVDEATGVTSKDVVLDADTGLTVRLYLPNLQEKPSAKLPVLVYFHGGSFLIGSAKDATYHAYVNALAAAAGVLAVSVDYRLAPEHPLPAAYDDSWAALRWAASAQDGWIAEHGDLSRLFLAGDSAGANIVHDMLMRVADSGGPNVEGAILLHPWFCGSTPIEREPPAVTMVTGMLWSYACPDAAGGADDPRMNPLAPGAPALDKLGCVRMLVTAGLEDGLAARNRAYHDAVAGSSWSGNAAWHGSDGEGHVFFLQKPGCENAKQLMDRIVAFIAGA >cds.KYUSt_chr6.2263 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13275815:13281229:1 gene:KYUSg_chr6.2263 transcript:KYUSt_chr6.2263 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIIHMEILSSMNNPRITISSDPVIAIHVKVDFESLPELDWSVQKTNAFYYGKLILALHDLKCSGLACLSPNSNEKKSFDTLLDYATCGHLHVKQDVLMMDDDYREDFYPITPLMDASEYFDGWLAHYSMQLRKSCLSLMLGIMGKLGVVGYPFLMTLEHQTPGEVTLLDQIMEHVSLCIDNFLCAKAYQKERDLRRMPYGKIASELYRVRENGAFPSMRCKYGYGADSMSERLKQLQEESLISSCRHCRE >cds.KYUSt_chr3.19116 pep primary_assembly:MPB_Lper_Kyuss_1697:3:117565004:117566554:1 gene:KYUSg_chr3.19116 transcript:KYUSt_chr3.19116 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQQLEAEFPAVEKAIIISQTDHLNYLHDDGIEWHANLQLNQNLITIGDMPRFILDSYEECRGPPHLFTLDKFDVAGAGASLKRYSDPSFFKMGQTSSMIEIDYFREKNPRKPLDRMRDELKAYVARHKLTTELEAPVKDVLQVYDISEDFEKLKISAETSQEAKKADNIRLCRAKEQQEDVNGGSDALSVGSEQASVDVVKEITYEDKENMRDTEMAIDAGNGIAQEV >cds.KYUSt_chr4.45084 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279214500:279215009:-1 gene:KYUSg_chr4.45084 transcript:KYUSt_chr4.45084 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPPLKRVKLHAKQNLFFDHQQQLFSTSKIWTASSTAPWTASSTAPPHLGTATPASHGHQPRHQAADAAPRSAAQPQLPEPDPRRTGSGHHEVHGDLARGRRPRAPRRLCAARAPRTTPAPRVSTHASTARSRPDAFGGEAPAAIAATASGSGGRRGASRGAPGGGG >cds.KYUSt_chr4.8355 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49902136:49906499:-1 gene:KYUSg_chr4.8355 transcript:KYUSt_chr4.8355 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRAAAAAVAHRLLVIHPVRRIASLKVPWRRDEALDASINRDRRFRLASRLVREVLLSPDRRLLLRYLAKRRERIRLPVHVQTFLRRYPTLLSVSAPPDPVASPSPQLLSYLESASRLQATHAPLLASRLAKLLMISSTRALPVAKIAAAKRDFGLPDDFLVSLVPRYPDLFRLVGDPGPDASGNAFLELASWDDRLAKSVIESRADREAEVVGIRPRPNFTVKLPKGFYLKKEMRDWVRDWLELPYVSPYADTFGLNPASPEAEKRLIGVLHEVLSLSVERRMAVPIIGKFCEEFKLSNAFSNAFTRHPGIFYVSLKGGIKTVVLREAYDENGVLVDRDPMIELKERFMAIMDEGHRKYLEDLRRKNERLQKERENATRKGTNAVKNIEEESMEESEEDESKEYDSAQGEKLDSIHLKLGDPGVLIKLDLARAFDSLSWPFLFEALRRYGFVDRFLDWLVILLTSASTRVLLNGEPGPAIWHRRGLHQGALSPQLFVLAVDTLGCLFCRATELGVLRQLHPRRPIPSISLSADDVIPFCHPLLEEVEAVKELLKLFGRASGLCSSSVLPWRWNLPLQGWPAPSSTCP >cds.KYUSt_chr2.19788 pep primary_assembly:MPB_Lper_Kyuss_1697:2:124532841:124539634:-1 gene:KYUSg_chr2.19788 transcript:KYUSt_chr2.19788 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSRGGRSSGLLLLLCLHSSLLCSVSAAGGGGSGSPYVGVTIGTAVTNLLSPSDLAEFLRAERITHVRLYDADPRLLSALASSGATAIVGVPNDELLALGSSPATASAWVARRVLPFAAASSKNPGLISAIAVGDEVPTALPSALPVLLPAIQSLAAALAAANLSSIPVSTPLPFSIVLDPFPPSQAYFNQSLAKSFLVPLLAHLANTSAPLMLNLYPYYALMQSAGVVPVDNAMFKPLPPSLEMVDPNTLLHYTNVFDAMLDAVYVAVRNLNATGGAAVPVLVTETGWPSYGDRKQEPYASRDNANTYNSNLIKHVATDKPGTPMRPGAQAQASVYIYELFNEDLRPGPVSEANFGLFHGNGTPVYLLHANGTDGFLGNDTTDRTFCIAADDADEKAVQAAMDWACGPGRSDCTPIQPGESCFQPNDVRSHASFAFNTYYQSQGKAGGSCYFQGAGMVTTTDPSLTRNDNLRELFRYDLSMKAKIVMTAAYFLEGSTLASGYWRLNRDDGQDQSTVAARHRRKSETFVVIIINPNGLVTDQMDSVQSVVL >cds.KYUSt_chr2.1648 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9697013:9698720:1 gene:KYUSg_chr2.1648 transcript:KYUSt_chr2.1648 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTAQGSGGGGGGRVLLLPFPGMQGHANPMLQLGRRLAYHGLLPTLVLTRHVLSTTPLKDCPFPVAAISDGFDAGGIASCPDTAEYLRRMEAAGSDTLARLLLAADVRVLVYDSHLPWARRVARDAGVAAAAFMTQMSAVDVVYGEARAGRVALPLADGSALRRRGVLSVDLGPQDVPPFVAKPEWYPAFTDSALGQFDELDQADDVLVNSFRDLEPTEADYMESRWRAKTVGPTLPSFYLDDDRLPLNKSYGFNLVSSTAPCMAWLDNQAPCSVVLASYGTVANLNTAQIEELGYGLCNSAQPFLWVLRSEEAEKLPEELRGQCNMKGLIVSFCPQLEVLAHRATGCFLTHCGWNSTTEAIVTGVPMVAIPQWADQPTTAKYVESAWGIGLRARQDGKGLVRREEVERCIKEVLGGEEYERNASKWMQKAKRAMQKGGSSDKNITDFVAKYLPNSRSYEDGDS >cds.KYUSt_contig_1181.994 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:6605090:6612240:1 gene:KYUSg_contig_1181.994 transcript:KYUSt_contig_1181.994 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSPSSAARLLLVLSLSLLLTSAHQLTPSPVPRSKHATAGISPELVSTLRETLDAVRDVVSVISAFPVVGGILGGGDLRLSSAVADCLDLLDLSADELSWSMSAASPATSAAGGDAAGTGDAHADLRAWLSGALGNQGTCQEGLDDTGSPLGSLVSVGLQAVTSLLGDGLGQVVTGQAATVSPSRSARGRGLSQLGRGAPGWLGRRERRLLQMPVGPGGMAVDAVVAKDGSGNFTTVGAALDAAPSESPGRHVIYVKRGVYAETVEVRKKKWNVMLVGDGMGATVITGRLNYVDGYSTFRTATVAVNGKGFIARDMTFENTAGPAKHQAVALRCDSDLSVFYRCAFEGYQDTLYAHSLRQFYRDCRVTGTVDFVFGNAAAVFQNCTLLARAPLPDQKNSVTAQGRVNGSMNTGFAFQFCNVSAHDDLLRALQSNQTGKPTQTYLGRPWKAFSQVVFMQSYIGAVVRPEGWLAWDGQFALDTLYYGEYMNTGPGAAVAGRVKWPGYHVMTSPAEASNFTVAEFIEGNMWLPPTGVSVSVRLLAAVRVHLRLFVCFIDDFDYTMGDINNSHGGGGATAGATFPVAMYVISLSYLALLLVPCSDLMHVLSLMCMVKQFSPSGFAAALKPSPFTGSHFKRWQSKTLLWLTSMGVHRVAEGTPRGPLTPDEDKAFGDATVIFVGTVLSVLGSKLVDTYLHIRNGKELWDALDAKFGAADAGGELYAMEQFNDYRMVENRSVVEQAHEIQIMAKELELLKCVLPDKFVAGCIVAKLTPSWRNFATSLKHQRHEFSVENIMGSLDVEEKARAKDKHTGRTEGRSAANMVQKNAHKSKGKNKGVSQTTNFKKKGKTEKKDPCSVCSETGHWANRCPQRKGKKCQAGQNSNSVSMLIGNTEEGTTGYGNMLPTVLSVFQPTEWWVDTCADGDMPIGGGSPVPLATRTKAQEGLPVA >cds.KYUSt_chr4.38224 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235694246:235694830:-1 gene:KYUSg_chr4.38224 transcript:KYUSt_chr4.38224 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMATPKALRKASIGGKAWRLLRLAVLWARKGSAARSLRLLRTLRHGGRKDQLRYGEREFSIDETPAFRFRTPSARVLRFIPCIAPAFVPDTPCVYGEDRYFFCDDARERDDEEGCAGDYYEDGEPSECGVEDEQLLERAMMEASCGDAAEGSEDAGVDVKADEFIAKFYAQMKLQRQISWLQYNEMMHRSVC >cds.KYUSt_chr5.34497 pep primary_assembly:MPB_Lper_Kyuss_1697:5:218754615:218756162:1 gene:KYUSg_chr5.34497 transcript:KYUSt_chr5.34497 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPAGTRRQAEQWMKVAEKLLVANDLEGCREFCSQALAADRHTPGAEVLRAAADVLLTAQRRRMPNGQPDPYALLGIDPANPASRHPDAVHSSYRRISLLLTRSHPDSPCSASVAEAARLVAGAWAFLSNPGLKSALDTQLDAATPAPVPAPTPTPTPAPMQQRQPQPSSRPRQSPIGAAPQPRSSPQQSPIPAAHQPPLPQQIPMWAAPQPRQPPQQNPTPAFHQPPLPQQSSPPGAAPQPPARAAAPPPLPRQSPVSAAPQPQPPPQDNPIPAAAHQPPPPPHPSPPRAAVQPPSEAAPQTVEPGTSPSSPFWTLCRACSHIHQYDRIYEACKLKCSSCHQPFVAEAMAETPPIVAGTDMYYCTWGFFPVGFPGCPGFENLVNKQPQGADQLVAPWLGVNGGVEAKGDADVQNGTPVSAAVELPVVLEPTPEPLRRMRVEVPAAPSPEPVMPKRVEVPAVPEPVMPTRVEMPAAPKPVKTMTVNSVKVGGKKRGRPKGSKNKKGTKNNKKL >cds.KYUSt_chr4.49631 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307279204:307294448:-1 gene:KYUSg_chr4.49631 transcript:KYUSt_chr4.49631 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRERISIRKIENLAARQVTFSKRRRGLFKKAEELSILCDAEVGLAVFSATGKLFNFASSSMNQIIDRYNSHSKTLQRSDEPSQLDLREDGNCTKLREELAEASLWLRQMRGEELQSLNVQQLQALEKSLESGLGSVLKTKSKKIMDEISELERKRVQLIEENSRLKEQAYKMEMQVAADSPVVYEEGQSSESVTNTSYPRPPLDTEDSSDTSLRLG >cds.KYUSt_chr6.30077 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190635580:190639015:1 gene:KYUSg_chr6.30077 transcript:KYUSt_chr6.30077 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLSAVARVLEQKTAWGAACEMALLAGPLWAAALVGLLLGWAWRPRWAAGIVATADPPQFTTLDFWRGQLPARLRGPFDYFAGTATDAAGQRQQEEETSLQGSSEMGNEELAVGKDDLVNLWRLVEGNDGGPAWIKMMEKALPNMTYQAWRRDPPNRPSQYQSSTIFENATPDEVRDFFGDDEFRMTNKWDDMLISYETLEECHTTGTMKVHWVRKFPFFCSDREYIIARRIWKLGGAYYCVTKNFFCSDHRTVESRRGNGGSTACEILLFHHEDMGIPNEIAKIGIRQGMWGCVKRIEPGLRAYQKARAAGEPPSKSALMARINTKVGDNFVRALESNSDQSDIMEAEEKPVKNRVARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRNAL >cds.KYUSt_scaffold_869.1014 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6483113:6487885:1 gene:KYUSg_scaffold_869.1014 transcript:KYUSt_scaffold_869.1014 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSLGGLVGGRVEMLLSRSIRLLPQIPSSSATATAARLAGCQPPLRPCFSPPATAATAAPRGSPLVPARGCSSPIPSFSYSPNLVTSLLSSNPLPLFHLRLAAVHRVLPLRVIKDKDESKVLMVGKLVLQLGSSMAALSTEFVGSGEAWTWASGAVDLSAMQLLCHGLVEVIVFLEITALDLHLVCILDTLHWFPAFPHIWVSLKRSAPGREGPLCLHGHGWCKILDCFLIRLNFQFMGANVVCTLYLKSVLACSVITTPLILWARYRGPRGIASGLTVEVAGGSGSGRLRSDTDEKEEHGSAVEEFEPDAAGGGEEIELNIR >cds.KYUSt_chr2.34682 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214162196:214163227:1 gene:KYUSg_chr2.34682 transcript:KYUSt_chr2.34682 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTSSTVAAFYATLARGLDDLDRSLASGSFLSLQSLRAALALLRAAHAGLTRLVGSLHLPAGGAWLDEYMDETSRLCDACGALRLGAAAIEGYSASASQLVSLLQATATGARPSPHLSRQVRLRLLLLSAALVCTVDAGANTDLPVVQVTRAISVCRREAIALKEENRALVETRGEALALRLSESVPADAKLSGYNGFRGVLCATRMLTSFLLTLLSWGVLHYHAPDPAAASTADCGVYFGQAFASALSRAQQRAAAEADRAAGGAAGVMMYEFRRAKTAVEQLKDAMDRGSGGVGAATEEVTLWAGLLRSGCDDIVALVDDLFDEVVEGRKKLLDLCSGSK >cds.KYUSt_contig_528.564 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:3452001:3457445:1 gene:KYUSg_contig_528.564 transcript:KYUSt_contig_528.564 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGDGRCGEVEELVQAIGGQRGEEQEEGAEDQAARRRCGQETCSGSVHRPSAQRILVLRSDLNPSLDLRRWVAWRLRRLHLSAHRTSSLEAADGADMQIWEKVLMGLDVKFKPYPGLGVGVLTPWDGIATDEASSQLVCEIQQHTLASLELTSMQMWYEVYDATGASERTNWRRLQYMVSAVLPLLAWKGILGMEKQDIRDGTPRRGRQVSRIKKDSSKRKERRKVCGFGSMEMLNLTRSRFQVQEKREAVGR >cds.KYUSt_chr5.2157 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14731277:14733321:1 gene:KYUSg_chr5.2157 transcript:KYUSt_chr5.2157 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEVADVGVRRRGCCGGDVFPEPSFASWGAYGRALLETGPRLKDRLTARSHADVEVHDLRGRSGAEMRRELNWWDLAWFGVGAVIGAGIFVLTGQEAKEAAGPAVVLSYAVSGVSAMLSVFCYTEFAIEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYCIGGAAVARAWTSYFATLLNHHPNDFRIHATSLAEDYSRLDPIAVVVITLICIFAVISTKGSSRFNYVLSIVHFAVIIFIIGAGLSKAKLSNLTDDFAPFGAHGIFAASAVLFFAYIGFDAVSTMAEETKNPAKDIPIGLVSAMAVTTVVYCILAVTLCLMQPYQDIDADAPFSVAFTAVGMDWAKYIVAFGALKGMTTVLLVNSVGQARYLTHIARAHMAPPCLAVVSPRWGTPVRATVAMLTATACIAFFTDLGILSNLLSISTLFIFMLVAVALLVRRYYVSGETTNTNRTKLVSCLVVILVSSIATASYWGLAAGGGWVVYAVTVLAWFAATLYLQLAVPKARVPEKWGVPLVPWLPSASIFINIFLLGSIDGKSFMRFTIWTAALLAYYFFVGLHASYDTAKALAAEAAVAKVEEGGRKNVTAEAGN >cds.KYUSt_chr7.19158 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118704348:118706287:1 gene:KYUSg_chr7.19158 transcript:KYUSt_chr7.19158 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAPTLELRAVAAPATKGIGVFYMWRRRLLHRAPAFATTGGGCYTGHRRSLQHASPVATQGAGTRYNRRQRFLHRVPASATTGGGGCYTGADVRYNRRWRLLQRAATAATRLLPAELAVATNAVGGCYTGCDRAARTPARPAAKLQVYDAGAGVLHARDLGAACGGAACGGRCCRSGQRFYRRRRRYCKQDSTSPACCVHAGASDESPVDLVADEGECFHQESCAARCGTVCSVSGKPSANAYCKEDQCCCA >cds.KYUSt_chr3.44391 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280080051:280082498:1 gene:KYUSg_chr3.44391 transcript:KYUSt_chr3.44391 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRSRNSSRSRNSSRDRGNDDASILRSASTTDAELAALRAQQRQERDARSVGRRLRNYQAESTASINLLTHQMENMVVLMERMQTSIDRLSQPEACARSILDPVGPAMVAGVCYGMVANNLPSRSDVVQMYRSKGITGMRLYSPDAQALSALRNSGISLMIDVGGTDQLAYLAASGSNASAWVRTNVQAYQGLTIKYIVAGNEVQGGDTQNIVPAIRNLNAALSAVGLGGIKVSTSVRFDVVANSYPPSAGVFAQAYMTDVVRLLSSTGAPLLANVYPYFAYRDNPRDIQLNYATFQPGTTVRDDNNGLIYTCLFDAMVDAIYAALEKAGTPGLRVVISESGWPSASGFAATADNARAYNQGLIDHVGGGTPKRPGTLETYIFAMFNENFKLGDLVEKHFGLFNPDKSPAYPIRF >cds.KYUSt_chr3.44702 pep primary_assembly:MPB_Lper_Kyuss_1697:3:282105262:282108006:1 gene:KYUSg_chr3.44702 transcript:KYUSt_chr3.44702 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGDAPPSAAVVDVSVGGPPNAGVVSAMISATIPSKRKRIPKQFFEAPTAAAAPPAAASPAEAPPAAKKGRMKTKAAGPRGAAPAKVRTKAISRIGLTPPPPSKATTSPPSVPSDAPPAPPPPTMDVDKVFDLESTTSYMDMLNGSAVNLDTGIDAFDGECNVEEIDDEEEDEGDEEEVVEVDPVAAGSSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQARYKDMAGSKNKEFQFHHCFSILQHLPKWKLRDNEPKCKKEREDAKLKAELDMKMIALKEAKAMKELLAEERDIMMMRTDGMDEDQLAWWNETKADIIARKKAAREARAASAQVIIGEKGEENANENEDYDDDDDESEKMQKLELSYRDRKGA >cds.KYUSt_chr6.31871 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201373864:201376941:1 gene:KYUSg_chr6.31871 transcript:KYUSt_chr6.31871 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAVLLLLVALSVIFFTGNVGAAGGDEGAALLALKAGFVDPLGVLDDWKTASPHCRWTGIRCNAAGLVDGLDLAGRNLSGSVSGDLLRLPALAVLNISSNSFAAALPKSLSPLSSLQVFDVSQNSFEGGFPAGLGSCADLVAVNGSGNNFVGPLPVDLANATSLESIDMRGAFFSGHIPAAYGRLAKLKFLGLSGNNIGGKIPSELGEMEALESLLIGYNELEGPIPPELGNLASLQYLDLAIGNLNGAIPPEIGRLPALTELYLYKNKLVGKIPPELGNASSLVFLDLSDNLLTGPIPAEMAHLSNLQLLNLMCNHLNGAVPAAIADMPELQVLELWNNSLSGPLPSALGQNSPLQWVDVSSNAFTGGIPAGICDGKALAKLIMFRNGFTGEIPAGVASCASLERVRAQGNRLNGTIPAGFGKLPLLERLELAGNDLSGEIPGDLASSTSLSFIDVSRNRLQGSLPSSLFSIAGLQSFLASGNLITGELPDEFQDCPALGALDLSGNRLVGKIPSSLASCQRLVNLNLQHNGLTGEIPPALAKMPALAILDLSSNFLTGGIPDNFGNSPALETLNLANNNLTGPVPGNGVLRTINPSELAGNAGLCGGVLPPCSGSRAAGLSRARSSGSARLRHAALGWLVGTVAVVAAFTALFGGWHAYRRWYVVGGAGEYSSGAWPWRLTAFQRLGFTCADVLACIKESNVVGMGATGVVYKAELPRARAVIAVKKLWRPAASDANVDLTADVLKEVGLLGRLRHRNIVRLLGYIHNDADAMMLYEFMPNGSLWEALHGGTPETRTMLVDWVSRYDVAAGVAQGLAYLHHDCHPPVLHRDIKSNNILLDADMQARVADFGLARALARSGESVSVVAGSYGYIAPEYGYTLKVDQKSDIYSYGVVLMELITGRRAVEAAFGEGQDIVGWVRDKIRSNTVEEHLDPLVGGGCAHVREEMLLVLRIAVLCTAKLPRDRPSMRDVLTMLGEAKPRRKSGSSSATASNAAVAAAAPTTVVDKDKPVFSTTPDSV >cds.KYUSt_chr2.53810 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335837161:335837681:1 gene:KYUSg_chr2.53810 transcript:KYUSt_chr2.53810 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVCARLSVGLPVADLWPALSGAFQVAGLPPDLPVKRVLFARLMALPVINLVDGEPPRLVQLPDKDVEVAERLLLATPNLRDNFLGLYDHRHSSSRLSDKQRKTLEYIGEARFVLIKVDC >cds.KYUSt_chr7.30722 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191174757:191177804:1 gene:KYUSg_chr7.30722 transcript:KYUSt_chr7.30722 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWMVRATTTVLLWTCVVQLTAVGETWGPRVLKGWPSCLTAPDEAAVRADHAVEKAAALPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVELGMFHSMPPISWSDISYYHNQILPLIRKHKVLHLNRTDARLANNGLPMDIQKLRCRVNYASLRFTAEIEDLGKRVIRMLRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEAEELTRMRYAYPWWKEKIIDSDLKRKDGFCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYMVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTVLLDRKLIVDLTDQYNNGSLRWDEFSLLIKAAHANSRMGSASKRTVIPDRPKEEDYFYANPQECLKDPDLLRTS >cds.KYUSt_chr2.47216 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295333099:295333563:1 gene:KYUSg_chr2.47216 transcript:KYUSt_chr2.47216 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKQSYHWWQAVRNEAKWKGQHGPGSGSDSTSKRSRLGVSGEYSSGDATTEEERPPGRDRAKAAVRSARRKGKATSSSSEVGSKSFAMRNMMKGLVKAKLFKQWNKMKDRSTDDMNEAEKRLHAKAIKMCEKELGLADDEEEEQQEEKEEEEE >cds.KYUSt_chr7.24750 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154290914:154304413:1 gene:KYUSg_chr7.24750 transcript:KYUSt_chr7.24750 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEAAGGAAEERWASLCNCVVNFLLEEKYHLTALELLQELQEDGRHAQALRLRSFFSDPAFFPPDLVARASSAPPGADPQNLLEEKIAAEEKLALAEYDLRLAKEDLSCLKLELQKQQQSSPDDTNDASTNEGFNQHDKRDVKISALGPVKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWTNSSACVPDALRRYYYQYLSSTTEAAEEKISILRENEALTKDSERLCAEKDSLMKSRELANNQVASLRKSLEAAHVDIKEKEKMVQDLKQTLDAHRKELNDCRAEITALKMHIEGTQSSKQMSVGDTDVTSQINEHENFKGTESVTTTLVPGESLTKDTQKDHKNIESTIEVSPGSEAPVSCSTAWSGGYGISGEDKSGTHTSLEDISVNGTPHGADNSQGNSGSMSVYVLEDKVHTEKLESPSTQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIERHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACLELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLALLLPLFPNMDKYYKVEELLFQLACDPSGVVVDVALRELVPAVVRWGGKLDQILIVLLSHILVSAQRCPPISGVEGTIDSHLRVLGEHERWNIEVLLRMLTELLPFIHQKALETCPSLDPSMPENYLSESRLKLYATGDTEWSAFEWMHTECLPNLIKLACLLPAKEDSLRTVITKIFKLTSVPPIGNDIEWRRERANVFCEALRALDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGTLESIGKAMGAHLGIATSVSSFFGESGLLGKKEGGEQQDPAAPAPPQPSLQSQQENTRFGRIMRGGFGDMLRGKAKGNDEPS >cds.KYUSt_chr6.28493 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180540624:180544409:-1 gene:KYUSg_chr6.28493 transcript:KYUSt_chr6.28493 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIDAELARAHDERRKLEEALAAGAPMAVSAVNFDKDLYGGADRFAGYDTSIPASEDDSAEPASASANPAARRLASYTGHALAAAAGIPRSDDDDGAPAKRSQRIVDREDDYRRRRLDRIISPQRHDPFAAGEATPDPSVRTYGDAMRESKVQQEREHVLRAIAKKKRAEEEEKAAAPEQPPPPAASTKRRNRWGQSQDGDAAAAAGAKKAKTASDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPAPGRMADADATPAAGGITPSGAWDATPKLPGGLVTPTPKKQRSRWDETPASMGSATPGGTPVGADNIATPTPGQIARGAMTPDQWQLMRLERDIEERNRPLTDEELDAMLPQEGYKILEPPASYQPIRTPARKLLATPTPLTTPFYNIPEENRGQQYDVPREMSGGLPDMKPEDYQYFAPLLNEDGEEQLTPEEQKERKIMKLLFKVKNGTPPQRKAALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDTDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNVDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKNLVEITEHGLTDENQKVRTITALSLAALGEAAAPYGIESFDTVLKPLWKGIKSHQGKVLAAFLKAIGFIIPLMDAVYASFYTKGVMAVLIREFQSPDEEMKTIVLKVVKQCVGTEGVEADYIRTDILPKFFQHLWVRRMSLDRRNYKQLVETTVEMANKVGVSGIVGHIVEGLKDESEPYRRMVMETIEKVVANLGASDIDPRLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKHYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASTVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPGVGGDGDHIFSRPELAMFI >cds.KYUSt_chr3.42386 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267982547:267987034:-1 gene:KYUSg_chr3.42386 transcript:KYUSt_chr3.42386 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGFRASFPLMSMEHAQHFDFHAAFPPSSSSAANAPAIPAFHDFASRHVGELFDVEAPAAVAEWDDEDEDELDKQRVDASFLGLSASLENLMAERRRRKKLNNCLYMLCSAVPKISKMDRASILGDAVDYLEELLRRINDLYKELESARSSALVAGPAAASFHPHGQQSTVKVWMVEGQALNIHMFCARRPGILLSTIRVLESLGFDIEQAVISCFSGFAMDIFGVKGIPRLEHWTTFKNIMKYLKRTKDMSLIYGGCEEKLDVKGYTDASFDTDPDDSKS >cds.KYUSt_contig_6320.36 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001280.1:201358:202611:1 gene:KYUSg_contig_6320.36 transcript:KYUSt_contig_6320.36 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTARRRSGRRKAATTLTEIPDHLLAEIFLRLPAAEDLARASAACVTFRRLVVDTDGSFLRRFRRVHDPPLLAFFDRDGFHHALPPHSSAPAARALASAADFSFSFFPNHGSWAVQDIRDGRVLLLRPGEGLQREVSMELAVCDPLHRGYVLLPPVPDGLVASVAHTAMEHKPWCEPFLVPFEEEADTAFGVIWMVHFSTRLAALVFSSITGQWQAGASKEWRDLLLGQGKSTVASPIDPEYHERHYAYGCFYWESTMSQKKELLVLDTRTMEFSMSDLPPRKWCALGLAIVEAGEGRLGLFGILDETLAGKFDLCYYTRGNKAEGSSQWQLEKTISLGAGCQHHIKTATGRYLLLGKFGPMRFVGSTPHMDLDCISVDVKTLQRESVCGKSSGFAMSKTWIYTNFPPSLSSPTI >cds.KYUSt_chr3.2830 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16275517:16279477:-1 gene:KYUSg_chr3.2830 transcript:KYUSt_chr3.2830 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGKLPTSATAAKGPKGWAQRFLNVGFVAGFLLVLLTYAVVSQQSQRFGAIIASPDDVAAISKQAIKAPGETGLAQEEKTETTAPAEPSADHHQHQDTSGDSSNQDDQQQPGKHQSMAFSPAHNNIFPAFGHMDGRRNERKGAVVLPIAEQEWRPKAVVTEEPPKRDDTAAKPFDNGKVVLTTAGPYSDTCDVFGDVRTNGTAHTVTLVPATSFTERREWTIKPYIRRTMSGIPEVTVTQLDSTSASSPAPACTVRHHVPAIVFALGGLTGNYWHDFSDALVPLFVASRRYGGEVQLLVSNIQPWWLGKYEAIVRRLSKYDAVDLDKDDQIRCFPRVTVGLRMHKEFDIVPEFSPDGQRLTMTDFTAFLRETYSLPRAAPINLKLTPEKKPRLMLLHRGHYRKIVNVPEIVKAAEEAGFEVAISDPRFGVHVEELAKSVNSFDVLMGVHGAGLTNAVFLPKGAVVIQVVPYGKMEPLARLDFGDPIVDMDLRYLEYSITAQESTLLEMLGPDHPVIKDPESVHRSGWDKVAEYYLGKQDVKLDLERFAPTLELALDHLRQQ >cds.KYUSt_scaffold_1700.433 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2775207:2775878:-1 gene:KYUSg_scaffold_1700.433 transcript:KYUSt_scaffold_1700.433 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGPNLPRRTFIVTAQHIHRLKQRISNLTTSPPSAQAAPSSFVAIAALTWVSFVRSKHPDAISADDDVYLFFFCDCRGRRGIDPPVGDNYFGTCISGCLAKATARDLLAEGGVAAAAAAVQREVRRAAEDPLASWDWMDDLSWLPLDRFVNVSGSARFPAYEAANFGWGTPTRTELVTMNNGGQLVLVAAKEGGGAVQASVCMEPDRMDAFNSHFLNSLVD >cds.KYUSt_contig_1158.147 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:945772:951944:-1 gene:KYUSg_contig_1158.147 transcript:KYUSt_contig_1158.147 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTKKERAAEARASSSKPVAAGPPQVQRRMVRGGAWTSRRISFYASRVYFLLIILQIPLFRVPCRAGICTTPIQITSSQLVSNEIFPPAVVKAMLFPGAIVSNITTSMSMTFPRWSDLFDIYNLTEVKNASAVIDLQRLEMLAGSYFCVAGALVGVINPGRMILFGTLLVIWGLVKDALFRKPMNSEATEPVYVYPTILIALISYVLPQPSYTEFVVQTPQAAMVQISLQRFLEKLVYWSTRDGEETPPFVISNDPLVKKELLSSESSQLPSDCDTALGKLRKKRLVLEKSGASCIVMPCHFLHAWHDEVSQGCSVPFLHVGDSVLKELKAASFKPVEYGSNVRIGILATENTFTTNCYLDKLESQGFEVVRPDRASMEHVVLPSCSAFRKGDMEGARNLLQISLQLLLVQAVNTIILASDDFIGILPDDDPLLKKCIDPMDALVKDTIMCARTMRP >cds.KYUSt_chr6.12372 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77300722:77301275:1 gene:KYUSg_chr6.12372 transcript:KYUSt_chr6.12372 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNASYFHAFGNPDFAAVFSGGSAQALRPPCPSAGGDGDGASVKAEKGAVARQSTTATPSSVTFTVPDEELGEAQHFLNECSRCRKCLTGDIFMYRGDTPFCSEECRRKQIETEKARHRRKKQNSPKAQAAVAAAVAAAAERENEPQRRRPQPQ >cds.KYUSt_chr7.23735 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147811109:147819036:-1 gene:KYUSg_chr7.23735 transcript:KYUSt_chr7.23735 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMQLNTLMSNMFATGLLDDQFQQLQMLQDPSAPDFVAEVVTLFCEDGERIIGELAKLLDKPAVDFDKVDAFVHQLKGSSARCLKTLDAVRNDFYDLRSKFQTMLQLEQQVQASFYPKHFLAASWSFSVIDVSVTVGSVVLNSQWSNNNHLPALCGLSVSAEISCWFRVSDMETVQYQEELTREHCQQQFQQLLLLQDGSEENLVAKIVTLFCQEAERIIGELSMQLDKPYVNFEEVAAFADKLEGSSAWFSKPSGRSRDFIV >cds.KYUSt_chr4.11684 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71046297:71050121:-1 gene:KYUSg_chr4.11684 transcript:KYUSt_chr4.11684 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPQLGIHVDPGTPPGRKVPEITTSPLMNGEKSKLWDQIGGQSSLASPMRREIGNRHLTLRHVMDSAKEATRSGNLNELSMVLLNNILSLPLGVILVLGFNEVEYLLETPLLRMPMFWLVITASGVLGLAISFTSMWFLRQTSATTYSLVGSLNKIPLSISGIILFKVHTSMQNSISILFGLLAGVFFARAKLRGSSQS >cds.KYUSt_chr5.31216 pep primary_assembly:MPB_Lper_Kyuss_1697:5:197781930:197785690:1 gene:KYUSg_chr5.31216 transcript:KYUSt_chr5.31216 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGPRVAGAARRPSSPKRPPSAGGRERPQLAPRGDDNPRVSLSPAADSKVAKKRLPSAAAAARGALPSMLLRRSELLRRAGAKGNGSLQSLSVSCASEASNDSFCSRGSTGRIGRPPMAPHAGAARRRAAGSSVGPPSARPAVRKAASVAPDGTGAAAAPMMIGEAAMAPGPPGPPRCPWVTRNTDPCYAAFHDEEWGVPVHDDKKLFEMLVLSGALAEMAWPVILSKRDTFREVFMDFDPQLVSKLNEKKFLGPCSPARSLLSEHRLRTIVENAHELLKVIEEFGSFDEYCWGFLNHKPMIGRYRAPREVPLRTPKAEALSQDLMRRGLRGVGPTVIYAFMQAVGMANDHLVTCYRLEECSEACDGGHDNTLVKEQEMSKMCGMVECVSLESSMTNTVISIS >cds.KYUSt_chr1.4307 pep primary_assembly:MPB_Lper_Kyuss_1697:1:26387918:26400379:1 gene:KYUSg_chr1.4307 transcript:KYUSt_chr1.4307 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYGIPADLIDDHFSMGESTSILCVKRFVVAIVNVFGSTYLRAPNAQDTARLLEINANRGFPGMLGSIDCMHWSWKNCPAAWHGQFKGYKKDATIVLEAVADQETWIWHAFFGMPGSCNDINVLQQSPLMTRLAMREGPLVEFEANGHKYNYGYFLADGIYPRWQTFVKPIIQPRDLMAGTKQWGVTAPRLLDPPTADDSNRTKELQQFLRESHLSEDSTDSAKRENIIGELRELVKICVEDIFSEKSEMTEAPHIAVFTFGSYQLGVHIPSDDLEIVCFGPPLVKCQDFLKALHGVLVKNGVVAVMESLQEEKSLYGSLRNEEKNPVLEALQKQKTIEFKHSLADFMKFKMQGVSVVLTYAIISGVPLNFQVVLRYVNFWARKRGLYSNVIGYLGGAQWAILVARVCQIEAQGDGTTKCHLCPTEYAYDDAEHHSVIFIGIKRIIQSVQGLAVTVPYSVDSSIVKNEIAERKKPGMDAVVSQFRRNRMPDSVCKLVSGSSGFGEADFTAMAVSSANSRNWPDGPDQRKEEAIDDLLNRLGIVEDDFDDLVFEELEGIPKESVKWMALARVHIQNYFSSSTFEQHMKVAWSPAKEIKFHHLEGNLFTIQCFCLGDWLKVEKGGPWLFRQAIVCIEPYDGMMDTDLIDLNFFSTWVQIQKLPVGYRNDTLIRNLMEKKVGKV >cds.KYUSt_chr1.42799 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261891660:261895309:-1 gene:KYUSg_chr1.42799 transcript:KYUSt_chr1.42799 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALVRTILVTGGAGYIGSHTVLQLLLQGFRVVVVDSLDNASEAALHRVRDLAGTHNAKNLDFRKVDLRDKAALDEIFSAQRFEAVIHFAGLKAVGESVAKPLLYYDNNLIGTITLLQVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSAMNPYGRTKLIIEDICRDLQRSDPDWKIILLRYFNPVGAHPSGYIGEDPLGVPNNLMPYVQQVAVGRRPALTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDRIGCEVYNLGTGKGTSVLEMVAAFEKACGKKIPLVYAGRRPGDAEIVYAMTTKAEKELKWKAKFGVDEMCRDLWNWASKNPYGYGTSDNGHQ >cds.KYUSt_chr3.35112 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220357178:220358371:1 gene:KYUSg_chr3.35112 transcript:KYUSt_chr3.35112 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDPSQHDVLEPGLGTRTRRTRARGTTRLPCRPTAQLSYGRQGGRALLDATAPYPGERPRAGQRVYTAPSPGRAWVGGGRVSVAQLRLPLLARGDAEHATPFPPDGARPEADHGAALPEPRADARHGGKRHWVQLLEQRACGPAPDPLGQAAAAHRRRPPPKQAARAPSPLSPWAATFFSHALLLAAGRGRGRVREQLPRQQQRDEAELLSPNNEAKIVEPDICQCAVVAGGAAALLLDATLGGVRCATGGRARFVHGDGSRPRLAPASAREPSVAPWHTGKMSALEFSRRPLSARSKAQGKGGRGHSRRKYKIKHKERGKRTYCDSVRRRTVAAREQHDEADWWYCGKAARRSSRQSRGRRPARGESRISVGGKSTRNPGKKWGTEGRTPDFGIE >cds.KYUSt_chr4.45794 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283417835:283418089:1 gene:KYUSg_chr4.45794 transcript:KYUSt_chr4.45794 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAHKATTSVTIWKVQDIQHKSELKPVWVHVEGIPYTMRHFHGLWEVGTLLGVPLDVDLVTLRSRGVVRIHVAMVNLKALEG >cds.KYUSt_chr7.12429 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76449233:76456116:-1 gene:KYUSg_chr7.12429 transcript:KYUSt_chr7.12429 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAAGSGAAGGASRPPSFASQTNALLRKNLIFQKRNRKATIRLIIVPIYLCLLLSILQRVINNLLDKPKYKCGCKCVNVNGSVPCQNVCGIQYSTLDQAGSCPIPNPPEWPVLLQVPRPEYRAIQDSSKLFTGLPDASCRKSQSCPASIPFTGTNESLSTSVIQNMFIDSPLTNLSDFTSISTLLLGTDVPGTSTGFIDPAFISGAPIYVLQSQCKPMDSVTVHTTINAVNVQKEIKCVQGLPLWRNSSITINEETFKGYRKGKTGEGISEVAMAYDFQDSNEKRFNVLAIYNSTYQNISYIPMPFGLLRVSRSLNAVSNAYLQFLQGQGSGIKMLLEFSKEMPKQATRLTIDFSSLAGPLFFEWVVALLFPVMLTYLVYEKQQKLRTMMKMHGLGNGPYWIIYYAYFLTLSTVYLLLFVIFGSVIGLSFFKENDYSIQFVFLFSFINLQIVLSFLAAAFFSKVNTAQAIAYLYIFGSGLMAGSLIRNFIEGGKFPSHWITVLEIIPAFSLYRGLYELSQYAIRASETGNPGMRWSDLSDDSNGMRNVLIIIIVEWLVLLPVAYYFDHAAAVGHRPSPISIVKRLLRKNPTWRKIAVNEVADRPANDVHVEMEKLDIIEERKTVDQVLQQQYSGYAVICDDLKKVYHGKDGNPDKHAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGFTKPTSGNAFVQDFSIHTDMDNIYNSMGVCPQNDMLWEMLTGREHLQFYGRLKSLNGSTLDLAVEESLRSVNLLLGGAADKQVRKYSGGMKRRLSVAISLIGHAKVVYMDEPSTGLDPASRKSLWSAVKQAKQDRAIILTTHSMEEAEALCDRLCIMVDGRLQCIGRPQELRARYGGYYVLTMTTSSEYEHEVENLVLKLSLNARKVYHLSGTQKYELSKQEVRIADVFMAVENFKKRVEVQAWGLADTTMEDVFVKVATGAQSSDQLSFFFFCEENIQSIHQQSRRYKEHQRQ >cds.KYUSt_chr7.25918 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161892866:161893078:-1 gene:KYUSg_chr7.25918 transcript:KYUSt_chr7.25918 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVPVVLAAALAMAAVASSVCSSPAEGIQPLSKIAIHKATVNLRRSAYVRATPALLGDQVSKLINSPIH >cds.KYUSt_chr7.25219 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157294385:157297717:1 gene:KYUSg_chr7.25219 transcript:KYUSt_chr7.25219 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTEEEKGGGCCARWLRREVLLALALGQIVSLLITSTGFSSSELARRGINAPTSQSLLNYILLALVYGSILLYRRKPLTTKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWTIPCAILLTWIFLKTKYGLRKFIGVGVCVVGLILVVFSDVHASDRAKGPNPLKGDLLIIFGSMLYACSNVAEEYLVKKNNRIELMAMLGLFGAVVSGIQISILERKELQSIKWNAGAVLPFLGFALAMFLLYSTVPTVLKICGATMLNLSLLTSDMWAILIRIFAYHEKVDWMYFIAFGCTVGGLLIYSYRSSKEAEETAQVVGASDEHSREGDEEAGMQNPVGSSVAAGNRDQISYKELPSAGSPSKN >cds.KYUSt_chr7.34636 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216249877:216251531:-1 gene:KYUSg_chr7.34636 transcript:KYUSt_chr7.34636 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDEYFCDFMELKPHKGGVRSLLHLLCCSDITENDVVKCPPGTTEDRPSKSNRWVIFVSVVAQMLLLWVKTPLAKLGEAVEYWMNFFTVNGGGVLKLIRNAMEGKVRIPDRESPNYRSLIGLLDTRIELDEKIKPEHSNYHAALGIMASKIAYENEVVIKTVVENHWQMKFLEFFNCYNEFRGGHTTQAFMLADKAVDANLVVVAFRGTSPFDADDWSTDVDLSWYEIPEVGRAHGGFMKALGLQPKGWPAKIDASKERPFAYYAIRDALKKVLGANPRAKFLVTGHSLGGALAVLFPAILALPGQQDESDDLLARLHGVYTYGQPRVGDQRFGEFVERLLDTPGARRRYFRFVYSNDVVPRVPYDGGAHLFKHFGQCVYVDALYRAQGMKEEPNKNYFSPAFLVPKYANAAWELVRGLVIGQVAGSEYVEGWVMRISRALGLLIPGLPPHSPQDYVNATRLGAASLDLLLRDH >cds.KYUSt_chr4.4916 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28114134:28115488:-1 gene:KYUSg_chr4.4916 transcript:KYUSt_chr4.4916 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQSQTGQEPVRRRYDYVREREAKSELALSPPGRPAFSSASGRRLASGGGGNPVLLWRVDSRSCSCRLGFLAVVFLVERRSTELVGNKVSPPVLLLVGGLAGAGERLVEVRLLEKLCFGAAAICCGARGVLVEDGGDGGHGFAEAAGGSGLRSGGMALSPDPALKKIGVLPRPMFLFDLYPAFGFWWLLRLENASRQGISSAPKRTLLADALLSGDGRGFVRLVAVEKMRPLKDLFVFSWFVRGFCVIGGWQLIRLYPSRISVLVRVSVILTTV >cds.KYUSt_chr5.32508 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206256013:206256936:1 gene:KYUSg_chr5.32508 transcript:KYUSt_chr5.32508 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRARTHAVLLLLAVAVPLAAAQPWPLCDSSSGNYSAGSTYETNLLNLIVTLRQNASSSPSLFASSTRGTAPDTVYGLMICRGDVSASDCADCGTSALQNAGTACGRLIRDVALCNNQCYVRLSDVNFLASTNNSGEVRLRSGANITSSDVAGYNRAVNTLLNATLQYAVDNSSRLFATGRLVGPDPGFSPIFSAAQCSPDLSPAQCRSCLQDLLDQWWAALPRNEGGARIAGTRCSLRSELGHVPFYTGTAMLQLPATAAAPGPATTVGPGTPEGQYVAMSFEIASRIKSHSHEHIVMTYFGSDF >cds.KYUSt_chr3.15569 pep primary_assembly:MPB_Lper_Kyuss_1697:3:95419482:95420399:1 gene:KYUSg_chr3.15569 transcript:KYUSt_chr3.15569 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDTPNSGPAVAASVMAAAAMGESQCEATRQKRMKKKPKPDGAGFGVAPSQSPLPAATFLQTHTQSPAPGTPILEEAVAVRKRKVRNDQKAAAEGDKHGKEPMLAATTTMKKKKKHRERRSSPSLSAAAAAKEPILQQGPEVNTEQKRNNEEGPSTAQLLQDPAQSPALGAQILEEATTEAAMRKWKMRDEKRVAVLPFAQNQEQTEERVLAVTTKKKKRKRRESKSSPSLTAAATVEAPILQQEAKVTKKRLPLDPTQAQSQGTKPPRASKPLSAATFLEAQTQTPSFQNPNVGGGGCGCDE >cds.KYUSt_chr6.5468 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32581781:32584180:-1 gene:KYUSg_chr6.5468 transcript:KYUSt_chr6.5468 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMWRPQRPAKAGSEGKAKWVAVIAVDREVEASVLGVPAAAVARGREKGPLQDGIAGARAPVTATKGKGKVLVQRNPVIPTVDKKINAKGVEGVKAIVGNGNGMDEKHDDERLRRSRPGMEKMWRPRQPTKAQPGGGVKHAKGVPVIATAKGRKKGQLEDGIGVCRMDALDGSLAIATNRKNEVLVQKDLMVPTVDRKIDALVVEGVQAITMKGNSKEEKHDEERLLRSRPGMANMWRPRQLTKAQPGARGVKEDKGNAVIVVDGEVKASVIGVPVITASGGRKKGKLEDGIAVRRMDALDSSPVIATDRNGEVSVQADPMVPIVDQKIDALVVEGVQAITVKGNDKEEKHDEERLRRSRPGMAKMRRPRKLTKAQKGAGGVKDDKGNAVIVADGEVEASVIGVPVIAMAGEGKKGQLEDGIAVCPMDALDGSLVIATDRKGEVSVQTDPKFPTVDRKIDALVVEGVQAITVKGIGKEEKHDEEWLLRSRPGMAKMWRPRRPTKAHPGARGVKDDKGDAVNVDDEEVKALVIGVPVIATAGGGKKGKLKGGITVCRMDALDGSPVIAADGKVEVSVQADLMVVEEVQAINVKGSGKEEKDDEERLRHSRRGIGKMCRPQRQAKASPEEGGVKDTEGVAVITVDGEVDASVLEILVVPAAGGEEKGPLQDGNVVRQMDALNGAPVTSGKGEVSVQGDPVVPAAKQKVDVAVAEGVQGNGKKMKKKKKGGERIKWLKHYSSAQSILIVGDGDFSFSLALATAFGSGQNLVATSLDSYGLISFPLSLAACDMTRCALFFSC >cds.KYUSt_chr3.43580 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275042881:275044143:1 gene:KYUSg_chr3.43580 transcript:KYUSt_chr3.43580 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSRLPVLFVLVVSLVVLASGQPRPVVVPVTKDTATSLYTIPLYDGANLVVDIAGPLVWSTCQRGHLPAKFACKSETCKLANAYPVPGCPATGCGRDPRKDMTCTTYPYNPVTGSCAAGSLVHTRFVANTTDGKNPVRQVSVRAVSACGTTKKLLASLPRGASGVAGLAGSALALPAQVASSQKVAKTFLLCLPTGGANGDGVAIFGGSPLYLEYTGSVEYTSSLEHTPLVTRKGSPAYYVAVKYIALDNSRVPLPPLALATSGVVLSTTAPYTVLRADVYRPFLAAFREATAAQWQYAQKPREVKPVAPFGVCYDARTLANTRMGFMVPSVTLALEAEKNWTMTGVNSMVAVKPEKACLAFVEMKDVKAGDGKAPAVIVGGFQMENFVLEFDLERKRFGFLRLPYYAQCGHFNFTRSS >cds.KYUSt_contig_2549.27 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000380.1:203881:205047:-1 gene:KYUSg_contig_2549.27 transcript:KYUSt_contig_2549.27 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAMPTMTAESDDDVHLSPSSASSAGGGGGGGSSARFKILCSFGGRIMPRPTDGALKYIGGETRVLAVPRSIRFRDLKKKVEEMFKTDVAAIKYQLLASDDLDILVSVTCDEDLLHMLDEYDRFESKRSPSASPRFRVYVFGPQQTSSVSSVPAIATALPSSRHAPAYVRSQPQQQLYNHHQHHFQPDRYVASVPATPEGSPPYPDQPSGIASAGNSPRADQAVFRGGMQRVRSSPNLGGLNAALNQHAGDGGGLSGYMSSSPGHPSAGHLFLQGNGYSQYRNPPPQYSPAPVPVPHHAGAAGRHDMRGCYARPSGSYMAAPMVPTLRSGRPVSRGSSEMRTPKKVATIWD >cds.KYUSt_chr2.9011 pep primary_assembly:MPB_Lper_Kyuss_1697:2:56694649:56706455:-1 gene:KYUSg_chr2.9011 transcript:KYUSt_chr2.9011 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVDTGEVNRARARRAQELQQEAEARDRRQVACSKKEKKKKKMEIARRAQAYLSGKKEAEMHMSEEVFWTGEAFGGSGPVTKSSRRKKAPSTPIPRPQDVYHIVVDNTNKPFEHALLEKSPDAGAPARPTHPLEKLPVEQLFDRRVPQSPPLKPPELSDTPFTFVQDRKTLNKLVRKLKNATEFAVNVEYNHFRSFQGLTCLMQISTRTEDFIVDTLKLRKYLGERLREAFQDPTKKKVMYGTTRDIMWLQRDFGIYICNLFDIGQASRILQMGHVSLEYLLLSFCGVIAKKRLKDNELSARQLAVFAGLYQWRDSVARIEDESTAYILPNKTLLEIAKQIPVTARRFKRTVEAKNKFLDHHLGHVITIIRNAVANSDSFENIAEQLKKERLEELMVADTKSSSEDTETITAVDADNNESNSHSSDEPALVPGFSSEQGHVPKAPFFTLIVDGSLDCSAICGTLKKVLKWMGFDQLTMVIMYKIIPFMKSCPEELRPKLEEANKLGSSLIIQLTRAASELLAVIACPQLYGSSEFLCSKSLVGYVLCHDVLRLQVLSLIYYIFGAWNVDEAKMTLVPLCYKVIEVATATRHDAIISFVKDMIPHLIQWLAFESSLEGTQPGDVIIKDLCYQAFRCVHCPNQVEEEFSKYIPGYIDMLHQVNEMDDCLERDSSSPRVLFEKLDPKFVSKYAIGSPSHDYLWAMSAMVKHSSYDDSVVELVEESKAYPDLAEFLRADALEEHKDVLKKILHHVTSLENITFLKPLLPDVRDFPVRLRPYAKNYIDNRNKEYIVAKEQARLHEQFDAHLASGVLDHYLSKGDAGSHGDALKAVLNDSRQFSALDHDLIKLSFELRIEVQSLIDQLGRQDFFCIDDDAIDWDQKCCSELVDKFNKQVFTGSDLPKYYVIRGIMV >cds.KYUSt_chr5.15719 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101447725:101448808:1 gene:KYUSg_chr5.15719 transcript:KYUSt_chr5.15719 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSNINCSGHGAEIEALLWRQQELVTQLRALILPQLHDVDIGSAELALQLFDDVIGCNTSVVSKLFSARGGVSFEPVDDKSLVRKNSTSTTTTTAHDDGMDERLEQQTMHSRSAGRKRRRNEGKRSRSLVTNVPDYDGHQWRKYGQKKINGSQHARSYYRCTFTERNCPATKTIQQQDKDGGSANYSTDANGDEAAKYTVVYYSDHTCKASDIMSNNNIADHLPNLVDIDCPSTGKTEILTAGITEWETELDVPALLEVFKQFSS >cds.KYUSt_chr2.2059 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12371513:12375020:1 gene:KYUSg_chr2.2059 transcript:KYUSt_chr2.2059 gene_biotype:protein_coding transcript_biotype:protein_coding NAVPLFFLPILMPLLLCSRVLFSGVLRSDADDLVPELLPVDMTPHAADGRLPDESQSNPPRKIPKIPGNSNSHLHQPSPLSSMDWKSELASSIEAAVEAALQRSRYASDETASRRTSMFGEAGRRRIEREEERVRRDPVWAEKMARRHAAFPLPSTAAPAPSPSTTSVAIVHERSSFDPEPVTATSTSTSHASSISAGVVCDGDSDLAPPITCSTQCPGGDVTVPMFLAPAATYALSKATILVVPEHSYVDPEPAAADSTTTLTSPIAASILTVCVWCTVATSTPRRPPVRQQ >cds.KYUSt_chr4.41981 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259621646:259624472:-1 gene:KYUSg_chr4.41981 transcript:KYUSt_chr4.41981 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATDSEQRRLLSIPKEGERVIAPTRRPDGTLRKEIRIRAGYTPQEEVALYQSKGALMRKSGPDVPPGYDPALDAKPKTKAAKRNERRKEKRHQGGSTNDKGKSVDIEEIDAVETVKVPSSKTNKQRDTVDSVAEQIRGIAISEPPVMAATPSTNAADNLQAESSAPEIDKKIRALKKKIRLAEAQVQGEPEKLKPEQLEKTKKIEGWREELKLLESKRAHSAS >cds.KYUSt_chr5.43444 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273721740:273723620:-1 gene:KYUSg_chr5.43444 transcript:KYUSt_chr5.43444 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTPDGADPDIPSCVTASRAPEDSSTEAARRLQRVRANLRLPADRPFASPSADHDVGLRALRLIDFIRLDLPSSGVPRPDLVAELIANYSSSRGWSSVRGEQIKVSFESFANALCLPPHGTPAGSVAVADRAAVASAAREFTRVYIGAPAEAATAVKRRLPGVFFEKDASRGPEYTTELLWELRLVWVERPELFQLPPAVAPAAFCVCNQEADCGSEFDIDIDMASKKLDVTSNKTEAAKVMAAAFKRKQRLAHKRKSKRRYAIWAGCVRVLLNCGSRDCRPVELQPDRTRLCPDEDKEGDLNGLIVLVQLNFNGCSLPC >cds.KYUSt_chr6.4856 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28565166:28574401:-1 gene:KYUSg_chr6.4856 transcript:KYUSt_chr6.4856 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRPSCTCVFLFGSGQQRSSGDRHRAMGAASPSGAALAGWTVAAVLLQVAGLSLFLYSFFPVKPTLPGFSGAESYRAPSCAPVGGGEEPALPPDQLRSLYRELSEVPRVYDRLVLMVIDGLPAEFVLGRAGKPPSKEMMEAMPYTQSLLAGCRAVGYHAKAAPPTVTMPRLKSMVSGAIGGFLDVALNFNTQAFLDDNLLDQLHRVGYKLVMLGDETWIKLFPTLFHRQDGVSSFYVKDTVEVDFNVSRHLDSELAAKDWDALVLHYLGLDHVGHIGGRQSVLMTPKLKEMDDVIRRVHAAVTSLHDNSERTLLVVVSDHGMTEVGNHGGSSYEETDSLALFIGHSVENSYCSPYDQKETLQVDLTPTLALLFGIPIPKNNIGVLLPELFNSLSDDQKLRTLELNSWQILRLLQEQKPVFCSENCVNLKDDFRVDMLPESIDEKLCHLLSKAFASHRSSLLHQDSDFRSVEVTGHSRTALKAYSDFLRYASEWLSHRATDKPLYVLVSAISLMIISCFSLAGIIFCVFEGKSQSQVEHNSECDLNKHWHLDEAFILMGIFLYVASLGSSSFVEEEQYIWHFLTSTLYLIFLIKTVQSMLKDSNSTLVQRAAEIPRRNNSYLTSYKLTPGQLVGRKLYTILIILVAGRILRAWHQGGINWVHFSDISKILTQCDSSIVKSLQIISVLVVMVLYAVSLNLLRTRRMLVIGLWLSHLSCGLLVMLHIWKSQVNTSVLMNHSTTSIAQIFYVIASISITCTVLLSPWIFPIRFKEAEPTSSSGFNPQKAIHLHGINHSVFLTGITCTMFWCLLQLLLQQPINAIPVLLILLQLISSVIHFSLNKSLHRQWVQVVAMQFLGMTGHFGLGNTNSLASIDVAGAFIKDVLLACIDTDSPSSVEDVLVSEKLMARISYMAIELILGAHGLQNFLEKDKKKPEGVAHEQWEQDQKKVMKRPSYRPNNGTIFKKKLE >cds.KYUSt_chr5.1137 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7845835:7846062:-1 gene:KYUSg_chr5.1137 transcript:KYUSt_chr5.1137 gene_biotype:protein_coding transcript_biotype:protein_coding MYREKTAAEREEAAQRTQELWRNAYFEAPSPEWATGMDTRERACGLMWEVEHIHPTADSGDKKLEQDTQKAVHES >cds.KYUSt_chr4.11048 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67071997:67072356:-1 gene:KYUSg_chr4.11048 transcript:KYUSt_chr4.11048 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMKLSLTFVLLLSSGLAVVFGDAGDSGATCETIRCIQGGNITCANRPGEVIQACHCLCAPKYGKGCVLHLQSGSQENCTTKNC >cds.KYUSt_chr6.28401 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180013537:180017900:1 gene:KYUSg_chr6.28401 transcript:KYUSt_chr6.28401 gene_biotype:protein_coding transcript_biotype:protein_coding MMPNPIVSATMGVMNPLLGKLAALLGDEYKKLKGVRKQASFLMRELGSMKAALEKLELMGELDPLAKNWRDHVREMSYDMENCIDDFMSQFGGADANAGLVKKTAQRLKTLRERHRIGHRMEELKVLALEANERRMRYRIDDCVDSSSSVVPVDPRISAIYKEATGLVGIDGPREELVSRLVDIEKKLKVIAIVGFGGLGKTTLAKQVYNKIGGQFDRKAFVSVSQKPEMTSFLRGLQLKLGMEESSSICEVQDIIDRLRKHLMSKRYLIVVDDLWDQSAWNIISCAFPEDGYRDMVVDLTTVGHLFQLRYLKVSAASASIELPTEIHRLVHLETLDIDGRSAQSIPSDITMLRRLSHLILPHDTGLPNGIGNMKSLHTLRCYCMGKSSLEDIEGLGELTNLRELTLTKSYKFDMVKSGVDALVTSIGKLLDLKSLYLACNLEHYVDLLDSLSDPPLRMEKLRLRGWPFYKVPKWIGDLDCLHTLFLCIEQLSTDDIHVLGQLPSLVYLSLKVLCIPRERVAIICGGGLFPVLECLALRSRDDDVTACMEFKTGAMPKLRKLVLGIHDRWGGSAMPVGMVCLLSLDQIYVDNMSSVHDDRDLESTFRNAAQVHPRRPSVTIF >cds.KYUSt_chr7.12608 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77633791:77634942:1 gene:KYUSg_chr7.12608 transcript:KYUSt_chr7.12608 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLARAARFLVLLQIALFIVSAVIMSSSVCHGARGGGVIGFNPLDPHRPVCNGGTCPSPGNPYTGRGCRGEYDCPPAAVGYLTGALGAGADNGRQGELLLLELDEIRINVADGGLPLELLVGAHLVDDPQCILLLALARLNSLPLRDVVEIHDGYHIDFVVVDEVHVLEDLDRQGAGSSLASVPQSSSVGVG >cds.KYUSt_chr5.41160 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259798212:259798683:-1 gene:KYUSg_chr5.41160 transcript:KYUSt_chr5.41160 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGNPTLGADGGEAETISISGDDVVLAGGGAMNLFAARVRGAGAGSKEAGSAGGQALLELTPHRTAVCHLVQVCASPGAQEEGPLAGLPFPFESVAHHNGLGLFFFSLTQDATLFLVNDAKEIQQFF >cds.KYUSt_chr7.32359 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201594262:201595638:1 gene:KYUSg_chr7.32359 transcript:KYUSt_chr7.32359 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADLGWSSGPNALIFVSNVINAIANHCTKLGQDDYMEIQFFLNDLPGNDFNQLFRSLEQFKGSEGKYTASMLCFRAFKLLLHQAFSSSKRPSLPLILLPPLALSGGGVLDRACSSVNAAKCIRSVMESLVTSHFRETILDTLFMERRIAQHLEKEKTKFNVIDLTLKKM >cds.KYUSt_chr4.38231 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235744860:235754127:-1 gene:KYUSg_chr4.38231 transcript:KYUSt_chr4.38231 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAPARKMRAKKTKPPGMSNAEWAADEQRRDVETSARAERVKRAAAKRSAEAAQEEQARLISMAYSGGMFPGQWPTQTSSPSSFSSSLYSPSPTAVFQEGAYVQPSKPTPSPPEVDVGGGGLFEGTSPALRRGPLTFGAMAAPNEEEIHEMLANQGVRIALLKTTAAAKKRNTDLAFLMGGGDMELMDEETRNWYQGHRNDILRATPAEMGTGRRRGVQGDDSDEEYMVEDEDEELCASSGGEEAGGSDAEYQVDDEEEETPRPVKGARKGKSNPPPARSRRRRRYEDDDDDYSQEEDEEIGEQYPEEDLQEEEEEEEPTRLNGECGGRGQAPVPERSNRRRQEEDVDFDPDLDEGEEDRDMDFDPELEGDDDDECEDEDEEEEFNLSRTRKTQQRMTNTVRRRNPAPKRRSGTKKKSGSKRKVRSGKKRKATPAARRRRKHTAVERYMDGDDDDFIVEDDDQVEVSRNPRKKARFGRQDRPVPVAEADIWPAVDSDTSDFEFGTSDEDAETPMAEPVRVAARKGRRKKAPGSSSDSEFHVSDEGLRGVGEEVVERKKRALVLQSDSDSEYHVSDTQLEASREIVSKKSVSSSDSEFNVSDKELGHVRKDAKKKKKKRVFVSGSSSESEFHVSDKELGHARKEAKKKNKKRVFVSGSSSESEFHVSDKESGHVRKEPKKKNKKRVFVSGSSSESEFHVSDKELGHVRKEAKKKNKKRVFVSGSSSESEFHVSDKELGHARKEANKKKMNRAFVSGSSSESEFHASDKDLGCVREGEAKRKKRVFISGSCSDSEFHASDKDSRGKPQEDQPMLPVSVRRISLARNGEDKGKEKKEAVDAGKQTCGICFSEDQKMTPQGMLNCCSHYFCFPCIMEWSKVESKCPLCKRRFTTITKSSKVDLGLELKKTVIRVEERDQHILIVLAWEEKYLKEIGTVEDVDSLARGHHILEDLVIAILHRTASPTRNRGFFPSMAMRVDSAILAVVVTFLIPLRLLSFVVRLNTSGSAGDLRRSCTAFAIAAALLAVIFGLPRDHVGQTGHCVASVTNTGEDGMGLEVRLEIEQLKLQLNRLESLWENNSKASDGKGHGSDEGGEVVKAMELDIQALIKEQENIKAMLVKMHTEWENNSKASGDKGHVSEEDVGVVKAMGLDIQALSKEQENIKAMLLKMHTEWENNSKASGDKGHVSEEDVGVVKVMGLDIQALIKEQENIKAMLVKMHTEWENNSKASGDKGHVSEEDVEVVKAMGLDIQALIKEQENIKNAILSSSRSRTVQLHCTDHYCAVCGPTPALMVLK >cds.KYUSt_chr6.10426 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64313867:64317944:-1 gene:KYUSg_chr6.10426 transcript:KYUSt_chr6.10426 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLPSLFQVSAQLGRSGDCRNDTVMVADGVDVEDDNVEQEEDVDTDNIQSDVVEEATDDERLDCGFVDEVLVGDNSSYDYYGDSDLETIEEPVRRRHVKSVGKKKNGSEDEDESDTEDKRKFYWEVMEKTFVSEAAAYTFYNGYARQEGFSVRKFKFKETKGANKIVRRRRFVCSREGKRNSKFLTMDNRTRRLRPLSRCNCKAELDVKLDRVAARGAPKKRKRRDDGQGPVTMNGRPLSYDERGRGIRCTRCKKAGHTKRSLKCDLNPRHVAHIIGGQDDE >cds.KYUSt_chr2.7300 pep primary_assembly:MPB_Lper_Kyuss_1697:2:45834814:45835044:1 gene:KYUSg_chr2.7300 transcript:KYUSt_chr2.7300 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALTAATVGGVGPPPGRIGGGWLALTICSPSAAAPMPGHLAKVSRFSKMTGRSFLPRHCRGNLLYLSDTPPPVC >cds.KYUSt_chr5.8915 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56671560:56671874:-1 gene:KYUSg_chr5.8915 transcript:KYUSt_chr5.8915 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTRLSSEKAVVIFTPTNDCPMSHTVTTLFSGLGVGAAVHELNKDPRGRDMERDLARRLGRNPPVPAVFIGGKLVGSTDRVMSLHLGGKLVPMLKAAGAMWL >cds.KYUSt_chr4.34349 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210823762:210826098:1 gene:KYUSg_chr4.34349 transcript:KYUSt_chr4.34349 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMRAKLRAWRQVRSSVIPTATCSMSKTRVEVERLLCPDGSGLREAEDGGDACGVGGVESMVPQNQDVLAKVSTVEGLSSRKGTSFHGLELDSSGRSVSASNGQGNTTCE >cds.KYUSt_chr6.15862 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99798774:99799229:1 gene:KYUSg_chr6.15862 transcript:KYUSt_chr6.15862 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATMAPPSTSTSSVLFLRRLPSPATRGLSGPLPPRAPRLRTARLQVSLTSDVSSSSDVAAEEAEHAPKIGRRVRVTAPLRVYHVVKAPDLDIQGLEGVIKQYVGVWKGKRITANFPFKVEFQVAVDAQPKPVKLFVHLREDEFEYID >cds.KYUSt_chr1.31549 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191276209:191277642:-1 gene:KYUSg_chr1.31549 transcript:KYUSt_chr1.31549 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSLGLMQFHDHHQQYLYGSSASPYLPHHHGFIHTNEDHPCTGKDVPELPEPATVGIVGQMEEASGARGDGGVPVEERKDAGMRVEEEEAEEEDAHGMRMIALLMECAVAMSVGNLADANGALLELSQMASPYAPSCGERLVAYFARAMAARLMSSWVGVCAPLQLAPPACTAIHAAFRAFYNVAPFARSAYLACNQAILEAFRGKRLVHVVDLDIVPGGALQWLSLLPALAARPGGPPVLRVTGFGVSASALHDAGNQLAALASKLGIPFEFYAVAKRPGDVVDAVTDLPSRRPGEAVAVHWLRHALYDAAGDDDVTMRLMRWLEPKVLTLVEQERGPAGGDGGQFLDRFVSALHHYSAVFDVLGAARPADEDASRHLVENGVLGREIGNVLAVAGPARSGRERFGSWQEELARNGFLRASGGGRAQLLAGACPAALGYTVADDHGGTVRLGWKGTPLYAVSTWTWCAPPHARA >cds.KYUSt_chr4.7567 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45209151:45210035:1 gene:KYUSg_chr4.7567 transcript:KYUSt_chr4.7567 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPLPSKADLSLTLAPAAPSVGMVGAGAGAGAGDGGGAGSSSECVDGKDVRLFPCLFCNKKFLKSQALGGHQNAHKKERSIGWNPYFYMPSTSHHAHGNATAPAAGANSSSGPYGGAGAPNSPGAYSSAGGAVGSTASGVAGGGLPAHAYTMSSRAYAALPTTFPIASHSSYMVGSDRPPQQQQHYAPPEGAAAAGELYSGLQGSRFAAHNPQLYTSATASSGRALMSASDQPGAGRDELIDMLNWRRGCHGPTASAAATTPSPASTTTTLTTSGGNNGDAEEELDLNLSL >cds.KYUSt_chr2.15909 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100054129:100058044:1 gene:KYUSg_chr2.15909 transcript:KYUSt_chr2.15909 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFLLTPVGARLAPVAPPSTLSRLLLPLHLQTRRAHARHSPIPHRRSSSYRLFASSSAPQMAAPADAPGGSADAFEVIRAHQAKAARLPPVEEIRTMLDKSVRGVLATHSQEHVGYPSGSMVDFACDQDGSPILAVSSLAVHSKNLSGSTKCSLLVAKDPEDRTDTVITVYGDATPVSDEQKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATALLGSGEFSPAEFKEAKVDPISQFSAPITVDFAHMLDVDSLGINVKNGNSTGKMSFALYLWLHQN >cds.KYUSt_contig_786.75 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:463572:464360:-1 gene:KYUSg_contig_786.75 transcript:KYUSt_contig_786.75 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGDLDKLLYPTRDHESTSDLDCITMAHRMSIIVDVADAMEYLHHNNQGTMVHCDLKPSNILLDDNMTAHVGDFGLARFKDDSTTLSLGNPNYSSVALRGTIGYAAPEYAVGGQVSTAADVYSFGVILLEVFIRRRPTDAMFKDGLSIVKFTEINFPDRVLEIVDPQLLQELELCKETPLDLKESGLGYLISMLNVGLCCTKPSPGERINMQQVTTKLHGIRDAYLREN >cds.KYUSt_chr4.3441 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19626849:19627085:-1 gene:KYUSg_chr4.3441 transcript:KYUSt_chr4.3441 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVTLWCFPGSNSTMSCIPVSFRVERATSRVPVRPKSLDQYPARSPSAMAAPDPELLLLLVQVVANLSLDNILPAV >cds.KYUSt_contig_1467.194 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1284357:1289679:-1 gene:KYUSg_contig_1467.194 transcript:KYUSt_contig_1467.194 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVVGSMADLVVGLAKSVVEGALTKAQSAIEEESQLQQSAQRDLVFITGEFEMMHSFLNVATKERVENKVVMTWVRQVRELAYDVEDCIEFVVHLDTKTSKTNWFWRMIPSWCIAPPPTALALDKAVSEIEQLKAQVKDVSTRNSRYSLISDSGSKPVTQHHAAMAGTTALSSTELNMLAEARDAARRQQGLGDITHLITNKDNQDPHLQVISVWGTGSDLGTTSIIRKAYSDPEICKKFLCRAWVKLMHPFNPNEFVRRFMAQVYKNSCEEQGVDVGVHVLTKMKAEQGDLLKEFVKEVKTKTYLVVLENLTDMVDWDDVRTFLPDMMNGSWIIVSTQQFEIASLCIGGSYQPLELQQFSPEHSVCAFFKEVKRKNPACPLHDHKDEDLEELILKCGGLPKVIVSIAALLATQTVTLMDTVRALNDKFMHDLETNPDYDSLRGLFDWMYNYFRTCPDSLKPCIFYLSIFPRGHNIRRRRLVRRWIAEGYSRDSEEESAVDKGENFFSKLLDLSIIQHIPQLVTTAFSDTRMVTCQVNAFIREYIVSRRMEENLVFELGPNCVLTTQRTGRHLIILKGWDRDRIVFESIDFSRLRSLTVFGKWETFFISESMRLLRVLDLEDTSGLKDEDLKIMVQWLLRLKFLSLRGCKDISRLPNSLGDLRQLQTLDVRHTRIVKLPASISKLQKLQYVRAGTKAPASAPPASSSRLPEFIRRHGLVGVKVPRGIGKLTALHTLGVVNVDASGGKAIVEELKKLTQLRKLGVSGINRYNINEFFSETSVLVHLESVLVQLDEDSRGCLEKISLPWKNMQSLTLYGLQDMLPPLEMDTLKKLRKLDLEMDTLQSIGMEFLAKLPELRIIHLRVKQLVDGKLHFCAKMEGLELDTFEKVKILEVTCMSSRLHVSFGSKSMKNLELLKIDCSSAAYELTGQNNLLELKEVFLKGTDDEEVKTSVENLLADHPRKPAVKLGLQRSS >cds.KYUSt_chr4.22420 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140863007:140866570:1 gene:KYUSg_chr4.22420 transcript:KYUSt_chr4.22420 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRQPEFTIELAAVSAQGPGNDLSTYSTSQDSMNGSSSRYLLDGSPEPSTDVDFELLWRLRKYLVLLGVLAVGVTYNAGLTPPGGLWTLNKDGHDAGDPVLHVGYSLRYELFFYCNATAFAASLVLVILLLSKSVTRREMWLRAIQLTMMLDLFSLLGAFAAGSCRAPKSSIYIWILVFAVLVYVVIHTLVSRRSCGDFRKELKEKLHTVVDGILSRRGASVPDRQASSHPEKDVEEARKFILMLATFAGTITYQAGLNPPGGFWAENQYEHRPATSVLRSNYLHRYNFFVSCNATSFVASLVTIILLLSPELSSHGIRSKAVTVCVVADLFGLIGAYAAGCCRSKGTSLYVGVIGFIVWICFALLAGAFVCKPVADWLKKIKNLKCIDTFGRIFSLESDQNIPGNSEQDNSHAIDQHTAELAADMPQEDNASETELRVPEIKGDESHGEHQHADKQQIIIAEETVPRSEQLLVNGLRSENTKNIVYNQDQFTDYQSAAKDAVSDTGHPSIKCQQATNTVGSMSRVDNQSADNKQVENNKEQSSPTDISKTTVNIVDNMPNKQVANTKVQSSSTDELKNVAELVDDFSDEVMTDNHCNGATNGVTVQIVHSDVPTETSELEIVETNKTAVTLENGKNEEDPSEVTSHEDANGSATSEHLNKSRTYLLLLAILAVSLTYQSGLNPPGGFWSTTENNHLAGDPILEDTHHPRYIAFFYLNAVAFVASLVMIIMLLNKRVSDKATKRFALQITMIVDLLALTGAYAMGSSRKTNNSIYISFLLPGLVLAYVVIHVMIARVIPKEGKRLAAMLRQFSCKHVWPKGHQAGDVSGKDWERRRNLLLMLAVVAATVTYQAGMNPPGSVWSDDKRVSGTPGNPILQQNHSKRYNVFYYSNSFTLVSSVVITILLVNKASCEHGINSYALRVCLVVGLVGLLIAYAAGSCRKAKESIYLIIIAIAVLISLVIQVLILSSTQETVGGPLSTFVEEQLKRLLRLKEVRQVAVSEPQGSSDHHGKRERKRHKYLMLIAVLAASVTYQAGLNPPGGFWSDDVNHIAGNPVLHDIHPWRYRTFFIFNGISFMSSIVVIMCLLNKSVRKKDVPLQVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYGLVIAVVVYLVIAIGVASRIAKYLRQVGRDEQRPFPRHPGSASRTNSTVAQQV >cds.KYUSt_chr3.43548 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274829685:274846704:-1 gene:KYUSg_chr3.43548 transcript:KYUSt_chr3.43548 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPKAWKAEYAKSGRSSCKSCKSPIGKDALRLGKMVQATQFDGFMPVHVEPCQLHPQEENPDQIVRVSSKLEGQGWYHVSCFLEMSPTATVEKFPGWETLSQEDTGAILDIIKKGTASKEQTTSKGSKRKNGDNDMQDHKAPKLDGSVSEAAKPNKGKLVVPCDSNASSADLQQKLKVQSDTLWKLKDELKKHVSTAELRDMLEVNEQDPSGPERDLLERCADGMLFGALGPCPVCTSCLYYYGGHYQCNGYVSEWSKCTYTTTQPVRMKKKWKIPDEIKNDYLTKWFKSQKVKKPDRVLPPMTPQKSVGQSTQQFPIGEALDKLRISVVGQSKDVADEWKEKLKLAGAIFNARVTKDINCLVSCGGLDNENPEVRKARRLKIPIVRGDYLGECIRKNRVLPFDLYKVETTLESSKGSTVTVKVKGRSAVHEASGLQDTGHILEDAKSIYNTTLNMSDMTQGVNSYYILQVIEEDDGSECYVFRKWGRVGSEKIGGTKLEEMSKTDAIQQFKRLFLEKTGNPWEAWIQKTNFQKQPGRFYPLDIDYGVRQAPKRKDISKAKSSLAPQLLELMMMLFNVETYRAAMMEFEINMSEMPLGKLSKDNIQKGFEALTEIQNLLDNTGNQELALRESLIIAASNRFFTLIPSVHPHIIRDKDDLTMKVKMLEALQDIEIASRLVGFDGDNDESLDEKYKKLHCDITPLAHDSEDYKLVKKYLLNTHAPTHKDWSLELEEVYVLDRDGESNKYSKYRNNLHNKMLLWHGSRLTNFIGILSQGLRIAPPEAPVTGYMFGKGLYFADLVSKSAQYCYVDKNNPTGLMLLSEVALGDMHELKKATPMDKPPRGKHSTKGLGKTVPQESEFVKWREDVVVPCGKPVPASVRASELLYNEYIVYNTSQVKMQFLLKVRFHHKSRLSEMTTVREGLLNGPQEVTGNHQMISKIAKDPVTSLYTISIKADQSPLVVDLAGSLVWSTCPPSAAAHSTVQCESATCAMAKEQSPPRCRYVDGGRFWENRQPGSTECACTVHPLNPVTGECSTGDLTSLAMSANTTNGTMELRPEESFAVLGACAPSRLLTSLPVGATGVAGLSRGPLSLPSQLTAQRGFGNKFALCLPDFAIFGDTPVYLSVPDPRIYIDYTTTIPYTPLVTNPANTGGHYIPVKGISVSWHAADATAALPRGGLDIDVRTGRGGVVLSTTTPYTIMRPDVFRAFAMAFDDAIMRGKIPMTTMRRVQATKPFELCYNGAFPMLKRSGYDMPYIKLELGNGATRNWTLFNSNYMVQVEGAMCVGILPMGPRGMPVAGEPAVVIGGKQLEDNLLVFDLEKQRLGFSMLLPYQLSSCRSSNFFRN >cds.KYUSt_chr7.34743 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216899107:216902915:-1 gene:KYUSg_chr7.34743 transcript:KYUSt_chr7.34743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysin motif-containing protein, Pattern recognition receptor, Peptidoglycan and chitin perception in innate immunit [Source: Projected from Oryza sativa (Os06g0208800)] MPTPATAAAAALLLILAAAGGAAAKTTIEPCASADSCTALLGYTLFADMKASEVASLFRADPAAVLAANALDFASPGAANRILPKGLSLRVPTRCACNDGVRRSVSVRYAARPADTLATVADVVFAGLASADQIRSANGLAQEDPDALLDAGQLLVIPFPCVCFNSTDNNLPAVYLSYVVRVGDTVESIAAGHDTTVTDLSNVNAMGSPIVAPGDILAIPLPGYPRVFNLHALVTILFILI >cds.KYUSt_contig_686-1.604 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3656691:3657823:1 gene:KYUSg_contig_686-1.604 transcript:KYUSt_contig_686-1.604 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPTCSVAPLSKVQRLYDACDMVFSSATAGMPMPATLGGIRWLQHLLDGMAAADVGIDDGEKQPASMDGAEPSPKKSVRLLPAHGFTRITYVHIHQSDDFSIGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRVRSYDWVTAPPSSSQRKCGLARVVAADEVRRAPCETSVLFPRGGGNMHAFTAVTPCAILDVITPPYSEEHGRPSTYFNDVPIVSLPGFAFLEEVEMPEDLSVVGAPYLGPRITVDMDEDEDDDYYDEHYDDHDDYDDYHQ >cds.KYUSt_chr3.40904 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258193341:258196313:1 gene:KYUSg_chr3.40904 transcript:KYUSt_chr3.40904 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLDTESAQAHKAGDGGYTTAATAHAVDTDSWQQVGLLLVTGFNCAYVLSFSNLMMVPLGWWWGAACLLLVAGAAWYANWLLAGLHLVDGHRFIRYRDLMGYVFGTNMYYITWFLQFTTLLLGSMGFILLGGRALKAISAEFTGDSTPRLQWFIAATGAVYFAFAYFVPTISAMRNWLATSAALTVTFDVALLAVLVKDGRSSKQTDYTIHGTQQEKVFNALGAVAAILVCNTSGLLPEIQSTLRKPSVSNMRRALALQYTVGAAAYYGISVAGYWAYGAAASEYLPNQLGGPRWASVLINATAFLQSIVSQHLFTVPIHEAMDTRLQRLDEGMFSRHNMARRLVARAVLFGVNVFVTALFPFMGDFVNLFGSFALFPLTFIFPSMIVLKIKGECDGRWHMLWHWGIIVVSSVLGVATTAAAVRLIVHNVSVYRFFADI >cds.KYUSt_chr3.45642 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287516779:287522191:1 gene:KYUSg_chr3.45642 transcript:KYUSt_chr3.45642 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDGISMAEAAVSVDDGDDRARRKSFGVLLLEILSGKRNSGFHQYGDFLNLLGYSWQLWEGGIWIELLESSIAKEIHITEAKRHINIALMCVQENADDRPTMSEVVAMLSSESVVLPEPNHPAYFNLRVSKPSPAAIPVPGSGRLSCHAVQRRMVFFAQDDVLHPHLTVRERLAFCGMLRLAVADALIADTIVGNAFVRGVSSGEREHVNIAHELLVKPSLLVLDEPTSDSTAASRLVPTLSALSRKERTVLLSVHQPPSSRVYQTFDSVLLLAEGSQLAAVVSSD >cds.KYUSt_chr6.18905 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119036755:119037765:-1 gene:KYUSg_chr6.18905 transcript:KYUSt_chr6.18905 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSSAPRRRSPALDDVSTATPELSKNSVAGSRFHQLQLLGAGTFGVVYRARDRRTGEIVAIKCLRANSSTGHYFSAFASEVSALEKCSGHPSIVQPRASGLLGGEAFLAMEFVGRTLKHVIKHDRFRRRHTELEVRLFMRQLLAGVRRMNRLRLMHRDLKPENVLVDARGSLKICDLGLSCSMADGPPYSNPIGTPGYHAPEIILGATDYDERVDSWALGVMMAELLAGKHPFHGSSDADHLSEMLDLLGTADIKEWSGYEGRRLPGGSQSESFLRIKFPPPAEGMRIRGPPALSDAGFEVLSGLLRCNPDKRLTAAQALQHRWFKEATSTITRR >cds.KYUSt_chr4.15392 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95050139:95052725:-1 gene:KYUSg_chr4.15392 transcript:KYUSt_chr4.15392 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSTGQLLVILGACSVMMKPSDMIKMARVAGRMTGRAVGRLMLYRRQMDDIFEQTAAKKINTELQDAMSKLQSIGYEVQNLSRITPGQYIKRQHNTEGTAEAGTYDGSAPKPEEFRDEIRNMIRDEIQSFCRKNPDQLTRSLDNPDAIKNPASTAEARKLDITDRSTMATYKDMESADTSSTNLHSQAMMYARLSESPEIKMSSSKGVSCAEQFKESGGLLNVLPISAESAGLLPSRTGI >cds.KYUSt_chr2.54528 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340182914:340184944:1 gene:KYUSg_chr2.54528 transcript:KYUSt_chr2.54528 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLAGFPSEDDEMLAAGRAFESGCFMAAKVKLATYGEEGQFYPSRLDLDLPISEKRLSLWKPVSSYEGRPVIEVFLPPKQRACGKLELYSLKYNIAIVSFEKKFISVCPENISRKSPKSSGKVVAVGSEPIDGLLMASIGDVKPRDKGCKLDCKDLKVSTCKIKKVSL >cds.KYUSt_chr3.21200 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129955427:129957561:-1 gene:KYUSg_chr3.21200 transcript:KYUSt_chr3.21200 gene_biotype:protein_coding transcript_biotype:protein_coding MKASKIWFDGGEPHPGTDGVGRVDGQEIDGGDPQPGTDDGADPQLCIDVGGKKRSQCNNNERRKPNKSSIIPTINKVRCHGCQMQNPWGQTMFAVVRKPCHNGHA >cds.KYUSt_chr3.33441 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209936499:209941428:-1 gene:KYUSg_chr3.33441 transcript:KYUSt_chr3.33441 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRPPLPLSPEVVHPLDVDDLLHEIMLRLPPQPPYLLRASTVSKRWRSLATDPKFLRRFPIHHQKPPLLGVFSCTRGGMSFSSTLDPPYRIPPERFSMRPRIRSSQMCLDVRHGRVLINDDMRSRVIVWDPITDDRRVVAFPPQFSHMGIHSGAVLCAAGDRGHVHGACHSSPFKVVAIISNEHDDDLSDEDDDYEPEVLASVYSSETDMWSDLISTGFLGRGIDISLRSTLVGNTLYWLLESTFMLKFDLEAQRAAVTGRFAGAPRGGNLQIIQAEDGTVGFAALCDFHYRRCLQIWDRKIDSYGFPTGVLRQTVELQKILGLESWIDGKSYILHYMEDVQAILLQVQSSVYMIQLESLQPKKLFESTDNCIYRPFTSFYAEVLALTMDLQFDLVLAPNYGLPMIQGIAVQQPRQYLPSPIATSWSDMTSHRCRPSSVVLSPPLEDDDLLHEILLRLPPQQSYLLRDSLVCKRWRRLTADSHFLQRFHLHHQRPPHLGVFSQPGWSIIFTPTLDSPCRIPPKCFSLRLNNWLGWDLAECRHGRVLLLNWRKHQVMVWDPITNNCSLVDFPPEIYIIYTVAALSANDKGHVHGSCQLWPFKVVLLRTYVGDGDQTVTGVYSSNTGLWGDLTSTVLPDKYRGICQHPDF >cds.KYUSt_chr1.28774 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174019163:174023294:1 gene:KYUSg_chr1.28774 transcript:KYUSt_chr1.28774 gene_biotype:protein_coding transcript_biotype:protein_coding MTESWATADPPRLHSCAAASSSATPVVRCRGKTPPRSYPQATDTRGARRPADSAGHRAIVGAFAFTGDHLAGGKGLSLAHKSNSLEHPKQRPKISITDTRAEARGGRVPGPSGEGVASILSMQQLFEQMLLHRNDAACTARGFYTYEAFLAAAATFPAFGSTAEGLSVETRKREVAAFLGQTSHETTGGWPTAPDGPLTWGYCFKQEREPKSIYCDTTKPEWPCAPNKEYIGRGPIQLTWNYNYGLAGRALSLDLLNNPDLVAADAVVSFRTALWFWMTPQANKPSSHAVITGRWTPTAADNAAGRVPGYGVITNIINGGLECGIGPDPRVADRIGFYQRYCGILGVDTGSNLDCYSQRSFNLGVPSTGLAAQ >cds.KYUSt_chr4.23143 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145689418:145691133:-1 gene:KYUSg_chr4.23143 transcript:KYUSt_chr4.23143 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPAPLLPPPPPPGALPPSPFRPTVFSSTQRLLLSSRCPPAPAANTQLPEPPCASPPAPPAALARPCEEEGWQQVGAGRRSSRALTSPSPSREALERSLAFKRWARGRCFRCLERGHHVGTCREPFRCIRCRRPGHRERNCRRRSPVGRSPSPRRCSPSDGSPRPTQARSWAEVVRHSPPCASVPPSSPRGVGGDASVNAIRDSDLQAQFASLRMELLQLVADRIEEVTRPLRDEVAAIKLWLERAVGSWERAEEAATCGVRRAPVSASDARSGDAELLEFYGPFSPVRRPCDSSPLGFDVFRVPLEGCSGLAPFLPDAVVDKVASPAGPQSLISDDVEGFGLAEFFVEASASLSVEHSRLEETAFEHDVVVDVLAAPLVPFAADPEVADSTKLCDFLANLASKKLALMSPLCESLEEIPAACVVVPETVPAEDIQVDPGDPAADKLNAFLSSVFRPVPPPILTSPPPRRPRAPKEVATTPRRSGRIEKLKRLRKDATSQELLARVLGILKENAEFDDNALAAFIDKFKTPLSPRSIMMLGSLVKNVEKVKKPKGNKVGAKKKAVEIT >cds.KYUSt_chr7.23005 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142837753:142839494:1 gene:KYUSg_chr7.23005 transcript:KYUSt_chr7.23005 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGYQSNPVLDHWKAAKKVLRYLQGTKGLMLTYEKSDNLEIVGYSDADFAGCVDTKKSTSEGTLYVGFVTSNPENKFLSKELNKGDIFVFPQGLIHFQFNPNPYKPAVAIAALSSQNPGAITVANAVFGSKPMISDDVLAKAFQVEKNTVDWLQAQFWADNHN >cds.KYUSt_chr4.45621 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282305697:282306092:-1 gene:KYUSg_chr4.45621 transcript:KYUSt_chr4.45621 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSQSTAAVSHTSSPPSTAATNKPTMAVGKRHAAVLDAGHATEQGSSDDYYSKRRRVRVGSMEEYEATDVLGEGAFGIVKKARHCVTGKTVAIKFLRPDTDASELQEEGRFLEALRRKPLRRRIARLRP >cds.KYUSt_chr2.14037 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88811851:88815562:1 gene:KYUSg_chr2.14037 transcript:KYUSt_chr2.14037 gene_biotype:protein_coding transcript_biotype:protein_coding MERAIVSASAGAVNILLRKLGTMLIQEAQLLGGFRSEMQLMKDELESMTAFHQDVAERANSSKQFKIWMKQVREFAYDVEDCVDEFTHHLGSSTSGSGPAEFFHRCIFFLRTARVRRQIAKQIKELKVRATIISDRNSRYSGDHLISGAEGAFAAQPAVADTISLDVHIHAPFSEITDLVGIEGRQCNLVNWLVDERVEQLLVISILGFGGLGKTTLAMTTYQTVSATFQCQAFVTVSQKFDVKALIRDIIRQIIQPVERNCPTFTEEPLKGMEEWDAGQLANILRQYLEEKRYLIVLDDVWTISAWEGIRVFLPNSRTGSRIVVTTRSKTVTQACCLREHDKAYDIEPLTDSESRELFFKRLFGNRDNCPTVLTEISEKILGKCGGIPLAIVSIAGLLASRSVHSSDHWEKIYNSLGTELETSPWLAKLKKILELSYNDLPYYLKACFLYLCNYPEDHKVRRKSVMRRWIAERFVTEKRGLSALEVAENYFNELLNRGIVHPVDMSFDGKVKTFRVHDLMLEIIITKSMEDNFITFIGEQHSLAPQEKIRRLSFHGGSNKYIATSKMVSHVRSLSIFADGEILQFAWMKLLRILDLEGCEFARNGNLENICTLFQLEYLSVRNTYVTQLPLQIGNLKKLETLDVRDTGIKHLPPHIIKLPNLSNLLGWRRIYNYSGLYPLSEFWGMHIPEKLGNLEMLTTLAQIGITNSNSHSISELGKLSQLKKLGVMMFVDDDMNWISLISAIANLSSLQSLLIWRPHGVMNFRILDTLSRPPIFLKSINFRGKLGQLPKWIGSLANLTELTLRATELESNDHMKILALLPSLLYLRLHHSAYTGTHLAFYASEFTSLTLLTIHLGVKQALNLRFEEGTAPKLHRLELSFFEEASIQRPSGINFLSNLQEVLIHADRDDNSAGMVQYLMDEASRNPNKPTVTFKAKQWKPTGTRRDPPIDYMGNTWF >cds.KYUSt_chr1.17764 pep primary_assembly:MPB_Lper_Kyuss_1697:1:103496047:103499443:1 gene:KYUSg_chr1.17764 transcript:KYUSt_chr1.17764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to carboxy-lyase [Source: Projected from Oryza sativa (Os10g0479500)] MRQSRFKRICVFCGSSQGKKRSYHDAAIELGNELVARGVDLVYGGGSIGLMGMVSQAVYDGGRHVIGVIPKTLMTPEISGQTVGEVRAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINTCARRIIVLAPTAEELMEKLEDYVPYHDRVASKLNWDIAAEIEPLPLAYLH >cds.KYUSt_chr2.54663 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341042009:341045483:1 gene:KYUSg_chr2.54663 transcript:KYUSt_chr2.54663 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVLYPVSYAAFFGICRCISITPMKRKVEWRYLEHDCILFMLTVPFKFDIPSPDDMVSTGLKSSRHLRRDFVDITVKKAMADDDDLVTEKDTNTDPSSVMNLDEAGGSSSSVAADFQDKTLALDNELQNLSLERKIKSKKAKIKKPNHVSQYKPERWMLQGEDQEMPRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKNEKEAKEKGKGSFAYAWAMDESADERARGITMTVGVAYFDTKNYQVNLLDSPGHKDFVPNMISGVTQSDAAVLVVDASIGSFESGMGINGVGQTKEHAQLIRSFGVEHLIVAVNKMDSVEYSKERFSYVKSQLGIFLRSCGYKESAISWVPLSAMENENLVARASDTRLTSWIDGSCLLNAIDSLSPPHRDVLKPLRLPICDVISSHMLGQVAVCGKVVSGAIRSDSKVLVMPAGELATVRIIERDSSRLSLARAGDNIAIGLQGIDPIHVMSGGVLCHPDYPVSVSSSLELKILVLDITVPILPGLQLELHVHHAKVSAGLVRIVSLLDQKTGKALAKKPRLLTARQAAIVEVKLERVVCVEEFSALKALGRVFLRSQGCTIAVGVVTRVHELQEEA >cds.KYUSt_chr5.32808 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208026764:208029409:1 gene:KYUSg_chr5.32808 transcript:KYUSt_chr5.32808 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALSSRIALSANHHAVGGDRSSIYRRRSTNVAFPIVITAAGKGGGVLDRPAEKVSPGRQSEFDVKKSRKMSPPFRVVLHNDNENRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGMAVVIVCSQQEAEEHCTALRGNGLRSSIEPASGGC >cds.KYUSt_chr1.26740 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161202370:161204014:-1 gene:KYUSg_chr1.26740 transcript:KYUSt_chr1.26740 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATADAPAAADVEKAGVSGGGDAGASGGGGAVGAIIGRWRRQDLLDKSGSALRAAAWAFSLLAFLIMVCNEHGDWKQFDRYEEYRYIVAVGLLAFVYTTLQLVRHGVRLNGGQDLQGKVGLLVDFAGDQVTAYLLMSALSAAVPITNRMREGADNVFTDSSAASISMAFFAFVCLALSALISGFKLSKQTYI >cds.KYUSt_chr7.13693 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84486787:84489594:1 gene:KYUSg_chr7.13693 transcript:KYUSt_chr7.13693 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPTPEQPTAPPPSCPDYFRHIHSDLERWRVSGITREAVERAQPKAAFRLTVVSGRAYVETYHRAFQTRDVFTQWGILQLLARYPGRVPDVDVMFNLEDMPEFRAADYPDPATAPPLFRYCKDGSSLEVLWPDWSFWGWSEVNIRPWAPLVEEVGEENTRLPWQDREAYAFWKGNPYVSEARRDLFRCNNDSAAGKEWNARLFKQDWDAAIRNGFKDSNLAKQCRYRYMIYVQGRSWSVSEKYILACDSPMLAIDTPFRDFFSRGLVAGKHYWPIDPADKCRAVKFAVDWGNSHPAQARRIGQEGSGFAREEMSMDYVYDYMLHVLKQYAALLRYTPTVPEKAVELCPESMACPAQGRDREFMMQSRERYVATYEPCTLPPPFTAEEVTRMAAREEDVRRKVAKMEGRQFPELTMDAFANALRPNKFTGVHFKRCQIKAALWLTHLKVFEVSNVLPEGTIPEQDQKKFKEDSTLFVGCVLSILVDRLCDVYMHIVDGKELWDALSAKFGSTDVGSELYIMKSFHDIMMVNNRSVVEQANEIQCIAKEFELLKCALPDKFVAGCIIAKLPPSWRNFATTLKHKTQEI >cds.KYUSt_chr3.32585 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204866566:204867481:-1 gene:KYUSg_chr3.32585 transcript:KYUSt_chr3.32585 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDRRAKKARAEQPSDQVEPAGGGAIVDTSLLNCPLCSRPFKPPVLQCKGGHLACGSCITELPGIQCQKCEHGGAFDIHNIMMDTIVLSAKVKCSHADCQSYVIYHELHHHESTCPCAPCFCTEPGCGFVGLPLALLSHLTTQHSWPMHSIEYGKELRLQVPVLEPRHLLLGEEDDCVFLLVVGAVGQSTATSVSAVRLGACPALQPRYMLNILAYLPPAVASRRAHMLLLDMDVESSTRPGEVAVEELPSYLMVPPTYLVGAGASKEVSLNIRIDKIIS >cds.KYUSt_chr6.12279 pep primary_assembly:MPB_Lper_Kyuss_1697:6:76678238:76678906:1 gene:KYUSg_chr6.12279 transcript:KYUSt_chr6.12279 gene_biotype:protein_coding transcript_biotype:protein_coding MPWGILLPVSSSAFWWRLMLMRLLVELLWKEPEAGGGATSTSLSTSIKLEVEAPNRRDLEPCFFRSCSHGDLHEEEKVLAAPCSEALRDRGVCVCTLEASSSCGVHQRRQRYVGVIFGDSSHSTPGSDELPWTAFLLVYRPYWRIFIAYSTGFITYVAPSGMFPGGCAGSRSWRFTGCGGKNQGSDCFSPFSFRVLSVKVKAQAIFLYVLRGLSTTLYRPLD >cds.KYUSt_chr3.19797 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121875910:121880086:1 gene:KYUSg_chr3.19797 transcript:KYUSt_chr3.19797 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGEGVEGWEAAVRAEVGAGWWDDPDGDDLRARFKAFTGQRCDWPEPKLLFWKDLILRVARRLRLCSAPAHLVTSVWFARPGGITPLCLPQVLEEMRADGEILLKSELIDPAAGSLYQILSRLSQMAISKRPIAQEDILVFRSLVEERFEDIVRQLSGSHWTSMCVVTISKFNTFFVGREEAHAALCYLSQRGKARYLVVRKEDPLEGVKFPLVSAQAPAVSKLDSDTLHLVWAEERLQQQLDVLDRRWEISRRRALMYFKGGNKKAASRYVRQSKLVSESRNKCTTLLERVEEVISLVSAAESTKQVHEAIQIGIKAMKEHDVSIEDINTHLKEVDDLVAAQREIDAALELVPLQSLAGEEDIEEQFRNLEAELLDKIPDVHVEEPEPVLHATEDSPDETAESLSDNLSKIKLGAI >cds.KYUSt_chr3.27947 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174392906:174394486:-1 gene:KYUSg_chr3.27947 transcript:KYUSt_chr3.27947 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSLSLLFAHPHSRHSSTPHRLGRSHLRLPLLRARCASDGAASASTTATKHRRPAEENIREEAARLRGPATTFSAWYEPFPPAADGDPNERYSLDDVVYRSTSGGLLDVRHDMDALARFPGSYWRDLFDSRVGRTTWPYGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERLGRDHLGGMNDLWVKQCGISHTGSFKDLGMTALVSQVNRLRRAPLSRPINGVGCASTGDTSAALSAYCAAAGIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFNWQVPDWVIIPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRYYKSGWTDFQSLVAETTFASAIQIGDPVSIDRAVVALKATDGIVEEATEEELMDATALADLTGMFACPHTGVALAALFKLRDQGIIGTNDRTVVVSTAHGLKFTQSKIDYHDKNIKDMLCQYANPPISVKPDFGSVMDVLQKKLNGKI >cds.KYUSt_chr3.46894 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294613265:294614701:-1 gene:KYUSg_chr3.46894 transcript:KYUSt_chr3.46894 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERKRKGKEAATAPKAPPPEPVTAKFDLGNGRRPLCAPLQQKIGDVPILHFNLAASDFLAEYIRVIDLTLTRAEAIAPATLLGRAITPIANPCNRPKPYPKNWDFRDGNSHYIIEMRCTQTKNGKFIKLLDGMRVRILFCDYNGYQIGFSRYLDGEWSVWYYCSNDFPLPFFLRKDAIKLPFEGDYTSMPDIGGQETFVNIFRRFGKYPEERSRDFERVFLASAVVFCEVRRIVWIYLEVRRRILDKQPPYPLDCNLPGRERTQEMAWTEITDWGTDCSDALKAVKDGEYIANTPENGYATKARPVIMSFGELISLDKESGHLGLLMRDDRILAPNGCPLLSKLEKKGLTLGPQVADPGFPDDPTPHEDREDGGSL >cds.KYUSt_chr2.17726 pep primary_assembly:MPB_Lper_Kyuss_1697:2:111692856:111699646:1 gene:KYUSg_chr2.17726 transcript:KYUSt_chr2.17726 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHSSEFVDPEAQQYEEDPSDQPSVTSASGQASPVSPACDSHTPGGPRAHVSPPASPRVSASPRVESPAPSSHAVQDSPGAMSPAGSVGSSVASSGEGSAAGGESSEENSDDTNSGNNSDNSAATSPPPPSPPGVHTRLQRGIRNPKQYTDGKVRYGMLSSTGEPLTLKEALNDQNWCKAMEEEYNALLENKTWHLVPPNRNKNLIDCKWVYIIKKKDDGFIDRYKARLVAKGFKQSWRFAVRSSPVFPGQIRRLLAFCLLAVLVGGARMASASYSSNKVCGGCEVWRLRWLSPLAGRGGEGRRPSALQQLLQAQGPAARSPACASDAGYPSALIWCRRLWWEATAVFVEGGGSLNVCARFGSRFCKIRKWLPLLAGRGGEEKVVPVEVLCSPVSRSARQSAENLQLLYFLFTVRQGKGDEGGSMCSWVLDDGCWQGLFLQAFPTSDDKLRGRNSSISPQEDDVADLAHIFNTCPCKLCKPEILNCYGHRVCDLDCCTGQFTSARKRFESDLANGPVIILFLDGFRITMPTPVSIDYHHRRTRARCLQMVKEMNMIQLQSGCHMLLPCQVADHAVFAFFVPLGCIRRRQMRESPMSLPRFSGEDPIDLSSVRHPLDLQLNPQPQRRLPTRLLVEPLALRLEEHQVLSEFVVVLKGDPLGIQRLPDKFTEFVAGNELAALHLREAGCDCCRWPVDVLFDGRGKMYLHTGWRSSRATTTSKPATCSHSPTWATLI >cds.KYUSt_chr6.29409 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186397927:186400350:1 gene:KYUSg_chr6.29409 transcript:KYUSt_chr6.29409 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQPSPPPRAAGGDPENPPVAPAPPPAQQQQQQRAGGSDWSEEEDPDSPPLPPSTTTVAISNAAQYIPPRAAERTSEVAGDGRSWYSWNGTRTKDRRRPPPPRQQQPQPPPLYPQTRPQPQQWVPPEPKLRPQLPHVQASPPPRGGPPPVPTSATARSAERDRRVVPDVMYRQRRTAALQRTAMVARVAAAGLCLAALAVLAADTRKGWALDSYSNYTQLRYSEAVNVIGFLYSVFQFFAIAAHLTRKKHLIPRPKGDYFDFAMDQVLAYLLISSSSSATARVSDWVDNWGNDPFPKMANSSIVISFLAFMVFAINSLISAYNLFRRDL >cds.KYUSt_chr7.36691 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229290469:229294284:1 gene:KYUSg_chr7.36691 transcript:KYUSt_chr7.36691 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNWVSGAAVACAVLLLTTTCHAGQTSEYRRQLEQAIDMPLDADVFRAPAGCNTPQQVHITQGDHDGKAMIISWVTTIEPGSSTVLYGTSEDNLNHSAKGKLTQYTFYNYTSGYIHHCTIKKLKFDTKYYYAVGTKETMRKFWFRTPPKSGPDVPYTFGLIGDLGQSFDSNVTLAHYESNSKAQAVLFVGDLTYADNYPYNDNVRWDTWGRFVERNLAYQPWIWTAGNHDIDFAPELGETKPFKPYSQRYPTPYKASGSTAPYWYSIKRASAYIIVLASYSLHMYTWLRAEFAKVNRSETPWLIVLMHAPLYNSYYYHYMEGESMRVLYEPWFVKYKVDLVFAGHVHAYERTHRISNIAYNIVNGLCTPVRDQSAPVYITIGDGGNQGGLATNMSEPQPGYSAFREASFGHAILDIKNRTHAYYAWHRNQDGTAVAAESRWFTNRYWMPTDDSFDDPQ >cds.KYUSt_contig_528.424 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:2662427:2663342:1 gene:KYUSg_contig_528.424 transcript:KYUSt_contig_528.424 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALHQTTSFLGTAPRRDDLVRSVGDFGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPEILSKNGIKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWATQVVLMGFIEGYRVGGGPLGEGLDKIYPGGSFDPLGLADDPDTAAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVNNNAWAFATNFAPGS >cds.KYUSt_chr2.32211 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198736883:198748801:1 gene:KYUSg_chr2.32211 transcript:KYUSt_chr2.32211 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGPSMSAADGLLALAEEAERRRDFSTAASCLDSALSPPHAASLLPLAEARARLRLAALLLARSKGLATAKAHLERALLLLNPLPSAPPRLKLLAHSLLANVYGLLGAVPSQKHVLRRGLALLASASASGLLPAEPSLLWTSNFQAQLASAFAAEGDAASALSALSEGAAAATELESPQLDLFFAANTLHAHLLCWEDSNSVADAAARVADLWDALTDQEKEHWVGLFFYTELLQTFYLLRVCDYKAASKHVERLDTAVKSEMQRGQRIKELGTELSAVERSLAQPMLKERERAALVHKQRQLKDQLRALCGYDELNDVLDYGDKLLLAPPPMHGEWLPRTAVFVLVDLMVVMVSRPKGIFRECGKRIQSGLQIIHEELSKLGIVDGVTEENLEHSAIWTAGLYLTLLLQFLENSVAVELTRSEFVEALEALAQMKNWLTRFPTILQGSESTIEMLRGQYAHSVGYFDEAAFHFLEALKLTENKSMQSMCQVYAAVSYICKGDAESSSEALELIGPAYRTMDSFVGVREKTCIIFVYGLLLMRQQNPQEARTRLASGLKIAHQQLGNIQLVSQYLTILGTLALQLHDTGQAKEILKSSFTLAKTLYDIPTQIWILSVFTELYRELEERGNELENSGYASKKEIDLQKRLTEARSHPFHQELVEKVRIEIQPMHDLMQKHHEMPGSVANADLDIPESVGLSTPQPSSVRRLIDTSSVRRSTRRRVSACFYARRLVRLFNGLVADAVVAASAAQEAVRPTQLRRHFVLQPSRQRPSSPSRGAVADDDPAAVRPNDRRDGCHEEKRSEEEDAGWPVSGHCSTVYGGRALALGKTFGAWFVLVITVMGAVFWLMGA >cds.KYUSt_chr5.4545 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29070254:29071165:1 gene:KYUSg_chr5.4545 transcript:KYUSt_chr5.4545 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAMEMEAGDPPGVGEDGGGVDVISDLPDDLLGEIITLLPTKDGARTQILARRWRHLWSSSPLNLDCRGLVKHRIDLPGVVSRILTSHLAPCRRFWVDLHDLMRIGATEDEFLRMNAAVDQWLRSAALCNLQELGVSLSYHLKHPIASYISRLSTILRVATIANCNLHDSTVQGLRFPQLKQLALESVRISEYSLHHMIAGCPALERLLINCSFGFRCVRINSLSLTSIGVHVWSTWYNELKLEELVVENAPCLMRLLHLDQNVDGEHLHISVISAPKLETLRYLSNFTKISFGSAVIQVAS >cds.KYUSt_chr7.1676 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9531753:9533375:-1 gene:KYUSg_chr7.1676 transcript:KYUSt_chr7.1676 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLYLLILLPLLAIIPFLCLNRRRRSCAAVRLRLPPSPWALPVLGHLHHLARDQPHRAMRDLARRHGPLILLRLGGLPVVVASSADAAREVMVSRDVDFATRHMSRMVRLSIAQGAEGIIFAPYGDEWRQMRKICTVELLSARRVRSFRPVREEEAGRLLRAVAASPGTAVNLSELLWAYAADSSVRAIVGSRFKDRDAFMEILQRGLKLFAGMSLPDLYPSSRLAMLVSRTPGRMRQHRQQIAAFMDAVVQEHQENRAAAGEEEDLLDVLLRIQQEGGLQFPLTTANIKSAVGDMFAGGSETAATTLQWTMSELVRNPKVMRKAQDEVRRALAGQPTVAEASLADLSYMHMVIKEALRLHPPGPLLLPRECRSDGCRVLGFDVPKGTMVLVNAWAISRDPIHWGADAEEFVPERFESGGVDFKGSDMEYTPFGAGRRMCPGMAFGLANLELALAGLLYHFDWKMHGGADAGELDMTEEMGVTVRRRHDLKLVPVVRVPVPLD >cds.KYUSt_chr1.22214 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131353595:131356033:1 gene:KYUSg_chr1.22214 transcript:KYUSt_chr1.22214 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLPLFRSSSSSTSRRSRSWSAAASFAAATERVRAGTLSPEEAHQLFDELLRQATPVPERSFNAFLAALARAPSYDDRRIGPTLAVALFNRVCREDAGLLVAQPTGCTYGILMDCCCRARRPDLGLAFFGRLLRIGLKIDQVTANTFLKCLCEAKRTDEAVNMLLLHRMPELGCVPNVISYNTVLKRLCEDSRAQQALDLLQMVAREGGGCSPNVWTYNTVIHGLFKEGEIGKACNLFHEMRRQGVAPNVVTYNLVIDALCKSGATDKAKLVLRQMVENGVQPDGVTYTCMIHGHSTSGRWGEAAKMFREMTSRGLIPGIVTCTSFMASLCKHGRSKEAAEIFNSMISKGHKPGIVSYLILLHGYANEGCLVDMINLFNSMKDNGIVPNCKVLNILIGAYAKRGMMDEAMLIFTEMHGQGLSPDVVTYIILIDALCRMGRLADAVEKFDGMIDVGIQPNNAVYQCLIQGCCIHGDLVKAKELVSEMMNKGIPRPSMSFFTSVISNLCKEGRVGHAKDIFDLVIHIGERPNVITFTSLIDGYCLVGKMDKALRVLDGMESAGVEPNVVTYNTLLNGYFKNGRVKDGLALYAEMTRKRIKPDIVTYSIILDGLFRSGSTNAAKKMFREMTESGITVDIFTYRIILGGLCRNNCVGEAIELFQELGAMKVKFDITILNIMINAMYKVRRREEAKNLFAAISTSGLVPNVSTYGIVIKNLLKEGSVEEAGIIFSSMEKIGCAPCSRLVNNIIRMLLEKGEIAKAGDYLSKVDGKSISLEASTTSLMLSLFSRNGKYREDVKLLPAKYQFFHGLG >cds.KYUSt_chr4.37550 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231399646:231400761:-1 gene:KYUSg_chr4.37550 transcript:KYUSt_chr4.37550 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRAAGLAPNWSALPMDVMYRVVGRLPVGVDRLRAAKVCRQWRAAVRRRRRRHGNGPVVYLALVDGKVFSFPGAKPARPSRCPGYLGVCNDQLLFEDREYCCYRLVSPFTGRATALPNLSSIRDEQMSPDMSIRKMIVRPDGLIAAIVGREHFAKVALCSLEHFSWSVGAGDQWRWYEDMVYHDGKLYALVANGGDLLAFDLGYEDNGEAMISGVKTVITGSGYSGLRGMRYLVKSRSGELLMVNKIMNGAENPYAFELYKAVLQSWGSSWERVTPLDCDEALFVGRLSSRAVHADREGFEGYQIFFLDDTVGMSSRTSAPWVQPSHHAGVYDMLTGNITKLLPRESTKNEGPSPATWLFPEDSDDDDE >cds.KYUSt_scaffold_6468.511 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2449218:2452865:-1 gene:KYUSg_scaffold_6468.511 transcript:KYUSt_scaffold_6468.511 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREIMDDSSTGSRRLLPPLYPYSARTTTNLLPRGSTSAHQLYSRPHLYQQNPAAAAAHFSSQVSPATRAYQLPPSYTAHASSSGGGGAGASVAYGSGCAAASSSSYQLPPSYASAGGASIDHTMPRGIASGSGCAPAGSSSYRWPPSLLPQIQSSDGRSVVDGGSAACDASHQVALLGVGSSSYQTPPPSAPALDPAGMLGGGLGGGGSSSTTMPGHGTFHSSLHTGMQAEASMVPPLSSLNNNLMPSLSPSPSPTPLLTDRAATAGLTTQEKYNLPPLPPSLGGPPLLHTAPGSNVDQFVDLDGLCSGLNNSGSPCPPLASPNLPLQVPVAAPANALVNWSSWDTSQLLANSTIPSGSNSSMWMPQPYPAVTPSNSLPAPQLPQPNNGVKEEAVGSSSHPSRLQGKNAVTTGAGSSASTPQPTHSSAMVAGSKKKKKLSSSSGAPGSGIYSKNHNGDPMFNQDDIIKILADKRLKDLVEEEPKTVRGILNNRRNSSKSSKKRKAYLFNLEHKVHLLQQQKNSLSEQVITLQSTTISLIAEKNEMTTKLHVFEQQAQLANAQNEALKAEIHRLKLAAGETMGSHVTSNSYQGTSSQMMQ >cds.KYUSt_chr3.31925 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200525641:200526202:1 gene:KYUSg_chr3.31925 transcript:KYUSt_chr3.31925 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPKLDAPVITPQELAEADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTALTTVTQLTHHGMVFVPVGYTFGAKLFDMEKVQGGSPYGAGTFAADGSRWPSEMELEHAFHQGQYFAGIAKKLKGSA >cds.KYUSt_chr2.27011 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165265578:165266231:1 gene:KYUSg_chr2.27011 transcript:KYUSt_chr2.27011 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEAVLRLDANGTPRTIRLLAALVDFESRHFADAASKPAENDLVRAFRGGATPAVPISEFLVRIQRSNYLFDGAVYVLAGAYLARFMRTRAARDAGILVEPATAHRLVSVAVLLGAKFISPRHFERRVEAFQICSDKSIRASEISRLELLFLRAVDYRIFIGAEEFQRFFKVMERGPKPPNASSGSQKRKAETAPDREEKKPRRLPACQPPAVAS >cds.KYUSt_chr5.38175 pep primary_assembly:MPB_Lper_Kyuss_1697:5:241218608:241222191:-1 gene:KYUSg_chr5.38175 transcript:KYUSt_chr5.38175 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLDLVHREREERFRQIHDGSTSSKDSPCLRHDNFHDGRKRGYSGTDLSEDIWCHIHSLLMLRDAARAACVSHAFQRSWRCYPNLIFDSETLSPLWDDMDFSSRVDHILKKHSGIGVKTFELDFSSYYQPEAFKYLDRWLQIAVTPGIEKVTLVMPKNEAACNFPCRVLSDGNGSSIWHLHLVDCAFHPTVSLGCLSSLTVLHLDCVQITGDELGCLLSISFALERLKLRRCSEITSLKVPAWLQRLSCMQVLECRRLRIIKIEAPNICSFHFTTFDQVEVSLGESLRLKNLEMLCCRLLCYAREELPSVVPNLESLSIWSRSEVLVWPECINELLSEDPTHLRQMSGYQHDKLQRVKISRFYSSKSLVELTSHILENSPSLECLTLDTTDGVFRCSEGRAARCFCLGRPTEAHKAVLVIGKYFEGKIPSTVKLNVVESCSRCHAVEL >cds.KYUSt_chr3.2992 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17229659:17232065:-1 gene:KYUSg_chr3.2992 transcript:KYUSt_chr3.2992 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHRVAGASIALEKPPAPYGAHRCEPIFSPVPQNAIGDAIGATGGDALTCASVACYGICELIALAVRLYVQIIFGHQAAAYGTIPGGGGQPGEEEADFIGDICKCRGEVRRCRDKDAELLLVAYTIRVEGLLKLLPVLTDPTWHNSDGLLLPMTCSWFKSYQRMRRRDSMALQEEVSTVAANQL >cds.KYUSt_chr2.47367 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296349420:296351948:1 gene:KYUSg_chr2.47367 transcript:KYUSt_chr2.47367 gene_biotype:protein_coding transcript_biotype:protein_coding MFQMRLLLLAAVSIVFANLQFPRAQGMELLLSCGSNATADADGRRWVGDMAPGLNFTLSSPGIAALLAGSSNGTEVFGPVYRSARVFTTAAWYDFAVLPGNYCVRLHFFPATFGNFSANSSVFDVVANQFKLVSKFNVSEEVVWRSSVSGSAVTAVVKEYFLAVDTHQLQIEFAPSPGSFAFVNAIEVMLTPDNSFNDTVSRVGGVDASELSSRAVETMYRLNIGGPALASSLDQYLHRPWHTDEAFMFSANAALTVSNTSGIRYVSSNDSSIAPIDVYETARIMSNNMVVDKRFNVTWRFFVHPNFDYLVRLHFCELVYDKSSQRVFKIYINNKTAAENYDVYARAGGINKAYHEDYFDSLPQQVDSLWLQLGPDSMTSASGTDALLNGLEIFKLSKNDKLDYVLGHIDMGNHRRNSKGGKRISLWEELVIGSAAFVALASVVLFSWCYVRKKRKAAHKEVPAGWHPLVLHEAMKSTTDARASTKAPLTRNSSSIGHRMGRRFSIVDIRAATKNFDESLVIGSGGFGKVYKGEVDDGITVAIKRANSLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILVYEYMAKGTLRSHLYGSGLPPLTWKQRIDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDKKFVAKIADFGLSKTGPTLDQTHVSTAIRGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVACARPVIDPTVPKDQINLAEWAMRWQRQRSLEAIVDPQLDGDYSPESLKKFGDIAEKCLADDGRTRPSMGEVLWHLEYVLQLHEAYKRNLDCESFGSSELGFADMSFSMPHIREGEEEHHSKQSGIREDSAP >cds.KYUSt_chr1.21861 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129080417:129081925:1 gene:KYUSg_chr1.21861 transcript:KYUSt_chr1.21861 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGARAPPLRAARWFLHSRRLCGDHSRNGVERRNTGQQGDGSIDETAGEGGLDAGTLSPELLLRIPPPQPPPGQPESDDDDAENLSSGSGSGSRRIPREELRLADSILRILLQDGPGFSARQALDEMNLRVTNRLVTEVMFRFVVSVDSVNRERYPRLAYKFFLWAGQQEGYRHSTSMYNRVLKVFAQCGEVKAMWGLLEEMTEKGLPVSARTFHLLICTCGQAGLRRRLVERFVKSSSFNYRPFRTSFNAILHTLLMIKQYSLIEWVHQKMLTDGYTPDVLTYNVVMRAKYLLGKLDQFHRLLDEMGKNGLTPDLHTYNLLLHVLGKGDKPLAALNLLNYMSDVGCVPSVLHFTNLIDGLSRAGNLEACKYFFDEMVKKGCEPDTVCYTVMITGYVAAAEFEEAQNLFDDMLVRGKLPNVYTYNSMIRGLCIVGEFDKACYMLKDMDLHGCTPNFSVYSTLVCRLRNAGKDSEANNVIKYMTKKGQYLHLLSRFGGYRRC >cds.KYUSt_scaffold_6468.973 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4723864:4724672:1 gene:KYUSg_scaffold_6468.973 transcript:KYUSt_scaffold_6468.973 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTVTPKVEDTVLQCSSCSDPLVPLQCDDSHLVCESCFNTTPRCKKGKTNHGGELTNEPACTCTETDCHFTAAPPQLVAHLEAVHSIKVHSIAYGDTKVLTEMVPLACSAYRRAIIIGADSAVFVLTFGAVEHFTLVSAVCVRRKECESPVYRVRMWADIPYRFNGFGRDGRKNIASVDLDASSSNKPSDIAFRDVTSYLAVPPSYLVYNILEGQSAGCDTLTFSIRIDCIADASSC >cds.KYUSt_chr1.39970 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244734355:244735206:-1 gene:KYUSg_chr1.39970 transcript:KYUSt_chr1.39970 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAPGSSHQPPRDASNILENVWATIIAETPSGCTSTPASSTAAVSTSSGDQAGADILRRLPSLGRWISMGADEWDELLNLAAAPSHDDEQPPLMAASPGGEAQSTTSKAPSSRSYRGVRRRPWGKFAAEIRDTRRRGARVWLGTFATADEAAMAYDVAALRMRGPRAHLNFPQETVQRRLERDAQLQAAGSDGHQATSRVRRKRRRMSGATATDRARTVDAGAAGSSDMQMVSFAGSGRDHGTTVQDRYVMRSGDVGAANRVMELEEIGSEYWDYLFPPIV >cds.KYUSt_chr5.29580 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187553847:187568526:1 gene:KYUSg_chr5.29580 transcript:KYUSt_chr5.29580 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQKPEGGAGGGATVLHQKLCLSIDMEKQLIYGYTEIKVLLAENDTFALHADSMTIRNILVDGEAAEFEYSPHWKNVDGQPSWLSVSCPKTAADAACSAYISSLYGESVPNLIISSERSVKSTTELPERENGEHHEENGGNSVKFSDDKAVKICNGSAEGNDKEEEKENKEEDEKENEKEEKTEMETEEKEREKDKDKETEEGKEKETQKEKEKEREENEEEKEKKDISENEKGKENEMQIENEKVKNTKLVRVDYILEKAETGVHFVNNVLHSNSQIRRAHCWFPCIDSATQRCPFDLEFTVSTDLVAVSNGDLLYQVLSKEDPPRKTYVYKLNTPVSAQWISLVVGPFEVLPDKNGTSVSHMCLSPTLSKLENTISFFHDAYSCYEDYLAAAFPFGLYKQIFLPSEMVVSPMSFGASTCIFSADILNDEKVIDQIIGTRIKLAYALARQWFGVYTSAEEPNDEWLLDGLAGFLTDLFIKRYLGNNEARYRRFKANCTVCQFDVSGATGLGSPAASTDLYGTQTFGSYGKIRSLKAVAVLQTLEKQMGPDFFRKILQMIVASTRASRTLSTKEFRHLANKIGNLERPFLKEFFPRWIESCGCPIMRLGISYSKRRNMVELAVSRGCTAKAEPGSDSHTDGDIQEGATGWPGMMSVRVHETDGVYDHPILPLAGEALQVVELQCHSKVAAKRFQKTKKGSKPDGSDDNVDASTQENRMSMDAPLLWIRVDPEMEYLAEIHFHQPIQMWINQLEKDKDVISQSQAIAVLEKLPQLSFAVINALNNFLNDTKDTDLAGLLHLVKFYKSRRFDADIGLPRPNDFHDIPEYFVLEAIPHAVALVRSSDKSSPRQAIEFILQLLKYNDNNGNVYSDAYWLAAMVQAIGEVEFGQQGIGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRALARIAERVSSSICLERICELISPFRNMDKPWKVRIEASRVLLDLELHHKGVDAALLLFLKYADEEKSLRGGTKLAVHVLRICQANIESNLTDQIKLPTLVGLLHLLGSKKSYNNVLLRHNVFCILQIAAGRPPTLYGVPKFSAPSPLVQEISIDQHTKADSSVPQLSRPQEPSTSTPSVREVLPTTGPSKEADNISNCSERRNVVKIRVRRAASSSKADGAASSVSKADGADNKVHSHGGRNENEVGPCSSMSVDAPMVGAPNEPPNTSNHIEEQNSCHDRESRMSASVSNAKQMDTHEVSKELQCTADSRLDGADSRLDAVKDQFSPAINVQESVDKPSSQLEGVSTSYVGTQAPESVNGLDSKEKKRKDKKDKKRNRDEKRDKKDDPDYLEKKRLKKEKKKMEKELARKQKEGARLSSQQETVKPSDSQGTLAATPPAPEQSAEPQVSNKDAAVDIARTPPTKKIKIRFKPLKKIN >cds.KYUSt_chr5.952 pep primary_assembly:MPB_Lper_Kyuss_1697:5:6543887:6545988:1 gene:KYUSg_chr5.952 transcript:KYUSt_chr5.952 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVGVAGVAVPPEAKRRRVVAAKPTVGAAGGKRPRRVRRPTALQRLFQACRAVFQGPGTVPAPSEVNLLRAILDRMRPEDVGLSPDMAFFRARDVAQRNPAITHTTIYKSEKFSMVILFLPQNAVIPLHNHPGMTVFSKLLLGSMHIKSYDWVDPDPAESGASSPDDRLRLAELVVDDDFTAPCDTSVLYPTAGGNMHRFRAIAPCAILDILGPPYSIEEDRDCTYYTDVPYSEHPMMGTKLIGSEQEGRRLAWLKEVEMPRDLKMCSVRYGGPQVSER >cds.KYUSt_chr5.30171 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191346976:191351592:-1 gene:KYUSg_chr5.30171 transcript:KYUSt_chr5.30171 gene_biotype:protein_coding transcript_biotype:protein_coding MLAACARRLSQRAVTFLPRARSQILNPPPMAAAARAGATSPKRLRVYSSAAATAGVDYSNGAGSGKRVGTHNGSFHCDEALGCFLIRLTSQFAGADVVRTRDSQILDSLEAVLDVGGVYDPSRHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELQLNEDHEDVHRVYLAIYKNFVEALDAIDNGINQYDTEQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMVLAGSEFMESVRFHVKSWLPARSIVMECLLSRGSVDPSGEIMVLDRFCPWKLHLFELEQELKINPLTKYVLYQDERSKSWRVQAVSVAPDRFESRKALPEKLRGMRDDDLSKETGIPGCVFIHMSGFIGGNKTYEGALEMARAALKC >cds.KYUSt_chr2.15314 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96560432:96561373:-1 gene:KYUSg_chr2.15314 transcript:KYUSt_chr2.15314 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQQHQQLFDSSYVDTSFFVASGTAQGESRPRARRRRRRAARCGDVDGGDLDGGGDPKKRRLSDEQVEMLELSFREERKLETGRKVHLAAELGLDPKQVAVWFQNRRARHKSKLLEEEFAKLKHAHDAAILNKCHLENEVLRLKERLGATEEEVRRLRSAAGSHAPSGDGGDAAGAVVACGGSPSSSFSAGSCHQQHPGFSGQDVMGPDDDLMMCVPEYGGYADSSVVEWFSLYGLM >cds.KYUSt_chr2.43837 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272887526:272893570:1 gene:KYUSg_chr2.43837 transcript:KYUSt_chr2.43837 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPRPPDTRPPGGGRRLSVGGVPIPPPPRGHALDVAIEEARMTMTDEERADPRHHPDNYTRWNSYFLRRWEREPAAYDGPPPPLARNNAAGRRRWWSAPKGRWRTSSRTSRAATTRCSRCPSIGIEGIGEPPSGKRPAATTHGCRLVVFGSASRSSLAPVCDMYCNGGADADKWGDAQIGRYIGIDASAPAVSDAMRELWENKWKHFTTEFIELNPSAEDFEAQLQEKGIQADIVCCMQNLQLCFETEEHAKRLLNNVSSLLKPGGYFLGIIPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYTITFEIEEEKFPFFGKKYQLKFANEAMFENHCLVHFPSLMRLARGVGLEYVEIQNLTEFYDDNRTQFAPMLGNCGASLVDPRGKLVARSYDILGLYSTFVFQKPDPDAIPPIVTPDLNDADDAHEEERLWRQQLAVDDGRRSQADVIPLDPDQKGILGPGPADLRL >cds.KYUSt_chr6.15698 pep primary_assembly:MPB_Lper_Kyuss_1697:6:98643053:98643373:1 gene:KYUSg_chr6.15698 transcript:KYUSt_chr6.15698 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSASQRRLPLLLCIFSLVPELPSPSLQASLAFVRPPQNLVEPVLPGLISFPGALSQFGGVAVWSSSVSRHIRNGPSFQLWQRAGKTPGEPPPYFFLRLPCMAD >cds.KYUSt_chr1.11886 pep primary_assembly:MPB_Lper_Kyuss_1697:1:73256304:73262151:-1 gene:KYUSg_chr1.11886 transcript:KYUSt_chr1.11886 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRPLVALLAVLTLLLRPAAATAAASGYAADRIDRLPGQPAVDFDMYSGYITVEHSAGRSLFYLLQEAPEESQPAPLVLWLNGGPGCSSIAYGASEELGAFRVRPHGAGLFLNDYRWNKVANILFLDSPAGVGFSYTNTTSDLYTSGDNRTAHDSYTFLLKWFEKFPHYMYRDFYIAGESYAGHYVPELSQLVHRNNKGIEKPTINFKGFMVGNGLIDNYHDYRGTFQFWWNHGLVSDDTYHLLNRSCLHDSFIHPSPACDAALNVSTEEQGNIDLYSIYTPTCNVTATNSSASRQRPRGRYPWMTGSYDPCTERYSTAYYNRRDVQRALHANVTGTINYTWATCSDTINSNWRDAPSSMLPIYKELIEAGLRIWVFSGDTDAVVPLTATRYSIEALGLPTTISWHPWYDVQEVGGWSQVYEGLTLVTVRGAGHEARLPLLSLPTKGFGGHLYKALSLAMHESLVCHLSYDEFSLLSEGYLLLELILRVYTTRKRSIVAKITAGAPNFSIQDNDRLATPSYGQVMAGARNCGFAGNVVLGLAHH >cds.KYUSt_chr6.32419 pep primary_assembly:MPB_Lper_Kyuss_1697:6:204533236:204537413:-1 gene:KYUSg_chr6.32419 transcript:KYUSt_chr6.32419 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDARGLEEQAAAAAEAARELREAAAALAVRRAADEDALRRRAVALDGDLRRLQGSVASLEPAALDKVEEDLERAKLAILDSDVAAFLPNKGNGKFLKKFVGPVNVRIARKEDKLKVKDEYNNYRDRAAYMFLLFPSILLLLRWWVWDGCLPALAVQMYQAWLLFLYTSFALRENVLLVNGSDIRPWWIYHHYLAMLMALVSLTWEIKGQPDCSSKQKGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFVLQGFEAYVGVLLLQTAWHGLTSEWQVAVCGILLVVMAVGNFINTVETLVLKLRFKAKMKRTKPRQDPGQAGPNRPHQN >cds.KYUSt_chr1.41628 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255234139:255234714:-1 gene:KYUSg_chr1.41628 transcript:KYUSt_chr1.41628 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVQGEAGAEDGVPGCAPSPEELLARVPSLGGHLDTLGETTYSVSLSMPGSPSGLNQAQVGTAPSPSVHGLSVVPPTETKVDIDHPADPHPPEVDRGAGGEAPVVARSDSTRERDRRFDHFKTFSGRLERQLSALRGVAPLDAADVEHGHRAASNISEEDTDEDNDVPSADRYFAALEGPELETLRARTT >cds.KYUSt_chr5.36688 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232001991:232002644:1 gene:KYUSg_chr5.36688 transcript:KYUSt_chr5.36688 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSRSQASAAGSSGRRAATAKVVGLDGSMTQYAAPVTAREALGNAERNGNESLFLCSSDELRLDAPPRALPDEENLQPGWLYFVLPLSMLPLALSGQEMAALALRASSALAIVSGVASPPRRKNAAGTKRKQRKMARVAPLVSPGEQAELADREWSEHAYSKYGGAGKTVRGGGAQPAGKTRKRSGYKSRSARHRRRAADDVPRLSAILEEDDF >cds.KYUSt_chr6.32256 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203527671:203535502:-1 gene:KYUSg_chr6.32256 transcript:KYUSt_chr6.32256 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEKPSGALPRPAACWNRDSCPPDLGFAMAAALEDTLEQLEDEVNEEKMQDKLARNSVSQRLPLILSDKVQRSKALVECDGDSIDLSGDIGAVGRIVISNSPTGNQDLLLDLKGTVYKSTIVPSRTFCVVSMGQTEAKIEAIMNDFIQLEPHSNLFEAETMMEGTLDGFTFDSDGEGDRLNELHASQNDQNNENEDQPKAKTKRKAAVKPALDHNGTHREVFASCDAGARFVVHGRVVVRAGVIACNHPLPPLFKDALQFSPLTNLFVAAAFPLPDLVRSAPKMSSSSSRKITAANGFGRGSLTVPEAWALYNARYPVPPDMRLPSSGGWKMAVNGIGVPPPPKPRTDQWRDAIKARRAQLTAEERLDPTWAANDNDAWWTTYFKAKYDIEMHSTDRLVGGPNSWNKDGRALFWGVPGRTLENVIRGIRNGAPRLEMPSSPPPSPQWQPRRTTYSSSSHSSSSGPARSTPSSSYRSAPYTVPKREPEVKEEPEEPSQAALLAEYERQQRLIASSDDPEDCPGLRAAFLASMNDKDAWRGDLDAAIALSIRDSGKPLVDLTDDGEAGPSGLVKDEPVDERV >cds.KYUSt_chr5.2300 pep primary_assembly:MPB_Lper_Kyuss_1697:5:15546420:15547852:-1 gene:KYUSg_chr5.2300 transcript:KYUSt_chr5.2300 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAVVTSPPGSSAPSTSCPPMFPNWIPAPDPQEDKEVKKDKEVEKDKEVAKVDVACGLMGKQGNSENFAPPLPCTCTRQRPQHTAKALFAVHMHTAKATCTRQRMPALPTWCCCTYLCRAGWKRSARQSHVFAVRVGVEAHGKESRTAKRHSARQRESHGKETTTHGKAASLGKAFAVRFSRDVRQRRGLCRAIFAVHGRTAKALPSRFSPLPCV >cds.KYUSt_chr2.14478 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91569556:91570455:-1 gene:KYUSg_chr2.14478 transcript:KYUSt_chr2.14478 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPSNFHGSLRPKQHQIDAIKREDDTRMPPSTDHDGSRDFPGANPDKKPQRHLGDAFKKGAAPEAAAIAGLGQLPAGQQPRQGVSLGLVAPSCFVPKTGPPSSTMPTNTSPLSGAAAPGTPPAPTTAPLQHHHGRGLPRQPAATPGPPTPEPARGAGSGPAAPATLGAAAPTRARATTFPDSRPPSPAPATQRPQRPAARPARAKPGSGPPSRRRQPRTMPPASARPAARSTATSPRGRRPGAPRRLCAARAPRSTPAPRVSTHASTARSRPDTFGGEGARRHRGDSQRQRRRRGPS >cds.KYUSt_chr7.4367 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26379565:26384519:-1 gene:KYUSg_chr7.4367 transcript:KYUSt_chr7.4367 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSLRASASASPAAAGSRAADPIKLSCVRSKVACSFPSLGASSSLARSIEPVRATATQAPPATPQSSSGEKTKVGINGFGRIGRLVLRIAVSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGTITVLDESTLEINGKKVSVTSKRDPSDIPWGNFGAEYVVESSGVFTTIDKASAHLKGGAKKVVISAPSGDAPMFVVGVNEKNYNPSMNVVSNASCTTNCLAPLAKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTANVSVVDLTCRLEKSASYEDVKAAIKEASEGSLKGILGYTDEDVVSNDFVGDERSSIFDANAGMGLSSSFMKLVSWYDNEWGYSNRVLDLIGHMALVNA >cds.KYUSt_chr1.41884 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256830296:256831628:-1 gene:KYUSg_chr1.41884 transcript:KYUSt_chr1.41884 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEADGVGVAVEASWRAAPIWDCRPRSPLRPWFDVDDTSVDGRDDTEGLDASAAHIANLLSSEPSDVKLGIGGFSMVAAAALHSAACYAHGKFSSGIPYPITLSAVISLSGWLPCSRTLRGKMESSHMAARRALSLPILLCHGRADEVVSYRNG >cds.KYUSt_chr1.11306 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69298708:69305840:1 gene:KYUSg_chr1.11306 transcript:KYUSt_chr1.11306 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLQVPNLAGGRRPAARVLRSDRGGRFKVCAAAPGGSVKEEEEKGTGKKERIVIRVLDPVRERRLPPPLFSAPETPAESPEALRRPEDDGEERRRYYVNMGYAIRTLREELPDVFCEEPSLDIYREDIVFKDPLNKFVGIDSYKSIFWALRFTSQIFFKALWIDIATIWQPVDNVIMVRWIVHGIPRVLQNGHSRFDGTYFDHHRAPCSDMSYERYTPFIVSLGLLLFITLHSEIKKQAEECEDAYDTNPRDEHDESVLREFIKRASRRMRRLGNLLGCRDPEIATRSHSRSGSPPHPSPHVEDTTSANVEDNENVRSAYMLKPRKGIRFYTRDDYVAQGKKAHIDSDDEPPRRSALRWMRNDEEEDGPEVHRKILPRRGRAPRTRGKK >cds.KYUSt_chr4.5626 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32624337:32625185:1 gene:KYUSg_chr4.5626 transcript:KYUSt_chr4.5626 gene_biotype:protein_coding transcript_biotype:protein_coding METLPPGSYLFMPLAATPLKIPEVKDRRAFVNRPCYDCLPPATAVFLSPERLKGRQPLAKAYLKSYLTPAESLAADYQGRRYAYAYITPADSPRRAEPGAFIRLVFRTLALDLPQTFELLPPGHGADATLRFRTPEHREAAMARQPFQLDGATVKLLREGETPNARRAALDHMVHAVLHEYPVELLSREEIEDNCNQFGYVREISPVAVALSSPDLATVRVVLQLEHPREIPHELRIDYGDRSTSVVHVEIVRVWHREHSYDAHGQYVRLFQPLQTVQAADA >cds.KYUSt_chr6.3664 pep primary_assembly:MPB_Lper_Kyuss_1697:6:21141213:21147033:1 gene:KYUSg_chr6.3664 transcript:KYUSt_chr6.3664 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLALVVVVMAMAAAWLVHLVLTRYWPNPCSKAAQGLRLPPGSRGFPIIGETLEFFAGSPSLELLPFFKRRQERYGPIFKTNLLGENLIVSLDPELNNLIFQQEEKLFQIWYPESLMRILGADCIIATLGSFHKHLRSLVLRLFGPENLRLVMIHDVQRIAHASLLSWLHQPSIELKQATSSMIFSVTAKRLISYDESSSSEGKLWMQFNAFLQGLLAFPLYIPGTAFYRCMQGRKNVMKILRKLLDERKKAAHRGSIDFLDLLIDDLKEKKHLMNENFALDLLFLLLFAGFETTSSGITAALRFLSDDPKALQELTEEHDNIRERRDDPDSEITWEEYKSMKFTSHVIQEALRLGNIAPVMFRKAIEDVHTKGYTIPKGSKIMINPSSAHLNPTIYKDSNEFNPWRWKKQSHFLKREVKLKYCMVGD >cds.KYUSt_chr6.2378 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13857297:13857736:1 gene:KYUSg_chr6.2378 transcript:KYUSt_chr6.2378 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKSLFLQAALLLLAAGLLVSSCYASPDATTQGMQLLAVACDVKAAPAPATTTGRTTDPKCSEMFRCTPDNCNDYCVLIGLHDSKGFCSYRGLNFYCCCSVPFRRTAAAKTPVISS >cds.KYUSt_chr7.12354 pep primary_assembly:MPB_Lper_Kyuss_1697:7:75905824:75916524:-1 gene:KYUSg_chr7.12354 transcript:KYUSt_chr7.12354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class-I type histone deacetylase, Seedling root growt [Source: Projected from Oryza sativa (Os06g0583400)] MDISAGGGGNSLPTTGTDGSKRRVCYFYDSEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLDQMQVLKPHPARDRDLCRFHADDYVSFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYTFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMAKVMEIFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPVLLLGGGGYTIRNVARCWCYETGVALGHELADKMPLNEYYEYFGPDYTLHVAPSNMENKNTHRQLDEIRSRLLDNLTKLRHAPSVQFQERPPEAEQPEQDEDLENPDERHHADSDMEMDHAKPLEDSERKNSIQSMRVKRESAETKATDQQDGNGIDVEQARGTESVADGVASSKQASPIDASSMAIDEPAANEGKNLLHTNTKSKEEEGARGRCPKGIRRPPAVGAAVSRGHRHGDLHRHLLHGLHIFIIFINIYNNSSSPPM >cds.KYUSt_chr7.17853 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110587513:110589633:1 gene:KYUSg_chr7.17853 transcript:KYUSt_chr7.17853 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRRTRSTTGVGRTRRRRWVGRTRRRRGGGEDQEEEGDEEDLSEDEGLGNLVFDPDPSLEWCEPEDYQYVPALERLRPRDRKPYRRGITQLPSLRSWRYRHVVLVPYGRSSFQFEDPTQRPPRGYSNILGGLLRWYFPGIVNFPTGGCDVAWRWAHYSLAEDPLGRGTAADMVVAKFWRAEGKENACDDVLHQLARKRVTGMHYEARIQCVRDWHADRFVHMSKEDARDTLMQPWQYLQNPPQYVGNDDRCFRAMVMWWTCPQYLKKHEEGKAKRAEMRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQCTAYVSKFKQKHGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEQRAQMEQQILQYQQQQTQMMQQMQQQQQMMQQQQAQMSWLMSQTALSSPPGSLPPPPYSMWMPPPPTQTPGTPITVNNMNIIRSMNLGESSCAVNNPLLVLVQVFNMQMQMFIPSTCL >cds.KYUSt_chr3.36529 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229662973:229664157:-1 gene:KYUSg_chr3.36529 transcript:KYUSt_chr3.36529 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAGREVWCCCVARSIGTHRPQALRAVAAVARVSRCLRAAPSACCGGSSASHDDESFLRTGCRARRWRVAGTEAAPVLRVARRAASSLALCCPRLPLLQEVRPELPAVVVRGDLLYVSYPCEHAAPGAGGAVDDDVNAYRGVFRGFMHSRTQACVRGGRRRPAGALCALPLLRRPRVECDGCGPRAAASARTRAASTTSSASAGTSTAAAGSRVSLTATKMARVTPQQSKGFCGGSMGSSPSSSFGLAM >cds.KYUSt_chr7.18963 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117494967:117496411:-1 gene:KYUSg_chr7.18963 transcript:KYUSt_chr7.18963 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMVFMNHGEGETSYARNSSAQGGLQKRMKPLIEAAITDLCTLYPKKMVIADLGCSSGPNAIALVSFAVEAINNHCVQLEQPPPEVCVLLNDLPGNDFNAVVKGLVTLSESNKPGLVTVGIIPGSFYKRLFTSGSVHLFCSSNCQNWLSGAPEDLTRNLVPAYDVDEHARNERLHMVSEAYARQFRKDFTLYLELRAKELVQGGRMVVSLVGKNSDDRTSQFLHLWEMFAKILCAMASEGVIDRAKFNSFYVPLYGPSDKEMREIIQEEGSFSISDMRVHDRSSSLNNPNTTASWVANQLRAAFEPIVVQHFGEVMDEFVRTAERRWSVEGSLEEELARYPRAQLVVLLAKKA >cds.KYUSt_chr3.38594 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243053774:243054025:-1 gene:KYUSg_chr3.38594 transcript:KYUSt_chr3.38594 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMGLAFVVQPGSNGKRRHDDRERFPNVGQAFSSTGSGERADGAAFTSTRSHGWVYAPLSPLLISSPSLLPSFFSVIFTSSP >cds.KYUSt_chr2.40014 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248487512:248494021:1 gene:KYUSg_chr2.40014 transcript:KYUSt_chr2.40014 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVVVALRRSTRLGRGQGLHEVAVRRVSSDVCGMHTRDVIGTPMPFWARVIRRLSSFTSTLEGLDLATGCRLWACAINLGRDGGARRYARSGGVDREKTRDKEMRPEALLKCLMFVVSAVVTLKNIRGRVAGDDAGKAPDPVVVNAGGSRPLRAVETWGVTKHHHRDAVAEMNTMLSRDYTSRATRRKPVHNDEPLEDEP >cds.KYUSt_chr3.25041 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155429056:155438124:1 gene:KYUSg_chr3.25041 transcript:KYUSt_chr3.25041 gene_biotype:protein_coding transcript_biotype:protein_coding MRRADEIRGLLVRGFDIVGALLFGSANFEADAGRALELARALRERLFGEKASHDMVGGCVDAATGDIRFLISESIGSEVVEGQEVVWEDEPERLLLEKGCLLRCQLPLQLPLYLPLDDKSASANLRVINLKLDILCYSSIDLPVAAAVSELVIPGLCDQLSVMKKAIVSELLTQQPQLCPYHFVPPGLRIPLTAIYDTRYGEIEEKQSELRRNLHFRLGLPLDRPLLRTSNALTFGGMIGRDKNLSKNGSSILHDVHKEIPSSGVLGGIMSLIDGSYEYYHYLHDGIDDNGWGCAYRSLQTIMSWYRLQQYSSINVLSHREIQQVLVDIGDKDPSFVGSREWIGAIELSFVLDKLLGVSCKIINVRSGDELPEKCREIAMHFETQGTPVMIGGGVLAYTLLGVDYNEVSGDCAFLILDPHYTGPNDLKKIVNGGWCAWKKSVDSKGRSFFLKDKFYNLLLPQRPNMV >cds.KYUSt_chr6.1287 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8018911:8020058:1 gene:KYUSg_chr6.1287 transcript:KYUSt_chr6.1287 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPKGAHAVAPPPTTVEAGKPAGVGGVVHSVPPAPVPASADNAKAAAGSADRDAVLAKVEMERKLSMVKAWEEHERSKVDNRAEHKMSSILSWENTKKASIEAKLRTREEKLEKKKAEYAEKMRNRMATIHKEAEEKRASVEAKRQEEVLKYQETAAKHRSTGTTPKKKFLQCFG >cds.KYUSt_chr3.14608 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88791133:88795609:1 gene:KYUSg_chr3.14608 transcript:KYUSt_chr3.14608 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDAVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDNRHKKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDRPLEHIQFPTTIEELEGKRRVDVEAQLRKQDIGRNKILQRQDAPAAIMQANKLNDPEAVTRRSKLMLPPPQISDHELEEISKMGNVGDLALTEELGEGSTATRTLLASYSQTPRLGMTPLRTPQRTPGGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEIQTPNPMATPLASPGPGVTPRIGLTPSRDGNSFGLTPKGTPFRDELHINEEVEMQDSAQLELRRQAELRRGLRSGFASIPQPKNEYQLVMPPITEEKEESEEKIEEDMSDRLARERAEEQAKQEALLRKRSKVLQRSLPRPPAASVEILRQSLLKGGESRSTFVPPTSIEQADELINEELLSLLEHDNAKYPLDEQTQREKRKGNKRQTNGAAFIPEIEGFDEHELKEASSMVDEEIQYLRVAMGHENESFVDFVKTHDGCQEDLMFFPMNNSYGLASVAGNADKISALQHEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQARAAKLGSQIQDTFKQMNTAATELECFQELQKQEQMAGAYRVRNLAEEVNKQKALERTLQSRYGDLLSGYQRIQEQLAEYKKQLKLQEAAMEAERRAKEEAVETEKRAEEESVEAEKCAKEESVEAEKRAKEEAVEVEKRAEEEAVEADKHAKEEEAAAQNHAAEEENEKKSPSVEEESGQITMVTDEEPAGFT >cds.KYUSt_chr5.39929 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252366261:252368812:-1 gene:KYUSg_chr5.39929 transcript:KYUSt_chr5.39929 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDHSKKEARSGDADAPLIACLPQDVFTSILVRLPASDLHRFRRVCQEWREIISSPAFIQEHMAHGPRTLTQTIVFLPAKSRASNTRNTAADSSSTTSGESRPPSPPRGVDAPLTTFFSSNGNFLSSAATILSSNATLHASASKLLSTFLSSVACCLV >cds.KYUSt_chr1.8417 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51815602:51816864:-1 gene:KYUSg_chr1.8417 transcript:KYUSt_chr1.8417 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSISNGGFLCGGGGRLTDDGSLLRDGTDTSAAAAMMLRAAARAAGPLLRFSGAGAVGERIRGGAPSTPAVFTRGFFDFFKKGEKEAAEDAQAKAKAKARLSEEMSRGYFEDISEIRKNGGKIAMATKVIIPEVAAVKFPDLPLESPGGGALRLPFVAPPPEYSSPEAAGAVVPDASLVCLSFRASSQV >cds.KYUSt_chr4.35865 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220421893:220424585:-1 gene:KYUSg_chr4.35865 transcript:KYUSt_chr4.35865 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGFLLVQDHGEKEMIRLAFGPELLLQSVMAKARRELGLLPASAGTGSPLQLSRQNSGRGGAPSPLSVSSPSSWAQAPAFSRSNGFAEGMAGAGEEMLMSPVNGGAAPFFSRAGNTPVDDFQQQQEELAFQSDAGMNPFRTFDGGECRSPGPGDGGMFPYGLGWANGDVPGHRRSASANEACLAGDGFGWKPCLYYARGFCKNGSGCRFVHAGGLPDDDASLAAAAEQQQQQCQDFLLRSRSQRLGHTAFPYSPTGSLPGSPSAASKCLSFLLQQQHNDNQRAAAALMLGGGDDAHKFMGRPRLDRADFASIMNPGSRQIYLTFPADSTFREEDVSGYFSMYGPVHDVRIPYQQKRMFGFVTFMHPETVRLILAKGNPHFICDARVLVKPYKEKGKIPDKYRHACTLPINLRSGFLHCIACSKLTWCAVLLLAVGRKQGDFSGCTTPTGLDGRDPFDLHQLGGRMLQHSNSANELMMRRKLEDEQQAAEFQHAVELQSRRLMSLQLLDLKSRVAAAAAASTAMTPTTTVANASTTTSQPGASTAVESQPDSDQQLNGSNFCANEGGNKEESTDDANRNTDSDQSGDHDLPESPFTSSTKSATLTQDGDVAGDGCSSSHNGVCTGANAGGGTNHILPSALDIPSPRPYFFPMSRLSSDHGAIGM >cds.KYUSt_chr5.33879 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215285870:215298943:1 gene:KYUSg_chr5.33879 transcript:KYUSt_chr5.33879 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRIEPHEVRPNRPRRHPRSRPAPPLLPANLGLHRPPPVTPVSGRFPLSSPDCRRSRPPRSAAANRAAHLRADPVPAGKPPPRSTSYPADLVPKQRTLYEIGLVFWEKWWFVEDEGMLEVIGEVVVRMGSVVVTMAEDVVVGLVIGNKWDHYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTSLKEMSTLKCFPHGLAMPAPAHPSSWYGSRVARRSGGGRWASKATVTTSFEGTMAGMAPAPEQVETMRCLGLGGWVEEQLLPLLTPVEDAWQPSDLLPCFSLSAAAAAAGSIVQQQPAMTMTTEELQARAADVPDDVLVCLVGNMVTEEALPTYMCMGNRVAGFCDETGCSDLPWARWLRGWTAEENRHGDLLNRYLYLSGRVDMRQVERTVHYLLRNGMQMLRPSSPYHNAVYGAFQERATFISHSRTARHAARHGDRCLAKICGVVAADEKRHETAYTKMSAKLFELDPDGMVRALAAVLRDKITMPGQLMTDGRDADLFAHFSAVAQRAEVYTARDYGDMVEHFVRRWKVADLAGGQLSGEGRRAQDYVCGMPRKIRRMEELAHDRAIKAAKEPEFARFSWVFDRWKEERRRDELWQREEEIRREEDRRRADQGRLHDEECRRVEERRRLDDEHHREALRNSKRFARERETEREVWRKGSARRKNPPVLVTDTETQPLDQPNYAHITVEKGTVNCRNIEHEFNVWADSMNWRFFAREVSPAEFRTLFPNAKSIEELAHFGKFFMKMLPHAIITIEKWSGDIEPFAVMEEAWVKIGSLDLSLVPNTRIGEIKGGFYELQYTRELFESSTTPGTPIVVNDKNNMEEVGQGTPKHQRTGRDDNNIGSQSAHTKTTISSHTAQGTQRMTAPPGSSNLTKDYGKRIVSETVSIPSHISSLSNGEASTSSVSPADTMNKTILNVVSDVPHVLAPEKLDFEPALTQDDPTFRKFVCDLTKSGSDKAIHLQKQYSHLMDPIIEENPKDNEMSEEKVDYDSSSSDSDNLCQGMGGGGISRMVIMALSLTGT >cds.KYUSt_chr4.46222 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285705599:285708122:1 gene:KYUSg_chr4.46222 transcript:KYUSt_chr4.46222 gene_biotype:protein_coding transcript_biotype:protein_coding MQTETAPQFSSAAMKRTSDWIRSQEFPSDITIQVGESTFNLHKLPLASKCGYIRKQVSGANGSRVTHLEIAGMPGDAKAFDLVIKFCYGVNFEITADNVAMLRCAAEHLEMTEECKPGNLIGRAEAYLEEVALASLAGAVTALRSAEELLPASDKVRLIGRCIDAVATMTCGDGVGDDGGLKPVDEYWWVDELTALRIDTFQRVMIAMKARGFKGIAMGTMIMLYAQKSLRRLDMNGRDRKKMEPRQEHEKRVVLETIVSLLPREKNTMSVSFLSMLLRAAIYLDTSLACRLDLEGRMAGQLGQAVLDDLLIPSSSPEGAGATAFDVDAVQRIMAGYLEHEGEATRLDYNTDDDFVSAASPPNDVGPVGRLMESYLAEISSDVNLPVDKFTCLAELIPERARFNEDGMYRAIDIYLKAHPSLSEGERKKVCSVMDCQKLSREACAHAAQNDRLPMQTVVQVLYHEQRRLRLPPSQPPSGAPSYAGGESPALSYRPTPSFNGRDRSAPSSEVSRLQRENDELRMELLQMKMRLRDPSAAPPPQPPLAAAPSGGRSFPPSGKPPLPKKPGGGGGFMKKLGRLNPFVRDPVAAGKVRTKPAKDRRHSIS >cds.KYUSt_chr7.19452 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120671877:120675644:-1 gene:KYUSg_chr7.19452 transcript:KYUSt_chr7.19452 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKLGSRADVFRKQGQDWYCTTGLPSDITVVVGEPSFHLHKFPLLSKSGLLERLIREKIEKGEDSCVIDLSDIPGGAKAFELAARFCYGVKFELTSSNVVHLRCAAEYLEMTEDIAEGNLIAQTENFLTQTVLKSWNDSIKALHTCDGIIDLAEKLQVVKRCIDSVATKSCTDPDVFGWPVVQYGGPTQSPGGSFLWNGISTGARPRNCSSDWWYDDVSCLSLPLYKKVISAMEYRGINQDIIVGSLNHYAKRRLPGLNRRKSISDVSSCLSATTLTAMPSEEEQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIILKASSSCVSNLERRIGLQLDKATLEDLLITNMSESDETLYDVDCIHRILGHFLAMDQETGGASPGLGDDGQLLASPSLMPVTMVAKLIDGYLAEVAPDSNLKLPKFKSLAAAIPDYARPIDDGLYRAVDIYLKAHPHLPESEKEELCHVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMISENLEGGSRQLGLPISGDQHRGVGWPLGARENQALREGMDGMKQRVAELEKECSTMREEIARLGRSKSVGKSKLFFLGLGGTKPQICSTKDAAPATTTAASDDEKLAVVKADATPRLKLSRPKKNLSIEA >cds.KYUSt_chr3.25012 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155222651:155224075:1 gene:KYUSg_chr3.25012 transcript:KYUSt_chr3.25012 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSEKRAPRKPNPPPKRTRQAGPAAPANSPSLTGSPRPPGGPPPPGPPPRLPGGPAPPRPPGGPPPPGPPPRLPGGPAPPPPSLRGPRKVPAPVGGGGDRMRRAPEIVEFYQTLTRRGEARQAGSRGPKAASGGSTAPKSDLIGEITKNSPHLLAVQSDVETQGDFVRSLVAEVRDATFATIEDVVAFVAWLDEELSFLVDEQAVLKHFDWPERRADALRDAAAKHEWLVQLDKQISSFFDDRALHRDAALGKMYSLFEKTEKSVFKFVVDRDTTDKKTNLIARYKEQNIPVGWMSDTGETSVREPSKAVHDAGDLGD >cds.KYUSt_chr3.24682 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153192284:153198413:1 gene:KYUSg_chr3.24682 transcript:KYUSt_chr3.24682 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSEEDSEISDSEIDEYEGKTYERLVSGDFKFRNGEKYSCPFCTGKKNKDYNLNNILQHASGVGAAPNRAAKDKATHRALAKHLRNGSANPPKSQPQPQPQPQPQPQPQPQVIAAVEPQPLPNRFEKFVWPWMGVLVNVPTEWKDGRQVGESGNRLKGQLSRFCAQKVIPLWNFRGHTGNAIVEFTKDWNGFRNALAFENYFEAEGSGRRHWKQKQNQGSKLFGWVARTEDYNFPGLIGDHLRKNGDLKTIDDLENERARKNDRLEANLADEIEVKNKHLQELECRYNESTASLEKMMGQREQLLQKYNEEVRKMQQLAHRHSQRIIDENQNLRSELESKISELNERSKQLDDLSEKSGYDRRNLDQEKQKNAIKSSHLQLATVEQQRVDEDVMKLVRVHKREKQAALSKILMLEQQLEAKQTLELEIQQLKGKLEVMKYMPGHEDLESKNKINELSDALQEKIDELDSMESLNQTLVIKESKSNIEMQEARKELENGLLDLSVGRAHIGFKRMGELDLEAISNACRKFSKEDAEVTAAIICSKWEAEIKNPDWHPFRVVMVDGKETEIIDADDAKLQGLKEEHGEEIYALVTKALREINENNGSTRYPVAELWNFREERKASLKEAVQFVMRQWRMNRRKRQA >cds.KYUSt_chr3.30145 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188617506:188624338:-1 gene:KYUSg_chr3.30145 transcript:KYUSt_chr3.30145 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSPPPPPPTGGDPSEEGAAPEPELPRLTVTQVEQMKVEGRIADIYRVLFEAPPSTKSVMLELWRDTHAEYLTHGLRHLSPSFHVLDANRPWLCYWMVHGLALLDETLDDDLENDIVDFLSRCQDKQGGYGGGPGQLPHLATSYAAVNTLVTIGSEKALSSIKRDNLYKFMLQMKDKSGAFRMHDGGEIDVRACYTAISVASLVNILDADLAKGVGNYIASCQTYEGGIAGEPYAEAHGGYTFCGLAAMVLLNEVEKLDLPSLIDWVAFRQGVECGFQGRTNKLVDGCYSFWQGAAIALAQKLMTIVDKQSKPSYSSKSSSVGDACGTSSSGLASEKSSSLDYAKFGFDFVKQSNQTGPLFHNIALQQYILLCTQVLEGGLRDKPGKNRDHYHSCYCLSGLSVSQYSAMNDSDSCPLPQHMLGPYSNLLEPIHPLYNVVLDKYDEAHDFFQENDQM >cds.KYUSt_chr5.23605 pep primary_assembly:MPB_Lper_Kyuss_1697:5:153611182:153614063:1 gene:KYUSg_chr5.23605 transcript:KYUSt_chr5.23605 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAMSVALHHRDHNANSHRHPFPSSSLISASVFRSRRAKCSRRIVPPPSAAGTGDFEDTSKIKGSEANVLAHQDNKSLVSMVGCSPSLLPTSKLRARLLHIEARLCTSSAKVSSLLLAAKVLGVIKNDDDDSIKLSPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGEYFSQNHFY >cds.KYUSt_chr7.15303 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94942423:94947628:-1 gene:KYUSg_chr7.15303 transcript:KYUSt_chr7.15303 gene_biotype:protein_coding transcript_biotype:protein_coding FRLKCTPTRTTEMVASRPPGTPNTKIERTPTRTPGGSARPKEEKIFVTVRVRPLSKKELAVKDQAAWECADGQTIIYKGPPQDRAAPTSYTFDKVFGPSCQTDLVYEDGAKDVAMSALTGINATIFAYGQTSSGKTYTMRGVTESAVCDIYRHMENTPEREFVIKISAMEIYNEVVKDLLQPESGPLRLLDDPEKGPIVEKLDEQIAKDREHLRHLIGICEEQRQVGETALNDTSSRSHQIIRLTVESKLREVSGCVKSFVATLNFVDLAGSERVAQTHAIGARLKEGCHINLSLLTLTKVIRKLSSEKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALTHVEQSRNTLFFAACAKEVTNTAKVNMIVSDKQLVKHLQTEVARLEAELQTPDRASSSEILRIEKDRKIRQMEIEMEELKKERDNACSQLEELRKKMGDNQQGWNPFDSPQNARKCLTFSGSLQPSKMIKIRNSIRQSSTAPLTLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQVEIREMQSVRPENRDVEMITDDGNGSDLKDEISRLHMQDNDIAKLEAKLENVQKSLDKLVMSLPNVGTQCNESSTKSNASKKKRRVFLPMGMNNINKAIRAPCSPHSSSRPSESDVENKAPDGHTVSHGDSEKATPMKNEENGDVSSRDGTPRNRRSSSVNMKKMQRMFQNAAEENVRNIRDYVTELKERVAKLQYQKQLLVCQVLELESNEGKQNDMEEDLEETSGCLQDGLEPWDGLFKAQMQHIIQLWDLCHVSIIHRTQFYRLFRGDRADQIYIEVEVRRLLWLQQHLDEVGDASPAGPGDDLAVSRASSIKVLRNEREFLARRMGSRLTEEERERLFIKWQVPLEAKHRKLQLVNKLWTDPDDQVHIEESADTVARLVGFCEGGNISKEMFELNFAVPACRKPWLMGWRPISNRIKERAQTLVTQTIRP >cds.KYUSt_chr1.28035 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169082196:169089473:1 gene:KYUSg_chr1.28035 transcript:KYUSt_chr1.28035 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIMIVSFMHELCYAMAYGSARLALASLQLTIHSWSVSLVMGIGYPALSPSATCQQPLDVSNDFTFKVIDGILSDFSKVFKFKFVHLGGDEVNTSCWTTTPRIKTWLLQHGMNESDAYRYFVLRAQQIAIKHGYDIINWEETFNNFGDKLDRKTVVHNWLGGGVAEQVVSAGLRCIVSNQDKWYLDHLDALWQGFYMNEPWTNIYNPEQRKLILGGERLWTPLEKLAKDPSTVTARLARFRCLLNERGVAAAPLAGYGRTTPSEPDSCIRQ >cds.KYUSt_chr3.40967 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258639963:258641945:-1 gene:KYUSg_chr3.40967 transcript:KYUSt_chr3.40967 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKAEAGGEQPPSHGRATSPAATAQREEPALSPAMHEDAAGSSSTVMAARPRRAPNEYVTFREAGTSEASVHHPGQDGRFPGGLSHGAAEDVTDVSRPYYIPPWLRRRERPPEEPRTAIPRPTRNGGAEDVTDPAVVPPPTGRPYYVPPWQRRREWPADERQTAMQPAGAPPLGPRPTRNGDAEDVTDTEAVPPPTGRPYYVPPGHRKRERPADERRTAMQPAGAPPLGQRPTRNSDAEDVTDASRPFYLPASQRRRERPAEERRTAMQPAGAPPLGHDDVEDVTDSAAVSPTTGRPLYLTASQRRREWTLEERRKAMQSAGAPPYYIPASQRRRERPVEERRTAMQPAGAPPYYIPAWQRRHERPEEESRTAMRPAGAPPLGPRRPTRNSGYTTTTRIVERVSTIKPDDDGRRAYQAAPRQHHPWMPSRYSQRKPPVIVSPDRRKRRGRPTAFCFTLCSILFLLAVILVGAAVLTVYLVYRPRLRLTDATLNAGYIDDLTVPGGRPRGLALNASLNVPGSPPRGLALNANLTVLGAITSPNTKIKVVLSYMQLDLYFQGHMIGTQMVLPAPVPVRESTGGFLLPSVDIRVSEVPISQEDAYAWRNATTHGGLVVFQLAGRFHAQLNFGKWLPYRYWVNSRCTLWLDPPPSGRLRGARC >cds.KYUSt_chr7.35289 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220496527:220496877:1 gene:KYUSg_chr7.35289 transcript:KYUSt_chr7.35289 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRIPRRRRTETAAAASGGRRKRMAVARLGGEGSGGGGGGGGGTQRRRFLGALRRVRVRWLAALYRRTLRRLRASYAKALRELIEGRALLGALNAPAGVECSRAVSFGPVATVGF >cds.KYUSt_chr1.22315 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131975114:131976783:1 gene:KYUSg_chr1.22315 transcript:KYUSt_chr1.22315 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYANVHPLPASGPSVTPSEWWESQIPFLIEELQDSGIQEDDAAEQACGPSVTPSEWWESQIPFLIEELQDGGIQEDDEAEQDEARALFAESGDDEDELLTCLPTPVGSNLVYLKEEVDVAKLYFRHMSEFRSNGKGCVARMANKSAKISKTRMHPEAQTGGLINGVITVESIEEAQNVLTYWIDSKEPLLVDVPAAMDADVAQKILNLFKSAGALELVEKSTVLMQKVFNGLDDPSDWDMV >cds.KYUSt_chr5.7255 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45402841:45407341:1 gene:KYUSg_chr5.7255 transcript:KYUSt_chr5.7255 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAAAATARATSFAATFSASTTPSSRGLLRRPFPGTPAPRRAVASMAVSAPRSAAAASFLERRESERALHFVKYQGLGNDFIMVDNRDSAVPKVTPEEAAKMCDRNFGIGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFKIHTGAGLIIPEIQNDGKVKVDMGQPILNGPDVPTKLSSTKNEAVVQAELVIDGLTWYVTCVSMGNPHCVTFGAKELKDLHVDDWNLSDVGPKFEHHDMFPARTNTEFVQVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERKCVVDLPGGPLEIEWREDNNHVYMTGPAEAVFYGSFVH >cds.KYUSt_chr4.8712 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52264656:52272014:1 gene:KYUSg_chr4.8712 transcript:KYUSt_chr4.8712 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMEDSSVDGDGGGDGSAVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFFVSSGDEDYLSYKDLKGYVSSTSRNLPVPLTTLKLLGGLQGGEGTGQEMEVEAQEKKGHRAFAKAIKSFNSSERHKRSKSDLENMFGKDAPCASDKTCVQPKKEGVKVQVKSDFSKEAQPGRGAQNSLRKEILLLEKHLKDQQVVRGALEKALGPNAAPVNLSHENPMPKAANELIREIATLELEVKNMEQYLLTLYRQAFEQQAPALSPSDRREAPKPSVSSQSGRLRETANAKVSCVSRGDSMLRSSYPPPPSRKKWNDPVTDCSTSARFDRPNDSDVLRCQSALSYRGIRSSRISPSEESLARALRSCHSQPFSFLEEGDPTAAGVISLAEYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHGSSSSPTSSFSSTSAISPQYVGDMWSPNYKRESTLDSRLINPFHVEGLKEFSGPYNTMVEVPAICRDNRRLKEVEDLLQTYKLILYRLEAVDLRRMTDEEKIAFWVNIHNALLMHAYLRYGVPQNNLKKSSLLVKAACKIAGRNINVAVIQSLVLGCNTHCPGQWLRTLLYPRIKSKVSKVGHEWQAFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLFQQLEAAKEEFIRATVGVWRGQKILLPKLVEVYAKDVKLSSQGLVDMVQRYLPENLRMAMQKCQQQGSRSSKIIEWVPYNLNFRYLLARDLAFPHLN >cds.KYUSt_chr5.12053 pep primary_assembly:MPB_Lper_Kyuss_1697:5:78373807:78374811:-1 gene:KYUSg_chr5.12053 transcript:KYUSt_chr5.12053 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAHIKANGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHEFLGNKWSLIAGKLPGRTDNEIKNYWNTHVKRRLLARGVDPHTHRPLNAASSAAVQGMQHTPSSPATSSGASHQHLTGGSSCSPDASGHSSDADDSASLLLPPTGHLGGMIDLNLSISPPYQPSSPPPETRTVGDGAFRTGYSGREGICLCLNRLDLQGGVGCSCGGASPSSSLNSEVFSFRFSNNASLQRS >cds.KYUSt_chr4.7728 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46090570:46094792:1 gene:KYUSg_chr4.7728 transcript:KYUSt_chr4.7728 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEADKPLRRIGASFEDLAAVAKQQQPAAMAAGDFSRACSHVSVLFGCLGIAFKFAEMDYVNDLLEASKSVSTLPSMVEQDIQKGTVRVAGSHTRNLLRVKRGIDMVKVLFEQILVTEGNSLKDAASKAYAQVFAPHHGWAIRKAVGAGMYALPSKSQLLKKLNEDDMLTRDICRKKKEVNSHEGEGEFHPGQSSQTYDAGGSSFQSGQSSHAAGGHDGSAEVDSDEEEEEEEEEEEEEEEEQKKNMIDEEDEDRVEDELHSDQSGGSDNSDDNEEEDSIPSS >cds.KYUSt_chr4.53859 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333219273:333219641:-1 gene:KYUSg_chr4.53859 transcript:KYUSt_chr4.53859 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGRVTGRQTLRVCPSKRKAEEEGETAGSADSMVASGEAVEAPTKGKMLMAHEDIQSILSYKARGDSFKEFQARVAKEVEETGEFVVSEEHIKNVQETREWFRKEILNLRSDYPDVMFED >cds.KYUSt_chr4.45412 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281101982:281105492:-1 gene:KYUSg_chr4.45412 transcript:KYUSt_chr4.45412 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAAKTPTKPSTLPATAKTPAKHPAPSAPKTPAKPASSAAAATAKTPSKSVSRARNLLNSENSDPNILASPPPPPSKTPATAATAKTPSRSVSRARPSQASDNSDPNALASPPPPPSRAPTTATATASVRRRRRTLAPVPPPPAPQRRFLVAKKGAHRRRHNNNNTGDAGAFDFDKCREAAREALRASHQEFFLKERQASAAAAVTDDQPKKEEEEAHTAAAEGAEEGDAAGLEGSSKVRAIRSRVMAKALNSVPDSGSGRVRHLVDAFESLLSISGATADAERAGEEAWALPGLQPWKEGADAVFSSADFLDLGPTRLCSSLDGKSNRSSWDSQATTGGRRSRRNSSESLRSSWNRKLKVTSQHPFKLRTEQRGMAKKQQFIQKVQEMLVEDEKKRIHIAQGLPWTTDEPECLIKPPVKERTEPVDLVLHSDVRAVERAGFDQYVSERTKYAEQLRLEREQQEKLEEEEMIRQLRKELVPKAQPMPYFDRPFVPKRSTKGITIPKEPNFHLRPERCIRTRVEATVVKRP >cds.KYUSt_chr3.11868 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70827642:70828115:1 gene:KYUSg_chr3.11868 transcript:KYUSt_chr3.11868 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSSELRHKYNVRSVPIRKDDEVQVVRGTYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKALLDRKARGRAADKAKGKFTADDVAAAAGGATATGASLQEID >cds.KYUSt_chr4.8259 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49372219:49374866:-1 gene:KYUSg_chr4.8259 transcript:KYUSt_chr4.8259 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQRRPAAAAANARGDGAQQPQRVIHCDVEPAPRAWPGMQMLAVAAILVLGGLQFLPATHFRLHQDRGRNWIPIDPSLHAADHQVVGVHIISWISCLDLRTLAALTNSTLSSSSDPHDVSFTFLTPEGGNEQLHFYKIKSVLPDSNITVTSQKKTKEKLNVATPAGNFLWSFRSELSPIIIATQFSRKRHVYISPDSIVKGKVEDLAPIDLGSYAISAAEDCSKRLGDYISMDVLSAVQRTAPKGLVYTEPFDKDTCLLDFDVLIVEPRNLKRNLVDSIAFWAKAVNLACHRDSIRLAITLAFYNDYLKLPTNWKRANDNTDILYNDGPKNVCSEDGRQHEGKGSGEVWQQYLSQKSNAMLST >cds.KYUSt_chr7.36258 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226681664:226682810:-1 gene:KYUSg_chr7.36258 transcript:KYUSt_chr7.36258 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEQQWKIPPNVQELAAAGRDELPSRYVVPHHDRPTSGVASDDPIPVVDISRLSSGAADEAAKLRSALQNWGLFLAVGHGIEPALLGEMMAVTTNFFNLPLEEKQKYTNLVGGKKEHQLQGYGGDMILSESQVLDWSDRFYLIVEPESRRLYDLWPTQPRSFRDVLHRYAAQCRELADGVLREVAKVVGLREEGCLVDMLDEKAVTYIRLNLYPRCPRPEKVLGFRPHSDASMVTVVLNNAAGLQVLRDGEWYDVPVVPGALVVNLGDTVEVVSNGLLKSPVHKVVANSESKRVSVAAFYTADPEREVEPAPELVSEEKPRRYGKMKNSDYIRKLHESLARRERAIDRVKI >cds.KYUSt_chr4.18392 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115633622:115635413:-1 gene:KYUSg_chr4.18392 transcript:KYUSt_chr4.18392 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDIRLSIARQTRFALRLASAISSPSNADGAAGNAAFSPLSLHVALSLVAAGAGGATRDQLAAALGAEGPGEAESLHALAKRVVQLVVADASVEGGPRVAFANGVFVDTSLPLKPSFKEVAVGKYKAETNSVDFQTKAAEVAGEVNSWVEKLTAGLIKEILPQGSVDSSTRLVLGNALYFKGAWTEEFDASKTKEGEFHLLDGSSVQAPFMSSTDEQYISSRDNLKVLKLPYRQGGDLRRFSMYILLPDAPDGLWNLAERVSSEPEFLEKHIPTEKFPVGQFKLPKFKISFGFEASNLLKGLGLHLPFSTEADLSEMVDSEQKLCISSVFHKSFVEVNEEGTEAAAATAVTIMLMSLPLDPPMKMDFVADHPFLFMIREDLTGVVLFIGHVLNPLLAA >cds.KYUSt_chr5.1401 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9678928:9679596:-1 gene:KYUSg_chr5.1401 transcript:KYUSt_chr5.1401 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAPLLMILITFQAVALSATSSGLFISFPGYPDKCGDVSIPYPFGIGNGCAAASMNSFFTVTCNSTFSQLQLLHVDHNDGQLHRRFQPSGCTGNCCETTITPNLIAYAALVVINQSSVWTFNPCFYTMLAEVVWYSFRQQNLVERPGLINERAKRDVPVVADWAI >cds.KYUSt_chr5.28622 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181224246:181227497:1 gene:KYUSg_chr5.28622 transcript:KYUSt_chr5.28622 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFGGSGSFLGGSPVVASVEDGRRKRPFLTTLDEELQLDDEMYGYYGLDDHAPERKRRLTAEQVVALEQSFEEEKRKLEPERKSELARRLGMAPRQVAVWFQNRRARWKAKQLEHDFHALRAAHDELLAGRDALMADNHRLRSQVTSLTEKLEERTAASVTEHAGEAEATLAVQLKEDYAACAETKSCNGAAAAALAGFAGSANDSPESYSYFADARSPPSSSDDDCAGAVSGELGGCAFYLPDAMLDVVALERESVEREEDEDAQLNNWAWFWS >cds.KYUSt_chr5.37093 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234689889:234694942:1 gene:KYUSg_chr5.37093 transcript:KYUSt_chr5.37093 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLVRYWDESRRRQGRVAPPPGRLPPRPGHPPSRLERPRPPRCPEDRAAAGPSPATAERTVSAPRDGRLPRGRGPAARDLPSSAHALDGLADIVAQGKDTLAAAAASSASSASPPSADAESDLSSASGHLRYYSRFEAQLHALQSDPATFAADPDDAEDFAAWRREAGFSVDERQEEIEALATRATPWRACLDRLVPDAVDAEMFWARYFYRVHRLKQQEDARAKLVKRVIAQEEEEDLSWELDDEEPAPEEEIKQASISEEPKHSSNLISLGVSLGFRSDEISVSANVLRQTELDRLTVAPNVSTGPETTVIDDADDDDILDGQILSAIIGNISEVDLEHAELSSDLQASERGSRSSAGKKSRRYAFMQGRNILDGVAVLHETVHEMHSKKLNGVILKLDFEKAYDKVKWSFLQQTLRMKGFLPEWRALINDFVYGGSVAIRVNDDTGHYFQTRKGLRQGDPLSPMLFNIVADMLAVLIERAKSDGQIEGVIPHLVDGGLSILQ >cds.KYUSt_contig_7514.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001573.1:15787:18164:-1 gene:KYUSg_contig_7514.1 transcript:KYUSt_contig_7514.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREGAAAALTAALSSPALVAAVSVMALGYYSTVFVFLDHWLGLGTTAGAAHAAAFSSSSPPACSPSSALRPRIPAQCPPPSPRRRGPAGSPLCVDQQLRGLCNYKPFIICVLNATIGSLYASVIFVRDLLQTEHNFHILYVKIIHILAGVILFSLCWTIGSLLCWHIYLMRHNMTTIE >cds.KYUSt_chr7.7686 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46420677:46423898:1 gene:KYUSg_chr7.7686 transcript:KYUSt_chr7.7686 gene_biotype:protein_coding transcript_biotype:protein_coding MASCIPTGGGLRLPDLDMVKAAAAAAGGAAAPPPLRPASHSSASSTLSEASHSSSTSSLSLKRARTPRKRPNQTYNEAAALLASMYPSVFPAAAAAGGGHTTRLLGLASALADDAACADLLPPFPVLGNAACLLRDAPPPPSPRSPVLSKTCLSPAAVSSAFFTEFRDSAPSPATPDGAAADEPGELDFDSDSDGFDADSFLLGPDDGAAAEGIDGIMGKLTMETISFPASGALSSSAVDPFIRSLMVLGLGFQRSRPNVKQALKRHDDDSEWWMCPAIPLKDITPAPPAPPPALEKKKKKTKKKALKDIAVGPCRKCEDEIPDSVYGDDGILALKAPKTGLGLSLNTEEVLKAWYDRGSVFADGNVPESSSADGLTPEAKQPMPFFLLESGWAQTGAFGSIDMSTAKSSAIELFLENGAAGAIREGGIKKMQHRQKQCTPLLSNKTRYQARKGRFASQASLLQNAVKKES >cds.KYUSt_scaffold_1259.426 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:2869111:2875345:1 gene:KYUSg_scaffold_1259.426 transcript:KYUSt_scaffold_1259.426 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGQPQQMCAGSAAAGKEDPDPAPETEGRCALPDLDWVSDSDDSDKFEWGSDSEAESSWAPKLRKLDAPGPSMLDSNGWVNGQATSISLVEVYVGMGFPKEMVMKGIKQIGNTKGHSNENALLELLLTYKVVGEDAAVGNCSASGCTPLSVEDDDDFDFENWDVDDDAGAREHNSDSSGDEDFLQEMSEKDMKLKTLLGMGFPEDEANVAITRCGVDAALSLLIDSISASQYAGGHEVMNGCFYSTGERRKKKLMEQTKNKMKRYRGGAQGNRAPLDGSHDEEMPIPKPMVGFNLPGYMRSVIRMIPEQARGPPFFYYENVALAPKGAWPEISRFLHDIQPEFVDSKHLCAAARKRAYIHNLPIDNRSPLRPLPPKTIFEAFPHYKKWWPSWDPRRQLNCLQTCVGSAKLSDRIQCALASSDNPPARSIQKYVMKECRKWNLVWVGKNKAAPLEPQEYEDLLGYPRDHTRVLGRAERYKALGNAFQVDIVAYHLSVLRNMFPNGVNVLSLFSGIGGAEVALHRLGIHMRTVVSVEISEDSRRVLRGWWDQTQTGTLIEIADVKSLSNDRIASFVSTFGGFDLVIGGSPCNNLAGSNRHHRDGLKGDKSILFYHYSRILRAVKSSMARL >cds.KYUSt_chr3.33765 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211973731:211977736:-1 gene:KYUSg_chr3.33765 transcript:KYUSt_chr3.33765 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTVRYYDLCLCLFLGLLFYAVLRAIFGSGTKQRRLRLPPGPWQLPVIGSLHHLVRGLPHRTIRDLSLRYGPLMQLRVCERLAIVVSSAEAVGEIYKGNEALFSERLSSPGIDELSRHGQGIVFAPYGDHWRLLRRILMTELLSARRVEAFRRIREEEAARLVWSVQAASPPSGGGLVNINELLDEFMTDSVVRAIFGDKLPDRAAFLRTVRQGVQLSSLFDLRDLFPSSRLVRLLSRRGSKAERQRLEAFRLMDNILKAHEERRVARDEDHEQDLVDVLLSMQREGAMRVSLTDGVIRAVLLDVFGAALDTTTTLVQWAMAELMANPRVMLRVQSETRIVLTGQSTIQDSTLKDMDYLKAVIKETLRLHPPASFFTRVCLQDCKIHGYDVPRGATVLTNIWAISRDPKYWDEPEMFRPERFEGDIDINFRGMDFEFTPFGVGRRICPGIDFAHATIEMALASLLYHFDWDLPKGVEVEKIDMTEELDDNYGGKGGWHGDPRMQGRCHGSMEEKEEEEMDAPDRYYRPSRPVLLLSPTGITG >cds.KYUSt_chr6.1776 pep primary_assembly:MPB_Lper_Kyuss_1697:6:10730565:10732494:-1 gene:KYUSg_chr6.1776 transcript:KYUSt_chr6.1776 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRSGGGGGGGGGGCGSGGGGGGQQQEHAAEERDEKACADAEKGGDAGSGCDGKDKANEKEKAGDDKDKEKEKGAGGCRDEKGKDKEKKPPPPLPVVTAVLKVDMHCHGCARRIRASVRRYAGVEGVAMEVDKGSMTVVGRFDAKKLRDRVANKTRKKVDLVGSNNNNNNKGGGGGDQKGNDGDAKPEKKDDEGKELDKEGKDQDDKCGGKGKGGKDNKKPAVPVIVTVVLKIGSVGLHCDGCMHKIRSKLFKIKGVEQVRMDFPKNQVTVIGTMDAKCLPEKLRQKLKRPVEVVAPGKDKDKDGKQQQQQQGEGGNKDGGKQQGECKDGKQSKEAAEKAMAAELALWKTAYYDSQALQATQFLLSDENPNACAVM >cds.KYUSt_chr2.50373 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315006218:315007744:1 gene:KYUSg_chr2.50373 transcript:KYUSt_chr2.50373 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTLLPCLLLLAIVSLAPAASGVDASTDPRPIRLNCGASSASATDSDGRSWDGDAVSKLSPPTGAGVTASYQDPSLPSPVPYMTARVFASSHTYTFLVSPGRVFLRLYFYPSGYGGLSASDALFGVTAGGVTLLRDFNASQTALALNAAYLVREFSLNVTSPTLDLTFAPSPGAPSHYAFVNGIEIVPTPDMLTVPAPSFANGGSPDPMPIRADTAFQTMHRLNVGGGAVSPADDSGPFYRAWDSDYPYLLGAAVGVTYGKDSNVTVRYPPSVPPYVAPEGVYATARSMGPDAAINLNYNLTWVLPVDAGFYYLLRFHFCEIQYPITKVNQRSFFIYINNHTAQAQMDVIVWGRGIGVPVYTDYLVVTTGSGQTDLWVALHPDISSRPEYYDAILNGIEVFKLQTYGGNSLAGDNPPIPEKQQVEDRGIGGSRRKSAVSVAVGGAAAGGLLVVLIGCLCSCAVCRRGNKTASVVACEPEAVLQQPAHGLKPGPTKSSALFTSAQDK >cds.KYUSt_chr6.26511 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167910154:167916181:-1 gene:KYUSg_chr6.26511 transcript:KYUSt_chr6.26511 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWKWKTCVFAITLGMPVPLLLVVNMCWSAITAALALVLLDFKNVRSCLEKSHTHCCGVFITVDGFKCSVFCFSPATRCAGGSLGSEISPQSGDQHVAAPGLGELGGWQPLTPGLGGQPNRWVERTPHGGGFEQGPRGTAGGGGHCGDGDKVLPKLDRRDSTNVANATRGRPPPGIDQQSSPPTRNTQKEHFEKHRGCKTPRLCRGGQTGATRSKDLGFSPGERGETAPPPRRLQEGNGARRRHRCDLEGQGFPLADTVYKPHGVATVALATAVPPSHRTTRRHHAVPTAEGAGQHQSPWHARQPTRLPLFRAARYPTQAPVDLGKPRDNAVRPPNAHQGVGRPPRICTIAARWEDTPPTKAVTFSTHGCRCQLIWDRCGLEGALPRPKIEAARRTALPTTAPDLETSNPSQACVAPPPATNPVASVPTCITFDRHQRARAEVVPAVVGVTPGSS >cds.KYUSt_chr4.4421 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25321103:25322471:1 gene:KYUSg_chr4.4421 transcript:KYUSt_chr4.4421 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGGGPSDLEKEQMFGMAEKEMEYRVDLFNRLTHSCFDKCIEKRHKEAELNMGENSCIDRCVSKYWQAS >cds.KYUSt_chr7.19972 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123735917:123737906:1 gene:KYUSg_chr7.19972 transcript:KYUSt_chr7.19972 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATTGGDARGAGGSGRWAVGAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTFGAAESVLRVAATGHDKKLLFKVIPEL >cds.KYUSt_chr1.42650 pep primary_assembly:MPB_Lper_Kyuss_1697:1:260953751:260969810:-1 gene:KYUSg_chr1.42650 transcript:KYUSt_chr1.42650 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVGVLGPTWVTSARGRSLHRQAPLLRSCTEARAAAGSTQATRFAAARQIGDIAKSHPLELSALLKKVSQYIRSKNWDTRVAAARAIGAIAENVKHMSVKDLFASVEAEKHASGLSDETADVGSALPPPDTAATSELAFGSFDINRVLEFGSPLLSSGGQEYDVANDNGKNPADRLARQKQNLRRRLGLDVCEQFMDFNDVIKDEDLLAQKNYWDANVQNNGFYSFNSGQNIQHLVASMVPRYPKHSNYRPKRLSARERNMLKRKAKSNAKDHTKSAPEDDEVALKNSASSNGASSDQVAAYNDTSDTVVDEDNTEYSDSGRWPFQQFVDQLLLDMFDPIWEVRHGTIMALREILTHQGACAGVYIPDLSSPLADLDDRSDSDSLKRPHGIDLNDGIDVEQLEPVLKRHKKDEPNSSEIIHESVAERLTEEKPNPSEIMDIDLDKKLVNADDSKAEAGLSNVFTISSVEPNSADVKVEPELQLDNSTGLSKADTSCTSLHNAVSSASTPSSVIHVHENLKYVKLMKLAKHSCMKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPSLVCHTLNILLQMQHRQEWEVRHGSLLGIKYLVAVRKEMLKDLFEYVLHACKAGLEDPDDDVRAVAAEALIPAAASLVRLNDQMLHSVVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGMTALGEREFDLNKATQTAEQEDMLAYSENPYVLATLTPRLWPFMRHSITSVRRSAIRTLERLLEVGNTGSSAGNTPSTFWPTSILGDSLQVVFQNILLESNDEILRSSERAWKLLLQCPAKDLECAAVSYFSNWVQLATSPYGTALDSTKMFLPVALPRGSRSRAAAKISSARLEHESSRMISFGSTGENSSHERHFEVSSNVPKIIVGADSDKSVTHTRVLTAMALGLFAAKLPVGSWQVVLTPLANDVMSSSGVQRQVASMVIVSWFKDLRGRDLAAVGALLAFFSSVKEYLLDLLSCSDPAFPTKDSVLPYSELARTYTKMRNEATNLFRSVDSCAIFKDYASGLNFNADMLSVDEAINFASKLLLPTELDLPSDSEKIVLNNVESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMSGLPSKLNPVILPLMAAIKREQEELLQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLACTDIYETPQAAVINSMQVIEDQNLLSIGKRSSNHKSRGHASSGGEERTKTEGFISRRGSELALKHLCEKFGSSLFEKLPKIWDCLTEFLKPVEIQDGIQKDDPSIAQLGRSCEDKDPQSVINNIQVVRSVTPHLPEPLRPQLLRLLPCILGCVRHPHVAVRLAAARCITSMAKSLTGNVMVVVIENAIPMLSDSSSVCARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLRCMSDPDGSVRQSVTHSFAALVPLLPLAKGVPLPSGLSERLSRSTEDAEFLEQLLDNSQIDDYKLNIHLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVASDIAESRARNDDKDPKSLIICPSTLVAHWEYEMEKYIDSSIMKPLQYVGSSPDRILLRSQFDKFNVIITSYDIIRKDIDFLENIYWNYCVLDEGHIIKNSRSKVTSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLIAAKDSKCSARDSEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSQLQLKLYDKFSNSNAREEISTIVKANESEQSTAQPKATRHVFQALQYLLKLCSHPLLVTGESPPDYLVDHLKEIGVGTSGALHELHHSPKLVALQEILHECGIGLEISSPDASAAVGQHRVLIFAQHKAFLDVIEKDLFQSHMRSVTYLRLDGSVQTEKRFEIVKSFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQKKVVNVHRLIMRGTVEEKVMSLQRFKVSIANAVINAENASLKTMNTDQLLDLFTSTPASRKASVLPSSSIGEDSKDSSGKPGKKGLKSILNGLDELWDQSQYADEYDMNQFLVKLNG >cds.KYUSt_chr2.10071 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63718703:63722259:1 gene:KYUSg_chr2.10071 transcript:KYUSt_chr2.10071 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMDLMRRMPPGSTETALNALLSLLPDHSVDLLSQVDLPLQVCLDKENNKEYILCEYNRDADSYRSPWSNIYEPPLEDGTVPSEEMRNLEVEANEVFSVYRDQYYEGGISSVYIWEDEDESFIACFLIKKDGQGKRGHMQIGSWDAIHVIQVAPEQEGIAHYCLNSTVMLSLTTDNKKSGTFNLSGSIRRQMSMTLAVAEGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNMRIPDS >cds.KYUSt_chr2.16963 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106778056:106779931:1 gene:KYUSg_chr2.16963 transcript:KYUSt_chr2.16963 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAVLFAVDARAKQRGPVVHVAHEQPPNPTRGKHQVSLFSHILCSCLFMGLTPYRPCMMFSGSSTVMSSRRSTMTVFSDGYMELRPWICWEGNQPLMKVATAEGADEKEHGLPLALARLRLY >cds.KYUSt_chr2.2828 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16831048:16831749:1 gene:KYUSg_chr2.2828 transcript:KYUSt_chr2.2828 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFVKSPTGRTICLKVKRSDTLHTVKAKIQEQLHCLFFDGVQLEEDNLTLADYGIKHESTLDLQERMQIYVMETLAGRTITLEVDSLDTIDTVESKIQYIQGFPKDRQCLIFANKQLVDESTLADLNICKDSTLLLVLLPAVHHPAIHYPDGPGDKMRIFVRELKGKTITLQVERTEIIDNVKMKIYQVDGCRPAQQRLLFAGRQLENDRTLADYTIRKDDTLHLVLCLCGC >cds.KYUSt_chr2.51249 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320373341:320376058:1 gene:KYUSg_chr2.51249 transcript:KYUSt_chr2.51249 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGDAFRGHFPVPAGCRNRDSCPPDLGFAMAAALEENRNWHFGISSIVKMVEYSPDMLVLSRIVYHEFTPSEPWSIKQCAVLPV >cds.KYUSt_chr4.43904 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272137525:272139903:1 gene:KYUSg_chr4.43904 transcript:KYUSt_chr4.43904 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARFQEVDAGVDVVEKELGLHEVQAKRQFGLRKASCACQCHYSIYTHQLPCPADALLDSPPSHPLTNRNGQVHHLPPHACSGRRQQQQQAWWSVCQRGLRRPAAAAGGHGGGRPCYVQLRVYDPAQQGDEAVQRAMGVVNRELALLRPIFKAISKMPEGSAAEVRAKEEARAAANDLLTRHLGQLLPGGSVKMEEL >cds.KYUSt_chr4.36532 pep primary_assembly:MPB_Lper_Kyuss_1697:4:224486615:224495341:1 gene:KYUSg_chr4.36532 transcript:KYUSt_chr4.36532 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSHTIPIPFVTRYFTCPRYFNSLQSECFPACYLSDVNMVVSAPTGSGKTVLFELCILRLLSRFLTPDWRFSLIYIAPMKALVQEKMRDWTAKLGTLGISCLEMTGDSEFYNRKAIHDSDLILTTPEKFDSMSRNGIRDGGLGFFSDIALVLIDEVHLLNDPRGASLEAVVSRIKMLSRLGHMKSSPLANVRFIAVSATISNAEDIAEWLLAPPEGLKRFGEEMRPVKLTTKVLVGFHNGGLGSKDRSLVEGLFLKGDLQILCTTNTLAQGINVHLYENLLRGCEMVESQTTQAHIGRSPLSKEVCVTEDDDSVNAPDKAENIPGTRKFNNLASLEVPSFDLLLEEDNGDTEDASFYEPVEAECKGATSKTIFDHIRKKSRDFPTLMLSKSMDSSYEPLILKKMKTSRDQFDLDQGSLHANEVSPMDSEHTEATVSPTNTAEKCRRILSRSSEKSCSLFAEKIDSPLEKSKILTRSPYEISLQFPGRRDSPSEKSKILSRIANENSLQFAARRDSLSEKTKVAWTTPDENSGQFAGKMDSLSEKCKVMIRPPVDNTLQFPARRDSLSEKTKVAWPTPDENTLQFAVRRESPPEKSKVFSRTSDENCLQFAGKMDSSSEKSNVLSRTPEVNSLQFAGRADNPPEKNKLVFGLPFSDFQAMQSTKQVPAAVQPLRIQEYCKDILASSKSRDTASGTQIAGTKKCRQRVHLPPRKKVVMEFGPCLAARPDASSCGQRKLRQLRHASNATARFTSPTPSPLPRRA >cds.KYUSt_chr6.27847 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176629512:176632700:1 gene:KYUSg_chr6.27847 transcript:KYUSt_chr6.27847 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQQLRSSCKKCSNRFPVPFLGCALVVVLLLSMASPASSCTEQEKGSLLQFHAGLSQDGGLSASWKQNSTDCCVWEGVTCGADGTVTDVSVASKGLEGHVSPSLGNLAGLLRLNLSHNSLSGGLPLELVSSSSIIVLDVSFNSLKEDMQEVPSLTSVRPLQVLNISSNLFTGRFPSSTTWGVMSNLVILNASNNSFTGQIPSHFCTGSPSLAVVALCYNQFTGGIPPGLGNCSMLRVLKAGHNNLSGILPNELFDASSLEYLSLPDNGLHGVINGAQIVKLRNLATLDLGGNNFSGKIPDSIGQLKRLEELRLDNNNMSGELPSALSNCTNLIAIDLKSNHFDGELTKVNFSSLLHLKSLDLLYNKFTGTIPESIYSCTKLAALRISGNNLYGQLSPNIASLKTLTFLSLGFNNFTNITNTIRILKNCRNLTSLLIGGTNFMGESMPEDEIVDGFQNLQVLSIAGCSLSGNIPLWLSKLSKLEMLFLQNNQLSGPIPGWINSLNLLFHLDISNNSLTGEIPRALMEMSMLNSEKTKAPRLDPRAFELPVYATPSRQYRISSAFPKVLNLGNNNFTGVIPEEIGQLNSLGILNFSSNSLSGEIPQQLCNLTNLWVLDLSSNNLTGIIPSALKNLHFLSAFNISHNDLEGPIPDGVQLGTFLSSSFEGNPKLCGRILHRNCGSAGRSSGSRKHWSKKSIFAITFGVFFGGTAALSMLGCLFATIKHRSSNSGDVEVYSIETGSEESLVIVPRGKGEDSNLTFADIVKSTNNFHQENIIGCGGYGLVYRADLPDGSKLAIKKLNDGMCLMDREFTAEVDALSMAQHDNLVPLWGYGIQGDSRFLIYPYMENGSLDDWLHNSDGDANLFLDWPTRLKIAQGASRGLSYIHGVCKPHIVHRDIKSSNILLDREFKAYVADFGLSRLIDSKTHFTTELVGTLGYIPPEYGQGWVATLRGDMYSFGMVLLELLTGRRPVLVLSSSKELVNWVQDMISEGKQLEVLDPTLQGKGYEDQMMKVLEAACKCISRNPCMRPTIQELVSFLESVDGKLQMQNSVKIECRYT >cds.KYUSt_scaffold_1700.390 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2550246:2552481:-1 gene:KYUSg_scaffold_1700.390 transcript:KYUSt_scaffold_1700.390 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHRRGLGEGVDVGNGKAHWRGWGEQMEPESMKRDGGGPRITGFAEVWIFITPGPLALRIFLTLGTEELVSFTDASLCMFLALIPNESSRPQIGHELLSDPEPGLVRVKTNPIQRTLSSQDHLSLQQQARRPMEAQHDAILFIPSLVGIVDIKA >cds.KYUSt_chr1.26363 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158807580:158809077:1 gene:KYUSg_chr1.26363 transcript:KYUSt_chr1.26363 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEIEAAEDGLPVPAPPIGRRYRPVGSEDSAVIQMTSMDGSSTSATAVAGVTPQPPRNLQPGANLTINPSMQEDSSDHATSSGSQRDSKLELFGFDSLVNILGLKSMTGEQVQAPSSPREGEDVAITIGRLKVVSGSNI >cds.KYUSt_chr6.32071 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202573091:202573312:-1 gene:KYUSg_chr6.32071 transcript:KYUSt_chr6.32071 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRQRSSAAAHPGHHPRAMEENKRRSLGSSETQRKKSNEREKVMGKVTLSLFLQGMVNMELSSPSFSTAGKA >cds.KYUSt_chr3.48927 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305685393:305686510:-1 gene:KYUSg_chr3.48927 transcript:KYUSt_chr3.48927 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKAAASASAARQPSHAAQNGHVLPSKLARYLDPEASWDKDQLLDAVHWIRQAVGLACGLLWGAVPLVGAFWIALFFTISTVIVHLYYAYLLKIDEEDFGGHGALLQEGLFASFTLFLVKI >cds.KYUSt_chr5.20568 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133652558:133660524:1 gene:KYUSg_chr5.20568 transcript:KYUSt_chr5.20568 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSASHGVFGPLLGKLTALLAKECGRLKGVRREIRALRSELASMHAALREYTKLEEPDDQVKTWISLVRELAYDTEDVFDKFINRLGNGQDDGGFKEFFRKTARRLKTLGARRGIAGQIDDLKVRINQVKELKDCYKLNDAPSSTTGHATVDPRLHALFSEEARLVGIDGPRDDLANWMVEGNNSSEHLKVLSIVGFGGLGKTTVAKEVRQKIGGHFDCRAFVSVSQKPDIKKIIKDVISQVSIQDGCTDNWDERKSIAKLRELLQDKSAFPEDFVIKRCELVRQWIAEGFIPEERGQSQQDVAEHYFYELINKSMVQPWDIEPDGKVRACRVHDMILEILISKSCEDNFITVEYIMKGLDKLSKLKYLKLMGRGTTKLPPGIVRLHDLQTLDLQGTNVKELPDGFVQMTELQHLLTEGFAKMPNGIGGMMNLWEISSFDITRSPANAVDFGNLANLNTLSVKLDSGLSEEHRRREEMFLSSMCKLGNCKLQHLTIDRFGGSLEFLDSWSPLPSSLQTCRLSGYSCFSDFPKWIAPALTNLSFLSIGLTKLTVEGLHTLGELPALLILHLQIKTGPGDRIIVQHNCFPSLNEFTTSAKKGANFIFMKGAMPKLEILGMSINLSVGKTYGFYTGMENLGCLRELRLTLPCKDGTPFDDTPAAAVIRKEAVAAFAREAASHANHPSGFLTDETGMMTFLE >cds.KYUSt_chr1.1897 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10920194:10923144:1 gene:KYUSg_chr1.1897 transcript:KYUSt_chr1.1897 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASKEGKEEKETKVHLACSEHDLDGDIAIREDELPRRARRRGRPARRHPGGRRPQPLPAPPTHKSPPPSNVAPAHTSAAPPLGRSSEVSLPRDGGAVRSRSSVAVVVSPGEVFQSYISSRFSSFLSFSPTVPVVSGEIWVSTPFSSPCFTEETIGRILSFFLCGATDSLSATAAAEDVFHVVVASPAVAAFLLQAGRKHCARFGLGFHGSLALATLAVADPDRGPLVSGVGSKRRRRSVPVWSRLPASSIGFGGKGLLPLPPARNEEAPIPSIDVPSGDTSLFKDSACSASLPPYAGAPRPSHTGLEPNTPVSPVPAATTVINAPSTPRLRSYLEAARCPPAPTPATPRAPFKNPNLSLDGCFRCLSIRHQVRACRDPIRCRGCGRSGHRLRDCTMPFPQPTFVPTNATPPPAAVAPRRRATPYPSALPSPLPFSSGSRRARSSSPVRRRGILFEIGESSQPPASPPLPRDVPMLQPPAAPIPSPGPLGDDEEEVESDDDSVPPLLEVFMPPGDMEAARRMAVVYIEGLPPFSSPSAAFAEAMFLELPGLYVTGVGSSIGDLYAKFQSEEDRELAMLHQPFHLDGATFRLVREEEADRIPCDMQWVALVLARRVPVEHLSHLNVAASFSCFGETLEVDAACLSGADYAAVRAVVRLKHERFVPSEVLLTRAPWGSRLITLRKVRVWRVRESYNSDGEYVPFFRPPPPPLFHRRLGALPLAPARLPPAPLADDDSRGDPGLGRRDDAIDAHAVLLAMLDSVASSPARDPPSPLTSSSSSTLTISWSSLLGSDSAESSLSSGPPLAFTRCRGVVITELEPVLPAPAVAAAVAPPAAGKRSARLALKEPAHYEPVEVRAMKLRGLKDALGSCTAALQKQVLKHGAMTAHAKPLRKRAVAALAATICASAPSVRAGDDV >cds.KYUSt_chr2.11974 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76065393:76066583:-1 gene:KYUSg_chr2.11974 transcript:KYUSt_chr2.11974 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVVVLTTSSTRHSCKVCGKGFPCGRSLGGHMRSHALAEVAAAAAADEETTDSDEDDERRWIQGGAARPSIVGAGGAGYGLRENPKKTRRLSRSPGADDVDDDEYDQELSPCRPVFGHVRSHAPANGGYSDDEDVRVEGPEAEDMLIRTEAAVVMAGPAPRRRRRSMRVPALPPPREVFDKEPEDVALCLIMLSRDTGGLWRKEEKGSTKKARNGFYHDSAGSDDNSAQYADAEIAKHGKKRKAASSAYTGGEKRGRYECPGCGRAFQSYQALGGHRASHKRINSNCCTTKVLLDQPEPSVETTASFSTPSTPDADDAYGAVAAVKAKKAIKFECPICFKVFGSGQALGGHKRSHSIAGELYDRNHADAVIADTEQSLIAARFLDLNLPAPGAED >cds.KYUSt_chr1.28622 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173000823:173014319:1 gene:KYUSg_chr1.28622 transcript:KYUSt_chr1.28622 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHEAYSEHEGENTDGSSAVEVKNEFSKLHNDIFQLTRLRSGPSESVCKSRDTASITRLLRGREVNSSGNGKFSSADRAFALGHYLPVDGPEIVDRMDSRAYVSQFSADGSLFVAGFQGSDIRIYDVDKDWEVHKDIHARSLRWTISDVSLSPDQRFLVYSSLAPIIHIVNIGTASRESYANVTDIHDGLDFSQHEDVRYSFGMFSVKFSTDGRELVAGSNDDSIYVYDLQADKLTLRLPAHTSDVNTVAFADESGNLIYSGSDDNLCKVWDRRCLSSGEAAGVLTGHLHGITHIDSRGDGRCFISNGKDQAIKMWDIRKMTSNVDGSENRVPAWDYRYSRYPQQKQQKHPHDQSLATYRGHSVLRTLIRCYFSPTYSTGQKYIYTGSYDASVCIYDVVSGSQVAKLQGYHHLAVRDCSWHPSDPLLVSSSWDGRIAKWSRACSQQDTSELD >cds.KYUSt_chr3.39319 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247670491:247670790:-1 gene:KYUSg_chr3.39319 transcript:KYUSt_chr3.39319 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVRADQRRIRRLAHTVGGNRSMSSLSLSARTVHEHEQDDKNPRYGWEDEMGSGAHKRETGEVDVQGAAEEVVHLPLLLLLLGPSPWPPRLVGRGGK >cds.KYUSt_scaffold_869.1476 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:9429343:9429666:1 gene:KYUSg_scaffold_869.1476 transcript:KYUSt_scaffold_869.1476 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLQGIKVSLLVVVWAPGNHRRMAGDEHLRGAMRVNVGKTEEREREAVEGLRRTGHSPERSREVQPGKGRLGVAGIEGEGWRMARGIGDVVLNSGSSGLIPCTTA >cds.KYUSt_chr2.29705 pep primary_assembly:MPB_Lper_Kyuss_1697:2:182846912:182849017:-1 gene:KYUSg_chr2.29705 transcript:KYUSt_chr2.29705 gene_biotype:protein_coding transcript_biotype:protein_coding MGPITGAGQERARDGALGAEQARALDGHLGAVEKDCAATAPRSPSKTRACSAPRAPSRARSCPAPLSPPRARACPIPKVAVPGTLLLCPEVAATGTHVALVVLCSIAGAGGALVGL >cds.KYUSt_chr5.2102 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14261158:14263121:-1 gene:KYUSg_chr5.2102 transcript:KYUSt_chr5.2102 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRYGTPAFGLVLERAAEVHFRKFNSVVVCGARGPRPRYPRVWKTDKRIGTVSKSQKLVKCIKDLSNVKEEVYGALDSFVAWELEFPLIAVKKALHTLEEEKEWKRIIQVIKWMFNKGQGKTMGSYQTLLTALIEDGRVEEAEELFGKIFSRYMEGLPRIFFMKMISLYYSLGSYQKMFEVFADMEELGVRPDRSIVRMLSVVFKKLDMLDKYEKLNRKYPPPKFEYRYIKGKRIRIAVYPDDNIEEITQRNSGTDELEEAEGIDSDNEFEEEASTGLDQNVLGDAASGDLEVV >cds.KYUSt_chr5.41417 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261414354:261417885:1 gene:KYUSg_chr5.41417 transcript:KYUSt_chr5.41417 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHIGWEKFARYHRLEAGFVLVFSYFGDRDMSVKQNPLLSNTTTRPGLAHLTIYTTRSERSSIYTQPRTTSAVHPKKLAHLAGKGQRILAVGRPALVFRCRRRRGLLRGVGRRHGAGRDPTDQTKPQQSDRLREEQNRAGVTPNHGDTGRPDAAVTGGLTHRRLPPHGLAAARCVCKAWHALVDALDLLNPFRSSHAGFFINYRDLDFSEFFFRPPRDGAAAVSGKMHYVSLTEHDDTTVLHHCNGLLLFWYYVVNPATRCWAPLPPPPSGPYDINVDPRYIVFDPPVSPHYEVLIIPPPPRHDMQQLEWPPSPFVMHVFSSATGSWENRSFARQGAAIGTVGNIQELMKPPFSMMRDYSAYWHGQLYVLDRFVMRISLSNCTYQAFQPPVHVGTRDQELCLGRSENGVYFVSYDHRCRLRVWILNESDSEIKWELKHDNNLNDMLSGGMYDQEVQGPWIMEDVNYNFYHSTSLTNMEEAEEKDAFEWNSDNENTLVFLGCVETLVFWFHVFIG >cds.KYUSt_chr6.1514 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9207026:9212345:1 gene:KYUSg_chr6.1514 transcript:KYUSt_chr6.1514 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRLVTLRIWFVCFSNLFVFILYDLALQLQWSYSILLGHQQNQEEHEPLSSAFEGSSPASAVVAVDTNLDTSTPDTYRAPPAPLPYDVGLPENSDLEKSDIKNKKDDQEESLKVDEYESCEKGVPEDKPEEEDVCPICLEGFVYVTYMPPRRRSSTGYRDVCERPNGTFYAEIRIGDERIGLGTFETGLEVLLDDVKLPANKVKWLTVALKLLVLPIL >cds.KYUSt_chr7.19978 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123778294:123779224:1 gene:KYUSg_chr7.19978 transcript:KYUSt_chr7.19978 gene_biotype:protein_coding transcript_biotype:protein_coding MSVESRGGVPTWWRLGPWPRPENRNWRFGILLIDTFETYQRSFESASSTSISKRRCGSAADAASPEELGLFLVALGRNLVDFIKISLRQEEDVVSLHQLQHLSQARLGHFMISTFGYEISVLSHNMEIIKSAFWSVQHDAQTELESMTLMQIAPAAMHIVVQDVPLMSISTWFFWHDDKHGDDVVPVVRVDPSVVDDNTPAAVPRIQWRGFLRLSSVKDIQYLQLSPPYLR >cds.KYUSt_chr1.34781 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211851442:211855208:-1 gene:KYUSg_chr1.34781 transcript:KYUSt_chr1.34781 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMQRSITELLTRVGTVTDEIQSVRKQMEDYGADLDGIKRKLAEPARPSTMPRLELRPNVIPILDIPQSSAAGAAAGETIPHADTGMARMTTTARFHTAPSSPTDHEECLTYFDMFKIPSQQWVSMASLYLEGNAALWYQSHKRRNGVLGWSIFTLAILEEFGQDEYDGQMSKLMQLRPEWCTITPAPTPTVLVKVVNGQILLSNSQVHNLQWCYEGHVFTDTMRIPDIGAYDAILGKDWLDRDPVGPASQPACPACRPVNRAATELQTKSHQFRLPTGQPACRPGCPALGPVNQAATGRQPGDLEVRPIDRFGPAGPVRGLVRPGPWTGLSGPEPG >cds.KYUSt_chr6.15304 pep primary_assembly:MPB_Lper_Kyuss_1697:6:96009151:96013790:-1 gene:KYUSg_chr6.15304 transcript:KYUSt_chr6.15304 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPIFLDGLVTAWGAILISVTLILLFGEILPQSICSRYGLAIGASVAPFVRVLVWICFPVAFPIAKLLDYMLGHGKAALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELSEKKAKDAMTPLCETFVIDINGKLDRKLMQEVIEKGHSRVPVYYERETNIIGLILVKNLLSINPDDEIPIKSVTIRKIPRVSEDLPLYDILNEFQKGHSHMAVVIRQNIPDYPAKQLNNGGETLEVAIAIDDKPGEKSVKNLTPLRRWKSYPNTLNSNTGSRRGKWSKDQSDVLQVHEEPLPTLSEYEEAVGIITMEDVIEELLQEEIYDETDVHVEEQDQ >cds.KYUSt_chr7.22713 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140920647:140928544:1 gene:KYUSg_chr7.22713 transcript:KYUSt_chr7.22713 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRMADGAESMADIPLKMDDLLNKAMDGPSSVQEAGLPFIPAEYKITSGMEGQEQQRQKLDHMPAGSKDRGSVVPDEMSTPTNKMDTGYEPIVPDEMSTPANKMDTGRLAAGEDEEVLLLKPTRTAENLASTFIRLDLDQIPTHQHSPIHDTAVNLYLRPVQLLLVPHFTATGRFWEKCESPVDMNSVPAALR >cds.KYUSt_chr1.6057 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37198624:37200303:-1 gene:KYUSg_chr1.6057 transcript:KYUSt_chr1.6057 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGSSPWWCLGSHRWWVRWALLAIVLWRSEPRMAGGAPSVPLLNKPGMWLKLVISLRSGRLGGEQGCQHRNNGHMLIPKVQDLVMPLQTWRRYGGSLHDEG >cds.KYUSt_contig_7463.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001544.1:88259:88775:-1 gene:KYUSg_contig_7463.15 transcript:KYUSt_contig_7463.15 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARRPQVPAFGEWNYCYHHYGEPPAAESYYAPEPEQEACSDVWFRYSPPRKPTPKKTRRPEGEKGSVRRPRAPEADGLERATAIKARVASASRVVRPVDEDLYQVPPPEFISSHRPRRKRRSLLMGCLGLNSCVA >cds.KYUSt_chr6.14234 pep primary_assembly:MPB_Lper_Kyuss_1697:6:88920205:88920891:-1 gene:KYUSg_chr6.14234 transcript:KYUSt_chr6.14234 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATEDCSSGSETTTSCSAEIQASPPSTTASSSNSKTNKRARNDGRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRAAHLNFPDLAHELPRPATAAPKDVQAAAALAASADFPASANAGAAAVKSPDGPEPEVSAADSASPPPPPPDAGDDALFDLPDLLFDLRHCPPSCQLSCASSWDDDGDLAIAGAGAAAFRLEEPLQWEY >cds.KYUSt_chr4.11328 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68864601:68867160:-1 gene:KYUSg_chr4.11328 transcript:KYUSt_chr4.11328 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVASMEDMKEPRQAAQGRLFPTGMLKLFLGFLLLGVGLSAAGMYMARHTLAAAAPALFRPCLGTSSPPASSSDLETLDRWTRPPARARHAMADDELLWRASFAPRVRAYPFPRVPKLAFMFLTRGPLPLAPLWERFFRGHEGRYSVYVHALPSYRGNYTSDSVFYQRDVASKVTEWGQMSMCDAERRLLANALLDISNEWFVLVSESCIPIFDFNTTYEYFQNSSQSFVMVFDDPGPYGRGRYNYNMTPEVELTQWRKGSQWFEVDRELAIEIIRDTRYYPKFKEFCRPHCYVDEHYFPTMLMIEAPQSLANRSITWVDWSRGGAHPATFVRSKCTGAIVSVSAHCARLWLMDDLTGAP >cds.KYUSt_chr3.25689 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159505408:159510715:1 gene:KYUSg_chr3.25689 transcript:KYUSt_chr3.25689 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAAAAAACPTPIGLGPRPTSCRASAQPRRFRRRFRLEASSSASAPAPAKADEGGGAGPCPVVRFDMADFTIADRVSVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLTSSQAKRRGRRALEVLKKLARRQMMYHSYAMQVHGYVTPTKAMDVEEVDAPLVLVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSIGGPAVTRQLRLIRILMRDLLIGVNYLHSHGMAHTELRLENVHVSPVDKHVKVGILGNAVDFHDSDPSNSTVASNNERRKMMIAFDMRCVGFIMAKMVLRELMDSSTFLKFKSFLNKGNDPSCLREFLVPILSQNSPSGNIGLQMLDRNWGAGWNLLALLLATKPDKRISCIDALRHPFLCGPKWRISPTVNVVRWGLGSTAVRLAEDYIYGHHQRRRLAYFIELMEVLNPNPRTEVTVMFLLQVLINVNYKYRVRVLITDAFLTFAETPESVDPVLSLTSEIGFKIMPESDWPHDKSGTEGILSLTTSARITHGSIYTNADDIEGRRITSSGYLRGKWGKASNIKQLPASLPAASINVDDDEVDVSMSCGSTLNVNSARKVLQEVRTQTPPEMFDLSKIVCGTYIDSRLMILRGVNGSALLFIRSNRMSDS >cds.KYUSt_chr4.48269 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298962334:298967320:1 gene:KYUSg_chr4.48269 transcript:KYUSt_chr4.48269 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASCKRQKHGIGNDSSPGTQSPSSIISQNRSVRLNFLAQLNDLKNGCVPEDYKAINNKRHEVINTLKQLQLVPIKLRYASPALKSSGARLRGASQSENNTNSDNVTNMDPHYVGDHVHGNMDNIGAEEATFVVDSDDKETTCVVDSDANFIQKRLLTEQSGQYTDTILLDDDYCSSEVQLVVKQGMDDMGVDSERHGEEKEVREGEAEDVQSKGHTNNNNISVVDPYELSCEVVRGESTENGHYGNNDNPVDGLEDLFREMDVALACSKHIGSEHIILPSEEDICEEVVVDCPHEFLRKDDLGDVCRVCGLIQKPIENILEFQWKKHSEQMKPHQVEGFNFLIKNLADENDPGGCILAHAPGSGKTFMVISFVQSFLARYPAGRPLIILPKGILATWRTEFLHWQIEKIPLYDFYSSKAGTRSEQLKVLNLWEENKSILLVGYEQFSHIISDQTCKSEAVQEKLLKVPSLVILDEGHTPRNEETKLLDSLGSIRTPRKVVLSGTLFQNKVREVFNILNLVRPRFLKMGRSRAIVKRILSKVDMLGKSARSRDISDKWFADLVEANLQKDANDNVRAMIIQNLRELTANVLHYYPGELSEELPGLVDFTIFLNMSTKQEEILRGLVGLDKFSKRIKCNAISLHPCLKDVQKDKGKNQDIVVTKIGGIISGIDINVGAKAKFLYNLLCLSEAAGEKVLVFSQYLRSLIFLEMMFRAKGLKPEVHMFKITGKSTPDQRNKAVERFNNSPDAKVFFGSIKACGEGISLVGASRVVILDVHENPSVMRQALGRAFRPGQSKMVYCYRLVAADSPEEEDHNTAFRKEWVSKMWFESNEFSGNDAFELSSVDVSESGDEFLESVTLRQDIRSLYKRYAYFNDE >cds.KYUSt_chr5.4632 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29550823:29551256:1 gene:KYUSg_chr5.4632 transcript:KYUSt_chr5.4632 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVDGLTNDEVKSHLQKYRLHTRRTSDGHRQQQSASVWPPPEQYTTSQHSTSQSGSPQGPLRLMTTGSSRDVSATAGDSCDGGEEEEEDGKSASYSWEVQQTGTKAASSS >cds.KYUSt_scaffold_869.214 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1338010:1340233:1 gene:KYUSg_scaffold_869.214 transcript:KYUSt_scaffold_869.214 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGLAGLAVLVTAVLCLQLPAASRAQLQVGFYDTTCPNAESIVQQAVADAFATNSGVAAGLIRLHFHDCFVNGCDASVLLSVNPAGGDPERDAPPNNPSLRGFEVVDAARAALEQSCPSTVSCADILAFAARDSINLTGSDVFYQVPSGRRDGSVSNGTLAFTLPGPNLTAEELVKGFADRNMTAEEMVVLSGTHTLGRSHCSSFIVRNRERLASGTISPAYQALLETLCPANTNQTTNVTTEIDLSTPTVLDNNYYKLLPLNLGLHFSDDQLIRNATLKDSVDAFAANETLWKEKLVAAMIKMGEIQPKTGAEGEIRLNCSIVNMASSSSSSSARLIEVLRPGSDSEVASS >cds.KYUSt_chr4.6057 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35534105:35536721:-1 gene:KYUSg_chr4.6057 transcript:KYUSt_chr4.6057 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSAAAAVILLVAAAVAGATADAGPGPTCPRRVGPPFLDALGSRCPRIDPSPPLEVRGDAFDKELSFRHGGASYSILFYAAWCPFSSKFRPTFEALSTMFPQIQHLAVEESSAMPSLFSRYGVRAFPAILLVNETSMVRYRGTKDLKSLVDFYKETTGLDPIAYVDVIQEESPGSLGSVMPGGRSLHEMAKDEPFVFLSVLFIILRVVAHFVPTIICHLRAFLIVRVRNLNLGIHRGTSQLMERALTALDVKRLWSKLRLSSKTRDLRKGASNARAWASSFASVSLGEPSSSRQA >cds.KYUSt_chr5.8374 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52930964:52934096:-1 gene:KYUSg_chr5.8374 transcript:KYUSt_chr5.8374 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHPVRYELDFEDLKATEGYNPPHDFLIRVLPLDAGGALPGGGETREMLWYEYPSPFDWDLQLQEESDRQLQLEEECLWRSLEERERQGQQLEVTPAAPCGEGAAAAASAGQPEQRQRRKKSHMKRLDVDERWQVQDVLYLEDIWANSSTTECWGLDHETPLCSSSSPSRDASKSEEHQFLDLEEHQVHVRMYEYDGASIPRYCLFKSEANTQELLLGFPLKIPYIIYAGRCNLKLQGYKLRYADLFPSFHKWNYTWVRPTTYEGDDQYVYFFRELLAEAEYTNTKDRVLAKAIAIANKAFRKMLYFQIELAVGDCMMLLEEKEKFQQRCNLYGYVASEIYFKNQKYESVLERVKHGHEGLCYELTEFGRDANEDDAWEMRAFVDHLVSFVEITVIWAVPYRGKLVRYELDFEDLKATEGYSQPHDFLIPFDWDLQLQEESDRQLQLEEESLVERERQGRQQLESM >cds.KYUSt_chr2.36232 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223786727:223787341:-1 gene:KYUSg_chr2.36232 transcript:KYUSt_chr2.36232 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQFLKQLFSAIVAAVKGRSTATGSKTSPVRTRLVIFSILRNKKLLMSAINSKIHAIMGGGSQDDSERGNGSNHLVAAASSGVGRKAAVLQNLPSFVLLNSLPSFTMGRDGGGSDSPLVMVSSEVEKEEGGEGVAKQLQLTNVPPGSVIDLARSAAEQGGVEFRLEDEIDHVADVFIRKFHDQMKLQKLESFKRFCEMLERN >cds.KYUSt_chr4.42868 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265511721:265517424:1 gene:KYUSg_chr4.42868 transcript:KYUSt_chr4.42868 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTVMAGCGLDNKPARCSVSLDAPCGSLLRELEQIWTEIGEREQDKDRMFQELEAECMRVYRRKVDSANADRSQLRQSLMAKEAELKALVASIGENTPQFKVDEKHTSLKEQLAAVMPLLEDLRAMKEERIKQFSKVQSHIVKINAQISDYNCQQDDGSFKFNNDHDLSTRRLADLQMQLRNLQKEKSDRLQKVYVYVDEVQCLCAVLGMDFAKTVKDVHPSLHGTNSENATNISDSTLEGLTQTILKLKAEKRTRVSKLQEIVGKLHKLWNLMESTEQDRRHFAQVASVLGSSEEEITSPSVLSLETIQEAEEEVEILTKQKASRMKELVLKRRVELENICKKAHMEPDPSTAPEKIVALIDSGLVDPCELLSSIEAQIAKANEESLTRKDIMERVDKWLSACDEETWLEEYNQDSSRYSAGRGAHLNLKRAEKARVLVQKIPTMVDNLIDKTFAWEDESNSPFLYDGVRLVAILEEQKLRRVQKEEDKRRYRDQKKLHNLLLKEKELIFGSKPSPRKASSFNRRASGHHPNGNGAGFMTPMPRRVSAGGATPELLTPRSHSGRYNNYFKENRRMTAAPLNFSTASKDDSMSSFASVSGSEPGSPLVLH >cds.KYUSt_chr3.33106 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207842556:207845918:-1 gene:KYUSg_chr3.33106 transcript:KYUSt_chr3.33106 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVTDAGGDLFAANLKGALLAVASSAFVGVSFIVKKKGLRRAGAAGTRAGVGGYGYLWEPLWWVGMVTMLVGETANFVAYMFAPAVLVAPLGALSIIVSAVLAHFMLDEKLQRVGVLGCILCIVGSTVIILHAPEERSPSSVEQIWGLATQPTFLCYAALAVVVSLLLMLYCAPRYGQANIMVYVGICSVVGSLTVMSIKAVGIAVKLTIQGENQAEYFQTWVFVTVSAICLVIQLVYLNKALDTFNTALVSPIYYAMFTTLTILASGIMFKDWSGQSASVIASETCGFLTVLAGIVVLHSTREPEQTISGGVNPPPSSSHPPPLQSDVPAVLSPAEITAALRDLATAVHEIHLYLAGPYGPPPPAALLPWQPTHQAASAAIAGLLQPTLLLVVAAATRRRAAAVPAAAVHHLLGAGVPLLRRPAAFFPTGRCSSNSSPPPPPTPPLQSAVLTAAIPAVRWAACNGSSSCRRHQHRSAAVAATDAVLAFRRCGSDLGPGLYIDTARDALPPCPFPSVAITASDLDRYPPRVGGGEAAGCCARPPSASTCAGDA >cds.KYUSt_chr6.4081 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23689218:23690330:-1 gene:KYUSg_chr6.4081 transcript:KYUSt_chr6.4081 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGPPNGRPGLGPGQPQPQQQPGTPGRGRRRPDLTLLPLPQRDLTSLAVPLPLPPPPSSAPSSTSSSGSGSGPSSMGAPTPPNSAGSAPPAPPPLGELERVRRIGSGAGGTVWMVRHAPTGRAYALKVLYGHHDDAVRRQITREIAILRTAEHPAIVRCHGMYEQAGELQILLEYMDGGSLEGRRIATEAFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYLGRFPLGENLGKLGDWAALMVAICYSDSPAAPPTASPELRSFISCCLQKNPARRPSAAQLLQHKFIAGPQPQVLAPPPS >cds.KYUSt_scaffold_1700.157 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1088939:1091814:-1 gene:KYUSg_scaffold_1700.157 transcript:KYUSt_scaffold_1700.157 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAIEFEREQDDPLKLSAKTRQLGLIVCRGTAVMLVSPTEGTEEIKNPFQEADGAQA >cds.KYUSt_chr5.22563 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147226255:147231194:1 gene:KYUSg_chr5.22563 transcript:KYUSt_chr5.22563 gene_biotype:protein_coding transcript_biotype:protein_coding MVPATTSGGRMRGLARFCRQVHALLLKNLSFQRRNCKTNAGIAVFPVLLCVLLVAIQTMVDRELGRPPFQCGCACVLAGPTGACQRTECGVQYSTPAQAISCEVPAPPRWPALVQVPAVPASLRGLPTAPCSSANTSAPCGPVAVLLTGQNRGLSQSLGRGLFPEITASDYLHMSSGAMNSSECRDELSKVVPGSSTLPAHVLFVEPGLVPNETLYVIQSKCSWPPRNVSTSSDGVPIDVDVECVQGFPLWCQNSSVINRHFFKGYKGANKRRRSNEFLAGYDFLDTSKSRFQVDVSYNSTFSRDNGHHSMTVLRVARLVNMASTAYLKVRQGVSAEMRLEFLKEMPKAAIRIRLDLTTLLAALFFTWTVQLLLPVILTCLVYEKQQKLRLMMKMHGLKDGPYWLISYFYFLALSAAYMILFVLFGSFIGLEIFRSNSYGIQFLFFFIYINLQIVFAILLASFFSSVNTASVISYIYVFGSSLLGEALLKLFIEDATFPRLWLVVMELVPGFSLYRGFYELAEYAASGSQKGKPGMQWGDLNDPVNGMKEVLVLMSVEWILMLPLAFLLDHRPAWHPLFLFGILSTKHSSPTRRPDKAKQLSSTKIFADMIKHDVFLERKLVKQLLMEMDTRSMIICHNLKKVYRGKNGNPDKPAVRGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLVRPSYGTAYIHGMDLRKDMTEIYENIGVCPQHDLLWGTLTGREHLLFYGRMKNLTGAALVKAVDKSLKSVNLFDCGFSDKSVNKYSGGMKRRLSVAISLIGNPKVVYMDEPSTGLDTTSRNDLWNVIKRAKKECTIILTTHSMEEAEELCDRVGIFVSGNFHCLGTPNELKARYGGTRVLTITTAVEHEEEVARLITELSPSATKIYGMSGTQKFELRKREVRLEGVFGAVAAARSRFPVQGWGVTDTTLEDVFIRVAKDARAFDVLS >cds.KYUSt_chr1.31378 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190325296:190328257:1 gene:KYUSg_chr1.31378 transcript:KYUSt_chr1.31378 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTESRKLSDDYEVVDVLGRGGFSIVRRGVSKSEGNTQVAIKTLRRLGPAMMGMQQGSKGGAPSSGLPMWKQVSISDALLTNEILVMRRIVENVAPHPNVISLHDVYEDANGVHLILELCSGGELFDRIIGRERYSEFDAAAIISQIAGGLKALHKANIIHRDLKPENCLFTDRKEDSTLKIMDFGLSSVEDFSDPIVALFGSIDYVSPEALSRQEVSAASDMWSVGVILYILLSGCPPFHAPTNLEKHQRILQGEFSFEEHTWKTITSSAKELISSLLSVEPYKRPTASDLLMHPWVIGDCAKQDRMDAEVVTKLQRFNARRKLRAAAIASVLSSKVALRTKRLRSLLGTHDLTSEELDNLRLHFARICADGENATLTEFEQVLKAMKMDSLIPLAPRVFDLFDNNRDGTVDMREILCGLSNLRNSRGDGALRLCFQMYDEDRSGCISKEELASMLRALPEECLPGDIAEPGKLDEMFDQMDANGDGKITFDEFKAAMQKDSSLQDVVLSSLRPSGH >cds.KYUSt_chr7.10557 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64832497:64834163:1 gene:KYUSg_chr7.10557 transcript:KYUSt_chr7.10557 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALAAAQSLFTPAGTSVPLLLLVAGATAVLYAVISRRSSGGLRLPPSPFGLPILGHLHLLAPLPHQALHRLAERHGPLLFLRLGSVPCISACSPDAAREVLKTHEAAFLDRPKPAAVHRLTYGGQDFSFAPYGPFWRFMKKACVHELLAGRTLDRLAHVRREEVARLVASLSLAAPAPVDVNAALMGLTGDIVSRMVMSRRWTGDDNGAEEFRSLVAETAVLTGTFNLQDYIGAFRNWDVQGLGKRVDALHRKFDAMMERILTAREAKRRHQRDSADAGEGAEEKDILDILFDMHEDKAAEMPLSRDNMKAFMLDIFAAGTDTTTITVEWALSELINNPDVLWRAQEEMDAVVGKDRLADESDIPNLPYLQAVAKETLRLHPTGPLVVRQSPEQCKVGEYDVPAGATIFVNVWAIGRDPSSWAQPLEFRPERFLDGGANAGTDVRGQHFHMLPFGSGRRICPGASLALLVVQSALAAMVQCFEWRPAGGADKVDMEEGPGLTLPRKRPLVCAVAPRLHPLPLP >cds.KYUSt_chr4.42505 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263160546:263161220:1 gene:KYUSg_chr4.42505 transcript:KYUSt_chr4.42505 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSGSASRSSLAPVKREEATSPSTPVRVKKEPASPPATRGRSSGALVIREQPSAPQSGRKKTKKEAAASQLAEEEAKRAEEAAMAEAIARSLADMEEEKRADDAALDWAERDRQRREAEQQRRLLDLAAARQLAARAAPTANDDVARYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQATQPKVPKVEDDGSDDGGDDYTVFYRRMGM >cds.KYUSt_chr6.1439 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8848239:8853242:1 gene:KYUSg_chr6.1439 transcript:KYUSt_chr6.1439 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIADQRARASDLRVQASLGHVDALSELEHYDGYGVCCSLLHLCRLLIHANGRELVAAVTADASLFSATGIGSKVFVRHSCLLGDFGCRAVVDAAVCEVHIANHVLAEWFTVRACGRLAVGTDRDPAPLEEDAGIASALELYGSTTSVLINMKKQAAAICDATMLTIRINYELSVKSSATSPVLPVHTTTRLTLSGEVMKSGKYTLGYKTGFKMCVAPRMIQQSNMEPLFQNLEKG >cds.KYUSt_chr6.25523 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161799691:161809454:1 gene:KYUSg_chr6.25523 transcript:KYUSt_chr6.25523 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFDRAALEELTRTAPVGRPSTLLQDRAVLAGVTEVARAALPRRPLLRSCAVPVERALRSPPLASHSAAASSGIRRLRRRQEGEQMGSVNAAAAMEKIRAAGLLRTQGLIGGKWVDAYDGKTLEVQNPATGEVLANVACMGNRETSDAIASANTTFYSWSKLTASERSKALRKWHDLLISHKEELALLMTLEQGKPMKEALGEVNYGASFIEYFAEEAKRVYGDIIPPTLADRRILVLKQPIGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGALNVVMGNAPEIGDALTQSTQVRKITFTGSTAVGKKLLAGSANTVKKVSLELGGNAPCIVFDDADIEVAVKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFVKAVQSLQVGNGLEESTSQGPLINEASVQKVEKFLDDATSKGANIILGGKRHSLGMSFYEPTVVGNVSSDMLLFREEVFGPVAPLIPFKTEEEAIQLANDTNAGLAAYMFTKSIPRSWRVSEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGMDDYLEVGIQIRKLPLTSIIDAHLLYRGADQVCMHGQPELMLDVDLYWCLNHGAGALSGLGLEYGKGC >cds.KYUSt_chr7.35695 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222984307:222990832:1 gene:KYUSg_chr7.35695 transcript:KYUSt_chr7.35695 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRSTIALASFVAVFPVLVLSNAGGSRLSAQVTVGVLLDLTSDVGRRSLTCISMALDDFYAAHADSGITRVELRVRDSRGEVVAAAQAADELIRKHRIQAIIGPQTSAESEFVAYLGNHTHIPILSLSPIPASSMPFFLHTAPDESFQVAAIAAILDMFTWRTATVLYEDSPYGAHILPALFFALQGYNLHLMDSIALPVGMTGDYLNQILYNIKETPTRVFIVHMLPDLAARVFYQATVAGMMSDGYIWIATSSIGTVVDSLSPDKIDHMQGVVTLRPYVEATAHVMNFTARLNARFRLENPGINDVHNPSTQLLWAYDTAWALAKAVHIARVSSSTPGRTLLGAMLNTTFDGMAGRFMLVNGHLQLSSYEIINIVDKGARTVGFWTPESRIIKNLKTSGAKGLNQILWPGHLATTPKGWDVSSNGSPLRIIVPEKQGFNQLVEVSYSRAANNFTVRGYCIDIFDMLMKNLPYPVAYHYVPVIDSSSSYDSLLTLVREKKADGMVGDTTITMSRMNKVSFTMPFTDTGLSMVVVMKKETDVGMWIFLQPLTTTLWIASLGFFCFTGFVVWVIEHRINPEFHGTPSQQFGTVFYFAFSTLVFSQKEKLQSNLSRFMVIIWVFVVLILTSSYTANLTSVLTVQRLQPTVNSVQDLLRSGDYVGYHKGSTVAYWLEGMGFRQEILLGYNTVEEYADALQRGSGNGGVSAIFDEIPYLKVFLSKYCEGYTMVGPTYKLGGFGFAFPIGSPMVHDVSQAIFFPEVQEEMERIEKKWFGDPGASQSKIRNIDSSSLGFNSFGGLFLISGTVSGLVLLIHLLSFIYHDGGKLWATSLHKWLDFIAVAKDWRLRIFKGQYIGSSRNGVDVNQHHGATTETADMHDSHF >cds.KYUSt_chr4.15935 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98610692:98611533:1 gene:KYUSg_chr4.15935 transcript:KYUSt_chr4.15935 gene_biotype:protein_coding transcript_biotype:protein_coding MDTALLSVLVDHHNRGDHAQNGWKPHVYNACIKHVKETCNIVINKDKIVARIKTFDKHYEVINKMLSQSGFGWDWDNNMVSVDSDEVWARYVEANKDAAPYRHKEVKNWQAISTIYSKDHATGAGARTGGESAQVGSSSVPQVVLEDDEETPELPKKKQRTADAIMSMVGELRMTFEEALNSTAPLPPPPPPPAPKVTPSSEILVELKKIPDLVGNELLIAYGKVTANERTFESLMALPMELRKAWLMTLP >cds.KYUSt_chr6.12567 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78509808:78510548:-1 gene:KYUSg_chr6.12567 transcript:KYUSt_chr6.12567 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAGASSIRSPFAVAFPSRRRYDLPTVVKAAAASSNSVSPHPLISSLRLAASAAVLLAATSPAIACTPSPPPPPALTATVSPDDAVQDDSESHPFEKLIVETAALSRLGGAEAALARLSAAGVGEHCARLLAAQALFVDGKVDEAIAAFEELAREDPGDYRPLFCQGVLYSVLGRAAESESMMKRCQVIAGDKFAANFTMPVSEAEPEAEPMAEKAEGEAETEAQSESESVTEKIETEAEGEKL >cds.KYUSt_chr2.1280 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7574825:7575322:1 gene:KYUSg_chr2.1280 transcript:KYUSt_chr2.1280 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGEEEKPSRVLSPAEQRSRYFSLALDLVSSWAALPPPCGRGDRQEGESPRARRSGVKRHTGERAVLTTMEALYRRQISSVGAFSRRYFWPRGQLRTSEESAPGSMYFFQALVLRGKVFDLGVVIHPGDEPSGAVPGVAASDQGPRSSNRRGGEEGPDFFVF >cds.KYUSt_chr2.6684 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41645273:41651012:-1 gene:KYUSg_chr2.6684 transcript:KYUSt_chr2.6684 gene_biotype:protein_coding transcript_biotype:protein_coding METSPLLKLISLVLLLAWFPTTMAVLPTLEEQAGALLGWKATLERPPAELRSWGTGSTTTRPCSWHGISCIKHQEGHQEVITEISLRGLGLRGELDALNFTALSTLTSIQLAHNQIRGSFPPALASSLTSLRHLMLQENELSGKIPWQIKHLESLVTLVLSKNHLYGSIPSELGYLNKLVRLDLSSNNLTGRVPRNLGNLTQLTILYLDGNQALGYIPRELGYLVNLQELALSDNKLMGSIPDTFGSLVNITSLYLWGNQLSGRIPRELGYLVNLEDLDLSDNKLMGSIPDTFGSLVNIITLYLWGNQLSGRIPRELGYLVNLEDLELDDNKFIGSIPNTFGNLTKLTTLHLTGNQLSGRLPRELGYLVNLEDLKLGDNKFIGFIPNIFGNLTKLTTLYLRGNQLSGRLPRELGYLVNLQELELSDNKLIGAIPDIFGNLTKLTTLYLFGNHLSGYLPPELGYLVKLQELVLNGNKLMGSIPTMIGNLTKLSALYLDHNKFSGHIPEQIGTLTYLKYLQLGGNNLSGRLPPKLCAGGFLNKITAFDNNLNGPLPSSLLNCRSLVRVRLERNQLEGDISELGIHPNLVYMDMSSNKLFGQLPDHWREGRNLTMLHLSNNNLIGKIPASIGGLSQLEVLNLSSNKLEGELPSAVGNLQKLSQLSVANNFLHGSIPQEIGALSNLESLDLSSNNLSGFIQGSFNNCLKLNLLKLSHNNFKGNIPTDLGVLFNLHELLDLSDNSFGGEIPSQLSGLTMLDALNLSHNELTGLIPSSFLSMGSLTSIDVSYNELEGPVPESRFFQGAPVQWFMHNKLLCGEVKGLPPCTSATHEGGQRNAYKIIVAATVPILSSLVLLAAIVMFRHGRRKSKQTISNKETQANVFSIWSFDGVDVFKQIVEATKDFSEMHCIGTGSHGSVYKATLKTELAYTQNVTEKCDIYSFGVVALELFMGSHPGDLLSSLHLTTNKNDVCVKNLLDSRLEIPDAETAREIYGVLSVAVQCLEPNPSRRPTARSASNELSAGFKTYGDQHYDLHEKKDDYTRHLAKVIDEKKDEKAKEKDIAGTSKSSARSAAEKKSSSTSAPLKAKQTTKGKKRKEVPLLGDQPKQSIPALKVFNVPNVYQEHGGFDMEEAARLAASCSVTVEELLLAADAALPTADIAPKFVYGAELVSKEQLHKLPTHMRNLHQWYLDAYKENIRYIVASIPFEYYYRKEEIHIEMNELWQLFNLDALDKSLMSCYSLLKISECKSNNIINVGFIDPDKIHVETGLESFEDSS >cds.KYUSt_chr2.8870 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55888081:55888422:1 gene:KYUSg_chr2.8870 transcript:KYUSt_chr2.8870 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPSPPPSPSWRIPNSEEAANLAAAALAAPARAWSSLAARLPPLPDSRLLAARIPPLPDYRLLAARIPPLADSRLLAAISDLRCRIFTGRRRRRRRCRPGLPLPIHGDAAR >cds.KYUSt_chr7.7514 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45288341:45288637:-1 gene:KYUSg_chr7.7514 transcript:KYUSt_chr7.7514 gene_biotype:protein_coding transcript_biotype:protein_coding MNHYSGWIAVARLGLVTKFTCRFLAGLGEDRNQLCAQEQKPLLLPHIDPKIGTATALDLTRLPATERLKNNCPMDWSWAWTTDSSPVLESWKRGLGQE >cds.KYUSt_chr7.31293 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194858253:194864336:1 gene:KYUSg_chr7.31293 transcript:KYUSt_chr7.31293 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGSHGGFGRAVAASAPGDGSTVVRMIRAAVFFPGDLAGGTGGVGAVGLALFLVVVLGFLTSEDEDLPEKKEYHEGRIGGLAKGGSSQCDAEGSAWRSGLAAVEVDKLRGIAEDMQKRGYKCSFKIRMLLEKANALAEKWDGIPIVLAGDFNCTPDSALYKFLSTMKLDISLHDRRQLSGGLDNSEFGFYELSRWSAAEVRNATGYSNVMVARHPLKLSSSYAILKEMGSDHLPIVAEFVFTERTQDDSEQEDESEEEDESEQDDESEQDYDSAQKATTSKHIYFSDSDD >cds.KYUSt_chr6.6893 pep primary_assembly:MPB_Lper_Kyuss_1697:6:41734401:41737444:1 gene:KYUSg_chr6.6893 transcript:KYUSt_chr6.6893 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLFTPRLKWKVTLVRRSVIDLNHPGDAVISHDTWDALTTKGVTCQLISSTVADPNKSKFNNDESRGLVGDEHHVDYSVFHLQPIIHSDSTYDVTFKWDVKKLGIPGAVIVRNYHATQFLLKKITIEQVPGHRGPIVFLANSWVYNTDKYHYNRVFFTNDTYLPSKMPTLLRPYREDELRILRGDHPKQENGEYKDRDRVYRYDLYNDLGDPDNNNPRPTLGGPDSPYPYPRRGRTGRKPTKLDPTCESANVVPLLQQFYVPRDECFNHVKKADFTAYAIKAVKGGILPLAREQFDRITPHEFDDFDDIYKLYDGGLRIPDIPAVDALFKAFPPLRSISPAPGDFLFKMPMPDEFPPRSSLDGYGDQNSKITPDHIQRSLGRLTVDKAIADNRLFILDHHDNFMPQLLKINNLWDTFVYATRTLLFLQDDDTLKPIAIELSTPRLDDLGIKVVGADSKVYTPPSEYDNKVQHTIWQLAKAYAAVNDSAWHALISYWLHTHAVIEPFVIATNRQLSVTHPIHKLLQPHYRDTMMINAQARQLLINAGGFFEMTVCPSEYALRISSDVWNFTEQALPVDLIKRGVAKEDKDSPYGVSLLIKDYPYAMDGLAVWSAIEKWVDEYCKIYYPTDDVLQNDIELQAWWKEVREVGHGDIKDQPWWPNMTTVEELVRSCATIIWIASALHAAVNFGQYSYAGYLPNRPTASRRPMPEPGTKEYQEVETNPDMAFIHTITSQFQSIIGVSLIEVLSIHSSDEVYLGQREEPQWTSDDKAKKAFQKFSQNLINIEGRIINMNEKQSLKNRNGPAKFPYMLLYPNTSDTDGESATGITAKGIPNSISI >cds.KYUSt_chr2.40628 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252398363:252398992:-1 gene:KYUSg_chr2.40628 transcript:KYUSt_chr2.40628 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKTKPDEAVPDLEQQPLLPPPTPPPADPKLTVRSPERELARPAVASPGTSPATVRTLALVTATVGLAFAVDMAAQGERPTLAAFAALFILFCAFLSLFAWRQGRGKQEPPAPPRRAWPATAAARVMFWSFAMSLVVSMTCWAADRPGVPRAAGSALSALGVVVCWLCVEEIAPFFGRVTVQIAWGCRVREKRSGQGSSEPQKLTQAN >cds.KYUSt_chr3.4743 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26942115:26942660:-1 gene:KYUSg_chr3.4743 transcript:KYUSt_chr3.4743 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSTSTGRPILGRAMAILTTLPLTPISKARAARTLLLFKRRRAAAARRLRHYSYAYVGEYQFSPSGSPLLLPRPPGLSAWRSAKQHRTRGGRARAVLASLFCCAGGDALDVAVLDGLPPLRPDPPRAAYGERDDDEVYVCDEEEEEYGEDEEVDSRAERFIERFYQEMRMQETQRLLI >cds.KYUSt_chr4.52306 pep primary_assembly:MPB_Lper_Kyuss_1697:4:324468632:324468943:-1 gene:KYUSg_chr4.52306 transcript:KYUSt_chr4.52306 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr5.5745 pep primary_assembly:MPB_Lper_Kyuss_1697:5:35802393:35807233:-1 gene:KYUSg_chr5.5745 transcript:KYUSt_chr5.5745 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLYVSLANGFLEELHHVRPCEVFGLLSFGNIYNMAGVSADAATKEMEALHVTQTPETKENLNKAASVELDVTSSKEPKVADSNGATPGAQSSPPEDDDDGPSEDGAAGDGSTLQALIAEFSRLELDQLFCRAFGNWGVSRVIILTVFFEMDSFSSCEEEKEEKQGKVRKYMRSIVKPGMLMTELCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFEVKSVRNLNGHSIAPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRIGETKYLMALKNLCDVGIIQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >cds.KYUSt_chr3.22435 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138664147:138665640:1 gene:KYUSg_chr3.22435 transcript:KYUSt_chr3.22435 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAPPPLLSLSALLLILLAASASNAAAKPVQTQSFLATPLSPERTSAPSELARDDDKDLFAGNLATAEDAAASTVRFSVVHRDDFAVDATAAELLAHRLERDGKRASGISAAAGAANTTRGGSGTGGVSAPVVSGLAQGSGEYFTKIGVGTPATPALMVLDTGSDVVWLQCAPCRRCYDQSGPVFEPRRSKSYGALDCAAPLCRSLDSGGCDLRRRACIYQVAYGDGSVTAGDFATETLTFAGGARVARVAVGCGHDNEGLFVAAAGLLGLGRGSLSFPAQISRRYGRSFSYCLVDRTSSATPASSRSSTVTFGSAALASTVASSFTPMVKNPRMETFYYVQLAGISVGGARVPGVANSDLRLDPSSGRGGVIVDSGTSVTRLARPAYSALRDAFRAAAAGLRLSPGGFSLFDTCYDLSGRKVVKVPTVSMHFAGGAEASLPPENYLIPVDSKGTFCFAFAGTDGGVSIIGNIQQQGFRVVFDGDGQRVAFVPKGC >cds.KYUSt_chr4.12047 pep primary_assembly:MPB_Lper_Kyuss_1697:4:73273603:73274473:-1 gene:KYUSg_chr4.12047 transcript:KYUSt_chr4.12047 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQIKKVVGGAVTAATVGTQAYKIYQKGMKLMGPIECPWCYKDIPRDLFEAHLLQHKGDHDVCQVKRAVAGGMRAGKEAAKAYKKQEKEAPQQAKRAREQGRRSKSYLVEI >cds.KYUSt_chr2.44875 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279490029:279490619:-1 gene:KYUSg_chr2.44875 transcript:KYUSt_chr2.44875 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPSPPTHAAGRRVPPPCWTPEETQALARAYTARRLAVGRAHLTSADWAAVAAAATPSKTARQCRHKVEKLRRRLRSKKRRPCPVLDGIDLLDDPSSSPSRSHSPSPPPPASPLPSPSPPKKRKHRDTAYDEAEGDVAQALRAIGDGFVRAELRRMEADREAQRMRMDMALRQLDAQRRLLEGLVGRIVDALD >cds.KYUSt_chr3.12393 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74074678:74074917:1 gene:KYUSg_chr3.12393 transcript:KYUSt_chr3.12393 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIHSNAHVEALVHLATSIKLGNGTKVLFWSDRWIAGQNISQLAPAVLAAVRPSAVSSRSVAEGLTNNSWIRDWNSLR >cds.KYUSt_contig_2767.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000487.1:33182:38756:-1 gene:KYUSg_contig_2767.7 transcript:KYUSt_contig_2767.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATATAAEEEARLLRLEEQAEHGGGGAWEYLSLARRLRARRPAPVLRLGLALLNDASARSRLAAEQWTLYEQVAVAAMDCQRLDVAKDCIGVLSKQFPGSVRVSRLEALLFEAKGDWAEAERAYALILENNPFDQIVHKRKIAIAKAQGDMSLAVDYLNKYLELFMADHDAWRELAEIYVSLQMYKQAAFCYEELILAQPTIPLYHLAYAEVLYTLGGLENLQTAKKYYASTIQLTGGKNTRALFGVCLCSAAISQLTKGRNKEEDSSELQSLAAEALLKAYKQHASSKEALVAGMLKNMKLS >cds.KYUSt_chr4.49188 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304624494:304631338:-1 gene:KYUSg_chr4.49188 transcript:KYUSt_chr4.49188 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTAAVCSLLPARSPIPNANPLFAQRLRLPHSRASQQRLHLTPRGTPAPTPRATAEPSASTSSAVDAAPATSATVLLDVGGMMCGGCAARVRSVLAADARVESVAVNLLAESAAVRLRSPAPAAGDGLAARLTQCGFPSTARRGGAAAGATESARKWREMAARKAELLARSRGRVAFAWTLVALCCGAHATHLLHSIGIHVGHGTFLDLLHNSYVKCGIAMVALFGPGRDILFDGLRAFKQGAPNMNSLVGFGSAAAFAISAVSLLNPELGWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELISLLSPQSRLIVTSSSDDVSSDGILNSDAITVEVSVDDVRVGDSILVLPGETIPVDGNVIAGSSFVDESMLTGESLPVAKEIGRPVFSGTVNWDGPLKIKATTTGPSSTIAKIIRMVEDAQAREAPVQRLADSIAGPFVYTVMTLSAATFTFWYYMGTHIFPEVLLNDISGPDGDALLLSLKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDSVVLDKTGTLTKGKPVVTSIASLAYGEMEILRLAAAVEKTALHPIANAIMKKAELLKLDIPTTSGQLTEPGFGCLAEVDGRLVAVGTLDWVNNRFETTASPAELRDLRNRLEFVSSSEASSSNQSKSIAYIGREGEGIIGAIAISDVLRDDAKSTVDRLQQEGITTYILSGDRKEAVEGIGKTVGIRSENRKSSLSPQEKAGIISTLQDEGHRVAMVGDGINDAPSLAAANVGIAMRTHSKENAASDAASVVLLGNRLSQVVDALSLSKATMAKVHQNLAWAVAYNIVAIPIAAGALLPQFDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFQKTEKTRPDDLKAEV >cds.KYUSt_chr1.17126 pep primary_assembly:MPB_Lper_Kyuss_1697:1:99406715:99410628:-1 gene:KYUSg_chr1.17126 transcript:KYUSt_chr1.17126 gene_biotype:protein_coding transcript_biotype:protein_coding MAMECLCSGEQLKGADETIRSSDSSITKDFSASGYSSRNGEVEQYLDNGNIEEAELSLREGVCLNYEEARALLGRLEYQRGHVEAALRVFDGIDISSLVPKMKISIARKAHRRKTRSQWDSPPMPLHAVSLLMEAIYLKARALHDLGKFKDAAQECRMILDIVEAALPEGLPAGFGKGCKLNEIICKAVQFLPELWKLAGFSLEAISEYRRSLLNNWNLDAETIAKIQKEFAVFLLYSGCEARPPNLHSQLDGSFVPRNNMEEATLLLMILLRKFNLRRVERDPTVMHHLTFALSMSGQLKPLAVQFEELLPGLLDKREWSYNVALCYLAEEDDLTALNLLKRILKLGQESENLKELLLASKICVEKGDYAEGAAYARRAIANIQGGCDQLVGVADVLLGVSLSNQARHAISDTDRASWQYEALEVLGSAEKNMHWQDPRVLYNLSLENAEQRKLDAAVFYAKKLVKLEAGSELRSWLLLARLLSAQKLFADAETIVDAALDQTGKWNQGDLLRTKARIQAAQGEFSDAVGTYTQLLAIIQLRRKKFSAGISLAKGAEDDKSLETETWYDLALLYLGMSQWRDAEVCISKIRAVSCYSPLAWHATGKLYEVKGLPKEALGAYFRALDLDAKHVPSLISTAIILRQLGDRPLPSVRCFLTDALQLDRTNHMAWFNLGLLYEEEGGRSASEAAECFQAGALLEETAPVEPFR >cds.KYUSt_chr1.11853 pep primary_assembly:MPB_Lper_Kyuss_1697:1:73053540:73055277:-1 gene:KYUSg_chr1.11853 transcript:KYUSt_chr1.11853 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVCPNLDREDGLDTVLEVPLPELHQEASSTVRSRRRRRTVKSWVRSHVDHQHRRDGTALASRADVQAMLGVMGAPLVPQPVHARKGMAGRDIKEEPLEVSKARYIVEQYVAAAGGEAALSAATSMYAMGKVRMSTSKGSAAKRGMGVGSGGAGEVSGGFVVWQKKPELWCVEMVVAGGTKMSAGSDGKVAWRQTPWQQAHASRGPPRPIRRCVQGLDPKSTADLFSSAAWVGEETVDSEDCFVLRVDAEVSALDARSTADVEVIRHALWGYFSQRTGLLVRLEDTHLLRMSTPSTHAEDGEDMYWETTMESSIRDYRPVDGINIAHAGRTVVTVSRFASAAGTVDDADARRRRPCTCMEETWSIEEVDFNIAGLSNECFLPPRDLLVSSSSKEQQRQKKLGHGATKGGARDAVVKAVDDSSDGAGCGIRASVAKKALVPVVTGLGWFGPVKVAAVDSLDAAEESKDSTCTSTT >cds.KYUSt_chr1.31692 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192245248:192245577:1 gene:KYUSg_chr1.31692 transcript:KYUSt_chr1.31692 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGHPTSNGDRQYADNGSANGHFFSGDSYGALSRNGGSSTDSPDRLSFGTKETLSELDIYGSTRYEAMLLREDAKNTSWLHGFDDKPDQSPLFDHRFEPLPEPFSPY >cds.KYUSt_chr1.23928 pep primary_assembly:MPB_Lper_Kyuss_1697:1:142610954:142614634:1 gene:KYUSg_chr1.23928 transcript:KYUSt_chr1.23928 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFSLETQPLIEGLIVAMSLIGATVITTFSGAVADSFGRRPLLIASAVLYFISGLVMLWAPNVYVLLLARLIDGFGIGLSVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFAMSLMPQPEWRVMLGVLSIPSLIYFALTVFYLPESPRWLVSKGRMAEAKRVLQRLRGREDVSGEMALLVEGLGVGKDTHFEEYIIGPDDELADDGLAPDPDKMKLYGPEEGVSWIARPVRGGAQSALGSALGLMSHHGSMVSQGKSIVDPLVTLFGSVHEKMPEVMGSMRSTLFPNFGSMFSMAEQQQAKADWDAESHRDDEDYASDHGADDIEDNLNSPLISRQATSVEGKEIAAPHGSIMGNVGRSSSMQGGDAVSSMGIGGGWQLAWKWTEREGADGQKEGGFQRIYLHEEGVQGDRRGSILSMPGGDVPPGGEYIQAAALVSQPALYSKDIIEQQLAGPAMVHPSETAAKGPKWADLFEPGVKHALFVGIGLQILQQFAGINGVLYYTPQILEQAGVGILLSNIGLSSLSASILISALTTLLMLPSIGIAMRLMDMSGRRFLLLSTIPVLIVALAILVLVNILDVGTMVHAALSTISVIVYFCFFVMGFGPIPNILCAEIFPTSVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILALVFVYMKVPETKGMPLEVITEFFSVGAKQGKEATD >cds.KYUSt_chr2.38672 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239692128:239697295:1 gene:KYUSg_chr2.38672 transcript:KYUSt_chr2.38672 gene_biotype:protein_coding transcript_biotype:protein_coding MARERESLLQNSNAAATKGSDALLPSLARSVLKFLMWAVFLTWAAGIFFYPTKPAQAVLGQLTGGTKQSVIGTTDGVFLAFSAPILIVAALAYVYISAFPGDHLEKKKLRSLSFRLWTFPVLVDGLFGVVSAAEFIGIVLFILYLVFSMTYYMLDSVSFGSSFHVPVAKSCELILSVMGIRFGIVGLFCMSFLFLPVSRGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVISWSFAGRLIEEMIRWKEIGVANLAGVISLAAGLLMWMTSLHPVRQRFFELFFYTHQLYVVFVVFLVLHVGDSVFSIAAGSVFLFMLDRFLRFWQSRAKVDIVSAACRPCGTMELVFSKPASLRYNALSFIFVQVRELSFLQWHPFSVSSSPMDGRYHISIVIKALGTWTDKLKSIITDVEEKKTRSDCDSDQSQRITASIEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIVHRVEQGMPCEPRNVLVLWSVKKSTELSLLSAVDAQSISSSVSDKLHLNIQAFVTQEIEPPLEDGIVEGDQKIPGIFVKNGAAMSGLVGTGDNFWAAMYFAASTLGAVLAYALVQVYYVKRFNVYAWWHLGLLLLLCMVAGVALPGGLVVLLWHLSEKRRMQDDRWEADAGASLDSAERTRNGVGGADAASKASLANLQTTRYGCRPKFQVEFAAFAERIGGAAVDVGVLVCGPSGLQTSVARECRSQNLHRGGGKSSAVFHFNSHSFDF >cds.KYUSt_chr6.29458 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186715240:186716178:1 gene:KYUSg_chr6.29458 transcript:KYUSt_chr6.29458 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPMYLLLRLLRADGRGRRFVARHCRHGVGGIRRFQRHVRDDLRRFRRGGWNRQDFRRHHRNGAGLSNQPVLPPAPLQPVVPDWLLAGPAAPSLDADENIDRALAAPLPPFWCPVHGWVVCPLHQYDFAIHDAPLQPPTRAVVEAEAGAPLTRSPTVARAPDAPLVQVRDGDALAFVSAPTGASVFAFGSVVNGSSNHAVVDVDAVAPRLPSPTPATPPPSPCAGARRRLRLSASRWPARRRTRPLAAAPTAPAPGARPRSGSQMAPPTTSPRGPCSLEDPPTRKRAVGAPFGQPRALRSVRSRLWGIGD >cds.KYUSt_chr4.10844 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65807626:65809074:-1 gene:KYUSg_chr4.10844 transcript:KYUSt_chr4.10844 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSDGEGAGGGLPRSHPSNIPLPMHHSDPNYSGTDDECSNRNSSSSATGGASPGFYNDYPSSFSGECSPYNMSPWNQTMASPWSHHSESMAGQGGAPTMAPGTSLISSLVREEGHIYSLAAKGDALYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGLHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRSALWIRHSDAVSCLSPTDAAQGLLYSGSWDRTFKVWRISDSKCLESVVAHDDNVNAIVAAFDGLVFTGSADGTVKVWKREVQGKGTKHSPVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNCWEGDRQLVHAGVLRGHKKAVFCLAAAGALLFSGSADNTIMVWRRDGGVHSCLSVLSGHTEPIRCLAVVEYNKENVAAAAAETVDNSGVSRWIVYSGSLDKSIKVWRVTDEAAEPMFQGSGEGSQMFDRYPGDTFGASSSSSFR >cds.KYUSt_chr3.39732 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250370708:250371787:1 gene:KYUSg_chr3.39732 transcript:KYUSt_chr3.39732 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRAQGQEKKQENKRSRPDHKHHLYLVLDDWDSGFSIHKIDTDQDTADLRQPPVLRLVSPVPGNPMCFDVLGRNILIATNPRCGTAPTLVYDTERAGLAVGPPLPAPLLGDFHISVAAADMLYALTYYHRNSLEVMSWAPTGVGKEQDPWSPRPTMDWSWTSVPTPPPFKEDEWITSYAMHPDGRTIFMSALNRSNLRCRTFSFDTRQCEWRFHGGWALPFQGQGYYDSTLDAWVGLHKDGYICSCQVASRSGTEQPDWKIVKEKKLFRSVPVRKQAAQDATLTYMGNAKFCIVECVVREEVEYEDAFGDCDGCMLLITKFGLKYSHKGELQTTSNPTTNSYRVSKYITSFSPTAFWM >cds.KYUSt_chr6.2207 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12978525:12979766:-1 gene:KYUSg_chr6.2207 transcript:KYUSt_chr6.2207 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEAAPRNKGASSSGN >cds.KYUSt_chr1.2807 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16769504:16771594:1 gene:KYUSg_chr1.2807 transcript:KYUSt_chr1.2807 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLDLNVEEKPAAAAAARSDSGTSESSVLNAEASGGGGTAPAEEASSSTRQPAPAPREVLEFSILKSSTPSAEGENDVGGAGDEDDEEEATPSPPPPRQYYHQQHMPQPQQLVTRELFPAGGAGAPLLPVQQHWAELGFFRPAPPPQLQPDIRYLQQAHAPPPPPPAAAPPPAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNLSDYEDDMKQMKGLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDNEVEAARAYDKAAIKCNGREAVTNFEPSTYEGELLTEVASQGADVDLNLSICQPSTQSPKRDKGSLGLQLHHGSSEGSELKRPKASTYFFP >cds.KYUSt_chr6.14925 pep primary_assembly:MPB_Lper_Kyuss_1697:6:93499353:93501500:1 gene:KYUSg_chr6.14925 transcript:KYUSt_chr6.14925 gene_biotype:protein_coding transcript_biotype:protein_coding MDALMASYASGSDDDEPAPVTGAAPDQEASAALPPPPLDLLHPPNFIGMILALLLKPIPGNRVRSFPHVEGIYALHVYIPVVIPFDARKQLTLVMRRVASLVPDLYAVDADYALSELCKDEQKLEKVLLGREFHVSLGRTVGIQVDQIDSLVAMLRQKFQSQQRYWMDFNKWEHFVNDDSTRSFLSLEVTRTGLPEISRQIHMVDEVYRLHGLPEFYKNPRPHISLVWALGDVSSKLKHATKEIEKFQNTISSSKNCNLRCNFSRVVCKVGKKVYDICKIAD >cds.KYUSt_chr6.27355 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173695400:173701190:-1 gene:KYUSg_chr6.27355 transcript:KYUSt_chr6.27355 gene_biotype:protein_coding transcript_biotype:protein_coding MVILVPLDDSGCLPESEIIRRDEPSKKVVEARDHDSRGAPANQETKNRRAMETLVHVEDSGSSSEPDIVRRDDRSKEPHEALDQESRAGHGDCNSTRKRKAISTSIPVPLQQSGGFPEPEVGRDCRNKESHQALDQESRAVHGDCKRKAISASDYAKKARKHSAISTLKKIQLREIGFSASFSREKFKGMKKEISVDLYMRKNREALEEFEPFMRNRSAIVKMIPIQDILVLLSSTGVCCTVSRGVTNRIIAFVTKTGELTEDIFYNKKNNSLIVSSSTRSDSYTAKEYMSIPIGSLRTRDHTGSRVFSSQQIKWLLGFDATNGIALMRDSNNHTVFDLETYSLMYKIPETDIVDILCGSQALLVMKEKRKHLLSLGIKQIDSGHDISFIYHPMEGKLELLEIFHEKILIKERSKGLLIIDAKKQDKKEVPIGLFEYHPLYGRNCFLTFQKDSSQLRTLNGSVVKELDSTLWKSRTNEHMICSSSDDDTVIYLAESKASEFGSVNICSLGREIMVARTINFNSFLEKEKLKNNGSNFTNWFHNTRIVFTVGQLLYVLNAPLSDTHAKTAPDEVKNVYLTRKNQYCTVQYAILYGLDSELQRRFENHYPHDIISELKMIFENHAAVESYEASKQLCNYKMEEGCSVSEHVLKMSGQAKKLQDLGIKIPNSFGIHRLLQSLPPSYKNLVMNYNMQCKNKTFPELFSMLKTTEVEIKKEHQVLMVNKTTNFKNQAKPKEKGIQEGRQQSCCAC >cds.KYUSt_chr1.5830 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35927293:35928447:-1 gene:KYUSg_chr1.5830 transcript:KYUSt_chr1.5830 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAATVAVLGDDLMREVFILLPTSTDLLRAALACKPFLRAARSAAFLRRFRRRHPFTCPLLLGCLLHGPTDRRRATAPHLLPAHPDAATRRLIDAADFAFSFLPRRGWPQAAGTPWQLLDCRNGRALLLSRASRALAVADPLTRRWVPLPAIRGLGYALVADDGDSSLFKAVCISRRVGAPGLRAFLLSSADLRWVQVAVAGLDVQPDLAGSRAMQANRSLYWKLVGGERVVAFNTDTMEFAVLDLPPFLKEISFDIIEKGEDGAGGLYLLTMRGFCIEVWVGVKDGADGGLAWTLVEKSVMFHRAMAEMLGSEFLYQNRLDVIGVVAGVVFLRNGECLFSIDLQTMKMTRVSPKENCPSHLIYPCTIAWPPSFLNPTEQGA >cds.KYUSt_chr2.3563 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21491960:21494522:1 gene:KYUSg_chr2.3563 transcript:KYUSt_chr2.3563 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHEPTFKIFCRADEAYCLTIRHDAVVLAPTNPRDDDMYVCAWVQHWYKDMRHSTRVKDAEGHPAFALVNRATGLAVKHSLGQSHPVKLVPYNADYLDESVLWTESNDVGKGFRCIRMVNNIHLNFDALNGDKDHGGVRDGTGVVLWEWCKGDNQSWKVLPWGAGGDGYVHPPPQPAYGGAGYGYGGGSSGGQDPYAPPPPAYGGGGYAPPPGPYGGGGYAPPPPHSGHGAEAYAPPGPGYGHGNLHRSLASENTVRVLCRAGEDYSLTVRNGAVCLAPTNPRDEFQHWVKDMRHSTRIKDEEGYPAFALVNKVTGEAIKHSLGQNHPVKLVPYNPEYLDESVLWTESKDVGKGFRCIRMVNNIYLNFDALHGDKDHGGVHDGTEIVLWEWCKGDNQRWKIVPWLFHRKLCPLTAIILLQRA >cds.KYUSt_chr3.8868 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51714849:51716553:1 gene:KYUSg_chr3.8868 transcript:KYUSt_chr3.8868 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAGDPLDPLPAAILADVLGRVADTGDLAACRLASRALLAASYHCPRVSLSAAARARRRRREGVVGDGGAAFRSAAANFAALIGPYLRSLALDASEGHGFPDDAMWVEEGEFDEGDDLHLTTGESVASWAATAAGPALREVDIADFWPQSCWRKAEALPVISRLCHNLVKLKLKNAWLSVARLKIMPTLTHLALEFIRLDDEDLSALNRCFPCLETLNLIGVGGLKDPKIDLPQLKTCCWEVSNIPRSLAIHAPKLVYLDLKCVHPEILILDIPSVSTLKLTIHKLGPTVQADGLVSLTNLRIESLDLDSLLQLFTSSRNIRTLDLELPVSAGPTLQEVDVADFSPPPRWLKAEALPVISHFC >cds.KYUSt_chr5.38684 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244824474:244828267:-1 gene:KYUSg_chr5.38684 transcript:KYUSt_chr5.38684 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLTRTVLDGVLGSAGTAMVDEAALLLGVRREVDFIRNELQMMQSFLRVQSSAGAACKDTVKTCVKQVRDLACDLEDCLLDFTLQASRARWLRWGPGIVARHRVAGRIRELKASVVELNQRNQRYNVFVADKDPPAAADEHGHADDGYHQLAYGEQHQDIERADEMGDLAELVTNDGARVVSVWGMGGMGKSSLVRMLYNNNDLIDGFDCRAWVTVPHPLDSADELVQRLKKQLGMKPDDDRGVPAWLQEKSCLVVVDDVATREEWELISPRLVSANGTGSRSRVVVTTRREDVARRCAGDELQHVYELRPLEVEGDEARKLLYRKIYKTTDHTPAKDMVEQANLILRRCRGLPLAIATIGGLLANRPKTSREWMNLREHLGSELEFDRDIRRVITSSYDGLPYHLKSCFLYLSIFPENHEIRLTRLLRRWMAEGYITKPRDMSMEEVGRRYYNELISRSMIQPSEKARASMGVERCRVHGVVLQIILSKSMEENQLFIMDKQCNEAPQSKIRHLVVTRWKSNDENMATINLSQVRSLTIFGRCPPSLISSKLRLLRVLDLEDTVELKNDDLKDIGGLYHLRYLGLRKTKISRLPSSLQNLRYLETLDVQDTKVTQLPVGITKLEKLRHLVGGVNFARDLVEKTRTDKVKCNCNENLCNTMADLVFGCHGFMRVESPCSCCAGEFSVTTPERIEKLRNLQVLGVVHIAQGSEVARNLGKLTSLRRLGVDVVPNQEVWMDLCRSIASLVRLERLEVRSESLEFLKDTKESPPKHLTSLRLCGRLGNLPEWMGSLNDLGKVKLLRTQLKQNAIEVIGKLPNLTLLALWEESFAEGFICFSEGTFQKLKLLYIEGLENIKTIKIEEGTLPVLEKLEVKKCISLHDNELGLSRVLFLKNLNELVLTSCGDKPQLEKALQRQISGFELAKRPKLITGKSIITRS >cds.KYUSt_chr2.30551 pep primary_assembly:MPB_Lper_Kyuss_1697:2:188215589:188216131:1 gene:KYUSg_chr2.30551 transcript:KYUSt_chr2.30551 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDLNAKLAEKERAFRHLSRGARGPQGAPPERTSGGEAERRGLVAAPPPPVRAGLSASRRRCKPRRPPPSPLRRKGGSRANLDAPNLRLLPSFTTVQHQLDGGAWIRLLRVWAPPARPSPPMEIERRRRGRVVFCESGRLQRGLLFRWRSSAAVEVASSSANLGASSAAFSSDGGRAPP >cds.KYUSt_chr3.1798 pep primary_assembly:MPB_Lper_Kyuss_1697:3:10403019:10403922:-1 gene:KYUSg_chr3.1798 transcript:KYUSt_chr3.1798 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAFAAPRGARPRRPIAELVGAGISPWSPAPAMAYLARAALEDLSRAAPSWLARSVPWPSSPSQPLEELARDAPSRSSSGTWPCEERIRLGTFDTTHEAARAYDAVAWRLGRPRQQMNFNDIWTREREEMLAPPSLAVTTEQRRRARELEQRLHVAEQAERLRLE >cds.KYUSt_chr6.12701 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79279637:79280092:1 gene:KYUSg_chr6.12701 transcript:KYUSt_chr6.12701 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLVCYCVAVPKPVIAVCQVIAVVTHVVLLALSAVGLCRAPPSRSVATDSAPLPEEVKEQLPAVEFCWLQGQEGLVGAAAAAATATCIVCLERLEATDEVRRLGNCAHAFHRGCIDGWIDLGRTTCPLCRSHLLPRPHRARAGLFARFW >cds.KYUSt_chr1.27822 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167710470:167712552:-1 gene:KYUSg_chr1.27822 transcript:KYUSt_chr1.27822 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPAAGAKIFKTKCAQCHTVEQGAGHKQGPNLHGLFGRQSGTTAGYSYSAANKNKAVEWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKEATSS >cds.KYUSt_chr7.40480 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251305621:251311814:1 gene:KYUSg_chr7.40480 transcript:KYUSt_chr7.40480 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGTVAWTIQEYAFTLVAMAVGFLVVAYLYEPYWKVRHVPGPLMLPLIGHLHLLAMYGPDVFSVLARRHGPIFRFHMGRQPLIIVADAELCKEVGIKKFKSMPNRSMPSPITNSPIHQKGLFFTRDSRWSAMRNIIISIYQPSHLASLIPTMESYIERAAGNLSGGEEVVFSKLALSLFTDVIGQAAFGADFALSGKPLSPDDKGGVAPKVSKEFVNMHLHATTSLKMDMSGSLSIIVGQLVPFLHQPFQQVLKRIPGAADREIHHVNQELSRQMDVIVHDLVAARKQDPASQQHKDFLSVVLAARERGGAAVEELLTPDYMSALTYEHLLAGSATTSFTLSSVVYLVAKHPEVEEKLLAEIDVFSPGDGVPTADDLTTRFPYLDQVIKESMRFYMVSPLVAREASERVEIAGYVLPKGTWVWLALGVLAKDPINFPTPEQFRPERFDPDGDEEKRRHPYAFIPFGIGPRACIGQKFSIQEIKLAMIHLYRHYVFRHSPAMESPLEFQYGIVVNFKHGVRLQVIKRQKD >cds.KYUSt_chr3.32882 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206538730:206539727:-1 gene:KYUSg_chr3.32882 transcript:KYUSt_chr3.32882 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRFPKTYPHSVRILHTFILLSLIELTGGFLQDASATVGRGRRLEVSSWAPDLRGANGPYGGSGRWAPPDQVLENVLESVLEFLTAARDPNAASLVCRSWYAAEAQTRRELFIGNCYAVSPGRAVQPFACVRTVVLKGKPRFADFSLVPNG >cds.KYUSt_chr2.48976 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306461782:306474561:-1 gene:KYUSg_chr2.48976 transcript:KYUSt_chr2.48976 gene_biotype:protein_coding transcript_biotype:protein_coding MADEMDLGDIVLSWSIQQITDDDLYRGKVETIPCNFKSVDHYLQAFRVPLIEETRSDLSSCLELINEAPSSKILSMEGAGKTGLYYMDVDFWDNGAGFSAEAYTARNGDIFILSSLRPGAVEDLNRYGVTYCLAMVTEVSLDDEYQKGFRVKVTKDIGSEQDLSKLTHAIFLNNIMTNKRIWQALSFDTDMGNNFTIIKSLLAPTSLGEDACGICVKQDGGCLPSLSEQLLSIELNQSQVDAIEYVISAVQCRHTNLMKLIWGPPGTGKTKTVSALLWALACVKCRTLTCAPTNVAVVGVCTRFLQNLKDFSEHIDENGLPFSLGDVLLFGNKYSMDITEGLQDVFLDFRVDELVECFSSLSGWKYRIASMVSFFDDCASLYDMLLEDDETSEPVCFLDFVKKQFDVTAVALKRCIVNLCIHLPRSKFSHDSVINLSTLLNMLEIFGALLCNVNLTDEGLKRVLGCLSTENSVCPQSSTEKELDGARSACLKLLKDLQQSLDLPTGKDNNWVQRYCIRNATLLFCTTSSSYRLHHMEIAPLDVLIVDEAAQVRECELVIPLRLHWLKHVVLVGDDCQLSAMVKSQVCKEAGFGTSLFGRLVMLKFEKHLLNIQYRMNPCISLFPNAQFYERKILDGSNVLSPSYNKDYTCLPFGSYTFINVTDGREDKEGAGNSRRNMVEVAVVLHLVQTIFRCWKSTGQRLSVGVVSPYSSQVDTIKGRVGKKYDKCDGFHVRVKSIDGFQGEEDDVIILSTVRSNGKGVVGFLADNQRTNVALTRAKHCLWIVGNAHTLYKSRTIWTDLVADARRRNCVFDATNDATICKLVLQVKQELDQLDDLLNADSAVFSNTRWKVIVSDEFRKSFTKLKSSQLRREILQKLIKLGGGWRTTVRNLDMPGVSNLAKVYKIRELHLIWSTDVEKSENRYFQMIRIWDLLSQQHVERTVHRLEYLFSMYTDDYLDHCRRVQTLGKLEVPMVWDVDHEMIRYKKDCKVDAQDEPDLVDTSYAMENSKVSESFLLMKFYSLSSGVAQHLLTASDGSEIDIPFELTDEEKEIIQFPLTSFILGRSGTGKTTVLTMKLIQKEQQSLIASQGLNFNEDDLSGLDDKSMMPLNDGKERFMKQVFITVSPKLCSAIKNHISGLKKFGSGDVSDQPSILHMHDVIDDQEEFTEIPDNFSNLPHEHYPLTITYRKFLMMLDGTCRTSFFDVFYAEMNNSTERGQSKSRALQTFIELKEVTYEKFAASYWPHFNADLTKNLDASIVFTEIISHIKGRYQASRPYTSKLGRHDYVMLSDKRFSSLNSEKRDMIYSIFIAYESMKLIAREFDLSDFVNSLHISLISEGYNGDLLDFVYIDEVQDLTMTQIALLKYVCRNFKEGFLFAGDTAQTIARGIDFRFEDIRSLFYTAFLSETEASNQGHGKQVQLSDMFQLTQNFRTHCGILHMAQSIMCLLYFFFPSSVDKLNPETGLVYGEAPVLLESGNDENAIMTIFGEIKSKHGNMHGFGAEQVILVRDDATKKQIVDLVGKQALILTIVECKGLEFQDVLLYNFFGSSPLKNKWRVLYGYMKDKDIIAQSEEISHPGFDRSKHHLLCSELKQLYVAITRTRQRLWICENTDDYCRPMFDYWKKLCLVEVRLLDSSLVQAMRTGSSTDDWKLRGTKLFNEGQFEMATMCFEKAGDAYREKWARAAGLVATADRAMATNLEKRNASLQTASEIYESIGMHEKAAACYIKLHDFKRAGMVYMQKCGASRLEDAGDCFAKAECWSEAAEVYFRSERYTDCFSMCSKEKQLFNLGLQFLKHLEEEHLFENSKLEVSAIRKTYLENCAQHHFERGDIKHMMPFVKAFSSMDHVRAFLNSRNLVDELLSLEMEKGNFLEVAGIAKHKGDVLLEVEMLEKAGSFEDATQLLLLHIVVDSMWSSNSRGWPPKKYAEKERLLAKVKEMATNVSECFYFFASLEADALSDVGKSLSRLNCTLLDGRKCGNLFVEFVASRLILDVHLQSRASEYNLELGPGSEDESSFNDIVARNQISPHTLAYAWNNWKSIIVNVLSHLRHTAGPELNDYEVMYEDLCAKYFGLRKDGEDDRYVVLNMNSSWLSNTGRNSLQQDGNRCWLEVLQFHPCAQSFLMNELSSVGLSVLRKLESIVQIPPKPASSYALVRTILIINEIAKFLEEPEFSLQKSTTKLRSFSALCVRHFFELVYLVWRDRTMRSLLPAILDSPAAYGLIADSLGENLRPKIKKLTYGHLGKTTMVLLHAGRLDDALLSRLLQYVDNDSGWADFFGFLKSFLDTGLDRSSLILNFKVALDFTFNGVRWRDELDYISPMCYLGLLEFLGFLASSYLLQKGRIYCTKSLLVNMLECRTSKLYLDTCLVSNSSPDSDLDHMAYLSGRFIFQIIMAILTDKNMLWEWARKTSTPSSSPPEVLLRLVVTLYPLILTHDLGNCYEVTNTLLKCGVFMDLPKEFAQKIVPALQLRNRTLSNFIRALAGALAAIGDRMVIMGSPKAKGPAICRSIAHTINTADLSDVPKVMALLCPEEPSPVKQETALPEKSDGNKVCNVTGNIPKVVQVNKMESKSEADLCDESDSFWEKLEAFQASKQGQNDATVVFQLLRGAILWLEQRGSPEKNSTELLQEVRRVCSELEKPCARLEKATVEDLYSIWEDGESKLQMIINYMCDERASMKEDGRRNEAAAIVQPQQSDGADDLNGCSDNEPETGGNEVEPVMEEAKAAASGSQKAAPKQKNKKKSKKSKGRRK >cds.KYUSt_chr1.16638 pep primary_assembly:MPB_Lper_Kyuss_1697:1:96647365:96650618:1 gene:KYUSg_chr1.16638 transcript:KYUSt_chr1.16638 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEAVVPVAVAAAETPEVAAESDAGAAEAAAKGPHKLQRQWTFWYDIQTKPKPGAAWGSTLKKGYTFDTVEEFWCLYDQIFKPSKLQGSADFHLFKAGVEPKWEDPECANGGKWTVISSRKPNLDTMWLETCMALIGEQFDESQEICGVVASVRQRQDKLSLWTKTASNEAVQVDIGKKWKDIVDYNDKMTYSFHDDSRSQKPSRGGRYTV >cds.KYUSt_chr6.19180 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120654449:120657683:-1 gene:KYUSg_chr6.19180 transcript:KYUSt_chr6.19180 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLAFSQDRPPLSIISAAKVAGVTLTIDPTLASGSVPTLHFSSGDFIHGVNTILRYIARAASVSSFYGQDAIQAAHVDQWLEYAPLILSGSEFEAACSFLDGYLAPRTFLVGYGLSIADIVVWSNLTGTGQRWESLRKSKKYQSLVRWFNSIAADYADILDEVTSAYVGKRGIGKSPAPSLKEKMPGLKESTSGHQIDLPGAKVGEVCVRFAPEPSGYLHIGHAKAALLNKYFAERYNGRLIVRFDDTNPSKESNEFVENVLKDIETLGVRYDVVTYTSDYFPKLMEMAESLIKQGKAYVDDTPKEQMRSERMDGVESKCRNSTVEENLSLWNEMVNGTKRGTQCCVRGKLDMQDPNKSLRDPVYYRCNPDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRVLQDMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNRKVEDWTDARFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIVDPVCGRHTAVMKDKHVLLALTNGPEEPFVRILPRHKKYEGAGKKATTFANKIWLEYADASVISVGEEVTLMDWGNAIIREIKTDNGTITQLVGELHLDGSVKTTKLKLTWLSDIEDLVSLSLVDFDYLIKKKKLEEDENFLDNLNPCTRQEALALGDPNMRNVKHGEVIQLERKGYYRCDVPFVRSSKPIVLFSIPDGRQKSTSIVSRA >cds.KYUSt_contig_3201.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000619.1:70823:71779:-1 gene:KYUSg_contig_3201.1 transcript:KYUSt_contig_3201.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAFDHGHGGHGGGGRAEQGQQGADSTLLCYFHPRELWWACAPTASESASSSCSPPSRRVVAARECRPTARATCRPSLLQGGPPREDRQHRLRLRVRLLLDPPSRLLPPPHPDVLQGDGGGGGGGDKINPDADADADDAASVASLDDSFISIKFEDNGKATWMDTQNQKAPAGGEADAKSADNAPAASSATTAVVEHARRGGVGVTRWRKQVVGRLLQLTRWKGASTGGKQASSSAAAAYHVADQQRSKGRGSRSWIRGLTRRRAAHGERRGREPPLRSDLA >cds.KYUSt_chr4.10061 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60835546:60838300:-1 gene:KYUSg_chr4.10061 transcript:KYUSt_chr4.10061 gene_biotype:protein_coding transcript_biotype:protein_coding MTVWRTKVERKLARARKSHKQGSVLGNSRRTETSGTRRPSMANGWRWRGMADVRIESSARATESLTPSFGMAIARTGVYVDDYLEYSSTLAGDLQRILSTMHELDERADGNLGQTKRQIKYLLRPNMVDDDDAASDRVKKDIENSQDNALSLCTEKVLLARQAYDLIESHVKRLDEDLGQFAEDLKQEGKIPPDEPHILPPMPMGGREEKRRHVFSTPQAKKFREREWERERGMDFDLMPPPGSSKKAAASMDVEQMIDPNEPTYCICHQVSYGDMIACDNENA >cds.KYUSt_chr5.30192 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191499753:191501141:-1 gene:KYUSg_chr5.30192 transcript:KYUSt_chr5.30192 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRGPRAVVDGHRLDLNKGRAVLLTATSASQPTTAYSMVEQYGFPPGIIPQGAQSYELRKDGSFEVHFSGECGLQVGGFQLHYSSRVAGNIQNDTISGLEGVKVKIVLPWVRIRELSSQGGEIRVHAGAISRSFPVSDFSVSPQC >cds.KYUSt_chr2.47092 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294611430:294612980:1 gene:KYUSg_chr2.47092 transcript:KYUSt_chr2.47092 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVTCDRTRRKSACVTGGDGYIASALVKMLLEKGYAVKTTVRKSDDLEKVPHLKDLQALGPLDVFRADLEDEGSFDDAVAGCDYAFLVAAPVILNAENPEKEVIELAVNGTLNVMRSCVRAGTVKRVVLTSSAAAVSDRPLLEGDGHVLDEESWSDVDFLRENQTIGWAYAVSKVLVEKAACAFAEEKGISLVTVCPVVVVGAAPATRVNTSVLDVLSLLSGDDDRIRILELIQRASGSIAMVHVDDLCRAKIFVAEAEDEAASGRYVCCSLNTTGVELARFLAAKYPQYNVKTDRCVPTPHYALLWSRSCIDNAYVSVFRFSGLPEKPRVRISSAKLVGEGFEFRYKTLDEIYDNVVDYGRALGILKY >cds.KYUSt_chr1.30576 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185043728:185044138:-1 gene:KYUSg_chr1.30576 transcript:KYUSt_chr1.30576 gene_biotype:protein_coding transcript_biotype:protein_coding MEATASDKKEAQPASDGAPTARVPSEPTILADASSAAVESGCGVGEDEQVERFYALLANIRALRDVYGAAGSSRKRTRVAEPAWRPKFRMEDFREEADDAASGKKGRRDHVEGQRPENDDEWEVVEENDRVHARVY >cds.KYUSt_chr5.17277 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111603069:111609708:-1 gene:KYUSg_chr5.17277 transcript:KYUSt_chr5.17277 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVSGGGAAAAAALASTLLLLCCCVSGAAHGSSPASAALRRAVPRAADAGGLCEELLLPLGYPCTEHTVETSDGFLISLQHIPHGKNGVADNAGPPVFLQHGLFQGGDTWFINSAEQSLGYILADNGFDVWIGNVRGTRWSKGHSTLTVHDKLFWDWSWQELAEYDLLAMLSYVYTVRQSKILYVGHSQGTIMGLAAFTLPEIAKMISSAALLCPITYLDHVSARFVVRAVAMHLDQMLVTMGIHQLNFRSDMGVQIVDSLCDDGHVDCNDLLSSITGENCCFNGSRVDYYLEYEPHPSSTKNLRHLFEMIRKGTFARYDYGLWGNLMRYGQLAPPPFDLSSIPESLRMWMGYGGLDALADVTDVERTVKELRTTPELLYIGDYGHIDFVMSVKAKDDVYVDLMRFLRANASLHSSY >cds.KYUSt_chr2.14455 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91438058:91440525:-1 gene:KYUSg_chr2.14455 transcript:KYUSt_chr2.14455 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGSRFSCSTRAGEGPLRWSESESEHINPQAMAIAKPWTSSSATQASTALGVPSQGLEGRERNPPVAGALEAAGHGGVIVRDVVDDGVRRKGRDGRPRLRELGPGVGELGHGVGDLRPGRREAPFRALCRLRHRLRNLHLRRRRLQVQVLGLNAGGGYLLLLLLLRVELAAHVNNVLPTNVVGHGWMVGFTLRRDNSRAAGTLLRSFSTAPSNCQRLAGKVAVITGAASGIGKATAVEFIRNGAKVILADIQDDVGRSVAAELGPDAEYTRCDVTDEAQIAAAVDLAVARHGQLDILYSNAGISGSSAPAPLAALDLADFDRVMAANTRSTVAGVKHAARVMVPRRSGCILCTGSTTGMLGGVAALPYSLSKATVVGVVRLVAEELARAGVRVNAISPHAIATPLLVRSLARANPGVGDEALKRMVERGMSSLQGAVLEPEDVARAAVYLASDEAKYVTGHNLVVDGGFTVGKPINLHPAS >cds.KYUSt_chr3.3285 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18828559:18831519:-1 gene:KYUSg_chr3.3285 transcript:KYUSt_chr3.3285 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEYGRDLTAVASSADPVIGRDAETDRVVSILCRRTKNNAVLVGAPGVGKTAVVEGLAQRIVACTVPPELHGVRVVEVDLGAMVAGTTLRGMFEERLKDVIREAEEAEGKVILFIDEVHMLVGAGQGSGSMDGANLLKPALARGRVRCVGATTFDEYRKHIEKDAALERRFLMVQVEEPSVPETIAILKGLRQRYEEHHGLKIQDDAIVAATELAGRYITGRQFPDKAIDVIDEACVATRMQVINKIKAGNQNEVDTTKLSSSDISKAIVGRDHVAQDEAVNLVAKTVLRSRAGLDQSGQPIGSFLFLGSTGVGKTELAKALAEQLFDSDKMLVRFDMSEYIGASSITRLIGAPPSFIGHERGGQLTEKIRRHPYSVILFDEVEKADISVFDVLLQVLDDGILTDGLGRTVDFKNTFIIMTSNLGAEYLNSNEETKEVTRSQLMEKVEEHLKPEFLNRLSEIVIFETLPRDKLKEIVKIQINKLVASVASRGIFLLTSDAALDVILSESYKPMYGARPIRRWVQKNVITELSELLVHGEISEGSTIHIDAKDAKMLKFEVVKEVATRAKEDTPHTQPRRSLRRRSSSLTPNPDNKRRLRSSHT >cds.KYUSt_chr4.22034 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138511976:138517005:-1 gene:KYUSg_chr4.22034 transcript:KYUSt_chr4.22034 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAGPQNRCVFGTPRPAPPFSLAPTTPIPAEVSALHPCLFGNIPYDATEEQLVQICEEVGPVVSFRLVVDKETGKPKGYGFCEYKDEETALSARRNLQGYEVNGRQLRVDFAENGRSNDRNREKGRGGPGMASSADAQKQSTAAPVVGDTSLHQLVGLPPAIHAASVMAGVLGGAQTANVQNGLPVQFGLGNDPLTHYLARMSRHQLYEIMSELKTLTTQNKELAKKLLQGIPQLPKALFQAQIMLGMVTPQMMQMAKNQQPSSSLTQSSHLNESFPQPDALIPAVPIPPQNPNALQEPPLHNFPQYQHSTQPPVKMFPHGHQSGVMAHPPTQPFGTSSSGPIQPLTTSGGLMSQVQPPFLPPHPRPPVMPTNVQQLPLTNPLLSQVAAAPDIPLQESRLPDRASHQAALTHPSKLRKLEDGTSTPGNVNNSHGVYSAPSQIVVPGGPSGSYSSGAVNFQQPENEVAQLTPDDESALLQQVLQLTPEQLSSLPLEQQQQVIQLQKMLSAGK >cds.KYUSt_contig_1253.612 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3763052:3765023:1 gene:KYUSg_contig_1253.612 transcript:KYUSt_contig_1253.612 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGAVNVRKVAREVLLQDNQGQHAAALQRADALAVDHPTSALAQRLAGVLHLAAAVRARRDAAASAEQDMADAHLNSARSRLSTAKRLVPHCVDIATALGDTLAQSSLHREAEVEYCRALAISAPVDPAKHNLAYGLYGPEATSMDQRLMWARARAQRALDLLRIAAEVDRVLNIFRRDGAHAARPHAKLVAERFPGSARAQLLEAYMDLQFFCGLEAASDKRACLRRPLASVHRAAQSFPNSASIAAFRAKLLFLLGEYDAAERECSRALDLKIPHDPDEDCIPPGSISGADPSARLVSLSGLFRELVVKILGLAEDYWNNSMTAERRSDFMSVRLETLQEEYNKVDPSSPATFTVSAALSFLKERKSWRFWVCPLCDDSMKHTDTASLLDHLCSKHKKEVFPRLKSILDPKHVREGDGSFGGVTFGQDPDQHDIMRFKPRNDIFKWMFHGPNTKIIAPKPFAEMREEKCNTGIKFLRIIKKKLTTLPADTSGTKSAMIIFEIQEEWLTFVERSVLDYRQVILTLARSLLWRELTKCMAQDPKVSAQKISAADIDAVFGNATEDHVTTAIEEEQTKEKYHKSAHDKKAELHQV >cds.KYUSt_chr2.45639 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284609570:284610491:-1 gene:KYUSg_chr2.45639 transcript:KYUSt_chr2.45639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os04g0568700)] MVDDPGTDDTISWNESGTAFVVWRRAEFERDLLPRNFKHSNFASFVRQLNTYGFRKVGIDRWEFANDCFRRGQKHLLGAIQRRKGIGAGLPAPAMLPTAIPISPTPTSSSGDPAVSSSPPPVGPATGASGAVAELEEENAWLRRENARLARELARARRVCDGVRHLVSMYDHGGEEGERPGGKPMLFGVAIGSKRSRSPEDGDEEENGDEEDEEQEDDERHVARREPPAMCRRTEPSDLDVLALSVRAAAAARPDGGSRDRKNPSMPKPLACAVKSRNAPSS >cds.KYUSt_chr4.45396 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281010399:281017376:-1 gene:KYUSg_chr4.45396 transcript:KYUSt_chr4.45396 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRSSSAGSSRCLLIFYFIVFIAFCSIIVFREIGITVIILFLFVIVFHHNPSFSVLGPTIVTGHETGSQKVAVNETRASVIYGPPPPTPGTCRDSGGGLTHVVCFGNGSFVTTRLSKDGVATATFTASPPPTDAAGFSEEATNLLGMFPIHPGRRLASHADFCSATLLESPDFLPFLRLQLLDMVHGVYLKAIAMLPVRALRDGHLLHSLVTAGHCYGPLDPVANVVINTIWYDALFPLSKDVASKLGAADILDARSMHRIESRSIDGLVAYLCRPPSTLGEQAAVTLLCRARSDIPILELTNMCDVAQAAKHPQPAAFGEFLERGSVPELYQLYCHARVHGDPDSAFERIKMALVQSTRVAPVQRCARDMDSASAALERLNINNAPALETLSARRSFFMSKQASFRGALESLLIGYGDHDPLAPLYKLGVICGVTSETNYRYTSVFHANFLASSDGGLSWKLFFAEFWRNQPDNRIEEPKKSFCCPIPDDHKYPEERHRRGTDRQASMSKDEAPGSSQLSLSGFSSLFSISSTNHAPRPPPHPHHHLDLPSLSLSIGTDGEEGQVVSSSDHDATARVWMMNNRESALRSRARKKAYVQELEKEVRRLVDDNLKLKRQCKQVCPMYLSSSSCSC >cds.KYUSt_chr3.10490 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62297919:62298143:1 gene:KYUSg_chr3.10490 transcript:KYUSt_chr3.10490 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSATTMAAGVDRKITDSGEVLRKGFFKFALHLAPLDDCLHPEAPLDDALLHDQTAASGETPVDGTVVVTGDQ >cds.KYUSt_chr2.41354 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257187609:257189572:1 gene:KYUSg_chr2.41354 transcript:KYUSt_chr2.41354 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLESVKNLFADGGCLPRLGRKDPEDLDDSYPPEPAEKRRRSRRAAAPAVEDEPLTVEVPAVALKEINELTENFASERLVGEGSYAKVYKVTLRSARLAVVKRLEKPSKHASNDVFLRQLSVASKLRHESFVRLLGYTISGDLRVLVYEFATMGTLHDALHGPRDGTAAREEEDARPVLSWAHRVQIALDSARGLEYLHEKASPRVTHKDVRSTNVLLFDGMRAKIADYNMFSQAADMARLNRSEHTLGSFGYQAPEYAMTGLMTDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWASPLLTEDRAQECIDPRLGNRYPPTGALKLGRIAVQCLQYDPTYRPSMGTIARVINYAVVRDQQGVV >cds.KYUSt_contig_1658.48 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:242161:243831:1 gene:KYUSg_contig_1658.48 transcript:KYUSt_contig_1658.48 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDALMGSSRNLTEDEKKDHREVGWDDPDVCAPYMARFCPHDLFINTKSNLGNCPKIHDPILKQSFEDSPRYAAILPKFEAELAHRCEKLVLDLDRKIRRGRDRLAQEVELPPPAHGDKSEQLSMLEEKIKKLLEQIEQLGESGKIDEATALMRMVDVLNLEKAALTNQIENKALMIPQEKKMELCEQCGSFLVTNDVLERTQSHVTGKQHIGYGLVRDFLAEYKAAREEERLAREKEVDERQSQRERGSYHNGGRRDVPRERPGREHDRDRYCERHRDRGRPYEHAGRGSDYRGGSYNNRRDYERERHRDGRNGDARRNMGRMRSRSRSPGRHGY >cds.KYUSt_contig_1593.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000170.1:32457:32996:-1 gene:KYUSg_contig_1593.11 transcript:KYUSt_contig_1593.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSTTMLTAIGILLTFFISTTIVTGDDCITPACSGTPYAEACVSVLSEAQLVKPKMCTDVRGLAEFAVRATTQAIWDAGFDAKVELYNIEDISEADERCFKDCGVKLQDFSKELESKTNLADVRTFLDDAKTKNMELNCDVCRHGDDKKKADDISKGNRSEKMMVVLPVLIDRALLK >cds.KYUSt_chr6.19537 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122973419:122975049:1 gene:KYUSg_chr6.19537 transcript:KYUSt_chr6.19537 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQQAPVGAPPQQGYPPQGYPPQGYPPPQQGYPPQGYGQQGYPPQQQQQQQGGPSFMQGCLAALCCCCLLDACF >cds.KYUSt_chr4.40741 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251557972:251558498:1 gene:KYUSg_chr4.40741 transcript:KYUSt_chr4.40741 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVCPALAYIVVYVEDVLKSAEFYAAAFGYCVRRVEDSRKWAELDTGATTIAFTPLHQRETDALTGEVHLPKSPRERGPVEICFDYADVDAAYRRAVENGAVPVSAPEQKKWGQKVGYVRDVDGIIVRMGSHVRE >cds.KYUSt_chr6.14268 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89144215:89148282:-1 gene:KYUSg_chr6.14268 transcript:KYUSt_chr6.14268 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRMPPRKASNNTKYYEVLGVSKTATPDELKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLNDPEKREIYDQYGEDALKEGMGGGGGADMHSPFDIFEQLFGGGGGFGGGSSRGRRQKRGEDVVHTMKVSLEDLYNGATKKLSLSRNVLCGKCKGKGSKSGATATCSGCRGAGMRMITRQIGPGMIQQMNTVCPECRGKGEMINDKDRCQSCKGNKLAQEKKVLEVHVEKGMQHGQKIVFQGEADEAPDTVTGDIVFVLQLKEHPKFKRKSEDLFVEHTISLTEALCGFQFVLTHLDGRQLLIKSSPGEIIKPGQHKAINDEGMPQHGRPFMKGRLFVEFNVQFPEPGVLSPAQCKSLEKILPPRPSQSSDMELDECEETTMHDVNIEEEMRRRQNQRRQEAYDDEEEDDGAPRGFWFSSLRMALDKPINEIVMAEKTDLSRTDHKPEEML >cds.KYUSt_contig_686-1.1377 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8708738:8709106:1 gene:KYUSg_contig_686-1.1377 transcript:KYUSt_contig_686-1.1377 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAEIYRKPVPGWEAKSGRACEGIATLFPLDASCWCLQGWCWRAPCMVCLAFVMPVFLLFSQVLVPVTLTIGMVMMGLTGENSLWTLTTPFANVLNPCAASSDQLLFKIWISMASVSSGI >cds.KYUSt_chr1.5698 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35171498:35183985:-1 gene:KYUSg_chr1.5698 transcript:KYUSt_chr1.5698 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNASEEEDEEQLKDDDVVAVDEEEEEAVSSAPSSPLCEPYIPSEIRGLGSDNETYMAYKQALEPARSKYNAKRARQNKLPTLDLDTPGCGMRLGFEGGDRKHIPAYGAEARKAVNAAARFVLGVSASIGVKHGVKRIGRGTCFWIDWDEHEKTGIVLTTARLILTESILSIFGTEGHPDDVDEYADDAEVSGGSYAEKIGIRVGDVIECSNGEDIRTIFELENMLLSICKEHFDRGNDLNSKVDVAEIKDLETFIKINPIFSQMKINPIFLGIRKNTHVNNNDVAGRQLRHATAAAAAGVGRRGVATATATSTEEYMRRNYANNVSEYNTVIGSLVQQRRPYLLRDAYEDMLLDGVQPVRDTFHNLIVGTMKGSRLQDAFYFRDQMREMGLQPDVNLYNFLISTCGKCKNSDAAIMLLEEMKAHGVKLKGETYICLLNALAATGRTDQVYAIVNDMTAAGLGLNKYCYAGLITAFKNKAPASEDTMAKILEFVQQSKGWQYVERIANDSAENIMMNVSEEELYNLPTAEYVHRRGFVFKQLTIYHVAVHACADLQSKETLEALLEMFTKEGFTYDAFTVMHAVRCYLRCGDIDSAVKMFEEFSSSKPTPAELYVTLAEGAMIGHTPTGMQVAQETLEKMTSRNFFLNPKMGTDLLLAASGEKTGGYTTANYVWDLLQTRNIVPALPAVEAYHRGLKDREIPSDDPRLLNVARVLDNLQLRSGPRRFAQNTQQ >cds.KYUSt_chr4.9218 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55659820:55660245:1 gene:KYUSg_chr4.9218 transcript:KYUSt_chr4.9218 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKPNTMKLQATTTTTVALLILLLLASSSFHLSMAGSAFCDGKCQVRCSKASRHDDCLKYCGICCAACNCVPSGTSGNKDECPCYRDKTTGKGARKRPKCP >cds.KYUSt_chr1.33499 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203596215:203597489:1 gene:KYUSg_chr1.33499 transcript:KYUSt_chr1.33499 gene_biotype:protein_coding transcript_biotype:protein_coding MARICWIRPSGAFISSGDGDGDGDGDGDVHLTPQGLSQSKTRRRVGAAGQHGLHTMSWSGLPADLVSGIADRITDHADLARFRSVCPSWRSASAAHAARRRVPLLLVPSQISSVNRGLWSLADDRIVEIPVPVASGFFFLFASPRGWTLGVAHDGASAKLLHPITGASEGLPKLPPPFFRDGDRKILRDMVWDRSPDAIMISPGKGAFFCRLPPCGGGGSWSPVAGCSQDAGRVSSITYCDGTFYLLDGSTRRVMAVDGATFAVAGVIEPPDMVLPARFWLEPNSVLVASSGELLLIVRTSLLLEVVYHSSEGFLKIFRADVRGPAAAWSEVADGSGIGDRAVFVDHFRGFCVEASEVNGLRRNCMYVARGYEVVDDDYGMDVYAKFTVSVLDLADLATENLEYGNLSKFRDGSLWRWPSWLMH >cds.KYUSt_chr5.29708 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188389719:188390084:-1 gene:KYUSg_chr5.29708 transcript:KYUSt_chr5.29708 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNWSPCSATRARCSGRLQPCRLSSRASARAAQSQSGGLTRAFRVAAFQLTPPAPASDDEEAKAADEGAANHTDKAADEHMGVDRAFGLEQFVSLLARPALIQKSGQQPGKSRVNVVSLP >cds.KYUSt_chr3.40352 pep primary_assembly:MPB_Lper_Kyuss_1697:3:254163696:254170825:1 gene:KYUSg_chr3.40352 transcript:KYUSt_chr3.40352 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLIEKQKADHVSDVPLDWLQVFDRVRVQSYMKNISLLEEVYTEDERKPEWFEVDRAIACRQKYDIDGPCDILATFQNNEDFDGYEFLVKWNDLDYGECTWEPYCTEDEMGLGKTVQVVCFLRQIIKGTFTTSPSLVIAPKSILLQWKKEFGCWASDLNIVIYQGEKDSRKCIQAHEMYSSEGKTLFDALVTSYECVQLDKAVLQKIKWSTIVDEFSDPKTDGLFLPIESGLDLTMDEKVARIHDILKPRMLRRMKSDVLKDSMPMKKWVEVPCALTDSQRDLYINILEKNYSKLNRDIQCGQEVNQLAGEDVFLSLVSASGKLQLLQKLLPKLKERGNRVLIFSQMTKMLDILEDFLFSLGYKYARIDGQTSLSARQERIKEYNNVESETFIFLMSTRAGGLGIDLPGADRVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCSVEEKILQKSKQKLAIENMLMNSSKKPSAEELKSVLLHGAKTILEKKKINATSIHYDDEAIENLLKLDPSSGEKCSSDDNGYLGSIVSFAHGDEEEGPLSPKVEDLKVFKPATPKVVLGRGRRQRNAVKYHEESENEESDDMYAPDASSESSSSSDDETEQEVPEVVSLKPEVAPVPDSQLAPSSGGETENVKLE >cds.KYUSt_chr4.22293 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140041740:140045066:1 gene:KYUSg_chr4.22293 transcript:KYUSt_chr4.22293 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDGTGSAGGGANARPPPVTAAPAPSGRPVSVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVAKEDGAEFACKSIPKRKLLCREDYEDAWREIQIMHHLSEHPNVVRIRAAYEDALFVHIVMELCAGGELFDRIVAKGHYTERAAAQLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKTTDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLCRDPTKRLSAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDADNSGTITFDELKDGLKRVGSELTEHEIQALMDAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFEFFDKDGSGFITIDELSQACSQFGLDDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGAAGRRTMRNSLQLNLGDILNPSSS >cds.KYUSt_chr1.11132 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68149064:68149696:-1 gene:KYUSg_chr1.11132 transcript:KYUSt_chr1.11132 gene_biotype:protein_coding transcript_biotype:protein_coding MPADATAGGCLPADQSCFALTAAGPPYPSRRAARAACCTTTSYIVVLSISFGSLLAILLVLCVIRWYLVHRSARRHEAAAAADDAAAPKKRPAGLDADAIAALPEFLYQNEDGEEEAECAVCLAVMVEGEDARRLPRCMHVFHRGCVDVWLREHSTCPVCRAEVVVRPAAEEQAGKEHVGSTSRAVASPTPAPPPQERVLDGGERDLEAQ >cds.KYUSt_chr4.44542 pep primary_assembly:MPB_Lper_Kyuss_1697:4:275899132:275907004:1 gene:KYUSg_chr4.44542 transcript:KYUSt_chr4.44542 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRWVEKTSTIMIEDCAYFSYIKNHPRNAELFNGPIENNAHMKRIYTGCVPPAPLTPIAVHRALSHLRDHKAQVTKYLVMTPDARMVWFNAFLTKDFLRADRETAYMILFMVLDLRVADVILNIKLLRDDNGGITLLQYYYVEKILSRLASSSRLAAQTTELAMELFLCAPCFVILFVVSSLYLLLRLFADARRNLPPGPRPLPLIGNVLDLGAQPHRSLARLAERHGPLMTLRLGAVTTVVASSADAARDILQRHDAAFSARSVPDAVRACAHDRFSVGWLPPSDPRWRTLRKVCSAELFSPSRLDAHQSLRREKVRELVSHVARLSREGAAVDVGRVAFATVLNLLSRTIFSADLDDRGGSEQLRDVITEFTSAVGVPNLSDFFPAIAPLDPQRLRKRLARVFQRLHAIFDDQIERRVLERDAGEPPKNDFLDVLLDHRSPDDGLGFDRQTLRSLLTDLFSAGADTSSATVEWAMAELLQNPSAMAKAREELAQVIGTKSEIDESDIGHLKYLQAIVKEVFRLHPPAPFLLPRQAVATTEVGGYTVPKGTRVLVNVWAIGRDSKLWTEPEKFMPDRFLGKETDYRGRDFELLPFGSGRRICPGMPLAVRMVHLLLASLLHQFEWRLPREVEGNGVDMGEKFGMLLGLATPLHAIAEPIQVKQTC >cds.KYUSt_contig_1993.361 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:2030794:2031394:-1 gene:KYUSg_contig_1993.361 transcript:KYUSt_contig_1993.361 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCRAWHCLLALFLLSSAAYGQLSSSFYARSCPTLQLIVRATMLKAVLTERRMGASLLRLHFHDCFVQGCDGSILLDDIGSFVGEKTAFPNVNSVRGYEVIDEIKKNVELVCPGVVSCADIAALAARDGTFLVRIYNGHTSGKRGNRPGPFGPLDPVPEPGPTRRD >cds.KYUSt_chr4.37389 pep primary_assembly:MPB_Lper_Kyuss_1697:4:230238454:230243145:1 gene:KYUSg_chr4.37389 transcript:KYUSt_chr4.37389 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASLPDALLFPFDGFSADDFFNAAPPPPPPPGVDPNRPFLLPFSSYNNVDVHGSAGFGPEALAIAAPTSTVATTGSSSSAPRSLPLMPSMGERTSRYRGVTRHRWTGRYEAHLWDNTCRREGQKRKGRQGGYEKEDRAARAYDIAALKYWGANAIINFPKENYIKEIEEMQNISRLELVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAALKFRGDNAVTNFEPSRYNLEAIARSDLPINGPGRRLNSYNYKPPAAPEAQQVQQITFATSAPPFPQQLSNGVSPCLLHTLLQLPPSAAPMHALPLSSYGGVGVPAFYWPFGEVEQKVQLDGKMEVVDGILQLANPAV >cds.KYUSt_contig_1861.53 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:285513:287818:1 gene:KYUSg_contig_1861.53 transcript:KYUSt_contig_1861.53 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVPDLPDELVHEILFRLPPDEPACLFRLSVLSNLWRSLLSDPGFHHNYRKFNRMPPMLGFIYNSEVSSVSRFVPTTGSSLPCTFDPQLADFTVCDCRHGRVLLDNGEVPMELVVWDPMTDRRKEVSDPRRSLFYLGTAVLCAVDGCDHTSCHKGPFHVVFVGIDAEVGSVTAYKYSSETGEWSTPTSELALVDEHHFVVELDLFDGLDPVDDGYLTAMHSVLVEDSLHFLLMSGPQGARVLKYDVGRHYLSLIVLPAAAAVYDRGTLLMATEDGRLGVAHLDKLSLHLWSREVGPDGIAAWTEHRVINLIPFLPIGDPAIKVELIGSVEGANIIFATTALGVYAIDLKLLRSRKLCEGQDIRPLFPFMSFYNPPGIFVLWMCNLRTDVFQFPVGLVLGDGRCVKSDVAAVELRVTC >cds.KYUSt_chr6.17658 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111101477:111102979:1 gene:KYUSg_chr6.17658 transcript:KYUSt_chr6.17658 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKCGRAAAIVLPFVAFVLVAAVVSGGGGRTGSHSHREHFLRHVPGEPQKAALLPASTQAIGKKVVGGVIEEGLARSRAAIRLAARAAPTDESVRRIRSFRNVGDAFVPRGAIYRNARAFHRSYLEMERRLKIWTYSEGEPPLAHLAPGTDIYSIEGQFMYEMDDPRNRFAARHPDEANVFLLPISVCNLVHYVYRLNTTAYLAPLRRLVADYVRVVAERHPYWNRSRGADHVLLSCHDWAPLVSEGNAQLYGNAIRVLCNANVSEGFRPRKDATLPEVNLADGVLRRPTFGLPPENRTTLAFFAGGMHGHIRRALLEHWVGRDPDVRVHEYLPAGQNYHELMATARFCLCPSGFEVASPRVVESIFAGCVPVIISEGYPLPFSDVLDWGKMSVTVPAARIPELKTILRGVSERRYRVLRARVLMAQRHFVLHRPARRFDMIHMVLHSIWLRRLNVRLPY >cds.KYUSt_chr2.19524 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122840223:122842261:-1 gene:KYUSg_chr2.19524 transcript:KYUSt_chr2.19524 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPYSSPTRGEAKVLGVAWRHGGPSVGGVARERRGDGVAEALEAPTKVQEVVTTAAASSAALHGGGGRARARSGPTLACARRRRCAALVQAGWQPWVLCTCGAAPEAAVRDGPDGLGSCCRRLGELAVAGGERNGSVAGAASFRCEMNGALLVLHPLEGRRMAQGVASSCREMNGAAGVTSFQWERDGDVAGVASFLLEQGKERMAGSMSGLLGDAVGRGGEGRRFGRLADRFLVELVPMSLPVKVVLRPWSESSTAAPTDLAFQIRRWWRYSVSLFLLRASFWSWFLVGETRGLGGFVEVLSAIKEEREDTSIIGIPMNCQLNTRSPCDDAEEEDECHVCVDVADITMYRLTSSYQQQGPETS >cds.KYUSt_chr1.39867 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244024559:244028876:-1 gene:KYUSg_chr1.39867 transcript:KYUSt_chr1.39867 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAARSKRSHTVHGRRTHQTPAAAAAHKQKRAAAASGGSSTSAPRSRNLPSNWDRYESEPEAEDPAEWTGEVAPRSKGADFSYLLEQARAQPREDRGLAAAGLLASQDSSFGFMQASTSMLEARGEGITSWFEDDNFILDDDLAPDFEDVASEDEDNEIVIQCDTTLETDAKESLVQHNLKDIKHAKEEETTASPKISAQLDTVPSTGHSKQSKLEMVAPEEELDMLLNSLGGTHLSSSNVDESFGNHSTLEHMEINKSNENVTSGTSSKLPPLSALDDDLDTLLSLPVQNEGFAASSLNSQPTFDSDNNIDFIHAKQIDVTSIDDSVDDLLADFPVCLNDKKQTTPAQAQQNSSNSHVPHSGSSNVSDDFDSWFDSLQK >cds.KYUSt_contig_195.170 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1059840:1066230:1 gene:KYUSg_contig_195.170 transcript:KYUSt_contig_195.170 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDNRGDEDDGGVDGDAFRGHFPVPAECRNRDFCPPDLGFAMAAALEDLGEIDVIMDIKLLRDGNNGITLVQSHYVKKGVLSRFGYANCKSSPTPYDPSVLLRKNENANAASGMAVDDECKLRFLELKAKRTHRFIIYKIDDKKKMVVVEKVGEPILNYDDFAASLPANECRYAIFDYDFVTEENCQKSKIFFVAWSPDTARVRSKMIYASSKERFKRELDGIQVELQATDADEVGFDVIQGRAN >cds.KYUSt_chr2.1651 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9728066:9730141:1 gene:KYUSg_chr2.1651 transcript:KYUSt_chr2.1651 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSVSRTSIWCLSLSSGAPGAGRTSAMVHPVDLAAVSPVTSTMVPPVDLGCWGAPSPLPAPMHQSRATTQASTEIGTGGGGLHGVRILPMWSSLQRCKGEEMVLAFTESQLLSATFWKTSPSCGRQQRTRHDASGDHSIPSLSATSQTCSCQIAPTTYLPQTPFSTQFANIAAAAWCCSPHLATQRPTTPPHPPNSLAVRHPHRPNPLHPPCPRRWRQERLPCASPRHPEHMELGAPITPAMVPPVDLGCRRPSASLHAPTYQSRTTPQEAAQTGGRGGGPAVHVLPLWSSLQICNRGGGGMFLAFMELQPPSTTFWKTSMSCGCQ >cds.KYUSt_chr4.48922 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303082984:303090242:-1 gene:KYUSg_chr4.48922 transcript:KYUSt_chr4.48922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulation of shoot gravitropism and tiller angle, Regulation of polar auxin transpor [Source: Projected from Oryza sativa (Os11g0490600)] MAFLIGDNEGKMDEETRVCAFALLPLLMPPSSLWLPGKLRKPLQDDVQSPSMFFMYQLLGWMHRKLRSNNDVFKEFNVGGGGACNCITGLASPDDEYFGDDGFAANHPSPLVSADEHFTFSGSGLLAIGTLGFADFDIPSDHEDNDEDYDHVDVESIDADGTVDEADDGTVTPTFTYLQPEAEDAAVEKEMCTIDAVAEKDDDTPTEDDLMLVSSELEKVLGGSDIPSARVSFAMGVDCPLQGFLFGSPVCSDAESRPDKPDGGGRRTSLGELFMRTRFVEDKVALVAVAEGEDGGERDEGKQGKGDGGRHKRMKKRRVKDEKGAGGDGEPDSATTKSKFKKILQIFHRKVYPESTILTRNLTKKTRKRCGPEDGGGGTDEPTASPKTTRPRMLSLGCCTKRSFSASPGDSGELNANKSGHWIKTDADYGFVWVHSSRG >cds.KYUSt_chr7.15960 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99055761:99062692:1 gene:KYUSg_chr7.15960 transcript:KYUSt_chr7.15960 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADNRRTVRARVPRAPERIGALPDDVLRRIMSQLSSREAVHTCFLARRWRNLWREVPIINATFEDFEDSAAAHDRTEREAMFKKFVTRFLLLRSRVGLDEFRLDYSLAGGTEDLSAGSADANLWIFHALRWNALAVKVLSREHQLELDPAVFTSSFLRRLHISSARLVPGFFDQLQHGCPALEYLFLSDCLVMDHEIFSNTVKVLILAEEVMFSCDHDDPVSISAASAISVSIECDLSVARLPTLKNMASLETASVLLSGHVKACDADDIRQFLGGLSHVATLDFRYKDAKASTYLFSHETMILNSYARSNQLHVTLLLEELRLKTLSLLGNKMGKGLQDESKVKLQKCKPAPINMNGVADLVFPLRHL >cds.KYUSt_chr1.30551 pep primary_assembly:MPB_Lper_Kyuss_1697:1:184890138:184892363:-1 gene:KYUSg_chr1.30551 transcript:KYUSt_chr1.30551 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAHLLLLSLTLTLTFLHRPTPALCVADHPDASATRTHSYQTFILLLKQPAEAGTSEDEHRWWHETFMPSPLAGFDEPRLLHTYTEVFTGFAARLTDAELDMVSKKPGFLRAFPDQLWHPTTTHTPEFLGLKRGSGLWRDVSYGKGVIIGVLDTGIYAQHPSFDDAGIPPPPSKWKGSCHGAARCNNKLIGAKFTSVFANDSADDTGHGTHTSSTAAGNFVRGASVHGLGRGTAAGIAPGAHLAMYRVCTIHGCATSDIVAGFEEAVKDGVDVLSVSLGPFFDVNFSEDPVAIGAFSAVAKGIVVVAAAGNNGPKSFLANSAPWLLTVAAGSVDRSFETVVQLGNGNHINGEAFNQISNSSAKLFPLYLDKHCKSLAGRNVSGKIVICHDTGSMNNTGSINNTDISAIMSAGAAGIVLINRKDAGFTTLLEDYGNVVQVTVSDGMKIAEYVKTTIKATAKVIYKNTVLGVHPSPTVAAFSSRGPSSFSPGVLKPDVLAPGLNILAAWPPLTMFGSGPFNIRSGTSMSTPHVSGIAALVKCSHPDWSAAAIKSAILTTSDITDSTGDPILDEQHQRATAYAMGAGHVNPTRATDPGLVYDLGITEYAGYICALLGDQALAVIVRNPRLSCKMLPKISESQLNYPTITVPLGTRPFTLNRTVTNVGSPNSVYTLKVQVPKSLLVSVYPETLVFSKAGQKILYTITVRSHGNAGKKFMEGSLSWVSGHYVVRSPILAVVGLL >cds.KYUSt_chr6.16825 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105996091:106000875:1 gene:KYUSg_chr6.16825 transcript:KYUSt_chr6.16825 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGDVWTRAQVEALAPPPPTAVTREARQRLVIAERDKRMRLEWAHPFVEDVAAMEAFYGEKEEAKERRRLRRRRKTPRELSYLAMGRSPCCEKLGLNRGPWTAEEDSILVAHIEQDGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDVKRGNFTIEEEDAIIQLHAMLGNRWSMIAARLPGRTDNEIKNVWHTHLKKRLESSAAPKRKAKKHAAVTTSVITHDGPTSAPVLPEEHISSSATGYSMASSSLETTESFSPDSHEFQIDDSFWSETLALTVGSSSGAGMEAGDPFGADSASPTSSNDEMDFWVRLFMQASDLSQI >cds.KYUSt_chr1.41491 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254475228:254475656:1 gene:KYUSg_chr1.41491 transcript:KYUSt_chr1.41491 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMVDSSDTINVVKAKIQDQERLLFGGKELDDRCTLADYGIQDESSLDLDLRRPKRRKMQIFVKGLTGKTRTLRVEELDTVESVKAMIEEVEGAPVSEQRLLFGGGQMEDGRTLKYYRITKESTIHLCLRLRSCVKCPVKT >cds.KYUSt_chr7.29269 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182192194:182198199:-1 gene:KYUSg_chr7.29269 transcript:KYUSt_chr7.29269 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGKGAGGDLWIPRDGIGGGGVSGRFSVSWLSVLGVFVTEALSRRKGRVASLSAMGKASVQPLNGEPLLQWFYEGDPHLLDPNWRGLVPSDEGGGRRHNLSHEYDGEFSWALSREYDAEDIPTVPEKALAAGCDVEGKTVRPRDGAIEEVPVEHLRPGTAAQII >cds.KYUSt_chr2.4148 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25091974:25098573:1 gene:KYUSg_chr2.4148 transcript:KYUSt_chr2.4148 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEIWWWKLAGGARPLELMLLLFAVLRRMELEVGFDDGIPKGMYNGDIFAVPRTPSVAWDGANIGLEPAADSYNGCGGKEEHGLPVAGMVCTVDALEQLVALCSLKCFSSCSLKHMAFTHQDFFRTQGHHQNIKCPVDDSRPRHRAIEDVGVKKSLQLWINLASNGQVWSKDISQASKEGVGVRIIVGDTIRVRFREATETVNGCNAASGQETRWRLENNETEVLMALQVCQTTPRPELHSHPAMEAGQELA >cds.KYUSt_chr7.28852 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179633347:179634331:1 gene:KYUSg_chr7.28852 transcript:KYUSt_chr7.28852 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGDGGDDGEDDDDGDGDDVQLDGGDDGVDFPLPEGISPVDLSPPESSFLSGVLRPAEAAVTLREWGGGPPPAMWFLRAGWRPTGCWWSSTAPWRWSPDRAGSGLSTSSAARSVVALLRDGAVVLAGVCGPVELQAGSGQLRGDGGLEVPGESLPDYVRTAVAAPAGVVPSLEALPWSSLGLQARIRLFG >cds.KYUSt_chr7.31166 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194082163:194083550:1 gene:KYUSg_chr7.31166 transcript:KYUSt_chr7.31166 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQQQHSDVKALGFYAPSVSKFLQAWPEDRVLLLPAVPSNSVGVPARPLLFLAISGSGDRLHVSSVPLVPKAGAQLVLHAVLVPKAGAATARPWRRREIGQEGVVAAAQAGEEEGAREGRGRRKSSNPFGSFVGCTIAVAVRHGRLRAELEQGAGGATAAGSAAGAPPPPPPQAAPPCQLLRRSPAALVAGADVGRAARRPRRR >cds.KYUSt_chr5.42129 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265556115:265558284:1 gene:KYUSg_chr5.42129 transcript:KYUSt_chr5.42129 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRRRRWVGRTRRRRWVGRTEEEEGGGEDQEGGGGTREDLSEDEGLGNLVFDPDPSLEWCEPEDYQYVPALERLRPRDRKPYRRGITQLPSLRSWRYRHVVLVPYGRSSFQFEDPTQRPPRGYSNILGGLLRWYFPGIVNFPTGGCDVAWRWAHYSLAEDPLGRGTAADMVVAKFWKYFKRAEGKENACDDVLHQLARKRVTGMHYEARIQCVRDWHADRFVHMSKEDARDTLMQPWQYLQNPPQYVGNDDRCFRAMVMWWTCPQYLKKHEEGKAKRAEMRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSLWVNPQSETQCTAYVSKFKQKHGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRQIRRGRKSGQPEEEMAAKEQAAQEQRAQMEQQILQYQQQQTQMMQQMQQQQQMMQQQQAQMSWLMSQTALSSPPGSLPPPPYSMWMPPPPTQTPGTPITVNNMNIIRSMNLGESSCAQPATCTCSSVQYANANVHSINLLIDFMSQGNDNEAGGSGGGQG >cds.KYUSt_chr2.54381 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339328600:339333133:-1 gene:KYUSg_chr2.54381 transcript:KYUSt_chr2.54381 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERETPGRLQSGRSWCSNTAQDQDTKKVGDSDQFIKEPAWKRFLAHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFVMICLWLLAELAVIAADIPEVIGTAFALNLLFHIPVWVGVLITGSSTLLLLGLQRYGVRKLEFVVSMLVLVMAACFFGELSYVKPPAKEVIKGLFTPKLKGDGATADAIALIGALVMPHNLFLHSALVLSRKTPPSVRGIKDACRFFLYESGFALFIALLINIAVISVSGTVCFRENLSADDADKCSDLSLDNSSFLLRNVLGKSSAIVYGVALLASGQSSTITGTYAGQYIMQGFLDIKMKTWMRNLMTRCIAIFPSLVVSIIGGSNGAGRLIIIASMILSFELPFALIPLLKFSSSTSKLGPHKNSIYIIVFSWILGLLLIGINVYFLSTSFVGWLIHNSLPKYANVLVSIVVFPLMLFYIVAVIYLTLRKDTVVTFVADSCKTDTEKAVGGSYDDEPVPYREDLADIPLPAHGRSD >cds.KYUSt_chr4.47274 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292541344:292543824:-1 gene:KYUSg_chr4.47274 transcript:KYUSt_chr4.47274 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMGGEVWRRYAPHNMMILVQLSYTFMYFFTEAAFNRGLNPYVYVTYRHLLVAVVLWPFAYYHEKKLRPKMTWMLFLEIFVLSLVGVSLTLNMYFASLKYTSPTFVASMVNAVASITFVIAIVLRMEIVDVKSARGLAKVGGTVVSFAGVTTMTLYKGTAIASPWKAPIHIDGSNAVHQSWLKGAFLAVASCVCWSIWYIMQATSVKRYPAELSLTAWMATVGGIQSLAFTVILKHHKEDWLIGFGLKFWCIIYSVRAQSGIACSGFAVFAQLWCTKKKGPVFVTMSTPLSTIMVAILAYFIFGENLYVGSIIGGVVVILGLYMLLWGKDKDQQYNASSEERVADLDCEMQRANITNVSPGKSGSEEDSNTTRQEGIYCHSHE >cds.KYUSt_chr7.25031 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156210366:156213081:-1 gene:KYUSg_chr7.25031 transcript:KYUSt_chr7.25031 gene_biotype:protein_coding transcript_biotype:protein_coding MERQVSFRLGALEKLKSFRGMDNFRGKNKDSPGKRGDTALHLAARAGSVPHVQKILAEFDRELVGELAARPNLDGETALYVAAERGHTEVVREILKVSDVQTAGIKASNSFDAFHIAAKQGHLDVLKELLQAFPALAMTTNSVNATALETAAIQGHIDIVNLLLETDASLAKIARNNGKTVLHSAARMGHVEVVRSLLNKDPGIGLRTDKKGQTALHMASKGTNAEIVVELLKPDVSVSHLEDNKGNRPLHVAARKGNIIIVQTLLSVEGIDVNAVNRSGETALAIAEKENNEELVNILRDAGGVTTNERVHPANPAKQLKQTVSDIRHDVQSQIKQTRQTKMQVQKIKSRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDMSQAPPDMTLGQAYAASNPAFLMFLVFDSLALFISLAVVVVQTSLIVVEQKAKKRMVFVMNKLMWLACLFISAAFVALTYVVVGRGDWWLAWCTMAIGAVIMLTTLGSMCYCIVAHRMEEKSMRKIRKASGSQSRSWSMPVDSDMDPEAMMNTEYKKMYAL >cds.KYUSt_chr1.30289 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183350224:183354867:-1 gene:KYUSg_chr1.30289 transcript:KYUSt_chr1.30289 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQPEPVSYLCGDCGAENTLKAGDVIQCRECGYRILYKKRTTRSPYGVSLMIVLPIIIYVYDLWSPLFSSLLLIVLILCAKFSLGKVSFDVHCITTLVNESDDVLPRSRGKCRNPVFAKKPSAFARPNPKSPPRPLALFCVAAPLPDTTPSPALAPVPRSFPSLLPLHSPADPLLHSPADPPLPFPGRLLRTSIHSSEVNVGSFSLDSDGSATLAVEQQQIEVARWILLHSFDSEQRRSVWRAWGQGSR >cds.KYUSt_chr1.25203 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151180637:151181716:-1 gene:KYUSg_chr1.25203 transcript:KYUSt_chr1.25203 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKTQLLRLLLPLLLLLLPPPLRNYLSTDQAASGRVGHGRLQVYHPIILFPGISCPNLEARLTDAYVPSLPRCGALKGKGWFPLWNNTQDLVDHDYVPCLLEQMSLVYHPVLNDFHNQPGVETRVPNFGSSDGFTAKDDVGRDVFCLLKLRQELEALGYRDSETLFGAPYDIRHAPPSPGQLSQVYSDYFARVKDLVQNASLKNGNKPAILVGHSFGARAALDFVNSTPL >cds.KYUSt_chr4.51692 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320772238:320774835:-1 gene:KYUSg_chr4.51692 transcript:KYUSt_chr4.51692 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPRPATAARHHSSTTTSMAVLFILLLATLLPRAALAAISPDSSSFLACGASASVAFPSDSPSRTFVPDTTYLSNGRGSFVVATSNAASPLYAAARAGTSDFSYRIPAPAAPFLVLRLHFFPVASLSSARFTVSVLDTFALLPSFSPSTAGVVKEFFVPSGSGSGAFTIKFTPDAGSTAFVNAVELFPAPTELLWNSSVVPVGALSSNDLSAWPQDALETVYRLNVGGDKVTPENGTLWRTWLPDGPFLHGAPGQSVVNSTATGIIYSGYTSDLAPDVVYKTQREPNLTDLTRANNFNVTWTFPADAGANYLVRLHFCDYEMFSSVVGQGIRFNIYVAQAIGAIDFSPKDLPQVTQSNVAFYFDYAARAPRAGNLTVSIGRSPPKSNGAGILNGLEIMKLRPADPTLKRSGAGKKKTTIMVIALSVVLGAALLGCVLLWFFVVRPKRRRQAALDEKENTQMPPPPWTPYTPDSASGWPDQSTNRSSEGTGDRMQRVSTKLHVPLAEIKGATDNFHDRNLIGVGGFGNVYKGVLPRDGTPVAVKRAMRASKQGLPEFQTEIVVLSSIRHRHLVSLIGYCNEQGEMILVYEYMEKGTLRGHLYGSDEPSLSWKQRLEICIGAARGLHYLHSGYSENIIHRDVKSTNILLGAGEGSAGGVIAKVADFGLSRIGPSFGETHVSTAVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLFEVLCARPVIDQSLDRDQINIAEWALRMHAEGQLDKIVDARIAGEVNENSLRKFAETAEKCLEEYGVDRPTMGEVVWNLEYCLQLQETQVNRDAFEDSGAVTTQLPADMVVPRWVPSSTNLSMDEADETVMTMTDVSDSQVFSQLDARGEGR >cds.KYUSt_chr7.20145 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124800180:124800803:1 gene:KYUSg_chr7.20145 transcript:KYUSt_chr7.20145 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTSELAEVECSDSRATFLDHEDGGQRWGDLTEVEDKGDAPMGRRGPGCRDGRRLAARRAHGGEVEDERDVPMGVRWYGRGDAGRRRGELTEVSWEDKGDVPKGWHHWRGRTRLDGGRRPGELTEVDGSPWVGRCGRPRRGDAAGEQALFLEERGASEKIIWEPVAHRGRRRLTQGEDKDRLLWAQQDTWLATEAEDSTNGSSG >cds.KYUSt_chr4.41349 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255717261:255733901:1 gene:KYUSg_chr4.41349 transcript:KYUSt_chr4.41349 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGEGFRGHFPVPAACRNRDSCPPDLGFAMAAALEENRNWHFGISSIGSTLAPESLVLRRTTLVTNNLHVFLDSYCLRTYGHIVDRYLTESSSDNIPVAHTEGEKNLKTTSSREGRQRGNFQPAQFVPVPKANLSAASGNIDGKFEDRIRVVKNDKIRRQGEPRNVEGDATLKSSKPWPARKTATVDELVKHMSKVPSYLQRKETADHLQDKALNVGVLEWGLLARWSHEQKHELSSSHGASPSDTSRSALFSSPSNSSASPSSKSLDSNQSPPLNDHQHCSMRSHQGSPVDKHHEKANSPSPNSAVLSLLPGHGKYPSAENSGDYRGLSLSKLCLPPDSMTAASGSCTPHEMVDDEDTARKIEDVVHHCSRRLFADDDNIGRNFFTSHDNDSTHVMGTERNGSRSPVGFFEDIGQSPEFPQIPHSCPLPIMDSAEEPATSSTTARVDFVSAAVTRGENCNRHKSATSVAKKPPQISSKFSDMDVLPDRHFVSGLNRVSRCSSLKEAPSPRRPDTSVDKIDEDKRSSSKGRRSPLRRMFDPLLKSRQTSTSVPVQPSFVPKCHLPSNTNKQSLILEGSGSQNVHRRSVDAVVSSNNHAEANINQPPRVLLNCERYLQQERDSRTTRQALLQLAWKNGLPLFMLSFGDSDILAATVRRKSISDKEDLESAYTLFTVEEPKKKSGAWITTANKNKKHQLVSSIVGELKISRRKSRCSHTKDFHVHREFVLVGSELLPTPVESGDSHISREIGAFISTVPQISETRHRSSSAPIGCSCPPLGNFHANMTSTNSAPASVIAILPNGFHGAPTSGQPLPLMERWRSGGSCDCGGWDEGCMLSVLTDNTQEYKGSKSIQENQTQDGSHRFDLLTQGRSLEDRHAFSMVSFKEGLYAVEFSSSIALLQAFAMCIVMLHGRCPVRPQADMPAAQEHTLLADQKLKAMAASQVLLGQYIMVLVRSTHREEVARTRHSNCQLSDSGMLSSPTSDSCSQPSPDSVLSKHGGFPATLLDSFPVTISPRKDMGPVAVDPSCDEVGPNLACFREACRKPISPVLSRPARRCRKKRVYSGPVGRSRRIRGRYTDKTPVRQQQRALMVQLGIAREGEIIGDEALNAYLDLFTRPFRTQHLDVVLRLFGWSSEDLQPFVDAPVDCLT >cds.KYUSt_chr3.42453 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268512949:268519149:1 gene:KYUSg_chr3.42453 transcript:KYUSt_chr3.42453 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSMATCLQKVAVEEFGVTKGSRREAKDTWWWNDEVQKVIREKKDCFRCLYLDRSATNMEKYKVTKKAAKRAVSEARGRAYEDLYQRLNTKEGERDIYKMAKFRERKTRDVNEVKCIKDGEDQLLVKDEAIKRRWREYFDNLYNGEVESSTIELDDSFDDTSMCFVRRIQESEVKEALRRMKGGKAMGPDGIPIEAWRGLGDVAIVWLTKLFNLIFRSNKMPEEWRRSILVPIFKNKGDVQSCTSYRGIKLMSRTMKLWERVIEHRLRRLTSVTKNQFGFMPGRSTMEAIFLVRQLMERYREQKKDLHMVFIDLEKAYDKIPRNVMWWALEKHKVPIKYISLIKDMYDNVVTSVRTSDGDTDDFPIRIGLHQGSALSSYLFDLVMDEVTRDIQGDIPWCMLFADDVVLVGDSRTGVNRKLELWRRTLESKEIVSEDPASSMPLFPVLARSENGTAANQFHVLNSSSGGSARQANDAPKLGRSVPVHAMTTYSPPATGWASAMAVALRPPFGAVTSPMQQTPPAHLLQQLMILAGSSSRAPWMQSYTQAGQPPSLFSPPGEPVVCSASPQIPAASSGAVHGAISAVQSYASGSHFNPMDIGAGRAIAAIQSDAGGMHSSPRGNGAGCGTSAIEKSDAGGNPRGTVAGCGRLASARSLEIAPVSTTAMPQAVGDGDTAAMQVLGPVLAMPTTGAAAKRKAAVRSPNGRLRKPRTPMVSRGTPAGPKKVPNKNVVAISGEPAGTQTGAQGNELPKAVSPAAPLTKGRKRKSVASGAAPSLASMAPSSAATRCSLVTRRNNRSSSTASTARAKKHTILTWLMDSGVLKEKEKMFYMTRPELAAAGNNSNCGTAKVVTGTVTRAGIHCSCCNTAMPLPAFTSHIGFSDETSQPLAWEQLLLTSGKRLLWYVQEAWKKESMRIFPAEEKAVLEQDRDSSAQAKKKLILNASRCRKYDLAVEGVNGGGDQSDDACGVCADGGQLLCCDSCPSTFHPECLGVQVPEGSWVCHYCRCFVCLARDDCHDGGLSTCQQCARKYHQQCHPSLLAGHEISPYCSKTCNKMAAKLANILGATNAAGGEGFSWSLLKIHKDSTPGSSSDSCSDIAILERNGKLAVALGVLNKCFNPMKDRRTGMDMLHQAVYSLGSEFKRLSYEGFYTMVLEKDAEIISVALVRFHGSKLAEMPFAGTLPHYQRQGMMRRLVNAVEQVLSMLEVENLLIPAVPEVVDTWKRSFGFAPVEPRLREETKQLSMVIVTGTTLLQKRIIAAAASPSSKQQPHAEDQGQAAPAAPPMSEDELAFLEMVWPVCSFTDLVAGIAYSPRPFCADPLAAAVRAPVGSGCSLGRSSAGGGAGERRSCGSQAAGSSGGGCSSSVSKIYAAAARGGSLRLGINK >cds.KYUSt_chr6.31907 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201543442:201549473:-1 gene:KYUSg_chr6.31907 transcript:KYUSt_chr6.31907 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCGDVSAATCFTCLTQAFLDLPNYCSYQKDASIYYDACILHYSDVHVLSSDDYGPQFDMSNIYNLGNVTSDPARYNRLLAALVNHTADYAAYNSTRRFATGEADFDLQPHKVYTVAQCTPDQTPAECRRCLARLIMTSLPAFFNHTGGRTLWFNCTYRFETSPFYNGPAMVRLASRSRGAPATAPAVQPTVGTPGVAGGDPRYSTETEDIEMVDSILIDVSTLRAATDNFAESNKLGQGGFGTVYKGTLPNGDEIAVKRLSQGGEELKNELALVAKLKHKNLARLLGVCLEQQERLLVYEFVPNRSLDLILFDIEKREQLDWEQRYKIINGVARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFGRDQTQAVTNRVVGTYGYMAPEYVASGNYSVKSDAFSFGVIVLEIITGRKNNGCSNSGSSRLQTDMQVWEHWEAGMVTEIVDPCMGGSFHEGDVLKCFHVAVEMRGDDDEDDGDDDGDDVQLDDGDDGVDFPLREGISPADSCPPESSFLSGVFHPAEAAVTLRDYPL >cds.KYUSt_chr2.8872 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55907488:55911925:-1 gene:KYUSg_chr2.8872 transcript:KYUSt_chr2.8872 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVDNLELKAQREQKEAQGRKTASQEEAVKKAAPSGTKEKLRFCTPSAPLPRPQDKPFEHALLEKSPDGGAPARPTHPLEKLPVEQLFDRRVLESLPFHRLKAHGLSARQLSVLAGLYQWRDSVARAEDVCTSYILPDKTLLEIAKQTPVTARRLRRTVVSENKFLEHHLGHVITIVRNAVANSDAFENIAQQLQNKRLEEGHVSKAPFFTLIVDGSLDYSAICGTLKKVLKWMGFDQLTVLIMYKIIPLIESCSEELWPELVDDILDPIFSYFRTNLHKSWRLFLHNGSVQIPDKTSDISLSKEGAYKFGSSLIIQLTRAASELLAVIASPKSNGCSELVCSRSLVGYVLCRSVPRLPVLSLIYYMFGEWKDDEAKMTSVSFCYEVIQVATAAHLDDALSFIKDDVIPLLIRCLAFKSSLKNTQLDVLKELCREAFRCVQNPSQVEEEFREYIAAYVDMLHQVNEMEDCLEHSSYDDSMVEHVKNLEGYPGLADFLRVSALEEFCEMLLLWEPQFHPMIRKVGPRTCA >cds.KYUSt_chr6.12502 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78115600:78116214:1 gene:KYUSg_chr6.12502 transcript:KYUSt_chr6.12502 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMDAYLLLGRAAWMAPKIAYHDEQDDDDIGSASDSDSEATSSSSDLADDVSSSSSSSSSSSSSADDLFEMSALMTHLPIKRGLSRFFDGKSQSFASLAAVGSLEDLAKPARKRLNPSRSCGGGLAARRDRVLSPRRHCPKAARKAAARAALSVLGGGGSPRRVIVGNVLLVS >cds.KYUSt_chr2.50033 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312998080:313001358:1 gene:KYUSg_chr2.50033 transcript:KYUSt_chr2.50033 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGAGSLEARPGVLLVGAPGVGKRTILSRLLAAEVPDTHDLSSGVLCQGWKIDTKYYSADLSIWTAHLEEGFSVSSLPHLDQLAALIMVFDMNDESSFLALRNWVENIDIQRFEVLLCVGNKADLVPGHAAHVEYRRRMQRIGESSADPHPEYFDFGINENEGCGLLSEEEPRIEIRDSTSQWCIEQNIEYIEACASNADFDKCLSVDGDSQGVERLFGALSAHMWPGMILKSGNKITTPSLIEKEESTDDELNYEFDYEVLSHASDEQWEFVGESSTSRSLEGLNEAKAMQESTHEDVNGSADSSLSNPLPNDRSTESAEENPVAKVHTTEDSNHADGTSEDQRTATLEVNKLLEDDHYGLDDLERLMSEIGNMRSNLRLVPDFQRREMAAKLAMKMATMFGDSDDEGFHAT >cds.KYUSt_chr5.4135 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26527440:26532863:1 gene:KYUSg_chr5.4135 transcript:KYUSt_chr5.4135 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPPTLRLRGGDGEVFEVDARRLAALFPAFVLRVPAIHNFGVFKLISAYREFEASGRHTAPDVETAFLKTIGDLDSLTRVVSAAMQLEDHALLNLCLRATIEEEQEVLFEFVVVLKGDPLVIQRLPDKFADFVVGNELAALHLRETGCDCCWWPVDVLLDGRSKMYLHTGWEKFARYHDLEAGCVLTFSYLGEADMSVKVFNETRCRRHYHGDTDEEDATNIGTEVSGCSSSK >cds.KYUSt_chr7.1499 pep primary_assembly:MPB_Lper_Kyuss_1697:7:8224188:8234425:1 gene:KYUSg_chr7.1499 transcript:KYUSt_chr7.1499 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLHASSNVISMNAITTNAMDKSKLGEASFCDLFSFPSLGEKIWSDNALSPICDNSNDACDILNPPTASTAFKIPMKIIERVIDNRYKGDGTVHPGDHLLFLHELCGLFKCAGISMDEVRKKLFSFSLSGQHKDYLDACSEGSFTSKEVEARWDLLDRIEENAEGWENNEGYADKPPFKPLPPKEGNEEKEEKKKKKKGTMKKKKKKKKKKENKKKEVTAYPRVNEITLGNRKYVAPNDYYDNESEYDDLPMLFTYISDHDLNEHTTFDITNLWETNSENEDDNNCHSISAIYASSYNDIESSKLGEEVFENPFATDHYVFDTSPSNNNDGMDTDKPTVKDNYSISYDDTVPPTFDDYYKECYDIVADFVWAKKRLRANPLLCRSWLGGAGMDRCRSTRLRSQIRASEDGAGAGAGLDRRRSPRLHPRTQASEDGAGLARRHSPRLHPQIRASEEGASSMTSRRASPASLPDDDDILREILLRLPPTPSSLARASAVCTRWRGLLADPKFHRQLCARHRNPPLLGSFVPNDDDGQRIVFAPMLDPPDRIPPPRFDLGRCGHKTDVLGCRHGRVLVKTRVWDADGGLPSRVVVCDPITGDQRTVAFPPDLGRVSVNGAVLCAAADPGHVHGTCYSSPFNLVLVTMYRRHPNRLLACVYSSNTGLWGDLISSESPSDIQGKPAVLVGNRLYWLSVINGSILEFDLGENSIAVMMGPPVTHDERCINHQIIKAEDGAVGYAMLVYPSLIMWKRDVDAHGVTTWVPWKIIGMNTTPGHPPRTERRDRIQGYDEDTDVVLLHVNGTVYMLDLKSLQSTMLSGPLIHIGAYHPLRCFYTPVIREGHEVYDP >cds.KYUSt_chr7.29811 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185585433:185586110:1 gene:KYUSg_chr7.29811 transcript:KYUSt_chr7.29811 gene_biotype:protein_coding transcript_biotype:protein_coding MASDESSVTITHRTVELHTGVHLHVAEAGPASVAPTVLLLHGFPELWYTWRHQMLALASAGYRAVAPDLRGYGGSDAPPSSEQYTALHIVGDLVALVDSLGEKQVFVVAHDWGAVMAWHLCMLRPDKVRALVALSVAFTPRSPARRPVDGLKALFGDEYYICRIQDAMIKA >cds.KYUSt_chr2.12985 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82286537:82288750:-1 gene:KYUSg_chr2.12985 transcript:KYUSt_chr2.12985 gene_biotype:protein_coding transcript_biotype:protein_coding MKANIACPTTGCQKKLEIDDDQKLRNLYDKRISQEVLGHLLGDEFKGYVFKIMGGCDKQGFPMKQGVLTNGRVRLLLHKGTPCFRGNGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGEHDLPGLTDIEKPRMRGPKRASKIKKLFNLGEDDDVRTYVNTYRRTFTNKKGKTVSKAPKIQRLVTPLTLQRKRARITDKKKRIIKKKSEAAEYQKLLAQRLKEQRDRRSESMSKRRSKLSSATKAPAASA >cds.KYUSt_chr5.10739 pep primary_assembly:MPB_Lper_Kyuss_1697:5:69473728:69474021:1 gene:KYUSg_chr5.10739 transcript:KYUSt_chr5.10739 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDALRQPSAVLIRRLRTQLRRPPARLPTPSVSSTIASCSLANKDALDLCFTVAGTRPAKICSERSRQLQFHHLWRVPAVKSLSVFHLVLLHIIEI >cds.KYUSt_chr4.12352 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75439050:75441765:-1 gene:KYUSg_chr4.12352 transcript:KYUSt_chr4.12352 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVEVEAGEVDTRDRVVLVVAVDAEPPVAWAVAFLARSESLGEVKRPGDGHAMDTEEDKEESSKKKLRQDSPPATAASLLTDDLILEVLSHLPARSVRRFKCVSTAWRDLIADPAHRKKLPQTLAGFLYNKYKPGGALSGHFASVSGGALPVDPSLHFLQPNKFRYIALEDTCNGLLLCACYNKETPDSDKDEYRHVVCNPATERWIELPPPPQALANRFYSTTRLAFDPAVSSHFHVLQFWQTISGVENCARGVDIFSSKTGAWIHRDSGLLEKITLFRRTRSVFFCGMLHLVGRLNTINVDKESALMVLVQVDMEGKAWKTIHLPIGSSFEAIGLSQGCLYYAGSTTQTHISKKNIVQATKITLWCLENHDSKEWVLKHSASINEPLGIIWVEFRVFAIHPDCSTLFLVPIGGDMLAYDMQHHKFVDILNLEKDNVRVYLPYVPLFSEALADAVGQ >cds.KYUSt_chr7.37173 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232037203:232044003:1 gene:KYUSg_chr7.37173 transcript:KYUSt_chr7.37173 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGGLTQFADPKVELEQYATGPHIASRMLYTAENSFDDISGKVVADFGCGCGTLAIASALLDAEHVTGIDIDLQSLELAQENATDLEIWHCGRPLSHYELQPGGEAPVSTTDKGVGSQMSFNGRLQEFSQPRRLTVEEIPVIVEDFRKAAKNATTPVRTASVDDMAY >cds.KYUSt_chr6.30408 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192583754:192587670:1 gene:KYUSg_chr6.30408 transcript:KYUSt_chr6.30408 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRGPPPMARARPEPIDREKTCPLLLRVFTKVKEVALPARNRNAKLSFAFVYPDKNGRFVVKQVGTTFSYGGRGDDTKSLADLGFQPSDGKVWLTVRASTNSKSYRRRSAGEYYQRSVMFKETRLLPAMVELLASRCSTVAKMVQQKLAKKRFYGGAVGIQANPT >cds.KYUSt_chr1.36918 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225198104:225199084:-1 gene:KYUSg_chr1.36918 transcript:KYUSt_chr1.36918 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGVWRLGSSSCSLQPAMALLLLAVLWWPETEAGGDGAATAPSIKLVGLSLDLGGFPLFLSPSSHRGGGRQGRTQEGAAVADSMERHPGAVAIYYLPRRLVWLCWSNNQTPDLRLLRACPWWKGEGRRRPEDGFVNKLLWVCRSRHHLRLHHRVRGRFVGFDGRPISLSRFRYACAAPSTQSPLLFSLVPSVMVATESRVCKVVEKRRWRQSSSEAIFLSNTSAAFLPFVIHAGEVLLFFNLHRRPFMRSAVAFIAGFEASGVVPALESDGDIADLKLIGGEREGPDCVCILFSGVFSANTRGLCKIFSFYGVLCKNCTPTEWIE >cds.KYUSt_chr2.10537 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66930355:66932731:1 gene:KYUSg_chr2.10537 transcript:KYUSt_chr2.10537 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGASIPNKLGGKGRKRRSVIQEVAVFLPTIRVPVAIDIVHPLRGLVSKELLDRLATLRSNVVLLAEDIYHGDMAAISELERALEEYLPVVLGLTAKESRLEASVQFSWRTLDDDQECCLASAWYEVLSVIHMMAMLALFEANLKLIPRNGQYGIEKKVSEDSKKDVVDSLLRASGCLDYSVHRLLVQIPVQIKKSFPSYLQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACEQVSYFSQAHYCLSGCDTSDSYGKKLLLFLKWKCMDAKAVAYYYHALVLDKGGEPSNHISAVCCLSAADDLLAESKRACLSFCLANPITRVPPPWGIMKNMHKKIPDVAYKKFQIYGHLFEQDKNSALQSLPDLPEFPLSLRPEGYEFPCTDSIWENVDCQPQIQSLKEHLKDEDEEAEAK >cds.KYUSt_chr4.26188 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164683052:164692053:-1 gene:KYUSg_chr4.26188 transcript:KYUSt_chr4.26188 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGKVRSSTSFSWAPFLVRLALRRALICSNSTVCGGCCRFWRRVDVKLPAIEVRYNNLYVEAECRITNGIHLPTLWNSTKGVYSGLVKLLGLKAERASINILEDVSGIIKPCRLTLLLGPPGCGKSTLLRALAGKLDKSLKAIAVESSERSLQTDYILKIMGLEICADTIVGDEMRRGISGGQKKRLTTAELIVGPAKAYFMDEISNGLDSSTTFQIISCFRQLANISEYTLVVSLLQPTPEEMLKQGYPGRRLQLLESLTGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRILGYCEQVDIHSPQLTVEESVTYSAWLRLPSQVDEKTRSEFVAEVLKTVELDEIKDVLVGTPQTTGISMEQRKRLTIAVELVSNPSVILIDEPTTGLDASVSDVASFAVLHLSTLMPVDQPSLATGGVAVDCIKDRTSCQAILENIHPMRRWLHLSGA >cds.KYUSt_chr7.11909 pep primary_assembly:MPB_Lper_Kyuss_1697:7:73129910:73131157:-1 gene:KYUSg_chr7.11909 transcript:KYUSt_chr7.11909 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIRIIPSRLLVVASAQSNFSKAVQTAWRVGKDAVDTGSSLVPSSVPRPVARIGVTFLAVSVGLFLLKSVISTALFVLAMMGLIYFAFLAMNAKEGSRSVDEGDNLSDDPAEEARRIMEKYK >cds.KYUSt_chr3.13649 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82271053:82271499:-1 gene:KYUSg_chr3.13649 transcript:KYUSt_chr3.13649 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKAKGFLAEKVAHVKKPEADLSDMTVKNVGRDGATLGGRLDVRNPYSHTIPICEISYSLKLAGREVASGTMPDPGSLAGDDTTSLDLPVKVPYDFLVSLVKDAGKDWDLDYEMRVGLTVDLPVLGNFTLPLTKAGELKLPTLSDLF >cds.KYUSt_chr3.24908 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154585257:154586934:1 gene:KYUSg_chr3.24908 transcript:KYUSt_chr3.24908 gene_biotype:protein_coding transcript_biotype:protein_coding MISVSCCRVAALASLLAAAAATAFLTFSLPSSPSVFTMKYAKELSVASSPPPPHPASPPPPSLSLPTPAKLAPPPPSSARPRKREPSYWRMAPDDALRYAKKEIMAAEPVVDDPDLHAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRFVVADAARAHLFYLPYSSQHLRLSLYVPESHNLRPLSVYLRNFVKGLAAKYPFWNRTRGADHFLVACHDWGPYTTTAHRDLRKNSIKALCNADSSEGIFVPGKDVSLPETTIRTPKRPLRYVGGLPVSRRRILAFFAGNVHGRVRPILLRHWGDGHDDDMRVYALLPGRVSRRMNYIQHMKNSRFCLCPMGYEVNSPRIVEALYYECVPVIIADNFVLPFSDVLDWTAFSVVVAEKDIPDLKKILQGISLRRYVAMHDCVKRLQRHFLWHERPIRYDLFHMILHSIWLSRVNHVELHE >cds.KYUSt_chr4.17053 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106446082:106446540:1 gene:KYUSg_chr4.17053 transcript:KYUSt_chr4.17053 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVEEEPATEKAKKTPAAKKPKAGKSLPAGKTAAKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_chr2.16390 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103084004:103086444:1 gene:KYUSg_chr2.16390 transcript:KYUSt_chr2.16390 gene_biotype:protein_coding transcript_biotype:protein_coding MARSALYLVAVVVAAIALATTQASFIYTEEDLASDDSMWALYERWAAHHEVAREHGEKARRFPIFKNNARWILDRYGRKGKSAINNFGDMTYEEITTQATGLRESNQDEHCSSTLHSLASSRYRTSIASAVKIALSSLSRLPSDHEVHTVLIHFNNGMDLFSQGGQADRSY >cds.KYUSt_chr3.36451 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229274557:229277048:1 gene:KYUSg_chr3.36451 transcript:KYUSt_chr3.36451 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLLLLGLPILLFCSDVVTLFAPQPPATPKPDRHQHQPATGAVQPGDSSPDADADPASAQVAELQVDGPGFGTTVELKFCASCSYRGNAMTMKSMLDTSFPGIHVVLENYPPPFPKRALSKVVPVIQVGAIGTLMAGDQIFPRFGMVPPPWYYSLRANRFGTMASIWLFGNFAQSLLQSSGAFEVYCNGQLVFSKLSEQRFPSEFELRELIGSRLSDSQFGKNLEKVWS >cds.KYUSt_chr6.698 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4469310:4470482:-1 gene:KYUSg_chr6.698 transcript:KYUSt_chr6.698 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMAGMLPGVEFARRRRLRAVGSSAEAPCAGTSRRTSLGTYTAGNGHGHAAAGFPKRSGVCEEMLAMQLDSNAREAKERLDQKLRSQRESVVKRRHSTGSLRLPVPSSTLRDAGNHKHPGKDATAAATVLQREVFTKKEGGRMFSWGLRKASPPPTDQAECAVCLEEFRAGDVLAHLPCSHRFHWDCAVPWVQAASRCPFCRAAVRLAAD >cds.KYUSt_chr3.2787 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16063292:16076001:-1 gene:KYUSg_chr3.2787 transcript:KYUSt_chr3.2787 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEGAEPTRRRRRGSSPAAQASLPDDDDMLREILLRLPPQPSSLPRASAVCKRWRGLVTDPRFHRQFYAHHGKPPLLGVFKQHLGDDNPFRPMLDPPDRIPERFGLRRQIGTRAHLLGCRHGRVLLMDFPRHKIIVCDPITRKHNHIDLPLLFRGSYMIIYGDVLCAAVNQGHVHGSCHSSPFKVVLMFLSRGQDDTTATACVYSSETGAWGDIISTTDPCELFKTNPGILVGNVLYWSSKSVNVFEIYLGLDHFTDDIIEFDLDRQSLAVIKGPPCLNASLRHQIIQADNGSLGLAMFSHGRLEMWHREVNCHGGVAWLLHKTVEMHTVLRLPPQVEGWMRNMVILGAAWSRAVAAAPSLTGGSHHQQQRDLRCLPLPLRPPAPPIAHGLVERLFSSSSTKRSTKKSAAKKDTPVDSASGADPYYVVRKGDVIGIYKNLPDCQAQVSNSVCDPSVTVYKGYSLRKETEEYLPARGLKNALYSINAADAKDELFDDLALCPFQVLALLLVYFSINFLVDYSLCRFWFQQPDGSATSTLERPQEMETGPSKKHPKFAELEPLPDSHVIMILKLTCMIEICLHYEEMLTCSHHLTWSLQLSCILEFAGARKGNPSKSGAGVIVRRTDGSVVQGLWHPKRDHTVDLCKKVKELKGSFDVGFPAHATRGGVVLVSGVVAPKKKLSHGGLWGSNLQDFVKQALARKAFHLVAVKIVIIELKWNAQG >cds.KYUSt_chr3.25703 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159602790:159603944:-1 gene:KYUSg_chr3.25703 transcript:KYUSt_chr3.25703 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSASSDRYMERCALATELAQVLDTVRQLEAQMGAKGVAEDGGERCRALVSSMRSSVDRSIHIAMSSCCVSGAPGSPPSAEGSPRSGGSDQGADSRCRGANATGQCKKRKALPKWSTQVRVNTVQDVGPLDDGFSWRKYGQKDILGAKYPRAYFRCTYRHTQSCHASKQVQRADGDPLLFDVVYHGNHTCAQCNSLQRPPRLAASGEQWQTQPAGAGGQELSSIISVGLKAEGVAKGLLDTPFSFQSKPAGAADIGGGGATNSDFPAGCALAASPFVSPATSEHQVVRNVPDVELTSTTDSQMADMEFMLQLADADFLDNSRYF >cds.KYUSt_chr4.31 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226123:227079:-1 gene:KYUSg_chr4.31 transcript:KYUSt_chr4.31 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPFAGYCPPGWPFWNTEAGDPVPPVDPLVKECFHEMSELYLDAARRLPIADIPYLASCLSQRGLAIGLCDPVTNILLTTIYSFALDKDHLPGLLLNAEEALQQTNDRLTFVDAIHRSRLGLIQFMTCYFRYLTYDDAKQCLTVAGHDLPLAVLLVHKSCGSLLGHPDPDSATTKEALKQAAYSAWCSAPQNLVHLMTSRCQRDIVLDVLRNTNQLSADWVYKICNFLRHSCSPPQPPPPVPTITPRTFRDSNGQVTTAIIIRKDLFPAPAIISQDPGSMAIIISPTRPSLNGDTTIHLSTALAKEKVYDDDRFCS >cds.KYUSt_chr4.27767 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174450326:174453692:1 gene:KYUSg_chr4.27767 transcript:KYUSt_chr4.27767 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEGLDPLSEGWRSSTKIDDVERRRKWEDDEELSRRLTTSREKVGEDGDDGGAKRRSSACTAALPVRLGGGGDIVLEQLRLRFSKELEGATAAPYPLSDGHAVIRFDGAILVFSGKSTGALELEYGMEFEVLFEVPDGIPDITRSSGMVRRIRFIYRKSYSKFGNDPVHLWQVLEDLDYNSWGFHLYNEGHRGGGRPPQSHSLAAPLEAGHPLSQTLAAPSLLHLSRTLSEASPEFSIATATTPSCCRIQGGATTSAARWNGEKDVVFINTERVTEYGGAARLWHRDQDLLRAFASGK >cds.KYUSt_chr5.3101 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20060614:20062152:-1 gene:KYUSg_chr5.3101 transcript:KYUSt_chr5.3101 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAASRRRRPRGDDRISALSDDLLFLVLRRLDIRTAVGTTALSRRWACLRRELPVLDFSVDALLPPRYHRWIQLHDQVGKASFPYDRTQVSRELMPNIRRYEHRAMRALTRSVESFLDSAASAVRRRKISRLRLEFFVTPTPSTACMNQLIAQAIDACGVDDLHVVAKPIFWRRAVHNFASHGLCKEPSASRLQSLKLGGCVLPPLHEYGALTTLILQDIPESTPEAAYQGVFTLCQQLQVLHLISCQCSGKVIFVDAPMSKIRELVVDKCRFRRFRLRALPNLESLAFLGPRVYLESASFPRLRNFNLTSCLGVTMRGFREYLKENLKIDHESLLEYMPDITHLILRISGPDRWIVPSRYPSTLLPNLRWLLIADVPSSWDVSWARLLLETAPALEVLHIHIATCIEDPGDKISWKPSKLRHHHLKEFTIVGYEGRERQIYLVNFVMRVCTALRRVSIFKNGHAQNKGHWDWELVTQQHSWTDEEKDSTLMHIMDGVSLSVDPVQLAFG >cds.KYUSt_chr1.39268 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240205217:240208908:-1 gene:KYUSg_chr1.39268 transcript:KYUSt_chr1.39268 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVALLFLASSHPRVASADAVLGRKAGTIVDEADKLAAAPGKYAVIFDAGSTGTRVHVFHFDKRMELVDIGDDIEVFAKVVPGLSAYAGRPQEAATSVVPLLETAKSAVPRWLRTRTPVKLGATAGLRIIGEEQAEQILEAVRDVVHTKSNFQYNPSWINVLEGSQEGSYLWVALNYLLDKLGGDFAKTVGVIDLGGASVQMAYAISPDVAANAPVKPDGKDPYVTKEYLKGRDYNVYAHSYLHYGSDASRVEILKAMNGSFSNCMLRGFIGNYTYNGDQYDATASPQGAAYDRCREDVAKALNLSAPCETKNCTFNGAWNGGGGAGQADLYVMSSFYYMASQVGLIDGDATSGKTTPAAFRAAAEEICPLSFEESKAAYPRVRASNAPYVCMDLVYQYTLLVDGFGLEPTKEVTVVQKVKHGEYFIEAKWPLGEAIEAVSDTKLSQES >cds.KYUSt_chr4.7039 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41683245:41685255:-1 gene:KYUSg_chr4.7039 transcript:KYUSt_chr4.7039 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFNREVAPSPEGLRQPGEAGNGAEGLADRFREARPGAVTVSFGDAAAMAYSSHEQSPFLPRLFGVVDDIFCLFQGAIENIAVLKQQYGLSKVASEINIVIEAYRTLRDRGPYPADQVVRDFNGKFAFALYDRSTTSVFMAADADGGVPFYWGVDSVGHLVVSDDAEIVKNACGKSFAPFPKGFFFTTSGGLQSYEHPLNEVKPVPRVDSRGEVCGTTYAVDAEAKKDVGSIPRTGSAADWSSQY >cds.KYUSt_chr5.42959 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270693826:270695633:-1 gene:KYUSg_chr5.42959 transcript:KYUSt_chr5.42959 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDVALYLEVAAVVAMVVLIVALAAAASGACRNDCRAAAAADADMERALGDATLVPYAQTAVEARARQRCCVFCQSEYAKARARRAGPDASRELIVRAGSRRNL >cds.KYUSt_chr7.24040 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149709136:149709754:-1 gene:KYUSg_chr7.24040 transcript:KYUSt_chr7.24040 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSTATSTSASLGSALTASRPASAAAATAPAEAPCCPYLAPIADQLGALQPYLGPIADRLRRLERRDRGTLLPYVAPIADRLGALQAYVGSIAHDAGADALVKFPGDVAFTVAAMLEAPVEVAAKSSHSTRAAMRTGEEAAMLRFVLPACAVGREQATGPLLSKFRNPLHLSHVK >cds.KYUSt_chr2.47019 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294049548:294050186:1 gene:KYUSg_chr2.47019 transcript:KYUSt_chr2.47019 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPHPTAGGRFLRPLPAERPHLTRCTKLICSAFLTALLLAGVILFVAYLAVRPHRPRFHVASLTAAGLQQPGGAVVLSGRLSIRNPNQDVAFFYDRLDMSVRYRAYGDVVKDHDLNGAMPMYQPPKTTSDLAFEGVAVPAGPAAADMAAAAAKEGGGVVALTVKVRSRIRSKVAFWGSHWHPLHVACDVALGPDGQLLPEGQQKRCSIDFV >cds.KYUSt_chr5.19707 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127838241:127838793:1 gene:KYUSg_chr5.19707 transcript:KYUSt_chr5.19707 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKDEPQTNGNVTRVEKMATNSSGPVLLFIEVPIPSAERKFEVMDDEEEEEDGSGTMRAYRGGGWEECR >cds.KYUSt_chr1.36920 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225204751:225205425:1 gene:KYUSg_chr1.36920 transcript:KYUSt_chr1.36920 gene_biotype:protein_coding transcript_biotype:protein_coding MALNREQHILDQGLLDAQLELWHNTFGYIKSMALKSALDLGIADAIHHHGGAATLTQIVAKAKLHTSKISCLRRLMRVLTVSSVFGTEHSNDAEAVYTLTPASRLLVGSANMVPVMNMLLHPILVSPFSDLGAWFQHELPDPDLFKLKHGNTFWEMADHDPSYNTLVNDGMVSDSRFLMDIAIRECGGVFQGIGSLVDVAGGHGGAAQAIAKAFPGVKVQRVRP >cds.KYUSt_scaffold_6468.739 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3561433:3567205:-1 gene:KYUSg_scaffold_6468.739 transcript:KYUSt_scaffold_6468.739 gene_biotype:protein_coding transcript_biotype:protein_coding MERRCPLSPSPTVAVRIDVCHDGLSGAWHYCSTVPRALRKVKSAWTLGTRITNLVPSSARLASLESLAPLTAATDETDHSEVANGIRRPIAAAAMKILVAVKRVVDYAVKVRVRPDRTAVDTASVKMSMNPFCEIAVEEALRLREAGAAAEVIAATVGPAQAADTLRTALAMGADRAVHVLHDPDPARPLLPLAVAKVLRALALQENPGLVILGKQAIDDDCNQTGQMLAALLNWPQGTFASKVIIDKDKQKATVEREVDGGTETICLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPAELNVDIRSDMEVVQVDEPPKRKAGVILSSVDELLDKLKNEAHVL >cds.KYUSt_chr2.17581 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110765453:110766885:-1 gene:KYUSg_chr2.17581 transcript:KYUSt_chr2.17581 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGIEVKPGQSVKVSPEDDHFLHISQGAFGEVKKDDKATIFVKVDDQKLSIGTLSTDKFPQVPFDLVFEKEFELSHTSKSSSVFFSGYMVFQPAEGDEMDFDSEEESEDEQVEEKIVPATKENAKAEAKDKKPKQVKIEPAKPIFGNSKKDEDSDDDESDDDQDMKPIYR >cds.KYUSt_chr6.31702 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200358772:200361181:1 gene:KYUSg_chr6.31702 transcript:KYUSt_chr6.31702 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVFETPLIHGLPDEIALLCLARVPRQCHIALRCVSKRLLCSEEWHSYRKRNSLDESWIYVICRGTGYKCYVLAPNPATCTLKVIQVMEPPCSAREGISIETLDRRLFLMGGCSWLKDANDEVYCYDASSNSWSRAAPMPTARPAVFSSALFFVRILQSSASLEADLEEWHSYRKRNNLDESWIYVICRGTGCKCYVLAPDPATRTLKVIRVMEPPCSAREGISIEALDRRLFLLGGCSWLKDANDEVYCYDASSNSWSKAAPMPTARMDHSHAHCFNGFKCYFVSAALKDKLYVTGGLDLTDKSPNSWDIYDKATDSWFAHKNPMLTPDIVKCVALGGELVTIHKAAWNRMYFAGIYNPVAQTWRGMANEIALCWSGPTVVLDDGTLYMLDQSLGTKLMMWLNETNEWVMLGRLSDRLTRSPCDLVAIGRKIYVIGRGLSTVTVDVDTAARVDGFLVSTSTGPLMEHDFPLERCRVITI >cds.KYUSt_chr7.12196 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74970706:74972946:1 gene:KYUSg_chr7.12196 transcript:KYUSt_chr7.12196 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKLHPYANAAGVCAPCLRDRLLVLAADACSSSGASSQASSPTRGRSRVAVRGDRPRPAAAAAPALRRLRVRLVPPQPRPLSRPPLLQHAAENSNWLFGILSIG >cds.KYUSt_chr5.3857 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24977608:24978039:1 gene:KYUSg_chr5.3857 transcript:KYUSt_chr5.3857 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLHLQGWIRRRRLGSSCRGGRRLLRSSAEANAAKVGELLNGRLLRHPVVALDLLPRPVITLDLLARPVVVPEILRQPVDVLELQQQPVVELDLDQGGGGPAGERAVGESCNGEREEITFGSMGGRRWVKLHQLQRQSRKCW >cds.KYUSt_chr2.44349 pep primary_assembly:MPB_Lper_Kyuss_1697:2:275875032:275878522:-1 gene:KYUSg_chr2.44349 transcript:KYUSt_chr2.44349 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAKKVEVEAAPEPEVAPPAVPAPEPEAPAKDVTEEKAVVPVEEDKAAVDDSKALVPVEKVADEPAAEKPADDKPAHGGSNDRDIALTRVETEKRNSLIKAWEENEKTKAENKAAKKVSAILSWENTKKANIEAQLKKIEEQLEKKKAEYAEKMKNKAALIHKEAEEKRAMVEAKRGEELLKAEETAAKYRATGNSPKKAMGCFGA >cds.KYUSt_chr2.469 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2840587:2842803:-1 gene:KYUSg_chr2.469 transcript:KYUSt_chr2.469 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPARCGTQGGKKVTAVIVFGDSIMDPGNNNGLHTVVKANHAPYGKDFAGHVATGRFSNGLIPTDFIAQGLNVKQLLPPYLGVQHTPEDLLTGVSFASGATGFDPLTPVIVGVISLEQQLAYYDEYRSKLVGIAGEEETKRIIDGALFVVCAGTDDIANTYYTTPFRSAEYDVPAYVDLLLVGVESFLRNVSARGAKRIGFVGLPPIGCVPSQRTLGGGPHRSCVPERNAAAQLYNTKVQELIRELGKDTAAFPTLVYIDIYTVIQDLVEHGERYGFTETTRGCCSTGTVEVAVLCDARFAPVCDDVSQHVFFDSYHPTQRAYKVIVDYIFDHYMQFLHL >cds.KYUSt_chr7.10645 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65389189:65389398:1 gene:KYUSg_chr7.10645 transcript:KYUSt_chr7.10645 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKMVFVALVVAAASASAVLATTDAPSEAPAAGAAAGAAAGPATSGASTAAAAPVALLVSFLAYYLH >cds.KYUSt_chr1.25854 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155541039:155543261:-1 gene:KYUSg_chr1.25854 transcript:KYUSt_chr1.25854 gene_biotype:protein_coding transcript_biotype:protein_coding MMDESSTKSHVSEGNRLGYVRSESMDSTGHPSAAISSSLLSRRSSRLSSRGSISLSREMGDSILNSMRHSLQSADQLLGDADSSALAQVIDSGDRVLALEDNADEDTANTLDQQKAGTLWDNRIHGYSSRGTGVPAPESSVEPKEESSSAKVEQYMLPRRLDYASYLIHLATFGIFGVFTRYGLQKLFGPDCLALTSNQSPLYLDLPSNMLGSFLMAWFGIIFKTDIRHISDHLIVGITTGYMGSLTTFSGWNQAVVSMSSKDHWAYAIAGIVLGMLIVNESIRVGAETGERLRSWIVKCIKENSSIGTKCDWEHLRVDTRTKHYVLIAVMSILLSFLWVLSIVLAIIKVRSLADGAVLWLGCSVAPPGVWLRWYLARLNGQGIGKQRSLKWLPIGTLAANVLAAGIMASLAVTAKAVNTKRSTIVLNGIQFGFLGCLSTVSTFAAEIYAMRSSGQIGRAFVYASATFMFSFILGTLVYSVPVWVKHYQ >cds.KYUSt_chr2.3132 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18375668:18376126:1 gene:KYUSg_chr2.3132 transcript:KYUSt_chr2.3132 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKSNREVVESLYASLARGDAVTVTKLLAADLDWWFHGPRRCQHMRRLLTGEAAGVAAFRFAPVRVAEVGAGLGEDGWVVAEGWAGKQDYWVHAWCLRAGVITSFREYFNTSVIVRELGRAAKEDVVWAVWESQSTSLKGRSMPGLVLAI >cds.KYUSt_chr2.42991 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267635140:267636439:-1 gene:KYUSg_chr2.42991 transcript:KYUSt_chr2.42991 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTRGRSRRCSLGRQPRCGWDAWTRSPLKATKNPVPHLRCLFAVHKSPEAAAWSVRITGAGVEPRMEERTRTSEPGTCCGWPVPFGVEGNGGRGASFMHALGAAPQPGWLAGAAMLRGRRWIGECRHWICVPRPENGTSCPVTLILGDDIGPLVPRAVQQVMELAPLFQDLVTGDAQRSLTLYSIRPCSASRVRRNNEIRMVQVRHMRREVGQLLDGNQNKDGMNQGNRHAPQSSNMSISSPYAFSL >cds.KYUSt_chr5.5226 pep primary_assembly:MPB_Lper_Kyuss_1697:5:32858703:32862007:-1 gene:KYUSg_chr5.5226 transcript:KYUSt_chr5.5226 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLSLVAALVISTVSAGDDAALLAFKAQLNHDAVASWNSSAHFCSWEGVTCSHRHPARVVALSLYGSALTGALSPAIGNLTFLRTLNLSTNRLHGEIPASVGRLRRLRILELTNNSFSGTLPVNLSFCINMTVLYLDNNNLGGEIPAELGERLTSLKAISLMNNRFTGLIPASLANLSDLRYLEFSTNQLVGSIPPRLGSMQSIRYFSLPYNNLSGMLPPSLYNLSSLAYIDLSANMLYGSIPDDIGSKFPIMQVLSLGFNQFTGTIPSSLSNLSQLTEVLLGVNRFNGYVPPTLGTLQSVKYLELSGNRLGGYVPSTLGRLKALQGLHLAENSFEANDKQGWEFITSLANCTQLSVLILSENLFSGQLPGSVVNLSTTLQKLILDTNRISGSIPEVIGNLVGLSMLYIANNSISGLIPESIGKLENLVELYIYNNSLSGVIPSSLGNLSQLNRFYAYYNNLEGPIPASLGKLGTLFALDLSSNHRLNGSIPREILELPSLSWYLDLSYNSLSGPLPTEVGSLSNLNHLILSGNQLSGKVPDSIQNCVVLDVLLLDNNSFEGSIPKSLKNMKGLQLLNLTMNKFSGNIPDALGSIGHLQQLYLAHNNLSGRIPTALQNLTFLWDLDLSYNDLQGEVPKGGVFKYLHAASVTGNTQLCGGVPQIHLVPCSTHTLKGSEKHKSNSLLISLPGIGAILFLVLVTVIIWNLKQRRKIQAPPTSTEEQFPRVSYQALFRGTDGFSESNLLGKGRYGEVYKCILHDNDTPVAVKVFNLQQSGSSKSFEAECESLRRVRHRHLIKIITCCSSIDPQGQDFKALVLDLMPNGSLDGWLHPKDNICTLNNTLSLAQRLDIAVQVVDALEYLHNHSQQPIVHCDVKPGNILLGEDMSARVGDFGISRILPVIGSTTWQNSNSTIGIRGSIGYVAPEYGEGSPISTLGDVYSLGILLLEMVTGRSPTDDMFRDSKDLHKFTEAALPDRILEIADPTIWLHNDINDNTTRRRIQECLICVIRVGLSCSKQQPRERMLIRDVVAEMHAIRDANLRFIGSLAVGHGEREVNTLQRSAPTISN >cds.KYUSt_contig_528.341 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:2132683:2138876:-1 gene:KYUSg_contig_528.341 transcript:KYUSt_contig_528.341 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKKDSREEAMVWAPTMTWLNQDHALELNRVSHEPEDGSALSPGGNESFSPSDTKQSEKEKKKKKKQRIPCDMADGEGAAGLAEKLAGMSADGGEEPQLSKNAKKREEKMKKQEEARRLKEEEKKNKAAASGKSQKEAAADDEEKDMDPTQYRENRLNAIASIKAKNGNPYPHKFAADFTVPGYIEKYKDLSDGKKLEEKTVSIAGRIMNKRTSSSKLFFYDLFGDGLKVQVMADASTSVLDDAAFFSFHSGVKRGDIVGISGYPGKSKRGELSIFPKDFDVLSPCLHMMPRQKSEGSAVPTPWSPGMGRNIEKYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKIVSFIRKFLDELDFLEVETPMMNMIAGGAAARPFVTHHNDLNMKLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTVEFYMAYADYNDLMELTEKMISGMVKELTGGYKISYHANGVDSPPIEIDFTPPFRRIDMIEGLEAMAKLEIPKDLSSDETNKYLIDACAKYDVKCPPPQTTTRLLDKVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMMLTDSQNIKEVLLFPAMKPQE >cds.KYUSt_chr3.14821 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90071849:90075948:-1 gene:KYUSg_chr3.14821 transcript:KYUSt_chr3.14821 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPLTQQTFEFNDKVLQDELHRLGLKVNHHEENIRFLKSEINTVQESIADLRIKLGKGVVIANNGTSVQEAETLVIQSILNQEKTAASLVCHLRKYEQTQIMKDVLGVVATLGKVNDDNLSSLLSEYLGIDNMLGLVCNTYNCIKDLEIYDNKGIINKKEGIHRLAGTIGRPLDGRFTVFCLENIRKNVEVKFTVAASLVDSSTHGSTTEMEYQVKLKNWEKERLIEDLKREEELLKQVKDLFANLKQQLMDSRLISPSVAKRVQVSPTNRSPATPGSNPFSATRGSNHFAASPGSSPFAATPRSNPFAGTPGNSSFAAKPPHMR >cds.KYUSt_chr2.51228 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320250469:320252652:1 gene:KYUSg_chr2.51228 transcript:KYUSt_chr2.51228 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSDAVEWWDASQLRFLVLASLGIQYFLTFFAAGRKFSVPSWFRFAIWLSYLGSDALAIYALATLFNRRDKVASSNGSRDLEVAVALYVFCKSWSFSADNKLLVVAILIFIPGILKCFAKPLGLKLASFKSFADSFDLAERTTAVNREEVLQKYVQEARNFVLDKDNESSNSEKLYVPQMLFVDFAYNYSSRLTNLKSFCGLDDRKAYRSLRDGLSDIFDLLYTKKSLHKNDNPDCTGRDWGGIPTYFLSLFLPIVSIVFFHISHKKAYRTDDVAITGVLLYSTFVLEFCSAGILGLFESEWPDTVSQRSIMGQFAHNKRHSKLMSFATLLRCKDLLDQHWSMESCHSAKNITELMRIHVQEGWKDYITDVESYLEFNDMRGQWTLEREECHGRLNWSLEKPFDESILIWHIATDLCFHHMGSSLASSETCREMSNYMMHLLVANPEMLMPGSRRSLFAVACNELEDILKDEESTLVEEDYVAKQVIDKVKYAQDTITKETFIYDAWVLAEGLMDLGGDKMWKVIQGVWVEMMCFSAGRCRGFLHAKALGTGGEYLSYVWLALAYSGMETFPERFQRTKKRHLLVEKREHLQTRGQDVADPSASRGMEEESNAAPPTSQGDCTMEIVVSP >cds.KYUSt_chr3.47737 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299208246:299209400:1 gene:KYUSg_chr3.47737 transcript:KYUSt_chr3.47737 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFPAPALERNWADLGDGPAGLIADRVLARDVADYVRFRAVCSSWRRCSADPRVQGGLDRRFHPWQWAMLCEELAVPDRRSFLNTSTGEFVQVDIPELHDHKVLASTPEGLLVLVHKRQRAAVHLLNPLTRQITHLPPLTTLLPPKHVDKLSEDYIYFDGEFRAWGSGIANDDSTTVLLCFNRLRIIGMAKPGDESWNLLDYGANGMTTAPLLFAGRFYCVSLTDVMVLETGPDQPPQLKVAANLGLHVSPIAHSVHLMNNSGELMLVHRRFARLTPRNKSGWAYNSYRVDFDSGTLFPVKSLGGRAVFMALDCSLSVPLDVFPSGSLCADTIYLRFDIRERMMLKAGAYHVADGSAELPCGLLVPRPHTLIDFLSFADTVKK >cds.KYUSt_chr3.19841 pep primary_assembly:MPB_Lper_Kyuss_1697:3:122177258:122178676:-1 gene:KYUSg_chr3.19841 transcript:KYUSt_chr3.19841 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPDEPAENGHRNGNGPSPPPAKRARSALISAAEIRDEFAHHDPAVARVNNGSFGSCPASVLHEQARWQRLFLAQPDDFYFHGLQPGLRRSRAAVAALVNAGDVSEVSLVDNATTAAAIVLQHAAWSFAEGRFARGDAVLMLHYAYGAVKKSIQAYAARAGAAVVEVPLPFPVASPDAIVAEFRAALAVAKDGGRRVRLAVIDHITSMPSVVIPVKELVAICRQEGVDKVFVDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKGGPVASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQIVVPEAVNFVNRFEGGIEGIRNRNHDKVIEMGRMLAEAWGTSLGSPPEMCGSMVMVGMPNCLGIESDDDAIRVRTMLRKDFKVEVPIYYNTRRLQEQETATHNNADPVTGYVRISHQIYNVKEDYERLRDAVNKLVADGFTSSILRPSDKVHLLTLTSF >cds.KYUSt_chr2.6715 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41836090:41841459:1 gene:KYUSg_chr2.6715 transcript:KYUSt_chr2.6715 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAHGKCCLPRGGQGRAAGGGLPGGRGGATLGRAAVPGADLVLEYATLTVDGLYPDSPGRESQDAHLVATRFAGDPDLHLFAVFDGHGASGTACAAFARDALPRLLLASPSSSLAADPAAAFRDAMAGVNAEMHAAAGVDDSMSGTTAVAALVAGAALHVANVGDSRAVAGVWREGRVACEDLSWDQTPFRADERARVRACGARVMSVEQVEGARDPDAEGWLADEGDPPRVWARDGLYPGTAFTRSLGDLAAEGVGVIADPEVKSVQISPSHLFFVVASDGVFEFLSSQEVVDMVAMHPDPRDACSAIAAESYKLWLEHENRTDDITIIIVHIRNAQNKLQHTLVAIFAVANLSDVARFFLRALAVTIPRCSFMEGHSQWMSDDGDDDNEGDDDDHDEEEDAPYDNENEQEEDVAHVDGDQEG >cds.KYUSt_chr6.2506 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14578618:14579145:1 gene:KYUSg_chr6.2506 transcript:KYUSt_chr6.2506 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVLRGKSRAGPAMPRGFAGNRGYSTALNSQRLAGKVAVITGGASGIGKATAEEFVKNGAKVVLADVQDDLGHALAAKLGADSASYTRCDVTDEAQVAASVDLAVSRHGNLDIMFNNAGILGSLARPPLASLDLADFDTVMAMMPAPPPRSPRRPSPSPTLSRHYVEQVVGGRG >cds.KYUSt_scaffold_1700.360 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2425733:2428362:1 gene:KYUSg_scaffold_1700.360 transcript:KYUSt_scaffold_1700.360 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDAFFGKGGGGGGFRGAKCKTLLKLSIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELVSVRLPIIEAQKECPIDLKEAISSICFAAPRCSDLPELIQVQMMFATKYGKEFVAAAAELMPDCGVNRQIIELLSIRPPPVEVKMKLLKEIAEEHEIDWDPSETETEYLKPHEDLLNGPTYFSGSTLPLPKEKHEETVATSAAVQSNEDDESGGGFDELDLPEVPKAVIRPVSDTPSTPDIGPHVQSSQSAPHEFSNPSAHEFSKPAAHEFSNPSDLEENPTADATFYNNLKSSQPPVSAPFAQPSMPALPNEKKQFVPFASPPPFASASSMESNDSIPLNSPTPALKPTEPEFYTRTIDEVVTPPQTLNDFNMFSKHSEQVHSASPMESRQNIDLDDVLSAAQTAADSAERAASAARAAANLAQLRIADLKKNSRAYENYNDGSEKESHHQTEVTQKPAFDHQDSFSNDMQGYAPSHVPQRSPSLEDDPFSYPNLFSSKP >cds.KYUSt_chr4.17064 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106486798:106489366:-1 gene:KYUSg_chr4.17064 transcript:KYUSt_chr4.17064 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDAYCYCLLALLPLLYLTRFYYAASFGSRKNGLRLPPGPWQLPVIGSLHHLIGGLPHRALQDLSRRHGPLMLLRFGTNPVIVASTAEAAKEIMKTHDTTFCTRPLSSSVKALGKRGMGIVFAPYGEHWRQMRKICFLQLLSAKRISSFCAIRQEETDRFVRSISSASELSRQPLVNLSQMLATAEAFREVFLAFMGRAIDEHLQRSASSPELHHEDIIDVLLRVQAEGNLQFPLTMNNIKAVLFDLLAGGSETTATTLQWAMTELMRNPNVMARAQDEIRAAFMGENKVTKEGLGELSYLQCIIKETLRLHVPGPLLVPRECHEQCRILGYDVPKGATVLVNGWAIARDPECWDEPEAFVPERFLGSTRDFKGNNFELIPFGAGRRICPGMLFGLATIQLGLASLLFYFDWSLPDGILPGELDMTETMGVTARKKVDLCLEKENSTPIGSLLAAGLLTATSDGRIGRRYPEPPGTATRGAAGLPALPLGLGYGLPRGTG >cds.KYUSt_chr4.37621 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231921186:231923185:-1 gene:KYUSg_chr4.37621 transcript:KYUSt_chr4.37621 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGWRAETRKIHGGPLTLEKYHRFFVDPWGDDITNDQLSQILTMHAFLKLPANKRKIMKQLVAQIDLQPPRRSTLHSAAAAARPSAVRINARQVAADVDAIGWTENPIGAVAAFAGYGEGPPERLERFPPPADHVISLALALRRARSRRTRRSAYARRAASVDVKVKEEDVEEPPPSPPPLWTRSPTPSPPPLWTRSPTPSPPPLWKRSPTPPPPPPSPPCPQSVAPPPPASPPRPQTVVPPPPTSPPRPQTAVPPPPSSPPRQETAVPPPPSSPSCRQPNLETIFSPPPPGFGPPVFGSLPRPSCARPTFPPLPTPPTGFVSPPPPPCSSQPTLAPLPTPPPGFGSPQVTPPPPQLFWGLPLPMAPPPAGPGAPPAFAHHLQPAGQRPSVPSCYPAACWGAPSVLPPQQAPWRWPHPPPRWTPPHMTQQQRPPPPWGFMEPSAPPPMPLQHQPHFEGQEWPQPTVTGGVLGQAHACVLGTPVLQSLC >cds.KYUSt_chr2.46211 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288541489:288543377:1 gene:KYUSg_chr2.46211 transcript:KYUSt_chr2.46211 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVHSAPPTPRPVSTPPSQMHSPAPSRSPLRAMVTPLASPVRKAVASVKGYLEDVGHITRLADPHDAWLPITASQSGNSYYAAFHSLSSGIGFQALVLPAAFASLGWTWAIVCLTAAFAWQLYTLRLLVNLHEPVAGGTRYSRYMHLATTVFGEKWGKILALLPTMYLSAGTCTALIIVGGGSMKILFSIACGPACVARPLTTVEWYVVFICVAVVLSQLPNLNSIAGVSLVAGTAAVGYCTMIWAVSVAKGRVAGASHDPVKAAGDVDRTIAVLNGLGIIAFAFRGHNLVLEIQGTMPSTLKHPSHVPMWKGVKFAYLVVALCLYPVAVGGFWAYGDQMPPNGVLSALYKFHSRDVSRLVVGLATLLVVVNCLTTFQLYAMPVFDNMEAGYVHKKHRPCPWWLRAGFRTFFGAVNLLIAVAMPFLSELAGLLGGISLPVTLAYPCFMWVAIMKPPRGSAMWCLNWALGSLGMVLSFALIVGNLWGLVARGLHVHFFKPE >cds.KYUSt_chr5.8857 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56140616:56141494:-1 gene:KYUSg_chr5.8857 transcript:KYUSt_chr5.8857 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTAAAAAAVRCNPQVLRRGLHPAPSPLPFASRAASTAPLRVPPLRFSLSPVPKSISSPSSSRIPVRSLFTGIVEEVGRVRRIGPPPTPPSGGGGGGDAPGVDLEVETKNLLAGTQLGDSVAVDGTCLTVAAIDAAASTLTFGVAPETLRCTSLGDRAAGDDVNLERALTPASRMGGHFVQGHVDGTGQIAAFRPEGDSVWVTVRAPPEILRLLVPKGFVAVDGTSLTVVNVDEDGGWFDFMLVRYTQDNVVLPKKKVGDKVNLEADILGKYVVKLLAGRMEATSKADS >cds.KYUSt_chr1.41678 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255491637:255493703:-1 gene:KYUSg_chr1.41678 transcript:KYUSt_chr1.41678 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLLLAAAVLLSLLAAAAGDAPFVVAHKSVKLSRPGPGVERLAVTLDLYNQGSATAYDVSLNDDSWPQEAFDLVSGSTSKIVEKLEPGSTASHHFVLETKVQGRFQGSPAVIKYRVPTKAALQLKELIFSYKEAYSTPVLPFDILAERPPQQKFELRAVGKYGPLASVVSFVGAFIYLVASPSKSGAAKGSKKRR >cds.KYUSt_chr3.39418 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248313188:248314364:-1 gene:KYUSg_chr3.39418 transcript:KYUSt_chr3.39418 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGTLRRRVPSVGQEEEVFQQVSTRLPSDAVSTWRPELRSLLIHSVVLAVVIPSLHSYSGGEQVRSCWTLSLFVILYLFGCSVSYSTPPTPLAKMFFALSYIALLASVVGTWVSSVLGVVLIYSDSILAAGLFGSVLAQHREGNGRETAALVAFANASPTGIYHRQVGIYPLLAIFALFGAICWVMRPEGDYDALVTAMNLFAAIACLEFVHTVCVLGWLNGAVFGMDSAPPVVFLFAAIGMQFPVSYLLGGKLVAANVLWLCVLAATAFHGYYLRVLDSYEQLMYARDARKIRNSAAVAPTTSNTTATPISPGTPATASCTKETNTDGSAAAAPTTESTPATPTSPGSPDKETITEFFAA >cds.KYUSt_chr1.15941 pep primary_assembly:MPB_Lper_Kyuss_1697:1:92637274:92665596:-1 gene:KYUSg_chr1.15941 transcript:KYUSt_chr1.15941 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDRSFSTSAALSRLLARCPALAADSRLLALASAPAAPAADDVAAALAEPLLHPRYTLPIIGCFLPLAPVLLERASALLRTAGTALLVDSAASQEEEEAGEGDTRVVEFYLSRGRGLRLHELACLALSRALDLAPYLLRYVLNYFKFSPPPFQRLLCTGVPSTIPTKGLHLFLDATRVSYRFLELEPRVFCEQWDWSCFLDLVDTTADYLLPDDPLYSVGLDFRWCSIQILMVVLKASDRGIASFGLRADAAFTCLLRWKEYCMDTSLEKASLYLQTQETNSNIDVTYLADTLSDWPAVTMGKEHTIGSSFVLTATMRKSYEVALVAVSQRWPVLLYGPVGAGKTALINKLAQNRGNRVLFIHMDEQMDGRTLIGSYICTEVPGEFKWAPGSLTQAIVKGFWIVFEDIDKAPTDVQSVLLPLLEGSSSFSIGHAEVVEVAESFRLFATVTTSKHDVSHALEGRLTYSALWRKVMLGEPNREDMINIVNGCYPSLEPISSKLIDTFEKVNSLVSYQFGGLNLAGGLSDGILHRFSLRDLLKWCKRIAGVDLSFEGLGFASSDCKFIYNEAADIFAASLSSPEKRLYVAREIARILGVPHLAETMQPTDKPIIQARHTDLQVGRVTLQCVVKPALIQKGPFADIRHALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLATWLKRPLTVVNLSQQSDISDLLGGFKPTDARSICYPLYVEFKDLFCRSFSVKENQAVLRKYDSYVMEKNWMKVLRSLAKCAGGIQQLLEGSSKLPSQVISDWSSLSSRLNAAYSQIGSAAGMSFQFVEGAFVSAIRNGDWILLDEVNLAPPETLQRIGAVLDGEMGTLCLAERGDVDYIERHPSFRMFACMNPATDAGKRELPYTFRSRFTECFVDDLMDDDDLRLFVSKYLDGLHAAKGVIDNIVRFYKAAKKEAEEKLQDGANQKPQFTLRSLSRALGYVKTAEKIFGFRKSLYDGFCMFFLTMLDVPSAKIIKNLIVSLLLNGRVPPSISFADYFVEKSKQLDVSESDDFLCSYVLTSSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRYLAAKTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETVPAHPDFMLFATQNPPVLYGGRKMLSRAFRNRFIEVHVDEIPEDELITILEQRCTVARSYATKMVQVMKDLQTHRQSSRVFAGRHGFITARDLFRWANRYRAFEGKSYEDLAKDGYLLLAERLRDDNEKVVVQEALERQLRVKLNIPDLYNSEGIYGYNLSRHAIGQRVQESFGNITWTKSMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSRIALEFKHLISRMMEMKIFIHIAGDVTFPSDISGAASVINHINGILDRYRKEKELFPDVQPQELNDMDEIKLKLMHLHQNWQAIFLWQDGPLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSELEKIVAHPNFFILATMNPGGDYGKKELSPALRNRFTELWVPAVTDVDELKSIAIDKFSKAELSCFGDCIVNFWKWFNQLQTGRILTIRDLLSWISFINVTERILGPEQALIHGLFLTLLDGLALGMNFSKSEATELRRTCLSLLLEELQKVEGKPLNSDLNDLKNYGWGDGIREVYIDYNHTDQFGIAPFYIPKGHLVCEQEGFEFTAPTPSKNVLRVLRAMQLPKPLLLEGSPGVGKTSLIVSLAKYSGHKVVRINLSEQTDMMDLLGSDLPAEGENGIEFAWSDGILLQALKNGSWVLLDEINLASQSVLEGLNAILDHRAEVYIPELGQTYKCPPSFRIFACQNPSSQGGGRKGLPKSFLNRFTKVYADELNEEDYFSICKSLYPLLSEDILRNLIHFNNRLFMDTMIHRKYGQEGSPWEFNLRDITRSCEIIARSPDTSKNDCFLNTVYLQRMRTATDRDEVLKLFEEVFQIKPSILQSKKLHVNAHCLAVGSASVRRNNFRSYEVHSNQLNILPSILHSLEAAIHCIHQGWLCILVGQHSSGKTSLIRLLAQLSGNTLHELNLSSATDVSELLGCFEQYNFFRHYKEVISKVERYVDEYFSLSMDIRWKELIMNRKALFVKWFEFVVAKKYSSIRTSTFIEMSRSASLPPLSLVTDIVEQMKCDLEMFDLPISLTKHELTETLKSIYSLQQKENINQRVKFEWVAGDLIRAIECGEWVVLDNANLCNPTVLDRINSLVEKERSIVVNECGLVDGNPVVLEAHPKFRMFLTVNAKYGEVSRAMRNRGVEIFLMEQSLCLDRCPYVPADSERKDVIRFLISCGIPRMELISSMTEAHLYAKAAGLRLGISITLLEITRWVQLFQQLLIKGNQLLWSLHLSWEHTYLPSLGQVNGSDVVKEGILRFLTNFNGSAGSHYGFSLSLPGGWPIEHKLRDFIWYSKESCVQRNCMYLQSFGAKYAAYQISNFEGSSSLLGPISNIHPSVLPASSLSALQFPTLPIQHFVKTSVAGVFNLELADQMLFIAANWVIEQSTESDLELYGIWFKWYDCLLQPYCSFFGDFANIHKQLSEHPIWQSILECYREIIAYHKVDVVAHPIPLLSMKLLDMSGCDTLKACQKRLRSALNGLSLLWLTLQQWQSETTFPDYGVLKATLLPALKSLKCLEDEVLKMIVKSRKVQQIYTRLLDYHKSIWKMIVSSQFEGLPVVWNLLRTEILKLQPILPIEVGVFLMESVNLNNVQDFNLQYSKPTLWVHGGHPLVPSSGSVFYKIREIVAFFAVVWPRKNLSKKHLDDKEQTIDAMVSANQDLRRLALEGLGIAFHAATDSKEEDCEAVARMDEIHKMLVEKVDFERNNLGLLSKTSTSETKICCSVSSSICKTNGFSGWLASLPLLNLKSLNLDTALLQCLSKCTQLDCSEIHQIIANSEALLKYAMDYSLELSSRSPLDFAQHQIFCWIYNAWATVDNVHVKVTSSLLEMWYNYHTSLWTYCSGSPKALLPITHDETCDLAHLTKMDAIHTIIQDDLCVMDYQKNCLMLRMSSRNLWEGVSDAGNLVSSLYSSADSLFKQIIFVHKKHFKPEEYKQLEYILFEKSAHCLEEQGLQTACALLSSSSHGVLASLSGPDKLVGSILLELYSLHSHDSLLHLGAAWVYIGQLRFQLLLSSYNPDPTFISAFMHSQILEKISFLDLKGQVRRECEELAGSSSVEDCHAQQLIQELKTKEKNIGAKVVFRPQQSKHKSLIAACSEFEERLSDCKDLLFHLDCKEAGQLEFDRIFNWQITSGNFIKRLTEEYGEYVDLIQPVQVAVYEMKLGLAIALSGSLEREYLKKVKEDDIERVLGAVFAFMQFPYGSVAGMALVGIPDLTNYSMGDQLETQYSEFADVHIMEKLSRASSQLKVGEVADKVRSHSQMLVSFHHVSLVRTTYRVCHSLIMDKTSYLSLKEIFDYFKSMWIDMKSSVKAREIDDSQYYKFRSRIIDIEDIFKGDVPSLSDMDSDAGLDNEEKLELEFFKIMERSDMDDGFVEDKWDLVPESALKCIIFTHNQLFGSPDLIEKPEKFQISDQQKLQSFVDSYDFGARILKGLPELTSSKLDEKLMPEHLLRVCLEYPRTCAAALDSNSYNAYKDPNPPVLFKMVEPLTALQENIRSFLDEWPDHPGLLKILEIIASLLALPLSTPVSKALLGLQLLAGKAQTLQENDSKFFLKDNLPPIFMLLSSMQRLELESWPILLEDVQGKYEINAAKLWFPLRALLSQSCDIPRNDDSSIIKSVEEFVQTSNVGEFKTRLHLLLAFHGEFSDGASVGVYLSTPVKKIQNILYNVFGYYMQFLSLVCRQIEAGKESIEKELKDQVKLYRWEQDPYTLASIDNFKRTRHKIFKLLQRFNDILQKPFIALLNEEATSRKVPTWLDPEIPESQFPVDTEKLRERFLWYSKWKSHASVSLQTLLNANDTAATVLNVKESVYAVVHNMNHQQDETDLNDRLKFFWYALERICNAADFGSILKHGKKNQKKTALSSLFKTLEECGLSKHRPMGHEWGNELDAPSPLFLEQSYNVTHLLQQVTTQKTCEDVSIHSAILGTNNWKLANQQYFRCLSTVQQLRQISLKFNKDLGLEEVNRATSFMNHLLTMLCEQRHVAYDLFEQLNQFRHMIFLLGSGGKSESLSSCQNVLVISMWQQKQFFDSMLAMVTDTNLLLRTFKGCHHAACDSFEVEIAEMSFLFEKFITRFSASKDLLDKFLLRSNNILAGAHKNMPVATVEMEQLVAANVQLINTFREDMQVLCRQDVSMRSVKKVILSRFEELLDKGKIAAASFSREAVFSDEQKPEESYTEAFKETFTLALSVVEQLTGLGVSTDGTKESSLEGNITSWKDILHSYVMNLQMDHVRDAGEKLSVLVRKLVDYKPEMRSTTEVQLMHLHVLLGVIMSSAEGILSELLEAHRRTSEMTHALGDLLIYLCAEGFGCTEDTAEDSSDGQNDATVTGTGMGEGEGQESASSKIDDISQLEGTNEMDTQCKADQTLKDDDNAIEMEGDFAAELADVSEDEGDDSGSEDEDNLDKQMGDTGDASELVGKKSWDKDEDDDSKTSAEKYESGSSAKGTDENDRELRAKDDSPMETDNMETDNNEQGKNNNMEDEPSTCEDTDENTDDVMNKADAYDERTGPELSEPNKDSEDVNMDEAEQTDDMDADIPDNEDINQEEGKQEDEPAVASDDMEDGDETHGGDNVVDNEGDHLEDGNVESNNMENQQLDKIESLAHPSQGIQPDHVETDSNRESEANLANSMDMSSTVAPSVDFSSNEVPLEISIPNSGEGSKLLSNSKPELQTDAPPSHIKQTNPFRSIGDAMEDWKERAKVSADTQDHQPETEHHVDDESATEFRYVPEGEQSTSQALGDATADQINDELQVRQSNLEDETHVQKVELPDERIPGDDNPEIPHLQTSQSRANKSESAYRSEVTDMQTDASIQDMVQGETDDTFGDVVSFKRRPVDDRIVQLDDLISDREMSAQMDLDVTNEETERTIVDWRNHELSTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKRGNVKVLHDFDQIFNAEAGVKMISSLSFDQDNKIDDQPVADLLMHLNTMLDAAVARSRTPSGQNPLQQLILVISDGKFHEKENLRRCIRNVLNRRRMIAYVLLDSHEESIMEILEACYKGDEVILGKYMDSFPFPYYVTLKNIEALPRTLADLLRQWFELMQSANE >cds.KYUSt_chr1.41655 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255424460:255428764:1 gene:KYUSg_chr1.41655 transcript:KYUSt_chr1.41655 gene_biotype:protein_coding transcript_biotype:protein_coding MLERFYSEVQYPKPEDMTEYAASVGLTYSQVRIWFKERRRKERRHMEAAGVRVETQVCAGSTGLSCSSGSSRKVFRKDGPSLGGEFDPLPQSAHGRVRDTTGHHSYQDQGVMKKRKIMVSTAQRPTLPCENNDPVRKHGVGKGLMTVWHAMYSQNAEIQDGSSFIDETGCLRSLRPFDDDCGGKPVQKQILARKRVNKKTRPPPSKRKVPCGRVTDPKEHPPVECLLSVDESESSELQTEQATLVDDEELELSELQAGPNPLRCSAHLSSTGRHGCPLCKDLLARFPPPSVRMKQPFPTKPWESSPEMVKKLFQVVRFIYTHFGSMDVHPFTFDDFVQAFHDKDSLLLGKVHVSLLKLLMLNTERGSSSVFVPRSSKDSRYLSFLNF >cds.KYUSt_chr6.22949 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145024309:145025163:-1 gene:KYUSg_chr6.22949 transcript:KYUSt_chr6.22949 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTKLVALGFVVLVSIGFTSASRMLASSSSASGGGSGGGGGGGGAGANGYGGGSGQGGATGYGETFVGSGYKSNYAQGAGGGGGEGGGGGSNGGAGSGSGSGGGTGSGESGSTGSGQASANGSGGGEGQGAGANGSSGQGAGGGGGEGSGESHIAPAPSAGGFSYSDAGGAGTGGGGGNSGNGGGEGTGAGSASNDGTSGSAIGHGSGNGGGIVKGVAQGPSIGVGSGAGSGAAQTGSDGPSGSGYAAGSGAGGGGGAGGSDNGGVGSGGGTGQGTGTGSYP >cds.KYUSt_chr5.28184 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178397615:178398163:-1 gene:KYUSg_chr5.28184 transcript:KYUSt_chr5.28184 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSEFEAHVRSRSRETASAIIPRQQQRSAGAQKARQELATQDGRGGALWTEVWDHVGASGLPDLYPVGAPEEKARPRMCPSRWRGPPWAEVQTRLSEAQWGARLGLDVCALLEENARPGERTEDVALRGLWLLVRWDLVGCPTWTRLSQEEERKPGHVEYDIAEDVALTVDRGGARWPGGT >cds.KYUSt_chr1.5656 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34890107:34896059:1 gene:KYUSg_chr1.5656 transcript:KYUSt_chr1.5656 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTAIDTFYPPAEQLRDSPSRRDGVDEPAEASLRAYGCQLVQEAGILLRVLLHRFSCKQSLARISVKEELVTDLSRLERRVLKELGRSTMFSSQSSHSNCMERLTPSGQHSAKLAYEKRGFPPHSPVSSVEADEVINKCSNGRFLVAAFPLAHSLPVSLRRGPASLSPPTLPVLRIFLLRAVAAAVRHAAEVDHHDPENLALLRFQALWESEYSQDSLLVFSTGRTPVSYRGLRKIKPLLTPDITIMSVGTVIAYGEEMTPDVGWEELLNNRWDKDIVVQETAKFPQLKPQPERNQGPHKVSFFVDKQGAQEVINSLPQKLQECGLDVKIIYSSGEALDVLPRGAGKGQALVYLLEKFNLCGKPPSNVLVCGDSGNDADLFSVPSAYGVMVSNAQEELLQWYEDKGRDNFKMIHSTERCASGIMQAIAHFKLGPSVSVRDLEFPYLKVDTIKPADIVVKFYVLYEKWRRGEVQNSPSVMQYLKSITHQNGTLIQPCGTERSLHACIDALSSCYGDQQGKKFRAWVDRLVSSPIGTSNWLVRFDNWEMEGDAQYCCRTTLLVNMKPKTPEGLELTHIHKTWLEGYSAGSDHAFIL >cds.KYUSt_chr5.11624 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75366347:75366955:-1 gene:KYUSg_chr5.11624 transcript:KYUSt_chr5.11624 gene_biotype:protein_coding transcript_biotype:protein_coding MPVESWWPWSASGAWRLVMVLLLLRMLQRVEPVASSGSPSPSTKNKLEGARISLILSSSGRYGGGWRWGMTRSGALRVEFEASGCEVHQHRQGCAAASSGRGGHFACRTLLLRQFIFLQASEPKWRIFINPSVAPHVDISPSGFVPGGVLSGRRSVVLLRWKKRTRLLFLDSFEALSAKCENVDVISFFFLVLRVTCIHRLV >cds.KYUSt_chr3.35852 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225301844:225303663:-1 gene:KYUSg_chr3.35852 transcript:KYUSt_chr3.35852 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVNSPTTASTTSSQLGQIVSPDRPPSYSADCAPPLSDEGFDGGPPDRSSADSGEVVGGGAAADHDGICQFPPPIVDEFDGRAQAKRSRAEPATGGDAADRLGELPDAILLSILSRLPLRDAARSTTLSSRWRRLFDQSLLDFNACQPFPPEGGRGCVWLVRAIDAILASGRPIPVRSFRFLMYGRGFTDHVPSVSGWFRVLAARGVREVDVNMFHMAWRLTLPPSLLQLASLETLSVCFCDLPNDAASQLHLPLLKRLHLSKVKSSQETLQAMLSHCPALECAKLVNITGVDKICLRSKSLLRLYGGFGSLTELVVEDAPNLEELVGIRLLNSGAAVKIVFAPKLQVLGYLAKNVRPLVLHDTVFDGGIVQFRTLMSSVKTLAIQVSFSDKGHTIFVAQLLKCFPCLETLCVEPENRSISHLVAFEAWDTTTSIQCIQHSVTKVVFENFGGHDCQWRFLHFLLGMARALKTIELYRLKGEDCDSTQVQLLFRSINRVYPGVQFLLFTASEPVNGLYLCQCCPGRCQNENRVSLL >cds.KYUSt_scaffold_1854.649 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:3378283:3378819:-1 gene:KYUSg_scaffold_1854.649 transcript:KYUSt_scaffold_1854.649 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSTTMLTAIGILLTFFISTTIVTGDDCITPACTGTPYAEACVSVLSEAQLIKPKMCTDVRGLAEFAVRATAQAIWDAGFDANELYNIEDISEADERCFKDCGVKLQDFSKELESKTNLADVRTFLDDAKTKNMELNCDVCRHGDDKKKANDISKGNRSEKMMVVLPVLIDRALLK >cds.KYUSt_chr4.15994 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98999364:99001257:1 gene:KYUSg_chr4.15994 transcript:KYUSt_chr4.15994 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKASIPLLFLLAAGLLFAAAVGTSKEEEGRRGEQWEHQHGKQGRGREGEQEQEQEQDSRRPYVFGPRSFQRIVRSDHGSVEALRPFNEESRLLRGIKNYRVTIIKANPRSFIVPGYPDADTISYVVQGEGVVTLIENGEKRSYTVRQGDVVVAPAGTIRHLANTDGRRKLIVANILHTISVPGKFQYFLGESLVSSLSKRVQRAAFKSPEERLEKLFGRQRKKQRFIVRASEEQVREFLRHASEGGQSHHWPFGGDSRSTYNLLEQRPTIANRHGRLYEVDARSFRALADQDVRVAFANITAGSMTAPYYNTQSVKIALVLEGEGEVEIVCPHLSQERQRQQQGQSERGKGRGREEEDDEREQQHQQKGRGSGSESESEEQQQQQQKYETIRARVSPGSAFVVPPGHPVVEISSSRGSNNLQVVCFEINAQKNERVWLAGKNNVLGKLDRPAKELTFGAPAREIDAVLDAQQDEGFFAGPEQQQGQGEEEWRHRGRGEEAVESFLRMATSAF >cds.KYUSt_chr2.12462 pep primary_assembly:MPB_Lper_Kyuss_1697:2:78976357:78977733:-1 gene:KYUSg_chr2.12462 transcript:KYUSt_chr2.12462 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAPSAFMSPPPPFAFMSTPAPSAFMPPPATVVPVAATELPPAADEEAVEVSWHATPFKERLLRVVSDEPNPPPRKLISENLFQVEDFNDIPRTITKYD >cds.KYUSt_chr4.40300 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248729389:248731255:-1 gene:KYUSg_chr4.40300 transcript:KYUSt_chr4.40300 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQGRATRPIPGRKKLLKFGNIQIENYSNLKIFTTGPDFLRQQAVTDLTKWDCLWITVAWGFLFRILFYIALVLGSRNKRR >cds.KYUSt_chr7.27555 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172075548:172078863:1 gene:KYUSg_chr7.27555 transcript:KYUSt_chr7.27555 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPPVSRQRAAAKKPMWIIVLLCLVCVVLIGAYVYPPHHYSQCYLSSSSVCTPFKDWLPSYGRREKTDEEIISAAVIRDILAMPMPVSKSPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYVHASRQKPVHSSSLFAGRDIHSDAVVWGKISMIDAEKRLLANALEDADNQFFVLLSDSCVPLHSFDYVFNYLMGTNISFVDCFQDPGPHGNGRYSLEMLPEIEERDFRKGAQWFAITRRHALMILADNLYYKKFKLYCKPADGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYAAADVSYDLLKNITSVDENFHVTSDDKVWPTL >cds.KYUSt_chr1.5715 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35268377:35274344:-1 gene:KYUSg_chr1.5715 transcript:KYUSt_chr1.5715 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPQNVLPLLLISSFILAMVSPSLSCPTYCEAKIDETWYLRQVGSGPNQNQDIVVNSTAPATTSFGVIAVNNWAVLVAPDPNAVVLAHARGLHVQAGQAGTVSWYTTFSLVFEGPRFNGSTLHVTGITGFEGQWVVGGGTGELASAHGVIKHKILTGLGSAVENYRQLDIQAFYTPPTVNEKGLSIMFAGMGGAASRFAAPMKQRRVERDLDNKVVEALRERARTRKKTFKSVNSITMRLPRFKDGLRDMRDVFDHYDGDSNGSIDNEELRRCLRQLQVQMSEKEVDDVHRYCDIDNREGIQFQEFVVLLCLMYLLFGPNVTRQVSEFESAKLNYVFDELIDAFLFFNKEGDGKMRRKDVTQRMNEASHQERTPSHITTQLFKEMDLDRNGKVNLKEFLFAMIRWAGLETDEDDSNDTSP >cds.KYUSt_chr4.41143 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254366589:254370421:-1 gene:KYUSg_chr4.41143 transcript:KYUSt_chr4.41143 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSRPLRSYAEILVINFLGASRRNCSLLFKLFIAGLLLPSQFADSSLISANLATQFGDPFNTMGILNNEAGVAILQVSMYVLILPYLTQILVQYAYLIDMLSIIHVFKWPPSTRATVFREPGGGGGGCVGTGSFRTGRVHCAMSSAAVLEAERLESLAAGGRRLVYDPSGNMNLPGDFGQAILNQEAVVTAAAAEAVALARAAAEVAGEVARMARTEHRPADVDSHNVPEDNYLAREFLRADARSRYADTSLLDDAGFVSIFSDESESDDDEQGAPGVAVKSARQVERRARRVRAATKLAKSFSDRRPVTASSRKKGLKGCRNPMGCFYKMSARRLLTAKQEVELSEGIQDLLKLEAVQKEVAHYNGGEPTFGQWAAAAGTDEHTLRKRLSYGIYCKNMMVKSNVRLVISIAKEFEGPGTEFSDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAIRKSVLEQTQIIRLPAHMAEASSRVKECRRRLRRELNRLPTNEEIASDTGMTTRRVEAAMCLPRYSVSLTGKVGSTDVTYQEIMADTSTETAEETLHRWFMKKDVDKALGSLSPREREVIRYRFGMDDGRARTLHDIGQLMGVSRERIRQIEMVAFRKLRSKKKVTSLQHYLEPAESW >cds.KYUSt_chr1.2042 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11776329:11777081:1 gene:KYUSg_chr1.2042 transcript:KYUSt_chr1.2042 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNKISLKLLVDTKSKKVLFAEAGKEFVDFVFSLLTLPIGAVVKLISAGTMQGSIGRLYQSVDNIGASYLQPNKDKSDLLQPKVLHPDARELLLPQGGGNGDGKSSSSSPLARFKMYTCAGYCATATMEAKAACPQCKQAMATEVTFVLPSAAPKASSASASEAGGSGGDETGGYVKGLVTYMVTDGLEVTPMSAISSITLISKFSVNKDVELAEKFVSVGMDEGLGLLKAALRSDTVLSDVFIAKKK >cds.KYUSt_chr7.9923 pep primary_assembly:MPB_Lper_Kyuss_1697:7:60764781:60767345:1 gene:KYUSg_chr7.9923 transcript:KYUSt_chr7.9923 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGGGSGAGAAGVPAAGEGAAAAAPAPAPPTTVAGAEVVKGAGLVKGRSCKGCLFYSSVLRSKGRGPVCFGVTRSIPQVPDRMVGEIELGAIQEGRHLSNFKYACAGYSIYLDDKDNPPGKGEKHAELPICVGVELLADRAPTKQAPAQAPAQLRKEGTQANALKPGHTQAHANKPGHTQARGNKPGQTGDDFLTKFQRNAGLVANGVVRNMNKVGTYVKDTVDDILYPYRKRPK >cds.KYUSt_chr4.43100 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266996490:267001121:-1 gene:KYUSg_chr4.43100 transcript:KYUSt_chr4.43100 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRIVLRDLDSIDSPASMASSKKVVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDAADKFRVESGTQPDIDLSTITDRMEVKKAVQSGNVQEAIEKINDLNPTILDTNPQLYFHLQQQKLIELIRVGKINEALEFAQEELAPRGEENQAFLEEIEKTVALLVFEDAKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKGQ >cds.KYUSt_chr7.36717 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229475299:229480331:-1 gene:KYUSg_chr7.36717 transcript:KYUSt_chr7.36717 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLRQRHFVEGMMSHHIGLTKFHLHMMKLTMYGFVRTKTIIGCRSQDFPIKYLGLQLAPRPLTKSEWQPMLDAVIHTLPAWQHGLIARAGRLTLINSVMLARPIHHIIVDDPPCWLLEEVEKHLKGFFWVGKNRANGGQCLVAWDNICKPKRFGGLGVKDLRLQGLALRVRWEWLRRTDDSRPWQGLSLTLDPKARAVFRSFAKLEVGDGHKLLFWHDRWIHGSCVEDIAPLVFESVATRRKNSRTVAEALLDNSWIRDLGPELSLEGWAQCISLWENIELVDRDVSRPDRFSWLGSANGEYSAKDTYRMLCLGLEDFSMFRPIWYSFAPPKCKIFAWLALRYRLWTSDRRHKHGLQDRSAACYWCLQEEDTLDHVLMRCPYARQVWFGCITAAGLNIVEPNRDSSLESWWSSARELVRKKDRKSFDTLVILIAWHIWKKRNARVFGNTTLHFSTNQMLSRIKEEFELWKLAKRGEESHIFQRFSINNLGDPFIESNYGVHSRQFEVTVLDWCAHLWDLQPDNYWGYITNCGTEGNLHGLLIGRELFPDGIIYTSRESHYSIFKAARMYRVECIKIDTMVTGEMNCADFESRLMQNPKSPAIINVNIGTTVKGAIDDLDRIIKTLEKCGFQDRFYIHCDGALAGLMMPFIKQLLGCPVPCGVVITRLERIKVLSTDIECISSRDATIMGSRNGHTPMFMWYTLNKKGYRGIREDVEKCLANAHYLANRLKEIGVSVSLNALSSTLVFVVMPNVSIEKLDGFVKELVTKRTSWKGGKGFSVPCVATDIGKDSCLCGVHTKNLRTFQD >cds.KYUSt_chr4.4589 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26334523:26334768:-1 gene:KYUSg_chr4.4589 transcript:KYUSt_chr4.4589 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPQLRFLLGGRQQGTLLGGAPLLPGPTMGLTIDDSVDTPGESFAPLVPMLAVPLGTVSPVEGFIGELGLLLSRVLAFSG >cds.KYUSt_chr1.25145 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150810818:150834240:-1 gene:KYUSg_chr1.25145 transcript:KYUSt_chr1.25145 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSSAVAAEVRALVQGADGSTIDSIYRELCQTVSVSDVFLETLSNELDLSRAERVGIGLSLSDSENIGLNLKGQRFSIAQIEELCENPAQHISNDQIHDIVVFIHRTDGLSKHMDSLTNIISLFKVKDTPFHVPLPIQAGNVWPASRHTELCAGSLDDDFDSLLSEIGKEISMADIITELGYGCTADIAHCKDVLAPFEPLNDLGISKLLGAVVSSTLGLDEANNTYSTFISAFGNSQTSDSIQSTAWNINVLVDSINEIAPRTNWTTVMENLDHEGFNIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWKNLEGQLSFLKHAVAAPVHTFTFKHCSRKMVFPELANIQGNQAWYCLDLLEVLCQLAELGFATSVRSMLDYPLSHCPEVLFLGVSHINTAYNLLQYEVLSCVFPAILKDTKHNSLLKYLWHLNPSLTLRGFVDAHSDISCLLRIVDICQDLKILSVVLDFTSLAFSIKLATVSFRNDNSNLEKWITEKLSAQREAFIEECIKFLKEIVVNTTYDAEGVIQQSEATIANICWESCPLFIKVLQSHSGQLLTNQLVDEVSRVEAAYESRNHGAVGRKIPTPEGGSDDIEAQANIYFHQMFSEQISTDAMIQMLARFKESTNKRELAIFNCMISNLFEEYKFFPKYPDTELKLAAILMGSLIKHQLVAHLGLGIALRNVLDALRKSIDSKMFMFGTAALEQFMDRLIEWPQYCNHILQISHLRGTHAELVSAIERALAKISSSQNEPNSSSIFPVDQHGSGSLSIGSIEASEASWQFINPPTQLERSPSSFPLQQRHQGFLGERSKGSTNSIQAKNILSVSQPFSSIPAVSSITQKVTAPPSSQSSPHHSTTISTPSQSTNFLRPRSSAPSGTRSSYTTGFGAALNIETLVAAAERRDTSVEAPPSEVQDKIFFMINNISISNLEAKAKEFNEVFQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKLLNREMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIVEAYERGLMIAVVPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSFLKDRIRQIEGNPDFSNKDVSASQTPVVAEVSSGMIPAKKLVEVQPELISTSRSTSLPNMLNQYAPPLRLPPNSMVEDDKVALRMPDQISPSQTSSPSPALFTLSQLMAAIPRADIYFRINEKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELIIKDLAMESDDSAVSRAAHLMVGTLAGSLAHVTSKEPLRVALSSHLRSLIQNLNNNSESTEQIVHILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSGYYDAFPYTQGLARVPDALRPKPAGLLCATQQRVYEDFITVWHSQSSQNAGATTSATALTVAPGNSSIPRLYSPNLVQPSDLVPEESDHGTTQLLSVSTQLGASDTFAPTSLTSVFPSMSSNDAPVGEPTVATEDLGTTIPLPPTAAVDRMESVFAEPLNTEDALERYQQVALKIEALIASDGEDSEIQSVIAEVPDILYRCVSRDEAALAMAQKVFRNLYENASKGTSVTGILATLVAICDVCKLVVKEITSWVMYCDEDKKFNVDIITGLIRSEILNLGDYDVNLAKIIDSGRNKGATEFAISLVQTLITQEPNGVSKLYNVVDVLSKLAIGPGSPESLQQLIEIARSNFNNAASFAAMKDEKVLSGRASMYKEENDTALADGVSFQDQVAVLFSDWCHIYDHPIMGDSEYSHYIAQLQQHGLLKGDDLTDRFFHILTELAVTHTVVSEQLIAPGGIPQQPAQQLQISYFSIDSYSKLVTLVFKYCVNIAPNKGSLLPKILFVTARTIQKDAEEKKVSFNPRPYFRLFINLLSELSTADLHDAATFQVLTAFANAFHVLQPLRVPAWSFAWLELVSHRSFMPKLLLCNSQKGWPLFQGLLVDLFKFMEPYLRNAEMGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHLSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLPEISKAPRIMSDVESALKAKQMKTQVDEYLKRPEGSSFLTDLKQKLLLPLNEANVAGTRYNVPLINSLVVYVGIQAVQQLQHNKENASAQQINQSSQVEIFQIETATEVFQNLIVNMDTEGRYLVLNAIANQLRYPNNHTHYFSFIILYLFAEATQDIVQEQITRVLLERLIVNRPHPWGLLITFVELIKNPRYSFWARPFTHCAPEIERLFESVARSCGGKAVDEGVVLADGSH >cds.KYUSt_chr4.48237 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298685123:298690714:-1 gene:KYUSg_chr4.48237 transcript:KYUSt_chr4.48237 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGFTGEVCVHEAYGSASVAGQWSARATDVSDRGRRRCRGSAEGVPQRKVLGGGRGTAKGAPNTPLSHATGPRAAEVSGRGRRHCRGSADGLPQRKVFGSGLNGLCARRCQWWWSLSVEAEDGIDAEHGEELLLGVKLDYLELDEADALLPAGRLILPHLVDGVGLHPVEASAGLRLRDRRHLRDHGVLLVGAVDVISREERQLPRGDELILLGAVVDGRRVVQTAGGEALAAVVAVLGELHWGREPPVRTPAGTALARALRPIELAPFRLLAPAAMARAVVVAVLLMQCCNVVLASRLLEGDGGWLQGGAGAGALIMQVLQKGGPAPGPPNGCTGNASHAPGGPCHGN >cds.KYUSt_chr7.28620 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178498145:178500263:1 gene:KYUSg_chr7.28620 transcript:KYUSt_chr7.28620 gene_biotype:protein_coding transcript_biotype:protein_coding MSINSASMPICIPDLECAGIGQQQQRLPEIANLQAEEGMPQRTGIANLQAEEGTPKTTGIANLQAEEGSVAEAPPSPASSDKIAGDGADAIKDVKDGKNFLAMNGEIDGSSRSSDVAAPKSSKLTCDFSSRHTDICAMEGDVRVHGKSGTVYVVAASKESYRPENGTVTFRPFTRKYEESSTMQMVREVTIRTDDAAPPSCTVTHDVPAVVFSTGPDRGNIFHGLADLIIPLYITAREYDGRVQLLATGYQRQWISHYRHLIEALSVYPVIDFDADEAVRCFPSVHVGMETHGELRIDPALSRKGYTMRDFRDFLLSAYSLKRRWTTPVSRSSGQRPRLVMVLRRHSREITNEAEAIAAATEIGFEVVAAGPELVGDTDRFAEVVNSCDVLVGVHGAGLTNMVFLPHNGTELQIVPWGELKFIAFQEYGRPLADMGLRYLEYEATAEETTLKDVYAKDHAVFTDPLSIHKQGFDKVWSIFLGQNVTLDIGRFKGVMQQIYQSVTIT >cds.KYUSt_chr3.33300 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209071764:209074520:-1 gene:KYUSg_chr3.33300 transcript:KYUSt_chr3.33300 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSPPPGFPHRWQREATAESVSSASPPPDKDHVSGLGISSASTGNSVGAAPRQEVAAVAPVNARGRVQDRLVWEKVGPSKKTLWRRRVEERSAAEEARRRASDMEGLCFRCYQPGHKKMECTNDEVCIRCWQVGHPAKECKRPRSPSTEEELRNIALAKLARRRSPDRAGQGGPRTLGGARRSSPPAPLPPPPPPPPKACLPPLEAWPPLRVVPVPEASLVEAAPCHAEEARAPLCVVRRSAIICDLEQRLRFAMVVSVGGRRPAVTCEHVAEALCKAGIPAEEMSVHYYAPEDFLVVLASSHGRSLVAALPPVFVAGAPMLLRPWNRQAQATLVPLRHRVSLVLEGLPPNAWATEVVEDLLGKSCVVLDVAPETKSRKDMSLFRLTASTSELADIPVARTLAVPEPVEAGNLGEDGDVKTLQYKILIHVTSVEEDAPPSLEGRLGSAEDGRGGGHGGGGGNSHGARRTRYLTWRRGVPDRPRGSVAVQRGSGHGGATCAAAPPLAWGLPELEKAAPLVFQNPNGGSSRQDLGASAKGASADKVSAVVVQPEGPRPEGAAKASLGVDCDDTGVPVLPSFLGKAGVLDPKVMTAKEQVLWEDAADSLPWVGTGNEEEQENEDELGKEDKLDPEPDPEEDSEEKDTSAELYPSGSSADYCAGANIADIRPEEYVLVPVSGPFGDRSGPRVGPSQVGSWRTTSSVGLGSPCQSYTGPNSNMQLVPRTEVQHPEIETTASSGSPGLPVDTQDQMTESVERVAEVAEQLPEPPMVQPQETVEQQAAPVDDKIRQFCAKIIKALAPPLLREIESTSKLRAEAEPFTPKRITRRSTLASGTAGNTTAKKKKQATQAETVLLKALGITAPDLEATEEDLIILQHLFTSPMKEQHLRTMAAIFGKMMPSCIELTQELPEAVEVA >cds.KYUSt_chr1.22607 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133726318:133726740:1 gene:KYUSg_chr1.22607 transcript:KYUSt_chr1.22607 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYKALSSRLRSLSSEGTPIPGQTRDVVVDRPKLSLELEPAHQQTLHHAKTHTPPSQTSLPGSFIAKQTPPSIERHTIGSKTSPSRRKQRPWASPPPDPSGSRVFTRSNRTNRVCSRDGVFKKKVAPTGDAAAGPGHAG >cds.KYUSt_chr2.28935 pep primary_assembly:MPB_Lper_Kyuss_1697:2:177892918:177897102:1 gene:KYUSg_chr2.28935 transcript:KYUSt_chr2.28935 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGGLLFLLLLAAAPAFGQLPSQDILALLAFKKGITHDPAGFVTDSWNDESIDFNGCPASWNGVVCNGASVAGVVLDGHRISGVADLSVFVNLTMLVKLSMANNNLSGSLPSNVGSLKSLKFLDISNNRFSGSIPDGIGSLRSLQNMSLAGNNFSGALPESIDGLASLQSLDVSGNALSGPLPVALKSLRSMVALNLSHNAFTKGIPAGLGLLVNLQSVDLSWNQLDGGVDWKFLIESTVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSSNELSGDLPGFNYVYDLEVLCLANNGFTGFVPSGLLKGDSLVLNELDLSANNLTGHINMITSTTLQILNLSSNALFGDLPLLAGSCTVLDLSNNQFRGNLSVFTKWSNDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSHNSLADTIPGAVVQYPKLTVLDLSSNQFSGPIPTNLLTSSMLHELYMQDNMLTGGISFPGSTSKNFSLQVLDISGNHFSGSLPDDIVSLSGLHVLDVSSNNFSGPLPATVTKLAALTALDISTNQFTGPLPEALPDTLQSLNASYNDLSGVVPVNLRKFPESSFHPGNSRLEYPASSSGSGDSQSGSGGKSLSTAAKIALVAASVVVVVILILIAIVCHYKRISRQFPSSEKVTDKNLHRATKDIESMKRKDNKGSSEVSAEDLGAPRKGSTSEALSQEEKLSAVGGFSPSKGSRFSWSPDSGEAYAQEGLARLDVRSPDRLAGDLHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFTKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVSPGSLASFLYDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVALLELLTGRCAGDVISGPEGSVDLTDWVRLRVAEGRGSECFDPAMASDSENPQAVKGMKEVLGIALRCILPVSERPGIKSVYEDLSSI >cds.KYUSt_chr7.13430 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82873059:82874930:-1 gene:KYUSg_chr7.13430 transcript:KYUSt_chr7.13430 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAALDPYFSLSLSILIKLLPRNDSGFSYQGLEDIWRGRGAQEVGIVQEERKKINEWIGKMALFGGRLPGRTDNEIKNFWNTRSKRLTKRGQDVYPEELISRMKNQDMDSHSSDDSCGSKRPNELSEETSLYLLDIKFENLDYLKRPENFFVPTYRIQDSCAMNPSKRHASSDMVSGYSDSPTCEQFPHEPKRTCYTDINLGITNHSVCFNCAMANGLPILDGNFSTSGTMLRPVKMELPSLQNTSFESINSCLHIAPATPLDMADTFIPSPASVCFPSQNMVQQQGQILGDSATFQAAYEVPVPPVPYVHPLSPHSSVINDSVLDNSPLDEFRTSKSPASLDALLADSKHQADDIFAEEYLSPLLDSCRPGASAEARYLSEDSPNSEYQPISDPYSSILYGDLGDEGMFSAPGAGYQHRLESAPWNSMPGARHVPGS >cds.KYUSt_chr2.46468 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290496442:290497353:-1 gene:KYUSg_chr2.46468 transcript:KYUSt_chr2.46468 gene_biotype:protein_coding transcript_biotype:protein_coding MKMALLKPHATGFKRNHQAHEEDNVFYKLVHRLPESLSWLLASQERVKRSAAKKKQQTEGTVAARFGVILEWEGVVVENDDPDLEPRVWYVLSLEEAKSFPPDAVLKEIEGMRTDQAISEVLNWSDDPKEIKRLAARKEIIYQKLRGRIYQLRPGVLDFLNTLVEFDIPIAIAASRPRTSLEEGIKAVGLQGYFDVVVAAEDFRRGKPEGEMFEAAAEQLGLEPDACLVMGNSNLTTESAHTAGMRCVAVASRHPAYELHAANHVVRWLDQLSVVDLQRLANGEVLGSRGGRSDMDMEIVVEE >cds.KYUSt_chr1.28503 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172176370:172178232:1 gene:KYUSg_chr1.28503 transcript:KYUSt_chr1.28503 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASKTKAKDRAAAFKAAKEQPKVPVKPVVNGTAASTYNNLSGKFHLLEPSSSLPGSQGNDKLRNMDEIDEHSRSSHGTGDFDCASNNGSCSGESEDTKEKSTGTASRVDSIPGCDLDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLETLAQKLVAMGFSSDQATMALIHNEGCLEESVAWLCNFDGSEETKQQVADHQSAANLKIDISDEIAKIVILEAKFKCTKQEVERAVVTSEGDLEKAEEALKTQKQESATTASKPEGPGDSSGLVIKQQVMLAQNLPRPQTNGFSSVGTQQMRRDDKDLNYKLLLNGNGPKEPAVKGFQPLATPIKPDMARQQFFQPEKRRLNANPVPSVPYVTSSPSPVAVPQMKAETRHLAAGSEMKSAMHNGGLRESVVVMQRPQSASAKQSLPSTSHSMFASEPPSREWYLNGPSSVDMMLNGGLGHSLRNMSLDNVNSAKQFMHTNHQQSFVPNPVELAANGWGGTWGSGGTSSSQAGAPPHGMSFRGGWSSSESSSTLSHADWRTNAPAPCDYTSIDWSLDTTLINPAAKSEWLSDTWSTMFMGGRSTRPSGNLGVAGVNGLHESNLPMDPAPSPRPYEWPSFCRGGSS >cds.KYUSt_chr2.11236 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71356211:71364517:-1 gene:KYUSg_chr2.11236 transcript:KYUSt_chr2.11236 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDFSRAVEDGLKLSKRLVLPGGAAPPRPPAGMDRYGAPEPASLLPVAPMAYAVVADPAAVDSPDVPSYQPHVYGRLNPPALMPLQMRDVDLRVDCAAGCDTAEVTLRARWWVHCITRSRDCDCRIVVPMGEEGSILGAEVTVGKRSFNTHVVDVDDNSAVRIAKTEGGGLLKRQFFSLTIPKVVGGEDIFATIRWSQKLTYDNGQFSVDIPFRFPQYVNPLPKLFTKKEKIQLNVNSGVSKEVILQGTSHPLKEKSRQGEKLCFLHEAVVENWSIKDFTFAYNVYSGDVSGGILVQRSTLRDYDDRDMFCIFLLPGNKQNRKIFKKAVVFIVDTSGSMQGKPIENVKNAISTALSELAEGDYFNIVTFNDELHSFSSCLEKVNEKTIENAINWMNLNFVAQGGTDIMHPLSEALALLSNSHDTLPQIFLVTDGSVEDERNICHTLKTELTNRGSMSPRISTFGLGSYCNHYFLRMLASVGKGHYDAAFDTGSIEGRMLQWFQKASTTIVTNISIDTTKDIQEFEVDCEYIPDLSAKYPLFVSGRFKGELPETLYAKGYLSDMNEISIELKVQHIKDIPLDKVLAKQQMDLLTAKAWLSENKQLEQKVAKLSIQNVIPSEYTRTVLLQTVMEKIDPAEQVKQKPKKQSIPDQPSATPLHGLTLGFGNVAATTENLTSGFGDTKAPEKFEMFGKAVGGCCSRACDCCCCMCFINTCSKMNDQCAIVLVQVCAALSFLGCFECCSQLCCGGGGGSD >cds.KYUSt_chr6.32003 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202210580:202213224:-1 gene:KYUSg_chr6.32003 transcript:KYUSt_chr6.32003 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGAGAVANGGGNMSGFLGRKIRYVRMDDVLPQEREGVEDTGGGGSGSGVVRAALSMGVKEVLHLHGCSSDEAVLCRFRAHQRRWSKAVVQGSGL >cds.KYUSt_chr7.11278 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69310721:69316155:-1 gene:KYUSg_chr7.11278 transcript:KYUSt_chr7.11278 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAASEPSADEGTLSIYKAARRIKRRESTLYNALRSVADDAAFVAEIAALWPALPLVANLRCGLWYTQPRALSATCYFKSTDGHAGNWGFSTARLNLHLALLAGERGGCIIVDSTRKGKRFPDSMSKTIPIWCCVLNRAIQRHRLRAINQGSGLNSEMSVAVPKGNEEKYSGSSNWDSSVHLPVWVLGTEKNAIEGRIEEWADQFESCGADIHSLSLGLQKPLRPLWISQSTRIWLNEVPEHGLWEFTPIILVSASASGTVPTQRMSSEYSWRYIPGAGDDEESWARGLTPALFWKHSYDLLDGGPDLCNQLVADIVEKDRVYRAQRGEYSPQITVKHLNSSSHNDPYCHGDHTTITQSMNPDPSAIAPTNTQYSSDGHIVFWIGASNLAVSSTRQVADGLPDVDCILNCDSTSRPPCGSSENSYLELPIVVGWFHHKGLPLPIVVDCRHLQPPLRHMKIHHEEDRQVRRKYIWMSIRQAGEVAMTWFHAAHPELDFYANLAAK >cds.KYUSt_chr3.22570 pep primary_assembly:MPB_Lper_Kyuss_1697:3:139537090:139537826:-1 gene:KYUSg_chr3.22570 transcript:KYUSt_chr3.22570 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQFDLLVDVDKDDPSLVIAATEKKAAASRHGVQSKLLTKPLPPAQAVRESMNYGAPAPGRGRGGSSGDRTSPRREFGDGDTNVVQGRYSGGGLGDDGVVRREDGEAKASERGHGLRQPYCDGYTGGDYMR >cds.KYUSt_chr2.43532 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270810968:270812434:1 gene:KYUSg_chr2.43532 transcript:KYUSt_chr2.43532 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLEAAPGGGDYAPKDYSDPPPAPLFDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQSDPNATPADAACSGVGILGIAWAFGGMIFVLVYCTAGVSGGHINPAVTFGLFLARKVSLVRAVLYIVAQCLGAICGVGLVKGFQSAFYVRYGGGANELSSGYTKGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKEKAWDDHWIFWVGPFIGAAIAAAYHQYVLRASATKLGSSASFNRG >cds.KYUSt_chr5.38059 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240444134:240445471:1 gene:KYUSg_chr5.38059 transcript:KYUSt_chr5.38059 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFGLPAYVCTREGPDHAPSFKATVTFNGETFHGPTCCTTLRQAEHAAAEAALALLSLRGPSTSLTATILDETGVYKNLLQETAHRAGLKLPAYTTVRSGPGHSPVFASTVELAGLCFAGDAARTKKQAEKNAAMAAWSSLKHMPEAARKEPGTGGEEPEQEHVAVARVLAALRARGDCGVGSVREVPPLPKQHCVAGSSSSSSALNPTLYRHQWQRPLTSPATQLRTRHAQPPPAGPRILPPLHLLQRPAPPTTSRHTADLDRDRRINAAELVRMLERATVTDRADAMPSAPWYYPHASSAYQHTGSIGAGGFHSPAMAVSVRSVIPVCSAPPSPPQPRTAAAKDEQGSRNGPAQPDAALGTKGCDELGFGRS >cds.KYUSt_chr7.10955 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67322411:67322959:-1 gene:KYUSg_chr7.10955 transcript:KYUSt_chr7.10955 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPKECLPSIMGLMPCKDFLTNQTAPPPPYPGKCCDGLKSLMKDTPICLCHLGDGGFDQLWEDSEYFDGSESRTRATGEGTCPRSCSIMRLKSEPRSS >cds.KYUSt_chr7.12019 pep primary_assembly:MPB_Lper_Kyuss_1697:7:73905193:73908891:1 gene:KYUSg_chr7.12019 transcript:KYUSt_chr7.12019 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVLLLVLLAVFASTANAAVSYDHRAVVINGQRRILMSGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFGDRYDLVRFVKLAKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGMSFRTDNGPFKAAMQTFVEKIVSMMKSEGLFEWQGGPIILAQVENEYGPMESVMGAGAKPYANWAAKMAVATDAGVPWVMCKQEDAPDPVINTCNGFYCDDFTPNSKGKPSMWTEAWSGWFTAFGGAVPHRPVEDLAFAVARYVQKGGSFVNYYMYHGGTNFDRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKQAEPALVSGDPTVQSIGSYEKAYVFKSSTGACAAFLSNYHTSSAAKVVYNGQRYDLPAWSISILPDCKTAVFNTATVKEPSAPAKMNPAGGFSWQSYSEDTNSLDSSAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSSEQFLKSGQWPQLTINSAGHSLQVFVNGQSYGTAYGGYDNPKLTYSKSVKMWQGSNKISILSSAMGLANMGTHYETWNVGVLGPVTLSGLNQGKRDLSNQKWTYQVGLKGESLGVNTITGSSSVEWSSASGAQPLTWHKAYFAAPAGSAPVALDMGSMGKGQIWVNGHNAGRYWSYKASGSCGGCSYTGTYSETKCQTNCGDVSQRWYHVPRSWLKPSGNMLVVLEEFGGDLSGVTLVTRTT >cds.KYUSt_contig_1658.329 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:2098309:2098821:1 gene:KYUSg_contig_1658.329 transcript:KYUSt_contig_1658.329 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEIAHYGYQRLRSLIFLEATTRRAVLTVEESPSVLRMGRRWEAFRARGTGQADLLFVAVNKTPFLTLSTTTVHVFLDGSSSEERAPDFVVHGSYHRDAMTVSDSGAAAVAQIGKKSTLWGALVGEHTYTVRVNPGIDQAFVLALTLILDQMHNVDHDPYYYHHSYRYR >cds.KYUSt_contig_3162.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000611.1:37313:40638:-1 gene:KYUSg_contig_3162.7 transcript:KYUSt_contig_3162.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGVDTVVLGVEKKSTPKLQDSRSVRKIASLDSHVALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTEKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLTIRALLEVVESGGKNIEIAVMTHRDGLRNLEEAEIDEYVAEIEAEKAAAEAAKKGGPKDT >cds.KYUSt_chr3.32478 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204086390:204086937:-1 gene:KYUSg_chr3.32478 transcript:KYUSt_chr3.32478 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLAAGMAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr5.4075 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26224952:26225605:1 gene:KYUSg_chr5.4075 transcript:KYUSt_chr5.4075 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGNITKLEIYTWLAACLSVRVLAEATTCASPLDLVPYRGVLPAGVGVNPAARDLSPALRAAAAPATVTLQLATPESVQAPAPAPAHVDMQVAAPAPVQAPAPVPAPRKRGRPAKVAAPVAAPASSVAAPPPPPGAVPSKRSARVRDMGGPLFESVLERAVRLKAMKAGDGHAAPSASVPPPFRTDEIVAMARACQLPDKDVSDLLSAAAVQEAAP >cds.KYUSt_chr2.7016 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43879173:43880325:-1 gene:KYUSg_chr2.7016 transcript:KYUSt_chr2.7016 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKLAALALLLALLGCVARSCQASYGYPYPLSAPTKSTRPAASALSYAYYYKTCKGAEKIVRDVVQAEIKRNRGIGAGLIRLFFHDCFVQGCDASVLLDKTQANEQTEKFGLPNIGSLRGFEVIDKIKTKLEAKCKGVVSCADIVAYAGRDATYFLSNKKVYFEMPAGRYDGRISSANETLFNLPPPFADVTVLEAMFAAKGLTLDEMVTLSGAHTVGISHCSSFGDRLPRNASDPMAMNSRFAKSVTRKCKSGSSTVDQDIYTPNALDNRYYKNVLNHEVLFTSDAALESSKTKYLVKQNLKPYVWEAKFKQAMRKMGGIGVKTRTNGEIRRNCRLIN >cds.KYUSt_chr6.2147 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12705397:12706076:-1 gene:KYUSg_chr6.2147 transcript:KYUSt_chr6.2147 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVEPLLVRQVESLRFQATSVVAARLGRTEPSLGKEVVEYMLDHRSHLWSMRRSKANFFRLVAVLSGLIAVGTWFELVRSWQHVNSFLSNSLSHKVVINLYVFGASMKHWIERQICGANVVTPQDGRLLRTHLQLSK >cds.KYUSt_chr1.21661 pep primary_assembly:MPB_Lper_Kyuss_1697:1:127920345:127924894:-1 gene:KYUSg_chr1.21661 transcript:KYUSt_chr1.21661 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLDLSSRGDGDLRSRRLRRETTATKARDNFVWQSAPQSSRGGGDLCHRAPHFGGIRGRGDEHEAGIEVEADMQEEEEEEPDMEEEEAEGDGKHGGKAEAVLQDGIRAVLSLGYRAIAITRVLDATDWARTEKLTAAKSAGFADGENVDHLTKETIVLPVSHPWSRERRSLFFLLTMKTTTGKAGSND >cds.KYUSt_contig_973.206 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:1892171:1896915:-1 gene:KYUSg_contig_973.206 transcript:KYUSt_contig_973.206 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPRPNIPTQIPTDLGHAIVSGAMADILVPLDNYISRGSVHFLACKDPDYQQSLWNALSSIMMEPNMEDSDIEPAPKLIEVLFQNCKGHVDIWVEPYLRLTIDRLRRAEKPYLKCLLLQVIANALYYNPSLALAILHKLGVATEIFNLWFGMLKEVKKSGIRANFRREHDKKVCCLGLTSLIGLPVDHIPAEVLDRIFKATLELLASYKDQVAESKKQNDDAGDDMDGFDADEDDNDDEEVESDKEMGVDDEDGDDINSLHLQKLATEAKGFQAAESDDESDDDFSDDEELQSPIDEVDPFILFVDSVKGLQASDPSRFQNLMQTLDFGYQALANGIAQHAEERRVEIEKEKLEKGNAQ >cds.KYUSt_chr2.43434 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270292877:270295816:1 gene:KYUSg_chr2.43434 transcript:KYUSt_chr2.43434 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGLQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTYCTLHVAQRLHFFEPKAIDGHTVILFGFLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLNKRFSETIKLSLMVLLLGVGIASITDLKLNLLGSVLSGLAIATTCVGQILTNTIQKKLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYTTPVVGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMGLYSFFSVRESKKKSTNDALPVSQMQDKETEPLLVTKDSSDPKKANGVSHGC >cds.KYUSt_chr6.32362 pep primary_assembly:MPB_Lper_Kyuss_1697:6:204237858:204241709:-1 gene:KYUSg_chr6.32362 transcript:KYUSt_chr6.32362 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIDAELARAQDERRKLEEALAAGAPMAVSSVTFDKDLYGGGASGPDRFAGYDTSIPASEDDAPEDDSADPAAANPAARRLASYTGHALAAADIPRSDDDGVPAKRSQRIIDREDDYRRRRLDRIISPERHDPFAAGEKTPDPSVRTYGDAMRESKVQQEKEHVLREIAKKKREEEEKVKEKKAAAPEQPPAAAPTKRRNRWDQSQDGDAGVGAKKAKTGSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGITPGATPSGAWDATPKLPGGLVTPTPKKQRSRWDETPASMGSATPGGTAATPASYTPGATPFGAENLATPTPGHLAHGAVTPEQWQLMKWERDIEERNRPLTDEELDSMLPQEGYKILEPPASYQPIRTPARKLLATPTPLTTPLYNIPEENRGQQYDVPREMTGGLPDMKPEDYQYFGPLLDEDNEEQLTPEEQKERKIMKLLFKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKICQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRSDILPEFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVTGIVGKIVEDLKDESEPYRRMVMETIEKVVANLGASDIDPRLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNFIWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVASYPALGDDGDNIFSRPELAMFV >cds.KYUSt_chr1.963 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5094131:5099036:-1 gene:KYUSg_chr1.963 transcript:KYUSt_chr1.963 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRPSPASSAVATYRSRTASSRSIDLSEPFLPSRPLLANRLDLDLLENRHGRSGGLRRALAFVVVVCASETDLARVLLAPLCAVVSMLCCCGGVRRRNAGDFPGLAAAMAARALPRHAIASASRRGCTCVASVTLVCVPEIFGKAANMEEEYPELVEDANLILKKCNGLPLAIVTIGGFLANQPKTVMQWRKLNDHIRAELLMNPKIETIRTILMRSYDGLPYYLKTCFLYIPIFPEDYVVGRKRLVRRWSAEGYSREVHGKSAEEILDSYFMELISRSMLLPYQQSMHGREGIGSCQVHDLIREIGISKSMEENLVFTLEEGCSSNSKGTMRHLAINGNWKGDQSEFESIVDMTRVRSVTVFGEWKSFFISDKMSLLRVLDLEDTTGLRDHHVKHIGKLLHLRYLSLRGCDAIYHLPDSLGNLRELVTLDVRGTRIIKLPRSIVNLQKLSYLRSGSKPYDEEGSYEDRFRGFPKFLGNRPCIIFLMTRIVCCWRSDAAEILDEDNEDNDLNCHDACTALCCHLVPFIAMRLDLHGVLVQSGMRKLKGLHTLGVVNIAMWGKDVLKDIEGLIQLRKLGVTGINKENGQELCSAIVGLIRLESLSIRSEGEPGLSGCLDGTFSFPEKLQSLKLYGNLVKLPKWIKRLRNLVKLKLRSSRIPEHDEAYRSLGIYQTWHPCIC >cds.KYUSt_chr3.3706 pep primary_assembly:MPB_Lper_Kyuss_1697:3:21030282:21032886:-1 gene:KYUSg_chr3.3706 transcript:KYUSt_chr3.3706 gene_biotype:protein_coding transcript_biotype:protein_coding MQERVMPMSPLLHGLFSLNFLLLVVYLLLFLLAKLFNRLCRARDHGRDSRADKSSRREEADIAGGAEPHRADSLFWFDEALLVDEGKDHLSYSSAAAHCLEEVAENCTFPATAPTDRTSFSPRRDHRCDDVAATTAVDNGRAQRRAKDIPMTVTFAVADDIPTAAPGGFSEKPKIRGEDDRDGHEEEEEDDNQAGLPDVKRFVNSNAVADTKNLLLPEGGAAVGARLLRPRREEEADGDSSCRFGASTLTSESTSKSSVEWQSSAVAKDAYSDLFSSSSRRSSARWESYTLFRKYDEDMVYFHRVGAQKLTETESFRSIKYQPRSMSQRITHKLSMAPTPPPIGLRDPYPELERVYVAQICLTWEALNWNYTSFRRHNGGGGGGTMTMLEDRCCPARVAQEFQQFQVLLYRFIENEPFEHGRRPEIYARMKNSSPKLLLVPEFREEEDEKDDLISAVQFLHILEESIRTFMAFLRADKRSHYQMFREMVRRRASAADQTIVIALKKANKTKKNRLKDVTRPRRCLKRSKARDDEVVPVLLGLIDLKVVARVLRMPEITDQQLHWCEEKMNRVRVDVRQGKLQRDPSPLFFPAH >cds.KYUSt_chr1.38070 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232460440:232460781:1 gene:KYUSg_chr1.38070 transcript:KYUSt_chr1.38070 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRGGAKLLGALLLMLLCVSAHFQGAWSAGGGGGRGGGGGGGGGGGRGAGGGSRSVRTGTGSVRPGTGAAATQGNYATRSPGQSAAGDPHGRSVWITAGAAAGVAAAALVWR >cds.KYUSt_chr3.19748 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121527213:121529253:-1 gene:KYUSg_chr3.19748 transcript:KYUSt_chr3.19748 gene_biotype:protein_coding transcript_biotype:protein_coding MGISMSRDRYDARAGQTLPLLAFLMLLKYGPAGAARPPVTAALIAANALVYFRPGDLDALLPRLRQVTFNPHLIIKYGDLRRFFLSAFYHTNEAQFFMNMTSLLRTGAQLEASMGSSEFASMVVSLVGLSQGFTLLLSKGLLSLGNHVPYYHFSAGFSGVVLGMNVVLNARAGDVVCFGVAIPAKYVAWLELLLVQALNPEAHLVGNVGGILAGLAYLVLRRGTEPLDLMFSGIADIVSQPVRFAGRLLRSAAAHRPGRSVQGHVASLPRETGRSVQGHVASLPRESGQGMWGCTACSYDNSRCADVCEMCSTPHQDRGFSRRRHLQEGGNRELSVEELSVEEIRRRRLQRFEK >cds.KYUSt_chr6.6561 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39585194:39589829:-1 gene:KYUSg_chr6.6561 transcript:KYUSt_chr6.6561 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPSPAAAHPMSAPAVSCLRLVLPGFTGLVSASLILSRAARPRGVAARSGGQGAAPPDSAAILRRPAAATTAADEQESDADASLGSPVEDEAPDEGRRRGAEREWVDWEDLILEDTVPLVGFVRMILHSGKYSSGDRLTPEHEKAILERLLPYHPQYEKKIGCGIDYITQGYLPNVKIEKCQRGTFCEKNNLAASSRQNECVCLVSSYRLQPTEARVDEMPRPGRLVSSKRRLLSLCTIIRIDRKIALAHAPKDKGVACGFKRADADSLVASMNERT >cds.KYUSt_chr5.30495 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193400491:193402732:1 gene:KYUSg_chr5.30495 transcript:KYUSt_chr5.30495 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRVLAHNSSVSEPSRELKSSCVPTQGRGSATMAEGRSWMYTGRQSRTSITPEWAEKAMEFVELAFRRVPPDGCVWCPCACCGNRRKQSKYDMQIHLGKNGFQPDYTVWVYHGESRSSRTAKPSPGDNGNLHGKKDVEVAAAGGEEVSKPQGAKTRKREISLIEAYALSKLRKEERIEWGYQRHLWEKEKLRQQEQQNAPKRPNEKAYSSSKILHTHLLVSGFMPSYNCWTKHGERGVVMEHNEEEEDDDSYPMFPKYSDTAAGESEDQEAPKRPVSLVSPYFSAIAVLV >cds.KYUSt_chr2.2724 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16284899:16285114:1 gene:KYUSg_chr2.2724 transcript:KYUSt_chr2.2724 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQSGTVNWFNPEKAYGFISPDDGGKEIFFQISGVTEAGIGSLDEGQKVQFDVVEANGREVAINIVINS >cds.KYUSt_chr1.32559 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197718692:197719816:1 gene:KYUSg_chr1.32559 transcript:KYUSt_chr1.32559 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPSSSPAHTYSDLSMHHAVDFSSDLHTAPTEIPRSNGFFHGNGGLFSPVGVAASAPPPYNSSLSSYYMNKSASSHSFPLYPQFPDPINSNAAFSCPSHSAFQLPLPPVSSSPSSSSGDFLEFSSSGTVRRVLSTGDLQGKSFPLPSPMPPRFPGDNCSQEAGEPFSEKVGRYSAEERKERIERKTLADSRPRVQGRFARNVETEGDVATDMETEASDISYEYSSCNDLSGSNCYDSQSQCGETGGDSMVFDDNKWWWWATPVAPNRQQPLQQNVGFDIVDDEDQLWASLADMCSGT >cds.KYUSt_chr4.6216 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36487671:36489752:1 gene:KYUSg_chr4.6216 transcript:KYUSt_chr4.6216 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAFNQRKENGCLAVEEEENENWCGGGEGGGVVHGDTQRREETPRRGLWLSPLDLILINRGHTPTVYFYRSDSGATVEHFFDVARMKVAMAKALVAFYPLAGRLGVGVHGRAEIDCAGHGALFVVARSGLTVDDFRSFRPSPELRRLFVPRVQHDSPSIMCAVQVTFLKCGGVALGTAMHHVAADAISAFHFFQTWSAFSRNPSAAQELPAVHDRTLLRARSPPFVHPDALSVFCPKLNLSDPSGPIVSETFDISKDQVAALKRACAGDSGRVSTFSALSAHVWRCVCVARRLPPDATTRLTFPASVRRSLRPPLPAGYFGNGIIWLGAAGKVRDVTSSENLSSVTGRITGAVRRMDDELVRSAIDYFELTFVETDGQPAPAGCMPETELRVISWLGMPVYDVDFGWGKPLAMVRAESERAGFVYLMDDGQGGGGVRVHICTEAEILSDFQRLLYAKL >cds.KYUSt_chr1.29613 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179368764:179376441:-1 gene:KYUSg_chr1.29613 transcript:KYUSt_chr1.29613 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSCHAAWTESKLERGLEAHCRRFAGDGAAVPGLGRTGFLHQSNEHSTVSITVPAPPLFGRRSKLNGELTRSVLVWSAKLGEASIVGERRRLVVADEESRSQFNGLEASRHARVDEEVAGVEAKLQGFTAMLGRTPYDGDEDGGCGQGFGVDEELEQKRKKTSQGSTASFYMAPGHASSRRRARRACQRRGEEEHGASLASMREEGDEDDLLRYFGTNRSNHLYPKQGFNKSTLRFIALDLMSYFNSTKGHLDEQFRQVEDLQDEASPNFVEEVAVLFFKDSGRLISNLEKALEKYPRDFNRWDAYMQQLKGSCSSTLDFWEMLLNESMTLDCYVFGNKFDLALTFDNRCSIGASRMKSECMSFKDNCGKKNSMLKQGDSEKIMDGTDFYPDDDEDLSRKHKFKTWRGKIDGTGPGLYGEA >cds.KYUSt_chr1.3549 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21612265:21612540:1 gene:KYUSg_chr1.3549 transcript:KYUSt_chr1.3549 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMRQRGFPQLDGKAVPLGGLVKLALGNGHLEGLLLAQFRQLNLMLDDEQIGGSVARHGHWRSCRSSTRHLPCTRLGSGLNSHSPNMSQL >cds.KYUSt_chr1.19241 pep primary_assembly:MPB_Lper_Kyuss_1697:1:112996362:112996613:1 gene:KYUSg_chr1.19241 transcript:KYUSt_chr1.19241 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGAAPAAAAAQENKEAAPAPATSCFKGTVAEDATFVEVAKEQYRQFKEAPMEEHWSCIKNKVLSVFGGPKDNKASSVESQ >cds.KYUSt_chr3.30774 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193103627:193113990:1 gene:KYUSg_chr3.30774 transcript:KYUSt_chr3.30774 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRYGLLRDDDAPGGCLPLPIIAAETALAAIDAAIAAAAFVQLARIHRHNQQHGWTRQKIFHLMIGLCNTVFLAYFISTIIATCQRWVCWVHGCGFVLMASPQILLLASFLLLLSFWVDLCHQTNDEDEDDVRSHHEALLDKTKTKPGTRPTDIRRKCFSGIQLGSRQKFVILVLVLSFAVMLTFAILIWLGRGENPIDSSVLKKVYLDVFSVVVLVLGGALACYGALLFSKMSKVRSETVSTEKWKVASLAAVSLICFSSSAILALVTNVPVLLYWYSTDADIINNAVILFLYYLIGSSVPSGFVLWVMRDMPQRPIVERPTQSRVVTLFRDRPPNTQDPQWRTAVTSSNKVSFVLNLERQ >cds.KYUSt_chr4.45440 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281238405:281240495:-1 gene:KYUSg_chr4.45440 transcript:KYUSt_chr4.45440 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAQSLSSSSHRKAILVPPLCSPARPPKANAPLGPGWTINHLPSPASICLLASVESEEATVAGSAQVVLCHAGGPPAAVRQEITGMDDLADFEFFEIILEDGSRKLRLPDKFASLLDGREPRELKLREAGGGRLLWDVEVVFDGEGHMYLGRGWDQFAREYDVQRGHFLVFSYHGDAVLAVKVFDGTMCRRHYKHDDDDDYDSVTIKEEDPASESSCESGSNNSIDSGGNNSIYTGSKNSIDSGGNYSIYTGSKNSTDSGSKNSSSPEMDVDDAPTSQFTVMMRQCHLGAKQNQYLNVPVEFQVAHGYAERSKVVLRMRGKSWTVNLKHNHRSVGNPRSSLRYGWHQFCVDNRLDVGDTCFFRALRGGGAGRGEDHVLKVEVRKRDGTFVH >cds.KYUSt_contig_528.645 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:3920932:3921952:-1 gene:KYUSg_contig_528.645 transcript:KYUSt_contig_528.645 gene_biotype:protein_coding transcript_biotype:protein_coding MLYICRPTFYRIYKNKSTQGFQSVPYVVALFSAMLWIYYALLKSDECLLITINSAGCFIETIYIVVYLTYAPKQAKLFTAKILLLLNVGVFGLILLLTLLLSEGEKRVVMLGWFCVGFSVTVFVAPLSVIVSTSASHQSITVPDPSAESLTCASLYMQRLVVRTQSVEFMPFSLSLSLTLSAVVWFLYGLLIKDKYVAVSNTKLRTLFYYFHLSNVSDERDTLNFQLPNILGFAFGVIQMVLYAIYRNATSRPATKEVDVRVSDNHVVNITKHGAVELSTKYPVEPPQPAMKEGINHKATHAEQV >cds.KYUSt_chr2.48034 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300303831:300307885:-1 gene:KYUSg_chr2.48034 transcript:KYUSt_chr2.48034 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRRRLHALAPPLPPAHAAFDLAEPESRLPLLADYARLKPVDVPAAPPSTHWSADSSAFTTSSTEPATASTATATVSSQAPPSTAAGGGGRNDTWVQRSREGYYLQLSFAVRITSEAFLAGVPPELLLHRLGPSEEPPEQPADAAAVSYRLWVNGCLPWGDKIAHGFYNIMGIDPHLWAMCNADEDQARRLPTLAALREVDASDQSSLEVLLVDKCGDSVLVDLERRALDLHRALGSTLDFVRRLAVLVSDQMGGALRSEDGDLYMRWKAVSKRLRKQQKSVVVPIGRLSIGFCRHRAILFKELADFVGLPCRIAQGCKYCSAPHRSSCLIKIDERRYTREYVVDLVVVPGSISNPDSSINGQLLSSVSSPFKTSCTAGLANYITPVATRNRPVDDDHCNSIFSNSQYSVAGDKNSIQAAAVEGAVSKCGQVMQNDNCNNMSVFQVSKQLKAMEVGAEILVNKENIPGAAIPKRLIVEPSFAMDWLEISWDELDLKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREISIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKAASGELLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKATTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELLTMQQPWSGLGPAQVVGAVAFQNRRLPIPQDTIPELAALVESCWTDDPRQRPPFSSIVDTLKKILKSMMGTGS >cds.KYUSt_chr6.26774 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169736833:169739532:-1 gene:KYUSg_chr6.26774 transcript:KYUSt_chr6.26774 gene_biotype:protein_coding transcript_biotype:protein_coding MKWFSCCKRSDGAQPGRKKKKKDTTWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAEKEFAVEVEVLARVRHKSLLSLRGYCAEGQERLIVYDYMQNLSLHSHLHGQHAAECHLSWERRMNIAIDSAEGIAYLHHHAIPHIIHRDVKASNVLLDANFQARVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKAKESCDVYSFGVLLLELASGKRPVEKINPTTKLTITEWALPFARDKKFKEMADPKLSDSFDEAEVKRMVVIGLACTQKTPERRPIMSEVVELLRGESAEKFSELENGDLFKLEQTNSGQRQPGSGISDAISEEKDSKEDAIEEAVESSETVPTAR >cds.KYUSt_chr2.37577 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232674336:232675572:1 gene:KYUSg_chr2.37577 transcript:KYUSt_chr2.37577 gene_biotype:protein_coding transcript_biotype:protein_coding MALHWSSGLALAVAAALAVSALFPAGATGHPLPPAAGLLFPQFYEHTCPQLQEVVGAIVAKEHAKDPRMAASLVRLHFHDCFVQGCDASVLLDADGSGRFQTEKRSNPNRDSLRGYEVIDEIKAALEHACPHTVSCADIVAVAARDSTVLTGGPGWEVPLGRRDSLTASLSGSNNLIPAPNDTLPTIAAKFHNQGLDIVDLVALSGAHTIGDSRCVSFRQRLYNQNNDGRPDPTLNPAYAAELRGRCPKSGGDQILFALDPATQFRFDNQYYKNILAMNGLLNSDEVLLTQSHETMELVKSYAASNELFFDHFAKSMVKMGNISPLTGHSGEIRKICRRINHH >cds.KYUSt_contig_817-2.167 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000077.1:1029204:1029566:1 gene:KYUSg_contig_817-2.167 transcript:KYUSt_contig_817-2.167 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSGRAAASAALLLLLLLATELGTTTVAGAGERTCKSQSHNFKGECTSTTNCASVCKTEGFPDGKCKSHYFVRKCFCIKDC >cds.KYUSt_chr2.26974 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165016544:165019578:1 gene:KYUSg_chr2.26974 transcript:KYUSt_chr2.26974 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSQFAMIEELASLIKDNLYSKHLVLSTEEALVTLLQHHYHDDNDDDEHDRDTTDTHRGTVGNTIELQPTSSYNRLLLHRLADIYGFAHESVGEGDDRHLVLQRCPETAIPPVLISDVLWNYDDCDGPSASVVLTRNETDVQRTYETEDVQDSISVESLHLKTDTAVPLKERQAAYRAARERIFSTNDAKEKKDSSGLKSRHVPAVAQRMIAHALGKRVEDSKERADMVKNKEKELINGRNIQTGRHAGVLRIGMRLIEVVLLLGSA >cds.KYUSt_chr5.14960 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96668555:96675478:1 gene:KYUSg_chr5.14960 transcript:KYUSt_chr5.14960 gene_biotype:protein_coding transcript_biotype:protein_coding MDILIWIPGALDLDEFLEFIVGSSKDDAEADEQPLDLKPLKSLSPMFPTPPGYDVSSVSADPPVVYITPIFRSCSSSEHPRPDPASSAQESPISTNPPNVTPISSKFPTPQPVSTIPLNVTPISAKFPTPRHKPASTIPLKVTPISVKFPTQRHEDESSDEGSDEDYNPCYNKRKPPSLKRATKKARRAADSNATDIEQRPVRRSLSKELVCWRSSSKSPRELVEATMNMFDSLRRYTLQLADNEDSSKRADLKASVLMNQNNLRINDMKIIGPVPGVEIGDIFFYRMEMNILGLHVPIMGGVDYLPATQVGKDASLAVNIISSGGYENDENDTDILVYTGHGGNSRYKKHDQKLMRGNLALKNSAKKKNQIRVVRGVDDPFINSGKVYIYDGIYRIEDSWMDTAANGFSVFKYKLRREPGQPDGISVWKMTEKWKANPATREKAITLDLSSEVENLPVCLVNDVGDEKRPSHFEYVTGVKYLRSLSRNKPVQNCKCPSMCLPGDTNCSCVQQNGGGLPYSSSGVLAKHVPMLYECSSDCHCSQECRNRVAQKGVKLNLEVFSTGDRGWGLRSWDPIRAGTFICEYAGEVIDETNMNTNIEEDDYIFRPSFPNDKALRYNLGAELFEEASTDATAENFKQFPIVINAKEAGNVARFINHSCSPNLLWQAVQYDHGDDNYPHIMFFAMKHIPPMTELTYDYGVRGAPPGFKGKFPQACKLRACLCGSTKCRGSNWGPLVCETNVITNYTNKPVQNCKCPSMCLPGDTNCSCVQQNGGGLPYSSSGVLAKHVPMLYECSSDCHCSQECRNRVAQKGVKLNLEVFSTGDRGWGLRSWDPIRAGTFICEYAGEVIDETNMNTNIEEDDYIFRPSFPNDKALRYNLGAELFEEASTDATAENFKQFPIVINAKEAGNVARFINHSCSPNLLWQAVQYDHGDDNYPHIMFFAMKHIPPMTELTYDYGVRGAPPGFKGKFPQACKLRACLCGSTKCRGYYAGMVYRGGLAKCFARE >cds.KYUSt_contig_402.35 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:145496:148897:1 gene:KYUSg_contig_402.35 transcript:KYUSt_contig_402.35 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEPKKNSEVRIFESSDEIATDLAEYISQVSEISVKERGYFAIALSGGPLVSFLSHVYSINDNATVEDAATDYEFVIRQLVKARTIGVSESTDCPKFDLILLGMGSDGHVASLFPNHQALELKDDWVTYITDSPQPPPERITFTLPVINSASNIAILATGVDKATAVQLAVYDSSDGPDTPTSLPSRMVQPTDGKLVWFLDKAAASSLELSDGAYEHHREY >cds.KYUSt_chr2.14332 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90693026:90698116:1 gene:KYUSg_chr2.14332 transcript:KYUSt_chr2.14332 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFCLSGTTALGKRYYRSEFEFPQIWSKDAERYYHSRYRSRYGNRVQTLLDSKRYQGGTRAALLGSLFLFLKHEDVIFLFLNPEEIQHIIESFNFIVSSSTSLNPMEVEEDLGLVASTSFDPVADVLIKPLPIDEEKEEHKWKEEEQKQKIQEQNREEEEERAEIEAARKECLKLVLSRMEFLRKIGSPASLLPLMNIKNLDYRKLLNRKSNCDKIDWGNGPVRKEILLDKEKALWNAAMEYRKAQNMDSEYDLIPSHMTLFPIMSTKCMWYHCNLVGCKRETPLKGVQQYFFIEINITGVKTVTSCIALDEDDDNTCNACPSHIGILHPRKGGFTYGSKEGPSKEDFGATPAR >cds.KYUSt_contig_605.2240 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:9274624:9284907:1 gene:KYUSg_contig_605.2240 transcript:KYUSt_contig_605.2240 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASSFAAVFISSAIASCFAELCTIPLDTAKVRLQLQKKTAAGPTPTGGMLGTMMSIAREEGITALWKGIVPGLHRQCIYGGLRVGLYEPVKTLFVFVGEATLLNKIFAALTTGVIAISVANPTDLVKVRLQADGKSTAGKRHYSGALNAYATIIKQEGIRALWTGLGPNMARNALINAAELASYDQFKQMFLSLPGFTDNVYTHLLAGLGAGIFAVCIGSPLDVMKSRMMGDSSYRSTIDCFTKTLKNDGVAAFYKGFVANFCRVGSWNVIMFLTMEQIAAAASTRSLPEQEAAVGDATASRRFLIHSNVAAHIANDRRSSDELADPLPPSPMEPVNTKFYQLGNGGSLIFEHDLNALSDHLGRPHPEFHGSQITDQPGGELQWIITADLRGKMEPPTSERILFSFMESNWLDGLARALQEGLARLCGMSGEALKDPRFSHLARRNSAGEPMDMSSHPELKHHVEHLDFMLYHTQQDLDHSREYANQTHARIIEQGDAIKMLANDRRTLRQQRAKKDATITRLRAKIAALEATVKAQEEQMKKMEEDGEDIQGGSNYLSDDNDFEEDENTEGEDYEFLDDEDDDHTPIDVDEDEE >cds.KYUSt_chr4.4121 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23607900:23615028:1 gene:KYUSg_chr4.4121 transcript:KYUSt_chr4.4121 gene_biotype:protein_coding transcript_biotype:protein_coding MENDPGDGDDLGSGWFEVKKKHRSSSKFTLQRSSGSSIHKTPNSSSWSQANFTSDSSRWYDRLQYPPQSTSNNVSVDELSIRETTKVHREECVDVGASNLTNGSSVSASQDVVENSEELQLAQETSDPPKTGMIDRRDPSVPHESPNCSSGLAKPIERSDHVKGSPKAEPVGVLSNSSVKFGNFDEVPGITIPSDAFTDSNSSIKYMDDEDATQFVNESRDESNLEDEMNPVQNVDTSPTMIHAVEAPTENKRNPLDICEIPDCPIDASGPTTLADPVSLSSSNNDLEVPVTSSSVASTESQTLLHDHAMVPVDFGGEIAEGKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESMLVLDEAISDFRELKSRAEHFDNTKKSTGLPKEEMPMAVKADHRRPHSLSWEVRRMTSSPHRQEILSSSLEAFQRIQLELARKQAGISAESFSSSSSGEVSGISAKLTTASATVGNISLKVESQVKLPDSSEKKVTEERQSKEAFKPGRSFPPSMPASSGSRRGALEPISEITKHALKDRILPDNKSDRLKSTDIVKRSTSHLEKEKQNTAQWKSMDAWKEKRNWEDILKSPVRSSRASHSPGVGRRVTERGRVLHDKLMSPEKKKRSAFDTKKEAEEKHARALRIRSQLESERVQRLQRTTEKLSRVNELHAVRSSKLREVMNARHQRGESRHEAHLAQVAKRAGDESIKVSEVRFITSLNDETKKFLLRQKLHDSEMRRAEKLHVIKTKQKEDSAREEAVLERRKFLEAEKMQRLAEIQRKKEEAIVRREEERKASSAAREAKTAEQQRRKEIRAKLQQEEAELLAQKLAEKLRESEQRRKYYLEQIRERASMDFRDQTSPFQRRFPSKDSQNRSASANSGEDSQIVGNASSAESMVKSSNATQMKRRIKKIRQRLMALKHEFVEPAIGENTGIAHRAALGAAKGKLSRWLQDLQRLRQARKEGAASIGLIVGDMTKFLEGKDLELHATRLVGLLDFIGSALPASHTSRPVACPVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSASLENYIKVAASNSGSLNLPSSKTSTENMETVGEVLDGFLWTVTVIVGHLYVDDEQLQMQEGLIELIVAYQTIHRLRDLFALYDRPQVEGSPLPSTILFGLNLLTVLTSKPGNFSAIDWESCKCRIPAGNLAHECEYLSSLDLRFGNQLMTRDESGDAKLPSTSCDLPKCDDCGFSVLVEDNKSAEQHECSVLGDRRSLDEARKDLLGFSSGSKNSKSTSEIQTSHLGDTLDQHSELFARRDENNTVDGRLEGRNMNNKCTAMNGSPGKGNEINLKQPAVLVLSAMAETGLVSLPSLLTAVLLQANNRSSSDQASAVLPSNFEEVATGVLKVLNNVACLDITLLQCMLARSDLKMEFFHLISFLLSHCMNNWRVPNDQVGLLLLESILLLGYFSLFHAGNQAVLRWGKSPTILHKVCDLPFVFFSDPDLMPILAAALTAVCYGCDQNRSVVLQEISSDMLRSLLRSCRASGLASDSVAVDGSGANNSGDSISPDTRNSQSDISIRSSRKEQKNSSAASRNENIGYHKLTKQTITRSYAAFVFLRRGTNVP >cds.KYUSt_chr6.20334 pep primary_assembly:MPB_Lper_Kyuss_1697:6:128111929:128113536:1 gene:KYUSg_chr6.20334 transcript:KYUSt_chr6.20334 gene_biotype:protein_coding transcript_biotype:protein_coding MWERICRSIGSHMESNPTLEGMRQIITLSYNHLPHHLKRCMMYLSLFPEDFVISKDRLLNRWIAEGLVEEKRGLTLVEVAEGYLDELMSRSMIELATPITNFVDGGVKTCRVHDMMLEVMVSKSLEANFVSLVGGQYEGMSYDRIRRLSVHSGGHGRKGKGTEEMNLQHVRSLGMFEPDGQLLSRLDDFTLLRVLDLEDCKGLENKHIGDICQMHLLRFLSIRGTNISVIPQKVGELEHLQTLDVRDTCLEDLPETVTKLENLERLLFSNKNRWLTMWKAPLGISRMKELREVSKVLITDNVDVARQIGDLEHLRGMFVYLDDTSEHYETVREELARSLCKVYSLRWLNVGEVGNNHYTLDYLMDLPSPPQLLRYLRFAGGLSKLPDWVGSLTYLSEFCMSWGRLRDDQLFSVLCKAPNLKSILLQECFYRDDELVARTSHNFPALEEMRVTCYTAFPRVIRFEQGSMEKLKTLEVNFGDHERSILGIEHLRNLKEVQLAGNKYKSALNITVNRLEEEIDSRSEDNQFVVRVRYY >cds.KYUSt_chr1.33468 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203460197:203466657:-1 gene:KYUSg_chr1.33468 transcript:KYUSt_chr1.33468 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFFGDVKPPNYWWFVKGTEGWTGLLMLVLMAIAFTLATPWFRRGKLRLSKPKPLHASANTPRNRLTHCVDASLNSLTGFVNALLKRLTGFNAFWYTHHLFVVVYALLLVHGHFLYLANKWQKKTTWMYLAVPIIIYACERLVRTLRSRVRTVKKLKVAVHPDPASILSLHFSKPQGFSYTSGQYIFIKCAAVSPFQWHPFSIMSAPEDDYISVHIKTLGDWTKELKNVFLKVCPPPTEGKTEILRAEYDRDGAISNPSFPKVLIDGPYGAPAQDYKQYDVVLLVGLGIGATPMISIIKDIINNMKRLNGDIESGDPGGVGASASFRTRQAYFYWVTREQGSFEWFRGVLDEVAAADEKGVIELHNHCSSVYEDGDARSALIAMLQSLNFAKHGVDVVAGTRVKTHFGRPDWRKVYKRIALRHVGQRVGVFYCGEAVLTEKLRNLAKDFSTDTNTKFEFHSENF >cds.KYUSt_chr7.17256 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106931145:106933925:-1 gene:KYUSg_chr7.17256 transcript:KYUSt_chr7.17256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain (PHD) transcriptional regulator, Flowering promote [Source: Projected from Oryza sativa (Os08g0105000)] MPYQDGAGAARTPAPRKRRASGELPSSELVVTYKRRRTATRGASSDPGTPCATMVACSGNSCQDGQQMLASHWTTWRDTLEGFLESPGVNKGGGIQSCIRDALRYNCCQPVQQVSANALLMHQLATFVTGFFTDGKFLCDYQCILGEGQRASAADAKEIGSSVALKDATEASFDANTAMCNNALLDILVSEKFALLCDLLVKTFHVNKVHQVIDLVKIDTNMRNGNYAQQPELFNDDIQQIWEKFEQAGREMSILASNLPVISRASYQKQASGVSEVEFPAEHRIEETSLVGAIHKIPKDSNTTMQFSPCDSGHSTMPKPTAKCGLGRVCTCKQCGTSAEEEKSLICDGCDTTYHFDCVKRLHPAMKQIPATWHCPDCSNKGKGLASGTKNNNAHHDSLHGDCPLCDKLEVVEKTEPPEVASGIEVAEEREGSSVPSVEEDNEPDLYTTALSKLCKHCGTCEDDDKQFIVCGHPYCPYKFYHIRCLRTSQIAFGKQNNLDCWYCPSCLCRGCFKNKDDEEIALCDGCDEAYHIYCMTPKRTCVPKGHWYCPQCSVRRAREGLQKYEKSVLKKHKSLAERASQSDA >cds.KYUSt_chr2.54687 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341173155:341174111:-1 gene:KYUSg_chr2.54687 transcript:KYUSt_chr2.54687 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVPNWRLTGQGLQLGSAKEVARELDVVLKGSYVDAVDGPSGFHELHGSEDHVIVHNAAAAKDFIKFANFQRFADVCMVGEAQRNGGTLVVVIMPYSDLNSCRQLQELDPAAAHYGYARIELANSDMLLWKHRASNALKDEVAKCGTLNTRLDGVVRELFPANKYRKHALPLGEDRRIAKERLLKAHLDAKKYGDAHQLMLLIDRPSHDAIFRAAGCYDPCLRSTTNTAPRKLQSAANLEAYKVQLQGRLGTTVLHAYPEQSDVLNLEAQYKYKRDMADARRQIQDIIDTAQEEITRISQTLLAMGDQPSLLGCGI >cds.KYUSt_chr7.13415 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82757921:82763543:1 gene:KYUSg_chr7.13415 transcript:KYUSt_chr7.13415 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAHAVEPRAATAASPPPVAAAPAAPAAAPVAGPCEPPRKAGPVTMEHVLLALHESEAEREARIQDMFGFFDTSGRGQLDYAQIEAGLAAMQVPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLMLYPNEATIENIYHHWERVCLVDIGEQAAIPEGLSKHVSASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVAHAVKDIFIRGGLRGFFRGNGLNVVKVAPESAIRFYAYETLKEYIMKSKGENKSAVGASERLVAGGLAGAVAQTAIYPIDLVKTRLQTFSCESGKVPSLGALSRDIWKHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDASRTYIIKDSEPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQQGNSEAAYKGMSDVFWRTLKHEGVSGFYKGILPNLLKVVPAASITYMVYEAMKKNLSLD >cds.KYUSt_scaffold_6468.915 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4410736:4410990:-1 gene:KYUSg_scaffold_6468.915 transcript:KYUSt_scaffold_6468.915 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVEFLDVDKCECKYTIECDGVETSTWNIKMKPMANGGSVATVECTSKGMEAKDMMLKAKDSAAEIFKTVEAYLIANPDAYN >cds.KYUSt_contig_2640.49 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000431.1:289087:290820:1 gene:KYUSg_contig_2640.49 transcript:KYUSt_contig_2640.49 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPSCLTWPRQHTETSQPRWGDYSVQGLNIKVVAAVPDKDALPGGDGRRVRIPPYFWGEGPVARLASRFGRKGKTRKGGGFPVHAGYSEARALVGKGTVADLRRLSLEAEDLVAEMFASIDGGGQHKDERFGAEAARPRVVQLRLSPELALWKSMQHAIDNVLPRKGAGLTQASPRAIALLGAGDWPEAMTTTNALFGCGIASLLIGAADARTMFSNYVTDMAFYYEHGYNHVFPSLHRLLREGIADAHARRTLGGRQRREAVAAGVRYIQAKIALEAAHRTRLKDAAARMDRRTAQVVSLSESSLLGMAAEATARGFDAGAVMSDLVFSSPGTDVVDVGCDLVNSEVMNSFLNVADITASGVVSEPALRAIYDAYAATGARMLTQRWHEPVARMCAALYTWHIQNDRHMFLRRVVLGWPKARKSPARPQREADFDEVFDADFRTTGFSRPLDPAYACDGGDTCNHVRRFLDHYQDEDLLGALWSSLVTGPLEYARRGEVDEQREQYLAESSRLLMAQLFSKGLVVHMVWLIAHANHHAWQVNYLFEAAMFGSILDGGALIGKLDRAEGEEEAQGQ >cds.KYUSt_chr1.30645 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185535870:185550897:1 gene:KYUSg_chr1.30645 transcript:KYUSt_chr1.30645 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGHRCSSWIARPITRAGQTPDVSILPSIRDQGTSSLSLGCKLLAALKKLLLEAQSELLGVTVRRSFGRHQLRNLDLYVMLGSLSLSLNCSIFATNVDYTAAAMGIDADIDMADLASLDGPASSAAAAAPSTRFAPNIKGKPKAKPKPKPKAKPKPEPEPVPAPEPVQEDEPRAAAAPLPEDSVDAMETDVVGAGVGADEMDHEVEDEDFVVREIDVYFTPKPFDEDTMLYIMQYPLRPCWRPYELNEICKEVRVKPRSSEVEVDLDIDTNSDNYDPEVSASARLTKQTLSSSKAADVTDYAVGVLRGNLVYLNHIDAVMQLRPSMSHLNSGGSHTRQPLQRVETNVRTGGPAAPSVKGNERSDGSKDSAEESEPWISLTYEPAGSHIANKYDTEMIANDSRPIDFTMSTSDYALSLCPGGPTGSKNMNRCHALREMLLLPLEERLKKWFSEVSQVNRFDALMHLAPTYSEEEVLKILPVYADLAVVRDLRHLAVKYASDRKDGARFQALSNAAKTCVSLPRKELEASIKVVAVSVHDVYIQRTEEKGTMRYCKFENGTEYQFDNDKSSELINLLSPCRNVLIRLFRDKEPNATLKKQEILDNAVRLLKRKVSDKEYHQVWS >cds.KYUSt_chr6.14883 pep primary_assembly:MPB_Lper_Kyuss_1697:6:93145517:93148226:1 gene:KYUSg_chr6.14883 transcript:KYUSt_chr6.14883 gene_biotype:protein_coding transcript_biotype:protein_coding MISVYPDDLTFLFELDKPCYCNLKVVNNSEYHVAFKVKTTSPRKYFVRPNASVVQPWDSCTITITLQAQKEYPPDMQSKDKFLIQSTKVAASTDMDEIPPDTFNKDGDKLIEEMKLKVVYTLPSGSSEDSGVTSSGNRSFRQGNDDLSMLKNASLDEIQAIQRLKEERDNNLQQNQQMQRELDVLRRRRSRKADAGFSITFAAFAGIIGILVGLLMSLIFSSPPDAA >cds.KYUSt_chr3.23034 pep primary_assembly:MPB_Lper_Kyuss_1697:3:142575806:142580838:1 gene:KYUSg_chr3.23034 transcript:KYUSt_chr3.23034 gene_biotype:protein_coding transcript_biotype:protein_coding METEDKTKINLETEETKERDKGSPRVSMEKEEKLFHDYRRKWETSWSSKFGRCGRFEDTTLLSPMHFTYCAPGRIPYAASTGRTLQFYSIKVAEIKKALGLTWPLDVYGVVAARDNVDRNRNIIFLRGRDDCQTLTQKEGDKANEMGGAVVKKGCGGQFGLGGLAGSTTPEASDQGCNICKDKRSHELRSEKQEVAKLSEDTFHKVVGTVKKDLRAKKPP >cds.KYUSt_chr5.39949 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252467165:252476827:1 gene:KYUSg_chr5.39949 transcript:KYUSt_chr5.39949 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLEELEKATNNFDQARRVGGGGHGTVYKGILSDLHVVAIKKSNIVVKREIDEFINEVAILSQINHRNIVKLHGCCLEIQVPLLAYEFISNGTLSDHLHTEEPRSLAWKDRLRITNEIAKALAYLHSAVSVPVIHRDIKPSNILLDEALTAKVSDFGASRFHVGAGIPGVAPHYISPPSTFSVLLGSYWFHVGAGIPGVAPHYIPPPSTFNVLLGSSCFQGSREEYDATRSRRVKALSLGMPRWFTPAYSKKTQASKLGDAQGIPFFIDNIIRFLP >cds.KYUSt_chr3.9983 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58780260:58780490:1 gene:KYUSg_chr3.9983 transcript:KYUSt_chr3.9983 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRKAEDEPDVSDSDDDEIMSDEVFEAMKAESRARTDKEYSEMFARLGLRQDAGLRYSDDSSSDDDDDSDEDDE >cds.KYUSt_chr4.38726 pep primary_assembly:MPB_Lper_Kyuss_1697:4:239066304:239073955:-1 gene:KYUSg_chr4.38726 transcript:KYUSt_chr4.38726 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAAAAALRSTAAALLTRSRSRSRPHPLPSSLRRSSLPLARRRRHSYSSTSTALDDPPATTAPPNVPPSPALPPPAEQGGGRKAVRRRMHNSPEGLLMHKLDICSRDADLPTALALYDAALHPATAIPLSLNHYNRLLYLCSTAAAAESADAARRGFEIFARMEAQGVEPNEATLTSVARLAAARRDPATAFSLVRRVAAAGTPPRLRTYGPALFAYCDAGDADGAGQVEAHMDAAGVVPEETELAALLRVNANKGKAGEVYRVLHRTRSLVRQVCETTAEVVEAWFRSDAAAAAGVEKWDAGKVREGVVKGGGGWHGQGWLGKGQWDVGRSEMDKNGKCQRCGEKLVCIDIDPSEAETFAKLLTKLACKREEARKNFPRFQEVLPPIICFLLDKQRQGWAKPRERSDWRSGKWEQRPPPVLCHFTGEECCHWAPRLIETHDRAVVMSSVLIQHVILLHTLPLGLRTWFLQKWLRRHGPFDAVIDAANVGLYNNKTFNFSQVNSVVNGIQRITKSKKLPLIVLHSSRVNGGPAKLPQNQKLLEGWRNAGALYPTPPGSNDDWYWLYAAVSCRSLLVTNDEMRDHLFQLLGTSFFPRWKEKHQESETGSWHVPTTTGDDIENPRQWVCATRKSSVVCATTKTSEESSARPKARLSEMGW >cds.KYUSt_contig_444.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000041.1:75823:77100:1 gene:KYUSg_contig_444.11 transcript:KYUSt_contig_444.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASAWAKPGSWALAAEEQDDLPPPPPPVPSSDFPDLATAATTKVPKKKKAQPVSLASFNSGKFVPSSSSSSRGPNPDMLISLPTGPRERTEEELGGARWGNVVRGSDEPRRGGSGTEDYGPSRADEADDWGAKKPMERRERMGGFGGDSSMSSRADDVDDWVSTKKTAPSLPMERRERSIAFGSDSQGRADDSTSWVSNKSYSAPPPAPLDGRRGGSVWGFDRDVAQDADSWTKRREEVSIGGGISGARPRLVLQKRTLPAAIVNDGEKNEDKKDDDKKAEDEVEEKGELQTKSRTSNPFGAARPREEVLAAKGENLPKEQDKEEAKLVIQPKVRSLNPFGAARPREEVLAAKGEDWRKIDEKLDAMKVREAPPERRSSVRRGSPVPGEENGNGEVPDSRADSAWKKPAPVEATVQSEQGSDN >cds.KYUSt_chr3.5358 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30490057:30490656:1 gene:KYUSg_chr3.5358 transcript:KYUSt_chr3.5358 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRLSRCPHSRDNLPAPHDGTRRQAPPRPPPRAAALEAKEPTKPASLRSASRMHAAGPPHFRTHAPPAPAATVTSPTRRREAGPVQIREDRRGLRPRAAHRPMVRSHGVLPPSSSGQQGDEPTRGQQQRETHQLGPRPRNAAAKKLIHCEGRRRRVGAAGRRLKEAERARRRRRPTGGRWRRRRGQAQWVASLKRKT >cds.KYUSt_chr4.50249 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311271849:311273489:1 gene:KYUSg_chr4.50249 transcript:KYUSt_chr4.50249 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDADAVPVAVAPAKRPSINKYAFACALLASMNSVLLGYGTCISTSNYHHVRTCMFITCVARAIDLADISVMSGAQLFMKEDLKITDTQIEILAGVISIYSLFGSLAAGLTSDWLGRRYTMVLAAAIFFTGALVMGLAPNYAFLMAGRFVAGIGVGYALMIAPVYTAEVAPTSSRGFLTTFPEVFNNFGILLGYVSNFAFARLPVHQSWRAMFLVGAVPPVFLGFAVLAMPESPRWLVMRGRIEDARRVLRRTSDSHEEAEERLLDIKRVVGIPADATDADDVVAIVRANEAARGQGVWRELLINPSRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFEAAGIKSKTNSLGASMAVGACKTFFIPIATLLLDRIGRRPLLLASGGGMAIFLFTLATSLLMLDRRPESEAKALGAVSIAAMLSFVASFASGLGPVAWVYCSEIYPLRLRAQAAAIGTGLNRLMGGATTMSFLSLTHTITIAGAFYLYACIAAAGWVFMYFFLPETMGRSLEDTGKLFGKDTDDDVDGVVRHERKKSTELIGAPQ >cds.KYUSt_chr4.41583 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257295920:257296981:-1 gene:KYUSg_chr4.41583 transcript:KYUSt_chr4.41583 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAATTATALTEIRSAVDLLHGRVSVMDTTQQSLVAQLNLIAVAVQEGAKANADAVRHLASLDQRVNNTSHALKMIQYPSPEEDDPDPVDSMVAGKGALHTTAATWTGNAPGASSAAHKLSSLHAGMEGNMTQGGGGLGGADGSVLEGVGGGGLGGTGSSVLGGVGGSGLGGVGGLARIQQGVTMAPSKPSPMQSLEAMGEAKATMEARLTAITASLNESRRLLASCGRPLDVKKQEEQAATRQLFSATTMSLSTPDQARKQPEIKHLPPLLISLHQVVGTGAPKVAAVVASPMPSRCSTKSPRHYINNGHAELMFAPAVKLSSPSSSLMAVIPGLHNRVVDVLPPETLVV >cds.KYUSt_chr7.33420 pep primary_assembly:MPB_Lper_Kyuss_1697:7:208584201:208585283:1 gene:KYUSg_chr7.33420 transcript:KYUSt_chr7.33420 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRKLISPFLSTSHLPALSQTSPILPLYRLLATTASIPPEPFPVEDYLVNACGLTRPKAHKASKKLSHFRSPSRIDAVLAFLSALGISRSGIASVVAADPQLLCADVENNLAKRVVELTDLGLTRPQIARLIPLARGSFRTSSLASNLAFWLPVFGSFENIIKVIKANSGLLATDLEKVAKPNLALLQQCGINVREDFSAYIIRVLTRHPVHVQNALLNIEKLGVPRNSPMFRYALMSFAAQSKERLANKMGILEMLGWSQDDVLIAVRKMPGILAMSEERLRKNVNFLISDAGLEIPYIARRPVLVMYSHEGRVLPRHSLLKILNARGLLDAKTDFYTIAAMTEKKFFGQVCAPLQG >cds.KYUSt_chr2.49670 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310760687:310761235:-1 gene:KYUSg_chr2.49670 transcript:KYUSt_chr2.49670 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPLVCYCNALPRPVAAVFKFLHATALAVVLVLCLLGLYEFPYVPEDHAPLINGRRHRPDRDDTPPETVKQRLPTVEFVVHLAERSSQLSTSKSTATSAGLNDDDHDGQGSAEQASTCSVCLERLEPTDLVRRLGNCAHAFHTGCIDRWIDVGEMTCPLCRSNLLPRQRGGLLARARRLAT >cds.KYUSt_chr7.39110 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243383496:243385365:1 gene:KYUSg_chr7.39110 transcript:KYUSt_chr7.39110 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSVHLEQKLMASSATKSVLAVVLLVLAVAQPSRADTACFTRLFSFGDSLTDTGNFRFVFPNDTLAPGLSFPYGETFFNHPTGRCSNGRLIVDFIASALGLPFVTPYWSGKSVEDFVHGANFAVAGATAMGPEFFWDRGYSAADAETVHLDTQMSWFRDLLHRLCPSDLSASSTHGNDNPHGNI >cds.KYUSt_chr4.33080 pep primary_assembly:MPB_Lper_Kyuss_1697:4:202762570:202767918:1 gene:KYUSg_chr4.33080 transcript:KYUSt_chr4.33080 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAHGNVGTPYSSAKSPHSHSDCRRRRQCLGSRVPSPTPSSPTAKTPAPLLHTPSELTDALANRFQGIASFLAAPTPGGSDASRRAPNPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEEDWSGEEAAGVTEDVVVFARDAAMRPELWLDFPFLPDDADSDDFDMTDAQQDHALAVESVAPELADLRIELCPGHISEGCFWKIYFVLLHPKLAKEAAELLSTSQVLEAREQLSQNFQYQTKLESNEDTVAPTISNADGTVATPVEVVPSLKDQDASARATSFGNVDYGILETIHLDDAPSKDIIGDAGAVSSDNISSSVCVQLVPVLRDATELSQSEIEESIHALSEEDATEFAQSRIEESTPDFTAEDAEADEETVTLVDSFPSKEDQRKQPVSDLWEGSRVIIQKADKDDTDDDGDEWLEEDTGGSGSTPIQIADDDEDVSFSDLEEDDDAA >cds.KYUSt_chr5.3975 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25668731:25675253:1 gene:KYUSg_chr5.3975 transcript:KYUSt_chr5.3975 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSMVLPPQPAGHLEAADVTESFIHKLQLSVSRGLPHAAPVPSRRTEEHELVKSVFQVLQGFETLLLCWDKNVPAYHEKAGTYMSHLSRASLGSVLKPFLFAATCLKRVELFVARVRSCGHGTPTLNAFASAVDSWLTRLREAALKEEEKLFLSVDRTVTLLGLTDSLASLCSGAEHFEVAVHVVNHIFKKLNTICLVEDGQGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPYEEMFFYANSAVTIDQPAFWEMSYMLKVRGPQLDNSSTLTDNESIRAIESSKQGPTNTGAFLKASNQGHMDIICPVFLKDMARAIVSAGKSFQLVQHVQSTHHVRSNGGTNGFNVDQCSNHASRQNWPDILLSTAQFGHDARELGLLTLSEIFLICLSGLLENGDHVYEDLRKLRTCSAPDVKAFLERKGDTQGTEEPCSESSSEKTWLKLLRDAIAGREYDGMLKTLSKDAVTRDPTFVHGYLNDACSNTVESPFSPCCYENPAITACEDVLRKNPNSWSDLNISKTFDLPPLNDDNMRRAIFGDLQSAGTSTCGDTEPTPSFPRLDGTDFKFGFQFDNLEYFRQEDDRRTLEELYAFPTLLPCANENVPLSEILPLQKDSTLASRVLKFIQSMSMKDPLHPVGIVQECLSKCIKKQVDHIGKQILCKLMGEWRLMDELLVLRAIYLLGSGDMLQQFLITIFDKLDKGSSWDDDFELNTLLQESIRYSADKMLLTAPDSLVVSLAKHDARHEEESASISRKGRAQGFGIDALDVLNFTYKVSWPLDLIANTESLKKYNKVMGFLLKVKRAKFVLDETRKWMWKGRGSTAPNFKQHLIVGQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMDVHESYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNVEQTLGSGGTAPAVRARCEMELDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDTGSFSAIPGSRPRQQP >cds.KYUSt_chr6.4322 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25005686:25007499:-1 gene:KYUSg_chr6.4322 transcript:KYUSt_chr6.4322 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQCTAYVSKFKQKHGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRRIRRGRKSGQPEEEMAAKEQAAQEQRAQMEQQISQHQQQQTRMMQARCNKEAADDAAASSTDELAHEPHGSVFSTGESSSSTLLHVDAATAHSDPGDTYHRQQHEHHPEHEPRRHVAQACAPGKPAGAPGETFAVRAGEWAHGKEDMHGNDRAHGNVGAHGSLQDARQRSRARQTLERTATSARTANARAHGNEGAHGNEPLPCGLVRRTAMSSLPSRALPCRCCRASTHGKSFAGQIRAFAVRLVARQRSVFP >cds.KYUSt_chr1.36370 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221864255:221868129:1 gene:KYUSg_chr1.36370 transcript:KYUSt_chr1.36370 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGTCRRATWSSWPAARARQHRFRHFVSIDCGLPEQAGGYVDAATKLPYVPDGAFTDAGSNHNISAEYITPSYSKRYLNVRSFPGARRSCYTIPGPAPAGSKYLVRATFIYGNYDGLSRLPVFDLHLGVNFWTTVNITRPGTAQIAEVIAFVPGEYVQVCLVDTGSGTPFISGLDLRPVTNKLYAQANATQALVLVERTNFGVSDLSLVRYPEDPYDRVWIPWSDPEAWTEISTPEKVLGSADLRFHVPSAVMQTAIAPRNGSTTIELPWSAVPNHAYPEPGLIGIVFFAELEVVAGDALRQFEMTINTVLWSKAPYTPKYLISDIFFNSEPHQVSSGQYNFSLNATTNSTLPPIINAAEVFTVVSTANLATDSKDVEAMSAIKAKYQVKKNWAGDPCAPKNFVWDGLSCSYAISMPPRITRLNMSFGGLSGGIPSYFANLKEIKHLDLSYNNFTGSIPNSFSELPFLVVLDLTGNQLNGSIPSGLIKRIQDGSLSLRFGKNADLCIKGSSCQHTKEKSKSMLAVYIVVPILAVVVTGLLVVLLLLRKRKTQGTGSVKPQNEAGGAQSRSRNSDDGLLQLDNRRFTYRELEAITNNFKTVLGRGGFGCVYDGFLADGTQVAVKLRSQSSGQGEREFLTEAQTLTKIHHKNLVSMVGYCKDGEYMALVYEHMSEGNLEDKLRGKDHNARSLTWRQRIRIAVESARGLEYLHTSCSPAFVHRDVKTSNILLNANLEAKVADFGLLKAFSRDGDSQVSTARLVGTKGYIAPEYAVAMQLSVKSDVYSFGVVLLELITGQQPILQCPQPTNIIQWVRQRLAKGNIEEVVDARMLGNYDVNSVWKVADIALKCTAQDSTQRPTITDVVAQLQECLQLDEQHFI >cds.KYUSt_chr6.5817 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34932394:34932804:1 gene:KYUSg_chr6.5817 transcript:KYUSt_chr6.5817 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKLVPVGDCMAAASPYLLDLDGELYMVYIFFRNIDFDTVKDVGVYRLDFERKEAVRVDGVGDHAILVGSSRAFAGWCPASTFGLVPNSVYWMSTYDGCLHVYDLEHKTEEVREPCGGVAKSSPTSFWMIPAHT >cds.KYUSt_contig_402.387 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2405958:2407420:-1 gene:KYUSg_contig_402.387 transcript:KYUSt_contig_402.387 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGRVLGDVAETVTGAAQHQEAQSEAICGTVHKRVDFELQAGRAGSHGLQQPPVDPITATSAAATNTTTATAAAMTGAHALQGAAAAANGDQSRRRPTGLDLLPATLADLADGLRAIRFELAEIKAGQHPPAPPAAVRRRPHRPPPPPKQRAPAWWPPSPSPIPTWINASPVYTQTAARTTVQQPAHTLGSPGGFAAPFAASTSFNPGRRRAPPRSRLRHSEPPRFTRPAFATYDGATDPSNRPNRCERFFRGQLAVGRRRRSCCASAASAVARRTSSMKAKDGPEWMRRAAPTVGASAASSMSWRDRASASVLRRPGWYSPNLAASSLLT >cds.KYUSt_chr4.51285 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318097159:318097644:1 gene:KYUSg_chr4.51285 transcript:KYUSt_chr4.51285 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDGTDYLDVHHFVVTHISSCLHNRSDMGVLKVPSLLRLLFLMLLLLAPGSHAKTCKEASKTYTTVSCRDDPCAEACHKEGFTDGRCYLIDNYPIEKLCYCDKEC >cds.KYUSt_scaffold_3611.70 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:321126:322792:-1 gene:KYUSg_scaffold_3611.70 transcript:KYUSt_scaffold_3611.70 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLDLSWALQSIEHMTYAPRQYVKVVGINSSSVPTISTAAPVSSNTVSTILFRLFCCISTGKGSARLVQYGSRFLIPLSLVVVANRARRVPAHSRGGQGGDARAVEDGSGRGASVIAGRAAAREREQERDHEGKGRRQRDWNRAVVKEERN >cds.KYUSt_chr4.13786 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84913925:84914416:-1 gene:KYUSg_chr4.13786 transcript:KYUSt_chr4.13786 gene_biotype:protein_coding transcript_biotype:protein_coding MFATAARWAAKKGKPKMAPIELTTPPEQAQSITRTIFDVVREHGPLTISDVWEHVKDVGLRGLESKRQMKIMLRWMREKQKLRLICDHEGPHKQFLYTTWFTNPKNAPPQRPKRELPKP >cds.KYUSt_chr4.48912 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303031472:303033983:1 gene:KYUSg_chr4.48912 transcript:KYUSt_chr4.48912 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEDCTEAFRKIFSPSCRPTPLPSRDTAPAPWVLLEPLAYVADQTNATTAEASTSTGLTLRVTVCLTDPLGVSYVCVHCPDLNDTDYASRPSVVCSDKDFLLLSLLFLHAPQGREYFVYKAGPGRPSLRLIPGPYPLVLTASDFGLLPRDDGDHFVLAALCYSLTPWVYDLHVFSSRTWAWSTKVTQTEISSDVRTGVSIIIASKVIQLGAGTLGWVDLWRGIAFCNVLDEIPVLRFITLPMLMPGNKDGVKPAPWPLRNVAYSNGLIKFIEVEKHERPDVDETSYDDTCESDCLTKPKEIGWRAMTWYTTTSWDHWRKGCMAYDEEISVDYPRLSKMLPHVRDDVTRELTLKDLLASYPVLSFTCHCDDIVYMLCKLKSCSPKSWLITVDLKDKQQKVLEGPEKELVTKTCVLLQRPSSSSQNTTQAFLKRKHGWFLWLLKLLFHVLSPLQD >cds.KYUSt_contig_1253.1089 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:6847745:6848754:1 gene:KYUSg_contig_1253.1089 transcript:KYUSt_contig_1253.1089 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVGFILGHGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEDEEKIVVDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKIGIDPLTHKPLPDASPRHQPEQKLPGPEEKLPVPEEKTETVVDQRDEREEQIILTKSPGFCTDEVPMLRPDEMVVPLRDPPPPLAPPASSSTMCTAVSTPTTSYCSSSVSTGCEDDTLFPIAEWPDTAYLMGLDDDMIAAASASWEDCLVQPPLQPFAEDTFGAYMYQSSSSASFDQQEAIWNKLELF >cds.KYUSt_chr3.831 pep primary_assembly:MPB_Lper_Kyuss_1697:3:4244392:4257974:-1 gene:KYUSg_chr3.831 transcript:KYUSt_chr3.831 gene_biotype:protein_coding transcript_biotype:protein_coding MNRITTLERSDGSMCDDEESVNAEIQGFYDNLYTSQGAPVMEKLLNIVPEKLNEPARELLQEEYKEEEVRCALFQVHPSKASGVDGFTTGFFQRHWNIVRVVLGVLNGGEWLPYMNDTVIVLIPKGFLVGYGSKNGLSYMAFDSGVQHMKSIGHKSDSTTEMVSDLIDHLNHSWNLEEIEKNLFPIDAEIVRQIPLRNIEDEDWMAWALEKSGVYSSRPSSDQSSLGLPQPPHPLPPHHVAAAAAPPCLPLASLAASRRLCSPPRRPPSPLPSATPCRSHPVAAAPIRDCPVDDHLASAPVLHGHDRPVLDRAAAAPHSPAAGQLGAAKMPQVMVVARNFMDMVAALPASKLDMLYDSAFICEAVVPTAGKEVCASDAVRVGAGVLLRLLRSGSLDEYASKHKVAIDRLVQLRVFVEVRDRRKEVSYRMNNKFQANMQKYLVSGDNEAPKLSENGFRFTLMETNAQLWYMMREYISSAEERGVDPTELISFLLELSFHTLGDAYSLNTLTDVQRIAIRDLAELGLVKLQQGRKDSWFIPTKLATNLSSSLSDSSASKEGIVVVETNFRLYAYSASRLHCEILRLFSRVEYQLPNLIVGAITKESLYGAFDNGITAEQIIAFLQQNAHPRVIDKIPIVPENVTDQIRLWENDRNRVEMVLSHAYEDFPSKDMFEQCCDYARDNGFLLWEDAKRMRLIVRGEFHEEMRVFLQNERESSRKKKTRKKRKKTSCAGRSRLRPSPPTRRRHGREEAQVLGEAAQGKRRRSGAAKRHQAEEEASSSISAKILREALKQQHEEEGLPEQLPSDAPAAASFVPIPVDDEEDVDEFDGFDAQSEYDGGVPEIDEEDEKALAAFMSKDDTSSKQSLGDIILQKIRDKDATVSTGGHQSTLNNIPHSYLMLVNSSSMSIMAPT >cds.KYUSt_chr4.19654 pep primary_assembly:MPB_Lper_Kyuss_1697:4:123649174:123655343:-1 gene:KYUSg_chr4.19654 transcript:KYUSt_chr4.19654 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLLPIALRGLLPENVRVAIVKLCAFLNAISQKVINPEVLPRLQNDVIQCLVSFELVFPPSFFNIMTHLLVHLVDEISILGPVFLHNMFPFERFMGILKKYVRNRARPEGSIAKGYGNEEVIEFCVDFVPDLKPIGLPRSRHEGRLSGKGTIGRKSTICMDGHSLTEAHHTVLCMYRVRRFHWILLVIKVDKSECIVHDSLNMDKAEWADMRQMIQKVWRRFANTKVGEFKKELNFMRSKAKNGSDIQPPGTNLCGYYVSMVFFTNVLLPLLLLAVLSPRPALSYINPAARIWPADATDTSSSRTYIVLVQPPQSNASEDVHRRWHESFLPSTLTGGSSETRLLHSYTEVFSGFAARLTEAEVDAVAKKPGFVRAFPDRKLQLATTHTSEFLGLKNGTGIWSSADYGKGVIIGLLDSGIYAAHPSFDDHGVPPPPARWKGSCSASRCNNKLIGAKSFVGGDSGDTVGHGTHTSSTAAGNFVTGASYKGVGAGTAAGIAPAAHIAMYKVCSDENCDSSAILAGLDEAVKDGVDVVSVSLGGDARISFEQDPLALGAFRAVSKGIIVVCAAGNNGPTPISVTNDSPWVLTVAAGSMDRSFAASVYLGDGRRINGEALNQLATKTSSPKRYPLLYSEVEHSCQFAGDFGSVPGKIVVCLATNTREQVSQIQSVMDAGAAGVILYNLGDIGYTTIVRDYNSSVVQVTSADGAVLVDYYATSAKNNNTAPSASVAYNNTLLGVRPAPVVAFFSSRGPSGLAPGILKPDVLAPGLNVLAAWPPKTTDSASTGPFNVISGTSMATPHVSGVVALLKSLHPDWSPAAIKSAILTTSDTVDNTGGPIFDEQHGKANACARGAGHVNPAKAADPGLVYDITADEFAGYICWLQSTHANATSTPLDSSLPCATLPKITTEQLNYPTITVPLRPTTFTVNRTVTNVGPAESTYTAKVEAPSTLTVHVSPETLSFSKAGEKKTFSVSVSGHSVTGQDLIAEGSLSWVSEKHVVRSPVVAVVRIGSPPPAPGRTSTQR >cds.KYUSt_chr4.51837 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321872585:321873570:1 gene:KYUSg_chr4.51837 transcript:KYUSt_chr4.51837 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSTAASLTLPRRADRARGSSLRRRHCCVRPLAAASSSSSQPTATTSGDHSPSPRRQVLVAGAAAAAALVSRPTPAAFAEGTKGFLGVIDRKAGYSFVYPFGWQEVSVQGQDKVYKDVIEPLESVSINMIPTIKEDIRDLGPPDQVAEALVRKVLAPPTQKTKLIEAKQVIRFPPNKFANKCQGTH >cds.KYUSt_chr6.28186 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178648161:178650889:-1 gene:KYUSg_chr6.28186 transcript:KYUSt_chr6.28186 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFNKLQGCKKWDDLRHTLNKDGEDGPVDPADASTERPIGNKKAKTKRNAAPALAAMDASLEKIITSFSMENKGAADRAVVVWKAILDKQDMKIELERETVEAAKMEAHAAAMNATNEATQLSLAKMRWPCNHCAAGDGDLRPGHALEGRRGRRALAAGSGSGAVAWPVWACQTPNGLRPRALAVDLGSALNPGSASPPLRAEPNPDALNRDVLPFQLCRGLANTRRLSRRAPDRRRRVYGGFQRARRGPPRPPPAHVLLRERQRPPPQRGAVPSLQGFNEELYLAASTATNRAAAGCGPPWRHVALHAGDYGGRAPRRFVKPRACARGVGHLVGDGLPPKRVTG >cds.KYUSt_chr7.34033 pep primary_assembly:MPB_Lper_Kyuss_1697:7:212554287:212562047:-1 gene:KYUSg_chr7.34033 transcript:KYUSt_chr7.34033 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGGAFRGHFPVPAACRNRDSCPPDLGFAMAAALEENSNWPFGISLIVEELSIWLARAVQKGIKRGARLGRGEKVRAQHAAAMEDEEHEVYGQEIPVDGEDVDMGAGGDDAAKLHELDEMKRRLKEMEEEAAALREMQAKVAKEMQGGDPNATTSEAKEEMDSRSVFVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFVEAEAIQEAVKLSDSELHGRQIKVAPKRTNVPGLKQPRGGRGYNPYGGHPYMRPYPPPFFNPYGGYGRAPRFRRPRRPFY >cds.KYUSt_contig_1253.242 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1650874:1652436:1 gene:KYUSg_contig_1253.242 transcript:KYUSt_contig_1253.242 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQESSNGDGRRRPLGRRVLVFPLPFQGHINPMLQLADALHSRGLAVTVLHTRFNALDPALHPEFTFVAVPDGIPADVAASGSVISIILAMNAAMEEPGPVRDALASVLGETGKRTAACLFIDANLLAVQKAATALGLPTMVLRSASAACFSCFLAYPMLHQNGYLPPKESQLYTPVKELPPLRVRDLFISSSSNHEMMRKVLARATEAVMNSKALVINTFDALETAELERIRRELDVAVVLAVGPLHKLSTRSTGSSLLREDRSCIEWLDTQPTGSVLYVSFGSLTSMDAAELLEVAWGLANSGQPFLWVVRRDLVRGSHGSDLPEGFALAVEGRGKVIPWAPQQEVLAHPAVGGFWTHNGWNSTLESISEGIPMICRPQFADQMMNTRYVEAAWGVGFELEGELKRNKIEEAVRKLMKDAKGVVARERAHELKKKVESCLESGGSSLLAIDKLIGQILSL >cds.KYUSt_chr6.33700 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211474756:211477931:1 gene:KYUSg_chr6.33700 transcript:KYUSt_chr6.33700 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPRQPDLALPVSTTSSSSTSASSPPASWPRPPDAGCNSSSMDQFSPLGFWRATTDIAAGVANKYGSPSAATASYSNHSNHYHHRMNALLKPIRGVPIYHHHPFQLHQQYQQQHMAMTYRSNGGGGLLPSSRSSRFPPGRRSVRAPRMRWTTTLHARFVHAVELLGGHDRATPKSVLELMDVKDLTLAHVKSHLQMYRTIKSTDKPAILSGQNEGLDNGSAGEISDESLPEALSTHRGTDYNGTTANSHSSNSYNGGLWSNSSRVGWPGFPTNNAETGTSCHNEDAPSKSLEMSAEMNVSCISDQTSSPPGQPNLEFTLGRTRH >cds.KYUSt_chr3.6889 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39591711:39594325:1 gene:KYUSg_chr3.6889 transcript:KYUSt_chr3.6889 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGEKFQLGTVGALSLSVVSSVSIVICNKALMSALGFIFATTLTSWHLLVTFCSLHVALCLKFFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRYIQLSLSVLLFGVGVATVTDLQLNAMGSVLSLLAIVTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFVVGPFLDGFLTNKNVFAFDYTPQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVIGMGLYSFFCTRETKPTEASPQVTQVKEGESDPLISDSLSSAENGAATADDEPLKVPMWSSKYARA >cds.KYUSt_chr2.24166 pep primary_assembly:MPB_Lper_Kyuss_1697:2:147405798:147413011:-1 gene:KYUSg_chr2.24166 transcript:KYUSt_chr2.24166 gene_biotype:protein_coding transcript_biotype:protein_coding MICQAEIKPSEDIYCDVKKRPSLVCWSCGTQDMTNETDVDDRTEKSYTEAAAQKLASVSLGASDDEDTNREDNKSPPIGTDKGQAMEFQESLTSKQHETPPSGPSEDPLQLYPPGRILHWLHYQLQSQPQVSKVARRKLSPYMRHLDISTARFGWGNPWQSPSSPSAPPSGPLPPPFRSRPPPLPARTTTTVTRRSSYHRRGLFAIKAKNGGAFPKAAKPATAAEPKFYPADDVKLRTVSTRKPKPTKLRSTITPGTVLILLARRYMGKRVVFLKPLKSGLLLITGKSLLPSALQLLSLIPCILAQ >cds.KYUSt_chr3.28631 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178674872:178676881:-1 gene:KYUSg_chr3.28631 transcript:KYUSt_chr3.28631 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKTQKKVEAAVLEILRGSDMASLTEYKVRSAAADRLGIDLSLPDRKLFVRRLVEDYLQSLADEDEKKQQGGSGEEGDPKKEHRQEEEQKEEKGQEKVEEDEEEEEEEKKVVVGKELDDNGDLILCRLSSNRRVTLSDFKGMTLVSIREYYLKDGKEMPSSKGISMTVEQWEAFHNSVPAIEDAIKDLGGSD >cds.KYUSt_chr2.48217 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301501999:301502307:-1 gene:KYUSg_chr2.48217 transcript:KYUSt_chr2.48217 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKRLALPAVLVLLHLPFLLRPFYTGGVPDHLPPRAHPPNPANLLVRQYNAKCRRLPPLPRASAAHGRSRSYMGSPGFWLEEDQAVEDSVFGEESRAPSS >cds.KYUSt_chr6.17779 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111799342:111803909:-1 gene:KYUSg_chr6.17779 transcript:KYUSt_chr6.17779 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLSLSKLARRLPTSLPSTRTVPPLLLRHLHSDPTPPFQAPPPFVSRIIESEESPIPSTDSEHAQPEPDPVLDEFLTRLVTALRPTLAAAFPTHARPVLDEMLRLIAEAVLNRLPGADPAPGTVELSDDLWATVWEVSASVRDAMRRDQVRADLRNYLHSDDVKEMTRFAVDAGIRGSMLRELRFKWAREKLEEVEFYRGLDVMRDQADAASAPAVLPPPPARLSALPQRKGEVKFSMYGLDLSDPKWAEVAERTAEAEAHFVPQEAKPVEGKAKKAEEKLLAVEPRKGDPLPAMEEWKEEHLPKRVDWMALLDRVKARNVELYLKVAEILLAEESFDATIRDYSKLIDLHSKANHVEDAEKLLGKMREKGIASDILTNITLVHMYSKAGNLEQAKQAFGCIQKEGLQPDNKLFTSMISACINAGELKEAENLVKEMDSLSIKPTREIYMDVMRAYAERGLEDGFSRMKHAMTFAGFPPTLECFSLLIEVYGQTGDSDKARGMFEQLKMNGHEPDDRCLAGMLTAHMKNNQLDEALQLLLSLEKEGIKPGAKTNLVLLDWLSMLQLVQEAEQLVQKIKKAGEEPIEMHVYLAGMYAKSHQEEKARRSLKVLEEKKKLLKAAHFERITGDLVKGGFLEDANKYFKMMKSRGFVRPSEELEGEITAKVRRRSAD >cds.KYUSt_chr2.30733 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189271841:189273748:1 gene:KYUSg_chr2.30733 transcript:KYUSt_chr2.30733 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLGSFCVHPLELDCALAATHEAGLRELGLLDFVRLDLPSSGDPRPDLVAELIANYRSGNSYTELSSVRGARIDLSIDTFADALRLPRTHVKLAKKGILKDSVNPKPLMVGIAEEPPHQLAPSVGNFFIQGTSSVVSATSSSASSPPSAPSSLILEGSIRFGSFEFTPHAFAPRSVFSSLHVGMDMTFVSVHLRDDEEACICKKLSGSAETYL >cds.KYUSt_chr2.19510 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122766604:122770856:-1 gene:KYUSg_chr2.19510 transcript:KYUSt_chr2.19510 gene_biotype:protein_coding transcript_biotype:protein_coding MSLARSHASLHASASKVSASVTPVYMSTEAPRKTPSLLLAIAATTPLLSSFVGSDCFANLHKNTRTLVGTSVRHSLHQSFLALVWSDTEGLLSTSIDSKELLQLLLLPRALIGSMKSDTLTGGSTAKQANGRSIPSRGNRLSFHGSVASPIPIRLTRPCFRVATPAWIARHTCDGADPKSAFRSSFEGKYRARRTRALSEFGFWSNLHPYRANNARLKSSTSRKHSPLISFALHMSLHETQYVFLSPVRLPHQNLRIMALMSSHSPFGRVLAMAWSAAAAVSRFAASPAAEIRLPLSAAAAASVSFAGRRRFGPIAASLATSATVTKEAVQTEKAPAALGPYSQAIKANNLVFLSGVLGLNPETGKFVSEGVEEQTEQVMKNIGEILKASGASYSSVVKTTIMLADLQDFKKVNEIYAKYFPSPAPARSTYQVAALPLNARIEIECIAAL >cds.KYUSt_chr4.39268 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242338727:242339194:1 gene:KYUSg_chr4.39268 transcript:KYUSt_chr4.39268 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSTAAGGKAKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRVGRYLKKGRYAPRVGTGAPVYLAAVLEYLAAEVLELAGNAAKDNKKSRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVLPKINPVLLPKKTAEKAAKEPKSPKKTPKSPKKA >cds.KYUSt_contig_786.547 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:3105826:3113781:1 gene:KYUSg_contig_786.547 transcript:KYUSt_contig_786.547 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKWRHRLRKSGKETPFRYQQIAKLLEIGLLCQEKDPYRRPFISDIIHVINELESTDWQISNENKSTAEQMSAYSEDDMLGIEPLELRFPFELNTKIPRSLELTNETNSSIAFSIKTTIPLPYCIEPKKDIVAPQSKYSVNITLHPIDKAPQDTLIGDFIVRSTKVNDNLKSEDINEDIFNRDGPKLVDEPSPCTSNELIQFDLPELPHQMFYTPDPTMDPPPRALAPSEVKYCKKALKAFDKKLKQPLAIHREYIDLTDVRKTLQSTQNFEVALNPDNRKRNRYTDAIPFDETRIRLQSSTGIQKSNDYINASLIKHYDIDQTKFISTQGPLVNTLEDFCRWSLRTALRAGIGRTGAYITIHNTVERVLLGEQSSIDIAATVNKFRSQRPGMVQTEHNQIICLHKKRIIVVCRFRCIHKSLPAMSHTPGITEEPLRPPSFGLMLMEKQAYGKSVVNQSCQVKTDEIWSQIFSQ >cds.KYUSt_chr2.40653 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252597885:252601489:1 gene:KYUSg_chr2.40653 transcript:KYUSt_chr2.40653 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPWGGVGAWALDAEREDEEREHAAAFPAPEPPAPVGGAASFPSLKDAVVAGAGKKGKKTKGTTLSLGEFTTYGAAGAPARRAAPAEPKGLTPQEMMMLPTGPRERSEEESALGRGFRSYPGDRDQRGGGFDDDRRSSRDSDLDLPSRADESDNWGRNKPTPSNSGPRDRLNSGPSPLGRSDDIDNWSRDKKPLPSRYPSLGTGGGFREPSAGGFRESSFRDSPGPSDSADRWVRGNVLAPVSGDRPRLNLNPAPKRDPATAATPAAEAPAEAARSRPSPFGAAKPREQVLAEKGVDWRKMETEIETKTSRPTSSHSSRPNSAHSSRPGSPGSQVLAVGSEGAPRARPKVNPFGDAKPREVVLQEKGKDWRKIDLELEHRAIHRPESEEEKILKEEITLLKVDLKEIEAKTSDGSDQAPPENAKDLSEKISQLEKQLELLTVELDDKTRFGQRPSSGAGRVTSFPPASSAEEPHVAVAHMDRPRSRGGMETYPKPVEERWGFHGSRERGSFGGGGSSDRWPISFRFLFSSITSDQ >cds.KYUSt_chr7.39628 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246304274:246316163:-1 gene:KYUSg_chr7.39628 transcript:KYUSt_chr7.39628 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALGCAGLGERLAAAARDGDAAEARRLLAADSGLARCTATFGNLSSPLHLAASKGHHEIAALLLENGADVNARNIYGQTALMQACRSGHWEVVQTLLAFRCNVWKADGLSGRTALHAAAAGGHVRCVRLLLADAGGGAAGDRVRYVNRAASGGVTALHLAALHGHVDCVHLLIDEHAALGAQTLPIAAAPMAAIGAGSTPLHYAASGGEVKCCQILVSRGADRMAANCNGWLAVDVARMWNCNWLEHVLSPKSHLTVPKFPPSAYLSLPLPSLLAIAADHATTSPPFIPASDEDDNHEDACSVCLERSCNVAAEVCGHELCLKCAMDLCTVMKAYEVPGLAGTIPCPLCRSGIASFRKAVAASASDDNDVLDITLACACARCQNCHGGGREVEKSPVSSCRYSTGRQSYVGGDGMDSEATALALYCAPFAAPAAILS >cds.KYUSt_chr7.35331 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220706060:220708364:1 gene:KYUSg_chr7.35331 transcript:KYUSt_chr7.35331 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSAEKKTAAEVVAALDLHRHPDGGFYLETFRDPSVALPKSALPPQYKVDRAVSSAIYFLLPAGEIARLHRIPCAETWHYYMGEPLTVFEVHDDGQVKMTVVGSDLQEGQRPQYTVPPNVWFGAFLTHDIESFTEDGSVFVKTPGRNPDLHYSFVGVTCAPAYQFEDDEMATRDGMKTLGAKAEAFINYLVPS >cds.KYUSt_chr5.5286 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33182964:33187620:1 gene:KYUSg_chr5.5286 transcript:KYUSt_chr5.5286 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDPSPSPPASPSPAKHRRRRSRDDDEANPDASPKRRRHKHHHHRRSHRHRHADDDPLPPAAADVEEGEILDDVAAAAVSTDLAAPQAAAPDLSGADAVGDSAVEAAEMHAPRLPSRSPSRDEHKSAPDTESGGIFSSDAEHGQMLSKSPELANDKGRKRKDENHRTLSSNKDSHTRVSPSKRHHAGVHHSRSGEADARANNGVRASPRDESDDRNGFDRHSTRTRRDERERSSSRVVRDGHGDRRDSRERYRDERRHSGSAVDRDSVDRRHRERSSSHSRPERRESARHTREESRERERWSGSSRHSKDHERRDASKDSYKEYDTAGAWHERDKGRDDRDRGRHRDMESETRRAGAGERKDRVISNSDRHRDLARSRYSTSDVHKDRSRSGDKGRDADSRSQRSRDEQSLKEEDEEDYQEKIEQQLAMQEEDDPEKIKEEARRRKEAIMAKYRQQQMQKQQLESSLPKSNDEEVRAVDRNEAVDEKDVNDSNSMDNEEAENKLDSSDAFAGEVDFTVVKSPAHGTVSSGAEALLNEGTTGVSGLGEGTPKSERSADMFCDDIFGESPAGFRKLDKGDGLCIEKNALHDNWDDPEGYYTHRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEVVAIKIIRKNETMYKAGKQEVSILEKLASADREDRRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNDAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDIWSVGCCLYELSTGKVLFPGATNNDILRLHMELKGPFPKKMLKKGAFTMQHFDQDLNFNAIEEDPVTKKPMRKLILNIKPKDFGSLVSNFPGEDPRMLSNFKDLLEKIFILDPDKRITVSQALSHPFITGK >cds.KYUSt_chr1.30629 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185436137:185440531:-1 gene:KYUSg_chr1.30629 transcript:KYUSt_chr1.30629 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDGARSPTRMLAEGHLRVATGGGAPADGGIAVRHLPHHHHTAKKGVGAKSEENNHGDADFSPSQELDKLVNGNNKVPATLDDYRKLVVPVIEEYFSTGDVELAASELRNLGSDQFHYYFVKKLISMAMDRHDKEKEMASVLLSALYADLLGTFKMSEGFMMLLESTEDLSVDIPDAVDVLAVFVARAIVDEILPPVFLTRGRALLPESSKGVEVLQIAEKSYLSAPHHAELVESKWGGSTYFTVEEAKKRIQSILREYIESGDTDEAFRCIRELGLPFFHHEVVKRALILGIENPSSQPLVLKLLKESTAGSFISSNQVSKGFSRVAESVDDLSLDVPSAKTFFDKLLSAAISEGWLDASFSKCAASDEDHVNASGEKVKRFKEESGHIIQEYFMSDDVPELLRSLQELSAPEYNAIFLKKLITVAMDRKNREKEMASVLLSSLSLELFSTDDIMKGFIMLLQSAEDTALDIVDAPSELALFLARAVIDEVLVPLNLDDISSKLRPNSSGSQTVQMASSLLAARHSGERILRCWGGGTGWAVEDAKDKISKLLEEYNTGGDLGEACQCIRDLGMPFFNHEVVKKALVMAMDKQNEASILALLQECFGEGLITINQMTKGFARVKEGLDDLVLDIPNAQEKFGAYVELATERGWLLPSFASAP >cds.KYUSt_chr1.35928 pep primary_assembly:MPB_Lper_Kyuss_1697:1:218921337:218921732:-1 gene:KYUSg_chr1.35928 transcript:KYUSt_chr1.35928 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDEVEVLWPEYCSSHTQPEAPNKSLPAAAIMPMSRPRQRCAALSRPVDVPSRGAVLVIRWTDGSQDDLEVDSCDGGSRIIVPPHLLVSGGESATVACASLRSGRQRMRARDLRHLRNSVLRMTGFIEG >cds.KYUSt_chr4.23130 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145566802:145585064:1 gene:KYUSg_chr4.23130 transcript:KYUSt_chr4.23130 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATLTLSSSPAAAARRSPARETISALHFCRLPVRSPRLRAAQSPASRALSSGSRRRRRGSGLVVRAETFGQLTTGLESAWNKLRGVDVLSKESIAEPMRDIRRALLEADVSLPVVRRFVSSVSEKALGSDVIRGIRPEQQLVKIVHDELVQLMGGEVSDLVFARTGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTVLGEQVGVPVYSEGTAAKPAEITKNAVEEAKRNNIDAIVVDTAGRLQIDKAMMVELKEVKKAVNPTEILLVVDAMTGQEAAALVTTFNIEIGISGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMQDLELFYPDRMAQRVLGMGDVLSFVEKAQEVVRQEDTMELQKKILSAKFDFNDFLKQTQNVAKMGSMSRVIGMIPGMNKITPAQIREAEKKLAFVESMINAMTAEEREKPELLAESRERRIRVAEESEKTEQEVGQLVAQLFQMRAQMQKLMGMMQGQEAIAGMGDLVDSLNADEKAPPGTARRRRRRSEPPRQRELEAVGGAQ >cds.KYUSt_chr5.14065 pep primary_assembly:MPB_Lper_Kyuss_1697:5:91319592:91320137:-1 gene:KYUSg_chr5.14065 transcript:KYUSt_chr5.14065 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLMADECMERALAFAIDSSSAAQHWLVPLPSQHQQDAVALQQQLGSAGDLAEELSRSVLLVAEVLFLHGVLGDDDVLRVLSAMPNPGDAYRNGGFGGVPATAAAVAGLEKRAYRCGEGQQGGLGTTDTGCVICLEEFVAGDQLGVMPCSRKHSFHRGCIAEWLCRSNACPLCRHALPS >cds.KYUSt_chr1.5976 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36733980:36734597:1 gene:KYUSg_chr1.5976 transcript:KYUSt_chr1.5976 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARGGGRDLCGQICRSFGLFLLVSLLLWPEWVAGARATTPLNKIALSCLELKSSGGDDRHPLAGCGGEGRAQVVVLFLDAGDRRGGFQMRWFGVCLHPLAGRGGEERSCCSSRRCGSGGHWRDARAAFSGSSSSAAPDIFWLLSLMADGQPLPHWSLFRWRWVIYLQAWLPLRRLSGAKTMCSRCSTLMRVVGTSVGNPKRKV >cds.KYUSt_chr5.40084 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253234256:253236382:1 gene:KYUSg_chr5.40084 transcript:KYUSt_chr5.40084 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRGQAPRAGTATAAARWRGRRQDIGALPWGFLGLGPAEERGGQGVGLRRAPERPWDRARRGRRVQGEEEGAYLGAAAADRRVRVWSRSPRQGPSLARSLGTKGYCLLIFTAVALSHLEHLKFLILSSNTSIVRMSTSQTAEQSQFREGADEVTQLNNRRSKKRSRVWDFFKELPAEGKAICVYCQTKLSYHQGIGVSHFKRHILSGCQEFPQDLDRNAIFPMSDPMAD >cds.KYUSt_chr1.32083 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194620779:194621429:-1 gene:KYUSg_chr1.32083 transcript:KYUSt_chr1.32083 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTPPPLLPVTNPTAAGSAAAAGSDAPIATPAFRLFLSRISDSARRSLSDRRPWAEMVDRSAFSRPDSLSDATSRLRRNLTYFRVNYTAVVAFALGASLLAHPFSLLILLGVLAAWCFLYIFRASDQPVALFGRTFSDRETLLGLVGASIVAFFFTPVASLIISGMLVGAAIVAAHGAFRMPEDLFLDDADAANPNSAAQGLLSFLGAPGSRV >cds.KYUSt_chr2.18392 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115928661:115931831:1 gene:KYUSg_chr2.18392 transcript:KYUSt_chr2.18392 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAKEIYNKVVRRVGAEVKLPAEAMESVKNMLPNSKVVMGRAKRGIFAGRHIRFGNKVSEDGGNKSRRFWKPNVQEKRLFSYIHERHIRLKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEIGIAWKAKIEKMYSELPPEEEANIEQGSEEVLSAKREFRRESRRAITFSKQSQLEATTADDDETTEVVDTNKEVSDVAEKS >cds.KYUSt_chr6.17607 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110797037:110801655:-1 gene:KYUSg_chr6.17607 transcript:KYUSt_chr6.17607 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGGGGAALLPVSADAGKGDGEPELFKGSAMTRRGAVAALSYMSCSVLLVMFNKAALSSYKFPCANVITLLQMVCSTCLLYVLRRLKIISFTNSEPSVPSDSLFFVPFRILLRTSPLSLSYLLYMLASMESVRGVNVPMYTTLRRTTVAFTMIMEYFLAKQKHTPPIIGSVALIVFGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPCVLFLTYIQGDLKRTVEFPYLYSPGFQVVLLFSCMLAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGLGWALFGGLPFDLLNVIGQGLGFFGSGMYAYCKIKGK >cds.KYUSt_chr6.20622 pep primary_assembly:MPB_Lper_Kyuss_1697:6:130019598:130024106:-1 gene:KYUSg_chr6.20622 transcript:KYUSt_chr6.20622 gene_biotype:protein_coding transcript_biotype:protein_coding MACVLTSEVATVLAIMRRNVRWSGGRYAGDELDHPLIAGLKSLRRAVATWDPSRWGDVDPLLYLRPFLDLVRSDEAGAPTTGAALSSLHKILSLDLLGPDAPNVADAMSAVVEAVTACRFEVTDLASEEAVLARVLQVLLACVRARAAPALSNRHVCDIVSTCFRVVQQAATKGELLQRISRHTMHEVVRCVFARLPDIDATAAQDQQIAGSKQQGFSASKMGNGNDSVCLSSSKDEVGAEDNEVNPRMNAVDFDEDMPLFALGLINSAIELSSSYIHRHPKLLSFLQDELFRNLMQIGLSISPLILPMVSSIIFTLFYHLRQELKLQLEAFFACVILRLSQSRYGATYQQQEVALETIVEFCRQKVFMAEMYMNMDCDLQCSNVFEDLVNILSKNAFSVEVTLSPLNVLSLDGLLAVIQAIAERTGNSPQHRQQTVEEMREYFPFWQLKCENINDPDQLVRFVNQQKSIKRKLMVGVEHFNTDKKKGFEYLQGAHLLPEKLDPRNVALFFHYTPGLDKNLLGDYLGNHDEFSIQVLHEFSKTFDFKELNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPKLFVNCDATLVLSYSVILLNTDQHNVRVKKKMTEEDFIRNNRHINGGNDLPREFLSEIYYSICKNEIKTIPDQGVGCSEMSFSRWADLMFKSKRSSAYIACHSYPFLDHDMFLIMARPTIAAISVIFGNVEQEENLARCIDGFMSVARLAAFYDLNDVLNDLVVELGKFTILWNTSYDDPATAFGEDSKARMATEALFTIATIHGDHINTGWGTIIDCILRFHKTGLIPACFFNDTDDDQEPSSASLPSKISAPYPAASQVMPVSTPKKIYGLMGRFSQLLYLDTEEPKFQPTEEQLAAQRNASETIKKCQIGTIFTESKFLQADSLLNLARALIQAAGQPQKISSSIYDEDNAVFCLELIITIALNNRDRVVLLWHDVYDHITHIVQSTVTPCNLVEKAVFGLLDIVQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITQEVTRLVRDNATHIKSQMGWQTIISLLCITARHPDASEACFEALVFIMFEGSHLSPANFVLSVEASRQFAESRLGSAERSIHALNLMAESVNCLTRWSHEIKEASGEAERMLEGINEMWLQLIQALRKMCTDQREEVRNHALLLLHKCLVVDGISVSSSAWLMSFDIVFQLLDELLDIAQTSSPKDFRNMEVSLLHAVKLLFKLFLQSLNDLSAQSSFSKLWLEVLDMMEKLMKVKVRGSRTEKLQEAITEQLKNVLLVMKASGILSKKSTSGDSNLWEATWLQVSNIAPLLHSVIFPGNDGDDAAQSTQDKLDIPAVSEGRLVSVK >cds.KYUSt_chr4.47716 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295364142:295366784:-1 gene:KYUSg_chr4.47716 transcript:KYUSt_chr4.47716 gene_biotype:protein_coding transcript_biotype:protein_coding MREKTATAAFARGMGARMLGVTGTASAAGVARSRAPRGMWRPALHLGLLLSAEVGLPRREVAPPRADMERRGLSRVERRRRSGPPGSAMTRTMRFHAGSCTSREAARAVAPVLSAPTADDRHVAPVLPLARRPAEPSRPRRGADAQVDPLPLRTAPRPVAVGGELARRPSRAVCILPRTTEIDDAEEALSRALLAVIVGNRRAVTTEEVAQGLKEVHGLPPGSFSVHCHRPEDFLIFFAAREDRDRVLRDEVLTSPLFRLLLRPWSRRTQAASGGLCVHAEVEIEGVPANVWNLSTAEAVLAPSAWVERLHPLTRSRADMGVFRLSAWCLDPASIAREVDLHVVEPDDPPSAADMAAPTLAVVPPHVNTLAYPLLVHVVRTTDYRRPTPRAGGADDRSGDGSGGAVAWPARHHYPYTPGTPDTLPGSGGASAAGGGSAPSSSARGGPGGRTLASGVTVAAPTIDGPRQGGKRKGRRGGRQARERRLRRQAAVASADNPPSATATGAERPAVLPLQLVNNGGQAAVTSQGEEERGVVAAREEVEDDAVTMLAERAGEVRVGTVVVLPSPGRAPPPPSTSCSLPSVVELLPEADPALPPLAVTVGSLDALAGPFIPGPLLGLDAFRPGLAQVDRQRPLETLDELGPTLLLEDASGLVVEPALLPEVVSAASRADEEEVDEEILADTPPPSLPEVVSAASGADEEIRADTPPVLDSPDTEEPLSLARGALPVSRFATPPLVFHRARPAIPTRPQVAPARPRTLGEFLAAAKSRSDALMQTPAVRRRLLALNFQPRRSSRIARQPSGMNMEMKAVRNLMHKLGLITGDEAPSEAALEAYHRMFELPMTDDMIEAIAELYGWTLDTIRGCSPPLLGGSGGRLIAA >cds.KYUSt_chr5.42495 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267804325:267806264:-1 gene:KYUSg_chr5.42495 transcript:KYUSt_chr5.42495 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAMQWWEEWQLRILVLGSLFIQYILYFSIWVRRAPILRKLRVLVWIAYIGGDALAIYALATLFNRHKQTCDGESSALEVMWAPVLLIHLGGQTCISAYSLEDNELWKRHTITLVSQVTVALYVFCKWWSGGKMQLEAAILLFVAGTLKFTQKPWALRTASFNSLQASSTVFLLTQTQREEHVYSLEEYVQGAKKCVLEVKVDNQHEYGTESAYMFVDLSAPYSFRIAELHFFLMLEDNRAYSDLQGSLGTTFDHMYTKVGSSQSKLSFGSILLLPFLVLASAVLFAKSHKDGHNEKDIKVTGVVRQHVEDGWKKYISDAASYRRFSELRGQWALSKHQEIGWSLKMSYSESVLIWHVATDLCFYHPNTSPQGQQGEPTLRSREISNYMIYLLLVRPEMLMPGTRSDLFTLASDIIVENSKGSLDMTEENLAHEILNMWPFATDMVSNALKLSIELMELGDEKERWTLIQGVWMEMLCYSASRCRGYLHAKSLGDGGEFLSIIWLLLSVMGMETLADRHQRSVPSQEEATAEQEAPASTSCSGTTDQSEDNLSLV >cds.KYUSt_chr7.19624 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121607146:121611305:1 gene:KYUSg_chr7.19624 transcript:KYUSt_chr7.19624 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRTPLRTLPLHPRAATHPTKATATATASTVSACSVVEPQSLKPRPQFPRPAPLHRRRRSSTIPFDLPALCAAIEQLVAAGRHREACDALRDARAGATFAALPPPTYDALVTAASALREAGDAAAVLWHMESAGFQPDQYMWNRVLGMLLTCGMLAEAREVFDGMPARSRVTWGVMMGGLVDGKRPRAALTLFRELCDETGPTAGPRVLVVAVRAATVLGSIHAGRELHCCVAKMGAREDQYLPCALIDMYSKCGRVDEARRVFDGMTPSWRNVVAWNTMLAAYLLHGRSDQALDLYHGMCASGVQLDQFTFSTMLGVFSRLGLLEHAKQMHAGLIQSGLQVDIVGNTALVDLYCKWGRMEDARHVFDRMPSRNLVSWNALIAGYGYHGMGAKAVEMFERLFAEGIAPNHVTFLGVLNACRFSGFIDRGKRIFQLMAHNPKTKPRAMHYACVIELFGRQGLLDEAYSMIRKAPFAPTANMWGALLTACRINKNIQLARLAAEQLLAMEPQKVNNYIVLLNLYISSGKHDEALTVVNTLKKAGLHMGAACSWITVKKKDHGFFFNDSLNPKSSEIYQRLDTLMEEIKELGYVTEDDELLPDILPDEQETLKVYHSEKLAVAFGLISTSPSAPLTINQNHRLCRDCHKVIKFVSKVTKREITTKKVTVLFIQCEKPVYKAYQLGLAAAVLLVVAHAVANFLGGCACICSQVEFIRASINRKLAATLIVLSWIALIVGFSLLLAGAMSNSKSKTSCGFVHGKTLALGGIMCFVHAGITIAYYVTATAAAHEIR >cds.KYUSt_chr7.20695 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128345452:128347180:1 gene:KYUSg_chr7.20695 transcript:KYUSt_chr7.20695 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPAAHAPKKRKFDRVDGQESPGSSSAGHGVSLDFINNLPDAVLCTIISLLRTKDGARTQAVSRRWRPLWRSAPLNLVADSTLSGQERKRVVYVSKILAEHPGPALRFEIPYIRERYYCKTECWLRSQALTNLQELVFGYDAEDSLPSSRPLLPPSALCFAPTLHVASFRGCRFPDLPAAQSLNFPHLKELRLSRVTMSNFSAPSLNFPHLKQLTLYGVSISGDALHSMLTGCLSLESLLLENNVGIGRLRISSSTLRSIGFSPPYKGEDATTFQELVIEDAPRLERLLPLNPDDGPRTIQVIRAPKLQVLGLLSDGISKLHIGTTVFQEMTAISLTTTMHTMRILVIDSIGPNLDSVIGFLRCFPCLERLYVISHLRKEMKNVRKYDPLDPIQCLERHLKKVVLKNYFGNRPDVNFAKFFILNAKVLDEMNFGVFTNCNDKWMSNQHRRLQLDNRASRNARFEFKSSFWSTFTNNKHTHDLAMADPFENTF >cds.KYUSt_chr6.22226 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140409399:140410028:-1 gene:KYUSg_chr6.22226 transcript:KYUSt_chr6.22226 gene_biotype:protein_coding transcript_biotype:protein_coding MGECDECCDNCGCRWKDVWRLLLCLAVLVIVALIVVLVAAFAFVRQVVVTVDDASLSRLALVSTPTTAFAYNLTLTLAVRNPNWAMSFKNTEPLEAAYSFDGQPFDRVRLAGEADTHGAKKTVLYRITSGSDGAFLALGSAGVAEFKKENATGVFEVEVVVSGEVKYTARLSKCRIEARCPLKLQLVLSGETVVFQQVKCKLAKADKNC >cds.KYUSt_chr7.17351 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107383729:107385112:1 gene:KYUSg_chr7.17351 transcript:KYUSt_chr7.17351 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSSACPLAEELRAASLLPSWPHLGAVYDVLLAAFAAANANKSLRAHADRRFLTALLALFASDSEDPRAPSCAAPWPTPSSVRQHDLLAALLLRGRGSPGVAVTNYQKELLLLIDELKEILEALEQHQFDALALPVYNWIARCPTSCSSQGTYIEHLKSSGIMAADPSGVFEGQIKSAPAVASSDSLAEVLNQLR >cds.KYUSt_chr2.28061 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172133513:172135011:1 gene:KYUSg_chr2.28061 transcript:KYUSt_chr2.28061 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVQSRSKFSFGFMLVFGLMPLFFFPFGWLPVTHSDAGARLLPFCTLCPWWISCRDGEGQGRFNKFAGLVFFFLIWSCAWVFLLLAGHGGEGVAKLRVLSLGAGGRRGGQLVLQSGDYYTVASFAAVICGRCGGISTLQVLKLLQPPAWRPLSETLVGVHHLLVLKCYASSMLRPGRSSIIRVN >cds.KYUSt_chr1.35780 pep primary_assembly:MPB_Lper_Kyuss_1697:1:218068883:218071921:1 gene:KYUSg_chr1.35780 transcript:KYUSt_chr1.35780 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAAAVTAAAAVGVALLVRRQVREAKRWGRAAAVLRDLDERCAAPPARLRQVADAVAVEMHAGLASDGGSKLGMIISYVDSLPSGQEKGLFYALDLGGTNFRVLRVQLGGKEGRVVKQECQEISIPTRLMTGSSHELFDFIASALAKFVASEGEDFHLPEGRQRELGFTFSFPVKQSSIASGTLIKWTKGFSIDGTVGEDVVAELSRALDRHGLDMKVTALVNDTIGTLAGGRYDDNDVIAAVILGTGTNAAYVERANAIPKWHGLLPKSGDMVINMEWGNFRSSHLPLTEFDQALDAESLNPGEQIYEKLISGMYLGEIVRRVLLKMTQEASLFGDDIPPNLKIPFILTTPHMSTMHHDRSPDLKTVGAKLRDILGIQSTSLKARRLVVAICDVVAKRGACLAAAGILGILKKLGRDVPGSDKHRTVIAVDGGLYEHYTVFSETLESTLRDMLGEEVSSSVVIKLANDGSGIGAALLAAAHSQYLGADE >cds.KYUSt_chr3.3163 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18177063:18177272:1 gene:KYUSg_chr3.3163 transcript:KYUSt_chr3.3163 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIAVVLFVLLSPGLLLQLPGKHHIVEFGNMHTSAMAILVHAIIYFALIALFVIVIGVHITTG >cds.KYUSt_chr3.38577 pep primary_assembly:MPB_Lper_Kyuss_1697:3:242948807:242949775:1 gene:KYUSg_chr3.38577 transcript:KYUSt_chr3.38577 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLVATGVLLLSLLAVASCRVLEAEPETVVAISDEQQGQADPAVLQETDAAEAIQSVPTVTAAEAILRLPSHRRAWFLHRHLRSARHHGLSHRRSAFACPGEARVVPASLLEEPTEVKLEAVAEPDPDSRPEIDGEQKLFHGEEEEVEENESVKAWKREMLRRFRDHGLRFHHCHHHHEHDGEKDGGDHQEQQNKEKGPGDMQLQHVRMFSGLFDLHHGDVEQEEQDNEEGVPVELVSRHFHHHHGDEEPEETARKHFFHHHHESDNEVDEVEELAWKLSKAIMRRSFRRGGMRHHLHHHHRAEDGGVKNWFKGLMNRF >cds.KYUSt_chr4.23656 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149091231:149093318:1 gene:KYUSg_chr4.23656 transcript:KYUSt_chr4.23656 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAGATQPQWLLAGGALLLATLAFLRALLGAGAGKRAPPTIPAAPVVGGLLRFLRGPIPLIRAEYARLGPVFTVPILTRRITFLIGPEVSGHFFKGNEAEMSQQEVYRFNVPTFGPGVVFDVDYLVRQEQFRFFTEALKSTKLRSYVDMMVAEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMIPISVIFPYLPIPAHRKRDQARARLAEIFSTIIKSRKASGQSEEDMLQCFIDSKYKNGRSTTETEVTGLLIAALFAGQHTSSITSTWTGAYLLKFQQYFAEAVEEQKRVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHIDFSVTTREGKEYDIPKGHIVATSPAFANRLPHIFKNPDSYDPDRFAPGREEDKAAGAFSYIAFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPESDWNAMVVGIKDKVMVNYKRRKLVVDN >cds.KYUSt_chr2.26004 pep primary_assembly:MPB_Lper_Kyuss_1697:2:159194282:159194551:1 gene:KYUSg_chr2.26004 transcript:KYUSt_chr2.26004 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYSTTPAWPPPPVAAAQRWPPFGHQQHQQQHRTSSSGAEDDMSASNATMQTSYTNTSTTNHSGISMDDSSAAAAAAAESHLWNQVLM >cds.KYUSt_chr3.19852 pep primary_assembly:MPB_Lper_Kyuss_1697:3:122273578:122279427:-1 gene:KYUSg_chr3.19852 transcript:KYUSt_chr3.19852 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWKAAEENASSPLPASAGPSSSGPGNGKKAPGPAGTMSRVPFHRLFAFADRTDAVLMLLGAVGAVANGAAMPLMTVLFGNLVDAFGGALSIHQVVNRVSLVSLDFIYLAFGSAIASFVQVTCWMITGERQAARIRNLYLKTILRQEIAFFDQYTSTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFFGGFIVAFAQGWLLTLVMMATIPPLVMAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKRAVERYNKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAKVMNVIFAVLTGSLALGQASPSIKAFAGGQAAAYKMFETISRTPEIDAYSTSGRKLDDIRGDVEFRDVYFSYPTRPDEKIFRGFSLTIPSGTTVALVGQSGSGKSTVISLIERFYDPEAGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAASELANASKFIDKMPQGLDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMTNRTTVIVAHRLSTVRNADTIAVIHQGSLVEKGPHHELLKDPLGAYSQLIRLQESSRQDKTDRKGDTGVRSGKQVSSNQSASQRSSHDNSSHHSFNVPFGMALGIDIQDGSSKKICDEMPQEIPLSRLASLNKPEIPVLILGSIASVISGVIFPIFAILLSNVIKAFYEPPHQLRKDSEFWSSMFLVFGAVYFLSLPVSSYLFSIAGCRLIRRIRLMTFEKVVNMEIEWFDHTENSSGAIGARLSADAAKVRGLVGDALQLVVQNLTTLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEDASQVANDAVSSIRTVASFSAEEKVMELYKKKCEAPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARMVEDGKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAVSSIFAIVDRKSRIDASEDSGVTVEALRGNIEFQHISFRYPTRPDVEIFRDLCLTIHSGKTVALVGESGSGKSTAIALLQRFYDPDVGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNETIRANIAYGKDGQATESEITAAAELANAHRFISSSLQGYDTMVGERGAQLSGGQKQRVAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVKNGVIIEKGKHDTLINIKDGAYASLVALHSAASS >cds.KYUSt_chr1.25933 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156050854:156061099:1 gene:KYUSg_chr1.25933 transcript:KYUSt_chr1.25933 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAARLPPVPILPSTARELERTKEIRRERRYLRRTSAPSGVRHRLGELAYVPSTETDIETKGGFMGDGDYPFGPAPPARRRRAPTRREHPQHNGREDEDDDDEAYAVYDDDDYIEALAYHSEEGRNFEFPDNMMDDEMAKLGLLVSECDAPVRPPLPRYATAVMPPGCPRMKPFDERSWLGGASSTAATALGASPPPPRPCGWAPYPAATAAATGASTAASAAASSTSSTVIAPGVRSPDGKRPWDIPEIIVLDDDEEQARPRQRPVGVLGLKHPQSFPKGYGSVDEKLQVLSPVYLSLPGLCPKRHQGHLGCLQLFEEKYLGLPTPKGRLKGEHFQPIMNIFTKRLTNWCEEYCSHAAKETHIKAVAQALPGYAMGVFKMIGNGKNTQIFRDQWLPRKAGLKVTGMKKNTRKRWVNQLIIENTREWNVPLLYDLFQDHDVEAILDINIPGTDTKGCIAWNPEINGQFTVRSAYKLAGHPSPMDDIQPAVKSAFTKASKQGTSYPISRSNKYLRQETQTLKRVATLLEPFDESLPDKSGVASAKSVEEEAFDAENDGQVFVQDRPPTTKEPRGTGNGIDLSLSHHPTARKYTY >cds.KYUSt_chr7.6993 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42168440:42177870:1 gene:KYUSg_chr7.6993 transcript:KYUSt_chr7.6993 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGRRSSPPALPVASTSGQKRARGEDDPGTSLSNPDSAAAPAQNPRRAFSSSPFADFGSYMSAKNSKLAAQFDADAATSAAAPGALFAGVSIFVDGFTVPSSQELKEIMLNNGGRMVNYFSRHTVTHIICTHLPESKMRNMRAFSKGLPVVKPAWVVDSLAENRILSCIPYQISHHSSSSRKQMKLSAFFSGKQDGTCNQGDRNDVNKDLGLQTSSAQEGSQDQNVFRENEGSLMSVEVAEDSLSPDEHEVSTLEERDGEDFAMDDDDNVCETEISESVDNDMDTKLYVPDSPDATSDHSRLHFIGTWRNRYRKRFSNSLGAKSSKGKNDHSGKKKTIIHIDMDCFFVSVVIRNRPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMLIRDAKARCPHLTVVPYNFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNVEEVTERMRNEIFGTTKCTASAGIAENRLLARLATRSAKPNGQLFISSEKADDYLNTLPIKALPGIGFTVSAKLKSNEVEYCGQLRNISKDALHKDFGKKISNMLWNYCRGIDHSVVEDVQETKSVGAEVNWGVRFNEDKDADHFLVNLSKEVSLRLQGCGLQGRTVTLKVKTRRKGAGEPIKFMGCGDCETMSRSTTITGATDNLVTLQRIARQLFAAFHVDVKEVRGVGLKMSKLEHADLARGAPQGNMLKSWLGSSAEKLKKQGSEKTCLRGNNDDAGTSGLRNLGGSRPSFTRVASHSSKANLTIDRSTRVDAVEMPPLSELDLEVLKNLPPEIISEMNVMYKGELRGFLDMISGDEGKESNSKSLVSPAVDQNSVSASTAKLHGYGEHRDSVHLGKQKDTKGTTDQEVQAASASCSRACELGDTNSVTRLDFMPNSLSQADFTVLQELPEDVKADLFNVLPLHRSRDPTCSTSNVTEIKSPNDEGTDDPIHHLPGSCKKWAEQLKVSSSLILNAIAEQHADSISNKPLSSILEPIASLLPLCPNSGSEEWNDTLSCLSGLLVEYIHLKVDSDIEELHRCFLLLKRFSSASELFLELHNSILPLLQDSISQHYGGVLRL >cds.KYUSt_chr3.12418 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74249726:74250681:1 gene:KYUSg_chr3.12418 transcript:KYUSt_chr3.12418 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHALTDQAPTPPLSMDHLFRPNRTRPPALEGHDQRARGSSNWSVHVHARAHTRAKPSQPPLSSNTRRTSRRRSRACCCSEDPLLEPEELPEALLDRLDLPPPRQNPAVDHRHLPASSRSLPSRASVQVRPGAKLSCILAADREPADANVKIKLSLLRSPASSPYPPSAMVSFPTVSVFWT >cds.KYUSt_contig_686-1.573 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3486203:3494100:1 gene:KYUSg_contig_686-1.573 transcript:KYUSt_contig_686-1.573 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRYGPHHGWENNSVIAAFPLLTTKDAEDSRSIGHAPDGYGVINEPDFRAGGSYSGRRYVEEGFPSDRRGAFGQDIHDRNMYAPPPSASTMWSQPRRNSDEDFATAKDYRRNKRVGSRDRGEFGAQFEDRYQSREDSFERDHQYGRYSCDSDFEKGRRESSWRRHDSFEHERERKGLSHERDGSPYARHSRSRSRGHDNRSRSRSRSRSPRGKSHSRSQRDGYDDNRFDRRREQDWDERRHDDIVAPSATVVLKGLSQKTNEDDLYQILAEWGPLRSVRVIKERPSGVSRGFAFIDFPTVEAARKMMDSTGDNGLLIDGRQIFFEYSSKTPGGDSLEHVTRPTYGRRNISAPCDWICTICGCMNFARRMSCFQCNEPRTDDAPPADAASSTQPFGKRGSELGPTHVLVVRGLEENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGIRHEKNGQVLRVAYAKSTHGPASGSSQSNNLAAAAIEAASFAQQYDAVGWAPKEYNAEDKQNSNSESQKDDSAPQSGFVWDEKSGYYFDSSSGFYYDGNTGLYYDSNVGVWYSYDQKSQQYVPCNESNNSKATGDTVNESVKVPESNSGKKVVISAPAATVKQSEKTSLPEAVQAAANAALAAEKKEKEKAKEIKLASKISLLANKKKMNNVLAMWKQRNQEGQAAQSAFDDKESTRSVADKLNSSPSEIGFSLKPKPKSDVGNSRDMSLVAGYNSLGRGTDGSQILDSDIKPRPVSNSLGTTIMGVIRGSGRGAIKSDTTFHLSSDVGGSNYSSNITTRTSEITANAETHTPAPFKTDLSSLGSYSSSGVSGSAKRRFSEAPGQSQYRDRAAERRSLYGLSSSLPIDDGLDTTGDYPSRKGSSEMGSMPFPPGVGERSVGEIDNTENYEVITADRAIDENNVGNRILRNMGWQEGLGLGKNGSGIKEPVQAKSGDVRAGLGSQQKKAADPSLEAQAGDSYKTIIQKKAIARFREMS >cds.KYUSt_chr4.13242 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81471942:81477328:1 gene:KYUSg_chr4.13242 transcript:KYUSt_chr4.13242 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSGLYFPFYLHLDMSEGMMASWAEQLERELTSRGLAVASVPGKGRGLVATRSFFPGEVIICQEPYASTPNKISLGSTCDHCFTSGKMKKCSVCQLACYCGSGCQKEEWKLHQPECQAISALTEDRKKMLTPTIRLMVRLILRRKRQIEKAIPSSATDNYDLVDALESHISKVDDKQLVLYAQMANLVQLILPSVQLDLKEIAHTFSKFACNAHTICDPELRPLGTGLFPVISIINHSCVPNAVLLFEGQTAYVRALEPLSNNTEVSISYIETAATTMKRQNDLKQYFFSCKCPRCIKDSEEDALLEGYICKDRKCDGILLPDTGKKAYTCQKCGICRDGEEVKNVSSQILLLSDKASSLLSSGNNSEAGSVYKVIEQLEQKLYHSSSIPLLHTREKLLKICMELQDWQTALMYCRLTIPVYERVYPPFHPMTGLQFYTCGKLEWLLEYTEDALKSLTRAADVLQITHGTKSQFMKELLGRLEEARAEVSFKLSSR >cds.KYUSt_contig_1253.865 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5373880:5379259:1 gene:KYUSg_contig_1253.865 transcript:KYUSt_contig_1253.865 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFSLEEWKDRRSDIENSEDERRRLSIGGSLKKKALNASSKLTHSLKKRGKRKVGHRASSVTIEDVRDEEEERSVFTFQQELLSRNLLSDKLNDYHTLLRFLKARKFDTEKAVHMWAEMLQWRKEFGADTILEDFSFGELAEVLCYYPQGYHGVDRQGRPVYIERLGKVEPNKLMDITTVDRYIKYHVQEFERAFCDKFPACSIAAKRHINSTTTILDVEGVGFKNFSKTAREMLTRMQKIDSDYYPETLHQMFVVNAGSGFKLLWNSVKGFLDIKTASKIHMRTRESAAYYSCDDHFVVVDKTVDYGRGGSMPDKTSAPEPETAMVDNPVPPAELEPISGDNPAMEAFSVDHISPVIGRLQRLESRVDELSSKPPEIPVEKERFLLESWDRIKYIESDLERTKKVLQATVMKQLEITESIDEVILSKLRVRSNAYVFFELD >cds.KYUSt_chr7.29931 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186333251:186335158:1 gene:KYUSg_chr7.29931 transcript:KYUSt_chr7.29931 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTAQSWFTGGTASSGTAAESQPSLLADWNSYAATRSDASSSSPLPFDIEAAVRTANDTVSGTFSVVSKGVRDLPGSFQGATSSFPSGKALMYFGLFLATGIFFVFIAFTLFLPVMVLMPQKFAICFTLGCALIIASLFALKGPANQFAHMTSKERLPFTVGFTGCMVGTIYVSMVLHSYFLSVIFSILQVLALAYYTISYFPGGSSGLKFLSSSLLSPVSRIFG >cds.KYUSt_chr4.33212 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203562457:203562966:1 gene:KYUSg_chr4.33212 transcript:KYUSt_chr4.33212 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIAKLMLLVAVLAANIIVIVPPPRRASRPIGAGISCLLAFKRGITTGDPMGLLAAWKRDGPQAPPATPRRHGHVVQELHLGLTGPLPKFLGSFEDLRYDNLSSVLFSGRALRQAQLGNLSTLRYLNLSPSNHVYLDLSGSVEQMESTDASWLTRLSLLRLLDMIRLN >cds.KYUSt_chr6.5026 pep primary_assembly:MPB_Lper_Kyuss_1697:6:29734098:29736446:-1 gene:KYUSg_chr6.5026 transcript:KYUSt_chr6.5026 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPLAALCVLLAFVVVASATKVELETTEAQSSYIVHVAPAHAPRLPRRGLLTTVAYSSFLREHVPVEMCNPAPRVLYSYSHAATGFAARLTERQAARLACSRSVLAVVPDKRQELHTTLTPSFLRLSATSGLLPESNGAADVVIGVIDTGVYPEGRPSFAAYPSLSPPPSKFRGGCVTVPSFNGSALCNNKLVGAKFFHKGDEAARGRSVSATEEEPESPLDINGHGTHTSSTAAGSAVPNAAFYDYARGKAVGMAPGARIAVYKVCWRDGCADSDILAAFDEAIADGVDIISLSLGAADQAPEFYDDASAVGAFRAVTRGILVSASAGNAGPGESTASNVAPWFLTVGASTINRQFPADVVLGSNETFTGTSLYAGEPLGANKVPLVYGGDVGSNTCEEGKLNASIVAGKIVLCDPGVNARVEKGLAVKVAGGVGAILASTEAYGEQALSSPHIHPTTAVAFSYAEKIKKYISSQASPTATIVFHGTVIGSTPPSPQMASFSSRGPNFRAPEILKPDVTAPGVDILAAWTGANSPTELDVDTRRVQYNIISGTSMSCPHVSGIAALLRQARPEWSPAAVKSALMTTAYNVDNAGGIIGDMSTGNASTPFARGAGHVDPNRAVDPGLVYDAGTEDYVTFLCALGYTAEQVAVFDPAANCSTRLGSSVGNHNYPAFSVVFTSNKSSVVTQRRVVLNVGTNVSAMYRAKVTSPAGVRVTVSPEQLQFRAAQKTQEYRVTFTQGPGSVAGKYTFGSIVWSDGEKHTVTSPVTVTWPVSQVAEM >cds.KYUSt_chr1.31478 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190859566:190861424:-1 gene:KYUSg_chr1.31478 transcript:KYUSt_chr1.31478 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQGQSVETTVAVAVAVVAVAAGAGFILLRSKKPKGCLDAENFKEFKLVQKNQISHNVAKFKFALPTPTSVLGLPIGQHISCRAVSSTNLDKCGHLVTRAILENPNDSTKVHLIYANVTYDDILLQEELDTLAKNYPDRFKIYYVLNQPPTVWDGGVGFVSKDMIQSHCPAPAADIQILRCGPPPMNKAMAAHLDDIGYTKEMQFQF >cds.KYUSt_chr5.87 pep primary_assembly:MPB_Lper_Kyuss_1697:5:621265:621819:-1 gene:KYUSg_chr5.87 transcript:KYUSt_chr5.87 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADEASSVNKESPERLTIDQEDGAAWLSLTLGTNGSPEEAANCSEPDPDPKPSAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSYHAQRMVMGLPLEAHAVFVQSLRVNQSSVIQKSSQQAQIRTTPRFHEGGMTWETVPCEEVQNSIWPGSFRSRTQPSDQPSEQSKIDLNLRL >cds.KYUSt_chr2.11437 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72701962:72703775:1 gene:KYUSg_chr2.11437 transcript:KYUSt_chr2.11437 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIPSDYNISDSCGNQEHGMIKSALSLGNPESAFSPTKLDYSQSFACVSYPYATDPYYGGVLTGYTREKSVHLQTNDTAAANSRVPLPVEPSAEEPIFVNAKQYQAILRRRQVRAKLEAENKLVKDRKPYLHESRHRHAMKRARGSGGRFLTKEELQLQEQQRQQQPPAATVLGKNNMTSSSSSPSTPPGSENSSFSTGSGRMLANQQHVDYLNLGTHNGGGQLTVNGLRHRVPVTR >cds.KYUSt_chr2.29720 pep primary_assembly:MPB_Lper_Kyuss_1697:2:182923137:182923958:-1 gene:KYUSg_chr2.29720 transcript:KYUSt_chr2.29720 gene_biotype:protein_coding transcript_biotype:protein_coding MRARTPSSPPRWREGSFDSPFPASAPPIAPDSAASSPLRPPQPARSHRHGRHQPAVAPRPDRAAQTGSTDRIWIQRRRDSRHRRPRGRRASFCCSRKAEAAGVARRPCASRCPRASRCSCPPALAKRVKRPSSKVPAPAQKKAAPKKAAKKPAVAKMASLTALMSVMTKAPLESVVARKVLDKNPAVDVIPELYAEMLNEASVDISSVPPADYGDYNDGLEEGLEGEEFEEEEDAGGKEEDELEEIEEGAFDVWWPRRRGGRYGPAATVNLKM >cds.KYUSt_chr3.22115 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136191774:136193048:1 gene:KYUSg_chr3.22115 transcript:KYUSt_chr3.22115 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSAAKLPPWLLTGSEGYIGDDVNERTAIGFTKKQLSIKATFFLNSPPQPSKLCVHCPDAKDIKNPRVLCVVGDHILFRVAITTRSIRIRSTSALLWSEYFLYRAERNRPMLKLLPDPPTDTFNDSEVGILPHGDGVFTIAALLRRRLCLDRFELLLFHSKAGNWTSMFLSVVGPPKKFPIEVEHRIDDLSCHFTTNVIVIGGEYGTIAWVDLWGGIVLCDILTLSEKPMLRTIPVPLPMGHITGNNGKGFHFGRGMDCRGIAFINGSFKFVELELDTTEFIHIRDKETGLPTLIVHGWTITTYSNSKMSCSYEDWQKDGMVRSSEVTINNSMVTHSYGLLPSSQEHSESEETREALQKLVLSDPSPSLGGEGVVYLVARVKLWHPKSWLISIDMRNKTLQSVVPLATPEEPYEDLMYYTSTQ >cds.KYUSt_chr7.23777 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148033533:148035459:-1 gene:KYUSg_chr7.23777 transcript:KYUSt_chr7.23777 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQEQHRLRDRRQLHTGNNFVTPKLVCPLRRPSGFALDYDAVILQVWRSFKFAPFHESWVVPALNIVGVSWDTMEKDRAEASSTSLKSERNLTSQPLFMRSMKMEMYSSPSSFGTHQGSNRPRSDDHNATTRMASRSRWRVLVGGKFGGARRIVRFCGLVDGRNLIGRPDNVAVTPAGVALPS >cds.KYUSt_chr5.16305 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104889946:104890827:1 gene:KYUSg_chr5.16305 transcript:KYUSt_chr5.16305 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPWAQAPHLLQEFEFFLVVDFEATCEKDKRIYPQEIIEFPSVLVDGATGRIQSAFRRYVRPKYHPVLTPFCRDLTGIRQEDVDGGVDLSEALLLHHRWLQAATAGARKGGSLAVVTWGDWDCRTMLESECVFKGIEKPAYFDRWVNLRVPFQAVLGGVGRVNLQEAVRAAGLQWEGRLHCGLDDARNTAHFLWRLCAGAPRSPLPAPWRRRRSSTRRRRSSSLTQVCAGVPATAEWRAEEALWRCQGRHRGGASGGVATGRQPWEPPAPTSCGPTESVAPPIAISGSV >cds.KYUSt_chr2.31889 pep primary_assembly:MPB_Lper_Kyuss_1697:2:196750036:196751019:-1 gene:KYUSg_chr2.31889 transcript:KYUSt_chr2.31889 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSALLVIVAAVLVALPVSAGHRDVKHPAAAPYRNPWSSFQNLSGCQVGQERKGLSKLKEYLCQFGYLPKQTSPSSSSRFNNVFDAQLEEAIKTYQCNFNLEVTGELDECTIDKMMVPRCRNADIINGTSAMGHSAAAVVHGRNLFTLFQPSGPWPPSKRSFKYAINATSTSIDRATLSNVLASAFARWSVATTLSFTEIATASDADITIGFYSGDHGDGEPFNGPTLAHAFAPPDGRLHFDAAEVWVAGSDVTTASSPAAVDLESVAVHEIGHLLGLGHTSVEGAIMYPSIPFRTRNVVLSADDVNGIQSLYGANPNFKGAAP >cds.KYUSt_chr1.41122 pep primary_assembly:MPB_Lper_Kyuss_1697:1:252264916:252267986:1 gene:KYUSg_chr1.41122 transcript:KYUSt_chr1.41122 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTSAASTLAFSHPKTLAAAAATLSAATSVAFPAAHPSCALATRRRAVAAMVAAPAKVGAAMPSLDFDTAVFNKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGVKQIGVIGWGSQGPAQAQNLRDSLTEAKSDIVVKIGLRKGSKSFDEARAAGFTEENGTLGDIWETVSGSDLVLLLISDSAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSAGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMDEELAYKTTVEGITGIISKTISKKGMLEVYNSLSEEGKKEFNKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYDKEGLPAFPMGKIDQTRMWKVGEKVRATRPQGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHASGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDAPINQDLISNFFSDPVHGAIKVCAELRPTVDISVTADADFVRPELRQSA >cds.KYUSt_chr6.14565 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91352999:91360820:-1 gene:KYUSg_chr6.14565 transcript:KYUSt_chr6.14565 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSTWWSWLCEDALKKKLSHGGLWGSNPQDFVKQAQGRKAFHLVEVKIVVIELKWNAQVKPFIICFYPPLLDYDGDIRVLTEGLPDEAAFVGSAEWRPRALLPRAQHAVTKFVTVILGQNGGPSSPTSMAEAMLINCWSSTPLEGQVVFLILGKICERMADLANMFAALDLDDEGDGEELEQPTSSKPATAAPAAAAKKIDGGMEKRKMIVNYDGENLSSLPGEYKMPLVWIDLEMTGLDIRKDRILEIACIITDGKLTKRIEGPDLVIRQSKECVDNMNEWCKIHHGASGLTEQVLLSDISEHDAEKQEVFCVVYFQVLDFIRRHIGSATPLIAGNSIYTDLLFLKEYMPELAGIFPHVIVDVSSIMALCIRWFPKERKQTPRKQKNHRAMDDIRESIKELQFYKDNIFKSRQSKH >cds.KYUSt_chr3.26112 pep primary_assembly:MPB_Lper_Kyuss_1697:3:162321173:162324949:1 gene:KYUSg_chr3.26112 transcript:KYUSt_chr3.26112 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDARREREVTAATFIDLSKQAIEAQRMDGMTKMLAEENRIMFADLSIDHGSGAKGHEAAGGGFVRAQGTRFVLNGNPYYANGFNAYWLMTMAADPAQRGKVTSALTQAAARGLTVARTWAFSDGGSNALQYSPGNYNENTFKGLDFVLSEARKAGVKVILSLVNNYDTFGGKKQYVDWARGQGQAIGSDDEFFTNAVVKGFYKNHIKTVLTRVNTVSGVAYKDDPTILAWELMNEPRCQSDLSGRSIQSWIAEMAAHVKSIDGNHMLEAGLEGFYGTSSSVNPAGHLVGTDFIANNQVPGIDFATVHSYPDQWLSSSDDRAQLSFLARWLDAHIADAQRTLRKPLLIAEFGKSQRDPGFTSAQRDAQFGLVYAKIYQSARTGGPAVGGLFWQLMADGMDSYGDGYEVIFAEAPPSTTAVITTQSRKLKLLGKALARGATGNGGN >cds.KYUSt_chr3.6675 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38339936:38345328:1 gene:KYUSg_chr3.6675 transcript:KYUSt_chr3.6675 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSGHRRRSSLQQSDGGRDRISALSNDMLHMILGRLGSARDAMRTTALSRRWRGLFPRREIVFRDVAFSSLEAELGRICVCHPSTKVYRIKTCVHGGCIPDERAVQSLINAANRLTPQQYSLVLPSSGICKGANLLDGCFHNQQPGFIRATCYVYLRSDAGDAVGLDGFFRSFPALEVPYAKLGLKTEFDDLELGVVELDLTLLRDAGLVPVLQGKISIVKRMQVREVRLFLRVGMRSVLRGIDALLLEKQECYKDEEHIRYGSSGRKIKYQNRDGRLEGFLRNVGRGLNLDSCTVTSPADAHQLHP >cds.KYUSt_chr1.1016 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5359518:5359724:-1 gene:KYUSg_chr1.1016 transcript:KYUSt_chr1.1016 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRREDSHIHRALQVPGSIAGRQEDAHLRRDLQAPATVLLPAVLMRKGKTSQSPPGLPLPELDLSPI >cds.KYUSt_chr4.13618 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83868800:83871631:1 gene:KYUSg_chr4.13618 transcript:KYUSt_chr4.13618 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCNWTGITCGHTRSRGGTMVKVIRGISLGGAGIVGKLDTLRFESLPYLVNLNLSDNFHLSGAIPSGISSLSMLSRFNFSGDQISGHIPASICNLGRLTQLDLSINNLTGKIPPAMGNLSRLAILYLTGNKLSGNIPWHLGQLQNMREMDLSFNILSGQIPSALANLTSLSYLDLSGNWLSGPIPEELGDIHSLQEIALAVNNLTGTIPPSLGNLTMLLLLQISLNQLTGPIPVELGMLSSLIELELPLNHLTGSIPHEFGNLTSLIDMSLQSNRITGSIPHEFGNLVNLEELDLSNNFIVGSVPASIGNMSSLSLIHIYNNNLSSELPTEFGNLANLESLQLNGNQLSGSIPWSFGRLVRMTDMRLFTNQLSGPFPSVLSNLTNLVMFALNDNQLTGHLPDLCQSKKLQIFEIFENNLDGPVPKGLRDCHSLTYLGISNNQIEGDINEAFGVYPHLIDIDLSSNRFVGHLSPNWGSCQNLTSIDFGQNMIQGSIPSELGELKNLGRLLLRFNRLSGEIPPEIGKLTSMYWMDLSNNQISGQIPKQIGQLSNLEILYLSSNLLSGKIPGDIGNCLKLQLLELNSNNLSGSLPGSLGNIASLQSMLDLSMNSLSGPIPPELSNLQALILVNFSHNQFSGGIPIWIASMKSLSTFDVSYNFLEGSVPHGIHNASAEWFLHNKGLCGDIVGMSPCPYVQHRRKHQKLILSVGVPMFVTTILIASSAIAFFLCRKKVSQKTNEESKRDVFSIWSFDGRMAFEDIINATENFDEKHCIGEGSCGRVYKAELQDEQVVAVKKLHAENEDAHDEERFQHEIEMLTKLRQRSIVKLYGYCSHPQYRFLVCQFIERGNLATILSNEEQAIQFHWQRKTTLIRDVAQAITYLHHDVHPPIIHRDITSKNILLDVDYKAFVSDFGIAKMLKPDSSNLSALAGTYGYIAPGKFG >cds.KYUSt_chr2.2377 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14348038:14351313:1 gene:KYUSg_chr2.2377 transcript:KYUSt_chr2.2377 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNVFRFCTALRGLGSIMILLVLAIVGVTYYAVVLCNYGPALLLGGGTTLAALAVLLLFHFLLAMLLWSYFSVVFTNPGSVPPNWNLDFDEERGETAPLSSSEYNSQTNSQQSMAVGDTGNPRVRYCRKCNQLKPPRCHHCSVCGRCILKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDVDIPGTPAALATTFLTFVLNLAFSLSVLGFMIMHVSLVSANTTTIEAYEKKTSPRWMYDLGRKKNFAQVFGNDKKYWFIPAYSEEDLRRMPALQGLDYPVRSDLDGQEL >cds.KYUSt_chr1.32364 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196453561:196456651:-1 gene:KYUSg_chr1.32364 transcript:KYUSt_chr1.32364 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTAWTKLTDEWTLRCCLPVEQSHGASRPVTPAGICSAHTATPCERPTPQPFPTTNQHRFQDAAMSLRRLLGLSSSAAAAAAAAAAPGRLWLRSLATAATHPPWASIKRAVTSVSSPSACVSLADPPRFSEVHIPEHLLKVSPCPDPDSDVVQMLAGGAFTSSGDGLLLVSQMDLRFTAPILGNPGAGRLRQQPTGIDPGHNPGVARFVFNPLTCQLTHLPNFVSDPVADITWGPSMGILTQTDRGHGPPDRFAVAGLQGHGDQMLRFLSETGEWEIVAVSPCLLPLARPRRIEIDNEALAFGGRLWWFDVAWGAVSLDPFSNRPELSFVELPRGSVLPAGAGDKAFSRGSPLPDADGNVWRTETHGTYRRVGVSQGRLRYVELSQEEPFLLSSFVLDKNQSSWTLEHQVSLRPLQQMRGATRILLIDPLDSNVVHLEVVIGTRIREMVVVDMNSWEAIGSFQYRGSTDCIPCVLPSWLGSSQIPSTGKKDIKKDKTLADVLVRAGTP >cds.KYUSt_chr6.22898 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144736657:144737681:-1 gene:KYUSg_chr6.22898 transcript:KYUSt_chr6.22898 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSSFKKYADLKQQVELDEMESGVGGQGVNLDKFFEDVEVVKEDIRGLESMHRRLQSANEESKTAHDARAVKSLRARMDGEVEQVLRRAKAIKGKLEALDRQNAASRKISGCGAGSSTDRTRSSVVSGLGRKLKDLMDDFQGLRTRMASEYKETVARRYYTVTGEHAEESKIEALISSGESETFLQKAIQRDQGRGEVLSTLSEIQERHDAVKDIERSLLELHQVFLDMAALVEAQGHQLNDIETHVARASSFVHKGTVELQSAKVYQKSNRKWACIAVVAGVVLVLVIVLPIIVNLKLLAGR >cds.KYUSt_chr2.11544 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73403854:73404498:1 gene:KYUSg_chr2.11544 transcript:KYUSt_chr2.11544 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNDSGGPTGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKHYLHKFREIEGERAAASTGGGSTSSTPQLAELPRNNNAGGYVGYGGASPGTGPGSGMMMMMGQPMYGSPPPAQQQQQQHQQQQQQQHHMAMGGRGGFGHHGGSGGAGSHGNQ >cds.KYUSt_chr1.18184 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106113258:106122237:-1 gene:KYUSg_chr1.18184 transcript:KYUSt_chr1.18184 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAVAAADAASPQPEEPPRDEAAAPVAESEEAPGPGAVAAAAPAAAGDAPSAPAPAPTSTSSPALPPPPASPTSAAAPGPPRPQFAASPAFMTPPASSPSPAFSYNVLPRAPPPRPVGSGAAHQQGNPDVSASKSDVPSAPEASPHTMQSLPPRPEVFGTVEGSAPGQSSSNLSTPPSLLGRPTTPSAPSLPQTSPSGAVPRTAQQQFYPSHPSAPGIIPPQPLWGYPTQPTSFQQAPFYSYPPGPLGPLGRPMIGTSAVTTSLTNIQPPGITTGGDPKEPPSTNPGSVQPIHSSVEPHPTGLEHKSTTGIQDSDAWSAHKTEAGVLYYYNALTGESTYQRPPGYKGELEKVAAQPIPASWDKISGTDWSIVTTSDGKKYYYDNKQKISSWQLPPEVAELNKNADSGSLKGSSTSLQEAGTIGNKGEISGEISTPAIQTGGRDSLPLRQTVVPASPSALDLIKKKLQDAGAFSVSSPLATPSSTASELNGSKPAEGAPKGQQGSNNGEKSKDNSGNENISDSSSDSDDEEHGPSKDDCIREFKEMLKERGVAPFSKWEKELPKLVFDARFKAIPSHSTRRAIFDHFVRTRADEERKEKRAAQKAAVEAFKHLLEEASEDIDPKMGYQEFERKRGTDPRFEALDRKEREALFKEKVRAIEEKFQSARNAVITDFKSMLRECKDIISTSRWTKVKEKFRSDPRYKAVKHEERENAFNEYIAELKSAEREVEQAAKAKVDEQAKLRERERETRKRKEREEQEMERVKLKIRRKDAESSYQALLVEIIKDPKASWTESKPKLEKDPQGRALNPDLGQGDAEKLFRDHVKDLYERCVRDFRALLSEAITPEIATRTTDEGKTAVSSWSEAKGLLRSDPRYNKVASKDRQLLHPPKRVREQESKTIGCIFKQKKKIIKLAGDNGIMEAFKLREEVEIKLKKKYEMEELLKNFGEDQSKDNEIT >cds.KYUSt_chr2.13176 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83501727:83502164:1 gene:KYUSg_chr2.13176 transcript:KYUSt_chr2.13176 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAATCCIEVCWSAAGCFRRQPTVAAAVGGHHSNPPLPATSPNQLLPGSKHIGGAVAEGCKSVANAIGDIYKRKG >cds.KYUSt_chr1.31883 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193421498:193423546:-1 gene:KYUSg_chr1.31883 transcript:KYUSt_chr1.31883 gene_biotype:protein_coding transcript_biotype:protein_coding MANARTTTPSPSTSSSSSSYSSSASDQDILRSLRRLARDLAAADPPAPFLRAVFASVSRRARLLLAVFDDLLLLGGALPRSASLCLREVLLVLQRFKAVVADCAARSRMRLLLQSDEVAARVRELQHDLATLLDILPVADLGLADDVADLLALASRQCRRPAPEAAVERELKAGVLALIQEVEREIVPGRERLEAILEEVAINDPAGCSEEIETLEREIGERVAERWTSAMIALVGLLRYAKCVLFSAATPRPLDSKVDVDLDSDDAAEPPAPPQDFRCPISLDLMRDPVVSSSGQTYDRESITRWFGAGKSTCPKTGQVLTNLSLVPNKALKNLISRWCRENAVPMEVNGETGKPGKPEPAPPVASNKAAVEAARMTASFLVKKLSASFSPGSDNRVVHELRQLAKSGGSGSRAFIGEAGAVPLLVPLLTSNDAALQLNAVTAVLNLSILEANKKRIMHADGAVAALCEVMGSGATWRAKENAAATVLSLAAVHTYRRRLGRNPRVVEEVVQLVRTGPASTKKDALAALLCLSAERENVGRLVGAGAAEAALSAVGEEESATAVLASLAKRGGADAIVKIDGAVARLVAEMRRGTEWSRECAAAALVLLCRRAGAAAVTQVLAVSGVEWAIWELMGSGSERARRKAASLGRACRRFAAANVVQDRECLTSTTVSPRAVAAA >cds.KYUSt_contig_2323.20 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000299.1:112375:112683:-1 gene:KYUSg_contig_2323.20 transcript:KYUSt_contig_2323.20 gene_biotype:protein_coding transcript_biotype:protein_coding MVPASCSTDCAAQTITASTTMVSPELAADSDAGEHGTSFHDIAIDVTSVLGAAATGDGVHAFCLVDCTARPSHHRAIDHARNHNTTPAMGIFDIHQPLHRQR >cds.KYUSt_chr1.8398 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51671897:51672208:-1 gene:KYUSg_chr1.8398 transcript:KYUSt_chr1.8398 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr2.106 pep primary_assembly:MPB_Lper_Kyuss_1697:2:649924:662507:1 gene:KYUSg_chr2.106 transcript:KYUSt_chr2.106 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEASGGGGKGVEEVGDANSGDRSLGSPGPPAAAASTSSSADNGNLHRSSTMPGVIKDAEIIAETTGPSNLERSKTERRRQNNDPAKQLLDDKISIRKKLKMLNRIATVKDDGTVVVDVPSTLDLAPVDVGADDGYGDVTVEESLDGADIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANYKEFILTAGLEFFPLGGDPKLLAEYMVKNKGFLPSGPSEIPIQRKQMKEIIFSLLPACKDADPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKTSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGSQGSGSDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASDYVPPEELVKWLEAGDKPIYVGFGSLPVQDPAKMTETIVQALEMTGQRGIINKGWGGLGTLAEPKDSIYVLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPVPIAVDQFNLQKLVDAIKFMLEPEVKEKAVELAKAMESEDGVTGAVRAFLKHLPSSKADENSPPPTPPGFLEFLGPAPCAYGKLEKERAD >cds.KYUSt_chr2.38996 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241781182:241781943:1 gene:KYUSg_chr2.38996 transcript:KYUSt_chr2.38996 gene_biotype:protein_coding transcript_biotype:protein_coding MRACRWSRSCSGGGTRDGSLAFKLSANLRRFGWIVPAYTMPANLEHMTVLRVVVREDFGRPLAERFLSHVRMALSELDLAAKGPVPKMRLTIELGPARSADEEASVRVVKREAVSGHRSVSLVTGKTKGISTYADGLRPSAYHSLALGVRYADGDPRRSSSGKVGLGRSHVALGVSATVGVEREGRGPGHPSAY >cds.KYUSt_chr1.41052 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251791455:251796698:1 gene:KYUSg_chr1.41052 transcript:KYUSt_chr1.41052 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLIFDAAFDGDLPRFKLLVAMLDTGRGRLREAVDALRWADEGMMKGLSPLHVAASRGSMEVCRYLVQDLGVDVNVVDGEGDCEMVKLLLAKGAYVDPVASCGTPLHVAATQGQDGTMKILLDHNADVNKMVNGKTPMMAALDADSKLCILILMKAGADRESYLAYSGEKLRAANVISADFFNSVMEDAAAGVTPNDDEPLAKRKTRVAGYKAMASYAFKTKDYNNAILTYSLLILLEPADATWFSNRSLCWYHMSDGAKALADANECRRIRPDWPKACYRQGTALMLLKDYKGASQRFCDGLKLDPGNAEIDAALRKALESLRKSRGSKAK >cds.KYUSt_chr4.51079 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316636434:316644146:1 gene:KYUSg_chr4.51079 transcript:KYUSt_chr4.51079 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEAFEAYFRRADLNQDGRISGQEAVAFFQGAGLPQQVLAQVWMHADKNKTGFLGRPEFFNMLRLVTVAQSGRQLTPDIVQSALYGPAAARIPPPKIAAAGPSPPQPGAAGAPRPQVNAAATPAPAQAGAAQMNPAAAPRPQGSGMMPTATQTPQVNAGAVPRPQGINSMMPAASQGGAMPANQFAGPRGTQSQPPNMGFNQQLPPSSTGFMRPPQAGAPPTSLQTAGMNQSPLGGGSMGGSLQATGMYQSPLGGGSMGGSVGWQGGNAGGFSQPSPGPAVPPQTTSGGFGLGMSRPMGGLASGLLAQAMSSSSLPPQSNSAVLPQDSRALVLSGNGPASSSGPSTDIFSALTQPKPSTSTPALPTSTMPNSSSFMSTPTASQNFANLTQPGSLHGTPTMSYGGSQSQQTLPPAKPSVPAPGVSAGVSNSTSQWPKVNQSDIQKYTKVFGDVDKDRDGKITGAEARTLFLSWRLSRDVLKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPSLPDSLKFDETLLRATGLPSTAYSAPSWQQNQGLPNRGPATPGLPTGGIRPPLPQPSHPQTDGATRQAQPRSYMPGMDNHVAPQGSKDDISGVNSTAQEVANAPKKVEVEKQILDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERASSDKREVELLSKKYEEKYKQVAELASKLAVDEAAFRDVQERKVELNEALVKMVQGGSVDGLLQVRADRIQHQLEEMEKAFSERCKHFGLQFKPSASVELPFGWEPGQQEGALEWDEDWDKFEDEGFGLVKDNGTIVENPASENAKSSSLWDDGVMDSPVASSNGHAKDFNHYRAGDQVPESELVYDFGDESVRSPGSAGRSASGSPFKSSRFGHDSSPTKKGTYSDHGGSESVFGDNYADETSWNFDDQDTESVWGSNANEAGNHGSNSFFGSDDFGGNSVRVSSPTGPSVYGKKSSFFDESVPSSPAYTSGFSPKFGESRDGSSSYNFGRFDSFASQDSVVPHEQSRFSRFDSISSSAGENTAGFDSSNSSRNFGRFDSFDEADPFGTQLGAWDRFPLLPKDNGAYAAETIDNRKWWMHHNSQVTCALQRHSEAPNWNEPRRWDRDSLSLAQLSSRHRGAGVADASCNGAVVPLLRWSTPCALLCLEASAWRGVESGT >cds.KYUSt_chr6.19918 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125633916:125644333:1 gene:KYUSg_chr6.19918 transcript:KYUSt_chr6.19918 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVAPAAPPPRDFRSLQWFRDSRIAPGEECPEEKVLSDYRTARRTVVTRERDGLDSFVPSPAARSGRAGVHHRAAEAGTTAKPPLTRRRLLRPLLVIPLAPSTTTSPPSLTGRRRFPNSDLPFGFQDWRSEYSVSSERVISEERHNAFDSLKDKTLGALSFFGNVSHSENLNRSTPEEKKAKARVLDPQGPFLQRWNKIFVISCLIAVSVDPLFFYIPLIDGDKNCLYLDRKLARVASILRFFTDIFYLLHMIFQFKTGFVAPSSRVFGRGVLVEDTFAIAKRYLSTYFVIDFLAVLPLPQVFVLIVLPNLQGSEVMKAKDVLMIIVTCQYVPRLVRIIPLYLQITRSAGIITETAWAGAAFNLLIYMLASHVFGAGWYLLSVKREDTCWRDECNKRGLGFSSLYCGSTTAGENDFLRDVCATNGDADIDPIFGIYQPALTTVSQSTSFFEKFFYCFWWGLQSLSSLGQNLKTSTYTWENLFAVFVSISGLVLFALLIGNVQTYLQSASVRIEEMRVKRRDTEQWMAHRLLPENLKERILRHEQYRWQETRGVDEEGLLMNLPKDLRREIKRHLCLSLLKKVPMFENMDEQLLDAMCDRLKPMLYTEDSCIIREGDPVNEMLFVMRGYLESTTTNGGQSGFFNSNVLKGGDFCGEELLTWALDPAAVSNLPSSTRTVKTLSEVEAFVLRADDLKFVATQFRKLHSKQLQHTFRKKLEDALFEKEKRLQAAIVSDDSTKLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEGE >cds.KYUSt_chr5.21291 pep primary_assembly:MPB_Lper_Kyuss_1697:5:138908930:138912319:-1 gene:KYUSg_chr5.21291 transcript:KYUSt_chr5.21291 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGADAQPKASKASTPQEQQPPATSSAATPVVYPDWTNFQGYPPIPPRGFFPSPVVSSPQGHPYMWGPQPMMPPYGTPPYVIYPPGGIYAHPSMPPGTHPFAPYAMASPNGNPDATGTATTTATAGGQTDGKSSEGKEKSPIKRSKGSLGSLNMITGKKSVEHGKTSGASTNGATSQSGESGSESESEGSEANSQHDSQHKESGQEQDGEIRSSQNGVSRSPSQAQLKQTLAIMPMPSSGLVPGPTTNLNIGMEYWANTASSSPAMHGKVTPTAAPGAVVPPEPWMQDERELKRQKRKQSNRDSARRSRLRKQAECEELAQRADVLKQENASLRDEVNRIRKEYDLLISKNSSLKDKLGDKQYKTDEAGLDNEPQHSGGDS >cds.KYUSt_chr4.53639 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331857903:331859045:-1 gene:KYUSg_chr4.53639 transcript:KYUSt_chr4.53639 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRKVSAAIVAESEERSFVFKVHGYSRAKELFKNGECVASPPFSVSGDSWVLKYYPNGRSALSAAYIFLLLHSVDAKNVNAEARSVRVLGKDGLPLPVTQDMGNKSVPALTADLEFLLAWMSRPKNSYFGYHVDREKLEQSGCMIGDCLSVMCDLTVKKHIHSEETVGNQFVLVPPTDMQLHFSNLLDSMDGADVTFHVGGEEFLAHRIVLAARSSVFKAELLGTMKEKTGSPIEISDMEADVFKSLLHFIYTDSLPVLELASKQGEARRDVVMAGHLLVAADRYNIGRLKLICVHKLCSHIDANMVATSLALAEQHGCSGLKEACLQFLASPSSLEAMKASDGYKHLKISCPSALKELIARLLPVEMKAAKEIIMEI >cds.KYUSt_contig_2592.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000402.1:77089:77547:-1 gene:KYUSg_contig_2592.18 transcript:KYUSt_contig_2592.18 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNTLIDTVMGELHARGKTLHNVAEVLRAVPIDPHVVAAIKAAYGLGCDLRVLCDANLFFIETILNHHGLRGYFSEINTNPSHVDADGRLRIAPHDDYHAGPHGSGLGTCPPNMCKGQVLDRTHVGISSNTLSAVVTKTLSAAASLGIGVC >cds.KYUSt_chr3.31065 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194921142:194922575:-1 gene:KYUSg_chr3.31065 transcript:KYUSt_chr3.31065 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGTGKRGHAGNGDGGGGGGGPALLGNQGLGSYAQPGHSAGGGDDGLAAALLDALGLGSFARLALPFPPDGLGLAAAADPCSDRILVSLGGASAIASPADLAAALLLPLGATLSLAPEYAALFSSVEAIAAVRVFVHDRLLQLGGTGGGQPLPAETVEALQLVEGGRAYAVDWGRFVWAFLRWDVLVGNGRRCGQYLLRLMRCQRPDLFSEFDGRFLGERKKGLILQQQHQETLFHGNSGHGQLMAAEETLLFGQSKNIGKMPASGYVNDQQHRIEPEDQEPDNHDSVAPSLPSFYASRQQVLAHFSSMENAFSERERTLAHNRAEIQHMKEKEREKDNQIAYIVKEIEGELKARFTKIKQLEHDKMAMAKTLHWCKTMLQQSSAAFSEYRKMVCEESGGSSLDAVADEKNRVRLMQQQWHAHEIINDIQKRLILKFSASAEQITVLLRKLADLNHEVQRLKGSRSIPDLNVGPHL >cds.KYUSt_chr2.37877 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234449568:234451328:1 gene:KYUSg_chr2.37877 transcript:KYUSt_chr2.37877 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPSSLSEVSSLDVLVLDGCTKLQDVVPDVLPHLLRSFKLDAYGPPSRRIPNVEQPMEHISSSTGSNKNGSNNISRISLQGCTRLDSLFLRGLPNLVELDLSGSAIKVLDFETMVVEVPGLKRLFLLGCEHLRAIGWGKLWIVYGTKLDLELLCIDTRAGTVRPRPPLSKNKPLRLQVHAVVEDARLAWSLCPPMIMGARDDGLKEVYFNIHVTSSLVHNGSVQLRELCKKKTSMHGDQVSMQLSGIPPGSQYKAVFSVVSAVPLMQGFPEPPTSNLDYHIEIGEGGRSLESALDGHNNYDINYNDCNLAFIMKWFAESLHVHDVSVSGSMPMGYWNMLKQCRMERCPKLGTVFPWGSQGNSSGSSGFETLETFWACDLLMARQIWSKGSHINVTSTKSFRNLQHLHLSSCPRLQFMLPVWVSSFPSLETLHIIHCSDLKHIFVLDGWYPEEIATNGVAFPKLTAIYLHDLPTLRQISEMMMVAPILETIKIRGCWSLRRLPAVNKSRGPGMKKPTVEIEKDVWDALEWDGVEAGHHPSHFEAPVHSRYYKKKLPRTSVLRYTRRLHIHVSLSVLLLNAYSELA >cds.KYUSt_chr7.15963 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99072374:99073114:-1 gene:KYUSg_chr7.15963 transcript:KYUSt_chr7.15963 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPWLDLPFTFLTLLLATRLAYDYYGYVAATIAGSFSLQIFLFYCFARWYSQTMRPRTDLLPSTSRRHDDGGSGATPVLTPLLETTAGAGTGAGAAAASGTLLANRCLAFVFMVFVPLIIVIFEKSQADVVAYGLCLANIVVMVVWLSPDSAATLAATKSFLTLSDDEEDDGSAGAEDKCCVCLAGMREEHDLRDLTRCGHQFHDKCIGKWLKSGHPTCPVCRALVVPPQQATHADPHHDSISPV >cds.KYUSt_chr2.16046 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101063983:101065408:-1 gene:KYUSg_chr2.16046 transcript:KYUSt_chr2.16046 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGTVISLASIHDVEAGARRRVLYRGFVSEVFVPYMDPAEEWYDRTFLDTGEFGLGITAFPLQHGADCPANAAYLNGYYADQDGKPVENKDTICIFERYAGDIAWRHTESAFPDRLITEARPDVSLVVRMAVSCGNYDYILDWEFKTSGTIKFVVSMTGILEMKGTDYTHADQIKQDAHGTLLSENTIGVYHDHYITYYLDLDVDGTNNSFVKNSITTKRNTAGTPRKSYWTVRRDVAETEADAQVDVNVAAADLLIVNPNKKTRMGNEVGYRVIPGGATAASVLDDDDFPQRRASYSKKQLRVTPYKRDEKWAPGLYADRSSGNDGLAMWSGRDRGIRNEDIVLWYTVGVHHIPYQDDFPVMPTMSGGFELRPANFFERNPVLKMRPPRLEYDLPTSVNCSCAGNSS >cds.KYUSt_chr3.29966 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187653531:187653911:-1 gene:KYUSg_chr3.29966 transcript:KYUSt_chr3.29966 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTTTPDANEGRTACVDLVVTLEAPKASPTSPTLEGETTLEAFIPKQRGRRLAAGAATPTHLNPASLVSKSINSSRDAGLRSRLIKIAHISVATSRAENILLSNNGSYNVGLEGPGGQLLNGIFL >cds.KYUSt_chr2.7955 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49841433:49843915:1 gene:KYUSg_chr2.7955 transcript:KYUSt_chr2.7955 gene_biotype:protein_coding transcript_biotype:protein_coding MREERQAVVVTMVFLQAEMSWNVLIPADQLSPEGLLLRKSIIVRLLEDITNRKASKDHGYYIAVNELKAISEGKVRELTGDVLFPVTFTCITEKPMKGEILVGSVEKILKHGVFLKSGPMENIFLSEKTMSEYKYLGGESPMFRKDHSKLEKDTIVRFKVMGFRWMEADRQFQLLATLAGDFLGPL >cds.KYUSt_chr2.53663 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334728814:334732210:-1 gene:KYUSg_chr2.53663 transcript:KYUSt_chr2.53663 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEGAAPSDRSPPQLLFPKGTALPPPLHPPVPPLNSSYPGAFTPCVEQPVSGKIFAEPLDPVHVCEEEKGSTPVRKKEKDGCQIRKKIRRKKESGEACEEPQRSKDVRGAKRSLSRASESSRAAKVARTIDSKVVMTQLKTKMKGRKLPSIISVSPMLNLIARKKAQTSGRSPNQLARSPRSTGTLKSPSLDALSPVSVHATPASLHALSPIPGHGAPSTMGDRLFPAPTHGSKRKPRKLTSIIWREAEPIYIDGFLMQGQCNYCNNIFPASKVSAEVEDFNSMSQEQSGEETGLADDALSDFDEHIKLKKANSCNELQRYLEEDFHPRTPDFDILKWWAVNSPRYPVLGNIARDVLAVPASTVASESAFSTCGRVITDHRTSLGAESVEALMCFGDWIRRGEPSCEESKPHEVS >cds.KYUSt_contig_554.262 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:1531781:1537695:1 gene:KYUSg_contig_554.262 transcript:KYUSt_contig_554.262 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPVSRRVVLSFLDFLNSVELAPGADPEALEVAKDCLESIFSVNSSSTREGVQPGLLLELFTSLQAHEQDRSRPDPVSQPVPNRPACSASTSSMQDDSNKCTTSNSEDQAEDTFDLDHLGDELFVKFYAALDEINFFKTSSAGTEDPGQLSKASQFFDDALLEVRNSGRKTASLVDLAEFFKSKGNDFMRSKQHLKAVELYTGAIALSKKNAIYYCNRAAAYTLLNMCNEAVKDCLKSIEIDPKYSKAYSRLGSAFFAMGNYHDALYEGYLKASELEPNNENVRQNIEATKKKLAEQRAPPGQPPQHSVNINLNDFFGHANVNGNRQGPTTGNPGNHTPPSPFPANAAVPPAFSFMGSGSTEANPAHQASGEYSGPGAQTDAGVYVNLAGQEQAAEALRAVMQMFGPHMGPNEGAPPRGPGST >cds.KYUSt_chr1.38777 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236909445:236910401:-1 gene:KYUSg_chr1.38777 transcript:KYUSt_chr1.38777 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAADERVFCPDCHRATEVVLDHATGDTICTECALVLDAHFIDEGSEWRTFSGDAGGGGDDRDPSRVGSTGDPFLDAKLSTAIAYSKNPNAAAAGDNTKSLPRMSVPFDAASASDRALADAFRGIADMADRLGLVATIRDQAKDTYKRLDDAKGCPPRGRGKDALYAACLYIACRNLGMPRTYKELASVTADGAESRKAIGKMTTHIKRVLGEEGGGQVLDIGVVRSADYLRRFCSRLGLGNQEVRDAGEAVRRLEQGLDVRRNPESIAAAVIYMVVQRTGAGRSVKDVAAATGVAEGTIKEAQKDLTPHAQMLFA >cds.KYUSt_chr1.19022 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111725622:111727631:-1 gene:KYUSg_chr1.19022 transcript:KYUSt_chr1.19022 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRGSNHHSTGSLKNMTMLTLGDTILSMRAGTQELSEGALLSKDTTQVLLWFCWDSSTSAPGSGRRPRDRRGAAPDSTAV >cds.KYUSt_chr4.36659 pep primary_assembly:MPB_Lper_Kyuss_1697:4:225389468:225394294:1 gene:KYUSg_chr4.36659 transcript:KYUSt_chr4.36659 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNSPDGQSSGGSPEERGSSGGSGGGGGRGAGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQMQAAAAAAAAASSSASAAANTSPAASSTIMGLPSLAGAVHAMAPIGGSACQYEQQVSSSSSSGSTGGSSLGLFAHSTGMSSAAAVGYLQASCGASSPLASGLMGDIDGGGSDDLFAISRQMGFVGSPGGSSSAATTTAVQQQYYACQLPAATITVFINGVPMEVPRGPIDLRAMFGQDVVLLHSTGAVLPVNDYGTLIQSLQMGESYFLALSWPLYSRPGLESHVRTRLGYIEI >cds.KYUSt_chr1.40779 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250086996:250087636:-1 gene:KYUSg_chr1.40779 transcript:KYUSt_chr1.40779 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKGHLSLLLLSAVVASLVAGSSAGIYHIVGAGKGWRIAPNRTYYEEWARTRNISIGDKLMFLYRSGVYNIVEVPSKDLFVGCSMRNITNRYQNGPTIIELTQPGPRYYFCGVGKHCEEGQKLTINVSAVAQQSRVDDLAASSSSDVTAAHRLLCLAACLLASASSMLM >cds.KYUSt_chr2.51002 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319001360:319001850:1 gene:KYUSg_chr2.51002 transcript:KYUSt_chr2.51002 gene_biotype:protein_coding transcript_biotype:protein_coding MLSREVINSPNVYSKFLELKSLNITIGELPFGRSFDCSSLVSLFGASLSLETFISHVSNELKQHAMAFGDPSGLWILGTGGGLSPMNKGLVELACHVLRAWLS >cds.KYUSt_chr4.35618 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218704734:218705267:-1 gene:KYUSg_chr4.35618 transcript:KYUSt_chr4.35618 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGYRALGACTESLGSESGDVGGDEIDHLPAVLCAGPDEDVAGDAVVPQTCPGKRQRTTERRLPPPMPRAADAFMRAERRGGRLILTEVVRPAERPRGVFRASRADGRLLLRFAEQEEEEPCSYGVEASAAPAPASPEPAEPENGVVVGGCCNGVGFSQVAPGTGRRVEIGAVMGI >cds.KYUSt_chr2.54153 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337995237:337999915:1 gene:KYUSg_chr2.54153 transcript:KYUSt_chr2.54153 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFVFRDLEPSLQNLLAQAESCGGGVEAVVGCSSQPSYVAPAVVPGDGSSSNGSGNSVAGAIGEEGDIDHTQAEASVTRAGDVGGGAVVSGWKRRNRVGKAPPERALNSSRITALERTLREFPARSNGEVIVPEVGVSFDSIGEAYDFYNLYSCERGFGVRAVRGQQIRTPPVCLCPALIRLLRTKDNGWYICEHRDVHTHDLSASFGERAHWPSHRHIDSYTKDLVKQLRENNVNLGKVYSIIGSFFGKMENIPFTKRALKTLCGKISSEQADDDVRKTIEVFSEMGAADPEFTYSVQVDDDSRIMNLLWTTGKGRAQYHYFGDAITFDTTYRTNVYDMPFGLIVGVNSHFQSAIFAGVLLREEKVENFEWVFREFVKMMSGKKPVTILTDQCRAMEVAISNVLPATKHRWCKWHVLRKAKERLGALYGKNSQFKVDFHQIVNQMLTKEEFEGAWLQMLSTYALEKNPYLYQIYETRHKWAKPYFSGIFCARMTSTQRSESANHMLKTYVPPGSAMHVFVKQFNKLLYDRDSEESFQEKRTRLGGVVYKVGGPMEKHAAKIYTRTMFEKFQEVLYKSASYYIDELVPGEVYRASHFDSGRREKWYKVEYKVLVHNGYYTCECGMYEHMGMLCCHVVKVLLHLRCTEIPALHVMKRWTVDARDVLPLHLVQYQKDQGLVTSFSFMHSQLYLNCMEVVRLGDVNVDAYTTAMESIKVWRRSVCGVFEKLAPKPGAVLVVIEDSIGQDALSVQAPVLVSKHMSTGAGVRNRIFSRRGTENAFYGPSNPSIGVFLTSNVVGPFYTMYLAYIAHRRIAYMRVTSLRKANSSMPHR >cds.KYUSt_scaffold_2697.244 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1634020:1634868:-1 gene:KYUSg_scaffold_2697.244 transcript:KYUSt_scaffold_2697.244 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHTSLPPLPPPPTPTPTPPALRTAAGGGGVEFRRKLHFLSAELHLDPFPLLALHPELRSAPLPLLHDSLRLLLSHGLSAGDASRVFAAFPALLTSPPEESLRFLSAAAPLPPPLLRAAVVRSPRLLAASIPDTLSPALHFLRHRISLRRRPLPLAAALLLAFSVDRTLLPKLLFIGEATGLPDPAVCTIIRRAPAILSYGIQTNLTPKLKFLADGMGKDPATELTEFPHYFAFSLEGRIRPRHQTLRVRGVEMSIKDMLTISDDEFKERLVDAALSRQRT >cds.KYUSt_chr4.38345 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236526775:236534263:1 gene:KYUSg_chr4.38345 transcript:KYUSt_chr4.38345 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWRLQLAVSARTTDGQPSAPQGTSVAGWDGDEDDLPRHTADSCPDLDGSCWTTGLLHLGMASSASSLKRACRITMFLQPPEVSRICTERFCAGYADISCLRKAAQVANNDENAWARGWPLLDYQLLDLDVRLGVMLTYLVYERQHKLRTMMKMHGLGDGPYWIINY >cds.KYUSt_chr4.14649 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90375198:90376998:-1 gene:KYUSg_chr4.14649 transcript:KYUSt_chr4.14649 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTLAALKLFGSQLSGSTTAPDSEGSSAALMLFNIRFQRAWIQGVIVHADYSTDDGTLFLDDGSSVTELLLQGDDAKGQTWRPGMYVLIIGAYIAPDGSRPTVKVHKIVDLSAQPNREAMWYMEVAEAYDFFYASAGSTS >cds.KYUSt_chr2.10346 pep primary_assembly:MPB_Lper_Kyuss_1697:2:65530130:65533351:-1 gene:KYUSg_chr2.10346 transcript:KYUSt_chr2.10346 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLVFLVLFLAATATLKQAAGRVDPRNLPVEKEAAATAGGVVVFLPPLPALPSYPPLPPLPSIPPFPTFPGFTPTPVSSSQPANCMDPLTPVYSCVEYLTSATAAPTQICCQNFRELVNSNGSICLCHVITANPRLMRYINGTISQLRMLTLPFTCGSRIPLELVSCFGTVVQKMRWPQPGCQSYPLPQGLSHDHVLFVVQIRRSSSSRRRPTARHCRLQLPGEREFGADPLGVGRLPRRAHRPVASPFPAQEGLVGDLTELLFLDTDCAVEVIRRPSRFGLLFLETHSAAAALPSSALAAVPSVPLAS >cds.KYUSt_chr5.5587 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34930367:34931509:-1 gene:KYUSg_chr5.5587 transcript:KYUSt_chr5.5587 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSVCHVMPALHMPMPMPAPPPQLDMWSAIQTNIDGGATKPLPTPAKASYPMPPRRSMSNESLDLCTESLGSETGTHGDFLDQAAVMACLYQTTDDSSPALADSFPPPALREEEEEVQEETAAGELTEVQYHRARPQRAFPPPLPSMSRRRGDDAGPCLRMLPHRRDGRLVVEAVAVKPQGYLHARRQGGRLRLCFVDCASSSARDDQKPSVLGVAQLQVQEPEQEEVMEEEEDDEEDEVEVVDRGTVVEVVAASGKAQRCSRLVINKFVGGAPATDDGKSRAPHSDDEAAPPAPGLRRVPSSTTTLAAAVAAASTGMEADEYDEEADNGGQHGEQSATMLLFTSRVGDREELVQSVRRCRQLRQRPLFIVEPYTIIAT >cds.KYUSt_chr1.26165 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157404013:157404759:1 gene:KYUSg_chr1.26165 transcript:KYUSt_chr1.26165 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPLATVVAVSRLQLLPSPGTTSGVDTALASDPVGVSTRPMAAASSLSLKGLLTGTLGTPAWSCCATAWGVDVDADDGRRCTCPAWGVDVDADDGRRCTCPAWGVDVDADDGRRCTCAAWGVDVDADDGRRCEGGGVGVPARARTGTWVLGRQAQRWRSLDTSWARGCCGERPPQPGRRLEARILDSRRRSSAKAAERVRPAGEEEYGEAVGDAGAGVSIAMARRMGTGTGTRRCGGHCRGVMGGRS >cds.KYUSt_chr1.34378 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209331087:209332320:-1 gene:KYUSg_chr1.34378 transcript:KYUSt_chr1.34378 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRTRTGLRHRPLWTDELHHEHDPDDTRDGGCSARGSGQPCAHPAAAWPVMAANALTGPVPLELGSAPALSELDLAGNNLSGALPPSIRNLCDRLAELRLHGNALSGGHPRAGGAQRHLRPPPPARPRRQPLLGRLPGLPHGLPRPPAARPRREPPLRARPRLPRRDEGPPDAQPLLQQLLRPAAPGVRGVARAHVLAYERPDARGRYLCIGAVLHRPRGVHPAHEGVEDGSDDAETCAR >cds.KYUSt_chr2.39878 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247557624:247562623:1 gene:KYUSg_chr2.39878 transcript:KYUSt_chr2.39878 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNGNAGKKPAPSGGRGGIRTLADINRGPAGFPGAGGSGSDSDEPQEYYTGGEKSGMIVQDPTKRNNVDSIFEQARVMGAQQVPLPSFDDQSSSSRSFTGTGRLLSGDVQTAPSVPEPPQDVLHNIHFWNNGFTIDDGPLRGYDDPENADFIESIKKSQCPQELEPADRRTSVHVNVIKRYADYEEPARPRSSFQGVGRTLGGSSTDDSPAPTPVTQEPNSAPRSISFVVDDSQPFTSIQLRLADGTRMVARFNLHHTVADIRGFIDASRPGAARPYQLQTGFPPKLLTDSTQTVEQAGLKNSVIMQKM >cds.KYUSt_chr2.7154 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44858021:44859240:-1 gene:KYUSg_chr2.7154 transcript:KYUSt_chr2.7154 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLAIVILFASLGAVASQAPAATPTESFQGSSPSDISSPPAAAPSDIFGSSAAPSTSTPPSAMSPTPPPSAGTKLRVGFYKRSCPRAEKIVRAAVWKALSKSPVIGAGLIRLHFHDCFVQGCDASILLDPTAANPQPEKLSPPNFPSLRGFEVIDAAKKAVEKVCPGKVSCADIIAFASRDASSILSSGRINFRMPAGRLDGRVSLSGEALQFLPPPFFNLSQLIGSFKAKNLDVDDLVVLSGAHTIGVSHCSSFTDRLPPNPSNMNPAFATMLQRKCPTSPNITNDPTVVQDIVTPNRLDTRYYTNLLKHNVVFTSDAALLTSRRTTRKVLQNAFIARRWETKFATAMVKMAAIEIKTAANGEIRNMCRVVNN >cds.KYUSt_chr6.577 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3588742:3590067:1 gene:KYUSg_chr6.577 transcript:KYUSt_chr6.577 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSSSHHHRARLRSRAAPLFAVVVLAVLAVTALLRASHRYGDLAPPAFAAAARRAARNQTIAQRKILLDPSFTPRLPRQSALSLSLAHRNALPPRNADRFPSLPDGHLKIVLYVHNRPRYLRLVVDSLSRVDGIGEALLVVSHDGYFPEMDEIVKGIAFCQVKQIFAPYSPHLFPDSFPGVTPGDCRDKDKAAEKRCQGNPDQYGNHRAPRIVSLKHHWWWMMNTVWDGLEETTDFDEHILFIEEDHYIFPNAYRNAQLLVDLKPKKCPQCYAVNLAPSDVKAKGEGWESMIAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGAPVYSLRGPRRSAAHFGKCGLHQGHDPGNVCADNGVGAVELDAIDKVPNIKADWPVHIIRKQQGYQAGFKGWGGWGDRRDQELCLSFAYMYHVKDPLSA >cds.KYUSt_chr3.23613 pep primary_assembly:MPB_Lper_Kyuss_1697:3:146014494:146017818:1 gene:KYUSg_chr3.23613 transcript:KYUSt_chr3.23613 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADRLRLRAAALALDSGAAVRDKPDSKANVFADLGSPVSPLRARASVTTSSSSSSGSAKSPAQSNVGAAGLAGGRSHSGELAVESNPPRLPGHRRCGSGPLIFSGGGSSSGGSGGVAGDRGSTASSPMANALPAGNIRPSGRVPGAAAAPPPPPRSRPDVLGSGTGHYGHGSIMRGAGLAPARSGIDAPSFLSRSPASSPAARGTGGGLQEMTRLGNEWYKKGVHGEALRYYDRAVSLCPESAACRGNRAAALVGLGRLADALRESEEAVRLDPASGRAHSRLAGLCLRLGMIEKARRHLTQARHLQESDPADWENLQDVEMHLGRSTDARKIGDWKSALREADAAIAAGADSSQLLRALRSEALLRLHKLEEADSTLTSLLKLDTALLSWTAVKLSGMLVESYVNIVRAQVDMALGRFDAAVAAAENARRIDPGNAEVGMILNNVRLVARARAQGNELFKAAKFSDASIAYGEGLKYDPSNPVLHCNRAACWWKLDRWEKAVDDCNEALRIQPNYTKALLRRAVSYSKLERWADCVRDYEVLRKELPTDTDVAEKLFHAQVALKTTRGEDVSNMKFGGEVESVTNLEQYSAAIRSPGVSVVYFMGTMNQQCIQVTPVVDSLCSECPSVNFLKVNVEDSPMVAKTENVRIVPTFKIYKDGVRVKEMICPTLQVLRYSVRHYAVSSS >cds.KYUSt_chr3.43814 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276583026:276584372:-1 gene:KYUSg_chr3.43814 transcript:KYUSt_chr3.43814 gene_biotype:protein_coding transcript_biotype:protein_coding MDVETPALTQPLEQQQLASAAAAGVAAKPLHRNPRLVLSFVLMVVGWASGPLLLRTYFLHGGNRKWLSSLLQTAGWPLLLAPLTVSFLSRRRCSTNKTPVFFISPLLLAASVVVGIMTGLDNLLYAFGLDYLPVSTSSVLMSTQLAFTAGFALVLVRQRFTAFSVNAVVLLSVGAAMLGMNGGGDRPAGVTRVQYYAGFGLTLGAAALYGLMLPVIELSQARHVARSRCAVTYTLVMEMQVVIGFSATVFSIIGMIVNNDFHAIPREAQEFGLGKAGYYVLLVGAAIMYQLFCLGIMGAVFYGSALLGGVIMTVLIPVTEVLAVLFFHEPFNGIKGIAVALSVWGFVSYFYGEIQIHAQSSNKPSNAEKLDL >cds.KYUSt_chr1.17547 pep primary_assembly:MPB_Lper_Kyuss_1697:1:101958889:101962949:1 gene:KYUSg_chr1.17547 transcript:KYUSt_chr1.17547 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNDGGQSKRPIVLFCVMVACLCLLFLYFSGSNGGQAGSAALEYGTKFTRSLGWGSDADGDDGLDESIFGTGEASDVKLKSFPACDDRHSELIPCLDRNLIFQMRLKLDLNLMEHYERHCPPPERRFNCLIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAKEKSDQNWMIDAGEKIKFPGGGTHFHNGADKYIANIANMLNFKDNIINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILMLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKEMSSLAERMCWTIAEKKNQTVIWVKPLNNDCYRSRPRGTNPPLCKSGDDPDSVWGVTMEACITPYPEQMHRDGGSGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVDNYWNLLSPKVKPDSIRNIMDMKANFGSFAAALKEKDVWVMNAVPHDGPSTLKIIYDRGLIGSNHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRILRPTGFIIVRDKAPVIAFIKKYLHALHWEAVTVVDAESSSESEENEMIFIIRKKLWLPGGSQDST >cds.KYUSt_chr6.541 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3346652:3348410:1 gene:KYUSg_chr6.541 transcript:KYUSt_chr6.541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal protein, Response to salt and low nitrogen stresse [Source: Projected from Oryza sativa (Os03g0117100)] MSSLEATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQNVDRSTTLARKVFRLFKWVNDLHGLISPPAKGTPLTLVLLGKSKNALLSTFLFLDQFVWAGRSGIYQNKERTDRIARLSLYCWMASSVCASLVELGELKRLSKSMRKRAKEIRGTADKYEDEQYLAKMKQSDDRLLALVKAAVDVVVAVGLLQLAPKKVTPRVTGALGFITSLISCYQQLPSRAPPAKVKA >cds.KYUSt_chr1.32549 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197680942:197682083:1 gene:KYUSg_chr1.32549 transcript:KYUSt_chr1.32549 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQLLDRQHVWLRSAEHGTYLHADEDGHGVSLSRRRASMKSAWVVHRYYGDHLHVLFYSAAYGRYLSATDAPAPRGCRGFRVEQRNYDELEDLAIRWQPLRASTRDEIVLRHVVAAGSNDYGLLRANGRDRPSKKHVVSVERSNNISTMMGWMVEPIPSRERIPRLPRPTLYPASFFDLLNITMLRNSTQLHLQLPALLPSRVVTFMADSEQFYGNDVSFTFRGRSVYRLRNELARQLGIPMNACNNIVLYVRAGTFGRFTPLVVDDLHRSRQPLVITADSPGETP >cds.KYUSt_chr7.37989 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236817079:236822680:-1 gene:KYUSg_chr7.37989 transcript:KYUSt_chr7.37989 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGSSITPRDLECMLCDETGEPKALLSLLEEITNGFSDEQQIGSGGFTVVYKPPMAVRCLLGLLAVFLISLSGYVGETWPPVPALMVFGDSLVVVGNNDYILTALKADAPPYGRDFKGHVATGRFSNGRLLSDIIGEKVGFSGSPPAYLSPQASGQKLLIGANFASAGSGYYDPTAFMLVSIIDNMSSLYPNNSSLDYFKEYTSKLAVVAGSSWAQSIVSNSLYIISAGSTDFGLNYINPLLFKTETADQFSARLIGIFNNTVTHACRCFLVTTSGLYTLGDHGVGLGRSRCVPRLNNDAQRFNSKLDAAVDSLSQRYSDLKIAVLDIYTPWHSLDSHFSRATSMLYDQLRPGLVEASPPPSSHPHDAAAAAASSSPQDSAACFCFVSSLALPPMATGQPSDEKNPAPVPDKKAPLPKVVTLKKALNLAQTWVDKMSGPEPDELNDKDFEGRPSGLGLGARVAPNAKRAAPTDPVERRLLGKVNAQKRKSAEEEKINTQEVDEESDDDSGEPQGRTSACSKKRELLSFTSLPLGKKAK >cds.KYUSt_chr1.25489 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152900309:152901064:-1 gene:KYUSg_chr1.25489 transcript:KYUSt_chr1.25489 gene_biotype:protein_coding transcript_biotype:protein_coding MIVADFEDGDGMERRLGSGYGEGGMASVSELVARVEELGAELEFERRMRRKVEALNDALAAELAEERRRTEAERARVREELDEERRMLRVAELWREERVRMKLADARDAFVERLREAADADAGRRAADANAGNCGCCCRSSGVGSPIGGKASPASGQRSPAGGQHGQQSPTRGQSHRREGTGGENPHIRRGIKGSVEFPRAVRVRPRGEDRVDLASNLECQRAQLRVLMRHRSPATDAMGLGDAAPDNLVV >cds.KYUSt_chr6.17587 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110719625:110724224:-1 gene:KYUSg_chr6.17587 transcript:KYUSt_chr6.17587 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNNWLGFSISSQENPQPHQDSSPPATIDIPGATDFYGLPTQPAPDAQLGVPGHHAPYGIMEAFNRGAHETQEWNLDYNGGGSELSMLVGSSAVGGKRAVGDETEPKLEDFLGGNSFVSEQDQAGGFLFSGVPVDNRTISNGGSNTMELSMINSWLRNNQVPQAPHPQTVPPPAQQPQLHEEIMSTDASARSSDELVGNSVMAATGSLALSMSTGSGSGSHLPMAVTGGGVSGGASESTSSENKRASGAMDSPGSAAETVARKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARAYDLAALKYWGTTTTTNFPINTYEKEVDEMKHMTRQEYIAHLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKSILESSTLPVGGAARRLKDSVEHPAGATIWRAGMDGGVIPQLTDAGMSAYASYHHHQGWPTIAFQQPSPLSVHYPYVAQPPPGWCKPEQDIQQLHLGTAAHNFFQASSSSAVYNGGGGGYQQGLGGNAYLMPASTVVAEQGHSSTATNQGSTCSYGEEEGNKLVGYDAMMMASTGGADPYAPASTVSVAKANGYANNWSSPFNGME >cds.KYUSt_chr2.42100 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262132630:262138227:-1 gene:KYUSg_chr2.42100 transcript:KYUSt_chr2.42100 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKAAAAAAAAEPVSVEDLFSALHRHIEAGQFPQAVKVADQVLAVAPGDEDAVRCKVVAHIKSDNTDRALAAIRAADRLPIDLSYYKAYCYYRQNKLQEALEILNGQEETAAVLQLESQIYYRLARMTDCLNSYEKLQKYKIDSVDLNVNTIAALVAAGRASEVHAAMKAQKVDLTTRALRDARSFELAYNSACTLIENKKYSEAKEQLDLAKRIGKEELMVEDYAEEEIEYELAPVSAQLAYVQQLQGQTQEAMETYVNMTNKKSGDPSSLAVATTNLISLKGTKDAADSLRKLDQLVEKSTAPNQLQLIESLEFKLPPRKKEALYSARVLLLLHANKIDQAHELVSGLLGMFQDSIFPILLQAAVHVREKKVQKAEEVLSRYAEKHPDNSKEVLLALAQIAASANHFQIAADSLSKISDIQHMPATVATLVALKERLSDSNGAASVLDSAIQWWKNAMTEDNKLDVFMREAASFKLNHGRDEEACQLYEELVKSYGSTEALAGLVATSARTDLEKAELYEKKLKPLPGLKGINVESLEKTSGARHVDQAVKVDVPEEVKKQKAKKRKRKPKYPKNFDPANPGPPPDPERWLPKRERSSYRPKRNKRGTQVRGAQGSVSKHDAAATNVGGASSKASQSTTSAKAPEPPKGSNKSRNKKSRR >cds.KYUSt_chr4.10558 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64194364:64199520:-1 gene:KYUSg_chr4.10558 transcript:KYUSt_chr4.10558 gene_biotype:protein_coding transcript_biotype:protein_coding MDILNYPRGFGTSPEDVELHQNPTLSATTIWILGVEEGPADCLLRVELKYFAGPKDFVYALRVANLPYVKWAVDEEGSLYLKFSNRYAFDFTSINHFFICGRLMLKFYKVATVQVQGLRSTALKERRVQRPYQEEYGTLFLFVSLQRSSFSSSAGTDARLEEYSVEGETSTSPYQEEYGTVFMFVSLHGSSFSSSAGTVVHSLEYEVATKTSTGFSSVWSAMFVKIPTIVVQGLQLEPSGAVVLSVDNSPDVVGQFYPSTDGRMIISPDAWEDFATKKGLVAGQVVMFLFHPYGDIIARRQGVVISVDVI >cds.KYUSt_chr2.28541 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175135224:175135805:1 gene:KYUSg_chr2.28541 transcript:KYUSt_chr2.28541 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVLLLAAAAIAAAFLLAPASAEVFTVGDAASWTLKYPATWTEGKTFVVGDSLMFMYPSDKHNVMEVTGADFKACNMTGNALGTWNSGSDAVPLDKVGRRWFICGVGNHCTQGMKLLVVTANSAAQAPAAPPSSSASFVDGVVSQAMAAASAVAAAMLML >cds.KYUSt_chr6.25057 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158789356:158790501:1 gene:KYUSg_chr6.25057 transcript:KYUSt_chr6.25057 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAPLAGLRRVRARPCDPLQILLVLVAVLVADGVRIDHRQAKGPPPVTAAVVRLRRLLPHPTLRRLLPHQTLAAELRCTSGEVQNRKAKNQRVQARAFSPALAAAAEYPICIAARRASELRRGCAAATYRSCCQRPPFHAPVANDDLPYKLLLVQIILEEEMWGVKVLYREVVSVANTIGNILKCNVQALRWLRID >cds.KYUSt_chr6.7067 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42855641:42856906:-1 gene:KYUSg_chr6.7067 transcript:KYUSt_chr6.7067 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRAAKKAAPPPPPPPPAESSDEETQSRSRSEDSEDEEAIAESPIPAPTPVLKNAPAPAQKGDESESSDEEEEEEEDEEEEPAPAAPAVPKNQPPPPQKKEESDASGSEEEDDDEEEEEEEEPAPAAPAVPKNQPPPPQKKADADASGSEEDDDEEEEEEEEEEEEPTRAAPPSAPKKQPPQPQKQEDSDTSGDEEEEEEAPPPPPKPAPKKAAEVPKPPVAAEAKKPGAFERLWSTNDEVRILEALAAHRKQHGALPQPDALVDVLAGKLDKRAYGSKELQSKVKSLRFRYLTLSKRGEVPSKEHDRRVLELSKLVWTSDKTSPVAAAAAVANVANGHEPKGFEEMCELYPHLAEEVKGLEAARPGMCKREFGKMDDDKARAMDEKIKKQRVMQIKVEMRHADLIKEVTKALVDLVDA >cds.KYUSt_chr4.6917 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40931617:40932204:-1 gene:KYUSg_chr4.6917 transcript:KYUSt_chr4.6917 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGDTAAGSGGGRGTSIQVTALDGIVNVNSLFTLAAFLGLAWRPSSDGPGLADGADRLGACAAGDRIESDLVTFHVLAFACFLFSSLVALCLKQIVRTFPHYRHAAAGSGSAVSWTAKINRAALRVGILSSAVGSVCGCGFLMMALVNVVQVKLGRLGCGAGGSAAWGAVIPLVTLVPTAMLIYIGIVFYAFTR >cds.KYUSt_contig_444.113 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000041.1:651907:652590:1 gene:KYUSg_contig_444.113 transcript:KYUSt_contig_444.113 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPRLGPWKVVCGDNVVLDIPEAHLHTEPSPGKLPASPRQRPNVGPSACSPVHRQTHTTRTTSATMAAASMSRLSRRASASAGPFLRRILYSTATASPSPAASSPPLSPAAAAAAGADRVRWDYRGQRQLVPLGQWLPKVAVDAYVAPEAVLAGQVTVHDGASVWSGSVLRGDLNKITLGFCANVQERCVLHAAWSAPTGHPPSLLSVLSTLCSTQIWQNITVVLG >cds.KYUSt_chr5.38594 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244166620:244166862:-1 gene:KYUSg_chr5.38594 transcript:KYUSt_chr5.38594 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSSVHRPALALLMALVLVTGSDLAGAARPAPAERSSEGEVYGSAYLAPAVDKARKTVEMLVARLPAGSSRKGAGH >cds.KYUSt_chr3.22344 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137972120:137976128:1 gene:KYUSg_chr3.22344 transcript:KYUSt_chr3.22344 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAPPRSPGHRSSSGAGVGRVPADDMMSALLTCLPDPPFSAARGRGTLFCGAAGVEDRISHLPKALLSNIISRLPAKDAARTTTLSTRWRRLWASTPLVLDDADLVVFPKRGGPPRIDWAAVFAAVDRILTSHPGPFRCVHLTVCYMAPHGGALARWLRLLAAKRVEDLVFVSRPFPVHVRLPADVLRISSLRRLYLGFWHLPDLLPGLPRGPEVFPYLQEIGLCHNATRSALSAEVIEHLLQCSPVLEKLAIILNYDGPTHVSVRSRSLRCVVLWMSLARELAVVATPRLERLILWQTIPGYPCELFVTKLKIRNAPDLRVLGYLDPSIHVLEIGNTVIQAGTRMSPANMVPSVKILAVKVRFGIRKEAKMIPTFLKCFPGVETLHVMSDEADEPSGKCNLKFWQEVAPIDCLEACVKKVVFSQFRGKRMELAFLRFVLERAQILEKLVVVLANGDTATEDDETCTKLKALATAKRASESPPTVVIVAREGDSAWCFHRASDLSASDPFDG >cds.KYUSt_scaffold_2697.63 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:445300:447512:1 gene:KYUSg_scaffold_2697.63 transcript:KYUSt_scaffold_2697.63 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATKISARSWIGSRRSNVDSKRSGTESSSGETKSSAQGNGVAGSSDAHRPSFREGAMRAGGKGGRSERRAKAECKDEAFCIKCNKTGHLSAMCAALSRAAEPFWAGYGNPGAGFVCLEVPEEELLPPAPNAARVTLSQGMLSAEQLEDELKDLVDEEWCWNVQELSAGEFATSFPSKESLRMAIRGGGLNLPNCNIRAVVRAAVGDPAAAERLDEVWVKLFDVPPPYRHPVRILMAARELGRPIGVDDQSLELSSAPVRLLVGCRNPAQLPPHLLMFVNSQGFKVRVQVEGAAGAGPSAPPPPPHPAIDDKEEDGDESEGEGWDGRRGKHLRKDKDFEAPRPGKDGAPKHKSVDIGPAAEPQDKVAPTIPPSALSQYGSNLLGKGDIFPILKTLLTPAEGSASSQDVAVDAEGDQPPLSPSLLTDSATPDCLVTPGLDGHTEGKAEVGLSPTWETNPNLMREKERRSKSNKDRPSLAHNIEGKEVAMQLIFEDGEQRENVEMRGTIPMEGAVIGELAAQVARAPRTKSKSGGPSRKSRRGATASAEPVLQRAMARVQKKTPGTSPSPIPKPLSRFAVFPDVSDEHLLAVAKDSCIVFPSAAGNPAPLLSIIRAKELAQAELALARDRLAAEQAATRSAEGREDVLEPSEATGVASQKETTASESSGGRKKKDILAVRKKRVYKKTAVVGTRVLTRQARGRKTGSQ >cds.KYUSt_chr2.35298 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217896863:217898146:1 gene:KYUSg_chr2.35298 transcript:KYUSt_chr2.35298 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSVEVPPYFLCPISLEIMRDPVTLATGITYDRASIERWLFDAAHHATCPVTQRKLAPEDRDTTPNHTLRRLIQAWCALHEVERFPTPRAPVDACRVAALVDEARGAGRRQELAALREIKAIAAESDRNKRCVEATPGAVDFLVSVVRHHCTTSSASRSAEDLFELTLDSPTSTSPPEEDALSVIYSLKPSKKSLLQILERNNGAFLDTLLHVLRRPSYRSRTYAVLLLKAMVSVMEPARLMAVRADVIQEVVRVVSDRVSAKAVKAALHVLCRLCPWGRNRVKAVEAGAMTVLVELLLDEGSRHSAELAVVAIDHLCGCAEGRSELVAHPAGLAVVSKKAMRVSPATTESAVRALHTVAKHSPTPAVLQEMLAVGVVAKLLLLLQVDSGERARAKAKELLTMHARVWKNSPCLQPHLKAHYPS >cds.KYUSt_chr3.9898 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58176260:58181669:-1 gene:KYUSg_chr3.9898 transcript:KYUSt_chr3.9898 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWCCGAAMAWLGLAPPRPGVCRRGRHGGLPARPWDQEDAQDELKIILIKEFTKITIDPDIIIEVEQLLAQYKQGRKTIPVKVLVSIFLGANSEEVFIRSFIMFFITKVLCPSTYNFVNPKYLYCLRDIDIPEVGNLDFGTLCLNHLWLYTWISSISMTMPPIDYSLPRMSFIRNEDFSYLVNSDRNITSRKSYGVLPIRDISLTPYGTPIQILLDAPQARDGDAVLQVVANDLIDHPPEPNQAILGELFVDDFNEDGYYNQEGAKPAAALVAGGEYH >cds.KYUSt_chr7.12399 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76337408:76338433:-1 gene:KYUSg_chr7.12399 transcript:KYUSt_chr7.12399 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPRSSLRSLVLVMSHRKPRVSSFFSSSPDAPLPGHCTPDAPAVQPLAAPVPPDSSSRKSPPHEESPIHPLPGPPPKKGNAVTESSQNPEQPVLFPCTVDEDPKHPAPPERSSNRCADHEELVLPCGAAESNVADKPLLYTIDKGPKYRTPDDGPCMTIPDPRGTPKEGVKHPLPDPPPRRL >cds.KYUSt_chr1.40293 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246729112:246729899:-1 gene:KYUSg_chr1.40293 transcript:KYUSt_chr1.40293 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPAFAGKAVKNLPSSTLFGEARITMRKTSAKAKPPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >cds.KYUSt_chr4.33933 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208344241:208344486:1 gene:KYUSg_chr4.33933 transcript:KYUSt_chr4.33933 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRAEEVDAAITLVVDVQARLAPDPSAQSEFIGLLANFGTGVLNDARTVAARAAALLNAHPDLLARLNRFLGRRQAPPQ >cds.KYUSt_chr7.14071 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87027857:87039814:1 gene:KYUSg_chr7.14071 transcript:KYUSt_chr7.14071 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMTGGMGSGPRSLDCRSFWKAGASEAPSAPAREFHDALETGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEISNGATYVKVDKTVNSKDNSPMLVFQDDGGGMDPEGVRKCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAVVFTRAIRGSNVTLSVGLLSYTFLRKTAKDDIVVPMLDFQDQDGNIVPLVYGSQGDWDSSLKIMLDWSPFSSKEELLQQFEDIDSHGTKVVIYNLWMNDDGLLELDFDDDDEDILLRDQAKDSGGLTKIQKEVIQQHISHRLRFSLRAYTSILYLKKYENFQIILRGKPVEHISVANDLKFKKVVTYKPQVTHDSQVVSVRVDIGFAKEAPVLGIFGMNVYHKNRLIMPYWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLETKLRQIIIDYWKERCHLVGYQPIDPQVKSQYRDGRKDSGGPGPKVQQKSSTDQRIGRRSSNLLPETYDDAAAMGLTANGAGFGRQFFGQAQENSMNSAGLEEDLVETGSHGVLDPNFIEKLSEENISLFTRREELRQRDTQLKQTIGQLEHELEETKRKCSQLSTELQARKSQQQLPYMQRSEPVSITLEVVFRGFAIRMNPIPLFNRKTRLLRNGLRVFKVLRLASIISSLP >cds.KYUSt_chr1.23051 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136765303:136768171:-1 gene:KYUSg_chr1.23051 transcript:KYUSt_chr1.23051 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFYAATTITVGNGIKTPFWYAPWLEGRKPIEFAPLIFASSKRKNLKVAQALQDNAWVHKVDLGDDFSIEQPSQFVQLWSHIQNFHLNDNEEDDISWRLTESGHYTTKSADDLQFLGSTYSSLYKSMWKMWAPPRLSCSFGLSTKIEFGPPTGWQSEDGQIVELALYATNAWSPLIIYLSIAASPYVFGTRPKRGSTFRLFMSTIGQISPSRVVEHDIFGAKPQKGGGSPAWIDVPERSKSAFLELKRRKVHRYVIFKIDDRSEEVVVEKTGAPGESYDDFTASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSPDDSRIRSKTIYAVSRNQFRHELDGVHFEIQATDPDDMDLDVLRGRANRT >cds.KYUSt_chr5.40057 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253074997:253075741:-1 gene:KYUSg_chr5.40057 transcript:KYUSt_chr5.40057 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFITRLLTLALGYAYPAYGCYKTLERHPREIEQLLFWCQYWILVASLTVVERFADGAVSWLPMYGEAKLALVVYLWHPSTRGAGHVYEGYLRPLLARHEADIDRGLLEMRTRARDLTASQLKAAAAVGQRWLVEIVSRVTSQLQAARSGLGQAGDLH >cds.KYUSt_contig_1163.66 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000111.1:399947:407282:-1 gene:KYUSg_contig_1163.66 transcript:KYUSt_contig_1163.66 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVLPELDRCRLATGLLSRELAHFPCILAVGHLSHCRLAAGQRASSGRGATRDSAMDPFSARFSGDVSGDVSGGISGEVSGEIVQMHIRFHHGQELGSNTKEYVHLIGDVLAKCDHIEWKVVELEKTLSVASRDPTYYGLDEAELSRRRELSRSVRDQESLNQQGDPDPNNPGLSLNEKATIAVMKDMFIINGVPIDIKPLVHLVVGLSRSGNKVDMSRSGDKDALEHDKEYEYMYDVFTAGGRSMYAIEGLRYLTKDENKFEAVEELYVFYNLGEPNDPIFKKYKAHAHQQHSSEQA >cds.KYUSt_chr4.19328 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121443402:121450788:-1 gene:KYUSg_chr4.19328 transcript:KYUSt_chr4.19328 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALVTGERLVVFLFAARVAVAAPAHLAAPLALLAAAALAVDLAVDRSASAPSSPLQRFRTRCVGFPPQQGIREALLVSSGLALYFGDMLAHTLSKMEFSASSEALIHTPRTRSEIATVIQGILLGLFLLPLLCKCSLQVWVYFKTLGKQRTQAVEKHAEKRIGSVVFYVSLLVVLLMLVPSWTHLVQGLEVHPFVWVLNYMFTNSHERLALCAYWICVIFVSVKKFYSISKRSKTERILLRKYYHLVAVLIFSPAVIFQPAFLDLAFGAAFAVFLILEMIRVWEVYPLGHTVHQFMNAFTDHRDSEILIISHFSLLLGCALPKWMSSGFNDRPLAPFAGILSLGIGDTMASMIGYKYGVLRWSKTGTTLAKSLVSRKVRDPFILYFVRRKLESIWSSYFTNLRKANGSAKLFIVFIFIVITVIVFIISTSTSPLFASSVNSTMRDIVPGIAMDSGGCLRLAQRS >cds.KYUSt_chr5.4061 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26143642:26145324:1 gene:KYUSg_chr5.4061 transcript:KYUSt_chr5.4061 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEAKPADATDEDAAAARDDDPQPQVARLPEDVLAHVLRRVPPRWLAASRCVCPAWRDAVDSRGLLLTDLLPLSFAGLFIHFNEHKFPEFFARPSSSSSSARAVSGDLSFLPSASPDCGHWWDEADDFRDYRIQDHCNGLLLLSSNTVVNPATRRWNALTTCPAKEAADDGRYHGLLAYNPMVSPDEYQVVMIPALFYCPEAGEVDPWIEESEWPRKIYVFSSNTGCWEARHFVREGDAAGIVGDDGMMHVAYWDYSAVYLRGALYVRCKADFLMRISLSTNTYSVIKPPAGIAEKEYYTHVQVVRSEKGVYLVELDKHYDDKCCLRVWILDESCGHMKWILKHDKDLNPLLGHRVYRRAHWTLEDINYKLFLSSGFQEEKKKATSEEKFEWNSDRDEYENMVDHCHLEDKKKSVVGKELEWNSSTHNVFMDEDMVEQCYLGEEYYDNIYHEDIKILGFHPYKEVVFLSASERTGLAYHLNGSKIEILGNIYPQDYTHFKSIPNELEKIKSFPYTPCWIEEFPGNN >cds.KYUSt_chr5.37094 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234696848:234697906:-1 gene:KYUSg_chr5.37094 transcript:KYUSt_chr5.37094 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAALVVAVALLALLDPAATVVEFAKEDLESDDSLWKLYELWGARHKVARHPGEKLRRFAIFKEQARRVYDLHIEFAGDTPLGLNIFADLSDDEVRRDYRCAKGGAGNGRKKRSFINVKRRAGDGTLPLPVAFDWRSKTCYGHPCLTPVKDQAYNCGACWAFAATAAMESHHAILKNGSLLRLSEQELVDCDTKNGACAGGLAAIAFQYVVKWGLTSSAAYPYTARNGTCKSSATFPVLGMTGFARVPAYDEFELLQAVTYGPVVVSIDANNTEFDRYAGGLYPSVKCGRTPDHEMLLVGYGPNYYILRNSYGENWGDKGHMLLPRNFDLHDVFGPCGILLDGATYPEIA >cds.KYUSt_chr1.8511 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52284110:52284954:-1 gene:KYUSg_chr1.8511 transcript:KYUSt_chr1.8511 gene_biotype:protein_coding transcript_biotype:protein_coding METPALLLLTTLWVFAFKVSVAQWTPATATFYGGSDASGTMGGACGYGNLYNAGYGTNSAALSTALFNNGAWCGACFTITCDSSKTQSCKQGTSITITATNFCPPNYALASDDGGWCNPPRQHFDMSQPAWTTIADYQAGIVPVNYRRVPCQRNGGMRFTINGHNYFELVIVDNVGGSGVVAQIWIKGSNTDWMVMSRNWGALWQTGAYLNGQSLSFMVKADDGRVVTANNVAPSNWWFGATYTSWVQF >cds.KYUSt_chr1.3035 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18215283:18215846:-1 gene:KYUSg_chr1.3035 transcript:KYUSt_chr1.3035 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIINLPTLQATKPRQAQLTETNQRWRAAMDVAMALATLFFVLLLLSSAAISFLLLRLCVAALRPFATTCTPYAAVDPKMARPSPPQHELALLLPMKAPKEEPRTLVWREVEALTGGFDEVDVVGRGGSGNAVYLARQRVGGSRRPSKCTAGAAAGSAGSRHSDASSTCSAASASRATRLLRRPQ >cds.KYUSt_chr3.19536 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120214934:120217102:1 gene:KYUSg_chr3.19536 transcript:KYUSt_chr3.19536 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVRLASSTHGAHPFEAVDYTSSPPYRTTPYLLRVTSPLSIYTSSSPFTQTLRSGTVTPTPAKQLLAARMASAMELSLLNPTMHHHGIASKSTSHLPAVPARRASSGAVRFRVRASAAAPPAPAAKPGSPKKRGKTEVSESLLTPRFYTTDFDEMEQLFNAEINKQLNQDEFDALLQEFKTDYNQTHFIRNPEFKEAADKMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRSAFYEGIGLNTKEFDMHVIIETNRTTARIFPAVPDVENPEFKRKLDRMVEINLKIIAIGESNDLPLVKNLKRVPLIAQLVSEIIAAYLMPPIESGSVDFADFEPKLVY >cds.KYUSt_chr3.38920 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245228873:245230225:-1 gene:KYUSg_chr3.38920 transcript:KYUSt_chr3.38920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative triacylglycerol (TAG) lipase, Phospholipase A1, Specification of empty-glume identity, Regulation of spikelet development, Endogenous jasmonic acid (JA) biosynthesi [Source: Projected from Oryza sativa (Os01g0900400)] MAFATAATTAAAMALTPQCAKLPGATRQSRTRSAICRAAATATAPGSASTSAPVAVAALNARRGARRTASSVAGMWRQVQGCDDWEGLLDHPVLRSEVARYGELVDACYKAFDLDPASRRHHNCKYGKERMLEEVGMAGAGYEVTKYIYAAPDVITVPTMEASTSGRGRWIGYVAVSTPEMTRRLGRRDVLVSFRGTVTPAEWLANLMSSLEPARLDPCDPRPDVKVESGFLSLYTSADKTCRFGGAGSCREQLLREVSRLIDSGDSRSSDTSVTLAGHSMGGALATLLAYDLAELGLNRAAPITVFSFGGPRVGNAAFKARCDELGVKALRVANVRDPITRMPGFFLNEATTGAAMLRPWAGSCYTHVGVELPLDDLSSVADLTTVHDLGTYIALLRKPKAAARADDGGGGGAVIGRVLDFVGRRGAGAMPWQDAALQMGGLVQTLGLI >cds.KYUSt_chr4.8483 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50832142:50832441:1 gene:KYUSg_chr4.8483 transcript:KYUSt_chr4.8483 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRFRVPRLNSFGRATKKRNVKRLDTPPGLGEVYGDGKDRCADGGVNRTPFAFSAYKANEQTKLGFFNGCLKQIPKLPEGEGQKDIASQIWRKGGQP >cds.KYUSt_chr6.23842 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150632382:150634941:-1 gene:KYUSg_chr6.23842 transcript:KYUSt_chr6.23842 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFGAMFFAWVWPVQMITAGCLAGVSDSVAQKLSGYQSIEKRRLLLKMMFGFAHGGPFGHFLHKLLDYIFKGKKDTRTVAKKVLLEQITSSPWNNLLFLFYYGYVVEKRPFKEVKARVRKQYPSVQLSAWMFWPIVGWINHQYVPLQFRVIVHSFVACCWGIFLNLRARAASLKQS >cds.KYUSt_chr3.3693 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20951222:20952183:1 gene:KYUSg_chr3.3693 transcript:KYUSt_chr3.3693 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKRQNLSKQNSANPLSPVSFANTTTTPCSSGTTTTVTLSANTTNGANPLSPVSFAATATCAAAPSGTAAVAGLGRSSASFPARVASTQKVANSFALCLPSDGRTGFSGNGVGAAIFGGGPFYLAPPADREAITTLLSDPVPLRQPFAGTPATSRVIAAFDRALGQTAKVAAVAPFELCYDASKLGSSLSGYSVPQVDVLLEGGTNFTVVGGNSMAQVNTNTACFAFLKAATTTGPPVLIGGFQLENRLVALDNAKQQLSFTGYLPARGFSCSNFNFTRAG >cds.KYUSt_chr3.22899 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141585070:141586551:1 gene:KYUSg_chr3.22899 transcript:KYUSt_chr3.22899 gene_biotype:protein_coding transcript_biotype:protein_coding METEGNSLPSPSCPDGRKRRVCYYYDPGIANVDYGEHHVMVPRRVAMTHGLVTNYRLLRDMELLRTRPATEDELAGILNEDYLRLLRDLTPGTYRADTARRHHIGALAGDERNDNPVIDGLWDYCARYAGGSLAAARALGSGSSDIAINWSGGMHHACSGEASGFCYVNDIALAIKELLATFPRVLYVDIDVHHGDGVEKYFAADGRVMTVSFHQYGRGGKGSDTFFFPGTGSAEDVGEGAGKYRTLNVPMKAGMDDAGYKELFVPIMREVMGVFRPDAIVLQCGADSLSGDRLGEFNLSVRGHAECVSYLRSFNVPLLLLGGGGYTINHVASCWCYETAVAVGKEKEIPDDIPHHGYEHYYKDQGYKLHYGVTKVGRNASTKEYMDNIRNEALKNVQNLHELLKRGVDRSVQFKDIDDQELYKQRPKKRSNRDEEDPMDRLHRLCGEADVRNFFARLGDENIRGTDSSPGRETKIYEERRRASSAAVHRCSH >cds.KYUSt_chr4.27515 pep primary_assembly:MPB_Lper_Kyuss_1697:4:172805587:172825303:-1 gene:KYUSg_chr4.27515 transcript:KYUSt_chr4.27515 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGKRRAAPAAAPSAAAAAKRPHPTPPGAPQPPPPAAAAAEEEDMMDEDVFLDETILEEDEAALLLLDRDEALASRLSRWKRPALPADLVSGCSRSVAFQQLDIDYVIGESHKELLPKSSGPAAIIRIFGVTREGHSVCCQVHGFEPYFYISCPSGMGPDDISRFKQILEVRMKESNRSSNVPKFVKSVELVQKQTIMHYQPQKSQSFLKIVVTLPTMVASCRGILERGITMEGLGSKSFLTYESNILFALRFMIDCNIVGGNWLEVPAGKYRKAARIMSYCQLELDCLYSDLVSHAAEGEYSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLLTLQGEAQPFVRNVMTLKSCSPIVGVDVMSFDTERDILLAWRDLIREADPDIIIGYNICKFDLPYLIERAEVLKIVEFPLLGRIRNSRVRVRDTTFNSRQYGTRESKDVTLEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAQQRNLVIPNMKGQGSGQDTFEGATVLEARAGYYEKPIATLDFASLYPSIMMAHNLCYCTLVPPEDVRKLNLPPESLYKTPSGEIFVKQELQKGILPEILEELLAARKRAKADLKEAKDPFERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLNGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADFISETFIKPIKLEFEKIYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKLLVDRDIPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDAATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNNIPIDPHYYLENQISKPLLRIFEPILKNASRELLHGSHTRAVSISTPSNSGIMKFAKKQLTCLGCKAVISGPNQTLCSHCKGREAELYCKTVANVSDLEMLFGKLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARVQLDRWDF >cds.KYUSt_chr7.184 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1040229:1043808:-1 gene:KYUSg_chr7.184 transcript:KYUSt_chr7.184 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFDAVGQAVGAAAWLVGTVAERLVGDGVAAWAARHGLDDAEVPRLTAALRRANLVLGAARAGGKKIGNEELAGPIAAVRRLAADARNLLDELDYLEIHHKVRLPACCRSARFVKSRNTHSRSLLKLVADHQTSKYISSTVKINPKVSAMHEEDGPLTTKRKVERHDIMTGMKRMKLADSDNQMIPESKLEGDTVPDGTTERKLTKDDISRRITNIVDQLHGICEDVRKALKQEKLDEIIRVTQNTISNSREEGACFVETKVFAREHEKNHIENCIINSEASNQKLMVLPVIGTGGVGKTTLARTIYNDPDVQAKFGIRIWIHVSVNFDVVKLTEQILECISDGRHKNLSKNFSMLQDGIKQCLNKRFLLVLDDMWEDDERRWNKLLAPLRCTEISGNVVLVTTRELSVVKMTSTVEQHINLGGLKEDVFWLFFKRCIFGEENYQGQRKLQKIGKEIITRLKGNPLAARSVGTLLKRRLEEKYWQRISDDDEWKLQGGNDDILPALMLSYNHLPYHLQRLFSYCAVFPKGYKFHKEQLVCIWIALGFVVDERKRLEDTGSDYFDDLVDRSFFEKIEEPQEPAYYLMHDLIHDVAQSVSVDECLTVDGSAPLIVSPSLSHVSIWTDSAYKKQQNGDIERNETFEKRITAIQKDDILRSLDSVMLVGAYNETLSVMFAKILRQLQYVRVLRLSAMSFGADNLLSSIPKLIHLRYLELWSTSDAPKPLPEALCGLYHLQVLDVSHWSGLNGLPRRISNLVNLRYLIVPEESSEPLHLHSKIARVGELNFLQELKEYSVQIESGFEISQLENLNEIRGSLRIFNLENVRKKDEACCARIKDKKHLRTLSLSWGRTSANPSFPKEVLEGLQPHDRLAHLHIINYIDATPSWLGQNFSLNNLESLYLHDCTGMEILPPFNELPFLEKLSLVGMSALKEVKFDFGCGTASRGSPSSEEDITDLNGFALTELELFRCSSLTSVRLLSCMALTKLSIMDCVVLASIDGLQSLDQLKYCDIKECPCFPSAPNFETRFQGIISI >cds.KYUSt_chr2.55635 pep primary_assembly:MPB_Lper_Kyuss_1697:2:347028004:347029332:-1 gene:KYUSg_chr2.55635 transcript:KYUSt_chr2.55635 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAGQSSSIIASRSGPKFGGDRLEAPVLAGGRRQRGLARREIPWLGRGRTRLARGGRSRSRNVAARPCPLPRSWSEPTSLSISGGSLDGWGTPLALKEWGVVVVGTGEDAPVSGPPRVLVRHAKLLEKSTAGMPCFEEYQPVRHPMEPQY >cds.KYUSt_chr2.259 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1554087:1554764:-1 gene:KYUSg_chr2.259 transcript:KYUSt_chr2.259 gene_biotype:protein_coding transcript_biotype:protein_coding MDILTGTTISAPPCPLTQLCYRAFITPHASQDSYLFVTGPHCLFAWRVGSPSWLHCDYINAHVIQQIVTFRGRVFARTRHNLYVVHLTPELCIEALKVVCGENRGPSKLCGKLVACEDMILMLDDWEAFFLDLSAEPIKYLKLEDECLEKKAFFFTRGGPGQPRHNMNPERIGLRGSHVYYLDREAQVHSHSAVDQHDVGFPQEPNLATLNNYILRKPAIFTAWV >cds.KYUSt_chr6.27438 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174227017:174228699:1 gene:KYUSg_chr6.27438 transcript:KYUSt_chr6.27438 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMAFVTAAASVAVHCLLSSFLQTPPHPVLSFLLSAFLVLVIPAVAGKRGASNAPPGPAAVPVFGNWLQVGNDLNHRLLARLSARYGPVFRLRLGVRNLVVVSDPRLATEVLHTQGVEFGSRPRNVVFDIFTANGSDMVFTEYGDHWRRMRRVMTLPFFTARVVQQYRAMWEAEMDHVVSDLRADAAARGPGVVVRRRLQLMLYNIMYRMMFDTRFESVDDPMFVQATRFNSERSRLAQSFEYNYGDFIPILRPFLRSYLNKCRDLQTRRLAFFNTNYVEKRRKVMDTPGDKDKLRCAIDHVLAAEKSGEITPENVIYIVENINVAAIETTLWSIEWALAEVVNHPDVQRKVRAEIKDVLGDDEPITESNISRLPYLQAVIKEALRLHCPIPLLVPHMNLEDAKLGRYAIPKGSKVVVNAWWLANNPELWEKPEEFRPERFLGEESSVDAAVGGKVDFRFLPFGMGRRSCPGIILALPILALIVGKLVRSFEMVPPPGVDKLDMSEKGGQFSLHIANHSVVAFHPISP >cds.KYUSt_chr1.35235 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214799073:214799309:-1 gene:KYUSg_chr1.35235 transcript:KYUSt_chr1.35235 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFAKIQEVALVEKTDSAIWNLKQEIFRVIRIDIQFSGRTDNANLAKVWGAASSGLLPYRCDLLRSRMLLLCSISQA >cds.KYUSt_chr2.7503 pep primary_assembly:MPB_Lper_Kyuss_1697:2:47097907:47099109:1 gene:KYUSg_chr2.7503 transcript:KYUSt_chr2.7503 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGDVQLSRFDKVAGCAPVSSILVFDKPIDDPADTIMRALSRALVHYPPISGRLAAGAEGGEVIACTGEGQGVLFVSASASCAVDGVLPPALLKDLFVQYPQEFFCRHADPLLLMQVTEFSCGGFAVGVSWNHALADGAGMGQFLQAVAELACGMSAPSVAPVRSDGLVLAAHPRGMAAADFASAELTRDLAYLDLTIPESFISRVKAEVSAGLGEPCTVFEAVVAVLWRCRARAVISDVDPERPASLVFGCNVRGPVGAPGGYYGNCLVAESVVAAVANGDIKDIVKLIKIAKQKIHDNIRSGDVVDPVVRQPLGYSTLLVSSWRNLGLEAPDFGGGTPARVVWYVPQVFMPVLIICPPCKGHNGVNVSGYIVKKGHVDAFLHELATTSLNPGCGHGQ >cds.KYUSt_contig_1253.575 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3495977:3497105:-1 gene:KYUSg_contig_1253.575 transcript:KYUSt_contig_1253.575 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADNVSLLQYLQKSRITIRYSYLSYISVFPFKGFMLSDHISGEIRNFIQTFELRAFSLYLQEIHHRNQILVSWLTSANRERINSNRGLRGDGRGPYGQYDLEKITTSRALTVDLTKEAGLDADADAKAAKAMNAAAAAAIPNLRTVDIVFRRHPEPPDRRHRVPPPHTSSCPAEPLLP >cds.KYUSt_chr6.23302 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147164561:147165376:1 gene:KYUSg_chr6.23302 transcript:KYUSt_chr6.23302 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSKVNKVLAWVALLALLCTAIIIAAGIWFASAQAGECARLERWRVVILGVVALVVALAGFVGAYWNKRFLLRCYLLFMAALIAVLIALLVFASVVTHASGEYKVLGRGYHEYRLDGFSTWLRGYVSDDPARWEGIMSCLADSDTCKKLARQAGFVTADQFYQSSLSPLQSGCCKPPPECGFGYVSPTVWTNPTSAPVQDCGLWSNDPAQLCYQCDSCRAGLLATLRSQWHKVYVTLIVVTAALSILYVVAWTAYRNVSGKPIFGRYYKW >cds.KYUSt_chr4.11951 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72588679:72590331:-1 gene:KYUSg_chr4.11951 transcript:KYUSt_chr4.11951 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIQQQQQQHQHQQQQRHHHLLPPPMPSAQPPPPHAQIPGSLPYAAASAAWPHAEHFFSDVFGASAADAVFSDLAASADFDSDGWMESLIGEAPVFQDSDLDRLIFTTPPPPVPPPADAIAAAAAAQAENARASLQPVATATQAACSSPSASDDSCSAPILQSLLACSRPAAANSGLAAAELAKVRAVATESGDPAERVAFYFSDALARRLALGGGGPASPATSSDPRLASDELTLCYKTLNDACPYSKFAHLTANQAILEATGAATKIHIVDFGVVQGIQWAALLQALATRPEGKPSRVRISGVPSPYLGPHPAASLAATSVRLRDFAQLLGVDFEFVPLLRAPAHELDGSDFSVEPGEVVAVNFMLQLYHLLGDSDEPVRRVLRLAKSLSPAVVTLGEYEVSLNRAGFVDRFANALSYYRSVFESLDVAMARDSEERVTMERCMFGERIRRAVGPGEGAERTGRMAGSGEWQALMEWCGFEPVRLSNYAMSQADLLLWNYDSKYKYSLVELQPAFLSLAWEKRPLLTVSAWR >cds.KYUSt_chr3.29687 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185716969:185726137:-1 gene:KYUSg_chr3.29687 transcript:KYUSt_chr3.29687 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAEPPPKKRKLVEAQTPSPSCPPRPPLPLSPGPPPTPPPPQTLAAAAAPSSPPPPPRSPTPAPEEVQRKRRNREELRKLFECYRRIRLCVERKDARLMPELEQVYLALITAYRGCTSVQRILAKLIPQYASYCPTALEAAAKVSIKMYKGNLAIVTRGEDADGVAYQTARACIAGLVDICSTASFEAPKSSVITGICSAVYMTVLTFFISTFDGKDIHHIGSRRLSKLQDPVELLNIVKQESGDDNQLSHDCLFELRALSLLCIFLLSPENLLEACFVLIASAETDHVREGLYCLNQLTCNLNHGVSVNALDNKADIASQHTGMDIDLSGTKEIVDSTPSDTCGDSGSSVAESNECYMTMAISRHPSVRGWILRRYKKLCDSCRSTVLSEVSSCLKVLGSLSELDEDKSHMDCEPSVLEKLDNCAGEKIVNMDSYGGKSVQMEQTDDVKTEKLADAKTGGCEGESVVQGTRPDLYVASVCSDVISVSKELWVGSLGNGAAEPLVRSKFEEFGPLTNFLFYPSKDFALVEYGNIVHAVQACAYMRGSSLWGGGLQIRYLDRLIGSRGFIGGIAVGESCHIYVAKVKNQKEKDEVLDDLNSAGLKRPCGIIDISSENVLLLEFETAVDAAVAKAHIRRQAQSDVCSQVKSTSAHQLLVQNMDKSVPDTEFINAFSRFGEVSRWQFNRLDGNCLIDYKSHNAAACAKSQMHGARFGLKLISVESRTCSAGPIHDKTSSPVIRMPGQNVSDSSSHQEIRNPRVSGYHAGYTVQGDRPIYGPSPPNTQQLWHYKELESSRAPQGIPPCPPVSAHRASVIPLPPPPIQTNFLRPVYPGPASPWENTTPNPPFSRVSPRMMSGSNFRINPPAPLPFMPSSVTPLAHLPGSSAQQSEKMPPPTPNIAPSTFTPPPPLPVSQPPSVPPPPDSPPVQPSTNPSNSQKPSSHPQWQGSLTKSGIHYCTIYASRVESDACRYENAVSEPAEWPSRLDVTKRTAFQHVKTIFANTPPNKDNKKWCHLTDNHHVFTKYAYNCTTYVETAPKNILCVGLFPGRLKPRILFDGSLLWTSVYDIKAALVPLRLAGKQDHVTRMPVLQKEMPWLAWQKGGVL >cds.KYUSt_chr3.37025 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232967387:232967977:1 gene:KYUSg_chr3.37025 transcript:KYUSt_chr3.37025 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHARSLSWYMGQSGSQPPPSPNNEGQRALSSSSGGSDASFDTNMVIILAALLFALLFALGLNSLARCLIRWARTASGEASGAEEATTSSRGGLKKRALRSLPIEVYGACAAAAGSAPPADDVCAICLGEFEDGDKVRVLPRCGHEFHVRCVDTWLASRDSCPTCRDAVLSGAAAGRSGLRQGSADGAAVTVIIA >cds.KYUSt_chr3.7484 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43226493:43227050:1 gene:KYUSg_chr3.7484 transcript:KYUSt_chr3.7484 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAAAAAAAWKAVFRQRVLSVQEHVRDARDRLVALDASFREPLPVLDLLMGSLRSATPILNTAIVYIEAAEILALHGGGANPWTPLPSVLNFTPPDAAVQVALARYQNARVCLLGALTMVESSRGHLATAIALFAGNASIPDKMRFVMQEYGTAHTALQNAVQMVKDALSEVTISRNPITPP >cds.KYUSt_chr6.28578 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180992050:180992874:-1 gene:KYUSg_chr6.28578 transcript:KYUSt_chr6.28578 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAEDLRARKKAMRESRLWPNSIKEEARLPVPSMSFSTPGIAMDFALIGRSKDKIVAVDGENPDYTGNHWEAPGRAVLYDDAAHSVRAQLCTRLPDRDTVPVAVGDNLYFMGEQEDVVPSKDNLRALIRYPARYPAREPDTDWYWYSFPPPPYDVIGTGEHDPRPHYPERRDMLGAYAVVGESHIWASTRIRGTFSMDTASGTWSRASDSPLPFSGRADYAPELGLWFGFPNDEWQYESHDDWRYESGDSDLLCAWDLNSIEPGGVLCLILL >cds.KYUSt_chr4.41788 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258453250:258454920:1 gene:KYUSg_chr4.41788 transcript:KYUSt_chr4.41788 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTMEDVIGELEISGCSSITTSPSSSSLDDGMGLYPWNALSPVGDWGAFCSDDGTGHDLHGLIESMLCDDSLIGADHLALFPDGPSCSNPSSTTTTNPGTPVQLDDLQQECNPEKGLRLLHLLMAAAEALSGPHKSRELARVILVRLKDMVSTTGANAGASNMERLAAHFTDALQGLLDGSHAVAGRQSAVSASHHNTGDVLTAFQMLQDMSPYMKFGHFTANQAILEAVAGDRRVHIVDYDLAEGIQWSSLMQAMTSRPDGVSPPHLRITAVTRGGGGGARAVQEAGRRLAAFAGSIGQPFSFGHCRLDSDEMFRPATVRLVKGETLVGNCILHQAAATTSIRRPPGSVASFLTGMAALGAKVVTVVEEEGEAEKENEEEAAGGFVGRFMEELHRYSAVWDSLEAGFPTQSRVRGLVERVILAPNIAGAVSRAYRGMDGADGEGRRGWGEWMRGSGFKAVPLSCFNHSQARLLLGLFNDGYTVEETTPNKIVLGWKARRLLSASVWAPPPLSVPSSPAEGAFQTMGMAPASVGFSRTEFDFIDSFLVEPAYALV >cds.KYUSt_chr5.14800 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95733240:95736607:-1 gene:KYUSg_chr5.14800 transcript:KYUSt_chr5.14800 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVPSMPPEVEPSSASPSRPKRLYQDWKGNNVCTCLLDFPHHFLFRVVVRRAWRHGSCATVNRLPADMRILESSRIILGPDAASLLLSTFLVAGPAIVFCYQMQSKFFRSNGQPHMHRAALLIVIITTLVDLFFLFMTSARDPGIVPRNTRAPPPEADERNLPTTPSMEWSMGGTPRMRFRRTKDVIVNGFTVKLKFCETCLRYRPPRSSHCSICNNCVQKFDHHCPWVGQCIGLRNYRFFFMFIATSTFLCMSVLIFSWLNVYGEREDNGGSIWRALRKEVYSFVLIIYTSIVVWFVGGLTVLHLYLISTNQTTYENFRYNYDKQDNPYRRSIAENFAEVFFTKIPPPMNDFRSQVGEGALEAGFYTPYIGLDVTSAREKIDIETREKEVLVGGIQIPTVLQNIDYGSFEDGSHDKSKNDGNRTVSSSPAWAQKGSEGAGTSVAAMEACKEETSEDDAKEISSANTSPARTSTQGNAISDDETVQHDTKENNAQIEVESAQPVKDMS >cds.KYUSt_chr2.39530 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245162465:245164843:1 gene:KYUSg_chr2.39530 transcript:KYUSt_chr2.39530 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVYVLKKGDFSVKMTNWGATIMSIVLPDSKGNLADVVLGKDTLAEYVNDTSYFGPLTGRVAQRLARGRFVLDGKVYHTYINDGRNSIHGGHRGFSKVIWTVKEYVAGGNSPYITLYYRSFDGEQGFPGDLDVYATYQLSSPYALSIRTNATALNKATPVNFLQHVYLNLGGQGSGDVLGHTLQLFASRYTPMDEELLPSSGRVDPVAGTNYDFRTPTPIGARIRQVSGGKPGVHGYDINYVIDGAGMRKVAAVRDGASGRGLELSANQPAMQFYTANGLNDTKGKGGKVYRQYSGFCLETQAYPDAVNHPEFPSITVRPGQVYKHDMVYKFSF >cds.KYUSt_chr2.5291 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32839883:32841024:1 gene:KYUSg_chr2.5291 transcript:KYUSt_chr2.5291 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGDAVSPSPPPPPPPPPPPPPPPSARTVAAVLALVRAAARPLLCLDLATAWVLSALAAAMSLAHLAWGEASAAFLFLMALTVAALKVSICILFLFLVPAVLLCGIGLAYVIAVESRSGSQPRKRAFGPITRESIREFIKFVFPRAVVLGLVADLAFTLLSAAGALVMSMSPSVVGSVSQGQMIGSVIMDVGMLGLHAISCFVIIPAFALHILRKDQADRKAGLTVAVC >cds.KYUSt_chr4.23224 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146259712:146261066:1 gene:KYUSg_chr4.23224 transcript:KYUSt_chr4.23224 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAVVLFSLVLALVIAGSASAQLSTGFYSYSCPDMLTAVRSALHPAIARERRVGASIVRLFFHDCFVQGCDASLLLDDAPGMQGEKNAAPNKNSARGFEVIDAVKAAVERACPGVVSCADVLAVAAEESVVFLGGPSWEVKMGRRDSTTASFSGANNDIPPPTSGLANLTSLFAAKGLSQKDMVALSGAHTIGLARCTNFRDHIYNDTDIDAGFAKSRQSNCPRATGSGDNNLAPLDLQTPTVFENAYYKNLVQKRALLHSDQELFNGGAADAQVRSYVSSQEAFFKDFVVGMIKMGDVAPLTGSSGQIRKNCRRMN >cds.KYUSt_chr5.43653 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274885030:274892303:-1 gene:KYUSg_chr5.43653 transcript:KYUSt_chr5.43653 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGEGGADSGNESPYSDPSTSSAVEVPALAPAPGRTLEEYSGAVAVTVYTEMMFNGSSGDKPSSSSAPLPSSPEEESSGDVSATAYPEVVLNGSSRNKASSVLAPSSAPTLGRIKEENKAAVFGTAPYPEEVPQGSGNNLFSDTVPSSAVAVPTSSAPLTSLFAVQVNGAVAVMVEENNDGAFSVTAFPEARHGPSSLAQAAPAPVRMLEEDNGAVPVTAYPEAGHVTAYPEAGHVTVKNLSSPPSSAARSRTLEDYAKEWAVRKGATGAPPHHCVLPFLTAAPKAKASNALNMGLSMSCSKSCRCSDLCTNKPFRKDKKFKIVKSEGCGWGAVALEPLEKGDFIIEYVGEVINDATCEQRLWEMKRRGDKNFYMCEISKDCTIDATFKGNTSRFLNHSCDPNCKLEKWQVDGEIRVGVFASRSIQVGEPLTYDYRFVHFGEKVKCNCGAKSCQGYLGIQLKNPSQDALVAAALASPSSLKPETHLLPWTNCIEVPFNLRSKTKIDRICWGRKRQRTSIIDPSPSTPVTESASASVPKGFSAELA >cds.KYUSt_chr7.37037 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231239032:231240101:-1 gene:KYUSg_chr7.37037 transcript:KYUSt_chr7.37037 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRPLLLTLALLAVLAASSAVVAQLEIGFYSKTCPNAEDIVREEMVKIIAAAPSLAGPLLRLHFHDCFVRGCDASVLLDSTTHNVAEKDAKPNKSLRGFGSVERVKAKLEAVCPGIVSCADVLTLMSRDAVVLAKGPTWPVALGRRDGRVSSATEASHDLPPASGDVPLLARIFASKGLSLKDLVVLSGAHTLGTAHCPSYADRLYNATGEDGAYGLVDQSLDSEYADKLRLKCKSLDDQSMLSEMDPGSYKTFDSSYYGHVAKRRGLFRSDAALLADATTRDYVQRVATGKFDAEFFSDFSESMIKMGNVGVLTGAEGQIRKKCYVLN >cds.KYUSt_chr5.27614 pep primary_assembly:MPB_Lper_Kyuss_1697:5:174766206:174770269:1 gene:KYUSg_chr5.27614 transcript:KYUSt_chr5.27614 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGLERIKNESVDLEHIPVEEVFQNLQCGEEGLTTKDGEERIAIFGPNKLEEKKESEILKFLGFMWNPLSWVMEVAAIMAIALANGDGKPPDWQDFVGIIVLLVLNSTISYVEESNAGSSAKALMANLAPKTKVLRDGKWSEQDASILVPGDIISIKLGDIVPADARLLLEGDPLKIDQSALTGESLPVTKNPGDSVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLRAIGNFCIAAIAIGMVVEIVVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSKQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRSLIEVFAAGVDKDEVLLFAAMASRVENQDAIDAAMVGMLADPREARAGIREIHFLPFNPVDKRTALTYEDVNDGAWHRVSKGAPEQILDLCNCSVVVKNKVHAIIDKYAERGLRSLAVARQAVPERSKDSAGGPWEFVGLLPLLDPPRHDSAETIKQALSLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQSVDKSIALLPVDELIEKADGFAGVFPEHKYEIVKKLQQMKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLKEIFITGIVYGTYMAVMTVVFFYAMTSTNFFSERFHVRSLRGNNDAMMSALYLQVSIISQALIFVTRSRSWCFTERPGLWLCFAFVLAQIIATLIAVYANLAFAHIRGIGWGWAGVIWLYSFVTFIPLDLFKFGIRYALSGKAWDTLFENKIFFTRKKNYGKENRELKWATAQRTLHGLPTSELDSTQQDRSSYGDFSAIADQAKRRAEMARLRELSTLKGKVESAVRLKGLDVETVDNRHYTV >cds.KYUSt_chr2.47645 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297991871:297994330:1 gene:KYUSg_chr2.47645 transcript:KYUSt_chr2.47645 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEAAGAATGVDFHLPDEILAVIPTDPYEQLDIARKITSMAISSRVSRLEADVARLRRDLADRERSEADLRARLADSDARLLAALDDNAKLVKERDTLAVTAKKLSRNLAKLEAFKKQLMKSLSEDNLLQFSETGEDRDADVGNNWTARIPPGKDEVSSSRASSNTSSRSTITESSQGYQFSITPYVAPNITPGSTPIVSFSGGSPLAYSTGPSTPKFYSGPTSPTKSRTEGQSAFSSWNGSSHQYSAPVSPPERRSFTGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREVAWFTSIYSLSVH >cds.KYUSt_chr2.36986 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228810511:228812250:1 gene:KYUSg_chr2.36986 transcript:KYUSt_chr2.36986 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKNQKTRAYSKRFQVKPKRRRQGKTDYRARLRLTNQDKNKYNTPKYRFVGALDGGLDIPHSDKRFAGFKKDEKQLDAEVHRKYIYGGHVADYMKSLAEEEPEKYQSHFSEYIKRGIEADGMEAMYKKVHAAIRADPTMAKSTKEPPKTHTRYNLKKLTYEQRKASLVERLNALNSSAGADVDEDDDE >cds.KYUSt_chr7.26055 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162634585:162637135:1 gene:KYUSg_chr7.26055 transcript:KYUSt_chr7.26055 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAWHAAVAAVLTIILCSRLAEARVHPSHGGGLATRRRDVVPAGVGGCALAVEPLGYPCEEHEVTTVDGYILSLQRIPRGRHAGAGVGQPVLLQHGVLVDGMTWLLSSPEESLAYILADRGFDVWIANTRGTRWSKRHVSLDPSSQDYWDWSWDDLVTNDMPKMVDYVYTHTAQKPHFVGHSLGTLVALAALSEGRLVDKMKSAALLTPVAYLAHMTTPLGILLAKTFVGEAISVLGVAEFDPVAPAVTSLIKELCRQPGTNCYDLLRDFTGKNYCLNNSAVDVFLNYEPQPTSTKTMVHLAQTFRDGVLSKYDYVWPNVNEEKYGLPDPPAYNMSNIPSPFPLFLSYGGQDELADPADVGLLIGDLIGHDRDKLTVQYLEQFAHADFVIGTCAKDYVYNGVISFFNRFN >cds.KYUSt_chr2.31234 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192738751:192742989:1 gene:KYUSg_chr2.31234 transcript:KYUSt_chr2.31234 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSALLLRVLCLCSVLALALPARPANVTIGALFTFDSVIGSSARAAIDLAVADVNRDAAVLNGTHLTVFAQDTKCSGFVGTIQALQLMEKEVVAVVGPQSSGIAHVVSHVVNELHVPLLSFAATDPALASAQYPYFVRAIRGDDSSQMAAVADIATYYGWREVTVIYVDNDYGRGGVDALGDALEARRAKVSFKASLPPDADAAAVVDLLVQVSMMESRVCVVHVNPDSGLAVFAAARSLGMMTSGYVWIATDWLAAAVDSKRPADHRMMSLIQGVVTLRQYTPDSAAKRSLKSRFAAGQLNRSAAVNAYGLSAYDAVWMAARAIDEFLDDGGNITFSADPRLQQEANGSSTLRLDSLRVFDQGELLLQKVMLANFTGVTGDVRFSAGGKSLVDPAYEVLNVGGTGVRRVGYWSNHSRLSVAAPAPTPTTRSNRSIDQQRLYSVVWPGETTSPPRGWVFPNNGRLLRIGVPYRTTYKQFVSKDSSPDGVSGYCIDVFKAAVALLPYPVPFSFILFGDGVKNPSYGDLVNKVADNYFDAAVGDVSIVTNRTRVVDFTQPYVESGLVILSPVKQKSSNAWAFLKPFTLGMWAVTGAFFLFVGSVIWVLEHRFNPEFRGSPRQQLVTIFYFGFSTMFFAHRENTVSSLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDGLLASADPIGYQVGSFAKSYMMQELNVPESRLKELNIDQYANSLRLGPHNGGVAAIVDELPYVDLFLSTNCQFKTVGQEFTKSGWGFAFQRDSPLAVDLSTAILTLSENGDLQRIHDKWLSPGQCASSTGTNVAVDRLNLSSFWGLFLISGIACFIALLVFFTRILCQYGKYNQGVDEVTDEESPVRRPERIRSIRDLMAFVDMKEEEVKRAIRRKPSDDRRDRSIASSGGASSLSPSTV >cds.KYUSt_chr2.55206 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344202587:344206893:-1 gene:KYUSg_chr2.55206 transcript:KYUSt_chr2.55206 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os04g0673300)] MEVDDVAAFAAGNYVEDDELAWILPTLDDAAGFAPGDLVDDNHLPTVLGLVSETSRREAEKSEEWRLVAKEQGKLYSTSSATTTSEVAAPSPPASPSRHTCLVSITEPNFGTADIFSYPHRCIYTAARRGQRPEQTQREADNKFINNSKEGRAHLSCSGEVSTAPLIDSMAATAPTPAPAAMTLPAMAAQKVSSPKAGSDRKVVPVMVPDEAVHVPEMHVLAVDDSVVDRAVIAKILRSSKYRVTTVDSATRALELLGLGLITDVNMIITDYWMPGMTGYELLKRLKESSDLREIPVVIMSSENVPTRISRCLEEGAEDFLLKPVRPSDVSRLCSRMIR >cds.KYUSt_chr6.18555 pep primary_assembly:MPB_Lper_Kyuss_1697:6:116720603:116723600:1 gene:KYUSg_chr6.18555 transcript:KYUSt_chr6.18555 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPQLAFSFAQFVAREHRRSGYPRRTFTRAAARRWNSDRRHQRRPEWNGGLRRHHHGNRWASRRRFGPGGGLRRRVRGNRWAPQQHNRHGNRSLQRHRSPGAPGPSTTAVVRREQTPVAAAEPAVVAAPEVAAEEVVDAVYEDEASASNISADADELIPVPPEFAVPPMEWLLGGPSAGWLVDDPERDFGDEELLAPPPPPASPPTMYYCMRHGFGPCLPSPTPSDEDMQHFAPPGYEPVPVLEFSSSSAAALVDAHPPLVKKKEVVAAAPARAPRALPDLDLPAPVMEEEKNEDEAPSLALLTPSSEARVLLRRLASTMAARPNGIRAGTWAPEALGLTGAVEFRLDEATTRRPSSSMEGPAAADGTARRHRHGAGEQCMPDHLVELYMKYGKEVKQVHGNKAEAHFNDIQDNSQVGPSQSSIEEFEPKDDIILDEDMLVDYTQDVFGDLN >cds.KYUSt_chr5.40775 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257430631:257433943:-1 gene:KYUSg_chr5.40775 transcript:KYUSt_chr5.40775 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSLLAAAASRAAISPRAAASAPSSSRPPLRASPARALRSRRRVVASAAPAMHPPAVSRVSTVVDVDLGDRSYPIYIGPGLLDEPELLQRHVVGKRVLVVTNTTVAPLYLEKVTWALTYQNPNVSVESVVLPDGEKYKDMDTLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGYAAASFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTETLNTLPDRELASGVAEVVKYGLIRDAPFFEWQEKNMAAILAREPSALTYAIKRSCENKAEVVAQDEREGGLRATLNLGHTFGHAIETGLGYGEWLHGEAVAAGTVMAADMSYRLGWIDESIQKRTFDILEQAKLPVAPPKGMTVEKFRNIMAVDKKVADGLLRLILLKGPLGGCVFTGEYDRKALDETLRAFCDN >cds.KYUSt_chr7.11132 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68345158:68345792:1 gene:KYUSg_chr7.11132 transcript:KYUSt_chr7.11132 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSPRGTFNPDPVPENPKISAPFSRQLDTILGCTIRNNENAEREAVGVVIVYAFDCTTSTPAWYKMDDVYSLVEEKLTDLVDTIGYIFVMSTPNTYRSDMKSVDSAETQKTGYKKSPAWCKAACTKQMACGLDKAHKLISHSGNKNGIILVFSDGSTHKGDFFDGAKDFISKVPVHTFILYGQD >cds.KYUSt_chr3.1397 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7731228:7731737:-1 gene:KYUSg_chr3.1397 transcript:KYUSt_chr3.1397 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRVAMKSWFMETLNSVRATLLEHRAALEQLGNAMGQVSYANELQVQALIAVQVVLDEAYMALGDAFSRLTAAHALTNSTSRLVPAGGGKVRAAPHIADASQHVQVAQESLKCLNVHVRAMVVLFTSETTKAGLVGNQVDIARGLVGGILVRWDRALVSIANARDEFN >cds.KYUSt_chr4.4386 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25108822:25113430:-1 gene:KYUSg_chr4.4386 transcript:KYUSt_chr4.4386 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSFPSDLLPGRWVSSASHRARPDDEIALSLRYEAMQLDDSDGALDCWAGFYALTGELVGGAGDLSVCSCLATVVADLYARGLATLVRDYFLRNLEDVATIVSVCGCYLQLGLRPFLVEMDTILVTGFVGTAIAFGCFSCVAIIAKRREYMYLHGLLSSGLSILHWLQFATSIFGHSTNSFMFEVYFGMLIFPGYMVYDPQEIIERAHHGDMDYIKHALTLLTDFITILVRILIIIVSTQTTFVAYSFSIHWLPQYMVHVDVG >cds.KYUSt_chr5.18942 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122589308:122602405:-1 gene:KYUSg_chr5.18942 transcript:KYUSt_chr5.18942 gene_biotype:protein_coding transcript_biotype:protein_coding MRESFPSCFQLKDVLKSLLSHFKAESSGEDGIKQQGVIWKGDELIEGVPETLELLRKMGKKLVFVTNNSRKSRRQYSKKFKSLGLDVTEEEIFTSSFAAAMFLKLNNFSPEKKVYVVGEDGILDELKLAGFECFGGPEDGKKSIMLEANFYFDHDKSVGAVIVGLDQYFNYYKMQYASLCIRENPGCLFIATNRDPTGHMTSAQEWPGAGTMVAAVSCSVQKEPIVVGKPSSFLMDFLLKSQIGAVSTCSLSQTLLVFFTPSSASPPPPPPAAPPPMARKAGKKKGGSKPKPKNRTKTPPSSTLPTSIPPPQPQEPKAAPEAIDVLNGAVLRNVLRRLPLVDLLRAALACHRWRRVAARCLPRAPPLLGYFFHPVQPPPHPPTKNTDRAHHDAVFAPLGASSPLHCLNFAPDASRYKLYDCHQGLLLLEPTAPTPKGALPRLLVADPATRRHALLPPPPRRAVPDDRRWRPSRHYVGSALLSRAHPSRLRFEAVCFAVEDDGRPRAWVASVDDGGQCRWRALPRDAAVQVDFDPKLLKGRCVHAAGSLYWHICNSGRVLALDAATLRFSYLLAPAVLGDRFGKYRVGETPDDGRLCIATVENQVMQLWVRGEETRPSDTDNGWHVEKEMDLHKVYDAVPGLPRDARARMASIWITDIDAGRTGKIFIQMSGYGRYSFDLKTRKLERLLMKGGMEYGDPIYPYLLAWPPAFLAQA >cds.KYUSt_chr7.24813 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154656709:154666580:-1 gene:KYUSg_chr7.24813 transcript:KYUSt_chr7.24813 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQSWESEQPPSGAPAPAPETRLRPPPPLTHEDNRGFLEMLREKKERLGVGAAKVEVRFEELTVEADVRVGRRALPTLINCAVNAAQELATISHMCTTRKRPIKIINGASGTIRPSRMTLLLGAPGSGKTTFLKALAGKLDSSLKLKGKVMYNGKEVNSSTPQYLRAYVSQYDLHHAEMTVRETIDFSSKMLGTNNEFEMLGEAIRRKKGVINKVDQDLDSFIKATTFGEGSNLTTNYILKILGLSECADTIVGDELIRGISGGQKKRATIGEMLVGLSRCFFMDDISTGLDSATTYEIVKFLQQMAHLMDLTLVISLLQPPPETLELFDDIILLCEGQIVYHGPREKATDFFEIMGFKCPSRKNVADFLQEVTSKMDQKQYWIRDENKYQYRIIEKFAESFRSSYLPQLVEDNHCRSNNTGKGKEIKTSASRRISTWNTFKACLSREVLLMKRNSPLHIFKIVQITVLALVISTVYVRTNMNQKSVLDANKYMGSLFIAVVIVNFNGMTEIAMTIKRLPTFYKQRELLGLPGWALLSSAYLISLPISLLETGLWTSLTYYVIGYAPSFLRFIQHFLVLFAMHQMSMGLYRFLAAIGRTQVMANMLGTAALIAIYIFGGFVISKDSLQPWLRWANWTSPFTYAQNAIALNEFLDERWAIEFYYANANTVGEAILKIRGMLTEWHWYWICVSILFGFSLVFNILTIFALEFMNSPHKHQVNIDAVKKNTECKNQKVGAGNASAGQVILPFQPLSLVFDHINYFVDMPKEMMKYGVTEKKLQLLQDVSGVFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIRIAGYPKKQETFSRISGYCEQSDIHSPNLTVLESLQFSAWLRLPSNVKSRQRDMFIDEVMDLVELTGLKNSMVGLAGATGLSADQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIGIFESFDELLLMKRGGQIIYSGSLGPLSSNMIKYFKAIPGVPGIKQGQNPAAWMLDISSHTTEYEIGVDYAEIYKSSSLYRENMLLIDEMGQPASNTEDLHFPPGYWQNLRVQCMACLWKQRCAYWKNSEHNVVRFLNTFAVSIMFGIVFWKIGSTIKQEQDLFNILGIVYGSALFLGFMNCSILQPVVATERVVLYREKAAGMYSTLPYAIAQIAIEFPYMLVQVAIFASIVYPMIGFQMTAGKFFWFVLYMVLSFMYYTLYGMMTVALTPNIEIAAGLSFVIFIFWNLFSGFIIGRELIPIWWRWVYWANPAAWTVYGLMFSQLGDRTELIRVPGQPDQTVRQFLEGYLGLEDRYFNLVTCLHLAIIALFAFLFFISIKYLKFQRR >cds.KYUSt_chr3.7792 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44665708:44668962:1 gene:KYUSg_chr3.7792 transcript:KYUSt_chr3.7792 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSMLWSLVAALMIATVRAGDKAALLAFRAQLSDGSSPTLASWNNSAHFCSWEGVTCSHRRPARVVEVRLNSTGLTGELSPAIGNLTFLRTLDLSFNWLKGEIPESLGRLHRLQRLYLNDNAFSGMFPANLSSCVNMIIMGVHNNKLGGHIPGELGEKLLSLAAISLSNNSFIGPIPASLANLSNLQNLDLSYNQLVGSIPPGLGHIHSMWRFSIFGNNLSGMIPPSFYNWSSLEFFEVGVNMLYGSIPDDIGNKFSKIKVLGLGENHFTGPIPSSISNLSYLTNLRLSNNRFSGYVPPTLGRLGSLQKLDLDGNKLEVNNSEGWEFISSLANCSQLERLSLGGDSFGGQLPGSIVNLSTTLQKFYLMDSGVSGGIPADIGNLVGLNLLAIVNTSISGEIPESVGKLENLIELGLYSNSLSGLIPQSLGNLSRLNRLYAYYGNLEGPIPASLGKLKNLFVLDLSTNYQLNGSIPREIFKLPGLSWYLDLSYNSLSGTLPYEVGSLANLNQLILSGNQLSGKIPDSIQNCIVLEWLLLDNNSFEGSIPRLLKNIKGLVKLNLTMNKFSGDIPDALGDIGNLQELYLAHNNLTGLIPAVLQNLTALSKLDVSFNNLQGEVPHGGVFRNITYIAVAGNINLCGGTPQIHLAPCSISSLLSKKRKKMTKPLVISLATAGAILLSLSLILVVWILRQKLKRRQKGIVQSINAGEQYERISYQALFTGTNGFSEANLLGRGSHGAVYKCDLDSADRLLAVKVFNPLQSGSSKSFQVECEAMRRIRHRCLTKIITCCSSVDDKGQEFKALVFEFMPNGNLDGWLHPRSQEPTKNNTLSLAQRLDIATDIMDAVEYLHNYCQPPVIHCDLKPSNILLTEDMSARVGDFGISRIFQENTSKRMQASYSSTVIRGSIGYVAPEYGEGSMVSSHGDIYSLGILLLEMFTGRSPTDDTFRDSLDLHKFAEDALSKRTLEIADPTIWLHREPKGKIANSRIHECLISVFRLSISCSKKQPLQRTLIRDAAVEMHAIRDAYLVFDCEFIQKHRETEEPLLKTLECMILQQ >cds.KYUSt_chr4.52184 pep primary_assembly:MPB_Lper_Kyuss_1697:4:323880121:323882465:1 gene:KYUSg_chr4.52184 transcript:KYUSt_chr4.52184 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSESDGDARKTNKPKYSKFTQQELPACKPLLTPGIVIAAFLLIAFLFIPIGVVTLNASLQVVELAERYDMSCVHTDDKIRFIQNSKIDKTCTLNFTVHKHMKSPIHVYYQIGNFYQNHRRYVKSRSDKQLRYKNAAHLTKDCYPEDNAHGAPIVPCGLVAWSFFNDTYTVKVNGETIEVNKKDIAWKSDKNNKFGSDIYPSNFQKGGLIGGAKLNESIPLSEQEDLIVWMRTAALPTFRKLYGRIEKDIMANDTITVVIQNNYNTYSIGGSKSVVLSTASWIGGRNNFIGIAYLTIGGLCLSLALGFIVVLYMVKPSALVMAYKDDDLISFGAVVA >cds.KYUSt_chr5.13074 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85179809:85183413:1 gene:KYUSg_chr5.13074 transcript:KYUSt_chr5.13074 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFPEGLRRLAGLLLKCCDLDIPNRPKGLEDPERLARDTVFSVNEIEALYELFKKISSAVVDDGVINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILEFEEFVRALSVFHPNAPVDDKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDDVIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA >cds.KYUSt_chr2.30648 pep primary_assembly:MPB_Lper_Kyuss_1697:2:188785514:188786683:1 gene:KYUSg_chr2.30648 transcript:KYUSt_chr2.30648 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPLGNHDGGGDSVAVELPAEIEELSLGSTGVLEDRISALPDDSDGAAAELPAEIEELSLGYTGVLEDRLSALPDDILRSILLRLSSTTAAARTSVLSRRWRGLWAQLPEIHFPFPSNPDAIGPALTATAACPDLRLLHVACRDDTGAEAWLHTAASRLVAGGELYFYNRTPGQERGNVGALSWKCLTLHLPCFETAARVWLRLGFVYLELPLAGVFSRLTELRLENVTLDSESESELGDMVSSPRCPVLRELCISIVRGLDSLCIISDTLERLELNMLNGLKELTINAPMLIALNVFACFTRSRRKPIAAIYASMLELLWWSDAFDPRFVVFSEMPNLQQLITFPIPVFGRINFRLLQDSAMLLQHIPMVSQLDLILDYERVSTPFL >cds.KYUSt_chr3.40233 pep primary_assembly:MPB_Lper_Kyuss_1697:3:253612089:253612301:1 gene:KYUSg_chr3.40233 transcript:KYUSt_chr3.40233 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAIGSLSTEEEDALDITAASTIAGDETTWRWVDDERRGEVAMDLRFGSPARRLKSLQCEQHHSTERSL >cds.KYUSt_contig_686-1.114 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:813456:816764:-1 gene:KYUSg_contig_686-1.114 transcript:KYUSt_contig_686-1.114 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHPTTVTPQSPPPARSSRVSFSSPPPTGAAFATSPRSAVASVSLPAGSALAPEISCNEVQGAAVVGMSSSSTAEGDRSEFTEVVVGHLDAELNEIGRQQAVAVAHRLSKEAKPAAIYSSDLKRAAETARTIAKICNLPDVVLDPALRERHIGDVQGLTLQDAVKEKPEAYKAFMSHKRNKQIPGGGESLDQLSERCVSCLYSIVEKHKGERVILVSHGGTIRELYRHASPTRPLHTKIHNTSVSVILVSGDTGRCIVKMCGDTSHLQATGVLENAFGGDKSSA >cds.KYUSt_chr4.43946 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272380726:272386316:1 gene:KYUSg_chr4.43946 transcript:KYUSt_chr4.43946 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPDLTLSQTVLDTCWTEKLRDICAANVMEKEVSEEMSPPPQPVDSPEDTPPSESDPEQKPGKKTPRKWAAWTRQEEESFFNALRQVGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKLLGPEFSLDARNSKDIIAAMLRWWSLLDKFSCSASKLHLKPRRFKSFVDALGNQLLKDRKRTRRKCPRVEAHLSSAPILSKTPGNQSSSVELLPTDAQNGSKVASSKGALFKRVAEPNSVKSGVIKGDLSATRTVRQKRRAGGVGASAAYKKWERAAMAGVSLVADAAEELERNRMTQNLSNDDHNPHLELTLSARKRMSSVLEHMNRKWGNSNIGCGELVLFPYCAHQEDLSTYQKWTTRDTVAVADVFRSVNSPSIFRLRYGWFSLADLEAGLSEMSLTHFENCMIPEDIQVKSSSEACLQKDGTLLSDLASEKASCKPKDRSSALLPTPSSTGKNAEEEQSMNVPNPMVDLLSLICYYIILQVDPQMNYVALPEVGWADTLTDISVGYLLTEASKAANMDCEATSTLKNALFHVDPCSYDSFDAAVALHTSHYQAAEQPAHTSHSTIWGAEETCDEFSFKLATARKQEGSNTSASSPPDSDNEVHSSNSEGFLSFLEDLAGKETPDNLCADDAKDTDELCPKSPPQNNNDSGSKDQSLADIFWADSLEPLDLDIPPVRYQADDFILGNSDNDNSWNRMMENSMDAFRNLSFFAADNFDSVLPIM >cds.KYUSt_chr7.15179 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94248952:94249455:1 gene:KYUSg_chr7.15179 transcript:KYUSt_chr7.15179 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPLLENGKKTKGRQRRELRRVEDKEARQVTFSKRKAGLWKKASELALLCHARVAVVVVSEAGRAFAFGSPSADAVLGGDTDDAPEDWEEMEALCRETRERAVEVEKEAERMSAVGNKVLELQRQTGKRFWFEVDTAALGEEELLVFVRALRRLRDNVGRRADKK >cds.KYUSt_chr3.2460 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14072223:14074621:-1 gene:KYUSg_chr3.2460 transcript:KYUSt_chr3.2460 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAALGGSAKLECNTQKNVKLEAMRRLRYFLYAGACPDVQSNDVMGHVGVKWMERLRLKGNVCGIVAIRERVWVVRLILSPLLILLPSATKCGEASLSLASIVANGTLGQIEAESRNPLTHQATTHPTAPGNDYRTKKKKKRDSTPPVHPIF >cds.KYUSt_chr7.9476 pep primary_assembly:MPB_Lper_Kyuss_1697:7:57832936:57835329:1 gene:KYUSg_chr7.9476 transcript:KYUSt_chr7.9476 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIGSGMYVSGRAPDRKRERHLSSGSVATPPYTGGDVSRSGELGRMFDVSSSSPASSSRRSSGPLPPPPVPLRPPPSPALSQLSHSGLLVGPSPPHAPSPARGAGSSWRKPSRMRAAAAKEEGAPAPVVARGRTRLGVPLACYVLVAVAAVAGVGAGVFFLVSWRRWEGLAGAGGAVAVAAAVLSWNVARCAAEAERFFRRSPDTVFDQADMPIGELVKITGQVTCGRIPVGACFHDAARCVFTSVQVYGRRGWAWACCCSRWQLRHSEARSTSFYISDRNSGRRFYVRAGEGAKITWMIKRKMVSFDGEKKGASRSLTSWAESHGLSCDGAARVEEGFIREGDTASVIGVLKKHHAFDVVDAPDGVVATGCQPARFMFPVLVEGLVLIGSEDPDEAVYIV >cds.KYUSt_chr1.39132 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239250861:239255611:-1 gene:KYUSg_chr1.39132 transcript:KYUSt_chr1.39132 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAARLLVLGAVAVLVIAAARGADALRSLGAGTTVAEGDAAVDLNGTNFDAFLAASREPFAVVEFFAHWCPACRKYKPHYEKVAKLFNGPDAAHPGRILMTRLDCALKKPNTLLNCTANLLVPQAALCIGM >cds.KYUSt_chr1.26846 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161973931:161975169:1 gene:KYUSg_chr1.26846 transcript:KYUSt_chr1.26846 gene_biotype:protein_coding transcript_biotype:protein_coding MTADAASDAAGVNGPLSFDVIVLGAGIMGSCAAHAAASRGASVLLLERFDLLHHLGSSHGESRTIRDAYAKAHYPPMVRLARRLWGDAQADAGYRVLTPSPNLCMGPRSNARLLAAVRNAGAAEVDDVGERWGGVFRVPDGWVTAVSELGGGVLNATKALAMFHTLAVKKGAVVRDNTEVVGIVKKEGEDGVRVVTSKGEEFHGAKCIVTVGAWASKLVKSVAFMELPIQPVQKTTMYWKIKPGREHELTAEAGFPTFSSYGDTFVYSTPSLEFPGLIKINDNSGSPCDPDSRDWGSGAASAAERVARWIQVFMPGHVETAGGPVIRQSCMCAMTPDKDFVIDFLGGEFGQDVVLGAGFSCHGFKMGPAVGMILAEMAIDGEARTAVEAGVELHHFKINRFEGNPAGNTNKD >cds.KYUSt_chr5.17845 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115298885:115299642:-1 gene:KYUSg_chr5.17845 transcript:KYUSt_chr5.17845 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSCSWQSLLLFITLPLCLVASLIFLLNSRRRRGKSLPPGPPALLFLAKFLALRQSIFDLPQILRELHARLGTVISVRLFRPLVFVSDRHLAHRVLVQSGITFADRPQVFEPGHLFTSGARNINAAPYGPYWRLVRRNLASEVLHPARVSLFAPARRRARDALVNDLLAASGGGGGSRPVTVRPLLRRALFELFAYMSFGARLGPCWSCISQPHLLLPLNSHNLYCLSHARAHTHTLIQGRRRSFAMKLP >cds.KYUSt_chr6.30249 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191655300:191656426:-1 gene:KYUSg_chr6.30249 transcript:KYUSt_chr6.30249 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTVSDLLSDLAVDGGNDHLDGGGGDASVPSSPLAAQQVEEADPSHLQRLFEEDYDNLMKSLQENDPSWPSLMLKTSDKLLGCANAKARQLLEKVEALEQVLEKGDHMVGAILEGLQNAQLKGDSRTSKSNAPSK >cds.KYUSt_chr2.9933 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62859430:62861826:-1 gene:KYUSg_chr2.9933 transcript:KYUSt_chr2.9933 gene_biotype:protein_coding transcript_biotype:protein_coding MFADLGGKEAVLGELMAHGTKLAAPMPFIYSSQQLVTLTPGLDVCEIAEKYGVASYYPRNPGNIFCTPKAPPRVDAIQTKKAWRHIEFRRLLA >cds.KYUSt_chr4.3689 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20985887:20988343:-1 gene:KYUSg_chr4.3689 transcript:KYUSt_chr4.3689 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDISHRSVAANGISIHVAEAGPQGGPAVLFVHGFPELWYSWRRQMEHLAARGYRCVAPDLRGYGGTTAPPDPSSYTVFHIVGDLVALLDALRLPQVFVVGHDWGAIVAWNLCLLRPDRVRALVNLSVAFMPRNPSIKPIDYFRRAYGDDYYVCRFQEPGFEAGFASFNLKRFFKMALTVQTTGSSAMSLEKMQASNKEIALPSWLSEEDISYLASVYAKTGFAGGLNYYRCLDLNWELMAPWTGAKVQVPTKFIVGDGDLAYHHPGVKGYIHKGGLKRDVPLLEEVVVIKGAGHFIQQERAQEISDHIYDYIKKFNTDLSTLKLSKL >cds.KYUSt_chr4.22075 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138824175:138825611:-1 gene:KYUSg_chr4.22075 transcript:KYUSt_chr4.22075 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRARSPKFGPGAAMQSAGGSSSSQGLAALSAGLALRLAEEHANSNLVFSPLSIYTALALVAAGARGATLDEILRVLGARSRQELDQYVARAAGDALRDRSGSGGPLVAFACGVWSDRSCPLKPGFREAVVDGAYKAEASTVDFRSDANGAVRLINAWAERVTNGLIKSVLRPESVEPLLTRVLLGNAVYFKGKWDQPFDKSDTKKGRFRRLRGAGTVDVPFMRSWKPQYIAVHHRFKVLKLRYKMADESPPFDPGPFLHRAAAPFRPARHSTNLPHPAPPYPTPPSRYDDFCSLVTNAFGNSLSTPPKDKSLTQFSMCIFLPDADNGLPSLLDAMASSPGFLHQHLPRKEVLVGKLQLPRFKLSFHGSVVSVLGKLGLLLPFHETNNELCDMAEDDGSGLPLVLSDVVHKAVIEVNEEGTEAAAVTIASAPGGGGGRPRPPPLVDFVADRPFAYFVVEEETGAVVFAGHVLDPSTE >cds.KYUSt_chr6.30538 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193487427:193488771:-1 gene:KYUSg_chr6.30538 transcript:KYUSt_chr6.30538 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEMASQQQGDSVNVAVNVRPFITQELLLGCTDCVTFMPGEPQESADAGRKYDHLVFPFFALLSRRNALWLLFSDSPLFYGYADVIGMVTGILPIVNIGAKGRPVPKRT >cds.KYUSt_chr1.37209 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227384743:227392832:-1 gene:KYUSg_chr1.37209 transcript:KYUSt_chr1.37209 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDKSKSPAVPASHGKDPGKPPVDEAPSSATKQKVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPAEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKSVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDDEFLYLIMEYLPGGDMMTLLMRKDTLTEDEAKFYIAETVLAIESIHKHNYIHRDIKPDNLLLDLSGHLKLSDFGLCKPLDSSNFPNLNDPDYTSGKGAKPLPDNTNRLTNSSAPKRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSAETKDLISKLLCNVEQRLGTKGAHEIKAHTWFRGVQWEKLYQMKAAFIPEVTGELDTQNFEKFEETGAQVQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIEVLVGLAVFGLTVSAMGLRPLLATA >cds.KYUSt_chr1.6323 pep primary_assembly:MPB_Lper_Kyuss_1697:1:38973680:38983362:-1 gene:KYUSg_chr1.6323 transcript:KYUSt_chr1.6323 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSVGTDVSADHVIAELLEMGFEFDKISEAIGVVGPCRADVVEFMLNGSGGEQRKPSGGSQRRRPDRSARLANPRGKFKQSSITDHIASTTGSKTVSRGGEPSTSFSCLLASIGPEATAAVCSKSKPEPQFLLENSRGEFERTDKISAVLQKHFGFSCVKGFQKEALDAWFAHKDCLVLAATGSGKSLCFQIPALLTSKIVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDNRVEGKAMAGKYKIVYVCPETILRLMEPLKKLAENPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFCSSKLKFLEHDIPLMSLTATATFHVREDILKSLKMSEHTVVVLTSFFRPNLRFTVKHSKTSASSYGQDFQELIGTYNASRNFRGKGQRILHEVEPESESSSYDSLDDSASDDEEEVPDIKINKLAKSLVKENTEHELDQYPGVDDFDVSCGEFLESSRPEIFAPPVPSHETSSTESFDQGPTIVYVPTRKGTVELANYLCKSGLKAAAYNAKMPRAHLRQVHEQFHCNALEVVVATIAFGMGIDKSNVRRIIHYGLPQSLEAYYQEAGRAGRDGKLSDCTLYCNFVRTPTLLPNKRSDEQTRAAYRMLRDCFHYALNTSTCRAKILVKYFGEELGLEGCQMCDVCINGPPQMHDFKEEAAVFMNVLQSQTGQATEGMNYNSTDCYRSGRRNFGEVPDFRTAVSFIREKLPRFATTDKVWWQGLARILEDMGYIQEAAEIPRVIIQNPEPTRAGLIFLSSQPNEEGLYAYPDAATLLAMTHPKSLSSSAEWGRGWADPEIRRQRLAGKTGRRKRKRGSRKQPMGFTTAKERLSAILSKSKRRR >cds.KYUSt_chr7.10512 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64527999:64530320:1 gene:KYUSg_chr7.10512 transcript:KYUSt_chr7.10512 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPFRPEEYSLKETTPHLGGTAMGDKHTTTYDLVEQMQYLYVRVVKAKELPSKDITGSCDPYVEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKERIQASVVEIIVKDKDFVKDDYIGRVLFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPNDKTRFPEVYVKAMLGNQALRTRVSPSRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVIGRTVISLQHVPRRMDYKMLNSQWYNLEKHIIVDGEQKKETKFSSRIHLRVCLEGGYHVLDESTHYSSDLRPTAKQLWKQNIGVLELGILTAQGLLPMKTKDGRGTTDPYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVHDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHSYPLIVLTPGGVKKMGEVQLAVRFTCSSLINMMHLYSQPLLPKMHYIHPLSVIQVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVARWFDQICHWRNPLTTILIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAETAHPDELDEEFDTFPTCRAPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFIAAIVLYVTPFRVVVFLAGLYTLRHPRFRHRMPSVPLNFFRRLPARTDSML >cds.KYUSt_chr4.50025 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309847473:309851186:1 gene:KYUSg_chr4.50025 transcript:KYUSt_chr4.50025 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTATALVLALTLAHYASLAAAAGPRVIIVGAGMSGISAGKRLSEAGITDLVILEATDHIGGRMHKTNFGGINVEMGANWVEGVNGGKMNPIWPIVNATLKLRNFRSDFDGLADNIYKEKGGVYDKEYAQKRIDRSDEVEENGGKLSAKLHPSGQDDMSILAMQRLNDHLPNGPTSPLDMILDYFKYDYEFAEPPRVTSLQNVVPLATFEDFGDDVYFVADQRGYEAVVYYLAGQYLKADKSGNIVDPRLQLSKVVREISYSRSGVTVKTEDNKVYKADYVMVSTSVGVLQSDLIQFKPQLPNWKVLSIYQFDMAVYTKIFVKFPKKFWPEGKGREFFLYASSRRGYYGVWQEFEAQYPDANVLLVTVTDEESRRIEQQSDNQTKAEIVEVLRDMFPGADVPDATDILVPRWWSDRFYRGTFSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSEHYNGYVHGAYLAGIDSADILINCAQKKMCKYHVPGKYE >cds.KYUSt_chr1.11477 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70426457:70426987:-1 gene:KYUSg_chr1.11477 transcript:KYUSt_chr1.11477 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAANGVGAAKPEICAGLGMPMAELEQVFRRYDANGDGKISADELASVLCALGAPPGPGEVQSMMDEMDADRDGFVDLREFAAFHCQAGGSGGGGADARKEQEAASEAELKEAFRMYDADHNGLISARELHRVLRQLGDKCSVADCSRMIRSVDADGDGCVNFEEFKKMMGGGS >cds.KYUSt_chr7.22437 pep primary_assembly:MPB_Lper_Kyuss_1697:7:138994599:138995273:-1 gene:KYUSg_chr7.22437 transcript:KYUSt_chr7.22437 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETYVTYVAFEGHDIKTTVTSSGTAVERWLQEIRCMYRWVYHKLIVGLDVEWRPSYSRVQNPAALLQLCVGRRCLIFQLLHADYIPDALAEFLADRSFRFVGVAVQGDANLLSKDHHLQVANTIDLRGLAADRMQMPRLRQAGLKGIASAVMGVNIEKPRNVTMGPWDAYELSEEQIEYACIDAFVSFEVGRKLLTGDYSHVHCLAAVEDYTSDEDYTSDED >cds.KYUSt_chr3.8042 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46318613:46319770:-1 gene:KYUSg_chr3.8042 transcript:KYUSt_chr3.8042 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDQSQQPPRYWFPYWSGVPQPPPPSRPSRPPVRPQLSRRLSPPSPAAAPSSPRRPSPSQNRQQPTTTTTATRGAAPPCPPQSQVSRPSPSRSSPLAPIREPPAAAPSNNSNAGGATAPEPAKPPAKPVVLLLPAFPEASPRQKDAAVVLPLVKPIAQVQQPAASEIKPHGGHGKAVDKEHKPHHQKEEKEDKKDEHKSKDKEKEKDHKEKKDETKKEKEVKDKEKKHHAGEDAKHKEVKAEHGKLHREIKAGVADMVHKASGSGHAAGPGTSVITLAGENKGASMNVGGGKSKNGGEWHGHGYRLDGGSGSDGKKAAGKPGMMTALINSNVQVINNSLLLQSSCNGGDPGVHLKLSAKSASKEKQKHAPGGDKVAAASAGKK >cds.KYUSt_chr3.46164 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290549107:290552431:1 gene:KYUSg_chr3.46164 transcript:KYUSt_chr3.46164 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKKELILGGGISKRRQEGLWDRADKKKSRKQKHLYLVLDDWAKGFSIRKIDIDTLDLDSSSDMDLEFPNVRLVSPEPKYRMNFAALGSNIFIASNRHPGTMVYDTETNGLAIGPHLPDTLLRGTQILVAAADMQQLYVFKFNHMDMQQSFEVMSAKQGDLHSSNPSTDWSWTSVPSPLPLTEGDRITSYAMHPDGHSIFMSASNNPNVCTTFSFDTKNHNWRFHGEWALPFQEQGYFDSELDAWVGLHEDGYICSCKVASPTSLVIMQPDCKMVEEKLFLKHSGSLGATLTYMGNSRFCLVERVVRKEMEFEDSFVGDCGFMVHITIFGLKYSWLSWSTNESTLMDAFSHYGEIIYAKVLVDHDTGRFRAAPAAATDAVPAFRRCGSDLGPGLYIDTARDALPPGPFPSVAVTASGLDRYPPRIGGGVARGLLVRRRVREMRDLQLQLLQVALRCVRELCQINLAAHATQGRCPQHYQAFGAATPTSNGSTTPSVDHQHHLPGPPSRHTPARTRSHNAARRSHPQPKLHRANRSQTTAIAPTSRI >cds.KYUSt_chr6.27129 pep primary_assembly:MPB_Lper_Kyuss_1697:6:172120731:172123195:1 gene:KYUSg_chr6.27129 transcript:KYUSt_chr6.27129 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRNSILSHLLSTSAASPVWPLHRHLSAAAAAVSPRPSFAVEKYLIDTCGLTQAQALKAATKISHVNCPSKPDAVIAFLAGLGLSSADVAAVVARDPKFLCADVERTLDPVVLELNGLGISRSEVARLASLAPDKFRSRCIVSKLQYYLPLFGSTERLLRALNHSANLLSSSLEKVVKPNVAFLQECGLGACDIVSMSNSVRWILGSKPEHVWAMATRAEAVGVPRGSGMFKEAMQAVAFLSEKEIAIKMEQLKNMLKWSDAEVGIAVRKYPLLLVRSKDGLQGKSEFLTSEVGLEPAYIAHRPALLCYSLQGRIRPRYYVLKFLKGVVVPDIRKWRRKEANIEDRLCGVEVVPLEPVHCPCEDSSSPLRTGCYLSCSNG >cds.KYUSt_chr1.36470 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222426020:222426430:1 gene:KYUSg_chr1.36470 transcript:KYUSt_chr1.36470 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr1.40119 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245719603:245720766:1 gene:KYUSg_chr1.40119 transcript:KYUSt_chr1.40119 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKKQFSAGFLTDDLLVEILSWVPFKSFCRFKSVCKAWLAFSSDPHYRGKLPKIPTGLVREGRTGVQLVSLSPNNEEIDGALTFLPHYKKLEFVDCCNGLVLCKYRSISSVAYTHFIVCNPATQEWRELPVSGSHGHPYGRDGYRCTTILAFDPSWSAQSFYVFNFWQNVSNTWKRGISRIEVFSSDLCKWLVDDAWRWNHRILMVNTPHSYIGGALHVQTSSGDILVVDGLHRTSHGMSSSNCTMIKLPHECCHLMDGCFGQSPRSLLCAFPEECGRTVAVFSLDAGRPYKWSLKHRLSMPDALGMGNNIEFCDDGSWVLRCSYHIVALDFERDVLFLIDKETKKLLVYNISTGKLSKIKDGCLTRTWAYHYYVACYSKLPGRAM >cds.KYUSt_chr3.39237 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247198766:247199974:-1 gene:KYUSg_chr3.39237 transcript:KYUSt_chr3.39237 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPEAIPLLTPYKMAGSSFSLAHRVVLAPLTRQRSYGNVPQPHAAVYYGQRATAGGMLITEATGVSDTAQGYKDTPGVWTAEQVEAWRPVVDAVHAKGAVIFCQLWHVGRVSSYEFQPGGAAPVSSTETMVGPQVRHDGTVEEFSPPRRLTVEEIPGIVDDFRKAARNAVQAGFDGVEIHGGNGYLIEQFLKDSANNRDDDYGGSLENRCRFALEVVDAVAKEVGGHRVGIRFSPFMDYMDCHDSDPHALGLHLATKLNDHGILYLHMIEPRMALVDGRRVVPKRLLPYREAFKGTFIANGGYDREEGDKAIASGYADLVSFGRLFLANPDLPRRLELNAPLNKYNRMTFYISDPVVGYTDYPFLS >cds.KYUSt_chr1.11685 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72033243:72039160:1 gene:KYUSg_chr1.11685 transcript:KYUSt_chr1.11685 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGGFFVDEKAARVENIFLEFLKRFKETEDAAEPFYDVEMEAMRSRESTTMYVDFAHVMRFNDVLQKAISEEYLRFEPYLRNACKRFVMEQRAGENRAPIISDDSPNKDINIAFYNIPMLKRLRELGTAEIGKLTSVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVDQQFKYTEPIICVNATCQNRSRWALLRQDSKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGDRAECRREGPQRKNGSGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRRDVDIRDRDIDGDGSERQKFTDEEEDEVVRMRNTPDFFNKIVDSICPTVFGHQEIKRALLLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKKEEALSPAFSTAELKRYFAFAKSLKPQLSSEAKKVLVESYVALRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERIVLPAHVRLACKLLKTSIISVESSEIDLSDFHDNEDANTPANDPAPPGETDDEPADAGKKKLVITEEHFQRVTQALVMKLRQHEESLKKDGDGLAGMKQGDLIIWYVEQQNAQGAYSSTDEVKEEVKCIKAIIERLIQREGHLIVIDEGSAVADDGAAAVRKVEHRILAVNPNYVID >cds.KYUSt_chr7.20304 pep primary_assembly:MPB_Lper_Kyuss_1697:7:125973035:125974729:-1 gene:KYUSg_chr7.20304 transcript:KYUSt_chr7.20304 gene_biotype:protein_coding transcript_biotype:protein_coding MQISVTLNGVSARQRQEDASQIEEDDGGANAHRHNHATARAGSSTGAANNHGIIILAFLDDSGCCSTQVHTWLPDVPGARARDIDTNRTCGPLCQGEEHPAVRFPLLPQISFPRFHGGNPQLWRDKCLEYFKINECIWVTTATLYMQGAAADSYNEYKLIRPVGDWSQFIRAMEEKNSAGAFSLILGCHVVELSSPYSSLMAGSRSRLHNSWDPGGLTVASLALNSTPFAGHNNVYSRSNLLMNLMGWKDNKAIVLTVEHYFQWDPGIMMTFLIDAMACMDELSDILSLLEGAITANAFESQLQYKQ >cds.KYUSt_chr2.29036 pep primary_assembly:MPB_Lper_Kyuss_1697:2:178440193:178441095:1 gene:KYUSg_chr2.29036 transcript:KYUSt_chr2.29036 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNPEHQASPSTAQRLEPPLASPPNPAFMIFSSSDFTMDQLSLGVNTEKSFAQHPPKPNGRNKSMGAHDRIPPIQQTPGNRSTVTFAGGAFRNSTLRPDHEGQASGRSSSSPKRDPRTADFIQAEPPRNWPSRLPTHETGRSKATLAAKPAQGRVVVRRRRRPEQAESAMARAHQPESIGPRAKTSSSRRSRRRAPPPKIAPPSLQTATTPARAPTPALSHCSASPREEKKPGERRPPLAVFAGQGAATVAGAGGRDGQEGPMWCLLWLEMRSPRSRTRDETCLNSFFFIEKSFITWSQ >cds.KYUSt_chr3.30114 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188460839:188463228:1 gene:KYUSg_chr3.30114 transcript:KYUSt_chr3.30114 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAPLFSRNPSLRFLPAAVRAAMSASPPADSAPRAAVTVEYAKSARSACKGCSAAIAKGALRLGAHARDPRAGYDTTKWFHVACFPASSHPLGPLDKVPGFLWIKESERDELRALAEKNESDGTELGPSEEPSAKKAKADPSSPKAAVVAEKGTVSVEYAKSARSTCKGCNASIAKGALRLGVAAHDPRGWDSTKWYHVACFPTSSHPLGPVEKLKGFDSIKDEDRVELQELEKNNKSDRTELGPSEELSAKKAKADLSSPEAVKMEPFKMPQQGDRPEIPAMMPSERRSKERHDSASSSYSRRPKRGASRFLTSLWQMCKNTNDVAHQSLALNQETMRRQNDFMAARNTPAPPPGPEMEPVYAPTWEMPPIDDEMLQNFDLSMYAHGGLSPQYAQDPDTDGYGEDDDEGNKQYDEYDEDEDEDDDEGDDDGGDGGSPPRGTEFY >cds.KYUSt_chr3.47532 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298102471:298104360:-1 gene:KYUSg_chr3.47532 transcript:KYUSt_chr3.47532 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLDIRFTNIPLLQETIEVMTNLREVNTKGVSWRMINHAWKKLQNLHKLRVTESSDVITADNCSSMDMMNLELLDLSGNSHMDSLPTMSPAGNLKMLVLDGCSSLEHVALEGAPPLLESFSFDGYGPAKRWTHPIELPKPELRPKSRTRLVQEAKVRRISLKGCARLCNIFLRGLPNLEELDLSGTTIQALDLSVMDVPGLKKIFLVGCEQLKSLSWSGTNRSLGVIHVDTRRNTRSVFDREEQMSSQVLMAFTDGRFIWSFIYILYWCTRCISKIHIHISSTIQAQVNITKGIMAIVPSLEGLVPTRPFLPYKDIVLNKDIVALSSLVWDHRQLYPLGGHLEIGEGSHHLDNLDKNEDSRSFINSMVESLHVHDSISITTILPGVTRHWVKLKWCHVERCCKLHTVFPSWGGYRSFESLRIFSASDLPVVYYIWATYTNYYWTSFQELQHIYLHNCPRLEIVLSISFTLPNLESIQIAYCANLQHIFPLNEKCPQKIASGVTFEKLKYIKLHYVHKLEQICTTKLTVPKLEMISLRDCWALRRLPALSRQGPKPVVDCEKDWWDRLEWDGSDANHDPSLFETSHSAHYKKTLPRVSVLRYVSTCILFYAYIDELSKLVPLIYCFLDD >cds.KYUSt_chr5.37173 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235119128:235120493:-1 gene:KYUSg_chr5.37173 transcript:KYUSt_chr5.37173 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPVVIPSTEEAGRIGRYLGKIILHQLFVAECAVLRNAHTPHSGSFPLIQQLGAVLHVLRAEQEQHNLRVVVVQQLVERALLSGSSDDDRVVPRLTSVPHAHPSSELVRRSKATTDFVQPRVEKFSQFPFPSLPRRAPLVAAGVLHGDDETAVIEERCGVPSALPSASVHPRVTSASTGRVRAGTRSFVGGRTAEKARQLRAWMVETESFHDAMYHSAIAVAPSVSYRA >cds.KYUSt_chr6.1397 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8557125:8557508:-1 gene:KYUSg_chr6.1397 transcript:KYUSt_chr6.1397 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAHHELMLRRPASGHEAALRSVQKPPSKPWRTGGLAPAPTPPKVYRVEPREFRDLVQRLTGAPAARLQQQRAAVAPTQPVVVRVGTGATGVETVGPMHAAAPWLSFPILAPVAAMHPRLDGNQLI >cds.KYUSt_chr2.55087 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343424102:343425349:-1 gene:KYUSg_chr2.55087 transcript:KYUSt_chr2.55087 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARFREAGNCRESEVARQSRTSAVQTIMSLSNWWYWRPFRAPAGAAAAAAVPAPLRLLPPPRSPPPSAATDDPVTERLKEAAARRKAEGTAASAVHARRRDEYWTPQLNLPSSASFPTPGAQTDRPPKRERLRRPATGERAPTRRARIDLTAPLLMGIVAAGIVGYNREDMAGGLIEDHIGGAAAVEVVNSFELQVVLAGVTWFVIGAAVAGFVQVLVRRNEFRE >cds.KYUSt_chr3.18304 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112506354:112507382:1 gene:KYUSg_chr3.18304 transcript:KYUSt_chr3.18304 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRAAKKAAPPPPPPAESSDDETQSRSRSEDSEDEEALAESPIPAHTPVLNNTPAPAHKGDESESSDEEEEEDGDEEEEEEEEEPTRAAPPSAPKKRPPQPQIQQDSDTSGDEDEEEEEEAPPQSPPEPAPKKAAEVPKPPAAAETKKPGPFERMWSTNDDVRILEALAAHQKQHGALPQPEALVDVLAGKLDKSAYGSKELQNKVKSLRTRYLILSKRGQLPSKEHDRRVLELSKLVWTSTDKTSPVDAAAANAVVNGHEPKGFQEMCELYPHLAEEVKGLEAARPGMCKREFGKMDDGKARSMDEKIKKQRVMQIKVEMRHADLVKEVTKALLDLVDS >cds.KYUSt_chr2.5840 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36290390:36292557:-1 gene:KYUSg_chr2.5840 transcript:KYUSt_chr2.5840 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLREAAPWAESPGSPANSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRYLACHPRLWLRVERPLRNVTEPGVYTTLAAAVSAARPGDTILIAAGSTYLACNIQIKKPLCIIGGGELPDDTILTCSRGSENALEFLSTCKIANLTIKAELGCCLLHRSGKLTIEECLLQCEENPLDYLSFPIVSTAIEYNSLSLLKEQGHGLTVVRTRIEGGAKAIRTNGTLALQRVRAIYARSSVFFWFEVGET >cds.KYUSt_chr1.1430 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7779690:7780871:1 gene:KYUSg_chr1.1430 transcript:KYUSt_chr1.1430 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKPSSSSSKQPQQQNGGHAVPSKLARYLDPEASWDKDQLLDAVHWIRQAVGLACGLLWGSLPLVGGIWIALFLAISTGIVYWYYAYVLKIDEEEFGGHGALLQEGMFASFTLFLLSWILVYSLAHF >cds.KYUSt_chr2.13595 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86153029:86160122:-1 gene:KYUSg_chr2.13595 transcript:KYUSt_chr2.13595 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILLASDSPAAAGASPAIREEALAFCARARDESPPSSLLRLCLSGLASSPHAHVHFWCLQSLHDALLRRRIALPDDLALLRSSLLSLASSSNAASPTFLRNKLAQLISLLVRLDYPHVYPSYFLDLLPPSPPHSGPTDMFARVLISIDDDLLSQDYPRSADETADAGRVKDAMRAQCVPQIARHWHDAAGSLRALDPPTAAVALDAARRCISWIDVALVANDVFVPLLFDIALSPGTAAPLAAAAAGCLSAVAAKRMDARAKVALLRSLLSAQQGLGSPDSGLKMATLVTTYAAEALECYRKLGPGDADGAAALEMLEQVLPAVFSAAESAYDDDVDSGSVLDFLAGYVSTMKAPSEKQLGHLGRILEVVRQQMLYDPVYRGHLDVLDKIGKEEEDLMAEQRKDLVALFRNICKVAPAATQQFIKGLLVTALSSAEATVEDIEVTLTLFYRLGEIVGEEEIRTGTGLLGELVPMLLSARFPCHTHRLVASVYLETVTRYIKFMQENVQYVPHLLAAFLDERGIHHQNSIVSRRAGYLFMKAVKLLKAKLVPYLDTILQTLEDVLGQFTAMDWANKEAKFSSSEDGSQIFEAVGLLIGIEELSPEKQVQCLTALLNPLCHQIESLVVGAKTQGLEESSPRAMSLQQIVVALNMLTKGFNERLVMVSRPTIGVMFKKTLDVVLQILVSFPNVKPLRSKVISFLHRMIEILGISVLPCIPVALRQLLVDNEAKDMVEFLVLINQIICKFNSSASGILEDVFPTIASRLSVILSQDAFSTGPAGNTEEMRELQELQRTLYTFLHGMVTHDLSMILLAPTCTQYLETIMQLLLYTSCSHKDILLRKACVQIFIRLIKDWCTTSKADDKLPGFRVFMIEKFATGCCLYSVLDKSFNLRDANTLVLFGEIVMAQKIMYERFGEDFVVNFVAKGLPEAHCPPDLAEQYYQKLQ >cds.KYUSt_chr4.19282 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121120667:121121233:-1 gene:KYUSg_chr4.19282 transcript:KYUSt_chr4.19282 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDLGPPVGAPPTDGGSIVGCPWEHRPSPVGAAPNGRGSTTRRSWEHLHHVVEAAPAARGSIFAGPWKQRRPAVEAPPGAHGSISVRLWEQRAPPVGASLPDLGSIDDRPLEAGHRRSWEQVRQPVVEASMAKHGSRPGRPWEQRQPSMGAGPAAHGSNADHPWELHLPPLEHRRALANPATRLATL >cds.KYUSt_chr3.46571 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292911218:292919452:1 gene:KYUSg_chr3.46571 transcript:KYUSt_chr3.46571 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRKESDADGVEGDAAPSDGDGTHGPSQAAKMLLSSINQRKDSASAGSFNIMCYVYPRKSHRPGKIPRIRGRKQFYSCPAKMEKNPWALNSSERTLQLMAGVLDALASYVTNMLTEMAREEIAMLIGVSSEIDNLGVKLRDLKKFLADADRRNITDESVQGWVEELKHAMYHSTDIIDLCQLKAMEQGPSKDMGCLNCLLFCMRNPLHAHEIASRIKMLNRKLDDIFKWGGNFNFIKLESYQDRKATHPPIVDRKTHPLLERLGVVGEKIEEDTRVLVQMLTKVVADNSDNIMVVAIVGVGGIGKTTLSKNVFNDEAIQGKFSKKIWLSVTQEFSEVDLLRTAITAAGGKLPGPGGRSQDKALLVPILASTIKDKKFFLVLDDMWGNNEWNNLLKVPFSYGAHGSRVLVTTRHDTVARGMKAMQPYYHIDKLGSEDAWLLLKKQVLTNEKSELEIDMLKDIGLQIIQKCDGLPLAIKVMGGLLCQKEKERCDWEKVQSDYICIVKLRELRYLGLEGIGAISVPRGLCALKDLRVIYGFPAHTSGDWCSLEELGPLSLLRDIVLEGLENVSTSLSATKAMLGAKVHLSFLGLYCTSILDDDGLVKEGVREKDLDIIEEVFDELYPPPCIEDVRIRGYFGCQLPRWIMSRATTPLNSLKILMMEDLAFCTELPDGLSQLPCLKFLKVNRAPAIKRVGPEFVQPHSHHHHLSSQTLTAFPRLHEMILDKMVEWQEWLWEEEVRAMPVLEEFYLRNCKLRCIPPGFASHAMALKKLTIQSIQHLDSLGNFASVVDLNMQGNPDLTRICNFPKLQNLHISYCPKLELLHEVTTLRRLVLTLLYSEKRLPLYLQTIKPRHLLLDCSPELLACLAAGKSGPEWDKFNHIQHVEAYAHDGCIEKRWHVFYTREPYSMETNVDLQTLGAAELCLETVDIQGEGEGEEEARDDPVDDLGRTIADARRRCETEKERENLDRMLEDHEKALYPGCDDGPKKLGCTLGFAEMEGTGRCS >cds.KYUSt_chr2.31710 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195587498:195588641:1 gene:KYUSg_chr2.31710 transcript:KYUSt_chr2.31710 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQSLVLLLPSPLSPPPLRRIGAPVPPYSRPTTARTLPRLAAKLCLPPLATSRSGGFGDGSCSGGGGGDGGGGRGWWQGGRGDPPDDPGDGWRRWLQSLHPEFFLLFLLLQSDAFASIPSALADAIGATGGSVWEVRGSARTLLVPNPTGTSYLVAGDDKRKQEEGTEKVGAGHGELTALQRQLERSWRRCTDFALQLLLPDGYPHSVSSDYMQYSLWRGVQGVASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKILLSKFGRHFDVNPKGWRLFADLLENAAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQVRAVTSLSSMSIGSSELRCS >cds.KYUSt_chr3.34157 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214222594:214223781:1 gene:KYUSg_chr3.34157 transcript:KYUSt_chr3.34157 gene_biotype:protein_coding transcript_biotype:protein_coding MVASGVCRKWREACRKHLHSLSFNSDDFPRDMTTRQLEIVITQTIFQTMGLQCLSIHIDNTHEFSAAPVIAWLMYTRDTLRCLYYNIQTNPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLYLRHVSISALDLSLLVAACPKIESLALDVLEIVTSDPLSTMELTSHTLKSLFVKTVGVDKIILDADNLEVLHLNALNLDLFELSGKGTLKHLKIDDVSVTHLDIGESTDHLEVVDVSNFMIVWPKFYNMISRACNLRTLRLWGVVFDDEDEIVDLETIAVSFPLLRHLSLSYELRDGLLHYSLQGLSPLENVLVLELGWTVISEHFGPWVFGMIEKCPNLKKLVIHGVLSEAKTREERKMLASFTSFIVCLMRKYVHVDVQFEYE >cds.KYUSt_chr7.16862 pep primary_assembly:MPB_Lper_Kyuss_1697:7:104453500:104453904:-1 gene:KYUSg_chr7.16862 transcript:KYUSt_chr7.16862 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNKNLSPQDMTALSGAHTIGRSQCQFFRNRIYTETNINASFATLRGANLAPFEVRTADGFDNAYYQNLVEQRGLLHSDQELFNGGSQDALVRQYSNTPSRLSADFATAMVKMGNLLPTSGTQEIRLNCRKPN >cds.KYUSt_chr7.30441 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189543182:189543523:1 gene:KYUSg_chr7.30441 transcript:KYUSt_chr7.30441 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGSNGVRRSEKLRPLLGATVSAARSNRFRRWEQWRPPLGAASFAAGSKAHRPPLQHFPWSPRVSLGMEHAGVDMEGSGGSPAVDLCCSDLGSNAGLAPTAPRLVSDKGLD >cds.KYUSt_chr7.24224 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151029338:151030370:1 gene:KYUSg_chr7.24224 transcript:KYUSt_chr7.24224 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPFASCGAILLQQSSVPALVPYQGRAAEQSCGACVDNKASSIIALQHIGDRVSSRSIVIAGEWKAGEGHGRKGKGRYWSPIEESRT >cds.KYUSt_chr7.34993 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218608516:218612526:1 gene:KYUSg_chr7.34993 transcript:KYUSt_chr7.34993 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTGKTVPKGTANIGTEWCEAFAWSVDAVGCRQAQRRPWQKRRSTPSVSHFPRTLLVLVPVPVPVPCHAPSRHFYRRRSHASTPPRAHSIVHHPTPASAPPPMDPSNAIGDVVLLMPPDQPQPQPQQQQPKATAEAPKTPQNPEKPPQTSSPYRPSPLNPDKPPQTSSTSRPPLTPASAALLRRRSSIAKPKSRFVEPPIPPTPSSSQPTSPAARPATTQTTRPASTPLNPADADDDDDIFHKDVAPTQASKAKCRRRACLSLEIAVLVLFLAMLVVSLVVRPLKGRFIWGLEIWKWCVMVIAVFSGHLVSHWLIAFIVFIIERNFLLRNKVLYFVFGLKRSVQACMWVGLVLTAWSVLFDHELGRTPKTAKILNYVSRFLASVFIASIIWLIQTFIMKSIASSFHRKAFFDRIQESLFHQYVLQTLSGPPSMDLPENIGRVPSGRVSLRAKEEKGTPEVIDVAKLRAMKQEKISAWTMTGLITAIRSSKLSTISQSIESFDQFDDTEQKDKEINSEWQAKVAANAIFKNVARPGYKHIEELDLLRFFSKEEAALVLPMFEGASETGKIKKKSLKTWVVKAYLDRKSLAHSLKDTKTAVSQLHNLMRVLVIILIIITTLLLMGLATTKVLVVISSQLLVVVFIFGNACKTVFEAIIFVFIMHPFDVGDRCVIDGIQMTVEEMNILTTILLKNDNEKVYYPNSVLSTKPISNFYRSPNMYDTIDFAIDVSTSVASIGALKSGIKGYLESKPTHWQPIHTVYLKDILDVNKINMCLFAQHTMNFQNIRERNIRRSELVMELKKIFEELSIRYYLLPQKVELSFAGPNPLPISVSQER >cds.KYUSt_chr3.47812 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299606864:299607259:-1 gene:KYUSg_chr3.47812 transcript:KYUSt_chr3.47812 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLLFLYTLALLAASAAAASSADLGDLLERQESMAEVIRVSTDSVAAAAADAHTMHRMTLFMQRELGPFGIVFNAIDRMPESSVAEVRGKAQALDAAEELMIRHHRELLLGNDNISGACRQSGSCPSS >cds.KYUSt_chr6.1075 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6839120:6841078:1 gene:KYUSg_chr6.1075 transcript:KYUSt_chr6.1075 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLINPTKKPLLHLPRPFSSSSSSNPPFPPPPPPHSDDPRRLPRSSPPNSGEPPQRPSLFGDLRERLRSSPAPPPPRRIPTNPPRSAAAPAVPLEDIRRSLENYRAASRNPGAPPRAAAPGGPLPPTPTGAKPFDFTALREGLRKIDPRQQQKQQPKEFLSATSNGIFAKERAGTEADDPDAAVMLYKTYTYEALGKELQELRPPGAGKDGKDWFSLQELQGRIAKLAAKDKDTRLGGQFDALKQSMRNIEKTDKQKAIRNMGGMFSIANLTGNPIPEYLSQPPQEELLERYFHPDHMSGEEKMKLELQKVRDEFKMSENDCGSARVQNPSSRWGSAVSCKLRASSHGTR >cds.KYUSt_chr5.38817 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245736316:245737017:1 gene:KYUSg_chr5.38817 transcript:KYUSt_chr5.38817 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGVMGNKGLNEFKSEISLPTKLRHRNLVSLLLDGNERILVYEYMPHGPVSQHLFEWKEHNSQPLEWKKRLSTALDVARGVEYLHSLALSISGSVYQWRQDLLALSDTLPRGGLKLISGSTILLQARGQRPWDAAMSEEVVLCLASL >cds.KYUSt_chr2.46074 pep primary_assembly:MPB_Lper_Kyuss_1697:2:287499732:287502125:1 gene:KYUSg_chr2.46074 transcript:KYUSt_chr2.46074 gene_biotype:protein_coding transcript_biotype:protein_coding MELAATEMRRTHLAILVSDPRLNISTRSIGKALQDRLGFPWEDIHVSASFPDDFLVRFAQPWQRDEALEAGTVLLNRGSLALTTWSPTARGRPQTWRFYCRLAVENLPLNSWDDEPTVKAVLGGACELDRVERRSMLQDNTAALFVWVWCLDPDLIPKVKPHSILGRPAERRLDLPEGTPAEEGRDGPLYRIIIHLDKVIDYSPLDEGRRRRGVQWPQVFRKNWDFGVEDGMPGPRSRPTRDRLGPSSHSRRRDGDRDEDRDGRHGGSRCGERRRGDGGGSGDGTRWSADRHHRRQDRQDRRPSRSPDRRRRGDSSRHRSRSAGPAELRHQEALPVLALLTANPQVQPSQAVELHSETGGPNEAPRRGRRSRSRTAEGSSAWGSTPSPSPSPAGWMLLPSPTQMPQFDELTGMAVASPLGQRFPSPDNIAQFAACIPAPPSPLIPWGELHNLGRQRHVEAGFEDCWSANITAGTADGMTANLPVSPPHLRSPLHRAPEHPESELWADFFAERSGDFVPSQLSVTDWHRVWVDEPVPGSHPSQNHSYGASQLPRPVGEFLFGPGSSVQAGVAEEPCTAWAASATEKIVLEVEPRSPGWQLQDIIATPRAHLVPRISSSSSEDIDDETLHEVTLKSNALQALRAAKLCGPGLMEELTEGVEKLQVDPKTSLVSKFLGMLSPSLLGFPTNTRPKKKRAAPKNVLCMDTAIRRSSRPATKTSTMLASRRAQASACKQLGLIQRENEFNDDIQAQYLRMFQYPLTQGNVQGLAALTEVASRPGFVLPEHDMHDLLRETPTAI >cds.KYUSt_chr7.7490 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45126922:45129397:1 gene:KYUSg_chr7.7490 transcript:KYUSt_chr7.7490 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPDCPDKCGNMDIPFPFGIGHGCFRDGFEVLCNNSRTFLPDTGRTATWETGRGLYLPLELESISVATGGVRAYTPISYMCATSATATSVADQNMYLETSPFVLSSTGNVFIGLGLSAEQSLFFTSTPESSFRCHTYDVRELNTSDGVCTRDCCETPVLSFATIIGWSTKFNDPTGLWPSHPCTYAMLVAKSWYNYTMPDLDGINTLLNKFTQGVPAVLDFAAGETWCPVEGQPRPPGFACIHGNSSCVNSTRRTPGYICKCLDYYVGNPYIPNGCQGAIGGVLLLVILSFIIILHKQKRKMKELYKKNGGPTLEKANVIKLFKKGDLKPILKNSNLIGKGCFGEVYKGLLDNKLVAIKKPINGSVLESDQFANEVIIQSQVIHKNIVRLIGCCLEVDAPMLVYEFISQGSVHDILHNNSNNKVFLNLDARVSIAAQSADGLAYMHSKANIRILHGDVKPANILLDDNFVAKISDFGISRLIAREKEHTGSIIGDMNYMDPVYLQEGILTEKSDVYSFGIVILELISTRRAIHSENNNLVKSFLEAHKKQKKTTELFDKEIAMAEDLEHLDILVVMAMECLNLDVDQRPTMMEIAERLLILSRSRKV >cds.KYUSt_chr7.32885 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205002504:205003508:1 gene:KYUSg_chr7.32885 transcript:KYUSt_chr7.32885 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQIRTLHSSSLQQWSRCSDGLRLRSSGHGALAAASDECRSLAPSEFCCPRCAWDFLFTQAYIIRFAETDFYLRLIASILLLLRSSVTMQDDYEIGTRQDCKVYCPTEVLFEKPRKQRKLQRSPPELQLRRRPERERDAPLPLLVHTPRIDESIPKATGKSPLTAPGGVTPWTNGSAAKHHAIFFNIFEIQIRRTGSGWGEPHRADASIRERRGSRRLTTELRRAP >cds.KYUSt_chr4.2028 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11101272:11102072:1 gene:KYUSg_chr4.2028 transcript:KYUSt_chr4.2028 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAYQQVVRMTQCEDTRGFPALLRELLSYLGFVWYPEYRVSEIPRGEHQHRYRAVVYVPADDYRKFPEHSCEATANSVEMAVQRAAYGMVIMLRSTYTCFDRSPYRYVPAGVRISQSRYATGEYADPEQENSRLFMTAQFVQCQDRFTQALLLELDSVTEQLWHARQHLAAYTTPQPSHPSYPQSVQFPPSCAHSDVGGYIPRRGQLLSVDPRHRGPLLYGEQGPEAHSLYAPRLRLPVDQHSRGRRRTNWHRDDYQPMGVEWMR >cds.KYUSt_chr2.838 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4931622:4933650:1 gene:KYUSg_chr2.838 transcript:KYUSt_chr2.838 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQHWYSRSRSVPATPRGLPADAIANSPGCMSMVHLIFAPGAGCVGRPPSSDLHAAAVLSNSHHLNSSSSPASHKKGGLEAPRNSLELEGDELRDIQIGVQVLPAFDALAVAGRRSTGSRATAPSSEAGTPRTPSLVARLMGIDGLPEPSPSPSLKKSNPRTVAAVKEKKKRVIPESMNRQPLRSLSCNVGASEARSLPDTPRASASSARSASAWDVVDRPRLSLQVLKESVLDRAAQYMSMPSSPTSSSKQKDRKDRDAGHRRRDAKEHAREIVRQAKETVTNRNKSKKQPSSTASNKENVSPVVVVEDKRMVLVQVQAAVKPTAPLSARAQVEQLPHAPRLPPPPPPLRAKPSRPPPPPPPPDHPAPVRAAPLSPQAVKCKRPPDGCERFATRIKKPGATSAATIQQVSPVAAPPCPAPAAPVSFSVGHRHNQRNQPGVASTSSSSTAPVPLEENPEYGYLRSVLERGGFMRTTPLPSPRSFKGHSPSSPVDPIVFHLLELDLPTDDSPKLGALRHRWNRKLIFHLTQEILADLLLPDGASAPRLHGPALLEKVWEKVRSFPAADCRVVGDIDALVEADLRAAGSVRGLVHQPAVAEEAGDVADDVAEWVLDALLRESLPSSWSWSPSRASR >cds.KYUSt_chr5.29021 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183707651:183710614:1 gene:KYUSg_chr5.29021 transcript:KYUSt_chr5.29021 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPRRTAALSDDDRLLVDHCAALSFPSGSRSPTSAAAAASSFQVHHASHPYPCAAFVFTPTWSAAGWIPSSPDAGGGRVPFGDAEVDPAVFPSLRSVGSGVPARASAAFMAAFTGLLDGSPLQSEVSRAVAEEKRIVFTGHSSGGSVATLAAIWFLENCTRRGSVNQAQPFCVTFGAPLVGDNIFNHAVRREGWSQCILHFIMPLDIIPRIPLTPLASSREQIQSVLDWLSPHSPNFSPAGNSLVIPEFYETLLRSTLSIASYEACSFMGCTSSILGTLTSFIELSPYRPCGTYLFLTSPEQLIVLTNSDAVLQLLFYFLQLDPQQQLLDAAARSLSAHWQYESIKQCVTQEIACVDYLGAISSSLPGRPMNGTAIGGLELSKEAMLSLAAAGQWEKQREINQAKIDANCSKIQEALKSLNEYKRTCELHEVSYYDSFKLQREVHDFNSNVRRLELAGLWDEIIEMLRRRELPDAFEGREEWVNLGTSYRRLVEPLDIANYYRHSKNEDTGSYLCKGRPRRYKYTQKWREQLLRIPLGSSLESCFWAMSEELQAEMVNGKSFQDLKDRVGKLESDALGWFTSGSLGKDVFLSSSSFVIWWKTLPEQHRSASCIARLIPP >cds.KYUSt_chr6.30421 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192650340:192652208:-1 gene:KYUSg_chr6.30421 transcript:KYUSt_chr6.30421 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVKPPPQPEPASVAAARKLHLLLRSRDLRPALSYLATLPSPLTLLPNHALNALLRALAAAGRVRAATDLFRRIPNPTAHSFNSLIAALLGRGRRRAANAVLAAFLRSPLASPDATTLNTLLHGLSTASPRPSPPYLLHLFRFLPSTYAFAPDAISYNSLLCALCRAGDLITARNLFDGMRVGGTDSSVSPNVVTYTTMIRAYCARKLADEALAVFEMMATDGVAPNRITYNTMLQGFCEAGRMELVKGLLETDSFKPDTCTFNTLMAAHCRGGRIKEAMEVFGQMVELRVSRDSASYSMVIRALCENGQFVRAEELVDELLEKEVLKKRGGSVPLIAAYNPVFVYLCENGKANKARMLFGQLLDRRSKVDFAAFKTLILGHCKEGDFEEGYQLVLSMLKRDLVPDDECYIAVVEGFSQKGRMKIAWEALHRMLNSGLRPSTSTFHSVLLGLLKKEGCAKEAADLIDIMLERKIRQNLDLSTNLIDALFKSNLNDRAYKIITSLYDHGYYIKMENLIGGLCEEKKFTEAAELTLFSFHKQEDLGLSICSMVLDGLCTTGRASDAFRLFYELIECGSSSAAAEPRSLVALHHALEEDGKMKEADFVAKQMRRAAARIRQMV >cds.KYUSt_chr4.29268 pep primary_assembly:MPB_Lper_Kyuss_1697:4:183669112:183669564:-1 gene:KYUSg_chr4.29268 transcript:KYUSt_chr4.29268 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEPLSAMTELDKLRTPHRRARAPPPPGHRCCARRSAWAPPSPFPPPAMALLRRHLRRHNQRESRAVIRVPASPSPVTTHASRGARRGSTSPTGTGREANGGDGGGNSAGRHGQVLTDLALVAAPPVDPWGRGLLHREQRIREVTPMSS >cds.KYUSt_chr7.28322 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176840170:176844216:1 gene:KYUSg_chr7.28322 transcript:KYUSt_chr7.28322 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDAAAAAQPAPAAAAAATASSGLTFKLHPLVIVNVSDHYTRVKAQAAFSAEPASAGKAQASCSADAPSSEPAVPPRVFGCVIGVQRGRTVEIFNSFELVIDPVTGTLERAFLEKKLELYKKVFPDFYVLGWYSTGSDVQDTDMLVHKALMDINESPVYLLLNPAINHSQKDLPVTIYESELHVIDGGPQLIFVRSNYTIETVEAERISVDHVAHLKPSDGSSAATQLAAHLTGIHSAIKMLNSRVRVIQQYLGSMQKGDIPLDNSLLRQVSSLVRRLPAMESEKFQDDFLTEYNDTLLMTYLAMFTNCSSTMNELVEKFNTTYERTTARRGGRGAFM >cds.KYUSt_scaffold_6468.347 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1762196:1763718:1 gene:KYUSg_scaffold_6468.347 transcript:KYUSt_scaffold_6468.347 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRGRSRRNGQATFSELRAELFREAYELSVLCGAEMALVVFDPAGNAFAFGSPDSADDVLRRHFPVPADNNEQDVPPVVDKLDEAKEEDTRAPTVITKKQETTPATTTEKARMAALLEKLQPAMEGKSFLWEADVDKIAKTDLPEFVWALERFRDRTESAIPPETQPEGTIDKSTARKKVDKSTGTSDLDNHISEASPDTDVNGSDLANSAHELQVKTGVAV >cds.KYUSt_chr6.28955 pep primary_assembly:MPB_Lper_Kyuss_1697:6:183425781:183432239:-1 gene:KYUSg_chr6.28955 transcript:KYUSt_chr6.28955 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFREKEPYYISSNKITPEIDNEGEKVNEGPLRAGQVLIPLLDTTETQPEVEEEKNASTNDENNDSTVPEECASSLPQQEVEVTGGDIEHTSSTSTHTDPISRDDSVGDATGEGAENLVTEPNSSSVDYPIALRKPIRRTHIPARLKDCIGYKHDLAKFVSYENCSSSFKGFLTSLDSTSLPKDWRDAVQDPKWKAAMMEEMKALEKNGTWKLVELPRGKEPVGWDNEEEIEHLKGKLAQEFEVKDLGKLRYFLGIEVSRSKKAPLPAVSALTKGKKMASVATAPPAGKRPASGGREGDQMVITPLGAGSEVGRSCVHMTFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSAIDVLLVTHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYRLLLSDYVKVSKVSVEDMLFDEQDIIRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLKAAEIPQFSPDICIIESTYGVQQHQPRHVREKRFTDAIHNTVSQGGRVLIPAFALGRAQELLLILDEYWSNHPELHKIPIYYASPLAKKCMAVYQTYINSMNERIRNQFAQSNPFHFKHIEPLNSIDNFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNTCVIPGYAVEGSLAKTIINEPREVTLANGLTAALHMQIFYISFSAHADFPQTSGFLDELRPPNIILVHGEANEMGRLKQKLITQFDGTNTKIVSPKNCQSVEMYFSSEKMAKTIGRLAEKVPEVEEKVSGLLVKKGFTYQIMAPEDLRVYTQLSTANITQRIAVPYSGSFEVIKYRLKQIYESVEPSTEEPDVPVLIVHERVTIRLDSDSYVTLQWSSDPISDMVSDSVVAMVLNIGREGPKVVPVEEAVKTEEETEKVARKVVHALMVSLFGEVKVAEEGMLIISVDGAVARLDGRSGDVECENAALKERIKTAFRRIQGAVRPIPLSAS >cds.KYUSt_chr7.3709 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22080425:22085183:-1 gene:KYUSg_chr7.3709 transcript:KYUSt_chr7.3709 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGTSITDDRLAVLLEEIFLRLPTAADLVRASAACVPFRQVATGGSFLRRFRRSHPPPFVGFMDWHSFSRALPPHTSAPAASAIVRAADFTFSFLPSPTPYSLLPDPGLWSVRSILNGRILLDRGPKSEQGLVVFPLLVVCDPLHRHYRLIPPIPKDLTASVKDPLELIYKRSCEVILVPPGKEVDEQKEDYETSFRVIWMSQCKTNVVAFVYSSNTGNWQSIASKGWSDLLPGVPAVQLNSALFCQSHYAHGCLYWPMKGRECGLKDYPREVMLVLDTMTMEFTVADYPPGRWRNRQIGVVEAGDARLGLLTLSKGQVHFHVRGKAGETSNDWHLEKTIPLGEGDHAIVRATQRYLLLRRSDLRMDFRTFQLERLFEKSECFSLDVKTFQLERVCEKSDVSCGPIYTNFPPSLSSRTNCHVEKKDITEFLDSMFVLAMGTIKDDWYEDRASIARGEEEQLDMKLDMELDMKISHGRAREKREACAKEEEEVQAGSTPGRPGPMPSHTGLHTG >cds.KYUSt_chr2.30954 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190785893:190788070:-1 gene:KYUSg_chr2.30954 transcript:KYUSt_chr2.30954 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRRSALCYTTTATIFLLHLLATAAASTSSSVPQEQDRTALRQLKNGLSSVSGDVPRHWSPESGGEHCSWTSVSCDARSRVVAVSLPPRPDGGLAGHLSPAVARLTELKVLSLPFRGLRGEIPGELWSLQHLEVLNLAGNSLRGSLPVTFPKGLRSLDLSGNQLAGRIPPGIGDCTALRRLRMSSNSLEGFIPPQIGRLAELRVLELSGNRLAGGVPPELRHCSSLVKIDLSGNLLHGQVPSSILRELRSLRFLSLAGNNFSGEIPSGLGQLSTLRFLNLSSNSLSGELPFDLLALRNHTDLLLANNLLSGEVPAHMSSLQVVNVSSVAGDSSVVNSPPQPSELFTVIPPFRSSRVLTEANSGTPADGSSSGGHLRTVEIIVAASASAVIVVLLLMIILYICKKRCTGRPARRSGIRREVKVFHGLDIGASLTYEAVVRATGNFNASNCIGSGGFGATYRAEVAPGILVAIKRLSIGRQHGAKQFQTEVETLGRCRHPNLVTLIGFHISDQETFLIYNYLPGGNLQRFIQERTKMQISWRMLHKIALDIAQALAFMHDECSPRILHRDVKPSNILLDDHFNAYLSDFGLAKLLRNSETHATTNVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVSWANKLIQRGRVREFFVEGLWDKAPHDDLVEIMNLGVMCTMEVPSSRPTMKHVVRRLRELRPPSY >cds.KYUSt_chr1.28811 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174275212:174275811:-1 gene:KYUSg_chr1.28811 transcript:KYUSt_chr1.28811 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDVQPQTLQAIKPASTHQRRALQLINQPCGARRSGRQLRMKASVGITMWPSGGTVRIIRRCSSHRDGNVPAIASSRRVTGTGTAASMSGSFPAAPRGELRTATRHRRRDRSEAGTMAVRHGRRSRRISSPLISRPPPRHQHPGEGEESHELIFQAISRPRERDDCKRGRRGRRTYREHGREKGKDEGKENSGIDLS >cds.KYUSt_chr5.2045 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14022185:14022734:-1 gene:KYUSg_chr5.2045 transcript:KYUSt_chr5.2045 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQAPNQLVQKLQTIPKQHKVIVPSDSSNVLRDFGKRKVFETVSIPSHASSMSDGEASTSSAPFVDTLTLPSESLCDLRKSGSDKAMHLQKQYSHLMDPIIEENPKENEMSEDKVDYDSSSSHSEILGQGMDRGGHPRMAIMALAGPRLED >cds.KYUSt_chr6.13323 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83304810:83306096:-1 gene:KYUSg_chr6.13323 transcript:KYUSt_chr6.13323 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKSEAGSSSSRLDPAPLLPHHGSAEGGGGGGLSSQPKTFANVFIAVVGAGVLGLPYTFSRTGWAAGSILLLTVALLTFHCMMLLVACRRRLADEHHPKALASFGDLGAAVFGAPGRHAVDAMLVLSQASFCVGYLIFISNTLAHLYPAAFAPSPNALLSPKALFLYAMLPFQLGLNSIRTLTLLAPLSIFADVVDLGAMGVVVGQDVSVWLAARPTVAAFGPPGALLYGVGVSVYAFEGVCMVLPLEAEAADKKKFGATLGLSMAFIAAMYGVFGAMGYAAFGDATRDIITTNLGGGWLSAAVQLGLCVNLFFTMPVMMNPVYEVAERLLRGKRYCWWLRWALVAAVALAAMLVPNFTDFLALVGSSVCVLLGFVLPATFHLKVFGAEMGWLAVLSDALLVVLGLVLAVFGTYSSLVQIFHSSSA >cds.KYUSt_chr6.28435 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180150241:180150537:1 gene:KYUSg_chr6.28435 transcript:KYUSt_chr6.28435 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRVATVDEKRRKGCRPSGEGDGGDDAASAADAASSLGHYLCLDLDLAEVIDQHRCRRGCGHGVDHRFRQRKARVGCAGAALLVARARLPEQDSVR >cds.KYUSt_chr1.17388 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100915871:100940161:-1 gene:KYUSg_chr1.17388 transcript:KYUSt_chr1.17388 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPEGKEEAAAAGHPLLRVLRRDKYTHGLRPVQMEALRAMCGALVPSLQPEEEEALDGCVDPPCGNKDLERFYLASGADSTVPDEVAELASRCVWEGVVLVNVTLWLLCTRLGTLALCGRLCLSGEFPYVRRFADMPVERREEALKGWTRARWLIPVKIAFAIVKIICLNVFYTTLTKNSENPCWKAIGYSVPDVEEQRREGRTEASTRPSLDNGVVQIRELDHAALLRSLADKGLAVKPTASGSHHTLECDVVIVGSGCGGGVAAAVLASAGHKVVVVEKGDYFTADDYSSVEGPSMERLYEQGGIFCTTNVTTVLYAGATVGGGSAVNWSASIRTPREVLQEWSREHGLPVFAGAAYAQAMDAVCARLAVTDACREEGFQNKVVRRGCEALGLPVDAVPRNSSEEHHCGSCFLGCPTGDKRGTDTTWLVDAVQHGAVILAGCKAERFILESGGGSKNGRSKKCVGLVATCVSNNGIPTKLRIEAKLSISACGALMTPPLLRNSGLRNRHVGRNLHLHPVSMAWGYFPDTNQITGKCYEGGIITSMHRVTDRTIIETPALGPGAFASLVPWESGRDMKERMRRYARTAHAFALVRDRAAGTVDGEGRVHFAPSRDDTEELRKGLRRALRILVAAGAAEVGTHRSDGLRLRCKGVQEKDLEAFLDEVTVPRGPLQAGTDTWALLCSAHQMGSCRMGASPKDGVVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSLAYCLSVDIAESL >cds.KYUSt_chr5.36388 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230008657:230011677:1 gene:KYUSg_chr5.36388 transcript:KYUSt_chr5.36388 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMGYNQYDSSGTDDDLAPSQNRGMRGRSFSGNGRASAGPFPYARTHNDLESEVHLVEQEAYTGVLRAFKVQSDALSWDKESLISELRKELRVSDEEHRDLLNKVNEDGAIRRMRELRQGGGTPSGLHRGGRVFHDGEPGPAAKRPRPSHLIPSHSSGLQSPNMSSHSVPSSAKWGLASASKGKRAKSTTPLALPSVDPTSLIKRKVFTRWPDDNNFYEATITRYNPATGEHALVYDMGKSTETWELVRLCDMAPEDIRWEHDDVWGPSGPMLQRNHSNNGTGAMAGRGRGRLSQPPNGISRNIGQIDVPNTQSIVIEVERVLSNPNVREIEKAKKLLTDQEQSLLDAIASLDEASDGESEDMATEARMGPAGDHMGGNGIAC >cds.KYUSt_chr2.15435 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97213198:97223199:1 gene:KYUSg_chr2.15435 transcript:KYUSt_chr2.15435 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMMCSGGDGGDDDEDDGDDDGDDVQLDDGDDGVDFPLREGISPADFSLPESSFLSGVFRPAEAAVNLRDYPLELRFHVGAEIPGVAPHYTPSPTTFTWPSSPTVLQFYKYRGTSVHATRGLIQYSNTKIWTTRAAGATAGDQDGTTPSSPPGGRGVRQASGSAEVELGDLTDTGSCSSSWQNAAGVRRSTDNPEVERRDLAKGLVGIAFDGVVERSVQFIRQTRGITTAQACEFLRAMGVNEEQLVQAIMQVIAWRDEFDLHWQRLSVKDKTSMVSAIEYKLEAIFHQSIDDMLQEVADSPDSKFLPLELVTSTDRLRVENLLLFKENLADDLIKKEQERRQKNQRSEQEAKGGNTMHDLPPVDTSIDETAAVSSEAAAESFDAAESSVTAHADLDLKSVYDRFLDVLRKFSFPKSKSSTPYFKGQLEAMYFCGDIHMAIFYWIIKQQDKGLALTIRDYKERVHTSLKSALQQFISEMGYAAKLPIDKIEFEIVDCPPLKHAPCPSKIGNCRRAKTLLSAPTYLSHEPACLLPSSNGLEDMAPISPPNS >cds.KYUSt_chr5.11632 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75404177:75406150:1 gene:KYUSg_chr5.11632 transcript:KYUSt_chr5.11632 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRIDRKRKRGYKAGESVLFDADERLFLNLPRLKEPKGWKPACLSIALPGTVENSLYVMDRRHSPSCFEVLEFGPRRGGPEVRDMHTGWRWRFLPPPPFALRPGYETSYVTSYTSMVNANSCSTICISSSGNGIGTYCFDTSRHLEEWRHTGAWKLPFQGKAEYIPEFKLWFGFSAHTPNHLCAVDLSAMQQDQQQPTLLYCFEDLNPPVKENWAPMSLQLVNLGAGKFCVSKSFDAAETIGQQFAVLSGIEIVRGYDQRLHMVKHKRTCYTFSRDIISCVF >cds.KYUSt_chr4.1751 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9381694:9384678:-1 gene:KYUSg_chr4.1751 transcript:KYUSt_chr4.1751 gene_biotype:protein_coding transcript_biotype:protein_coding MYDKLAAYKVDNENNDFAFMHFFKKLQSVKKWAMRKVPWIAPSSAASADRPISNKKGKAKRNGPSSLSSFDDSIENMVSSFSSDIKKKMDERGAAMWRAMLDKEDVKLGSREGEGEASNNGTEVLNGLGSADADPPRPAGFRLNATKPFKETAHQSMVAHKYSIFGPFLSSERDLHHKASLPKFDWKMDSQPQGLLRVEPNELQFAFEANKKTSCSTQLTNRTDHHIAFKVTWDYYYVFGFHRAYCSLPQNTRPYYPAK >cds.KYUSt_chr4.2253 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12457923:12458636:1 gene:KYUSg_chr4.2253 transcript:KYUSt_chr4.2253 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLVLDSCTWEENYHIIGYKYPGKKTVDEVEIDTPRLRRFRYIGPLRPPSFRPQPPELEWVDLHFLSDHKDRNEDRNHDVSTFWRFLQSFTSTKEMRLRVNHLEDITVYNKVRRVELLLAFRRLARLEVQGVHWTKGKTAAVTIVNLLHCCPVLSAIRINLTAEPHDAPKIKRARRSRIPRKEVQIPALSGRHLLECLQTSLTRVDMQFKLEKPNCFGAKLIEFFAENAMVLSCV >cds.KYUSt_chr2.2402 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14515916:14519892:1 gene:KYUSg_chr2.2402 transcript:KYUSt_chr2.2402 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKANSDDLARASSSGDSGGGGDTTPPSPPRLPVAPPLTSPSRVPGAPSTSAPPLQPPLAPPRAADRDTFMAAAFLAVGYGAVVDEGLDADYLSEEENDGSWNWEQEEAEYNNRHLRELEDDADSLHLEEGEVADDQNRGENLRGPSDSKLMRPPKEYPTALRFLEPISDLILKSSNNYIIQEKIKILSKQYGLLRRTRSDGSCFYRAFLFSYLEFYCLVNSVANGVLTEVEIRTQDIYKPFIPRDMDVVQFCRTKVRSQDAEAEAIQMRALTYALGIPLRVEIVDTKSTSGQPVRVKRLDFFNQSGLGKMPYHIVQSYYSSSTAHKPLERGSEDNLLSSDGAPLLTLLCRRGHCDILYP >cds.KYUSt_chr1.28498 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172135566:172136742:-1 gene:KYUSg_chr1.28498 transcript:KYUSt_chr1.28498 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGPHLAVLLALCALAMARPAVGANVSITTCRSFCGNITVDYPFALHPGCGHAGFRDLLFCIDRVLMLHLPSGSYRVLDIDYAYRGLTLHDPAMSDCRAIDRSPAGRGNGFVVEPWRAPFLAPDPDNVFLLLGCRASSPLFQGFPDRHLPCRNVSGMGCADYSGCPAWDDYYDDGRRRPSGAAYGTAVPPECCAVSWGAIRSVNVSRLQCEGYSSAYSLAPVRAAEGAGGWAYGIRVAWQLPEANRGFCGACRATGGVCGHDEGSHADLCLCGDWNSTSNCDSSSDSARSSAAALGTAPASLFLAVLVSGVSLESQLLIQISLNFGAGLSSLWWYGSIANM >cds.KYUSt_contig_605.198 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:1012616:1017595:1 gene:KYUSg_contig_605.198 transcript:KYUSt_contig_605.198 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGLDQLQGLVRSYLNNRYQEETSIARGVEEQLDMKTDVKMDVKLDMELDMKISHRRTREEREACARGEVGFQAGPSTGHIILGAPRHLSPFIHRSPFLPLKQQQQPNPATLTTPPSSTKSAAATILYTPATKPCCGQLHATSPQHQHHGHHLSKVRHLASLSIPLDSFKSSRTAQPAPFILSSPPATSTSPTPAKPPPPGHQGPQVDADAEQYEQEYEFPLEDRVGASTSDLTGTTDDEQYAGGYFVEFTDDDFAAQQIVPKASTRGLLVMETPLDYL >cds.KYUSt_chr4.6572 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38638479:38641948:1 gene:KYUSg_chr4.6572 transcript:KYUSt_chr4.6572 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGGACAGCCRLFSASEPAGASRQARPSLDDIGAEKHDDLPVARWASSGHIETNTEEGAHANDTRTKVEEKRTGPQRDACSADSLIHKQSARETDEDDETQHGHSQRTEQDQAKMGSLFSSETGSPAADGDSPSRVVTFRSKDRWDKHMEIVGSDASILLEIPCMRWSLFSGSNQLRYSLSLLARAKVVVLFSAAWSEPGKMIEPIFKDLSCSNTDAEFAKVDVDELSEVAKAFRVEAMPTFILLKGGKEVSRVVGANRNELIKQIERHISKRGPLEFDGAGAKGAEEESGGEDEEDDEEEEVEDEDDCYTIVGLCDGGGADNGSGSGSNRGARAG >cds.KYUSt_chr3.45305 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285474152:285474361:-1 gene:KYUSg_chr3.45305 transcript:KYUSt_chr3.45305 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPFWDLAVAKRKEAVFLRVDVDKFRDIVEQYQVEALPTFLLIKGGVVKGRVVGAKVDELNTAIKARI >cds.KYUSt_chr2.45119 pep primary_assembly:MPB_Lper_Kyuss_1697:2:281240057:281240509:1 gene:KYUSg_chr2.45119 transcript:KYUSt_chr2.45119 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTTLAKTSGAPLPSEMSVTPATAGERRSAAEKYSDAVSPELLWAKVGATSTHRWRPPRPANKPPSAARASTALPPWWGMTDGQEMRWSSRARISVSIWMACLKEEVRPAWTKVGEEEEVEEQQLPWLSGLGRESSGEDRLVRELELM >cds.KYUSt_chr5.37203 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235259593:235265779:-1 gene:KYUSg_chr5.37203 transcript:KYUSt_chr5.37203 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRHGRRSLHTAVQRLHAAVRTTSQRAASTSAGFAADSPLAMAPNHEALIPIVRLVLQVQMPAFHRIMARVAFHRIMARDAVSPDLSANSVLPAHPLQYISVPDRYYSMRKKNIMIWHVAMSTFVLNRVCELVKEGTTGVQVFKNCDLKAIVEVVLKFTDREVGVDQLYNHLRHWRAMWVHACRLKMLEEVRWVEKTSSIMMEDDAYYAHIKTHRRDTDLINKPIENYAQMKMTYAGRVPAAPLTPAAVHRALSHLMDHKAHADMYLAMTPAGKMDWFNSFPTKYYLCGAGALDAYYRACSAADDEHHIQVYIGSR >cds.KYUSt_chr7.39888 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247778838:247780386:-1 gene:KYUSg_chr7.39888 transcript:KYUSt_chr7.39888 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAARRLLSRRASSSSVSAFLRHGSPAAAASHEPLLRPAALAAVASRLGFLRGMARRPGGDGYSPMRGGGGGGGSDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGASKQQMIDCYIQTLSKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGIPGVLFVLPDSYVDPEHKDYGVHLGKAVKPSWQCLALNANGLISNVSPTVSTLAKWGSDQWR >cds.KYUSt_chr6.17186 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108207044:108207450:-1 gene:KYUSg_chr6.17186 transcript:KYUSt_chr6.17186 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDPPGLSIAVEHNPPESRLVQLGVKSWPKWGCPTGKFPVKFDARQTCYLLKGKVRAHIKGSTECVEFGAGDLVVFPKGLSCTWDVVAAVDKYYKFDSS >cds.KYUSt_chr1.260 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1429370:1430119:-1 gene:KYUSg_chr1.260 transcript:KYUSt_chr1.260 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAPGRPGRRQAGVTGPQAGQAGAQSGQTVPLTGQAAAAAVLPPAMLSAPVVLTADAVDSFPRDVFGIRYSRGKKSRAIFNDYLDIRLRIQCHASELSWYLHHLATVEEYEDWERNMELGFNRCRTYNGKFSGYDAYVIAHRRVDEELDHWWCDAVDTGDFACTWEDYKTFLRGDFVLPCMEESEQPSGVVHAIEEVGKCIVPIQEVGPLPTVTKGEVISSEEKEPGSDTMGTTVTMEEDVPLSGLNM >cds.KYUSt_chr7.19612 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121540852:121543889:-1 gene:KYUSg_chr7.19612 transcript:KYUSt_chr7.19612 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPADGGDDWFLHCGILEDLPAAACGAFPWDASASSSNPSAEVGSYVNTPDVSKDSGSNKRLRSGPCGRPTSKACREKVRRDKLNDRFLELGTTLDPGKPVKADKAAILSDATRMVTQLRAEAQQLKDTNGSLEDKIKELKAEKDELRDEKQKLKLEKEALEHQMKLMAATPAYMPHPSMMASPFAQAPMAPFHPHGQVPGQKLMMPFVGYPGYPMWQLMPPSEVDTSKDNEACPPVA >cds.KYUSt_chr4.38265 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235974304:235977134:1 gene:KYUSg_chr4.38265 transcript:KYUSt_chr4.38265 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSIEEKLPRALPLATLIGRELRGGGSERPLVRFGHSGFAKRGEDHFLVKPDCLRIPGDPSSAFSVFAVFDGHNGVSAAVFSKEHLLEDVMSAVPQGISRDDWLQVLPRALVAGFVKTDIDFQRKGEMSGTTATLVVIDGFTVTVASVGDSRCILDTHGGMVSLLPVDHRLEENVEERERVTASGGEVSRLNLCGGQQVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDAVSSEIAAQACRGLPAELAAKLVVKQALKTTGLKDDTTCVVVDIIPSDHGSTSAPSSPKHNQNKLRSLLFGRRSHSSVGKLGNKKKSASFGFVEELFEEGSAMLEERHVLILLLQFILLFYVLLVSVGEEFSIKSKCAPIPLRDLPSGPRAIRRFNN >cds.KYUSt_chr1.8810 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54196419:54196622:-1 gene:KYUSg_chr1.8810 transcript:KYUSt_chr1.8810 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKSSWPELLRRSSDAAKRKILSDRPDVNVIVLPVGSFVTGEFNPKRVRVFVNSSNVVAQIPKIG >cds.KYUSt_chr3.12758 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76529922:76537609:1 gene:KYUSg_chr3.12758 transcript:KYUSt_chr3.12758 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSSPYTSITYARSAMDAGELHVFDAGRCADGYQLGLAVGQRFGETIRSRMRGDLFLHEQLLPFASTAAGKPLLAALQASNRERYPRYWDELVGTAAGSGVPLLHIILVNFRKEIRPFIPKREDDQEPKEEPDDDCSDILMVSESTAIAAHNEDANVALLGHTYIVKATSPDRASSFTAYTYAGELPTCAFGFNSNGVAFTLDSVPPAKCEVAAGGIAVNFVSRDLLEARDIDDAMHRVCSPAVSVGHCYNLMDVRARRIVTVETASRNRFAVHEAGPAPSFHANMYRHLQVEQVYDENSMSREKRAAQCAMDSKENALSVLGDTADEKYPIFMTGKKVDIILVGHHKDVDIIDIERHSEYWSSPMQPLEMPFLGGLWSAAYDPFNWYQSLGSYFGLNRLRVCLDEESSEGAAKMVSVEDFNSLKSSVEAQMESMKKMIAELLAPALPKAPSVEGDPPKFSIEDFGTWQFEFRSHVCSASNELWRIIKVGFKPYNPDNLTRREAIESQLNNTALHMIQSSVGTKELHRVRNYTTAKEAWEGLTASCIGSESTRRNKYNALKNKAEGFMRLPDEDHEDMYGRLLTVADAFRLVGATHINDSWIKEKYIECMMPFVPIDVKTLVGRECYSSLTSQQVVHELQALKVLEETSHDSRNRAIGMAKGSNLALVVNSVEEVVPQESYRASWSMTYPEDLQCHFHDHMAFHAKSFWVDPSKAKEDNIKRNNKNGFTSFGPKTRSCYNCDDKRHFIAECPYENRELHNGRLIPKDKSKDSKGKYSKPPNKKFYNNKTKKGKRPSRVVLVTREEYSTDEVESSSGDEDEESSKELAAIATTNTPSSSLFESPNENPQIKNAHCFMARSSLDTPIVLSTQEEYTSGDDDVDDEEDATSNGLVALASLSTNSSSPSESPNEVIHVEEESCLMAKSSEVSSPNPSMPNLSSDLGVDDASLKVKQEMLDFDDFILNLQGNTKKHVSSLMVRLAQQSDMLEKKGQIEREDSLEIHALKNALEESQETIASLEERLETLEEPQDKINKLTKARDLARAKFKVLKKEKAQFEVDHEKLVKDLDELDKAHKALKSEYTLLSKSYEQLQIRLASYDVPSSSTPSCDHANVIEENARLKDELARTSSPQSKLSLDDLLSKQRSNNGKEGLGFNSKAKKANKKKTKPAHEKANGEPRKGNTINDDGAGIDNPHYVLFKDYYGDVYAKYVGPYDGYVAWSIWVPKTLVANKRGPIEKWVPKSKN >cds.KYUSt_chr4.18702 pep primary_assembly:MPB_Lper_Kyuss_1697:4:117264435:117265880:-1 gene:KYUSg_chr4.18702 transcript:KYUSt_chr4.18702 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVVLIVGAGPAGLATAACLTQLSIPYVIVEREDCSASLWRNRAYDRLKLHLAKEFCELPHMSYPADAPTYIPKALFVKYIDDYIECFNIQPKYLTSVESSTYNSEKKVWSIVAHDMAECKRINFTAKFLVVASGENSAENIPVIPGLQNFPGETIHSSRYKLGKSFSGKSVLVIGSGNSGMEIAYDLATHGVNTSIVVRSPIHVMTKELIRLGMTLAHHLPLNLVDKLLVMGAKFMFGDLSRHGITMPNMGPMMLKSKTGRSAVIDVGTVGLIKKGIIQVQGSISEIMGNIVKFQRGDEISFDAIVFATGYKSTANMWLKNGEGMLNDNGLPTKEYPNHWKGENRLYCAGLARRGLAGIAADAKNIANDIKSVIISMSS >cds.KYUSt_chr2.708 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4205564:4207645:-1 gene:KYUSg_chr2.708 transcript:KYUSt_chr2.708 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAATTRRRNPRGRARKSPALHLSEFHCMPEPVEAWERDWAELPADSISCVLHKLSLPELLLGGAAEVCRSWRRAAREEPELWRRIDVSHLPDVPPFTRQATLENVMRAALRLSAGQCHTFLGAHLDDGLFMLLAKQASLLKRLELTRCCPISSGGFADAIKKLHLLEELQLYHCLHDEACPCLKSFRLVRQRPCSYRFNKRTDDREAFAIAKMHRLRSLKLVHGNLSNQGLAAIIDNCPHLEYLTIRDCCNVRMDANLTAKCARIRVDYHEYFPSYELCKCCFFSPIYAFNDDGYPYDDDEPDDYHDLSLYSYLGDEIDGTDCEEHERILDVKSMRRDFTDPPRINEVNVS >cds.KYUSt_chr3.40187 pep primary_assembly:MPB_Lper_Kyuss_1697:3:253330617:253338735:1 gene:KYUSg_chr3.40187 transcript:KYUSt_chr3.40187 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDVAGCVAPVASVPHGETVAPLGRAPHIMCGARAHPCPSSPLFSSLFRVKKKGGRRFLLPPLPPPNPPPNHQICPANLFPIHSSRMVWLLDQEYDRDHRAFHMTKRTDLHPLKIRYHGTVDMAYDERYTEFIQPTGLLPFISLMEALIGMAPPPPEDPKERTPAGASFSWIRLNFGECPQGANEDTIRTYTRVYLWYMISRTLFPDSGGKLAHWCWLKALTVLEHRWSWGTAALSYLYRQLDEACRRTGKGGIGGCLLLLSIWSWDRLSVGRPRILNERPWPHHRNKPDREPTWAYLWDNVSEMTSDPKIMYRHYTEELDTLTAEQVDWEPYGTYYHIGAGMADLNPKCLEEARFWRMRCPLICMWLVEYHQPHRVMRQFGLYQECPPQWQDTDHTLHRLDRQRERKITNWPVRHRGHVTAFQHSLEAIRNDGHVEIVPHDLAAFNEYLQWFHQSTRIELVKPAYDDDILDDPIEFDEIAQSQHDIYARKGRSTSIASEMNFVRSEIQKTAEECEVIWDQSHRDENPIEPLRHFIKNTARKMRRLANLLGCRDGEIAPSSSEEAESRHCESRQEASHTVAYQLKPRGKAPKRYTLDDYVNRGKKVVIEKDEAPPRRSSLRRMRNDEPLSSKEEEQEQQQQEPRQRAKRLAVRKQPDTLKMVSAQLTSGNLDNSFNALMVSGGGESVQAQNGGTGTTFLGWKDLPMELLLRILSVAGDDRMVIAASGVCTGWRDALGWGVTRLSFSWCQDHMNELLMSLAHKFTKLQVLSLRQIKPQVEDSAVEAVANNCHDLRELDLSRSFRLSDRSLYALAHGCLHLTRLNISGCSNFSDAALIYLTSQCRNLKCLNLCGCVRAASDRALQAIARNCGQLQSLNLGWCDTVTDWGVTRLASGCPELRAVDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRIRSKGMSWGTAESVGRRSRDDRDGLSNLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTAVHCACALHPHRAGRALLSNHAY >cds.KYUSt_chr3.2779 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15994196:15996343:1 gene:KYUSg_chr3.2779 transcript:KYUSt_chr3.2779 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVQGGDGCPRFSCGHLKNISSPFRQRGDPPGCGSEYYELMCRDSKATVRISNVVYYVVDINYADWSFSVVEANLDMSSICPLPYYTSPESSSSNFDLHGLANLEVDGPWACFANCSRAITNNSLYKPVSCLGAKDSFVYVWANSNGCEIIYLEHSCGYLAMAPFHVSSIRGVHLGNASYLDIMGFINKGFSVKFPYYPNGGPMDFDQGSDNNIIKLCLSNSTSYFKERISDTSILNRTRAFFWSGIHFFECLNADNDTAVSAVFARVMVYVIDVPRFLVVLCRFVLAPLSVLIFLAYKYWKTRITIDAVEKFLLMQEMLGPTRFAYTDITAITRHFRDKLGQGGYGSVFKGVLLPGNVHVAVKMLEGNSNCKGEDFISEVSTIGRIHHVNVVHLLGFCSEEMRRALVYEYMPRGSLDKYIFSAEKIFSWDKLNEIALGIAQGINYLHHGCEMQILHFDIKPHNILLDYNFVPKVADFGLAKLYPRNNSFVPLSALRGTIGYIAPEMISRSFGIISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQSYYPSWVYDRLTEQEVVEISPVDGMHELEKKLCIIGLWCIQMRSEDRPNMSEVIEMLGGGVDSLQMPSRPFFCDEGHTHVEDSYHLSSQLTTISEEDE >cds.KYUSt_chr4.48509 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300448878:300451511:-1 gene:KYUSg_chr4.48509 transcript:KYUSt_chr4.48509 gene_biotype:protein_coding transcript_biotype:protein_coding MWKACQLRRAIHLWCRPSANVGRESRIGDRGYANNVPELNSDKRSLLKGECYYTLGKKEGTSLCNSAIAGASEEAGFNIERETGEKCEADVHSAVKLCSGIGKLVISKCSFIFDSGRDTFDGNCSLQDVLKHGLWLSPETLRRFWRASQLKPEDFLDILVGFGHGAAEVRNVRFLWNLYRWASWQSKDFRHLPRSNDMMVSILAHAQMLSQAESLLLLLDDNKALTDASGLFSQVIQAFSEAGHLNKSVALFDHARHKCLIPSASCYQVLLNLMVRRSKQELVVRVYLDMLEVGLGSCTERNILDFAISALVKRDKFLQAIGIIRQLKSLNIEISKGSLLTVAKEFCKKKDTGDMMNFLEEWRYLPEPRLCNRMLASVCKNLGTDEAWFVFQRLEALGFTPDATTFGIFICHSCREMKLKAAFLYLSECFSRHIEPKVCAYNAIIGGVFTEGLYRHANYILEDMIERKIMPELLTYKILLAGYCKYRQFDDIEEILRIMKVNGVNGLPSGNCALSKALSFLGLDHLGVKVKRDNATGFPKAEFFDSVGNGLYLDTDSKRFETLLAQILDNAFYSDISAEIVSACQQGDVASALLVKDEAFQQGHDISPASCSELIKSLCVSPAHVMDVIDLMEEMPYTFDKLDAQTLNLVIQTLSTNEMSACARLVLDRLFRRGLPVNQGTYTYLLTGFCTERNIMGFWECWNVATKFNWSPDSKDVMPLISHLCKWGVIEEALELISMLLDCYPNLFLSAYCALLKELCRTGYTTVGCAMLEALLEKDVVVGRSLIYSVTEGFLKEQKTAESIGLTMHGLGTVCDENGTF >cds.KYUSt_contig_2073.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:161287:161613:-1 gene:KYUSg_contig_2073.26 transcript:KYUSt_contig_2073.26 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMRSKLLALVLVMAIAGLVLASAEERAALRRGTASSSTLTSLGAVESPWQWRRVLRRGDKRANGLSWRWRRDPPSGPSSRGHAVVNVLPEEEKKQTPAENIDPSVP >cds.KYUSt_chr1.9211 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56355118:56359394:1 gene:KYUSg_chr1.9211 transcript:KYUSt_chr1.9211 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSYRQPATTSPEAPGLRTPKAEPDAAAAEARNPSSPGGPPSPEMEATAEALTREEVLRRRRRRAARLVGVYRRLYWAMAEEVRARHRQYVWELGRSPLEAEQPPSAAGADAKPGPGAVPRRKKCGFTGCKVRAMAMAKYCHSHILIDRTQVLYKGCAHIIKRTAMVDSIDVLSHDLLIVRYALDGNFWNDGYLSDLAPPTHECDIRARCGRTLVLHLGWLILDDGLQQTFTPTLFDSSLSCSTLAQQPIALSQRNSALRASPTALKFSAAVGDALTQYHGVLRRPDAAHRGCPGPGEYKSSAPAPLLQANGIQTYDSHLLPADAAAIAPDCAPRARAKTAAGRCRSPALPLHPP >cds.KYUSt_chr5.43174 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272353128:272354411:-1 gene:KYUSg_chr5.43174 transcript:KYUSt_chr5.43174 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNHAGITSRLLPAIRPWAHLSPDLVLDVSNRLDDAADFVRFHAVCTPWRRAAPSLFSPEAACPAFSPWLLALRGGQIACSTIDFRCVSSTSSTEQEASDRRSSHGGNLVLAAPLGVPTTGAGDRNWVACAGGTAVWIFVASPEPRLVDLLTRAVTPLPPFPDDGETRAAMENARGVVYGDGTVFLYTFLFEKSTSKFMAAILRPVDAAWSVMKRVLEVPTDRRSDSGAVYHDGKVLVCVGEYFWSVFTQGDLGVFAGLQSRWSESEERKYSRQYNYVLESRGELLWASVLLERDFLRGHAPADISSALSVTVHALVKGTGGVMRWAVRDGWSLGDRVLFLGSPASFAVDAHRLGVVGGCAYFVFRRRVFRYGLVDGHTQLIKQLPRDWSMSGARLWVWPQPTIAPIQEIREWVNLHPSKKPKLSN >cds.KYUSt_chr5.27786 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175902127:175903551:1 gene:KYUSg_chr5.27786 transcript:KYUSt_chr5.27786 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALLTEIVKKITRTSDLNSLSLVSKQLYAIEGNQRGAIHVGSGLCTATEALKLLCTRFPNLSKVEIDYSGWIPVHGKQLDNKGLFVFSSHCSSLIDLTLSFCSYIDDSGLGWLAHCMTLVSLRLNNAPLVTSIGLFSVAIGCTSLCALHLIDCEKIDSVEWLEYLGRAGSLKELVVKNCNGINHHDLLKFGAGWMKLQKFEFERKVGIYDIFRSEIYDPSYDAHNTDIYDFCCESLKDLRLAHIETWPEIGLRVVLGKCKALEDLCLEYVHALNDNDMIALSRSCSNLKSISLWLNLQLYHNEARTSFTDITLYTLALNCRKLQILELKFVGCSPAWPPEIGFTQEGFLVLIQSCPIRVLVLNGANFLDDDGMKALSSSPNLETLELIRCVEVTDAGMHFIAHTPCLSNLTLRMCQYVTDVGMAELGRAHKLESLVIECCRGVSLQAVQGVAKSVHYSSEASDALEKLF >cds.KYUSt_chr1.23197 pep primary_assembly:MPB_Lper_Kyuss_1697:1:137613171:137614856:-1 gene:KYUSg_chr1.23197 transcript:KYUSt_chr1.23197 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASPASLPSAAALAAAQARRSSRLPPAGRFRKENAGLVVSSAAVSGSSSATLPPPLPQERQARELCSRAPPLPSGLAAALVSAPSPTGELLPLPCAAPSWSSAVDAAVFDDEEDEEELAPRSPPPSSTPPRLLVCPVQVDGGCVEACPVHVPPAPAPVVDVDEVEEVLTPPTTRPAADDGEASGGALPLAAAPWLASWVSAADNDNEDGEDELVPRTPPASFDGAAVDKVVRKEAECVVDKRDGWQEVMPRRGPRRSALPAPPYIRRAIPAWLRGRCCRCLARGHRAVVCCDPFKCSRCLEDGHWARDCRNAWRPLSLLESPIVLPSRLAKAPRRDQVEVSLSSDRPRRRSWASVVSAPIGSLAPKDMQCALEKQAELFQEAARPLHEAVVSLHGWMLAIGGFLERAEAALGRLSGMPADPVALPDVGKVGASGVGLHGCFSPRGRASSTPVLHIMPELLELCSGVLTPPSVEEVRLGSHGFSDVASPLCQALGFEKSGVDDAAVSPSPESGMQVVPIGDGVAKSGLLPTVPGAVVAREVCDFLATLAVAFPGSAVG >cds.KYUSt_chr6.16299 pep primary_assembly:MPB_Lper_Kyuss_1697:6:102517587:102518039:1 gene:KYUSg_chr6.16299 transcript:KYUSt_chr6.16299 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLAQRDRKVETPTNRRRTRRSTITPHPAIRRLLTVHTAKEIGQHQRTPPAFRSTSPPTVQGRRPGVQTPPFADLREPGGPHSKGSASMSARRPAAPPRTWKWPEQHLQPHGCLWYQIWGFGGLGRARGPCPGPRAESPAAEIRCLAFR >cds.KYUSt_chr7.29264 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182157715:182161600:1 gene:KYUSg_chr7.29264 transcript:KYUSt_chr7.29264 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVSVMVVVIFCTLFYCIYCWRWRKRNAVRRTEAQSLQRMSSSELPLMDLASIHVATSNFSTANKLGEGGFGPVYRGVLAGGAEIAVKRLSERSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAEGDEKLLVYEYLPNRSLDAFLFDSSMSAKLDWNTRHNIILGIARGLLYLHEDSLLKVVHRDLKASNVLLDNKMNPKISDFGLARIFKEECNAVNTGRVVGTYGYMAPEFVMEGVFSVKSDVFGFGVLLLEILSGQRNGIGYLEEHQQSLIQDAWTLWVEDKAGEFMDRAPGLSCSDDEAWRCFQVGLLCVQDDPDDRPTMSSVLHMLVSDNMSLPTPSRPMRNVPMSGPSSARKRSDPSLPLKSINYASITAVQPR >cds.KYUSt_contig_2087.338 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:2036403:2037924:-1 gene:KYUSg_contig_2087.338 transcript:KYUSt_contig_2087.338 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGAGSGNSVIGASSEAGGLEGDHGEASVADPRDEGDRTAVSGWKRRNRVGKAPPERALNSARVSALEKILREFPARNSGEVIVPEVGVTFDSVGEAYDFYNLYSWERGFRVRYGKSRLNVDRVKCMQEIVCGCSGRPRATNSHSTRCLCSALIRLLRSKDNGWYICEHRDRHTHDLSASFGERAHWPSHRHIDSYTKDLVKQLRENNVNLSKVYNIIGSFFGKMENIPFTKRALKTLCGKISSEQADDDVRKTIEVFSEMGAADLEFTYSVQMAHTPKARNTAGANGTC >cds.KYUSt_chr3.38765 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244226946:244227167:-1 gene:KYUSg_chr3.38765 transcript:KYUSt_chr3.38765 gene_biotype:protein_coding transcript_biotype:protein_coding MERPATQGGRRPDRGDRSEEGSRYRAITAMELLSCVGRVLFASFFIISAYREYVRPSPLPPECPLLGSEPGLS >cds.KYUSt_chr1.36770 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224433691:224434554:-1 gene:KYUSg_chr1.36770 transcript:KYUSt_chr1.36770 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADQSPEEVYSVWALPPEHVRERLRGLMAGLRAAHGGPPFEPHATVVGAVTLRRSAAIEALRAAAAAGVVPYTARVTGVARGDFFYQCVYLLLEPTPEVIQTSDHFCAHFGYQRPSPYMPHVSLLYGDLTDEEKEAARKKVEEMDTELSGLQFEISELALYRTDTEDKSLESWELVEVCNLAKK >cds.KYUSt_chr1.21397 pep primary_assembly:MPB_Lper_Kyuss_1697:1:126170669:126172867:-1 gene:KYUSg_chr1.21397 transcript:KYUSt_chr1.21397 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGVAPGAADFELMLRAFGDRCQFDAADEAFDKMLLRGLVPGVASYNVYVAALWKNLMCKTAQLGLLARPCLVLTVAGQQLHRYYRLRYRSRYRNGLRSLLDPKRYRSGTVVT >cds.KYUSt_chr2.1809 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10765662:10785372:1 gene:KYUSg_chr2.1809 transcript:KYUSt_chr2.1809 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDAVTAASGNTGKSGQAVWTTSMTNMMLSLLCDMVASGKRTSFGFKKVHFNEVATGLNEHFKLAITGDQVSNHLKKWRKIWGRIVQLKNLSGALWDEDNCIIKLSDEHYAGHCKEHKSDVNYLNTPIEYYHAMNTMFGTTTATDGFKLVAEALVKSGGDDDAIPDNLWDVVSIVPGYGEGELAHYYAHLVDNPKIARAFLSLSLSNKLVWVSRSRSPCLLSPNPHHRRTYAAAMASRPPLRTVSAASSSHSTDSPTAAAAPPGGVPQSITALLNNPLPSASSASYWLPWPPPTSLPDAPPAPSHPCDLSRADFAPYLAAVADPFSRFADIRLHTTAELATPSSPSSGLAACLREVPALFFKEDFALEDGATFESACPLADGAALQERLGQQLDVVEAHLVQEIARRSASFYEAQGRLRGLDGEIVSAVGRIRDLREVVRVLTGDLVGDAQQVQDLNATRSNLVALQEKLTIILYVSQALTALRLLVLAADCAGALDVIDDLQNLLDTDELAGLYCFRHIRDQLGTSLDSVNSILSAEFVRAAVPDGKTVDAMISSTVKRRTSMPLNGTEHEVSVDEEESFILRDRLLPLIICLLRTDKVPAVLRIYRDTLITVMKASIKSTVAEMLPVLISRPIDSDSVTGERAADSDAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLLQAAEVKKIVQWIMRNLDGNIHTDATNPVVQHGRTVDTSQENDNGVTSRVSSTVTRSPTKLSLFQGKANDMSSTNSIKNVRADVLRESTEAVFAACDAAHGRWAKLLGVRAALHPKLRLQEFLIIYNITEEFIAATEKIGGRLGYNIRGILQQQSKQFVDHQHTVRMAKIKAVLDQETWVAVDVPEEFQAIVLSLSSTDFPVNGMEIPSTDSNSKFSEDGISTAQEPSYSTENSVDNGNATSVTGHENRAESTSPQIENSVAGHVKSMSQTIVLGGVGYHMVNCGLILLKMLSEYVDISKCLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIHSLIPDIRRVLFMKIPEARKQLLMSELDRVNQDYKVHRDEIHTKLVQIMRERLLANLRKLPQIVESWNGPDDNDLQPSLFAKAVTKEVTYLHRILSQILLEVDVQAIFRQVVQIFHSHITEAFSKLDVNSPQAKNRLCRDVQHILVCIRKLPAQNFSAETVRNYGLLDEFLAEKFGTKVDE >cds.KYUSt_chr5.37753 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238445673:238448966:-1 gene:KYUSg_chr5.37753 transcript:KYUSt_chr5.37753 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGDWVYENNGGTCVAIAGADYCVVAADTRLSVGYNILTRDHSKICELAEKCVIASSGFQGDIKALQKNLSAKELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVYSYDAVGSYERTGYSAQGTGSTLIMPVLDNQLKSPSPLLVPARDAVTPLSESEAVDLVKDVFASATERDIYTGDKVEIVVINKAGTRREYIELRKD >cds.KYUSt_chr6.26154 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165694588:165707313:1 gene:KYUSg_chr6.26154 transcript:KYUSt_chr6.26154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MGAGSPMANAAGGSRRLLPASWSLAAGSNSRFGAMAARKIELVRAAGVESGDWIGTVPLPQSTFNRTEAPIEQGERKIPFVWSGGAITWVRHAIKEKRDGGKQAKRTSRSCVLVMPCSGKLHEITNTADRVRRLRARGGPWRAPFSTRFGYIRLNKAIGLGFLFLLQLYYVVVAIAKEVGKIETIMAVKKKIEEIQGKDSYPWGQQMLIYKGKVLKDESTLDENEVTEDDMLVVMLSKSKASASSGTSSAQPLSTPVSRQAPPVAQPQAPQPMVSATTTSQPEIPSAETPSSIFDHAASDLLSGSNVDTMINQIMEMGGGSWDRDKVQRALRAAYNNPDRAIDYLYSGIPVAAEVAVPVVGQGANTTGAAPSGETGLSGIPNTAPLDLFPQGASNAGGTGGGGSLDFLRNNQQFQALREMVHTNPQILQPMIQELGKQNPQLLRLIQENNEEFLQLLEGGDGGFLEQPDQDEMPHAISVTPEEQEAIGRLEAMGFDRARVIEAFFACDRNEQLAVNYLLEHAGDED >cds.KYUSt_chr6.13944 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87241062:87243199:1 gene:KYUSg_chr6.13944 transcript:KYUSt_chr6.13944 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNGSPVITDPIAIGQQLMGVLPSNMMPFSVMPGGYSSSGNAGVSVSRRKIEEVLVNGLLDAMKSSSPRKKHNIVFGQENLPEEDPAYSAWMAKCPSALASFKQIVASAQGKKIAVFLDYDGTLSPIVDDPDKAEMSPVMRAAVRNVAKQFPAAIVSGRSRKKVLEFVKLKELCYAGSHGMDIMTSSTNYKHNTEKGKEANLFQPAREFLPMIDEVSKSLLEVTSGIEGASVENNKFCVSVHYRNVAEKDWKLVARLVNEVLEDFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLSDSEDVIPIYIGDDRTDEDAFKVLRERNCGYGILVSQVPKETEAFYSLRDPSEVMEFLNSLVRWKKHSI >cds.KYUSt_chr7.21946 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136015065:136020160:1 gene:KYUSg_chr7.21946 transcript:KYUSt_chr7.21946 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPVAHLGYDQVLSILRLLPAEAVVSFAATCRAFRDWASTDVLWEALCRRDWGARAAAAAAVALAERRCRLPWRRLYAEVARLDALSARRLRVKGLSPRPRASHSLNLVAGWLVVFGGGCEGGRHLDDIWATYLGNGAGNRSSSVLCWQQFPSGTPNGRFGHSCTLVGDALVMFGGINDRGLRLNDTWIGQIISEEPCKMRISWRLLEVGPITPSPRGAHAACCLDDKFIMIHGGIGVHGSRLGDTWLLDLSDGLRSGSWRQMEDTGPSPSPRSGHTLTWIGETHLVLFGGRGSEYDVLNDVWLFDIGDHLPQWKELKCDLSSILGELPSPRVGHSATLVLGGKILVYGGEDSQRRRMDDFWTLDLPALRQFQSGSRKMAKRMWKKLRTDGQSPHCRSFHGACVDTSGCCVYVFGGMVDALAHPAESLGLRSDPNGTDHPGTVEARPEILGEEDLAHSALQSSSRPSTVYSQDRGEDKD >cds.KYUSt_chr2.200 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1214032:1216087:1 gene:KYUSg_chr2.200 transcript:KYUSt_chr2.200 gene_biotype:protein_coding transcript_biotype:protein_coding MEALIGMAPEEPEDKSKDRVPRGATYTWIEENFAQCPIEANEDTFKTYTRVYVWKPLRYPTWAYSWDVVSEMTNDVNLMYKQYTNELDTLTLRRDCNAIDSRSGSAPHLEDTTSANVEDNEVEDHMTLQVYTKKGPFRMLTPRRSIRRYTPDEYVTRAKKVLIDFVDKPPRRSGLRRMRNDEEEEEEEDEPDPEVHRKIPPKEGVRP >cds.KYUSt_chr6.2687 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15703561:15706105:-1 gene:KYUSg_chr6.2687 transcript:KYUSt_chr6.2687 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMATTVLLLIVLHSLVAAAAAQPQPPVSKKGCSDRCGDIVVPYPFGIGDGCYRDDGFKGFQLECDLSGPSPPRLTIIEYDYRLATLTLETGEATTNVAAHRMCFNSTGGAGDMNRMSGMSLQITPYLFSAAKNHLVALGCPNLGFFVDVPGNYVTGCIRTYQRNFPIGPNGPDTLNVDSTPCHYVFLVETEWFDRNPIIPNRTDDFAMPVVLDWAVRNVGNCSAAERNATDFACRGEHSECYNVTNGAGYRCNCSTGYHGNPYLDDGCIDIDECKRKDPCFGSVCTNTPGNYTCQCRPGTSGDATIENGCRPNDKFTLALKIVTGVGVGVILLGSICCGTCLAMQKSNLMRTKKKFFEHNGGVILQQQMRSYNGSTGGSTGGFKIFSSEELKKATNNFAADQILGRGGHGIVYRGVLADNSVVAIKKSKMMEEAETKEFTREMLILSQINHRNVVKLLGYCLEVEVPMLVYEYVSNGTLYHYIHGGKGLNADTALDTRLRIGAESAEALAYMHSSASPPILHGDVKTANILLDGSLTAKVTDFGASKLAPSDEAEVLATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVMLELLTRKKVLCFDGPEENRSLVSCFVMAVKAGRHGELMDGNVMKEMGSEALEEVTHLLMRCVSMSGDERPRMKEVAERLEALRRYQRHPWGHASGGDLEEEGEDEKSLLGRKQQCDVDYKFRPHEVLDLEGGSTYTYSL >cds.KYUSt_chr7.1953 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11170524:11173926:-1 gene:KYUSg_chr7.1953 transcript:KYUSt_chr7.1953 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALEAHCVGRRIARCAVADDPKVVLSDRVAFERAMVGRTIVAARRRGKNLWLQLDAPPFPSFQFAVKSTDEWPSKYSKFFVEVSSLKYCSQPETVPPISELGPDALFEPMSVDNFVESLRKKKIGIKALLLDQSFVSGIGNWIADEVLYQSRLHPLQIASSLTRESCEALHQSIQEVVKYAVDVDADSDRFPIEWLFHHRWGKKPGKVDGKKIEFITAGGRTTAYVPQLQKLTGTKAVVADPGQVSNDGVAKEAGEDGDDDDDDDPKPRKRVAVSRATRGKPPSRKTNPVQVSEDGGAKEVGADGDDDDLKPRKRVATSRAVKGQQNKDAASAPSRKTGEIAGAKKKPSIEHGSKNNVKTVESDGAGATGNNDHGLVEPSVVVHKVSDHGVARTSSRKKTKPVKYQ >cds.KYUSt_chr1.9690 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59219177:59219473:-1 gene:KYUSg_chr1.9690 transcript:KYUSt_chr1.9690 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGVPTSGAASTMPPPTSEMAARTEYVATLAIKADRSCSWSRFALSVPVTSVLEAVLIPDGLWRVLLELAMEKNEDISRFIFCEARPVNPIRPELRL >cds.KYUSt_chr4.33648 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206485108:206485908:1 gene:KYUSg_chr4.33648 transcript:KYUSt_chr4.33648 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQRNGGVLWCLLLAGLLLVAVAAAEVEAGRDPREDVEWCKKDCDWKGVHVAQCKKQCHEKYGQQEDGLMEVRTEWVDLGRCKKECERMAGQQVAQCKKQCHEKYGQQEDAVIKEVKTEWADLGRCKKECERMAGQHVAQCKKQCHEKYGQQEDGVFNGVKTGIADLGRCKRECDEKGGEVIECKKECHQKYGQQQEESHGGECESRCQKECQHHTHDYERKQCIRDCKEKQRGGGGSGAGGRGREGDERQHAWETVAAAILQAV >cds.KYUSt_chr2.18427 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116090158:116093604:1 gene:KYUSg_chr2.18427 transcript:KYUSt_chr2.18427 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEGANWVFDCPLMDDLAAADFTAPPAGGFYWAPPMQPQMHTQAPAVSATPPPNHCAEINSPISVDWDHAKGQPTNKRPRSESGAQPSSKACREKARRDKLNERFLELGAVLDPGKTPKIDKCAILNDAIRAVTELRSEAEKLKDSNESLQEKIKELKAEKNELRDEKQKLKAEKESLEQQIKFMNARQSLVPHLPHPSVIPAAAFAAPQGQVPGQKLMMPVIGYHGFPMWQFMPPSDVDTSDDPKSCPPVA >cds.KYUSt_chr6.14532 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91140002:91143976:-1 gene:KYUSg_chr6.14532 transcript:KYUSt_chr6.14532 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLSPAVTRSPPLPAHHPPRFQFASHTPHAAGRAGQTSQKMKPASTRPCRLAPFLAVAALALAALLLLFRASPHALAYSRLPVPPAGPPRVARGPGTPPSFAYWISGTGGDGRRVLRLLAAVYHPRNRYLLHLDAGASREERTQLAAAVRAEAAWRGFANVDVVGESYAVDRTGSSVLAAALHGAAVLLRIGDDWDWFVTLSSWDYPLVTQDDLLYAFSSVPRDLNFIDHSSDLGWKKHERFEKVIVDSSLYMNKNTELFPATETRQMPEAFKIFTGSPWVILSRNFTEHCVHGWDNLPRRLLMYFANAAYSMESYFQTLICSSPEFRNTTVNGDLRYFVWDDPPGLEPLTLDETHFDNLVNSSAAFARRFVEDAPVLKKVDEQLLNRSVWCLNSGEERGGNVEPCSKWGDANVVRPGRAGEKLRRFVAEISQTRGCTDSSES >cds.KYUSt_chr1.39875 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244077519:244078994:-1 gene:KYUSg_chr1.39875 transcript:KYUSt_chr1.39875 gene_biotype:protein_coding transcript_biotype:protein_coding MEALISAVVGDIVSRAISIMFGKCREQTTTEDLQRLHQLLMRIAVVVEETEGRCVTNRGMIHQISNMKEQMFRGYFLLDAFRCSGKKMEDKEVSFAKSKFNPAKRFRLLSSNSQIESMIIGRDRSKELKQVILVLENVVADMKEFAIFLMSYPRIYRQPYGAYLFVDKCMFGRQMERELAISFLLHAEPPGNEKFGVLPIVGPPLIGKSTLVEHVCYDDRVRNHFSLILLYIENDLKDEAVTTFRDNCVIKHQNVALDGERSLLIIELLGDVDRVAWKRLLQFAERCMTHGSKIIITSRSEKTVSFGTTEALRLNYLSTEAYWYFFRMLVFGSTDPEEHPKLTSIAMEIACEMCGSFIFAYVVATLLRANLSARFWCRVLRHLTEHRKKNLFLLGEYPLVDGRPRYVWSMAKTPQGSEDIKFFICGDYQKRPDSHGEVPKITGVDLLSGTWSAMPQGKFEVLSWKSRIPPYYIYTANCQFVQQESGLTLTA >cds.KYUSt_chr6.31202 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197659102:197666605:1 gene:KYUSg_chr6.31202 transcript:KYUSt_chr6.31202 gene_biotype:protein_coding transcript_biotype:protein_coding MTEATPEAPRAPDPWLVPGRSSRRRFHLPPISFQTDPSSCSGSRFEALEVSAADEEMTVAEEVAWEGLGDEPRVLPIATVGSQDQAAVLEDFWEKIGFPGAEARTWERRTQATSIGARARSVSPSRPPGMASARASSTSPPGLRLPRQPVRIKGWKGPLPPKRFTPPAVFGDFFDIALRASDRAGGGSSSPELEAQEADPPPRFETAEAGSAASDVGGPRNCWAGLCRALVGLQMEDRRRVYSVSAGRHTIIRSFPNQNHAVADSHPSELLRSLRPSIPSPFSSTPRNRSFADVVARGVAAGGMAGPPRAVPPGAQQAPVEPPRAAPPGAPQGLVVPSSVQPAGVAANATYQGPPGFQGWPAGALMPPAPSAPPRPQVGFRPPPPRIPAAQFMPQQQQPFPPPQQYQPYPGQYLHYPPMQQPVAPPQPVNQQQPAQPGQAKKRRKKKPVGVVAAAGGVAAVPPPVVTSLVQQPPHPGGMPAATPLVEPPPVPQQLPLPVPVAVAPPLKPKRAGRCWKCADNSHATKNCKVIHYCLVCDSGAHPTIRCPVLKTPRPMSSLVGCGNDATLDLQIPDSLYKPQLSYSGAPTALVQVSGEGTVAAIDVQNLMARMCPGNPTWKWEAVPHGSNAFLIAIPTAEDLLRIDGMQMSVPKINAQVVVSSWVHQDVTPEFVMEPVWVHVEGVPDSLRHFLGLWAIGTFIGSTLDVDLYTLRSQGIVRIQVAMRNISVLEKDKSRDRAPCLEVLARLQLNGYRFRFRREAAGYKPDPRFRPFFWKGDDDDDASHGFEDEGFEDPAADLAPGSSHMEVDGHAAGHSSGTASVPVTQVALTPFNHSPRTDRGREIVARALTVSPHLVATPPPTSRASSPSRVRSFMQGRTRPVSSASPTAASSLVPDPSSSSTQTERVQTTLPLASAEYTATPGHQPTMDVSARAQVCDAAEEQLQPAGGSEPSEELATRPATLLQQPAAFGGAQQGSAQGRAVQRPSSGAGVVRMGAGTPQVLPTAAVQVGLGRSPSPPVESTSPDGAVAPSLSMKGVQVRLERSPSPPCAPPSPRGTAASHQSSVQGASSTSTPVLPVSPSASSMVHASPRSSPSPPPAAPQPQPTGPPPAMQPTVRRSGRYAVAEDGAGVTDEDVMQRAMRRKAELNLDTAGVSLGSRSDEIVASANVLRQMELDRLTVASNGSTEPATSIIDDDEDEDILDGHLLSAIVGSVTEVDLEHSELSSVFDLDASARGSRSSADYDLDFIAISETGRRKFSQSFLDRISGGINFQWFSRPPRGRSGGILLGVRIDTMTVLASSDGEYHIKLDIQNKADGFIWSLVAVYGAAQDAFKADFLRELVNLAKDNPHPILIGGDFNLLRFPHEKSKGLFDGHWPFLFNAVIDSLDLREVFMSGRQFTWANSLPEPTYEKLDRVLMDTEWEQKYPMVSVRALERIEKLSDHAPILLTTGNPRPVCKRPFKFELGWLHREGFHEMVKTVWERPVRGGSPILRWNNKMRAMRKHLSGWAAHMAGILKKEKARLSKEIDDLEAIAEVRPLSTQEIDLKNQSNAKMASLLREEELKWYQRSKAQFILEGDSNTRYFHGLANGRHRKKRIHSLVQDEGVIEGHEQLKSYITNYYKDLFGPPEESSFSLDEDLTEDIPQVSMEENGLLTAPYSEDEVKKAIFQMECHLQMYRYSPFVVTSSADGEPRPIYGGLYTVGGYGEGYFFPTWVAA >cds.KYUSt_chr3.23753 pep primary_assembly:MPB_Lper_Kyuss_1697:3:146890392:146890922:-1 gene:KYUSg_chr3.23753 transcript:KYUSt_chr3.23753 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHLLPCRIDRSTCSNKLASSREARPSPRPARELRYALLRCATASPASAGLRARRCLLLCSAAPLLRRLVLVNELDGGSCSAAMPAHAAPRVAPPRRRAAAGPLLRCTGLCFREPYVTSVGRAWALLQGPTSPPSLATWTPSLLSSLTSSLPSWQSSLKNKCSGYHQKKCYHPTT >cds.KYUSt_chr2.38473 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238528221:238530526:1 gene:KYUSg_chr2.38473 transcript:KYUSt_chr2.38473 gene_biotype:protein_coding transcript_biotype:protein_coding MPAESASRGDLLEPHDASSMEGTSSGEDEAEAEDDSAPYIGQRFPTHDAAYEFYGGFAKRRGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNAPAKPFGDGATPQRNRRSSRCGCRALLRIGRDAGAAGLEWRVTGFSDHHNHELLRQDQARALPPACRVIAGSDRDRILMLAKSGISVQQMVRILELEKCVEPGSLPFAEKDVRNLIHSFRRFDQEEENADLLKMCRIFKEKDPNFKYDFTRDAHNRLENIAWSYASSIQSYELFGDALVYDTSHRLTAVDMALGIWIGMNNHGMPCFFGGALLREENLQSFTWALQVFLNFMNRKAPRTILTDQNVYLKEAVEKELPNTKHAFSIWLIAARFPSWFSGVLGEHYNDWEKEFYRLYNMESTIDFDLGWSGMVDCYGLHGNRDISSLFASRSSWASPYLRGHFSAGLTDSPGVSKSINDFIQRLLSAQRYPSRFIEQVALVVDYKDQAGEQQNLQNISFKTATPMEGHAAAILTPYAFSNLQDELVASAHYASFNLEGDVFLVRHHTKTEGGCIVTWNQMEELISCSCQMFESSGILCRHTLRVLTTLNYLQIPDHYLPVRWHRNLPPPSKSLNGAPNHGGASERVKALQCTVSALVSEAAKSDERMDLATHEVSVLLSRIRQQPVSVNVSGDSAHSSLAAVAKHFISSENVNISK >cds.KYUSt_chr1.5582 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34413664:34420772:1 gene:KYUSg_chr1.5582 transcript:KYUSt_chr1.5582 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYGQALPVLVLVKLHGASPREALPPRSIPSSLGRSALQAGCGRFRGGTRTPLSLCPAEACLPFVRAASQMDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAISDTPTAIFWTDYIATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPETIARIRNEKARRYLSSMRRKKPIPFTQKFPNADPFALNLLERMLAFDPKDRPSAEEALADLYFKNIASVDREPSAQPITKLEFEFERRRITKDDIRELIYREILEYHPNMLREFLEGAEPTGFMYPSAVDHFKKQFTFLEEHYAKGSTAAPPDRQHTSLPRPSVIYSDNRPQGAANITDDLSRCIIRDNAQKTQKDPSVGASRFPQAAAAAARPGKVVGSVLRNGNCSTSGTDQYEQRRVARSPGIGPNGVPSGSSYPRRNNTCKSETGEPERINVSQAGPPKPYAGNKLPATVDGRNGHW >cds.KYUSt_chr4.14221 pep primary_assembly:MPB_Lper_Kyuss_1697:4:87573004:87575024:-1 gene:KYUSg_chr4.14221 transcript:KYUSt_chr4.14221 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVPLLPRETPATDMVHACGTAVGVAQAGHGDQSSCGRLPGRQRGHQDLGAPWPHLAAARSGTPKLWGVAAHGVLGHGSGSGGAWRRMAATPREARAGKLAKLARVAAGRGGACMAELAIAAPASSLLQHRLPSFAAPMGSVCSTVGHPLQTAVVAPNSARSNANVCRSTAGQLPEFHRHAWRHSPCTLVLARPGAIPASSTSPDCRRESLGYGPHPQAPSNVSASAGRTIASGSAAASISGDGRSQGS >cds.KYUSt_chr4.20832 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131078212:131081349:-1 gene:KYUSg_chr4.20832 transcript:KYUSt_chr4.20832 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIGKFKLLRVVNLEFRGNHDEHISFNLSRISQLLQLKYFKISSDNTVELPGQMQGLQFLETLEINARISAVPLDIVHLPSLLHLRLRDEKILPDGIGCITSLRTLEYFDLSSNSEDSVRSLGELTNLQVLHLVCSSASSDEHLMRNLVALAYSLGKLGNLKYLALTPGTLSTAVLVDGSGIVVFPPAFLQRLELLPPICIFSRLPVWIGHLRKLCNLKVVVRELLTKDIDMLTGLPALTILSLYVRKPTAEVIVFNRAAFQVLECFKFRCGVLCLAFQEEALPNLQILKIGFNAHRGEHFDHMLTGVEHLLSLKVIDARIGASAGAQDSDKRAAESALKNSISKHLMSPSFNLQMVDYVDEEIPELTDPPASSSQAFMPDSEVSTSGLISPRKRKEDTEYCQYPGCVKTARGVSAHCISHGAAEGFPMVLQTDEQIGADAGAGDSDRQAAGSVFKDTISKDPRSLSFNMELDDCADKEIPEIGSALSLMSSSDLCRTLGGAKMCTVSGCSKSAHGGTDFCVAHGGDPRCSHEGCSRAARGRWSDLCVRHGRGHHRCQFGECTGSAEGSSIYCKAHAGGKKCAVSGCTDSSATRGRTDYCVAHGGDKGCKFVNCTRIAAGRTDYCATHGDLNSRCKFASCMRSADCGTDFCAEHAKPKRCTWGVLAVITGLGHHQCDRLAESRIGGLCAAHRAEIKDHPVFRAFDPVLGHQFASDVRPDEIVDAAEPKGDQETKTTKTFIEDEAC >cds.KYUSt_contig_686-1.1091 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6711531:6712223:-1 gene:KYUSg_contig_686-1.1091 transcript:KYUSt_contig_686-1.1091 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPYHLQSPRTIVTKIISMKQQAPALPESEESKLILQPRHTTTPAMWCAAIVCFVFSVLLILTGLIILIVFLTVKPRTPSFDVANAALNSIYVGSPSAYFNGDMVLVANVTNPNQKMGVSFHSGTMELFFRGRLVAAQALPSFAQRRGQFTVVNVHMVSSQVELPPEVAMELVNQMKRNKILYTIRGSFKVREKFWSWHYSYRMTAICDLELTAPPSGVLLDRRCTISK >cds.KYUSt_chr3.41549 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262101722:262101955:-1 gene:KYUSg_chr3.41549 transcript:KYUSt_chr3.41549 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARNNELRMTLLGLALLGLLLLSHTAAPVEAAVSAGEDSFPVNGAGGRSLKSFSMNGAEGEKGKGAKRTTGAGDF >cds.KYUSt_chr5.36149 pep primary_assembly:MPB_Lper_Kyuss_1697:5:228587802:228588032:1 gene:KYUSg_chr5.36149 transcript:KYUSt_chr5.36149 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAQNALAQLTRAIRWRWTQIALGLKRTIEQELKQVGPNRARVDSSRATDRRWERKRKRRARTAWMEEEAEVAAA >cds.KYUSt_chr7.26267 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164034248:164038162:1 gene:KYUSg_chr7.26267 transcript:KYUSt_chr7.26267 gene_biotype:protein_coding transcript_biotype:protein_coding METAAQKSAASGGGDGGHLCHLCGYKYASAHPSAKHRRAHKKNCGNGGGGGAKSPPAAAAAPAEEAVEERQGKKLLLDLDEREAEAGEGNAAAASDSGAGLPGSALDAANAVVDGDNNGVHTSPDSNVVPVTINDVTSEVVPKANGAEIQTEVAIPLSENAPHVEDLPSSESHVTAGQSQDASAASQHQSEPEDGARFSPDLSADEISKSDCQSVAAGAAAGEIAEGQSATTVEEDITASNLGVVTVEQIPGEETQSKEETGSVEQALGSEEPSTDKHVPTDDTSGVDLPELVSGGCHLEAADAVEMPQQTDPTSVVAEQLTNSKQADLQEGQSYPIADEVVQAAWTATGPDDNTGDLTKKDLPEVTMEDGIQSDSSHPSTIFIASQTDLVEPSSDPTSHDINVISSKEDLDENIPNENVSADINFRDTTEAQSVDAEETTSHETKAVCSTENTEEIKQIEQITAEASPTESSVLHCTSSVEEKELIEEVVADPASYKVDVISSRDIVVEKEESDEYVGTAQEINVVDVPANVGLEKHDEETTRGPAACETDIMNTTDNVEEKKQIEETTTDPAHAISVIHSPSNDDKVENVDMSEVPSSNAAVDGTDNVKEKDEDPASYNMDAVGAVDVVEVKKQEEEVTAEPNRPEINAVHTVDIVKEKESEEPAASCKTDAGSNANSILAVDPSSHENNTSQGTDDAEEVAALHAGDNIAAAQSTDDVADKKHEEETTGADIKITGSANGDQNEEITDKEMTIDSDKSHVSLKSLLSEKGMETTKEKKATSTKDRVLSFRRRSSKDNPSPGKPGSVEQDWNSPARLLPVEKSPKGKKQPWMPFICCHSMNN >cds.KYUSt_chr1.10917 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66787199:66799852:-1 gene:KYUSg_chr1.10917 transcript:KYUSt_chr1.10917 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGESVGRSEDKADAPPGDDGTSAPLPETIQIGNSPTYKLDRKLGKGGFGQVYVGRRISTPSLSERTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTLSGIHGVPRVHYKGKQAEYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPPGTRQEKKLFLVDLGLATKWKDTGTGELVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCGFCPQPFRQFLEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLIHQVGQKRGRLMMEEDDDDQPKKKIRMGMPATQWVSVYNARRPMKQRYHYNVADGRLAQHISKGNEDGLFISSVASCSNLWALIMDAGTGFNCQVYELSPYFLHKEWIMEQWEKNFYITALAGATNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGTRWAVVMSRNAGFIDQVVELDFLYPSEGVHRRWDNGYRITATAATWDQTALILSIPRKKPADETQETLRTSAFPSQHVKIVQVASVSMPRRAMFAWVAIALRATFHAKMRTLAGTGLPNELPVCTLAKRVHTRCMATILPELFFKGYVICTFDCKDSILDEDMITCDLKG >cds.KYUSt_chr2.53750 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335317616:335330447:-1 gene:KYUSg_chr2.53750 transcript:KYUSt_chr2.53750 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRPLPAPAPRVRAKPAARIPPPRRRPPRPRASAFSVGTVEEDAFTRSSGYLFEEGMSAEGELPTAYDISGIAAVYRRRPLLVLRRSLQIGASFGRWFALRYLDTVNERADDMFEVRAAQLRRILLELGPAFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTDVAFDIIEKELAMPLDMVFSEISPEPVAAASLGQVYQARLRSNGKLVAVKVQRPGVQAAISLDIFILRFLAGVARKARKLNTDLQAVLDEWASSLFREMDYREEARNGLKFRELYGNLRDVLVPEMYLEQTRRRVLIMEWVEGERLSEVRDQYLVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDGLAKDFITLGLLPATAQKDEVTKALTGVFESAVNKGVQNISFGDLSGNLGQTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLSSSYPWIARKVLTDSSPNLRSTLNTLLYKGGTFQIDRLESLLTESLRARSEQSLVRNQKEDSDSSRYAIKQVLSFTLTEQGSFVKDLLLQEISKGLDALGVATLSSVTSAAASRFPFAPSPSSPLNNEEVTNLRNLYRLLLLLSKTPQKENSSPDPGYESTREKGDDSVDDVSLVLNEMRSLPEFLPVLSVIPELPPESQQQFLLLPADLTNRVLSRAVARTIRSIFM >cds.KYUSt_contig_1253.585 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3591811:3603484:1 gene:KYUSg_contig_1253.585 transcript:KYUSt_contig_1253.585 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWFIPAYFKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLDSYWGRRGGAATAPRSPSPAPSSSSQEDRCFEFLLRIDDDPLDIKRLPDNFAEFVDGVEPAHLQLREANCNFCRWTVEVLFDGQSKMYLHTGERRASPPPPAMPRSLPAAAAVAATRRLLCTTIADATPSPAHLLALPPVAPSPTADELARLLLAHHNPFHPSESPLQLLSGGGVSLSQDLLVQILLRLRGASKLALSLLNAARLHPSVSSPPNADAYDAVVDALGRAHQFDAAWRLVVEAAADGAATPRTFAVLARRYVAAGMTRQAVRAFDDMEAFVGREPDAGEFTTLLDTLCKYKYPKVAAEVFNKRKYKYEPNEKMYTILIYGWCKVNRNDMSQKFLKDMIDHGIEPNIVTYNILLNGICRHASLHPDNRFDRTVHAAENLLKEMHDRGIEPDVTSYSIILHVYSRAHKAELCLCMFRSMKDRGICPTVATYTSVIKCLASCGRLEDAERLLHEMASEGVCPSPATYNCFFKEYRGRKDITGALELYNKMKAPGSPTTPDIHSYNILLGMFIKLNRHGTVMELWNDMCESTVGPDLDSYTLLIHGLCDKEKWREACQFFMEMIEKGFLPQKITFETLYRGLIQADMLRTWRRLKKRVDEEAAKFGDEYKLYHIKPYKRKEVTSSWEPLSLEIWLRNRADAVEALGSWMTRRSQYLLLFCAWLAFLHEGFVPHIIHRDMKSTKILLDENFKPRISDFGLTRIMSACKAHAGTTQHPSEYNMRMKSNTKGNV >cds.KYUSt_scaffold_6468.1042 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:5041347:5047046:-1 gene:KYUSg_scaffold_6468.1042 transcript:KYUSt_scaffold_6468.1042 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVCWSGEKYYLRQILPLVRKHKVIRFSRIDSPLANIGIPLKFQKLRCRVNYNALRFTPSIEALEDVKLEAKQVLTVTKVLRCYVALRVSEHAFGDRLTILAETWHVSGFSATQMPSAWLGAGPGVRESEEEDELALEARGASWDHVLCSRVTLQGLRGTAFFWQG >cds.KYUSt_chr4.27069 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170065167:170069777:1 gene:KYUSg_chr4.27069 transcript:KYUSt_chr4.27069 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVIDPVTGKPCKTGYKYLEDGTKVRVSRGMYASGAVIPRPEILKERKKPRPTSDGPKDTPIEHVLEKTYDAKAGIGMPDL >cds.KYUSt_chr3.45491 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286688743:286689550:-1 gene:KYUSg_chr3.45491 transcript:KYUSt_chr3.45491 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPSSTAPAKPTVLRVAAFCGSLRKDSWHRGLIRAAEELCQESIPGLHIDHVDISGLPMANPDLETDDGDGFPPDVEAFRDRVRAADCFIFASPEYNYSITATLKNALDWGSRGKKSCWADKAAAIVCAGGSFGGGRAAFHLRQIGVFLDLHFINKPELHVKAYDDPPKFDADGNLIHAETRERLRQVLLSLQAFALRLQPK >cds.KYUSt_chr4.11502 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69940071:69943070:-1 gene:KYUSg_chr4.11502 transcript:KYUSt_chr4.11502 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAAKYVNLDCYVILVTSKLLVDEDPGLVGNLLVERLLGAHIDLVSKGEFSKIGSVALTDLLKKRLLEGGRKPYVISGGGSNSLGNWGYIEAVREIEQQIKLSGNVQFDDIVVACGSGGTVAGLALGSHLSTLKTKVHGFSVCYNPGYFYDNVQGLIDGLQSGLNSHDIVRIEDAKGLGYAMNTAEELKFVKDIAAATGIVLDPVYSGKAAYRMLKDMANNPTKWEGRKVLFVHTGGLLGLYDKVDQLSSLAGSWRRMDLQELIHTKKALVRCSA >cds.KYUSt_chr3.37911 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238549679:238553628:-1 gene:KYUSg_chr3.37911 transcript:KYUSt_chr3.37911 gene_biotype:protein_coding transcript_biotype:protein_coding MATTCRLMLILLAAVAAAASHPAHEVGAPLRLIFDVSSFIALVGIFLTRLDFGCVPELKFCAAAGGGGGGGAGSCGGGDGTRILIKGGTVVNAHRAEEADVYIEDGVVVAVRPNIPVGDDNVKVIDATGKYVMPGGIDPHTHLEMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGIESYKHKAEKSAMDYGFHMAITKWDDEVSREMEVMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLQKCKSLGALAMVHAENGDAVAEGQQRMIDLGITGPEGHALSRPPVLEGEATSRAIRLAKFVNTPLYVVHVMSIDAMEEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFATASKYVMSPPIREAGHGKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGIEERMHIIWDTMVETGKITVTDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPKRSFVMGTHTHHSRSNTNVYEGRKGKGLVEVTISRGRVVWEDGNLNVVPGSGRYIRTPPFGYLFDGIEKSDAIYRAGLRAPVIRSKAVA >cds.KYUSt_contig_2097.153 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:766797:767930:-1 gene:KYUSg_contig_2097.153 transcript:KYUSt_contig_2097.153 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAFVQHRPKATLAEAAGIPVIDISPLAGGDEASVEALAAEVGKASREWGFFVVRAFFAMTPEQKASVRRDEAAPLGYYESEHTKNVRDWKEVFDLVPRNPPPPAAVADGELVFENKWPQDLPGFREALEEYGNAMEQLALDLMELIARSLGLRPDRLKGFFKDDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTVLYQDDVGGLDVRRRSDGEWVRVRPVPDSFIINVGDIVQVWSNDRYESAEHRVSVNSEKERFSMPYFLHPGSGAMIEPLEELVSDENPPRYDAYNWGEFLSTRKNSNFKKLAVENVQIAHFRKDLKSIA >cds.KYUSt_chr6.17175 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108149995:108151497:-1 gene:KYUSg_chr6.17175 transcript:KYUSt_chr6.17175 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCAADLAPLLGSAAINATEYLCNRFADTTTAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGAPSNGFIGKHFFGLRDVPAVGFDYSFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWVWSADGWASASRTSGPLLFKSGMIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFLTILKSYGPAGSIHGQWSAVGRAAVTTTLAGSTAALTTLFGKRLQTGHWNVLDVCNGLLGGFAAITAGCAVVEPWAAIICGFVSAWVLIGLNAVAARFKFDDPLEAAQLHGGCGAWGVIFTALFAQKEYVEQIYGVPGRPYGLFMGGGGRLLGAHIVMILVIAAWVSFTMGPLFLVLNKIGLLRISAEDEMAGMDQTRHGGFAYAYNDDDASGKPERGVGASFMLRSAQTSQVAAAAEGEGGQV >cds.KYUSt_contig_815.91 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:503488:504989:-1 gene:KYUSg_contig_815.91 transcript:KYUSt_contig_815.91 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLWASLLVHSIKRVEGRSWPSPVTSRLWIHAASKVPDPDSVAAMETFYREIHAVDGVTHIDFPQHYPVSRVLVCCVVCLGAILTIDFALAMDSDDEMVALLLEDEQAFDDDLREHLLIITSLQDMLDAEAEKRKRPRRGGSRPGRRKSKPRQRMEGHAI >cds.KYUSt_chr1.36229 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220878997:220888002:1 gene:KYUSg_chr1.36229 transcript:KYUSt_chr1.36229 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRDSGKPLVDLTDDGEAGPSGLVKDEPVDERVKQEVVTDEIFLLQIACHANKIDLHCGFKMSDELPVVSYITEENKRRKRTGIVFTKHYFESTLLAMAYLSTQRGPSGFVKGRNIVDNFLYAADVVQSCHARGSPAVVLKLDFKKAFDSVNWEALDAILDARGLGPLFRSWISAILSTGRTAVLLNGVPGRWISCKNGLRQGDPLSPYLYLAVADLLPCLISMEAGGDRLLHPLVDDLPCPVIQYADDTLLILRAEHSQVRRLRELLDLFSQATGLHINFHKSTFVPVGGVTAELASDLANILGCPVSSFPQTYLGLPLSDHKLPAAALEFLSVKISKRIPGWRTSLLPIGGRLTLTTAPSPRPSPIASAPSPLVADALENGAVEVPLVHRVSAAAAGEMEFIHACLSRISLTPSPDIRSVSLGPSTDFSTGSVYRSLHSSGCIVPGQDVNWACFAPLKVRVFFWILRLHKTGTRAAAAPYRMCGLLGPPFRPNQPEDTSHLFVGCPRLRPLWNVVCPSVKTSINPGMAMSWTRVLKSGVVPRDAVAQLVGVRSFAVSAKGKKGGKGGAADAKPVLSKEMKSTTVFGANILKEGSDPKIQPDSEYPDWLWHLVDKRPVLSELRRKDAKTLPYEDLKRFVKLDNRARIKENNALTAKN >cds.KYUSt_chr6.21225 pep primary_assembly:MPB_Lper_Kyuss_1697:6:133990348:133990788:1 gene:KYUSg_chr6.21225 transcript:KYUSt_chr6.21225 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQVLSQAQERGSDRSMEEYLLMQLMEEDSPAPPLDDDVDRLSHVMRSLEAEIMRGAAATGPKDGEGLTGPPGDDSCMIEEMLMVDLDGYGGEPMGYWPEVPPVGAWYLYTEGVEDTILGYEVRDQQYYCVDQGCVEQVYSPLWE >cds.KYUSt_chr2.54840 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342095367:342104440:1 gene:KYUSg_chr2.54840 transcript:KYUSt_chr2.54840 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKGSPASNSGGAAGVGGDFMGSVGPQDSYQALLAAAMGGSAPGAPNVTYNHHHQYCNPAGLIHGPMPAMHAPPPHVHAVRTPPPPHCAQSNNQLIPAGYQYQYWQSPGSQNGVAAAQQVQRGFVADWTVYNSSYMSLACGSSSSNNGYHQSSRLCSATTWPNAMPRYPCYSAYPPAIQDHQAPSYHQGNNHEEDSDLMQDFQEPPDMESEISDELDPTHTPVDENQNLNQGHESLTARFNCREYRIVLRKDLTNSDVGNIGRIVLPKRDAEANLPALLERDGLILKMDDFKLPATWNFKYRFWPNNKSRMYIMESTGEFVKSHSLEAGDTLIIYKSLESGKFVSKEFKVHGPEGLKAHEDKKSNDSDNFTNFDFNNRHIINNEEVFTDLYDGVTYPVRNTRMSYTAPRGRNPLIQDHELEDSADSTRHQTCVITASGREIDEDAQSEAFDSLVNPFVDPLDLTRGTGNKYQGREPREQLQLSQAAWDRAARAISGVKPMTTQAITEELMAYQYKLSRTRRELEKMQEKLDARKAAADASSERRANLSAHSGNSANNNMAPGGRTRSRMAGIPENQRGDHLVQDLDMTFMSIDSRGNITPKTPEAAYMAAHAYMMATRPPPGDPRASLYQTAMVGFGVMGAAIAGREVTQEPARTPGETMRAYIQRWSLIKNTVDHVSDERAIDAFIRGVRRRDLFEELGRVNPKTVAELMDIANKWADGDDTVYNKQARSPEEDRNRNNNQNRRRFRSFAEYDGPSQVSAGIRSNNDDNHRDDYRRGSGQRSENRNAPSSSWQNNRSRYNMSPEEIMNGPCQDALLHRFRWKETVGTPPKGLPNISGFAKNHGKLAN >cds.KYUSt_chr5.362 pep primary_assembly:MPB_Lper_Kyuss_1697:5:2495733:2496416:-1 gene:KYUSg_chr5.362 transcript:KYUSt_chr5.362 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVLQQIALLLLVAAAATDAATITVVNKCSYTVWPAALPGGGVRLDPGQTWPLTMPAGAAAARVWPRTGCTFNGSGVGRCITGDCAGKLACAVSGEQPTTIAEYTLGKDGAPDFFDLSLVDGFNVPMRFQPLDGAPCRSASCAVDITKECLPELRVAGGCASSCGKLGGDTYCCRGQFAVNCPPTYYSMFFKRKCPEAYSYAKDDQTSTFTCPTGTNYQIVLCP >cds.KYUSt_chr5.1096 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7572256:7572681:-1 gene:KYUSg_chr5.1096 transcript:KYUSt_chr5.1096 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQIAFAVISVAVVVVIAYFLHTCSREATPIPPMPTPPGTAEDAAATAGGDVELGMDEAAISALPRVVVHGGDAATAEASCAVCLGDYDRGDVLRVLPGCDHSFHRPCVDQWLRLRPSCPVCRTPPAPTAPSPAQATND >cds.KYUSt_chr7.12783 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78850565:78857966:-1 gene:KYUSg_chr7.12783 transcript:KYUSt_chr7.12783 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSGRVPEAISWIPRDGIGGGGVSGRDTCGDALELYENMQTEEAVGLGTPTSSTWTTLGTRTTTAHNKVPSAAFSESTHVEVHLHFEEGGVLGPVVVLQRKWYWCGDNVSAAIASADDQRCFHMPCFSGLPAAWTGNQEPPLPCLAADNPSLLLPGFIDVVLPLEGINPYLLGPRSLGGVAASRNLSLQVCLLGNKQVDRLRLLFSDAGGGGLLVLLIDPSASTAGEVDGASFLDLASVSFFLMEHCCFMFFCVYGENLRYPRIVVEPKAAVMVMMGSGFFRGDTSTTHNSWTKNGYKS >cds.KYUSt_chr1.38396 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234569246:234569585:-1 gene:KYUSg_chr1.38396 transcript:KYUSt_chr1.38396 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSSGSGKGKDEKKVAAACDVEALRKCLQENNGDRIKCQAHIDAFRSSCSISPNTTSSASKGLI >cds.KYUSt_chr5.30795 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195076103:195083709:1 gene:KYUSg_chr5.30795 transcript:KYUSt_chr5.30795 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGVCFQAHAIDGSAEARPPSASPGAAKPKRATDGAAATKHTQKGSDAAAQRDEAIDEAMQAARTSLGKVARTSVSLAVEDFYPTHTNYSTKLVLHIRDSMASDVQAASAAIELLENYKVQAIIGPQKSSEAVFISKLGNITQVPTVSFTATSSSLTSDSMPYFVRATMNDSAQVKSIASLIQTYGWREVVLVYDDTDYGRGILPYLNDALQEIDIRVPYRSVIPLSTTGENIMQELYKLMKMQTRVFIVHTSSTMTSLLFTKAKDIGMMNKGFVWIITDGVANILDSLNPSVIEAMNGVLGVRYQVPKSQELDNLSIRWSRMYQRDNPDESPFNKLSIVGLWGYDVVWALAQAAEKVGISSIRNKRTWTSKNATCLQSMVISTNGHELLTAIVQNKFRGLSGDFDLTDRQQLKVSMFQIINVVGRGWRQIGFWTLERGLSRQLNQNVLKITGSASMPDLNPVIWPGESTDIPRGWEIPRTGKKLRVGVLTSGYPEFINALRDPVTNTTRASGLSIDIFEEAVKRLPFALTYDYVPFGTTDTANSGSYNDFVYQVYLQKTDIAVGDITVRYNRTLYVDFTIPYTESGVGMIVPVREDMIENMWIFLKPLSTGMWFGSIILFIYTGLVVWLLEYLNGNEHVHGPFSLKQLGITMFFSILEEKEKLERFLSRIVLLVWMFVLLVLTSSYTASFASMLTVQKLSPTVTDVHELQKKGEYVGFHRGSYIEGLLVDIGFERSKIRPYDTPDDFYGALSKGSKNGGIAALVHEVPYIKLFLANYSKGYTMVGPIYKSAGFAFALPKQSPLRAELSRAILNITGGDSIIDIEKKWINQKNHQNEDKIDGSGQGAGSHGAGDQASRTRISVRLFMNQAPVSSSTLLKSASMIRVFSARILALASILLASASSNLALESAF >cds.KYUSt_chr3.35436 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222494738:222500869:1 gene:KYUSg_chr3.35436 transcript:KYUSt_chr3.35436 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMTTRSRGREQQKSSRSKSARNKLDGSGERLPSEGCGKRKKAKLDVISRRVSSERSSKKGKSNQDRDMLEKRPKKRNRGEDEDDGSCSSAVSSPLREPYMPDGTEISKPIDSAISDKYRDMQEEYYAKIARQMKMPMLCELTPPNCLVNDPTLLHIRESSKKIVLRAAQFIVGLSSSFDGEPLAWCSGFWIDLDSEKRTGTVVTTAHLIRTKRPSPDAWLCKDERIRRPHLGLKLFAISLLNPVQVEDILIEYKINEGLIVNEVSAGSPAERCGIRLGDVVECFNGKCVSTVVEIPCPPNHHYDFASRFFSDNCKENSKPFQQQFPEEAQIRLLFPTKLEMRQ >cds.KYUSt_chr4.17938 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112428918:112432782:-1 gene:KYUSg_chr4.17938 transcript:KYUSt_chr4.17938 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAGLFLHGQDFPIVVAADDGLGSEVNSKWQCRCLSVGRDRIHPILRSPHEPFPAGAFTPWTRVSSSKLYAPCATQDFLALLSPLSPTSSEPSILNSLAALRLCILRRRDLMDDKSQKGKEKDVSASAPPHRPKGRLKFTPRVPTKKASKIVPKMEPEEENELQAIDKELLMKLRMAQSAGALERRSRADKYEAHVEVAFGQVNPSVARSSPTHKSSSSVKQEMIDLFSNYMMSEVTTSAAKLPKQSAGPQDFTHPSYNYPPTSLPLRRPVSGDPDELGEFSSNRTEDGELTAAKELGLMDTEDMMNKPQLLFFQFPASLPLPMVESVPEADMDISEDDETDDSQSKGNYKKRRLEAIRGCKLKDMPGGLLGKVLVYKSGKVKMRLGDALFDVSAGMNYAFAQEAVAINTNKKHCCSLGEVNRRAIVTPDIEYLVGSVKRIVRPMEM >cds.KYUSt_chr3.18545 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114040881:114061510:-1 gene:KYUSg_chr3.18545 transcript:KYUSt_chr3.18545 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAFSFGITVCGFDGAFVGGVAAFAEAFFFSALTYKASILGPAIPKITRATHATLIMDSFLGDTGLSGHVFVIYLIRDLHIAKEEEDIGFYAGFVGASYMLGRALTSVIWGLVADKHGRKPVLVITLVSVIIFNTLFGLSSSYWMALTTRGLLGLLSGMLGPVKAYATEVCRKEHGHLALSLITSSRGIGLIVGPAIGGYLAQPADKYPSIFSVNSIFGRFPYFLPCLCISLLSVAALIACFWLP >cds.KYUSt_chr1.4508 pep primary_assembly:MPB_Lper_Kyuss_1697:1:27667503:27668258:1 gene:KYUSg_chr1.4508 transcript:KYUSt_chr1.4508 gene_biotype:protein_coding transcript_biotype:protein_coding MGAENTKQTPNLYEAFEPHHELLAAARGGDWGRLELLLSKKDDAAAREVVIHVDEPVDVQVDASTAVTPAEAATAARDSVLHVVASRGDGDEFLRSATVIHGKAGHLLQSRNSNGDTPLHCATRAGCGKMVTHLVALARKAGNGDGGDDEKVKTMLRMQNEQGETVLHEAVRLGNKDMVDRLMSEDPQLARVQPADGTSPLYLAVSLEHDDIARQLHEKDQALSYSGPDGRNALHVAALKGKGEFTIFSNS >cds.KYUSt_chr5.39296 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248666133:248671831:-1 gene:KYUSg_chr5.39296 transcript:KYUSt_chr5.39296 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERPVRLVLDASLLLDPVDGGGTGEVAPALRPGAEALLRRLRYSNLGVVIRRYALNRLWISGFLLDMCGAALMLTALSQAPMRSPFVSVVQPVAGCGLAILCVFSHFYLKEVMNGLDWIAITLAGVGTIGVGVGGEEQKVEEIPLVSIPWLVLCILILFVGCNGVSPWLPGFTPLPAASALLMSPGGGRCFQCHPAAWLWTTSSRYGIWNGVRMFFGVSDGIQDITRRSVMVRRIRFIYRKSLFKFGNDTVHLWKALECSRTFRKKSPWKVESRLDSTNPSRPPQGEGGVHGGLHHHGRPWKKEREESHSP >cds.KYUSt_chr1.25965 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156256943:156261064:1 gene:KYUSg_chr1.25965 transcript:KYUSt_chr1.25965 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEMVRGFAPATRVAGARPAPATAAPPQPRRAVAARVLRTSASEKVAADLPVVGNNGSLSALSKSEVAADATSQAAGVNTRRKTKIVCTIGPSTNTREMIWKLAETGMNVARMNMSHGDHQSHQKVIDLVKEYNAENTDGNTIAIMLDTKGPEVRSGDVPEPIMLVEGQEFNFTITRGVSTIDTVSVNYDDFISDVESGDILLVDGGMMSLAVKSKTTDTVKCVVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDANVIHELKDYLKSANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPVEEVPLLQEEIIRTCRSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREAADAIMLSGETAHGKYPLKAVKVMHTVALRTESSLYDPTKAPSLVARPQALLNDDFCKSQLSKMFGSHATMMANTLQTPIIVFTRVGSMAVLLSHYRPSSTIYAFTNEVRVKQRLALYQGVVPILMEFSDDAEETFSRAITSLLDAKYMSEGDYVTLVQSGSQSIWREESTHHIQVRKVQG >cds.KYUSt_contig_605.2368 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:9873572:9876297:1 gene:KYUSg_contig_605.2368 transcript:KYUSt_contig_605.2368 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSTRLAGRAAEERTGPALDEREIRGEQRPLHLVGGAAAPAGENPSALPHNPERPSSSSSSSASSLQVEDEIPVVLNMAEDIRGEDLYLKKKMKTDGHDQHFPMKKTNKVAVQGAEVPCSVWRWLALARAPASKLHQNLRFHYRSITFSKVLKCYGDAKFKIALRHSALFEYCKALQEVFVFFGGVILC >cds.KYUSt_chr3.19279 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118759016:118759321:-1 gene:KYUSg_chr3.19279 transcript:KYUSt_chr3.19279 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDYYGPLAFAYPRKSFSRVPLPAAATPIVDDEEEELAEFARMLDAALEANGSVEEGPAADEAVYFGFAALALALEAAMDEDGEEYQDQDSHDVEAMDES >cds.KYUSt_chr2.47395 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296510219:296512099:1 gene:KYUSg_chr2.47395 transcript:KYUSt_chr2.47395 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSMVEARLPPGFRFHPRDHELVLDYLCPKLSGRRSGGGVDMVDVDLNKCEPWELPDAACVGGKEWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRVITGAGGTVVGMRKTLVFYRGRAPRGTKEEWVMHEFRAEEGCPAVRRRLADADHGWLPSPLREDWVLCRVFYKSTAAAPRPASDESSGSLSSDLGVAPALQAPINAAVGYGQQDSTGPLPGAHHWPAAPLPLQSFRDLLGDMVQGSGGDPHADWSVDDSGYTRQGGMSQSWNAF >cds.KYUSt_chr6.24057 pep primary_assembly:MPB_Lper_Kyuss_1697:6:151985654:151986980:-1 gene:KYUSg_chr6.24057 transcript:KYUSt_chr6.24057 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTKTQPAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKADLKDKLSRIYDVKDSNCIFVFKFRTHFGGGKSTGFGLIYDSLEAAKKFEPKYRLIRNGLATKVEKSRKQIKERKNRTKKIRGVKKTKAGEAAKKK >cds.KYUSt_chr7.40353 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250559886:250560170:-1 gene:KYUSg_chr7.40353 transcript:KYUSt_chr7.40353 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELPNLKMAPVLLLCFLQLAGSSMALPALPGMDRVRWQVDRANRRGPSIGLVMSYIDEDTALQASGYFSPWSVQPFIDLYGESQPPVSDPKC >cds.KYUSt_chr7.26268 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164039239:164043589:-1 gene:KYUSg_chr7.26268 transcript:KYUSt_chr7.26268 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFDMPAGDDMMMGEDGMGDFGGAEGPVMKVGEEKEIGKQGLKKKLLKEGEGWDTPEVGDEVEVHYTGTLLDGKKFDSSRDRDTTFKFKLGQGEVIKGWDLGIKTMKKGENALFTIPAELAYGEAGSPPTIPANATLQFDVELLSWTSVRDICKDGGIFKKILKEGEKWENPKDPDEVLVKYEARLEDGTVVSKSEGVEFTVKDGYFCPALGKAVKTMKKTEKVLLTVKPQYGFGEMGRPAAGEEGAVPPNASLLVDLELVSWKTVTEIGDDKKILKKVLKEGEGYERPNEGSLVTVKITGKLQDGTVFLKKGHDEQEPLEFKTDEEAVIEGLDRAVLNMKKGEIALVTIPPEYAYGSTESKQDLAVIPANSTLIYEVELVSFVKDKESWDLNNAEKIEAAGVKKEEGNALFKLSKYARASKRYEKAAKFIEYDTSFSEDEKKLSKQLKITCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRSQAYTQLADLELAEIDIKKALEIDPDNRDVKLTYKTLKEKIKEINKKDAKFYSNMFSKMTKPSGEESKA >cds.KYUSt_contig_1181.1367 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:8911903:8913232:1 gene:KYUSg_contig_1181.1367 transcript:KYUSt_contig_1181.1367 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRVRCCYYYPLDLGAGGTVPRRNPGVAYLATTSTALNLSDVLVLLDGVLGCEMTCRNGLAGVCIVWYGWATALLLYCWTVVVVVTTHTLSVVTMLLADGP >cds.KYUSt_contig_195.179 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1122986:1123669:1 gene:KYUSg_contig_195.179 transcript:KYUSt_contig_195.179 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSPPLLAAIKCQTRQPKFKRKPNLIPSHPIPNPLSPEPAEQASSDEMDASKGKKFSAKKLGGPRKKSVTRSVKAGLQFPVGRVGRYLKQGRYAKRVGTGAPVYLAAVLEYLAAEASTLLPSLVPFRRHELTTPRIVCVLQVLELAGNAAKDNKKSRIVPRHLLLAIRNDQELGKLLGGVTIAHGGVLPNINPVLLPKKSVERAEKAAAKSPKKAAKSPKKAAKA >cds.KYUSt_chr6.3884 pep primary_assembly:MPB_Lper_Kyuss_1697:6:22355534:22358772:-1 gene:KYUSg_chr6.3884 transcript:KYUSt_chr6.3884 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDEDNRTASLPDDLLVDILGRLAPRYLAVSRSVCKTWQATIDARRLLRTEMLPLRLHGIFMYFSFHKFPEFFSRPGTPITGKMDFLPSANKTRSEVQDHCNGLLLLQDEDAGVPKYVVNPATRRWDSLGNSPPLRVMGVEFSSDGYLAFDPMVSPHYEVFMVPYIPWMREGEHLDPSIEESEWPPATFILNVFSSRTHCWEPRSFHREGDALGTIAEMRARAGLLAQFGTKFRKDASDRLPDLGCGGVGLALDRPHQTRWATSGCIDGLEDKMEEVLKQIAALRADLKDEFRHGLAAVETKLGENQASIDANSSSLQSIHSWRGGVDAQVSDLAVSMEALRK >cds.KYUSt_chr2.5653 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34882804:34883718:-1 gene:KYUSg_chr2.5653 transcript:KYUSt_chr2.5653 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAMQIVLRGKNCAGLTMPRVFTGDRGYSKAPSSQRLAGKVAVITGGASGIGKATAEEFVKNGAKVVLADVQDDLGRALAAELGTDSASYTRCDVTDEAQVAAAVDLAVSRHGKLDIMFNNAGILGSLARPPLASLDLADFDAVMAINARGVMAGVKHAARVMAPRRTGSIICTASIAGVLGQLTPHPYSVSKFAVVGIVRSVAGEVARSGVRVNAISPNYILTPLVERILEAWYPKEGKEEHRRIVERDINEMEGVVLEVEDVARAALYLASDDSKYVNGHNLVVDGGFTVGKAPNMPAPAQ >cds.KYUSt_contig_2868.20 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000549.1:153098:154806:1 gene:KYUSg_contig_2868.20 transcript:KYUSt_contig_2868.20 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIAEAQFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGTKALEFLGLHGEDASISVHADHLDVEVNVNLIITDYCMPGMTGYDLLKKIKESSSLRDIPVVIMSSENIPSRINRCLEEGANEFFLKPVRLSDMSKLKPHIMKSRCKEHYHQEEEDDLLSNSDSNTSSNPTNNSSGTDTISNPTNNSSDTTDDSSGDSSSARKRKAEAGKDEISPKTSRPRHS >cds.KYUSt_chr4.10140 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61367798:61368325:-1 gene:KYUSg_chr4.10140 transcript:KYUSt_chr4.10140 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPDPGSREETPLAPARTPFPDCIASDAGLGFIIGGAGGSVFHFHKALRSSPSGRRLAGGVQAVRANAPRLAGTWTGLLVAFSAVDSAMYSARGKDDPWNRIVAFACMRGLRHRRKGLKAAIRSALVGAVFWGLVEVSVIGMDADFADPHRKNLKNRCPLPASCSDGDPARAAQ >cds.KYUSt_chr1.9918 pep primary_assembly:MPB_Lper_Kyuss_1697:1:60690518:60690796:1 gene:KYUSg_chr1.9918 transcript:KYUSt_chr1.9918 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRNGDAGGVMPLGADNDGEMSLQGEGGAIPLGTCTASGGCHEDTCEACLGWCDAILKVSRCEGDDKWYVTGGAMPLGANGTRAMPTACGK >cds.KYUSt_chr3.48586 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303837181:303841307:1 gene:KYUSg_chr3.48586 transcript:KYUSt_chr3.48586 gene_biotype:protein_coding transcript_biotype:protein_coding MIASLSLKSQQYLGNGFLALREVDQRIEALLTSCRSFIDGCGQKPSRSSSSSRNSSRQPRIAYGMAVAAAAVLVLVLLSFSGPLCPRLLSASPSPSPSPPEPVALTLLACATEKGAGTRSSVLGWNAAGLPPAERLRIRIQKLAHSPRDFYNWNKVYVRYCDGASFSGDAEGRAQDGSILHFRGLPIYEAVIDELMEKGLAKATQALLTGCSAGGLATLLHCDDFSARFPKEASVKCLADAGFFLDAKDISGERSFQANFDGVVQLQNVREVLYKDCLANKDPTELSLLRASTHPCLFSTLRMIHLRWVKFGSVLVEVLVLLLLLLLVVVVVLLLRGGGVAAWWRSWPANGAVSHASRVNPNP >cds.KYUSt_chr2.7979 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49993355:49994211:-1 gene:KYUSg_chr2.7979 transcript:KYUSt_chr2.7979 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVALSAVLLLLCLVAVSSAEECDFFYLVQQWPGSFCDTKKGCCFPDTGKPATDFGIHGMWPNYAKCKTRGEVGAGALEMVTKRKKKCWPEFCNNGEPLKIAAITDLLKGLDANWPTLACKSGKSFEFWSYEWKKHGTCSGLDQHGYFSAALGFKAQHNLTAILAGAGIAPSDEKTYFLSSIRDAIKEGTGFTANLECNKGAAGETQLFQVYQCVDRAGKKLIDCPLPMTGNCKDRVQLPAF >cds.KYUSt_chr4.7422 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44129128:44129577:-1 gene:KYUSg_chr4.7422 transcript:KYUSt_chr4.7422 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTMAVSSPSKRGGLRGPRPQALKVSSSPPPSSAPSTKQSNAAGCGAAPVIVYEHTPKIVHARPDEFMTVVQRLTGKPPASSPAPPLLSYDVHVAPVEEGAGGGDHLLLTLGQHRAPPMSAGQHMSPGGFFFSPNTMQFIQELSPMF >cds.KYUSt_chr2.35335 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218116196:218123285:1 gene:KYUSg_chr2.35335 transcript:KYUSt_chr2.35335 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLPPLRRACSDSDAILDAMTTFQSPVFPIEEGYPQPAGETGDSLDAAVGSETGSGVEGSCVYGPNSPRQKSRLTSSESSMVNAVLENVPSNPLQYLTIDPSALHGNVANGTGDVYDDVNHLDQDKAFKRRSGQRSRVRKLQYIADLERTVDSLQNMGADLAVRVASHFQLRNALSMENKQLRGQIASLQQAKLIKDGQTLFLKKETERLKQISARHRRSRSVTSCFETDSFGADPSAINWQMIDMSQLSLNGSPVPVPPRGGYGL >cds.KYUSt_chr4.26817 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168450661:168454806:-1 gene:KYUSg_chr4.26817 transcript:KYUSt_chr4.26817 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHHRYIGLLMKALEATRWRQGSPVISTNRVRQLLHNGNDGIRGGGGETSHRLCAFSTCRKGVNATTLLPALSLSLPAWPPPVKPSLFSIATTLVEVAQELPSMFTKMPPYHAMTYSMLDAATGDEEEEEDDNDLEDLSNELDLEKSAEQKAIVKSYESLKKLRNDFHAQEEEFEVSQRLFVILANKGRYRLSCLLPPFAMLTLVGTREQFVQPKTCLRATMQLKAPTRPKLSSCFVPQRDCNQSCALIPGLPEDLAKICLALVPRSHFPVMGGVSKRWMSFLENKELVTVRKEVGKLEEYVYVLTADSGAKGSHWEVLEGQGQKNTPLPPMPGPTKAGFGVIVLDGKLIVVAGYDADHGKECVSDEVYKYDPFLNRWTALSKMNVARCDFACAEVDGVIYVAGGFGPSGDSLSSVEVYDPEQNKWMLIEGLRRPRWGCFGCSFEGKMYVMGGRSSFTIGNSRSIDVYNTNNHAWGEVKNGCVMVTAHAVLGEKLFCIEWKNQRSLAIFNPADNSWQKVPVPLTGSSSTRFSLGIHEGKLLLFSMEEEPGYQTLMYDPAAPTGSEWCTSKLKPSGLCLCSVTIKA >cds.KYUSt_chr2.13890 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87923433:87926100:1 gene:KYUSg_chr2.13890 transcript:KYUSt_chr2.13890 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGGRSEAIFINKLLAEDLVHEPGNQDWEAPLAGHGGEGESRGVLVRSTASFRPADLGGEEARSGGWSVFAPGSRSKFCDEYGVGRRRSMASFSLSRHGGVGSEGDGAAACFNLRWSLLKRCYFDELIHAKGNLASAIFCWQGGESSTSFMEAPLRSCCWSSTPPSHQVVRPRWFAGGQWRLFFAGRGLVPLMILLLLQWHLLLSTHTAKSAFFLLGLLLYCVTMSGAARILEETAPTKGEEHKPELPPLPKVELPPFPEVHLPPKPELPKVELPPFPEVHLPPKPELPKVELPTFPEVHLPPKTEMPKVELPPKPELPKVELPTFPEVHLPPKPEMPKVELPPKPELPTIPEFHFPEPEAKP >cds.KYUSt_chr5.16115 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103779938:103782546:-1 gene:KYUSg_chr5.16115 transcript:KYUSt_chr5.16115 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSREGGREELEEAEGWFPWKHDDFLQEQLSGAAGVSMHTKQGWKGVNQDAMAACQDAPSSNADLDSFDKSDDSTTFSDTSDESQLISSWKSLIVKAFEDVDEELRKHSGIDCICSGTTAVTVVRQGDHLIIANLGDSRAVLCTRDSKDRPVSVQLTTDLKPDLPGEAERILSCKGRVFAMDDEPDVPRLWLPDQDAPGLAMARAFGDFCLKNHGLICTPEVYYRKLSEKDDFLVLATDGIWDVLSNKEVVKIVSSASDRSKAAKQLVDRAVCAWRRKFPTSMVDDCAAICLFLKPAISSEEESTIKPSHASVLSFTGSFRKALSGGGEAEEGTVVWKALEGVARVNSVIRLPRMLSRRWRSGSMDENNTDQESSKTDH >cds.KYUSt_chr4.6431 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37903076:37905765:1 gene:KYUSg_chr4.6431 transcript:KYUSt_chr4.6431 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLLGEDGRGYELARRLEACGAWRAWLGDGAHASLAHHLASPSAWDAFLCPSSSPPPPRHLLQLQLRVRALLFDKASAALLPSARARASPAGPHSLSATYLQLHGDDIYFSLEDEQEDGTHHQMQSGTAFSPSRESSMLSQRHKRHDELPGSWYKQYAEKFRTWHGKFRSDDKDIPKRTSEGMSNYLKVCSVHKRKRAVFMDDQGPNMKLENGPSLQSKTAGDFSNLIDDAFIPEIRFPTDCVPESATPRESGISRSDNIEVHGVLDNLPAPVNRNTAMLERFGMMPEYYKTGNKYRGKNGSKLEGKSLSQEQALLITRKLVARYLAIAGFESGTAVSVDVFSEIIIKHINKLGHSLKLLTDSYRKQFSSMELLKMFLQTVGYR >cds.KYUSt_chr7.27637 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172535915:172537256:-1 gene:KYUSg_chr7.27637 transcript:KYUSt_chr7.27637 gene_biotype:protein_coding transcript_biotype:protein_coding LKSLVKIIQKVLELVVISIVGFRTTGTLIDQFLHRFFPLLISDEGAVLHTSMSLQNEESNYISFIIIYSKAIKTSLGYDQQTLNTLSFFKDVGANVGILPGLINEVTPPWVVLVCGGGINLVGYLMIYLSVTGRTRRARPSGSCASTSPSAPTSSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAIYGADNDGADLVLPEPIRVAGAFDRTP >cds.KYUSt_chr3.37700 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237202851:237205065:-1 gene:KYUSg_chr3.37700 transcript:KYUSt_chr3.37700 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMANKKPWCGAAAATTRLSPVSWGSRIAPSRARRHKKKRRGRGGGAVVAPTTGSSIYRGVCRNIGSGKYEAHLWDGHRRSTAQERKGRQGSYETEEAAARTYDLAALKYWGSDCGILNFPMETYDQERERMQRVTRKEYMAQLKRNSCGFARGVSKYRGVARHHQNDRWEARITCATVGGQCVYLGSFATEEEAARAYDLAAIQLRGFGAVTNFDVDYYMDPVQDTAARPRPPSAPLLLQPKDEPESAEPEAVAPPAPVLRDDVDDVDRAVAEVLEALCVDPADFNARYNLPRHRCWPSSEDDDVRDLPRDVGFEEDIDSVFFDDTPGTGTAPSQANVAVISCAAATISSSALGRCW >cds.KYUSt_chr3.6840 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39305104:39306858:1 gene:KYUSg_chr3.6840 transcript:KYUSt_chr3.6840 gene_biotype:protein_coding transcript_biotype:protein_coding MALDRDWKAIIEFLFHGMQNLPAALSRPLSSSPSASPHHVRFPPPFILSLPTCLWLLLLAGWPRRKDLRGRGRGRHVGPCHVRGCTGSSTWRQETGRRGFMEEGAESSVTMAPVEGGCEMEEMWQAATGLDEASEIRFSRVQSMTNRPGGRRVDFCAAINAIVSLACLDFQRRPTSSLPSPTPPTPLLPHFTTVRHSITIGKKKHDSEASGSCVKNDLWPNRVQILVDLAQLIHDNYTSCHRWGDVRLPDDGWRLN >cds.KYUSt_chr1.39126 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239207339:239207806:-1 gene:KYUSg_chr1.39126 transcript:KYUSt_chr1.39126 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEPLGKLIDVEGLEKPIDLEGGETSGEGSAQGEIPFDFGAQGLGATTPSPSASTNSKKRKRVLHDEDAIQVNNMSDALRDVAGAINNTCHTETHPDLCKTVMDLTNFDMDERLAVLDYLTEHKGKGLNFIKMEAAVRETSFKRIIEKNTDLV >cds.KYUSt_chr6.23780 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150280560:150286861:1 gene:KYUSg_chr6.23780 transcript:KYUSt_chr6.23780 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLDIAVALLPYWRSYLWRPPSLLDALISPAPFPVQMQGVRVLGNMRQNLYELDFNVVAMRLLPEWCYFFELKHARAIWASAIFCRQGGETTTSTAEAFFESAAGAWRLLFIKWCVPGELKVTGGFDSSSGMELLSILLWILGGDA >cds.KYUSt_chr1.15911 pep primary_assembly:MPB_Lper_Kyuss_1697:1:92492786:92495866:-1 gene:KYUSg_chr1.15911 transcript:KYUSt_chr1.15911 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPPVSPGGSVGYDCSFKILLIGDSAVGKSSLLLSFVAAAHLDDDIAPTIGVDFKIKFLTVGGKKLKLTIWDTAGQERFRTITSSYYRGAQGIILVYDVAKRESFTNLGDVWTKEIDLNSSNKDCIKMLVGNKVDKDDERTVTREEGLAFAQESGCLFLESSAKTRENVENCFEELVLKILEVPSLLEEGSSSVVKRNILKQQQESHAKYGGKCCQ >cds.KYUSt_chr5.8749 pep primary_assembly:MPB_Lper_Kyuss_1697:5:55400002:55400889:-1 gene:KYUSg_chr5.8749 transcript:KYUSt_chr5.8749 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSWSRARVYGGQIRSSLGFLSLLLLLLWSALAVEKETTVSFNKVLAVYRIKVLEILDVELLLAGRGGEGEGCWVEDIGSVELLLDGRGDEREKLYRISSSTSTVWRSGGFGDSRFRGGSLLSRHRGGGGGEVETRDVRVCPLRPQQGSMLASLRRFLTAPTSPYLMAEGRSLHLWRISTALLSPSFMAVGRPHLPGTALARRLQDFFNLQSSSWRLKSIVGVSSRLHAPSGFVPGGVEVGSGEFVGGGFGAVLDRVFSRRSKGFSATSLDPFVIFLFFKTLCVIVTPPLIMKL >cds.KYUSt_chr5.1499 pep primary_assembly:MPB_Lper_Kyuss_1697:5:10178444:10187661:-1 gene:KYUSg_chr5.1499 transcript:KYUSt_chr5.1499 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMYFDDVMPSWSATGITADDLYGDKVETIPCNFKSLDHYLISDHVPLIEETRSDLCSCLELIMETPSPNILSMESGSYFMHVDFWDNEAGFSTGAYAARNADIFIFSSMKPEAADHGLTYCLAMVTEASVDDEYQKGFRLKVAKDIGFQIETIPCNLKSLDHYLSYRVPLIEETSLFPNAQFYEKKSLDGPNVLCPSYSKDYTCLPFGTYTFINVSDGRADKKGTGNSRRNMVEVAVVSHLIHTIFKSWKRTGQGLSISVVSPYDAQVDAIKSRLGKKYDTCDGFRVRVKSIDGFQGEGVIIIASTVRFDGRPVGFLADNQRTNVALTRARHCLWIVGNAYAMYKSGTKWTNIVTDAERRKCDCSATNDATIYKFVLQVKQELDELGLLNTESLDLNSTKWKPTVKLIMGESGKRYVSNANAKSESSAIDEGYGNDFLLSWKVPKSGKDTIDFDVESVPKSISMFTFNIAELPSAPSSTSGSAEPNNATFKVVIEFLNLYFEFPVDGNCMIRSVASLALSSKGITFVDGYFSCQLEYFSGDEIIKSTTITTGKELDAALYHKWESLLVNLQSVIIRVLTDNEPAPEKVPLVPVQSAQQVHFSPSSEIDLREIIGLV >cds.KYUSt_chr5.37466 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236847279:236848644:1 gene:KYUSg_chr5.37466 transcript:KYUSt_chr5.37466 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHRLSRLLLGWPDFWNVFIAGCVKPLPSDHSICTPREAARPSVTGTTAIQLAMCIKVGDLAGGVVELGSGVTGFKQGDKVISIGFPSGGGLAEYAVMPAALTVARPPEVSAVDGACLPAAASSALQLLKATGVSFDDSTSNATGLKNVLVTAASGGVGHYVVQLAKLVGLHVTATCGARNVAFVQGLGADEVLDYKTRS >cds.KYUSt_chr2.16977 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106918088:106924701:-1 gene:KYUSg_chr2.16977 transcript:KYUSt_chr2.16977 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQAGARGPPEPQAETLVEPARVNGSTAEATLSNTELAWRPTGAVRDGGERRKLELESDVLGFQVEGRALKVATFARGDDARPPSPLGCGGGGGERKRGELVVEMESEEAAQRWGEAIGDCFAALGRPKRLLIIVNPYGGNKGGRKVFQTEVLPLIEAAGIHYIMQETTHRLHAQEIAHSLDLQKYDGIICVSGDGILVEVVNGLLQRDDWSTAIKVPVGIIPAGTGNGMVQALLHSAGEPFSMSNAVFAIIRGHKRALDVTSVVQGKTRFFSVMMLTWGLVADIDIESEKFRWMGSARIDFYSLLRVVNLRKYNGRILFVPAPGYERFGDPVEQTTSCKSDGASNTMEGDTSNVCNDVSCTYSGPSVDEAVLKWRSLNGPFVNAWISNIAFASEGVMIAPQAQFADGYLDAAIIKDCPRSVALGLLLRMKDGSYIESPYVEYFKVKALRIEPGLRVGSNTIGGIIDSDDMDSDDEMLVRLLEDEQAFDDEIREHLLIIASLQNMLDAEAEKRKRPRRGGSRPGRKKLKPRPRMEGHIMLHNDYFADDTTQVDNFRHRYRMSKGLFMNILHYVREFDPYFKLKHDAVGIAGFSTIQKCNTTMRMLAYGGPAYTQDDYLRMSEFTVIECMYKFCGAVVGKFGK >cds.KYUSt_chr5.41471 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261645012:261645470:-1 gene:KYUSg_chr5.41471 transcript:KYUSt_chr5.41471 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAKKLAQLAKKCQRMLVPGAGVRRQQALDPEDECCSTTSSVVADEGHCVVYSADGARFKLPLAYLGTTVFAELLRMSEEEFGFMSGGNGGRITLPFDATMMDYVLCLVRRDASEEVEKAFLSSIAGHCCSYNSSCMVPSMEISHELALCT >cds.KYUSt_chr4.625 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3335130:3337471:-1 gene:KYUSg_chr4.625 transcript:KYUSt_chr4.625 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKLIMAKCGGLPQVISVIAQEISKTDNEYALATLLGGICDYFMGMLEIHPRFNELKDLFSWMQSYFDACSDSLKPCIFYLSVFSADKRIRRRRLLRRWIAEGYSRDTSGGGTAEENGEKLFADLVESSIIQLTQTPSSNDKVDDDVYQVNGFFREYIISRPMEDNLVFALEGCCSINSQRAGQHLTIRNCWDGDEIVFKSIDFTRLRSLTVSGAWRSFFISNDINMELLRVLDLEDTDSGRLSPDEDDCISTSSEDSLSSEEDGVGTVAGVATTQVDNDSLMRIEQPPPASTGEDETSRSQLTKSDGDCTGEKQSGTSNGEDTSITQSVLAADGEGTSRSQPPPAGDDGMSINYDDTSRIPQANDDYPRKCRSKARNAVVSYPCSWWSKKKLCASQQIDVNFGVEAPAAGIGKLTALQTFGVVNVGGARGKSILKELKKLTQLRKLGVCGINRENWQDLCCNILGHGHLKSLSVHLDKDDDGATFFSSTGAMFSSLPKNLKSLKLYSGDGHGNVLVPSVWIKQFDNLRNLTKQNLGLRISTQDDIDSFAEFPNQVMFRHICVKPTQDCELRYYTKYRLGWQGSESLVLKIDCGIYKLEIAFGFWIAKHVEVLVVHCSSTESSLKLSGLEYMRSLKEVVLKGSYSEAVKQHLQQQVDQHEGKPVLKLEDGESHQSREPKDPAAPCACCHTCASCCFNGIASTCK >cds.KYUSt_chr1.31597 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191571889:191579076:-1 gene:KYUSg_chr1.31597 transcript:KYUSt_chr1.31597 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRISASPRPCNGRRVVARKRPRHEAPVSSVRKLQRREISSRRDRSFAMNSAHERFRNIQLQEEFDTHDPKENSSLLPYVRKRSKIIEIVAAKDIVFALSQSGVCAAFSRVTNKRICFLNGCPDEVVRSLFYNKNNDSLITVSVYGSENFSALRCKTTRIEYIRRGKPDAGYPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSISDKNVQEIKISPGIMLLIYTRTSSSIPLKILSIEDGTVLKFFNHLLHRNKKVDFIEQFNEKLLVKQDGENLQILDVRNFQLTEVSSTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSSDSSSEENAGSINISNILTGKCLAKIKAGDFSKQKKTWKFQSTITEALEDITALYYDEERDEIYTEIDVSRKNLTINVQGMGIPAGTKLCPCPAHDLTGRENTSGPMLTEDEEEHHRGDRPLLPVYAQEKQEAKPSPLPVKSGSMRSKSRIEEEASGSCSVRSLSFSKLFSFRVTTSTTAMDIDHLAAAEDACAEQLKQQQLKPVCRSQSMPMTSIRRFPQSHRKRVADSSSLTRFRVSSMPVPALESSQSDSAEAQEGAEGSKQEDEEEVGEEEAVCRICMVALGEGGNVLKLECRCKGELALAHRDCALKWFSIKGNANCDVCGHDVLNLPVTLRRVRNNAPPPLPPSPASAVATPPGSGSGFMGFWRHRTAILVVVSMLAYFCFLEQLLVDDHGTAALAISLPFAGVLGLFSSLTTSKMVSSRRYVWIYSAVQFLFVVLFTHLFYRYVRLQAVIAIILSTFAGFGVAICANAVLLQIIRWRARRVPTSPSDLQMAQP >cds.KYUSt_chr4.38438 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237045966:237046193:-1 gene:KYUSg_chr4.38438 transcript:KYUSt_chr4.38438 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPESCDTVLHYDKTGTLLGSFECFIMGLRITPHTLKESLVIHDFLHTQRDGGAGEWFSQVEFADGLPVTGMPF >cds.KYUSt_chr4.50202 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311050983:311051332:-1 gene:KYUSg_chr4.50202 transcript:KYUSt_chr4.50202 gene_biotype:protein_coding transcript_biotype:protein_coding LTPFGIKIFCFQLAPKQKIRIKLRSYWVPLIEDSCKQIIEAAKTTNAKTMGPVPLPTKKKIYCVLQSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVEVKL >cds.KYUSt_chr1.4882 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30064119:30064451:-1 gene:KYUSg_chr1.4882 transcript:KYUSt_chr1.4882 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPCQQLAPAMLRPTSTRDAVLSRPYLATQAPADRPQQTFDPGGRRLAPPCGVIHPRPPAAPLGEACSPVVPASVETGQRSVVSEAGHGGWDGQKRDDWDVGGENLIR >cds.KYUSt_chr2.45115 pep primary_assembly:MPB_Lper_Kyuss_1697:2:281203855:281204064:-1 gene:KYUSg_chr2.45115 transcript:KYUSt_chr2.45115 gene_biotype:protein_coding transcript_biotype:protein_coding MLILELGACIIPATLILVPVRRLVLLVARLQELEQCIMRPRPLSSEMPRQMSPEMWGRMSSLHTMSIMV >cds.KYUSt_contig_959.51 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000088.1:312651:314352:1 gene:KYUSg_contig_959.51 transcript:KYUSt_contig_959.51 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGLNDPGPNQAQLRSPSEVGTLPQLILSFLPKSPNQIEIKVLTQNQPTAPRGDGMDEEGQAAHLPEDVLAAVLRRVPPRWLAASRCVCRAWRDAVDGRRLLRADLLPLSLSGLFVHFDEHKYPEFLARPSSSSSSAAGSRAVSGDLSFLPSASPHCGHIWHEGCVDFEDYNIKDHCNGLLLLHNNCVVNPATRRWNTLPTCPAKDGIGPVMHRAHLVYDPMVSPHYEVFQIPTLYRYYSGDDEIYLSMEQSEWPPSLCKIISLSNNTYSVIKPPVDHTVEDRLDINIGRSKKGLYIVASDMRWPRDKYLLRVWILNESCGQVEWMLKHYIDLKHVLAGHHFYGRDQWILEDINYNLFRSSGSQEDSKKATTKENTEWNSDEDDNALNYVGMIEDYYSDEDHYGDSRNADLRILGFHPYKEILFLSASERICLAYHLNGSKIEDLGNIYPKEYIYFKDLANEHEKIQSFPYTPCWMEEFPGNN >cds.KYUSt_chr2.40761 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253272126:253272353:1 gene:KYUSg_chr2.40761 transcript:KYUSt_chr2.40761 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRCRSPPTIRSASRNRPSLRRRTSAPADLPPPPLSRYHSVRQREWRTSVAEITDQNTDGGGGGKDDDDLNL >cds.KYUSt_chr2.39018 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241924833:241931190:-1 gene:KYUSg_chr2.39018 transcript:KYUSt_chr2.39018 gene_biotype:protein_coding transcript_biotype:protein_coding MKRASANPGGGFRRGGGSGWEGPNGGWKGRAPAPPVVRWSHAEAMKKKPKIGAEAAAAAAGDGGGDSKVEGEMYDWRWTEAVSPEILALVLRGRLPADEVARGPAAVCRAWREAAASPDMWGDVDVEAWCRRVNSRVKADAVVRRVVARAQGTLRRLSAYRVGDAALAYLASSGKLLNVLQIPMSEISDQAVEKYAECFPALSVLDISNCLKITSRGIEAIGRHCKLLVHLKRNMPPPPPPQGNNASPIVVEDEALAVANTMPMLKRLELPYGLFSDIGLDAILTKCPLLCTLDILGSLNVRLDGDIEDRCCALESFREPWEPEYAEYSSSGGDYDLRLLQSLPIVTGSPGPLREVRRRPPLVSTGEREEDGGGGAMVMWVFGYGSLVWNPGFAYDARLVGFVRDYRRVFYQGSTDHRGTPEFPGRTVTLEHQPGSTCWGVAYKISKEQDKETALEYLEVREKQYDEKVYLDLYTLVQRSGSEILPILRSTDLAAASSSPAPLPGGGGGSTRPALSSRPRIAAPQPPLLPDLGPGLGPGPATAPASATAAVAAPLPSNDEGEERVSTVALHGR >cds.KYUSt_chr4.35047 pep primary_assembly:MPB_Lper_Kyuss_1697:4:215302331:215303467:1 gene:KYUSg_chr4.35047 transcript:KYUSt_chr4.35047 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDLISALRAGGRKLLSASTVAPRQESESHLFQIEHYTQVKKMLANCELVESSTFTVGGHDWQIECYPNGCTKRPSGWISLFLRRTSSGKEGVAAATIKFSVLDQYGNPSRTKTSPQRRFSSGDDWGWPDFMTNEDLETEKLLKDDFLLVLCDLTVDLGLRTEDCMYTFVAVPEPAGEAPAPPFELEDELTKAIWEKQWADVEIKVAGETLAAHRWMLEARSPVFKEDLSLAFATGGKRTAELQIEDMDVEVVRTLIEFIYKNRLPEKKRLLETAAMAERLLVAADRYMLEKLKRICEEAMCKHIGMSSVADTLALAERHGCPVLKEACMQFISSPGNLVAVVATDGFEQLKTGCPPALVDLMAKQIALVDLGRTI >cds.KYUSt_chr4.43848 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271856434:271865822:1 gene:KYUSg_chr4.43848 transcript:KYUSt_chr4.43848 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKAPRCVPHDNNIRSRAIDQFSYRSRDIFAAAARPQAATVEPSSSPASTPSQSAGLRRSFAQVVVGVSSSVEMAGAARPPASPGVIATGLEPRFKPADATLEASSGGPQGRWAWFGRAVMAVQKAPRCVPHDNNIRSRAVGRRSVVVLPTSEDASLPHHLDAGQRTFRVNGPVEPVIPPPRAEGQADGSEGASSSTPFSPAMGDSPGAAVIQGATSPSTPISTTASPSPARSPPPTTSPSPVSTMQQPPPTVPPPVVQPTVRRSGRYALAADGAGATDEDTMQRAMRRKAEKNLDTAAVVDDEEEDDILDGQLLSAIIGLFGPPEESTFSLNEDLTDDIPQVSMEENDLLTAPYTEEEVIYRCKGILRSWLPLQRVENRDLFTEIMDQNVESTIVEDFDNQPLIKAKGSASSGLAGKSFGPHSQFIDVKEQRRKRDRERAVDVSNLHQAECSASKATPETRFWKDAVQYEHEVEQSKEKTTFQNQMPDTPRAPASAQTNLDQGKGNDELLEARKKLIDASKQITHLQAEMHTVKSKMQVFEARCNLFDTRSNQLEEPSTEIEAKSKMLDTRSNQLEARFMEVQAKKLDELARQYDYDRRNLERDKEKLHQEMHAMESLNQALISKEAKSNDELQHVRKQLVNFTERLSQLQDEMHAMETLNQALAAKERNSSEELQCIKENQEALDLLNNVLTTKEIRSNNELQDVRKQLIDGLRLQKFTNGRANIGVKRMGELDTKEILCEDDDKLRKLKEHGEEIYTSVTKALLEIND >cds.KYUSt_scaffold_869.439 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:2828718:2831991:1 gene:KYUSg_scaffold_869.439 transcript:KYUSt_scaffold_869.439 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMAATTPARSNAEGTPKAPPSSFHPSLWGDFFLTYQPPTAPKHACMREKSDVSTEEVRKIVKSTNELPKMLDLIITLQRLGLDIYYENEINELLHIIYNSDIRGEDLHFVSLRFYLLRKNGYDVSADVFLGFKDDEGNFVVNNTRSILSLYDAAYLRTHGEKVLDEAIKFTTSHLEGVLHQLSPPPNEVYLALEAPLFRRARIVEMRNYIPIYENDPTKNESILEFAKLNFNLLQLLYCEELNNITLWWKELHDESKLGFSRDRIVEMYFWMNGACYEPQYSHSRIILTKMTAFMTILDDIFDTYGTTEESMQLAEAINRWDESATELLPSYIKSFYLYLLKTFRSFEDELGPGKRHHVLYLKEALTRLVQAYTKELKWRDENYVPKTLDEHLEVSARSSGGFTLTSASLFAGVGDIVSNDTFEWVLSYPQLFKSFDMFVRFSNDIVSSQREQTGDHYVSTIQCYMEEHGATIHDAREKIKELVENSWKDMVRYCVEPTKQQPLVVPRTVVNFARTVNNMYKHGDAFTSSHDIKGMITLLYVEPVC >cds.KYUSt_chr1.40109 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245632801:245633679:-1 gene:KYUSg_chr1.40109 transcript:KYUSt_chr1.40109 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKKNQQTPPAAATADPKFEWAEKAGSYVLRLPLPGFRKQDFRVQIDGTGRLTVRGTRTGATGRPCSLLKVFQLPSAASLDDVAGRFEAGVLTLTVPKRAGGAGVAKEEENKLAGSDREAKEEISKEDVFNKSVDEATNKTQQNKQQQEEEKHSRRNKEQDKPAPTPVKKEEDVKPAPVPEAANRTDKANGTIAPEGLAERVRRRSEEEHANDATMGAAKRPKIDGEKKATAACGGWKERMAVDLKVLTDMKWADGVVDAARKNKEVVAVGIAAFALVGLLVSQKLFRK >cds.KYUSt_chr4.3079 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17654963:17659226:1 gene:KYUSg_chr4.3079 transcript:KYUSt_chr4.3079 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGNLDPADGEGLLQRASGGNRAAANMPLTKHLRVAIIDSNPALKSRGYLKKDGVPDSRVSTVTPATISFFRDIGAWEHILQQRHAFFGKMQVWDYTGLGYTRYNARDVCKEYLGCVVENKVLCNSLLLRLQEQKEDIEKMIYPTRLASLAFPSKSRQGTVGLKSPSTEADTSHTSEALRRSNLVKLDLSDGHTLYSKLVIGADGSKSNIRQTAGIKTSGWSYPQSAIICTVEHIAENDCAWQRFLPSGPIALLPIGGNFSNIVWTMSPEEASLHKSTSPEEFLKSVNHALDFGYGPHPNSSSLDRYMERLFSGIGDTAASTQECFEVPPKAIGLISDRMAFPLSLMHSHDYVSKGLALVGDAAHTVHPLAGQGVNLGFGDAAALANVISEGVSVGADIGDLSLLCRYEKDRKAANVAMAAVLDGFQKMYSVDFGPLNVLRAAAFHGAQFISPLKRNIISYAMGDRKSPLFS >cds.KYUSt_chr6.31556 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199442930:199445026:1 gene:KYUSg_chr6.31556 transcript:KYUSt_chr6.31556 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCGRGDPVEDFLIGGAGDDGEDLAAFCDGGLGIEDVSGDACGLEHSNLGKRGRDEPSSSGPKSKACREKMRRDKLNDRFLELCAVMNSGQHGSAEVCSASKQAKLDKASILSDAARMLTQLRGETEKLKESNENLREAIKDLKVEKNELRDEKVSLKAEKEKLEQQIKAASVAPTGFIPHMPHPAAFHPAAFPPFAPPYQVPTNKGAPMPAAFPGMAMWHWLPPTAVDTTQDPKLWPPNA >cds.KYUSt_chr3.9530 pep primary_assembly:MPB_Lper_Kyuss_1697:3:55992807:55993421:1 gene:KYUSg_chr3.9530 transcript:KYUSt_chr3.9530 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGFNSSHEAYYYQAADPLVAAAAAAHSAAPLSFPELGAVRPSDYSPALSPGYFAAAGSEMSSFPTSYYYYNGAGAYDGGAARGVSGRSPAGRIGFRTRSEVEVMDDGFRWRKYGKKAVKSSPNLRNYYRCSVEGCGVKKRVERDRDDPRYVITSYDGVHNHPTPGSHGASREAAYSAPAPPTWTWSDLHAAAAHSSESSY >cds.KYUSt_chr4.16807 pep primary_assembly:MPB_Lper_Kyuss_1697:4:104475390:104485490:-1 gene:KYUSg_chr4.16807 transcript:KYUSt_chr4.16807 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEYEEGMEMDMGGHQQLHHRGYGAEEDGEGGYGGGGGGDGDDEDAEEARDEDEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVVKKGHDCEEVTETAEYPKVFIGKVPIMLRSSYCTLYQQSEKDLTELGECPYDQGGYFVINGSEKVLIAQEKMSTNHVYVFKKRQPNKFAYVGEVRSMAENQNRPASSMFVRMLSRAGAKGGTSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFADTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLMVALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPQAAKIFVNGCWVGIHRNPDLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVESQRLLIKKKHIRALQQRETPDEGWHDLVAKGFIEYIDTEEEETTMISMTITELLGARNNPEEAYLATYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQATRYSKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMSMAIAPRMLTHEIKPGQEKKRALQLAPLILLVAAASPSQILSSPLKKMSSSRKIAAANGFGRGSLTVAEAWALYRARYPVPPDMRLPSSGGWRMAVNGIGVPPPPKPRTDQWWDAVMARRAQLTAEERRDPTWAVENNDAWWTTYFKAKYDVEMHSTDGLVGGPNSWNKDGRACCGAFRAHPRERHPRHPQRRSAAGDAVVAAAVSSMAAEEDDVLVLLALFFLGTGAIDAVLVVPVGALHRPQTGGQGGAGDARQHKAWRQRQRAAAREARRRPPHPEAGGEGGAGGSVAAAARWRSTSGGSGSSPAADDPEDCPGLRAAFMASMDDKDAWRGDLDAAIAMSILDSGKPLVDLTDDGEAGPSGLVKDEPVDEPVDERGKQEVVTDEMYNFQQYYDASGRRKRF >cds.KYUSt_chr4.24840 pep primary_assembly:MPB_Lper_Kyuss_1697:4:156338780:156339899:-1 gene:KYUSg_chr4.24840 transcript:KYUSt_chr4.24840 gene_biotype:protein_coding transcript_biotype:protein_coding GFSMVPLYYRDKIVLVSFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDAEPLMYEDSPPSSKELADHLNNCEPVNSVARHSLATTVESSSGRKSIPDSLLQNSNLGSSSLRRQFRQEEECSLAQKLQKPIDDDKYKDVDDCSELPSFANQKQRSDTYHSPDADAKAKRLGRSSSDINHQHDTKIANLARRNMALEEKVSAAMASKDEAEKNLSLVIDSKEELEKRLAERDREVEMLKEKVIGLELAQEDSNSASNTVHADNVRLEREVAFLKAVMDENQKARNYPYNLSICWRFRCSNDPVFFLNRSCTRPVEF >cds.KYUSt_chr7.33511 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209018824:209024649:1 gene:KYUSg_chr7.33511 transcript:KYUSt_chr7.33511 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLIGDSSGDEDGDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEENRNWHFGISSIGRASGFHDPESAVGDREIQRYGDGRAADAVDAACNRVLHLSLERLVGSLRLVGDGADVYAGASELEIEPVAGFGGEELLRSVLVLGVAGDVPPVELLALLALEGAEAAELLVALALVELAEVVTAVPFARVPWVGVRERCDGASERCCPLLLGGWYEPVFSTRRWQMRVISIETMYTIDELP >cds.KYUSt_chr7.5853 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35032358:35044415:-1 gene:KYUSg_chr7.5853 transcript:KYUSt_chr7.5853 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACLGKKGGATEGAAATSWAAAWMSAWPQIWALSATVLLPHVLQSVSVQEETGDDDDEIGNSSSKTKEKLMIHLGLNQIPDFHIMKRWKKSSRDILGPSVEGPKEMELSLPKSFRHNIMLGDSGYEADDEVSIGYDGHGDGEQQELVDGDGNEEILLLVPREVAASTNKEIESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLNPQELKDPYLPAELGTANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTLQPPCQELFAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPCEFVIPLSKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPTPFPLRLKRPWPTGLPSLHGGKEDDLTSSLMWLRDSANPGFQSLNFGGAGISPWMQPRVDTSLLGLQPDIYQTMAAAAFQDPTKMSPTMLQFQQPQNMVGRATPLLQSQILQQMQPQFQQHPYLQNINGTTIQGQAQSEFLQQQLQRCQSFNEQKPQLQQQQEQQQQQQQQSQGMQVPQHQDMQQQKNMANYQSAFSQLSSAPQSSPTTLQAVLPFSQPQNFSDTNISSLSPSSASAMHNTTGPFSSVAAPHLSMPRPTAVPVLDPWSSKRVAMESLLPSRPQGTPHAEQLDSTPPSIPQSSALAPLPGRGCLVDQDGNSDPQNHLLFGVNIDSHSLLMQGGLHDENDSTAIPYSTSNFLSPSQHDFSLDQTLNSPGCLDESGYVTCSQNGDQANQPPATFVKVYKSGTYGRSLDITRFSNYHELRRELGRLFGLEGQLEDPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKQGLELLSSAPGKRLGSSSCDDYTSRQESRSLSAGIASVGSVEVEF >cds.KYUSt_chr3.47794 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299501760:299502842:1 gene:KYUSg_chr3.47794 transcript:KYUSt_chr3.47794 gene_biotype:protein_coding transcript_biotype:protein_coding MARQHIVVLLAALVAFCALAAAVDGAGVKGSGTPPRTPPNTKMIRPGKKNQVSSCDNTKDHIKPCNATCPNRCADECFVQCSPDEALNCKTFCLCDFYGVCGDPRFMGADGNDFYFHGKKDQDFCIISDTDLHINTHFIGKTNPTMTRDFTWIQALGILFADHRLYMGAQKTIKWDDNVDRLELTFDGMPIEIPAEVDGHWQSTEVPALTIRRTSTANGLRVQLKGVFDIIAKVVPITEKDSLIHNYGVTEDDCLAHFDLGFRFYDLTDDVHGVLGQTYRTDYVNKLSVSANMPVMGGASTYVSSDIFATDCKVARFGRHAGISMVTGQAN >cds.KYUSt_chr5.40836 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257792868:257794082:-1 gene:KYUSg_chr5.40836 transcript:KYUSt_chr5.40836 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSHMPSPARLEMTVSSPSLPPNQSPANPTSSPPQANPSSSAAAVTSGAAAPTLTTSASLLPLLPPLPRAQSLLHLMSAHASNLFEVSPNRAGWISSYRGSLPNFLASSSDSAAPPVSTTKEAMSMLTSLQTQLFEAVAELKETLDLQDARARLAREARAKDAVLLTFAKKLRDAHHVLDCLVDDYADYRRDAKRPRGAAAVDASTEEFRASLNSKLKLDDILTYAHRISYTTFAPPEHGAGLPLRGALPPAPQDNEMRMSQLYQFADLDVGVPKKLMESKEGGTAKTDSAPLFVPPPDEPRPSMLPITVQAGWPKGLPKDLLPEIPAPPPGWKPGDPIELLLGGILPGVKPEEPRPVWVQPMVPMGQGPPIMVAPVSLDINSSTSSDEYSSDVGSSDEDDED >cds.KYUSt_chr4.33979 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208559314:208565835:-1 gene:KYUSg_chr4.33979 transcript:KYUSt_chr4.33979 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDDDGAANNGFPRRSFHAWEGHLLHQAGYPCPPDTRPPGSGWRLSRGGVPIPPPPRGHALDVAIEEALMTMTDEERADLRHHPDNYTRWNSYFLRRWERELAAYDSPPPPPLRNNAAGRRRWWSAPERTLANVLAHIEGGNFPVLTMPLHRGHRRAAAGETSGSHGAWLPARRLPDRRRGHPWRRGALVIRDQPSSPQRGQKRKAAKKEDAAAATNAAANRLAEEEAKRAEDAAVAEAIAGSLKDLVPTDNALPEDAATEWSRRGREREEAEQRAAADGPGRRTPTRRPRRSNRRRRRRALPPSCDTSIRRRRPFVDLEASDDEWYKPTPLAPRPIGFVIVDYKYSLRGLAPGSADYRVKLSEVHLRSAKKLLKLCEVNRGFYVKAGQFVSSLRQVPKEYVSTLSCLQDQAIPCKFQDIKIVIEQAFGKDLHDIFLDFDEHPIAAASIAQVHRGRLNNNQEVAVKVQYPGLEQRMKLDIMTMSLLSKSVSLIFPDYRFEKILLEFERTMSMELDFTKEASNSKRTASCFRKNNVVKVPHVFEELTTKEILTMEFCYGHKVND >cds.KYUSt_chr4.49200 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304685957:304690185:-1 gene:KYUSg_chr4.49200 transcript:KYUSt_chr4.49200 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFSLVALIDYFTRRDFLAAGLRPHSVTLPYPYDGGDGKSSSTCTVHYWAPPGEPKLPPLLLIHGFGPWATWQWRCQVGPLSRQFHIIVPDLLGFGGSSWDCPTVPPPSEATQAAALAALLDSVEGLEGKRVAVAGTSYGGFVAYWLARAAGPGRVGPVVLASSNVLKTGADDREVLKRAGEEWGGVDDLLLPAQPAAMRRLMQMVAHRPPPAIMSLDFVLRDFIQKLYTNREQLSHVYKGVTVGTDKFQVTPLSQEVLIVWGEDDQLFPVEKAFAVQSALGGKARVEIMKETGHTPQTEDPARFNDIVLDFLLAADKHTKPSINGSSL >cds.KYUSt_chr3.15484 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94810855:94814423:1 gene:KYUSg_chr3.15484 transcript:KYUSt_chr3.15484 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRKGGFKKKIKAVAELVGLSSGSSSQTRPPSAPPSPPPRRKNAQPRRLRTPSPSPPPAEDDDEEQWGGEDEEDGEEHGGQDEEAGGEDGGEDGGEDSGEDGGEDGGEDGGEDLEEDEGLGGMPQELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKYGNMQERVVRHFWKYFKRAEGKEIACDVILHELCRHPPRVRREDRACFLAMVIWWTSREYARKHEEGKRSVREMGGGSHVLGSKNLALTLQDEEVKTGVAPNLFGFFQKSKTRKEPHPETGSLWVNDLAEGQCGAYRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIARRAEMEERERRHQEEQMQMQQQLRENMQMQQQMLQQMQQQQQMFQQMFMNQTVLTSPPGSSGPSTSCPPMFPHFEVEKDKEVEMDKEVEKDKEEAKVDVACGLMGKQENSGKICTIFAVHMHTAKATAHGKGTLCRAHAHGKGCPRCPPDVAVPTFAVHGGREAHGKAKSLPCELERKRTAKKLARQRGQTHGKGIRTATRPQLTATLRRSAKPLPCDFRATHGKDPFAGRIFAEQSLPCTAARQRLCRPICPFAVRLGCTAKPCFAVVQHSMVF >cds.KYUSt_chr6.10277 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63270209:63272987:1 gene:KYUSg_chr6.10277 transcript:KYUSt_chr6.10277 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCVRNSAGEEPAHDFLSLRAGGSSPFQRRLHSAQQVLKPLEPAKQRDNDGMEVAGDMAVVTAADLVEHVLPGGVGTFSIRQVTDAQLREASVAASMVEIAHAAGSGATGRGAPSTMWQDSGIDKRSRVSRAEGRSSGSSGDQEPNSPRLDVLRELLPNCNQKRDKASFLLEVIEYIRHLQERCHKYESAVPDKNHVDAKSMPWDKVYYRSRWMNAQNISQVQGGGLSTTGEHMNKEQHSSKSITAAPAPLFSTQSLAMCWLLYSITFESSMPSNQPPWLSMSTMNQNCDASNRTLSKHEPPSHHNNSQSLSSAYSQGLLYKLKEALQKSGVDPSQANISVEINMDRRTRANAHADDNSKANEGKEPIHIAKRLRCD >cds.KYUSt_chr2.42837 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266760581:266761669:-1 gene:KYUSg_chr2.42837 transcript:KYUSt_chr2.42837 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRPTKRLESRCTAATERATLAFEIVGYSLHKGMGVGKFIQSTPVSVGGYEWCIRYYPDGEKNAEKKDCVSVFLQLLSKGTKVRALYDFRLVNLASGLSSSVVSCLESPKVFNPLDTTFAWGSCNFKKWSELEQSAYLQHDRLVIECDLTVIKEPIVAETAITTKLQTPPSDLSDNFGKLLETAEEADVTFDVKGEVFPAHKIVLATRSPVFKAELYGPMRDKQRLNITVEDIQPAVFKALLHFIYTDSLPPMDSLHGDDNKDMVKHLLVAADRYAMERMKIECEAILCKSLDVETVATTLALADQHHCSGLRDACAEFIVSSNRMDDVLASQAYAHLKRSCPAVVVDVLERATKSRKI >cds.KYUSt_chr2.51601 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322395712:322399191:1 gene:KYUSg_chr2.51601 transcript:KYUSt_chr2.51601 gene_biotype:protein_coding transcript_biotype:protein_coding MAASADAAAAAAARTLRWAGRAGHLGGVPRAAVIGAIGTVAKAYMALLNTTTVHNADALHRVVKSRPPGTPLLTVSNHMSTIDDPFMWGFKGFPITDAKLARWVLTAEDICFRNAFMSYMFRVGKCVPITRGAGIYQEHMNEALEVLSTGSWVMPEKSFFGRRPPLPLCGKEIQIIVGEPVEFDLPSLKQEAATIPQDTSFERKGWPTITPEGLDEAAQRWLYQKMSDKIQSVMEGLRKTLLNQKQH >cds.KYUSt_chr4.51769 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321289890:321291066:1 gene:KYUSg_chr4.51769 transcript:KYUSt_chr4.51769 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASLEGFPYRGSRFAGENPRVWRDQCLDFFRVFNISPTLWHTTATLHLDGNAAVWLQSYKQRHNISGWPQFISAVEAEFGADDQRRSTKALLNLKQTGSVQEYILEFQALMYKVLMFNPHYDEQLFISQFVKGLKVELRGAVESQVPATLERAFLIARVQQEVFEDTKARAPRAFARAEPVAARGDGPRQPGKAVTGD >cds.KYUSt_contig_686-1.1166 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:7257360:7261271:-1 gene:KYUSg_contig_686-1.1166 transcript:KYUSt_contig_686-1.1166 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASHQPKAAPVVLGCGAVGADYLATVASFPNPDDKIRSLALKVEGGGNAGNALTGAARLGLNPRIISKVANDALGRNILKELRDDGVDTSYMTVAEEGNSPFTYIIVDNQTKTRTCIHTPGYPPMTPEELTNENLFAALHGVDMVYFDVRLHETALLVAEEASQRKIPILIDAEKKRDGLDELLNFASYVVCSAKFPQAWTGASSTPVALVHMLLRLPNIKFVIVTRGEKGCLMLERSMTDASETEETDVEDLLESLEQKVDLSSSLPKCIASKSNLRISADGVGSMSGRLLLGTAEAIPSEELIDTTGAGDAFIGAVLYGLCTSMPIEKMLPFAAQVAACSCRALGARAGLPHLTDPRLAM >cds.KYUSt_chr1.2554 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15230929:15235728:-1 gene:KYUSg_chr1.2554 transcript:KYUSt_chr1.2554 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLALNSSGRRLKSWLDEWFQCSGCRGDTGELNLKLRRGGIFSLLETGIGKSTLIDEVYANTMHKSEESSGWEIERRNWVDVPEPFDLDVFAMRLLLNFRLGDFHNKEIAAVGMMEDPGIVQGCCKILCESDCLIVINGLRSMDDWDLIKSAFLSKPTKSCVLVITNSESVARHCADDTLQVLNGKDFEAGVAFDRIKKGYSYYGTEGKINCRFSSTRREEARQWTDNYSRHLWSELDIETDSSIQESFYFPGVNIVCGNPASITKSAIVRQAYYRHIGVTWDESNPLKVKQCSWVDVSHPFSLKEFSWRLLLDFCSDDLETKDQIAAKLILQGYDPIPVCCKILRESECTVVIDGLESTRAIEPTRHDRC >cds.KYUSt_chr3.28662 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178920670:178921578:1 gene:KYUSg_chr3.28662 transcript:KYUSt_chr3.28662 gene_biotype:protein_coding transcript_biotype:protein_coding MESERGPPTQLSSTGIHHWGTPSASEHVVCRPLDHSSPGIQIHAHRFGINLAQRLAGGTRTQNVGIRFPFQYILPRIMASRIIRAFLVAAVAVSSFAAVALAADEPAPSPTSGAAALSSSLVAAVLCPAVALLVGGMRH >cds.KYUSt_chr7.14732 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91090677:91092026:-1 gene:KYUSg_chr7.14732 transcript:KYUSt_chr7.14732 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPRSPASTVSSYASTPTLSSCPSSAISRGSSNTAISPAAARIWLVVPAAPLGDHNPALATTGAPEFTKANIQQHQDLLESAAEFPRQEINGWEHPDLCSPSKQLKNVSTSIKEINRWARSSTGLKVNANIRLLESVFYLIQVVTSAAPHPDHIIAMIRVHDALANLLHVLPKNIFPFQEQNFLPFVDELCPRRGAPLPARFGNTLHDLRRSIRSGFQVLDAMILDYTSEAVPQGGGVHEITKYLLKYIMSLLDNGSSMKIILFTDEKEDMAAMETVRDIVATLICNLEIMLEKESHRYQDAGLKQLFLVNNVDFILHQVEGSEISDLLGDDWVSTHRDQQKDNISWFINISWESVMHCLHVKSNKIPIFSRLPTLQIFNLEFEKTYGTQKTWKIENPVLRYNMRRSVSEKLFQAYSSYLENNKNKAPKLMKYTPEDLEELLSDLFEG >cds.KYUSt_contig_686-1.919 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5602459:5604147:-1 gene:KYUSg_contig_686-1.919 transcript:KYUSt_contig_686-1.919 gene_biotype:protein_coding transcript_biotype:protein_coding METDGEAISAVQDVDQYYADDDDFDDLYNDVNIGDGDGFFNSSHQPPPLPQNPLPPPPPTQQNQPHQLPPPPPPQPQQQPMAPPHSLPPPPPLAPPLQHKVHISGMPAPPPTLPPPPATLPPPPPVPSRPAPPPSRHHQIQLGGDAFHHQGGSFGNGGPADSGEGMTLFVGDLHWWTTDADLEAELSKYGLVKEVRFFDEKASGKSKGFCHVDFFDLDAVSACKKGMNGHLFHGRPCVVDFSSPNAARRMGEWQGKNHQSSMAAQTPPMQTKCGRGPGGAGGPPVAGNQSGGRVGGPGGGNLGRPVGGMMNRGPAGNMRNRMGPTGGRGIMGNGGMAAPPPPMLPPGGMMAQGFDPTCYGPMGRMGAGFGGFPGVPGSGPFPGLMQPFPPVVAPHVNPAFFGRGGMGPGGAGMWPDPTMGGWGADEQASYGDDAASDQQYEEGGRRVKERPPEREWSGAPERRRPRENDMPAQDWPEKRHHDEQGMGRDRDRNHDRDRERDRDRERERERDRHKDDRDRYNDHHRHRERDSERNEDWNRGRSSGMHSRSREADHSKRRRNDT >cds.KYUSt_chr7.15140 pep primary_assembly:MPB_Lper_Kyuss_1697:7:93991552:93991953:-1 gene:KYUSg_chr7.15140 transcript:KYUSt_chr7.15140 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGLSPSCTVGKGLAAASRWASRLHSALPGGRPPPPRAAGLPVSMARRREGPRRRAPLGSVSMARRLKDAHLHHALQGFRFHGAPPGRGSLSPRVLLPVGPSLPWSTTPPDLANGSAPLPVNSRTLAPRRM >cds.KYUSt_chr2.27387 pep primary_assembly:MPB_Lper_Kyuss_1697:2:168013015:168014217:1 gene:KYUSg_chr2.27387 transcript:KYUSt_chr2.27387 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTLPNEILEEIFMRLPPDEPAFLVRATLASKHWFGLLTGPAFRSRYRDFHGAPSMLGFFRSWTPYSAWGEEGLEPLFVSTSNFVACIPGKEEDQYDEYGEWGYSIREKEEDWGYSGRDAWDCRHGRVVLGDRDVTDTKLAVLDPVTGRWRELCVPKDYDSHGAAVLCAVPGCHHRTCHEGPFRVIVVGMNINKGDSVAYVYVSLPMTDQWSQPPSQWSQPCPGLHLGFDAIFQPMPPVLTEEALYFTLMYDDDDGDDDKRVGILKYDLHSKCLSLIDVPLMETDIACNAILMGMEDGSLGFAHLDGLTLNLWSRKMGSDGTTTWTHLRVINLEELLPIQNLKQRLGLIGSVEGSDIIFMTMDFGICQISLKSLEWKKIWKEEYFGALLPYMSFNDP >cds.KYUSt_chr3.12277 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73295398:73299041:1 gene:KYUSg_chr3.12277 transcript:KYUSt_chr3.12277 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTALGLAGWRSRKRASHMAEIEHEVPEVGATKKKAPKVMTWTPMMSACMMRCLADIAAKGVKTDKGFKEIHIGQAAKALTQLVGYDVTTTQVTNHLRKWKIRYQRIEKLRLLSGALWDDDQKMIVLEDQHYLGHTQDTPKDAEFLNTPLVNYEYMEACFANKLATGRFAMGSNEPLGKPIDVEGLEKTIDLEGGETNGQGSAQGEIPFDFGAQGLGATTPSPSASTNSKKRKRVLHDEDAIQVSCIKLASSMAPNGDAAVARPKQQQQQRPRRISMEGLQRAMSDLALEFTKKVVVLDAAARLPAVSEQVDDARCECCGMTEECSAEYVRRVRERYCGRWVCGLCTAAVSAEADRSGTATEEALAAHMGVCGRFNSLGRANPVLMQTEAMREILRKRSPRGNLTRSSSCIPAITKDLNCTKK >cds.KYUSt_chr6.8545 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52510441:52516847:1 gene:KYUSg_chr6.8545 transcript:KYUSt_chr6.8545 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVMVRDGSDLAPSGLIESLYRVRMSGCSNPLYLKTRCRWTWSHHGPVRLPDRPVLASGGVPNQDADLQGGPPPLAGDGGRCALLHLLHIAVLLRVHGGHALYQTCGANNPEALRAFDDGMERKRVRPLGWGADSGRVPEMQFLPPKALSPYGFNKVVLRVSRIWECRKQDSGNLHELGFIAVDHEHTTLTAEPDRLHWKWNASGTYTTHSAYLATFHGSVTCCGWKLTWQGWVPLRVRFFHWLANLDHCWTADRLARRTDDDGSVFSPQNCFS >cds.KYUSt_scaffold_1700.644 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:4107234:4116052:1 gene:KYUSg_scaffold_1700.644 transcript:KYUSt_scaffold_1700.644 gene_biotype:protein_coding transcript_biotype:protein_coding MQATKRALNFAKARCEVLPAERNPRPNLPKKMAASRKSLTAAAAADGGVEENAMAILDTFGIKDSRDLHDDRTHHVSLLLLPRPQYFLGTAFFEAVRSACLAGDSPSPPSWRMHNAVFQILQSSSSLEVTIASFHLLLELDKHYPRTYLTNPGPHQALVIVEERIENMVLFQYLVSTLEADFVPRNIAYKESLDWVIIRESLLSVLLVINLDLIRKEADKLNLTSRVDGSRNPILEVILDELPYNTINLSPFLLACLSIQVDSSKADDATKMIGATLLEISCKFVSAFQNLRECNTDIEISQFEKEALFTATTLTRKLQNE >cds.KYUSt_chr1.668 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3577670:3579029:-1 gene:KYUSg_chr1.668 transcript:KYUSt_chr1.668 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGGGCNWLAACSRRRLMSFEPPRTPFASLMMAARTYYGNVPQPHAALYYAQRSTPGGLLITEATGVSDTAQGYSDTPGIWTPEHVEAWKPIVDAVHAKGAVIFCQIWHVGRVSTFEFQPGGAAPLSSTDRGVGPQTSFDGHIEHFSPPRRLKVEELPAIVDDFRKAARNAIDAGFDGVEIHGANGYLIEQFLKDSSNDRTDEYGGSLENRCRFALEIVDAVVKEVGGDRVGIRLSPFTDFMDCHDSDPHALALHMSTELNDHGVLYLHMIEPRMALVDGRRVVPKRLLPYREAFKGTFIANGGYDREEGGKVVAEGYTDLVAFGRLFLANPDLPKRFKVGAELNKYDRMTFYTSDPVVGYTDYPFLG >cds.KYUSt_contig_988.268 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1553474:1554488:-1 gene:KYUSg_contig_988.268 transcript:KYUSt_contig_988.268 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWFPMLLRRRCGHGHDRASIETFDPWPVFFRREWGRNWPFLAGFAVTGILITKLTAGFTEEDLKNSKFVQEHKK >cds.KYUSt_chr2.23993 pep primary_assembly:MPB_Lper_Kyuss_1697:2:146287923:146291959:-1 gene:KYUSg_chr2.23993 transcript:KYUSt_chr2.23993 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRTSGFGSERKWNGVSPPMELTGAASLRPSMASMYPVVPSERRRGMPAEISSGAAAAAAIRVTKRPENVGTMIVVIFPHFGERYLPSFQFHLEECKKKQAKA >cds.KYUSt_chr5.13028 pep primary_assembly:MPB_Lper_Kyuss_1697:5:84920777:84924817:1 gene:KYUSg_chr5.13028 transcript:KYUSt_chr5.13028 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHGRIPPIQQTPDKALLHSPTEPSGTQPPARPRVQASGRADEPVDPKNYLEQRCKPQCVKSFYDYEKCVKRVESDETGHKHCTGQFFDYWSCVDKCVAPKLFEKLK >cds.KYUSt_chr7.3048 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18093531:18094044:1 gene:KYUSg_chr7.3048 transcript:KYUSt_chr7.3048 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTSLPDELLGNIVSRLPILEAARTAALSRRWRGVWRSTPLVLVDSHILPAGTVVGRADARRVTSAVSRILLAHPGPFRCVHLTSSYMEEFQHLLTPWLHTLAAKGIQELVLFNRLRPRSTSFSLPHS >cds.KYUSt_chr6.8894 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54712136:54712462:-1 gene:KYUSg_chr6.8894 transcript:KYUSt_chr6.8894 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEQACPWSREQWEKEEAERQARHLQDAARFRRPATPPSGTVVPVIDLEASDDELYKPSPSPPRTSGRWGDAGQGSSEAASAPPQFDDDSSDDDGGDYTVFYRHFGM >cds.KYUSt_chr6.17612 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110837216:110837509:-1 gene:KYUSg_chr6.17612 transcript:KYUSt_chr6.17612 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEANCIAAMEACTKAKENGHAVPAPKPDDAVVVVAAPAPAEADPRLQGISDAIRVVPHFPKQGTSPLHRHLFSLSRARSLASFFSRHPANPMTAC >cds.KYUSt_chr3.26833 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167396962:167401382:-1 gene:KYUSg_chr3.26833 transcript:KYUSt_chr3.26833 gene_biotype:protein_coding transcript_biotype:protein_coding MPRERPPAEHAEAEAPEVVQMAPEAEDQEERWARLLPELLQEVVRRVEASGGERWPARKDVLSCAGVCRRWRDAAVAVVRPPAVSGKITFPSSLKQPGTREFPMQCFIKRNKKNSTFYLYLGLTTATVDKGKFLMAARRFRRGPHTEYIISLDADDLSQGSNAYLGKLRSDFWGTNFKVYDSKPPYDGAKASSSRSSRRFGSRRISPQVSSGNYEVGQLSYKYNLLKSRGPRRMYCALECPSTQDTWENSLKTKVCKPTGTTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLAAAADPNDLTSSKDAETVLLQFGKVDDDIFTMDYRQPLSAFQAFAISLSSFGTKLACE >cds.KYUSt_chr3.11278 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67234147:67237817:-1 gene:KYUSg_chr3.11278 transcript:KYUSt_chr3.11278 gene_biotype:protein_coding transcript_biotype:protein_coding MWVCIIGAAATLDRSSMEKVVFRVPVLAAPSPCCFVLFDVSFNASILFAKNKKGDVHRYTLGKINLRSRFGVSQVVRSSLPGLAAGDLVRGITGWEDYSVIKPPYTGLLAKIQPDHHGVPLSYYTGILGMPGLTAYVGFHHICSPKAGETVFVSAASGAVGQLVGQFARLAGCRVVGSAGSDEKVELIKSKFGFHDAFNYKAEDGDLAGALKQRFPEGIDVYFENVGRKMLEAVLLNMRTHGRIAVCGLISQYNLTPGEKEGGAGVRNLECIVTKRIRMQGFIEPDHKHVYLEYEAWVVPHIREGRVVYVEDVAEGLEAAPRALIGLFHGRNVGKQVVSIATPQA >cds.KYUSt_chr2.5682 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35121710:35124034:1 gene:KYUSg_chr2.5682 transcript:KYUSt_chr2.5682 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAAPAFYSRVTRASSAGTARAPSQCACSRGAEARPRAAEAEEAPRFRWDELGTGLSEPHEQALRGLSPKLPNRCRALMPRIVSLSPHGENLGVVLAFWVKAMKPKRADWLLVLKELKAMESPLLAEVLEYALMEDSFEANVRDYTKLIQIYGKQNLLREAEEAFHAMKARGLPCDQVMLTALVDMYSKAGDLARAKESFEEIVLLGLPLDKRAYGSMIMAYIRADMLDQAEDLIKVTEDQQIFAGKEVYKALLRAYSYKGDSEGAQRVFDAVQFAGTVPDTKLCALLVNAYCLTNKINEAVCVTRNMRSAGLEPCDRCVSLVLGAYEKVNRLEGALEFLAELEENGAVIGQEPSQLLAGWFGRLGVVHEVEQVLKEGMKSNESKQGVSVLKEVTKSRKNEQGVPVQKQWTNGRKSKRSISVHKRPENVIRTTLW >cds.KYUSt_chr3.31035 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194711618:194719287:-1 gene:KYUSg_chr3.31035 transcript:KYUSt_chr3.31035 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRKRAASNANAKNASSSLDEDFGNDFLSSWKVPKSGKDTIDFDVESAPKSSKKFSFDNFDDFGIDGAFDKISSFKMGMSDLDFSSPLKKKSKHSSSNGNDLSEGKKDTEKDFFSFDFNDLGKFNLDTKLGSEENGTSRTTEKTNPISSEGNKDPQRGISDKGTDVPEDSKSKEQTQTQTHDASTLKPTHFTSINPERVEQLKVDMVSNDMLGEHSNEAHPTKPVVNSSSHSFPCSAVSAEDPTHLKAISAPEKSKEDPAVDLSKLNISRENSNSEQEVSSQSRNTSTENPYISRRPLGQSDSQNNQNEAVEESRSLNEGSHGSQSFGGTLMKPVRKTSSGTNNVEKGTSGPKNLSSTTQREHKHAQPEKLKITSAKPYCKPGLQGLSTTSLNAKNRVLKVGAISRNPMSGLLVEKDSVQFSGSKGSPVLTSKIPNSFVEGKPASRSPSMRQNMPEESIPDAKAPAVLKRIMRSPAILSGTPKIRMDNVVSSTTPCEMGDISDLEVPALLEDDGNVDKAEACRKELEDKNMSALQKFANSLRSKKHLFEEISAMDTVALMREYCGEEDASSRRNMGNVNETEGSPAYKC >cds.KYUSt_chr1.5638 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34744041:34746011:-1 gene:KYUSg_chr1.5638 transcript:KYUSt_chr1.5638 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRGGKSTEGRATSDHDGRTRDFLTMELGGREPTTLHDGRWTMQPRMSHRPLGLLTAPPAGGGEKGGLVKKPTHPKPTGGASMVPGQRRRALLASDRQLAMDGNWHDADVKEEMGLPQGNEAKIGGAVDMGDDVYLEFDEEETKEQEPKEPTSWKLLARYMASFKPHTKAMFRRFIEEVWHLRSGIEYSEKGKNYYMITLVSKGDYDFVKRGGPWIFNQNALIVTDLDESLQPSETALNAVPVWVRIYDVPWGKHNETWGYRYGDGLGKTLEVDVPATEQQKKEFFRVRVNLPYDRRLQTRIITGVKDKPQEAKVFKLKYERVPYYCSHCGFMGHKSDECEKMILGTPSLDYDAHELRCSPYKKFEYRTYFVPPAGQASAKRNLSFSSFGSAGSFKHFNQTGRKTSRRPSVSPEYTPSQDDSVDDNNSMPPLMDDPLLAVDVDRDDVDANLDRDIGPVQAEVESSLAAQVDAMLVTSNTVARDASEPIIQFPDEDGQEEGKVQQNHTHIGMTADMLAQMQRIQAQHGAASGSGSWARVSRPSDMIPALHGLSDLQVSFGSLSDVEMPPADTILGKRSAEEPEVQGECLDLALGLDYDGQKEGGTPKKGKLQNMGRGAERREVEVVYKRNRKLSATGHKPPGKLRRPNDGSRQEK >cds.KYUSt_chr7.12674 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77999767:78002669:1 gene:KYUSg_chr7.12674 transcript:KYUSt_chr7.12674 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARKGRGAGGGGGVVRSRPRIDGGGGGSGSLASRVAVLAFCVAGIWSAYITQGVLQETLSTKRFGPEARRFDHLAFLNFAQNVVCFVWSFIMIKLWSGGSSPAGRAPLSKYWGVSITNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTLPEYFCTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYALCFLNLAFDGYTNSTQDLIKSRFPKTNPWDIMLGMNLWGTIYNTVIMFVAPLLFSSWPYANGFEAVKFCQENPEVAWDILMFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVISSVISGNPLSFEQWGSVVMVFSGLSLQIYLKWKRKKGREHKE >cds.KYUSt_chr5.20078 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130493016:130497116:-1 gene:KYUSg_chr5.20078 transcript:KYUSt_chr5.20078 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPSPVGVAVSAEVEAALASGGAVVALESTIISHGMPYPKNLQTAMEVEAIVRENGAVPATIAILDGVPHVGLNSQQLKRLAISGTQFQKTARRDIAQVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRHGEKTMDISSDLTELGKTPVAVISAGVKSILDISRTLEYLETQGVTVAAYQTNEFPAFFTDTSGCKAPCRVDSPEECAKIIDANKNMHLESGILIAVPIPKQHAASGKIIESAIQQALKEAEYTLPAPCHLSVCAHAPIETSANYSALPFPVIKR >cds.KYUSt_contig_2437.114 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000337.1:593518:595947:1 gene:KYUSg_contig_2437.114 transcript:KYUSt_contig_2437.114 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLLPGKARCYRMDEHMRLVLSSKKTINFAARSMAMAAAASVGGGAAGVAPFVWKTYRMVEDPGTNGVIGWGRANNTFVVADPFVFSQTLLPTHFKHNNFSSFVRQLNTYGFRKVDPDRWEFAHGSFLRGQTHLLRNIVRRGTAVGGGGKRKDPAAAGLGLTDDDMTMVATEVVRLKKEQSTIDDRVGAMWRRVQETERRPKQMLAFLLTVVGDRDMLQRLVAGGDGDGDADADGLDCDGQGQALGGEKRPRLLGDGDFGDVTAFGPDAVDFAGFYGSGDAFANVAVEAAAGSGVGGAVSSFGFGLGHGY >cds.KYUSt_contig_686-1.1308 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8206256:8208280:1 gene:KYUSg_contig_686-1.1308 transcript:KYUSt_contig_686-1.1308 gene_biotype:protein_coding transcript_biotype:protein_coding MHTATARAVLLLLFAGFIPLAVASSGDVALLLGKLKPALQGSVPNAQLATWNASTPLCLWRGLRWSTPAGEPLRCDTAAARANLSLSRDAALLLASIRLPAAALAGRLPPELGAFPALESVYLAANALSGVIPLELGNAPALSTLDLAGNALSGALPPSIWNLCDRLAELRLHGNALSGAIPAPAGPNGTCDSLRLLDLGANRFSGGFPSFLTAFRGLQRLDLGGNRLSGPVPESLAAMRSLQMLNLSYNNFSGPLPPAFSASAFTEESFLGNGPALCGQPLRQPCVSPSGLSSGGVAGVVIGLMAGIVVAASVCIGWAQGRWRRNRVRRAAEEGGEETEEGEGGEGKLVVFQGGEHLTLEEVLNATGQVVEKASYCTVYKAKLADGGGSIELRLLREGSCRDAASCGPAVRRIGRARHENLVPLRAFYQGRRGEKLLVYDYFPHRTLHDLLHAGGGLESRPALTWPRRHKIALGAARALAYLHEGRHGEAPVVHGNVRSSNVLVDEYFVARVTEYTVVGSRLLVPAAAEAVLAAAKADGYRAPELQSAKRCGPRTDVYAFGILLLEVLMGRKPSCAANAGDDLPSLVKAAVLEEATMEVFDAEVAKGVRSLAEEGLVQALKLAMGCCAPVAAARPSMAEVVRQLEENRPKNSRSALYSPAETRSEAGTPTTAA >cds.KYUSt_chr2.25358 pep primary_assembly:MPB_Lper_Kyuss_1697:2:155035662:155035964:1 gene:KYUSg_chr2.25358 transcript:KYUSt_chr2.25358 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAKPRRASPGQARAKAGDAAELLDEWSWAGGDEQDAATVAVGGGDDWWRSSLQRWNGGGGGGDALRQSSRSLAWRRFSPIMPGPSDFRGGRWAAALAP >cds.KYUSt_chr6.22612 pep primary_assembly:MPB_Lper_Kyuss_1697:6:142876353:142880126:-1 gene:KYUSg_chr6.22612 transcript:KYUSt_chr6.22612 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLAPAVAPVDGEKRSAGRRATGHEERVRALREELQLLQEHGSYDGEVVKVMGKLMVLVKGLFLMTLGTGGAKGTKETNMMVLLFYFDDICQLILESTSGGGLPMSIIGLQDNFILERFVPSAGWIVIALLCTAEEILTAAGLDAVAFSVMLVFRRFTCNNLTQFNYILPEAIVISIHLQVNLFGDAVEGSMMQKGGTRYSALRKIFRQSCGFHLEPPCALLQAAFIALLGGFTTPGSRCERSGINLGGSSGISLIMWYQFSGLLTARSPMAVSEVKIAKNRGWNREEEEDSRRAPVPGPADRIQDRPSRSTGLQAGPSRPGPDQGLMPTGAVTVPPIRAAGHLAGLTGSQAEPTGPQAGLTGSQAGPTLSQAGSTGLWAGQAAAVSVSPAMKTSAAAVPSALTLRVRAMGWKADI >cds.KYUSt_chr4.34578 pep primary_assembly:MPB_Lper_Kyuss_1697:4:212405335:212406112:-1 gene:KYUSg_chr4.34578 transcript:KYUSt_chr4.34578 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCLRRSCRQRLSPAVEAAAYLTLRSGRRVPAAAGSGSPRRRHRRGERCCGKASADSAARQRRGRRVLNSQSQAGEEEADLPPSHESPVVSCNEGFGGDRREAPHSGEPKRDHHQSDAASAEPRWVSSPPEAEIEAFLAAAELAERRRFMETYNYDIALDRPLDGRFDWSPVVSS >cds.KYUSt_chr5.9472 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60152437:60153099:1 gene:KYUSg_chr5.9472 transcript:KYUSt_chr5.9472 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGACGGGRALRRVDSAPRPTLCRSDAMKKKKKRSKRSRLSAALRELKLAAKARDKDGLLQILVTGHRASRDDGAAGGTSTERTVAEADTGAEKRARPWAQGGGADADPKTGGGPLLDSGRPVASGGVCGRRCASWVLAAAFVFALACVVALGTAPAICCCTCAAWLCGGRAAQEHASDSACAVLRLRGSCHGRATRAIVQPQVDSSTLYKAEVAGGGG >cds.KYUSt_chr6.31780 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200825429:200825668:-1 gene:KYUSg_chr6.31780 transcript:KYUSt_chr6.31780 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCNAPPHASVPDRYVFPPEKRAVLQLDDDDVTLPVVDLHRTALSGDDGLRQRVAAEIVRAGKDFGFFQARARPCRS >cds.KYUSt_chr7.19726 pep primary_assembly:MPB_Lper_Kyuss_1697:7:122410014:122413084:-1 gene:KYUSg_chr7.19726 transcript:KYUSt_chr7.19726 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPTAAAAGRVAARAFAFPAKPSASSFSAAHLPRAAAAAAALSAIAIAPAGGLRTRPRPTAARAAPGSDQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSIEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRLKSLSIQSVALQASVIFSVIFSRIPDRLNFFTLRLIGLKRINTEEQMDRFIELWSKIQLVNLSDARDSISWNLTTDGSYLASSAYAIQFCARVKS >cds.KYUSt_chr1.40500 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248213023:248216101:1 gene:KYUSg_chr1.40500 transcript:KYUSt_chr1.40500 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLALRLVAPPACLSRRRRALAPPCAPLGSRVWSLRRERCSSRAGAVASGGLSYAVVEEEEMDVEEEGDARPRLELIEKPDRSLALLDEYESEELGASLCANHRSGYVAVLGKPNVGKSTLINQIVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDSMMMKNVQSAIGNADCVIVVADASKLPEKIDDMLEEGVGNKETAVPVVLVLNKKDMIKPGEIAKKLEWYQKFTDVDDVIPISAKFGHGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFLQYRQEIPYACQVNVTSYKSRPGAKDFIQVEILVERESQRSIILGKEGKAIKTLATASRLDIEDFLQKKVYLEIDVKVKENWRQDERLLKRYGYGGEIQAL >cds.KYUSt_chr2.14544 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91890935:91892696:1 gene:KYUSg_chr2.14544 transcript:KYUSt_chr2.14544 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKQGFFRALKDEVVRGLSPARSRGKTPARSASPARMLIPRRRKAPPPPQPEKQHEQQQYVSEQLIARSGSLRPGGEALEPLIEGPDADRLAAGDFVGEDSGRREGFGHWVRGHLTRTPSMASAAGPGGSGGGSFRHSDLRLLLGVMGAPLAPISSTLADPALLLSIKGAPIESSSAQYILQQYMAASGGYRTLRSVRNAYAMGKVRMVASEFETATRVVKNRGPSGRGAAAVEQGGFVLWTMAPGMWYVELAVGGSKVHAGCNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLSADPQTLKLRSEGPAEIIRHVLFGYFSQRTGLIVHIEDSHLTRIQPHSGGDAVYWETTISSSLEDYRAVEGIMIAHAGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSVDCFIPPADIRSGSVGEACELPPPTTHGDRAKTGAVHPARVAAVEQRTHHRGAGINTAQHGGGGEKIVWRVEV >cds.KYUSt_chr2.7411 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46506857:46517184:1 gene:KYUSg_chr2.7411 transcript:KYUSt_chr2.7411 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDNPGMPKACQTGWFEPSGYRFNVLTGSLVGIRESKAKPVSPKGYAGTRYRRYRKGEHVGQDWSKILFEQEDKDELSKKKKLSDLGSQWTKDELEHFYGAYRKYGKDWKKVAAAVHDRTSDMVEALYNMNRAYLSLAEGTATAAGLIAMMTDHYNILDGSNSDRESNDSLKASRKPQKRGRAKLQSASKTSDTRYPDLLQSQPTSSSYGCLSLLKKKRSGGNLNIKV >cds.KYUSt_chr1.11528 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70819967:70820828:1 gene:KYUSg_chr1.11528 transcript:KYUSt_chr1.11528 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIINFERSRVLASQLFVSSDVIVKNGPLNGIVYVHVNTFVQVNTFASGVILMELRSGRASLSKDTEDVVDCVNYEMLDFDKSVRFYVVKDLILSAL >cds.KYUSt_scaffold_1259.153 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1011401:1013109:-1 gene:KYUSg_scaffold_1259.153 transcript:KYUSt_scaffold_1259.153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 56 precursor (EC 1.11.1.7) (Atperox P56) (ATP33) [Source: Projected from Oryza sativa (Os03g0563600)] MWVSLLPPPRLLLPLLVLLLPVVAAVHGGHGHSNLTVGFYRHSCPDAEAIVREVVAEAVEDDRTVTAPLLRLHFHDCFVRGCDASVLLNSTKNNTAEKDAKPNHTLDAFDVIDAIKEKLEKKCPRTVSCADILAIAARDAVSLATKVVTKGEWRKDGNLYEVETGRRDGRESSAKEAAVHLPDSFDGILKLITRFKSKGLGLKDLAVLSGAHALGNSHCPSMEKRLRNFTAQYDMDPTLDTAYAATLKQQCTKPEDNETELAMVPGSSTTFDATYYRLVTEKKGLFHSDEALLSNGATRMLVYGYMRSERRFFSDFGVSMLNMGRVDVLTGRDGEIRRRCAVVN >cds.KYUSt_chr1.4122 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25099119:25101249:-1 gene:KYUSg_chr1.4122 transcript:KYUSt_chr1.4122 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMSIRDDQDPEDIVVNGNGTEPGHIIVTSIEGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVALKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRSLAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPSLRSTALEALIHPFFDELRDPNTRLPNGRFLPPLFNFKSHELKGVPMDIMVKLIPEHARKQCAFVGW >cds.KYUSt_chr1.10884 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66537520:66538033:-1 gene:KYUSg_chr1.10884 transcript:KYUSt_chr1.10884 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDPEIRTVLLTRIPRWVRQNPDTEFVVGGISRSIEMTIAPTVAISYPPHGFDDIRVEFLSHDSAREAMRFYNAKENKSEGFTLGWSAYNIKPSGNSHPNPTRCPVRYRFPERDIQAVARPNEHIPPEPVCPNLLL >cds.KYUSt_chr5.5554 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34685656:34687052:1 gene:KYUSg_chr5.5554 transcript:KYUSt_chr5.5554 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLQEHRQAKYSWLLRYYNDPTQEDHLGNSPEDGAAVAGKGWSVTLLRLDLVECDLENQGESDIVVGWWPSAYMHLNTMMSL >cds.KYUSt_chr7.172 pep primary_assembly:MPB_Lper_Kyuss_1697:7:944611:945708:1 gene:KYUSg_chr7.172 transcript:KYUSt_chr7.172 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLPAIASAFIRFLQMETRRRPRFVRRRQTGFHRHGRGTGFRRHSRGTGFRRHIHGRGLRHRSGLGRRRRPFHWNRSRHGGRRHGNRRQAPRTGRCAQAVSAQGTQAAQAAPPSPPAPLQSAFHIPPMEWLLGGPAAPFLGEEDDFGAARAPPLFPGNFPGAVFNPPSPTPSIDLPEYDFSPGYGPEPDTYLDLPTPTPALSDENLEYFMPPGYGPVPGLEPLPQEEETSAPVAAAPLAFDLNVEPEDEETSAPVAAAPLAFDLNVEPEDEETCAPVAAAPFALDLKAEVGPKDEEAGAQAPRPAGPATPPPEARRLLRRFAVAMASRQPGFRAGSWNPALLGFSNEPERGESSAGRRPAEHR >cds.KYUSt_chr7.31484 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196114379:196115398:-1 gene:KYUSg_chr7.31484 transcript:KYUSt_chr7.31484 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALSLVPACDAEEPLLAESSDRFSMFPIRFPQIWEFYKKAVASFWTAEEVDLSSDARHWDTTLTDGERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLIETYIRDAAEKDRLFRAIDTIPAVRRKADWALRWIDGGERFAERIVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYEILRGKLDESRVFEIVREAVDIEREFVCDALPCALVGMNGDLMSQYIEFVADRLLMALGCGRLYNATNPFDWMELISLQGKTNFFEKRVGEYQKASVMSNLNGGAATQHVFSIDEDF >cds.KYUSt_chr2.35056 pep primary_assembly:MPB_Lper_Kyuss_1697:2:216172749:216177015:1 gene:KYUSg_chr2.35056 transcript:KYUSt_chr2.35056 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLRMWVLVGIAVGAAFVLLLVVISLLCFLASRRRRQRRPTAPVHHLPVSAPPKDAAKVKASKDIQEVPSRSVAAATKMPLAQVLQFPMAPPSGPVQTATVKEHRTTYPEEPPHHSHRSDGGPSSQGSSSESRNGAADHAPPAMPEVSHLGWGHWYTLKELETATGMFADENVIGEGGYGIVYHGVLENGTQVGVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGDVGPVSPLTWEHRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKNWNAKLSDFGLAKLLGSENSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIICGRVPVDYNRPPGEVNLVDWLKTMVSTRNSEGVVDPKMPQKPTSRAVKKALLVALRCVDPDASKRPKIGQIIHMLEVDDFPYNRDPGPDSWLTGAAVQAHLRVQNESRAEIGMLFGRSGCIGWAKKDFLFVCLQPSPNLDEMILGLFGIIQACLRLTLLYDG >cds.KYUSt_contig_1181.904 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:5995178:5997559:-1 gene:KYUSg_contig_1181.904 transcript:KYUSt_contig_1181.904 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRLLLLLLAVVVGVSGGSGADDAGGARTYIVRMDADAKPSAFPTHAHWYESAVLAASGGGDWPEGGPLIHTYSAALHGFSARMSPSAAASLATARGVAAVVPERVRHLATTRSPRFLGMLSSPPSAILADSDFGSDLVIAIIDTGISPTHRSFSDRGLGPVPPKWRGVCASGPGFPPSSCNRKLVGARFFSAGYETTSGRMNESAEIRSPLDNDGHGTHTASIAAGRYVFPASTLGYARGVASGMAPKARLAAYKVCWAGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMTTVGAGSMDRAFPANVRLGNGQVLDGVSVYGGPVLQAGKMYELVYAGATNTGASPSAADGYSASMCLDGSLDQAVVRGKIVVCDRGVNSRAAKGDVVHRAGAVGMVLANGAFDGEGLVADCHVLPATAVGAASGEKLRKYIASSTPQKPATGTILFQGTHLGVHPAPMVAAFSARGPNPQSPEILKPDLVAPGLNILAAWPSGVGPAGIPSDGRRTEFNILSGTSMACPHISGLAALLKAAHPSWSPAAIKSALMTTAYLKDNSNGTMIDESTGAVADVFDFGAGHVDPMRAMDPGLVYDIGPADYVNFLCNLNYTEQNIRAITRRPADCRGARRAGHAGNLNYPSMSAMFVADGTNPTMKTHFIRTVTNVGGGRAVYRAAVRAPEGSTVTVQPKQLVFRRDGQKLSFTVHVEAAMPTQAKKMEPGSSQVRSGALTWSDGRHAVVSPIVVTLQAPVQ >cds.KYUSt_chr2.19540 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122966210:122969776:-1 gene:KYUSg_chr2.19540 transcript:KYUSt_chr2.19540 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSEVVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVRGVLDNAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVK >cds.KYUSt_chr5.27085 pep primary_assembly:MPB_Lper_Kyuss_1697:5:171523373:171531348:-1 gene:KYUSg_chr5.27085 transcript:KYUSt_chr5.27085 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDNPKVLRFFSSRLVIEEAIQSRFFAVAPALRDATGALDPASLVVAPELAVILFLPMATRKLLKAVAMARKLLHLTTQFESTHGCMHPSLDSGAPSPAPLSHRATPYTVPKREVKDEPEFADDALLIPKPEVKDEQDDEEAAKAARLVEYERLIASIDDPDDCPGLHAAFAVSLNDKDAWRGDLDLAIIMSIRNTGKPLVDLTDDGKVGPSGAVKNEPVDEPDERESIMFPEVPIALRSSGHLLLGLVRIYSWKVNYLFQDCNRMLSTIRTAFASVEVDLPFDADRAPFEVITLPETFNLDDINLDDAIRQMETPDNHRKTFEQITLSEEQYVTITLDEDGRAEPSPAGRSLFIEPEPPEQGTFPPFPEDAMFVDPPEGSLPANTTNGDAMSVDPPEDSLPANTINGEQDSPERLREAPESLLSFKGVIDGSDPMDEDPSPFIYKATTPPAMDSSLSAGRGSLPGTSMPNVSRSISHDPIEDEDPSGTGISLPVFVLEPSPPQVQDSKRKRTLEVQENKRKRTLEVQENKRSRIFDENIVISNDYMGKQIAGKELCKLASKRKKLPHTAVDIWRYNRIRQKDSFFFEPLVHGMCTDLHNVYKAEFPQASASGAESASHERANDVANVEEQDALPHRHLTPKSPGNADVQPEQVTATSPGNAEAQPEPHLTPLSPENGDATPFDFAPELPRFSPGGNQSPVRYDDTPFKTPAPSPAPLSHRATPYTVPKREVKDEPEFAADALLIPKPEVKDEQDDEEAAKAARLVEYERLIASIDDPDDCPGLHAAFAVSLNDKDAWRGDLDLAIIMSIRNTGKPLVDLTDDGKVGPSGAVKNEPVDEPDERGKQDIVVDDMYNFHQ >cds.KYUSt_chr6.20278 pep primary_assembly:MPB_Lper_Kyuss_1697:6:127752673:127753035:1 gene:KYUSg_chr6.20278 transcript:KYUSt_chr6.20278 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGVKVTYIETQFVTSDAASFKSLVQRLTGKSAEATEPARQLHRPRPSRPVADGRSAITGARSYSMSTPVRTATNASNVGASQACLDEMQGLWDYSELLYVVGASERRHGNTYTDLLY >cds.KYUSt_chr1.26799 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161662863:161665074:-1 gene:KYUSg_chr1.26799 transcript:KYUSt_chr1.26799 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRDRIGRARAEFQAIINDPFMLNSILLVFANKQDMRGAMTPMEVCEGLGLYDLNNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRLASTSSS >cds.KYUSt_chr3.34364 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215563655:215569800:-1 gene:KYUSg_chr3.34364 transcript:KYUSt_chr3.34364 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYAHVPLVAISTTNAITPAASQAPKLPSEIVGKCVEEIIRDWNNGLQDRTAKFRKHATAISEWDRRILQNRNVLIRLEADVAKVVETQTSLERQLELIETHQKEVDKALQSMEEEAERIFQDERVLLREDEAASARDTMYEQGEVVENELQHMTEQVKSIIQTMNATQGGELEAADSMAPFDVAVRILDNQLRSLMWIDEKVREPFL >cds.KYUSt_chr5.34485 pep primary_assembly:MPB_Lper_Kyuss_1697:5:218675459:218678912:1 gene:KYUSg_chr5.34485 transcript:KYUSt_chr5.34485 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLRETDSRLLDPGSQKMMMQQQKEQRKAMPVVKGPAGAVTRGAMSRIQSRRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLALLAEVAVLEEEVVRLEEQVVNFRQGIYQEAIIFSTSTKNTHLPGVGGGEGCAPPQLMPSSPTRPNAEFPPIGPDRAPARPSPNGKQTPRKPIPAPAKQEDGPGSGAGKENQSCRNSRLPPSQKVAKSRVPAAAPEKRRAAQTISAVPDRKGIADAVSNNSGKVFQDGSSAPNRLSEELLSCLLTIFSQMGSPAAPADEEQQPLSPSVSGSSSEDAYPQDPYGILELGGARDVGPYKHLHVIDAASFDRNALASNTLLARRLKALLRKLASVDLAGLSHHHKLAFWINVYNSCMMNAFLEQGVPTTPQMLVAMMPKAAIEVGGRTHSAMSIEHFVLRLPYSVKHQVNPPEGTKVDDAPAGAGAFGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELEGAKRDYLQAAVAVSAPERLAIPKLLHWYLLDFAKDVDSLMDWVCLQLPSELRQAAMRAVDEGRRARRIQVLPYEFRFRYLLAS >cds.KYUSt_chr5.3718 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24008935:24012606:-1 gene:KYUSg_chr5.3718 transcript:KYUSt_chr5.3718 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEQKRKRADQDEEPAPDGLDVLDLRAAKRLLIGFERRLKDNLEARMKHPDDPSRFADSELALHAETDRLRLLAGAPELFPDLVPLGLASSLSSLLTHENADLAAAAASLLADLTDSDDPSDLAGVQALADALVAANALDLLVHNLSRLSEADPDEAEAVHHSLAVLENLVDLRPHLADLVCDRTKILRWLLARVKARDFEANKQYASEILAILLQSSPANQKRLGQMNGVDGLLQAVAMYKSRDPRTTDEEEMLENLFDCLCCVLMPLENKERFVKAEGVELMIIIMKQKKSAYSSAIRALDFAMTRFPPACERFVDVLGLKTAFAAFMGGITKGSRRIRLLGKFVENECEKIDRLMELYTRYSDRVKAETERFESLDLDDLEMDDDERYNRKLEAGLYTLQLVALILGHIWLSGNSQMRTRIELLLRQNKLTKDDVKDILQEYHDNIGDLDGPEEKEKAQGRTKEIIAAL >cds.KYUSt_chr6.12520 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78225140:78228139:1 gene:KYUSg_chr6.12520 transcript:KYUSt_chr6.12520 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTVPLIEETRADLYSALESIKHAPATEVKRIEELCSKQSIFSTLVNKADRDTDPGEVYALKDADVLVFFDRKPRHISDLGRSKVPYVIASVLKAEDGNGHAIVRLSRGPAKERGGRQNLVPPLVAVFLINMTTYNRIWNALDLHVASLRNTSIIEKIVQYAPREENSDLPLHLPDRALCLEKFNLNRSQRMAVLDCVSAMEQQDTSPVRLIWGPPGTGKTKTISTLLWSMMIKNHRTLTCAPTNTAVVEVASWVLGLIEDPSSGSGNNCFLSDVVMFGNEDRMNVDQNLTKIFLEKRVRRLQNCLMPGSGWTSCLSSMIRILEEPLIQYASYVEQIEELAKKEKEELAKKAKNKNLDAKEDIAKKEFNKKSIHNMSFKDYFISNYKQIENDLCTCIKTFCNDLPRSATDRQNFRYMTEVLLLLTELGQLVQSEQDKQLQTLFSDTSDGKSRCLFQNLVVYAQDGVRSELKQARALCIEKLNYLSNNFHLPDIFDKRSIEEFLLQNSKSILCTASSSSRLHYLQKAEPFDLLVVDEAAQLKECDSLIPLQIPGIRLAVLIGDEYQLPALVKSKVCQEADFGRSLFERLSSLGHPKHLLDVQYRMHPGISKFPVSRFYGDQIADGENVLHRNYERRHLTGPMYGSYSFINIEGGKESSGKHDKSLINTIEVAVVIRIVQRLFKECVDTRSKLGVGVVSPYKGQVRAIQEKLGKTYEMHSSFTVKVRSVDGFQGAEEDIIIFSTVRSNSAGKVGFLDNLNRTNVALTRAKHCLWIVGNATTLVSSKTVWRKIVADAKERGCFFSANDDQDLSGAIIKAVIELDEVESLLNMDNLRIGGSRPGAP >cds.KYUSt_chr1.30173 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182632079:182632909:1 gene:KYUSg_chr1.30173 transcript:KYUSt_chr1.30173 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYRSVAKPSVKSGEAVLFWSDEWGLDGSSVPLRQRFRGGGGVLTHVTCNPAQRGVLPAHMVEQVKNWRKLSVYWLEAILYGQPFKPPIEADGSQQYDYIPSTCLLRPKSTARTTP >cds.KYUSt_chr1.19556 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114953207:114954672:-1 gene:KYUSg_chr1.19556 transcript:KYUSt_chr1.19556 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAASLKFTVRRKAAELVAPAGPTPRELKRLSDIDDQDGLRFHIPVIQFYRRNAFMSGRDPAAVVRDAVARALVHYYPFAGRLRELEGRKLAVDCTGEGVLFIEADADVRLEHFGDALQPPFPGLEELVFDVPGSSEVLGTPLLLFQVTRLSCGGFILAVRLMHTMSDAQGLVQFLAAVAELARGAAVPSVLPVWRRELLEARNPPRPGFAHREYDEVPDTNGTIIPLDDMAHRSFFFGAKEVAAIRSHLAPGLRKRATTFEVLTGCLWKCRTVALAPDADEEMRMICIVNARGGKGKQSAIPSGYYGNAFAFPVAVSAAGELCARPLSYAVRLVKEAKGEVDGEYMRSVADLMVQRGRPHFTVVRAYLASDVTKAGFGDLDFGWGRAVYGGPAKGGVGAIPGVASFLIPFKNAKGEDGIVIPMCLPGPAMDKFVEEMGKLLRPAVDVADTFPAMIKSAL >cds.KYUSt_chr2.32698 pep primary_assembly:MPB_Lper_Kyuss_1697:2:201538022:201540637:1 gene:KYUSg_chr2.32698 transcript:KYUSt_chr2.32698 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAATTPAKMRALQYEAYGQGAAGLKHVEVPVPSAKKNEVLLKLQAATVNPVDWKIQKGDLRPLLPRKLPFIPVTDVAGEVVAVGPGVEDLAAGDQVVAMLNSLNGGGLAEYAVAPANLTVKRPSAVSAAEGAGLPIAAGTALQSLRSIGAKFDGTGKPLNVLITAASGGVGLYAVQLAKLANLHVTATCGTRNIDLVKSLGADEVMDYRTPEGASLQSPSGKKYDGVVHCTVGVSWSTFKPLLSSSGRVIDITPNFSAILAGGLHKVTFAKKRLVPLLLWPNKADLEFLVGLLEKGKLKTVIDSRFPLSDAGKAWQSSIDGHATGKIIIEMES >cds.KYUSt_chr3.22143 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136372574:136373227:-1 gene:KYUSg_chr3.22143 transcript:KYUSt_chr3.22143 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAPAALVFTVGSTGEPDGGSGRRRDIGEHFANDLDVRRGRRRQGCGLVFIVKEEDVVPQRRWYLYRGDGSDRWHQWHELLRGRLKSLHRQRCVGRRSQHKGLHGRSRGADLVLVVATRILGAFGVVAVPV >cds.KYUSt_chr3.27224 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169964880:169966100:1 gene:KYUSg_chr3.27224 transcript:KYUSt_chr3.27224 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVPQPSLIDLSQEDFSLALAAPPDLTRLSISNIITDYGDAFSLRSFPFVLATDPSGLLLITDSYGLAKDPLGADRPIYFVWDASLVHTHRIRGHQESVNHSGNVGFIVTPSGRRDHDLTVVELLPVSAGETITILCYESKSRIWTKKTLQSSMPRYPWSSANVISHKNKLWWVDLRHGILSFDPLADNPQVLFVEFPATRTRLMRGDISKYRCVNLSAGNLRFVEMTGSVLVPRVAVWTLYPQAEHKLSRWKLDYNVNLKEIWVDMSYKETGMPNKRPVLAGLHPADPAVVYFLSKGKLFEVNLNIKKVDKCMAFQPRSADLTQLNIDEESSRFLTWDLPPSLTSSSQQRHGKEPSSRTNGEESPTAAALRMLRLFTNAYTSAFGKLEYDELARIAIVHLNEK >cds.KYUSt_chr3.25357 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157402103:157403523:1 gene:KYUSg_chr3.25357 transcript:KYUSt_chr3.25357 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFMGRYPTSLPVVLLVSAVLLLNADLGLCGCFKRIFTFGDSIIDTGNGAYAGGNNPGPITQLPYGMTYFHQPTGRVSDGRVIVDFYAQALGLPLLPPSMPQAGTGQFPTGANFAVFGSLALSPDYYKTKYNFTMPMPWCLDRQLDSFKMVLARIAPGEAATKSLLGESLVVMGEIGGNDYNFWFSARRSREIADKYMPDVVARIGAGVQEVIGLGARTVLVPGNFPIGCVPAYLSGFRTDNSADYDEFRCLRWFNDFSQRHNQALKQEISRLRAHNPGAKIIYADYYGAAMEFVRNPHNHGIDDPLVACCGGAGPYHTGKSCNNTATIWGDPAKFASWDQIHMTEKAYSVIADGVLNGSYADSSLLHAC >cds.KYUSt_chr1.5318 pep primary_assembly:MPB_Lper_Kyuss_1697:1:32699444:32703754:-1 gene:KYUSg_chr1.5318 transcript:KYUSt_chr1.5318 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVESIVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDVSAFGSHGSTSHLRFRHFTTLATACAYLKDERGCDICGVEITDDALPVTAHPFRRSTAFLFGNEGTGLSQKECEVCDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFPERGREGNKFVVADKPHGQSRGLYCSDSIEDVIEERKTRRENACDIFEENGSSHTQESNGLDTMFTD >cds.KYUSt_chr2.3530 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21120440:21126421:-1 gene:KYUSg_chr2.3530 transcript:KYUSt_chr2.3530 gene_biotype:protein_coding transcript_biotype:protein_coding MENPADGTSTSAGNAKQASHRVKQVVTGGNKGVGLEVCRQLAVKGVTVILTARDEKRGKDALESIRRESDLSNIVFHQLDVQDVNSVTSLARYVESSYGKLDILVNNAAVIGVAADEEGLKALNIDAETWTSGRAASLLKDVFQNTYDVTMNCLNTNYYGCKRVTEALLPLLKLSTSGGRIVNVSSLASELKRMPSEKLRNDLSNIEIWDEARIEALLKTFVEDVKEGRLEEAGWPTMLPAYSMSKMVINLYARILARRHPEMRVICVRPGFVKTDINWNLGTLTPEQGARGPVMLSLLSQEGPTGCYFDQTELVNVWGRFALQNFRTQVCTPVAPGSPLFVSSPVHVAVRHECRQPFSSSSSIFGMLQFWLGSAFERLVVFEVGPNVFRVVVTSPELASFLASLDGLRHGQLVALFSVLLALDALSLMDAAGDGADLGVVATLRCSLCFAQDHHRAICHLVMLEVAAPAVPALVPGVDESEDEENPEEPPFEDEAVGGGPAQPDVAADDFIPLPAADAVDAEDGEFFLPIVDAADFLVAPGQDSEAPTSDGAAYSIDLQEPVRPDHVDVFMPFVDLRHFDHIAYAFINPTTIAAIDDPTPSFSRPRTLAAALTASPCSPRWQAPVWQFSLQTVTVSTQFPMAPSWAGRLRHFPFEHWRRQHITHSSGPYANPHNIDPVCLTGVDFQAVLVTVKAESLTDIPMNLAVKNYCNVGTFSEVTIIEFEDLAAGSDHSSGPDIDAIPDAASSVGDEEDLVDLPGGTAYPEMMEILGVPPPLVPHGEPHGAAPAASMVARALGNAPPLPSVTGEPILPKPASVQVKLRLGFFDIAVIGSSGERASFLWINLQIFYSGKG >cds.KYUSt_chr2.11470 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72916839:72919352:-1 gene:KYUSg_chr2.11470 transcript:KYUSt_chr2.11470 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATSSLLVLWLCAAATTAWAHGGAGDGDADADGGGKKPDLRAPGLVVAKLWCLTVVFVGTMAGGVSPYFMRWNEAFLVLGTQFAGGVFLGTAMMHFLSDANETFGDLVPDSAYPFAFMLACAGYALTMLAECAISFVVARGRTKPAAPAAGALEEGKLSSPSGNGSETQAADAHGDHSAASMLRNASTLGDSILLIAALCFHSVFEGIAIGVAETKADAWKALWTVSLHKIFAAIAMGIALLRMLPNRPLFSCIAYAFAFAISSPIGVGIGIVIDATTQGKVADWVFAISMGLATGIFIYVSVNHLLSKGYKPQRPVAADTPLGRWLAVVFGVGVIAVVMIWDT >cds.KYUSt_chr3.24440 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151662566:151664761:-1 gene:KYUSg_chr3.24440 transcript:KYUSt_chr3.24440 gene_biotype:protein_coding transcript_biotype:protein_coding MILESLLGSASVPWTFLICSLFGSVLLWPTIRLLDQLWWQPRRLERALRAQGLRGTSYRFLIGDANDYARQNREVWSRPLPLRCHDIGAHVMPFLYNNVEEHGKPCISWFGPIPKVTITDPHLVREVMSNKFGHIEKLKFPTLSKLLAEGVGNYEGEKWVKHRRILNPAFHVEKLKLMLPAFSACCEELVGGWTQSLGPDGSWEVDVSSELQNLTGDVISQTAFGSSYLEGRRISQLQSEQMGRFMAAIHKIMIPGYTYFPTKNNRRMHQINDEIENILRGLISNRMQAIQEGESTKDDLLGLLLQSNMTTIDANGKSILGMSEAEVIEECKLFYFAGMETTSVLLTWTIIVLSMHPEWQDRAREEVLGLFGKHKLEYEGVNRLKIVTMILYEVLRLYTPATAFTRKTYKEIEIGGITYPAGVVFEMPVLFIHHDPDIWGNDVHQFRPDRFADGISKASKEPAGAFFPFGWGPRICIGQNFALLEAKMALCMILQRFEFELASSYTHAPHTVMMMRPMHGAQIKLRAISS >cds.KYUSt_chr5.8953 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56896157:56896761:1 gene:KYUSg_chr5.8953 transcript:KYUSt_chr5.8953 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRSPPELFPTDTSSPGEDAEAPLRRQRVRYTSELYAELAALLPGIPPRASQVAILDAAVAHLKVLEDTAGVLESYRALQHDAEPGRRCAGVEVASREAVCFAARLPAGAARPGALTRVLEAFDRRGVEVLAATMTRRGDGAAQVTVTAAPAAPEVTESIKAEIAGIE >cds.KYUSt_chr6.15199 pep primary_assembly:MPB_Lper_Kyuss_1697:6:95324125:95325995:-1 gene:KYUSg_chr6.15199 transcript:KYUSt_chr6.15199 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLHPSSSPSAAQMPPPLCDICQEKRGFLFCKEDRAILCRECDAAVHTASDLATRHARFLLTGVRISSEPAASPAPPSQEDENAGSFCCSGGDDDTAPQASSGSSISEYLTKTLPGWHVEDFLVDDATAAAAASAAGSSYQGVDQNVGVHEAGYPAAWTAQEHWVPRVYAAELGGSKRSRTNSGNPYW >cds.KYUSt_chr1.40129 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245774105:245776727:1 gene:KYUSg_chr1.40129 transcript:KYUSt_chr1.40129 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDQQHHRHFSGDFQFNNDDLASLFAQRPADAISSPMQMMQQQQPWFFPDYLQTPPLDFGADAFGARDDFGDVTAGGVEEVVKMEMQAVDVAGMPGGGPGGTALPMTPHSVSVSSTSSEACGAVGGDEEGAGKCKKEDQGEGDESKEGQEASAAAANKGGGGDGEDKKKGVGGNGKGKGAKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTQKCPVKKRVERSYQDAAVVITTYEGKHIHPIPATLRGSAAQHLLAAHAHGLHYPHFRMPAPQPAPGAAFPPDGVFGNFLQQQQQVQGGGGHHPAMQQAMQQQQQQLAAAESAMRQVNAAAAAMGAGGASSGSAAAATGAPLRMEHFMSQDYGLLQDMLMPSSFVHSNGTATNSHNNHNRR >cds.KYUSt_chr4.20032 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125941009:125941509:1 gene:KYUSg_chr4.20032 transcript:KYUSt_chr4.20032 gene_biotype:protein_coding transcript_biotype:protein_coding METSNTQFARLPKCKLHPLPRVGTKEVSALPHSPPPLPPPRVDADPSLRKPFPSNLTGRNTPAASVPPSLRLHRLRIPRNRSQIRCLHGCLTATKIDPCELFLMEDSSSSNDSDLEDLLDDDIEQTTIILAA >cds.KYUSt_chr7.22749 pep primary_assembly:MPB_Lper_Kyuss_1697:7:141252365:141252811:-1 gene:KYUSg_chr7.22749 transcript:KYUSt_chr7.22749 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAACRLLRLAPRRLRSPRHSLLAGLPLLPTPLAASSGWRRYCAAAQASAPAPTASATGDTVGEFRRRIRVSEVKGGEDEGAAWVGKELTVRGWVRTCRAQRTVTFVEVRAEMRLVANSHGLMSPTEVARHTFSEFVSNDSILQA >cds.KYUSt_chr5.39547 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250241142:250251579:1 gene:KYUSg_chr5.39547 transcript:KYUSt_chr5.39547 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSYTCLLLSAGSRGLRWRSLEDECLIETWKQVSFCPITGANQTGGKYNKRILDCFNEKKNYGEYANMEMNRNEGGLSHRWNLIKAVCSKFHGYYEKIKARKESGKTMVDWHLHLSVQLLLADGRLVPAHLLLDPHPLAPRRRRLLQLIRGGGGGRRDLRARLAVRFGRGGGSPVFILARGGVLLPALGSVGSPLRIGDTFIQVLNLAFGQRYDLNSSEEDRNTIKIKKRKEYEKLRRQCHHILNGYRGSGLKSINEVSNEECSGLGSSAEGSESPCFEDVNVEKTPGSLEELKPEHSEAEQPEITTCDEVVESMDEDTVELIDAYPCIAESESSDSESSDEDESVRISVCDEETCDPDPKFARSTSFKSDIFRSSRTSEDFATWQRIIRLDAIRANTEWISFSSSQAEVPKEKALQSAASVGLKDYDHLEPHMIYHAARLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDCAAFWCFVGFMRKARHNFRLDEVGIRRQLKTVSQIIKRKDSHLYKHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRTTWGRIRLHAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >cds.KYUSt_chr3.6943 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40023308:40025269:1 gene:KYUSg_chr3.6943 transcript:KYUSt_chr3.6943 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPPPVAFDRAVAGKWTASIHEEEKHERGVVLESGYGAWRRELEKRRRDGGSLQGQRAVGCQDLSLSGQMVQEILIVLVLDAGGSDD >cds.KYUSt_chr3.10936 pep primary_assembly:MPB_Lper_Kyuss_1697:3:65108017:65110972:1 gene:KYUSg_chr3.10936 transcript:KYUSt_chr3.10936 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTEEERAVDDALGYPKAYARLCRGAAGGALGLPYAHGPPHAFLPYVLQPHEALRAKDLNETFPVLDPDAAPTANPRGFANLLWKQLDHLGNAGFDPALFRVDAHGNVLYLHADSASPLAWDVAHWFPCARGGRTVPSNLRVLQLQVSRKRHNKLEFLVPWWDLQLGISVNQFLSIFASKNADFRNRAFAFLFADGSNEELSAVQAVEGHAFPHHFSEMKNKVGLAPAAIVSARGSDSSVLKSLDANRPVRSNYPLIAAKKFSGEKDDNSAAHGHGAKENNNPDVDGYISNPYLSIAMARDSLRQREESKKKQAELAELEDEVTEMQHKTEEDRVAIQDLEAQLIKRRRRVEKCRRLADAQSNYKAVLEKMIRDAMHQSVVYKEQLRLNQAATSTLMARLEAQRAMCDSSETELRKKYQHKDDLERQVRPFIDQARKRYRVDDEIQEERHCESARYLPERRFRSSPLKQELRVFLEQDQRNSDAYVSLEEEEIGEGTPAMGYVNNESSKVINFPRRSITTEENNTCYVERGRASVREKLEQSAIRERHRSRGRERKETMASRGIGGGTPIRSRDNRGKAAMLESETEKSYASQTVSLPRTSSVPPSPPYRASGAYGMPRYPTEQSLPLQKNHALHPRRVGRSEVNENMNHSGKGNVDKWLHMLMEDQQEGNEVYHSSEEHGGSEEDASDEQQVQSRINDDDESCRNEITECSEEIVDAGGGKTATHHGTPRYRNCRDGITEFSEEIVDVGAEIASHHGTPRCRNSFETSKDEKVEKKIWFPRSDSGRGFRSLPSSPSKILGMRRGVEKQKVAGGDDNRYGYEDSVSTSSSKFLSKCRQAIKKAVHK >cds.KYUSt_chr3.21549 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132264596:132268200:1 gene:KYUSg_chr3.21549 transcript:KYUSt_chr3.21549 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLSQRLFATLLSIIFHGRPWLSSTSSKGGSTSATFPSSPMRQNHHRPMADGKLAAERTIVVDVDGGLLRSSPSGLFPYFMLVALEAGGFLRGAVLLLLYPLLCCVGIRGDTALRVMAMAAFCGLRESRLHAGRAVLPKWFMEDVAVDGFEAMRGARRRVCVTSMPRVMVDGFLREYLGAEVVVGKGMKVLFGFYTGLMEEEEVILHLEEKKIMLEGDAVAFSGSLEFFRHPLSHCCKETYHVRPEDGATRQALPRGKYPKPMVFHDGRLAFRPTAGGTLAMFMWLPLGVALGAARLAVALVVPYRYATLILASTGMSWRLKGNRPGHPPGHGGGRGQLFVCNHRTLIDPVYVSVALDRQVRAVSYSLSRLSELISPIGRTVRLTRDRDSDGAAMARLLDRGDLVVVCPEGTTCREPYLLRFSPLFAELSDDVVPVGVAVETAMFYATTAGGFKCLDALYYMVNPRMCYTVQFLDRVCTTAVMGREVHSRDMANIVQRKIGDALGYGCTMLTRKDKYLMLAGNDGVVKANHNCSAAPPAPIGIKNMFAKEDDGKQLNNGARQVMLTPTNILASSQENTRVRDLLQIACP >cds.KYUSt_chr7.25332 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157956446:157960876:1 gene:KYUSg_chr7.25332 transcript:KYUSt_chr7.25332 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGGCGGDDSAAGEWATVTRSGRSSPAGRYPNTTTPVPAPAAPRRHAPTPAELVKAFAGLEIKADEAGRYPNTTTPTPARAAPRRHAPTAAEVVKAIAGLEIKAEEAGRGRPDKDDIHLEVRGEGAPLQADGFEQAGLVEAVLRNVARCGYDSPTEVQRDSMPIVLHGSDPMACAQTGSAMPAAFCLPMASGLVAAAGGGRGDVYHDYSRAARPRAPLLAPTPELAPQIIVKNLTGKTITLEVEFSDSTPAPAPAAPRRHAPTAAEVIKAFAGLEIKAEEAGRGRPDKDHIHVEVRGEGAPDGFEQAGLVDAVLRNVARCGYDSLTQVRRDSMPIVLHGRDPMACAQTGSAMPAAFCLPMASGLVAAAGGGCGDVYHDYSRAARSRAPLLAPTPELAPQIIVKTLTGKTITLEVEFSESIGNIKAKIHDKEGINPDQQHLIFAGKQLEDGYTLAYYNIQMESTVYLVLSLSGGQTEDDEVLFYKAFCPLWKARSARKIICELCYKMRNKPSEVPAKKDAVGGIKCQRPRMVKWFHCHDEGFLDEVLSHHFLLI >cds.KYUSt_chr2.16778 pep primary_assembly:MPB_Lper_Kyuss_1697:2:105533716:105546231:1 gene:KYUSg_chr2.16778 transcript:KYUSt_chr2.16778 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGGFVTRAFEAMLKECNASRGKFAALQQSIQSYLDAIKGAAAAQEQQDDGGAPVPVPVPVTQVLASAGRVLEGTQAELVLQPLRLAFETKHIKLVEPALDCLHKLIAYDHLEGDPGLEDGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNRFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVFRRMESEQVSVPAASSAVKDTPSSSTKDVENGEISTDNQDEEKTTLGDALSINRASESSPTSVEELQTLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTMNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDLFAKNFHFIDSVKAYLSYALLRASVSSSQVVFQYASGIFSVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSSLSQKSSVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAHGSQSVDSTAVAASQTVSVKGSSLQCLVSILKSLVDWEQARRDSSNKGSVVESHEDDASARSLATDETKVQEDDRHQFERAKAHKSTMEAAVSEFNRKPAKGVEYLLSNKLIENNASSVAQFLKNNSSLNKVMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYILAYAVIMLNTDAHNPMVWPKMSKSDFVRLNTVSDEEECAPKELLEEIYDSIIEEEIKMKDDLLNAKTRPEIEEKGRLVNILNLALPRLKSASDTKAESEKIIKQTQAAFKNQKQKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFKAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKDMRSKNVEALRTLLALADTDMDALHDTWNAVLECVSRLEYITSSPSMAATVMQGSNQISRDSVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFNALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHHDEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSRSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEHIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGFIPGGSLKPLDVLPEANFDVTEHYWFPMLAGLSDLTLDSRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDGDWETLLKSIRDASYTTQPLELLNSLGFQKTNNQQLLSKESETNALGGSYHDSREGEASVSNNGEQEGHHETNPQTDLENSEGLPSPSGRTQAAVSPPSQTFGQRFMGNMMGNLLVRSLTSKSKGKMDDVTPSSPAKAPDADGDDKTEEEENPMMETVRSKCITQLLLLGAIDSIQKRYWSKLQATQQIAIMDILLSLLEFASSYNSPSNLRTRMHHIPPERPPLNLLRQELAGTTIYLEILHKSTVDNDANGSAEETNGVSVQSDEQEKLKNLAEGKLVSFCGQILKEASDLQPSTGETASADIHRVLDLRAPVIIKVLNGMCIMDAQIFKKHLREFYPLITKLICCDQMDVRGALGDLCSKQLTPLMP >cds.KYUSt_chr1.18311 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107013093:107014172:-1 gene:KYUSg_chr1.18311 transcript:KYUSt_chr1.18311 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGAAYYASLARKQFFCYQCNRTVLIAASAAAAGELSCPECRGDFLEEVTVPAPTIIPFPFTFPASSPSRSSSSSTTAAPPSSDLSNFLTSFLDLQDGRRSRSRSGSGAASAAGTATPENEPDSFDPLTFFQNYIQGLVEGGANIQVLLDDASVNLSPGLGGGRAGGASFGDYFVGPGLEQLIEQLAENDPNRYGTPPAAKSALSTLPDVVVTDAMVAAAEGAECAVCKEDFSPGDGAKQMPCKHIYHADCIVPWLELHNSCPICRFELPTDDPDYEGNKAPSPQPAVGIAAVVPSGRSSSTAEEGRDDTARVVERRFNVSLPWPFGGLGGQTPQQDGGAGSSSQDSGSQDGGSNKN >cds.KYUSt_chr5.37148 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234984148:234985508:1 gene:KYUSg_chr5.37148 transcript:KYUSt_chr5.37148 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQAHQEKLSLHGFLFKSRKYPSNAFFLASDQDQLFVLWITMGEPRHCKLWLLVLALAPWFQASTCATTFTISNYCAYTIWPGTLAGSGTPQLSTTGFELAPGQTVRLAAPTGWSGRMWARTGCVFDAAGAGICQTGDCGGRMECRGAGATPPATLFEVTLGKTGSEDFYDVSLVDGYNLPVVAIPRALRGQGACNATGCMADLNRSCPRELQVDCGAGAIACRSACEAFGQDRYCCSGAYGTPAACRPTAYSSIFKTACPRAYSYAYDDSTSTFTCNADDYNVAFCLPTSGIKESDAVFLGAQIDGVNARPLYANGGQSTGEDNAPPAHGNGGEGAPVYNNYGGAYEPPVYNYGRGGAHVPPAMRASSASTRYIRPWLLLLPLLVCYP >cds.KYUSt_chr7.22507 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139553483:139561287:1 gene:KYUSg_chr7.22507 transcript:KYUSt_chr7.22507 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFPYSPAEVAKVQCVQFGILSPDEIRQMSVVVIEHAETMERGKAKPGGLSDPRLGTIDRKIKCDTCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILVPEEDPKFKQALKIKNPKNRLRRIYDACKSKKTCDGGDELEAQDQQDTDEPVKKRSGCGAQQPNITVDGMKMVAEFKATKKKNDDQDQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLSAKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNDLPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKLINLIRFSAWHAETETGFITPGDTMVRIEKGELLSGTLCKKTLGTGTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADASTMEKINETIGKAKNDVKELIKQAQEKSLEPEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFVDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKNEFDNVYRYELDDENWRPTYMMPEYVDDLKTIREFRNVFEAEVQKLEADRLQLGTEITTTGDNTWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVEAIDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKESFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVFLKPEVGKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFVRSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRVRITNDEAPKGEIQDESAEDDVFLKKIEGNMLTEMALRGIPDINKVFIKYGKVNKFEENEGFKPDNEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDASVYAESDYLRGVTENIMVGQLAPIGTGGCGLYLNDKMLQQAIELQLPSYVDGLDYGMTPGRSPISGTPYIDGGMMSPMLSPNFRASPITDAQFSPYVGGMSFSPIPSNYSPSSGGGYSPSSPVFSPGPGQGYSPTSPSYSPASPSYSPTSPSYTPGSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPNYSPTSPSYNPSSAKYSPSHAYSPSSPRMMSPYSQTSPNYSPTSPSYSPTSPSYAQPSPSYSPTSPHTTSGGPSPDYSPTSPNYSPSASYSPTAPGYSPSSTGPQTTDKDDEIAP >cds.KYUSt_chr1.18788 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110220893:110223014:1 gene:KYUSg_chr1.18788 transcript:KYUSt_chr1.18788 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGSSNVGFQLLKKSGWKEGTGLGAQEQGRLEPVETHVKNNKRGLGSKEPKPKPAVNDDVETAPKRQKDVPSTKRAKLAAKRIRKMQEEEKRAQEKEFEIAFFREFWPENV >cds.KYUSt_chr4.26388 pep primary_assembly:MPB_Lper_Kyuss_1697:4:165845520:165848476:1 gene:KYUSg_chr4.26388 transcript:KYUSt_chr4.26388 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDHGSKVDISFAGRFTASAIAACFAEITTIPLDTAKVRLQLQKKAIAGDLAAPKYRGLLGTATTIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKAFYVGENHVGDVPLYKKIAAGFTTGALAIAVANPTDLVKVRLQSEGKLAPGVPRRYTGAMNAYSTIVRQEGFAALWTGIGPNVARNAIINAAELASYDQVKQSILKLPGFKDDVVTHILSGLGAGFFAVCVGSPVDVVKSRMMGDSAYTSTIDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKAFVRKPVN >cds.KYUSt_chr5.38307 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242250844:242251347:1 gene:KYUSg_chr5.38307 transcript:KYUSt_chr5.38307 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKKAVTMCKSKTGVLAGRLLVLALLRRRMARVGSISHKIQTLIVAADQAKVRLDYHKAVLLRKIKTMRTIHGSKIIDLSHQLALFDKEENGDDSCPDWTLDPIFNDNHNCGYIEEYTGDEEEPSVVDIIRRNPEVEGLEFNLDYDIDQAAEMFIIKFREQMNRSF >cds.KYUSt_chr1.34701 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211327722:211328033:1 gene:KYUSg_chr1.34701 transcript:KYUSt_chr1.34701 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFRVAAVFILAFMMSVSAAHASRPIDAGTWPEYGVGSVLVGSNGGKWPYGVGSLELRVKEAAASAPAAVAVEEAEQGFAFVHRKIWDHDINCYKKVPITGF >cds.KYUSt_chr3.2670 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15347999:15357068:-1 gene:KYUSg_chr3.2670 transcript:KYUSt_chr3.2670 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPLFLSQNPNPSASPAPASMSSTNGVYVPPMRRLRSVIASTSGNLAPPPSVQPAWTPDWRADGRSLSPPSPPQTQRRSAALPPRPPPQRQPQTQPMRQQSAGYSRYAYDDFSEEESDREMDRVSVSSSSKGASTLDNVDEWKWKLHMLLRNDNEQEIMSRERKDRRDFDQLAQLAERMGLHSRQYARIIVFSKVPLPNYRSDLDDKRPQREVSIPSGLQREVDALLADYLARKRTDSGNFPNAAFSRSSSTDSFMTDEGFYEQQDNQASTNVVMERIQRKKSLQLRNQQAAWQESNDGQSMMEFRRSLPANKERQSLLEAISQNQVVVVSGETGCGKTTQLPQYILESEIEAARGATCSIICTQPRRISAISVSERVAAERGEKIGESVGYKVRLEGMRGRDTRLLFCTTGVLLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLVLMSATLNAEMFSSYFGGAPMIHIPGFTYPVRSRFLEDILEVTGHRLTPYNQIDDYGQEKSWKMQKQALRKTKTQIASVVEDAVKTADLRDYSPQTRDSLSCWNPDSIGFNLIENVLCHICQKERAGAVLVFMTGWDDINTLKEQLQSNPLLGDPSKVLLLACHGSMASAEQKLIFDKPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQSGECFHLYPQCVYNVFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVQNAIDYLKVIGAFDQNEELTVLGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVSGLSVRDPFMTPFDKKDLAESAKLQFSCREYSDHLAIVHAYDGWREAERDRNGYDYCWRNFLSAQTLKALDSLRRQFIFLLKDTGLIDENMTMCNKWSRDANLVRAVICAGLYPGVSSVVNKEKSVSLKTMEDGQVMLYSSSVNGKEGKIPFPWLVFNEKVKVNSVFLRDSTAISDSTLLLFGGNIQRGGLDGHLKMLGGYLEFFMSRDLASTYLNLKNELENLIHHKLQNPRMDIQTSEELLSAVRLLVTEDPCSGRFVYGRQEPRSKKAKMMLAPASVSMDRGGGHGGDNPKNQLQTLLTRAGNDNPTYKTKQIKNSLFRSTVEFNGMEFVGQPCANKKLAEKDAAGEAINWLTGGGAPPDTRDARDMDHMSMLTKPPRRKRHHHRRS >cds.KYUSt_chr4.47499 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294064218:294067699:1 gene:KYUSg_chr4.47499 transcript:KYUSt_chr4.47499 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTFGSVHFHVDSGGVLQFPDPITSGAATAATSSIATLIASSTTADLSAAPASTSASSSSSSSRCTMSTISINITCEIHIGGRLRIDIVRISADSLGSSWYRFRGVTVWEFLPWTAELLRLVMIANDVKRPNTPIPNPSRISHGLQFRSSLVPALCDEVAIAGSKRQEVGLLATPRRRRLPLGRTFFAPIDIVVLLTAPRPSSQPRNIVMLPAGLRC >cds.KYUSt_chr2.47248 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295587498:295588589:-1 gene:KYUSg_chr2.47248 transcript:KYUSt_chr2.47248 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRGATSKSAAITGNGRGRCRVFTRNTPKLKTCSPDRRVEGSKPLVDADNQLAPQLPPADGATKARTPAGNTTRESVALARSHEESGFPLEHPGGGARTPPRRRLQGGHDAKKGAAAVGSSRSQNKTFARQRVATSHPPQRAGQTTITTRRADTAPQPPPPPPIQRAPRARPTPTAPRGDERPGSTACGRQSTAKEHRRRRRNATKEGAPNKHRSGDSKMNANVRRPELPGPAAAPRRTTGRPAASRTAPPPAPPRCPAAGATRRATRACSRRGQLRGPPKPIWTRTEEHKRTAEAPRSRGSRARQPASKGARRQETMTPRRRLAVETTPPPPESPSTDKRMEKNAPPPPSPATAWSYCPA >cds.KYUSt_chr1.1656 pep primary_assembly:MPB_Lper_Kyuss_1697:1:9333665:9334219:-1 gene:KYUSg_chr1.1656 transcript:KYUSt_chr1.1656 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLQDQRIMTTLQQELKMVLGSKTHVEYSDINQLPYLQAVVKETLRVHAVVPLVPNKAEATVEIQGYTIPKGSTVLVNLWAIHHDPEVWTEPDKFLPERFMQHEDINFQGADFRFIPFSAGRRICLGLPLATRMLHAMLGSLLHRFEWTLPLDVKENGVDMSEKLGLTMTMATPLQVIAKPR >cds.KYUSt_chr5.3302 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21296832:21298245:1 gene:KYUSg_chr5.3302 transcript:KYUSt_chr5.3302 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGARQIPFPAARDQGSCSCAVLVELLLLLLLSIPSPDGRSVRQAEMHLFYSTRTATTIASASGTVLAPSTPGFTTDNSIAVLMVMSL >cds.KYUSt_chr5.43607 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274653026:274662369:1 gene:KYUSg_chr5.43607 transcript:KYUSt_chr5.43607 gene_biotype:protein_coding transcript_biotype:protein_coding MRELVAAGRADPVRDGRNPWQGRFEELVHHCLGLEMQERKDLLQVLPESGARERSSALTLQRCLLDRHNFASYHLLVPLIFPQMIDMAHAADSRGKVKFSLYALIHLQLFIMSIHLSTSTVLQRHNFASYHLLVPPIFPQMIDMAHAADSRAKIFLSLVILHGQISDMNVRRQKVVCMERCRKGDEDRNRSSSAHNTSLQQMLKLADSTDCSSIIIAGKIRKKFVRPCFADFVSLGLPLYIDFCGIFTRLCPRFADFVYVGLPLYIDFCGLFTRLCPRFADFLYVGLSLYIDFRGLFTRLCSRFADFVYVGLPLYIDFRGPFTWL >cds.KYUSt_chr2.19819 pep primary_assembly:MPB_Lper_Kyuss_1697:2:124813864:124815023:-1 gene:KYUSg_chr2.19819 transcript:KYUSt_chr2.19819 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAVSSLLTRHAARLGSFCTSSSSSSPASEAWDGRFRLHKPRGQHLLTNPRILDAIVRHAALRPGDAVLEVGPGTGNLTARLLASPVHHVTAVEIDTRMVEAVTARAAALDLVQKLTVIQDDAVEADFPEFDACVANIPYGISSPLIAKLLFGAYRFRTATLLLQKEFAQRLVAVPGDSEYNRLAANVRMVADVKLLMDVSKRDFVPMPRVDSSLVEIRPRAAMPDVDLAEWLAFTQECFGQKNKTLGAIFKQKRKVLDLFKRSQRTERRTGDASGNGIILGVIDDSSDVACSGDDGSSDRVAGFSEEQVGAFKERIASALESTDLAGKRPSKMSNDELLSLLKLFNERGIRFQ >cds.KYUSt_chr2.33357 pep primary_assembly:MPB_Lper_Kyuss_1697:2:205827733:205830189:1 gene:KYUSg_chr2.33357 transcript:KYUSt_chr2.33357 gene_biotype:protein_coding transcript_biotype:protein_coding MACRALALRSLLLPDPLHHLTLRAAASAPAARSRCGRRPRRGLRCCSSESGAGDPGQPPQEAVLEAISKVAMSKGRVALTTNMVIGGTVKDDSGDEWLNLDQKVNSYPTDRGFTAIGIGGEDFVHAMVDAVESVLQEPIPKGQVTQKISSRGKYVSVKIGPIRVVSSEQVQAVYRAMRRDNRMKYFL >cds.KYUSt_chr7.9748 pep primary_assembly:MPB_Lper_Kyuss_1697:7:59670617:59672761:1 gene:KYUSg_chr7.9748 transcript:KYUSt_chr7.9748 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLRRFWGEWEIRVLLIVSLWLQIFLLLAGGLRKRSVTTWLRMLLWLAYLLADSIAIYSLGNLSQNQKRSGSLDQEMHLLAFWAPFLILHLGGQDTITAFSIEDNELWLRHLLSLVSQVALAVYVYWKSRPTGAGLLIPAIIMFVSGVIKYGERTWALKSASMSSFRSSLLTPPDAGPSYAKFLEMYQSAIDSGLHAHIVIVPEEPCDGGVQVEEERIRYRDLVYTSYKFFETFRRLFVDLILSFQDRADSLAFFRKLKMDQAFKVVEIELMLMYESFHSKCVVIHGPVGRILRVFTLAAPAVSLVVFAAWEDKRGYKKVDIVISYVLLGGAFLLETYAILLMIVSPWSYVYMRDKQGDGWSARADKLFGWLSFFQPERRPRWSNQMAQYNLLTYCLKDKPRWYTRAMEELEWKHNIRLKTMWDSFWYTNHTDVSLVLKNLIFQQFKEKANSTSDPLSYRQFGEHRGQWILQRNGCYQQLGWSVELEFDESMLLWHIATDLCYYGERRDNHDFSESDSATSGGCFWSYEDFDGLWSFIEVEYRPLQSLSKQISNYMLFLLVMRPFMMTATIGQIRFGDTCAEAKTFFHRGADDDLTEVQGAEMLSAVQTNNIRPRDVKGDRSKSVLFDACRLAEVLRTLHPQAKRWRVISGVWVEMLCYAAGKCRGNFHAKQLSQGGELLTVVWLLMAHFGIGEQYRVESGHARTKIVIET >cds.KYUSt_chr4.53618 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331701602:331706386:1 gene:KYUSg_chr4.53618 transcript:KYUSt_chr4.53618 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGRRRGRRAHTADEAAAVLRKAWCRLRLSARDPARVPAWDAVALTAASPEQAALYGRQLARARRLGRFPPSTAALAVPDPGGARIGSGGATLHAVASLVRHLLSQASEEEIAEFFPEANGSSPADLPLGSVIRFMATKHVLLLHAGGDSKRVPWANPMGKAFLPVPYLAGDNPDGPVPLLFDHILAISASARQAFKNQGGMLIMTGDVLPCFDASDLVLPDDAACIVTVPTTPDVASNHGVVVASKDGTEGENYSLCLVDNLLQKPTMSELVEAQAFLDDGRPLLDTGIIAVRGKAWQDLVALAYSSSQAIIREIITSRNELSLYEDLVAAWVPTRHEWLRNRPFGRQLIAALGRHKMFSFCSYDFTFLHFGTSAEVLDHLVATYSALVGRGHMCWIPETTPCDIAATTVILSSKISAGVSIGEDSLVYDSSLSGRVRIGSQSIVVGVNVHELIEDTPQVISNTCFTLPDRHCLWEVPLVNSMGRVMVYCGLHDNPKVSIKRDGTFCGKPWKNVLEDLKIQETDMWDSSNHDKCLWNARLFPIMSPSEMLNVGMWLMGSGNDPDGEVSCMWRKSQRVSLEELHRSIDYHQLCMDSSKHQADIAAAIAKRCMTNGLLGRNLFQLCEEMLVNNDSSLQVCKELLAFFPSHGDQYSGVLPQSRAYQVKMDLLRASGDLSTASMVEEKVWASVASETSSAVKYGSKEPSTDAMSTSNGNLHPKKAMVELPVRVDFVGGWSDTPPWSLERPGCVLNMAISLEGSLPVGAIIEATEDHHGVLIEDDTEQKVYIDDVSSISHPFSEADTFRLVKSALVATGILGHRILSESGLKIRTWANVPRGSGLGTSSILAAAVVKGLFQLMEDDASDDNVARAVLVVEQIMGTGGGWQDQIGGLYPGIKCTESFPEQPLRLQVVPLLASPQLIQELEQRLLVVFTGQVRLAHRVLEKVVTRYLRRDSLLISSIKRLAELANTGREALMNGEIDELGGIMLEAWRLHQELDPFCSNRAVDELFAFAAPYCCGYKLVGAGGGGFALLLARSLSCAGELRRALRESAAFDVKVYVWSVAMPR >cds.KYUSt_chr6.10254 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63141317:63142207:-1 gene:KYUSg_chr6.10254 transcript:KYUSt_chr6.10254 gene_biotype:protein_coding transcript_biotype:protein_coding MANYSLAGDCSGEVPASSSSSSSSSAPATFPSFWPPFPSFLSDSDSDAPFPPPRCATAAQQDPAAAFLGLDFHADDDEDGAVTWAAPDEAGLPLCWDCLQLEEHEEAHAHHQRWDGLGLSDAEEWEQVAAGRDEFEAAAVRSLEWEVLLAANSLLLDDDDHSGVDTYFLDDAEDALFGQLAAEPEHEPPAKGGRAAARAAIEGLPTVAVGESGGGVAQCAVCKDGIEAGEAARRLPCAHLYHGACILPWLAIRNTCPLCRHELPTDDAEYETWRARRAAAAGDDGDDRYGQRRSSG >cds.KYUSt_chr4.49558 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306838205:306840439:-1 gene:KYUSg_chr4.49558 transcript:KYUSt_chr4.49558 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKKAMSPYEAERERTVQENKRKMEALNLRQLSAAVAPNTPSPMVTHPSSSSSSSSLPCPVPFPLPPLRSLVINRVFRFLFASAQKHKRRRIFHAAAVVAPSPPRRSRRLADLPEIKYADACAEVDGERVGRWSPRKRSGSIYLARPGTTSMKARLEAETKAEELEAQLDPEFPSFVKRMLHSHVVRGFWLGLPSHFCDMYMPKQDCTITLVDDKDEEFGTNYLAYKKGLSGGWAGFALDHVIQDGDATVFQLIKPTTFKVHIIRATVDDDNEEAE >cds.KYUSt_chr6.22007 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138948537:138949722:-1 gene:KYUSg_chr6.22007 transcript:KYUSt_chr6.22007 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPDGGWRLSTGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRRWERELAAYDGPPPPPTRNNAAGRRRWWSAPGRTLEAVVEHIEGGNSPVLTMPPLSSSRASASRRRGSVWQPRRMAASSSSSGSASRSSLAPVKREEASSPSTPVRVKKEPASPPATRGRSSGALVIREQPSAPQSGRKKTKKEAAAASQLAEEEAKRAEEAAMAEAIARSLADMEEEKRADDAAPDRPSATRAAPGGGAAAAAAGPGRRTPTRRPRRSNRQRRCRAGSSQAAQPKANDDGSDDDGDGDYTVFYRHLGM >cds.KYUSt_chr1.15009 pep primary_assembly:MPB_Lper_Kyuss_1697:1:87342025:87342441:1 gene:KYUSg_chr1.15009 transcript:KYUSt_chr1.15009 gene_biotype:protein_coding transcript_biotype:protein_coding MPATGGEAGKGHLGGKPTGGGMPMVRRGTGAASPPDLDRKFLHRLRSTVKFTSSIVSRGAGLSDRTSTRWPSSAATVAWDGGHAVEEEPDRVAWGGGEAEAARVGRDGGQGREERGGRGRDRSRKEVRHLLDRWAWPM >cds.KYUSt_chr7.16918 pep primary_assembly:MPB_Lper_Kyuss_1697:7:104808600:104812476:-1 gene:KYUSg_chr7.16918 transcript:KYUSt_chr7.16918 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRAAAAIRQRRSGPLLPASRSMASLFGHVEPAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLECVREAERRIAGSTNMEYLPMGGSAKMIDESLKLAYGEDSEFIKDKRIAAVQTLSGTGACRLFADFQKRFLPDSQIYIPTPTWANHHNIWRDAQVPQRTFGYYYPDTKGLDFAGLMNDIKNAPDGSFFLLHACAHNPTGVDPSEEQWREISHQFKLKNHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCDDEMQAVAVKSQLQQIARPMYSNPPLHGALIVSTILGDPALKTLWLKEVKGMADRIIGMRKALKENLEKLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTKEFHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKPK >cds.KYUSt_chr7.36833 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230166721:230174115:1 gene:KYUSg_chr7.36833 transcript:KYUSt_chr7.36833 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRGSRKPRSSAVLADPQYVLGVIESLKTKVIEDRFTCSKKKIEENRSKLTTVTRNTSNTSEARYEILHGEKTEGSVKEMSKLNANVEDVYRDKDLDAALDSFDNLFCRRCLVFDCSLHGCSQDLLFPIYPSTRGTQKKDAPQMENTALAEDHNDSTEGKNTEHSATYGHDSLQKEESADGNICRQEDNGRSWKVIEKGLLVKGVRKCAIIVFEVVIVQRASVAVASAHALLLTGNVIPMCAETAGCGDGTLGFPKERGDNYECRNMKVLLKQQQRILLGRSDVSGWGAFLKNAVGKDEYLGEYTGELISHTEADSRGTIYDLENSSFLFNLNNETLAVAYATVSMQFVLDARRMGNKLKFANHSHDPNCYAKVMFVAGDHRVGIFAKERLGVGEEIFYDYHYKPEETPVWALKPDAPGAKDPGQRSCRRGKKPGAPGVKDPGQASSARAKKPDAPGAEDPGQPSCAQAKMPAL >cds.KYUSt_chr5.39826 pep primary_assembly:MPB_Lper_Kyuss_1697:5:251765535:251769092:-1 gene:KYUSg_chr5.39826 transcript:KYUSt_chr5.39826 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKRLPYTTAGAGGGARRGSGTVPPVVVLVFLFVVAPSIFLVARSGGRVHVHVASDPKGANGNQETDWQQQLVTNNLKTVLSKEVIDAIAASQQETGTLNLDFFRDHASPSWKTDDLVDHKMNTSLDVDDKAKAENSTAEHDLSLTYKPPKDGSDGHQGDTAVKIARRKLREQRREKRATDLVLKDDEAHVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKHDLYQELQSRIKESQRAVGEATVDADLHHSAPEKLRVMGQVLSKAREEVYDCKVVTQRLRAMLQSADEHVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPGSENLENPALYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQIYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKQWKKKDITGIYHKWQNKNEDRVLWKLGTLPPGLMTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVAHYNGNMKPWLELAMTKYRPYWTRYIKYDHPYIRGCNLSD >cds.KYUSt_chr7.27837 pep primary_assembly:MPB_Lper_Kyuss_1697:7:173757117:173758234:1 gene:KYUSg_chr7.27837 transcript:KYUSt_chr7.27837 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTKLLAVAALLATASLGAVLLSSTRGAGVPWGGASSSNIILTTLPFSPMDVLPLLPRRISMAALRGASDIFPVFVGAATAVPAADAAASSGVVGWKGACFYENEAWLEFNNDSGTAYGGGTVHIKVRRRELTRASGERDLATSCDAEKIHCYFVFPQVALGISVVRYRGGVGGLCPPRSPLNPVLLPLELWHQYLPPVHPENQYRSLAYAATREVNSYSEPVPGLRSCSVTLLPGCAHDSGLVSLSAVDF >cds.KYUSt_chr2.38404 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238055465:238064120:-1 gene:KYUSg_chr2.38404 transcript:KYUSt_chr2.38404 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESSAAQEVSVDWRGRPCAPGRHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSEAANVVTNFVGTIFLLSLIGGFLSDSYLGCFWTMLTFGFVELSGFILLSVQAHLPQLKPPPCNMASMDGSCEQAKGFKSSIFFVALYLVALGSGCLKPNMIAHGADQFAGGADNSKRLSTYFNSAYFSFCLGELIALTALVWVQTHSGMDVGFGISAAAMAAGLISLVSGAAFYRNKPPQGSIFTPIARVFVAAFNKRKEICPSNPANAGVCEPARLAGNFSHGNKFRFLDKACIRDAAQQGASNTKPESPWRLCTVAEVQQAKTLLAVTPIFACTIVFNTVLAQLQTFSVQQGSAMDTAIFGGSFQIPPASLQAIPYAMLLVLVPAYELLLVPFMKRLTGTRSGITPLQRIGVGLCTVAFSMVSAATVERRRRDLAASGTQMSVLWIAPQFLVFGVSEMFTAVGLIEFFYKQACAGMQSFLTALTYCSYAFGFYLSSVLVTTVNRVTAKHGGAGWLGDNDLNKDRLDLFYWMLAVLSLINFFCYLLCAKWYNSGVDGSDAASAQIAAEGNGKEIS >cds.KYUSt_chr5.17717 pep primary_assembly:MPB_Lper_Kyuss_1697:5:114330195:114332653:-1 gene:KYUSg_chr5.17717 transcript:KYUSt_chr5.17717 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLEEGAAICSGGEGGDDARPLKPLWMRPANHPTADDSVSPRPETVVLDTMAYIDDEANGTTAYGTRSDEKSKIQVTFWIAQPPRVSYFTVHCPGLKPDAFPKLPRVVATEDGLALLRVTVFPEHNLEEGRVNEFFIYRAGTDNKQPTLQLLPNPDPRYYADNEVGILNLPADDRFFVVVLNRDPFTTPVTGHIHMFDSETWKWDTKPVSPPESYCYKITDKVFKIGGRYGGSMGWIDFWNGIFIYDVILGGNTISYKALPSVGLPSLKGLGSTVRDIVMVNGFIKYFCMFSPGDDTTNVSGDWAAYAWRMKYPLQDWQQDCHFNASQIMGNQKHSGRLQDKPEGVEKPDLMLRAGSPVLSLHDADVVYILTKPMYNDDMAWVHAVNIRTKTVQGIAEFSPDRTVGLMFSCTQSSIFKPKVIEVNEEDSWTHVPLKKKNGAPAGAQQGLPRHEGMHIGMGQRRHQMGTNNGRGSGTLGGRGGKPWSRPHNGAGRGGQVHQNIAFTT >cds.KYUSt_chr3.215 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1261370:1262488:1 gene:KYUSg_chr3.215 transcript:KYUSt_chr3.215 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRKNVAAEDAGDQPVYLVVEHKVKEPSHSIVITGTTTPQVMIPLRHAKRGMSFAVVDSRWIVGVGGHYRCHFTIYDLTTSTESGGPWLYTNKVNPVLIPHRDMLDLHPLQPPQDRRRCRFPALVRGDRLQGRPSCFPSRKLPPPPIFPYCINPLEYLHPPDVRYTAHAVVGSHILLSVSYTAASYSLRLRKQLQMLQIPPEPEEKGTCAFDVDTREWEMVDSKNLPFVGEARPLGVGGHLFAAARSSDGATAVYHIEILTCDSTKKTHLSILELPMEGRRIIQGQLFSFSGAGRLCTFDVRFKDPVNGGALRKAFVVHSTYDVKVVDANGETIDALASLVVKRRRRSYKLVGRSHLLAYPAPVVSALTL >cds.KYUSt_chr1.35566 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216826415:216828719:1 gene:KYUSg_chr1.35566 transcript:KYUSt_chr1.35566 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLGAAALASVQLPRPHPASRLSRGASPPLPFPFLHVGPRDTARVPGAAVCSPSQSSAIGVSSEVLRRNVLSTLLSTSAVLFFGPKRITLAETTGGAFREYIDTFDGYSFLYPKGWIQVRGAGADIFFRDPVLLDVNMSVDISSPSSSNYKTVEDLGPPEKAAKGVLKQYLTEFMSTRLGVRRESNVLSASSKIADDGKLYYEVEVNIKSYASNNELAVMPADRVQRLEWDRRYLTVLGVENNRLYALRLQSPERLLLEEEGDLRRVMDSFRVNKIEA >cds.KYUSt_chr7.3714 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22118119:22137620:1 gene:KYUSg_chr7.3714 transcript:KYUSt_chr7.3714 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGSSPDDAVLFFGVALVLGIGSRHLLRGTRVPYTVALLVLGVALGGLEYGTKHGLGKLGAGIRIWAGINPDLLLAVFLPALLFESSFSMEVHQIKKCMAQMVLLAVPGVVISTVLLGAAVKLTFPYDWNWKTSFLFSGLLSATDPVAVVALLKDLGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGTTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEISLTLAVSYIAFFTAQDALEVSGVLAVMTLGMFYAAFAKTAFKGDSQESLHHFWEMIAYIANTLIFILSGVVIADGVLQDNIHFERHGASWGFLLLLYVFVQLSRAVVVIVLYPLLRHFGYGMDVKEATVLVWSGLRGAVALSLSLSVKRASDAVQLYLKPEVGTMFVFFTGGIVFLTLIVNGSTTQFLLHLLGLGKLSATKLRVLKYTRYEMLNKALEAFGDLRDDEELGPADWITVKKYITCLNNLEDEQAHPHDVPDKDESVHTMNLKDTRVRLLNGVQAAYWGMLEEGRITQSTANILMRSVDEAMDLVSGQSLCDWKGLRSNVHFPNYYRFLQMSRLPRRLVTYFTVERLQLGCYICAAFLRAHRIARRQLHDFLGDSEVARIVVDESTAAGEEAKKFLEDVRVTFPQVLRALKTRQVTYAVLTHLSEYIQDLGKTGLLEEKEMAHLDDALQTDLKKLKRNPPLVKMPRVSELLNTHPLVGALPAAVRDPLLSNTKETIKVHGTVLYTEGSRPTGIWLVSTGIVKWTSQRLSTRHSLDPIFSHGSTLGLYEALVGKPYICDIITESVVHCFFIEAEKIEQLRQSDPSIEDFMWQESALVIARIFLPQIFEKMAMHEMRVLISERSMLNIYIKGEDIELGHNYVGILLEGFLKMNNHLITPPAVLLPSNTDLNVFGLQSSVMNHIDYCYTATSYQVEARARAILFEIRRPEAESDLQRSASLLSPTLGPSRTQSKEHVGLVRWPESFRRSRGPGNPSLVDIGSQSFSARALQVSMYGSMMDDMHPAQRRQRLHPVKANQEHSASYPKVPSRAMSNSRPLLSVQSEGSNLMNRKSAPAAPAIAPFPPRRQRRAIAEEDNSSDESVEEEIIVRVDSPSMLTFHPPSQGS >cds.KYUSt_chr4.3643 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20668822:20674847:-1 gene:KYUSg_chr4.3643 transcript:KYUSt_chr4.3643 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEGSSTAAEMEVDLELSRGGEGPSFEFEFAFNSQNFSDRLLRIEVVASEDAGGRSLPEPDSSRHEEKGLPCASMRHPGGRKVRHELYEFVGSAGPDGPGVFMVLRPDALQRARIMVAYADQQQEEEWNEEDEEEEGEEPPVPVAKRRKPAPAVWPKEDECLAEAWKVVCLDPLTGANQNSCTYWVRVKATSDELKLVDPDFNKLFSNGMKESDQTHPTLRIANSEENAVMELLSFMYNGKLTTPEPARLLDILMAADKFEVLSCMRLCSQLLAKLPMTTESALLYLDHACSISMASEVHCLIVRAKKFLANKYKNYTKFQHELMNFPLVGIEAIFSSTDLHVTIEDNIYMFMLTWARARYPELEERRTILSSHLLPLVRFTHMSCARLQKVLTCTDDDIDHDQVNKVITTVLLHKAYPAHVHGVLGADATTCWQFAERTYMCKPVKVVAFDGPCPQVIAYWDLKREECARLFQPGQVPSQPLPRLFQSGQIISHPLNLAGLDFTLVARCNIDQPSGLYSFGLFLVIIEKLKGSVTVDYEFAARTRGSGKFVTRFESKNTFTGSLMIGCDDVFGIPWKMFIADDNLFIDDVLHLRADWRVLEQQEPQA >cds.KYUSt_chr4.43706 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271002746:271003378:-1 gene:KYUSg_chr4.43706 transcript:KYUSt_chr4.43706 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRTLRPRLLSQRRHLLAGIAAASAVTLLFIVLLLLSLPSSSPPMRHDIHTTSSSSHDPPAHCAAMSASLGEFGEMMLSMLPRDLAFTAFVPSPESFLRVLKLQSNGSAAEGKARDDTYAVVSRVLGFSAVPRRLHSEDVPLRERVRLLDSVSGMKIYAWRDADGALVVNGVRSECGDIVRDQTVVHVMAGVLMDAEFERSFLPAAED >cds.KYUSt_chr1.28057 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169208509:169211106:1 gene:KYUSg_chr1.28057 transcript:KYUSt_chr1.28057 gene_biotype:protein_coding transcript_biotype:protein_coding MESGALLLGVFLALLCVGVVRAADTVAVGRPLTLGQTLVSPGRKFAMGFFQPDGGAAGSWYMGIWYHNIAVQTPVWVANRDTPVFDPSSSRLAITPDGNLALFDGTGSIAWSTKVNTSAIANATDTVAVLLDTGNLVLSPASNASAVLWQSFDHVGDTWLPGGKLRRDKRTGEIQGMFSWRARGDPAPGMYALQLDPSGAPQYVLVANGTREYWLTGNWTGKFFTGAPEVAASSGSSGYSFAFVDNDEESYFTYNFAVNTTVYRFVMDVSGQVKGWFWVEATQGWNLVYAEPKARCGVPRGCGAFGVCSAGEATACDCARGFRPRNPANWGSGDYIDGCVRNAQLQCAKNSSGGVDTATGLKKEGQDKFLRMDGIGFPDDGRVVGAASTGDCQSACLGDCTCSAYAYNTSCFLWHGDLQTLQAGIGDDQAGAGSLYLRLAASEIPGARSHKWRNIKIAAGALGIACFVVAASILLVHTTRKRRTARVNGLAVGDGCVSYKYSDLQYLTKNFTDKIGAGAFGSVFKGQFSDSTVVAVKKLEGLRQGEKQFRAEVSTLGTIQHVNLIRMLGFCSDGGADRKLLVYEYMPNGSLDRHLFRKTFYVLSWQVRYQVGIGVAKGLAYLHERCRDCIIHCDVKPENILLDADFAPKVADFGLAKLVGRDFSRVITTMRGTVGYLAPEWISGEAITAKADVFSYGMMLFEIVSGRRNFEQGERRFVASSSACATGAEEQATTTTAFFPLLVARRLAKEEDMMALLDPELEGDASADEMRRLCKIACWCIQRDIDARPTMAEVVQVLEGLTDIEMPPVPQFLEVLAGQAIQESVYHSTEQR >cds.KYUSt_chr4.35231 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216424414:216427162:-1 gene:KYUSg_chr4.35231 transcript:KYUSt_chr4.35231 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRHNGSAPSLQTSLSLASLEQVGSPDMQEPASNSDPGHDSATESASSRETWPVEPSKNSGAAAASAIRIVDTDKEAATKGVAEQQIIRRIPNTGRLTLREVARDRVDLIAEKMKVMPDELLDEIKSELRSILEGTGGPQHIEEFLYLQKVVQGRLDLTPTMLLMAHHVQLEILVSIKTGIQAFLHPSVNIPLSHLAEIGTGQKIKNGTGHAEMLFRCQACQRTSELLGWVRDVFQQCAPGWDRDALLRELEYVRKIFRLSEDPKGRNLFRKCADLIERLRNPTAQSMSPRALLQALQELGMDFPKMSENEELGRLITPHEACNRIAEVVQEAVRKMETVAEEKMQMFKKARLAVESCDRELEEKAREARELKAERLQKQQQVEELESMIRLKSAEAEMFQLKANEARQEAEQLQSIALAKSEKAEQDYASMYLKRRLEEAEAEKQYIFEKIKLQDNQRPPAPPQASSSAATGAPAPGDPSQMMMLSKIQDLLKNVRSMPSGMSDGRQSK >cds.KYUSt_chr1.31371 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190269975:190271054:1 gene:KYUSg_chr1.31371 transcript:KYUSt_chr1.31371 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKARIHADPALELEADLFDCLPDSLVLHILNKVEDVRSLGRCSAASKRLHGLVPLVHDVRVKIDHVVTVDGNGDAEDALNLLSSPKPRNVLSHLLKLMLSTIAKPFHSMRGSPTGRPLFPHLAQHSPAQVLRSFAYVRNLQIELPSGDVGTEDGVLLKWRAEYGSTLQNCVILGGTRVDRKPVVGAEHEPASEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLTSLVLTDADGQGTLSMGAEQLKEFRENQLSTSACSNRTQVPACNMKLKYAPYLELPGGIALQGATLVAIKPSTEGSNGGHMSRKETDAFISGAFDGPLKFAAKALMKRRTYLLEMNGF >cds.KYUSt_chr7.30303 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188728717:188732724:-1 gene:KYUSg_chr7.30303 transcript:KYUSt_chr7.30303 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCDTRCGNMSVPYPFGMGPSYCYHSPGFKLSCDHGRLLLGNGTFQVAEHISPSFPRLTVVYTGDIQTDGRGLGTFGAGMGLADDSPFLLSGGNQLVLVGCNVRATLRNGNVTMSGCSSFCPDGVDQEHMYMPSRLKSSMLCSGIGCCQAPIVVNREVLAGSGELVPITSYDLELDSFGWNRSQAREVPARVFIARDGWFAPMSISRQLLSKDYRAAAPMEVPFWLDWEVIVGQQGPSLSPSWECPEDAARTVCRSNHSDCTRGERWGYTCSCKNGYEGNPYISNGCQGKCITSCGNMTLPYPFGIGPLGCYLPGFNLTCDTSNHHTPRLMLGNFQVVDISLLNNTVRVINTGLVLDMYGGRYGTGGGSIEFSLWDEWPYSLSTSNELILMGCGVQAGLLGTGKPAILSGCSSFCPSNEEGTYIVDHDEDDDQYCYGTGCCQARISMSRDGWPKEFWISWMDSNSAWDDRSPHSYALIAEEGWFRKRRVSTELSHHTPSLDIPVVLDWEVMQLQSAAGSRPPANLSSQQQYLKCPGICSRKNSLCKQRIRGYTCHCAEGYTGNPYHVDGCKGGRKYFKGLSAVIGVTSGAGIVLFVLMAILVSKKLKYHREKMMKRKFFEQNRGQLLQQLVSQKADISERMIISLEELKKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKPKKVIQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPMLVYEFISNGTLHGHLHVQGPKSLSWDDRLRIAIETSKSIAYLHSTASIPIIHRDIKSVNILLDDTLTAKVADFGASRYVPVDKSGLTTMVQGTIGYMDPMYFYTGRLTEKSDVYGFGVMLVEMLTRQKPFLYMSSEGDGLVAHFSALFAEGKLSQILDPQVIEEGGKEVDEVAAIAVACIKLTGEGRPTMRQVELALESVQSPKHLGDVAVTKSEKVGPSIDFSWTESGRSYKESTRQYSMEQEFEISATYPR >cds.KYUSt_chr2.31308 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193267316:193268032:1 gene:KYUSg_chr2.31308 transcript:KYUSt_chr2.31308 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVKAILLAVVAVAALAQLATAVDHPVGGSGVKWTTSGGYDAWSGAQKFTTKDSLVFDYSSSHDVVEVSKAGYDACSASGAVATYTGGKTTIKLTTAGKRYFICGIPGHCTAGMKLAVNVVAAAATPATPAKPRGQRSVAPVAAPAPAPAPVGSTTDEQLPNVSSPTGSTPSSAATIGAKAAVAIAMGMAVVFAM >cds.KYUSt_chr2.3299 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19624493:19630143:1 gene:KYUSg_chr2.3299 transcript:KYUSt_chr2.3299 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQSLDKRLCFAYFSSIAASPSSALSHRRLRLLPYRSHATPTRFSAKCAAGSRPGPAAQQDDAAPEYRLPPKEIQEIMDVPPNPSYYVSPRRDRIMFLKRRAMPPLSELAKPDKILAGIRIDPSSNARSRMSFYTGISVHLLMDDGSLGPEKVVHGYPDGAKINFITWSPDGQHMAFTVRYGDEVSNGSNLALWVADAESGQARPLFKSTDIRLNAIFELFVWVDNSTLLVCTVPSSRVDSPKKPLIPFGPRIRSNEQKNVIRMRATKEMLKDLHEEELFNYYATSQLVLISLDGIVMPVASPAIYVSLNPSPDEKYLMLTSVHQPYSSIVSYKRFPRKVELWTVDGRFVREVCDLPLAENIPIAPNSVRKGKRLIRWRPDMPSTFYWVEAQDGGDANVEVSPRDIVYMEPAEPLNGEKPQVLVKLDLRYRKISWCYGLHALVYEYWHKTRRTRTWVISPDCKEFSPRLLFDRSSEDAYSSPGSPMMCRTRAGTLVIAKIKTSEETYILMKGLGATPKGSVPFLDLLNITTGTKERIWESGKEKYYESVLALMSYCPECEIQLNQLKLLISKESRSEATQYYLSIWPDKTEVQLTSYPHPYPQLASLQKEKIRYKREDGVKLTATLYMPPGYNPSKDGPLPCLIWSYPGEFKSREAAGQVRRSPNKFARINNNFPLLWLARGFVILADPTIPIIGEGDQEANDRYIEQLIASAEAAVNEVVRRGVAHRDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFILANKIKKPILLIHGEEDSKVTTAMQSNLILLALDHIGLMKFKSNSQ >cds.KYUSt_contig_2403.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000326.1:36872:39941:1 gene:KYUSg_contig_2403.6 transcript:KYUSt_contig_2403.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLAPSGYRRAPEMKEKVEASFVDLEAGNGETLYPGISRGENALRWGFIRKVYGILCAQLLLTTLVSAVTVFHPTLNATLSSNPVLALLLAVVPFILMIPLYHYQHRHPHNLVFLGLFTLCLSFSIGVACANTEGTIVLQALVLTSAVVVSLTAYTFWASKKGKEFGYLGPFLFSALTILVITSFIQVFFPFGPASNVLIGGFGALVFSGFIIYDTENLIKRHSYDEYIWASVELYLDILNLFLTILQMLKQNDN >cds.KYUSt_chr5.33016 pep primary_assembly:MPB_Lper_Kyuss_1697:5:209355488:209357670:1 gene:KYUSg_chr5.33016 transcript:KYUSt_chr5.33016 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATLGLRVVQVQYPRESESPGSCSSWVVEMEKTIGDMNIDPAVEMVRWKRHSIYRVPERIRNLHNSKSYQPELVSLGPFHHGDQELLPMEEHKRRAVVHLVKRSGKPLRDFVAAVAEVTQQLQDAYKDLGDEWRGPENSDRFVELMVTDGCFLVEAMRMDALRGKVHEDYAPNDPVFSKYGYLYLWNYIQSDMVVVENQLPLLLLQRLLVVLDHDRYQILLISSGWMNILAANGFGRGNLTVAEAGALYSARYHVLTDMRLPSSGDWKMADNAIGVPPPPGPSAYA >cds.KYUSt_chr2.8162 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51371437:51375428:1 gene:KYUSg_chr2.8162 transcript:KYUSt_chr2.8162 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQVLVFLLLFGGGAAADDVSSLLEFKKGISDRDQSPVLGSWSPPDTPDAGGGGDACPAGWRGVVCDGGAVVGLTLDGLGLAGELKLTTLAGMRALQNLSLAGNAFSGRLPPAIGYLSSLRHLDLSGNRFYGPIPGRLADLAGLVHLNLSCNNFSSGFPTDGIRELQNLRRVDLRSNSFWGNAGDLLTELRNAEYIDLSDNLFTGAVDLELDSLTSIGNTARYMNLSHNKLSGGFFRNETVGAFKNLEVLDLSNTGIAGMVPRLDSWFSLSVFKLAGNGLFGMMPETLLHSSMRLVEVDLSRNGFSGLMPVVNSTTLKMLNLSSNMLTGSLPSTVGKCTSVDLSGNLLTGELAILRSWDGIVEGIDLSSNNLVGSYPNDVSQFQNLVSLKLRNNSLSGSVPSVLGTYQKLSFLDLSLNALGGPVLPVFILSPTLTVLNLSGNNFSGIIPFQSPHSTESIMLSSQPALKIVDLSSNSLSGQLPPEISNLQRLESLSLAMNELSGEIPDEINKLQGLEYVDLSHNHFTGRIPDMPQTGLKMFNVSYNDLRGTLPKSVEKFPLSCFKPGNDFLIFPDGLPTGNINDPGVAQSQASQGHGHKAGVKVALIVGCIGAVLLVIFIALAIYVVRSQELCGRNGFRGQLTIRDLKVGKLSRPNLFKTPKDNVMPTKTSFSNDHLLTSAARSMSAQKELLAEAAVEYGYTDPKEAVESTSSGVAGTSAVVSARESSPRSALPSSPHFADSRFHEEPVTFEVYSPDRLVGELIFLDSNLVFTAEDLSRAPAEVLGRSSHGTTYKAALQSGHVLTVKWLRVGLVKHKKEFTKEIKRIGTIRHPNIVSWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVCQRLKIAIDLARCLQFLHHEKGLPHGNLKPTNIFLTGPDLSPKLVDYCLHRFTTSSGIAEQILNLGALGYRAPELATAAKPMPSFKADVYAFGVVVLEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDIAGLEESPRVMDELLAISLRCILPVNERPNMKTVCDDLCSITA >cds.KYUSt_chr4.8087 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48221810:48222022:1 gene:KYUSg_chr4.8087 transcript:KYUSt_chr4.8087 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLVISLPFIFFTILLGFGCYFLGKHRGREEMRAGVGAQIYGTPLPPPGVAGSSPGPYPLTKEGPANV >cds.KYUSt_chr7.32088 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199841554:199850672:-1 gene:KYUSg_chr7.32088 transcript:KYUSt_chr7.32088 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGASWAVVEARQEREGDRGCLNGCWSAPGQKDWWWSSILIWPERGDLLVVKAAIEAEISNPRRVDDEGQVSAAAAVPVRSRSGAPRDPPVPTPTMEAMEELSQLSESIRQAASLLADDDPSDDSAPRRPSTFLNAVVLGNVGAGKSAVLNSLIGHAVLPTGENGATRAPIVVDLQREPGLSTKSIVLQIDSKSQQVSSSALRRSLQERLSKAATSGSGRGRAEEISLKLRTSTAPSLKLIDLPGLDQRVVDDSMINDYAGHNDAILLVVIPAAQAAEVASSRALRLAKDIDSDGSRTIGVLSKIDQSEGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIAAAQSGAVGSENSLEAAWQAEAESLKSILTGAPPSKLGRISLVDTIAKQIRKRMKVRVPNLLTGLQGKSQMVKDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKIVLEMAKEPSRLCVEEVHRVLMDIVNASANATPGLGRYPPFKREVIAIASNALDNFKSDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDEMKNRPSKKGQEAEQSMTNRASSPQTKSEQASGKSTKEKSSQQDKDTKEGPNLQVAGPAGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPSKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESIADKVEWVNKIKAVIQSKGGSFKAADADGGPMKQGQPEGSVDAMVRRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYTSISQLQALPLPRAIGTGEVTTYQPLPLKFDQLLSSRPPLVTMVSSDSCSQIWRLQATKGAHGHRAALVRTGGRPSTLQQMAQLLLLNQGREVLMVAVGALKMEMRADAHQTGCHQRHQDTNHAD >cds.KYUSt_chr3.2948 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16944138:16945838:1 gene:KYUSg_chr3.2948 transcript:KYUSt_chr3.2948 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPQVGVRLFGDDLNAQTAMNPLSCHPAQTLVPTRPNPIATQNPATETMLATVEGQGLLRGWPRARRKALLAAGHAPTNMLLLPPVSSNRIWAPSCCLWNQAGWYLVAMASQIQIISEEENERLNGIARGGLRWPPISYEVFIPKEHLTYPADFFAAYASTVLTNSIVFKFNEYRS >cds.KYUSt_chr3.5716 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32318470:32319996:1 gene:KYUSg_chr3.5716 transcript:KYUSt_chr3.5716 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSELHFVLVPLAAQGHIIPMVDVARLLAARGPMVTIVTTPVNAARNTATVDGARTAGLPLELVELPLPGPQHGLPEGMEAIDQLTGHEPGMYIKFFHALWDLAGPLEEYIRALPRRPVCLVADASSPWTAPLCDRLGIPRLVIHFPSAYFQLAVHCLTAHGVYDRVDEMEPFEVPGFPVHAVGSKATIRGFFQYPGVEKEHQDALDAEATADGLLFNTFRGIEGPFLDAYAAALGKKTWSVGPTCASSSMVDDRDSKAGRGKPADVDAGHIVSWLDARAPASVMYVSFGSITQLTAKQLSELARGLEASGQPFVWAIKEAKTDAAVRALLDDEGFEARVQDRALLVRGWAPQVTILLHQAVGGFLTHCGWNGTLEALSLGVPALTWPTMADQFCSEKLLVDVLGVGVRSGVKIPAMYVHLPKKAEGVQVTSGDVEKAIAELMGDEPEAAARRTKAKEIAAEARTAMVEGGSSHSDLTDLISYVSELSRKRGHENSTTLPSAVAKL >cds.KYUSt_chr7.19510 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120931194:120933109:-1 gene:KYUSg_chr7.19510 transcript:KYUSt_chr7.19510 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQLNEMAMVAVNTFGTLQRDAPPVRLSNSYPDPLNPNPNPDDPASQPPPAPGAAAAAAAAAPPPPQAPPQPALDLAEQPKAMSHALVLAAKKFDALVAALPLSSEEDQLKRIQELQAENEDVGLELQKQLEAAELELQQVEVLFNEATDNCINMKKPD >cds.KYUSt_chr5.22196 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144854548:144863904:-1 gene:KYUSg_chr5.22196 transcript:KYUSt_chr5.22196 gene_biotype:protein_coding transcript_biotype:protein_coding MILHFADIQNRQVRLFDVHFNGMVHQNYSPPYLSAGYLYNTDWYRSTDGNYNITIQATNKSMLPPMMNAYEIYNRIPHDTPSTFSKDFSTYDAPRPAKPHSAPVSITSKGDHLHNSENRQFTYKELEKFTNKFERSIGQGGFGLVYYGRLEDDTEVAVKMRSEHSSHGLDEFLAEVWTICTRDAAYNNSSRCENQQHSLRYYHTGWLTESSDVYSFGVVLLEVATGEPPILPGHGHIVQRVKQKIATGNISMVADAKLRGAYDVNSMWKLVDTAMACTADASIRRPTMAVVMAQLKESLALEESREDNSIQGSFTSTTGGPVSTFGPSAR >cds.KYUSt_chr7.36224 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226443685:226446410:1 gene:KYUSg_chr7.36224 transcript:KYUSt_chr7.36224 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAVKAGSRPPWLGLGAAVWVQASAGTSSAFALYSHALKVAFGADQSQIALLGVACNVGDSLGLLPGVVCNKLHPALLLLVAAASGFLGYGATWLAVSGIAPALPYWLIWIALCVGSNSGAWMSTAVLVTNMRNFPLSRGAVAGILKGYSGLSAAVYTAIYTGALHGSAANLLLFLTLGVATVCLLAMYFVRPCEPSLVENSSERVHFLFVQISSALLGVYLVAATTLDNFVTLSPALNYSLLAIMVILILSPIAIPVKMTLFRTNQRKGSSAADNDHTEPFILPSSTEPNLGNIEDEDPADIDVLLAEGEGAVKQKRKKPRRGEDFRFREALLKADFWLLFGVFFIGVGSGVTVLNNLAQIGIAAGSADTTIAVSLFSLGNFFGRLGGGAVSDYLVRSRTIPRTVLIIGTQLVMIINYLVFALGLYATLYISVGILGICYGVHFSVMVSTSSELFGLKQFGKIYNFILLANPLGALVFSSLAGYIYDLEAAKQHSAGAVGDSENVTVCYGPSCFRLTFCVLSGMACLGTLLGVVLTVRIRPVYQKLYGGSQPRISGH >cds.KYUSt_contig_1253.428 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2636007:2639960:1 gene:KYUSg_contig_1253.428 transcript:KYUSt_contig_1253.428 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEGMDKVVATVSGYHGDERHRLVKLISETGASYVGAMSRSITHLVCWRLEGKKYDIAKRLQTIVVSHRWFQDCLKEGRRLPEGPYMFESGEEAGSVPELPALPVSGKRSKINASMEDRCLKELPTDFCSTSDARDVVKIDDSDSDFELQGWLDSSLLKENFVVPGNSKNIRSRDVKGGKKRLKRDKSTDKDILHHRDKAPGFMVISEGLPASRYSSSRSTSKQKGNVSRLLHNEAASRMGKTNDPLGKESRSKHARYLMELSDDDSLTDSFEKPQTLDTLSTEARRKIRSTNASSSYRQSTLESIYGYSETSMHDYDSAKSEEQGNIGLGERAGGLQPGDLSVDEPSFCTQEQSNLDIAADDEKGDDEGYDLPGPSSTEGACFQCHSREPEDLLQSCQVCRAQWVHSYCLDPPLFPWTCIHCRDLRRMYQRYR >cds.KYUSt_chr1.17283 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100311770:100312051:-1 gene:KYUSg_chr1.17283 transcript:KYUSt_chr1.17283 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASMHQREAGVDGMAQTEGLAAAHHQPMPEYCGKPTRRGRPQRRPSHPR >cds.KYUSt_chr7.15141 pep primary_assembly:MPB_Lper_Kyuss_1697:7:93992354:93994222:1 gene:KYUSg_chr7.15141 transcript:KYUSt_chr7.15141 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTTTVTEPDNADASTPPPSSPSTKKAMYELAARNIYYAKPVAAPTSSLAQLLRPCGAALATPDYILRDVSLLARAGEILAVVGPSGAGKSTLLDILAARTAPTHGRLLLNSAPLRPSSFRRLSAHVPQVDVALSLLTVAETFTFAASLLLPSSASAASAAVTTLLSDLRLMHAAHTRVSASRLSGGERRRVSIGLALLREPGVLLLDEPTSGLDSSSAFVVVGCLRAVAAARGTTVVLSIHQPSARLLSEVDSLLLLSRGTVLHHGSLASLDTALLSHGLTVPAQLNPLEYALEVIDQIPHPSPSSPEPKSAQDLATKPSKHNKAAAMATPPSCTSACSRIHEFLVLYKRAWKVVYRSKQLLLTNFLEAVIVGTLLGTIYINAGYGEVGAHKRLGLFAFTLTFLLTSTTETLPTFVTERPIVLAETAAGLYRLSSHAAAATMVFLPYLLVVALLYSSCVYFLVGLCASPAAFMVFVFVVWAVVLTANSFVLFISSFAPDFIAGMSLVSVSLAGFFLFSGYFLSRESTPSYWVFMHYASPYKYALDAMLANEYSCAANRCFGVADAGGECSQTGLDVLSSKGLTAEERWTGVQVLFGFFLLYRVLYWVVLSRRASRAKR >cds.KYUSt_chr1.38890 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237651717:237652750:1 gene:KYUSg_chr1.38890 transcript:KYUSt_chr1.38890 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLLKGVHKRLGLPTINISCSSADATSVVASTGPGSGSSSRFIDRHSPRLRDPHRTSTSASTKPPRPRFDSDNARDSLASSPNSNLQQGHGSKKKKKSSTGQAAASANGPRSSEKRLVSPATSSRFLLNSSRMQSDDLGVDMLALPPPPPPPSFIDVFPGKDATLPAWPVHFAEDKDKSSVVQVAETSSSGSSSASSSSEITTAPVDKDGQDQEEVMKRSSSTRRTQAGQVVVVLRVSLHCKGCAGKVKKHIAKMEGVTSFDIDIASKKVTVVGAVTPLGVLNSVSKVKPAQFWPSPPRTTCPPRASASF >cds.KYUSt_chr7.16996 pep primary_assembly:MPB_Lper_Kyuss_1697:7:105322714:105323019:1 gene:KYUSg_chr7.16996 transcript:KYUSt_chr7.16996 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRWLQRQGQCAEEVGQAVARAQGDVKQCLGHVMERHRGCEESSDETDVVPCWPAAAWEAVRAGQNRHVGVGALCLCPWSRGRGIGSPHLCPAETLLLR >cds.KYUSt_chr6.10366 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63929935:63935093:-1 gene:KYUSg_chr6.10366 transcript:KYUSt_chr6.10366 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSISAATAGGRPPLFATERPKRMVAYRLYACTIFAGILLIWVYRATHIPPREGNSLGWRASLGLLVADVLFGLYWVLTLSVRWNPIRRTTFKDRLAESYGEDELPGVDIFVCTADPALEPPMLVISTVLSVMAYDYPPEKLNVYLSDDAGSAVTFYALYEASEFAKHWIPFCKNYKVEPRSPAAYFAEAATPHDACSPQESLRMKEMYKDLTDHVSSVVHSGKIPEVPESNRIGFSEWNETISSEDHPSIVQILIDRDERKAVDVDGNVLPTLVYMAREKRPQEKHNFKAGSLNALIRVSSVISNSPVIMNVDCDMYSNNSESIRDALCFFLDEEQGQDIGFVQYPQNFDNVVHNDIYGNPINVVNELDHPCLDGWGGMCYYGTGCFHRRETLCGKIYSKDYKEDWARGVGTEQNADELEEMSKSLVTCTYEHDTLWGIEKGVRYGCPLEDVITGLQIQCRGWRSIYYNPSRKGFLGMAPTSLGQILIQHKRWSEGFLQISLSNYSPFLLGHGKIKLGLQMGYSVCGFWALNSFPTFYYAIIPSLCFLGGVSVFPEITSPWIIPFGYVAVAAYSCSLAESLQCGDTAVEWWNAQRMWFFRRTTSYLLAAIDTIGGMLGVSESGFDLTVKVNDSQALERYKKGKMEFGPISGMFVILSTIALFNLVCMVVGLGRVLWREGAEGLGPLFLQAVLCAAIVAINAPVYEALFFRKDSGSLPSFVTLISLCFVSSLCLQAI >cds.KYUSt_chr2.40342 pep primary_assembly:MPB_Lper_Kyuss_1697:2:250594578:250600129:-1 gene:KYUSg_chr2.40342 transcript:KYUSt_chr2.40342 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVYDGYQSDDRQSEEVQSAYKKVSGGDGEIRGFFNLDEAEEHSEENEWSWVPQENDSLAESISSLDKTQEVLERFFKKNEAFEMETQRLSELGLEFVADDKRDVDAVDVLGMDQKMKHLEQKLKEASDTITEKDSRLSELQLLIDDALAPEPQTSPVNIDQLETELEGHLQGKIEAEIQCLVMMKARQSWQVRAEDQVALCEHKLSAAEDTKMLLKLQDTEKKILMLKEQVDRLEAHEKELSVTTEVLRMQSKTFKIGLFGLLQLIMLCVSLKMFFAQDSARFGDVRTSDSKVDKAPNQVTIASRVQKRITISGTEVDIELHRSLDSALITKSGTSEKVLNILLLGDIEAIGGRGNLKPKKVAKMTKINHEKLITKTGLDKGNVLRVVTCDDHVIDVKKKESPATRRCVDKERRIMCARDKTSRGHHRGETLKPGARGLLKAIERAPETTDHAIRNRIARR >cds.KYUSt_chr3.39188 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246910730:246912805:1 gene:KYUSg_chr3.39188 transcript:KYUSt_chr3.39188 gene_biotype:protein_coding transcript_biotype:protein_coding METTRRGRRLLVSLSFLCLAVLLATASTSPATAGRKRRMAGFDYYVLALQWPGSVCRQTNHCCSSNGCCRSNPLNWFTIHGLWPQYSYGGWPSCCRQTTPFNMNKIAMLRPILERYWPSLYCGDSSTCFGRRGPFWVHEVKTHGTCAYPEIQDEYDYFSTALYLYSKYNVTKALRKAHIYPRNGRKYEVGHIVAAIDHAFGRLPHIVCKNGSVQELRLCFHKDYQPRDCGSETDDAWSSSRRSHCPRYVTLPSYKKSGNVKIAS >cds.KYUSt_chr6.23968 pep primary_assembly:MPB_Lper_Kyuss_1697:6:151507101:151511881:1 gene:KYUSg_chr6.23968 transcript:KYUSt_chr6.23968 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVGAAKAASRPSPKRYSGMDPPLSLARPTVFDLQKTAELEKFLVDMGLYEGEEQSAKREEVLREIDAIVKGWVKRLTSQKGFSQHIVEKANAVLFTFGSYRLGVHGPGADIDIVCVGPSYVKREDDFFVALHDILAQMEEVTELQPVPDAHVPVMKFKFHGMAIDLLYASVSLSVIPPDFNISEGSVLSGLDEATVRSLNGCRVADRILRLVPNVENFRTTLRCLKYWAERRGVYSNVTGFLGGVNWAILVARICQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDLRFSVWDPRKNPRDRSHVMPIITPGYPCMNSSYNVSSSTLRVIMEQFKLGNKICQEIDLHKASWAVLFEPLNFFEAYTKYLVVDIVADDDDDLRLWKGWVESRLRQLTLKIERDTKGMLQCHPYPCEYANPSVQCAHCSFYMGLSRKEVTHVHKKQIPHYVFEQGYKKPCPQLHANQQEQSDRNDTEDGTPTASLDGQLKRKCDFDGAGQVESGKSIKRSSVSSGCEESPPDSGNIVSQSVCENPVKSVSSVLCNGVQNSPLHGDVNLESANCSNSPHGSEVSAASGTSCAAMETVDMIDEIAGPESSVPCVMSGAVQAMAVHTPIKCVAQKDEPKFQGIDRLVSSNCAESLEEAETLAGNLLSENMHPSGNGVI >cds.KYUSt_chr6.6271 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37875135:37878935:-1 gene:KYUSg_chr6.6271 transcript:KYUSt_chr6.6271 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSPRLLSTLLADRLGALSARTLLRTGPPARAHRRVTCQATRTLSNLVDALFNRRSRDDSLENNPRRLRPGKVSPRLSIPNHIQRPPYLNSGQRPHMNNGPEIHDEKGIECMRASGKLAAQVLKFAGTLVKPGITTDEIDKAVHKMIIDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGEVDDEAKKLVQVTKESLDKAISICAPGVEINRIGRTIQDHADKFKYGVVQQFVGHGVGKVFHAEPVVLHYREFNMLVLLGAILNTIALLVSMDQRIWNLQETMKGAA >cds.KYUSt_chr7.16482 pep primary_assembly:MPB_Lper_Kyuss_1697:7:102100458:102101033:-1 gene:KYUSg_chr7.16482 transcript:KYUSt_chr7.16482 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQGSDASISPLVVSFPESASSLLKQHTNPPSSMEEERHRTPPPPLAATAGRRKQRSRSKSYQEEGRNLDLIEGNGSHLLHSGLQPLKQERKKDRPYTAPDLAEIWSSAPLYRHHANLWHQANKGQTAQTTTTLYKPSLSSISPPSGGAAGFEGEEGSGKKTDSQGNCCCIQQQPVVLLLFQNDPEPPNS >cds.KYUSt_chr5.636 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4576017:4576313:-1 gene:KYUSg_chr5.636 transcript:KYUSt_chr5.636 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTAGPCAWSRRTAAPPTARRAADGRRRWSCLRRLVEGAGELVEGAGELVEGAGELVEGAGELVEGSGELVEGAGELVEGSGELLHGSGELVQGCR >cds.KYUSt_chr7.34563 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215749615:215751426:-1 gene:KYUSg_chr7.34563 transcript:KYUSt_chr7.34563 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTQLAARCKRWIPAVNGVLVAFVLVVPTLVILFGGRIGEQPAVWIKTAVGGIRRGGDDAFLHRETTRDEDLLGGLLVEGFDQESCHSRYQSAVYRRNPGRKPSQYLVSKLRNHEALQRRCGPGTAAYANALEELKSGKSPTSPDCRYVVSISYRGLGNRIIATASAFLYAVLTDRVFLVDPSAAMDDLFCEPFPNTSWLVPPGFPVWYQSFYLSTPERYGRMREDGVLRTDAENGSAASGELPAFAYIHLDYNQTAHDKLFFCDDDQRLLSNIQWLLIRTDGYIAPGLFLVEAFQDELDRIFPERDAVFHHLGRYLFHPTNRVWGLITRYYDAHLAWARRVVGIQVRVGWSESPETLKQIKMCTQNEGLLPAVLGEKDEEPLVAPRGVGAKPTAVLMTSLGVWYYDNMKGMYWERATASGEVVVFDQPSHEEVQRYGVKSHEHKAWAEIYLLSMTDTLVTSGESTFGYVAQGLAGMRPWVLDMGMVNSTVGWPCSRDMSMEPCYHVPPTYGCKRREDTGKIVPHVRHCKDRPTGLKLVDTKDW >cds.KYUSt_chr4.38645 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238456294:238456983:1 gene:KYUSg_chr4.38645 transcript:KYUSt_chr4.38645 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRCARGSGQDGGAREIGGEGTRRPPAATAEASVKGRRRCAQRQGWGHEGEEEKNQTGWGEKWKEGEATLLSALALSAPRARLPGPHHLQLSPVRHRRQPNRRGHGEVKHRYSPRSSAPSRRRRPRASSRQLLLHLMLLAPAGSARGCGGRGSRGGDWPRVSRGEKWDWGLGERMGSAIGWAADPYAHDDMLDRSCLSRSDRRVDLNNGGSHKQPQPGNTRQLHGFI >cds.KYUSt_chr3.20588 pep primary_assembly:MPB_Lper_Kyuss_1697:3:126392328:126392675:-1 gene:KYUSg_chr3.20588 transcript:KYUSt_chr3.20588 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPGMHSSTCNLGYSSQQPSKGILTRPSNAVDLLSTPTLEWFGRAGCGYSHGACHNGYGLMGRGHAGEATRANGRCHRRSKPRTWRPLLWGKPRRWPPRARCALTWVGLISGGD >cds.KYUSt_chr7.39783 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247235871:247236482:1 gene:KYUSg_chr7.39783 transcript:KYUSt_chr7.39783 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASKPKTVEGKAPQEEAPAQQTPKVAPDTTTVDSTDQVAADQTPEKVVVEEEPAVVVEEEPAVAAAPKEVPTADAVLVEEKAEATTPEKAIETKEEEVVQEKTVVEEEKPAASPVVVEEESAAPTVAEAAEEKPSAATVIIEENTTESIIKNVAEEKSGEEKVATQQS >cds.KYUSt_chr1.24793 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148476298:148476678:1 gene:KYUSg_chr1.24793 transcript:KYUSt_chr1.24793 gene_biotype:protein_coding transcript_biotype:protein_coding MSACRTLQWCGSALEAELQAFMEGVRMACEWCQDPILIETDSAEIIRMLESKKRDISKLGNLIAEAKFLLSSDRIAGIAKVPRSQNNASHELARFGSLNSHTAVWLGFGAETILDALSRDCNDTMI >cds.KYUSt_chr3.1468 pep primary_assembly:MPB_Lper_Kyuss_1697:3:8196391:8199972:-1 gene:KYUSg_chr3.1468 transcript:KYUSt_chr3.1468 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSDRGEAVHLVLTNAGSLHAHVVRTRRVADRRYAVLRREFASRSHQLAAVIEAMSVPLCPGAAHAAVGDDVSITSETLRWASLVHLAILSQSQSSVGRRRDDAHTMSIRILWGKCTTSAECKSIRIAVSAVMDMGMAVVCEYIQTYSLALLSWLFTWPDFEEEYYEEEEYDAEDVN >cds.KYUSt_contig_1253.55 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:315314:316114:1 gene:KYUSg_contig_1253.55 transcript:KYUSt_contig_1253.55 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDHPGNLTGALEPLFRDAPPSIQPAPAFHPALPPPFPAAGAHYMGPAQALSPAQIQYIQSRLRLHPQAGLAPRAQPMKAAAAPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAAVTYDQAAYRLRGDAARLNFPDNAASRGPLDAAVDAKLQAISHTIAASKAKAKPGRAGGGATPINAPILASSGNSSSSGNSPMDDDGSDSSSSASASPLAEMDQLNFGEVPWDESETFMLTKYPSYEIDWDSLLATN >cds.KYUSt_chr4.52987 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328472182:328472517:1 gene:KYUSg_chr4.52987 transcript:KYUSt_chr4.52987 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASADQEELIDEAILRSSSSFALPPWTSSEKPNIWALVAAPAQPNQMTREVEDGLIVGDAAPPLRRLPPILPPERSNVEEESEDGPDLAQEIRPPNPRLHRGRSRKAAT >cds.KYUSt_chr3.35320 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221832662:221833309:-1 gene:KYUSg_chr3.35320 transcript:KYUSt_chr3.35320 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAEEYCDLKERLLTVRKTSHFSPGDGFAAYDHRTGGLAFRADTYGRGHGGGAASPGELALLGPAGEPLLTVRRRRPSLHQRWEGFLGARADGQKPLFSARRSSILGGAGRGAVVELAASAASELRVDGSFARRCCRVVAKGADGEETVVAEIRRKVDAGARVVMGRDVFVLRVGPGFDAAFAMGIVLVLDQIAGHEADDGDAGEDALHARIR >cds.KYUSt_chr4.54365 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335996547:335999402:-1 gene:KYUSg_chr4.54365 transcript:KYUSt_chr4.54365 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFAGSLLNGGLQVPWASTDSHILRAQLPLSLQPPRPCHGLLLPAGRRNAPRPADSPVSNTPRQQPTETGAPFLEAPGSMAWGGLFLSLGRPSPEQQKSCLASATGFNYDASLHGASTPKSATALTSEDTDRALADRGFSVNRSRVLVGSGADAFVRAKSALLSWKHLALGWAEVDPDTPVKTGARFCICYKEVIPWVMFPLEIAYVTDENSGGRRAKGGGIFAFGSGTLQGHLLAGEERFSVQVDSEERVWYEVFSFSKPAHPLSALCYPYVQFRQRHFARDSAKAMLRHVADRSMPPH >cds.KYUSt_chr4.10841 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65784775:65786981:-1 gene:KYUSg_chr4.10841 transcript:KYUSt_chr4.10841 gene_biotype:protein_coding transcript_biotype:protein_coding MENCVGFPFRRGFAELLCSLVLCAARFCTTGLPSDVVFEVQDMSFHLHKFPLMSKSRKISRMLTEQDEHRPARQRRRSNSDVDNADDDTSADAETEIEEAEIEEAEEGNEQQQGQVRMDDGNSYRITFPDFPGGPGTFEAAAKFCYGVRLDLTPWNVAPLRCAAEYLEMTEEHAEDNLAARAEAYLAQSVLRHPGEAARALKSCEDLLPHAEELGIVDRCVEAIAARSSSSSSRAWVDDLAVLGLRMYKRVMAAMAAREGAGATEAVESCLVSYARATLPGLSRSMRWRRASAPVSSEVEQRDLLEAVVASLPPDKCSGRVVTAKFLFALLRTAHILRASDEARAALERKAATQLEQATLEDVLIPSFSGAAETLYDVDCVERIVRHFLSDEDEASSSAAAITEEDVAARTTASRPSAVVMVQVGKLVDNYLAEVASDANLKPAKFCELALALPDHARIYDDGVYRAVDVYLKAHPRLTAEERDRVCGVVDCRKLTVEACTHAAQNERLPLRAVLQVLFFEQLQLRRAIAGTLLASTTGAPRQQQRAAALRHAAGPSETWRTAAVQESQVLRVDMDGMRSRVQGLERECSSMRRAIKKIDGRDDAAAGSSPGSADAGGASSWRSRYGCKFSTQVCDSQARDAVVSRASRMGMSP >cds.KYUSt_chr7.24393 pep primary_assembly:MPB_Lper_Kyuss_1697:7:152040317:152043550:-1 gene:KYUSg_chr7.24393 transcript:KYUSt_chr7.24393 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVQTDHVRRVGARGRGPWPDSDELLPAAETPDCRHPVVAQPPGGHFSATTLSSVRPVAPAVVEFPLASVTIPAAIYTSAAHKYMESADMLDVRFHFGGKFVRIGPQLDYVGGDEGMSIIERNKLSFREIKGHLTDHTDVKKSMKSYFLVPGRAMSEGLVFLCDDNGCIKISDHITHGGVADLNGRSPLFSSPADPPSLKMAHPGEGHAPTQPGWVWWLFQVVSCTLLVLIIVWVMRLAGYDQQIALASAVPVALLSFFLLKLEFLRRYPGGDPAATAHERRRTELFVRAYSLACISMLTLHVARAVSIPELKLSLRVVATVISVVAFYYLFTARCCSSRTDDAEQSRDQRV >cds.KYUSt_contig_915.143 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:844649:847268:1 gene:KYUSg_contig_915.143 transcript:KYUSt_contig_915.143 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEEYETDTDWFATGIGESTLIEAASTRPSAGGGGGAPAKKMSAMDSGAPGQLIGLEDYASFSVHGREMIGSVTHWHFDSFGHVSTQQSVNQPTDAIGQSQRTMGFPFPHTWHIGPRTKHYRCIVNTIVNQIPRKNPRFHGLFYCLNAQIKGFLEGCRPFIGVDGCFLKTGTGFQILAATGRDGNNNMFPLAFGVVGKEETSTWCWFLTQLKATVSGLSTWTCLRLLPRLQLQRLHLPHPHHHSKLHLQHLAKLQLQHVSMLQLQHINMLQQQHLDMLQPQHNLDMLKLLHNLDMLKMHQGRSSLLCGDDDICITPSLDYMVTSQQVMAKVGNRRKKIISEICMK >cds.KYUSt_chr6.30008 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190154936:190156695:-1 gene:KYUSg_chr6.30008 transcript:KYUSt_chr6.30008 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSTFSPHPLPLKPQLGPKPHRLHLAPFPRLHAHRRLAAAAGEAPVEAPPKPEAADPSPAASNGSAAPAPAKAKVKVEAVEAVASPKFQDSRWVNGTWDLSRFGSTGGAVDWDAVIDAEARRRKWLEDSPEASSSDDAVVFDTSIIPWWAWIKRFHLPEAEKLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLVRKNEDIDNLKKLIDESTFYDKQWQSTWQDDSPSGPKK >cds.KYUSt_chr7.3754 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22348109:22356884:-1 gene:KYUSg_chr7.3754 transcript:KYUSt_chr7.3754 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLASCTVYICGGEVTAPEELASLTSFLQHFASVKHLRLRSKRMYPDIGKGAVTRLPAFWNLHHLELWGHLLHNGDAAATVSVTSRILHHAPNLEVLSLFFETGTGADIDDDGPLPTGRDNCKAMDLLNTHRLKYNRHNILDWPSGGAMIPCLVNRLREINLVHYQEGRAQRTLVKFLLCNAPVIGELWCQFAEAENLPKKNEALSGGRKLTSLSDCTDTEKEVANAPSSSMLDHKSHENDEELSIFSQPFHHHNEDDGDGLGKVPMQSTCGNTKIENNEFSELGSLSPEVSAIYLAMQHSKLECIDEQSQDSISTDGCPEPDETEELDEFDPYTFIKDLPELSMVVPKFRPVLLPKQTRSCPRTTLVLDLDETLVHSTLEPCEESDFTFPVHFNLREHTIYVRCRPYLKEFLERVASMFEIIIFTASQSIYAEQLLNVLDPKRRLFRHRVYRDSCVYVEGNYLKDLTVLGRDLSRVVIVDNSPQAFGFQLDNGVPIESWFDDPNDKELLALLPFLESLVGVEDVRPFIATKFNLRQKVASATSLAMHFFPNTEHAN >cds.KYUSt_chr7.9094 pep primary_assembly:MPB_Lper_Kyuss_1697:7:55390022:55390657:1 gene:KYUSg_chr7.9094 transcript:KYUSt_chr7.9094 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSKAARSSGAFCSTAPPSQLVVSELLWPCVVLAERGGPLVVLEEHEGLHRASGVGGVLHVVGGDDVPVPSSGKERGSDRTCNPSGTPRSWLVVLFYLLGTSLEGLSAALRLPTAVAGVTLLSLGNGTSDVFASAVSFAAAKRGTAALWASTTCSAVGALFVSTGGGRR >cds.KYUSt_chr1.37217 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227473710:227476375:-1 gene:KYUSg_chr1.37217 transcript:KYUSt_chr1.37217 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCWLHNQGDLGVTAFTKEANTVYSIKKMASFQCEKKYVSDVQAVRSLKSQELFKKSHALVVEKECLANDAATKLQIVVVEGTLKNETKEPLSIMDSKIYAGHSLKKFPDTVSKSDDFSMAALYPKGVKAAVVYSGTNRIGVECGWLLAFTDTEATGRRIYAECGRKGKFDNINWEQIEQKLDASGETAKPHDLLTATAVYASIANSDGKSVVVATFFG >cds.KYUSt_chr3.18594 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114353224:114354054:-1 gene:KYUSg_chr3.18594 transcript:KYUSt_chr3.18594 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPPRRPTATAENVFLGATSKPSPRPDTATDIPTFLHPPPPPPPQKNQNGSSKHRSRPARLMRSVRAAFRSFPILPAPSCRGIPSLPHLPSLNGAVRFHGSTRTTGTLYGRRRSRITIAFHDSPGSPPVLLLEMCVPTAKFIQDVSAAGMVRVTLECDKKQQQQQYQNAGEAALPLRRLLDEPTWAAEVNGESVGYASRREPTEEDERVMRLLHATSMGAGVLPDDMADPANGELTYMRAHFDRVVGSKDSETYYMHNLEGTATGPELTIFFVRN >cds.KYUSt_chr4.14940 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92137729:92141018:1 gene:KYUSg_chr4.14940 transcript:KYUSt_chr4.14940 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPDTGNASYPVSSSLGNLLYSNNASSGPYTEFSGIMQSQQNFMELAGHPSEIPQHSSTSLTEQRSFGPIKDMRNEMLMHFMDAAAAHSGGGNVIHNDAHSSAQLEFGLLNNHDSASVPSAPGQGLSLSLNTHILAPSYPYWSPKQDLLATQSYQGDDNRMKNMQSEASQAIRNSKYLKAAQELLDEIVSVWKNIKQNAQKNKVEPEKVDGKEADGVSKSEASNPLESTANAEAEISAVEKQELQNKMAKLLAMLDEVDRKYKHYFHQMQMVVSSFDMVAGPGAAKPYTAVALQTISRHFRCLKDAINDQVNVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGVLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEETGEAELESNSSSDNKPRSKDKVVSCEEKEDLKCSMSQAYQTSESKANIGMVGFTGAPASFHNEANSDDGFMNLLLKDQRPGEADGDLLHDATAHHADESARFMAYHLAELGGYRNSNVSLTLGLQHTENSLSAPNTHQPGFTAVEEDMYNTTAPPSITAASSDYESMNQLDQRQQFEPPPLLHDFVA >cds.KYUSt_chr7.16309 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101009823:101012402:-1 gene:KYUSg_chr7.16309 transcript:KYUSt_chr7.16309 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGADAAFEDEVEPTVTIREYMDGIEAEELEADLVLGGDDGDECTYGAGYLKRQAVFSCLTCVPDGAAGVCTACCLACHDGHEVVELWTKRNFRCDCGNSKFGGHLCKLNPEKDPENSANSYNQNFKGAYCTCSRPYPDPEAKEQVEMIQCCICEDWFHEDHIGLDSAEKIPCDEEGEALYEDFICHKCSPVCSFLKLYPDTIWASSKQTSASEAVTADSNGMEGGYSGHANTEKNENGARVDHQSAENTSVENNCTKDIAASEKSNLGDNSAGNCKLGLDINTKSDDSEKIMPFFLSKGWRETLCRCGACTNFYEQRGIAHLTDKEDSIEEYEKMAKEKRQKKLEQQEGAEANFINSLNHVQKIEILSGISDMKNEFQSFLVKLSAYFTVGHFVVNFYIL >cds.KYUSt_chr4.36994 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227476443:227480760:1 gene:KYUSg_chr4.36994 transcript:KYUSt_chr4.36994 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKEKKTWGPVQATRMSSRITRDGKSAIEKAQDLKKAKNLEIPKGNRIHGFSNSFAALDNATLYDTAKNAGISLGHKSLEVDSVINEIKKVETNRLINFHNSNPESFLPNDISLSVEELRVGFDDENEVVSDQEDHISDVPDEDEPWTLVHTNQRRRKKQICQLQGDEGMVDDNKDDFWDPDDMVSQNHNDMLDAPFSEKEIKDAIFGSYAEGVVSEKLISPNQTAFIKGRFILESVVSAHEVIHDAVHSGNNGFIFKLDYEKAYDRVDRDFLLRVMRLRGFSPKWMRIIEGLLHNGSVGVRINDCNSNFFLTSRGVRQGDPISPILFNFMADVFTKILYKAASGGRIAGLMQGMGRGGIISFVETLAKQLCSMEDSAEGRGKRRADRLDEQVGRSGQIATNDIVAGSWVIPQKKARTTLEVCDRNNSRGLGPMLMTAEDLARTLDANDLVLVGDGACFQVMGRQ >cds.KYUSt_chr5.5838 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36372040:36372894:-1 gene:KYUSg_chr5.5838 transcript:KYUSt_chr5.5838 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPKFLWGEAVKTAAYLINRMPSRVLRYKTPIECLTGTNDFIVPPKIENETQGERSASTDEGDADSTGHEASNIPSDQQVVEPNTPFMSPRASTSEPVHHEATEDTEHSSVGREASSQGEIIHDVAEGEGNENHDPTPTNPMVDYPIALRKPPRHADVPARLKDYVGYKHNLAKYLSYERCDDPQV >cds.KYUSt_scaffold_1259.547 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3699072:3702334:1 gene:KYUSg_scaffold_1259.547 transcript:KYUSt_scaffold_1259.547 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSAKSRNPAADLTDDLVVEILSRLPVKSVCRFKCVSWHWRGLITHRAYRKKLPQSLLGFFRGITPRLGAMLTVSDFVSISGAEEQPVSDPSLSFLIGYTTIVPKDCCNGLLFLHCRKVSPSPRYEFDYVVCNPATEKWLILPSSDRKTQVYVSRLGFDPAVSSHFHLFSMLEDVEGYITGVDIYSSRVGAWSHSENGWGDDVALYDKSVFLNGMLHFISLDSTIVAVDREGKSWRTIPLLDTMGAENIWNLNAAFIDQSQGRLHYLNVRERDASTLSVWILENYQSGLELVRLPRVGSRQPITLDGNVVLTSVSDLIDHVILVSDKENALKPSEDTTLATSDSRARHASLRVVDRKRSCVGVLPELQCLAAGATNASRQSCNGWPAELQTVATGAAKVRRRSCNGATPELQSYGVGAASSGRSFNGMPLELQWCAAGAATLRRWSCKRRLELRAVNVAVDGATSMLQAYRQDPWWCCKLADLDAASVLSAVGVLPAVLQGPDVA >cds.KYUSt_chr5.23997 pep primary_assembly:MPB_Lper_Kyuss_1697:5:156062400:156067457:-1 gene:KYUSg_chr5.23997 transcript:KYUSt_chr5.23997 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRHCVGELAPNPCKKCEQPVRLPPSNRSGARLPPSNRSGAMVKCIGCQSLVASRESYNHYIACPRGPCGCTEAINTGCSFIDLPRELLVHLQASHSIRVFTFQYGIPAWCIVHVPVQPHGDMFIGSGKEDGTVFAITVGALGPNTIVSCVCIRFHVGAEIPGVAPHYTPSPTTFTCSLTPTEGPDGTEKHRVDEIPTAVVHTKGVMLTTAQTLKTEQLLRERGLGLGVVFVHTLTNTDLKGNGLRIPMEVVRSLNISESGKACFFVDDYGYHPKGAYYTTTDGRLKFDSCWSEFAKEYNLESGNVVLLLFNQGDRGGAVEPLLVDQNPSDQIACGIGCRVFTWIDDPLDEHHKELVRDLRDAVWDRDEEIERLQVEIERLQEEKFLLAARKQSNHEPKKMRGFRVWVLSVAFVICCTWVMCRN >cds.KYUSt_chr6.13207 pep primary_assembly:MPB_Lper_Kyuss_1697:6:82527891:82529568:1 gene:KYUSg_chr6.13207 transcript:KYUSt_chr6.13207 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAREAQSGLEWRVTVPEGASVTVEYESGLAGRAWAWLVSCVAVLGAKVSGFAKKVWKIGADDPRRAVHSLKVGLALTLVSVFYYTRPLYDGVGGAAMWAIMTVVVVFEYTVGGSVYKGFNRAVATASAGLLGLGVSWVASKSGDKLEPIITTGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDELLALAQQRLVTVAIGIFICLAVTVLVWPVWAGQELHLLTVRNMEKLADSIEACVDDYFAADAEEEEKRDPKASKSEGYKCVLNSKASEDSQRNLARWEPAHGKFGFRHPYGQYPKLGAAMRHCAYCVETLHSCVGAEVQAPEHVKQLLSDVCTRLGAQCARVLKEASRSIAAMTVSRELDLAVAEMGTAVHELQGDLRDLPFSLAKEPGEASLIDAMPLFTMASLLTEISARIEAVVEAVDTMASVAGFKQPEDDDEKKGDAELKLKVHPVNESDSDEPPENNRSKATV >cds.KYUSt_contig_7395.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001504.1:19500:26067:-1 gene:KYUSg_contig_7395.8 transcript:KYUSt_contig_7395.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNTKSREQPRAAAGPGPSERRPAKLPAKPDVVLKEATSNSTLNLQDVQGLVTWVIGEGMPPSWVFVKNKPLIPKVVLLYVPGLDAALYMSHSRLLSSLKELCGNPKPVLASSRVPDETHTIDALLTCRVKRKRQSKTSNQLYEPDGGKLSSLEELKDIPFPVKYYTLSGKDFEDNGYSFSLPGFVPTVPAPSGSSPHEILALDCEMCVTVAGFELTRVTLVDIKGEVVLDKLVKPANPITDYNTRFSGITAEMLSDVTTTLQEIQEVLVGLVYKETILVGHSLENDLMALRISHDLIIDTAVLYKYNCGPRCIKIALRVLSKKYLSREIQNTGSGHDSVEDARAALDLALLKIKYGPDFGSPPSFSRRNLASILHESGKICSLIDEVNVLNRYSDASCNSIAVFSDNDALSRSMKEVKNKKISFVWTQFSGLISYLHKRTQDPEKLKSCVAEAIALKTCDGKTARKRAKERTCPELKENLCELDKKIRKLYTALPENAMFIICTGHGDTPMVQRLKKMLNHGEETIESRENIVHALEDLQAQAEVALCFCCVKH >cds.KYUSt_chr4.42354 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262102326:262103033:1 gene:KYUSg_chr4.42354 transcript:KYUSt_chr4.42354 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLQVHPGTAPAGNVEVEIGQGHHQAQAAVHPQLPTAHGRPAMNQQHLGAQGPNTWVGNDANTLLVVATLITTLTYQLGTNIPGGYWQDTKTQDGRDLYRAGDPIMRDLHRPRYWMFMAASWVGFGSSMVMTLSLLVRMSVDSRHVRWSFVVAYSSLVLTFIVSQPKTHLSIDILVWAAVLAFLWLIVSVRPAHRTRIAHVLCCNHTTTE >cds.KYUSt_chr5.36863 pep primary_assembly:MPB_Lper_Kyuss_1697:5:233088406:233090605:-1 gene:KYUSg_chr5.36863 transcript:KYUSt_chr5.36863 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLRPSTSQRCYAGPSPRVKRRCFQNRGVPEGWEALKAAAADMFRPLRLNLSDMRSINTVYDLTDYQIGMLYGAIAGCIGCHQLWKAAPPIFVDAALGYIIYKLSVIASELHRQRKSNSLITRLQFGFILFMVLKDFHKKYVLLDAIRMPLFLLYLGAFMFDVVGLKKYGRRILISFVNLLKRRGGIEEIFRIVWWRGYVSPYDDYFGQR >cds.KYUSt_chr1.5121 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31603132:31607414:-1 gene:KYUSg_chr1.5121 transcript:KYUSt_chr1.5121 gene_biotype:protein_coding transcript_biotype:protein_coding MRLATPGLAAAWRCRGRLVPPCRHGHVAVLCSRDQEIDQDGHEDHQKLYADEKHVAAWSNSKRRAVQLTVHWVTRDTASSAAWRPQLRDPRHVRRHAPHASTSGAPLYLPLATSGLLHRAMRALRLFQQFHLLPRQGYARALPACRALASFAAPSPRPKQSPSGSRAMASQQFPPQKQDSQPGKEHLMEPRPEAIIKNYKAANKLQGKVALVTGGDSGIGRAVCLCFAMEGATVAFTYLKGHEDKDSEETLHALRDIKSRVGGGGGDPMALPADLGYEENCRKVVEEVANAHGGRIDILVNNAAEQYVRPCITDITEQDLDRVFRTNIYSFFFMAKYAVKRMKERGGGGSIINTTSVNAYKGNTTLLDYTATKGAIVAFTRALSMQLAEQGIRVNGVAPGPIWTPLIPASFPEEKVKQFGSEVPMKRAGQPSEVAPCFVFLASEQDSSNMSGQVLHPNGGVVVNG >cds.KYUSt_chr4.11690 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71087444:71090677:-1 gene:KYUSg_chr4.11690 transcript:KYUSt_chr4.11690 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGEDGQLIGLNLYTLHLAVDPVTFVWQAGNGKHVPFALIAAFQIAGLCPEILTFEINIKVSRHSFAMTTWHYLEICPIDV >cds.KYUSt_chr6.21042 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132793738:132794250:-1 gene:KYUSg_chr6.21042 transcript:KYUSt_chr6.21042 gene_biotype:protein_coding transcript_biotype:protein_coding MKITLLALAAIAVLSTASAATYNVGEPASEWGFGINYGSWASSKQFIPGDSIVFKYNPQAHDVLEVSKADYDSCSAANPITTLKTGNDVIALPATGTRYFICGFTGHCASGMKVTIDVLSASSPLTPSSPAPASGPSASNSPPPPPPSAATSVRVTAGLGLVLLLAGLMA >cds.KYUSt_chr4.13121 pep primary_assembly:MPB_Lper_Kyuss_1697:4:80721603:80722658:-1 gene:KYUSg_chr4.13121 transcript:KYUSt_chr4.13121 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPLYQQLQLSPPSPKEPDHQSFPYYHSSPAFAADIFHNSYLGAGAGATVATPLPAEIDSPTELLLMDQAPAPRTDGVRNAQGLHGGGGIDSEAAAAAAARKDRHSKICTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNMSKGAIREVMTDEASSDCEEDGSSSLSVVDGKPKPPALEVGRADHAEGKKPAARARKTPANPKPPRKLASAHAIPDKESRTKARERARERTREKNRMKWVTLASTINLESPASAAARADELIMSPSNLNRSSSRNTGSAEFEERCSSSLPSETSDAIMAAGFSNGGYGGGSGSGSSSYYEYQLEQQWELGGVVFANSRLY >cds.KYUSt_chr7.32528 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202578868:202579935:1 gene:KYUSg_chr7.32528 transcript:KYUSt_chr7.32528 gene_biotype:protein_coding transcript_biotype:protein_coding MATISPLLLPLALLALLAASSAAVAQLEIGFYSKTCPQVEEIVREEMVKIIAAAPSLAGPLLRLHFHDCFVNGCDASVLLESTEGNVAEKDAKPNKSLRGFGSVERVKAKLEAACPGVVSCADVLTLMSRDAVVLAKGPNWPVALGRRDGKASSATEASHELPPAYGDIPLLVKIFASKGLNLKDLVVLSGAHTLGTAHCPSYADRLYNATGTDVDPSLDSEYADKLRLKCKSLDDQSMLSEMDPGSYKTFDSSYYGHVAKRRGLFRSDAALLTDDTTRDYVQRVATGKFDAEFFKDFSESMIKMGNVGVLTGAEGEIRKKCHVLN >cds.KYUSt_chr2.28062 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172136386:172136886:1 gene:KYUSg_chr2.28062 transcript:KYUSt_chr2.28062 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSNSKAKRSTGLQGRRPQPLSLTTRPSKKPRVVAGSSDGGPAGPVIIYEHTPRIIHAAPDEFMSVVQRLTGKQQSFSSTSTAATLPEPEATSGARDDDETSMSAAAEALALALGQQQRTPCGDDHPGPSTSPGAASSLLSPSSFIFSPATMRAIRELISEC >cds.KYUSt_chr1.4213 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25598412:25599171:1 gene:KYUSg_chr1.4213 transcript:KYUSt_chr1.4213 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHDAVRTCVLGQRSGHLWRSAPAISFTTFDRFIRSADRFNQFVDHLLLLRRHGAPLESCNFLLVETEVYSAVFLAANERTVNGWISRALCLQVRVLRTPLLESMPSLVTAIVRLGCESCDRCEEGEPGGCDDHKWALCDPSRFIASYILGVII >cds.KYUSt_chr4.42193 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261000826:261002191:-1 gene:KYUSg_chr4.42193 transcript:KYUSt_chr4.42193 gene_biotype:protein_coding transcript_biotype:protein_coding MERPPSAYKTVVCTSHGTTPPRRALFSSFSAPSPIHEQVAITGPMGSKADKGMAQFSKMQIFVNTPTGQTITLEVESSDTVAGVKAKIHGKEGISPDQQRLIFAGKQLEDGRALADYDIHKESTLHLLLRLNGGIMLLFAYPPSLRALAEKQNSDKMICCKCYARLPLRATNCRKKKCGHSNKLRLKKNGYRRLRRTE >cds.KYUSt_chr3.13339 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80354678:80358355:-1 gene:KYUSg_chr3.13339 transcript:KYUSt_chr3.13339 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKAVVSVLIFLLTVARHGSSSRSYNAIYNFGDSISDTGNLCTGGCPSWLTNGQPPYGDTYFGRPTGRCSDGRVFVDFLAEFFGLPLLPPSKANGTDFKKGANMAIIGATSMNLDFFQSRGLGSRIWNNGALDTQIQWFRQLLPSICGSAADCKSYLNGSLFILGEFGGNDYNAPIFGGKGVDEAISYVPQIIDKITGGVETLIGLGAVEVVVPGVLPIGCFPMYLTLYGSSNQSDYDGDGCLKRFNDLSIYHNQLLRQGICDLQSKHTGVRLMYGDFYSQVTEMVRSPQDPTSTVDPSLWAQWFQASHEDHRQSIKAHMEGLNNDDYEQYEEPSEPGQVPSMKEEKPEQPSKDWAGYVFPLQNAGGPIGCTTTSLMMVTMIHIARCFSGLKYGLKVCCGASGEGSYNYNNQARCGMSGSSACEDPENYLNWDGIHLTEAAYRSIAYGWLTGPYCTPAILH >cds.KYUSt_chr4.27330 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171685508:171690032:1 gene:KYUSg_chr4.27330 transcript:KYUSt_chr4.27330 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRSLLLFLLLATPFLLVSVSASAAAAAARDDDLDYIIDNADDIAADDPEGWLQEGSPSDDDDEDLFEDPDPSSSSSDAEIDETHVVPLTAANFSSFLAARRHAMVEFYAPWCGHCRALAPDYAAAATHLALHNLDVALAKVDATDEAELAEQYGVQGFPTLLFFIDGVHKDYTGERTKDAIVAWITKKLGPGVQNITTADEAERIVTGDDTAVLAFLESLSGSHSDELAAASRLEDTVNFYQTASPDVAKLFHIDPESKRPAVVLLKKEEEKVTIYDGEFRASAIAEFVSANKLPLITILTQENAPAIFESQIKKQVLLFAVASEASKFLPIFKEAAKPFKGELLFVFVERDSEEVGEPVANYFGITGQETTVLAYTGNEDAKKFFLSGEISLDNIKEFAQDFLEDKLTPFYKSDPVPESNEEDVKVVVGKNLDQIVLDESKDVLLEIYAPWCGHCQTLEPTYNKLAKLLHGIDSLVIAKMDGTNNEHPRAKPDGFPTILFFPAGKKGFEPITFEGDRTIVEMYRFIKKHAGIPFKLKRPDSSAAHTESSGSTPAHEESSGSNLKDEL >cds.KYUSt_chr4.18894 pep primary_assembly:MPB_Lper_Kyuss_1697:4:118623595:118623960:1 gene:KYUSg_chr4.18894 transcript:KYUSt_chr4.18894 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTTTSAPAVDLLPASDHTIGCCSPTGRAFCRWPYGRPAARLRPRWAAAHRPAARSVDGLDHVDSTPAADLLLASDHDRLLVDRPRAGAQDGGPMARTRPPSATCPPSLAPYIALVPSI >cds.KYUSt_chr4.10782 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65452954:65453463:1 gene:KYUSg_chr4.10782 transcript:KYUSt_chr4.10782 gene_biotype:protein_coding transcript_biotype:protein_coding MGACNSCEATAVAAVTGDATVGEATAARVVLADGELQRFPGGTRASHALKAAAAAAGCGAGGACFLCSADGLELGGAVAAVAHDDELQPGQLYFVLPAAMRRRLLQAEEMAALAIRASAALVGDHDGPLVFPDSAAAGGATARKGSRRRSRRTSSLGRDFVPDLGAITE >cds.KYUSt_chr2.3896 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23497495:23504469:1 gene:KYUSg_chr2.3896 transcript:KYUSt_chr2.3896 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATPKRCMNSACGAPAAGAGEWRKGWPLRSGAGFALLCDKCGLAYEQLVFCDIFHQKESGWRDCSFCGKVPSQVSPKLFLSQSNQRLFGKSDEFLPGRPLESPSLMLDSRNDVVAIISKSHHPFMVKNIEAGQSSNILRQKEIENGARQIKWEQPTLTMGDMGRLPFLIRPQSALESPQSQCTRRDDNRDPAAESTTSESVSEACLSMSLGIANNGNKMEATSTMERPMLSPTTPFSEGRELATTLSPFQHAQRARHFLSRPPRVAEGAAFDPMKDGFPHLRVARPPAEGRGRNQLLPRKTLKAVKLHFVTFSRIEPGGKLVMGFRKATNTVNLPDSQISAIATGSLLGDTLFSSTNENLSIVNGYSGFLQSMKGAADLQPSSLFDHHANSVDGDASWLKADRFGGRPDEGSLQFLQKRSRNIGSKSRRLLIDAEDALELKLSWEEAQELLRPAPSAKPTVVMIEDYEFEEYDEPPVFAKRSIFTVRSTGEQDQWIQCDDCSKWRRLPLNVIVASKWTCIDNTWDSKSCSCSAPEELAQKELQSILQQYEDIRRRKSSFFLKQNISEMDPSNLDALATAAVFGEVGNQGVASVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPSCTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKKIAWMGRDDPEGSNLSRSPQTVDTTRDGDVIMFDKVDTNKSHIDLNFHPGNRGEEQHGGQPRVSMVSLLEVANRPLESYMKQNGLVSLAVEQGNSGTAPILPQPAPMESEERPSDEGQLTLVERERDPADNMAVDEAADENQGNAVSASDNAAA >cds.KYUSt_chr3.8329 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48043974:48054408:-1 gene:KYUSg_chr3.8329 transcript:KYUSt_chr3.8329 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTPVTYEDLTEELKKKYDEVKAILEADLIGSFHRTRSHGVRWKGFSPEGALDGVDLSAPSEERTRSLRQEINYMVAHSLHRHSESLVNTLERVALRVIQEIMRHQYSPSGPALGTYQGEMPLQSRPPLPFALAAPEVPNSPAYVVYKIGGDPSDYQFLHEAPKEIPHGYTCTYVPHRRYRALTNQAATSEDFATTGGASGTDLEKRTWLAKNPTKEIFSELDEINAQGLIFPRSFQKTEGDTRWGHEVTVLSAVPWAVLPPSSGTTAHGTVKAGVDWLQVVVISGEDAGEGCAGPDLTVSVSLQGIRCIMKGKIDVHTPFGIMELSINIILRSWMHLPQEGRRTQQVMSLSRRILHVVFVDDPVSRFMVRVHQASGNPFDYALKSSIDLYFSPDMEPGVTGEGDPHLQGSWAGGCELFADEVVGGDVEKCPVPWPAISSPVLRCHR >cds.KYUSt_chr5.5426 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33914807:33919707:1 gene:KYUSg_chr5.5426 transcript:KYUSt_chr5.5426 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGAAFDMIRKTLRWRSESNPWHRPVLPPSTVSAFAATSSGLTSMSSPRARSVDLNVEALGHSTAPYSGPTSLPPEPAAVLAVAALARCSANLKLVVLKGNLILQCLLMTCVSSMNGHPMLAATVRNYMMWAHWSAPAKLGTSFPRATPVGTVASGGLPIMEYGSNFPLESSSASVGLEVCPPQSPRSPGLVCYTRSTGSTPTSPLGPATPGPTVLEPASVFPDTPVAGAKARAPRRQRQPTQPSRHSVRLARSRSGAEAMEPTVAAQAERRAAARNLDSAVVPVV >cds.KYUSt_chr3.42363 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267817365:267818482:1 gene:KYUSg_chr3.42363 transcript:KYUSt_chr3.42363 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGDSPAAAATTPRSRLPRWTRHETMVLIQAKHATERRAAQPVRLKWAVVSAYCRRHGVERGPMQCRKRWGNLSWDLKKIVAWEKNLAAGAAAETTAAPLGPSFWDMRGEERRGRQLPSSFDREVYDAIVGGANTKLLSSPDSGEEMDLAEGGDDADEHPTPPPQPIMAVPISVRMYEPVPPASSQSQQECSDPVTESAKKPASEKNSTSQQDSEATFGAGVGAADGTATATGATTSLGRLVAEALERGNRVLAEQLEAQRASWDADREHRRALLGAVNKLADAVARIADKL >cds.KYUSt_chr3.12013 pep primary_assembly:MPB_Lper_Kyuss_1697:3:71766016:71766285:-1 gene:KYUSg_chr3.12013 transcript:KYUSt_chr3.12013 gene_biotype:protein_coding transcript_biotype:protein_coding MQELLGKRPREQEQEQEHDAAAFWAAPRQDVWGFSPLEAQAAYVPVAQAHHHHLNLNLLSALPGDSLEIDRPSFFPVLRWRPRDLFGEL >cds.KYUSt_chr1.39535 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241847935:241848735:-1 gene:KYUSg_chr1.39535 transcript:KYUSt_chr1.39535 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRRRACVDAHRPTPYGKGWLSRRRRRRDVADGDDPSDDNGTLLTDDALSAVFARCSDAADIVRCASTCRRWARVVAEEATVLSRILPLPSPFPHLLLGFFHDKGGAYTTRKRTRSAAVSVQPCFVPVPSGTRFLGSRTPSLPDAFRGVVDQDQGFFEQSRAVAARNGRLVLELRHTGRAAGLELCVCNPMTGDMALLPPLSGTDMPGSYACALLAGDDDLPPTFFRLLIVYNRRGFTALRAYSSDTGRWSAEARRQGPKITDN >cds.KYUSt_chr6.11679 pep primary_assembly:MPB_Lper_Kyuss_1697:6:72659040:72659806:-1 gene:KYUSg_chr6.11679 transcript:KYUSt_chr6.11679 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDVLVRSGVCFNMEFKEHQMKQVAADVLAFAGVHVTTLQLYNHIRNWRTKWSVIMKMKSDRILNWSEDGCCFYGSAEGMADEYIHMKTIFTPRFVCKAQLFQPNLLVRAIDFIADNEVEYAIYRKLQPPERRSWLRTWLRNQFPA >cds.KYUSt_chr5.42549 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268107515:268109411:1 gene:KYUSg_chr5.42549 transcript:KYUSt_chr5.42549 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRPRCALSIVKTKCFSAAYAGWSWSWASPPPCVCLFTRLCPRFTDSVYVGSPLYIDFCGLFTRLCPRFADFVYVGPPLYIDFCGLFPRLWPRFVDSVCVGLPLYIDFRGLFTWL >cds.KYUSt_chr4.40671 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251083815:251089058:-1 gene:KYUSg_chr4.40671 transcript:KYUSt_chr4.40671 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAEVPTTEEMSAAREEEPAVEAGEDQAPPPATTATTVPALYVGDLPENAKEDHLFDAFSTVGAVTSVRVCRDNATSSSLRYGYVNYFSQADAMTALEKMNHSFILDKEIRVMWSNRDPDARRSGVGNVFIKNFSDHIDNVILQELFSKFGEILSCKVARNEDGTSRGYGFVQFAAQESADIAIENLNNSYFEGKQLHVAHFVKKSERSANNDDKYTNLYMKNLDDDMTEELIKLKFSQFGPIISVKIMKRDDGTSKGFGFVSFKNPESAKKAKEAMNGMALGSKTLYVARAQKKAERKQYLQLLHEEKRNEIITKSNGSNVYIKNISDKVDDDTLRERFEEFGNITSVKIMRDDKGISKGFGFVCYATPDEANCAVGNMRGVMFYGKPLYVAIAQRKEDRKARLEQRFAEFATRSGAASPVIPTGYPHVYFAHPSTHFPQGPSRQGFMYPPMGIGQEWRQNVFPSPHSIQQIHAPLMPNAPRQYRNNRGRMTGNMMTFPHAVNYVSHAQTAKDFMSMSQQQFGHAKYIPADVMTNGMAIHHGDHVSSGNDPFIELLAAAPPDKQRDMLGNRIYPLVQRYYPHLASKITGMLLELLLELDTKLMEAMIHSPDMLSAKMNECVQLLQKQPPTKTEDQGALHPGFVDSTGVSAN >cds.KYUSt_chr6.8730 pep primary_assembly:MPB_Lper_Kyuss_1697:6:53650833:53654369:-1 gene:KYUSg_chr6.8730 transcript:KYUSt_chr6.8730 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSDTSLMHAMTSLIESLKKGPVMTMTLKCDTEFPKALKNIMESMGLKGEAVYKGFPVMDMGFADNDSVDSLSLDSPLYMRPIWDAYVNGQEYWWVQLHLYKDEEDDHKKMEHWMFTNPELHTSFFDSARCVAWAAINELGEKLKYRLHNTQKDLEEEKEETTNLNTTISQLRSDMVDISLKLCVYEELNKAKDGQIATLRKHMLQKSGST >cds.KYUSt_chr6.25939 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164427474:164428800:-1 gene:KYUSg_chr6.25939 transcript:KYUSt_chr6.25939 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALARLQAAATSARAETVLRGACAATSASAALLLGLSAQTKTVLFVRKKAVPRDVEALWVLIVAAAVAAGYHAARLVMRLYSGSRFAGAGNDGGQSRSKAVAWISFLLDKGCAYVVFASSVAALQACFVALIGVEPLQWSKLCNIYTRFCVQGAFGMVCGIAAAVAMAVLSAFSARDLFRLYSPLGRRAQAQHQLLGPVTSSSTTELLKA >cds.KYUSt_chr1.9769 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59659601:59664661:1 gene:KYUSg_chr1.9769 transcript:KYUSt_chr1.9769 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPSPAQCPSSPSPPATAEPAGAHYSSPDPRRSSSGLVGTPPRVAGDPTGAHYSSPDPRRTSAATAVTTPPRGASDDACVVINDVDAFARTIAAIRSKPPADSSPSSSDHLASVLSHYAARWLPDVVASSPSGRFLPPPESPTATWLKKRLLLESLVAALPPDDGDDRDDGIACDFLLRLLRAGSMVGADAALLGDLEARAARRLDQASLGAVMIPAFGNGGTTARDHHHHRATTTTTLLDVHLVLRLVRGFLREGAKAGGGGAAAARVAKLVDAYLAEAALEAGLRPAEFEELARAVPAHARAADDGLYRAVDTYLKAHPQASKEERRSLCRVIDARKLSAEAAAHAVQNDRLPVRCVVQVLFSEHGSKLSRLADWSGSFRSLQSRSPAALDISSAAAAAARCPSKREVVSQHHEMRRLREDVARLQVQCHALQAQVERLGSERRRRGLFKWGAFLFGGGMGADAARVDDSDSGMERTPLSGTKKARAAAAAAGSMPATGTPTVARWRRSHS >cds.KYUSt_chr1.9310 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56875996:56881004:1 gene:KYUSg_chr1.9310 transcript:KYUSt_chr1.9310 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGRLFFLLLVLCLFAGSRIAAAATNPQDAAALKSLKKKWSNMPRSWTKKSSNDPCGDKWDGIICNGNSRVISLNLFGMNLKGTLSDDIGSLTELTILDLSSNKDLGGPLTPAIGKLIQLTHLVLIGCSFSGTVPNELGNLAQLEFFALNSNQFTGSIPPSLGKLSKVTWLDLANNSLTGRLPNSRDNGTGLDQLLKAEHFHLNQNLLEGHIPENMFNSNMSLKHILLDRNRFSGNIPASIGVIPKLEVLRLNDNNFTGQVPPMQMLTKLHVLMLSNNKLGGPMPNLTAMDGLENVDLSNNSFTPSGVPSWFTGLKGLMTLTMQSVGISGKLPQELFSRTNLQHVILSHNQLNGTLDMGNNISKDLDLVDIRNNSISSVTVYGTLNYTNLKLEGNPLCSDSLLSSTTLCTDQLTEPPTVYPTFNVQCENPFEETIEFRSPSFGNVNKYIGELHKNLSDTLKNCTRNLGLVPHTGDAYLKVEFKACPEDRKRFTYYQVLNCFNLTLQTYKPPEKFGPYYVEARPYLFHDKVSRAILIGVVTGSVLLVVGLAFIGAYAVRQRKRARKFVTLSDPFASWGSTTEDIGEAPKLKSARVFTLEELKLSTNDFREINAIGAGGYGTVYRGKLMDGQIIAIKRSKQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFISNGTLSESLYGIKGVQLDWSSRLKIALDSARGLAYLHDHANPPIIHRDVKSTNILLDAKMTAKVADFGLSLLVSDSEEGELCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAKPPIYEKKYIVREVKTALDMEDSMYCGLKDVMDPVLLKLGGLQGFPRFLKMALQCVEEVGPDRPSMNNIVREIEMIMQDHGITPGSVSTSSSFSVDSTAKKFVPRFPYSNTSTSSTTFEMDSRAFAYSGGFPSQGSLQNNRST >cds.KYUSt_contig_2887.92 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:443247:446063:1 gene:KYUSg_contig_2887.92 transcript:KYUSt_contig_2887.92 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTLGRARETGYLRLLEDGGSTLSFGDSSDECQVKSVLRVHDPVFYWKVATESDLGLADAYINGWCSFLDEKEGLLNLFLIFIANRDKSSSSIVSKRGWWTPMILTAGVASAKYFLRHLSRNNSITQTRRNISQHYDLAKVQRDHQVLDIGSGWGSLAIQLVKQTGCKYTGITLSEEQLKYAQRKVKEAGLEDHVTFLLCDYREIPARKYDRIISWYM >cds.KYUSt_chr2.17141 pep primary_assembly:MPB_Lper_Kyuss_1697:2:108003826:108005691:1 gene:KYUSg_chr2.17141 transcript:KYUSt_chr2.17141 gene_biotype:protein_coding transcript_biotype:protein_coding MGELAAPAAAGSQEPEQDEQARLAAALDAINSLISASFSASLFPLKWQLIRDRLNRLHGGLADITIIAPSDGGERHEAFDGLLRDVAGAVTEARELVPRSQGKHYGGGKLRLRSDLDVVASTLDAHVARLDEVCASGSLTRARALVVPRPCAGASREDVRFYVRDLFARLRVGGAEMRREAAAALNEVLRDDEKCVRVVVADVADGISLLVGLLECQDARVQEEALDAVSMIAGFDAYKGDLVVGGVIAPVIRVLDTAAGPAAKERAARVLSKLTENADNAWAVAAHGGVTALVNVCSDRRASGGEVVCAACRVLRSLVGVDEIRKYMVADAGAVPVLVSLLQGPADDAAQIQAIELLAAIASGDSASREVVLQEGAVDSLVRALDPGIPRSSKAREVALRAIDAICFTSPDSVERLIGAVFLNRVLFFLRNGDSTLQHCALKAAHRLCHVSEETKKAMGDAGFMPELVGIVQAAKSLDTREMAAEALCAMMSVHRNRKRFVQDERNVAQVLQLLGPDEEKPSPAKRFLLSTLVHLTDSSSGRRKIMSSEHVRNLEKLAETNVMDAKKIVKKLGGSKLKNMLHGIWSL >cds.KYUSt_chr7.8784 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53213099:53213473:-1 gene:KYUSg_chr7.8784 transcript:KYUSt_chr7.8784 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPWKLVEAAAPSLSPTYHQVQINDDLVTTTTASPGMQTRFQPSNRVCVRRSVVDQGGGGDGDQRGGNGVGDEGGAGGDGDPEGDSGDGDPEGAPAVLGIRGAQVNLSASTVTDRGANMALR >cds.KYUSt_chr1.39154 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239392806:239396751:-1 gene:KYUSg_chr1.39154 transcript:KYUSt_chr1.39154 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHQLLQMESACGALMGELQVIWDEVGEQDAERGRLLLELQQECLDAHRRKVDQASRCRAQLRQAIADAQADLAAICCAMGDPPVHVSQKACGLREELSAIVPYLEEMRKRKVERWNQILDAVEKIKRISSEIRPADFVPFKVPVDQSDLSCRKLEELRMELQSLEKEKNERLKQVMGCLNTLHSLCKVLGIDFKQTVSDVHPSLDEDEVPRNISNTTIERLALAIQRLHEIKIERMQKLQDLSSTMLELWNLMDTPIEEQQVFQNVTCNIAASESEITEANTLSIDFLSYVEAEVLRLEQLKASKMKELVLKKQTELEELRRQAHLAGEEHYATQFSIEAIEAGAIDPSLLLEQIEAYVATVKEEAFSRKDILERVERWLNACEEEAWLEDYSKDDNRYNAGRGAHIMLKRAEKARVLVNKIPGIVDALTNKITAWEKERGTEFIYDGVRLLSMVEEYMVVREEKEQEKKRQRDQKKLQDQFKAEQETLYGSKPSPSKPHSAKKIALGNSIPSTPVRSISSGTVDENRTPKTYSAPAPKTPIAVSAPMQMDMMPVLTATRATPAYLAYEKPELTLPESIEYSFEERRLAYLAAQAA >cds.KYUSt_chr3.29819 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186554257:186557468:1 gene:KYUSg_chr3.29819 transcript:KYUSt_chr3.29819 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKLYGATLSWNVTRCVAALEEAGVEYEIVPINFGTGEHKSPEHLARNPFGQVPALQDGDLYIFESRAICKYACRKNKPELLKEGDLKESAMVDVWIEVEANQYTAALSPIVFECLVHPMLGGATDQKVVEENLGKIKKVLEVYEARLTKYKYLAGDYLSLADLNHVSVTLCLGATPHASLFDAYPHVKAWWTGLLARPSVQKVASLMKP >cds.KYUSt_chr3.14890 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90551311:90552807:-1 gene:KYUSg_chr3.14890 transcript:KYUSt_chr3.14890 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLPCFLLLLLLPLLSTAAPATTKIPLYRHLPAAATAAHHHPLSRLAQASLARATHLRAGHHHPQPSASPVRTALYPHSYGGYAFSLSLGTPPQPLPVLLDTGSHLTWLPCTSSYKCLNCSSAASAPFHVYHPKNSSTARLVSCRSPACLWIHSKPHLSTCSASASPAPPCRPGAATCNATASTVCPPYLVVYGSGSTSGLLLSDTLRSATTGRAAKRGFAVGCSLASVHQPPTGLAGFGRGAPSVPAQLGLTTFSYCLLSRRFDDDDAVSGELVLGASAAAKTPTQYVPLLKNPAARPPYSVYYYLSLTAVAVGGKPVRLPPRAFAPGGTNGGGGGAIIDSGTTFTYLDPTVFKPVSAAMVAAVGGMYNRSTIVEDALGLRPCFALPAGPGAKTMDLPELSLRFKGGAEMRLPVENYFVAAGPAPTAGGGGGGAPEAICLAVVSDVPGAADGGAPAAGGPAIILGSFQQQNYHVEYDLDKERVGFRPQPCSSAAS >cds.KYUSt_chr2.52607 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328211298:328213326:-1 gene:KYUSg_chr2.52607 transcript:KYUSt_chr2.52607 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPPPPPEQKMMVAIDESECSNYALEWALRNLAPRRLVLFTVQPFSPLNYVPAAAGSPLGPSVASPELIRSVNEHQRQLAQALVDKAKAICAEHGVDAETIIEVGDPKETICEAAEKLNVDLLILGSHSRGPIQRFFLGSVSNYCTHQAKCPVLVVKKKE >cds.KYUSt_contig_686-1.293 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1866088:1866471:1 gene:KYUSg_contig_686-1.293 transcript:KYUSt_contig_686-1.293 gene_biotype:protein_coding transcript_biotype:protein_coding MEESASPSCSLTSRRGDGTPSAGQPPRPRGGPAPHRRLHCHGGPFRYDARRSVIRLLQLRKGNVKEYVERARDLVVDPVAPADVGWSMAYGNCVVASPTERGEGGGGEGRGPGRKLEPTHPGGRRLK >cds.KYUSt_chr3.29406 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184085958:184087617:-1 gene:KYUSg_chr3.29406 transcript:KYUSt_chr3.29406 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKPKVLPAITLRTRKFMTNRLLSRKQFVLEVIHPGRANVPKTELKDKLAKMYDVKEPNCIVVFKFRTHFGGGKSTGFGLIYDSVDAAKKFEPKYRLIRNGLATKAGKSRKQIKERKNRSKKIRGVKKTKADAAGKKK >cds.KYUSt_chr3.26390 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164351355:164351747:-1 gene:KYUSg_chr3.26390 transcript:KYUSt_chr3.26390 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTGPTFRRPRTSTELLYPADVLVEKTLRVWAKSRWRTEVELTRDFLDEGFSHLPPGSPVMYYVNESREGVALKLLTVTFANRFDAYALLGEVFWCGCESIFFTTYNVFTDCDAIFFSGKPMHCLPYYK >cds.KYUSt_chr2.12900 pep primary_assembly:MPB_Lper_Kyuss_1697:2:81709384:81718336:-1 gene:KYUSg_chr2.12900 transcript:KYUSt_chr2.12900 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLRGRAEAHRWTNRGLVPPGKLAPFAILARCRLPVVAPPLSIAIQRRGDIGRGGGAREAVRTMGTTSTPTSQETAAIEAARIITEAKAAVAWRREEADVVCQGMMCDGCASSVKRILEGQPEVTSAAVDYKEARAVVWTTPEVKLTEDWQKHWGEKLASHLGTCGFESSPQGTTADDLFPLFDKYGDVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGWEVGRREGDDGAVRQSKRENHGNAPKVKGSFQKPQSKTGLHDVVRRCWLIKDQHKLVAVAVDIVCAVSPNDDDAGDGG >cds.KYUSt_chr2.50163 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313734692:313735178:-1 gene:KYUSg_chr2.50163 transcript:KYUSt_chr2.50163 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGSGAIPNMKPTSPSSMFYVHEGDVAQSHHFLEECSLCAKALSGDIFIGDTPFCSEECRRQQIEVDRARHRRKKHAAAHAVSARKEHHRHHHHHHHHHHHRQHQHQRAMVNATPWNDAGFAARSPALRV >cds.KYUSt_chr5.14595 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94608296:94611299:-1 gene:KYUSg_chr5.14595 transcript:KYUSt_chr5.14595 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLPRITALPSRRRSHSPVISPALAASLAQLLATRSTNPAWARSLAALLPSPLSDGRLADAVESLPDPDLALALLSWSRSHSRRRHDAIPAATPIAHSALLRLLARSARFDAVEATLHDMSHAGVAPTRACLGALVAAYADAGMEAKAAETCDRVRAQHGTLPAATHVNRLLRLLVERRRWKDARKLYDEMLAEEGGADDYSTCVMVRGLCLEGRVEKGLKLIEARWGAGCLPHTVFYNVLIDGYCRRGDMGRALLLLGEMETKALLPTVVTYGTLMSWLGRKGDLEKIACLLSEMRERRLSPNVQIYNSVIDALCKCRSAAQAMAVLKQMFASGCDPDVVTFNTLISGLCREGRVLEAERLLREAIRREVNPNLFSFTSLIHGFCTRGEVMAASNLLVEMMERGYTPDVVTFGALIHGLVVAGQVSEALLIREKMTARQLIPDVNIYNVLISGLCKKKMLPAARNLLAEMLEQNIHPDKFVYTTLIDGFIRSESLGEARKIFEFMEQKGVHPDVVGYNAMIKGYCQFGMMNEAILCMNSMRKVGCIPDEFTYTTLITGYGKQGNIIGALRTFPPALKVGNAISITRSSMELVSLKLEKMPFSHLRPKGKWSSVKQVNELCIQL >cds.KYUSt_contig_1253.102 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:713696:720587:-1 gene:KYUSg_contig_1253.102 transcript:KYUSt_contig_1253.102 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGDGSGVDGEAFGGTSPSRRRAGTETPVPQILASRWRWLWKVSRTVAFSYRRFRFHVGAGIPSVAPHYTPPPSTFNVLLYSYWFDNLGFFLRENSTLYASHLPLGVPNGRLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLRALLGNRSKDELLRNNLEVESLKITRATSLSGKMHAGEPEDNSNESVVQI >cds.KYUSt_chr5.10856 pep primary_assembly:MPB_Lper_Kyuss_1697:5:70250050:70255872:-1 gene:KYUSg_chr5.10856 transcript:KYUSt_chr5.10856 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPWLILFSVLVQASVILADTNTQDTSGLIGIADSWKYRPSNWGGDDPCGDKWDLSQNKNLGGSLPSSIGTLSNLQNLILAGCSFSGEIPQEIGQLSQLIFLYLNSNRFTGPIPSSLGNLSKLYWLDLGENMLTGELPIFDGTNPGLDNLTSTKHFHFGVNQLSGTIPRQIFNSNMKLIHLLLDNNNFSGSIPPTLGLLKTLEVLRFDRNNQLSGPVPTNINNLTKLIELHLENNKLTGPLPDLTEMNSLSFVDMSNNSFNASPASDAPSWFTALPALTSLYLENLQIGGQLPQALFSLPAIQTLRLRGNHFNGTLDIGSDFSTQLQTIDLQDNNITAITVGGTQYNKILILSGNPFCDQGSNNQYCKTTGQPNSGPPPYSTAKNCAGLPPTCLLRQLLSPSCTCAVPYKGTLKFRAPTFSDLGNESYYLLLEKDMTTKLVFYKTPVDSVALYNPSIDVTNSLVISLEVYPSGKVQFVEQDIADIGFLLTNQTYKPPPVFGPYFFISQSYPLANEGFVASKSKKNKLPLIVGVAAGGAVLVAIVLILIVVIARRRKKTNRTEERSQSFASLDMKSTSTSVPQLRGARIFTFAELKKITNNFSEANDIGNGGFGKVYRGTLPTGQLVAVKRSQEGSLQGNLEFRTEIELLSRVHHKNVVSLVGFCLDQGEQMLVYEYIPNGTLKESLTGKSGVRLDWKRRLRVILGAAKGIAYLHELAEPPIVHRDIKSSNVLLDERLNAKVADFGLSKLLGEERGQVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLLLEVITAKKPLERGRYIVREVHAAMDRSKDLYGLHELLDPLLGASPSSLGGLEHYVDLALRCVEEAGADRPSMGEAVSELERITRLAGGVTESASESMSYASRTPRHPYGGDSASEYSGAGLPSSRVEPK >cds.KYUSt_chr6.14468 pep primary_assembly:MPB_Lper_Kyuss_1697:6:90799736:90800188:-1 gene:KYUSg_chr6.14468 transcript:KYUSt_chr6.14468 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLAISKFAARISRNRLILQAANQIVRSNRFPAELAAPACTIRPVPQRRPSTPARSTALESAGVGKGYREEELARPRPCLNDRLLLPRCGVACSTQRAPPVGIDQNLLRSRSPAYRSLMETWSSSAQVHCRQRTGGDLELLHAAEEEQR >cds.KYUSt_chr7.14709 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90935570:90937207:-1 gene:KYUSg_chr7.14709 transcript:KYUSt_chr7.14709 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAISSALRTASRTRAASPSPCPRALLHRPSPAGFLFNRAAAYASSAEAQPVSIEQGNCIKFFMATEFCEFSPEIEDHFEIFENIGGFNVTIVTSASSKEETSLLWSGFLLKDEGRAFQDAQNEKVGYLASLAALVASYL >cds.KYUSt_chr2.5047 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31303117:31304725:1 gene:KYUSg_chr2.5047 transcript:KYUSt_chr2.5047 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGEHAAGNSDGGGRPSGDHRRMGEDIGQPRRRDPWMETPDYGRSDDLFSIEVNHGGFFCGLGMNRVYLDTKVDLFDDISRRSFCCASLGYILKELGYSIADLNIRVYWCKPGKTIGDGLVAIKQEKDADMMKNCVVEEKKLIMYVDHVNLLEKQRWDDVNQQHVPLPDVMSPPKQGYEHISEVDDVGNGDEKDVVMSCCSASSSDLDSDFADSDYEFEEDDEHFKKNVDEGVKDDLMEKTTSKSMREPEKYIGEEDELELPEDHKGEITYKWKMFNKLTDMQNPTFKTGMIFSNVQELRAAISMYCVRNRRKLKKVRNNAIRVEVVCQPKCPWKLIATKQTRTEGSFAVTKLNDVHTCERVWEVKELTAPLIAQEYLEEIRDNENLSLKSFAKNLEHHTIQKKHLLTFPLEEEEGQNGCCGERVKLLLMWTLTHPRHHHWMFLKPDH >cds.KYUSt_chr7.2006 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11466651:11469542:1 gene:KYUSg_chr7.2006 transcript:KYUSt_chr7.2006 gene_biotype:protein_coding transcript_biotype:protein_coding MELEHSTAAGLSVLLRPRVGGSVAARGGCAEAAVPGGQTAATLPPPPSSSSSTLRDPAGKWTRGPCYSPPDAESQGSCTAPAKEAVLLTARMRQALAKRVARRMLRRRGSSPPAPGHGGTKVVLTCCCERLPPGLCCALHQRGGAPCRPWTRDGGGAARGWAFGEYARWRRSVWVPSRFYLERAGVGPGERAAPGPALLVERTARNDGFGMDEEDKHWSMITHGGDGIKYLKNEDDATSGDFRTLKPP >cds.KYUSt_chr2.4078 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24635018:24637382:-1 gene:KYUSg_chr2.4078 transcript:KYUSt_chr2.4078 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNRHVLSNSFKKECDDDIVFAQTGLRVSPGTRWGVKKGRDSTSATGNTGDLPGPGSSLAQLQAAFAKKNLNTVDMVALSGAHTIGRAQCQNFRSRIYGGDTNINAAFATSLKANCPQSGGNGNLAALDATTANAFDNAYYTNLLSQKGLLHSDQVLFNNATTDNTVRNFASSGAAFSSAFATAMVKMGNISPLTGTQGQIRLSCSKVNS >cds.KYUSt_chr7.33646 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209867691:209871993:1 gene:KYUSg_chr7.33646 transcript:KYUSt_chr7.33646 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWPNPYLPLLLIAALLAFEDWLSTPSCSGGSPALDPTSGDLRAMMVADLMLLGSDATYADRFFRDNLMSKLITKSIQTTNPDMIVVLGDISAKGSEQSESKWVAVLEQFEGILGQYSSLPIHIVLGDKDVGGCLSLDGKLVHRMANHLPGLDSSGCGSFEISNTSFLSLNAIALLCGDNMLRFGVEKVMEMESHRFQRKRLNGPQHYSLGSEKQQDSGAHSWRQNSMTSGSGPIILLHIPLHKSDKSDGGIIGVPIFPEGTVSDHPLVSFSSKQSGDDGTKLYDRLHTLPANSTQYILQALKPRIIFSAHAHSFSDYTHADGTREVTVPAMTWKKSGVPGFVIATFGQKGAVSVKCCLLAQEWYIMTGYSAFLFLTALAVRWSHWM >cds.KYUSt_chr3.32441 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203792796:203793293:1 gene:KYUSg_chr3.32441 transcript:KYUSt_chr3.32441 gene_biotype:protein_coding transcript_biotype:protein_coding MLIISGSGTRKLLNLDEVAAAATALGFNVTVAEAGADVPAFAALVNSADVLLAVHGAGLTNQIFMPTNAVVLQIVPWGNMNWHATNFYGQPARDMQLRYLEYFVDEEETSLKDKYPRDHVVFKDPKALHTQGWQVLAETIMKQDVKVNLTRFQLFLLQTIDKLQE >cds.KYUSt_chr4.52856 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327851055:327857904:-1 gene:KYUSg_chr4.52856 transcript:KYUSt_chr4.52856 gene_biotype:protein_coding transcript_biotype:protein_coding MQANANVYVVRLPPNMAKAMSYIKEERIVANVLPAPHASSVSSISFHAQPSISPPAMPSAVMQMHMSFCFTAYSSSHPMAALGLDLNHTPRMDSGSAPLCKSRAVPKENMPVPPQPMQANANVYVVRLPPNMAKAMSYIKEERIVANVLPAPHASSVSSISFHAQPSISPPAMPSAVMQMHMSFCFTAYSSSHPMAALGLDSNHTPAWIPVPLPYASLERCQRRTCQCPRNLYEEVQRHDVEVKCRAEDTIIMLAGLSNMDDDHHACTLPDSLLLEVLDRLGCDAAAAARTSILSRRWRYLWARRLKVTFALPEVPYEGPDLISALPDDLLLQILGRLGSVRAAAPAICVSRRWRDLWTRLPKVTVALHDVPFGSLEAALGRATARLWACHYLLDIRVPGQVDRVYAGSLHSLLHAAAALSPLELRFTLPRDLTVSAANVSLPCFQRATYIELHARDLCLSPRHTGGRWFHSLESLSLSGCHINLETFIPRLERLRRLSLSIINLVGMDNITIHSSSLEELTVEHKNRWTCLTSISVKAPVLKQLTMSFHAGSDVVVSILAPMMEKVSWRCLYTSFIYGLGLWGISEVGLKTAERHEQTDSQEEDASLQLPSVHVLSLHMCAQDLLRFPNADLSLVAEIDKHMVTNFSSLDLHLRTKGHVFGAVVLHLLGLNRIHTAIQNLKIVLLGSEVKNACPVDCLCDEPSDWRTQSIFLAGLEKVEIEGVEGEDHELDFLKVIFRCAPMLRRVALGLSDEATPSDDWCTKINDIGKAYPAVKCTVDLVTGKQF >cds.KYUSt_chr3.29648 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185502688:185505942:1 gene:KYUSg_chr3.29648 transcript:KYUSt_chr3.29648 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEKRVNHDPLGDDVDETNTAWTGEKRRRSYLPQSPSSSDAPTATAQDPISHTLSGAGEAAKDHQGPVSDMAIAREQDHALLPPRADSVEEEEETQELFGPQVMLSEEDQANDAKKLPLGGVPLGRMTPQMSWTERLKIVKPESGCELKDPHEYTVDELRKERYFKYLEDDAAFEWFFNTDDIWNPHLDDYQKMCLKDLMPGRIESEYLNADEYHDRYHTYEMDAVYVKYYGEISKKIKEYISELRKDATLKDLDLVYFEIWRLVVKDNIYGVILESDIVANTEDNKARDLFRKGFARLKTKNMVKYAEKKMKIAELLNLHKRIEVACTGYGVINY >cds.KYUSt_chr6.11869 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73808619:73815827:-1 gene:KYUSg_chr6.11869 transcript:KYUSt_chr6.11869 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPASTSAPDAGMLGRRLVLLPASAAASLARGARRRARMRLGCVLEHVVPRLVVGSAALVGAGEVIAAAAVAGGSGGAGHAAVASTIAQLAVSAVAIASGACLSTKVDFLWPRIEQLPDTLVFEGVEVTGYQIFEDSKVQKAIVFASTAHVGQFRRTGDPYVTHCIHTGKILAALVPSTGERAINTVVAGILHDVIDDTTENLKSIEEKFGEDVASLVSGVSKLSYINQLLRRHRQKNTGGSTLTSEEANNLRAMLLGMVDDPRVVLIKLADRLHNMRTIYALPIPKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPQVFKKIRSELTSMWNSTSKDKSMRRSSIRSDVLASTKEVPTTSNDLFISNNQDQSNMQDLLQAVLPFDLFLDRRRRSDFLNNLQSSSEAAIRRPKIVDDAAIALTSLAACEEELQQELLISTSYIPGMEVTLSSRLKSLYSIYCKMKRKHVGIRQVYDARALRVIVGDKDGALHGPAVRSCYSILDIVHRLWTPIDGEFDDYIINPKGSGYQSLHTAVQASDSSPLEVQIRTQRMHEYAEYGLAAHWLYKERKVDTRSGISNKIRQSTSFASSSPENESYVQDGVPSKYSSMKVGHPVLRIDGNHLLAAVIVSVDKGGKELLVAVRFTLEASEDVADRRSSFELKRWEAYAMLHKKVTEKWWCAPGHGDWSTNLEKYTLCRDGIFHKQDQFGRLLPTFIQIIELTEEEEAEYWMVVSAVFEGKETSTLTSESSNTDRSTSDLPISTPLSDPINNKVHLLRTMLQWEEQVRRRAWLAERSLGAGTLTEPILREVAIICWPHGKIMRMTTGSTAADAGRRMGVDGKLLWVNGQLVLPQTELKDGDIVEVRT >cds.KYUSt_chr6.9216 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56813406:56815058:-1 gene:KYUSg_chr6.9216 transcript:KYUSt_chr6.9216 gene_biotype:protein_coding transcript_biotype:protein_coding MMRHLDGLDWEVIVIKDDTVNSMFLAGGKIMVYTGFLHHFKTDVEVAAVLGNEVGYVIARHTAEAASKSLVSMFMRTGTRQFFDNPSLVKYVFKLLLELPLSRRMEIEADRIEMLLLAVAGFDPYIYSR >cds.KYUSt_chr3.14881 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90504942:90505331:1 gene:KYUSg_chr3.14881 transcript:KYUSt_chr3.14881 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVAMSLEEKLEKIQESLLALCNAQTTMCEDQKKLAVKVDSLSGTVRDIDQQTRAYNLAIHRLEKGGHPLCNPSTVKDGNLSSSVQSPLPINKTGSAYIPLRDNLSSPFHDVLECFLHSAFSLEDSIL >cds.KYUSt_chr2.7052 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44158932:44159702:-1 gene:KYUSg_chr2.7052 transcript:KYUSt_chr2.7052 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHALLVAKGLSRIQAERAAAAAARNVNAYGQKEEGPSHWQERKEAKRQMYLGSTEKPPILGVKPKASPTSSGGAYSQCQKCFQLRHWTYECKNDHTYISRPSRTQQIKNPKLKKTTLLDSCQFVNPDLEKEREEERRLLKEKIEKGKSERREAKRRRKHLSRSGSDHNSSEASVFNSDTESSATSSEYSSERGSSSCSSSDSEDKKRRHKRKLKKRRHRRSSTSSGSSDSESTSDSDSDDKGGRRKSRRRGNKH >cds.KYUSt_chr3.44284 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279527401:279528559:1 gene:KYUSg_chr3.44284 transcript:KYUSt_chr3.44284 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSTPGRSSLGGYPGKSRGEAAAGSVLSDNKTSPAGRPSATVEDMHLHRPPCPRMSSSLLDHPLPELSPADPFPAAGCPFQAPLCAAAVAVVNPPPVLRPSQRCSGSRTHPNLGGGPPSPWSPCTALHAGASCSRPLTRFWSLTMQTSYGAQEGRWHTVGVLLELCLLAILPTLDEDGIDWIDQGSVLHPLPGPSNQHVLLLPTRGEDMTSFGLN >cds.KYUSt_chr7.23394 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145641773:145644194:-1 gene:KYUSg_chr7.23394 transcript:KYUSt_chr7.23394 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKLLMATRLGETERMKDLLEEASSAAVPPDLALQFEASAPCAALLLEGATVQGDSALHVVAACGDGNEFLESAKMIHGKANHLLATPNKRGDMPLHCAARAGNARMVSQLITLAKVEGHGAEEKLRTVNKLGQTALHEAVRAGNEDIVIQLMAEDSELATFPKDGSSPLYLAILLEEVDIARSLHCMSRGNLSYSGPNGQNALHAAVLRGRVMTEMLLDWNKDLTEQADQHGCTPLHFAASQAQVASRISPDYSKGFPWIRFATVDVLPLLLLLLQANPSSAYQPDKSGSFPIHVAAVVGADKAVSTFLEMLPDNACLRDTKGKTFLHVAVEKKKRSIVEHACRTASLQWILNMRDDDGNTALHLAVQTGDTKVFFPLLRNRQVRMNLTNNNGQTPRDMSLSDIPPGLSYKWNPKQMIHRALTRAVANHGVYRRDQFEEEYILRPKRADEEKESVKLNNSTQTLGISSVLITTVAFGAAFAPPGGYVADDHAHGGTPTLAGSYAFEAFTMANMLAFTCSAMGTIGLMYSGITTVDLPIRQKHFLRSLFWVSSALTCLVAAFALGTYTVLAPVAHKTAVAICVLTPAVVLYRSAGRFYRMYALAGPLYARAGLRPLIGLAKDIFTRMLRLYWPFIMIFGWAAYASK >cds.KYUSt_chr3.6608 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38016860:38019465:-1 gene:KYUSg_chr3.6608 transcript:KYUSt_chr3.6608 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSTSRGLHPPGNIMTFESPHRWPVSAPTGQADAGGNDDQEVRLLSVSWNQDCGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNVAYPSNKVMIWDDHKSRCIGEFAFRADVRAVKLGKDNIVIVLETKIYVYKLSDLKMLHQIDTQPNPRGLCCLSHHSNTSVLACPGLRQGHVRVEHFGLNLTKMIAAHDSHIACMALTMDGLLLATASMKGTLIRIFNTMDGSLLQEVRRGLDKAEIYSIALSPNVQWLAVSSDKGTVHIFSLKVRVAGEDSGHDIRTLEAPRMDHQNSSTSMDPLIQTNTGSNASSSLSFMKGILPRYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCIFDQVNGGQMSQKEYSRFLKADYAPLRTLSA >cds.KYUSt_chr2.36501 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225321629:225323133:-1 gene:KYUSg_chr2.36501 transcript:KYUSt_chr2.36501 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKFAESANNVIVEEASKGLNPAMIVLLVVVSFLLIFFVGNYALYLYAQRTIPPKKKKPVSKKKLKREKLKQGVSAPGE >cds.KYUSt_chr1.42277 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258834933:258836339:-1 gene:KYUSg_chr1.42277 transcript:KYUSt_chr1.42277 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRRRKRGAGEDPPPPPLDASSIGGAVLAADEDIKKLTFPITTILASLAAAPRRRALPFLELNKGLLPEEDDDEVVSPLTAPADGPTPPPAKRPRAAADDAASAPPPHQRRLWVKDRSSEWWELRSSPAYPDADFRRDFRMGRATFAMVCDALGAAVAKEDTALRTAIPVPQRVAVCVWRLATGEPLRLVSKRFGIGISTCHKLVLEVCGAIRTILMPRFLQWPSSPSSSAAVKASFEAASGVPDVLGAMYTTHVPIIAPKVSVSAYFNRRHTERNQKTSYSITLQGVVGPDGAFTDVCIGWPGSMSDDQVLDKSALQQRAAAGMMAGAWIVGGGTHPLTDWLLVPYTHQNLTWTQHAFNEKVGDLRRVAVDAFRRLKGRWACLQKRTEVKLQDLPVVLGACCVLHNICEARGEPVAPDLLQDLQVDLVDDDEAVLDNPVRSEAAAKARDKIAHNLLHRGHAGTAFF >cds.KYUSt_chr7.20487 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127033707:127034671:-1 gene:KYUSg_chr7.20487 transcript:KYUSt_chr7.20487 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPVQPKSKPSSSKRIPRRRPPPSAMAPPQHDPRRPYKRATIFDQQRRRDSVLRTQSARRADSQARARSLANSLLSPASSSSDDQPPTSPEAHGDDPAVAEVAAAASKLRGPDRRRWFARQIMLPEWMVDAPPHLARDWFFLQSATARVALVRS >cds.KYUSt_chr3.32051 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201467983:201470712:-1 gene:KYUSg_chr3.32051 transcript:KYUSt_chr3.32051 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVASIMDTVFRPLKDYFARTFGYVMSCGDFIDALGHEMDELKSKRDDVKRMVDTAERQGMEATSQVKWWLECVAKLEDAAARVDAEYQARLQLPPDQAAGLKATYLLSQKADEMRTEATGLKEKGAFHKVADELVQVRFEEMPSVPVVGMDALLQELHACVRGGDVGVVGIYGMAGVGKTALLNKFNNEFLISSQDINVVIYIEVGKEFNLDDIQKIIGDRLGVSWENRTPKERAGVLYRVLTKMNFVLLLDDLWEPLNFRMLGIPVPKPNSKSKIIVATRIEDVCDRMDVRRKLKIECLPWEPAWELFREKVGEHLMRASTEIRHHAQELAMKCGGLPLALITVGRAMASKHTAKEWKHAITVLKIAPWQLLGMEVDVLMPLKNSYDNLPTDKLRLCLLYCALFPEDFSISKDWIIGYCIGEGFIDDLYTEMDEIYNKGHDLLGDLKIASLLDRGKDEEHISMHPMVRAMALWIASEFGTKETKWLVRAGVGLKEAPGVEKWSDAERIGFMRNNILELYERPNCPLLKTLMLQGNPALDKICDGFFQFMPSLRVLDLSHTSISELPSGISALVELQYLDLYNTNIKSLPRELGSLVTLRFLLLSNMPALEMIPGGVIDSLKMLQVLYMDLSYGDWKVGESGNGVDFQELESLRRLKAIDITIQSVDALEKLSRSIRLAGSTRNLLIRTCASLKKIELPSSSLWKNMTNLKRVWIASCSNLEELVIDGSKETDPSLVLPNDFLQRRGELVDEDQPILPNLQGVILQSLLKVKIIYKGGCVQNLSSLFIWYCHGLEELITLVDEEQETATNEFEQAPGICKVITPFPNLKELYLHGLAKFRTLSSGTCMLRFPSLESLKIVECPSLKKLKLTAGELNEVQCTRVWWDELEWDDEEVKASYEPLFRPIH >cds.KYUSt_chr4.1159 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6112349:6113726:1 gene:KYUSg_chr4.1159 transcript:KYUSt_chr4.1159 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPCLLAVFGTSHPHARALDSSPAAAEPLAASASPFDAALAALQNQIGYAFRSPDLLRRSMTHASYSRENGRALAVLGLAASQSAAALRALANDRDAPASAMAFYDDDGAANNGFARRSLHAWEGHLLHQAGYPCPPDTRPPGGGRRLSVGGVPIPPPPRAMPSTSPSRRRG >cds.KYUSt_chr3.37905 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238504621:238504890:-1 gene:KYUSg_chr3.37905 transcript:KYUSt_chr3.37905 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCGSDTFATGGGGGSNSTDGGGGPALGDRLLRELDGLLEANPFGLLLRLGDEHGTTDGLIFREVVFPRLAVLVLQRVFDGPASSYAS >cds.KYUSt_chr1.19580 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115113324:115114093:1 gene:KYUSg_chr1.19580 transcript:KYUSt_chr1.19580 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTPEKVVEAHKVVLYHADLSRPQVADACWDRNALRAGQERSITPWESSRRSPAGASPFAAAHWSRALGSWGRRRGEDRGGEEWQQRGTSAPLPSVVSDLIRQLLDMEFVAGEKMHFGGSGSKIKGDFKDVEDRKLRAVYETLSDSNQKLQCYSARQIGSGLLGSRGYLCQKVAYE >cds.KYUSt_chr6.9500 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58586310:58589971:-1 gene:KYUSg_chr6.9500 transcript:KYUSt_chr6.9500 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAAAAAEIVREIAAVCAADPLRADCLRLARKVSLLPHLLAEVADAASADPEAVAWVADLLRALQAAKRFITLGRAPPAPPATDQDVIDKNIAVQFKYVTWQLQAALTNLPHSCFQISDEVQEEVDLVRGQLKREMEKKGAFDVNVFSKIHDILVRHADTVGSQSQEPDSQAETLQMENFSSDQLELQNVALLVSEISGIAKSDIKKITSELIEGLENTIVTDSAKPVDVESQKSDDTKGSPDEVKKPESVAMPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDGGNRTCPKTQQKLQNLTLTPNFVLRSLILQWCEEKGIEPPTRSKYEGSSVEVGEDRLAIEALVRNLSCSSLDERKSAAAEIRSLAKKSTDNRMLLAESGAIPALVKLLSSKDPKTQEHAVTSLLNLSIYDQNKELIVVGGAIVPIIQVLRAGSMEARENAAAAIFSLSLIDDNKIMIGSTPGAIEALVELLQGGSSRGRKDAATALFNLCIYQANKVRAVRAGILSPLVQMLQDSSSNGATDEALTILSVLVSHHECKTAIAKAHTIPFLIDLLRSSQARNKENAAAILLALCKKDAQNLACIGRLGAQIPLTELSKTGSDRAKRKATSLLEHLSKLQVL >cds.KYUSt_chr7.20946 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129748126:129753665:-1 gene:KYUSg_chr7.20946 transcript:KYUSt_chr7.20946 gene_biotype:protein_coding transcript_biotype:protein_coding MDTINLPLLPGQTAPHEPAPPTASRSSAVAAAATEGLVVDAPPAAAALGKRKRLGQHVVPAPPAAAAPPAPAKRDPAQKKAAPKKAAKKPAAAKMASLSALTAAMTKAPPESVDACKVVDESPTVDVAPESYVDMLNEASVHICSAPLVDYGDYNDGLEEGLEGEEFEEEDADGEEDELEEIEEGAFDGAVAKAKGRAIWSGNYTEFEDVILIRAWEAVSMDAVTGTDQTGKRYWQRIKDKFFQLMPPLSSTPTLSYRSLQGQWDTIKTVCSRWAGYIEGVRNAPPRCTNAGDWDAIAQQSKRRPPKHKLVELEDAEEDDEAKKNKRPDGCKATNDKIKKQEEAASLSLKIDVMVKSKEVLLMKTLEAKEMMEAKTKEKEAKWTTLQEDAKPKADIEERRARAEEHRAMAELIAAENATMMMMFDTKKRTLRSTMSQNGASRKERSGAGERDRLGKGRPTERLVDKAASPRDDQPNKRRWDDDDDERVARRRENSPPSNRWGRQGTRSSVIDNPHGLPPPPPLPDHMKPTRVGASSSPPPPRGRSTVLALPVPTEAKPKAEARSRSKASAPRAAATPSASSSSGVPLGNKEAEHSGDDMEETGGLPTPPMDDFVVLDDEADVGNLDAIFEELEANQQVASGGQADVTSAPSAPSSEVELQSGEAGVTLPPPALPVGDEHLADVMLSPSVQPDEAERRSFSSGAGLNEAPVDSNYDLKYLSPLARQIKTKLTTHVQSLVKESPTFPAVLLPLPCNILLPEGTLAERLLVRVVRDGLAEIQELLRAEPLDPDRVMEAIEAHTSMWIYKGAIPEPLKRLVSLLEKLVARMRGEAAPNTAGDPTATLAEEQARDLQQRLRTIIPLVKEDLKQLEPEAPDLHAEARLMKQIAREKDASQELEQREAEATNFLALFDEQRNHAEAGALPEVAHILAYAVPGELPKPEA >cds.KYUSt_chr1.19865 pep primary_assembly:MPB_Lper_Kyuss_1697:1:116990559:116993343:-1 gene:KYUSg_chr1.19865 transcript:KYUSt_chr1.19865 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIRPEVGAAAAGTLTTGMEEAGQCTDGRRQGSKVQGRGTWRRDAARDQKVEISSPTPPPLQEVGMVSMAKDSALHLLPSPHTCARLVLTPHNIALYEKVISVRNLHYDAFGFRAAGQLIGGLLNDWDLQEIEALDLPLHLLEWGDIEKSIPNEGLNKAIIEASIVKILWDGNHSCFFPGFQDWPDPCQRMNNEVNNTLPHS >cds.KYUSt_chr7.39547 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245823985:245830092:-1 gene:KYUSg_chr7.39547 transcript:KYUSt_chr7.39547 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAKDLAKRSTKYYVEEALYKRLFRRGSTPQAVREEVDGFLGSRKRAFKWEVGVCVRRLRRQELYRPALKVQPFPPRPFPSPYCQSCASFDAIGVLTEVMSRRGMNPTVGDQAIRLDLVAKSRGIAAAEKYFMDLPETSKTHLTYGALLNCYCKDLMVEKALALMEKMRELNFAFTAMSYNSFMTLYTKVNQPEKVPGIIQAMKADDVSPDVYTYNVWMRSLAARQDISGVERVIEEMARDGRVAPDWTTYSNLASIYVDAGLTEKAEAALKALEKRNTGNDLEAYQFLITLYGRTKNLVEVHRVWRSLKRNNPRKANMSYLNMIQVLANLKDLPAAEACFKEWEARYIRPPKTKATDAVTTEASKLGEESTTNASNNDSDVKGTKEKGTEELDLKHPKYDIRVANALIKAYISEGMLDKAIALKKRAKMRGGRLNAKTWEIFMEHYLKEGDLKNAHWCADRAIKKGHSSGRIWVPPRDVTETLMGYFEKKKDVDGAEQFVEVLKKVQKDLGTVVFEALLTPDPQAAGVIFVLHKIFRKAMLPPPSPVCCRDSEEERSVCAVDEDLDELVLLLRELTVEK >cds.KYUSt_chr5.38542 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243854476:243855351:-1 gene:KYUSg_chr5.38542 transcript:KYUSt_chr5.38542 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAETIGVFDAAVGSTPAYAREMLAGGVAGVMAKTAVAPLGRVKLLRRIGAAPGADAEGALRTLVGIYRREGFLGLYRGNGANALRVFPSKALHFAAYDRYRGWLGGAGGALVDLLAGSAAGGTALLATYPLDLARARLACGDGACTSVRGVLRAAYAERGLYRGVRPALARALPKSGIKFWAYESLKRRLPEGWGARAEAQMACGVAAAQLASTATYPLAVVRRRMQLGLCAGGGVLEGVRTIAREEGVRRLYAGLGIAYVKAVPSAAIGLVAYDQMKALMKLPVPGT >cds.KYUSt_chr1.2974 pep primary_assembly:MPB_Lper_Kyuss_1697:1:17781470:17782667:1 gene:KYUSg_chr1.2974 transcript:KYUSt_chr1.2974 gene_biotype:protein_coding transcript_biotype:protein_coding MDEARVKLTCAAAVVLHGDKPLVDQVTITLADARELLATMAKGLCLNLTETLVFKELARVAVAFAGLESQCQDNCANAHGLLGAMEGLRHVAKFFLGVEAAAELRPTPAMPADAEPLSTQEAKATFLWDLAKEFAALVTDIKWRYAARAVPAVNESGVDKRRYVSVDADEDLVSHLTGTCADALALLGQMVHKVAGGGFPFTDVAFQEVVSIGKSFVKLQVECAHGSVTSDAVIDAMQALKKMAVVFAGTGVASALRPDSPWSSQGLNDGQNKVAYMWDLSAAFSVLFYDVKFRCVDPKSLK >cds.KYUSt_chr5.9951 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63556979:63558350:1 gene:KYUSg_chr5.9951 transcript:KYUSt_chr5.9951 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGHLSLHRRRRQKKGEKIHYSFTFHGKSKMLLSISHKTSMEEEAVHHPDKSAMPQDDEVSAEDSGSLSGSSGCQVSEEWTEEASVSDDDEDDESLIEISLVDGHYVGQEKQCPSWKKEQDLVASFLPDLMLDKRDFMDIYSEISEDDNMIEIDIARGSIKCTNFGIKA >cds.KYUSt_chr4.44162 pep primary_assembly:MPB_Lper_Kyuss_1697:4:273580855:273581234:1 gene:KYUSg_chr4.44162 transcript:KYUSt_chr4.44162 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTASIVDGDSDAAAMVLAIVQPNGRPVRHTNEARRRLSDRGELESMRATLWRGSPAYRCSPDFLTYRYTAYRSVHGSGPAYRFGLALDA >cds.KYUSt_chr5.12179 pep primary_assembly:MPB_Lper_Kyuss_1697:5:79377975:79383212:-1 gene:KYUSg_chr5.12179 transcript:KYUSt_chr5.12179 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGRGGGGRGGQGRTDERPGGARDDRGVRDRRPEGRGTRGRRLDHRPPYSPGRSPAAPGYRPRRSSRSPSPAYRSRRSPSPVFRRRHSPRGPSPHRSRSRSPAHRARRLRDEDPPRHGQGDYGRPPYQQERERYDYGVRGRRSPRGGGGRDRGSRGSHDGRNERGLNRSYEGRGDHAMSGRYDARPDYMLREHPSDPGHAGKNESDFFGGPAGRSMNKEDDFYGDACVKLGSTGLGRTSSLYLDRRSPPPPPVIPLAPMYPSVPLTETGYLTGGSMVKGGESFGDASARLPHDESGFQYRDRLLGPYTGSREVERLGSGRDMLSGRDGEMDRLYSSRGALSSDIAPSLQLKRYAGSSPPVLAKDSPYRVHGEGYGPSNGYAMSGIGRPDSLGHGSGRAHRFSESSLERGSGRDDKISLDITRQVHSKYPPRTSPAEYDAGGYGRRIQINDTYLASGNLHGNVSQDSRASTRNTLVSSPLRDLKDERSNRQVRLTRRMGEDAMEYNVEDSYHRDTPPRYHKQRGARIRYSRSPETPPLEFARRPVRQHELATFEGSYELSDQEVSPAAYRRGPRGAAYSNRDMDAYQDDGPRGREYYDDGIGPEHFNDVIDAYDLSPELRSRRSCDIIDNEDGYEPRYDGLRSRNVFSRIALPDNLNGEWIDIGFASGKAKITHGKPSDQSVFVVKFPPTISGFQEAMRIHDHFRAENHGKEELEQTKCEKGKKAAPADKLEELLYAHIALAEDLVYLDDETKKRCVVSSKNEIEAKADATLNLDS >cds.KYUSt_contig_824.89 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:570949:574273:1 gene:KYUSg_contig_824.89 transcript:KYUSt_contig_824.89 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHLDLNALPDDSGEDANDVKFDVAVAGAGEVGSQIDLNVELRLAIGCFTGEPSRQAVSLLPPAGVKEEQPAIESRTCRGSRNKIDGMPKKKAAASIAVDRISQLPDELLHHVLASLPVDEVVQTSALARRWRHLWKRMPVLRLVHPRRFASAVDYDKFVNHVIALRGDAPLVSCEIESHLTKDDYAGEPDEPDPNPYFDSWIQYALSCKVQVLKVVGDHVGGETQLALTFTSQHLVKLDVQHFFVDPDVLDFSSCPVLEDLKMQEAGFWVRKMSFPSLKRLCISECNFPADYRVCISAPRLVSLQLLDCQGKTPLLEGMPLLETASIDLSTGCEDKCGGCADQTCEGCRGYPVGAYQSVLLNSLSNAVNLELRDQPKVYIYKRDLESYPTFGRLKTLLLDMWCRAIDLHALVRILQHSPALEKLTLQLRSDERFLCAARGERKHVKIEESFACVHLKEVSIECEERLRVKDKVNQIVKILNRSGVLSEHISFKKIPRPKAYCIRAVSPSFFDPNWSGED >cds.KYUSt_chr4.26220 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164876267:164878036:1 gene:KYUSg_chr4.26220 transcript:KYUSt_chr4.26220 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPVDDCSATQDHLSLPYIARILMEEQEEEEDVDENHPVLLHAQRSFAQILYSSSVLASALLANQSDNFAGVTHSRSSVKDSVIQSKPSSDVFNFKAADEVGSFLLLANNEHNMDMFSTAFFKGMEEANKFLPTDSNLMASNQGQAKEKSCRGRKDRYSKLEADVGRTSKRQEAGAYEEFDEMLIALDNGSGKNNKKGRKNEVQVIDLHTLLIHCAKAVMDDRQSAGELLKEIHQHASPTGDATQRLAYWFAEGLEVRLSGTGSQVYGTLTAKGTSAVAHAEAYQLFMSTCCFRKVSFLFANKAIFNAVVGRSRLHIVEYGFHYGFQWSELLRWLAARDGGPPEVRITHIDLPQPGFHPEKHMEEMGNRLTDIAREIGVPFKYRAIMAQWQTVCIEDLDIKPDEVLAVNDQFNFRTLMDESVIAASPNPRDAVLSNISKMEPDVFVQSIMNGSYGTFFLSRFREALFYHSAFFDMLDATMPRESKLRLALERDVFGWIVLNAIAYEGEDRVERGETYKHWQIRNQRAGLRQLPLNRETVKMARDMVKKDYHKDFDIDEDQQWLLQGWKGRILYAHSTWVAEAASYHC >cds.KYUSt_chr2.31219 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192672121:192674655:-1 gene:KYUSg_chr2.31219 transcript:KYUSt_chr2.31219 gene_biotype:protein_coding transcript_biotype:protein_coding MRELASSSASAASTTAAGLLAAAGGAALGGWWEDVNASPAWQDGAFFSLSAAYALVSAVALIQLIRIQRRVPEFGWTTQKVFHLMNFVVNGVRAVVFGFHVWVFFLPTRVYKLVLLDLPGLLFFSAYTLLVLFWAEIYHQARSLPTDKLRLIYAAVNAIVYIIQVCIWVYLGINDNLLVELVTKIFVVAVSAVALLGFAVYGGRLFVLLRRFPIESKGRKKKLYEVGTVTTICCTCFLIRCIVVAVSAFDDDVSLEVLDHPILDFFYYLLTEILPSALVLFILRKLPPKRVSAQYHPIN >cds.KYUSt_chr5.42579 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268250552:268254648:1 gene:KYUSg_chr5.42579 transcript:KYUSt_chr5.42579 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAKSLEMEDGGSTYTVDEALVSMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWGLSAQEESLITSVVFLGMLVGAYCWGLVSDNYGRRVGFNFTALVTGGAGLLSAFAPNYSSLIVLRFLVGVGLGGGPVLSSWFLEFVPAPNRGTWMVIFSAFWTIGTIMEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPLTLESPRYLCMKGRIADALHVMETMARVNRVALPSGRLTSGHRMELHEISDSSETAQLVSARKTNPADHASKSGIGGLNAILRLLSPNLIRSTLLLWTVFLGLAFLYYGLVLLTSELSHGNRICGSEGEVAIETTHTIDANLYRNVFITSFGEVPGLILSAAIVDKIGRKLSMSLMLYISCLCIAPLMFSQPESLTTVFLFGARICISASFIVLHIYAPEIYPTAVRATGVGFASSIARLGGILCPLVAVGMVHACHQTAAIAVFIAVMLVSAVAVSYFPLETSGRKLSDHIAS >cds.KYUSt_chr5.36015 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227517636:227518415:1 gene:KYUSg_chr5.36015 transcript:KYUSt_chr5.36015 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLSPPVLPLSAGWPFSVLPGELLRWEVGGEAVEGGGPLNKSDCGHRWFSRFGALWLLLAGLGGEGKEGIGINFCRSRWLEYWSVLLCASGCAWRRLVRLLLVNAAWCRVKLMGKPFTLSSISSSRCLSLERSGGAGEIPLAGLGGEGRERPAVAVSASWRRRRNIKQHPEEFYAAAFSVVICSRIGGLSRRSDVFSTSKEEALLEILAGVQYPRATKWFVPGGGVVAGAGSLVVGGVDKGQGLDCFFFSFSLRSFV >cds.KYUSt_chr2.5345 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33166592:33168359:1 gene:KYUSg_chr2.5345 transcript:KYUSt_chr2.5345 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLTQHAATASPSKRRLRGAGHAAPGRVEFGRARRSGSARDVLSVAGLGRFFGQAAGAGSKNSRGVEDVGTVRLFVGLPINSVTDGAAVNSARGIEAGIRAVKLLGADGVELQVFWSVVQPESPDRFSWAGYRAVADMARAEGLSLRVSLRIHGSPGGNVPKLPAWVGSAAATDRDILFTDRNGDRHEDCLSFAVDELPVLAGLSPLQRYEAFFRSFANAFEDLFESTITDVTVGLGPNGELRYPSYPPGSDATKFIGVGEFQCYDKYMLAQLRQHAEAFGQPLWGLSGPHDTPRYHESPDSCDFFRDQGGSWQSPYGDFFLSWYAGKLLSHGDRVLGMASRVFGNKPVELSAKVPFMHWFHGAKSRPAEAVAGFYKSNKKNGYSPVAKVFASHGCTMVVPGMDVCMNKQHRSTGSSPDQLLVQIKNACRRHGARIAGENASLVMTHTSSFSRIRSNILTTERMRPSHFTYQRMGAQFFSPEHWPQFTEFVRSVVCGEWDEDDEERQMAAAVSGSAKAWDAQAV >cds.KYUSt_chr1.6993 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42935404:42936778:1 gene:KYUSg_chr1.6993 transcript:KYUSt_chr1.6993 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLGLEEEVDESGCLEPLFYDEAEVVAEVTAKAERRRLEAEEKAAEHARNVEEWTRRNAAHQAVLDRIREYDPETGEVCYTRFYHKDFSRFDIDEESPLPPMRYTHRTPTTHANPRGKQVYSLWESANIFSVKIAASDVPFPLEVYGSVIARDYVDFKCVYLFRRSCDDCQVINSEDESLILTGPSRGLVLMDNLYLEVDLKVKDKRQKDQELSKGLCVIDGVRLAGWDYSLLDCVDLKSRLSTVEVKFAVVVFAVEATAETKVIKGNFCGEITAYTSSIQDCLLLHDSKAGGVTCDGSGIVQLLRRVVCVGKKEKLLLRIATASATQTVSFAPDVNGAVQVEITCGDVTMLVKVNWSLFD >cds.KYUSt_chr7.12395 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76321928:76323949:1 gene:KYUSg_chr7.12395 transcript:KYUSt_chr7.12395 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPLQTLIPFLLLLSTAPAVAPAAFPGIDAFLASSAARDPTAANDTFDSLPASLRRALSVPSPISASRLLSLSAAVPVNVRLAGAAFPASSARLLPSYVSSAVSSAPFHSSRRPHRLALSHSLHLDVAAPAASSNLATKAAAAVRAHLEAAPAPFHATALSSVPYSLVDDLVAEDYRALVGSSSAPAIYIYLLDLGKQPRPYAYTAAPGSADSHSPAFSRCLSPVWAGKDRYLWIDLGAGPVDYGPALSGDGVLPRGEFHPLAALHGRPKSEKALVASLASLVLSAYKLLLVPSLRIPVHYESSLLIRFIHIHGDDKDPAGLDWRAIEQSIRDGELPYEGQSLKFDFHSVKYSECPICSFVVARSTHSFTSRFLFDNYTMIVSEYLDSKRMRHVLSDSSEEMHRVAGVHDNDDGHDKVVPVYVFDLDYDKLLLLDRYHQAVAFRDMVVAVRTRSSQTVSDYSCNGRHVITMTRNLERPIIGSVLQTMFGVSPTHQSWSPEHDATVVDYTWSTGHTPFGPFSETKSLSFVQKDAARRNVLLTTLNYTITSTVTVLDSLAAHGGENILLRKKRHVEFIQRWNLLTYKLEKLVSAMSHLDYNKAMYLLRSSDHDMYALFSLVYQASQELEASLVCFKDPPFPWLSVSLSGVFVFGFFYVYSKRDKLFRSKRKQF >cds.KYUSt_chr3.15379 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94130951:94131379:1 gene:KYUSg_chr3.15379 transcript:KYUSt_chr3.15379 gene_biotype:protein_coding transcript_biotype:protein_coding MNMQEAMAPAQLRQMVAVASTGDVRRAVEQKPVVVVGRWGCCMVHVARRLLLGQGANPAVLEVGDDADPAALVAALRSKDISTRGVADVVFDACGAAATARHAAFPAVFIGGRLVGGLDRLMSMHIAGELVPVLKQAGALWL >cds.KYUSt_chr1.28248 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170609195:170615067:1 gene:KYUSg_chr1.28248 transcript:KYUSt_chr1.28248 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLHVFDLPVKLTRHDKEKGLVMLSIMSLIGLGLMIVLLFRCTGELMSRGGRLSGRSCIDRRLVSTMSSGALLPVTTTSDATTLCTLLLRPSRHPDRESTPCPGLAAGQHKAVVVVVGLQEKDLDGHAEKGIQHNQKATFRGEDNEAIDASPDVYMHACAAVVLVVAACVSREVVGLAGPRLRLPPRRVHHGVTMMLVLGYNISCELLVYPFDLWLPCGL >cds.KYUSt_chr3.15557 pep primary_assembly:MPB_Lper_Kyuss_1697:3:95291304:95299734:1 gene:KYUSg_chr3.15557 transcript:KYUSt_chr3.15557 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDTPNSGPPVAASVMAAAAMGESQCEATRQKRMKKKPKPDGAGFGVAPSQSPLPAATFLQTHTQSPAPGTPILEEAVAVRKRKVRNDQKAAAEGDKHGKEPMLAATTTMKKKKKHRERRSSPSLSAAAAAKEPILQQGPEVNTEQKRNNEEGPSTAQLLQDPAQSPALGAQILEEATTEAAMRKWKMRDEKRVAVLPFAQNQEQTEERVLAVTTKKKKRKRRESKSSPSLTAAATVEAPILQQEAKVTKKRLPLDPTQAQSQGTKPPRASKPLSAATFLEAQTQPLASRTPMLEEAAVAVMSERKICKEQKVVALPLSQNTTLEEPVLAMTMMKKKHKERKSSPSLTVPTIAEAPILQQEAKMTKKRLPHDPTHAQSQGTKASHAAKPLSAATFLEAPTQPPASRTPMLEEAAVAVMSEGKICKDQKVAALPLSENTTLEEPVLAATMMKKKKHKGHKSSPSLTDAAAAEAPILQQEAKVTKKPKRRKEEGPSTYSPLQLHPGLTQSEGTKVSHASKPLPAATFFEDPAQYTAPRAPMLEKAAAVMSKRKICKEQRVAAVCLAQNTTLEEQRDDTMAVKEKKESNHMKHTLSSISASAASETTIWEHKVKVTKKQKQRKQQEPSGKSPLSLHPGQTHSAQSQGGKAPPEQEGEADARKGSSIKKSNGKMPCVRVLSNRKLIKEASKRQPALPEGFVPFSDFVSNCTEQNPDESLPYRAFFDQFRYNPVRGDHKPPLPRTPDHLARLLPRANETSKASKTNNSVVCKSKKKDSGPGSQEKLHPQVKENPKKMGTTKRRQPPPLLTPAEMCSDIYRRVPLDQLVPPPRSPHNLLQEKYASDPWKVIVICMLLNLTQGKQVKNIIEGFFECYPDAHSAINADPEKMAGYLECLGLQHVKTTRIQKFSKEYVEKEWTYITELCGVGKYAADAYAIFCAGRAIEVAPKDHKLVDYWKYVCFKLPLMQFILNLVTRESYEEDIEASDSEYISESDEESYESDFIDDGDLEVFDKKYDLDSDDHDVCSFPGHRQQAFELQRHSEKKKHPADNSASSNSSEDEFFSSRSEIMQELRMSALLNKIYGNVSQEIKPKNIMSNDETKKQSNDAR >cds.KYUSt_chr7.29485 pep primary_assembly:MPB_Lper_Kyuss_1697:7:183497103:183497696:1 gene:KYUSg_chr7.29485 transcript:KYUSt_chr7.29485 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLRECHPATMSGATVLCTAILLLPMLAVTEASRVHVQTSTVTPDNRNGTYNGSSVVVQKQEGMGGGGGGGGGGSSGGASWGYGWGWGWGNDGGGGGGGGGGGGGGGGGGGGGGGGGGGKGNRSELRGNGSGSAAAPRGRRTRHRPSYSSSLYRVGEYARCSAPGRCQGMKLLCPMQCEGPCFYDCDANCKPHCRF >cds.KYUSt_chr2.15693 pep primary_assembly:MPB_Lper_Kyuss_1697:2:98806635:98807690:-1 gene:KYUSg_chr2.15693 transcript:KYUSt_chr2.15693 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPHHLVILLLVAAGLCLAASGSVGDEGMQFTYNGFAGVNLTLDGAVVMPNGLLMLTNGTIQTKGQAFHPWPLPFRTAPNATRSFSTTFVFAIFGQYSDLSSHGVAFFVSASKEVLSTALPSQFLGLLNSTDVGNQSAHIFAVELDTIFNAEFRDINSNHVGIDVNSLVSLDSTDAGYYDDGTGRFQNLNLISRKAMQVWVDYDGTATEITVTMAPLGMARPKKPLLQTTVDLSGVVQSTAYVGFSSATGILTTRHFVVGWSFALGGPAPALDIPALPALPRAWPKPRSKVLEIVLPIASAALVLAVGIGIYVSVQRRSQASDGFGTAISCRCLVTAGAKGNFSWFTTA >cds.KYUSt_chr4.16251 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100816468:100822116:-1 gene:KYUSg_chr4.16251 transcript:KYUSt_chr4.16251 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSTWWSWLCEDALKKKLSHGGLWGSNPQDFVKQAQARKAFHLVEVKIVVIELKWNAQGLDLEEEENTPVNIDAFKPAVAFFQASPNLDGSGGVDVADADGSEALEQMAPSSPIK >cds.KYUSt_chr1.36394 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222036720:222042604:1 gene:KYUSg_chr1.36394 transcript:KYUSt_chr1.36394 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPWLLLLCLAGAAGGGVLQARAQPDSKGFITIDCGLEGESGYEGETTKLSYAPDNGDFTDDAGTCHNISAENITPSMGTTWYNVRSFPAGARNCYTLRSLVPGLKYLVRASFMYGNYDGLNRLPIFDLHIGVNYWHTVNISSPEAAKNVEAIVVVPDDFVQVCLINTGAGTPFISGLDLRPLKNTIYPQATKAQGLVLLARFNFGPTDKYDVIRYPDDPHDRFWFPWVNSVLWREMSTTRKVHNMNPDLFEAPPAVLRTAISPRNDIQIQFSWEPQPQPNDPSPAYFIIMHFTELQLLPANATREFYINLNGDLWDRDAVTPAYLYSGVYYNTFASRKSQYNITINATANSTLPPIINAVEVFSVIPTTNIATDSEDVAAIMAIKAKYQVRRNWMGDPCGPGTVMVWDTLTCNYTIAGPPRIRRVDLSSSGLNGDISYSFADLKAVQYLNLSTNNLVGSIPDALSQLSSLTVLDLSGNQLSGSIPSGLLRRIQDGSLNLRYDNNRDICTNNNSCKPIKTKSRLAMYIAIPAVVIVLIAVVALIFRFIRRKKQGKVSNRRFTYEELEMITNNFEKVLGQGGFAKVYNGFLEDGSQVAVKLLSNSSIEGVSEFLAEAQILTRIHHKNLVSLIGYCKDGDCMALVYEYMSEGLEYLHKGCNPPLIHRDVKATNILLNTMMEAKISDFGLSKAFKGGSQHVSTEKVVGTPGYVDPEYHATMQLTAKSDVYSFGVVLLELVTGKPAILREPEAAPIGIIQWARQRMARGNIESVVDARMGGIYDVNSVWKVVEIALKCSAYASIQRPTMTNVVVQLQECIELEEGPADEDVNSSFYTGDSSDNPNLSYDEYVSDQSTNMSQSKISFPMKHDVKGVAAMPTGPTAR >cds.KYUSt_chr4.9858 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59602389:59605175:1 gene:KYUSg_chr4.9858 transcript:KYUSt_chr4.9858 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMEPGSEPLTEGEKADLEGIAAIKESAAREYKEEGNQFVKMGRKHYADAVDCYTKAIAQMGALPLSAPNPDASVLFANRAHVNLLLGNHRRALDDAEQAIQLSPSNVKAHYRAAKAALALDLLPEAVSFSRRGLEQDPANEELRKFLAHVGALEIEQFRQKAKVQNAVYTAKDLTTALERRKLRLGKAAFQELTGVKKPELDSMCVLHWPVLLLYPEVMSSDFIKDFPETDVFSQHLDAGAETPLSKSETLKYFLEGTVDSKSLQDTLDEEDGEHDTGSGCTVTPSSEGSAKWIRVKEGTTLEEALQHKDYIIPGIPVFFVVSRKSSFYPEFKAGNWSLP >cds.KYUSt_chr7.519 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2891704:2892870:-1 gene:KYUSg_chr7.519 transcript:KYUSt_chr7.519 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDLNTHLPPRKRLLAGFRTAAAASSDASEQSPPPPSPLILPDDLAARLRGMMGPPASTPPSPEEIIQAARHAASAAADAAAAARAVAADKAAVAAKARAAARAAMELLDSISIARSRNGIQLKAKSRKKHVQVKLLYRPPGDGWASEAGKETVGDDDDDAPPRLRRHRESDEEVARKLHRVMNSSPRISFTGPKRPRGIDAGNDECHGEGGGGDACNGSSMHTSPTEVGGLVNGCSAGKSGERTVHFTKIRAPDGDGEESSWNAAQSRHIADNGVGIGNSSAGRKVKIKRKQLFLNHHDSKEREERTDPSIDSIVYDELKSNGAEKRSSFADARAPRGDDPVPMKISSVWKFKKFKPSSHCSSSDSKMLHNVCSSAEASASVKAD >cds.KYUSt_chr6.14279 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89274314:89276579:1 gene:KYUSg_chr6.14279 transcript:KYUSt_chr6.14279 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAADGAPDEPVHKGRTNTILLPILGVLFAYLLYRYLLPRLRGLRLPFRLPVPACLRRRGDGTGAGRGALLPYFAPIADRLGALQPYLGPIAERLGVVPVPHGAGHGADALVKFPGGEALTVAAILEAPGEVVAKSSHSTVYRAAMRSGEAAVLLRFVRPACAVGPDEATAAARRIGAVSHPNIVPLRAVYVGPRGEKLLVHPFYAAGSLHRFLQEGIADSQRWNIICKLSLCIARGLDHLHTGMEKPVIHGNLKTSNILLDASSECRISDHGLYLLLNIGGAHEMLETAAAQGYKAPELIKMRDATRESDVYSFGVVLLEMLAQKDSAKNDGDGAPSSRDIFLPASFKNLVLERKISDAFSSDLVRQSRKAGNEKKLNAFFELATACCSPSPSLRPNTKEIIRRLEEIAK >cds.KYUSt_chr3.30275 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189590402:189595136:-1 gene:KYUSg_chr3.30275 transcript:KYUSt_chr3.30275 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRLLLLLLALASCSCHIAAAPGNATSPPLACSEPSRVCTAFLAFPASSSSAANATVLASMFDAAPGDLTADAAASPGYAFVRKNCSCLASRTYLANTTYTLPSAAPPPNATANATAAQVAVAAYAGLAVPPPGGAAQRPPRAGAVVALHLICGCSSGPWNYLLSYVGAQGDTVESLSSRFGASMDAIEAANGMAGPDPVTTGKVYYIPLNSGDQIFTDNLIKLQIRVPGQPYAMTSPAPIPAAAPTQNTLPEISGHHSAKFPYGWVIGSMGVALALIAIALLAILMCKSFQYNHQASNNQRKSPDQPMSHNFQLLKSGSFCYGSGRYLCCQFGNVKQSRKGGEDHCINVPKGMVVDVFDREKPIVFTYEEILASTDLFSDANLLGHGTYGTVYYGVLRDQEVAIKRMTTTNTKEFIVELKVLCKVHHASLVELIGYAASKDELFLVYEYSQKGSLKNHLHDPQSKGHTSLSWIYRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDSSFRAKISDFGLAKLAVRSNDAEASVTKVVGTFGYLAPEYLRDGLATAKCDVYAFGVVLFELISGKEAITKTGAIGAGSNSERRSLASVVSFLSSTRATESDKFDNSWV >cds.KYUSt_chr5.30594 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193992369:193994014:-1 gene:KYUSg_chr5.30594 transcript:KYUSt_chr5.30594 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGGEAAKMKSSGSSVLVYLRMKINIELIILDIWREELFYAVT >cds.KYUSt_chr4.12896 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79287265:79287750:1 gene:KYUSg_chr4.12896 transcript:KYUSt_chr4.12896 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGRGSAAQRAVAAAAALAVACCCIAGCGVSAATYYVGDGNGWSLSSASWPNGKQFHAGDVLVFRYMTWMHNVVAVGEDGYNGCTTPAGSRTYTSGSDSVTLARGDNRFICTRYGHCYLGMKMVVNAV >cds.KYUSt_contig_1991.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000237.1:19751:26334:1 gene:KYUSg_contig_1991.2 transcript:KYUSt_contig_1991.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTTAITILVRGRTLYIANAGDSRALIAEKKGEDMIAVDLSIDQTPYRTDEVERVKECGARVLTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVENGMYPGTAFTRSIGDSVAESIGVVANPEIFILELSASHPFFVIASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIILVHINGLTDTGSTHTVLKASLQPSQQVVELVGSESPSVISLNPNNQRSRQDISRARLRALENSLENGQVWVPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCNVLLDCMQRVEAKPGDIVVHQGGEGDCFYVVGNGEFEVLAIQEEDGKEVSKVLHRYTADKLSSFGELALMYNKPLQSSVRAVTSGTLWALKREDFRGILMSEFSNIPSLKLLRSVELFTRLTVLQLSQLAESLAEVSFADGQVIVDKDDNISCLYIIQRGHVRLTLAADKLNSDSWDLLSAHTKKVQQSQENGNYVVEIDEGGHFGEWALIGESITFTAISVGDVICSTITKEKFDLIVGSLPKLPQADSKLKDSLIPKEHSTDEDFSFRRVQLSDLEWKTCIYAADCSEIGLVQIRGSDKIKSFKRFYIKRVKDLRKEGQVFQEKDIMKSLSQSVCVPEVLCTCADQSYLGILLNCCLCCSLASILNTPLSESSARFYAASVVVALEELHLRSILYRGVSADILMLDRSGHLQIVDFRFAKKLEGERTYTICGIADSLAPEIVLGKGHGFTADWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLTMPSTFSVEVVDLITKVESLIQ >cds.KYUSt_chr1.42004 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257480414:257484564:-1 gene:KYUSg_chr1.42004 transcript:KYUSt_chr1.42004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGRGAGEPPRPRPQPQSRGATVVVAARAAPREIPRAAAAWALAHVARHGDTVLLLVLMPPPPPAVSGKRPWGFPFFAGGCATGHGAAPVQRSDVSELCTQMTLRLRDLYDPTKVDVKVRIVHTSPSGGVVATESKQAQASWVVLDRDLKLEERHCMQDLQCNIVVMRRSRPKVVRLNLAEKQPEEPAPPPPQPEPSGSDGGEVAGVVEEEETVSIRGPEVTPSCSTESETPCDSTDAGVSSVSSSDPGASPLCGSETDASLKKELTEDMGAASISSSDHGACPFSASEATSSVKKEATEDVRTCSAVSSDPGTSPFCDSEADVSLKKEAAEDTGTCSVTSSDHVTSTFCNSETGISLKKEGTDDTGTSSVPSSDPASSPFCDSETNTSLTNEVTDNTGTSSVASSRPVTSPLCVSETDSSLKKEAGNDNIQHLHVNRSDSETDTSTPQAAASLLQPWMADILQRPVSSKVLTPNRPRARRTPTADALLEKISKLDLLTEISAVRSRSDLNFRGNVRDVVSLSRSAPPGPPPLCSVCQHKTPVFGKPPRWFSYGELEYATGGFSRANFLAEGGFGSVHRGVLPDGQAIAVKQYKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNRSLDTHLYGRNRETLGWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEDHALDDLIDPRLEDRFCENEVYCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVESGCISAPSSVAGSMSRRMMSDRQHYQEQSSPVQPKDVSEVNRSYETLRSAWDSDRQELSDRFWYPSAADCSRPR >cds.KYUSt_scaffold_869.505 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:3290439:3298266:1 gene:KYUSg_scaffold_869.505 transcript:KYUSt_scaffold_869.505 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTAMSLSTAAVVAGGAVRPDAIRPADLRFCGLRREALGIRLLSASPQAAAAAKRKVLAAAATGNGAAGSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQDEHHMKSLGLQVSSSGYDRQSVADHANNLASKIRSNLTNSMKSLGVDILTGFGTVVGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDYHTGVFASKITPAKNGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENMNVVTQRGFIPVDERMQVMDADGNAVPNLYCIGDANGKLMLAHAASAQGISVVEQISGKDNILNHLSIPAACFTHPEISMVGLTEPQAREQADQEGFEISVVKTSFKANTKALAENEGDGIAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKLVVHAHPTLSEVLDELFKAAKVTGIPQAVSEPVAA >cds.KYUSt_chr7.26847 pep primary_assembly:MPB_Lper_Kyuss_1697:7:167655503:167655966:-1 gene:KYUSg_chr7.26847 transcript:KYUSt_chr7.26847 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAPLAEDREVDELLAALGYKVCSSDMASVAQKLEQLEMAMEMGGVSAGPVPQTTPSPRTWPRSPSTTTQNLLGPEHFLATAIGQKDPFLTVGIGQKDLNKTSSTNYLLTTNPEEHPI >cds.KYUSt_chr6.33151 pep primary_assembly:MPB_Lper_Kyuss_1697:6:208645540:208647900:-1 gene:KYUSg_chr6.33151 transcript:KYUSt_chr6.33151 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGRSRRREIRRIEDATSRQVTFSKRRTGLLKKAFELGVLCDAEVALVVFTSTGRRYEYGSAPDLQKTIDRYLNHTKGSIPTNEKALPASVQQKKMMDQILELRKKEEKLLRKNSDLRKEYKALPLLELLTRSVATADAARSPGGEEGPDDDDEVWWRSYMDVDTELVIGRPRTSS >cds.KYUSt_chr3.49480 pep primary_assembly:MPB_Lper_Kyuss_1697:3:309109628:309111051:-1 gene:KYUSg_chr3.49480 transcript:KYUSt_chr3.49480 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPNIQQLPLPEAHARGVVSQVVPDLLHGYSKLGHLCDARRLFDRMLHRDPSSPGALPSSCSSLIDVNSKISLVNDAKVVLSLMHNRDMVVWDDMVFGLAQNEQGDEAVKLFNQLPISGSTPNEFTFVALVTMASLFHGQQFHAQVIRAGDNSAPMFQMLS >cds.KYUSt_chr6.24427 pep primary_assembly:MPB_Lper_Kyuss_1697:6:154327350:154328404:-1 gene:KYUSg_chr6.24427 transcript:KYUSt_chr6.24427 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVQFQRAAALMVVGAALVALAAVFLVSPAVRAMEEGCGSSVPAMGSDLAMTGNGGTLPRVQGIPTLDVEQFILQDNEFREEHTMNMEWLNDVHSTFEEWMDNLDNELSDDIGAEIANAAEMDGAADVDIVAEMDGAADVDIVAEMDDVAEMGGAAEMDGAADMGGAAEFDVDIVADMDGAADIAIFSSVLLSFRILPIVLLVMATIGTSTATASPPRSSTPTSASSCCSAPRSSASTPTSASSCCSEPRSSASTPTSASSSSSAPRLPSTSTGSCGDGGTSEHEDAVEQREGHELSLTVTSVTTVTASSVGA >cds.KYUSt_chr4.7298 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43235022:43236802:-1 gene:KYUSg_chr4.7298 transcript:KYUSt_chr4.7298 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTYIQKTKKLYLDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSNRLSNETKMYADKAKDLNRQAFIRKYAPVAIVIGVVIILFWAKNKIW >cds.KYUSt_contig_1181.986 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:6559395:6568542:1 gene:KYUSg_contig_1181.986 transcript:KYUSt_contig_1181.986 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEGRLVELFGAVKSWMPRRGEHSPPPPAPKAAAAAAVPLAAPQPQQPHDLSRDFWMPDQSCRVCYDCDVQFTILNRRHHCRHCGRVFCARCTANSVPRTPGDAAREDGDRIRVCNYCFKRWREEQTPAARRDASQPSSPVLSPTPSAASVGSDKSCSTGRGSAVTNGQMSSYANLSCTDFGSLPVDGEGRKPDDASLEKQRAVMEPAGSMDHVDNPPDPFNFCLNRSDDEDDDYRIFRSDSEVHHLENSEEYYGPMCFDDHQALCGDAAKESASPRKDTFTLVTSAGIDKMGDHIIDTSEECNTRSSSLYGMEILENELVDFENNTSLWRPPEAEDEEDDHDGDPCDDHEGEDATGEWGYLRSNSFGTGHCRSRDKSAKEHKKAMKDIVDGHFRSLVAQLLQAENVPLADKTGKESWLDIVTSLSWEAASLLRPDTSKEGRMDPGGYVKVKCLACCRPSDSLVVRGVVCKKNVAHRRMSAKKEKPRIIILGGALEYQRVSNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRHAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYLSSEKLGKCDLFHVEKYIEEHGTAGEGGKKMLKTLMFFEGCPKPLGFTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLRSPIIVALPDKPSSADRSISTIPILQMSTDSSPNSDLQATDMLKDNFKFNDFRMVDQTAAACFPDKKSCETLEVESTQTSPVQINDQNGNISCLLGMDPRSYIDPLVQQSRISLCHCPACTRNIGSEVKFEELQPETSRHALANGFSLLPAHSGNSVSAECDLSSAHNPENGMNIAARPSAPLNLEISYDNGSSKNGSIIKKDEIPASPADNQSILVSMSSRCVWKEAICERPHLLRIKYYGNFDKPLGRFLREQLFDQNNRCPSCELAPEAHVYCYVHPQGSLTISVRKLIVKLSGEHDGKIWMWHRCLRCPRDDGLPPATKRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPSKLDFTSQHQEWVELEANEVVDSAELLFTEVLNALHQISEKRPITGSFDGNVKILELRRNIVELEDILQVEKADFTESLKNLLKKEIRKGQLFIDILEVNKLRRQLLFLCYLWDQRLSFIATSGGKYCDALGGLRVGNKISEFNDRSVDTNTNTKLEKNSMGTAVVSNAEDGSLGQSPLHAGDGGLNQHDQSYETSFKNIEELNGTENTIAKISHSNSASVKDHLDKQESNISVRRVSSEGQFRVTTDISDTLDAKWRGEDGLAPDASLVKPLAQLEGTAADVKKQAKAIPYHTSALSVRSGDTVEDLLSCLKMPYMTFYNSLNTNYGTAPTFGTLADYNPVYISLFRELSQQGGARLFLPTGVNDVVIPVFDDEPTSIISYALVSHMYHFQMSVESSKNKDSADSSFPLPVYDSGNFNPFHLFEDFGSSDDFTSSVSSSRGSFAPDVVHSRVSFEDGGPLGKVKYTVTSYYAKSFEALRRSCCPSELDFIRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLQFGSDYFKYLSESISTGSPTSLAKILGIYQVTIKHLKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSNNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAAPTVVSPMQYKKRFRKAMSAYFIVIPEQWMPTIINLDQSSSNICEDDSQNASQE >cds.KYUSt_chr7.19924 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123465611:123466822:-1 gene:KYUSg_chr7.19924 transcript:KYUSt_chr7.19924 gene_biotype:protein_coding transcript_biotype:protein_coding MILVPDILIKCGIYGPKGVTVPDPIQSACTRWGSDPFCCGSYSHIRVGSSGTDYDILAESVSDDHLFFAGEATNRAYPATMHGALLSGLREAARIFRASQSRVNSDPKKYALQRSPRPTDGILEDLFAEPDLEFGRFSFVSSSMTPDDPQSLGLLRITLWKDLLLGPVGDQKDQEPAAEKAANHEAFHLYATIFRQQADQLQLAGDDDQARLGLLCKDLCVKLMGYDNTCDVGNSLILSIVSTQKARKRLQSAKKFRS >cds.KYUSt_chr1.29647 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179539947:179547056:1 gene:KYUSg_chr1.29647 transcript:KYUSt_chr1.29647 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAQPRFAPLPTAQTESDAGKFLIRPTLLKRTHRCSSAHVFGGISTDGFRFGFPGAEEGHQFRLVSYNILAQVYVKSAFFPHSPSASLKWKARSKAVLAELKSFQADLMCIQELDEYETFYKKNMESSGYSSIYVQRSGDKRDGCGIFYKPKSMELMQKEVIHYNDLVETYRSSDDVISATSDNSSPAEESSGKEDNSKHGDPNDPRVRLKRDCVGLLAAFKLTDPCEHILIVANTHIYWDPAWIDVKLTQAKYLLSKVSEFENVISNKFTCKPSVIIAGDFNSTPGDKVYNYLVSANSEPTDEVPLKLRSLYAANGGEPEYTNYTPGFTGTLDYIFLLDGSSVKPTSLLRLPRGDSADVEGGLPNFHHPSDHLPIGADFQVLSS >cds.KYUSt_chr4.12898 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79299709:79303872:-1 gene:KYUSg_chr4.12898 transcript:KYUSt_chr4.12898 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGWQLNRTRMPVSHALMDELSLEAEMGHRIPRLLHALMYELRAIQKENVRRMETENRKPTNAITIEGIREQRVLYEEHSPQEVQQMGKQGRLGGEEDTHMAEEATEEEVMAEVVDSHMDSSMEEVVDSSMAEVVDSHMDISMAEVVDNHMDNNMAGVVDSSTLYHPRHIWQQLQS >cds.KYUSt_chr3.48232 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301732083:301735826:-1 gene:KYUSg_chr3.48232 transcript:KYUSt_chr3.48232 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPSSLSQWPDLVPDVLRDISGRLRDAGDFISFHAVCKPWRDSHEPTRKQLGLPWLLAPDKMFPFYFYLNMRCIFSRTSYWALPPFSIRQKNWVASADGTALWYYAERPRPSLHDPTTGAVTHLPRQNGRWEGSPSGIVYSDGAVLLYQISRQAAATKFRAALLRPGDVAWMVVERTFRSPEFLQLYPVYHRGKILITVGDTVPTPDSEVAIGNVLVVPRPWMPFELDGHFCGRYYVLESRGELLCVSIYISLDSLFLFGGKASVPGMVRSISMTVHKLEEDEAAPEKMCWVRKDGGSLADRVLFLGWPSSFAVDASRLKDDVVSGGCAYFVYDDGGVVVPDQPCSVFRYNLVDDKVKFVERLPEKYWYHHMYMWLFPQPCIAPIEELIEDRKWWKNTHVDPTPRTNIYIDTPEPQHYNTYFRVFVRNLPPKVDSIQLRQFFSHHGKVYNGKVMWKKKNKISKKTGLVTIAMVEEWPDALAVLNGLVFNGCILEVSLVRRIRGHVDGLYVVPIDNRGVLDGALEFHK >cds.KYUSt_chr4.14516 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89618963:89632650:-1 gene:KYUSg_chr4.14516 transcript:KYUSt_chr4.14516 gene_biotype:protein_coding transcript_biotype:protein_coding MESALSHKYHEGLLKLQEKDYAKACELLEDVLKDPLISEIQVENIGSDQHLLQLRFLTLKNLASVFLQQGSKFHDNALRCYLQAVELDTNDSVVWNHLGTLACKMGLLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIRDEVACLSVANLLLRNWPSHHRALHVKKTIENPETVPFAPRGIDTLEPKHVRLDFSNKRKGAADEINQERKKCKHNATLQLSEAKWTALLDGIISLLTANSGLAYIPVDVVLSIDTSKPVEPAGGSGNELYRDGESLSSHDCKTTVKEKDVNSDREHPHERRSRRLERLRSRKSGKDEDESSGKDISHAITQFLDSFILKRVSTTDKTDHSVNADTSNMETLTYTSDCEADDVKHFLLKICKNYGPCHIGYMLLEEIAHLNVPFQDYFVNIIELDKLTRVWSQGRSALCSLFLAELYHDRAICSGSSTTSLELSDSSYHLCKIIGSVALELPFSTAVEKMNSTSFDLDKENSSAEVNSSYKSEKNASDVSESSAKAKESNFSNMLCDETSEHNSSSDTERVNWVRFFWMSGCVSLSTDCKERAYKEFNIALSLLTNGNKAKSSTEFILLPHTKFVKFLTADRILREINLIKLDSLLWKNDENIKNITHTEFKELLPPLLLSTNDVYVGSAYGSLSESERVISLELSALDVLISACEKAQPMDVKIYLDSHRRKIHILTVAAGMVGAFGIPKGNISSDADFVKAMNRNWLENVMEAVKEVSRSVSIAKDIIDQSDTSDGQDGLSSLVFIIGGIQSLLLKIMCAAVKMIVWRKLSCSGTSDQADQLDSSCLVDAAIAFCKLQHLDPTISVKTQVDLIVALHDLLAEYGLCCAGGDGEGEAGTCLKFAIKHLMALDVKLKSQLNQSGMEGSLPENGTTQDTATDEHSAGDDKHNSEDEEESELEEIQSSIDSALDQAFFCLYGLKINPDSCSEDDLAVHKNTSRGDYQTKEQCAEVFQYVLPYAKELSKTGLVKLRRVLRAIRKHFPQPPYDILVNNPLDNFLDGPDSCEKTLCEIYESDGSREAILNVLFPGERGYEAFKKLSTDSSESYSDVYGNLYHYIAQAEDISASDKYTGFVLKKEGGEFVEQSANLFKYDLLYNPLRFESWQKLAHLYDEEVDLLLNDGSKHISILDWRTNTTLTQRVEVGRRHSRRCLLMSLTLAKTVPDKVEIHELLALVYYDSLQNVVPFYDQRATLPVKDSTWDMFCQNSLKHFEKAFELKAQWLHAFYLGKLCEKLGHSPAKAFSYYSIAIELNPTAVDPVYRMHASRMKLLYTRGKHNLDVIQVVADYTYNQSTKEKVLSMLGSITNAKHSSSEQNNNTLDRTEKTEFVEPGLLDKVWRILYDDCLYALGTCVEGELKHFHKARYKLAQGLLRRGEAGDLERAKEELSFCFKSTRSSFTVNMWEIDGAVRKGRKKNPSIGAPRKNLEVSLSESSRKFITCIRKYMIMYLNLLEKNLDLWTLERAYTYLRTDKRFALCLGDIVPVGLGKYLQVLTAAIRDPVIRLNCGDASVEQLYEKMFGIFMDHANLWADISTIPEVNSPDLSESNLYSCIHQYIHLLESDVRVDVLEAVNEKIRKRFKTPKLSNSNFAKICKHASLSWCRCILIKLASITPLPESVDTAKQPVPLSSGLVLYVDLQPDELLISSPDGPAPFKGLDMNLFETLSRIKNIPIRQTSEDNMETAVTLMKSTYNFYRESSCGTFPTGINLYTVTSSVEGVHQAPSIVDALDLSIPRKLLLWIYTLVHGRYANISAVVKYCDEMKARNKRGTAAATGSSQVTPPAPHGSVSSHITSKEKSAHTEPIEAHEANPSSLAVASAPLHQETGGSSSQAGTEAPNLSTAASQLSRSGSSREMENAQDGGGTA >cds.KYUSt_chr3.34162 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214263000:214269489:1 gene:KYUSg_chr3.34162 transcript:KYUSt_chr3.34162 gene_biotype:protein_coding transcript_biotype:protein_coding MGDINNSHGGGGAATGATFPVAMYVLFLSYLALLLVPYSDLMHVLSLMCLVKDFQPTAAAAAYFGELEEALINGGATAGGIGVDHRHPGGMITSDVQTKSAAGYLAGTGRPPTLEIFPSWPMRHQPQQLHSGNSQSVGSTTDSSSARNTMAQMVSPASSVRPSPPSSDEQRHEVMMVTTDDYSYKPGALAAAGPPPPPAVAAATASFQQQMQLHGGGDHDKTERRLAQNREAAKKSRLRKKAYVQNLETSRVRLQQMEQELQRARSQGMFLGGCSAAGDMSPGAAMFDMEYARWLDDDGKRLTELRSGLQAHLQDSNLGLIVDECMHHYDELFQLKAELARSDVFHLLTGAWTTPAERCFFWMGGFRPSELLKILSQQLDPLTEQQMVSIYSLQQSSEQTEEALGQGLQQLHQSLADTVAAGTLNDGTAAPNYMGLMAIALEKLASLESFYQQVSMHHSSSCTASSIINCLKLAVVSS >cds.KYUSt_chr3.43085 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272344676:272345797:-1 gene:KYUSg_chr3.43085 transcript:KYUSt_chr3.43085 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHLRSRVLSLLRFPSNLPAAHLVPLHRLLSTTASTSPKPFSVKDFLATDCGLTREQALKTSKKLSYLTSLSRPAAALAFLSARGVPRSAIAAAVAADPRILSASVGRVLAPRFTELTEIGLSPSQIVDILSIRRTGSLRGNLLFWIQTLGTYDKLLPLAKSNCDLLSTSLEKVIKPNLNTLRECGVSASDIASGSMYSSRLFTVKHQVLMGAIARVEELGVERGSGMFPRALAALSFLSKDILDGKVQLLRKLGFSQDELVMILKKAPQVLALSEKKIQRAVEFLMRDASLQAPYIARRPTLIMYSLEKRLMPRHSLLEVLRHKGLLGVEWDYYTIAAMAQKKFVHKFVDPYKSSFPGLADDYASACLGKA >cds.KYUSt_chr3.2725 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15735247:15743364:-1 gene:KYUSg_chr3.2725 transcript:KYUSt_chr3.2725 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDHVHGEKVKLFMGVLALQFLLAGFHIVSRAALNMGISKLVFIVYRNIISLALLAPFAYFLEKKDRPPLTFSLLAEFFVLALIGITANQGFYLLGLYHLSPTYASAIQNMVPAITFVLAAVLRLEQVDLGRRHGVAKVVGTVVSVGGATVITLYKGLPLFAAHNLHVQAFLTWTSESPIFNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVISLTCVFGLFQFLVIAAFTEEDLSRWKVRSGGELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAIMAAIILGDQLYTGGIIGAVLIVIGLYCVLWGKSEEKKTREQDPEMTRHLLGQDGQAKDQESKTDISGPPINAEWLTIMCIGLSRAVNISIIESWDPALTDDTIATLSPLSDVQGTRPPSLPQVTGRGEREGVEIPCSADGRIDGHLPLRLPVGRRESGEAFDLCPRPVDSRVRLPGGAGRVVVVASLWNKVAGASSPSRRCLVWRSREASGGWCSRICRFGELLCSWPACRHEGGGRLHGLLSLDLFFVSVWLLLVMLWRCWGWCGLVLVALAAGLRRLYRRSGVCGGEEVVWCVWKGSGPGPDLELAEIGACRRLMFVCALDPVLRGWWLLRPINAFWLGDLLAPRFVVDGACFMSVRAGGVLPRWREVEEDEGPLGFLLVYPPLMYLYLSPPPMSQEEATESPIVQYSIAQDAPLEKEYDEHDNGDNGFKMNYNNVGDLDAYLTQEDMDHSIPYSRCYALDSDDHGPDEKVDEDGLTAKEVERADIFKKVTGRDIQISLFCDVSLADGAVVDGGKSLLLRARPISKRDVDANTNMICKGLTFDTFLELKVWMKEFSIKYHLPYIVVHSDLETRYMLKCEDKRCPWVVRVRPFKKGPSWHITSCVATHMCRGRKLDGAEAQLDHCQLTSEFIAYKLSAEISSLPTMSIRSVQDTVKSRFDYTIKYGKAWKAKQAAFEMLQYKGTLLVVIGNDASNRLVPLALALVEVENNDNWDWSFQILRMRVIPPSKEVCVISDRHQGILKAMEIDIREHAPVHH >cds.KYUSt_chr3.26338 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163941603:163946989:-1 gene:KYUSg_chr3.26338 transcript:KYUSt_chr3.26338 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVATFGVPKRRLQCVIAIQGRWSHFELGSRDPSIFFLQAGAPMLRIFPDLGKGSMVAVTPSGMFPDGGVDPRALRYEIQFEIQIEGERRGCVHTYMKKKKAVGGFLGRRRSWRVPFFPDSGLGFGFGFDFVLGLGKGGSLTVLRGFGVVCDLVFIPQAATSPRADGSERRLALQKEEEEEEEAQGRGDLAAGL >cds.KYUSt_chr4.51507 pep primary_assembly:MPB_Lper_Kyuss_1697:4:319592142:319592647:-1 gene:KYUSg_chr4.51507 transcript:KYUSt_chr4.51507 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGLSIQENIAYGRSATTYQIEYAADIAHAHTSISSLGKGLTVLGWAFGGTQDKALRSSPSILYWMRLLVLFIFEAEKAVQEALWLSVQVETDVRVSLFVRVRLNGIADGQHDIGLTDTTRSAS >cds.KYUSt_chr7.24650 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153679469:153681393:-1 gene:KYUSg_chr7.24650 transcript:KYUSt_chr7.24650 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGEAESRKKLLIFDAEEDLAASLAEHTAGLSEKFVAERGAFTVVLSGGSLIKALRKLAEPPYLDAVDWSRWHVFWADERVVPKDHADSNYKLAMDGFLSKVPIPANQVYAMNDTLTAEGAAADYEARLYQLIKNGVVALSPVTGFPKFDLMLLGMGPDGHIASLFPGHPIVHENQKLVTYVEDSPKPPPKRITFTLPVINSSAHIALMVTGAGKAGAVHKTLSGKERSSDLLPIEMVEPQDGEMTWFTDKPAVSMLSSI >cds.KYUSt_chr5.36325 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229612976:229618251:-1 gene:KYUSg_chr5.36325 transcript:KYUSt_chr5.36325 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGLSGTTAWGKRYYRSEFEILPDLVKGRRAVLPLEVPQQGPNLTGLEAVPGRYDRNSVTVPKRYRERYLLVGLPGSWMGRPRGGKANKSMEAAKNEDAGSGAEEVIPAYKRRGRPLKLRKDDIDDEEEDMDKVEDDGDGAKKVAVSKDSKGVAENGAKKRRRPTKRAPDSAAEEKVGEPARPLNGFRQNGSRRKNTPRRAAEAGVQTK >cds.KYUSt_chr7.11866 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72859227:72863486:1 gene:KYUSg_chr7.11866 transcript:KYUSt_chr7.11866 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVVFFAFCALLALVHCAEAAKARHLKWEVSNMFWSPDCEEKVVIGINGQFPGPTIRAKAGDTIVVELKNGLHTEGVVIHWHGIRQIGTPWADGTAAISQCAINPEETFTYRFVVDKPGTYFYHGHFGMQRAAGLYGSLIVDVADGEEEPFKYDGELNLLLSDWYHESIYNQMVGLSSSPMRWIGEPQSLLINGRGQFNCSLAAAHTPGTKQCTAGGNKHCAPVILPVQPNKTYRLRIASTTSLASLNLAIGNHKLTVVEADGNYVEPFVVDDMDIYSGDSYSVLLTTDQDPSSNYWISVGVRGRMPKTAPALALLNYRSNRGFKLPAIAPPVTPVWNDTAHSKAFTNKIRARAGTPAPPATSDRRIELLNTQNKIGGHIKWSINNVSMVLPATPYLGSLKMGLKTALAAARPADTFGRAYDVTKPPQNPNTTTGDNVYVLRHNTTVDVVLQNANALAHNVSEVHPWHLHGHDFWVLGYGEGAYKGDASDAARLNLVNPPLRNTAVIFPYGWTALRFVADNPGVWAFHCHIEPHLHMGMGVIFAEAIDQVGKVPREAVSCGATATALMNGDHL >cds.KYUSt_chr2.52145 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325426838:325428103:1 gene:KYUSg_chr2.52145 transcript:KYUSt_chr2.52145 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLRPSPSINNDFSSGPSTMQVAFFYASLYLIGIGYGADKPCGAAFAADQFDPDHPREGAARCSFFNWWYFSSVVGIAIAIAVVSYIEENLGWGIGFGMLCAIVLCSFVVFLVGSPTYRLYAPTRGTQSAVTRLAHSLAALTKNSGFPFIAAKINQQHEDEDTLAKLEEARSVLRLLPIWVACLAYGVVFAQVLTFFNKQGRTLDRRIFGGLELPPAALQTLGPASILVFVPIYDRVLVPALRCATGKQSGLTQLQRVGTGMAVSLATACVAALVESRRLETAREHGLVDDEGATVPMSWAWLVPQYVMIGVADVFTMAGMQEFFYDQMPDELRSVGLALYFSVTGIGSLISGALISLIDGITSRDGGDSWFADNLNRAHLDYFYWLLAVLSAAELVLFICIASTYVYKHKRASLIVRI >cds.KYUSt_chr6.29538 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187217376:187220813:-1 gene:KYUSg_chr6.29538 transcript:KYUSt_chr6.29538 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYIPVILMATSLLLIPLMAVSSPPSNDTDLAALLAFRSQLSDPLSILRNNWTSDVSFCRWIGVTCGRRHHRRVTALELPNISLQGELSPHLGNLSFLHALNLTNTALAGSIPADLGRLARLRYLDLGHNNLTNTIPSTVGNLTMLQSLVLNFNQLSGKIPNEVRNMRSLRYLSLERNSISGLIPNFSFDSMPSLRQIYIHNNSLSGSVPSGIGSLPMLQVLHLVYNQLSGQVPATIFNKSRILDMRFVNTDGLTGHIPTNTSFSLPMLQILDIYNNKFEGQIPLGLAACQRLRVLSIANNLFVDVVPTWLAKLPQLTWISIGDNDLVGPIPAVLSNLTMLSTLDISFSNLSGEIPVELGKMMQLTYLHLAENQLTGPFPGFLGNLSKMYFLSLSSNQLTGPVPSTLGNSRLLMILDIGDNHLHGDLSFLASLCNCRQLQAIAISNNSFYGHVPSYFGNLSTSLLDFLAYSNHLVGGLPETLSNLSGLVQLSFANNHLAKEIPEFINKLENLQALDLSGNSIIGPIPEQFGMLNSIVKLYLRDNRLSGSIPDSMSNLSMLEYISLSYNHLSLTIPPSLFNRSKLIELHLSHNSLTGTLPSDLGHMQSMDYIDLSSNLLSGSLPNSFGQVAIPLTYLNLSHNSFKNLVPDSFGRLTSLEALDLSSNNLSGVIPNYLANFTYLSYLNLSYNRLEGQIPNGGVFLNITLQSLVGNVGLCGAPRLGLSLCIDKSQPTSGRHILKFILSAVITGVGALVACLYIMIKNKNKHHAYVASTGMTDLIGHRLVSYHEIARATENFSEDNLLGAGSFGKVFKGRLDDGLVVAIKVLNMQVEQAVRSFDAECQVLRMARHRNLIQILNICSNLDFRALLLPYMPNGSLEAYLHTENTEPLGFIERLDIMLGVSEAMDYLHHHHCQVVLHCDLKPSNVLFDENMTAHVADFGIAKLLLGDENSMVSASMPGTVDYMAPELALMGRASRNSDVFSFGIMLLEVFTGKRPTDPMFVGDSSLRKCVLQAFPAKLLDVLDEKLHQVEQMSQVFHHQTITTSPSSSSIAHNGNFLVSTFEMGLECSSGSPDQRPSMGDVVRRLKNIKKDYSAFMVATLSVQQKH >cds.KYUSt_chr3.26772 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166963583:166966637:-1 gene:KYUSg_chr3.26772 transcript:KYUSt_chr3.26772 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVDLVSSDSEEEACVHSPDRKRPAWEAADPSRGPGSSCARIPEHTSRMARKDKEKLDEGGSAWEAGAPPLTNSEPWWDSWGAGRKEERPNNKDAVAMPGPSSMDIPDFLKEDSSAWLSRIKGLHFPLPDANQLKARQIESDEVLALKLQEQFNEEQSGSQELARCCLADILQVDANLAWRLQAEVEAARARIAAREGQSSSRMAILDSLHEALESCEDTLALESDDDDYENLIALDDNNHHKGAADSEIDSLPLSVVEGGSCCDELCPVCLDCPAEGAFVRHLPCAHKFHKECIDTWLRMRTSCPVCKSNVF >cds.KYUSt_chr1.16045 pep primary_assembly:MPB_Lper_Kyuss_1697:1:93268946:93269179:-1 gene:KYUSg_chr1.16045 transcript:KYUSt_chr1.16045 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGQARTAKGHAGKDQQIGHAGKDQQIGHAGAGARHSPGWAERETIVELRHFQRRDANGRCDWKCVWHHLQRGDAK >cds.KYUSt_chr1.20662 pep primary_assembly:MPB_Lper_Kyuss_1697:1:122036336:122037297:-1 gene:KYUSg_chr1.20662 transcript:KYUSt_chr1.20662 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGYRKERGGRKRLTAQKRKEIKEAFDLFDTDGSGTIDPRELNVAMRALGFEMTPEQINQMIAEVDKDGSGTIDLDEFVHMMTDKMGERDARDELHKAFRIIDQDGNGKISDVDIQRLAIEAGEHFTLDEVREMIQAADENGDGEIDMEEFMKMMKRTNFGTGF >cds.KYUSt_chr1.16396 pep primary_assembly:MPB_Lper_Kyuss_1697:1:95215071:95219475:-1 gene:KYUSg_chr1.16396 transcript:KYUSt_chr1.16396 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDRTAAMDGERRKLAARRWSRQRGGRRRVATAGCCVSAADATRRAQDRPGFAPPARDIHSSPCCAAQEFASAQSLASNGALVVVRLHRFLEEMIVLSSSPFSLWVSPNPSPLSEIHESGGGHQDVLLGEETSGSGQEGLAASGIRASSAPTRPLQLTWSSGRVLLPGAQSHPPLFEQRLLHIQASLSGSLFISLFCSSVQRNVVVEKALPLGVTTVSKIWIHENDSWRRVCARFLSIDVVSALEDAEEILRAVEYISKHDKMILIRLMWLEERREEIIIKKIKVNDFNMA >cds.KYUSt_chr2.52666 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328505244:328506119:-1 gene:KYUSg_chr2.52666 transcript:KYUSt_chr2.52666 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLQDHPRPPPRRTSTVRGRRPGFHHPRQQGNRAQLPRIYHTTRNTDSKSGAFKKVTAQSVAAARSEEQRFSPGERKNSRQRETKLSDEALNRENGTQGHRQHRHRVVRSFRLECQCCRQAIVGPGQERGPAEQGTLMPSTKHPPHAGETQNDQSAAGGIGSGRQTAGSPGRDDVAPDTAGGPGKGGSNHGAHRESWERHSPPGPDRARAGLDRALPSQTAPKSGAAGRRAAGGCSEGRDARSHDEGRRRGGGRRRPPGSGMASSLRDGPCGIPRGLDPRRPLHRRRTA >cds.KYUSt_chr3.9591 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56311165:56311485:1 gene:KYUSg_chr3.9591 transcript:KYUSt_chr3.9591 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLRCGRREWNEELTEMVLVESAMRSAAGDEGTNGDETPGRRKVEAASAVDEDEVVEGEAPGLRPWPESNEERLGNASPTLARCGEVHGDDKDINSSGITQFPNL >cds.KYUSt_chr5.22856 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149260663:149262180:1 gene:KYUSg_chr5.22856 transcript:KYUSt_chr5.22856 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCESVRRRSGGTDGAADGTTEDPGTTVGEVSASDLETVVFSVIAAEDLDETVGSAARALSAARVPRGGKGSPADPVVDLDTEVDSPGAADRYESHRGPKRRNIHGARKQGEGRGAIHHGARKKKKKKKRKKEEEEEARGETGQAGPRPGLTGPMTGQGRPRPGLTGLPTGLVRFRPDFPPVPSGSMSRGPESPARSPPGPESGLDRMARSQTRSDRPLDRISRV >cds.KYUSt_chr7.6859 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41378421:41378735:1 gene:KYUSg_chr7.6859 transcript:KYUSt_chr7.6859 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEVVALGRGVRDEDQAAAIDRTQACVEAAAPTVKAQRLQIPVTGSQGHVEVGGYGSRLAGEVGNEDAKLDLQVMGPNLLGNGGNHDVAKDDLHGAMGGTRF >cds.KYUSt_chr2.31386 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193734937:193735583:-1 gene:KYUSg_chr2.31386 transcript:KYUSt_chr2.31386 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFILSGQSNMAGRGGVHHKRWDGVVPPECAPSPSVLRLSAALEWEEACEPLHADIDVAKTCGVGPGMAFARAVLPGLQQPPGAAGIGLVPCAVGGTAIREWARGERLYEQMVCRARAAAGYGEIEAVLWYQGESDAESDAATAAYQGNMEMLIANVRADLGIPLLPFIQFIEIQLEETGNQSGYLHG >cds.KYUSt_chr1.26919 pep primary_assembly:MPB_Lper_Kyuss_1697:1:162448431:162452344:1 gene:KYUSg_chr1.26919 transcript:KYUSt_chr1.26919 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAPWVEEKRSLPDGKEENAHLPMLDALAEVAPQAAAKRARRCRSADDGGDTRLGFGIKGHINVVERRSARKTMERCNNTIVIERFVLGHLEHPNEYESIPSTIAINSVRNYSGGAQALDPRDSDLVLKRPWLGAEEFGMRNGRTLAARRRRRGGVGALQQHAGGGGWGRAVANVQESSRPWSDDPLVGVVSETGVVVHSKEEEEVGMFVDGPVPLFFSSALLAIRAAFSALSAFLAADSAAALLAVGLLLCRRSPSPLSSERRKLPWR >cds.KYUSt_chr2.7035 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44023460:44026867:-1 gene:KYUSg_chr2.7035 transcript:KYUSt_chr2.7035 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKRLLPSFNRVLVEKIVLPKKSAGGILLPETSKQLNSGKVVAVGPGERDRDGKLIPVCLKEGDHVLLPEYGGLEVKLAPEKEFGCIWTDPDDWDLVASSSVQELRLTLTSAAPRRRPPPPELEVEVARAGLNQNQKIQSEDAKQGEANGERNRENKYSAQSPRCKTSKKKMDSSTWSNTGKKKAAAVVLEDAAGGSGWWDVGTEVGNG >cds.KYUSt_chr4.51611 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320301150:320302174:-1 gene:KYUSg_chr4.51611 transcript:KYUSt_chr4.51611 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLAWALATAAGRQRREAAALLHRQQAFLRSHFMPDDAVRLKEIATLGSVTNPCSLFPAEEARHREVMHGLGVYDVTCALSGEWTLEKEVLLRYHSSNTACKLIELG >cds.KYUSt_chr1.27253 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164294605:164314256:1 gene:KYUSg_chr1.27253 transcript:KYUSt_chr1.27253 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K9 demethylase, Floral organ developmen [Source: Projected from Oryza sativa (Os10g0577600)] MGHRRWLREDDPWRKRKDLFDGQDEPRRRPRTRSGEQIDELLKNWKECPPPGKKRKAPKPLLKVWKTRSVFRDLPSWKILRVPHSLDVMHITKNVCESPLGTLLNMPRRPKMGRKQGVKLPYGYAGKISRYLDKAKRESVGVRQLRRLQEEIVVILCELKMYFPPAFFDVMVHLLVHIVEDIIQAGPTFCTSMMPFERMNGVIKGYVRNGARPDGSIAKGFTTEECIFLRTSYREIENPVGPPVNEHLGKLVGWGHREGSREIHVDFKGRIADFERANLVALQHIDVVDPWVVEHKTFIATTYNDQGQQRTDSDIIKEHNSTFTRWFKDKMLTYPIDEDSSAEEKLIFALSQGAEHNLMTFQAYDINGYTFYTEEKDMKSDYQNSGVTMESYTGDIKQRYYGKIEEIWELSYAGENVPMFRVRWAKNVVKEDQHFTTMVIPEAKSKTAGAKVTAKYEPWVLASQVDQCFFITDPQKPSRVVVRRGKRSIIGMDGAANELDFDQYGDPEMEDDDDDDEEPYTTRRSRTTLPKGRPFKRITLVVARRAALTSTRTLEQQLEKHAAPPSTVAPPQRTAAALADSPGTSAPDRTPLEFLLRIDDDPLDIKRLPDKFAEFVDGVEPAHLQLREASCNFCRWSVEVLFDGQGKMYLHTGWDKFARDLHLEPGCQLTFLYEGDGEMIVKVFDDTACRVHYPHTGESGSDTDSMTWYVVYKGKVPGVYNDWEECWRQVEGRNCLPAEVRNGLETLKKRRLERMRLSAQNEADDSPAVAARSGGDALRAPANCGVRLHANNGAAGSLSTSSAQDKDPFAKRKVDKFDMSNLEWIDQIPECPVYCPTKEEFEDPIAYIQKISPVAAKYGICKIVAPVTASVPAGVVLMKEQPGFKFVTRVQPLRLAEWAEDDTVTFFMSGRKYTFRDYERMANKVFSKKYSSVGCLPAKYVEEEFWREISSGKMDSVEYACDVDGSAFSSSSHDQLGKSNWNLKNFSRLSSSVLRLLQTPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPEFERVASQYVYNKDILTGDGEDAAFDVLLGKTTMFPPNILLDHNVPVYKAVQKPGEFVITFPRSYHAGFSHGFNCGEAVNFAIGDWFPMGSLASKRYALLNRTPFLAHEELLCRSAVLLSDKLSNSDPTTLNSEHPYTQYCVKSCFVRLMRLQRRTRGLLAKMGSQICYKPKIYSNLSCSMCRRDCYITHVACGCTFDPICLHHEQELRSCPCKSNRTVYVREDVLELEALSRKFEQDIRLDKEKSANGSFKQASPSFRTTRAISNSEANSIDDAILEANDADAGKISPATSSLTSFAHHNEPLPAESKVYASQTNQDYSTANQAINTSPVKRNDTLGDNSSFMADACNEISSCNASPMDYSGNSDSDSEIFRVKRRSSILGRSALDTTTTDSSEQKVLRRLKKVCHETQQDNKHPADSEHFSVPAIHTSRMKSNSASTSDEEREDMVPISWRMKRRHLETKHEDASYEVKPKVYPSTSSCSQQEFAEATRDAASEVRPKRVKIRFTPSANRLVEQQSSSGQRFASDDKPPGYWRTKGEERRIGLIALVNPFFVQHGLQFEASLGTLLPLSAYALDTHTALFNELALAFPNSVISVSPIAILFVSRTPITNISASKLGFSSSFTDGNGDVELRLDIGKLGIESSRDVFVDVDDMSILIRAKSDGTLRTLMNVTTLFDRVKSSETIWFIDEEQLVVNLKKVEQELKWPDIDESWKSLTSGITQLLTGISIHIVGDATDINELVAKEIAEGIGYLPVCTSELLESATQKSIDTWVATEGVDNVAEAECVVLESLSTHVRTVVATLGGKQGAASRFDRWQYLHSGFTVWLSVSDAGDEASAKEEARRSVSSGTVAYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGKKSLYVRLGCRGDWPNIGPPGWDPESDAPPAI >cds.KYUSt_chr1.8741 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53629312:53631538:-1 gene:KYUSg_chr1.8741 transcript:KYUSt_chr1.8741 gene_biotype:protein_coding transcript_biotype:protein_coding MEICNHDLAVNAMNGFKPTVPREVHTPMGTAVVDSVDPMEPIMIVPILRAGLALAELMASIFPSTATFHLGMARNETTLQPSVYLNKICVYTGAMDQTVNEKGFIVPGLGDAGDRSYGT >cds.KYUSt_chr4.20742 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130589005:130591908:-1 gene:KYUSg_chr4.20742 transcript:KYUSt_chr4.20742 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFHSNYLSQPLPPLGHRCHTHPRVIHVSLRKLLASYPLFLPSTLPAWDADVHARDAATVLAAKVKRYRFAAKGWKWAHRFTPYTENNCKFLLSLYDFFEESRTLSGPERALRAGARETLALAIKKNAAHWKALLVDGVEVVDHHGKAEALRVFYTELLGRARPTAWAFDLDALYVGSPRVDGQALIAPFDDKEIKAAIWGMDQNSAPGPDGLGPSFYRAACHQVSPALGGLFKDFHNGVADLGCINRAHIALLPKADGILSPSSYRPVSLQNCSMKSVCKALTRRLKTQIGQLIVNQSGFMTGRSISENFVYATEIVQYCHRRKAPAVILKLDFTKAFDSIDWGSLRKVLAARGFPDTWCDWMDAIFSSSLSAVLLNGIPGNWIRCKRGLRQDDTLIIFGASVATAVRARLILDQFALATGLVINFTKSTMVPMHCGADLVEDMQKALGCRVEGFPQIYLGLPLTCDKLKMAHFVPLIAKVDKYLSGWCSLLLSTGGRLVLLNAVLDALPAYAMGALALPPALLKAINALRRAFLWNVEGRASGAKCLVAWTSVCRPKEDGGLGIKSLSDRNACVQVKLLHRLHSGAESPWAAWAWKQINGPVAAGKRTEAGAHWAALVELMPLYRSISKPIVGDGQRTSFWDDDWLGIGALRHTAPALFSHATKPQASFAAVLGRGVRESLVTRLTVEAENELSHVMRLRRPRLPLARVTAPYASFVWESFAPSKAKFFAWLLLRARIQSRATLLRKHILTTEEAGCEICGEMLETADHIMFGCPFAKTFWSAVGWPLPESAQVEQLHSYAAPDAFGKSAASTMTILLCWNLWKHRNGVVFRHDQPCLSRLLRACREDAGLWRARLPRAITDEAVAWDSCLQGRD >cds.KYUSt_chr7.11151 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68453514:68457302:1 gene:KYUSg_chr7.11151 transcript:KYUSt_chr7.11151 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSGRVPEAISRIPRDGIGGGGVSWKGEHAIPSDVTMSEAPGEGGDDDPKAPDNQKRDELDRNNKRTKNVGENDANKNGSSTSPNGTDNTVGKNDMILSKPQANSEVADKVGDCMPKPVVNLSPSMHQTSASQMGSRAVHKGGAGAAVTLIGGDMPPVHAAAAPIHAAVGRAVTASGSRTASAASPPSAPAGQGSGLVGGQPGMHGALPKAMQLGATSITPTNLSKGSMSPGTTSDHKQKNGLKIGQHTYEQIVAFGGIQEEALREMPKCQFLFSAVFGFRNPTKEIFSELDEINAQGLIFPRSFQKTKEHTKWGHEGP >cds.KYUSt_chr7.31038 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193325847:193327211:-1 gene:KYUSg_chr7.31038 transcript:KYUSt_chr7.31038 gene_biotype:protein_coding transcript_biotype:protein_coding SNISVVKDAQTMLDPDLFNMLRFTIAAIPFVPYLLKSLRDMQVFIRGVELGIWVTFAYVAQSIGLVTADAGRASFISALTVIIVPLLDGILGAEIPAYTWLGAFLSVVGVGILELSGSPPCVGDLLTLLSAFCFAIHMLRTEHIVRNIKKENFLALVGCQVLVVALVAAASFVVKCFLRSVVQWNLKLRTITELFSVMASFPWLAILYTGMLSTTFCLWAEVVAMRDVSATETAIIYGLEPVWGAAFAWVIHGERWGITGLVGAIFIIVIVQDIKDPSL >cds.KYUSt_scaffold_1259.128 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:910974:911870:1 gene:KYUSg_scaffold_1259.128 transcript:KYUSt_scaffold_1259.128 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPQLLHTSKLLDLIALVLLPFLRPVTHLFIYQHGCSPHQQPTLAIHLFHRPAMMILEATFLLLLLAGSQAAAGSIDAPAASCSSARSFAADSPFASNLHQLMSLLETKAPAIGFDIATSGGDGDESVHGLALCRGDVARATCAECIRSARAHAQRLCSSKKEAVVWLDACTLRYSAEPFFGEPDSEHTAFVPGNVVKSAARSAELDEEVAGMMKKLTRTAYLSPLLFAAGAADAIGGTHRLHGLAQCTKDLSGGDCKLCLEAAIAKLVARGCAKEGGKVLGGSCSLRYELYPLFDS >cds.KYUSt_chr7.27800 pep primary_assembly:MPB_Lper_Kyuss_1697:7:173517421:173521858:-1 gene:KYUSg_chr7.27800 transcript:KYUSt_chr7.27800 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGASVRVKAVARVKVTVGGFLDGLRPSRTLDDIKDLIGRSLELQLVSAELDAKTGKEKQTVKSYAHKVADDEIDIVTYEAEFSVPAGYGAIGAVLVGNEHGTEMLLEDLKVVTAGDSAAPLVIWCDSWLPPKSGDAKRVFFANKPYLPSQTPPGLQTYRKTDLVKKRGDGTGKRQASDRIYDFDVYNDLGNPDAGADSARPVLGGNKQFPYPRRNRTGRPRSAKDPESEARAGDVYVPRDEAFSEEKNTQFALKTLQSVLHAAVPAVQSTLIDSNQGFPSFFVIDKLFEDGVQLPRAEELGFLRSAVPRLLELLRDGPGDQILLFDTPANVQKDKFAWLRDEEFAREALAGINPYAIELVTEFPLKSKLDPAVYGPAESAITDELLEAQMGHVMTVAEAVKSKRLFMLDFHDLFIPYVHKIRALEHTTMYGSRTLFFLCDDGTLRLLAIELTRPASPTLPQWRQVFTPSTDTTKSWLWRMAKAHVRAHDAGHHELVTHWLRTHCAVEPYIIAVNRQLSEMHPIYRLLHPHFRYTMRINALARSALINGGGIIELTFSPQKYAMELSSVAYDKLWRFDMEALPADLVRRGMAEEDPTAEHGLKLTIKDYPFANDGLLIWDAIKAWVQAYVSRYYPDAATVAGDMELQAFWNEVRTVGHGDKKDAPWWPEMDTPDSLAQTLTTIIWVAAAHHAAVNFGQYDFGGYFPNRPSIARTNMPVEEPVDAVAFEKFLDNPDQALRECFPSQVQATLVMAVLDVLSSHSPDEEYLGGMETAPWGNDAAVQAAYQKFNEQLKEVEGIIDGRNKNRKLKNRCGAGILPYQLMKPFSQPGVTGMGIPNSTSI >cds.KYUSt_chr4.28040 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176124912:176126741:-1 gene:KYUSg_chr4.28040 transcript:KYUSt_chr4.28040 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCCPLSPPLFQPGSISRRIRSSGEHKSNKKVEHQYSRAQSSGAKVQSFRPETHPADGYCPPEQDPEADSAGSPDVDALASRLPSCGSVADVRKVHGVALRSPDAPRILVANNLISSYVRFREISDARKVFDGMTERSVVSWTAMMNGYQKSGDYTEVVRLFLDMVRSGVRGNSLSFVCLLKSCGGQRNAKLGRQVHCCVVKGRWGNVIVDSALVHFYAQCGDLASALMMFDKMASRDVISWTTMITAYVQQGRGDKALQMFPEMVSEGFLPNEFTVCSILKACAEEKALRCGKQLHGAVVKKLYKDDIHVGSALVTMYARNGEVFDAQAVFDMMPRRNTITWTSLISGYAQSGHGEKAILLFRQMKMRQVSVNNLTIVGLLSACGSVRSLCLGKELHAHIIKNSIQENLQIGSTLVWCYCKCGEYTYAARILEEMPDRDAISWTAMISGYNSVGHSAEALKSLDDMVWDGVTPNTYTYSSALKACAKLEALRDGRRIHGVVNKTPAFLDVFVGSSLIEMYMRCGKVDEARRVFDAMPEHNLVTWKVIITGFAQNGLCEEAFKYMYLMQQEGHNVDDFMLSKVLTSCGNLDWESDDISLSGSTTGSVG >cds.KYUSt_chr4.33237 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203658118:203658561:1 gene:KYUSg_chr4.33237 transcript:KYUSt_chr4.33237 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAGGDGKEPINEQVVANTYANMRTEMNQLYTKITELEMEVSEHSLVIGAIEPLDPTRRCYRMIGGVLVERTIKEVLPAVHRNKEGLEEVVSRMKEALEKKKKEITEFELKYKIRIRKGDGNAEEDVTMKEASAQGVLVGPAGGQ >cds.KYUSt_contig_2441.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000339.1:9195:10388:-1 gene:KYUSg_contig_2441.2 transcript:KYUSt_contig_2441.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEDPDWSTLPEELLEYIGNMLQSRREAVKFRSICPTWRAALPFAKYIAPVLMLPFGPESLDGAVTFYTVADGGATTFTRNLPLLHGKKLCGSSRGWLALVDEAASVTLLNPLTGTTIELPPAVERVVGASFRLLVVTSNGSERIKLLLENGEYIFLKLDEMKKRAFRQIVLSSSSPASGDCVAMAALADSGTVAFCRVGVDGAWTLLNANLPGGPITSIIHIGGTRFLAICDRGTGGPGPISICDVGGAVPTATRVKPLYGPPKHTAWPCNYLEVNGELYVVVTVAQVSAPLSSHVYKCNVVAAKPSWTKVKNTHGLILFMSTNFTVGYGGGPSISAALERNSIYSAKPDPCRDQLELEIIDIANGTSKLFQPCHKKIQGSGRIVCWIQPNHWA >cds.KYUSt_chr2.19645 pep primary_assembly:MPB_Lper_Kyuss_1697:2:123608387:123616259:1 gene:KYUSg_chr2.19645 transcript:KYUSt_chr2.19645 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVVVEVSILAGELSTPVVEVSKAAPGFRVEVTKVEVGSKVAMVSKGAAMRLLEAGMGLVVVATIPTKVHLILLTVQAVVAAVTAATKANEPPQGALASVPLTGGSGLHATTPVAVPIAATQVMQVTKENQGTAATANVGEGQGLPKKKKEDKSGCFRCKQPGHLIDDCTAPFCDLCESIHHATNACHLLQSPKPTAILHGYANEGLMFFELACGAFKAKVENPRLAKITVEGDAMTIPELIEQLKKIVPSDKFTWEVFHFKENVYRVKLPKVWVRVSGLPSDIITDYLSLWSVGTLFGKTLDVDIAYTRKNKILRTKIGCLDSRLIPKDSDMFIRRGFFEVEEENGHQEVDMVEANDGGDGNDDATNDDNNKDGGNDMDMDPKGQKETNDVNNGVQDGPSINDGVQGMKLAQTEINFGTISVPISPSGLSVWGLPQVFSASKPNSVSAVLGAVQQMPSGPVLTGVGQHAAVSGCAAGACTKRNADAEGSAVVVENLAAAGLTGRLGSSTPVNDVRRANAAVWPQKITTRDQVVAVDDRLKGGQSLGDHGAAVQGSSAPGSHVAFVNHTIEEVIAFGGIAKPSSGVRSSTRLGNQMDGDMTQMDKAMKRAQLRDDTLVAGKPPVPKLSIVNIPDSEILAQENDILTKPFTEEEVFEAISQMEHNKAPGILQINPVNKENYNKDLLDPSFAIDAPNAPPAEPADTPPLFAWIGRSPRTAGKSTVLGVVEPPSRTSDDAGLTITIITIWNFNNQSCRHRLRQGKTPTTDRRAAQARRMVDPSQSSTGTPETEETTATHKRLSGRATASTTPPAANPPHPTLYTHVDPTIPQLPPPERPPEAGNRAESRWRGG >cds.KYUSt_chr1.23103 pep primary_assembly:MPB_Lper_Kyuss_1697:1:137081632:137082225:-1 gene:KYUSg_chr1.23103 transcript:KYUSt_chr1.23103 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPSDRAHRQLNDFASPRRTWPPAAFEQASYPHGTVSSPGPTKTAREQGMRHYVASAGGISASKKSRWDKHARQAAVPILPFSVLTASSPPPLFHGPTCIGAAPTIPLSTTSFLPAGRSPPPLFFPGRIPFPCIFPHWICGNDDDCAGVSGQEDQWSIFIDMLVLEPAITGFSGRNLESLQGAREILSKVRLLLNV >cds.KYUSt_chr6.13850 pep primary_assembly:MPB_Lper_Kyuss_1697:6:86674388:86676541:-1 gene:KYUSg_chr6.13850 transcript:KYUSt_chr6.13850 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGADRNGGLDRAATAKDLFWPPGKQQQQQLEPRSVLDCSRRLSPPNSASTLSSSLGGGAADSAGGVAAVSESSAGDAEAVKWGDHGSGGRKDEWSAGGGGGCELPPIPGALDVGLVGAEGWDAMLGNAAAAAAGQDQTFLNWIMGAAGELEMPGAPLVDNAGFGFSAVDPMGFALDHHHHLGGASSDLSSSGATGGGGGSSKASSAFGLFSQEAASLQPPPPPILFHEGIDTKPPLVGPHPPGHGLLHHHYQHQHQHQPPPPAATFFMPLSSFPDHNHRSPLHQPPPPKRHHSVPDDLYLSRSNLGASAAGQGLAFPLLHGSAPFQPHPSPPPPPLRGAMKTTAAEAAQQQLLDELAAAAKAAEAGNSTGAREILARLNHQLPPLGKPFHRSASYLKEALHLALSDGTYGASRLTSPLDVALKLAAYKSFSDLSPMLQFANFTATQALLDEIACSTASCIHVIDFDLGVGGQWASFLQELAHRRGTGGVPLPLLKLTALVSAASHHPLELHLTQDNLSQFAADLGIPFEFNAVSLDAFSPAELISPTGDEIVAVSLPVACSARAPPLPVILRLVKQLCPKVVVAMDYGADRADLPFSQHFLHCFQSCMFLLDSLDAAGIDADSASKIERFLIQPRVEDAVLGRRKTDKIMAWRNVFTAAGFTPVPLSNLAEAQADCLLKRVQVRGFHVEKRGAGLTLCWQRGELVSVSAWRC >cds.KYUSt_chr6.28507 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180598968:180600843:-1 gene:KYUSg_chr6.28507 transcript:KYUSt_chr6.28507 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGQKFKLPATMTNDEIARLGSSCRRWNDRCVRRCPRKGIMAAAFEMYRRSAIGMSLTETLDEMVFSGALTPELAIRVLLQFDESMSAALEKKVTSRAFFKGSLRTYNYCDNVWTFNLRDVMFRNEEMSEKIPKLKIVACDSSLVKPKPPQQ >cds.KYUSt_chr3.29405 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184083876:184085398:1 gene:KYUSg_chr3.29405 transcript:KYUSt_chr3.29405 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRPKGIREETLLRVPGASVHLMTAGSEGPLELGRGELSIVRIVKDDMAVVATVVRVGRDLGWPLARDEPVIKLDRLHYLFTLPDMDGALLNYGVSFDSKAADATLLASLDALLKSTSCFSTPSAPSRSSSKPSPSSPDRYWNDFAPKVESYNGVLAKAIGAGTGHLVKGIFMCSDVYANQVQRGANLISPQAAGSASKRFDGSGGADQNNKAAVKRGAVSKSLKRVRELSEMSEKMSKSMLDTVLSVTGSMAAPLLRSNQGKAFQSSVPGEVILATLDAINKVMDTVEAAERNTIAATSNVVSGAVSKKFGDSAGEATGDAFATVGHTVGTAWNLFKIRKAVTPSSSVPGNMVKNAVRNRK >cds.KYUSt_chr2.42393 pep primary_assembly:MPB_Lper_Kyuss_1697:2:264072394:264077277:-1 gene:KYUSg_chr2.42393 transcript:KYUSt_chr2.42393 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQCPELCKYTGVYEARKRQSLRARYFYGLIFFATNLLAWFIRDYGAKVFHGLHHNSVCGAGDSKCFQSGGVLRVSLGCFIFFWLMFATTFGTRKLQGVRNSWHSGCWLLKFLVYAVSIIAPFVIPNIFIQLYGEIARMGAGIFLILQLISMLHLISWCNKRWMPDPGSNQCGLFGLFLSTVAFIASFAGVAVLYILYVPNSSCVFNIFTIIWTAILVKIMMAVSLHSKVNEGLLSSGIMGSYIVFLCWSALHSEPRTGKCYTEMKIGKDGNWATIISFIIAICSIVMATFSTGVDSRSFQFRNDEMQSEEDVPYSYEVFHIVFAVGAMYFAMLFISWELKHPITKNLETGLASPIEEATYK >cds.KYUSt_chr5.6430 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39768912:39770522:1 gene:KYUSg_chr5.6430 transcript:KYUSt_chr5.6430 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSPKKPRQNAAGREEASSSARDGIDVLPFDTVMRPRSSRDAMKESPKKPRQNATGRDEVSSSARDGIDVLPFDVVRRPRSSRDAMKESPKKPRQNATGRDEVSSSARDGIDVLPFDVVRRPRSSRDAMEEMKIMDAAEALVLLQESCGKGTSFDDEPGVDYPGIESAADNPNESRDAMEEMKIMDAAEALVLLQESCGKGTSSDDEPGVDYPGIESTADNPNESRDAMEEMKIMDAAEALVLLQESCGKGTSSDDEPGVDYPGIESAADNPNEVLQACLDKRISAAECNSHPVVEMEVEETELTRNDDGQGLVVFHENSTVMELKRPKLDLKVSDKSENTASDDSEGGDASGKQTCLTEPMAREVDQKPEQKLDALEEQAAKGTTSVDEPGTGKPGEESGADNMNEVLTSCSEKSSCSVECYGGGEGTSDLKEAAGASSAYPYVCTENSGNEASAVEEEELSRKHRSQALADCPKKSAVIEVERKKPKLDHQVLDNFVVTQEGKQKVCIDAPAPRNISEEEISDVVDGEANQTA >cds.KYUSt_chr3.8381 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48400908:48401341:-1 gene:KYUSg_chr3.8381 transcript:KYUSt_chr3.8381 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWAFATVATIESLNWIKTGKLVPLSEQQLVDCDRGYYHRAMKWIMENGGLTTAAEYPYKAARGACKRAKPAVNIKGHLAVPPNEAALRAPSPCSPSASPSRSAAA >cds.KYUSt_chr4.23168 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145894365:145894601:1 gene:KYUSg_chr4.23168 transcript:KYUSt_chr4.23168 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALVWSFLRRKSIDKSWTSREHSLVDWVGPKLSYKRRLLHIIDPKLKGQYSFTAAHKACSLAFCCLMSDAVVCRDA >cds.KYUSt_chr4.46424 pep primary_assembly:MPB_Lper_Kyuss_1697:4:286999098:286999616:1 gene:KYUSg_chr4.46424 transcript:KYUSt_chr4.46424 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGKQVGRSGHAAAHPGGVDDEEQTAEEVADVEEEGWPGGRRRWRGRARAAAVGARLREDVQDEEEDDAVPFPCSGRLEAGHGDGGVHWQAAVFLSLSLTEMQEVEKGKGRRGGKERARVPVVLKGRGGRWQASRWGRRSCGLDKVRTKDDDEQRRFSPHGARAAYLWTST >cds.KYUSt_chr4.9164 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55242433:55249250:1 gene:KYUSg_chr4.9164 transcript:KYUSt_chr4.9164 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPPSKRPPASFVFSTAAAVLIAALASSLIALSPRQAPPAAALRPIMSKARVYTDVNVVRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVTNSEKCVIKILKPVKKKKIKREIKILQNICGGPNIIKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYLYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDGLNAYLKKYHIELDPHLEHLVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAM >cds.KYUSt_chr3.28320 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176703327:176703806:-1 gene:KYUSg_chr3.28320 transcript:KYUSt_chr3.28320 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQGAEQHQAARPRAQSSLASPSHGERRCPSPMRAPRRRPLSRSMLDGGRLPSWSMFDSVGLSSRSVLDGLAATTRGGARWRPPEEVLCNGFPAVVLVVATRDGSRRRRPLRCSTVASRAASHGGHRIRWGCWGSRVAGLWSVADMEDGEETQCEAEE >cds.KYUSt_chr4.38281 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236058796:236060826:-1 gene:KYUSg_chr4.38281 transcript:KYUSt_chr4.38281 gene_biotype:protein_coding transcript_biotype:protein_coding MPASIALLLGAILLAAGLAAAQTPTPTPRQPPATAAEAPAPDPGCNGIQLNYNLQSRTKIRPFVPDQTKQPYSFKANFSVVNGGTRPLRSWAMLVTFAHDEILVGVDGAVLTAGPDLPYNTTADAGNATSFSGYPQTDLLTPIATAGDITQIQASVGLLGTLFAGPIGKVPVTLPTALSLDDPDYACPPATNVTATVLSTCCLLTPKALANATAPDANATDPTKTFLPRGTGDLVITYDVIQAYPTSYLALVTMENNAKLGRLDNWRLSWEWRRAEFIYSIKGAYTTKKDASDCVYGPAGQYYQSTDFSQVLNCQKNPVIIDLPLSRYNDTQMGRIEHCCRNGTILPKSMDASQSKSAFQIQVFKMPPDTNRTKLFPPANFKIAGGSSLNPDYTCGQPMPVSPTGFPDPSGLDSTTLAVATWQVVCNITKPKDAKPKCCVTFSAFYNDSVIPCNTCACGCPANRRGPTCSTTAQSMILPPEALLVPFDNRTLKAQAWAELKHYNAPRPIPCGDYCGVSINWHVSSDFSKGWSARVTLFNWGDVDMANWFAAMVMDKAYAGFEKAYSFNATAVGNDTVFMQGLEGLNYLVKQTNMSGTDYLVPGKQQSVLSFDKKLTPSLDVIAGEGFPTKVFFNGEECAMPQRFPIKSGSSTRLSSSALALVLLLAASSAFLLLQQ >cds.KYUSt_chr2.37037 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229140910:229142556:1 gene:KYUSg_chr2.37037 transcript:KYUSt_chr2.37037 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAASRPSPPVATLLGRCRTPRCLAQLHARIVRLGLHNQHALLARFAAACDALACPSVADSLLSALPPHAVPLSLRNTLLSSLSRHSPLHAALAQFGLIRRNASPDAFSFPSLLRACARVPCLHTGSALHAAAIRLGVDTDLFVRTALIQFYGRCSAAGAARALFDSMIVASEVSWTSIIMVYVDCGDILSARECFDRIPHRNVVHWNAMVHGYVKCGDLDSARRLFEEMPQRTAAAHTSLIGGYAKAGNMQGAKLLFDKLQDRDVFAWSAMISGYAQNGYPREALRIFNQFRKKDICPDELVIVALMTACSQLGNIMLAKWIEGYVMRYSIDMSNAHVLAGLVNMNAKCGNMERATVLFESMPVRDVFSYCSMMQGHCLHGSANKAVELFSRMLLEGLSPDNAVFTVVLTACNHAGLVEEGKKYFGMMKNEYLIVPCGDHYACLVSLLGRFGMLRDAYEIIKSMPGKPHPGSWGALLGGCILHGDVELGKIAAQKLFEVEPDNAGNYVSLSNIYANIDRWVDVSQVRAEMTGKGITKIAGRTLVLQ >cds.KYUSt_chr2.38381 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237897850:237898805:1 gene:KYUSg_chr2.38381 transcript:KYUSt_chr2.38381 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGCDFWCADSVREDKKHLDRGGGDGGTELFICFTSRPSTVYSGLRPSASSKAFSPGRGCVGASPVAGSLERGPSPMFPTDTAAASGGRRGRMKVAEPSSPKVTCIAQVRVKDGERKPKHGSAASADGGLGSESSIRRGGVDDRDGGKR >cds.KYUSt_chr2.43153 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268574232:268574919:1 gene:KYUSg_chr2.43153 transcript:KYUSt_chr2.43153 gene_biotype:protein_coding transcript_biotype:protein_coding METKSQGLGGMSFFMIGFVLALAVFAFVAQLGSPSYDIYSLELTGIDGLGDPAGLSALAFNVTLHVRNERLLAAENRFSHGQVVVSYDGVVIGEGRVPGFSAGAESTAEVKAVAWGGRAGMVQIPGGLVKRRVEAELRWDSAEFDVEAKLFRFSDGKESGPVVLWCKVGSQVPQQPKRCKAFTDFKGCSLK >cds.KYUSt_contig_319.191 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:1409359:1410471:-1 gene:KYUSg_contig_319.191 transcript:KYUSt_contig_319.191 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPLLLAAVLLLSAALLCSGHPPGCPVPLPTQTADNNPRLQRAYVALQALKKAITDDPKNLTQSWCGPDVCAYFGVFCAPSLDDPCARTVAGVDLNHGDLAGTLPFELGLLTDIAVLHLNSNRFAGGLPDSLPKLSLLYELDVSNNRLSGGFPQHILCLPNVKFVDIRFNNLCGPVPPAIFDKKIDALFINNNHFDFELPDNFGNSTASVIVLANIRLRGCIPSSIGRMGGTLNELVLLNSGIRSCIPPEIGALRELNVLDVSFNQLQGQLPESMAGLRSLEQLDVAHNELSGHIPEGICALPRLSNFTYSFNYFCGEPERCATLRRNDDRQNCIAGKPDQRPTDQCMAFLHRPPVHCDGHGCFAQHY >cds.KYUSt_chr5.33654 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213622690:213624896:-1 gene:KYUSg_chr5.33654 transcript:KYUSt_chr5.33654 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQNLAASLGLRCGASASSSAGCRFLQEGAWRPFCIFTSSRQPELLAKSGGDRHDAADDHNHPKPLAFAATAGSHALFPSRAYSSSSKQQPPPTLTVGLLSVLAQGTTAGSSTARIPGAASLYGSSSISIGLFNPTNLLPFLQNAKWFPCSDLAPSSSSSSSPPPAPPMPSIRPSKKALIGASGAPAAASAGVARSAGMTKSSWLSRWVSSCSDDAKTALAAVTVPLLYSSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYVFREPEILDIVIFRAPLALQAMGYSSGDVFIKRVVAKGGDYVEVRDGKLLINGVLQDEEFVLEPHNYEMEPMLVPEGYVFVLGDNRNNSCDSHNWGPLPVRNILGRSIFRYWPPSKITDTIYHPDATLCAVGMS >cds.KYUSt_chr1.29055 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175745406:175746041:-1 gene:KYUSg_chr1.29055 transcript:KYUSt_chr1.29055 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGAVAVGAAGGAVMEVRAVARAGIILGLAMAAIALVAATINPSDFHAQIIKHAAPAPAPASGAQQCAATQAQVLDLRGLALDLVLIGVVQAVFALAADVAVAGSRRNLGGCLAVIAHFIGFINAWFLWDVVKGAAVVAVGHCAGEHLAYLVICFVLIAVSYAVLLGVSLAVTCCS >cds.KYUSt_chr3.41651 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262836413:262839039:1 gene:KYUSg_chr3.41651 transcript:KYUSt_chr3.41651 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAPGDPSQKRFSGVLPPAALIFLVLVFVAGAIVTVDHKENLSILQLQPRRVATDEESSRPAPPASDLRVDPAVAETPPAEEPGDMCENQCRAPGSEALPRGIVQDMSNFEFESLGGNPERREARAAKSLLAIPVGIKQKAVVHKLVSKVRAKTDHLAHSELLVHPLDRFASRFRQQYNDAVIMTMQFPAANFTVMLFHYDGAVDGWSDLPWSRRAVHVAAADQTKWWFGKRFLHPDLVAEYDYIFLWDEDIEVDGFDPLRYIGIVRKEGLEISQPALDHRSQIHHRLTARARRGGAVHRRFYKTAGGGRCYGNSTGPPCTGWVEMMVPVFSRAAWRCAWRMIQNDLVFAWGLDFKLGYCAQGDRSMNVGIVDSEYVLHRGIPTLGDVGKTARASSASTAADRYAVRLRSYTELQIFNRRWKKAVAEDECWTDPYPQPPTPASKG >cds.KYUSt_chr4.2338 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13035870:13038999:-1 gene:KYUSg_chr4.2338 transcript:KYUSt_chr4.2338 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFDLLEHAGEASGKEAVAKVAKEVVPRSLSKDEKKQLYERKKREQQLIKDHKDGKHPQQTQHEGQEFSGEGGGQGPTGGQQVPVYAGGMGRPNGRILVDADGNEYRDYSRGGDNANAGYRGNFNGSGGRGRGRGNFNGGRGGRGYGNGGVGRGYGNGGYNNGNRGYDNNGYNNNGYSNGNGDDSQVYHEGNGQYQQDGNNYSNGGRQGYSRGDGKPRMEYRPKSKPSSVAASDADQKSEGKAEPAAEEVPQKDASAENGDAVPASESENSAGDAAKDDSKKVEGEAAQNPRKKERDPNVKLLSGSAKRKLKKKNPKKEDSNDGDASKKAADNVPEAIEEEKQEMTLEEYEKMLGKKKALVPSEPVERKIDPAFEGIKPLEKKKLEDEDGLKLENAQRKPKEVAPKEGKARKITIQQYLKPADGTEYVPPPPPPRRDGPQSGGYRGGRGDGAYNGRSRDSSSESRVYNSGNGNPPIVFRNVDATNGNGAPRRDGYQQRTEGGYQQGGYNGSRANGAYQQGGGRVNGGYQERQDGYSGERRQGAYQQGGNQERRQGAYQQGGNQERRQGAYQQGGNQERRQGAYNGGGYQQGGGRRYQRPTPVPTPVPEVNSAVQFPALGAALPAASKAKEPSPSQSQA >cds.KYUSt_chr6.9417 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58083456:58085969:-1 gene:KYUSg_chr6.9417 transcript:KYUSt_chr6.9417 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSAAVLAVLLLSAAAVVASAGHHDYGDALHKSILFFEGQRSGRLPPDQRLRWRRDSGLHDGAAAGVRALILQHPISVFCPERCMIIRGNVPSCNLQVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRTFGGHREEARKAVRWATDYLMKTTAKPNTVYVQVGDASRDHSCWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAGSIVFREADPVYSQRLLDRAMAVFKFADRYRGAYSSSLHDAVCPCYCDFDGYQDELLWAAAWLHKASRRREYREYIKRNEVVLGASESINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNANNFMCTLLPGISNHPQIQYSPGGLLYKVGSSNMQHVTSLSFLLLAYSNYLSHAGAHVACGGGGTAAPAKLRQVAKRQVDYILGDNPLRMSYMVGYGPRFPRRIHHRASSIPSVAAHPGKIGCKAGAAYYASAAPNPNLLVGAVVGGPTDATDAFPDARAVFQQSEPTTYINAPLMGLLAYFSAHPNPAEWADD >cds.KYUSt_chr3.23748 pep primary_assembly:MPB_Lper_Kyuss_1697:3:146862647:146870264:1 gene:KYUSg_chr3.23748 transcript:KYUSt_chr3.23748 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPDCPSKCGNVSIPYPFGIGVGCFQGAFSVTCNKTQAYLASTGLRLLEINLTLGEVRVQNPFISMYCNNTDDPGDSIGYGALKSILSYEGNPYLQDGCRDINECDPQNKPLYPCKGISASIVFLMVCIFALHAEYQKRKLAKEKDRFFDQNGGQILYHQIMSKQVDTLKIYTQEDLKKATNDFDESTELGRGGHGTVYKGILKDNRVVAVKRSKLMNATETNEFVQEIIILSQTNHRNVVRLLGCCLEVEVPILVYEFISNGTLFEFIHGRGNNYESPSPSLDTRLRIAQESAEALSYLHLSTNHPIVHGDVKSMNILLDENYMAKVTDFGASRALPKDEIQFMTLVQGTLGYLDPEYLQERQLTDKSDVYSFGVVLLELITGKTAIYQDGAKQGKSLVSSFMLAMKEGNLQGILDASIVHVGMETLLREVAELARLCLAASSEDRPSMTQVADKLKALRSTWRKKLVVGHSVVRFASAASAPPSSSTFSRETHMTGIGLELGLRENRDMLWDRWADGEGDGDLDQRLWATLKDRVNMAHGDHKIEEHEGALPGSTSSIAKRQMKEGCFLWSIAISS >cds.KYUSt_chr1.35675 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217480667:217482671:1 gene:KYUSg_chr1.35675 transcript:KYUSt_chr1.35675 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLLLGAAEKIPGGGGDGESLVLREVKKQVCLAGPLIVGYLLQNVVQMISVMFVGHLGELALSSASVATSFAAVTGFSLLFGMACSLDTLCGQAFGAGQHHMVGVYKQRAMVVLGLASVPVAALWAYTGKILLFFGQDPEIAAGAGSYIRWMIPTLFVYGPLQCYVRFLQTQSLVVPVMLSSGATALSHVLVCWVLVYKLRMGSKGAAVANAVSFLTNLFILALYVRLSPSCRTTWTGFSRHAFHGLLGFLKLAVPSALMVCMEWGSFELLVLLSGLLPNPKLEAAVLSICLNTNAFAFMVPLGLGGAISTRVSNELGAGRPQAARLAARVVMMLALAVGASEGLLMLLVRNVWGYAYSNEQEVAAYIARMMPVLAVSILFDGLQGVLSGVVRGCGQQKMGACVNLAAYYLVGIPVALFAAFVCHLGGMGLWFGILCGLVVQMLLLLAISFCATNWEKEAMRAKDRVFTSSLPGDIAT >cds.KYUSt_chr5.42019 pep primary_assembly:MPB_Lper_Kyuss_1697:5:264947536:264948333:1 gene:KYUSg_chr5.42019 transcript:KYUSt_chr5.42019 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPAMAGTPVKASRASPFGEGRITMRKTAGKPKPAASGSPWYGSDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >cds.KYUSt_chr6.12039 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74925841:74932854:1 gene:KYUSg_chr6.12039 transcript:KYUSt_chr6.12039 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSANGAGQGPYQSYQSEAEGSDHEKDHKKKKDQQKEKVEKVPFLKLFSFADRWDYLLMAVGSVGACAHGASVPVFFIFFGKLINIIGLATLFPTTVSGRVAKYSLDFVYLGVVILFSSWTEVACWMHTGERQAAKMRLAYLRSMLDQDIAVFDTEASTGEVINAITSDVLVVQDAISEKVGNFMHYISRFLAGFAIGFSRVWQISLVTLAIVPLIAIAGGTYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRTYREALLTTYKYGKRGGLAKGLGLGSMHMTLFLSWALLVWFTGIVVHKGISNGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYPIFQMIERSTMNTRSSKAGRTLPAVQGNIAFRDVRFAYPSRPDVVILNRFSLDFPAGKIVALVGGSGSGKSTVVSLIERFYEPLSGTILLDGHDISGLDCKWLRGQIGLVNQEPALFATSIRENILYGKGDATADDINHAAKLSEAITFINNLPERYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDSGRIVETGTHEQLMANPLSAYASLIQLQEAAQLQHKPSFSDSTSMTRPLSFKYSRELSGRTSMGASFRSDKDSINRYGATEAYDEGHKVKPVSMKKMYSMVQPDWMFGLSGTLSAFVAGAQMPLFALGVTQALVSYYMGWETTKKEVRKIAVLFCCGAVLTIVFHAIEHLSFGIMGERLTLRVREKMFAAILRNEIGWFDSTSNTSAMLASRLETDATLVRTIVVDRSTILLQNVGMIVTSLIIAFILNWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNMRTVAAFCAEDKVIKLYEDELREPGKRSFRRGQGAGVFYGVSQFFLFSSYALALWYGSQLMSKELATFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMASSVFEILDRKTEVRIDTGDDIKKVEGMIQLRDVEFRYPSRSEVAVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPLAGKVLIDGKDIKKLRLKSLRKHIGLVQQEPALFATTIYENILYGKDGATEAEVIEAAKLANAHTFISSLPEGYQTKVGERGVQLSGGQKQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMKNRTTVMVAHRLSTIKNADVISVIQDGKIIEQGDHQHLIENKNGAYHKLVNLQQQQQLQGGQSS >cds.KYUSt_chr7.13568 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83650584:83650997:1 gene:KYUSg_chr7.13568 transcript:KYUSt_chr7.13568 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSLLLLAISNALETKIAIREDMLGRLGRRGIMEQLQIFHLESAGGREIGAARRWAKAKLDCWSYMRELQEAERLGQQGMGESKLGWWRGASGRRHVLRTVVTSWSYRGSCLQEYRICKQKRACISNAISKFITKR >cds.KYUSt_chr7.18641 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115491815:115493310:-1 gene:KYUSg_chr7.18641 transcript:KYUSt_chr7.18641 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMDTPKVVVDNAVCPTATLVQVDSARKRGVLLEAVQVLADLDLSVKKAYISSDGRWFMDVFHVTDRLGRKLTDDSVISYIQQSLGTWTKPSQPSALEGLTVLELTGADRTGLISEVFAVLADMDCSVVDARAWSHRGRLACLVYLRAEDADAVRVARIESRLAPLLRGDSGASGGVVAVPACAVAHADRRLHQLMYAARDQDRAVPTPSVSVESWAERGYSVVTVQCADRPKLLYDVVCTLTDMDYLVFHGTIDTNCGQARQEFYIRHADGSSISSEAEMQRVSQCLQDAIERRSFEGVRLELSTPDRPGLLSDVTRTFRENGLLVAQAEVSTKGDMASNVFYVTGTTAGQAVHQSAIEAVRERVGIDCLVVEEHRPQLYQKTQPDDRDDRNGGGIGLFHLGNFVKRNLYHLGLIRSW >cds.KYUSt_chr7.13270 pep primary_assembly:MPB_Lper_Kyuss_1697:7:81781502:81787183:1 gene:KYUSg_chr7.13270 transcript:KYUSt_chr7.13270 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVASGWSDDDPAAGEWTTVTRSGRSTRSGPAGHYPTTTTTTPAPPAPRRPGDVAKAFAGLEIKAKPAAEAEDAGRGGRLDKYDIPVEVSGEGAPAPAAGFEEAGLVEAVLRNVARCGYDCPTPVQRYSMPIVLGGRDLMACAQTGSGKTAAFCLPVISGLVAADAAGAGRGDRDAFGYDDYTRAARPRALVLAPTRELAAQIHEEAKKFSHQTGIKVKVAYGGTSMIQQLRDIERGVDILVATPGRLVDMIERARVSLEAIKYLVMDEADRMLDMGFEPQIRKLVDGMGMPRKSMRQTMLFSATFPPQIQRLASDFLSKYIFITVGRVGSSTDLITQKLEFVTHDEKKTYLLNLLQEQSFCSSDGKPQQPLTLVFVETKRDADSLRYWLYNKGFPATAIHGDRTQEERESALRSFKSGLTPIMVATDVVARGLDVPNVSHVINYDLPKSIEDYVHRIGRTGRAGNAGSATAFFTEQNHPIAKGLLELMTEAKQNVPGWLEDYAGRPCYGGLSYGGRGRSNGGGGSSFGGRDYRNGGDYSGYSGGGGGDSYSGGGGRGGYGGGGRGGYGGGGGRGGYGGGGGGDSYSGGGGRSHGGGGGRGGYGGGGGGRSYSGDGGDSYSGGGGRGGYGGGGGRSYSGDGGDSYSGGRGGGGRSYSGDGGGGGRSYSGDGGGGGRSYSGAGGGNSYSRDGGGRYSSGGGSSRANDPPPRYYPSYPMGTTSISASGWD >cds.KYUSt_chr7.30270 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188473305:188475104:1 gene:KYUSg_chr7.30270 transcript:KYUSt_chr7.30270 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHGAPIQPRSRNDPAVPDIEQPEPATIQLMNSTATLKPGNSQMADQATGSGIMQKVKGVSNSSPESTIKADFEFLWRLRKYLLLLAVLAVSVTYNAGLSPPGGFQMDNSLHYNAVAGDPLLPVTFFRRYEAFFSCNATAFAASLILIIFLLSRGVAIPKGLKELVQNFLQPILEKLRGMLNQMLSICHVPRVDGQSNGGYEQKEIEEARKFILMLATFAATITYQAGMSPPGGFWGANGHGYRPGCSRDVATSVAVVLIIVVVLICVLVLVVFLQSKTATDWIYKEVKPAFNEFLRMLSWPWDSHQKNTEHVSRETEAEGMSNLQCCPADINLVSTGDARSAALASDLDSMSRSLTQTDGSEQAAMEVQSGGSQKVAIPMEPSSSSDSGKPTQDILRPQGLVDQNASADLITDVDHWTMEKSSECL >cds.KYUSt_chr3.5535 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31260895:31261907:-1 gene:KYUSg_chr3.5535 transcript:KYUSt_chr3.5535 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLHIGLSPSSLDNVFKKRTTPWRRRRLARWTGHRVSPGTRGEGRHSWDQRSRLQEGSGALGRRRDPHRKHRAGLSPWTFTVPPMPPAANWRRWTEMQTLAWTTPRNARSHTGLTIAEEECRPPPASPAASKSSREEATLAGQPPLQLRPRSTPETELLAPPPRPEAAARAAAATTTAASMCERTPLMRLAGRRRARPRRPPPRCTAAMEQRCPARPATRRVREGPPPPAPRGLCPAAHAGGGREFQRTQGAREWSIGLYSLVCLVAVYAFASAFYLIGMDEETYPVCR >cds.KYUSt_chr3.32175 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202191394:202191729:-1 gene:KYUSg_chr3.32175 transcript:KYUSt_chr3.32175 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPDKVEAEGHADVLLAGVFRDEESSEVDGCGPPTACSSLRRGSWPGTAEERRRKGGELEEEERRRDERRGAGVDWAGRLACGEEPEDERDWGKEDTLGLGREGRARIGG >cds.KYUSt_chr3.46315 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291440381:291441385:1 gene:KYUSg_chr3.46315 transcript:KYUSt_chr3.46315 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDWSSLPSELLGHIADCLLATNDVDCYMDFRAVCTSWRSATEDPKGNLFDLRFRPRRWIIVDEVFQTDARLMINTVTGRVRRKDLPLLRKYDVITTTPCGFLVLADLEPPHAARVLNPFTGHMIRFMAEVPFELGISAAALSCGSSPKLLLVSDRCQEQYSASLDSTGNFSLDESFICFLATRLAVGGGFSTDSRAMFVPYRFCFPGKMCHVLEQFAIDGSRLFSYHASTGFADLPGTDHTNHCFYVWCAGQPLVVIMQQDSFKVFCMQTGGDDDELKPVNNIRNQAIFVGYRRSLSVSAGNLPSVDANCIYYVKSTDSALDIYKSRKKIAR >cds.KYUSt_chr4.45273 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280373156:280374340:-1 gene:KYUSg_chr4.45273 transcript:KYUSt_chr4.45273 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGQLDDFYKHHQFKPSKEEAVTYFLPRLLAGTPLPHGADGLIRRVDVYACEPKDLAAQFAPVPNAASTGDRFFFTTCRRKSGNDARVVRRAGTGTWAVQTTEDVYHEGAKVGEAKHLSFKKGKITTGWVMKEYRCLRPEAVVADREMVLCKIHLAQHAPAAARQESDAYKLLPQQPAEPAQQSHKRPAALAAAAADPPCSKKMRMAAPVPEPAASARKMGTPFPDPWRWSTRIVRCGSRLPRPFHRRLREADSDTGLFSCTMEELLGPQQQQEQTLPVAVEDEDFDWDSLHRESEVHLLLKPWDDDDGWESAAQEEQTPPIEAEKNNIQQVDTHQPAPSASWELPEDLRNLLADHDDQEALLYMGCSYNTVAAACLHAPSLQGFFSFGAVN >cds.KYUSt_chr2.33096 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204301687:204305538:-1 gene:KYUSg_chr2.33096 transcript:KYUSt_chr2.33096 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEADATELNGPLLAGAPATAEETVPPPWREQLTVRGIVVSAILGVLFCLITHRLNLTVGIIPSLNVAAGLLGYFLVRTWTAALARFGIVSKPFTRQENTVIQTCVVACYGIAFSGGFGSYMLAMDQNTYELIGADYPGNRAEDVKNPSLGWMIGFMFVVSFLGLFSLVALRKVFIPVSVILGDGIYNIIKIIYATIKEIVNARSKQGRLPLVWVQDDDGSSKLSSEEKLLNEVFVKDNIPPWLAGSGFVGLAAISTATVPMMFPQFKWYLVLSAYVVAPLLAFCNSYGTGLTDWNLASTYGKIGLFIFASWVGQHGGVIAGLAACGVMMSIVSTTADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWAAFDIGNPDGMFKAPYAVIFREMSILGVEGFSALPQHCLAICTFFFFAAIAINLLKDVTPGSVSKYVPLPMAMAIPFYIGAYFAIDMFIGTVILFVWERLNRKESEEFAGAVASGLICGDGIWSVPSAILSIMRIDPPMCMYFKPSVAYGLM >cds.KYUSt_chr1.37529 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229184790:229185524:-1 gene:KYUSg_chr1.37529 transcript:KYUSt_chr1.37529 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLTIRHPRLSPPDRSPGAGDIHLLRPVERDREVLDRPYQCPQLKALSRLSPRPWLPLPQLVRSTTIAVGRASVVTAAARSFHEHILRLTIYNLIDYYFERLESLLPMLEG >cds.KYUSt_chr5.11533 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74788393:74793288:1 gene:KYUSg_chr5.11533 transcript:KYUSt_chr5.11533 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLWFGGVRPPSSASFSAGLPGENGSPPTNGGSGTTSAACLSCNTVEDTDHLLLFCPQATEVWESFHLGFDPGADASFSDFCLQHSSSYEEATINTAIAWSIWKRRNALTFNSINEDLSFVTRRCIQDIRLWAFRCNTPSSTSFLNSWCNGCYLHIPSPDKCDMNVTVLQVQHIKAHPTKGRAAAVVRCSSGSHEQERDGMALLGRRDALASAAACGVSVLGFAGDGLAVAGQGLLAGRIPGLSDPDKNGWRTYRRPDEKSGGHGVGWSPIIPYSFKVPGGWEEAPVSIADLGGTEIDLRFGNPKEGRLSVIVAPTRRFADDLEDATIEKIGSPEKVINAFGPEVIGENVEGKVLATATAEYSGRTYYQFELEPPHIFITATAAGNRLYLFSVTANGLQWKRHYKDLKQIAESFRVA >cds.KYUSt_chr4.37433 pep primary_assembly:MPB_Lper_Kyuss_1697:4:230540211:230541725:-1 gene:KYUSg_chr4.37433 transcript:KYUSt_chr4.37433 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDELSRSTDGHRIDIDTAAETKSDSVDGVEAERKWLRKLTSATVNKAVMRDLIARTPMLWYLGERSGTILRSRPGRSGRVEALHAVRAVAIGPFHRGDPGLAFPDDAKLPFMRYLQDQCALDVDRYVALLSAERHRLRDEFADDDAEADRELLDDEERFLQMLLLDSCFVLIVSMMLSKAGVGDDADSAARAASINREYFILHMAVAQHAEQIKLDMLVLENQVPFAAIKLLVASCGRLKLRHCVEQVVLGCFDDVSPKRARLPCHAAGAEFHHVLHLFHWSRVPETKYAILSTPLKLLKIKRESERLFPCSMELRHSAVWFRQASASSSCQSHLDMSFWSRTASPVAVMSIPCFHVHEYSAVVLYNMLAFEMHFHWAHGACVTTHVARMEGLVRCTSDASFLRRRGVLASTRFTDAELVYFFRELGAHTVGARLPDEFGDMLDDVACHRRRRISWWCGGFVLHFFPSPWVAVSLFAAAAIFVVPSLLQTVYTMLGYITSTS >cds.KYUSt_contig_2686.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000449.1:43572:49320:-1 gene:KYUSg_contig_2686.7 transcript:KYUSt_contig_2686.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQPPPPPPQSAPPPAPTTVFTLGDDLLREIFHRLPSLPSLVRAAFSCHTFLDAVRSSPAFRRQFRATRPPPLLGFFFDPDCPAIPAFVPIRRRSDPDLAAAVRGADFFLTRVPDKEDASPGWVVSDCRDGYVLLRQGCPGELAAYNPLTRALHLIPPTPHEIFDDSQGYAIYLDRFILSSQDGDGTFRVVATCRDESRARAAVFSSESREWQILPWSEPVTPHPGKKYWLKGGTMVNGLVYWIHTNDAYLLVLNTATLQFSQMDLPPCLEGQNYLFRIGEAKDGKLCLVCPVDFDLDVWFWRSDDDGVERWMLDQSFQLESFVESIRCTMEHVELHIVDTINGFVYFSTGETFNSADSPSWFLSLCMETAQVDKLFQKPLDSHVHPYIMAWPPSLEDNKAHPRLQVTPKGLLLVLSLELCCCGNTPIYCLQFACLLVRAALSFRTFLHAVRSSPAFRRSFSAARPPPLLGLFFDPDGPAIPTFAPLRRRSDSDLAAVVRGADFFLTRLPDDDDTFPGWVIGDCRDGYVLLHNCNAGQFAVYDPLTRSLDLIPHPPEELFDNSHGNADYLGCYILSSSQDTAQPLRLVYTCHDESRARAAVFSSDNREWQIFPWSEPVTPQPEDQHWLKVGTMVNGFVHWIHANEAYIFVLNTATLEFSQIRVCHWKPYNHH >cds.KYUSt_contig_49.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000023.1:118519:120133:1 gene:KYUSg_contig_49.9 transcript:KYUSt_contig_49.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSPLIFAVGIVGNIMSFLVILAPVPTFYRVYKRKSTESFQSVPYAMALLSAMLWLYYALLHKDLLLLTINVVGTVVETVYLSIYLAYAPKPARAFTLKLVGTMNLALYGAMVVVLQFCIRDAETRVTIAGGIGSAFALAVFVAPLAIIRQVIRTKSVEFLPFWLSFFLTISAIVWFFYGLLMKDFFVATPNVLGLLFGLAQMALHLVYKNPKKNGAVSEVLPADAEKAQVQLQQQQKEEAAPVVVAATVDADGEVVQGRDDHDDMRQSVAVVDITLPPPEEHPVMPQLEQPAPLPPMRMAVEVV >cds.KYUSt_scaffold_1700.178 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1234839:1236395:1 gene:KYUSg_scaffold_1700.178 transcript:KYUSt_scaffold_1700.178 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAPMGIYDRRHHHPLADAGAAVWAEPFRYMASGSGAAHGVELAEPKFEPHPMAPHDVHDLALHEGAHMPALPSPDSSDDQEPARPGHKKERRLAQNREAARKSRLRKKAYIQNLETSKMKLARMEQELHRVRQQALYAAGTSTTHPGLPLPSSFDPGQLPLRIKNPASHSAWEPARNGDPELTTSRVLPAAVVAAFEIEHARWVEEQSRQTRELRAALQQQHPDTPEPRLRALAEAGLAHYDRMFLAKAAAARRDVFFVMSGAWRPAAERFFLWIAGFRPSDLLNVLAPQLGPLAEEQRAKVARLRQTARQAEDALSQGMDKLQQSLADSLLLSAATDQEDDEGFDDGAGSYSYSYVARRMGGAMRRLEELAGFVEQADHLRQETLRNMYRILTPRQAAVGLLALGDYSQRLHALSTLWAARPREPV >cds.KYUSt_chr4.563 pep primary_assembly:MPB_Lper_Kyuss_1697:4:2948491:2953852:-1 gene:KYUSg_chr4.563 transcript:KYUSt_chr4.563 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHGSDCWHRPPRIWLPPWASKDLATSVGRLGSGYLSRPVGIRLLVPGLDIFHLDQQQLGRPMGHMPSPPSVGHPGLPESDHVNGIPMKYIHNRHQDSGEPDGPLYGRPDNARNGGHGHSLYQFLRQSFPPRRKNICAEMHSTEEFIGNQKMKLGSTSSSLLTPMIIAIPKESIIEPENLLLDSHGNLKVPVSDFFLETPLHTTVAWKITLLLRKNICAEMHSTEEFIGNQKMKLGSTSSSLLTPMIIAIPKESIIEASSHNCGMENYIAPEVPELVNVDFAQARSILGINERWLKRYGMAASSGDRSGRCSRLDML >cds.KYUSt_chr7.22901 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142161222:142172317:-1 gene:KYUSg_chr7.22901 transcript:KYUSt_chr7.22901 gene_biotype:protein_coding transcript_biotype:protein_coding MAITFLLYSLLLRLLAMQAAAHGGVGDDDDDAGALITSCLAAAGVHNVTTRQSPAYSAALAFSVQNLRFAAECADASRGPAAVVVPASLAELRAAVRCARESELLVRLRSGGHSYEGLSYTTDGAGDAAFVVVDLMALDRVQVNAGSRTAWVQSGATLGQVYHAIAESSRTLAFSAGSCPTVGSGGHIAGGGFGLLSRKYGLAGDNVIDAVLVDADGRVLDRDGMGEDVFWAIRGGGGGAWGAVYAWRVQLSTVPERVTAFVVNRPGTVASVARLVSKWQHVSPSLPDEFYLSAFVGAGLPESDRTCISVTFKGFYLGPSHEAMEILAARFPEIGLSDLSPREMSWIESVVFFSGLPEGSSASDLTDRVLHGKNYFKAKSDFVRRPMAIDELTGAVEFLSRKPKAYVILDPYGGAMDRFGAADLPFPHRKGNIHGIQYLIEWMSDDDGHSEEYMDWLRRFYDFMGPFVSSSPRTAYINYLDLDLGTNTWSVPRIDEGGIPNPQVEAARSWGERYFLSNYDRLVRAKTAIDPENVFRNAQSIPPLSAGSRETTRTTRGITPEVAAEEKVQSIMCAIVSSLTESIIGMVMLATTSMDAWETLESNFSSQSTTHVMQICGVLQKVKKLDTSVAIYFNKYYVFLLWGIHSEYYVFLLWGIHSEYDAIVDRISDHPIWEPMPMRDVYAQLLNVEQRMETSWYVDTAATYHFTNNLNKFTLREPYYRKDEVQAANGTVSVVCEELTPSNSHVAHVVVSGNTVHAESVARHVARRCSDSPRWKWEAVAFGENEFLVSVPTFDDLNRMAASRWEFQSRPRLSVSPLGSLLREPTDYIPDPYFVPFLWEKRNDGNDKGGAHEAEDEDAMDTTEGRVDPPVTVTSQGQSGRSGGDVGSSSRVSRVDSAIVAVTPFNNNPQTTVAKEIVSKLQEVASGAPASPSSGFSDSGPPGGCDCDHELAAALSSAAALPAAAAAPKLHALATAFAASVAAERHQLASKAAATERLARADADDVGTRQPRHGAEAAASLLPSQQPGNDR >cds.KYUSt_chr2.51603 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322413877:322416164:-1 gene:KYUSg_chr2.51603 transcript:KYUSt_chr2.51603 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSKSVPQEQLPSDDLHPPPMPVINLGHLILEPETRSGVVEDIAKACHDLGYFQVINHGINQSVMDGAFEAALDFFKLPSEIKEEFASDDLRQPVRYDTSSKDGISMSRAFLKHYAHPLSDWMQYWPEKPPIYRKHMGNFAVEVRRVALQLMEAILEGLGLGKDYQHEKFEEGLQLMSVNCYPKESEGDVAIGLAPHSDYGFLTILLTSCRGLEVVDRNSNSWKVVQQLPHALNVHVGDHMEVLSNGRVKTVVHRALLNPEEERISIASILGFALHEKVACAKELVDEQNPKKYKESSFNDFLDFLTSNMDNKDRNFLGSIRM >cds.KYUSt_chr2.45633 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284558562:284562972:-1 gene:KYUSg_chr2.45633 transcript:KYUSt_chr2.45633 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSAAAPADTYNIPWVEKYRPSRVADVVGNSDAVSRLEVIARDGNMPNLILSVSAISSNTFRALRTLRTPQNSHLLFSFQGPPGTGKTTSILALAHEMLGPSYREGVLELNASDDRGLDVVRNKIKMFAQKKVTLPPGRHKIVILDEADSMTTGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMVVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATVSGFRFVNQENVFKVCDQPHPLHVKNIVKNVLDGKFDEACSGLKQLYDLGYSPTDIITTLFRVVKNYDMAEYLKLEFLKETGFAHMRICDGVGSFLQLSGLLAKYALARETAKA >cds.KYUSt_chr2.18649 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117544162:117546460:-1 gene:KYUSg_chr2.18649 transcript:KYUSt_chr2.18649 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGMWHRFYLLSFAILVLPLAANSNIICTGQGNRTASSNYEANIRAFMAILPNKTASMLGRYANHDTGDGMYAVSHCHNGTNFSSCWACITLALQEAQTVCPYQKGVEFNNNNCSLELSAIIHLETVDLLVLKHDGHKEGFSAMRNVSMAIENIMALVFQAVGFACLFFLLRQDWHDRKNRSMMHPSDPPSEEK >cds.KYUSt_contig_973.266 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:2380428:2384139:1 gene:KYUSg_contig_973.266 transcript:KYUSt_contig_973.266 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALAAASSSSSSRYLPGHANSHHHGHPLRRVRFISSPPQPCASPSALLWRRPPQAEAPATFCSAPTLGRVGWPRREGNAWLLSFRAETDASSSAEGDGDSSQAVSALLPVVVVATAVAALGNPATFSWVSKELYAPALGGIMLSIGIQLSIDDFALAFKRPVPLSIGYMAQYMLKPLIGMLIARVFKMPSAFFAGFMLTCCVSGAQLSSYASFLGKGDVAMSILLTSYSTISSVIVTPVLTGLLIGSVVPVNVIAMAKSILQVVLVPVILGLLLNTYAKPVVNVIQPVMPFVAMICTSLCIGSPLAINRSMLLSSQGLMLLLPIVTFHIASFVVGYWVSKLPELRGTTAPNSSESAPYVPVVTVNVQDNSEKL >cds.KYUSt_chr6.12793 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79896241:79899140:-1 gene:KYUSg_chr6.12793 transcript:KYUSt_chr6.12793 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRIRFSRQIPLSCSDTEEEDEEDEEEEEEEEEEPYEGEGEGDAPVASPVILPSARGGGVSVVDMVAAALRRSLLLCSSVRAEEGGGAAAATAASGMQIGRPTEVRHVSHVTFDRFVGFLGLPADLEPEAEGVFRINADNSQELHVREELNRGVVPDGVDMHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECAIVASIVPPVEAALLDWAINLMADVVQHQNYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKEREESAAAARTSTSNSGSSSDRDEPHKLVNLNNPFICSSHENVERPMISGATLDHFLLRVEEALHHDAQASIGEPKKCGTGTVHDKSNNEFSPEENDFSCQDSSNANKLSNDSAEGLFDRFKFRKGVGRLCRNPVFQLSRSMKKSDEAGQTCA >cds.KYUSt_chr7.4436 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26847153:26848565:-1 gene:KYUSg_chr7.4436 transcript:KYUSt_chr7.4436 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKMTGLRRIADKLEDTDNVEVVAGYNSAVRLWRREDAALGALGLAGETLRVIRTRPPGFPFLFGVVITLSLSLLAHVAASRALFSDAVASDTTGAGFVRLAANWAPFILAEAAILCVIVLQSLVSTTFSVLSVAAGYSGFAPADAERDARAVARDLRQVPRFLVSVFRGDSRLAARLIRTGPSVAARVAVTSCVALPLVLGYTAILAAVAAALTQTQLPRPALLVVGGTAFLAGAAHIGAVWRVACVLSAMEDGARGFRAIHGSDELLGSAGKFWAAAAVFTTLDGCAVAAQLAFGALVVDDAMGLGVWGRVALGVALAAVLWAAVMAGLVAQVVVYFACKRCCENPDGTAEKGLADVGRKGPTSRTGKRR >cds.KYUSt_chr4.35741 pep primary_assembly:MPB_Lper_Kyuss_1697:4:219598490:219600629:1 gene:KYUSg_chr4.35741 transcript:KYUSt_chr4.35741 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSGPPESLRLLDAAFDAGVNFFDSAEMYPVPQRRETHGRSEELVGRWLRARRVPRDSVVLATKVAGPSGQMTWIRGGPAALDSRNITEAIDSSLCRLGVDYIDLYQIHWPDRYVPMFGETDYDPSRQYAYVPMEEQLEALGKGIDAGKIRYIGLSNETPYGLMKFLELSRDFQLRSKIITVQNSYNLMCRNFDAGLSECCHQERINLLAYSPMAMGILSGKYHSPDDNGPPDARMNLFKGRYSEGESRYNLQNPKLESAVKEYKRISAKYDISPAMLAIAFVLRHPLVGSAVFGATKLWQLDEVLQSTKIHLCEEIVVEINDVHARFPNPCP >cds.KYUSt_chr7.10017 pep primary_assembly:MPB_Lper_Kyuss_1697:7:61339949:61346543:1 gene:KYUSg_chr7.10017 transcript:KYUSt_chr7.10017 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHTHHPQHQWPTRWAGHARTISQLVKTNGRRAFLVDTLALVTNLLLHLLLPLVLACLPLPGAREVLDEMKNAEMRVAQVRKLESQGVPTKHAEAITSAITEVLNDSLESISESFVTNAEMQKCGMLQEANISKFKSQVQSSQENHFSLLQRETEKLRGDIDKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNEETTDLTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >cds.KYUSt_chr1.22835 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135235107:135238336:-1 gene:KYUSg_chr1.22835 transcript:KYUSt_chr1.22835 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGASEPSPLDCARCGKPASLQCPKCAQLKLPREAAAFCSQDCFKAAWASHKSVHTKIDALASQLSLEGWKYCLKKGRTRTLELPRFDWTGPLRPFPISKMRLVPDEIEKPDWALDGIPKIEPDSDLQKRVEVKTPEQIERMRETCRIAREVLDAGARIIKPGITTDEIDRVIHEETIARGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNDTYFVGNVDEASKQLVRVTYECLEKAIAIGEILLWSWHRRTVPLCPKHPSLFK >cds.KYUSt_chr2.6693 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41716687:41719233:-1 gene:KYUSg_chr2.6693 transcript:KYUSt_chr2.6693 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRADSAAAFPSLPGPDALPAPNQGTSAGTRKRKAPATATEKAVRKPRKRQVEEPIQMPVYYGRREDFHSVRGEDLIEDISELYANKICEHIVGELPLQPQSMSLVDLQLWVFKLFRLHPETQDLKIKGFLKQRKTDPYDEEEPGWYMEYWPWDSCDFFCDKSWRSFANKLKRKRNVTQRFMLYVQSSEIRHYDILLKAVNDDYSQLVTVVLPGTESLSGSRFGFHCLVEDLTMSAQEIAAKLTGHISPAEAWRAKQVALERKFGTFYDSHNFAPRLLKEIARKNPGCFVDIKDAEVAGCKDFRVLQSMFWAFAQCLEAFRTCRPVLCIKSMPLCGKYQGVLLTAVALDANDFTIPVACAIVEADTKESWLWFLRNLEQAVVHQSDICIIHDYKRELIDAVEVLPSSRQPLWCRAESRWCMEDLAENFFAYFGDKNLVMMFKKLCQQNRRHKFSKIWTDLDEVTSKYMTEKEHGASRKMQQESVEDDVAELEAENPCNQPDPVENVKEGDHANDNNRKITKFSDWIHLKPKEKWSLAYDSDGARYGIMGSDIADVYKNDPILKGITCLPISAIVEVTFLRLAEYFKNASAAANKAIGNPSINFPERVQADMNVKMQKAEMHKTFQKYTDYENVIGGEDDLAFTVKGRKREVTVHLKSENTLWMNKSEGSTIRKTATCTCNKPQLLHKPCSHVIAICCEIGVSTATYMSPYYCLPYLVCTWRPKFNKFPHNYKDVAPGFFRDNIPTWIPDKRLECCLPVWLLSDSVQTAVVEEEQQGSTEDGSVE >cds.KYUSt_chr2.54618 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340815169:340816730:-1 gene:KYUSg_chr2.54618 transcript:KYUSt_chr2.54618 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAPAAAADSPGEAPPAAKKTGRVKTKAAGPRGVAPAKVRTKAISRIGLAPSLASKVTTPPPSVPAVAVPAPPPTTIDIDKVFDVESTTSYLDMLNDSAVNLDAGIGAFDGECNVEDFDDEEEDEGDEEVVEVDPAAAGSSSTPKPRTANYSEIEDVILVRAWSKVGMDACTGVDQGGKRYWQRIEDQYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQGRYKDMAGSKNKEFQFEHCFSILQHLPKWKLRDNEPKCKKEALITMDDEAEDMRGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLIITDKKSVVKLAKVQARKELDMKMIAAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWKETKADIIARKKAARQARDQGESPASGGAGGDGSVDG >cds.KYUSt_chr3.12510 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74748593:74752349:1 gene:KYUSg_chr3.12510 transcript:KYUSt_chr3.12510 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIHTAREMIIAALFVLNCVLSTIRADPSAYGDHALAWRPALSHPDELRDLGVRALIRDDAEATARASADFGNMSVDVDVPAAVLYPSCPDDIAALLRASSARPSPFPVSARGCGHSIRGQASAPGGVVVDMPSLGRLAGSSAARLSVSVDGRYIDAGGEQLWVDVLHASLAHGLTPRSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVQELDVITGLGERVTCSKEKDRDLFDAVLGGLGQFGVITRARIPLVPAPARARWVRLLYTDAAALTKDQERLIDIDVEIGTGAVSGLMDYVEGSVLADQGLAGSWRSSFFSEADAARVAALAKEAGGVLYCLEGALYYGGAAGSERNVDKKLDVLLRELRYERGFGFVQDVSYVGFLDRVRDGELKLRATGLWEVPHPWLNLFLPRSHVLDFAAGVFHGILRRGTTGASGPVLIYPMNRNRWGGEASVVFPEEEEVFYTVGILRSSVPASSDGGQLLRRLEEQNEEIMRFCEDAGMACVQYLPYYAAQSGWEKKHFGPNRWARFVERKRKYDPKAILSRGQRIFTSPLA >cds.KYUSt_chr4.23587 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148456019:148459841:-1 gene:KYUSg_chr4.23587 transcript:KYUSt_chr4.23587 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSGAAPPPLLLTLVCALLWCGGCEARFVVEKNSLSVTAPAALKGAYECAIGNFGVPQYGGTMVGVVAYPKANRKACKGFDDFDISYKAKPGALPTFLLVDRGDCFFAKKAWNAQRAGAAAILVADDRVEPLITMDTPEESGKADYLENITIPSALITKSFGDMLKKAIDNREMVNVNLDWTESLPHPDERVEYEFWTNSNDECGAKCDSQIEFVKSFKGAAQILEKKGYTQFTPHYITWYCPEAYTLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVHKVATESKKPWLWWDYVTDFAVRCPMKEKKYTKECADEVIKSLGLDQKAIDKCIGDPNADKENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFRETTEPAVCLTEDIQTNECLENNGGCWQDKAANVTACKDTFRGKICECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKETKNGRTYSACTADGCKCPDGFKGDGVHKCEDVDECKERTACQCKECNCKNTWGSYECGCSGGLLYMKEHDTCISKDAAAQVGWGFLWVVFFGLAAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDHSNHIEM >cds.KYUSt_chr7.22043 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136597889:136598971:1 gene:KYUSg_chr7.22043 transcript:KYUSt_chr7.22043 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESRKTVSRYTTKTEKGSHSFEISGYSLTKGMGVGRFIRSSTFAVGGCHWAIRLYPDGVTNPFKDYVAAYLELMSKDAEVRASYDLSLLNQDTGSPVTVSSESTPRVFKSSDTSRFGPQGGYSILRSELELDESCYVLDDYLTIECDVTVVKESRVRDSPAEYQVEVPPSDLSDHFGKLLLDEDGVDVIFSVGRQTFPAHKIVLAARSPVFRAELYGQMKERTAPSITIRDMQPSVFRALLHFVYTDSLPDMEDLDHDEYSEITRHLLVAADRYAMDRLKLMCQNILCQFIDADTVAALLALADQHNCDGLKDACIQFMATSDEMDAVVETQGFADLKRACPSVLVDVLEKRSKFGKA >cds.KYUSt_chr4.10307 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62405032:62413275:1 gene:KYUSg_chr4.10307 transcript:KYUSt_chr4.10307 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTDGLVGRRNTWNKEGRVRFWGVPVRTLPNIVDGIHNGAPRLEMPPSPPPSPRASARWQPRRTPLVRIFGRNLLGKNASMTDMIFSMPKKKSLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDVDILWGSKDRYPRSFRAEVLFCEIGGISPPRAPTATLNGDMKGGLPIEAFSAVQELFNGVEWMESSDNAAFWLLKEFSANSLQDKFQKLILSDMKELSKFQAKVGLQMPLMSPLDSDEEKYSVASDSVSSTDYEKDRALTTEDSESIATSSVNASSPSPPRGRSSSLSTEQSLLPAELQQELPSTRQLPQSSAENGDKRVISALVPPPATANGSEPVFLAPPPSPPPPPPPPPPPPPSGSKPVFSPPPPPPPPPPPSGSKPAFSPSPPPPPPPPPPPSGSKPAFSPPPPPPPLPQSSNLSSPQLPPAPPPPPLPNSRTGTLISAPAPQPQQNVLFHPVPPPPSQANGIAKKCPPPPPPPPRPPPSSNRAPTTAAGTLSKGPPPPPPPPPPPGAHCPPPPPPPPGAHCPPPPPPPPPPLPAGAIQRTPAPPPPPLMTGKKAPAPPPPPPQAPKPPETVPPPSSKISNAPAPPPLLGRGRAATGSGKGRGIGLAHQSNPPKKASLKPLHWVKVTRAMQGSLWADAQNQGSQARAPDIDLSELETLFSTAVATNASEKGGTKRASAVSKPEIVHLVDMRRANNCEIMLTKIKMPLPDMIRAILALDTSVLDNDQVENLIKFCPTNEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRIAFTTQTDELRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLAEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISIGFRKALKSFLDSAEAVVRSLISLYAEVGRNADSLAQYFGEDPARCPFEQGFV >cds.KYUSt_chr3.3105 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17835053:17838068:1 gene:KYUSg_chr3.3105 transcript:KYUSt_chr3.3105 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRWPPEDPEMFPTRMLGTGVWGPAGPAGPAGGPPGEMASDDDRSVAADSWSIKSDYGSTLDDEQRYADTAEVLLASSCPSSGSSASAAAPSACSSSLSAHHSSDFRSADPRPFVPAFPDAVICSLLFWGKRVIWTSADLSVRNCILASKLAMMANSFDKDVPDVVPPMLGLQNYHDGAYAEDLANYHERSHADDWFGTEVMDVLVDWTKNLCSSKDLPGCSVLDIGSGSGRLLQQLAKQGFSDLTGIDYSEAAIELARNLAIRDGFEHINFLVDDVLESKLERRFELVMDEGTLDAIGLHPDGPVKRMMYWQSVASLVSPGGVLVITSCSRTKDELVQEVENFNQRKLGATVLEGALASDAVVFKYLDHVRAYPNADSIATVAFLHT >cds.KYUSt_chr1.10666 pep primary_assembly:MPB_Lper_Kyuss_1697:1:65276311:65277159:1 gene:KYUSg_chr1.10666 transcript:KYUSt_chr1.10666 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPNPPPAMASGGGGGVPPKPQMYQRPIYRPQGPAKGKRGRSCRCSFCCTFCWALLVIVLLAVLAAAAGGIFYLLYRPQRPSFSVSSVRLTSFNLSSSATAPVLTDTIQLTVTAKNPNKKLVYFYDDFSFSAATSANDVPLGDVTVPGFAHQAGNTTIFTATIAAAAVTVDPSGAGSDLKKSGAFSVSVDADTRAGVKVGSLKTKKMDIQVRCDGIKVTPPTPPPAPKKVKGKNGTALAPAPALDTAETTTAAVSTAAHSCTVRVRVKIGKWTF >cds.KYUSt_chr4.7276 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43108885:43110785:-1 gene:KYUSg_chr4.7276 transcript:KYUSt_chr4.7276 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSNSSGRGDPEMAGDEKGRCVKCREWQEHYFWEHMDLSNIRFFKHMIGDFQHRVSIPEKVANNFTRLMAKEGFTLKSPSGETWCVAAEKIADELFFVSGWEEFVKDHELQENDLLLFECSGIGCFDVRIFDSSGSEKASCFFTDKKGTNMHRHFDNIMGQQAEGHCRLSDSNNAIVPLSQPIIPSKFAAEHLEGKSHEVLLLRPNSKEQWHVKYYHASLTRGFNGGRWVKFVRDNSLCEGYVCIFELMRGARKVTMTVHVVRKVEDQFVLLG >cds.KYUSt_chr4.48423 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299849043:299849600:-1 gene:KYUSg_chr4.48423 transcript:KYUSt_chr4.48423 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGEASGNLMVILMAQQSRVRTLFKHMVRTLYSDRKNPSARGEESLTVASGKSARGEEVIILETEESVKEAEAALKKEAEEGALLVGMDSTGGVSSMQKQAQEGALLLGLDSMADESVLQKEAEAESGKRFRIIVDGKLYPAITHQEAEKLMDEIHERREALKRDLQAKQDAKGKETKAEVFFR >cds.KYUSt_chr1.547 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2958884:2959324:1 gene:KYUSg_chr1.547 transcript:KYUSt_chr1.547 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPASAVSMAKDRRAVAVFSPEQVADVEEFPDSCEQEPWYVPDTYAEDTEVPDSIEEEGNDILAGESQPLEPLEDIEREIEAAMIANEKQEGEFFEDQCQVDDAQCVAEQDEGDDIQLNFGVKLDSGELQVVKDTVKILLPTYCP >cds.KYUSt_chr6.31572 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199551670:199553760:1 gene:KYUSg_chr6.31572 transcript:KYUSt_chr6.31572 gene_biotype:protein_coding transcript_biotype:protein_coding MESVCDLQEGMSTERAYEAEPVPSLSETITVRSLAVSFVLAVTLTVVAMKISLNSGFLPSLSIPACLLGFYLSRALIRMLDYLEVSHLPFTRQENTVIQTCVVACTNIAFSGGFGTFLLAMGGSSAGVSMKHDNNIEEPGIIRMVGFLFLVSFAGIFIIMPFRKVMVIRHQLTFPSGTATAHLINSFNTPQGATQAKIQVEMLFRSLGGSLVWSIFQWFFSAGRSCGFRVFPTFGMQAYRHGFFFDFSMTNVGVGMICPYMITISMLVGSLVSWGILWPYIETKEGDWYPRNIGQGSLSGIKGYKVFVGVSMILADGLFNFLTIMFRTSRTMAKRRQQPPVLASQGNTQPFQCLNAGSNGGAMDMEQQTTTAKSFDERRRAQVFLRDQIPNMVTIGVYVLLAAVSTLVIPLLYRQLKYYHVALVYITAPLFTFCNAYGYGITDMNLSSTYAKIAMMVFGSWVGIKDGGVVAGLVACGIMMCTLTNGGDVMQDLKTGYLTLTSPRAVLISELVGTTLGCIINPTVFWIFYKVYKTGGAAGGDIPDVPYARVYRGMAMLSVGQEGLPKHSMLLAKVFFLLALALCLIREVASRQSWPMRRYIPSTVAMAIAFFVPPDMPIGMCIGSVVLYMWERMDPRGERMLSSAVASGLICGDGLGSLVSSLLTLTKATGPICIKFLSRGDNEKLDAFLATLPAKT >cds.KYUSt_contig_1181.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:138967:140850:1 gene:KYUSg_contig_1181.14 transcript:KYUSt_contig_1181.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARTGLPPAEDDPLEGGESEEEEEVESGEEGTDDGSEPASLADLYESDDDEDPSFDPAADGGPEVEAALWSGMARLSISARKGRKGSVAPKMGKEDNDLLAMVDKLMREKKEILVESKVAYVVRGQMQVESSKKAMALRSNSIHSLLKFYGVKDTNHGLPFILSS >cds.KYUSt_chr1.19497 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114482732:114490795:-1 gene:KYUSg_chr1.19497 transcript:KYUSt_chr1.19497 gene_biotype:protein_coding transcript_biotype:protein_coding MGPILISSDLSFAATLSSSCNDGAVKGPEESFKKTIEVDRLIDMLRDANPTELDLIVVENILAFSEGFWVRLAARIDLCKSDDDKKDYEELAENIMNIVDRVVHKTDEKIEQSTDVLKAIISPVMQEGEEVMWPPRNPEALKLMEKEISNREKEGQLDESFLSEVNAQLRQVKGDGDKPGLQVMLQKVLQLYASNFLRKRSYAHKGGEVVVPEKFLESIIEAPENDWNKLLLDGLTVGKGDISPEEFHAVTKKRIERILIRTEGGSYQQRVLVEYIKEIQARAEEIVNRLQGPAV >cds.KYUSt_chr4.26741 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167979035:167983031:-1 gene:KYUSg_chr4.26741 transcript:KYUSt_chr4.26741 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDDVSCCEEVEMVAGEPQLVTREQRHRNRQAQRRLIVAKKSKHAMIDHSKSFHTYPDVGGPFESLQAAENAIDCHLHGLQHEMMSKESLDKLSPMDRLIRQSLYWPDGRKRKCSEAFASENTLTDKGHLLQALVDKYNEDHDLLGDLAYELKDALHCQIMWEGKRNIWYYHFNFITKTKGADGIDILFFAEVTCIEGDELALNDNFPLRFLPSKGCCYGCTNNGSVGMKHPNQANAYNVGHPDGYLPFGHSGGWDASDDDAEDFKDEEDRIRHIYKVMAL >cds.KYUSt_chr3.38676 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243699708:243703400:1 gene:KYUSg_chr3.38676 transcript:KYUSt_chr3.38676 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGTHLEVVRPEKTHGGADSASISTSVYRLAPRAPPQPTASASRSVVVPSSVDRWSPPSFTRGRRLAAATEADGERAPIFFADISHGYTCKYEEKVLSVSETALPPTPPSVLKPVRPSDDNNLTDSSMKKALF >cds.KYUSt_chr4.11802 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71680214:71690933:-1 gene:KYUSg_chr4.11802 transcript:KYUSt_chr4.11802 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDEFGDLYTDIAIPASEPSKPPAAETLPRAAPAAAPNPNSAPAPASTAAADEDEDDDWLLGGSDPVAGVDPTGGWVDDDDDGPPPKREVDAKPPPAAEEPDPLMGLGEDDPGAAIPGLSTPAAAGAPGSEYSDSDSEDDLKIVLNETDGRRRLGDDEGDDEDGLDLIIADGPHVPGMEEQEWAEDGGAAAGPDGERKEGGEPGGKAMPMPGVRMGYGGGGPGFNPQHHSMFKYVRPGATSVGGALGAPGQFRPPGPPGPFSGRGRADWRPGGGRGMNKGFHSGYGMPPWGGSGRGFGGGLDFTLPPHKAIYEIDIDTAFEEKPWKYPGADIIDYFNFGIDEEKWKDYCKQIDQLKVESTMQSRIRVYESGRSDQDYDPDLPPELAAAATGHHDISADNRNKVDNGHTDFSAQARAVASIRPAAVTGRPIQVETSFVERFPSADTRLPRMRESDSVIEIVCEPPPDDPVVVDSSVDQSEKDSEGGNKKSNGFEESGVYTSEKTNNSPYNSNLGKKAERSRRLPVSSEGDMLAPDVHGRSPSNYKIRGSPRGVRSKGRSLGVNSSRETESSNEVPHQTSSKRRRDTQRENNPVDESETKDVSEGSPTVADEMTDKLSTEDQFADNDRLALVDSAEVDGDDAISEPHSDDAINEGDNVDHSSKKQKLIPRAEQPAGLNSSDQDELKTLNGENSRGVRSGSSKDHLKRLESGEEVLQDRRSRRVNDVRRHHDGEERDSRRKDVYTRGVKPDVERTQLASRGREDIHHPHVNRDRDMRGKSYDRVRETEVLQRREDSVHNRRGKEEDFRPDYNAEVGARHRNKARPIDRNDRDEDPHSRKLLDAGDLRGSRQRERADMVLNRRESLDDSHIKRKKDEENIRRMKPENEDTVHGSRGRDDPNKRKRERDDGIDQKRRDDSVRAREKVDDRAKNKEDNWRQREKEDRQRPKHESTLVLQKEEGRGTGRGGRVIDDKLVSGGRKKDESRSALLSKETQERSKQNEPGRRGQGAEVNNSQNKGRSDVRPRDDNPNSSERNSRQEKLNKTHDNNRLSSSSDPRQASKDKHRESTRKGRGSEPNEQDLHRSSKRRREDHESHRSGKVETKGVREQENGRDHATSSKMSKNPERHDSLLKQGEEEAMSDDENNEDSRRGRSKLERWTSHKEIDYSNIASEATPIFPPIKADAQSPTADASGKSDVPATVSNSDLKSSGDNGPASEKTAEERERHLDTVERLKRRSERFKLPMPGEKEAPQSKKVDTEVQAPQNNESPAADAEVKPERPARKRRWTGS >cds.KYUSt_chr2.1914 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11488831:11492429:1 gene:KYUSg_chr2.1914 transcript:KYUSt_chr2.1914 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVAIIGAGVSGLAACKHLLERGCRPVVFEADTLLGGVWARTPDCTSLQSERAMYQYSDFPWPDSVTEMFPNCRQVADYLNAYAHHFGVLDCVRFRHRVVGMDYVGVGEEEVAAWEEWTGCGEAFGDGAGEWRLAVSDADGHVETHVADFVVFCIGRFSGVPNIPTFPPGKGPDAFDGQVFHTMDYSKMGTKKANEMLKGKRVTVIGYLKSALDVAAECAELNGTEHPCTMVVRTKHWIFPNYYAWGFPISYLYLNRFSELLIHKPGEGFLLSLVATILTPLRWLFSKFAESYYSIPMKKHDMVPEHSLFEALVTCLIAITPKDHYKRLDEGSIILKKSKTFTFCKEGVLVEGESSPIKSDIVIFGTGFKGDQKIKDMFVSEYFQSIAVGPTSSTLPLYRECIHPKIPQLAVLGYSESLANLYTAEIRAKWLAHFLDAGFRLPSIKAMQSDILEWEKFMKRYSRGYFRRSCIGVLHIWYNDLLCKDMGCNPRRKKGFFAELFGVYGPSDYINLRPK >cds.KYUSt_chr7.585 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3276755:3277309:1 gene:KYUSg_chr7.585 transcript:KYUSt_chr7.585 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISTTTTFIPIVFLLCFASAFLADSVVDERVKGECGVTAYPELCVSTLLRYTGSTKTYADGKELAELEVLAASRLLSLASTAAGSEHWNDENMSKEDEDCFKECKEKLHGAVRVLNPYPDKMKLADVRSFLDEAKTKNLEWNCDACRHGDGKKRVDEISKGNKAEKFMEILPLLLHKTLDNK >cds.KYUSt_chr6.2222 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13053464:13053744:1 gene:KYUSg_chr6.2222 transcript:KYUSt_chr6.2222 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAFPLDHFTVPRSSAPARSPDLALSVTLGNPGKPLRGILAGAMLHRHVEPPDARRLLDGMRDRDAVLWTYMLSAYATTSQPSPVGMMQPY >cds.KYUSt_chr2.6960 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43452707:43454097:-1 gene:KYUSg_chr2.6960 transcript:KYUSt_chr2.6960 gene_biotype:protein_coding transcript_biotype:protein_coding MSITWFGPVPRVTITEPELVREILSNKFGHFEKLTFGRLQRMLHNGLAIHEGEKWAKHRRIINPAFHLEKLKRMLPAFVECCTEVVHRWEGLAAADVPCEVDVWPAMQNLTGDVISRAAFGSNYLEGMRIFQLQVEQVQLMMLAINRIHIPGYMFFPTKGNRRMKQIAAEIGRILKGIITKRENALKAGEATSDDLLGLLLESNMEHCRGDGTGITTDDVIGECKLFYFAGAETMSVLLTWSMIVLSMHPEWQDRAREEVMLVFGASAPDYDGLSRLKIVTMVLYEVLRLYTPLTALQRKTYKPITTGIGGYADGRLPSA >cds.KYUSt_chr3.5373 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30585175:30586469:-1 gene:KYUSg_chr3.5373 transcript:KYUSt_chr3.5373 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLAEIRRSQRADGPAAVLGIGTANPPNCVSQEEYPDYYFRVTNSEHLTYLKQKFKTMCQRTDTEKRFFHHTEDLLDAHPHFLDRGQPSLDDRLEITAAAAPELAASAAAKAIAKWGRPATDITHLILSTNSCGHAPGADLRLASLLGLRPSVVRTMLQLNGCAAGSASLRLAKDIAENNRGARVLVACVELTIVAFRGPEEAYPHTLVGQATFGDGAGAVIVGADAVHHFERPLFEMVSVSQTVIPGTDHVLTMKLTEAGLDGHLLKQELIPIAAENIERCLSNAFGQLGVGVEWNDLFWAVHPGLRAILDHIDGALRLEPGKLAASRTVLREYGNMLGATVIFVLEEQRRRMEEDGEGADWGVMVGFGPGFTIETMVLQATSNLKKKY >cds.KYUSt_chr2.2678 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16003578:16003883:1 gene:KYUSg_chr2.2678 transcript:KYUSt_chr2.2678 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYRGMPRQATATTDERIVGGSATPPPTSTRMRIAGRVASVCRRIRDLTPTSMSLEEEARRGEDSDRMPSSPPRRHRRARGGGAMWGGLHKATVDSATP >cds.KYUSt_chr6.32357 pep primary_assembly:MPB_Lper_Kyuss_1697:6:204199318:204206153:-1 gene:KYUSg_chr6.32357 transcript:KYUSt_chr6.32357 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSALLTGERLVVFLFAARVAHAAPARLAAPLALLAAAALALELAVDRAASGPASPLRRFRTRPGASSGILLGATTLPCVMLARLIQLSRILPTDPSGPEEFAYLEMQYWAVSISCLSVLAFFFWHLCQSTNNGASKTLKYGSLMAALCLMTFLVFLLKSDGGLLRIGKNAYLLFHGVAAVILIKHILEKFPSCSSFGEALLVSTGLVLYFGDMLAHTLSKMEFSASSEALIHTPGTRSEIATVIQGILLGLFVLPLLYKCSLQVWAYCQTLGKQRTQAVEKQAEKRIGSGVFYVSLLVALLMLVPSWTRLVGLQVHPFGWVLNYMFTNSYGRLTLCAYWICVICVSIRRFYNISKKSTTERILLRKYYHLVAVLIFSPAVIFQPAFLDLAFGAAFAVFLILEMIRVWEVYPLGRTVHQFMNAFTDHRDSEILIISHFSLLLGCALPKWMSSGFNDRPLAPFAGILSLGIGDTMASMIGYKYGVLRWSKTGNKTIEGTAAGITSVLAACSILVSLLASSGYILSQVVNRMTLQSLREATCDTALSLQAYIT >cds.KYUSt_chr1.25362 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152142891:152144910:1 gene:KYUSg_chr1.25362 transcript:KYUSt_chr1.25362 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLAAVSGDDTLDERRVVGPAAIGCGGRAHHPASRTKLPNVPPPLTAASPAATGYGGMCDVSLGQRAATLSAPLALPLLRRCALFPCCCAAVRLPVDPLRHPYHGELGREERLAHAQHGEQG >cds.KYUSt_chr4.50584 pep primary_assembly:MPB_Lper_Kyuss_1697:4:313320365:313321357:-1 gene:KYUSg_chr4.50584 transcript:KYUSt_chr4.50584 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTTVTTGRGKTVVPAALMEAGGPSGSQSPTNTSAAAAGSDDHVSEMMGRLRLTAAEAEAVVLDDGGDEIPVHSKWALVGKVLSPTILHISTISSALRPAWGNPRGLLLNQAGDNLFVAEFEKKADLDRVRNGPPWVVGTRAVLLEDFNVDLKLRDMIFDRLRLWVRINNLPFGYMQKKWGTVIASPIGIAGSVPVVDCDNTGRCWGSYMRVRVEVDVDKPLRRGVTVFSQRRNATEWFDLQYEDLPYYCFSCGILGHSSTECKNPGERDDEGKLPYSADKLVAPDERKKKTQGAKSSSGSVSAGHGRSSSPLKERPGQSFSQSGAASG >cds.KYUSt_chr5.36037 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227661298:227663236:1 gene:KYUSg_chr5.36037 transcript:KYUSt_chr5.36037 gene_biotype:protein_coding transcript_biotype:protein_coding MALYIDRSREAVVNSYLPSVNTIQTPEINPFARGLSGGRMSTAAVEEPRQVARKFLARPQHEGVGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAAPGTSRGLQLWVNLASHNKMIEPAYQEIQSKDIASTTSADGGVTVRVIAGQAMEVRSPVSTRTPTMYLDFTVRPHSAAPARQPVPASWNALVYVLEGEASFGGSDQKAVGAHHLALLGQGGDGVEVWNRSDRPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDATVNDFELCINGFEKAKHWKSQAMIALDLQ >cds.KYUSt_chr7.21307 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131962672:131963055:1 gene:KYUSg_chr7.21307 transcript:KYUSt_chr7.21307 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAVLFANAVGHPPSTPTVTRRASTNERPLCLRANAREMLFLASAPTFPSEVRSGGGAPEGCRIWRRRHAHEQCRPRSDEEDGSRIVAGPPPKAPLLVCREVDSPLRCGDQAVSYSSDDKEGSYH >cds.KYUSt_chr2.1995 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12004564:12005907:1 gene:KYUSg_chr2.1995 transcript:KYUSt_chr2.1995 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDWKELPNRYMLREQDRHGGIPAVEEMPEPLPLVDISRLPAADEAAKLRVALENWGLFLVTTELFDHEATKHGIETSLLDALLDGGRDFFHQLPQMKQEFIMQTGGSQDHPIDWSERVRLTIEPEDERDMSRWPDYPHYFRDVMQEYVCKSKKIRDQVVRAIARLLELEEDHFVSMFTDRAITFAVVNYYPPCPAPDGVFGFRPHSDGRVITILLADKAIGGLQVCKDGRWYNVPTKPGCLLIFVGDSLEIMSNGAFKAAFHRVVTNPVIERVSLALFFGVGADTVLEPAPTLLDDARPAKYRKMRAKEYAQGILEHFRRFERMIETMKI >cds.KYUSt_chr3.3031 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17417565:17419383:-1 gene:KYUSg_chr3.3031 transcript:KYUSt_chr3.3031 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRPQDGVQIIFGHQAAAYGTIPGGGGQPGEEEPDFIGDICKCRGEVRRCRDKDAELLLVAYTIRVEGLLKLLPVLTDPTWHNSDGLLLPMTCSWFKSYQRMRRRDSMALQEEVSTVAANQL >cds.KYUSt_chr1.40865 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250705126:250706034:-1 gene:KYUSg_chr1.40865 transcript:KYUSt_chr1.40865 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLRTLSQASFPGEVRSAVSSLLLSPAGGSALDTVFSHLPPPVTIPPLGSSVYYRQCELLRSFAATQQQHHQHAAAATSSYSAASFPFRGTAHDGPAALGKTKSYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAYRLRGEYARLNFPGIMDRLGDDGFPDSLRQLRDAVDAKIQTIRVRMARKRARARRLREENKQGSQSADAKSAPAAAVRPVASESAATSETTTESSYGSPEGAVSVGDVECSLERMPSFDPELIWEMLNFSSTDFPASFCRSALGCAPLPALT >cds.KYUSt_chr6.17981 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113173195:113180348:-1 gene:KYUSg_chr6.17981 transcript:KYUSt_chr6.17981 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMDPYTAELLSAPPCPGDFSGRAAANQMEFDDDFLRSIGALPPRPSADTAPASVEFEYPPTLEPSSLVLLPSCDLLAAALGAHVVKPAVEVPVPSTHQEVRTKRPAPDSEVAAPESSAKRPRISCPWVPDYDADIDFNLREKERNERQRPSPDYLETVQGDRMDERTRSNLVIWMDEFTKEYHLFPGTLHRAVSYVDRVLSLRTLTDTDSELRLLGAAAIFTAAKYEASSAALHLNASDVAEYCGFATGKEVTDMEREMLAALRYELSAPTAFTFVEHFTRHSRGERHNKIRLLAHQLADTSLLDYRCLRFMPSAVAATAMFIARLRLDPEVDNHQYIEDLTGYKTVDLFEGIYSLFITNPNSRFVIMASPINFNQFLEKEKLKSNGSNFTDWFHHVRIFLAGGNLQYVLDAPLGDPPAETETDEVKNVYATRKTRYSQVQCAILCSLEADLQKHFEHHDPHELVNELKAIFETHAAVECYEASKHFFSCMMEEGSSVSEHMLAMIGHAKKLSDLGIVIPNRLGINHVFQSLPPSYKNFVMNYNMQNMNKELPELFAMLKSAEIEIKKEHQVLMVNKNQFQETGQV >cds.KYUSt_chr3.17689 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108465171:108468696:1 gene:KYUSg_chr3.17689 transcript:KYUSt_chr3.17689 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEGVRHRTVEVARGVRLHVAETGPDDGPAVLLVHGFPDLWYGWRHQMAALASRGFRAVAPDLRGYGDSDVPPAKDSYTTFHLVGDLVALIADLGQPQVFVAGHDWGANVAWQLCLLRPDLVRALVNLSVVYHPRRSEGTTLQAIRAFCGEDHYMCRFQDPGIAEAEFALYDHRHKFKTIFGMRKPDPIILSKGRTFFESLDSDGTLPAWLSEEDISYYTDKFEKTGFTGGLNFYRCMDLNWELSAPWTGAPIKVATKFIVGDLDALYNTPGVKDYIHKGGFKANVPNLEDVVILEGVGHFLNQEKPAEVSEHICEFFSKF >cds.KYUSt_chr6.30778 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195123582:195124117:1 gene:KYUSg_chr6.30778 transcript:KYUSt_chr6.30778 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGHSKENLRVAVRVVSALARKCHRAQDPLVDYSSHLVLLTDILGWFDKPEEIDGLVEFLLFIRLKDT >cds.KYUSt_chr4.22390 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140691993:140697401:1 gene:KYUSg_chr4.22390 transcript:KYUSt_chr4.22390 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDLIASCLDSIRQIGDEITDALVYLDAGTLEAFQLVGAFPLLLELGARAVCSLESTSPLDAAAEWNSSFAHPARKIVIITSRLLSDAHRYILRCLGNHGTVSHCTVLTAISEIGHSAYVDSPLGPDAFREYETLLIQDHEELLKKSGKLNKYKDNIPYAERDFTSDGDNKWGSGVHYGPSESSPRKKDFSDDDLGQVEAGGKRLSVIVCHFPMIFSPISSRTFVLPSEGTIAESYLSNHREDSLSPGLPSVSTGKAFDGDEVPPGVTLTAQFLYHLANKMDLKLDIFSLGDTSKVIGKLMMDMSSLYDVGRNKSSAGLLIVDRTIDLLTPCFHGDSFLDRMLSSLPRKERTSSYHVAKNPQTPSKHTQAAVKRSPLDIKVPFKTAFSTEETKSRTSMLSESMMAFVSGWNSAEVDSEVTWLPDYTDKAHDDKVGSICGSFLSNYDGVRYLEALLDRGSKDGLMLIKKWLMEALKLEKLSFPSKGRQAASVSELHSMVQMLCQHQLSLVRNRGVIQLALAAEMALQEPQSTRWDAFTSAERILSVTSAETTQSLASEIRDFINTSTSVESHKQGNTMGSSEGLLTFQDILLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDVVVDSILERPSSVKFRFLDGLEKELEAIARSKDADRNKDSSEPTSTTTDDFDDQWDNWDDDDNTDNQKEEAYGNMQLKLEVRDRVDQLFKFFHILSSMRLRNQVLGEGLAALSRFETDSYSRKGLLYKLILAVLTRYDIPGLEYHSSAVGRLFKSGLGRFGLGQVREVMKAISESSRPDVELILGGTTLLSPDDMFELMLGSSSFT >cds.KYUSt_chr3.7269 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42052762:42055814:-1 gene:KYUSg_chr3.7269 transcript:KYUSt_chr3.7269 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQIPIDHSHDWSIENLQSLLANFGVRLRTVTKPDCFLARHHQNHLQVPEMDRIQPRDGQHNEPTPLDQKLFEHMGGDAPLRLGPPGFGGATGITGRASTGRLHHPAMQSSSSIAAAPPVHGSSDTAMFANGGYPAPRAVHGDGVSIYAHPSSAASSSSRAIPHNAVIASYPPATSTSMRINQPSPVGTAASSRQARHVSMAHANSGRNRMARSSLYGHPLMLEAQSSRQAVADPHRDLRLNIDEMSYEELLALEETIGNVNTGLADEKISGCVKEVVCCSSDQAEDDEDEEDGRCLVCLPCVESFPPSSSNVWPSNHVQEGYIDNDLLGVLKCGHDFHSGCIKKWLQVKNACPVCKQAAA >cds.KYUSt_chr6.10253 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63119644:63124139:1 gene:KYUSg_chr6.10253 transcript:KYUSt_chr6.10253 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRPWLQRLEIGEEKALTGTVGFHKRHVFLCCKGPGEWTSDAEAPEFDRLPDLLAVAIKARKPDLKEQVRPWLQRPEIGEEKALTGIVGLHKRHVFLCCNGPGEWMFDAEAPEPDRLPDLLATTIKARKPDLKERGDAPGSERRSGADVLPRAQHMATKLVAVIFGQEGDPSSTSSSEALWFIGWSSTPLEGQVKIFSELDETKAQGLIFHGAFQKTEGDTKWGHEVATPQGVAAKEGPAPPYGVGPSRAPDSALPLT >cds.KYUSt_chr1.29955 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181360732:181362267:1 gene:KYUSg_chr1.29955 transcript:KYUSt_chr1.29955 gene_biotype:protein_coding transcript_biotype:protein_coding MEITHIAVLFFLFTTVILYARRRRASPSAYCPHPHPVLGNTVEFIRNRGRFFDWYADMLRAAPSNTIEAWGPFGASHAVTTADPAGVDHLLRASFANYNRGAQFRAAQSDLIGDGIFGADGRLWSLQRKLASYAFSSRSLRRFTQDVLAVHLGRRLLPFLDAAALSGEAVDLQETLRRFAFDNICHVAFGVESSTFLEWADPQHQALFKAFDTAVEISFMRTLTPSTPVRKLTKLLNVGKSRRLREAIGVIDDHAMSIIEAKEASQRNNNQAEGDPDLLSRFMAAMDEEDGGGELAAMFPTPEAKRRLLRDVVVSFVLAGKDSTTSALTWFFWLLAVNPRCERRVHDEVSRSPDGDVKCMRYLHAALTEAMRLYPPVPFNGRVAVADDVLPDGTKVRAGWFANYSAYAMGRMEKLWGENFLEFLPERWLGDDGEFVAVDSAQYPVFHAGPRACPGKEMAYLQMKTVAAAVLRRFTLKVQAPTASMESPPAYEMTGGMKILGGLHVQLKIRD >cds.KYUSt_chr5.11700 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75905399:75908150:1 gene:KYUSg_chr5.11700 transcript:KYUSt_chr5.11700 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEEEKEDIKQGMLGSNGYVKPSVGYDGHGDAEEAYPADGEENEGIVIFVHDEVVAGHVIEEEHVAENMGAGGVELAAVIVQLEDGNSSSYAGYQASTRCLATVNVLATKAFGASCCDASGLGSFDSYLVVTQEFIVS >cds.KYUSt_chr2.16289 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102489988:102493633:-1 gene:KYUSg_chr2.16289 transcript:KYUSt_chr2.16289 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSKLARAAFAIRASPSAVGGTAGAGRPAAAIRFYSAGGPMSSSEEEEEKAKRKSEISWASDPSLLEARLADPSCIPFDEGGPPDERLYVDEKVLQSDEAMWAFYEQWCKIYGISRTRREMERRFKEFSEGAKAVHRASAVGERKWMNGFADMTKKDEEEEDRGGNGSEGQEEDGGGAASDAEDEEEGHEEEDSDTKFARLETQEAADDMAAAMKKSRARARALAARRRPFTDDDDEDVISSSFNSSTGESSSSSDEEVTSKRRKSFDDEA >cds.KYUSt_chr2.16356 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102872822:102873948:1 gene:KYUSg_chr2.16356 transcript:KYUSt_chr2.16356 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRYLPFAASAVAGRSFVAARQLTRRNMHLCCSADNSSPSPEKEDQPGRAEEALRRLAELDAQLEGLKEPTMRPLPPPPPPVSSPSNSATADGYNITNPEIASHSVWLGTDPFLERDLMIQRGGPSEELPEMTPEYVAFTTAAIFIFTIFTNVMFNLYIKPSVDGVEQAVRTQRVPLADPRFEQPGDSSS >cds.KYUSt_chr5.26554 pep primary_assembly:MPB_Lper_Kyuss_1697:5:168160327:168163015:1 gene:KYUSg_chr5.26554 transcript:KYUSt_chr5.26554 gene_biotype:protein_coding transcript_biotype:protein_coding MPARCSTLGSTVDVGNNQAAVVFPTTSTVHPHATTSISARENTLQGVVGSSVNKSAGCSTNVLSYLQDHTLGMDTDMYAEVLTHVGGFSLFQEFEQKSAYEVFTDCPLKDVLWYEELANCVVTRIGSSSLFLEQSMDTQYELDGWLEKPTRLKHGIDGVRVVFPAVLSEKCQQELLLREMQYATHAIAWGQAMFLGGGIVTPGAIGEMGLDVKDHGPGGHGLAASVGTTREAAAFGDGIEEIVPSRVGPVPLSPVSYLSPVAALHKQQSPLVAALHKRHYLPPHAPAISGSNVVLQLCHGEGKRLGNDMEAREDDVPLGLRLAHQLCIRLPDSAGPAPPPSEHTRTPP >cds.KYUSt_chr4.45963 pep primary_assembly:MPB_Lper_Kyuss_1697:4:284261151:284263173:-1 gene:KYUSg_chr4.45963 transcript:KYUSt_chr4.45963 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGRRRKQQATDPELEEAMGNKENSASAAPPPKKPRTDRKPLAELPTTATNASASAASAPIQASKRTTRAAAREAAAAAAEQLRRPRDDYIGTIQNDISANMRGILVDWLVDVADEFKLLADTLYLAVSYIDRFLTVSVVSRNKLQLLGVASLLVAAKYEEIYLPKVNEFSEITDGTYTKQQVVKMEADILKFLNFQLFLRSGDGKLQNHFTSSTKEKPGPLHNLLEEITGAPITKPTRRSPSKSTSSRSLTQTNRGRELNTMQ >cds.KYUSt_chr6.14502 pep primary_assembly:MPB_Lper_Kyuss_1697:6:90977520:90980712:-1 gene:KYUSg_chr6.14502 transcript:KYUSt_chr6.14502 gene_biotype:protein_coding transcript_biotype:protein_coding MQELSEASPSPSLTRFHVGARIPGVAPHYISPPSTFNVLLDSYWFRRGEIPDSRPASSGRKSALETAMRRCRDKHSDVIIEPELGMEFDSLPEAFDFYNIYSWEIGFGIRYGSSRINPAKSKVRQDITCGCEGKPRHLNSRSVCCGCRAMIRLHRTDDYGWYIHEFRRDHNHGLAVNSGQKMQFSSHRFIDPHTKDLVRNLRDNNVGLTKTFSVIGSFFGSMENIPFNKRSLRTLCASISRDHSEDDIRKTYEVLSEMKMKDPNF >cds.KYUSt_chr1.34240 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208346138:208346449:-1 gene:KYUSg_chr1.34240 transcript:KYUSt_chr1.34240 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr1.42734 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261397757:261401795:-1 gene:KYUSg_chr1.42734 transcript:KYUSt_chr1.42734 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEEEEAEDDDDFEWSDDDGSHPDEAADQQRVLVESLESEKKLQDDARAHEFLGDMGQPSLISEYDLGGEGDLFKAPEPIIDEPPLLGLDDPVAAAISMMSNNAMDSMDDTIKASSMGLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEDVVTAGDDVRASAASECSLQKSVSSGCLNSAEWVNGSVRPNFLDFQGLDFEAAFGLRRAYSEGDIQNLGANTPRPGNSGNVERLVTISDLKTEERKQKLSRYRKKKIKRNFGRKIKYACRKALADSQPRVRGRFAKMDDGDMLKPRK >cds.KYUSt_chr2.15949 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100416106:100420274:-1 gene:KYUSg_chr2.15949 transcript:KYUSt_chr2.15949 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAKANAADCGEYAEVDPTGRYGRYSDVLGKGASKTVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDISGRNINFITEMFTSGTLRQYRQKHRKVNLWAVKHWCRQILSGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKRVISGTKPEALYKVNDPMVRQFVEKCLTTASLRLSARELLNDPFLRDEPLCSGDGDYSQLNSYLRQPYLGHAYSNGSMISNGLSESIDEDTPTEDRWEDDDSKADGIDLFNGHEDEALGTVDITIKGRKSEDGGIFLRLRITDDDGRVRNIYFPFDVENDTALSVATEMVGELDITDHEVTRIADMIDGEVSALVPDWMAGPGIEEAPDITYCHNCGSNVSSCGSLFDYMSSDTRGCRCAELHGRFEEITFQAADEDQSGLQDSGGSSDDVAGQKEQHVKDKEAIHMNGFPKMGRRGLSDRLCFSSFQEQSCSANNYESDNDNQAKGFDIKHEVKMAKYKARKMAQLKRAIHPSLDLDNLNGAARRKPALSKLQSFHVARWQEMHYGKGYVKLSRNVDKEVPFLGKNAERCKQEATLYHA >cds.KYUSt_chr2.41844 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260452998:260454038:1 gene:KYUSg_chr2.41844 transcript:KYUSt_chr2.41844 gene_biotype:protein_coding transcript_biotype:protein_coding MMWVDAIDPALRRPGRFDSEIEVSVPPVEERVQILELHTKDLHLHETVDLQTIAGYCNGYVGADLQALCREAARLAYRRLSNSSEDKEMVTLLMEDWESARSEAKASMIRGVTKEAPTVSWDDIGGLKDLKVRYVLYFCLFYNKAAVMVIVSMLQKKLQ >cds.KYUSt_chr1.3899 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23815356:23823524:-1 gene:KYUSg_chr1.3899 transcript:KYUSt_chr1.3899 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSRITDRLTTVQCLAVYVKDPPSTYVVLDPGSLWASMDPASSEGRLGSGLLILGWTSSFMINSNWAARWATCHITVYGPPGLPDLGTVDGTPMKPDWQFLESAMHKMGFLIGGYKGLWHVLLRYVEEHLEYPVSCLRMTPCYSFEPLLMKHKQVIDVYANGIGQLINHSKCSIIFSGSCSQEAQEEVCNILHVVNPDFEEKYLGLPTPDGRMHKGRFINLQSRLCQHLMAWGDSLMSQNSREILIKAIAQAVRAYVMGVFKLPFSLCDELIKLICDYCWGVERGKRKTHLVKWNTMTHSKAQGGMGFRDMRLFNQALLARQAQRLITFLKSLCARVLKACYYPRGNITATVFTGNPSSSWTTISYGLELLKKVVPAISEMATKVDLRGAKDAKGNTALHFAAFKGYLQSCRFLVEESGIDVNSLSKTGATPMSYAALEGNVQVMRYLLDRGGDPAMPDERGSTALHHAALRGHCEAVRLLLSKGVPVDPVDHRGAPLHMAASKDHVEVVKVLLEHAADAGADVNASSYSAQTPLTQAVEDGLTDIVNLLLEAGADPNIPNQAIEVILIKLNDAFSVEERIAFYKSQGKEAFAKEDYLTAISSYDQVLDINPLDASMIANQSLCWLRMRHGGRALEKARKCRMMRPGWSKAWYREGAALSFMKDYEDAADAFREALQLDPKSEEIREALRMSCARKLNQFQAVCSIMGLHFDSLRQRDLMFLAS >cds.KYUSt_chr5.11198 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72557246:72560997:-1 gene:KYUSg_chr5.11198 transcript:KYUSt_chr5.11198 gene_biotype:protein_coding transcript_biotype:protein_coding MVISCHVQILARKAAQIGLNLIHWFKKASHVLMGCLCSKGTKDHADATSEKTEASRKDDSKTASGTNDGSKVMPDVEEKVVVGFDARISSSNIADLKGLSGEHVVAGWPAWLTNVAPKAVEGWLPRRADSFEKLDKIGQGTYSIVYKARDLETGKIVALKKVRFINMDPESVRFMAREIHILRRLDHPNIIKLEGIVTSRVSQTLYLVFEYMEHDLSGLIATPGLKLTEPQIKCFLQQLLHGLVHCHKNGVLHRDIKGSNLLIDSNGVLKIADFGLAISYDPDNPQPLTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCIVAELFSGKPIMPGRTEVEQIHKIFKLCGSPMDDYCKKSKVPETAMFKPQQQYRRCVAETFKVFPPSAVVLIDSLLSLEPEVRGTASSALQSDFLTTEPFACDPSNLPKLPASKEYDVRLRQEEAKRQRKTALGGRGTESFKPGDENHVTSRAVNCAAESKENAHTSSKSNSMKFNPEDSVPGFRVEPRPLPTTVQVPEFGSTRNMGDYNDGTTVPGRACSSVHVANTSTSREKASSHSHIPQFGATDLTSAAEVTNQNQPPDMPTSSHKNPPENHGRKFRRIHHSGPLVPPGGNIEDMLKEHERHIQEAVRKARLRQGAGSQVEKMEILGGSGPNSKPNQQIMELHHDDRVIVLKSPGWLDPTSTISSAC >cds.KYUSt_chr3.40355 pep primary_assembly:MPB_Lper_Kyuss_1697:3:254175074:254175442:1 gene:KYUSg_chr3.40355 transcript:KYUSt_chr3.40355 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDRVKPDEFTMSSVLSTYAQLGSLEQGKFINQKHIQKNAFVLKGLVDIYSKCGDLAYARQIFDNMQRRNTECWNSMITALARHGQSDEAISCFLRWSARSRSLTGSHSSACLDLVRMEGL >cds.KYUSt_chr2.12238 pep primary_assembly:MPB_Lper_Kyuss_1697:2:77494736:77505510:1 gene:KYUSg_chr2.12238 transcript:KYUSt_chr2.12238 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPLPEEDDQTYEEPVDIDFGQDEDHIESAVEIMRREREERRRKLKRDQPDDGPRPRPQQIRNDNMAQRQIGGYKRVKETPQGWLDCPATGQPIDKIIPSKVPLDETFNESVPPGKRYSSKQVVNKQRKAGRDIGLVIDLTNTSRYYSPSEWTKQGTKHVKIACRGRDAVPENEAVNTFVYEVLAFHERQKPSRNPKYVLVHCTHGHNRTGFMIVHYLMRTQLSSVTEALNVFAQRRPPGIYKADYIQALYSFYHEIPESIPCPPTPEWKRPSDLDLNGEAKQDDDDDNGEPAPSPEPADDKTITNDDVLGDAVPFDQQDILRGICFRLLDFVPNGRANSQFPGSHPVSLNSENLQLLRQRYYYATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPIRNLTDGFHNFTLIDGEMVVDTIPGGGLKRRYLAYDLMAINFSSKVKLPFSDRWKLLEDEIIRPRMYERKQFETGLKGNPSYRYDLELFSVRRKDFWLLSTVKKLLKEFIPALSHESDGLIFQGWDDPYVNRTHEGLLKWKYPEMNSVDFLFETGSENRQLIFLYERGKKKLMDGTRVVFSDDVDPSSISGKIVECSWNKQEDCWFCMRIRADKSTPNDINTYRKVMRSITDNITEDKLLGEMNEISSLPMYADRKAHADRKAHAEKMAQQHRRR >cds.KYUSt_chr5.37906 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239403998:239405125:1 gene:KYUSg_chr5.37906 transcript:KYUSt_chr5.37906 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPGTLPTSIKREPDTDADAGEGKDAGGKPLGTPPPKKRRRHCMSATPSQPLFTPQTTLPDNSRADKSPHAVAATIFFLDREPFLIRETFLDREPFLIRETFLDREPFLIRETWAPVSTSHPAMEPSLRLLDLGVLTPVPASTAVAWRRYGLHGRAAPPCRCHAAPPRPLRHHAGPARSPRSPHIRRPLAASASAVLAAD >cds.KYUSt_chr5.19196 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124295768:124302410:1 gene:KYUSg_chr5.19196 transcript:KYUSt_chr5.19196 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGGNCRLGSVLFFSAWITLAALNRLLRPAPNGCQMTYMYPTYIPISTPKNVSSDRYGLFLYHEGWKKIDFDEHVRKLDGVPVLFIPGNGGSYKQVRSLAAESFRAYQNGPPEPSFYREASSTSELEDFSLPSRYGCMLDWFAVDLEGEHSAMDGRILEEHTEHVVYAVHRILDQYKESHVARSNDGVRSAGNLPSSVILVGHSMGGFVARAALVHPGLRKAAVETILTLSSPHQYPPVALQPSLGQFFSHVNELWRDGYMTGESRTSSPKLSNVVVVSVSGGIHDYQVRSRLASLDGIVPSTHGFMVGSSSVKNVWLSMEHQSILWCNQLAVQVAHTLLSMIDPVDRQPFFSSQKRVFVFAKMLQSAVPQSLSWMNRVSGSQSSNLLSSDTRDAGELQRNDSRFCPPSVQWTSDGLEKDLHIPSNLVTVLAMDGRRRWLDIKKLGSNGKGHFVFVTNLAPCSGVRIHLWPEKHHSSIQNEIPASKKIVEVTLKMVQIPAGPAPKQVEPGSQTEQPPPSAFLLLSPEDMSGFHFMTISVASRPFAAVTSKKYSCKMPIMYYILPSRQGVDRTRCGWIKGEVAKVVVEWDGVAVEEVDPRFIAKSSEFENYRS >cds.KYUSt_chr7.14908 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92242798:92250551:-1 gene:KYUSg_chr7.14908 transcript:KYUSt_chr7.14908 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQDKGKGIQIESAHEGDDAKDRSDSDYENVHEADSGDSSANDDEAIFYRKYVEELKESVRRQILGEDMAKVKEEFIVPENIKEQEEGSECFDTDDDLSFDEDSDGEVRTRKTKHRVYDESAQMRGQEFLRNAVGSVVHGAYMYHLYPAGHSGSKSVEKYFREIKDNPGWRIHKMQEVVLEDLLADVSESKCKRAKKIMDMLIDNTTGEYDRVFEYHMELVRSNPGSTVAVTLNPDVTDKPVFERMYVCLEGCKRGFLVGCRRVVGLDGYFLKGPFTGQILSAVGRDPNNQMYPIAWATIEGENYDSWSHHLVHHGTNLKRDMSYIMRSLQDQGRKQLHNLFLLQNMFLRHTKLLPNIRFRRIDNLLLRHTCLLPVVTVKKAQVYNIPIESTTSPALFIPKMAMGNPASPTADGLAAVAAEIVCCAPPLARVADAVAALSCEAARWDSAAAFDAPASGYSTKDQADVAADLKMLLRGPSRLAGSREAGGASANSFADVPSVNGNFRQAVRALDAAQVRVVDLDAGQGKEDLLMLLATQFATSAHFMCKMSVDRARFCAGTIADARLREELVAAGGADVGDLKGTLAEMITDSDAVSVLGRVHEQLLKLRGCLAWEAAVAIAVIETAHSTIQKPRASVDGNSSVLMLLRDHVTDGKDVPGVNSAALVEDWRTKLSSLFDPRRPEFETLVEKLKGILEDKKEKRRLPKIPKGTRDFAGRQMAIRERAFSIVTGVFKMHGGLALDTPVFELRETLMSKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYLAMNNHITTMRRYQIAKVYRRDKPSKGRYREFYQCDFDIAGVYEPMQADFEVIKVLTELLDKLDIGMYEIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKLTFEEVKKELVEEKGVSDETAEKIGNLVKTRGPPLQVLMELGKEGSKFIENDGSVVALNELEILFKALEKANAIDVISFDLSLARGLDYYTGVIYEAVFKGTTQVGSIAAGGRYDNLVGDIVAGKQVPAVGVSLGMERVFAIMEEQEKEKNQETRTTETEVLLSILGKDLTLAAELASELWRAEIKAEIKLSTRVQDHIRYATQSGIPWMVIVGQSEIRDGKVKLKNISARQEEEVPRKDFAHVLKQRLTNP >cds.KYUSt_chr7.40592 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251997383:251999438:-1 gene:KYUSg_chr7.40592 transcript:KYUSt_chr7.40592 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAAAVSLRSATTASTPSSTPHSHCSFPSAARTTARRGLVIRAQAVSTDAAPVKAKKESKKQDEGVVTNKFRPKEPYVGKCLLNTKITADDAPGETWHMVFGHEGEVPYKEGQSIGIVADGEDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEVVKGVCSNFLCDLKPGADVNITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKYEDYEFKGLAWLFLGVPTSSSLLYKEEFGKMKAKAPENFRVDYAISREETNALGEKMYIQTRMAEYKDELWELLKKDNTYVYMCGLKGMEKGIDEIMIPLAAKEGIDWLDYRKQLKKSEQWNVEVY >cds.KYUSt_chr2.10136 pep primary_assembly:MPB_Lper_Kyuss_1697:2:64175402:64178889:-1 gene:KYUSg_chr2.10136 transcript:KYUSt_chr2.10136 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPVLKNKPIDQWKVTELKDELKKRKLPVKGLKEELVRRLFESIQSEEASDESAEDVGADEAVDEGPKDVEANAPADKDVKMDEPVDQAPEEHTASQKTTVHQEPVVDETQEITLPPPAPEVTQEVMASSVEDSSKDNLVAPHDQKGDDPESVAGENSAMQEEHPDTVLAAEKTPEVATNETIVAADMTTADLKSGSSEVNSDATEASKAVPAPGDAQIPDADPMDTDVAAASVNNDVDLGNNNTTASNEQCKDFELMNEDSKPIVSESNNQVPEISPDLGSPLKCESISRDDISHNKKNIKDNLNANNFDLELEVKPEMVKPSSGITLGGDLQPLDDDKELVKNQLSLEDIDSTANVDEVGSPEKLNLDRSSGDESMEEDVVEIKQVESNVKSDDLRGKTELNSSEHVKEVTLTDSVVDGSSVDTKEVIAEEKPSASTEKRKLQAEEPVANAEPIKRQRRWAADSGKVPERQPLSQSASDTPKDIFQPALRRSFGRSDSTASADSPKERIVPPSQKPATTSLRIDRFVRPFTLRAVQELLGKTGSVCSFWMDHIKTHCYVTFSSVEEATATRDAVYNLQWPLNNGNHLLAEFVDPQEVKLKLEPPPPAAAATTVAAPVVPVSPATTPREPPSQQAQANQNAPRQAATPREQMPPPPPLMKPPTPNPGSARDKLPPTPKKPEPPVVTLDDLFRKTQSSPRIYYLPLSEEEVSAKLAAQGKAK >cds.KYUSt_chr5.13668 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88811523:88811939:1 gene:KYUSg_chr5.13668 transcript:KYUSt_chr5.13668 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDRKEIKVMNVVDGEILKTLPPPISKGHPDADALPAGDMGQLYRLFPAAAGKAPSTAPEDQSAVVRVKLVISKQELRRMLGKEDQAVSVDDMVALMRRRSEEQQRQEEISSCRGWRPALHSIPEGSGDLFY >cds.KYUSt_chr2.7954 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49825327:49838130:1 gene:KYUSg_chr2.7954 transcript:KYUSt_chr2.7954 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRVATCNLNQWAMDFDTNLRNVKESIVRAKAAGAVVRIGPELELTGYGCEDHFLEQDTTAHAWDCLKDILLGDYTDNILCSIGMPIIFNSVRYNCQVFCLNRNIIMIRPKMSLANDGNYREFRWFSAWSYKDKLVDYQLPVDVSEAISQETVPFGYGYIQFLDVSLAAETCEELFTVNAPRIDLAFSGVEVFMNASGSHHQLRKLNLRIDSIRNATRLCGGVYMYANQQGCDGGRLYYDGCCCIAVNGDVVAQGSQFSLKDVEVLDALIDLDAVSSYRACVSSFREQASHVTKIPCVNVQYKLCQTFHNGMIPTDPIEIMYHCPEEEIAFGPSCWLWDYLRRSRASGFLLPLSGGADSSSVAAIVGCMCQLVIKDIEKGDEQVKVDAMRIGQYKDGEFPTDSRELAKRLFYTVYMGTENSSEDTRSRAKRLAEEIGSFHFDVPIDSVVSAFLSLFERFTGKRPLYKVDGGSHTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAVHLQYSSLAEVEAAPPTAELEPIRTDYSQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHRWYGRLSPSEVADKVKHFYKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNTAWPYQFRKIDQLVQDIDKDGKWEDSTDMQLRERRAVRSAQGSGMGVVAAGSANPSAGL >cds.KYUSt_chr7.24116 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150273023:150273904:-1 gene:KYUSg_chr7.24116 transcript:KYUSt_chr7.24116 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKLHALLLSSPRSHRSIEAAAHQQLALFRPIAMAAATMALSSPAMKLAGASSVLGGGRITMRKATAKAASSNSPWYGPDRVLYLGPLSGEPPSYLSGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >cds.KYUSt_chr1.39594 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242290374:242293151:1 gene:KYUSg_chr1.39594 transcript:KYUSt_chr1.39594 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDVGMVSSRRNSTGAFHRDGPAKDWTQFADPSPSPKLLYSQSYVVMRGLLASMASLDFALWSSTLKSACRSSERSKSKGMCCKRVAFQLFVFFMVGIFIGFTPFFSVDVSQKIVSRLPFDEGVDDKVKELDAIVVQKEVEVIDEPEAESPPVPAMLDDEVDFFEASSSAKPAVNDLVIPVRKLLIVVTITSVRPQQAYYLNRLAHVLKGVPPPLLWLVVEWPGATVETADILRSSGVMYRHIMCRKNITNARKIAVCQRNNAIYHIKKHHLDGIVHFADEGRSYMGDVFEEMRKIRRFGTWPVANHDGSKYRVVLEGPTCKGNRITGWNTIQKKGTPRRFPIGFSGFAFNSTMLWDPQRWNRPALDSVIVHSGGRGGLQESRFIEKLVKHERQIEGLPDNCNRVMVWNFNLEPPQLNYPAGWSLWNNLEVNVPVT >cds.KYUSt_chr7.40506 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251490671:251495048:1 gene:KYUSg_chr7.40506 transcript:KYUSt_chr7.40506 gene_biotype:protein_coding transcript_biotype:protein_coding MGADDQPSPARKREREEEPAEGDAAEKRPRADESEGASLLGLANYTDEEEEGGAGNTGHANGAPLAEEVEDGANEEEGGEEDEDEDERRAPERRPRQIELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYYQGRGLKSHAYTHSLEAGHHVFINLQTEKVYCLPDGYEINDPSLEDIRHVLNPRFAREQVLNLDKNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRITPLRNFFLIPENYQHSKSPLVHRFGELTRKVWHARNFKGQVSPHEFLQAVMKASEKRFQIGVQSDPVEFMSWLLNTLHAKLRSSKKKNRSIIYDCFQGELEVVKEMHRKHIVGDEQNGDAVSQVETSDGMVTETSRVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGEAVTEVVRPSIARMRYRVIRLPKYMILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKGNEKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >cds.KYUSt_chr1.23743 pep primary_assembly:MPB_Lper_Kyuss_1697:1:141483708:141484678:1 gene:KYUSg_chr1.23743 transcript:KYUSt_chr1.23743 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALVVFSPRGRLYEFSSAARQYSYRRFDMLSLPRQFRMACVLHSIATVEADFWLH >cds.KYUSt_chr7.5057 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30182010:30185574:1 gene:KYUSg_chr7.5057 transcript:KYUSt_chr7.5057 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATIRKTFPEMPPPPIPSRGIREIASGTLPERGIISRRTLRRHGSTLAPGIPGVAPHYIPPASTFNVLMDSYWSFSPPRDEGTTAETLTDDLLLEIISRVPARHRWRCKCVCKNWLGLARKLPQSLAGFFCTRTSKKRFQESAIQFFKVSGTRGSPSLDFLPQLPIHLLDCCNGLLLCRCYGAPAKADVGFQYVVCNPATEEWIALPDDPGHTHADDDVGAMVRLGFNPAVSSHFHVFVLLTDDSIISGVDVYSSETGRWVHKEKGWNGDVALANPHKATVFLNGCLHFQTFTGLGWDLVPCVAAVDTDGETWANFAAPNPSDIDDGFIQHSQGRLHYASFTDEIKDDRLVVYVLEDYGSKEWVLKHTVKISDMFGSERCVNDYNVAFDWIAIHPECNVIFFTVGFDTPFMCYNMDSERTRMLCTLENVYSSYLPYVPMYSELQSLHM >cds.KYUSt_chr6.3043 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17834052:17834746:-1 gene:KYUSg_chr6.3043 transcript:KYUSt_chr6.3043 gene_biotype:protein_coding transcript_biotype:protein_coding MVGACAVAALSPATASISSPPPPLPRSFRLSCRQDSRAARRGVGLARFSRDANDAKAEPGSKERTPQDDDAGYLWTLGLGSVGGAAAVKYGSVLLPDITRPNIVQALLMVSLPMVAAVLILLNLSSSQDSS >cds.KYUSt_chr3.27690 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172977547:172986424:-1 gene:KYUSg_chr3.27690 transcript:KYUSt_chr3.27690 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCNRGRGFWVMDAASPSLHDHRVVKPNLLAPWGHPLMSIARMEANVGYVDDRLFVLVAEKEMNMNMQMEVPAVTLSDHAGSSKTGTHNEGGSSIALSSEAAYTEITLPESSGQHTPPRGQNIGNEANPEVVSTPHAPTASMRFDTLEDAQRHYLAFARRRGFGIRYNYRKKSEVTGELIRAAMVCHKSGHQAKTKEDTQKPNPVVPERMKNSNVRTDCPARMALKVRNGSWLVTEFCDEHNHPLLLKWSLTGFLRSHKDIPQEDQDFIRILHSVNMETSRMMQVMATLYESVEGVPYTPKELANFRSTLRAKNKYTDMQDTMAYFEATKLRDKDFYYRYKLDDEDRVQYLFWVDSAARKAYKSFNDCVSFDATYMTNKYKMPFAPFIGINNHGQSIQLGCGFLKNELSESYIWLFESFLIAMDGVAPTNMITDQDGSMRAAMEKVFPNTTHRNCRWHIVDKATEEVGPFVAKIPGLREEMNDCINCSLTPEEFETRWTLMINKFNIQGHEKIAALYKKRSNWVPAYFMHKFYPFLQTTQRSEGFNAVLKKYITSTNSVIEFVQQYEDIQAKIMKAENKEESDSSLLTAKKWCWHPIEQQVEKLYTKNIYHRFQFEMQSSMSYNIKPIGENRYEVYCITKFVPQYHNRAYEVYADPPNENYRCTCCKFERDGIVCCHILKVKKHNR >cds.KYUSt_chr5.30065 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190651908:190654622:-1 gene:KYUSg_chr5.30065 transcript:KYUSt_chr5.30065 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAISLYTSPPGSVYSSDFDPSSSRGSPPCSTAPPSTTSHRASAVAGGLSCLFSSPAAAASPPRAPPHDDLWHDGSDDLSFGGGYSHSPSPLKRRDLHHSPVSVFQGPSSSPASRGASASWLTGRERDRLFSGFVRNALGSCIDYVPATSPRPEVGGGELAFELDENLAEASPACEPYARELLAGAQARHRIFHEELVVKAFFEAEKAHHGQTRASGDPFLQHCVETAVLLAKIGASATVVSAGLLHDTIDDSFVDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRTAEADRLHTMLLAMADARAVLIKLADRVHNMNTLEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENLCFKHLNPEEHKELSSKLTETFDEALITSAVDTLDEGLKDAGVSYQSLSGRNKNLYSVYSKMLKKNLTMDEVHDIHGLRLVVEKEEDCYRALDVVHKLWPQVDGRFKDYISRPKLNGYRSLHTVVMSEGDHPFEVQIRTKEMHLQAEYGFAAHWRYKEGSCRHSFVLQMVEWARWVLTWQCEALNKEQSSSRVKSETIRPPCPFPLHSEECPYSYTRQCNHDGPLFVILLEHDKMSVQEFPAGSTVMDLMDRFGANCQRWSPYRVPMKEDLRPKVNHEPISDLGMPLSMGDVVELTPALPNKSLTKYREEIQRMYDCGGFALAATRSGSGGSRR >cds.KYUSt_chr1.18550 pep primary_assembly:MPB_Lper_Kyuss_1697:1:108631773:108633633:-1 gene:KYUSg_chr1.18550 transcript:KYUSt_chr1.18550 gene_biotype:protein_coding transcript_biotype:protein_coding MFYPTLWSLNKAPKDLEASLVGGNNYKEDLGFEKNSTRVQIFYKKEPRKKNKKAIRSFFSTASTGSWAMVSGGDAGDCATWFVTRMDYHARIKDLPLQHIGLEEVEIPRRKNLRGSEPESDVNATEEQYSSAEGQGKP >cds.KYUSt_chr2.51773 pep primary_assembly:MPB_Lper_Kyuss_1697:2:323375989:323377762:1 gene:KYUSg_chr2.51773 transcript:KYUSt_chr2.51773 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRFRKENAGVKVSALPKAAAAAAPRPTIDVVPAHADAASEMLPPSSTSTRSRPSAAQAAEEDSVVHTPLLICARVVESASASAISILVPVCCGSAPRGDLLGDWHLVTASTPTGAHPPDRLLCDPAVFVEGLGSLSLPPVASGSHAEEQVAPSLLWVSSLSSDEDDDVEELAPQTPLASNKGVVFGSVCGNVDVGHEKASVEPCGGLSAPALGEEEGWVQVGRGGRPSRVPSSLLPKEALERCLAFKRWARGRCFRCLERGHQVSTCHEPFRCIRCRRPGHRERFCRARFSAPRSRSPDTHARSPDTCAPCQRSRSPSAQPCRSSPPRSWVEVVRHSSSPTTSPPRLSPRCCEEFNVNASLDSRFQCQLALLHMELTQLVAKRVEDVTRPLREEVASLKLVLAHVGDSLEPTEACSSGGHELATVQVSLPLGSIEEKSPVVEEEYLYSCFSPCGSPCQSPQPVVSAASESEGIDETLALVLQITPKRYELRGDSPAVLPLVLCSFQTLEVAMTPPPPQSEPCQSLASLDCGAVLAPSSEAVAAKTHRRAATGNTVEPGTT >cds.KYUSt_chr7.37448 pep primary_assembly:MPB_Lper_Kyuss_1697:7:233695160:233699266:1 gene:KYUSg_chr7.37448 transcript:KYUSt_chr7.37448 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLSILADGEEQARRLLGELPATAGNQLPPAKECCRDVARQLRCTFGKAIAVAKAIEASHGGASSTDSPRSAEENSGAVVAASRDAAQAQESQGICKRRKGLPRWTQKFRIPDANLDYTPDDGLSWRKYGQKDILGARFPRGYYRCTYRNSQGCPATKHVQRSDADLAVFDVTYQGEHTCHQNQRNADAAPPPPAVAAASDGGHHPPLQDPDVQMLASFNNSLKVETDGMPRSSSSFHGHDVSAAAFSFPYPTSAGFTPVECTGYKQLPAGGGCFSAAPFFSPAATTSAESGYFSVAAHFPAVGTRGPESSELGEVVSAATSSAAVAARLDNSLYEYQLHDDDFNSFLPNARSPFFP >cds.KYUSt_chr5.24523 pep primary_assembly:MPB_Lper_Kyuss_1697:5:159079542:159079835:1 gene:KYUSg_chr5.24523 transcript:KYUSt_chr5.24523 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPEEAPGHWGGAALAGDGASPLGQHRARRQRRSPEEALGHWGGVALAGRGAGAVLRSPGNKLVRGRSAGEEQGGVLVWNGVEVNEKRKKELCGQY >cds.KYUSt_chr5.19233 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124662360:124668448:1 gene:KYUSg_chr5.19233 transcript:KYUSt_chr5.19233 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYAPAPGHPVNAVKWNHTNLIVASAGDDKKISLWHKKGQNVGHLPTSTVDRGDDIEESIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVLYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHILATAGDDGSVHLWDTTARIPKVSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLYTFDSGSRRVTHTIPHDAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVTSLCWQRSKPVIVKENSSSEVALLGGTSEDSILMPDPLPSTTPSSFPSGVGIPSLRSSLTGNTSGFLSTSNSSTAEETPYRARPLSGGPLSKLQAPRGNFNIKDDMDVFSPLVDVQPFTPSSNSWWDEHGSDETKKDDKSGEKKVLTTRKFQMTNQALTFFFPAGWRLICEYYTHAIMEE >cds.KYUSt_contig_1467.249 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1580270:1580956:-1 gene:KYUSg_contig_1467.249 transcript:KYUSt_contig_1467.249 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMVAAALLLLVSLAAAAATTTSDSSGTPYRERSEEEMRRIFTEWSANYRKTDTSAAEEERRYALFKRRLRRFDQQNDDYPALPGWAWTNKRSEEEMRRIFTDWSAEQGISGGDEFDEGFMYDRFCHYLQRIDLHWHNAGYPAWSWDRERSEEEAQRIFVEWRARNGKTYSSIAEEEHRYATFKDALREVDRHNVGYTFGVHDSIVGISNLADLTHEEIKVVEELRA >cds.KYUSt_chr1.10200 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62566256:62571726:-1 gene:KYUSg_chr1.10200 transcript:KYUSt_chr1.10200 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVEWDDLRQEAMGWQPDLEEDRVSWSLDASGTYTTRSVYLALTQGATVTCFREAWRTRVPPKIRVFLWQLIRGRLPSADQVAKRQEIEDCNHIFFSCPIAKLMWDGVRELLHCDWNPTGPGEFIAIAQGLYGPLDMFDAFIGGDKRGGFGGINPEDIDGIIELYQASYLAFPDETMLDRARAFSIETLTQMMPLMVPKQREIVEGVLLDLPLHWGAPRLHAIRSLKRGRKNNINDDNNCSINPSILELATVDFNLVQSVHRAELVKIMMWWKETALSEKLPSGRDRLVECFFGAACIAPEPRLAACREVLAKIGSLLVHLDDVYDVYGTLDELKAFTDAIGRWDNAFAAGEAALPESMKAMYAAIWTTSTSAADRVMKDKGYNVLPLYRKAADSEEGDDEPSSIACYMRDIGASEEDARGAVQNTIAENWKELNNEACTGGWNLSSPYSMANICINLARIFHDIYHNGDSITSPTDSKKQLVKDLIFIPIVICDTEPQHEG >cds.KYUSt_chr7.15233 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94504781:94505740:-1 gene:KYUSg_chr7.15233 transcript:KYUSt_chr7.15233 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSLPDTSDADVSDGPGPASSNLTLMYIIIAVAIAVVLYFAVGYGRSLLSEWRARHGDGSLPATNLGMSMDDIAALPTFTYRARAAPTPSPQGNWGGKRRSGSKGRAAAASVECVVCLQELENGDVVRVLPACRHFFHVSCIDAWLCAHSSCPVCRAHPEPERARPGEAAMSPPLPQLRRCDVSPERPTATRIFADILAQSPLRIGGSTSGSKERILSRSPSPAPMVRDYVLSMSPSRTPLTHGMVDERCSLSQSPPQMLEVVVVRSPSPMRFGRQPTTTCVGVLESTDASMSASLSPPATFFTEESSSKLSPEVP >cds.KYUSt_chr1.4774 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29389532:29390239:1 gene:KYUSg_chr1.4774 transcript:KYUSt_chr1.4774 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFRCVGGHRGVWIDLGQLLLQVGHVQDGVTLRALVAVQTPSCSSSGARPVLGCAGTGVGGPPLHFLHGSGLEQDEVKVLVAERRGGRELTVASKLDADAVKEIQPSSSSADVLVVLLCRHGRPRLVHLQPVEGLSLPLDGGGGRRGPASGGGLGRRISSRSAWVSRRHEAARVWGSREGGVVEEKGGVAGEVVREEAAPAWGRTEGERKGGDVLTPKVLTETWARAPTRIFSA >cds.KYUSt_chr6.22433 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141744992:141751830:1 gene:KYUSg_chr6.22433 transcript:KYUSt_chr6.22433 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATAATATAAAMVWFRKGLRVHDNPALDLARRGAARVYPVFVLDPSYLRPDPDAPSPGSARAGVARVRFLLESLDDLDSRLRRLGSRLLLLRARDDVSDAVCAALKDWNIGKLYFESDTEPYALARDKRVTDFAAVSGIEVFSPVSHTLFDPAEIIEKNGGRPPLTYQSFLNIAGEPPAPLTEEYSVLPPIGDTGEYELFSVPKLEELGYGDISQESVPPFRGGETEALKRMRESLQDKEWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIQDVYRSTKMHTKPPVSLTGQLLWRDFFYTVSFGTPNFDRMKGNKICKQIPWRESEELFVAWRDGRTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYHRIYSPISFGKKYDPNGDYIRHFIPALKHMPKQYIYEPWTAPLSVQEKARCIIGKDYPKPVVDHAAASKECKNRMGEAYASNRPDGSDTAKGNKASNSRQRKISEYAPDSSKSKQPKKSS >cds.KYUSt_chr6.31779 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200824322:200825173:-1 gene:KYUSg_chr6.31779 transcript:KYUSt_chr6.31779 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRSSMQVVNHGVGEDVVRGFRDAASEFFAMPAEKKLPYCSNDQSKPFRLATSTTYDRGETRYWLDYLKLQCHPVTDEVVQHWPTEPTSFRPRLAEFSEAVHELAQTLLRLVAEGLGLGADFFAGDLSGGDTQMNVNYYPPCPDPSLTLGLLPHCDRHLLTVLSQGDVAGLQARHSGRWLLVRPIPGALVINFGHQMEIITNGALASVEHRAVTNSDRTRMSVATLIMPKMECRIGPAPEMVDEATNPSKFREFVFSEFMEAYYTAAASREDVLESFRIHKN >cds.KYUSt_chr7.23085 pep primary_assembly:MPB_Lper_Kyuss_1697:7:143437290:143438318:-1 gene:KYUSg_chr7.23085 transcript:KYUSt_chr7.23085 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGALEAAIDEVLVTLSDKERADPRLFPDNYEAWTAFFRRRYEHELAAYDGPPSPPARNNAAGRRRWWSALGCTLESVLAHIEDGNSPVLGMPPLVAATVSRRRGSSWMPRRMAPSSSSSGSRSASRSGWSTPATIKKEWASPSTVKKEPVSPPPTRGRSSGALIIRDQPSSPQRGRKRKSSKKEAAAATIKNAANKLAEEEAKRAEDAAMAEAIARSLTDLVPADNSLPEDAALEWSRRDWERQETEQQRRLLDLAAARQRVVRTVAPSTPTNVAPMPVELIKLEESSDDDIYRPTPSCAGDPGQGSSRWYEAAPSQDAASSSDDDDDADYTAFYRHFSM >cds.KYUSt_chr4.17391 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108771325:108773343:-1 gene:KYUSg_chr4.17391 transcript:KYUSt_chr4.17391 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGQRARSKEDVPTMSTTGMEVSSGYAARRSVEELRIWKRVRTIKGAAAVTAAQQHHKRQQENEKELRRKI >cds.KYUSt_chr4.7682 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45852550:45854850:-1 gene:KYUSg_chr4.7682 transcript:KYUSt_chr4.7682 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSDNPDGQNVCWTKIRRSSVPPKVKIFAWKVASNGLATEENKLWRHIRVTGYCNICCMEIEDVAHELFRCPHAHQLWSEMRKYGRNEVTHEKPLSAIESSKRFLSSYLRLIRDVKHTPTDPLIKGKQLIAEEGPSHVPRCENNVGLVLLDFWVSPFGQRCRIALAEKGLSYEYVEENIMATKSDRLLRSNPIYKQIPVLLHDGCIIIESLIILQYLDEVFPDTRSLLPTDPSERAHTRFWADYVDKKVYGCGSRLYTEKQLEAGTEMAGILKTLEEELGEKEFFGGEHGFGFVDVALMPFTTWFESFNRYWGVNVEEVAPTLAAWAARCMKRESVSKSLYSSEKVHAFISGMRKDAGFE >cds.KYUSt_chr7.25696 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160330948:160332438:-1 gene:KYUSg_chr7.25696 transcript:KYUSt_chr7.25696 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVRTSLLVPAASLSAHMRLNPSLVLRLTRLKLEALRWRTGAQQPHRELRRPLQHGRRVVRHLRPQLHRQGQQNNVVVQEAEQRALATVDWHGRRLEVSWRRKKIKRVVELRSTSKASTTWSAASPCLRRSMPKPPPLRWPPTPTVGHRPARNARRVAPESTHAVTEVPRVTRTERKPARSTTAKGPASARADLYERPSSSTASTIKIAWGGGEVVDERNRRSGQRGWMGLRKIGASGEEGGHLRKIGASERSGQSEPASIKGIKEQLRMDWGEWRRRWAECQSSTSIRWDSPGHVGQNQVTPFGFRL >cds.KYUSt_chr4.19176 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120555522:120557372:-1 gene:KYUSg_chr4.19176 transcript:KYUSt_chr4.19176 gene_biotype:protein_coding transcript_biotype:protein_coding MPADLGVPGLNAAATRPLRRSPRKDGRVAADDEDREERSKHFQKAHSGRYIGYVVTSFHLKKEFATLQAAVKERTWVMQFQQEHAGRP >cds.KYUSt_chr2.30771 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189527082:189527375:1 gene:KYUSg_chr2.30771 transcript:KYUSt_chr2.30771 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPFPFPLLLALVLLLFSLAIAAPENHLVAQLPGFDGAFPSKHYSGYVTVDESNDRRLFYYVVLSERDPATDPVVLWLNGGPGCSSFDGFVYENG >cds.KYUSt_chr6.14665 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91897162:91899224:1 gene:KYUSg_chr6.14665 transcript:KYUSt_chr6.14665 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCGLECVLCVGCVRWAWKRLTYIGAYDSDTWPPAAADDFRPVPRICQIIMAINEDDLANPKFAPPGLGYADIDAAGIVKRTTYADVGADCPPYIVYVDRCHNEVVLAVRGLNLVRNADYQVLMDNKLGKQMFDGGYVHYGLLRAAQFILEKETDALRDLLRKQGAGCRLVFAGHSLGSGIAALMTILVVNNRRAFDDIPSSHVRCYALAPETSSPSNTLRAVMRGGGKKEERAGEVPAGGGGCVGGGGCVCGGGFVVGGVVATVVVVVAVIIVAGIGVAVLESRRVSTRGLGLRVAQAGATVGHDALESPAAPPQPTAGLVEVRRRRAALLVPGKRPLTPIDEEAFPSRAVVGMPLGIPPLLWCELEVVVVRDGHLARHT >cds.KYUSt_chr1.21473 pep primary_assembly:MPB_Lper_Kyuss_1697:1:126673016:126677077:1 gene:KYUSg_chr1.21473 transcript:KYUSt_chr1.21473 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDEASASASAPAPTDPKGKKDFSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMEKLQLFRGDTVLLKGKKRKDTICIVLADDTCEEAKVRMNKVVRKNLRVRLGDVVSVHQCQDVKYGKRIHTLPIDDTVEGITGNLFDAFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRRQMAQIRELVELPLRHPQLFKSIGVKPPKGILLFGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAENNAPAIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKARAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVELEHISKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGGSQGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDDASRLQIFKACLRKSPVAKDVDLNTLAKYTQGFSGADITEICQRACKYAIRENIEKDIEMEKRRKDNPEAMEEDGVDEVSEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGIGSEFRFADRSSEAAAGTAADPFASAAAAADEDDLYS >cds.KYUSt_chr2.35911 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221963715:221967001:1 gene:KYUSg_chr2.35911 transcript:KYUSt_chr2.35911 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDTPMLDDGEGDDFEEGDTEAGARGPAMSSSSPDEVLARKGRLKQRYDNEYRLVAGCVPYRVKKDEGNPCSLGDDRGQVEVLMISTPNRTDMVFPKGGWEDDEDVYQAACREAMEEAGVKGIIDRTTLGHWVFKSKSSQQSSSPRGACKGYIFAMEVIEELESWPEQATHGRRWVSPGEAYQLCRYDWMREALTALLERFSMIEEVGSAQELTDQNGVYMMLQTTSDGAVALC >cds.KYUSt_chr4.42256 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261402087:261404256:-1 gene:KYUSg_chr4.42256 transcript:KYUSt_chr4.42256 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEEARENHVKKKVEEALRSKMKAKALKECDVLCSKYAECARGRTLSVVWTCRKQAKELNNCLHQFTNDAILEDMKKAYMAEEESKEKKQ >cds.KYUSt_chr3.4954 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28202380:28202795:-1 gene:KYUSg_chr3.4954 transcript:KYUSt_chr3.4954 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLADLTAWQVKADYRVISYSWHIGEFSQGASVHPPLWFSRRSPARQEADMLLHASHSSNDLPLLQTPAVPPPLPATPLWFQGTPDIDFQIMDDLASQGNFAF >cds.KYUSt_chr4.41928 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259289368:259290817:1 gene:KYUSg_chr4.41928 transcript:KYUSt_chr4.41928 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRTCILSKRMLQLPAMLSRFDIDVGSLTQHHGKASQRYLSITHVARYNNALAGVTEKILCRRSSEIPIIHKLRVRCYLRPDECLPITRALASTMATQEVENAEFVSLVEDPYIGSRPDERYAKRFNTCLGDCPAAFAGLTRLWLCNMRFGKLDIPNILSTCKRLEHLRLSCCDAGLVPLAFGYVPLLSTLSLAQTGISLTTDIHLSQLLTNVPSISELHLDFRSEKIWVVPECPKLLAPVFGKLEYVNLDNLREGCDIAWTNFILEAAPRLRELCITVWDHSCEMMTNEYARRGLGYCEKANVEWQPSVPGLKHRNLVKLTIHGFQPNENMVQYVRNIMKVAVNMREISLHDRKACEHCRYLYHRVKVCPSTYPRTSEKKDMLRVEMTKELGIAALPAVIHFRS >cds.KYUSt_chr2.31102 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191882534:191892281:1 gene:KYUSg_chr2.31102 transcript:KYUSt_chr2.31102 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEAAAVTVPAYRTLIHRLASTGGVDAVHDALAAALSALAPASLHPLYVACIRAFARAGHLQAAVDAFERMDLFACPPQAPAYNAIMDALVRAHHHHQAHKVYVRMLAAGLAPDLHTHTIRLRSFCLTARPHVALRLLRALPDRGCHARPVAYCTVVSGLYAHGHAHDARCLFDEMLRGPSPFPDIATFNKVLHDLCKKGDISEAAALLPKVLKRGMSLNRFTYNIWIRGLCECSRLAQAVALVEDIMDEHITLTPDVVTYNTLIRGLCKGSRAREAAQYLRRMMNRGCTPDDFTYNTIIDGYCKMGLMQEATELLRDAVFKGFVPDRVTYCSLINGLCAAGDVERALELFNEAQAKELNPDLVVYNSLIKGLCRQGLILQALQVMNEMSEGGCHPDIWTYNIVINGLCKMGNVSDATVVMNDAIFKGYLPDVFTFNTLIDGYCKRFKLDSALQLVERMWTYGIMPDAITYNSVLNGLCKAGKANEVNETFKEMTLKGCHPNTITYNILIENFCKSGKLEDASGVIVRMSQQGLTPDAVSFNTLIHGFCRNGELEGAYILFQKMEERGYSATADTFNILIGAYCSKLNMQMAENIFDEMVRKGYKPDSYTYRVLIDGSCKTANVDCAYERLVKMVNDGFVPSMATFGRVINTLAMNHQISEAVGIIHIMVRIGVVPEVVDTILSADKKKIAAPKILVEDLMKKGHISYPTYEVLHEGMENLATGNKVVLCDHSPTRVVLPLQLEMVSHMVTALMSYGCAVVLESSALVTLVKDLFYKINDPSILHNPSATGFVSLKKPTASLLADPPDTLAHDLFDLINSSAQLLRVDHLNLSHPFTASEFPMVDDVIAGAYVTGITACPDETSDANESDLWTSAHEGPSELTHCKTLFEQDMTNPNSKLSCRPVTNMEDSDYNNIDGFTLAYMAQEYQENTHGTTTVLNDDFVTSNSLVHSKLHKDVEAMPREDLENFVWHSRSKHQEFTSHSLLQANESRTCFYSHLENNEYAEFLLDAITCQVGSIPNSVSSQSTGSLTSSQTQIQREDHAVRLEELSVPNHPGGQDFSPISVNDGFMSYKVTVSSPAEINKTMTEECMGRTIQDVRGDSVKIKHGCRKTELQKARPRDRQLIQDRMKELRELIPNATKLQDKIGNRELQANKNLENCPLRVQELEQSGHLLIEMVCKGYDVFFEIAHLFKNLEVSILKGELEYRSDELWACFVIEASECSNKMQILCPLMHLLQRR >cds.KYUSt_chr3.24960 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154921785:154928039:1 gene:KYUSg_chr3.24960 transcript:KYUSt_chr3.24960 gene_biotype:protein_coding transcript_biotype:protein_coding MPYDIGESKSGAFKYLKDRIWKKIQVWLEKLLETSGKEVLTKSVVQAIPTFSMSCFKLPSGLCQASNSMLRSWWWRSKDAKCKMTWVSWPLPLRSKRAEAKPRSSKKLPPGGESKMISLHDLYTVLAAVVPLYVAMILAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYQMNLRFLAADTLQKLLVLALLAATSRLPAVPSPRLDWSVTLFSLSTLPNTLVMGIPLLIAMYGPYAGSLMVQVVVLQCIIWYTLLLFLFEFRAARVLIADQFPDTAACIASLRVDPDVVSLEGGRAETESEVAADGRLRVTVRRSSASRRSTALLATPRPSNLTGAEIYSVSSSQNPTPRGSNFNHADFFAVVGGAPPPPTPARLHGSSLGGSGHYSLQSSQGPTPRGSSFDEHARTAAAEGEAPHSHDAKELHMFVWSSSASPVSEASGFPVFNGSTGAAAHLHAGGAKEIRMVVPADPPLNGSCKENNGDYGSVAASGGGKTVELDGESVRCRADKRTTKLTSNPNGKDMDGAEEDAAGTARRQQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVAFRWHISMPAIVEKSISILSDAGLGMAMFSLGLFMALQPSIIACGNSVAVVSMAVRFLAGPAITAAASAAVGLRGTLLKVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYAILGLVH >cds.KYUSt_chr7.6054 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36259261:36269458:-1 gene:KYUSg_chr7.6054 transcript:KYUSt_chr7.6054 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLSAASPDSAPELAKPSLPTTWLILHTLFCATSMTVGFRFSRLVVFLLFLPTPPLNPAAHLVSLVTPPLTLASSNATATITTTTTTSTTVTTTTTVAETESLRAPPASIMVRKKRTGPGSPERVLGSLRELLGRDPHSELTGDLNSMVEGVVLHLNRWPWWGVVIQVVVHLNINRVTIRDAVVHDPEVVEPHSHTMAGIGEVSITPEVTSRGVNRAVMAELVKLYRRQPDAPQEALQVLDIVLRELPTARYSPVGRSFYSPNLGRCQKLGDDMSLTAFIEPLPVIEFVAQLLCRDISVRPLSDSDRVKIKKALRGIKVEVTHRGNMRRKYRISGLTSQTTRELSYVLLSLALHTVYALADSWAIDFLFVFWRRFPVDDRGTVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREKDILQTVHHNAYYEDPYAQEFGIKIDERLASVDARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKDFAPEPVLPPHNARPEDVERALKARYQDAMNILRPQGRELDLLIVLPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKMATEVSIPEVEAPEVESPGSGSSEVVDMKAVKPAKKHPRYAKMITETIAYRCLKERKHVSSFVSIRNYLEDKYKYEDGYKLSRKLPTLLSERLNQLAVVGELIEHSVS >cds.KYUSt_chr1.7228 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44304102:44305395:-1 gene:KYUSg_chr1.7228 transcript:KYUSt_chr1.7228 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPHHKVIRVASAAAAEGGRMCCVECRTTTTPMWRSGPTGPRTLCNACGIRYRKKRRQELGLDNKQQPQQQNQQQHEQQQQQQQLLQQQREEQTEATSAVKDSSTTTTNKSSNLQVVKKRRVSMGVEEAAFLLMALSSPSSPTVLHA >cds.KYUSt_chr3.41130 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259567189:259567959:1 gene:KYUSg_chr3.41130 transcript:KYUSt_chr3.41130 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSVSSPQRSASSPAAISSCRPAACGRFLACAAASQKRSLMVVSGSDARGVSLVKSGGLETTATTGADEVETATAGADVVAVTGQVTDVCKDTFWPIVKAAGPKLVVLDMYTQWCGPCKIMAPKFQEMSENDHDVVFLKLDCNQDNRPLAKELGIRVVPTFKIFKDGKVAKEVTGAKIDELKRAIEEVKSS >cds.KYUSt_chr1.42000 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257458413:257459960:1 gene:KYUSg_chr1.42000 transcript:KYUSt_chr1.42000 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLAASLMLVRGLANELLPSEVREALSAALNSLRSRLTWQHTIVVEEKEGWSYNNVYSAVKAYLATRVGAGANIGMQRLRVSSTDSEAEKMVVSMEAGEEMPDVYGGAEFRWCLVTREVKGDPESGTGAHEVRSYEVSFHKRHKEKALKEYLPFIVATAKAIWDGERSLSIYMNQYSDDWAPMDLQHPSTFSTLAMDRKQKQSIIDDLDRFTKRKDYYRRIGKAWKRGYLLYGPPGTGKSSLIAAIANHLRFDIYDLDLTGVESNSDLRKLLIGMNNRSILVVEDVDCTIELKEREEHDEDEEHAKSSSTGKKSEEKVTLSGLLNFVDGLWSTSGEEKIIIFTTNYKERLDPALLRPGRMDMHIHMGYCTLEAFRILANNYHAIDSHATYPEIEELIKEVNVTPAEVAEVLMRNDDTDVALHDLVELLNSKKKDAVEIKTENKHADEKKDANAIKTESMQVDETKDGDEIKTESLR >cds.KYUSt_chr2.38915 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241260715:241261937:1 gene:KYUSg_chr2.38915 transcript:KYUSt_chr2.38915 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSLRGGALGCRHGGGGGEGERAVATVVVLPVSTALALDRNLHLEYVDLYLIHWPVSLKPGPAVFPAKREDAVPFDFEGVWREMEECHRLGIAKAIGVSNFTTGHLEKILATATVPPAVNQVEMNPVWQQRKLREYCAEKGIHVTAYSPLGGQNWSGEGNAVLDSEVLAEIAKARGKSLAQVALRWIYEQGVTPIVKSFNKDRLKQNLEIFDWELTDDDLLKISQIPQKMVTAAGLFSCEGEFTSADLADMEIVEE >cds.KYUSt_chr3.33625 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211159806:211160765:1 gene:KYUSg_chr3.33625 transcript:KYUSt_chr3.33625 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHPHDAASMLPYVPRPPSLLVDRRYRTGAEVAPSCPRCDSPNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSSSSVRLAADSFSAGRDAAFGSFPGPLRPDMVLEGMVGGSGQANPGQATHTAADGSSIDLAMLYSKFLNNQQQPPADSLVGAVTPDSTSDEAFDTFSASSDLSPGAVLAPPGLDGFGEWSGPLSASADTTSAATMLCTDASVQAALGELNFAMDQSCFDSLGLPTDGAAGNLSSWCSIVPSLSTWEEPKYDSLDSFPDDTMSLHEGILAADHDWTADCQGLEALYMP >cds.KYUSt_chr3.24934 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154773307:154773630:-1 gene:KYUSg_chr3.24934 transcript:KYUSt_chr3.24934 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAVKPAVLAGQAKEPAKLSATASKPAATKEPAKLSATATKPVAAKGGVKKADSKPRETKKRVKSSKPAAAKN >cds.KYUSt_chr4.8093 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48281924:48282568:-1 gene:KYUSg_chr4.8093 transcript:KYUSt_chr4.8093 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAALVAVPPQPQQRHPLSQIAASGTHRLLLKQWLKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASVLVLFLSSSLSSSLGPDQSLSCRRSWIPCLVSLLASLAMLWALRYKADSEAVLERVLAREQEDAALLGRCVAELKRKGLEFDLLKEVDALRRAKSLRVEAKGGATDSPRRWPARDLPVFALFGAACGVLVLTRFLLCNN >cds.KYUSt_chr4.28460 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178744217:178744579:1 gene:KYUSg_chr4.28460 transcript:KYUSt_chr4.28460 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQAGLHMGRAARGGTPATALRAQRGDGAEPTAEARSDTNVLSDGVQEHEHEGLPPEQLELLEDESMGGEDEGRSATDYDRRAHIFEESSRVFRDLKHRRDGEGDSGVKVGAGAEGHG >cds.KYUSt_chr7.31788 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197929392:197929802:1 gene:KYUSg_chr7.31788 transcript:KYUSt_chr7.31788 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFLGSPFRRLLYARPYASATAAMDWVETPTSHIIKINVPGLGKDDVKVQVEDGNVLTVRAAAMENGKEENEETVWHVAERGKPEFAREVALPEHVKVEQIRAGVENGVLTVVVPKEPAPARPRTRPIAVSSKL >cds.KYUSt_chr3.18850 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115885819:115888508:1 gene:KYUSg_chr3.18850 transcript:KYUSt_chr3.18850 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAHDPHAASAPFPTIPAAATHRQRLARPRRQPGPFRSDHPLTSSSSGRRLGSDPASFAAGPGPRPSTAGGSRPSAFVFGADATTSSHRAEHEDPASAGSWGSPRGTDFVFGSAAPGPPEMKRSSSLGSSGASLCGLSAAADELILVGSSPKQTRGNDPVLEVNSGDSFRPSILHGGHDTLSQTVGCESTSSPLAGQAANSAKCTGRNDSMGLPKGRGTSSAGDSLGEGHSTKKGSKLSADGGGNVEQGLFVFGECASAPHRWFAASAAQSDANKLDSSDEGDAACSSERQDLSAPEDGRCGNLEPSPGSSNRDAPHFRPHEVHETGKASSTAPSGIASQDDLPKVSLTKLTEVMQAQSTVVAVPGLGEWGSFDEKSFTLNDHNVSSKDNGVVKAMSMNRRAVKPKKFLSARQVSSLRTVHAADSFSGNAIPESNFSLQQSGKVAFRLEDSGIRVGNNCPEEANATQTTESSHDGARLTFAANLESSGHSQRRQTKKSSEGMPVNNSKFVQSLPTSAISLAHTEVSASQPNTVFAAQWTEYSKAEPTTVTCTKTEKFGYQEDCETWRIRGNQAYAAGRLTMAEEYYTHGINSVSPNEASRKALMLCYSNRAATRISLGRMRDALSDCRKATEIDSSFLKAQVRAAK >cds.KYUSt_chr4.7241 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42857059:42860056:-1 gene:KYUSg_chr4.7241 transcript:KYUSt_chr4.7241 gene_biotype:protein_coding transcript_biotype:protein_coding MMREESNKKSKVSWSKSLVRKWFNIKNKAQDFHADYHATHSAGRDGGERRTSCSERDAGAAKKSSTTDRPLKRNVDRIRRGRNEFDVSRATETQDYRIFASTWNVGGKAPSRGLDLDDWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNVPAKKWVSLIRRTLNKNPGPSSHGGYRTPSPVPDPVVELDADFEGSLRRQDSSSFFHRRSFQNLSRSLRVDGNDIFSQPRLYRRFSVCNPPSFGGRPSDFTGNCQCMGSPDDDYIDEDASNGAYFSPFPYGYGGSTPMEENNEQPNTSRYCLVASKQMVGVFLTVWVRSEIRADVRNLKVSCVGRGLMGYLGNKGSISISMSLHHTTFCFVCCHLTSGEKEGDELRRNSDVMEILKKTRFPQVRGCGDLKSPETILEHERIIWLGDLNYRISLPYSSAKALVEMHNWKQLLERDQSEDEDMFSRDG >cds.KYUSt_chr2.52476 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327414671:327415860:1 gene:KYUSg_chr2.52476 transcript:KYUSt_chr2.52476 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAKPYTVCLVPGLPRYGINLQRLCFRTAYVATTTVLAVVFPYFNEVLGLLGALTFWPLVIYLPVQMYCMQRRVRAWTPTWVALQAFNVVCFVVGTFAFVGCVEGVVKKRLG >cds.KYUSt_chr6.33805 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211939134:211941744:1 gene:KYUSg_chr6.33805 transcript:KYUSt_chr6.33805 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSHSNLSQLPPMLLILLLAAAAGSDLIMFQTAAAEIALPGCPDKCGDVSIPYPFGMGKAGCFLPGFEVTCNTAVQPARAFLAYTPGDENATHHEKRIMGMAGATPVASDNITVELLPVELMDVSVGKAEARVYYGLSSYCRTSPVDRTIKSPIMVLEEKGPFLLSVTRNVLVGVGAWRVEVMFVSDLAMTDNMSDTFILYCLSDINGHVQYATNGSCGGRGCCEAVMPPDTVPQTRFAPLVNPQEDPDYRKEINPCSYAMLVEKSWYNFSTTDLSGYEGMSNKFSRGVPLVIDFAIRTGTCPAAGQQPPKGYACLSRNSYCGNATSGEGYICKCDEHYDGNPYVPNGCQDIDECKLHEKYNCSNGGTCKNRLNGYDCPCGPGMTNKGGKCTEIFPTVAKAVVGAVAGLLVLALLSFIIILRKERRKTKEFYRKNGGPTLEKAKMIKIYKKEDLKHILMSSNVIGKGGFGEVFKGFVDKVEVAVKKPITGNLLESEQFANEVIIQSQVIHRNIVRLLGCCLEVDTPMLVYEFISKGSMDDILHGEGNKEPLNLDVRLRIAAESAHGLAYMHSQAHIKILHGDVKPANILLDENFAPKISDFGISRLIARDKEHAATVIGDRTYMDPVYLQTGLLTEKSDVYSFGVLILELISRKRATYSDNNSLVSSFLDAHKKGEKATKLFDKDIASKENLEVLDNLTEIAVECLNLDVDQRPSMTEVAERLLILNRSRKL >cds.KYUSt_chr3.7562 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43579371:43581953:1 gene:KYUSg_chr3.7562 transcript:KYUSt_chr3.7562 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFIMCGATAYTPEDNYLLSCGSSVDTPVGGRRFLADGSNPGTVTLTSPESAAVKASPGSVSGFRDAALYRTARVFAAPSSYAFAIRRQGRHFLRLHFFPFENGDGHDLAAASRALKVSTQDVVLLDHGLPSPNASSSSPVVVEFLLDVARDTLVVTFVPLGADGGVAFVNALEVVSVPDDLLTKGGAFPLQTAHRVNVGGPAVAPDDDALWREWAIDWPSLLHSTVTDAVTREVRYNGPLNRVPGQATVTDAPDAVYSTARELVLTNGSTMDGMKQMVWKFDVDAFSAYLIRFHFCDIVSKAPGQLRMNAYVDDSPAIQDFDLAAVGGGALAFPYYMDFVLPASSSSGKLGVYVGPPENEIVMPAAILNGIEIMKMHQSAGSVVVVEPAARARKSRLAVIAGSACGAFAFVSIAVGLAIVLRKKKKGGTKEEHPKPTQAQLSMPWMPLLGRISIPGPSSFTTASNTPAAASPVAAAGAAIPSPVSAAGAAIPSYRFPFAVLQEATRNFDDSLVVGQGGFGKVYAAVLPDGTKVAVKRASPESRQGAREFRTEIELLSGLRHRHLVSLVGYCDEREEMILLYEFMEHGSLRSRLYGRGGAPARVLSWTQRLEACAGAARGLLYLHTAIAKPVIHRDVKSSNILLDGELTAKVADFGLSRGGPELDETHVSTVVKGSFGYVDPEYCRTRKLTAKSDVYSLGVVLLEALCARPVVDPRMPKPMANLVEWGLHWQGRGELEKIVDRRIAATVRPAALRKYGETAARCLAERGADRPAMEDVVWSLQFVMRLQEGDGLDFSDVNSLKMVTELRPPTPPPRHQRSAVDCETGSVEDGDGVPDDDYTDASSMRGTFWQMVNVGRK >cds.KYUSt_chr4.2228 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12254382:12265982:-1 gene:KYUSg_chr4.2228 transcript:KYUSt_chr4.2228 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWFSSPDYVCQMPDQLKPSPSDWAALLERMEGRSAIPPPRTREEKDAHLICRLANWVLKHYNSTHPGAEFQYLEQPAADTKAACVGFRRDLWYHVGFSATRKNDNNNTQRFFAELRFDPCADILTVETCTVLDNPLSCFRSSCAFCPEESKILHPSDDTQFRCGKAGQEKEFFRERCEWDGHDKTVFSKSDMLEVPFWKIKPHNDKEIQFIVDDQGCEHVYMVQRVADELGLLSPAMFYMAQYGASLDKPNSLSKASVVKLATMDRYRVIREIGDGTCGNVFRAVKTETNEIVAIKRMKRNFYHWEECINLREVKALQRLNHPNIVELKEDCNLYDLIRERPVPFTEEEIRKLMIQILQGLVYMHNNGYFHRDLKPENLLVTNGVVKIADFGLAREVCSSPPYTDYVSTRWYRAPEVLLQASAYTPSIGSYPRLELGLGFGTESDDLDLTLSLKPSSLKDLNKHVPEHREEENLLYPGFGNPPVQPGLWPLMSSSHHPLGDVQAMPSWQQAYMADSQASLPAVGGFSGSPFGLFPLQPNLMESRSLAPAPIRQVNFF >cds.KYUSt_chr1.35145 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214285599:214293325:-1 gene:KYUSg_chr1.35145 transcript:KYUSt_chr1.35145 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAASRRRWKPLQITARGRCTSPPRAAGRKCEFLVEDVRVNVDALDTAGRTPLVWAITCGDGHMDIVRYLLDQGANPENVDIKGFTPLHEAAKIGHCEVVELLLSRGTFVDPFSTYHGTPLHVAAKHKQDGAMKILLDHHADAGADVNGIRGSTPLHAAAINGLTDIFKPLLDAGADPNVRNEYGLRPIQHAAYSGTRKGVEILFEVTSRIPAIHDWSIDGIISHVKSQPKLEGRRFDKETLASAKRLEEGANSREQHTKGEEEQYAKIDSPAWISLSKKCWTSIHIGGRDLEMTGAVSFLWDIADKIMDSK >cds.KYUSt_chr3.22483 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138965622:138966929:-1 gene:KYUSg_chr3.22483 transcript:KYUSt_chr3.22483 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAAASVVVVFDFDKTIIEWDSDDWVITKLGAADAFNRLRPTMRWNSLMDRMMGELHAQGRSADDIRECLRSAPLDAHVLSAIRTASALGCDLKVVSDANAFFIETVLEHHGVLGCFSEINTNPARLDADGRLRISPFHDPTSSPHGCSLCPENMCKGKIIERIQATDSAKNKQFIYIGDGKGDYCPSLKLSKGDYVMPKENYPLWNLIRSNPQLVKAEVHPWCNGEELERILLKLVNKLVTPPAQVSQLDYKCEMSNPVPTDVGHNQTLPVPN >cds.KYUSt_chr4.7142 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42289333:42297680:1 gene:KYUSg_chr4.7142 transcript:KYUSt_chr4.7142 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISQLPSDTSSEGKPAGWRHWWERARTPSSGDDSPPPVDNEEEWLGWKEDAEEEESEDAVAAVARAKAKAAKAKAAEAKAAKAKAKAKAKAQPTSTADDEEDSDASGADTASSEEVTSRKRHRDDNDEAGPSAKKKKLFTDVPLFSVHGLRCREWRTATSLRRLHDGALCGLYIHITYLKRLHDLCAAAACPLLDSNTLLCSYSGLLRRGISSNRMNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVEPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNGQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKTVAGQRFVIQGFGNVGSWAAQLITEAGGKVIAISDVTGAIKNINGLDIAKLMKHSAENRGIKGFQGGDAVDSNSLLTEDCDVLIPAALGGVINKDNADDIKAKYIIEAANHPTDPEADEILAKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNRELKTYMTRAFRDTKEMCHSHHCDLRMGAFTLGVNRVARATVLRGWEA >cds.KYUSt_chr3.35914 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225568492:225570499:-1 gene:KYUSg_chr3.35914 transcript:KYUSt_chr3.35914 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTPATHPATTAPAPAPPPTEAAGLSDAIAAALPSDPYEQLEVARKITAVAVAARASRLEHEAARLRQKLADKDRVAAELAERADALDRALRDADARLCAVLDDNAKLVKERDSLAQTSKKLARDLAKLETFKRHLMQSLGDENSSSQETVDIRTCDAKGNSWRGMMEVLHRRVPEADVRGRFPETVLDGRVASTHVSRRRFPETVLDGRVASTHVSRRRDRQFAATRRPYGNVGVDTLEQDVLCGQFAPSWTLNLSRRSFPVQIVSVVSGRTTRVDGKEFFRQARSRLSYEQFAAFLANIKELNAHRQSQVETLEKADQIFGAENKDLFVSFQGLLSRSLT >cds.KYUSt_chr2.14791 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93202061:93204786:1 gene:KYUSg_chr2.14791 transcript:KYUSt_chr2.14791 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGASGQPLSRGSRIAAAVAVGVTLGCVCAFIYPEGLISRSPDSAIHWPRRVDSVACKTPKEVANVKSQLASLERKNAEFRKQIDELSMKLQLAGQGKNQAFYSAGPFGTVKALRTNPTVMPDESINPRLAKILQQVAVKKELIVAVANSNVKETLEMWFTNIKRVGISNYLVVALDDNVEDFCKSKEVPVYRRDPDEGIDSIGKTGGNHAVSALKFRILREFLQLGYSVLLSDIDIMFFQNPFDHLYRDSDIESMSDGHDNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFFIRPTIPSVELLDRVARRLSREPKSWDQAVFNEELFFPSHPGYEGLHASKRTMDIYLFMNSKVLFKTVRKDAQLLKLKPVIVHLNYHPDKEQRMKAVIEFYVNGKQNALEHFPDGSE >cds.KYUSt_chr2.6735 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41955448:41971312:-1 gene:KYUSg_chr2.6735 transcript:KYUSt_chr2.6735 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGLLPLLLLALAAAEVDARFVVEKNSLMVTSPTALRGRRDSAIGNFGIPQYGGSMAGAVVYPRGNSDACDAFGGGGSKEQLFRTKPGALPSFLLIDRGHCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKAVKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLMHFLKEFKGAAQLLEKGGYSQFTPHYITWYCPQAFVVSKQCNSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVFNVAKEIKKPWIWWDYVTDFHIRCPMKDKKYNKKCAETVIKSLGLDVKKVDKCMGDPNADSDHFLLKMEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKALCAGFEETTEPNVCLSDDMETNECLSDNGGCWQDKAANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQQSGNGECKCPAGFRGDGVKKCHDIDECKEKKACQCPDCSCRDTWGSYECTCSGDLLYIKEHDTCISKTAVQAKAAWAAVWGILVALAVLAAGSYVVYKYRLRSYMDSEIRAIMAQYMPLDSQGEAETRSSSCEILYRDVFGGEWQLDFRVGMNCVPTHLSGALSPYVSRKQSRLVVFPQNKGEMQSVSVNNLGNSDRPPEAILKVIKAQGNNQQNARKPYQNYIASTSWLLIHHILQSHFNH >cds.KYUSt_chr1.24541 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146674772:146675302:1 gene:KYUSg_chr1.24541 transcript:KYUSt_chr1.24541 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDLEDAFGAVVGEAKPEGHPSPRPILFRAHARSAAALRIAATDCHSLAWDRSLSISDLDDLLLHLRIPFLACPAVRANQLKRDDVGIGGSWSDFLDYLKSSLSSGEVKLLFAADQLRKSPGTPPTYPPLQFSPIFTKITYMIESVIFALFRP >cds.KYUSt_chr3.4152 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23640268:23643417:1 gene:KYUSg_chr3.4152 transcript:KYUSt_chr3.4152 gene_biotype:protein_coding transcript_biotype:protein_coding MALRILLPLLLLAAATPASLAAIDVVQMLAGKPQYATFLRLLRETKVSEDVSRMKTASVLVVPERAVKPLLSIPADKLRTVLLHHVLTKYFDPIQLAEMKTNVAKLLSLLSVTNKNLGTINYSMEKDGQMYLRSPGADSEAKLIKVIAARPFSISIMEISAPLVCKEILAQGRLIRLKPDGGTFMSITLGAATKLLDNMTINYSEWHTERAPQGKKVNSVEETSSLSDKIDAIMSMLVNGRTNVDPNNVPLASLVAQ >cds.KYUSt_chr7.22465 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139160981:139163695:1 gene:KYUSg_chr7.22465 transcript:KYUSt_chr7.22465 gene_biotype:protein_coding transcript_biotype:protein_coding MFARLDAKLTIISEIESRIKQIEVELKLMQAFLRQAQNQEGYNEPTEVYLQEVRNLASEIEDIMDEFIYLSVRHKSKFFTGEFLSYFRKLGKSPWHKIARELKDLQSHLQNLRDLRVQYEIQLPGGDRASASTDDHCLPLYLSYPPNDMVGIEKERTKLMQWLTARLSSTSVIAVWGMGGSGKTTLVNIMYEDEMIKNQFDCHIWITVSQKFDAYGVIRKVIRHILKGACPSDIDTVSGRDLIQILQRTLQQRKFMLVLDDVWSVDVWIDLASIIGNNNVSGNKVVITTRIKEVASLASEDQVIEMHKLNEADSWSLFCRWAFKSCRDGSCPQEMEPLGREIIDKCDGLPLAIVTVGNTLSFKKLVIEEWSKYYDHLIWELRDRLHGQELNSVMKILNLSYKHLPSHLKNAFVFCSIFPEDYMMTKKRLVRLWVAEGLVKPEKRRTIEEVAEEYLNELIDRCLLKVVERKHFRKVKEFQMHDIVRELAISISEKETFCMTYSKSQPSESEYKCRRLSIHEHSDRFQPLSYSSRLRSLYQFDASCSSFPSVSTQRTGRYLNVLELQDAAITVLPEEVSSLFNLRYLGLRRTKIRQLPRSIEKLFNLQTIDVYLTNVEKLPAGITKLKRVRHLLAGKAMTPLFGVVEKSIGVETPKGSWGSMELQTLKGVLASMDLVVQLGSMTQLRTLSIGDVRDAHHPKFSASISNMRFLRTLKVVAAEGNYINFEELNSPPQNLRKLRLEGRLHQSVMESPFFQIVGNRLEKLILLGSKLSSDPFASFSHLSHLAVLELVGAYDGESVLFESGWLPKLHTLVMGDLVNVKSVVMERQAVQNLQWLALFKLPELKEAPHGIEFLVSLQNLMLVDMHDEFMEGIQGEDKARVQHISTVRYFDRSRRMEIRLFQDP >cds.KYUSt_contig_988.310 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1894038:1898586:1 gene:KYUSg_contig_988.310 transcript:KYUSt_contig_988.310 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSCVGRASLGMEEAAAALPRASPQILMLAVTAVLACWCAGRALEWAWWRPRRLGAALRSQGLRGTAYRPLAGDEPLNGRLNREARSRALPLRCHDIAPRAMPLIHQLIKENGEMFISWFGPVPRVTITKPDLVHKVLSNKFGHFEKFMFSHVLKMLHNGVSSQEGEKWAKHRRIISPAFHLEKLKRMLPAFAACCIELVDGWESMATRDDETIEVDVWSEMQRLAGDVISRAAFGSSYLEGRKIFELQGEQAKLTVLVLNKIYFPGYLSLPTRTNRRRKQIAAEVEGILKGLIAKREEDLRTGQGTSDDLLGLLLESNMAHRRGGGGGLMTDEVIGECKLFYFAGTETTSALLTWTMVLLCMHPEWQHRAREEVLQVLGSSSTPDYDCLNRLRTVSMVLYEVLRLYPPITAIHRRTYKPMEVDGIRYPAGVMLTIPVLCIHHDKDVWGADVHEFRPERFADGISKATASGSRNTPAPFFPFSGGPRVCIGQNFALLEAKMGLAMILQRFSLELSPAYKHAPLPIGMLQPQHGAQIKLRRLH >cds.KYUSt_chr1.36605 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223394638:223395959:1 gene:KYUSg_chr1.36605 transcript:KYUSt_chr1.36605 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQCEGILCYDMAPPPAPRRDWANLTDGPAGLIAERVLAHDVVDYIRFRAVCCQWRRCSSEPRSHSGLDRQFHPWRWIMLREKLAVPDRRRFLSTSTGECIQVDIPELRDHELLAITPEGLLVLVHDRNHIRLLNPLTHQLTKLPPLTTLIPPENHNKLSRDNSQFYIDFGAWGSGIANDDSTVVLSFSRRSMIGMAKPGDDHWTLLKYQCETPEALMFAGRFYCISVDGLMVLETGAHQPPRLELAAEIHMRVSPYSHHVHLVNNCGELMLVHSLCRRLGAQGDLVRCYDAYRVDLDTKTLLPVKSLGGGAGRAVFMDTYCSLSVSLDVFPSGSMSADTFYLSYGTGVEAYRLADGKSGEGIGRYKSSLVARPPTLVECLSFSVTL >cds.KYUSt_chr3.30107 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188437080:188438641:1 gene:KYUSg_chr3.30107 transcript:KYUSt_chr3.30107 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEEHESVMESVMDKISDKLHGDGDSSSSSSDSDDDKKKGSSSAAAAAKAKIYRLFGREKPVHAVLGGGKPADLVLWRNKKISGGVLAGSTAIWLLFEVMEYHLLTLLGHCLILSLAALFLWSNACTFINKSPPNIPEVTIPEDTIVNIARSLRYEINRGFFTLKEIGQGRDLKKFLIVVAGLWVLSVLGSSCNFLTLAYIVFVVLYTVPVLYEKYDDKVDAFGEKAMIELKKYYAIVDEKYLSKIPKGPLKNKKP >cds.KYUSt_chr2.14027 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88738336:88738560:1 gene:KYUSg_chr2.14027 transcript:KYUSt_chr2.14027 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPTIPEAPKEPHMPEVPKEPKLPHPVAPEVPKVPELPHPTMPEVPKHELPPFPKAELPPKPEFHHPKPEAKP >cds.KYUSt_chr2.6257 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39002750:39006457:-1 gene:KYUSg_chr2.6257 transcript:KYUSt_chr2.6257 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAPLSPRVAEEDEEGAARTDGGGTVFCYGEAGYWDARYVEEGGAPYDWYQRYAALRPFVRRFVPPASRLLMIGCGSALMSEDMVADGYREIINIDISSVLIEMMRKKYFDLPQLQYMQMDVRDMSKFPDESFDCAIDKGTLDSLMCGVEAPLSAAQMVLEVDRLLKPGGVFMLITYGDPSARIPHLNQPVCSWKISLYILPRPGFKGKTRRSVFDPVPLDESGRLPDGFIPEDPDSHYIYVCKKVQGLTGIEREHCACEEILDKHKRSLWLVAGDLMACSSHGYQAEVALVLHNVATNLSSTLFLQN >cds.KYUSt_chr3.19747 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121523382:121526214:-1 gene:KYUSg_chr3.19747 transcript:KYUSt_chr3.19747 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLAPAAMALLEGAMTAVTVTVLAPAPCSPPPVPVPLQLRRIGCLVTVLPQQERRVLGEEKDDILSRMALAILLVLLPPSVAGGDEEGGGGALGEGGCGGGRRRRRSPVRAPPSSSPPSRACQERNAAPESPPSYLGCNHGQTASKIKITKQPTERACSKACVLDCRPGVFESGNGSTCGFELGITGGGTDGRFGSGSGCGRGCGFGSGCGSGCGRGCGFGSGSGCGRGCGFGSGCGSDCGCGFGSGLGRGCGFGSGLGMGCGCGFGSGFGKGCGFGSGCGFGFGSGPGCGRGFGSGFGSGCGSGRC >cds.KYUSt_chr2.48144 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301035454:301036081:-1 gene:KYUSg_chr2.48144 transcript:KYUSt_chr2.48144 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSMLLGGGAGAAALTAAGKALLGPCFLAARPRAVSGGRLCLQTAPRSSPVYSSASDVTGEAVESVKGVAGEAAGKASDAKDSVVDAAGDAAGKAQEAAEGAVEGAKTGGDSLVDAVKDGASKVSETAQDLGGQAKDAAEGAWDATKDAAQGVADKVAAAAEDLS >cds.KYUSt_chr7.25810 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161059599:161059945:-1 gene:KYUSg_chr7.25810 transcript:KYUSt_chr7.25810 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLLLAKKKVHRPEEERQAKEEAQESWKEASSDLWARMDQVTTNHKQAFESIDEVVARWHKSSSQSSRREVDGIGCLLTNV >cds.KYUSt_chr2.29703 pep primary_assembly:MPB_Lper_Kyuss_1697:2:182813992:182814585:1 gene:KYUSg_chr2.29703 transcript:KYUSt_chr2.29703 gene_biotype:protein_coding transcript_biotype:protein_coding MANIFPPNLDLPLPELLQLPSSSSSYSATHAELLLRRRHTPDVPATYATITMRTHAMEIRFFLDREPFLIRETFLDRESFLIRETFLDREPFLIRETWAPVSTSHPAMEPSLRLLDLGMLTPAPLPRPSHGAATASMAAQLLHAAAMPRHRGLCATTQVRRGRRARRTYGVTLPPPRPPCSSRTNRASRPRLGSTWL >cds.KYUSt_chr3.32820 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206261498:206261809:-1 gene:KYUSg_chr3.32820 transcript:KYUSt_chr3.32820 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr3.47972 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300428944:300430557:-1 gene:KYUSg_chr3.47972 transcript:KYUSt_chr3.47972 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPDGGGNEKKPDGEIHEEAAGGPGNINVKDHIALYFSSPKANGHAFEQLQETSYRFTPFEVPSSNLQPSSSPSSSSREIMLGDQRNGSSGSFRSSRRSSRRFAIPLVKKIDWGSLWGKSKVWIRNPVNMALFVWVVAVGVSGAILFMVMTGMLNRVLNKAQKDTWFEVNNQILNALFTLMCLYNHPRRFYHLALLCRWRAADMLALREMYCKDGTIKPNERKHMMVVILLLHLNCFAQYAMCGLNLGLPRSRRPPVGVGLTISVAICAPAVAGLYKNLSPLGKDYEAQAADEESGSPQLQRKTIEKRYSFAMHSVVFEQEGAASSSTELNPVWKGGVFDLWDDISLAYLSMFCSCCVFGWNTSRLGFGNMYVHTVTFILFCLAPFFIFNLAAINIDNDGARAALGLGGTLLCVLGLLYGGFWRIQMRRRFRLPANHFCCGKPDVTDCFQWLCCCPCSLSQEVRTADAYQIVQEDRMQRHHRRDDDSNSQVHMPSHGDDVQQQQPMRFAGVLVPNGATNTSTNTIPPAVPVVIHK >cds.KYUSt_chr4.49752 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308133924:308134316:1 gene:KYUSg_chr4.49752 transcript:KYUSt_chr4.49752 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPATATKLTVDVYTAELAGASVGAPAGVAETAEGVTAEGASVGVSMGGDGGDAIGDGDAAVGGVATGAGAVAGDLAGGAGRGAILGAGMGPCAAAVMARRATIAATTAKRAILLLLLLAKDLAKI >cds.KYUSt_chr7.2032 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11624655:11625100:1 gene:KYUSg_chr7.2032 transcript:KYUSt_chr7.2032 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGLMAEIVDRGATSSGASGAMYIGMGVMTGWGCQWIYTWFYRTKMRAQYGLQETPYPDCCVTGFCEPLAICQQFRELRNRGFVMDIGWHANMELQQQQGRGGNAATVPPAMHVDGMTR >cds.KYUSt_chr7.5809 pep primary_assembly:MPB_Lper_Kyuss_1697:7:34764738:34770018:1 gene:KYUSg_chr7.5809 transcript:KYUSt_chr7.5809 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSGRVPEEISRIPRDGIGGGGVSVQLVLEIGEHGIGWCWMEERWLQGAQMGLQMGLPPAPGLLFCCASVSHG >cds.KYUSt_chr5.31629 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200435748:200436047:-1 gene:KYUSg_chr5.31629 transcript:KYUSt_chr5.31629 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYVEMVVDDHVRGLGEWAVRQHNKESGEKDDVQFGKVVKAEGQVVNGMNYNLFIDGKDIRGAPGTYLAEVYEKAANRPGVQEILKLNEFVRLLKSS >cds.KYUSt_chr6.30453 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192884092:192885336:-1 gene:KYUSg_chr6.30453 transcript:KYUSt_chr6.30453 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSISDLDAIASDQKQIFLVASSWVKEEEEEENEAEGGAAVEEETEGADAAGRQETDGAAAGGQQEEQGAAEEKEEDAEQEEGEKEEKDDDSDEDEGEEDGDEEEEDPPVFKPRDLQVMDINGNVVRVFKGMGGSGIVCTSVDGLICITGGSSGGAHVLDPTTGKMLMFCSKIEVRAHDKYPYIVTPYFTTFGFGRATGPSRPYKAVRLSDAGACEVLTLGDGPMWRLTHPPPRVVPSNRGSPVSIRGVVYFLVGKELFHDSLLCFDLESEQWEKQPIEGPRKLVTDEEWKKTSLVRVTELNGDLCMIQSLLQMTVNPRATIWLLINLGSSTWMKMYTIKMAPSTCLYTPLMLRDDGKLLLHCTTGCNYDDVESLVLQLYDPSTETFSDVTKMPRNFIKRIGICRWSLNSHV >cds.KYUSt_chr3.39913 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251561835:251563169:-1 gene:KYUSg_chr3.39913 transcript:KYUSt_chr3.39913 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVATGGADGDAFNECQSRLAALRDANLGLRKDTIRGGKRSATEALVPEQFLCPISSEIMRDPVVLLFGKAFGKDQLNADPWGWLTTGTWDKMAHHHGRSNLK >cds.KYUSt_chr3.48658 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304132462:304134051:-1 gene:KYUSg_chr3.48658 transcript:KYUSt_chr3.48658 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGVDRLSELPDDLLRRVLHYAPLREAASTTALSRRWRAPLWRCSGALNLETGKLRTCYDNPRFFTQRDCFVAAAEAALDAADVPVRRLTLRLDSDLHQRMGDIDNWYRDRAHDKVVSRYTGLVDVALSHGAARRGVEELRIVANPKSDYLYTRDHTGLFTVTLDSLQLETIRVLDLTHCKGLLGTHLALPRLSSLRLSHCSQHLRSLQQAIDAAPALAAIRLETVLIDATDKEAKHGTTRHLRCPAATLLVLDSCKWEEESQSCNRFVYNYETTVPVQAVEIHAPRLRRFRYKGQLRSFSFSAQPPELEQVDLDFSGRGNNGNSKDPERDLATFWRFARSFTSTKELRLRVNHLEDIAVLSEARRAELLPAFRRLEHLEVQGVDSTKGKAAAMAILNMLRCCPMLAALRINLTAEKKADSSNKKGVPDTRTPQKEIQIAALAQCHLFQCLQSSLVRVALQFQLEKSNCLGVKLIKFFAENSIVLEEMYIDGGDENLCKHMNPKTEKWNSKRRKSGATSFVVLPLER >cds.KYUSt_chr4.5816 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34008997:34011267:1 gene:KYUSg_chr4.5816 transcript:KYUSt_chr4.5816 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIALPDDLVVDILSRLPLKSFCRFKCVCKSWLAFSSDPHYRKKLPRSPSGLLWQKPEHGTAIGFARLPSGDRDIDTTLCFVPSYAHPLKLNHCSNGLLVCHSGDSNAIVCNPATEEWMSLPCTQPGSSGSHSYLRLGFDPLWSQHFYVFNFQWYLFPDGVYRYEVKVFYSEDSTWSSCLWETSDALLGVSLFLSGVLYVKSSLAHELLALDATDTCSQLPNARTIQLPGFPNGLAGFSFENGCISLSCGVLCYAQQELDGCMMRIWSLEGSGRWVVKHRLSMNDVFGRDLLLRNTNTAETWFFDYYILAIDLERELVIVADRIAHMVFSFSISTGKLSGIWIDSEPWLIYYYYVPYYNKFPASVLQRAQVSTIALPDDLVVEILSRLPLKSFCRFKCVCKSWLAFSSDAHYRQKLPRTPTGFLYQKRELDTSIHLARLPSSDRDIDTTLSFLPCDGYPLELNGCSNGLLLSYSRGSTDAEISNAIVCNPATEEWMELPYTQPGPTVTFSYLMLCFDPLWSQHFYVFNFQESYFSAVEHKTEVKVFFSENSTWSTCLWESDDPCWSDALFVNGVLYVENTLLHDILALDAPDTCTQLLNERTIQLPGFASGPSQGFGCWNGRLCQSCGVLCFAQQELDGCMMRIWSLEGSDGWVVKHRLNINNVLGRDIILRTCTYGSWYFEYDIFAFDLERELVILVDRIADNVLLDNPRNGKVIPFSISTGKLLEIWNASEPGWGYYYVPYYHKFLASVLRRA >cds.KYUSt_chr6.21058 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132894882:132897631:-1 gene:KYUSg_chr6.21058 transcript:KYUSt_chr6.21058 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMKITLLVMAAMAILSTTSAATYNVGEPAGEWGFGINYGSWASSKQFIPGDSIVFKYSPQAHDVLEVSKADYDSCSAASPMTTLKTGNDIVALPATGTRYFICGIAGHCSAGMKVTIDVVSASSPSTPSSPAPASGPSTSNSPPPPSPSAATSVKFLGSFAKFDANKIWLAQVEPKCKIFAWLELQAKLLTADMLAIRGWPHDPVCPLCLSAPETAGHLWLLERDIFDLFLPEFDKPWVIHLRKNLLLFYKPLLLEAQHCLQEKKRE >cds.KYUSt_scaffold_2697.662 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4217889:4218677:-1 gene:KYUSg_scaffold_2697.662 transcript:KYUSt_scaffold_2697.662 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEMMIRMKASSEKGQHSKAMKIAAAIDGVESVTVSGEGRSLLRVVGEGVDSNDLISKLRRKVGHADIVELHTLQAGRGYASSSATGGSGGYHSVHDGARSDSAAYGQYSNYHGPSYSPVPADPYYYRHQQPSFEYYSPPPYPATVVFQEYPTGEAPGCSIM >cds.KYUSt_chr7.21466 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133112246:133120718:1 gene:KYUSg_chr7.21466 transcript:KYUSt_chr7.21466 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWRRHGSYLDISPQNAEDMGIQELMRILQKRFHFILLIIDLHKGVVNVMDSKRKEYAEWADMAAILQRAWKRFINTVPGKWKPELTFQDYPMEQQRKEEEIRKISSRLDYYAALTTTARYCKLSCLGSSENEKNMVAELAKVAKKNTNNPMDVQLFFMIVGPK >cds.KYUSt_chr6.8436 pep primary_assembly:MPB_Lper_Kyuss_1697:6:51737468:51738682:1 gene:KYUSg_chr6.8436 transcript:KYUSt_chr6.8436 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDSRSQALTGHGRDIAPSAPFDPTNLPFLRPRPPGSYRPYLQRSRVGGFDCAVFATAVSAHVVRPTSFDRGRRPRKAATSETTSLQSMLLDMLLQSLQLMLLDMMLQAVLMAFFSGLSQHSRSFKLTDPLNSSDGKLEK >cds.KYUSt_chr6.18763 pep primary_assembly:MPB_Lper_Kyuss_1697:6:117978896:117981932:-1 gene:KYUSg_chr6.18763 transcript:KYUSt_chr6.18763 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSGTCGWCRSIMFHRCATVLVLQGSFPVGVKLPSAWNYGAIERALRDSEGRQQENIFEPVVTTPFESATEAYEFFNMYSWEKGFGIRYGRCRKNGSGGRSMQDIVCACEGKDDKEGSRSARCGCQAMIRLHRSEDDGWYINQCMAMKGAIETELPNTKHRWCKWHVLKKAKESLGPIYSRVAGFKMVLHELLDEIVSVHEFEERWQAMLVEYNLQNNKFLGRAYENREMWAKAYFRETFCAGMTSTQRSESANHMLKTYVPRSAPMHLFLSQYNRMIADRVAEEGKEEHATKQVRRVLRAGVPLEKRAAQFYTRAMFDRFSKELFRSGSFQCVPLEDGESYDVVMLYATRSDGGFASFKVLVHEGVGDMPIGLYLARWGKEGSVRYSDMDGKVSDLVSREARLASLHSAVYASAMELVGMAMKSRPGVEMCMGFLAQAKEAISCLIVKDAAIPGLSRDKGSTDVHEEGEQEEADVIVAPPKVRSRGRPKEKRLKSFGEAMAGKRKLSASEGVSRVTRSKVTSSAGLSNAANNPPARCRVCYSTEHDVTGCPENEEPLPGPTARKCHLCGEYGHYRSTCGRKSSYSRNN >cds.KYUSt_chr1.11372 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69697799:69702204:-1 gene:KYUSg_chr1.11372 transcript:KYUSt_chr1.11372 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSPTAAPAPTSPPSPPANATAPPPATPATPSAPPPAIPAPSPPAPASAPPPAVPSASPPAPSSTPPAPATPSAPSPSPPSGDAPATPAPPSDTPSPPSSAGRSPPSYGGGRSPPAPTHSTAPPKSPSPSSNSGGSSVSTSLVVGVAVGGFVLLLLATFICLCCLRKKRRRQPPPPHYGYPPPPPPQYKEDHYGGQYQSWQQNAPPPPPPDHVIKMQPSPPPAYANRPPQTPTPPPPPMMNSSGGSGSNYSGGEILPPPSPGTGLNFSSSKSTFTYEELVRATDGFSDANLLGQGGFGYVHKGVLPSGKEIAVKQLKLGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVTNNTLEFHLHGKGRPVLEWPIRLRIALGAAKGLAYIHEDCHPKIIHRDIKSSNILLDFKFEAKVADFGLAKFTSENNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVDSNQTYMDDSLVDWARPLLMRALEDGNYEELVDARLGKDFNPNEIARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRFFGSYSSSDYDSGQYNEDMKKFKKMAFTTNDYTSSQYSAPTSEYGQVASASSSEGQQTQEIETGMVKRTGHSGYSSGYSGPS >cds.KYUSt_chr2.27856 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170969604:170973791:-1 gene:KYUSg_chr2.27856 transcript:KYUSt_chr2.27856 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHGSDVHTKPSLIAEANRLVGAILACGGGGSHPKTVKAVIDFLIQFRGGAGVPWVRMYQLFPPWQEQCGLLNQEWDRDAVLERAKVIFTLINTHGNTIRRTALGGGTWKGTGAETIGNNLVLTKLYYKRDGANRPREEGFTVFEFHLAMDLQGYIPGGNNQAEQQMVHPLGPIYSSAPIVGELMNGTRFDRPINQASDNEGLS >cds.KYUSt_chr6.29960 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189733697:189734689:-1 gene:KYUSg_chr6.29960 transcript:KYUSt_chr6.29960 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQKHTVALFLAVALVAGPAASYAADAGYAPATPATPAAPATAATPATPATPATPAAVPSGKATTEEQKLIEKINAGFKAAVAAAAVVPPADKYKTFVVTFVAATNKAFVEGLASGYADQSKNQLTSKLDAALKLAYEAAQGATPEAKYDAYVATLTEALRVIAGTLEVHAVKPAAEEVKVGAIPAAEVQLIDKVDAAYRTAATAANAAPANDKFTVFENTFNNAIKVSLGAAYDSYKFIPTLVAAVKQAYAAKQATAPEVKYTVSETALKKAVTAMSEAEKEATPAAAATATPTPAAATATATPAAAYATATPAAATATPAAAGGYKV >cds.KYUSt_chr3.47886 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300027049:300030579:-1 gene:KYUSg_chr3.47886 transcript:KYUSt_chr3.47886 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLRNGFYDVYEFEASHNHVLAPGTMAHFLRSQRKVTEAQIANAEVAKSVGLNINSSRISKHNQGLVAKPKGIKIKEKTTIGSKRPLGGFEKSTSKKKKNTDDTAQVQPQGTSTDHLELFTNQIAAYQLQYNTLDEVSDELLLAAPSSGRQGDELLLAAPSSGLPSRSGDWTPRPCDASPPSTELTPRWTAEQGDPWTVEQGVPWTVEQGVPSTAWGAGPEGGKHGLRSRHRASPFPDGLHRLQQPHGRRLHGISVFLAPTTASVFFPSQQLPLPSHATAHQPPLPSHATAQLPSSSTILPEHLSFYSSAETVCFAEISAVPVVAELLEDADKVTSKKVIAVL >cds.KYUSt_chr1.35750 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217856874:217857833:1 gene:KYUSg_chr1.35750 transcript:KYUSt_chr1.35750 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGQPQAHDPDGGGASHSNNHRAYSPPPAALPSEVVPAFPPPESEDDESWVWTQIKAEARRDADAEPALASFLYATVLSHPSLPRSLSFHLANKLCSSTLLSTLLYDLFLASLTAHPSLRAAVVADLLAARARDPACVGFSHCLLNFKGFLAIQAHRVAHVLWAQNRRPLALALQSRVADVFAVDIHPAAVVGKAILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVMIGAGAKIGAGSVVLIDVPARTTAVGNPARLLGRRKSDGEKEEDMPGESMDHTSFIRQWSDYTI >cds.KYUSt_chr1.32351 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196379825:196380991:-1 gene:KYUSg_chr1.32351 transcript:KYUSt_chr1.32351 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLRGVVSGHLRRSLSTAAPRPPWVLMDRDTQLTRSPVGVSSSFRPPPSASSITVPVWSLDLQPPGAGDNNLYMDTMRSRLLAASAHGFLLLDAHKSRFKLHPKADLNLPPDVLLKVTPSELVCRRFERRVCNPVTGDLFRLPDLHGTSTDGMGLLTQPERYVAAQLTEVDGGRRFMLRRFSSETGDWGELVLPSPLPPGRRMHLDQEHEVLDFGGRLWWVDLSWGAVCVDPFGARPELRAVELPEGCVLPGQHSDTDIRRLVNLKHRRMGVSAGRLCYADADAFHIRSFTLDDESGRWTLQHQVPVDSLWPNGKVLPSVAAIDPLDADVLHLNVEEFTVSVDMRQETILVGSASLLRGVSPQSASSSYLPCVLPSFLGSAPIPGG >cds.KYUSt_chr7.14028 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86721243:86721599:1 gene:KYUSg_chr7.14028 transcript:KYUSt_chr7.14028 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATRSVVLRLDDLALPPRYLTVASDLPFSHLLRSLPLPSSSFYLTSDGRPFAPSAPVASLPPSAFLKLRLRALCGGGGDGDGGSTCVESCDCYLSMYLAKKPDKADPNEARLSRFT >cds.KYUSt_chr2.36159 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223314709:223320017:1 gene:KYUSg_chr2.36159 transcript:KYUSt_chr2.36159 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGATPDQPAGASPDKLRHVESMSELPSGAGKISGINAVVLGESLADEEHDLIFPSPEFSANALVSSPKQYREMYERSINDPAGFWSQIAETFYWKEKWNPSEVCSENLDVTKGPVQITWFKGGKTNICYNAVDRNIEAGNGDKIALYWEGNEPGQDGKLTYSELLDKVCQLANYLKSVGVGKGDAVVIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVLTCNAVKRGAKPILLKDIVDAALVESEKNGFSVGLCLTYENQSAMKREDTKWHAERDVWWQDVVTQFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVMHTSGGYMVYTATTFKYAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGASVLVFEGTPNYPDSGRCWDIVDKYKVTIFYTAPTLVRSLMRDGPEYVTRYSRKSLRVLGSVGEPINPSAWRWFYNVVGDSQCPISDTWWQTETGGFMMTPLPGAWPQKPGSATFPFFGVQPVIVDEKGQEIEGECSGYLCIKKSWPGAFRTLYGDHDRYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSEELRKSLIGKVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIAAKQLDELGDISTLADPGVVDQLIALKDC >cds.KYUSt_chr5.3908 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25305088:25307333:1 gene:KYUSg_chr5.3908 transcript:KYUSt_chr5.3908 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRSAPLLLVLALLAGLQAAAAAASPVDTVADSCDLIRDFVDYTFCVTALRSAGPGASTADRHAHLLIAADLAVARGASARDAADAMARAERDPAARDALEACGFLYGASSVPALRFLRGYAAASAWDRARELLQLTGQAGFGCEAALDEAPQAKGRMAAANKEFQQLDAMTTALFNKIAELVRSYSNNRYEEGASIARGGDEQLDKKLDVKLDKELAMKLDMKTSHGRTREEREACVREEDEVQAGARPGRPAATPERPVPSPVQPDATPSQPGADRILSRGTPGNIQ >cds.KYUSt_chr5.22791 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148912021:148915568:-1 gene:KYUSg_chr5.22791 transcript:KYUSt_chr5.22791 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFWDRSIGSFTNFCNLAPSDMESVPLNAEAIAFTEKKMDMTLEDIIKMSKKKNPAGKKAPRQPIKKRPFQNGNGNQGNAKVQRFIESRSSIRQGILAQRRSNLDGNQFQITKQAAKKAAAMPVRGRADRWNKQSAPSTSIQRRPVSDQNSKGKEMQNEQPRTMDALFAQMKQQRMRIMPPQQSSATHGHQFNQQRRVQQQRRGRGGYGARNGGGNR >cds.KYUSt_chr2.44466 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276654159:276662273:-1 gene:KYUSg_chr2.44466 transcript:KYUSt_chr2.44466 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMATGDGSDDAGHFGAAAEVWVANETKAEKMFEAKNDKANDFVEASKLKASSVLVHPIAEALKAEEQLFHSVKEETEDQFLDGSSSLPVDPEAKKSGPFVVTEVMTKEEEKLYEARVKVEEEEEAKRKEEATRLAFDPNARFSKLDELLTQTQLYSEFLLEKMEEITDKVVEPQDEEEPVEDKKKGRGKKRTTNSKPQYNDKKAKTAVEAMLTRSREDRSADDGTLTEEERWEKEQANLVPLMTGGQLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLTHLKGKGMHGPYMIIAPLSTLSNWVNEISRFVPSLAGLIYHGDKVTRAEIRRKFMPQTIGPDFPIIITSYEMAMSDAKFLAHYNWKYVVVDEGHRLKNSKCKLLRELKRIPMANKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGDEQQQEETDENKRVLVISKLHAILRPFLLRRMKEDVEQMLPRKKEIIIYANMTAHQRQIQTHLIEKTFDNYLHESTEIVLRRPGMKMKLNNLMIQLRKNCAHPDLFNAAFDSTSLYPPIDKLLEQCGKFQLLDRLLDSLLKLKRKVLIFSQWTKVLDIIDYYLYTKGLNVCRIDGSVKLEERRRQIAEFNDLNSSMNVFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTHPVHVYRLATSNSVEGRIIKKAFGKLKLEHVVIGKGQFEQNSAKPNVLDEEELLALLRDELDEEDRMIQTDISDEDLLKVMDRSDLTGPPAAADATPLIPLKGPGWEVVLASKSGGGMLSALAS >cds.KYUSt_chr4.29190 pep primary_assembly:MPB_Lper_Kyuss_1697:4:183194205:183196816:-1 gene:KYUSg_chr4.29190 transcript:KYUSt_chr4.29190 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLRLSPVPKATIRDGVNCRWSNRNSTGRSRAQAPPEDAVERGVKDFFQWFHVGAGIPGVAPHYTPPPSTFDVLLGSYWFDKPWFLTEGKLAVSKDETHREFIIFAKKAQRMYESEIKAIRTDNGTEFKNYTMQEFVDDEGIKHEFSAPYTPQ >cds.KYUSt_chr6.1028 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6538923:6541121:1 gene:KYUSg_chr6.1028 transcript:KYUSt_chr6.1028 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDREKELMGFTMNGSEDVKGLAASLGDLQVGASPSSPSREKEIDWLGNGDDVATDDGVWDDAAILDRDWAHRKNQFVKMGYRDGITEGQKDAAQEGFNIGFSQSVHVGYKWGLVRGITSALDSLPDSLKEKLLPDAQRRGELKDLHNSVQEISSEGALRLFHESILQDNRPPEENRLQTVPNDLFLLLNECPAVQVPEELTRVPQSASWFGSAGFSKFAQLNGLIHSSEYLCSLLLM >cds.KYUSt_chr3.29546 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184901706:184902141:-1 gene:KYUSg_chr3.29546 transcript:KYUSt_chr3.29546 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQEQGEEFQEADILWPAEAAQDLELAHMFRLLDDDGDDEAAAGAKALALQAGFSNLSASLAGAGGSSLTTIGSHVFVPPHVIVDRRAKRDKAMLMLVDVPMGRVRPRAMAMLE >cds.KYUSt_chr4.49989 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309667966:309673552:1 gene:KYUSg_chr4.49989 transcript:KYUSt_chr4.49989 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRAAAEEGDLDDGDGALRDLPLLVPPPPPGPPPPPPPYLRWEDATTARPALRTAAPPTAKTPLSRVDPGAAGNPRPSAAWLPFQSYGAANHSDPTEQGQAARPSAATSTAAADKPGAFDHDFRAYTFHDMRPAASDFYTTSASDRGPVVGSHAPRSSNNTGAAANSAHPAASDLGAQFNMTMPRGRQDMPRGEQMYGAPPNAWTPVAVEPAYPFFSAFLHGTDRMMRPEQRAPTSGYYPAAPLSNDRTSFSNHPSGSGAGSMAASSSQYPHAHYGSTHTVSDPGFHADSMYGTGRCGAAPASSTSWPSTYHGAAADSPRSTSDLDVILSAMHGHGVVHLLDKGDQQIRSRVLAAVNKDVHLVMVHPLGCDVFQALLRCCAGHYEKLWSIVQALATTNYHTWRCKPSDDRTWIECMKRLVTAVAPYQHLYVTLLGFLTRKDLSVVKRREGDKLLALCFCMIPYQQIKPLILHVLANIEDTIQSCDCLRVCFDNASIEELVEFQKVLLTLCTAMAKGKYGNYFVQHVLRNGTTEFKQPIVQRLMHDVVALSTDNHGSHVVQLCFKDLDVQLLCNVLTAFRELTERQLAEMVRGRSSKYVLHKLLDTHLPQLASELAQRLATLPGDIWHHAEANVVKRSANRDAWNETLCSLLIIVPCFCFFRGVLEATGDQHPGSNGEAGLNVIFTPQMLVLWAGDDGWSNRVSGDFQAFLAPQDMLMANNLNKSLNALQKDNLVRPLVKTSVEPCDERRPFHALLDVDISSKIRDDEVVLVVVSQLHPCPFALAHTDCLVEACVARGLRRAEELWDLCMVLPLLAASE >cds.KYUSt_chr4.15909 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98400639:98407804:1 gene:KYUSg_chr4.15909 transcript:KYUSt_chr4.15909 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGSGSLLRRYGSGLLILSWTSSFMINSNWAARWATCLITVYGPPGLAGSRHCRWYTHEVYPQQVVRKHPSCGFAPDKIVKRVRDAESSLDKVKRQLSTGSGRYLLQGPLLKRSETLRKWNERWIILDPTSGKMEYKLRRNETAVKGSILFDAASTITLSPVNFHGMPKYDGCCFYIGTPQKKDYFLCAETPGAAKAWVSTLHATQLVLQAHKEAVNSLAGNGSPSTLGTVATAVANANATALEAMKEIDAALKVSMRAALGLGTNNPNEGQLDDLTIMKETLRVKDEELQHLAKDIRARDSTIREIADKLTETAEAAEAAASAAHTLDEQRRLLCTEIERLKKAMETQMEQSMLKLRQSEEKVISLSREKDQLLKERDAAFQEAHMWRTELGKAREQAVIQEATIARAEEKVRVSEADAAVRIKEAAENLHAVEKEKEELLALIGVLQSQVQRDQSSTKQVCEERSESCSGTDNSPPLTKHVDASDDDVDKACVSDSRSVLVSSDSTEVQLAVDGVDIRPIGDAEWGDFQQPEALIADVREVSPEGEGSSLDIPVVNPPPVPDHMQGGATHP >cds.KYUSt_scaffold_869.607 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:3887783:3888366:1 gene:KYUSg_scaffold_869.607 transcript:KYUSt_scaffold_869.607 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQPAKGIISIEACARPIAVDHRISLPYYFRIAGTLLRQAKIYRDEKNILDLYVILLRYTSLLCETIPKHRDYPIFKSREAEFVRNANSS >cds.KYUSt_chr2.47552 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297518375:297521237:1 gene:KYUSg_chr2.47552 transcript:KYUSt_chr2.47552 gene_biotype:protein_coding transcript_biotype:protein_coding MCHNGDMTVGTSPGDTGGDLAAPTLARALTASEGVCSPEWLGGSCFWMLQLSDDEGDGEEDGEEDSAISARCFFRSPSPVSDVDLLEDSAELTRRHLERIKRRDDQWMATRAAMLFSTTEGMNSSLSLPLGKNHGSTNFKAMPVLEPIVLFDDNDEDWTVVRRRRWSPAFSAKTRDPRKKENSKKPVVGLVRLRGRLAWKRCETGAPAVLRRCSDVSMNGDGGQGGFNPSRGSIGQGRGGYGNARGAFVARGHHNQGALVAAMYTDQAAATKQRWNTGRGGGYLNRPRVNGADAAAWNGIDADLLQQTVQVVVAAVTAVTKANEPPAVRVPQVDDNLGMATDQQVGMLVAAPNAILQQQTVTGQGAQDIQEAGAKGKDNEGQGSPPKKKEDKAGCFRCKKHGHYIDDCPTPFCDICESIHHAIPACYLLNAPKPTTTIHGYANEALMLFELPCGAFKAKTKNPKLAKVTVDGDAMTIPEIIKQLKKIVPSEKFNWEVFHFRDNLLRVKLPSKQEVQRLKNFGTYICTNRESCLTFDSRSLGSCSSLPSDIRSDYLSLWGVGTLFGKTLDVDMTYTRNNKVLRTKIGCLDRNLIPTDSDVFIRRGFFKLHFEVETTLGSQEVNMAEANNGNDGSDDAHQGEGKNGGDGQLSVSTSGSPLIGRVAGSGAAGRVLLHAPKAALHPVADSLMPRPIIGVQRSDHLVGRVEGSRTGECMVQRVPPIAASLVADSYNLGQSVACRGQIIGEAASREGTVCLATCAAA >cds.KYUSt_chr1.39928 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244454886:244464844:1 gene:KYUSg_chr1.39928 transcript:KYUSt_chr1.39928 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGDLVQSDSKVKIPREKWLEVTAAIKSGKLKFVPDREKDLLTLVLGNPEKGGRTRGFGPSYPWSLGFPDDAETYRSRARAKQRQLEVQNDRMTEFQRRLDHQQWEIQQQQREIDELKGRREPDNTAGISQRRSSSVADSEAPPECTRMIDGGPGYPVDGIKEKTPCDLHEGHGGIDMEETLKLVAQIGCTVEELLGSQDGALPIADIAPKFVYGADLVSRERLHQLPTHMRNLHQWYLDVCKENTTFIVANIPDAYYFRREVLHIEISELWQLFNLDSLDKSLMSCYCFFHCILLDIQVDKGIVEVRDPLSRGLEGFLDLQKLLQRVWRVFKKRNKGNFAEKLTFTHVPCDQQPQGTNLCGYYVCEYIRMLTTEKNDNRFNVEFMREKLQRREHLLGIAEEVAGLLMREIIDDKGSFDTGTALISRAASSPVRCDRQIDQHRDGAGLSCFSTQFQLPLLLGPHLQPPPSWSAIPLDLAVLVLRLLPAYVDRARFAEVCPQWRAATRLPLPPPHPLLALPNGTFYSLPYTKPFRFPGCGFAGYQSACGNWLVFPRDDGCFLVDPFSRATVTLPALSCVRLRPPNAVAKWLNIGRAKIADPYTTWMHIRGSKKLHISKLIMCSPNLVAALAGIGYTSQILMCQPGASAWSVRAYDRCKVFEDMVFYQGKLYAVAKDENLLVVNISEDHSTGDPQVSKIGRVIKGDPWYPAVFENNTRPRKKLYLVESCGALLMVRRVIWCRVPEPGVKGKVVAGQSKFEVFEADFEHSRWVKASTVGDDQNRVEYHYEDENTSFHVYDMRSGTVRTASPTISWKRCNEHYNRNPPSATHRCVA >cds.KYUSt_chr3.29958 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187526745:187528209:-1 gene:KYUSg_chr3.29958 transcript:KYUSt_chr3.29958 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWHENFPQDIVNENELFKQQIPRQSLVFLSFGSLLREAACGDRNRAGKIEAEISWVVRSPRSPYFKYGDSLPSPDLDALLPEGFLERTKDRGFVVKSWAPQVEVLRHRATGAFVTHCGWNSTLEGVTAGLPLLCWPLYAEQRVNKVQIVEEMVLGVEMRGYNQEVVKAEEVEEKVRWVIASHGGRALREAGGGSQGWSRRGAQRRGLISLGVSSIPPQSGYSNPATGLM >cds.KYUSt_chr3.11258 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67126969:67127932:-1 gene:KYUSg_chr3.11258 transcript:KYUSt_chr3.11258 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTLPHLGKSSHEGVKESVECVPDPIAFHSEVDIFKKTPCSSLTWSDADGGSGVRRICGGSPADEQHWRRFCHFLQTSSSVHKADFPMMALLRNEVAKFDRESKGYRDEEE >cds.KYUSt_contig_1546.53 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000163.1:319376:324678:-1 gene:KYUSg_contig_1546.53 transcript:KYUSt_contig_1546.53 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSWIPTHPPPGCRGARLEASFRPVAASRSSGEGEEAGVDGERGDPWSSIDGGWREACDGGVRKTRHARASEPSVPGTRAARRLIWGRPIRHVSRGWRSEGRGRASGGPEKKFRARKKFACLAASASRAVYLLPHRPHAALHRRNKLFSSDSEQTQKQKQEEERRRKGERGDRRPLPLSSPLPPFWKANPAMGQTTSLLVKSTLRNENGRIKYATSSMQGLCSHMEDASAAVLDLDLTGSTSFFGVYDGHGGSISFILFQLSKVKVSILHLFVHRMDEQLREDDEWRALAKPRRRFSFNLLNCLKAPACVKGTPYSEGSTASVALIRGNRIIVGNVGDSRCVLSRNGDFNFKSNCLRATEQMVTCNPDIRTAVITDDTEFLLIASEGIWDMLSSQGAVNFVHQKLASGTRDLRTICEGLLDHCIKSKNNMTVILVQFKPAARIPLPAPPAAMPVAQSSASETSSGTSREINEDPPASSNNA >cds.KYUSt_chr6.28684 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181768998:181776049:1 gene:KYUSg_chr6.28684 transcript:KYUSt_chr6.28684 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPASSAAAIPCEGTSFMLPRISGPRPSPAERQLEPFSGVRSLTRRWVGAGERKAPAINGELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDVEAHVPSYPNLPSKLICLLHSVTLQADPDTDEVYAQMTLQPVNTYAKEALQLSELALRQARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKIFPALDFSLQPPCQEIQARDIHDNVWTFRHIFRGQPKRHLLTTGWSLFVSGKKLFAGDSVIFVRDEKHQLLLGIRRANRQPTNISSSVLSSDSMHIGVLAAAAHASANTSPFTIFYNPRASPTEFVIPFAKYQKAMYSNQISLGMRFRMMCETEELGTRRYMGTITGISDLDPVRWKNSQWRSLQVGWDESAAGERRNRVSIWEIEPLAAPFFICPQPFFGVKRPRQLDDESFEMENLLKRAMPWLGEDVCIKDAQTQTATMPGLSLVQWMNMNRQQSSSLASTAMQSEYLRSASNPALQNIGAADIARQLYMQNHLLQQNNIQFNPPKLHQQMKPINDLCNTSLPLNQLGAIRNHQEQKQEQQRQQQSSNQVIPLSQAQTNIVQAQVILQNQMQQQPQQQQKQQSPSPAQNQQGASGQQLSQSHQLQDHSLQLQQQKLFLQQQLQQQQQLNKLPGQLVNLASQQTQLSDQELHLQLLQKLQQQSLMSQPTVTLSRLPLMQEQQNFLVDMQQQLPNLHPLAQQQVMPQQDCRTSSLQTTELPPPMQQENQEKPLQKQVASTYVPEAAFPQISSTSLISKTGNTMIVPSAARSALTDEIPSCSTSPSTANGNHLVQPTIGRNEHCKINSDKVSQSTAQMSILTSIEAATATPVTTKELSKLNNSVKPSVITSKLPNVVSGLQNFMSNALPTDNLETASSATSLWPSQTDGLLHQGFATSNFNQHQMFKDELPDVEIQGVDPSSSGMFGMNNDSPLGFPMETEGLLENALDSVKYRNHFSTDDENNYRMPKEARQEMSTSMVSQSFGQSDMAFNSIDSAINDGALLNRSSWPPAPPPQRMRTFTKVYKRGAVGRSIDIGRFSGYGELNQALARMFGIEGQLEDRQRIGWKLVYTDHEDDVLLLGDDPWEEFVNCVKCIRILSPQEVQKMSLDGDLGSNVLPNQACSSSEGGNAWKPRYDQNSGNPSIGPFDQFE >cds.KYUSt_chr2.25194 pep primary_assembly:MPB_Lper_Kyuss_1697:2:154083837:154086245:1 gene:KYUSg_chr2.25194 transcript:KYUSt_chr2.25194 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDEVVESGGDFAAKDYTDPPPAPLIDAAELASWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDVSVNGTDAPCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYMIAQCLGAMCGVGLVKAFQSAYFQRYGGGANTLAAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAATIYNNEKAWDDQWIFWVGPMVGAAIAAFYHQYILRAGAIKALGSFRSNA >cds.KYUSt_chr2.37232 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230491124:230491372:-1 gene:KYUSg_chr2.37232 transcript:KYUSt_chr2.37232 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTFPPRQQLRWLVLLALLLLLALAPSCNASRGMQPFRARPLAGGAANHFFGFLPRAPVPPSGPSKGHNAVGLDSQLEKP >cds.KYUSt_chr6.16717 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105107229:105111603:1 gene:KYUSg_chr6.16717 transcript:KYUSt_chr6.16717 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGVLLGMGNPLLDISAVVDEDFLTKYDVKLNNAILAEEKHLPMYDELSSKGNVEYIAGGATQNSIRVAQWMLQTPGATSYMGCIGKDKYGEEMKKNAQAAGVTAHYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAANNKVFLMNLSAPFICEFFRDAQEKVLPYVDYIFGNETEARIFSKVRGWETENVEEIALKISQLPLAEGKQKRIAVITQGADPVVVAEDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQGKSIEDSVKGGCYGANVIIQRSGCTYPEKPDFN >cds.KYUSt_chr4.23635 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148903630:148905598:-1 gene:KYUSg_chr4.23635 transcript:KYUSt_chr4.23635 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSALLSMQASSGSKPVVAVSASMERTSQWVSSQDVPTDLVVRVAGAAFPLHKAVMVPKCGYIRKAVADATRANPAAPVIEIQLDGLPGGADAFEKAARYCYGANFEISARNAAALLCAAAFLDMQPADGGLARRVEEFLAQSGLRSLPSAVAVLRSCEGPLLTAAEELGVARRAADAAALRICNEVLFPTRSPPEWWASELAALSPASFHRVVTALRCRRAGPEVLVAAATAYAELVLGADADGAFLETVVAVLPSADDAPLPAEFLCRLLHAAVTAGASTKTCRDLEQRVAAVLDQATAGDLLTVAFDSAGEHATNTDTLRRVISSFVERESGAGRNRRASLSGAAVALGAGALQKVAKTVDEVAAEIATEESLPISKFVGVAGAVPKEARATHDCLYRAVDIYLKAHPSLDEIEREKVCSVMDTLKLSYTARLHASQNKRLPLQAVLSALYYDQLKLRSAGAGIGHDDDEEARSEAGSARMQAKADAALARENEALRSELAMMRAHMSSGSGVQRSKGSGSRSAATALAAGKKVSFFGSMSRTLSRLNPFRAGGGWSKDTSSIHERTGGSMHAVKPKRRRFSIS >cds.KYUSt_chr6.23228 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146737989:146740116:1 gene:KYUSg_chr6.23228 transcript:KYUSt_chr6.23228 gene_biotype:protein_coding transcript_biotype:protein_coding MMERVAQGKIWPKRYYRFGVSGTTAVSSKSPQIWSKDPERYYRPRSARGTAIGSRPYWIQSGTRAVPERYDRNALRYLKRYLERQGGEGRGRRPGKGHLPRPSNARRHPPPATVHRSLPPASVDRPLFLYLTRERPQPLFPYPARERPQPLFPYPARERPPSAATARRPPLFPFLPPLF >cds.KYUSt_chr7.32537 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202656122:202657681:-1 gene:KYUSg_chr7.32537 transcript:KYUSt_chr7.32537 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTLTGRLLRPRFAPPLAALRGYSSRASASVAATDIAIDDDTPRPAAPVGAAAIAATLPTVLQPRVLIYDGVCHLCHRGVKWVFKADKHAKIRFCCLQSKAAEPYLRLVGMDREDVLRRVLFIEGPEAYYEGSTAALKVASYLPLPYSVLSSLLIIPVPLRDAAYDYIAKNRYDWFGKGDECLVTKDQELLERFIDREEMLGGGPSNSSY >cds.KYUSt_chr4.34300 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210466455:210467690:-1 gene:KYUSg_chr4.34300 transcript:KYUSt_chr4.34300 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKSGKNGDYTEPSTSTRERKRRKPRHATPDPGSGSDSSPPSSPASVRRLLEPYSKPRLVAFLAGAAAADPALLARVRAAADASPSHRRIFVHGLPPHADGPALQAAFSAFGPLSDCHVVADRASGRCRGYGFLTFLCRSAARLAVRAPCVVVAGQPVSAQFASAGPDPSGAAAAAGRRVYVTNVAPDASAERLGAFFARFGELEGGPFGFDAQTGSSRGYAMFLYRAAEGARKLLEEPYRVFEGRTLHCQLAADSARKKSKPSSASSSAAPPGAASTALRPVLDAVVAAGAGDLAMYARNPAQAAALLGQNPVLAAAALSSALASAGVELSPAAPTAAQSPAAFSHGSCPVAAAAATRSPSGPAVAPPPAKVWSRPNDAAGLLGPYKPPSSDLQRPSSPGRKWAMLGN >cds.KYUSt_chr3.41634 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262717829:262718176:1 gene:KYUSg_chr3.41634 transcript:KYUSt_chr3.41634 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFAQRAIHVSPLTPEQDIRKQSSCHAWYLALTHVPDPSGQAIVTAPLEAVLISPPTHRRRLASSSVLMEKPRSFWVEDDEGWTAFSLVPPMPTTEALTLRIRVDDKAGAAEAE >cds.KYUSt_chr4.10195 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61643041:61645223:1 gene:KYUSg_chr4.10195 transcript:KYUSt_chr4.10195 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVWSRRCKVGRRRAAGLQRRRREMTELTVFERFDERSWVTWLQHVRGAGSSPGTNHLGPSARQLANSRRRGSQNPHRSSTASPKASRREEEKMLASASTSIGRLSTRVTNKDEKTTLRPLTLAGISRRRSSKKEAANRPPITKEHSRRRRFNGSVDESELQPTGRLSARRFTGEEEHSPST >cds.KYUSt_chr4.10626 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64566077:64567351:-1 gene:KYUSg_chr4.10626 transcript:KYUSt_chr4.10626 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFLLSLLLAVGAVALLQVLKVALNPISERAPPGPWKLPVIGSMHHLVNVLPHRALKNLADAYGPLMMLQLGQTPLVVASSKETARLVLKTHDTNFATRPKLLAGEIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEHYQQHRCAGAFGKKRKNAAEFMAAIKSGVGLASGFNIPDLFPTWTTVLAAVTSMKRSLQGIHKTVDAILEEIINERNIARADKIKVGATENVDENLTDVLIGLQGKGGFGFHLDNSKIKAIILDMFAGGTGTSASAMEWGMSELMRNLER >cds.KYUSt_chr2.12869 pep primary_assembly:MPB_Lper_Kyuss_1697:2:81494782:81495402:1 gene:KYUSg_chr2.12869 transcript:KYUSt_chr2.12869 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGWGIGVEAVCHLQCEISSVEVTGALGCTGDARALFLRCHVPAGGGRTIQVDSQSAEAHVGDVVSWRDVASLTCDGSAACVRELVDTRSVVFEMRRRRRKIMGRTGGSVLVGRAEVAWREVVAVDAGDAAVERRVALTVPALGGGWTLAPVMSVRMSVRVSETAAPVRRSTGSHRETCRGGEWSVGSEDVFGVVAFGVADDAGE >cds.KYUSt_chr4.39478 pep primary_assembly:MPB_Lper_Kyuss_1697:4:243654688:243659305:1 gene:KYUSg_chr4.39478 transcript:KYUSt_chr4.39478 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVRWRSVVSSLPCIFFLERNKGKGKRRGDKDEGWRGVVLCGPEKQKKREARAGRKVVIAVWGVHGPGMKGKDDEGSLIEMTGPKRCIAFFSDVLLEFDMRIKNGEKGEDDVQLIDGILEFKGHVMRWEPGELRIGGSCGAVDMCFALVNNAVTAIVEVIISEVKNGFDLSLSSIVDVVKVPEEFQLFVGPVRESCALRKFVIAVTIDSVMHLKFIAGHKGTKGNVRRSCSFEAKLNGYARRQIMLDFASISVKVTWASWVPAQSP >cds.KYUSt_chr2.48789 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305250880:305253077:-1 gene:KYUSg_chr2.48789 transcript:KYUSt_chr2.48789 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFKCAAGSHLRVLRPDSLCDSTEGILSEAASAPPLDCKHQRHPHSSHRGSNFQSLLLQAKPELVSSLLTLALNDAMTYDKATKSGGPNGSIRLSAEISRPENSGLSAALDLLVETKKEIDSYSKGGPISFADLIQIAAQQALKKTFLDEAIAKAGGNIDKGRTLYSAYGSSGQWGFFDKIFGRDDAQEPDPEGRVPEWGSASVQEMKDKFIAVGLGPRQVAVMSAFFGPDQAVTEAKLIVDPDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLSYLGQKINYEAYTYPKQKINLGNLKL >cds.KYUSt_chr7.13612 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83975581:83980673:-1 gene:KYUSg_chr7.13612 transcript:KYUSt_chr7.13612 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPWRLLCCCCCCCRRDSDRTGVDDAKLRPDGAGGEWYDLPPFQEFTFQQLRLATAGFAADNIVSEGGDKAPNVVYRGKLDAQRRIAVKRFHRNAWPDARQFMEEAKSVGQLRNKRFVNLLGCCCEADERLLVAEYMPNDTLAKHLFHWESQAMVWPMRLRVVLYVVDALDYCISKGRDLYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFSMLIDSCLEGQISNEEGTELLRLASRCLHYEPRERPNVRSLVLALVSLQKDVESPSYDLMDKPRGGTFTLQSIHLSPLAEACSRQDLTAIHEILESTGYRDDEGTANELSFQMWTNQMQTTIDSKKKGDSAFRQKDFSMAIGCYSQFIDVGTMISPTIYARRCLSYLMNDKPQQALNDAVQALAIFPTWPTAFYLQAAALFSLGKEKEAREALHEGAAVETKSRVH >cds.KYUSt_chr3.5313 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30176286:30177032:1 gene:KYUSg_chr3.5313 transcript:KYUSt_chr3.5313 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGCRFLVFVWVWVWCLPLMPLPAEEQQQGEGESCSPRMCGNLNISSPFWLADLELERPCGPFDFEVTCVHHTPVLRSSLPFGRDFAILDISYEDGSLRVVDRYELNASQICHVPGWNTSVKLGLPFKISPADLSLILYNCTAAAAATAAARGDMSLVEMETCGSVRGRNRTFARVEGRYDATGDYAGYHEEGCDATVVPVVSSSSWGALSAREYKQLIGAGFLLTWDLHPPPPLVVAGKFIRQIVF >cds.KYUSt_chr3.46487 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292465240:292465482:1 gene:KYUSg_chr3.46487 transcript:KYUSt_chr3.46487 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCCGASDVQVLPKNASSSSSSSSSSSSSANRSGKKKQPEQGKHQAVKQGEVKEKKRSNLDRAALTTPHFPFHSRPGLM >cds.KYUSt_chr3.33140 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208017349:208019111:-1 gene:KYUSg_chr3.33140 transcript:KYUSt_chr3.33140 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVTAVDRRGRPVSRRSSGRWPAALFVIGVEIAERFAFAGISGNLITYLTGPLGQSTAAAAAAVNAWSGVAMMLPLLGAALADSWIGRYRTILYASLFYILGLGLLTLSSSTNSCEGTQHSAGCPSAVQVAFFYLSLYLVAFAYGGQKPCVQAFSAEQFDESDPEELLLQLVLGFGIPCVTMALALAVFLLGTKTYRFYPPARNGGLLVQLGEPLVARIKSWHSSCRLLDDSQPLLASASLSPKRSTGNADLPHEATSMLKLFPIWSACLVYAVVFAQWSTLFTKQASTLDRRIGSLVVPAAALQNLSHAAVMIFLPIYDRILVPLARKHTKNPHGITILQRIGVGLATSVVAMIVAALVEMKRLRIAADHGVLDEPDAVVPMSLLWVVPQFILAGLSSVFGVVGLQEFFYDQVPDSLRSLGIALYLSIAGVGCFISSFLVYAIDKVTSSAGESWFSNNLNRGHLDYFFWLLAALSALSFSAYLHFAQGYVVKKKDNSVLVQ >cds.KYUSt_chr4.4419 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25311660:25312608:1 gene:KYUSg_chr4.4419 transcript:KYUSt_chr4.4419 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASLEGFPYRGSRPGQSLQPVVSAASESEGIDETLAPMLQITPERLELLGDSPAVLPLALCSFETLEVAMTPPPAQLEPCHSLSSLDRGAVLAPSYEALFAKELCGLLASLEAASPGYGKDIDCVLAGKASEDMFRRVQKSLKRVSIRSIRRKRAG >cds.KYUSt_chr5.39948 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252465487:252466599:1 gene:KYUSg_chr5.39948 transcript:KYUSt_chr5.39948 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGLPGCNTTCGNVSVPYPFGIGPDVCYFPGFKLTCDHGSNPTRLLLGDGGADAFEVLDISLENVTVRVVSHMSGGRWSLGGDTIGGLPYILKPESNEFILTGCDVQATLLGNGSIISGCVSFCATITDGGGGYTQYYRPEGADINSMTCSNMGCCQSSVVIGSASYGVELKRLNNSLPGDLDVHVLIAEVGWFYPMMFFDLSQNFTELASRGPVLLQWAVAHGAALPNNEMRACPGDAALSVCKSANSDCRDESHFTLKGYSCQCKEGYQGNPYLTGGCQDIKECDRKETYGCFGDCEELPGSFRCRCPGGTSGNYTMPGGCVKSADIIAGTYDEQKNGTSSVN >cds.KYUSt_chr5.22199 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144881529:144882922:1 gene:KYUSg_chr5.22199 transcript:KYUSt_chr5.22199 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPAPRPCPPWMNLVQLAEDVSSGLPLAMSWSSSRRDVDSIKNGRRLSRPHAITWVNACIFLGFVGEQNQRPVSLDLYRTSYQILSSGRDWETGEACLNEAVAPVLAESAALRAWQLRALAFLDKAGATTSPLRSHSPPSGPGGGDRPFGEAFGLPGHHCHAGTRDASPVSPVRDCQGLGSPGAGPIVLAPPAGASDDQLQHATGLMAQLVLDGENEAWNDGSTPISPVHDQLSSQSPAASVDCAVSATPPPSQPVAPPSPLRDLSTEVHPLQEFLASVAGPVQQPLLVTPPTRKKKMAAALVVSPRRSGRIAVKKKARQLSDGAVAIQELIAKVCGILDPAASFDDASQAAYQQLFLKAPLASAAIQALEALVKQVKKIKKKGPGLSKANSVLDVPHV >cds.KYUSt_chr5.12849 pep primary_assembly:MPB_Lper_Kyuss_1697:5:83814724:83819763:1 gene:KYUSg_chr5.12849 transcript:KYUSt_chr5.12849 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGRLRRALAAFGGGDVWDLVDAALAAAAPAELRARRDGIVERLYAAGRCRNCDDPERPLQQQQPPRQPAEAASPASQEAEEEDAYVDGLGDDEEADEGAGLESKILAIRDFLEDPDQSEDELVSLLQNLADMDITYKALQETDIGRHVNGLRKNPSGEVRQLVKLLVRKWKEIVDGWVRLHNSSGSSILSDGDSPENTQAQGKSYQNAQSTQLVSCNIDTFVTVVPLQRTIREPKDNNLDLEKLDSARKRLHENYQEIQNGDAYQLRHCLLLPSAPMANTKSIHLLVYPVYVAWTCATTLLDAGMEGTAASTPTSVRSLRNRV >cds.KYUSt_chr5.20132 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130843444:130843914:-1 gene:KYUSg_chr5.20132 transcript:KYUSt_chr5.20132 gene_biotype:protein_coding transcript_biotype:protein_coding MYRWPSPGYTSSGSIKGRVTLRHHSWLEFASGCHDHQPDPQPRTGCQRPSLGDPRVSNTSTGCVLRSPHRKDFCPSTEIEIQREVPLEFLHKMDIATAEVPPQLDIADTEKEFGDGRATLAKLRGGLLLPVRYGAEVIDLLLIHAAGSFVVWPWIG >cds.KYUSt_chr5.12923 pep primary_assembly:MPB_Lper_Kyuss_1697:5:84342347:84343600:-1 gene:KYUSg_chr5.12923 transcript:KYUSt_chr5.12923 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRAPNSGNQVFNQSQIPGNFPMPMNQVTDSDHMSEILFGERRKPDHHQVLHQNYHTKDSMTMSDDEEGLNEDTTDSQSGKRKKGSAWHRMKWTDSMVKLLITAASYTGEDQGTDLGGGKRNCAMMQKKGKWKAISKVMGERGCSVSPQQCEDKFNDLNKRYKRLTEILGRGTTCKVVENPALLDRMDNLSDKLKDVARKILNSKHLFYEEMCSYHNNNRNSLPADPALQRSLQLALRCKEENDPMRGASGDADEDDQSADSDYEEDNYGEHHSTHSNKEALPMQKKMQYMADHEDVGFGNSSSSHHCSQRSSPHGIALDINKVFPDGTSLALAQRDLVSESAELEKYRLQIEIRELKLAQARLKWEQLCKKKDKELERMRRENEEMENGNKRLELKVRQKALELELKLKGNGNHS >cds.KYUSt_chr4.46882 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289899914:289902814:1 gene:KYUSg_chr4.46882 transcript:KYUSt_chr4.46882 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPITHPWFGVETLPAMLHKNYSLMRELDRSLQGVQLENEQRCQQEIEDIKHGLESGSITFEPAKLKFSDEAVEEQKHCVRIADEKVALATQTYDLVDAHIQQLDQYMRKLEEYRQEKEAAAAAAAVADTVVAATSATPASAGTSRFAAADPAPKSGRAGERGRGGRKKAKVPTEPPPIDLELPVDPNEPTYCFCNQVSYGEMVACDNPECKIEWFHFGCVGVKEQPKGKWYCGSCISYQKKRKGK >cds.KYUSt_chr5.41463 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261614357:261614737:1 gene:KYUSg_chr5.41463 transcript:KYUSt_chr5.41463 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGRKRITQTMTAKRVADHTTTSVQVKGHCVLYTTDGVRFEVPLAYLSNAVFGELLRVSHEEFGFSGGDDDRITLPCDAALMEYAMCLLRRDASAEVVKAFLSSIARPCRFDGGVGLRQHVAVC >cds.KYUSt_chr1.23269 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138123355:138124181:1 gene:KYUSg_chr1.23269 transcript:KYUSt_chr1.23269 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGDLKLLGLLVSPFVVRVRMALHMKGVSYEYIEQDVIDKGELLLKYNPVYKKVPVLIHNGVPLCESQIIVQYIDEVWPGTNGSSILPADPYDRATARFWAAYVDDKLFPAWLGILKAKTQEERAEKVKETLAVVELLEAALAQCSNGKPFFAGDSIGFLDLVVGCNLLWFEALRRLFGVTFVAAGKTPLLAAWAERFGGTEAAREVVPDADKAVEFAKKLMASLCSAPVANAS >cds.KYUSt_chr1.8251 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50809641:50810885:-1 gene:KYUSg_chr1.8251 transcript:KYUSt_chr1.8251 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHELFDQTTSLHYLCTDSRRRPSPLLISAGAAMLRVRRSILARLLSSSAAFPIPFPHHRLISAAAPAVSPNPGFAAEEYLVATCGLSRAQALEAARKLSHVKSPTNPDAVLSFLAGLGLSSTDVTALVAKDPKFLCTGVERTPAPILAGLTGLGLSPSEITRLASLAPVCFRCRSIVSKTHYYLPIFGSFQSFLRVCGLNLLSSDLEKVVKPNVAFLQEECGLGVCDLASLSWILGTKPERVRAMAARAESLGVPPSSGMFKEALQAVSFLSEEKIAVRVKYLKKMFRWSDAEVGFAVCKAPLVLARSRDILQSKSEFLISEVGLEPAYIAHRPVMLGLSLEGRLRPRYYVLKFLKENGLIQSGRDYFSAAVRSEKVFVKKYIYPHKDAAPHLAQDYADACRGQVPTRFRFA >cds.KYUSt_chr2.31941 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197032734:197034911:1 gene:KYUSg_chr2.31941 transcript:KYUSt_chr2.31941 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRRLLLLAVAATVLLLLHSAAASAAAEDPLIQQVVGRDAEGDEPGLLSAEAHFATFVQRFGKSYRDADERAHRLSVFAANLRRARRHQRLDPSAVHGVTKFSDLTPAEFRDRFLGLRKSRGGLLAAGSAHDAPTLPTDGLPDDFDWRDKGAVGPVKDQGSCGSCWSFSTSGALEGANYLATGKLEVLSEQQMVDCDHECDPDEPRACDAGCNGGLMTTAFSYLAKAGGLETEKDYPYTGAGGTCKFDKSKIAAQVKNFSTVAVDEDQIAANLVKHGPLAIGINAVFMQTYIGGVSCPYICGKHQDHGVLLVGYGSAGYAPLRFKEKPYWIIKNSWGENWGESGYYKICRGAHAKNLCGVDAMVSTVTAIHTQKE >cds.KYUSt_chr5.32764 pep primary_assembly:MPB_Lper_Kyuss_1697:5:207768648:207776868:-1 gene:KYUSg_chr5.32764 transcript:KYUSt_chr5.32764 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFAKPENALKRAEELINVGQKQAALQALHDLITSKRYRSWQKPLEKIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMQLSNEKAEEAKAQAQALEDALDVEDLEADKRPEDLMLSYVSGEKGKDRSDREFVTPWFKFLWETYRTVLEILRNNSKLEGLYAMTAHKAFQFCKQYKRSTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESCKLYLDTRVEQLKIATELSLWQEAFRSVEDIHGLMSLVKRTPKPSVLVIYYAKLTEIFWISESHLYHAYAWLKLFNLQKSYNKNITQKDLQLLASSVLLAALSVTPYDNKYGASHLELENEKERGLRMANLVNFSLDSKRENREMASRASLLSELAAKGVISCASQEVKDLYNLMEHEFLPLDLASKVQPLLSKISMVGGKLSAASSVPEIQLSKYQSALEKLTALRVLQQASRIFQSMKIDMLSRMIPFFDFNVVEKIAVDAVKHNFVAMKVNHLSGAVHFGNIDIESDGLSSHLSVLADSLSKARTLIRPPVHKPSKLGENLTKLAGVVEKEHKRLLARKSIIEKRKEDHERQILEKEKEEETKRMSIQKKSAEEERERLSKEQRLREKLRIEREIVEKEKKEAQAQLAQLENSRKNKKKPIFEGELTKQGMVELAVQMQIKERLEMEKRLQKVAKTMDHLERAKRQEEAPLIEEAFQKRLEEEKILHEQEQLREIELSKQHHAGDLLEKNRLSRLLEHKNAFQERIVQRRESEFSSLRKERDERVNQLISSRKRERETVRKLMYYLNLEEQRIQRQREEDEARKREDEERRKKEEVERKAKLDAIAAKQAQREREMEEKAQREREALLRGAEPVRAPDPAPAVAPPVREPALAAPAASAAAPSRYVPKFKRSGDSSSQRPAAAPEQDRWGSRDDRPRPDSRPLRQDAPPARPEAAPARPFARQEAPPARQQDGAPAAPSTDRWRPGGSRPSANSSSTPSSAPWRRS >cds.KYUSt_chr7.2870 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17022930:17024541:1 gene:KYUSg_chr7.2870 transcript:KYUSt_chr7.2870 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRAHVLAVLVLVSVGVAMGRPMRSNLGLGLGLSANGGLGIGLDLGLGGSGSASNSGEGSGYSAWSGQNGGSHTAYGSGSGTGNGFGYGSSSSNGGSASGCGSGTSSCPGSSSGSGSIGLGTSINAGVGVGSNGGTKGGSDCNTGTGSSQGSRIGSGYGSGSSQGSRGHQSYGVVSGSGVSSGIGSGSGNIGKNGACSSCGSGSDVVDGSSSNSNSGSASSSNSIGGSGSNAGSYSNSGAGSGSSIGSGFDASGSGSVSMSGSSSSSSAGSSGAGAFGASGSGSSSNSGSMSGANSGTDAIGASSDGSNSMSGSGSSSSSNSGTVSGANSGADSSSDAFGASGSGSNSMSGSGSSSNSNSASGSSSGSTGSNSGASAWSGSGSNSGTLSGAGSSSWSTSTSGSNSGSWFGPGQGLGPGTRPGSGTGVGGAFGSGYGFGGGSGNGHAGWGKHH >cds.KYUSt_chr2.39920 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247880013:247880213:-1 gene:KYUSg_chr2.39920 transcript:KYUSt_chr2.39920 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRISIRAAAALIMGAADFSSAVVMAAPGVVLLRREAAKGSEAVEDRARVLARALLELMAIILAA >cds.KYUSt_chr4.42220 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261180702:261181171:-1 gene:KYUSg_chr4.42220 transcript:KYUSt_chr4.42220 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSATQGLALGLLVVCLFLGADVAGAATYNVDWSFAADSWSGGKSFRAGDVLVFNYNPSVHNVVAVDAGGYSSCQGSGPTYSSGSDHVTLGAGTSYFICSLRGHCGQGMKMAVNAN >cds.KYUSt_scaffold_6468.662 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3158032:3158523:1 gene:KYUSg_scaffold_6468.662 transcript:KYUSt_scaffold_6468.662 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRGVCVAAGTGIRRSRARRRRVQMLQKELEVRRRVSTTSSPSMLHAEIRAAGSLGHAAVRLVRKRLHDRQLLPRPSSSVTPRWTMDLFDQTHADMLCAIEDEKNVLKQVLPKNIADLQFALMNYADKGCKL >cds.KYUSt_chr3.4144 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23607685:23608308:1 gene:KYUSg_chr3.4144 transcript:KYUSt_chr3.4144 gene_biotype:protein_coding transcript_biotype:protein_coding MALRILLPLLLLAAATPASLAAIDVVQMLAGKPQYATFLRLLRETKVSEDVSRMKTASVLVVPERAVKPLLSIPADKLRTVLLHHVLTKYFDPIQLAEMKTNVAKLQSLLSVTDKNLGTINYSMEKDGQMYLRSPGADSEAKLIKVVAARPFSISIMEISAPLVCKEILAQGRPKGKGRGGVKMMSADTEAAAGSSVKASAPAATKR >cds.KYUSt_chr3.7339 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42473534:42475440:-1 gene:KYUSg_chr3.7339 transcript:KYUSt_chr3.7339 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESPTSALRRAAAEAKNGAGLLSPRFRSVAELAGWDEESILLAALVVEDTPVRESRRKRRPSSSASAGGSAGSNTRKRRSRRQSPGETPIPPVTLVLDDDDEPNNPADAKKEEEQNKVSAVEGKETSGSAKEAAAMPCMDRLREELSCAICLDICFEPSTTPCGHSFCLQCLKHAASKCGKRCPKCRQLISNSRSCTINTVLWNTIQLLFPSEVEARRTSIGSCSASMDDDVKQSVPRSSNFTQGGMRTRNTSTSSVVQDSARTNRSFVTPGSRRSMQGPGSMNTSTGSFDTQDRRSTRSRGSSRSFVQASQLLATGGSAQSDDSALAYRLQQEEFMTAFDTEDAERQPQNAVSIARDNLRAMASRMTAFDTEDRERQPQNAVSTARANLRAMASRAVRLRARGWPL >cds.KYUSt_chr4.53829 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333061957:333068950:-1 gene:KYUSg_chr4.53829 transcript:KYUSt_chr4.53829 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYFSVAYLLIASTKIEGNLTGFANYLPGKYTPRYRWLEDEFPKVNRSETPWLIILMHAPWYNSYNYHYMEGESLRLMYEPWFVKYKVDVVFAGHVHAYEWTEKTFVLEQETTMSTYKCMKVGVKQRIDDYEKGLKSAYPEDWKERDLDPAVLHVLHRWWHASWMASDSFFIPMLDFLSEDLQGDWMEDSVHGVQLKKHIDATLGSGNLRDAVRLPPGEELNEWLAVNTVDFFNQVNILYGTLIEFCTPATCPTMTAGSKFEYRWADGVQIKKPIEVSAPKYVEYLMDWIEVQLDDESLFPQKLGTPFPPNFREVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVTVS >cds.KYUSt_contig_1989.108 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:579345:580451:1 gene:KYUSg_contig_1989.108 transcript:KYUSt_contig_1989.108 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGALIPVLALLGLAFGLVSGGNFNDDCDATWEPQNCWVYDAGNSLSLALVSNSSGSMIRSKRQFIYGTVSTWIQLVKGNSAGTVTTYYLSSLGDNHDEIDFEFLGNETGQPYTLHTNVYADGVGNKEMQFVPWFDPTDGYHKYTISWTPCMIVWYVDDLPIRVFRNYRESNGVAFPTSRPMYAYSSIWSADDWATQGGRVKTDWTHSPFVANYHGIDLNICECYGGDCIYGCAGAYGQPYCGGLTDEQRWKMQWVQEKYRIYDYCVDYKDGKVPGVECSLPQY >cds.KYUSt_chr1.23588 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140332892:140340307:1 gene:KYUSg_chr1.23588 transcript:KYUSt_chr1.23588 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVVPFNRHKENEEARKKREEDEAARVYAEFVESFKGESTSGSKFVRGGVIDPNAKLRADSEGGKSKDGWSVPKKGSRYVPSFLPPSFGREPEKKKEDERPKEKEKGKPRAIDKFMEELKFEQELRERRNQERDGRHGDTSASSSHFDELPDEFDPTGRLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRVEGQAAKDEMQGVIVYDYELKIGWGKSVSLPSQALPAPPPGQMAIRSKEGGTVIISGPGGPPLTSVTPQTSELVLTPNVPDIVVAPPDDSHLRHVIDTMALHVLDGGCAFEQAIMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLAESLTLKETSIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAAIPDVMESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLKATFLRTGNSGVTPFHSLCGDAPEIEKKTSFEDGNNGFRLDEDGALATGKAAATKELLGLPLSELERRCRHNGLSLSGGKETMVARLLSLEEFEKERVYQKDVDIKYVQDEPHRTGREDISLDERNASRPGEVTGNESDMMGLSYHTGQKRSGESASADPGQVPSKKQKADPILPASKWNREDDGSDDEDRKNGQGLGLSYSSGSDIAGDPEKADPTEVSTDHAIHHPDTIVDEEHRQKLRQIEIAVMQYRESLEEKGLRNTEEIERKVTSHRRHLMSEYGLSSSTDRTNNKGSSERISSERKDRYDDARDSSKKRPRSRSPSRKSSLDRDREHNRNRERSHGNDVGKDRVREKSAGRAKDDRYDRSRDREKDRRKGSIKMGMHDLLHCFKDPLVACLRIEMALLIEIEDLLCSIKMSL >cds.KYUSt_chr4.51326 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318393676:318395981:-1 gene:KYUSg_chr4.51326 transcript:KYUSt_chr4.51326 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGEARAAALMQQQQQLQVQVQQGMSPLQMAATFGAEHPAPAATAKPRAAGLPPTPPTSFNGQRGDVCMEDSSSRKSPAPAAPPAHRRSRSDVPFAGYFPPSSSQQHQLLPQPKTEAGGWGNDGDDLFNSYLNLDNLDALNSSPDSRGSSAKACSSENDDSEECAAAGIRGWADGAGVKRNAAGEPAAGRHARSLSMDSLMGRLNFSGAGGASNGGGGAGTMFSLEFGSGEFTPAEMKKIMADEKLAEMALADPKRVKRVLANRQSAARSKERKMRYIVELEQKVQILQTEATTLSAQVTLLQRDSAGVATQNNELRFRLQAMEQQAQLRDGAYLQPLSSHHCRARAVRALLVNARPMCLALDSPYRTIAVPDVDMFICRPRVVLSITLNEALTGEVQRLKIATAELGVGDSCSSNGMAQQNQMFQLQQQQQQQATPIPFYQLQQQQQQNGAANNHES >cds.KYUSt_chr3.34650 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217295420:217297868:-1 gene:KYUSg_chr3.34650 transcript:KYUSt_chr3.34650 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVAMIVPLVLALCTAMAGAAVVEHTFNVGAMNISQLCTKSVIYTANKQLPGPTIEANEGDTVVVHVVNESPYSLSVHWHGIFQLLNGWADGAYLITDCSIQPSGNFTYQFNITGQEGTLWWHAHSSLLRATIHGALIIKPRNGTAGYPFTVPYGEIPIILGEWWNKNVNDVEIDAHLTGLGPAISDALTINGKPGDQTPCKGAGVYEMEVSSNKTYLLRIINAAVNVELFFKLAGHNFTVVAVDASYTDPYATDIIVISPGQTVDALMTTSAPPGLYYMVAKVFDSKTVSIPFNTGTATGIVRYTKAPNNTVASMPAMPAHNDIVTAGTFYWSLTGLARPGNQAVPTTVNHSLVVEFGLDQEPCAPDQTKCQNFALVASMNGYSFQFPRNVSLLEALYDGLPAVYSEDFPMSPPPVPVTRKATSVMKVMYNEVVEVVLQSRAYRNNLGTESHPIHLHGFNFFVLAQGLGRFDPRSRGAFNLVNPQVRNTVAVPGGGWAVIRFTANNPGMWFMHCHLDAHVPLGLGMVFEVLNGPAPNLLPPPPLGYPKCY >cds.KYUSt_chr1.10529 pep primary_assembly:MPB_Lper_Kyuss_1697:1:64407786:64410400:-1 gene:KYUSg_chr1.10529 transcript:KYUSt_chr1.10529 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRSSGSGSVSVDIERISFGGKDHLVRTRCGSVSVAVYGDQEKPGLITYPDVVLNYMSCFQGLFLCPEAASLLLHNFCIYHINPQGHELGAAQVQSDAPVPSVDDLADQVADVLDFFSLGSVMCLGVTAGAYVLTLFATKYQERVIGLMLVSPLCKAPSWSEWLYNKVLLNLLYYYGARGMVKECLLQRYFSKEVRGNSQCAESDIVQACRTLLDERQGENVWRFLQSVNKRHDLTDKLRKLWCRTLIIVGENSQFHDDAIHMTTKLDQKYCALVEVQNCGSLVTEEQPHAMLMPMEYFLMGYGLHRPYQPSSSPRSPLSPCRISPELLSPESMGVKLKPIKTRMAVDF >cds.KYUSt_chr7.29031 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180573686:180574768:1 gene:KYUSg_chr7.29031 transcript:KYUSt_chr7.29031 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRALLLVITMATAALVLLLAVAPAPAIAMDFSDKDLASEDSLWALYERWRAEHTVSRNLGEKASRFDVFKKNVRLIHEFNLGDEPYKLRLNRFGDMTADEFSRVYASSRAFHGDRRSDSFMHGSAAASSLPSSVDWRKKGAVTGVKDQGQCGSCWAFSTVAAIEGINAIRTKKLMSLSEQQLVDCTASNWGCSGGWMDTAFQYIATGCGIASEAAYPYTAQQAPTCNTCVPRVVKIDGYQDVPANNETALQVAVAAQPVSVVVDASGFQFYSEGVFAGECGTSTNHAVTAVGYGTTVDGTQYWILKNSWGVGWGEKGYIRIKRNVEDNRGLCGIAQVASYPVKTSSNTKQGDVLRDEL >cds.KYUSt_chr4.40125 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247716452:247725361:1 gene:KYUSg_chr4.40125 transcript:KYUSt_chr4.40125 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTFLFFFVIATEPLLLSAVQPSHLNYPTANPSTIWNNREAYLDDNPSMRRPILICPLQPDATNSISLVFAVVWSANQARPVSENATLEFTSDRNLVLCDADSSQAWSSNSSGQSVSGMCITEMGNLVLFDHRNAILWQSFDHPTDTLVLGQSLAEVLLQPHGTKQDRKLSNKDLGTFMSVQLLGEESLYYQEEQHYSRSKSRIKRGNVGGGEGGGGGMRTVECLRGRLQAERVASKAAKEEADQLATRLDELEKQLAEEVKIRNKAERRLRRAIKRLESLKILDVQLSPSETSIGSLSSNSTGCSGQEPPETNHTAGSLTSTVDSVRSVPHAGGGEDKGWDGDSAKGSSAGSCTTQANSSHDGSWFSVVSEQSGSGLCCKEEGRVVMDTDGAKSCGGGSGGSAAGDADHDSQRESEQPPASSGSGSSKSEASYRGEEDDRLALVLVENPHYHTAESGRPRTEDDAETSNSREPEAESNRLAMVLADPQPQCEAPVMSVSGGNDVQSVLLALRQVKEQLRYTIERRSEGLVAHRELCGH >cds.KYUSt_chr2.41656 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259217853:259219876:1 gene:KYUSg_chr2.41656 transcript:KYUSt_chr2.41656 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFGEMPFAGSFPSQFASSCVGLSASGSGGASWWRTTEWLGSDKSFVNKLGGAPSRGAHAGFARLGDEGLVEATCGGDPGKVAGASTDGEQQRRRRCAAVIPGRWGRSVPRCFLLLGLFFLLAGEPLRRIFGDLNAALHVVHAPSGSVPGGMVDGRVSRSNRSDGGEGPDRFSSSALEGAERYNEDGVDRAGNEEEEFEEDEYILLGCHCAAGDGGVVVVLGRPGRIGQVVGVEFSPRALMVSFQPSVPGVGGGTPMPFTAIFQPPLLDKHMSGGTGYPAWYSAHASFTVRLPRPKPFAAEILREDDDNIA >cds.KYUSt_chr4.12428 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75883914:75886941:-1 gene:KYUSg_chr4.12428 transcript:KYUSt_chr4.12428 gene_biotype:protein_coding transcript_biotype:protein_coding MHAINLSREELEHLANSALSSAVKSLITSGSEGIQNSEWQVVRDQRAEPETKKRQRRVHIGLRLLVPSKAYGGDGGSYYEWSPAELPMLGVASIGAAKLSLAAGGMSLPSYSDSAKVAYVLQGKGTCGIVLPEATKEKVVAVKEGDALALPFGVQTWWHNTPESATELIILFLGDTSKGHKSGQFTNFQLTGSSGIFTGFSTEFVGRAWDLKDADAAKLVSTQPASGIVKLAAGQKLPEPVPEDRKDMALNCLEAKLDVDIPNGGRVVVLNTVNLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGPDGKRVLETRIEGGSLFIVPRFHVVSKIADASGMEWFSIITTPNPIFSHLAGKTSVWKAISPEVLEAAFNTTPEMEKLFRSKRLDSEIFFAPN >cds.KYUSt_contig_2619.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000416.1:70978:75177:-1 gene:KYUSg_contig_2619.11 transcript:KYUSt_contig_2619.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRKKIVLPARRVLAAVSTRVVRARNTAVRCNDIKSGHHVSRPAVLDPSGLGLGIKAICLDVPLRQWRQHTEASRGCADLWIQGRAGDVRDADIRVGGSQEAQTASDLLEAAFSMVRPVLVDCGCAIETI >cds.KYUSt_contig_444.124 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000041.1:711629:712539:-1 gene:KYUSg_contig_444.124 transcript:KYUSt_contig_444.124 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLSESSPISVFPCSCWFLTKLWLSAYSPSSSWNPPRAPSTKKVLVLASLYINEADLIRLQSGCVGIATTGNPPDLDLGLISFAVRLSPITWGLPCPVSDEM >cds.KYUSt_contig_1467.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:190528:191316:1 gene:KYUSg_contig_1467.26 transcript:KYUSt_contig_1467.26 gene_biotype:protein_coding transcript_biotype:protein_coding MVATPASDCCRGSAGEVAQLTSAPPRGTSTRELAGAALWNGQPSTPRRSPRPEPKTSQPRPTAKRPYSIRICIHTLFLALERSADSLLRIIRTVLRSSPPLPPPCCSSIYTSSPPANPASDTGVAGSDRAPARSSSSSRRAEMEKSELHPLIRLSCGLSGSLHMAAAAIPYRPPPW >cds.KYUSt_chr5.517 pep primary_assembly:MPB_Lper_Kyuss_1697:5:3711861:3716688:-1 gene:KYUSg_chr5.517 transcript:KYUSt_chr5.517 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGGMAHHPTAPAEGGPGGRPWRAGPNFGGRRLDLLLPAPSPVTVTRSGIISPPSFIYRSWHVAALLGDAAVQLLLEPPADGLFPVKAATLAAPPSAPALASMLAAAALAARKSDQAVRGRLLVKHPVFPAPPPTAPAPLVGAAGGLLPAGPAPLLAGACSRPLPDASKAISPPPRTGSVDEIFPKTSIPVLMVLAALDHDASSLAAGSCQPLPEEAPSSRLPLGVVVDLVSEMPALEQLMPSMAAAVSATVPVAEDAMFAAGREASQPLEGYCNASASSVCATRASSSSRFCDVDLLGVATQSSDDEELAPRTPLAVAPGLDSNAHVSSKVGSPPPDSEVEGNAVKTCVATAAVGDEEGVSTVTTRSAHVAGPSDASDVVVLVTGNVSVVLAFQPLLVKSWAEVVGYSVATEPPRPSPRSCEQFKDNANLDSLFQSQVALMRMELLQLVDVRVEEASHPVRQEVATLKLSLARVGHSLEPTVSCSDGLGLANAHSPLLDVSAASEQKGMAMLVAEVLDLEKCGNVDATVSLSPESDKQVVAKTRVLTHVPPVVLADSKCEGIDEFLAPVLLITEELHELRGDSPVVLPSTLCSSETLEVATTPSPPQSEPCQSLASLDHGAVLVPSSDALFAKELCGYLASLEAASPGYGKEIVCVLAVSKDVGVILDPLNQAAEDLQVLEVLLDSDPDMVTMVDAFKLTPTTPTSSSLTPISKSYADAPGPNSDAWHQRGRFNHLFVFPEFISTHRRSR >cds.KYUSt_scaffold_6468.979 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4748406:4753507:-1 gene:KYUSg_scaffold_6468.979 transcript:KYUSt_scaffold_6468.979 gene_biotype:protein_coding transcript_biotype:protein_coding MDTANDIGLATLHPHLDASSMAAAFANPKSGRGGSGAGGMVAPGRSVHELSSWCMSLLFYTFFSLGRLSVEEFQLALFRNSNRKNLFADRIFDLFDRKCNGVIELEEFVRSLHIFHPDTPTAEKIASRDKQEMMGVNALPCFTWYEAHMLFKQCFKGMAAVGMGMRLVSLRVQEFQEDLLWARGRMHRLREVRHAPYRVFFLIFLCSVAKEEHDLEPAARDDGYISPRIYMCEEPCATTPHHICDMEDHNIRLIAPLLWTKAAGRDGSSVLILVG >cds.KYUSt_chr3.4222 pep primary_assembly:MPB_Lper_Kyuss_1697:3:24054641:24055788:-1 gene:KYUSg_chr3.4222 transcript:KYUSt_chr3.4222 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQEQEDRKKLEEEYWYPNQEEVEHKGWNPDDYFPARNWRTDDITSIPPMCYTDHISSFNHPRSSLQIVSIRIASIRGGLRWPIHVYGMVTARDVYDNRKHVVIYARARGDCQTITEEHPYLLLTGPTRAVLTCHDPGNIEIVLKVEGETESQDRDLSSLVLTLNQYRSFRGDYPSKRSTLELGFHHIERAVEATICVRIDGGSVLPPGGLQGVFTASTASIQDVEILLLAFRDGKLPLADDGTINLSRRVVSVGYSRQDQKDHLKVSVVAKCAEDGHDATRDDIVFTPKTRGRSWGVFHVGAYKMQVTVAWSLFDF >cds.KYUSt_chr7.33144 pep primary_assembly:MPB_Lper_Kyuss_1697:7:206940167:206942856:1 gene:KYUSg_chr7.33144 transcript:KYUSt_chr7.33144 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRPFRPSAPRRAAFAGLLTLLLLASLAFLLSSPPAAASSRSPLSPPSRLAAIRRHAADHAAVLAAYAAHARKLKEASAAQSLSFSALSSDLSALSSRLAAHQSLPEDARRPLEKEARDRIKFARLLAADSKEGFDTQAKIQKLSDTVFAVGEHLARSRRAGRMSSRIAAGSTPKSLHCLAMRLLEARLARPSAFAHDPDPSPAFHDPALYHYAIFSDNVLAASVVITSATRAAADPSRHVFHVVTAPMYLPAFRVWFARRPPPLGVHVQLIAYSDFPFLNASFSPVLRQIDTGKRDVALVDYLRFYLPEMFPALHRVVLLEDDVVVQKDLAGLWHVDLDGKVNGAVEMCFGGFRRYRKYLNFTQDIVRERFNPTACAWEYGVNVFDLQAWRRDGCTELFHQYMDLNEDGELWDPTSVLPAGLMAFYGNTKPLDKSWHVMGLGYNPSISPEVIRGAAVIHFNGNMKPWLDVAFNQYKALWTKHVDTEMEFLTLCNFGL >cds.KYUSt_contig_988.308 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1842994:1845837:1 gene:KYUSg_contig_988.308 transcript:KYUSt_contig_988.308 gene_biotype:protein_coding transcript_biotype:protein_coding MLARGDPGGGRRPCLRGSWLWPPSLLARGDPAGGGRPSNKENKGGQAVAVGAAGRRQGGSTEDAGRADRRMLQPATTSPIFSPRAPAKSLEAGPAAPAARHPPLCPDAASQSRDVFRFASHVRIGMLDGPDTENSRAAVDHLASADLWEHFAESSAYGLAVLVHLLGHVLPLRHPAIHSHQPHHLQEKHGECNGFLEGCWLAGLLVVAAGHACGAPGCGRRPCSRGSWWWPLAMPAGLQVVAAVFARGAPGGGRPSNKDNKGGQTMWLSAPPDTARGIDGGRPGVERLDGPDTEKSRAAVDYLALADLWEHFAESSAYGLAVLVRLLGHVLPLRHPAIHSHQPHHLQAIKWF >cds.KYUSt_chr2.40520 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251736327:251739758:1 gene:KYUSg_chr2.40520 transcript:KYUSt_chr2.40520 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTKRHVCSGDSGGDWELALPERHRRRSGRTQGGRRTSARQGNRFAVLSPVCSEVEDSDSDLCSSVADVSSPPPPASALNLGRFWPDPAGRAPSPSPASASPREGSPEPRSSAPKPPPPPLGSAHFPPLPGVQDRGRSSPAAGGFGGQGAGPSSIRVGALVLALPPCPGRPEPRAAPPPPLGFAPSGGSPDLCRAGPGGPAQGSRPAREAQVRSSLGVGPRGPAQPPALPLDSSTLSSEPLDLRHVASGEDVRPSESRVSAVDVFTLPPSGLKWFWLPPQTLDLTLALPASDRDIRRNRHAAKLLSCHRDPISGALVPHLAPMDRDRSSYGKRSYDDYQGGFSRNREQDLRQKLDREQEEHRRQQRQRDRDLDRAGSSSWRSEGERSRQDARAPPPPPPPPRGRASGKNAGRRNLRQPNATQGPSASSHLGDGTGSGEAAVANPDAAHITCYNCGKQGHIQADCTDEPFCVNCKKVGHLSAMCAAFAKVLAPYWAGYGGGRQGFLGIDVPEEELQRPASNSATVILDGGRLSEDEVEEEFKDLVDENWNWQVRQLSASDFAVVFPSKESLRIAIRGGGLTLPTSKIKALVSVPLGDPLASETLEEVWVKLLGVPPPLRMAERLLLSTREVARPISVDEASLDHLEAPVRMSFGCRKGDVLPEFITLFVNLQGYRIKILRENAAAEDSPPRAPPRFPPFPPGDDIGERDDDCEETDEDRWDGRWGKHQKDKRSSASAPGAGGGGPRKSVPMEAALLSSISPPDSVADPILSIPDSARSQYGSNLTPSGNIFPLVAQIIKASLPSHSKLKSPEASVSDSPLQLDLPVDPSSEERVSPTPGKALRLSDADREEVGWSSPPSASSDQEYRRNSERRSKSNHDRPSRKLMLEAAESEPSKETPVSTPPLALHQAPAISLGGSTSSGQLLMLDAPIPALGAAVARAPRSRAPPAEALRKSARAKGATEGPVLERAMRATAEKNSMAKVSIEPSASPADNEVAATPSSVTPAPGNSFPSSSFVAFQASSVEHLVKVARDSCILFKSSDGSPAQAVALLQARERAQAELLAARRKIEEETAKAKEEAARASTDHGEESTCPEGGPEGEPTSGSGKVKKTAPKKKRIARRPTPVGHRPLTRQARALSLVRQ >cds.KYUSt_chr3.3664 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20736065:20737931:1 gene:KYUSg_chr3.3664 transcript:KYUSt_chr3.3664 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLGVVLALAAVVLLAAAAAATAQSDKGKGPKSPPNGKGPKPKPKPKQVKCNVNRKENPYCFNKKMDCPDDCPETCFASCTEYDCKAVCACDQPGAACGDPRFIGGDGNAFYFHGRKDADFCVVSDRDLHINAHFIGKSGHSGMSRDFTWIQAIAVLFDGHHLYVGARKTGTWDDAIEHLEITLDSEPVHLPADQVDAAKWTSSRVPALSVTRTKAANGVLVTLDGKFSVRVNAVPITEEDSRVHRYGVTSDDCLAHLELAFKFDALTDDVHGVVGQTYRSDYVNKLDVRASMPTMGGDASFTASSLFAADCAVARFGTSRSNNEASVMSELAGITCASGMNGQVAVAVEFTVPVHALLREISPTADACLVLESSVSSERRLR >cds.KYUSt_chr2.44485 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276817346:276819230:-1 gene:KYUSg_chr2.44485 transcript:KYUSt_chr2.44485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor with zinc finger domain and helix-loop-helix domain (YABBY domain), Leaf developmen [Source: Projected from Oryza sativa (Os04g0536300)] MMSPAESETSCFFLPDHHGEEQQQQPFTEQEQLCYVHCNFCDTILVVGVPCSSLYTTVAVRCGHCANLLSVNLRNLLLPPAPNQLPFGHPPLLSPTSPASPHSLLDEMSSFQAPSSLLTDQPSPNVSSITSSSNNCAVNTQAMSSMMPPPKKPAQREPQQRRSVSSSAAKNPEKRQRVPSAYNRFIKDEIQRIKANNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLRKTGIQIQDGPGGDCMFFKDGLYAAAAAAAASSMGVTPF >cds.KYUSt_chr4.45852 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283649271:283652444:1 gene:KYUSg_chr4.45852 transcript:KYUSt_chr4.45852 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADEVTWTTYEYKATNQIDANSKRRRDRATVNEVPTLLKEQEIDVVRKELAACKLQLEVKENENRQANLRMEALNKAMQELSEKYDGACLEAYDRIAELETDVVLITSQQSKTASECQVLRDELAAARGELGAVKNANEYVLGEVESMETRRILERESTRDGLMRVLELNEAVLASAVAAMRAEEERSVFFQEATLELVSSGRNVEAIQRQKEAMESMEGELLAKTVEVDCLRSELKKLRELYVSTEIAAKDQEQGLDVALQHAEKETCLATEISSEVDHEDGETTEHVVGAEVVMVPDSTACQGDSEVADTYFDSELPGDQNVQYDDDGVLGSISGSMPEHVAEGQEEPEMGNRFVAESPREDFQSVDSEYCKDISAGIGMPENLAGNRGSRPEGVTETEIVVVNSKDGLPASSKQKKEVRFLDESFKSMNSDDCKDMNAGMGVHKNRAGRRRSEPEVAGAGLVTEIVVVNSKEGHGDLYKKEVVDTDKLRDGYVLVAKKNAGTDGEEALKDEKLDAAHTEISDLRFSLEEAVRRAELAEEANAALARELKKEIKTKQRQPRPTEDARGGKCKFYASPARPPAPAPAPSEDQRRVARPAPSCVTLGKVLNMKYR >cds.KYUSt_chr2.52885 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329959763:329960332:1 gene:KYUSg_chr2.52885 transcript:KYUSt_chr2.52885 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSAIERSVARPLMRLVTMGGAPIMQQLHLEERLLRRTSDNWCVVNDGTAPPTIVMGVSGYVLFVLVSNLPLLAHACLVEFGLFTWFPCADNRKVSELVEIPPVLQDRVPVIRRFSGGGTVIVDQGTVFVTFICNKTAVAGLQPFPRDIMTWTGQLYGKVFRGFGEFHLRENGMSKYQSTSSLASLV >cds.KYUSt_chr2.17127 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107898890:107899429:1 gene:KYUSg_chr2.17127 transcript:KYUSt_chr2.17127 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPPPPPPQELAAAEKRPHHPLLAAAAAASLLAVLYLPRPILQLLLSPAPLSSALLLLCLLRLGSPTPAATPPPPQPERAEEAAPPAPAKPQSVFLEPEFASWAPKGRALEVIHEEFEAEWGPEEMGLPWTSDSDSDSRSGSDDYDDGAGLGEYGMIEIELEEDNLIEIDISRCR >cds.KYUSt_chr2.11664 pep primary_assembly:MPB_Lper_Kyuss_1697:2:74183556:74186727:1 gene:KYUSg_chr2.11664 transcript:KYUSt_chr2.11664 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIVLVQGIMCGSNDGDGSAAMELRNSMLLALILVVTCSVAVAYDPLDPRGNITLKWDIQSWTPDGYVAMVTMNNYQQYRQIMAPGWTLGWSWAKKEVIWSIVGAQATEQGDCSKFKGGIPHSCKHTPSIVDLLPGVPYNQQIANCCRGGVVSAYGQDPAGSVSAFQVSVGLAGTTNKTVKLPKNFTLMGPGLGYTCGPATIVPSTVYWSADHRRKTQALMTWTVTCTYTQQLASRYPTCCVSFSSFYNSTIVPCARCACGCGAHKSTEGRGGKSHSDGCIMGDSKRALTPGVNTPKKDGAQLLQCTNHMCPIRVHWHVKLNYKDYWRAKIAVTNFNYRMNYTQWTLVAQHPNLNNVTEVFSFQYKPLLPYGNINDTGMFYGMKLYNDLLMEAGPFGNVQSEVLMRKDDSTFTFGQGWAFPRKIYFNGDECKMPPPDAYPYLPNSAPRFPVASTVAALACLLVLLLLA >cds.KYUSt_chr2.38706 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239886534:239887802:-1 gene:KYUSg_chr2.38706 transcript:KYUSt_chr2.38706 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDASMSPSLSPASSSPLPVASALPISDPVTVASAPPGGLMALALPIQKHASYPSHAGSTGTTTGGGREDAWSEGATSALIDAWGERFVALGRGSLRHPQWQEVAESVSARDNYSKAPKSDVQCKNRIDTLKKKYKIERAKPVSSWHFFDRLHVLLAPTYNNNNNNNNHKPAANGRNTVPTALRVGFPQRSRTPLMPAAATTAKRRAPSPEMSLASSESSDGFPPEPVLPAANGNKRRRTEPADAATDGERVQGMRELAQAIRRFGEAYERVETAKLEQTVEMERQRLDFARELESQRVQFFLNTQVELSQAKNHASPAAPAAARRMPSAPGDSSSRRMAPVQDSSSRRMASFPDARTSSNHHGRYRTNDGGSRHQHRPAPRPHYQYHENNVPASAAASDDEVDEEEDEEEDDDNEEESQ >cds.KYUSt_chr6.2182 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12868260:12869747:1 gene:KYUSg_chr6.2182 transcript:KYUSt_chr6.2182 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVRFTARRSKAQVVAPARPTPRETKALSDMDDCTYLRHYIGAILFFRPVVRREPAATDPAEAIRAALAEALVHYYPLAGRLREMPGGRLAVECTAEGAVFVEADADVRLEDFGQPALPPYPCIEELVCDVDDYTPVLGNPLIYMQLTRLRCGGFVLSVHTCHNVADGFGLLQFVKAIADLARGEARPAVLPVWRRESMFKARTPGRVRQDIFPGRASGIVTADTLPTPPADMVTQYFRFGPKEIAALRSHLPDRLARSCTVFELLSAFLWRCRTIALGYEHGLPVRLVFRMNARGKYPPIPRGYYGNCVLRPMVEAGVDDLCGRPLGHALELVQRAKLSTTEEHVRSTVDMITALRAHRHLDVDRAFHVVDTTRLGDEKIDMGWATAVGGGVPYITDPMSYHMALKNGDGEVITAVSMFLPKPAMERLEKEISMWIRSDAKKLIPSSM >cds.KYUSt_chr2.20508 pep primary_assembly:MPB_Lper_Kyuss_1697:2:128854212:128856188:-1 gene:KYUSg_chr2.20508 transcript:KYUSt_chr2.20508 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPVLGPPRPGGTRAPPPRPAWNTNRNLVVTHPLLSLLESCPSFPRLLQLHALLTVSGLAAHRFPASRLLAFCALSTPPRVDHAAAILARAAPGPNAYMLATMMRAFLRAHLPRRAFALFRRVISDSLPADARTLVFALKAAAAASENGSPSAGEGVHCLALKWGFTGQSMLVGNALVHFYANRKSSLANAHKLFDEMPERDVVSWTTLLDGYARGELADEAWLVFCRMVAAGGLQPNEVTLVPVVSAIGQMGLLPLGRRVHQYVAEGGVRVSVNLANALIDMFGKFWCVASAREVFDSMAVKDVYSWTTMVNVYGKCGDLETAALLFEDMPKRNAVSWSCMVAAYSQANQPEEAIRLFKQMVEQGIEPINATLVSVLSACAQLGCLDLGRWIYDTYIITGKAVLTVNLGNALIDMYAKCGDLHAASKLFTEMAERNVVSWNSMVMAHAMHGQSEQVLCLFKQLKGTYIVPDEITFLGLLSACSHSGLVSEGRRYFEEMKLAYGIVPKAEHYACIIDLLGKSGLLEEAFEVAQGMPLEAGEAGWGALLHACTMHGNLEIGECAAGKLVKLDPSDSGIYVLMCQIYASKNKWDQVKMLRMLMRDRGVKKNPGCSSIEVDGKFHEFLVADVSHVHSEDIYAALKNIYIHLELEGYVPLT >cds.KYUSt_chr1.18002 pep primary_assembly:MPB_Lper_Kyuss_1697:1:105018632:105020238:-1 gene:KYUSg_chr1.18002 transcript:KYUSt_chr1.18002 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHVADASEYLAITGWGIEDVKLAKKAWVFAGQQCKKFCISPVNYEIDVHAMSAEKLPFILPAVFTIGPKITASGGAEADKKELEKQLLLYAKLIAPLDTSTSHVQELVKGIIEGETRVLAADLTMEEIFKGTKSFKEQVFEKVQLELDQFGLIIYNANVKQLVDVPGHEYFSYLGQKTQQDAVNQAKVDVAEARMKGEVGAKERDGLTRQNAAKVDAETKVLSVRQMGQGLKEEAKVKAEVQVFENAREADIAAAKAELAMKKAGWDKQAKVAEVEAAKAVAIREAELQMEVERKNAMRQTEKLKAEQLSKATVKYETQVQESNAQFYSRQKAAEAALFEQMRAADARKAQADAKFFEQKMGEDARLYAKQKEAESLALVGKAKAEYVASMLQALGGNYHALRDYLMIDAGMYTEMARINAGAVNGMQPKISIWSNGGGTDGTLNGAGGEAAAGNALQQMSGVFKMLPPLLSTVHEQTGMGPPAWLGTLQKDDAAAKANELIE >cds.KYUSt_chr6.1649 pep primary_assembly:MPB_Lper_Kyuss_1697:6:10062845:10068907:-1 gene:KYUSg_chr6.1649 transcript:KYUSt_chr6.1649 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAGAAGPTFEDLERDLQAVLMDQNHHGGGGGPSAEELSMYRSGSAPPTVQGARAALFSTSAAAPQPHLDALAAGDMLSEEEILSHPAYLQYYYNNEHLNPRLPAPMVSKEDWRTAQRFQSVSGGIGDRRRRPSEAPGGSSLFSMQPGAREGNGTEFLMNDRRQGNGFARQQSSDWLAQGADGLMGLSDVNGLSSRRKSFADALQENISRPGSKVGHLSRSNSRNAFESPNPMNLSRSNSRNAFESPNPMNLSRSNSRNAFESPNPVNLSRSNSRNAFESPNAVRSSDSSRAQLPSRPDSMNGFRSGAASPSLARVQSLGSSMSNTFASAVGSSISRSTTPDPQLIQRIPSPCLPPVGVRMGKADRKVEGVGVSSHNYDGSDTAAALSAMSNLNLSGNRMTNLETDVQNHIYQNFGDQRDVIFNDPKEHRQFSQQKLVHSADEEPINALEYSVFPNGSSNSKSNFPTQSPHGNSNKKESLLSPTGSVYHYKNLNGDSPNIDVSGRHTKANSRNSGSSMLNNHMNTDGEYGNHFSNQVGAGFQGQQMDPMYGSYLQANPNSPLGAAASMSPFRGSNFSGSGHLDTAGYQKAYLASLLAQQKLQYGMPYLGKSGGLSPTLYGSEPAYGMEMPYLASPTSSHYMSSPQGHVRQGDRLTRIPSVARSTTGGTMGSWSSENGLIDNGYGSSLLEEFKTNKTRSFELLDIVGHVVEFSSDQYGSRFIQQKLETASTEEKNMIFPEILPQARTLMTDVFGNYVIQKFFEYGTEAQQKQLASLLKGYVLTLSLQMYGCRVIQKALEVVEVEQQTQMAFELDGTIMKCVRDQNGNHVIQKCIECIPQERIQFIISAFYGHVVDLSTHPYGCRVIQRVLEHCDDESTQSAMMEEIMQSVVPLTQDQYGNYVIQHVLQHGKPEERTSIIRQLAGQIVKMSQQKFASNVVEKCLSFGSREERQILIDEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDHNRELILSRIKVHLNALKRYTYGKHIVARVEKLIQAGVVIDSVQYQRSVAAVMVYLADEGFNRAMEKKLSMNDGE >cds.KYUSt_chr4.12297 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74986909:74988609:-1 gene:KYUSg_chr4.12297 transcript:KYUSt_chr4.12297 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYDQEMETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >cds.KYUSt_chr3.1256 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7040859:7041639:-1 gene:KYUSg_chr3.1256 transcript:KYUSt_chr3.1256 gene_biotype:protein_coding transcript_biotype:protein_coding MARRALHLLAILSHHHLAVADQKKANFPKPPELVDTFFVDEAFSFRGRGYWADLLCGVMHCDCSDMLSGDSVHPVVEFSFFDLPSPRLTDPRGREAVAELSAFRSMGCVGGSIKFVSIDSYIDRFDRLNIKDRLEADERSRVGRGGRDEKVRFKHVPYSPTPAVNNFIGFDYSILCPPAAVLRGEMNNVRVED >cds.KYUSt_chr7.1818 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10386334:10395519:1 gene:KYUSg_chr7.1818 transcript:KYUSt_chr7.1818 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSLANHNAMAAASFLFLLVIILPTTSSVDSASETSNGSCIPVDRGALLSFKAGITSDPDDSLISWREGHDCCRWSGVTCSRRTGHIVKLDVRNRYPAEYDMYGYVDSDSYSHSLRGQVSSSLLSLRHSLRHLDLSGNYLLGDAMAMPGFLGSLQSLTYLNLSNMGFHGSIPPQLGNLSKLVHLDIGNEYDHTTMYSKDIYWLRRLHSLEHLNMATINLSRVVDLVHTVNALPNLALLVLPSCGLNMSNAPSSLPHNNLTVLEDLDLSGRIPSGHQLDTLKADDPASMYIGNPGLCGDPVPRQCPGSPRDLPVNGDSESLPEPGLSQMDFLLGLVTGFVADGIQDITRSSGMVRRIRFIYRKSLFKFGNDLLHLWKALECSRTFRKESLWKIMAGQSINFNQFPEKEKLKRNGSNFTDWFRHVRIFLTGGNLQYVLDAPLGAPPAPAVSEEVKNVYETRRTQYSQVQCAILCSLEAELQKRFEHHDPCELVRELKAIFETHAAVESYEAPKHFFGCMMEEGSSVSEHVLAMSGHAKKLSDLGIVIPNQLGIHRVLQSLPPSYKNFMMNYNMQNMNKELPELFSMLKSAEVEIRKENQVLMVNKTTSFKKQGKPKKGNFKKGGKKASAP >cds.KYUSt_chr7.4660 pep primary_assembly:MPB_Lper_Kyuss_1697:7:28136987:28138177:-1 gene:KYUSg_chr7.4660 transcript:KYUSt_chr7.4660 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPGGGGNGDLPPQLLPHQWILSALDLTRLPAVGSMVRYFPRGHFEQWAEHLDAPPASHGPSIICTVTTLHVVTAAHAPYAVVSLTPAPQPHDGQLASPGPSNFLYNIKSFNDTDHRPTISLTNVIRSVLPTHGPSLQSRQLLMVDIKGRPWSFTHYKHGTSHRLKGDWRNFTEDKNARVDDEVYFLRGSDGRLLIELRKAANSQQAAAERIAAPPPDIAQEIAEAARLSSQGMEFTATYYPHKNVLGKFIVPLPEFADAMGIQWVAGMKVRLRKDVVEHNTHPQYSSQEARGTVRAVTNSTWRGLEVEWADSTTSMVSAWELDLVGGDDDPAMKKRKVDVAGPSEPVIVFGTNLTVRP >cds.KYUSt_chr3.23353 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144438125:144439417:-1 gene:KYUSg_chr3.23353 transcript:KYUSt_chr3.23353 gene_biotype:protein_coding transcript_biotype:protein_coding MYSARQSCTVSSRATLNPFPLKPHLPKFYTEINFNFEVIYSRGIQPLRRRSTSGAGATFAGVVPDWPPPRKAAKDHRRSCKGVSKVLQSAVRPASSGALAPPPCDTPCFKTPTPLLQDTVSSGKRRSLDDPMAASRVPHASKRSQRWGVMTAYGDAPPACACEVEAE >cds.KYUSt_chr7.6318 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38042646:38046941:1 gene:KYUSg_chr7.6318 transcript:KYUSt_chr7.6318 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSAGIPPPPPPAFLSSTTSSSLPSLLFPPRHRSRRRCLPAPKAAAFPPRRPVPLSSNINLPSAENPPPPPSPTSTSTSPSQRPSSAFPAAPTEDPLVSKLRTQLGVIHPLPSPPPLPSPTIPALTLFALFFFVGAAFDKLLTFRRRRRAEREVRVNGTWPQVPTSGTFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIVGLLQPVVDNLQKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGIRYAGDARMALALSLKFSPVPIVVPVWVRDFDIDGELWVKLRLIPTAPWVGAASWAFVSLPKIKFELSLFRLFNLMAIPVLSIFLTKLLTEDLPRLFVRPKKIVLDFEKGRAMGPVAGDVASDIIQNVASGIMQGVATDLTQEGNKDFVGELSVTLVDARKLSFVLFGKTDPYVTMILGDQVIKSKKNSQTTVTGLPEEPIWNQDFYMLVANPRKQKLCIQVKDSVGLTDITIGTGEVDLSSLKDTVPTDKIVTLYGGWGLFGKRSSGEVLLRLTYKAYVEDEEDEGVKTEYAAGYISDEDVLEYVRIDGGAKQKGDFSGNERETFMDLLAQLLVSEEFQGIVSSAETRSSRDGSEQVEEPKVGDGVAAGETTVDAGTVSSSSEGE >cds.KYUSt_chr2.3582 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21651752:21656655:-1 gene:KYUSg_chr2.3582 transcript:KYUSt_chr2.3582 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDISSGGHGGSQVAGVKLLLRLAGGNLGRPRNGSNTSGRDNVLPLSLAPAVEEGTLMGSVDRELLGGDGSRFVQIILEEQMRGVKGRYQEAVSEGGELEDEHKLLAQRQREMTNRGRTVWIKEWLELGKGGTPLIPRQVFSISCVPCDVYEWLNSCGSNH >cds.KYUSt_chr1.25932 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156045250:156050517:-1 gene:KYUSg_chr1.25932 transcript:KYUSt_chr1.25932 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAMPSMQARCQAGPVETAADPLRVRAASAETQTYASWDLQKLAPLEAILFDIDGTLCDSDPFHFLAFRDLLQQVGFNGGVPITEEFYSANISGWHNDALASKLFPELDHDKAMEFMDQKEALFRKLAARELKGLDGLQDLCKWIEDRNLKRAAVTNAPRANGELVLSLLGLTTFFPVLVIGSECERAKPSPDPYLKALELIGASPDHTFIFEDSASGIRAGVAAGVAVVGLTTGNPEKVLRDAGASLLIEDFRDPKLLDMLQVLDRAAAEKCTMRNLRHHPMRNLRHRAGGQGPREVGEPNEVCARIGARVSRRALYLLDQGWPVILREFGVDNRGGNVNDNRYYGCAAAVAADLNLDCALWTLQGSTTSGRACWTYAQQDGRLTLRDSPMLCLHADSPAMSGVVLVAIAACLPGTGMLCLDVGADGRSVVTNPCRCLSADSSCDPESQWFKLVTSSRSVAAREMLLSQLPLKLQSSKSVTLMITWHISPATAIIAHCNRRITCCLAGQLPDHRSSSSSSTYKDFLRKFIWKKILSLDKSCQVDLMKDGGHAYFIWFDERWSTA >cds.KYUSt_chr5.4674 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29783318:29787157:1 gene:KYUSg_chr5.4674 transcript:KYUSt_chr5.4674 gene_biotype:protein_coding transcript_biotype:protein_coding MATAISAAVWALGKALAPVTDDLLKNWAASESLGPNISALKTELLRAQAILYTAHDRVIANPALKELLHMLRQLADKEEDVLDELDYFRIQDELDSTYHAADKHARAAWGIGKTTFTQHIYQEVKSSFDVMIWICVSLNFDANRLAQEILRQTPKVNGEKENAIEEELIEERLKHKRFLLVLDDVWTYEEDEWKKLLAPFRKGEARGNMVIVTTRIPEAATTVKIADYSVELNRLGTEDFMHLFEAYIFGDQEPWKDHAELLDVGKEIVGKLKGFPLAAKTVGRLLRSQLTLDNWTRVLESKEWELQTNDHDIMSALKLSYDYLPFHLQLCFFYGALFPEDYEFGSNELVHLWTGLDILESSDQRKRLEDVGLCYLNDLVNRGFFKKNEKLDGSARYVMHDLLHELAVKVSSSECLSISSSNVRYVQYSPSVRHLSIIIDERDVNDRMAFEEFKRDLSTLEEKLKVENLQTVMLFGKHHGCFAKTFGDLFVEAKALRVILLSETLYGYIVEDVFCNFSRLVHLRYLRIIPRANITLTSKISRFYHLRVLDVQEHNVHVDFPRDVSKLTKLNHYLVQERGFEDRTSAVGKLELLQDLRSFMVKKENIGFEMRQIGRLAEFGVSLRIHSIENAERQEKVNEARLIHKTHIQKLMLEWGIDRPNKDPAKEDLVLESLKPHCNLLELHIGGHGGATCPSWLGSNLSVKVLESLCLVDVAWNNFPPIGELFVSELGEEHPSGIPSQSFQNLKELKLVKKLEIMDCPKLLALPPVPWTSAPCLVEIARVGSGFVRLVYSKNCRSESSLKVFWKEGGHDSTFWNMLAFSNLAGLLELEIRNCPPLPMDHLQKLMPMNRLEIADSNNFLSPSDVESLAIYHLRIDTLEIWDCSASEKELSKLLSYLPYLSKLEIHRYEKLKMVGVVEQQKTAHKMGFGQTRLQQQETRGEEEIATVAAGGEALVLLPPQLQDFSIEECPHLTLLSSSLNDDGQGGGGLQGLRSLRKLFIIGCPKFLSSYSFSRFPFPASLQILLIYNCGDLRGGDENDEVERFSKEQEDALQLLSSLC >cds.KYUSt_chr5.43363 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273261905:273266230:-1 gene:KYUSg_chr5.43363 transcript:KYUSt_chr5.43363 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGEGFFRDLEPSLQAILAEAGSGVDDVEAVVGCSTLPGEATPLAAAADSISSTSGNSVAVGKSTGRAEFGAGLRRGLRLLQSVLLGTGFGVRYGKSRLNVDRVKCMQEIVCGCSGKPRATNSQSTRCLCPALIRLLRSKDNGWYICEHRDVHSHDLSASFGERAHWPSHRHIDSYTKDLIRQLRENNVNLGKVYSIIGSFFGKMENIPFTKRALRTLCGKMSSEQADDDVRKTIEVFSEMGAADPEFTYSVQVDDNSRIINLLWTSGKGRAQYHYFGDAITFDTTYRTNVYDMPFGLIVGVNSHFQSVIFAGVLLREEKVENFEWLFREFVKMMSGKNPLTILTGEVYAATHFDSESREKWCKVLSHLRLKEIPAAHVLKRWTVDARDVLPMHLVQYQKDQGLVTSFSFRHSQLYLNCMEVVRLGDVNVDAYTTAMETIMVLVPKLKTVAVECDGLGLEERLNAKRARVGGPATQAVGQNIQRNNLCSDAISLDAALLAPSKNRSGGRPTSSRDKPPYEATSKRTRFCTVCRLPGHKSTTCPDRPPGATKPRKEAKCSNCGLPGHRKTSCVSKNPAFEA >cds.KYUSt_chr6.2298 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13429363:13438108:1 gene:KYUSg_chr6.2298 transcript:KYUSt_chr6.2298 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIVELGVVPSALDPLIIYCDNMGAIANAQEPRPHKRLKHIKLRYHSIRKYIEDGEVKICKVYTDLNVADPLTKALPRAKHDQHQNAMGVSVSKTLVTKGYLGNAYKSSTDVHAFSVRGYLFSGWRTETYLRRHLNGCVHPDYAETRLKYALVAPWAAYSTYSFAAAKVRGEEADLLSFFVLPTVLLRLLYTQLWISISRHQTARSKHRIVSKSLDFDQVDRERNWDDQIILTALLYYVFNSFMPMTQGLPWWNSGGLVLTVLLHLGPVEFLYYWIHRALHHHYLYARYHSHHHASIVTEPITSVIHPFAEEAVYFGLFAIPLLTMMATGTGSVAVANGYLVYIDFMNYLGHCNFELVPKVLFDIFPPLKFLMYTPSFHSLHHTQFRTNYSLFVPFYDYLYGTMDKSSEDLYERTLHGREEAPDVVHLTHLTAPGSLLHTRLGFASVASAPLVSRGTFTAALAVAERPLAVLASVFGRSAFRSEANRMGKLSTETWVVPRYSSQYTSKKDGYAVSRLVEKAVSDAEASGAAVLTLGLLNQGYEMNRNGELYVIRKASLKTKIVDGTSLAVAAVLHTMPRAAKDVLLLGKESKVVRVLAQALCEREIQVRVADEHLHETLKQQLRPELHSHLALSRSYSSKVWLVGNGLTALEQERAQPGVHFVPYSQFPPMNTRGDCVYHTTPALVVPGSYENLHACENWLPRRVMSAWRAAGIVHALEKWGGHECGDAVTGVDKAWRAALAHGFHPYDVRCQHHGDGAKLQADADAN >cds.KYUSt_chr2.46510 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290749540:290750649:1 gene:KYUSg_chr2.46510 transcript:KYUSt_chr2.46510 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAFTAAARAPLAVLLERLSATYRRVAVVYDNLNAFAAIEVSRLSNGEAFGLQCMAISYSMAWLDKEHRLLRDHDLQFLPIDACMTKEFLEFVFRAAGEMQDRGSLPSSGLVMNTCRAIEGEFIDAIAEHPQFKERKLFVVGPLNPLLDASARAPGKTLHECMNWLDAQPEESVLYVSFGTTTSFRVDQIAEMAAAIKGSKQRFIWVLRDADRADIFAKSGGESPYEKLMSEFTRDTKGTGQVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDTELLCKYLKVGLLVRPWEKHSEVVPSAAIQEVIEEAMVSANGMVVRHRAKVLGEAVRASVAEGGPSSKGLDDFVA >cds.KYUSt_chr3.36304 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228332302:228336642:1 gene:KYUSg_chr3.36304 transcript:KYUSt_chr3.36304 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDSGSPPRGGGGGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEEYVDPLKIYLQKYRDMEGDSKLTSKSGDGSVKKDTMGAHGATSSSAQGMVPHGAYAQGVGYMQPQLYCLSLRCCAHNFFSLSLTSDHIGEVAHPLREEASKFNLLLVLATESVERADLFASCEASKQEQSVVVDDVAVVVMAHGETDVVGEECFFDCFSPRASPSPQPNVPIASECEGIDEIMPYHARPKGEV >cds.KYUSt_chr6.15261 pep primary_assembly:MPB_Lper_Kyuss_1697:6:95641112:95645705:1 gene:KYUSg_chr6.15261 transcript:KYUSt_chr6.15261 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSDSGGGAGRSGAELMVPQFHLKALHAILAVRAPRPIAAPPASASAAVRRRDRWFHLPLHSPPPPPAAETIPEPSLGEPVVVDIYLSPSSTGGDEEVVERWTVSCEPWSAAAAAAAAEGLAVNRAYKRCITLLRSLYTTLRLLPAYRVFRTLCGASGHAHSHAMGYRVGSFAAPFSRAEEAAMRTRRLAPVETQLGRLAVSVQYLPSLAAYSFEISSLSSVMLINDYVGSPAAEPMRAFPASLTEAAAPLPSRRPNSWASPAHWPQSPAKFSPPPTMYASPTPSPPTFAGGYLQSRLSGETAPMSIPQAGGGRGPVQYRNMSDPSRGFMLPPPSPNKNMRGEAGSHESPTENSRSFRRPEGLRMGDLYANLPAATKIKDSREESGRFSGVFSSSGSPRHGISRSSSRLSTQDDTDDTYLPFAVDDVDPDSRPGSSGGKEDQSGSSSHKSQDAAVGYLVHLLRSARPLRDPSNSSLTSRAESTEAGSTSSFMSRRTSDAFEELESFKEIRENLLSRSRSRLQDSLGRS >cds.KYUSt_chr1.8079 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49612237:49617522:1 gene:KYUSg_chr1.8079 transcript:KYUSt_chr1.8079 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSGKVFRIVVLDAGFSSRRLFIGERAASGAAPCSPPCWSGSSTTRLCLVLLAQLLLDSYWSGSSTRHLRLVLLAHFLLDSCWSGSSTTRLRLVLLGHLLLDSCWSGSTATRLRLLLLGPLLLDSCRSDSSATRLRLLLVDTLLKNRLPQIGSAARLPIFKLASLQFATLLATLLHPAAAARLHPAAPALLHQAVAAHQEHVKLLIHLIHQSHHLIMHGEILIEVHWDSLPDSPTNYSKNHPRTNCIATDQQRMLPYQRCRFGGGTVGHMLDEGWVVRAQLGLELFGLGNSIGSLSSLVAYTGTGSGDSVFTSPFAFLMR >cds.KYUSt_chr7.23589 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147100691:147101680:-1 gene:KYUSg_chr7.23589 transcript:KYUSt_chr7.23589 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMDPRTERVVRRTSMVGAVVAAYLLLTADYGPNYTNPIKKAKESSQLSLKDLIFKSGKDGHRKGQENSE >cds.KYUSt_chr7.1476 pep primary_assembly:MPB_Lper_Kyuss_1697:7:8106177:8107127:1 gene:KYUSg_chr7.1476 transcript:KYUSt_chr7.1476 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVNEEEKETKKMTVLVTLPRPCRPDYAPDALKVVALEVSSDDTVASVKATLHDMAEIPPSRQRLVFACSALPDDDGTTLAEHGVVQSATIQLVETKMQVFVKRSYGSTMTISGVETNDTVESFRLRVQDRSGGIRPAWQRLLCSGKQMEDGHTLADYGVRNDTTLQLLLRWMFNLRTRKVELDIDVRDTVGRIKERVEEEEGVPVECQRVLLRGKELDDGRMLAHYNVLEEGTFVKIECRRLEGAAATNTTAKGEGDERVTVKETVKRRANVTGLGKKNKDIPALQWRRFSVDLLGPELARIYPSSCDLDPVC >cds.KYUSt_chr7.2363 pep primary_assembly:MPB_Lper_Kyuss_1697:7:13687038:13693453:1 gene:KYUSg_chr7.2363 transcript:KYUSt_chr7.2363 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMSLSEPGLCRIQGNQEIKQEQLDFSCSLIMKVANTGGSSRSLSQKSVEDAMARAWKDKYHGISQVSSSVFLAHFKSQEDMVSIYIKQPWIANSENLLVDWFDSSMNATSSSDFRFDSILVTVRAYGIPRNKRSISLLKNILNQVGEVSDFHILQETNLFAKQDYIWGTAKLKVDNPIKDRAALSFNDNSSAISYLHYEKIKRICLFCGIMFHNTQDCKLRNNLISERLKNRQSSADIPEQRYGQWVVDENLIPTDLIQSARMGDQDTSQGGNVILQRLRQMFAEDLKGKSKVMENHSLLPAQLRIQDNQKSPAVRDNYQDVLRSSNYEVSTEHQHKKEGRLLLTGDTGQLKIWCRKKKPLQQELTNLEEQIKEIQMQPLEKQDHMKEASLVTRPFISSHLPQDTNDYTYSIPDEKEVIETLKDMKRNASPGPDGFNVEFYIATWDWIGKDVTQLESIDRIIAVGKNECKNNAGNLLELEDIDQGQTLQTDLMIQGSKIFSDAAWKMKNVPGTQGTVSTGIGVFCHLHRQNIEEKILIQASASSMAPSPLHAEALGLLLASQIAMKLKAQKVTFLTDNLTLAKAAAAKTISGKKVPWELRKQIAEYHKASKDLEAKVYHIKRDLNGIAHDCAKQAIRQDQSLPIFSCSNSAHINLDTCPVESSLSNLQSQGFVFHAVNCL >cds.KYUSt_chr2.11769 pep primary_assembly:MPB_Lper_Kyuss_1697:2:74922579:74931029:-1 gene:KYUSg_chr2.11769 transcript:KYUSt_chr2.11769 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPAAVAHDGQKQRFDLGVFVGDLPLDDDAASDNESLDGLEQELQDCKNDEEVANILANGIKMRDYTKGVENSIRQVELDSIQDYITESENLVLLHDQICDCDNILSQMETVLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYLKTVEILSKKIKFIEADSMVKSSKALKDVQPEVERLRQKAVSKIFEFIIQKFYALRKPKTNIQILQQSVLKYKYTIVFLKEHAKEIYAEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSTDLLGVETRSTGYLFSIGKEPLKARSSVFALGERINVLKDIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFSDDFFGDESLFQDIFAGPIQVVDEYFNAVLLNCYDAIGIMLMIRIMHQHQLIMFRRRIPCLDSYLDKVNMSLWPRFKMVFDLHLSSLRNANIRTLWEDDVHPHYVTRRYAEFTASLVHLNVEYGDGQLDLNLERLRMAIEDLLVKLAKMFPKPKLQTVFLINNYDLTIAILKEAGTDGGKAQLHFEEVLKSNIAIYVEELLLEHFSDLIKFVKTRTYAASSSDKANIGDVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRLTECVKRVNGGSSLNKDLVSISSILYEIKKYSRTF >cds.KYUSt_chr5.27198 pep primary_assembly:MPB_Lper_Kyuss_1697:5:172114123:172117247:-1 gene:KYUSg_chr5.27198 transcript:KYUSt_chr5.27198 gene_biotype:protein_coding transcript_biotype:protein_coding MATASRLLLPSPPPAAPTCRYPTLLTVPRSCRLAIPTFRCRATAAAAVDAAAPLLLEERAGAVAVREFVTLDELRAAVRLRVRTFYEYSRDTVGAEDHRKGLAEREYEALQNRISGNMINFQRVACINGTVPLLPSLMSAEELCSACKFVEDGEERIVVGSLDLNQCLWLPDELTGKRPGVNESSHTRAYLSNVCVAKELQRNGLGYALVDKSKKLAREWGITDLYVHVAINNEAAQKLYMKSGFVYESEEPAQQARHLGRPRRLLLWLDMKNEPL >cds.KYUSt_chr2.45083 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280969579:280970787:-1 gene:KYUSg_chr2.45083 transcript:KYUSt_chr2.45083 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTNLSSPAMEDTAPAQARFGAGSDVISARLQQALALLFPSNLAAKAALFAVVVALLQLLPMGQTPRMWELPHILLLGLVISYGVFGQRNADDEVAVPVAAASKVVDDDESVESYVSKILQGPLVFEGSVGQDSNGGGKDGGVQAWSSQYYADDPLVVVANAGDASEKPLLLPVRKLKPAAEEPATGNLSDDGADEEETEFVPKEGIGYGGAPEYATSSPSSVLDARMTLSPCSPPAPPPPSVLLGSGRRLAKAKARSFNEYRVGDLKMSGRAGLRSGGGNRFRSNSAIQASSRSTFAAYDPVVQSDNQVDADDELDDMAAASDSSFSSDDMVRDGGDDEDNSELEELEQESSCDEELFELAARPQPEAAAEEDEVDKKADEFIAKFREQIRMQRAEQGKR >cds.KYUSt_chr4.33996 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208632463:208632978:-1 gene:KYUSg_chr4.33996 transcript:KYUSt_chr4.33996 gene_biotype:protein_coding transcript_biotype:protein_coding MQDACSYELFPISLPKCMILLTITQTSKSNLLKCLCDMKRTEEALDMLLHRMPGDLPNVITRRGHSPNVVSYNTVINGFLKEGEISKALDLFHEMKQQGVVPDVVTYNSIIDGQCKARAMDKAEGVLRQMVDNGVRPNTVTYNSLIHGYSTSGQLEEIARLLEEMRTQGIM >cds.KYUSt_chr5.33595 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213213958:213215286:1 gene:KYUSg_chr5.33595 transcript:KYUSt_chr5.33595 gene_biotype:protein_coding transcript_biotype:protein_coding MASITVVLLVLLPLLLSSLVAAAHSDGGFGFQATLTHVDAGKGYTDAQLLSRAVRRSRSRVAALQSLAVTPADAITTARILVLASWGEYLMSMAIGTPPREYSAILDTGSDLIWTQCAPCMLCVDQPTPFFESAKSPTFKKLSCSSPMCNALYVWDCYKNTCVYQYFYGDSASTAGVLANETFTFGTNGTRVSVPKIAFGCGNLNAGSLYNGSGMVGFGRGPLSLVSQLGVPRFSYCLTSFMSTVPSRLYFGAYATLNTTNTSDSGPVQSTPFIVNPALPTMYYLNMTGISVGGDLLPVDPSVFTINEADGTGGVIIDSGATITYLAQPAYDMVHQAFVAQVGLTLVNVTSPDDLDTCFKWPPPPRKKVTMPELVFHFEGADMELPLENYMLIDGSTGNLCLAMAPSEDGSIIGSFQHSNFHVLYDNENSLLSFVPAPCNLV >cds.KYUSt_chr3.16083 pep primary_assembly:MPB_Lper_Kyuss_1697:3:98935603:98937938:1 gene:KYUSg_chr3.16083 transcript:KYUSt_chr3.16083 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGHLGGGGLALDASPRPLGFLNLLSPPPFHRSMEADDSSGRGRRSVEVDFFSDEKKNMKKSRVSAADAEDEEEEERNKGQDAASIKKEDFTINLLPGNNNNARSDRSTVVDDDAASRRHAEDKNSRNASELAAMQAELSRMNEENQRLRGMLTQVTNSYQALQMHLVALMQQRNQLQLPPAQPQQPPHHEDGGKTEQVAMVPRQFLGLGPSGAGGADVVEEPSNSSTEVGSPRRSSSNGNDDPEPAAAAMTGQQLGVAPKGHDQQQQQQAQEATMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGQGLMSSNFLARTVLPCSSSMATISASAPFPTVTLDLTHGPHGPPPPNALPLSAARPAAPGQFQIPLPGGGMAPAFAMPPHMLYNQSKFSGLHMSSDSSSVDVAQFAQPRPPAMGQLSDTVSAAAAAITADPNFTVALAAAITSIIGGQHAAAAAGNSNANNNNNTNRNNDNNNDDVTMTTSNNTNSETQ >cds.KYUSt_chr5.34719 pep primary_assembly:MPB_Lper_Kyuss_1697:5:219970261:219971967:-1 gene:KYUSg_chr5.34719 transcript:KYUSt_chr5.34719 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETPAQTERREQQQLPSGAGARVAAKPLHRNPRLVVSFLLMVLGSASSPLLLRTYYLHGGNRKWLSSLLQSAGCPLLLVPICASFFSRRRCSATTPLFLMSPRLLAASIGVGLMAGLDGLLYAYGMAYLPVSTSSILSATQLAFTCGFALLLVRQRFTPFSVNAVVLLSVGAVMLGMNTGGDRPAGVSRAQYSAGFATTLGAAALYGFILPVMELSQARHAARTGCAVTYTLVMEMQIVIGLLATAFSAVGMLVNKDFQAIPGEAQEFGFGKAGYYLLLAGSAIVYQCLFLGTMGAVFYGSALLAGVILTVLIPVTEVLAVLFFHEPFNGIKGIALTLSVWGFVSYFYGEIHNNAQQSDKSPNIEQLDH >cds.KYUSt_chr1.19545 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114889801:114893210:-1 gene:KYUSg_chr1.19545 transcript:KYUSt_chr1.19545 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAELWETLKQAIVAYTGLSPAAFFTAVAVAAALYHVVTGIFAPPPPPRQRPREEPEAEPLPPPVQLGEVEEEDLRQYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLNGDLTGLGPFEMDALQDWEYKFMSKYVKVGTIKKAAPAEDAPETNETTTTEAEPEKAPATEDKPREASPEEVATEKEATTDVAGAKES >cds.KYUSt_chr4.9149 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55130923:55132194:-1 gene:KYUSg_chr4.9149 transcript:KYUSt_chr4.9149 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSLPFVVLFALAVSAQLGASDLAPDYYRDTCPNLETIVRGVVKQKMDANIRAIGSTIRLFFHDCFVEGCDGSVLISSTAGSAAERDADDNKSLAFEGFDTVNAAKAAVEAACPDQVSCADILTIATRDAISMSGAPFYPVELGRLDGLSSSASSVAGQLPRPTHTINQLIAIFRAHGLTTSHMVALSGLAHCGTFRDRVYGNPADPTLNPTYAAFLRTKCPADGSSDPMVLMDQSSPATFDNQYFRNLQDGGGLLASDQVLYTDSRTRTNVNALANSTAAFNSAFVDAITKLGRVGVKSGSQGNIRQQCDVFN >cds.KYUSt_chr2.47677 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298233886:298240556:-1 gene:KYUSg_chr2.47677 transcript:KYUSt_chr2.47677 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQAAEVSSGETANLKTPDTTLVHDDDKENLVNGDSNPHVKETHGDDDDGTGSDGYELVDVKENFGSAKAVEEETANRSMKDDERTIEAAMSNEETKRLDELSRRIEELESEKDKLVKDMTEAENKQTLQYSSLQEAQKSLTEKDKELAEATQSLSEMGSELEFSKKRIQEIEVELGLSADKLHKLEELKDERSLHAAQEAKRAAELDKMLEKTQLNMKEMEDQISNLQEELKGHQDKAIDHQQVEESLNATVSQFKMVQEALELAKSQVTDLEQKLASQDASISKLTEELSLHCSSEESLKEKSLKLETELAAVNEELQVKLLSLQEMEMKLEEQVKEKETSEATFEKQQVQILNLQSGLDELKDENETLKGTLVDTESKLTERESMLRQAEEEHAKAQLLLSEALSHKEELEVNLKSISEQHGEYKAVSEDANEKILELQAQIQSLHAAEEASKSHLEEASTNVEDAKKKCSDLEQQLSESESKFVTSSEQIKLLEEHVQQQAASSAEKEKQLEEAVNSVETYKEKINELQSSLDSSASKTQLLEQEVKDLTDRYSQHQEQSHSVRERSLELESLLHTSKSDADGAHSRRQELEQELETTQAKFNEVESELEQYRSKVSHLSDDLEAYQTKAASLEAVMEAASEKEKELMDSLIQITEEKKKLEELTAEYEEKLQEHLKEKEGFEERVQTQESKVLDLEELLAKLREEKESGENAIADLNLQLSNKNDMYLELESQLSQAGDDHSKTRSLLSDAHSHKEELELSLKSLNDLHTESKTAAESAMQRTAELETQVQELSAAEESLKLQLAEFESKLASAEKKSADLEQELKDAADERNDFRVKVDELSGEVEAYKEKSASLEALLAEANQKEDELSAKLAQANEEKEQYEELSKKATTAHLEAEKQVQTLQGDLESARSKMEEVENDLQALGVRESSVLEKLKSAEEQLEHSGRALEHTTSKKIDLESLYQSLLEDTETKLQQAGDNLTQKETQCQQLSEKLKLAEEQVASYEAKATASTEEVESMKVELEAFENEIATHETTIEELKTKVSNAESKAEEALAENAAMSGGNQALKEELDANLAMLQELQEQFNSTHAEKEDVATKLAEHGRTIEQLTEVHSRGLELQSAAESRNAEVEAQMREAHETIERKDSEMKDLNERLTALQSETESLMHVNEALKQEISAKLVLVDELQEKFASLSSDKEAAAEKLAVHEETIKHLTEAHTRSLELHSAAESKNGDTEVKLHEALETIAQREAEVKDLSKKLDALEIELGYYEEQATEAAANEENHKVKFDEAVHKLKNLEAQLAETQNKVELFHAEKENLISANSSLNEELEVHQSKLNELQLALTAALAEKQGSLEETHSLRKTLDGMIQRKEELESQVSSTLEEHEELKGKYQSTLEEKQILNEKYETAKKELDEAIIKLDEQINLEKSEKEFHISKLERQITMSELKYMEEIQTMQVETTEKNEALTTKMQEHANLVQQKDELEQQLLEVRKELDAAYHTIANQEEQASVREIKWDAFKTYSADQLEAQKKHAAELEVQISALKQELQESEIASKHKVAELNKLSQNLSKSAEMEKKLTTSSLLQAKDAVVSSRSREFSLDSATVQNKQHDRSQAPATVSPAPALQEMREPSGIMAFKFIIGVALLSVIIGVFLGKKY >cds.KYUSt_chr3.27111 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169257755:169259002:1 gene:KYUSg_chr3.27111 transcript:KYUSt_chr3.27111 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMERSVTESVKKPKTSLAPPVDALGDDLLGRILLRLPDMASLASAALVCKSWAPVASSPAIFRNFLSLRRPPLVGFILTDHVRVPRYCPDLCFISAGSGNPNLATAVADGDFFFEDIPDIDSDDEEEYYHDEWRLRGCDGGRLLLSRGWNSVDLAVYDPLERTAVFFRGPNAWRHSFHMVRYAILASETDSSFRVIGFQQWGDAFSAVFSSDSRKWVMIDSDADHRVGDDFYHIMSDGMSAGRFVYWRSDTKKVKYYKTKEKIMVLDTETMVWSVIKPPFPPGESYCIADMAEHGGLCIVSSKEQCVQLWVRNSNNEWMLKKEVLLLNKFGYLKKLRRDEWMKRVRILAVKAGYVYMEFWSIRKPHSYLLVLNLNTTKLKIIRNQSTKPYRGSAFPFFMRFAPLPATDADEKL >cds.KYUSt_chr2.38172 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236324941:236327080:-1 gene:KYUSg_chr2.38172 transcript:KYUSt_chr2.38172 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPRTSLLLGSWAAAGGAFPGCSCPLAVCRNATAVVPFAKKKRKGYTQVTPDGEEDDDVADELEGEAKDDDDNEEEEEEEVSGDDIVDEDDYDDDDEYDFGDDFESDDEHDLYAGDGGAGGGVSLAGTWWGKEALALAEQVSESFDGDLKIYAFKATANLEIRVRIEKMSTRYGSPTIDDIEAYTIALRAKLDDAESAGRIPKNISLEVSSPGVERVVRVPDELERFKERAMYVRYVAASEDLATPQEADGVFRLISYDMDLCECTWGIADVKINRQQTGKGRPLSKKQREWRLQTRFESLKLVRVYSEC >cds.KYUSt_chr7.28271 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176554653:176559468:1 gene:KYUSg_chr7.28271 transcript:KYUSt_chr7.28271 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGDKCGGDPEVRDDLYAVMGLNEECSDADLKVAYRKLAMAAPSSSLSRDVLQVALSSSQSCEVLQAAPSSSQSCEVLQAAPSSSLSRDVLQAAPLELPVLRGAAGRAVELPVPLPRRAAGWPSSSLSGDVPQKWHPDKCSSSSSTKQMEEAKEKFQQMQGAYSVLSDANKRLLYDVEMCEQDQGMGDFLDEMAQMMSQTPPAACYSSMSSIGLSDTKGHSLDEIFFELERKTTVGSIHASKTYDNNLEPLDLLRQKNFEELQQLFVNMFEPDNDSRVCNGPAKGNHDLVRGQNSPSPPPSLATKAEEPSCKGIDKRGSSAMGTGKPPRFGDAGASHSQPEFCSRMSDTKQAPKE >cds.KYUSt_contig_319.1221 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8078609:8080706:-1 gene:KYUSg_contig_319.1221 transcript:KYUSt_contig_319.1221 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKIIGARAYRHGSTEGLSPVDTVGHGTHTASTVAGRVVSNISLGGLAAGTARGAVPGARLAIYKVCWEDSCSDADMLAAFDDAVADGVDVISFSIGSKLPVRYFEDAAAIGSFHAMRGGVLTSAAAGNSGLDGGRVSNVAPWILSVAASSTDRRLVDKLVLGNGKTIVGVSINFFPKLKKAPLIHPINGSCEPESLTVAGRSYKGKILLCASWNNGSGPAIVGAAGAVMVTYEPDVAWQVTLPGLMVTQDQFNGILAYVNRTRNPVGTIHTSEAAFDSEAPIVAGFSSPGPNTITPGILKPDLSAPGIDILAAWSPLSLVAGRSVAYNIASGTSMACPHATGAAAYVKSFHPDWSPAMIMSALITTATPMDPSRNRGGAELTYGAGQLNPAQARDPGLVYDARQGDYVRMLCAQGYNSTQLRLVTGSDDATTCHGGRKGSAADLNYPTMAFHAVPGKNFTAHFPRSVTNVGVPGSVYVAKIVGSRPGQTVVAVWPRRLAFSHLHQRMSFTVTVSGALHDGNEYFSAAVVWSDGERQVRSPVIVHTVDA >cds.KYUSt_chr7.35003 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218679310:218682321:1 gene:KYUSg_chr7.35003 transcript:KYUSt_chr7.35003 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKSSAKPAAVANGDVVLLMPPEQPQPQKQQPPPPPKPTAEAPQTPQHSEKPPPTSSPHRPPLPNPEKPPPTTSPSRPPLPPASAPLLRRRSSIAKPKSRFVEPPTPPAPSSHPSPARPSTTQTPRPASTPHTPGDADDDDDIFRKDGAPAHASAAKCRRRACISLELAVLVAFLALLVVSLLLHPLKGRFVWGLEIWKWCVMVITVFSGHLVSHWLIALIVFLIERNFLLRNKVLYFVFGLKRSVQACIWVGLVLVAWSQLFDRDLGRPPKTARILNYVSRFLASVLIASVIWLIKTFIMKSIASSFHRKAFFDRIQESLFHQYVLQALSGPPLMELAENIGREPSGRVSLSRVSEEKGTPKVIDVAKLRRMNQEKISAWTMKGLITAIRSSKLSTISQSIESFDEFDDAEQKDKEINSEWEAKIAANTIFKNVARPGYKHIEEIDLLRFFNKEEAALVLPMFEGASETGKIKKSALKTWVVKAYLDRKSLAHSLNDTKTAVSQLHNLMRVLVIIIITIITLLLMGIATTKVLVVISSQLLVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGIQMTVEEMNILTTVLLKNDNEKVYYPNSVLSTKPISNFYRSPNMFDTVDFAIDVSTSAASIGALKSRIKGYLESKPTHWYPIHTVNLKDILDVNKINMSLSAQHTMNFQNIREKNIRRSELVMELKKIFEELSITYYLLPQKVELSYAGPNPLPISVSQGR >cds.KYUSt_chr1.2576 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15375987:15376389:1 gene:KYUSg_chr1.2576 transcript:KYUSt_chr1.2576 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIAAHSVSDDPAHIEVINGIEHGGTQPGLRRHDEIASIAEVDFEVVKELDFSLPPSLPLWPALNMGFLTIMSRATLSTRYMDREEGDMLLLLAPCHCHDAAAACERHCC >cds.KYUSt_contig_1539.525 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:2760311:2764410:1 gene:KYUSg_contig_1539.525 transcript:KYUSt_contig_1539.525 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLSPAAPLRPPLSARALRCIFRLARRVADASCSVFQDYWPIPIRAASICHGLLETTGQLSYGNCRCRSWNMPIVLLVFVTSSTNTKGTSPLVLVLPHDHWLKSSNLPPLSSRRQELAAPGLHGGDDAGVYVFLYNTPDAYKSNFTLVANSELPALEKFGAVSQDHLHLRQPVQWQSHCKPHLKVQDPIVVRRLRSLPSLSLRPTDSDGQTPASSRSTTGNTRRHGDASLFPASTPTAGLPRAGQSMATAGQLLARQLPIVEVMEITTSSTKCSKLPPRPCSPLVSQSALSNRATGPAAAAAGNLRPQNRSDAAGSGHAAAPTILLVAHQKTGGRAQDVFLLLTPHLWTKKSWSATPLDILCVHAPHALMLLVLLV >cds.KYUSt_chr2.38531 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238881448:238881996:-1 gene:KYUSg_chr2.38531 transcript:KYUSt_chr2.38531 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDESSNEQPPNGGSSQYRGVRKRKWGKWVSEIRQPGTKTRIWLGSFESAEMAAVAHDVAALRLRGRDAQLNFPGSVERLPRPASSHPEDVRAAAAEAAEMVRCEPALVVEEARRATSRLRVEELVAGGRGSDEEFEVDSPRLWAEMAEAMLLDPPAWATAAGDMDQAANCWSHGSLWDAY >cds.KYUSt_chr3.41502 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261815944:261817908:-1 gene:KYUSg_chr3.41502 transcript:KYUSt_chr3.41502 gene_biotype:protein_coding transcript_biotype:protein_coding MWEWQSPEFDLHKDLVPAPSSSLWAETNSSVIDSWSMFDEQTPIKECTDIDLPFCDIGDITIKDFDEGKETLQAKRRRMLQFCPENVEMECPMTDVLLESLQVDLDFSSDEHLLLADGTEELPEEWLVDCSQDSVPGCPPAEMNSPPSASVQANISALQISLVEEQSSKTVKKPPQSRPTPLKAGRNILRARKQKATVAYPFELIKPCGFRGDTTLRDINQKIHAPPPYRIRHKYDDEPVSYEASAISGKPVVHKTKIHTEGGKGSITITRTRG >cds.KYUSt_chr3.17858 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109583724:109584212:-1 gene:KYUSg_chr3.17858 transcript:KYUSt_chr3.17858 gene_biotype:protein_coding transcript_biotype:protein_coding MGELGSLRLPPRRLPHWVLLHVAQVFHAADEGVIHFLAVQATRNPSALGTPCWTEQERSMAEQCAEVLGGGGVVGGEDGGAAGSCTGGEGHGRMRDDAGMRGGLDDAGLDERMSGGGAKEDTVGKKRSARWRRDWSAGRGGGEARWRRGEEYRPARSRLDRA >cds.KYUSt_chr3.29647 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185500712:185502273:-1 gene:KYUSg_chr3.29647 transcript:KYUSt_chr3.29647 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPRSGALLVLALLLACAAAALVGAAAAAGKVAAGSGRAEEEEGCRDLATRGACVASGGCRWCRSEALDDMCFGAAEAWRLPNQVFSCDPPAAAAHARRNKLTIEGKLIGNAADTFFHMLLYMQSWRVLVRPRDRALLDFAVDEVRRLHARTRAA >cds.KYUSt_chr6.30565 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193662723:193663244:-1 gene:KYUSg_chr6.30565 transcript:KYUSt_chr6.30565 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNPKVFFDVTVGAQPAGRVVMELFAKEVPRTAENFRALCTGEKGVGKMGKPLHYKGSKFHRVIPGFMCQGGDFTAGNGTGGESIYGAKFADENFVHTHSGPGILSMANSGPGTNGSQFFLCTDACDWLDGKHVVFGKVVEGMDVVQAIEKVGSRSGATSKPVVVADCGQL >cds.KYUSt_chr2.103 pep primary_assembly:MPB_Lper_Kyuss_1697:2:637526:644271:-1 gene:KYUSg_chr2.103 transcript:KYUSt_chr2.103 gene_biotype:protein_coding transcript_biotype:protein_coding MGNERPPGRPVSGFMPGFAPSAPPPSGAPFTAATGLARPGGTVGPFAPPPLQHGAPVPQAVAPPGAPFGAAPPAAMGGYRGPAPPQGPFGAGPPPQRPFTTSPSPQGGPFSTVASPQGPFGAAPSSQGPFGPAPPSHGPFGTAPPSHGPLGATSPPAQGPYSAAPPSHGPFATAPLPFRPPIAPPQPQSPTTGSAMPPSTYVRPPQVQSQQPPVQPMQGYYPGGPPSNPQFPMSRPTFQQPMQSMPPPPMGPPSAYSNQPSYPATAPPMGTLQSLVEDFQSLSLSSAPGSLDPGADVKGLPRPLEGDEEPAKVLEAYPLNCHPRYFRLTTHTIPASQSLVSRWHLPLGAVVHPLAESPDGEEVPVVNFGTAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCALDASGRRYDTDQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVARTIKSCLDDLLGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSREVVETFLDSLPNMFHDNVNLESALGPALKAAFMVMGQMGGKLLVFQSTLPSLGIGRLRLRGDDVRAYGTDKEHLLRVPEDPFYKQMAAEFTKNQIAVDIFSLSDKYCDIASLGSLAKYTGGQVYHYPSFQATTHGEKLNHELSRNLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLSEMYRQADTGAIVSLLARIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRYLPLYILAICKSLALRGGYADVSLDERCAAGFSMMILPARRLLNFIYPSLYRLDEVLTVEPERIDGSLRRVPLTLQCLDTAGLYLLDDGFTFLVWLGRTLQPELANNILGVSLGNFPDLSKIQLRECDNSYSRNFMAVLRTLREKDSSCFQLPRVVRQGEQPREGFLLLSNLVEDQMAGTSSYMDWILQIHRQTQS >cds.KYUSt_chr7.34155 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213386136:213387221:-1 gene:KYUSg_chr7.34155 transcript:KYUSt_chr7.34155 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGRAMPAVAAAVLVLLVLVPEACRAERFIVGDTARWTWGYNYTDWVVKKGPFFQNDILVFRYDPPNTTTHAHSVYMMKNLADYQSCNLNASKLVADVMQGAGSGFEFVLKKRKMHYFVCGERGGIHCNAGQMKFIVKPKSSVCRD >cds.KYUSt_chr2.9402 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59241410:59248643:-1 gene:KYUSg_chr2.9402 transcript:KYUSt_chr2.9402 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSATLDYGRKTKNVDIRPGPLRPANIIRNKFPTYKNGSNGIVIKLADGPEVPALKEAVAKETVDLLDRRQRLSVRELAMKFEKGLNTATLLSKEVKWRQVALLERDILLKNLKSVLESLRGRVTGKTKDEIEESISMVEILAVQLSKREAELLEQKTEVTKLAKSLKLASEDAKRIVEEERANAHTEVESAKSAVQRVQDALQEHEKISEKTGKQDLEELKKEVRVARRIKMLHCPSKAMDLENEIKTLRKTFTDKSADCVNLLKELELHKRRKGNDIPVFDLEGLQCLGSILRIVSQNGAAIDISNISVQWFRIHPKESNKEIISGATRLVYAPEPQDVGRYVQAEISLGNETAIAKTAGLVDPDAGLVEYVETLVRKPETEFNVVVLQLNGIDQPKESVHVLNVGRLRMRLCKGKAVVAKEFYSSSMQPTTPTPTILHGSLRPKQLQIDALKREDDTRAPPSTDHGGSRDFPGAFPDMMAKHHLGDAFKKEVAPEAVAITGLGQLPAGQPPRTRHLPGACRAILLRDFGQNHLQPSPPISIVAATPASALGSTTGRPPHAAGEG >cds.KYUSt_chr4.6675 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39276431:39279617:-1 gene:KYUSg_chr4.6675 transcript:KYUSt_chr4.6675 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDGGNEPPAWGVVGKVTCAAWIRRSGGGANRLLVVYGRAGTASSPPILDLFTFDARACTLASEPLLRVTMGEDDDDAPRAIAVHPGGAEFVCATAKGCRQFKLVSEDFSINLIAMDSPPLQSVGPQRCLAFSTDGAKFATGGEDGHLRIFHWPSLRLLLDEPKAHKSFRDMDISLDSVFLASTSTDGSARIWKIDEGVPLVNLTRSADENIECCCFSRNGKKPFLFCTLVRGNDILTMVLDITNWKRIGYKRLLRKPVSTLSVSLDGKYLALGSRDGDCCVVDVQSMQVSHLIKKVHLGSPISSIKFCPTERIVISTSHQWGAEVTKLDVPADWRVWQIWLLLLGFFAAWAVVFYTFFKHTTLL >cds.KYUSt_chr5.4848 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30725401:30728128:1 gene:KYUSg_chr5.4848 transcript:KYUSt_chr5.4848 gene_biotype:protein_coding transcript_biotype:protein_coding MILTQGDIMGQCNYMWERLQCTRETLAKLNETGTRLLNYRKHSQLNYGVDCGWLTEENWKSDTKMIRGGPIFSVSNGGEDDHGVMAAEIHDDEVEDNLYYLKDSVLDDGIPFNKVYGMSAFEYNGTDPRFNRVFNQGMKNHSIIITKKLLELYHGFQGLGTLVDVAGGVGATVAAIAAHYPAIKGVNFDLPHVISEAPPFPGVTHVGGDMFKEVPSCDAILMKWILHDWSDQHHATLLKNCYDALPAHGKVVLVECILPVNPEAKPSSQGVFHVDMIMLAHSPGGRERYEREFEALARGAGFAGVKSTYIYATAWAIEFTK >cds.KYUSt_chr5.19871 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128916628:128919622:-1 gene:KYUSg_chr5.19871 transcript:KYUSt_chr5.19871 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVSSSPKNPSHYASTATSPPPSAPIRSPPPPMAAAAATSASAHHAPASDFPPPPPPLHATGPRPRRRAREVSSRYLSTPAPLPTSSPRLSTSSRASSPTPSPRAHTRVATPFANENQPPIPPPTTSRRRAVQKLFGEIANPRASVSSSTTSSITTPRPLPRSTSGPPPSTARRGYPRPPTPAARASSCPTPTDDAASCCSSDTSSTLTDFSEPDGVPISAAPCESPPLLGPASCRGGRLSSDLRSSVPESGGSARGAIPLCHRSLNSALTSCQGKALAPPRPPQPHVGARVAELKKAALVGGRKVAGKQEDVHQLRMMDNCHVQYRFLNARAEAVAKAKAAAAQNSLFGLAKRITGLRDSVADKRAEAEKIKRSQRLFSIVGNQVPYLDLWSDVEEDYSSCLTGATSALHNASLRLPIVGGVRVEEVDCVASNLAQVIASERALIEECGNLLNQAHHLQMREYSLRSQLMQLKS >cds.KYUSt_chr3.48517 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303486399:303489481:1 gene:KYUSg_chr3.48517 transcript:KYUSt_chr3.48517 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPIVNATTAVLARVSAAFNAPLARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEVDELCDEWEPEPLCPPIKEGARIEAPIVGSAAGPHTTIDGKEVVNFASANYLGLIGNEKITDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIANFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLYLSRSTVVYFKHNDMASLASTLEKLTRRNKRTENIRRYIVVESIYQNSGQIAPLDEIIKLKEKYRFRVILEESHSFGVLGKSGRGLAEQYGVPIDKIDIITAGMGNALATDGGFCTGSARVVDHQRLSSAGYVFSASLPPYLATAAVSAVNYLEENPSVLANLRSNVALLHKGLSGTPGLEISSHLLSPIVFLKLKKSSGSLTTDLDLLETIADQALKEDSVFVVASKRSTLDRCKLPVGIRLFVSAGHTESDISKVCSSLKRISASVLSDHV >cds.KYUSt_chr2.13753 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87173899:87175652:1 gene:KYUSg_chr2.13753 transcript:KYUSt_chr2.13753 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGRFGGEKGASSDKAGGGAGESDDVVELSLGLSLGGSFGANSGRDAKKPRLVRSSSLASSVCSPYGSDDLAAATPAPAPLMRTSSLPTETEEERWRRREMQSLKRLQAKRKRLERRNSMNSGKSGGSGRDDAQEPLYPSAFQLRRSVVAQGNASSSMPEQGSGDGADVRSTSSMETSSDNNNSNNSASNQQSKSLPPPSPLPPAGKPPPTPNGVAKEQPPPLRTLRSLTMRTTSTGDLRKSMMEDMPMVSSKVDGPNGKKIDGFLYKYRKGEEVRIVCVCHGNFLTPAEFVKHAGGDDVTNPLRHIVVNPTPSVFL >cds.KYUSt_chr1.39808 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243726158:243728437:1 gene:KYUSg_chr1.39808 transcript:KYUSt_chr1.39808 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAPTDVEEEAPMAEEAEAEETEVEDDDDEFEWSDDDGPHPDETADRQRALVESFESEKKLQDDARAREEAQIRRAVELSLQAAQQGTAEDARREWNRLAIAERKERRRAQEELRRRGGDDGAGPSNAPPGAVSSAADDSGHGGNCVIALEQEPPEIELASSTQKLCTTPSSPLASMDGQRKKPDSTTLEQVPPTNPIRSALHRRQRSRFPLATEAGRGTNLAAVFVAALLSPASTASQEEQNNHRTPAKKEATREPFIHHEGLHHPRQNRPPPPWKLTGKLR >cds.KYUSt_chr2.54634 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340874572:340879616:-1 gene:KYUSg_chr2.54634 transcript:KYUSt_chr2.54634 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAALDPAADTEDEFHESLDRILSSSCSSTSASASDDDADPLHRRTRRGRPQPHHPTQPPSSSAAAYDVWISEPTSVHERRKLLLNRLGLSPSGSLQPHSPRPSSSIPLSPPRSPSPPPPANDDHPASPPAPAEQEDEPRSVAHGKPPLARTTSNAGEQQCRIRNLDDGTEFELGEALHEEVVREVGTGRQLSLEEFEQCLGRSPIVHELMRRATTAPSSSSASHSSSSAPASKPRRGWLRGIRHLAGTVAYGGARRGGTDAKDKDKEARRLSSATDVSLDGGSSGTGPRGGGAGRVRVRQYGKACKELTGMFMTQQLPAHSGSVWCINFSLDGRYLASAGEDRVIHVWEVSEGDRKGDLLVGDAAVSRESGGGGSSPFVAVAGSGSPDVGMLSLSSADKGYGEKKRRPRVQSSRKSVGSDHLVVPECVFGFREKPVCSLLGHAADVLDLSWSKSQYLLSSSMDKTVRLWDITASTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVQDRKIEDWNDLHEMVTAACYSPDGQVAMVGSHKGSCHLFDTTEKKLQYKSQIDLRIRKKKSGQKKITGFQFAPGSSLEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYVWRHDNSSHPSRSRSAVDVTNSYEHFHCQDVTVAITWPGAEARGSFGCRSSRHSDSDGAVNSVPEAPVRNDEHEANGTANRSTETPVCEAGASTSNHAVEAVSLPLPDEQLPSAKSSPGHSSSDLCIGAMDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV >cds.KYUSt_chr1.23555 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140070311:140071195:-1 gene:KYUSg_chr1.23555 transcript:KYUSt_chr1.23555 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQLGGEGELKLLGTWASPWVSRVKLALHLKGLSYEYIEQDLDNKTSLLLASNPVHKKVPVLIHNGKAICESRVILEYIDEAYSATGPSLLPADPYERAIARFWAAYIDDKLVVPWVQAFKNNTEEERNEGMKQTLAAAETLEGALKECSKGKPFFGGDSMGYVDVVLGGLISWLQGTEELCGAKLFDDANTPLLLCWVERFVALDAAKVALPDVGELVEFAKMRLAQLGAAAAAAATVPPKN >cds.KYUSt_chr5.40126 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253459284:253461126:-1 gene:KYUSg_chr5.40126 transcript:KYUSt_chr5.40126 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTAEAHRQEPPTNQICGMDGGKDGHHGRWRSPSASLRTTTNVRISSSSHCTTYLAHRFVHVLLIIVCSGLDPWFAGSYKIRATLFVSMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >cds.KYUSt_chr1.38011 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232090854:232092514:1 gene:KYUSg_chr1.38011 transcript:KYUSt_chr1.38011 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPLVPAYQILLLTQDEHDGAPAPAPAPAPSVEDDSLGDENYHHQILKRGGLKEGVTGWAVLVAGSSGYKNYGHQAADECHAYQILKRGGTNNEESILLCMDDTIAKNSQDPRVPSAEEGATTKRAELVAGSYDRRQATKTRAEKVADHSDGFDMKGLTIAGCLVVGGALLALVIVFLYRVYRFFTLEIVLRRRNLPFGLYPPKGAAYQAGDVHTICMVCLSRFTWCARIAVLPACGHIFHRRCLRVLAAQESPRCPMCRAAVA >cds.KYUSt_chr1.24103 pep primary_assembly:MPB_Lper_Kyuss_1697:1:143716352:143717902:1 gene:KYUSg_chr1.24103 transcript:KYUSt_chr1.24103 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKDASPRRWRRRRRLREDRLSDLSDDLLLEILRRVDTHTALGAAALSRRWASLPRELPAMDLKVTDILPPRYHRLYLLRDDARESKISSTLQDRRRLNAITGRYERRAMRAMICSVKRLLASRAHRRVERLSLEVFAYSTSPCINRLVVDAVDSWGVRDLEVVATPTGPLAFPDPPPYSFPCGLISRKPAGQSRLRSLRLANCLPPPLRGFTALTTLVLRDLPSSTPAATYEGVVAACPQLQVLHLVSCEFTSTTRRLVLDAPMSEIRELLLDGELMVVELRSLPKLESLTSLHADVILCSTAAAPCLARVSLAFSVGPLEGNSFAALNRRHQDCLIRMLLDFFQGAISVKDLVLRFTGPDMWILPKNPFSAMSNLRRLLVADVPSSWDISWPHLLIEAAPLLESLYVHPSHGEDETRQEVLGEASSSRHHHLKELVVIGFQRTERQMHLVRFAVEISTALKRVSLLKHGHVVDKGPSCDWEVVSQPSTWSHEEGLAVLDGIGCSAGQIQVILG >cds.KYUSt_chr2.25927 pep primary_assembly:MPB_Lper_Kyuss_1697:2:158646774:158653102:1 gene:KYUSg_chr2.25927 transcript:KYUSt_chr2.25927 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAATAGGFLNLAPSRVPTTSPYCPLFGTSYGWRSRRQLRLCPLLAASSVAAGEASYTEPEEALLEALVGVQGRGRAVAPRQLQARIGSLGLRWKAQFRLWRHSKRTFVGVDSFSVFQEVYLRTDDPRVVNVVKFSETVGELAVQAEATIKDGKRILFRFDRAAFTFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSRSGNIRISRGNKGTTFVLQKSADPRQMLLSAISAGTGVKEVIEHLASSRTGVEADLNTLAGEWQLLWASQTEGGSWSSVTSAGFKDFQTIKEDGQLKNLVNPFPGVSLSAKGNICKSGNSNTFRVSMNEGAAQVGGVQFPMDTRGEFVMEILYIDNKIRISRLNQHELVHLRIASRT >cds.KYUSt_chr4.48246 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298735404:298735643:-1 gene:KYUSg_chr4.48246 transcript:KYUSt_chr4.48246 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPTMVRAVVVAVLLMQCCNMVLAARLLEGDGGWLQGGAGAGALIMQVLQKGGPAPGPPNGCTGNASHPPGGPCHGN >cds.KYUSt_chr7.1670 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9508484:9513700:1 gene:KYUSg_chr7.1670 transcript:KYUSt_chr7.1670 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSSAAARWKARGSPPKHRHDGTSPLPLGMDWSPPPKRWEGRNTVWPHNPQTGWSYCVTIPSWIAQTPEAGATSDNFLKSIVFYRIHVGIQSPEGVSSSHGVLRRFSDFLKLGSDLKRAFPRKEIPPAPPKHAFLRINSSRLLLEERRNTLEEWMQKLLSDIDLARSAPVAAFLELEAAARSYFQDWNQRPPEAGTSVKSSMDSSRHRDDPGSSALSESSQMNPGLVRSTSLAGATGNGVLGESILGQSDQHVGSVSNRRKESLIFPEHDGRNGSVETSKGVISEEDCDSNPGHARKDSSESLGSDLSSLRGSEFSTPGASSSLWDGPVDLPSGVDGHSQTEQLAGVDMQFLYDVDTQVILPTDQKQKLTRLLITMQRRIGTAKTDMEDLIARLNQEVAVKDYLSTKVKDLEVELEATKQKGRDTLQQAILAERERITQMQWDMDELRRKYSEMESNLKIEQNEKTRVESEKTTASGDREELVEELETKRKEVESLQRHLGEVEAKSKTDMKVLVKEVKSLRNSQKEMKKVLSQYLEEKTELERIINREKQRSTRAKSSNEKILKECGLLRERLQEEQYNLTVDPSSLPGALDLLAASDGKIQFLIAEAQLLAQGEEQGSSDDDDNSESISSTTMSIQGANNTDEAMRKMLSDLLIDNAQLRIRVNSVIRNVVNTSAAKPEEKEDAIADGAVPKKTVLNWLLDR >cds.KYUSt_chr7.7615 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45992502:45993252:1 gene:KYUSg_chr7.7615 transcript:KYUSt_chr7.7615 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLAAAAVDPAPVAPPPVVPYGIYGGGGARKRKDVVHIQDEDQGGEGGSRHGLFVLETVEEDDREAEAEREQSSIGVVTDEEEEGDEADSKDNEAMKNGGLASLDALDDALPVKRGLSSFFSGKSRSFANLQEVAGSTSSSKDVLAKPENPFNKRRRILRCCSIRRVSSTSLTALPPFMPPEPSFNIGNDGGDAGDFSG >cds.KYUSt_chr7.6288 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37889155:37889739:-1 gene:KYUSg_chr7.6288 transcript:KYUSt_chr7.6288 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAQSIDLELSDVADIPVSLSQESGEQVVAKSGVLTHVPASSPPQLEPCQSPLPVVLADSKCGDIDEFLAPMLQVTEELHELRGDSPGSFPSVLCSFETMEVATTPSPPQSEPCQSLASLDYGAVLVPSSDALFAKELCGLLASLEAASPGYGREIACVLEGKASEDIIKKMEKSLKKVSIRRISRRAITREV >cds.KYUSt_chr2.39777 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246846532:246848656:-1 gene:KYUSg_chr2.39777 transcript:KYUSt_chr2.39777 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLAAVLALLLALAAGSARADDDLASDTAALRAFIAPFGSASVHWIGQETCSWTGVVCTDDRVTGLHLPGDGLRGSFPAGALGGLTRLTVLSLRYNALSGPLPADLASCVRLRVVNLQSNHLSGELPAAILSLPALTQLNLAENRFSGKIPPTIAKNRRLQALFLEGNLFTGELPEVNMPALLSLNVSFNNLTGEVPEGFGGMPATSFLGMPLCGKPLPPCPISPSSQPPSSQPPTLPPGTAAAVTPGRRGRRHLRGGDIAGIVIGCAVGFLLLAAALVLVCAAIRRKPRGTAYRSQDAVAAELALHSKEAMSPNGYTPRVSDARPPPPAPMLPPVGPVAVGKKKLFFFGRVPRPYDLEDLLRASAEVLGKGTYGTTYKAALEIAPAVAVKRLKETSLPEREFRDKIAAIGGMDHPNVVPLQAYYFSKDERLMVYEFVATGSLSSMLHGNRGSGRSPLSWESRRRIALASARGLEYIHATGSKVAHGNIKSSNILLGRSVDARVADHGLASLVGPAGAPSMRVAGYRAPEVVADPRRLSQKADVYSFGVLLLEMLTGKAPTNAVLHDEGVDLPRWARSVVREEWTSEVFDTELLRHPGAEEEMVEMLRLAMDCTVTVPEQRPAMPEIAVRIEELAGTPSSGRPGGRSVSVDEADDRPLKPAGSMRES >cds.KYUSt_chr5.9217 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58466809:58467054:-1 gene:KYUSg_chr5.9217 transcript:KYUSt_chr5.9217 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRELPSFFTNITDGRLVAAFGNLRRTFEQLDLDVDISTGGRKPMVLVNTVEALELGALASVPELDMLPIGPAVLYIALR >cds.KYUSt_chr2.13148 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83355134:83356440:1 gene:KYUSg_chr2.13148 transcript:KYUSt_chr2.13148 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRTGVYQALVGVLAHATPENGRLHLDASEQWALSLGDGTETTSESIDLESVAMHEIGHVLGLGHSTSPHAVMYPSINALQKRADLTVDDVEGVHMLYGSNPNFRLSSLHKEPDPSMAPQRTSWVVSSARALPLFHLPTVAPAQSKVTKNKELSATRNAFHPEGSEEEEPSTSSGESYVDISDSDGDEEEEEGSCDGNEGEADAGIPGADRGEAVCTKIAGLLRRNHLYLFPSRSL >cds.KYUSt_chr5.36532 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230900319:230903087:-1 gene:KYUSg_chr5.36532 transcript:KYUSt_chr5.36532 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSLIASRLARSGHALANRAISQAPRAPLHTTSPLLSRLGSVARAFSSKPSAADVIGIDLGTTNSCVSVMEGKTPKVIENAEGARTTPSIVAKNKTGDLLVGITASRQAVTNAQNTVRGSKRLIGRSFDDPQTQKEMKMVPYKIVRGPNGDAWVELAGQQYSPSQIGAFVLTKMKETAEAHLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLEVLRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDAALLDYLVGEFKKSDSIDLSKDKSALQRLREAAEKAKVELSSTAQTDINLPFISADASGAKHFNLTLTRSKFESLVGNLIERTRSPCVNCLKDAGISAKEVDEVLLVGGMTRVPKVQDVVSQIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLITRNTTIPTKKSQTFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPRGLPQIEVTFDIDANGIVKVSAKDKQSGKEQDITIKSSGGLSDSDIEKMVREAELHSQRDQERKSLIDIKNSADTTIYSIEKSVSEYKDKVPAEVVTEIQSAVSDLRSAMAGDDLDNIKQKLEAANKAVSKIGQHMQGGGGAAGGSGSSGGDQTPEAEYQDPKEAKM >cds.KYUSt_chr7.34355 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214645016:214651491:1 gene:KYUSg_chr7.34355 transcript:KYUSt_chr7.34355 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRFARIVQEVGGINVWKNPIVKNAWRIGDVPMMFGLPSGLFRCMASEVDVWEIAPYFTELLKNTSVQFVKDSVKLLRPSDHFRREPGGSSTGGVVHLKSGTVIEYDWLVLALGAEAKIDAVPGSSEYALPFTTLEHALKVENELKMLERRRFGKSSPGIEVAIVGLGYSGVELAATISERLKNTGTVKAINFQTTICPNAPPGNRDAALKVLESQNIQLFLGYSVSSIREVYASEDSSSMVTDAKEAAGDDKKLLLELKPAQRGLQSQVMEADLVLWTVGSTSQILRLQPPDAPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGKFLPANAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGTNDAAITASFIEGLTLEGPVGHAARKLVYCLRMPTDEHRVKVGISWLAKGAVDSLASLQNAVASSFLPPTSTTNPCPPSPAAMDPDSEITFDFPPYLCQYKSGRVFRPGSNATVPAGTDPLTGVVSKDIHVGSARARVYLPPGVDADAKLPVIVYFHGGGFVVGSPARPSTHAYLNDLVARAGAIGVSAYYRLAPEHMLPAAYDDGWAAVQWAASLGDGADPWLLDHADLSRVFFGGCSAGANLAHNMAIRAGADGALPKCVTVKGLLAVHPYFTGKEAVGAEAAFAADVRGFMDRTWRFVFPGSLGLDDPLVNPFVNDEARAAVAKIPCERVLVTVAEDDVLLKERGLWYHRELKASGYAGELELFESKGVGHAFQFDNLHTPQGVELQERLVAFVNK >cds.KYUSt_chr3.27731 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173185107:173192908:1 gene:KYUSg_chr3.27731 transcript:KYUSt_chr3.27731 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTERISHRAACAVVRTTVSSRRFLGDRARAPKRYKSSAPSKAALVDETAEMNYADDFDDDARDGDTEVKKRDFTKLELKPDHVNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETTTIISVLSKLSKTKLPREIIDFIHGSTANYGKVKLVLKKNRYFVESPFPEVLKTLLSDDVIAKARQAPEDCLEAPSFSVSKTPGEIASGHEELLDGMDLAAAAEEKETHSFEIIPNHVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLDMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDEHISRFTSDNKEKFRGMASVVVTTYNMVAFGGKRSEDSEKIIEEIRSREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIYGATSHAERTRILYQFKNSPEVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEEGPNLSFHSLDEQLDLLGKVLNAGDDMIGVEHLEEDSDGKALLKARRSAGLMSHFSGAGGMVYMDTGKGKGSKKKDPAKRHQLFKKRYT >cds.KYUSt_chr2.40780 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253349044:253349942:1 gene:KYUSg_chr2.40780 transcript:KYUSt_chr2.40780 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAPPQTLRPSPRTRTRPHLPPPPRCSGSTPSSPSSAGSIRRQVLQPEGRAKLDPRSDRYFYAFPRLVKHVDDGFLATLTDLYRERLPPDSDVLDLMSSWVSHLPPEVRFRRVVGHGLNAQELAKNPRLDYFFVNDLNKEQRLELESASFDAVLCTVSVQYLQSPEKVFAEIYRVLKPGGVCIVSFSNRMFYEKAIGAWREGSAYSRVQLVTQYFQCVEGFTQPEVVRRLPPSDGKSGSSPLEALMRLFGQANSDPFYAVISYRNFKPI >cds.KYUSt_chr3.8010 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46122312:46126235:-1 gene:KYUSg_chr3.8010 transcript:KYUSt_chr3.8010 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVTGTILSSQPCPVAKVSRVLARFVEESPSGLLSSDAATYLRTAIEAAAELNCFRRDLRRLQVGGESERTHRHKPVEEEEARWEPKAAEEEDGRWEPKAEEEYGRWEPKAAAEEEEYGRWEPTAEEDEEYAMTIPAAVEKTSSKKKGSREEKRDIAAPHGDVQSPAGEKRRKKKEKRVKEEIETEYAIEEQGKKALGGALQGMVSQEGIDSERKAKKKKKKYMKQEDEEVMGAKEAERKMVHVGVAENGLAGEEKKRKKTKHAEVKEVKKEVVDDGDLGSDKKRKKKRGRDGDNGNDTELVEHTKKKQRNFVTEHHSIEFHPPLEITTRTCSLQHTETSRAFSIGIRNMEPGKERSSILVIGGTGHIGKHIVAASIRLGHSTSVLVRDATQSDPAKAQLLKNFADSGVALIKGDLFNHESLVKAIKGTDIIISAVGPRQLAEQTRIVRAIKDAGDVKRFLPSEFGSDVERVHTVDPVASLYAKKVNLRRLIEAEGIPHTYVCCNGFAETYLPSIGDVTTVGAGPPSSKITVLGDGDAKAVDDPRTLNKILYMRPPANIISHNELILMWERKAGKTLQISRILEVDLLEWIKEAAFPLNILLSIALSIFVRGDQANFEIEPSFGVEATELYPDVKYTTVDEYLNRLL >cds.KYUSt_chr4.43664 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270672879:270676504:-1 gene:KYUSg_chr4.43664 transcript:KYUSt_chr4.43664 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAVEEAEVEGPMLGEEEEEEKKGKQGKKGRRYGLVEYRALPAYMRDNEYILRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFIFLALTIYTATKVPSVDLQSLQHLPEMLRNADLHKIQAELAACLPSLPNFSDLQKMTGVATIAFSLLPVFQNPEFRVIRACLFFGMGASGVIPVFHKLILFWDQPEALHTTGYEILMGLFYGIGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYNAGLLYLQWRDQRGC >cds.KYUSt_chr2.53292 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332536015:332538690:-1 gene:KYUSg_chr2.53292 transcript:KYUSt_chr2.53292 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPAVVDGIPTGEEEEGESTPPTRTTDPTPWKEQRTTHPPHAGCHKRCNLRPLRQRRLTKLDGEAIPLGKVSELALGNGELDGPILVEIRRLVLRIPHLAIVVELLVAVVVVCVLDEVAGSVIVAGSVIVAVLVLLLVVGEFRFEELHVAKVSRSAAWVELHVPKVIKLYKLRENAGSSRRSSGRDVFDHGRHGTSLAHVQEAKTTRCSPATASVAGPPKPLLVAAPCDAGEYPVVVFLHGYLANNYFYSQLLQHVASHGFIVVGPQLYTFSGPDTTGEINSAAAVIDWLADDGLSSSLPPNVLPKLTAVSISGHSRGGKVAFALALGHAKTSLPLAALIAVDPVDGTGLGNQTPPPILTYKETPLRVPAPIMVIGTGLGEVPRNFLCPPCAPLGVSHAAFYQECAAPACHLVARDYGHTDMMDDVTTGAKGLATRAVCKSGEARAPMRRFVGGAMVAFLKKWVEGRPEWLDGIRERPEVAPVVLSVVEFRDE >cds.KYUSt_chr4.13010 pep primary_assembly:MPB_Lper_Kyuss_1697:4:80014943:80016778:-1 gene:KYUSg_chr4.13010 transcript:KYUSt_chr4.13010 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYQDAGGSSAAGAGDMGMSKDKMMLSAPPPQEDEDVDELLAALGYKVRSSDMADVAHKLEQLEMAMGMGAVPAPDDGFTTHLATETVHYNPTDLSSWVESMLSELNAPPPPLPLPPAPASSTVTADGFFDIPPPSIDSSSTSYALRPIPSPAVDLSADSPRDPKRMRTSGSTSSSSSSSSSLGGCVVEAAPPASEANAIALPVVVADTQEAGIRLVHALLACAEAVQQENFPAAEALVKQIPLLAASQGGAMRKVAAYFGEALARRVFRFRPQPDSSHLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIGVDFQYRGLVAATLADLEPFMLQPEAEDGPNEEPEVIAVNSVFEMHRLLAQPGALEKVLGTVRAVRPRIVTVVEQEANHNTGSFLDRFTESLHYYSTMFDSLEGAGSAPSEISSGPSAAAAAAAAPGTDQVMSEVYLGRQICNVVACEGAERTERHETLGQWRGRLGHAGFETVHLGSNAYKQASTLLALFAGGDGYKVDEKEGCLTLGWHTRPLIATSAWRMAAAAAP >cds.KYUSt_chr3.1014 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5414874:5415977:1 gene:KYUSg_chr3.1014 transcript:KYUSt_chr3.1014 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGIGGGAFIVVRNASSGEAVAFDARETAPAAATPRRNRIRETEKLSGITAGGNGRTTGGAAMISDHEGQPRSMASTTDTTDLSTLNISRSNDGSSSVDRVIDWSQIEIAPANENEIEVPVAEENLCLILGIDDKFGINEL >cds.KYUSt_chr4.6706 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39471437:39473730:-1 gene:KYUSg_chr4.6706 transcript:KYUSt_chr4.6706 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIRTASSTACRYQQFSALLSSAVFEWVLMLLMLLEGLLSYLATSFARLCKLPPPCPMCARLDHVFGDARYRDLMCSSHKAEASSWAFCHVHQNLADVHGMCEGCLLSFAADGRSNLETYRSLTGKLGGAGIGGAGLSLGSGSGGKGMENGALCSCCSVTVEVRSFPFAVLQRDDGDVGIGGVFRDVSRDRCVDEVDHAGYSVLKTSDSESELLQRVGESRRSLKDAGAINNLKEEFTFGHTEIKIADGNAEEELPNYSELTQVQDHVTDKIHPEIPKECPNIKANIQSNDLPRTDAEQITRNSDTRDKPEDDVWHNALDSTEESPRTTTDSDKSSETKAATDEPKPEFSDRTTTRQDSFIVHHDLKLLLSQVSTSYRTPDPSDVFAADTPNSQEQQHEQAVLRNITRVLSLQRNYSGVSDGSMLNTEGEAEEGGECSSTVDALRRQVELDRRSMAVLWKELEEERSASAVATSQAMAMITRLQEEKAAMRTEAAQYRRVMEEQSAYDREDAERLAGEVRELEAEVKRCRAELSDQAIACDIRDQMRLFPRPRGGRSVSGLSAGEESSGGFGDEENAYISKQLRMLTDKLHRFSNDSSRIMTPDLAGDEQEDVNDGGEEEEDRAETSEVGRRVRNADNFTKWQQLQYMEVTKVRGGDNGAVVGGESENMAVLEDEISELSGRLQALEADRSFLEHSVNSLRNGREGEAVIHDIAHSLRELRRTLGNDVFDR >cds.KYUSt_chr2.10261 pep primary_assembly:MPB_Lper_Kyuss_1697:2:65012166:65013978:1 gene:KYUSg_chr2.10261 transcript:KYUSt_chr2.10261 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHGVVVVPEDTVVGVAAGRHFSFPPPRTGDSCKMLAGQIDLGAAMMGSWLDSMKASSPRYKLVAPRVAAAADAEQDDWMEKHPSALDEFESLAAAASGKQIVMFLDYDGTLSPIVEDPDSAVMTDEMREAVRSVAEHFPTAIVSGRGRDKVFNFVRLAELYYAGSHGMDIQGPTADSNHHLKTPSKGEHTRSVLCQPASEFLPMIGEVHDLLVEKMAAIPGAMVENNKFCLSVHFRCVDEKTWGTLAEQVRSVLREYPRLRLTQGRKVLEIRPVIKWDKGRALEFLLGALGFADRPDVFPIYIGDDRTDEDAFKVLRSRGQGAGILVTRFPKDTLASFSLRDPDEVKDLLRELVISKR >cds.KYUSt_chr5.12534 pep primary_assembly:MPB_Lper_Kyuss_1697:5:81673441:81673928:1 gene:KYUSg_chr5.12534 transcript:KYUSt_chr5.12534 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSAAPAGGTHQDDIAPSLSSDALDAIEASLSGSYQALQRTQAGISTSASTMGYASWYQTPICNFWRWEDKYIEYIIARWGHIFSPAPPHAGFDELKAPLIQNQGDIKNIKWMLMGWMVVVVALLAAKY >cds.KYUSt_contig_815.193 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1107728:1108714:1 gene:KYUSg_contig_815.193 transcript:KYUSt_contig_815.193 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVDRISALPDDILHHVLGFLPAQEVVRTCVLARRWRGVWRSVPALRFTGANGWRSDDRFAQFVDHLLLHLCCAGGVVNAPLDACDFDFDSDGFMQSPANEQHAGRWIHQLVSRVRALRIRVVEDFMEPSPLFQDLHPVSQHLTRLELIGVGVNDKVVDFSGCPALVELNMEDCDVFLQKILSPSLKHLRIARCYASEYYRILMSLPALVSLELIQFHRGRVPLLERLPQLDRAIVVLNEECNDQCYQDRFDGCGDESETCDGCNYYYGDPEYPQSEPFYDRNNSIFLKGLSEATCLELSAEYDVVYMLPSSLFLAAAHCIFYFRD >cds.KYUSt_chr5.22903 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149610175:149612752:1 gene:KYUSg_chr5.22903 transcript:KYUSt_chr5.22903 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSRSPDLFGRIGCVLCSVFFVGPLSWQEWLLTRDLERDISSNKAVSPFYSGDMPLLRRSPSPPSSDWRRFLLLLCYCPWWMEADCSRFGEGAISNKLEDPSNLVDLFCHVVLWSFGAGKLPPAGLGGEGRMWSCVYCSGAQRWWGSSTAKWSSHTTDFRCCDLGQVRRPLHAFLESFFSAALQFLSSNFLAEWRLLSPWSPESDESKQYSLDRCKVLLNLLALMLIWRPFVFNTVCSRCSAPSGHVPGGAVVDRVCKICENLGEGARTEGIPLVDVGWCWQVGPLLVPRRGHVFTYPDHRGTHNLSTLSAPDPQLTQVTVAKWEPEPALRPCPCHCFPFLSPRPIVLLLRQRQPFSGRRVMSSFSSTSRSSWPQYGPVPLTRCPDCPRQEPLKRSICKTDENGNRGREFLACESLPYREGIRS >cds.KYUSt_contig_1253.1066 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:6761732:6762004:1 gene:KYUSg_contig_1253.1066 transcript:KYUSt_contig_1253.1066 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPASSAPMEMEQGGRRVSSSKEEQEAALVHSQVRRIKQEDEKAKELLHRLRLLETRPAVVVDFREPAPRVSPPSPLRRAGQAISVMSM >cds.KYUSt_chr5.34965 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221177042:221180442:1 gene:KYUSg_chr5.34965 transcript:KYUSt_chr5.34965 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCISAHKKPDVQNLFGIVQGGLDPVLRDICVRGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPSCPCMVCKNYTRAYLHCLVTKDPMGSQLLSYHNLSFMMRLSRDLHMSILEGRFPEFVRGFLRVQFPKGDVPKWVHNAMEVAGIDISECCSPTNCQHDAMEDTGVDISELCPPTKCP >cds.KYUSt_chr7.23058 pep primary_assembly:MPB_Lper_Kyuss_1697:7:143239045:143240177:-1 gene:KYUSg_chr7.23058 transcript:KYUSt_chr7.23058 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKGRRVAGRGEDMSAHYAFGPHEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKDADNTSDCEKLYKAFLQEINTFELPLLKSKAVVDANLREKESFNELQVEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKLISMQPPRSETEKLIADLEKEIANLEAESAACTRTLELRKKQFALLLHVVEELQISIEDEQRSIADELRAATEEPKMSIEEGSGGASDAMAVD >cds.KYUSt_chr7.34779 pep primary_assembly:MPB_Lper_Kyuss_1697:7:217169075:217169756:-1 gene:KYUSg_chr7.34779 transcript:KYUSt_chr7.34779 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVAACFMDKLEQTTPLAVAAVVAAAAEDDDEDVTGSVDGDEVEMEPVEPMLEPPDDAGPVGWPMPDFCPLTIDGVVKESFLEALRKQEEEDAEQPPGEPKAAASPDSRPSSSKRHRAGTASPSSMSPYRNMMQVFQQCRQDA >cds.KYUSt_chr6.13555 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84948234:84948674:-1 gene:KYUSg_chr6.13555 transcript:KYUSt_chr6.13555 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLWAVFLAVGSLAIGMLGVLGVWLCYLFQAVARGPPPAPPPDTPETDDGDDKNGLSEAELRQLGGVVQAEAADEEEEEALCPICLDAMEPGRAVRVLPGCNRAFHKDCVDRWLAISPRCPVCNVWATPQSPLASPTAFKAAPGC >cds.KYUSt_chr7.766 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4201816:4202175:-1 gene:KYUSg_chr7.766 transcript:KYUSt_chr7.766 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVGRRHPADAAPRTPARRRHARANAAAHAHETLLATLGGNASPTKDDMRAILGSVGAEVDEAKLEMLFREVDGKDIAALIAAGREKLAFASRGAAAAAAMGASPAAAGAASTPVHRS >cds.KYUSt_chr6.5147 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30503325:30519773:-1 gene:KYUSg_chr6.5147 transcript:KYUSt_chr6.5147 gene_biotype:protein_coding transcript_biotype:protein_coding RFGKFVEIQFDKTGRISGAAIRTYLLERSRVVQITDPERNFHCFYQLCASGKDAELYKLGHASTFHYLNQSKTYELEGTNNEDEYWKTKRAMDIVGISRSDQDAIFRTLAAILHLGNIEFSPGKESDSSKIKDSTSNFHLQMTATLLMCDPDLLVSSLCSRSIHTTEGIIIKALDCAAAVANRDTLAKTVYARLFDWLVQNINKSIGQDVDSKAQIGVLDIYGFESFKHNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEKINWSYIEFIDNQDMLDLIEKKPIGIIALLDEACMFPKSTHVTFATKMFRNLSSHPRLEKTKFSETDFSISHYAGKVTYQTDSFLEKNRDYIVAEHCNLLSSSRCPLLSGLFTSLPEESVRSSYKFSSVASRFKQQLQALMETLSTTEPHYVRCVKPNSVNRPQIFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMLGSYDERVLTESILEKMKLDNFQLGRTKVFLRAGQIAILDVRRAEVLDNAARRIQGRFRTFIARKEFMKTKEASISVQAYCRGCFARKMYTIMRETAAAIILQKHVRRLILQRNYQEACSAALLIQSCIRGFIARRYFSTIREQKAALVIQSFWRKRKVAMLFQHYKQATIAIQCAWRQKLARKELRKLRMTANEAGALREAKNKLEKKLDDLTLRLTLERRMRAAGEETKLVEISKRDKIIETLSAECAAAKSSARTEHDKNLLLQRQLNDSLEEIAMLRSNKILKAEEEKQNSNLKNIVKSLSEKNSLLENELSTARKSSDDTMEKLKDVEGKCTHLQENLEKLQEKLTSLENENHVLRNKAFNISPKTVSEKFSASIGLPNSEQKHIFETPTSTKHLAAIPHSTGTRRQKLPVERHEGNHEILSRCIKENLGFKDGKPVAACIIYKCLLHWRAFESERTAIFDHVIEAINGVLKAKEADGRLPYWLSNTSALLCLLQKNLRSNGFFGTPSRRSAGSVGLGGRIGQLVGRGDTLAQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSTRAQAGKASKSPGVGAQQPSNSHWDNIVNFLNLLMDTLRENYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSSLEKWITDVTEEFAGTSWHELNYIRQAVGFLVIHQKRKKTLEEISQDLCPSLSIRQIYRICSMYWDDKYNTQGISEAVVSAMREMVNKDTANLVSNSFLLDDDLSIPFSTEDLSMAIPATDYADVELPECLHQHPSVQFLLAS >cds.KYUSt_chr4.19420 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122066024:122071515:-1 gene:KYUSg_chr4.19420 transcript:KYUSt_chr4.19420 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGLVDWRGRPVNTKRHGGVRASIFIHALVLLSNAANIASIMNLVSYLRGTMHMGVAEASTMASNFFASLQMFSIPAAFLADSYIKRFYTVLIFVPIEILGYILLAVQAHVPSLHPPPCSTTGQQKCEPVRGSNLSLLLLGLYLIPIGDGAARACLPALGGDQFDTADPVEKRQEASFFNWYTFAVSSGGFVGLVLIVWVENRRGWDVGFTVCALCVLLGMMIWIAGFPFYRNQMPGGSAITRILQVLVVSFKKRKVQLPESTSELKEMSQDDHNIIPEELQRTEGFRCLEKSTVDTGETGPWSLCTVTQVEETKIVLRMVPIFLSAILGYIPVPLILNFTVQQGNTMDTKLGSVHISPATLFVIPTVFQMVILVLYDRFIVPFLRRITGYVGGVTHLQRIGIGFLSATLATGVAAIVEMKRKKVAEDNGLMDATTGIPISVFWLTVQFFLLGVVDVTSFVGLLEFFYSEASNGMKSVGSSIFYCILGVSAWLGSLLIQVANRVTRRANGTGGWLDGNNLNMGKLDRFYWLLAVIELVSLFIYMFFARRYVYRNNQRVVDTQDTKYPSEAAAGDLMT >cds.KYUSt_chr4.6055 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35524301:35525393:-1 gene:KYUSg_chr4.6055 transcript:KYUSt_chr4.6055 gene_biotype:protein_coding transcript_biotype:protein_coding MNPISLLLIPGARPSLPLPLPLRTSASAPNSARAAGAARLAPARRQRARLSSPVSAVAAETPSGGGEDESEGQFDWLDQWYPFAPVCDLDPGAPHGKTVLGLRVVAWYDRAVDDWRVFDDACPHRLAPLSEGRIDGKGRLQCVYHGWCFDGRGSCQFIRQAPALGPPVHKNSKACVASYPSVVQNSVLWFYPRAEAEHQDVLRRKRPPFFPQIDDPSYDTHIRDIPYGYDVLVENLLDPAHLAYAHKGLMREPPANAPDVLVTGKLRSKEDPGRYVICPPARPAMIGHGG >cds.KYUSt_chr1.1327 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7205801:7206564:1 gene:KYUSg_chr1.1327 transcript:KYUSt_chr1.1327 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSYGAILYGFAAWLTDEELYNVSRKPGFGQSFPAGVRHPATTRTPDFFGMNKAKAYVWDNSNYGKRVVLTRRARKTIKVGGITYLAGVNITSPLLIHHDPDLWGKDASQFNPERFADGISNATKHHGAFFPFGGGPWICIGQNYALLQAKMALSTIL >cds.KYUSt_chr7.30007 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186802752:186804430:1 gene:KYUSg_chr7.30007 transcript:KYUSt_chr7.30007 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIHLALSSQQPAAGLSITDRQASMASFLRQDQPAAPPAWFVSLAVIGALYVAPFLFRLVTHLSLCLRRPKDLRRRYGAWAVVTGPTSGIGRSFALELASQGMNLVLVDLNAANLREISDTIRSRHGVQTKTVVFDLSSVATPQGDKAMARLKEAIEGLEVGVLVNNAGVAKPGAMYLHEADADAWVRMIKVNLWAVTEVTAAVLPGMVERGRGAVVNMGSASSEAIPSFPLYTIYAATKRYVAQFSRSLYVEYRSKGIDVQCQAPFFVATGMVSRLSETSRLTLLLVAPTPDAYARAAVRWMGHGPPLCAPNLCHQLLWCLAAAVPDPVHDWLRLRANLHHRELFHTRSSTPS >cds.KYUSt_chr4.13314 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82097877:82100788:-1 gene:KYUSg_chr4.13314 transcript:KYUSt_chr4.13314 gene_biotype:protein_coding transcript_biotype:protein_coding MTARCVLLLLALLAAAYNGDRACVGASEAPRCPGWLQGGLSRESFPKGFVFGTATSAYQVEGMAAGGGRGPCVWDAFAHTPGGNIPGNQNADITTDQYHRYKEDINLMKGLNFDAYRFSISWSRIFPDGEGKINEEGVAYYNNLIDYLLHKGITPYVNLHHYDLPLALEKKYRGWLNAKTGELFADYADFCFMAFGNRVKNWFTFNEPSRVALTGYDNGYQPPQRCTKCSAGGNSATEPYIVSHNLLLAHGYAVARYRNKYQAAQKGKIGIVLDFSWYEGLTNSTEDQAAAQRARDFQVGWFMDPIINGQYPQTMQDIVKERLPRFTPDQVKLVKGSSDYIGINQYTAIYVTKEKLVNQTAISYSDDWQVHYNYERNGKAIGPRANSDWLYITPFGMYGCMNYIRQKYGNPAVLIMENGMDQPGNLTRDKYLQDDTRVGYHLSYLSELKRAIDDGANVLGYFAWSLLDNFEWRLGYTSKFGIVYVDFNTLKRYPKKSAYWFKRLLKH >cds.KYUSt_chr2.53775 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335507692:335508879:-1 gene:KYUSg_chr2.53775 transcript:KYUSt_chr2.53775 gene_biotype:protein_coding transcript_biotype:protein_coding MVARQWRRTARTTIPNRQPPDPAARTENGAIKDLTKASSNGHREPDVVHTLAAVGERERAAPAAAAPPVALVSLDPKTMADAAAPNRDHSRTRQASSMSSVDCYLQLASPSPSPSSSPSSSPSPTPAAARGHALEEPPKRKRPSRRSKPVRMFQSMCRSLPVVTAPRCGRLLQPQPVAGTPAATASPARLSSSDSFLSHLISPTGGAAGTSSSHRRMTGTLFGYRDGRVALALQENPRCRPALVVELALQTHVLLREIGTTAGARIVLECEKKHVVEEHGSGAGVDGGSTGGHDDDGWLLEEPIWTMFCNGKRVGYAVRREPTDADVSVLETLWAVSMGGGVLPGRAGADAPDGEMAYMRGCFEHVIGSGDSESLYMLGPQGGDCPELAVFFVRL >cds.KYUSt_chr4.5204 pep primary_assembly:MPB_Lper_Kyuss_1697:4:29954177:29955929:-1 gene:KYUSg_chr4.5204 transcript:KYUSt_chr4.5204 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVTLANLGLWFGSPFYTLFSTHATYCFTARTNVTNHRIETETLDGNLRRVEERITDGSRNGLMPTVEADKWVQRAEEAISEEAENRKSFGERCMIFGCSLNCWGNYKTSKQAAEKVATVRNYISSTPLPDNVTRVRPPPPVEELSTHSVQVPPSGEDTLRDALRCIKGDLAVGVIGIWGPDRDEKTRFLKKMNDSFLGGLSFDFFIFVTASYDCTVQNIQVQITNRLRINQHDDVATQATRISELLRKKSFLLLVDDLRVQLDLQAVGIPYPLGVEERIVENLEDLTKDLVAKRNDVRQKIENAEREGKTSTHEVNRWLEKVAIIINDVHVISVDYKFKKDVTMLALEKLHQVQQCLSSCPITFAVESMPPPVQEVPAPSMSAKNLQLQDALRYIKDDPAVGMIGIWGPGGVGKHIF >cds.KYUSt_chr4.15802 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97720475:97721553:-1 gene:KYUSg_chr4.15802 transcript:KYUSt_chr4.15802 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAQHLLFATGQHQPPSAALGRPGGAMPMMGGAAAPAFSPCYGGVAVGSHQQQQAYLSSSSSQYMGLVPAPAPQQPSAGGQQDQYTEFLALAAADLAKRGVSLHGAQEMVAGYKRKRDDHSSPASVLAAHAQQQAAAVDRVLLKHAANMWTALAEQRKRHARLILSTVEARAAKRLKAKDEEIDRLRGLNWALEDRLRNLYVEAQMWRDMAQSSDAAATALRADLQRALDAQAVRGGGIGGDAEDTGSCCWGDNNRGDQEEEEVRTPVATVVGTCKGCGEGDAVVLMLPCRHLCVCASCAATAPACPACGCAKNGTVCVNFS >cds.KYUSt_contig_817-2.69 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000077.1:491919:493485:1 gene:KYUSg_contig_817-2.69 transcript:KYUSt_contig_817-2.69 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVVADASEYLAITGWGIEDVKLAKKSLVFVGQQCKKFSLAPVNYSFEVNAMSAEKLPFILPAVYTIGPPVTTPEHSEAERKELKAKLVLYAKLIAPLERSSNHVEELVKGVIEGETRVMAAELTMEEIFKGTKAFKHMVFESVQKELDQFGLRIYNSNVKQLVDVPGHEYFSYLGQKTQQDAASQARVDVAQARMKGSVGEKEREGLTKQNAAKVDAQTKVLSVRQQGEGLKEEAKVKSEVQVFENAREADIAAAKADLAMKKAEWDRRAKLAEVEAAKAVAIREAELQMEVEVKNALCQTEKLKADQLSKATVHYDTQVQESNALFYSRQKAAEAALYEETKVAEARKAQADARFFEQRMAEDARLYAKQREAEAVALVGKAKSEYVASMLAALGGNYNAVRDYLMIDGGVYAEMARINAGAVNGMQPKISIWSNGVGNDGGGEGGAMEQVGSVYKMLPPLLSTVHEQTGMLPPPWMGTLSKDGTAAS >cds.KYUSt_chr1.27003 pep primary_assembly:MPB_Lper_Kyuss_1697:1:162888889:162895472:-1 gene:KYUSg_chr1.27003 transcript:KYUSt_chr1.27003 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLYLSEPSASSRHIETPTAYIEEGISIGEASDGLEAELAQMDASSSARQAGTGRGQEASSSGQGSGVDLAAYTRGAWKGSDVKQPEIDWLYRSRRIPEQDKNLPKPKPPDQCGACIISARQGSPFFKFSGLESCRAWQQTFFYVKNKGNADFINLPAYHPGTPSRVNWRHNPGNSHIETNQIVRFMEKLLKDTDICSDDIIRAFISRRVLPLQRRAHKMSQMYGPRDPTKITGCLLSKTDVVLKARKICQTNMPEDWEWGLLPLSYTNPPTDEACTRFPRIAAEARAPGLRRPVDEVDPDPYVVGNKHKMGRTHTSRPGNLSTNASGSDDEVTILEVKSKQLTTGLLVLMLKKMGRALLEADRSGAWGHGRDLQVSPDLAFEDEPRRDGWCRRCALEQRANDGWCVGRGRFEVAGIEEERR >cds.KYUSt_chr2.28895 pep primary_assembly:MPB_Lper_Kyuss_1697:2:177598909:177599390:-1 gene:KYUSg_chr2.28895 transcript:KYUSt_chr2.28895 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLSAMTSVPQFHGLRTYSSPRSMAALPSLRKRSQGIRCDYIGSSTNLIMVTTTTLMLFAGRFGLAPSANRKATAGLKLEARESGLQTGDPAGFTLADTLACGSVGHILGVGIVLGLKNTGVLDQIIG >cds.KYUSt_chr3.28169 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175761720:175763381:-1 gene:KYUSg_chr3.28169 transcript:KYUSt_chr3.28169 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPLLVELMSDVPLLLDNPDLVQRFLDLTHAGIDGNAAHFDEAAALLEELREFQRRLRETPVDAEEDIGDAFEGIAAGFEDVLQQQRQLNQVLAFLLIVRAVAYVKSRSRLFPGVLLAAASAAVAPGLSTFARLSVLMLGFLFASGRPPRDGTTLVARTPLRNDELPRRRPVTSTAAEENAAWEEAALADTIAGMVLSRRTPPALQEESRLEPMMPQPEPEHEAFPSDSDSNDSKFVEWSEAFMADAKAKAAEETPWAVGRGPWRRRPSNTPPGGQ >cds.KYUSt_chr3.2508 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14359241:14360212:1 gene:KYUSg_chr3.2508 transcript:KYUSt_chr3.2508 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKAYGTSKTTRYTFSEVKKATRRFKDKLGHGGFGSVYKGQLTNGVPVAVKMLENIKGDGQEFINEVSTIGRIHHANVVRLLGFCSEGTRRALIYQFMTKGSLDSYIFAHESDICRELLAPNKMLEIASGIARGIEYLHQGCNQRILHFDIKPHNILLDDSFTPKISDFGLAKLCAREHSIVTLTAARGTMGYIAPELYSWNFGRISNKSDVYSFGMLVLEMVSGRRNSDPWIENQNEVYIPEWIYQKINMEQDLELTREMAQDEKEVVRKLAIVALWCIQWNPKNRPSMPKVLNMLTGSLTDLTMPPKPFVSSPGHLMPRI >cds.KYUSt_chr7.4479 pep primary_assembly:MPB_Lper_Kyuss_1697:7:27055817:27057041:1 gene:KYUSg_chr7.4479 transcript:KYUSt_chr7.4479 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAEEDHRRWVACAVALDRLPAVGSEVYYFAQGHAEQWPSIAAPQAANPMLPCRVTSIQRFTAGEEPYARISLVPADHGIHPAADPRPNPDSFLFFPKPLQQADIQRSYLAVPKVCVNALFPEIQVGSDIQAIQMSGLDGDTYQFQHKVLGRKNHLKGAWDKFIANKRPDIGDTVLFVRRSDLSFLIEVRRQNPVVDHGVQQPVQELEQSSQLAAQRDAFTVTYYPGRGSDSPFVVPRGAVDEAMGKQWESDMEVRVRPRDLVLLADEPHGAAFPTTGTIRAVTPDQVWRNLQIDLDGSSSSSASATKNMWEVEAVRAHPPLPKRTKTGRDPQLSRDDQSEEPVSKIRLMGFDIKAKDIGTS >cds.KYUSt_chr2.46620 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291486992:291488843:-1 gene:KYUSg_chr2.46620 transcript:KYUSt_chr2.46620 gene_biotype:protein_coding transcript_biotype:protein_coding MLERNATLKGSRRLNANEVSRRSDSLSPEMRRKRGINHHSTGSLKNMTMLTLGDTILSMGAGTRELDKGALLSKNTTQFGPSVNWYMQYSNLNPFSQFSINPIHPGKASIALFLAVNLVVFSMASACGGNCPTPATPTPSTPSTPTPTPASFGRCPRDALKLGVCANVLGLIKAKVGVPPTLPCCPLLEGLVDLEAAVCLCTVLKANILGIKLNLPIDLSLVLNHCGRSVPTGFKC >cds.KYUSt_chr3.977 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5124632:5125300:-1 gene:KYUSg_chr3.977 transcript:KYUSt_chr3.977 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKRQDLGFVSELILRAASQQHGPAPECAFPLQRSPPPAASPAPPPEISLESSSSLRLVLLIVSYGLPTSAMLADFSCSSFSDAAASSNLYLLISASLSEILSSKRCLAEAISSFRLAISSFQCLVLFQQPRVLFCQNCGVFELSLNVSSSCGLTDTVPIFLAITVAPQALLLETLELFVLHQAVSGLPHTEIILKLDNLLMQCVRNAIILTVFRLGIGL >cds.KYUSt_chr3.9724 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57199937:57203589:1 gene:KYUSg_chr3.9724 transcript:KYUSt_chr3.9724 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVFGLETPLMTALQHLLDIPDGEAGNAGGEKQGPTRAYVRDARAMAATPADVKELPGAYAFVVDMPGLGSGDINVQVEDERVLVISGERRREEKEDAKYLRMERRMGKLMRKFVLPENADMEKISAVCRDGVLTVSVEKLPPPEPKKPKTIESDFSNGTGNYRGTPVVTGSARKHFALIQVQGLQETESIESRGYKRRLAKILGLSRRSCEQPGAPGGTRPLPFTYASPSPESVAEQSPGGIGGGGPSFPLAGWWQCGPLTAGEAAALDVGRRSLTSAWRRRAPGVLVEAVASRSGPRGWRRDLGLGALVMVEGDAGGVRCDVVRVRWRCGTCSARLGRFPAQWWVARLVQAASSLGRAPGPFEPTSVPDLLASEAMGAEGGRFCWG >cds.KYUSt_chr4.40440 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249512289:249513840:-1 gene:KYUSg_chr4.40440 transcript:KYUSt_chr4.40440 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAYFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIDPLACPKGHTFCKECILECLLAQKKDIKRKLIAHDSQKKQEKEEEEEKLMLQKSKELDAFDQQNHGAVPQYLDRSGSQDKNGFHGANSVKTTSFEEEALRTMKAFWLPSATPESTIKVDAPSTDTVCPEGQEKLKMKALFPISFTEENADYKSKKSVEKSYMCPSCKSTLTNTMSLVAISTCGHVFCKKCSDKFLATDKVCLMCSKPCKERNLIPLEKGGTGFAAHDDRLEAKNFKHLGSGSGLGLVRPAPKA >cds.KYUSt_chr4.35232 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216429716:216433823:-1 gene:KYUSg_chr4.35232 transcript:KYUSt_chr4.35232 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPNGRLRYPIKYDWSSAYGKTLSGTCRITPVYRGQVQGLDLEPAPTPSSAAGRALHHLVVHHGVTEPRPSAAIEDVGLWLEKINLGGYRQAFEENGVNGEYLESLSTFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRKPWWAPPCLSIVFVRVAKRNRQSRVVSLKLEP >cds.KYUSt_chr1.17803 pep primary_assembly:MPB_Lper_Kyuss_1697:1:103663475:103674019:1 gene:KYUSg_chr1.17803 transcript:KYUSt_chr1.17803 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPEPVVVAEAGAAAEDGRMEGWLYLIRSNRLGLQTSRKRYFVLEDSALRCFKAAPPPSTSGATLEDPVRSAIIDSCIRVTDNGRESVHRSVFYIFTLYNASNHYDELKLGARSSEEAARWIRCLMESALKSPRNDEHVVACSHRRWQAFRLSRRSSRMHSIDWTALSSANNDPMASDVIAPSAWTIFGCTNGLRLFTEAKDGGSRGKYWDDHPAIMAVGVVDANTEDIFQTLMSLGQSRSEWDFCLREGRVVEHLDGHSDIIHKKLRGDWLPWGMRKRDLLLRRYWRREDDGTYVILYHSVFHNRCSPEKGYIRACLKSGGYVISPVSQGRQSVVKHMLAIDWKFWKSYLLASSAKYITIRMLGRVAALREFFRAKNGNCGCIEFSSGELTRDMGLQQGENGRLKIEMQSANESKRFEDTTEGSQGGSNRHLSSAGSFVQLNDGTDEFFDIPDESEYDQREAMWSSDESTNAADQRHGKLSSAAVFVRKLHDLAVQKRGYVDLQGAVDADNGPCYYGHTLPKDSSCTIPSSWSMTDPTTFLIRGESYLHDRHKIKANSTQMQMVGADWIKSDKREDDLAGRPGGLVQKYATQGGNKFFFIVNIQVPGSTTYTLALYYMMDTPLEKVPLLEAFVNGDDTFRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAISLDEC >cds.KYUSt_chr4.12938 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79511627:79512467:-1 gene:KYUSg_chr4.12938 transcript:KYUSt_chr4.12938 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAGRSDLLLHFLTILSTAPALLLCSTTRAAGFCEELEPPPRVVAALLEEETDGECGLDEHGDDEEHLTFQVHGDDGGMRGLACPGMQKRAACDPLVEVADDL >cds.KYUSt_chr1.1967 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11342939:11344800:1 gene:KYUSg_chr1.1967 transcript:KYUSt_chr1.1967 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLTPRQPTKAYGGEGGAYYEWSPAELPMLGVASIGAAKLSLAAGGMSLPSYSDSAKVAYVLQGKGTVGIVLPEATKEKVVAVKEGDALALPFGVGTWWHNTGESATELVVLFLGDTSKGHRPGQFTNFQLTGSSGIFTGFSTEFVGRAWDLKQDDAAKLVSSQPASGIVKLAAGQKLPAPVPEDRKDMALNCLEAKLDVDIPNGGRVVVLNTANLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGPDGKRVLETRIEGGSLFIVPRFHVVSKIADASGMEWFSIITTPNPIFSHLAGKTSVWKAISPEVLEAAFNTTPEMEKLFRSKRLDSEIFFAPN >cds.KYUSt_chr4.8435 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50502035:50504348:-1 gene:KYUSg_chr4.8435 transcript:KYUSt_chr4.8435 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHTDSVVEFGLGFRAPILRPIQTVELTNSRFGDSKPNSMHPNTVLESLCNKIFESLDEVLAVLGAVSRDEVAGVVRAVAEGVLAAADEDPSRPLVVTTACGVWCQKDWSLKPAYRQAVVESYKAEVRALDFVRKAEDSREEINSWVAEATKKLITSVLPPGSVHVDTRLVLTNAIYFKGEWEKAFRRSGTKEHTFYRHDGTTVRVPFMAGSGSCKYMVSCYDGFKVLKLPYKQGKNGGGVRYSMCIFLPTARDGLRSLANQMSSGGPSFLFDHLPTRPKSMTRFGLPKFKLSFFCSMKEVLKSLGLRAAFGNADLSDMVEANSSDISVEDMFHKAVIEVNEEGTEAAASTAVTVILQSCRPPMDFVADHPFAFFIVEEVSGAVLFAGHVIDPSKKTDS >cds.KYUSt_chr3.24920 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154671047:154671307:1 gene:KYUSg_chr3.24920 transcript:KYUSt_chr3.24920 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPARLHRLLLLLNGDLQFFVVDLEPQLEFLLYYYYVLLLLYLYPDAGGTVLQVCNPIVRVLVLVVLRCRSGGGVVEVMLVGVAW >cds.KYUSt_chr3.5275 pep primary_assembly:MPB_Lper_Kyuss_1697:3:29921885:29922304:1 gene:KYUSg_chr3.5275 transcript:KYUSt_chr3.5275 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKESPAVKFVGIIRPNLELSPRRPWRASSSSTAAVASRKVRASIHGQRSACWELPAAPAMVGPFVSHGDRACKAGIEEEPEGAKPCGRRRAHRPARALGSQRLEKPRGRREIGVDFWEEIMEDESGELKRWLVEFS >cds.KYUSt_chr7.8894 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54084223:54085275:-1 gene:KYUSg_chr7.8894 transcript:KYUSt_chr7.8894 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPAASSEAHVAVARRPGDVPVLSWTKIRRLPSTKSEKNRIPTTSGPTFSLQKNHLAPVPSAVVDNDKPARMLIHRLSCGKAGGRRRRRVRRGGIRLSLLVRLRMRLSGLVGLIARSVEGLRCSPVRGRISYSKSRAHRLPAMSSGARCRVRTTSAEVDQNSFYAEAIADCLEFIKTRSSYMPAKNDKIVSMN >cds.KYUSt_chr6.13329 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83343037:83345155:1 gene:KYUSg_chr6.13329 transcript:KYUSt_chr6.13329 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSDEADVAAVKAMTHQAHARAMARPGMVAPHPNAHAAMSSPGHARNPPSAPTTSSSGGVSGSSNRPAAGASPSRGSPSPSPSPSREGRILETPNLRIFTFAELKAATRNFKSDTLLGEGGFGRVHKGWVDEKTMSPARSGAGMPVAVKKLNPESLQGVQEWQTEVNFLGRLVHPNLVRLLGYCWEEKELLLVYEYMAKGNLEDHLLRNEPRKGGGASQAMSWSLRLRVAIDAARGLAFLHSSEKHVIYRDFKASNILLDTQFHAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDTDRPAGQHNLVDWAKPHLADRRKLARLMDPRLEGQYSSRGAQRAAQLTLRCLAAEHTNRPSMKEVVAVLREVDSMSRGAAGRSDGSVGSASPRPAARSGHGYGGQSPRPGFGSGSERAGPAGPRRPPIS >cds.KYUSt_chr2.43366 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269894470:269898924:-1 gene:KYUSg_chr2.43366 transcript:KYUSt_chr2.43366 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSYEGVLLGMGNPLLDISAVVDEAFLAKYDLKPGNSILAEEKHLPMYDELGSKGNTEYIAGGATQNSIRVAQWMLQIPGATSFMGCIGKDKFGEEMRNNAQTAGVNAHYYEDSSAPTGTCAVCVVGGERSLVANLSAANCYKCEHLKRPENWTLVEKAKYIYIAGFFLTVSPDSIQLAAEHAAATNKVFMMNLSAPFICEFFRDAQEKAFPYVDYIFGNETEARTFAKVRGWETENVEEIALKISQLPKASGTHKRITVITQGCDPVVVADDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDECVRAACYAANVVIQHSGCTYPEKPDFN >cds.KYUSt_chr2.23919 pep primary_assembly:MPB_Lper_Kyuss_1697:2:145898825:145900833:1 gene:KYUSg_chr2.23919 transcript:KYUSt_chr2.23919 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPPLPRRRSRIPGGAARGKSRQELRVGRFPVDYGRVHELLRGVFHGEPAVAAVPLPVRQPELKPPDSSEPFCAKVFNDFNARNSMS >cds.KYUSt_chr3.4918 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27924963:27928346:1 gene:KYUSg_chr3.4918 transcript:KYUSt_chr3.4918 gene_biotype:protein_coding transcript_biotype:protein_coding MELRHPSGKDESLFMCSELYIAAFKGRTQEVAGLLTGRNISGIPAAARHGGPAKVAGHGGRCTAHEVTAERNTVLHIAAGQGHGGLVAELCYSYSSLLSAVNRALDTPLHSAARAGHADAVETIVRSARANVEEDALRGILHGKNEAGDTALHVAARHGHLAAVETLVKLAPELVAETNGAGVSALYLAVMSGSVDAVRAIVVSVSHGDASAAGTDSQNALHAAVLQSSEMVALLLQLRPALAGTIDANKSSPLHFAASDGDCLIIEALLAHSPPSTVYLQDNDGISALHAAALMGHVAAVRLLLRLYPACADICDDRGRNFLHAAAMRGRSSIVSYVIKNKMLEHLMNSQDREGNTPLHLAVVAGEHKVISKLLACKKVHSHVMNNDGRTPADLIEDSTGFYSMVRLVVKFYVNGAPFRPERQDHIEKWKGQNIMKWRETTSKNLAIVSTLVATVAFSAAFNVPGSYGSDGKANLGGDRLYNAFLALDTIAVTTSVVATILLIYGRASRSHRSWIGFMISMHFLWLALNSMMLGFFMAIAAVMSDKNPMKIALSQLMYLGLYIMMTLLACLATPGSLGGVVQFLVGSRSEPRRRSKRRISRQFPFVMFYAFNVVAFIIVNTIVLVSVNVSGRLPR >cds.KYUSt_chr3.35426 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222443400:222443786:1 gene:KYUSg_chr3.35426 transcript:KYUSt_chr3.35426 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLEQPAYHAIPADPHHDQPPVLGNAPPSATCKEEWAFPIAILVSLVLMVVMIGPVEYLVQTNMPAFSVALAGGYHGIDVARPASVVSLAFNLTLRMTKACADRAEVVLTYSGVALGWARVEPLPT >cds.KYUSt_chr3.43029 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272022951:272023715:-1 gene:KYUSg_chr3.43029 transcript:KYUSt_chr3.43029 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHHNWSDDECSVRLRCDVILSHGSRRFGPNGWFVDVGDPVVMKLDQRVKVFHPDVFILTELCTGLIHTMLAEAPATSGCDLAAGNWVDSICTPLEIADAMGDYVGRDKDEILTAARSAVPAVRPVVPGYDIDIEVPQLRVSMVYTELKELLASCKEPAAMDTVAARVSARRRKRRRDPAGELCAICLLDFLETEQEDAVRLPCSHPFHSGCIEPWFHRASTCPTCRRDIMQCFSFATRTAHRWLKRRRAIPT >cds.KYUSt_chr3.48079 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301044361:301046770:1 gene:KYUSg_chr3.48079 transcript:KYUSt_chr3.48079 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQTMMRIVRPCFKPSLSDAQVVGGGAGVGTRDGLLWYKDTGKHACGDFSMAVVQANNLLEDGSQVEAGPFVIDGAQGPCGTFVGVYDGHGGPETARFIADNLFQHLKKFATEQQTVSADVIRKTYAATEEGFLNLVRKQWLIKPQIASVGSCCLVGVINEGVLYIANAGDSRAVLGRIERGGRDIKAVQLSSEHNASFEAVRDELRQLHPDDPRIVVLKHNVWRVKGIIQISRSIGDAYLKSSEFNREPLLARFRIPGPFHKQILCPEPSILEHRLCAEDQFVIFASDGLWEHLSNQEAVDIVYSSPRNGIARRLIKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFMDPALIGKRLYGGPLLSLRGGGGTPTIAQKC >cds.KYUSt_chr6.21768 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137360930:137363662:1 gene:KYUSg_chr6.21768 transcript:KYUSt_chr6.21768 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRRSRVSDDDDGAEESGEDAAASPAAEESKSLYEILGVERTATQQEIKKAYHKLALRLHPDKNPGDEEANEKFQQLQKVISILGDEEKRALYDETGITDDDALVGEAADNLQAYFRTVFKKVTEADIEDFEAKYRGSDSEKKDLKDLYTKFKGKMNRLFCSMICSEPKLDSHRFKDIIDQAIAEGELKSTKAYEKWAKKISEIEPPTNPLERRVKKKKSQESDLMLAITQRREQRKAGFDSLLSSIMSKCDSKGSKASKASSSEPTEEEFERARQRLEKKRSKGRK >cds.KYUSt_contig_815.60 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:372438:380643:1 gene:KYUSg_contig_815.60 transcript:KYUSt_contig_815.60 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGSAAAGEEDPGSSEIPRLGASRERQEIQTQITGTRKGHTEYQLEYMDFPDEGRSWERLYEIPPKYCRKAGQKAGQNRELMLRPPYPRWCGDNYIPEYGQKMDVVAVVINPWKVGDLVDWWHNSCFWTGKIIELLGVDKVKIACTEIPLGEGGCYEADVDDLRPALDWSLEKGWSAPLSKENGESWYTARLVTENPGLLRLCVINTINFSAMHEKIRSRMVWRQKLEQAPMEPHSLCFAREFAANGSPFQAEEHNVYLECHEDAPNRLRFNHGGYVVLSLVAFPFEHWNRPHIRVSHVIMANPMEVAELCMTGREFISVVTVAKYEYFACIPQDLNVKNADGVFTVIPVRRLRRWPLANGPFPPPPPPPGGPGGHDGPNGHR >cds.KYUSt_chr4.19570 pep primary_assembly:MPB_Lper_Kyuss_1697:4:123060204:123062046:1 gene:KYUSg_chr4.19570 transcript:KYUSt_chr4.19570 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTSSRRRHGIGHKSEGETIRWLLQQADSAIVAAGTGTISASSLSSVAPSPPSPIAVVFDAVAAVPGQVGGDASARGEVRARGSAFSCCEAALRSAQPLDVAALMLMVCLSRFLLLNVVRGAESLGDLLSLPSGTAMGGDNATDAPSGMRIKWRWLLEKSKQLAVVLERPDLWKDSVFAGKESMKALADMRRDRKDKELDAFFQEIVTLTLASLSEADNAYKGLVRIGDAT >cds.KYUSt_chr7.30865 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192235716:192236784:-1 gene:KYUSg_chr7.30865 transcript:KYUSt_chr7.30865 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCGRMRPFPVSLPPDPAAPYRLLMEGRGFCCGARSRALDLAGLEMCRPAISWFFRSSVSRSTVDRRDDEARS >cds.KYUSt_chr2.50026 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312938027:312941497:1 gene:KYUSg_chr2.50026 transcript:KYUSt_chr2.50026 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSLLRDLLSADGFKSRRKQSPADPRTTSMPPKSRRPTKPARSQSDVLTRSRLRDGNVVVDPHDGAPEEHQMARRRSSASLMNARSYRNIDTAGSGAAAGARGCAAVPALDESALSALISLAAGAVKPFAKDEAFRASLRSGCTSCVGESDHRAVLDLRVTVQTVERAAASAEEEEDPLDPRDLKRVSLRLHALATLDDEEARAVTASGAPYERLAACAHLYMSVVSKLQKKDHSAAVHALEAFCLAPREARTVLLPALWDRLLRPGLSHLRAWRDRESAAAAARSEPDARVKEVERTFVDALDGGTRVLACYYRDWLLGRTEELAIPSVPAPPSTTAAVDGALARSSQSTTYDIGSDVAFSSESMSPAVFAIEETPQQPEEVVQGKAAEAESVFHECDDGEARSYTPTPLEEEKAAMPTMLINEAFEPQIENGRSNGAGESTSYLPIRDMSAIDLLTLEFCEGPLKISCTDGNQFQSSIFATTPSDFLCPLTRQIFNRPVTIETGQTFERHAIVQWFDRGLRTCPVTGQELESLSVPDTNRVLKRLIDSWKSEHCRSLPQVAGSSRAPEEKLNVAVVDRVLDSGRSVSEQMERARHLMAIGGVDFHQHRLREGREEEQKARAAEHLLLCVRAEGGCRSYVAVGVDGGSLARLIRSEVVSARTTAVRLLVELLRLRRREIVELLMRGVCAASVLETMDVLLKHLRSSPVEEQALVAVLLLHFQRTLEEPRRTSEYGEEAAKALTESLRRCLTDENVVANTRTALLMLGGHFSFSGDLLAEEWMLKQAGFVDDSPTTSVASDAAVQEKEVAENEAWQEHVTAVLLGSGRRPFLAALSGCLGSRDAGLVAACLTTAGWLSRSLAAPPLEDARTDMQLAAFSALVPPLKRCLAGGAAHLQARHRVLATVTLHNFSKIPDCRVLLMLLADGLRGHLAELAELTRTAGQLYAELHE >cds.KYUSt_chr2.3862 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23288147:23290274:1 gene:KYUSg_chr2.3862 transcript:KYUSt_chr2.3862 gene_biotype:protein_coding transcript_biotype:protein_coding MFFARSLVLRDRCRAATSTTDDAVLPHQDSSRPREAAVRHYDGPFARGIYKCPFCNRKLRATDFNCLVNHAESIGRCGARVGTTVNVHAFMAKHKALGIHLRNLQASHWRNLEALNMPTSLSISYLIGRLDLLGQIQLILFFFLVAALLWWQQWWLAAMRRRGIPPNKALSFSPCHLVWLRRSSNQIVEILPLDARPWRTAEGRGRSKDGFFNKLLHWLSRHRHLLCSPPRVCGRFFGSNGGSSSPTRCRQEPASSSNWLSLVFSLAMGAEAVTSGGDGGVGEARRSRRSSSEASSLSSTSAASLLAVINAEEFRRKFNLLRRPFQRSGVAFYGGFEASGAVPASEFNGGISGLLLTGGDREGPDCILRFSAEVLSAFTRDPYVIVDFMGSFVTYCTPTAWLY >cds.KYUSt_chr6.11893 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73972073:73975911:-1 gene:KYUSg_chr6.11893 transcript:KYUSt_chr6.11893 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQTVEGDQHAGDLTDIVRAGGAMPSGATGPQSTATEWLQLPTGPILFPPAQSSSDGSGPSVADAFGDPFSGLQDPFISDYPSSSGSAAADFYDAVKNAMDIGMANKQVGFVDAAGCGAGGGAVGADGGMLDMRNHPMFAREMPMSGVSPRAIGPYAVMGAGAPKLGVPMAAHRQAALAPCSFDAAAGLQMTSSPRSNGIKRRKNQAKKVVCIPAPAAAVPGKTTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERCRTDPNMLVITYNSEHNHPWPTQRNVLAGSTRSNYAKNSTNTASASSKNSNSSSRNQHKPVVKAERKDQSAAAAAAAASTTTSTGNSTPPLAVKEEAEMDRSIGDDTSVTVDHHSDHLLQQMFSQSYRPMTPEAAGSYHHHDDFFADLTELDSDPVSLIFSTEYMEAMPDKSDKEKAAAKDFDPLFMMD >cds.KYUSt_chr2.45745 pep primary_assembly:MPB_Lper_Kyuss_1697:2:285321586:285326123:-1 gene:KYUSg_chr2.45745 transcript:KYUSt_chr2.45745 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVPPPDLNKNTEWFMYPGVWTTYIFILFVSWLLVLSVFGCTPGMAWTIVNLGHFAITYHFFHWKKGTPFADDQGMYNRLTWWEQMDNGKQLTRNRKFLVVVPVVLYLIASHTTDYQHPMLFLNTIAVTVLVVAKLPNMHKVRIFGINAGN >cds.KYUSt_contig_2619.46 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000416.1:191199:192397:-1 gene:KYUSg_contig_2619.46 transcript:KYUSt_contig_2619.46 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGIDPGAYNPVFTDHGRPQCPTLHSLTHSGKEAMALPRAWHLLYTLSALVLMFAAGTTSPVAGGRMTDKLEILWGQTRLLNDRHGDQTIALTMDKAMGSAFGSKTAYLFARIDISIKLVPKNSAGTVTTIYLISQKDWKKHDEIDLEFLGNATGQPYTLHTNIFAAGEGGREVQFRLWFDPTVAFHTYSIFWNKDQILILVDGIPIRQFKNHWEDGVPFPVYQPMRLFGCLWDADDWATQGGRIKTDWSQAPFVAYFANYTASGCTPSAGGSWTCGQDPSVSGSGSSGRGDWMTPGQGEELNDHMKQQKLMKEMQSKYMIYDYCTDHKRFPNGFPKECGLA >cds.KYUSt_chr2.28408 pep primary_assembly:MPB_Lper_Kyuss_1697:2:174377266:174384533:-1 gene:KYUSg_chr2.28408 transcript:KYUSt_chr2.28408 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQSESVQELAELLGIGSLLQDLHAQFMMIHGRISHTDESAFLMINTNNEQYLQLLQRIWSLTLDTDSTLDRVSRHLMEKGNLFAFQVRTLPMFLRLRFRRLIVNKIKHAIANLKDCYAQTYRIRQPARHTDIHAPVNCQRTFGEPEGVLGREREVDDVLRMMQSHDSALGLSILPITGMAGIGKTTLAQLVFWHPWVVDTFGDDRIWVLVSCNFNSMVILSRIAEVLTTQKCNIEDPEKLQCLIKKELSGRRFFLVLDDVWDQNMQKWQVLMEVLQSAGMPGSKMIVTSRIPDAVTLANSLKPYTLQSLLPADSSKLLTHWMQNPAELPPRLIPIRKMIAETCGGVPSILLSVSNKLKSIRKTQVAWQHVLSRFDLVFYADRLLLEAAYVSYKHLPSSIQQCFLYCSLFLVHSFTPEQLTDMFIADELIKLTSSKSDMHLYFSKIMTEHFYDVVQKSRHSGNTVYKMHPGMQLLAQRISGGFHLAIDARREIIWPSYNARCLSLLVDSETSKLPPELFEFASLRTLILLRDENMLLSENKCAITDIPAEVCQHLTALRVLHMPSCRIKRIPRVIDMLQKLTYINLSHSDIEIVPDSISNLRFLTHINLSRTEIAELPESVGKMQSLHVLDLSHCEKLLGLHEAISNLVNLHTLNLEGCHYFAVLPRGMKSLRSLAYLNILECPLLTQMPCQMNQLTSIKILPRYIAAKTPTHTISELRPLVNLKELGIQNMENSSSADARNVILQDKHKLESLALSWTGNCTDPETSSRAQEILELLKPSRGLKVLQFFSYPGRKLPSWITSKVPYLKALTDIKLVNLACESLPPLGQLPLLKTVVLSGIDAVKYVDDTFYGGDGTFPSLEKLSFFHMPNLETWLPSHREALFPRLEELTVTQCPKFIAVHVKLRAVKRLIMLMNNEKLIACRGSLQAAALAGVHIRAGLLTVGGERVVTALVNRIRDMRFINDNTGCFSNGGKFPKNGRVIEFGSILVYYGTVPERQCLSPVLVAPDPPRSALRSDRAAGSVENIDPAVAQAELEAQRQKLLANGTDIVRAQRELNLTLREYNAAHGFASVSAQAARIPENRLRARNLDQDLRKEILTGKSTSASLSMVEKPKYSSPDKTIKAAKAAVELCESLSGDALAKQQERVRELLDTIEQQNAEQLAKLNKATASKSVRSTKNAGSKSHGQASSPHPDKRKEKRGECAADDCV >cds.KYUSt_chr5.32126 pep primary_assembly:MPB_Lper_Kyuss_1697:5:203809039:203809441:1 gene:KYUSg_chr5.32126 transcript:KYUSt_chr5.32126 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRAQAHLHRVRRLDRGSARDLQTAPEDKTEDGGSSLSPLAACREGMDVGGLPDKRPERRQLPGTDVFHGRGERPAGLGKEEIAGVIFIGVEFPDSHSTTPP >cds.KYUSt_chr1.37676 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229987015:229987560:1 gene:KYUSg_chr1.37676 transcript:KYUSt_chr1.37676 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSKVIITSWCKSSEKLGTVQPIFLNTLPYEEFSYLFKTLAFGSADPAQHPRLAQIADEMARELHSDWSLIAVNLRADVMRRNLNLHFWLCMLCRMRRFVERNFSMFGEHPQLLILRRHQIDVTDFLHSYSPLRILPSCTTGSSRTEITEERQLLPNVRLGDLVEDPGARPQGDFNVIS >cds.KYUSt_chr3.42444 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268438667:268438981:-1 gene:KYUSg_chr3.42444 transcript:KYUSt_chr3.42444 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSRMAVSPTLFVVTAVVLTMMSTASCSRVPVAINDEAGGEAADQCVHDCVREMLAWQASAGCAAVTTSVSLPRTMMMSQRQLTVPCGNGCENEYLGCIDVC >cds.KYUSt_chr6.26509 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167897533:167897918:-1 gene:KYUSg_chr6.26509 transcript:KYUSt_chr6.26509 gene_biotype:protein_coding transcript_biotype:protein_coding AEAAVSLSAQAKQEPRQLFRCHYCRRQFYSSQALGGHQNAHKRERTLARRHAGVPLALEWDDRAFAIHGEAAPNDLPRWLRASNVCRTTAAVAGKDEGERRWIDGRSVAHYGDGDQELPNLDLTLKL >cds.KYUSt_chr3.41635 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262727996:262728364:1 gene:KYUSg_chr3.41635 transcript:KYUSt_chr3.41635 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVASAATACSIDALGAANSANPGTSTCESIDSLSALTASLRRRHRRGNPFLKEQVGRDGERRRPLHEVLGEAGGGAGFLGLREDPGSLRQALGLVDERWTACRLFSESGSGGGKGIGA >cds.KYUSt_chr4.50217 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311158582:311159256:-1 gene:KYUSg_chr4.50217 transcript:KYUSt_chr4.50217 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPSQCPYCRSSGPARCATTQPPLSRAVSECSSCARLVLERHLHTHPFFPLLPSIHSLPLVTPDLAAAASPPPNDHDDDDPFLPAGFVSAFSAFSLERHPVLARSASAFSGQLAELERALAVDSPASSTPDPAGPMVSVDSLRAYLQIVDVASILRLHRDIADHAFDLFKECSTATCLRNRSVEALATAALVQAIREAQEPRTLQVCAALMLPTYFLKTNAPV >cds.KYUSt_chr4.44581 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276142506:276142979:1 gene:KYUSg_chr4.44581 transcript:KYUSt_chr4.44581 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTNTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGMDGMAQTEGLAAAHHQPLSECCGKPTRRGRPQRRPSHPRRPVRQGTADGRRAAAAVAHPTRVLRQASRRWGSGRRSPRGDEEGPPPPPRPEGLCPGGATGGGG >cds.KYUSt_chr1.1382 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7527034:7528238:1 gene:KYUSg_chr1.1382 transcript:KYUSt_chr1.1382 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALLAVLASLLGAVSGEFSIYAGYGFPPPNPSVPYPLPPACPPLSPAPGLKVGHYADKDKCPGAEEIVREVVEKATAGEKAGLIRLFFHDCFVEGCDGSVLLSGTDTERTAFPNLSLRGFELIEEAKAKLEKHCPGIVSCADIVAFAGRDASYSLSYGRINYRVPAGRYDGKVSRANDTFQNLPPPFGDLALTTAMFAAKGLNQNEMVVLSGAHSIGRSACSSFPDRLPPAANSSTAMEPKLAGQLTATCSAGSSVNVPQDAVTPDRLDNQYYKNVVSRDVLFNSDASLTTSSQTEDLVEFYAGNLPFLGGKFLGPLAWYHDFEDAMVKMGYIGVKTSAEGEIRKTCASINKP >cds.KYUSt_chr7.35155 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219630504:219632663:-1 gene:KYUSg_chr7.35155 transcript:KYUSt_chr7.35155 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTGGAPLAGILPGDQRSASLDQLLSRRSRGSMSTASVNAADTSVRQQTIDDDGTVDSICLPAVHFVLAFHDLTYSVKRSRRSLYRSRVEASDTGMGVPHGEGGGMNTLLDGISGEAREGEIMAMLGASGAGKSTLIDALANRIQRGSLRGAVTLNGDALDSRLLRVISAYVMQDDLLYPMLTVTETLMYSAEFRLPRSLSASKKRSRVEALIDQLGLRAAANTIIGDEGRRGVSGGERRRVSIGIDIVHDPIILFLDEPTSGLDSTSAFMVVKVLRRIARSGSVVVMSIHQPSYRILGLLDRLLLLSRGRTVYYGPPAALPKFLSEFMGEPIPEGANPAEFALDHIRELEGSQDGTEELVKFNKLWQEKLLGSKASLSLKEAVGLSIARGKLVSGAVATGAAAATPREREVATHANPWWVEVRVLMRRGFTNTRRTPELFLWRLGAVLVTAFILATLFWRLDDTQKGAEERLSFFLIGISTMFFTRADALPVFVRDRDIFLRETAHNAYRRSSYTLSNAIVCFPSIVLLSLAFAAITFFAVGLAGGGEGFVFFVLIVLACFWAGSGFVTFLSGVIPHVILGYTVAVALLASFMRFSGFYITRDHIPNYWIWFHYISLIKYPYEAVMQNEFGADLGKCFMRGAQMFEGTPLAELPMEYIRANFNTTSCITTGADILTKQAVDQLGKWGCFWVTVAWGFLFRVFFYLTLVVGSSNKRS >cds.KYUSt_chr3.46938 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294825949:294829267:-1 gene:KYUSg_chr3.46938 transcript:KYUSt_chr3.46938 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAPEEPRPVRFGIMGCASIARKLSRAMLLAAPTAAVAAVGSRSEAKARLFAADNGLPAATRLHGSYEALLDDPDVDAVYLPLPTSLHVRWAVAAAGRGKHVLLEKPTALCAADLDAILAACEENGVQFMDSTMWMHHPRTAKMRELLDDRSTIGDVRVINSVFSFRANEDFLENDIRVKPDLDALGALGDAGWYCIRAILWAVDYSLPRNVVALRDPVKNQAGVLIACGATLYWPDGKIATFHCSFLTNLTMDITVVGTNGTLHITDFVIPYEEKSGPFSVASESNFAELHTGWVPQPSRHVVATELPQEALMVQEFCRLVQGIRDGGAGVERKWPSITRKTQVVMDAVKTSIDSGFQSVNVAS >cds.KYUSt_chr6.10255 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63146623:63149506:1 gene:KYUSg_chr6.10255 transcript:KYUSt_chr6.10255 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYKNVVGGRLKLKGKPLDVKDGAVKKKKKKHRREESSQVEHGQQYEGGSSELPGDPELSEAGKMGEEGDPQGDYDHLTPAERRYIEQKQKIDVHKLAKVADKSHRDRIQDFNQYLANLSEHYDIPKVGPG >cds.KYUSt_chr6.25789 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163394192:163396760:1 gene:KYUSg_chr6.25789 transcript:KYUSt_chr6.25789 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAECCGGDFLDWVGPDNSASVFGLLDHPADLVRAAAVSRTWRRFVIENDLSKSLCVRLFPEVATIAAAAEVTRSPPSPPVSQSQSDSERAYRIYSNLAGALVSSSPKDSAGCVLRCVGASSTDDFPNETMVHTLHERGLVNFRPSYWSSCGSDNPDEPESLTYRLNSDFCIVDEIKVQPFKGRSLSPLFMVDISDPGGYSILKYLPGAKNLSAEDMAQYDTEDSLEWQYFGARYRQMNHIAVLNALLMQVHFMHEDDVGWVLQDGLLQ >cds.KYUSt_chr6.3688 pep primary_assembly:MPB_Lper_Kyuss_1697:6:21287336:21290143:1 gene:KYUSg_chr6.3688 transcript:KYUSt_chr6.3688 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACEACRTAVGSIGRRSARIAYCGLFALSLLASWVLREVAAPLLQSIPWINHFSKTPDREWFETDAVLRVSLGNFLFFTILALIMAGIKDQKDPRDKVHHGGWMAKVFCWVLIVFLMFFVPNGVVSFYESISKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLVVSVVCYIASFSFSGLLFHWFTPSGQDCGLNMFFIVSTLILVFLFAIVALHPKINGSLLPASVIGLYCTYLCYSGLSSEPRDYECNGLHNHSKAMSTSSLTLGLCTTILSVVYSAVRAGSSATVLSAPDSPRAGGDKPLLPFSKADEEDTKEVAKPVTYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVYVRMATQWATAGLFIWSLIAPLLFPDREF >cds.KYUSt_chr5.26860 pep primary_assembly:MPB_Lper_Kyuss_1697:5:169906939:169908867:-1 gene:KYUSg_chr5.26860 transcript:KYUSt_chr5.26860 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMATLTARPTSTVPGAAARLPAPRQCYLLPGRRAGLAGGAPSASSKKKNPWLDPFDDGPDEDFDYTGVYSGGKQEEDPRPPEDPANPYGFLRFPPSYMPELDSLASKVRGDVRRACCVVSGGVYENVLFFPVLQLLKDRYPGVLIDVVASARGKQVYEMCKNVRYANVYDPDDEWPEPAEYTHQLGVMKNRYYDMILSTKLAGTGHALFLFMSSAREKVGYVYPNVNGAGAGLFLTEMFKPPTTNLSDGGYNMYQEMLEWLGRPAKGVPQQPIPPLRVSISKKLRAIVEDKYSRAGVEKGKYIIVHGIESDSVANMKSRGDDDCLLPLEQWAEIAKEMSSGGNGLRPLFVMPHEKHGEEIEDIVGKETSYIFITTPGQLTCLINDAAGVVATNTAAVQLANARDKPCVALFSSEEKARVFLPYAEEKKSCTIVASTTGKLVDIDIEAVKNAVKDFQPAPSFALAQQ >cds.KYUSt_chr3.37609 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236637865:236640274:1 gene:KYUSg_chr3.37609 transcript:KYUSt_chr3.37609 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARAACPSCCCVLRAVASADSFPSSHRLQLSSSHRFSSSGIGSSLLTLGLRLWMGGPLVGFSAEGVSSSSCLCPSTCEKAGSQHSSCARPEDENFEARVAHAQDGFGVEEIGLALAEVMQVYDDNDEGKDLSEDSDENEDSLSVESDSTDGLVDVDTELVTSSASLSGNASESSIGKSEDGDSVSKVTPVLVSAMKGSRAKRGIVTNLSVSWAPDVYDPPVTSDSHTVRPHHRSLRKSNYKYKPPKGSSSSRSSSGSKKDKKHSHHSSSSKRDKKSSYRSTSGSSRTDTSDPQHLKAYDNSISSRTDTSDPQHRQAHASSINSIENTVPEYHKLSLWLPPDSVKKEEAVSPAPVLKSIEPIKRSASSCKEAPLSMLSRQFVAAKYKGMFSFWGQNQLAS >cds.KYUSt_chr2.4079 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24637925:24639929:1 gene:KYUSg_chr2.4079 transcript:KYUSt_chr2.4079 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPWGPSNSPVTGRLQALPAPQDRRLACHSLNLAGGQRETLGHIPSSSTRGISLAAISGAIHHEAAPSWPSPLTNSPEKGRNRHSRSMILFTSHADEHPKHHIHHGDMRGIAQDHVPGSHHAADVIGEVAAAVVLLGHGQPQARADQDGHARAHQLQIFDIKMENKVHAIL >cds.KYUSt_chr5.3308 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21343879:21345144:-1 gene:KYUSg_chr5.3308 transcript:KYUSt_chr5.3308 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQMMPRSFPMWPPPPPTASDAMPPPPFLPPPNANLAPNRAWKRKNPNPNSAAYQPPAIADLQVQNRAKARRWFKPNPNNRRPFFHKPKAKAPRNTSSFIIRAKRLGGIAPLVSPGPVTPAVLPTPVISPARDERLLSDVLAQQQWGVDGYGSMKGLIRLRPATHAPDDDEDESSGGSDVEEHVEVERRLDHDLSRFEMVYPQPGAVLEDHDEMYDRNQGDDVDVHVARLEEENLTLKERLFLVEREVGDMRRRLEAVEARFSHAAALGNAAAAAVENGTQLGNPNAAAAADDAVEQVPLQNGTERGDAIAAADADNDAVEVPLQNGSGSARVGAVSDAVEEALKNDTEMGDAAADADNTFEGSTEKGDASDSAVNKSLENGTAEPQKSGNEEESYSAGSEKNAEIGDAASAQGDGQEA >cds.KYUSt_chr5.33229 pep primary_assembly:MPB_Lper_Kyuss_1697:5:210779062:210779577:-1 gene:KYUSg_chr5.33229 transcript:KYUSt_chr5.33229 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAPPPLVALVRPDGRRLLASTRLSRRRQPPLPKSCRPLIPFLPQPLVVLAAAPPHRRRPTPPPLASPIAANQPPLPAPFPSPPRTPGYPCAGDPYQHRRASSSPPACRVHSPSERTPIRSSAVGHLQHRRPAVLSRAPPLDYLLHPATALGSCRSREAASPELLGRAHC >cds.KYUSt_contig_1658.105 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:642293:642885:1 gene:KYUSg_contig_1658.105 transcript:KYUSt_contig_1658.105 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >cds.KYUSt_chr2.54944 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342592378:342593655:-1 gene:KYUSg_chr2.54944 transcript:KYUSt_chr2.54944 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLFRPLGVGCPADFFSADILRTALSRALVPFYPLAGRLGMAPDGRLEIDCSGQGAVFVVARSDAVLEDLEGFAPSKAMCDMFVPPYEEVVGASGKPLLLLQVSLLIEVTFLHGGGVVLGTGMHHYALDGRSSFHFMQTWSSLARGAAGDTVPPFLDRSPLRARSPPVILFDHFHEYCRNGAGSATGAARPSDLAGAILRVTSAQAAALRARTGESLFRSLVAHIWRCALTARALPSDAESRLYTVVDMRARLSPPLPSAYFGNAGVRTSVSAKVGDVLASPLKFGAQRLRMATGQGDEYARSLVDYLETAADASSKPGRELPDTDLRVISWMGMASHDANFGWGEPAGVAPAAVSYTWFVYSVGDSGDVAVAVAMKPDQLERFKELFFHENEMAW >cds.KYUSt_chr3.39896 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251433867:251434406:-1 gene:KYUSg_chr3.39896 transcript:KYUSt_chr3.39896 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAEAEEERCRYKGVRRRRWGKWVSEIRVPGTRERLWLGSYATPEGAAVAHDTAVYFLRGGLLADSGASALNFPERAAAAYGTGSVAPLSPRSVQSVASDAGMAADAQLVAARDSAAEAAATGPRQYAELRAGAGAAQGGANAYAYTHMGGGYSGGSSGGREQLVHGDISVDDMEILM >cds.KYUSt_chr2.41161 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255854394:255855074:-1 gene:KYUSg_chr2.41161 transcript:KYUSt_chr2.41161 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLLLLLFLAIAVKLTPASAQPAPASPVKEDDVRCLRGIEQSLKDPDGRLSSWTFTNTTPGAICKLAGVACWNRLESRALALSLSGFGLQGAVPSSLQYCRSTNTLDLSNNSLSGPIPPAFCDWLPFLVNLDLSGNRLSGPLPSELANCVFLNSLKLNGNTLSGQIPASLSRLSRLRSLHLSDNRLEGQIPPQLGAAFPNESFSGNPGLCGPPVSPRCGRGLGV >cds.KYUSt_chr2.51532 pep primary_assembly:MPB_Lper_Kyuss_1697:2:321995379:321998344:-1 gene:KYUSg_chr2.51532 transcript:KYUSt_chr2.51532 gene_biotype:protein_coding transcript_biotype:protein_coding MWWGEHGYEGSGGASLFSTPDQHHGCFGWDVAGGMVTSAARSKANDATLVEATRDGGEGVNLIGEALLAGRGGVGECGPGAKVVLKLDLHDKKQKRKAIKAVSTLHGIDQIAVDMKEQKMTVVGAVDPVDVVEKLRAKLFPAAKIVSVGPGKEEKKDDKKEGGDKKDPGKDVAYLPYWFPPPPHHPHPYYFVGSAEEDPNSCVIC >cds.KYUSt_chr5.6094 pep primary_assembly:MPB_Lper_Kyuss_1697:5:37735258:37736897:1 gene:KYUSg_chr5.6094 transcript:KYUSt_chr5.6094 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSIVLLIGASMTVFLVLSLVTFLCANRQQLRSVVDAELGRGRACAAGIDEDALAAYPTMMYSKLRRDHGRVPPFWLSGSTEEIGSGELADPGVPQNLAWRRKENSSPCFIHLTRVSKPRVFNLKVQPTGCSVCCDHVQPAMAGPSTVLLLGASMAVLIVLSAVTFLCANRVHRASRRSAVGDQDAELGRGGRGCTAAAPGIDEADLAAYPTAVYSKAATGKEEEEEGTQCAVCLAEYADGDELRRLPGCLHAFHRRCVDDWLRRRPNCPLCRSSPAPTNTSSAC >cds.KYUSt_chr6.29788 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188802951:188806153:-1 gene:KYUSg_chr6.29788 transcript:KYUSt_chr6.29788 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSRVGTTSCSTWTTLLLLLVTTPTGHHSELLLLEQRRWEELHLLLVGCDQAMLLLVPAPDKDHRRRHAVKTELPLLPPHSLTPIRCTHHHPRPCRQHLRCHHLRRHHLRRRAAVPRGHLLHRHRLQEHLLCPTILRRSRSFRHCALQLLDEMASGGDGLGEAGVGPETRRVHDWVPEGMELRFAFLVNIRRERFIVDAKDQKKFQGGFDYRLPMDCNRSFRQFGEVICGPYPWGMHDEVEFKYYDGGNDWVKVSNDEELATMFAKHKEKEQFHVRLQNDVVVPAVGPSRIDSCRRNGSSSQNSSVRGASVSARRRGGSSNMGTGSRVPREVEPEYIDDEERLYSDVVQNLRRPCRAESRDECDNEAFVIDEEEVEDEDLPAIEWDPANSQMEEGTIFASMSECRNALVTYCIKAERTFEVDKSDRGGLEGKQQWDPVDPGFKLCPPVLKRAAGRPRKSRIRPRSEGAGFGPRRRKCTRCGGSGHFAKYYDNAVDPASGECFDVSNDEQNDDQNVDDPIDDPTDDPIDHPIDDSVDDSIDDPIDEPQNDQIDDPIDEPQNDQNDGPIDNQNDVPIDDPIEDKIEAPNVGVQPCVVVSSTCSVVGSNKVVAVSSEVVKVPTTKRRRKEAMSTRITRSKVVARSARTKKKPQHFVDD >cds.KYUSt_chr7.16158 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100136295:100138939:-1 gene:KYUSg_chr7.16158 transcript:KYUSt_chr7.16158 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFATSRTLLLSALLCVLLCSEVCVLQCGAAIGINYGQVGNNLPTPAQAVSLLSSLRVGKVRIYDVNPQVMAAFGGTGIELIVTVPNDLVQPMAASAGQAAQWVAANIRPYFPATRVTGVAVGNEVFTDDDEALKASLVPAMRNLHAALAQLGMDGYVHVSTASSLGVLANSYPPSQGAFTPECAALMLPFLRFLAETNAPFWINAYPYFAYKGDPANVSLSYALSDPYHVGAVDPYTHLQYTSMLYAQVDAVSFAAARLGYGGIPVYVSETGWPSKGDADEVGATVENARAYNRNLLVRQVGNEGTPLRPRQRIEVYLFALFNENMKPGPTSERNYGLYQPDGRMVYNVGLMQQQTTSATALSLAASSAPPSTRDVRKDFTSMFLFTALAILVTSQAFLLG >cds.KYUSt_chr7.33984 pep primary_assembly:MPB_Lper_Kyuss_1697:7:212300312:212300917:1 gene:KYUSg_chr7.33984 transcript:KYUSt_chr7.33984 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPTLRSLLGQRRLAFHRPTVVLLPRRPPPVPSGLSTCSTPSSGSGEPHRSTRASDFRAAPFWLAPCRTTPLSAALTVPLRRLRARTALDAPDPLQLRNSPAPPLRRVHPAPASRSSSRPGHSADSASAPSLTQPAAPSFCALQLQNPLALALRCARPTPAPRSDSRPGHSPRAMVGRSVDSRTAEAQTIAVLISDLRF >cds.KYUSt_chr3.27154 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169504331:169510830:-1 gene:KYUSg_chr3.27154 transcript:KYUSt_chr3.27154 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRGAAMRKPALEIDATRTAAGKEEEEPVSPTGRLFREPHFSCHIVSVFGLGGAVDLPAVRAGLEASLARHPRFCSLQVVDEQEEDPRPKWVRTTVNIDDHVIVPSLDPTATSADPDRALEDYVSSLSTLPMDHSRPLWELHVLDFPTSEAAAALVLRVHHSVGDGVSLLSLFIACTRRASDQASLPALPSTTAGRRRAGPVYALSSRPRLSPSWDALAAFAAWVLSFLVLLWHTVVDVACFAATATSVLGDPPTLFKGAEGVEFRPKRFMNRTLRLDDVKYVKNALNCTINDVLLGVTSAALSRYYFRKTGESGSETIKVRSTLLVNLRKTPGLHTLATMMESGKDNGAQWGNRLGYMILPFHIAKRDDPLGYVRKAAQVARRKKSSMESAFTFWSGSVVLKIFGIKAAASLCYGMMRNTTLSFSNVAGPTEQVVFYGHPIVYIAPSVYGHPHALTMHYQSYANIIKLVLAVDETQFPDAHELLDDFDQSLRIIREAASEKQKDT >cds.KYUSt_chr1.16962 pep primary_assembly:MPB_Lper_Kyuss_1697:1:98449241:98464468:1 gene:KYUSg_chr1.16962 transcript:KYUSt_chr1.16962 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLEAGGGDGEYTQDGTTDLHGNPILRSERGGWRACSFVVVYEVFERMAYYGISSNLVLYLTKQLHQGTVLSANNVTNWVGTIWMTPIIGAYIADAHLGRYRTFMVASVIYLLGMILLTMAVSLPSLKPAKCGVGTADLNCDQKATNVQLGVFFLALYILAVGTGGTKPNISTIGADQFDEHEPRERRQKLSFFNWWMFSIFFGTLFANTVLVYIQDKIGWTVGYALPTVGLAVSIAIFTAGTPFYRHKPTSESSFAKMAGVIVAAVRKCRVPSPVDPRDLHEIDPEQYAKKKTSPLPYTPNFSVLSKAAVRTGGSTSRWSLSTVTQVEQTKQMLKMLPVLGITFVPSAMLAQINTLFVKQGTTLDRHVGAHGFEIPPASLQGFVTISMLVSVVLYDRLFVPFMRRLTGNPRGISLLQRMGVGLVFHIVIMAIASVTDRYRLNVAHENGVFDSKVGKTIPLSIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYSMTSLGIGNFLSSLLLSTVAHITGRHGQGGWIQNNLNASRLDLYFAFFAVLNCANLVVFFAVCRMYVYNAEVTHVVDGGGEKQMKVAMQPSPAAGAPDVML >cds.KYUSt_chr3.28633 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178691066:178692469:1 gene:KYUSg_chr3.28633 transcript:KYUSt_chr3.28633 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQALLLVAFVLTAQLCGCTAYVGGGFSVEFIHRDSPKSPLYDPKLTVHGRVLAAAQRSTAQAAALARSYTTIVSPSPEGAVSEIISRPFEYLMYVNIGTPGTRTLVVADTGSNLVWLRCVNGSTAEPPPPASGSDAHPEDVVFDVSSSATYGRVGCQSGACHALPVTSCDASSNCNYLQTYGDGSNTTGILSTETFFFEDAPGGCVGCRDRPQLVVHEVNFGCSTSINGPFPWNGVVGLADGNLSLVSQIGAVTSLGRRFSYCLAPYNVNASSALNFGSRADVTEVDAVSTVLVPSPFGSLYTVALESVEISNSTFTVPPDQSHLIVDSGTSMTYLHQGLLDQVVEEVNRTIKLPQVSSTGRILPLCYDATGMTEELILEKMIPDVKLVMGGGAVVTLKARNTFVQVDQVTVCMAVLPVGDQSPFAILGNVAQQNMHVGYDLDRRTVTFAAADCTTAYPSPPASL >cds.KYUSt_chr7.33513 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209037076:209038881:1 gene:KYUSg_chr7.33513 transcript:KYUSt_chr7.33513 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYLRTRVLPLFPGYQPSHAPAAHLVPFHCLLSTTASIFLKSFSVKKFLVTDCGLTREQALKASKRLSRLTSLSRPRATVAFFLAKAKGVPRADIAAAVAADPLILSATVERVLGPRFAELTDIGLSPSQIATVLSIRRTRAVRGNIQFWLQTLGTYDEVLVLAKSNRQLLSASLEKVIKPNINTIQECGVSACEIARVSLYSSRLFTVKPEILLGAIAQAEELGAVRGSRMFRRALATLSFMSKNVLDGKVQLLRELGFSQGDILMIAKKAPPVLAKSDEKIQQALEFLMKNIGLQAPYIAQRPVLIMYSVKKRLMPRQSLLKVLREKGLLNVEWDYYTTASMAENKFVKKFVDPYKNSVPGLADDYASRCLGKAPDGDALP >cds.KYUSt_chr4.11326 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68861562:68862571:-1 gene:KYUSg_chr4.11326 transcript:KYUSt_chr4.11326 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPGKLMDAVRRPVSAASSLHQSAANHLQPLVTLAQRNGVGRRSLLTLLASAAAIPEASESRKALLQDYVKRSKENKEKNDKERLDDFYKRNYKDYFGFMENSVREKKEEELTESEKGILAWLDKNK >cds.KYUSt_chr5.8925 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56732615:56734870:-1 gene:KYUSg_chr5.8925 transcript:KYUSt_chr5.8925 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNSSNFAILKSGSALFPIFFGLHTMDGHAFTLSVSLWRPGHQDTVIWSANPDNPVSQYAILNFTKEGQLLLNDGNGTIIWSTDTKSNSVAGMRLDFSGNLVLFDQNNSTFWQSFDHPTDTLVMGQLLCRGMNISVRPSNTKWPSARIYLSAEFGGLRYSYQPAAYSKLFAVTASTTPNCYAFFNGSFGFPNQVFSLPPARSSEFIQYMRLEPDGHLRLYEIQGYTEARLLFDVLSVAMKFCDYPMACGDYGVCSDGQCSCPSLRYFRPQNERHLDDGCTLLTTISCDHAHDHQLQALNNVSYFSDNMFKSLATSSPSKMVCKQSCLTDCSCRVALFQYYGNTSVDGYCLLLSEQKLISLAEGSLYLLSAYIKIQGKESIKRRIRIIVGSITAGFSALAILFSIIIWKMCKKEEEQLFDSIPGTPKSFSFHELKVATSNFSVKLGSGGFGSVFKGKIGSETIAVKRLEGVAQGTEEFLAEVMTIGRMHHHNLVRLIGFCAQKSHRLLVYEYLCNSSLDKWIFHARPVFTLSWKTRRNIIMAIARGLSYLHEECKEKIAHLDIKPQNILLDDRFNAKLSDFGLSKMINRDQSKVMTRMRGTRGYLAPEWLGSKITEKADIYSFGIVVVEIICGRENLDESLPEESVHLISLLEEKARSGQLLDLVDSGSNDMQFHLEEVMEAMRLAMWCLQVDSSRRPLMSTVAKVLEGLTSLEASPDYSFVPSLFASNHTGAAGSSSSYVPLGSHLSGPR >cds.KYUSt_contig_915.63 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:413342:415237:-1 gene:KYUSg_contig_915.63 transcript:KYUSt_contig_915.63 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAPELYTQDGTVDIKGNPALKENTGNWRACPYILANECCERLAYYGMSTNLVNFMKDRMGMANAVAANNVTNWSGTCYITPLIGAFLADAYLGRFWTIASFMIIYIIGLALLTMATSVPGLIPFCADKGVCDPTAGQSAAVFVALYLIALGTGGIKPCVSSFGADQFDEHDDKERKSKSSFFNWFYFSINIGALVASSVLVYVQTHVGWGWGFGIPAVVMAIAVGSFFVGTPLYRHQRPGGSPLTRIAQVLVAATRKWRVPVSGDALYETVDKESGIEGSRKLEHTEQFRFLDKAAVVVDTPADRMASSSPWRLCTVTQVEELKSVVRLLPIWASGIVFATVYGQMSTMFVLQGNTLDASMGPHFAIPAASLSIFDTLSVIAWVPVYDQILVPAVRSFTGRPRGFTQLQRMGIGLVVSMFAMLAAGVLELVRLRSIATHRLYAKDDIVPISIFWQVPQYFIIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTVVAKITTRGGKEGWIPDNLNVGHLDYFFWLLAGLSLANFAVYLLIASWYTYKKTAEYPPPDAVKGSASADGHQYPHAVKGSSAGVHDE >cds.KYUSt_chr1.178 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1023051:1026670:-1 gene:KYUSg_chr1.178 transcript:KYUSt_chr1.178 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPSQYSQLLPLLLVAATIHTILAADAERPPAGCPKDEKCGNISIPYPFGIKAGCSLAGFEVICNHSFQPPRAFLSRASARHEIGHATYTSSSWYSLSMDSSPPIFSPYVPTYSAAPVELLDISASKSELRVYGGVTSDCLDPGAGEDAETKPDHHHVNIHSTKLGPAGGGEEPFLLSLLRNVLLGVGSKAEAQFIVSPDEVGRTGAGSSPLIACISSNIIAVRNGSCTGMGCCQASVSRELLEDRLAPDFFAVTLGQNMARLADDTNTWSPCSYGMLVESSWYNFSSEDLYGHELSNKHPRGVPVVLDFAIRSGNRSCPAEGQKPPKGYACISGNSSCATPPSGDGYICRCHEHYDGNPYINNGCQGVIAFFLVILALVLAHQLLKLKKFYKQNGGPILKGVKNIRIYTSSELKQMTNNYKVVIGEGHFGTVYMGTLKDKQEVAIKKTIKVDESSKKEFIDEVIIQSGMRHKNIARLLGCCLQMDVPMLMYEYVVKGSLYDVLFKSKDIIPVDTRLRIAIGSAEGLAYMHSAVENTIRHGDVKSANILLDESFTPKISDFGTSKLLARGKSEKTEWVTGDKAYIDPEYMAHGTLTQKSDVYSFGIVLIELITRRVAKYDDNMSYVKNFVQACQDQRARNFLDNDVTSEKDIELLEMVSEVAMECLKLNPEERLDMRQVENRLYIIGQSEQHGQEMNFQGNLSPNMEVPLLKSVESRSPTN >cds.KYUSt_chr3.3059 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17523859:17530693:-1 gene:KYUSg_chr3.3059 transcript:KYUSt_chr3.3059 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSILLLLHLYATCCFLFSYNVVAQSAASAFSFSFDFSNGSIYRSDDLLFEGNASLNGKLVDLTCNTEGESRQGCQGRMSYNHPVPFYDTRTREVASFSTRFNFVIKGFNQSIAADGMAFFLSSFPSVLPLDAGGSTLGLHGGDGMNAKGADRIIAVEFDKFRNYFDPSSDHIAIDINTVKASANTTTLPNGSLRGAMTAAITFNSTTRMLLATLQFDDNPSLGPVQVSTELPDPVTDLLPSEVAVGFSAATGRYFELHRIISWLPPVSLPQTRHIPRGINPQRQPRLYTPLHSPRGALVIRDQPSQPGRKRKAAKKEDAAAATNAAANRLAEEEVKRAEDAAVAEAIARSLNDLVPADNTLPEDAALAWSRRGREREEAEQRRRLLGPGRRTPTRRPRRSNRRRRRRALPPSCDTSIRRRCPLRRPRVLRRRMVQAIPGGGETRPRQRAAEAAPPKVEDDGSDDDGGDYTVFYRHFGMKQKVNLFLVYELVPNGTLEDHLHHREEILPWEKRYQIVKGIGSALRYLHHECNISILHRDIKPGNILLDLDFTAKLADFGLSRIFASKNDTTLVTTAIGTVGYIDPECMKNGDVKFQRKSDVYSFGIVLLEIACRKTREEVLERYRSKAESRMVEAADEKLNGAFDETQMERVIVLGLKCSDPQGKQRPFMLDAMKFLEDGIELPAITEIQ >cds.KYUSt_chr3.20995 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128653181:128659547:1 gene:KYUSg_chr3.20995 transcript:KYUSt_chr3.20995 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGAEVGDAGHRGVGMQGGAKVGDAGRSRGFICREMEDAGRFSREAALGMQGGVEGLAWAAALGTEFRAASAAFWEGAQGDRRPARISDLDQGGGGDGGGGSWGFGALGRSGSDLIFAKFWLNSPLVFSLRGWPAQLRRHAALIGTGKTSAGRRPESSTMSEVFDGYERQYCEISASLSRKCTAASSLSGEKLKQKASEIKSGIDGAEALIRKMDLEARNLQPSVRAGLLAKLREYKSDLNNLKGTLKRITTGNGQQGAREELLESGMADTLVVSADQRSRLLRTTERQNKTTDRIKDSHRTMLETEELGVSIMHDLHQQRQSLLHANDTLHSVDDNIGKSRKIMGAMVRRMDRNKWIIGLIITLLVLAILVVLYFKFVH >cds.KYUSt_chr5.36274 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229300887:229306579:-1 gene:KYUSg_chr5.36274 transcript:KYUSt_chr5.36274 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCRSNKEASGAYDQLGELDQALFMYLDHGHGGHASSQQHEQRQTLNIFPSQPMHVEPSPKGEISLVLSPAPVGSKMPRSSPDHHHHQQQQHAAAAAMEELAAGSRRQQQQDHHHLHHLQHQQPGFAAEHSAGVGKDVKPVTKKEHKRSLSTGERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQIEQELHSARAQGVFYPGSNLLAEQGLTGKGLGGIDGLSSEAAMFDVEYGRWQEEHSRLMYELRAALQQQLPEGELQMYVESCLAHHDEVLTIKDTVIKGDVFHLISGVWRSPAERCFLWLGGFRPSEVIKMLLGHVEPLTEQQIVGVYGLQQSALETEEALSQGLDALYQSLSDTVIADALGCTPNVANYMGQMGLAIHKLSTLEGFVRQAENLRQQTLHRLHQVLTTRQMARSLLAMADYFHRLRALSSLWVSRARTPPEHHHGGPHN >cds.KYUSt_chr7.40734 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252812725:252816471:-1 gene:KYUSg_chr7.40734 transcript:KYUSt_chr7.40734 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSIPGAYAYEPLPHSSDDAHGHDDRRSAGGVRWRACAAVLAASALVVFVVASTLAGSRVDRVAVDVAAMPPLSETARSRGRDAGVSEKTSGAADEMGFLGAGSGADADGFPWSNAMLQWQRTGFHFQPEMNWMNDPNGPVYYRGWYHLFYQYNPEGAVWGNIAWGHAVSRDLVHWRHLPLAMVPDQWYDINGVWTGSATVFPDGTLNMLYTGSTNASVQVQCLAVPEDPNDSLLRNWTKHEANPVLLPPPGIGDKDFRDPTTAWFDESDQTWRTVIGSKDNNGHAGIAMVYKTKDFLNYELIPGYLHRVDGTGMWECIDFYPVGGKNGSEELYVIKESSDDDRHDWYTLGKYDAAANTFTAVDPENDLGIGLRYDWGKFYASKTFYDPAKKRRVLWGWIGETDSERADVAKGWASLMSIPRTVELDEKTRTNLIQWPVEELETLRINSTDLSGVTIDHGSIYPLALHRATQLDIEASFRLDSATIAALNEADVGYNCSTSGGSANRGALGPFGLLVLADGKAEQTAVYFYVAKGLDGGLQTHFCHDESRSTLAKDVVKRVVGYTVPILDGEAFSVRVLVDHSIVESFAMGGRSTATSRVYPTEAIYGAAGAYLFNNATSGTVTVEKLVVHEMDSSYNQIFMADDL >cds.KYUSt_chr3.14042 pep primary_assembly:MPB_Lper_Kyuss_1697:3:84978775:84980850:1 gene:KYUSg_chr3.14042 transcript:KYUSt_chr3.14042 gene_biotype:protein_coding transcript_biotype:protein_coding MVETPIHLASTSNNTGKIRNNGEQLNGSASTVSEFGDSCVNVREVDGCLSVTSVVSDLNPVSEFLCVFQEEEKQQVDGGGAVQPAPSACTTCAKGHACQAVISRTREMRALIDAKKPHQVQAAFEHLADEGHRPSLVTYTTLLSAVTSQRTFESIPSLLADIDAAGLRPDSIFFNALINAFVEAGRMGEATSTFWKMSRHHPGCRPTISTFNTLIKGFGIAGRPEESQRIFDMMAGGAGDGVVASTVRPNLTTYNILVKAWCDHRRLEEAWGVVGRMRARGVEPDVVTYNTVASAYAKNDETWRAEELVVEMMRARLRTSERTWGIIVGGYCREGRLEEALRCVRQMKEAGVLPNVIVFNTLLKGFLDANDMAAVDDVLGLMEQFGIKPDIVTYSHQLNAFSSLGHMAKCNKVFDRMMEAGVEPDPQVYSILAKGYVRAQQPGKAEELLQRMCRIGVRPNVVTFTTVISGWCSVADMDNATKVYRKMRDAGVRPNLRTFETLIWGYSEQKQPWKAQEVLQMMQEAGVRPKQSTHSLVADAWKAVGLTENGNRASGSPDDRLRRNAKEPDHHSDDDDDGKLQRSATTNEPARSDHPSHSSFLQVTSALGTGKAEQFASTSLPLQRSCQLRMRSSGFCRNLWQKQGGLYSQGISSFKIMVFLS >cds.KYUSt_chr7.17400 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107754246:107755025:-1 gene:KYUSg_chr7.17400 transcript:KYUSt_chr7.17400 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVVLSMSVKGTDVTKDTIILDDKLHTVVTAIRRGRCAYNYLQRFIQFHLTVNVVAILVNFVSTITTGHTPLTTALIMWVNLVMGTMSALAVAADKPAEALMGRPPIDRTAPLISRTMRLNLAAQAAFQTAVVLALQYRGRDIFGTDDKANGIMIFNMFVLFQLFNEFNVRGIEKRNVFAGVLEKKGMMFLVLVALTLVLQALTVEVLTRFAGTKRLGLGLWGVCLVIAAVSWPIGWTAKLIAGACSRATPTRTDGP >cds.KYUSt_chr4.5568 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32272822:32274354:1 gene:KYUSg_chr4.5568 transcript:KYUSt_chr4.5568 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLSSSSPQEPLRIVIVPWLAFGHLLPYLELSERLASRGHHVSYVSTPRNLARLPPLRPAAAPRVDLVALPLPRVDGLPDGAESTNDVPDDKRPLHFKAFDGLAAPFAEFMAAACADVGTRSHWIIADSFHHWVAASAAQHKVPCALLLPTAAIIAMPGQPPEHSANGEAEPAAPDTVPRYERKALAHAFADDGESGMSTIQRWTLTKEKCALAVIRSCVEWEPESFPLVPKRLGMPVVPLGLLPPPPDSGRRAASTNREHATVRWLETQPPGSVLYVALGSEVPLRAEQVHELALGLELAGARFIWALRKPSGAAVLDDGAGMLPPDFQERTRGQGMVTTGWLPQMSILAHAAVGGFLTHCGRNSLVEGLLFGQPLVMLPIFGDQGPNARQMERKNVGLQVARDENDGSFDRHGVASAVRAVMLEGEARRGFVAGAAKMQEVVADTERQERYIDEFVHHLRSYNVGGDSTTPLPTFTSS >cds.KYUSt_chr7.38204 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238189495:238195046:-1 gene:KYUSg_chr7.38204 transcript:KYUSt_chr7.38204 gene_biotype:protein_coding transcript_biotype:protein_coding MILHAIRRWKIIFKDPMLPLVDRFCGHALNELSTNFKIVGKVSTEMKDDHRVKDDNFRKFFKNKSSTEPEVLQDRIASTREEMVEVRKENERLKSTLSRMIEDHRSLQKKLDVLHQGRGKNRIDSHDHALPANIEEPGFVSLTLGTSTSRYNTEEKSTNSEGKGIEGSLKIRERGISLGLSDNRVGSATDHSETKVQRDVLVLSPEGSSDKAAKEDPMETAQWPPSKTLKNQIEDAVAPQPMAKKARVSVRARCDTPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVAVGCPVRKQVQRCSDDMSILITTYEGAHNHPLSASAAAMASTTSAAASMLTSGSSTSLGSFPGAASSLSFDLSSAANGSNLHPGGSRPFFLPSATAASITATPSYPTITLDLTSPAMSQGFSLNNRFSSGFTSSHSTTSRYPSTSFSFSGSATTAWPAAGGYLSYGPSSGASPYNGAGKSPFEAVLSSNPGRQGSTSLYQPVLQRAASVSGSGTATAAPPSVLTDTIAQAISSDPGFHTALAAAITSYVGKPAGRGGGSKGIQWGEHLGLGPSNAATATACSSALLARSSSLPSTAQSSSSGQMFFKPSLALSGSTSPSTSPVKNREHIN >cds.KYUSt_chr7.11376 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69907318:69915657:-1 gene:KYUSg_chr7.11376 transcript:KYUSt_chr7.11376 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGGESQSCGVLELQVGEAPRGSGLDASLLRRLYVGQFLARWSARMWEFSVGLYMIRIRPDSLVLAAIYGVVESSSIAVFGPMVGTLVDRLTYLQVLGLWLVVQSLSFITAGISVTALLVFHNLRNTNFPVFVALVVVTNVSGALAALSTLAGTILIEREWVVVICTGHPVEVLTKINSVIRRIDLSCKLLGPLMSGFVISFVSTQASAVALALLSVTSVGLQYWLFVCVYNGVPALGENVHQRRESATAVEVLPLPEIVAPAEEEVQKHGEEDRSGWRVRVTKLLSNLTCWKSWAVYMRQEVMLPGVALAILYCTVLSFGTLMTAALDWKGIPAYMISLAWGFSAMVGITATLLYPVVHSWVSTLQTGLLSIWMQWCCLLLCVGSIWMSGGVASAWVLLAGVAASRLGLWMFDLAVTQLMQDNVPDSDRCVVGGVQNSLQSIFDLLIYVMGIVISDPKNFSKLIMMSFSMVTCAALMYTTHVYRVGSTCSTWRYGVMDFAKTDWCNDINSLASSGL >cds.KYUSt_chr7.15254 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94610863:94612212:-1 gene:KYUSg_chr7.15254 transcript:KYUSt_chr7.15254 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAGWYYHSGGTNDWDLNAVVRYACGGRGRVSPPPSSSSDDPFSTFYPPPPPPQELSTGDRLFGANTSLPDLPFDGNSAVVDELSTAFFGPPAPPLAPHLPLQQQQQQAMATNDVPVQMQQGYAAPPPAGHLPLQQAVATNDAPVQMQQGYEAPPPPPVPVPQTSGLQASGGEGAARSKQKKYVPNTLNFSFLTNKMLPIVMLVLDHACRPWLICGCVVRTCRKKPVQREVKRVAANGVSADPWAWRKYGQKPIKGSPYPRGYYRCSTEKACEARKMVERCRDDPDSFILTYTGGDHNHPAPIHRNSLAGTTRNKQHAAGGHIAPGGATATAVAMAAEPSPGQSTSGGTSASPTTSPRSPSAEECNQEEVQCDEAGGASKDVEMEGEEDDELKKLLDTAIGVGGVSSRYAAMEDHGGAGVSPFLNVVEETFVVTPWVTALGDATGWS >cds.KYUSt_chr3.26253 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163307419:163309416:-1 gene:KYUSg_chr3.26253 transcript:KYUSt_chr3.26253 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLEQAALRAPTLEQDQRGAAAFTGNSSRDHGAANIDADAGEETRAPGSAPAVTSRISVQKVCSVVRKFGKFKRNLVEEIGFGGMLKMRMLTRLNLKFSAWLMERVESNELRIDEERILKIKDHDVEKVFGLPCGTRLISPDTPEPSEACIEFLRVSSNLSKGAHSLKAAEAYLLRDDINEDSSKVQIDCFKIAFLVFVVGHLLAPSTKYDYIGIDFWAALNDTSRIKEFNWCRYVLEHLIRAVRKLKSDIRNRHKTIHLVGCHVFLQVFYLDNLDLGPLSKTREGLPRISLFDYESVKKMTEMITNDVGGDTSFAGANFRCAQDVCQSHSEEPFIPETNQADIASDLPCHSPGFGGTEDQHSPINPMLRSSFTETGPEDFSNHLRLKYPSLGNARRPGETVQDSML >cds.KYUSt_chr7.40642 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252257559:252259781:1 gene:KYUSg_chr7.40642 transcript:KYUSt_chr7.40642 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGAEPSPPHEPCPPDEGNELVAITALVIVGVLFLFLLHVLGTLRRRSSHKLLHAVVLGAYTLSYMLASYTLGLMQRSDCYFAEFPVWAVCLLMLLGGTDNLMACNVDEIDNWKSFHMRHLVKAGLVIYIVSVFGDHAPEYKIPLWLILGVNILQSFVRIKSMRMASKSHQASQTIKPIADYMEHEKQLQLASSERPNPTSMKGYRYVVAGEHRLERYLKQLEDVDDEQRDRNKKLVKFTTVEQIYGCKGRLLGSERDLRLKDLCLSMALAKMLNRRFSGLKLAEADLEETKDLVFKGLLGEDKRHERAFRVIEVELGFLYDLYYTRYPYLYHKTPYLALCLPVLMVSFCSWLTSKLFKEKQQYEPGSNVPLDTTLFLMFVVTFLEAFQLCLHMTSGWFKVALILSYVNNRGLQKIACFPHRIIGFLLRLELLRPWEHRLGQYSLLQNCKSTRRPINCLHYVTLCLVNKAKKGRKRGKLAKLSEQLKQAVVDSLVESNGHLTNGVRSLRNNGVHEQISWACDGKATHTILVWHIATAICKIKLDAAMAMKGGSCLSKYCAYIYKCTTRLDAPLSESNKKTLELSELASTMSQYCAYLIAFAPGLLPDHSFDSTSMLDRSIEDVSKLLASLKGAKTMEAKCGEWMNMNNTNENRDVRPVIQGVRLASQLTKKIDDVALQWIVLSDFWAEMMLYIAPCDDAQARAHLDALARGGEFITHLWTLLTHAGVLERDHVGPMSAV >cds.KYUSt_chr2.39517 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245076823:245080345:1 gene:KYUSg_chr2.39517 transcript:KYUSt_chr2.39517 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPLHLLLAALCAISFAAAAANAAGRKMVGVYELGKGDFSVKVTNWGATVTSVVFPDSKGNLGDVVLGYDTIAEYVNGSAYFGALVGRVANRVANARFVLDGKVYHLYANDGKNALHGGHRGFSKVIWTVKEHVSGGDTPHITLYYHSFDGEQGFPGALDVYVTYELSSPYVLSVRMKATALDKATPVNLAQHTYWNLGGQGSGDVLGNTIQLFASHYTPVDSTLIPTGQVAPVAGTPYDLRAPTAVGAHIHQVSGGSSNGSTIYGYDMNYVVDGDEHALRKVAAVRDGASGRGLELWADQPGVQFYTGNFLKDVKGKGGKVYEEYGALCLETQGFPDAVNHPGFPSQIVRPGQGAYKHDMVFKFSF >cds.KYUSt_chr6.25745 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163105743:163109685:-1 gene:KYUSg_chr6.25745 transcript:KYUSt_chr6.25745 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVAGFARSAKLHLLSGSLLLLWKDILTKVADEARIKLFAAFLPWQLAKELKNLDQSPPEGIRVIVNDDDFTSISADIEGPGGTPYENGIFRMKLVLSCDFPQSPPKGFFVTKIFHPNISSRGEICVNTLKKDWNPTHGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEDYARHARLYTSIHALKPKSKPKSGSISESTTAVNVDQSSTNLGETAPSAPTALCATAAATKVLGSNSQDQNAPNEPAVGTSAALPKKEGPVARKAPVDKKKVDARKKSLKRL >cds.KYUSt_chr2.231 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1405281:1408408:-1 gene:KYUSg_chr2.231 transcript:KYUSt_chr2.231 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFTAAKFLAPALPARSGADRAPSFSVSSAMRPLRRVRPAQRLLAVSSDVLAGNKAAPAAAAQPAVTREEALELYEDMVLGRNFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQPDCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSEPHNVLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSSPDGLDVTIAFFGDGTANNGQFFECLNMAQLWKLPIIFVVENNLWAIGMSHLRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIDRARRGEGPTLVEAETYRFRGHSLADPDELRRPDEKSHYAARDPITQLKKYIIEQNLASEAELKSIEKRIDDVVEEAVEFADASPLPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQV >cds.KYUSt_chr4.50819 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314952072:314955071:-1 gene:KYUSg_chr4.50819 transcript:KYUSt_chr4.50819 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPRAGQGPGQPPQATAHPTFASPGLGPAVLAQVAPRPLFHPAQMQPLQPRPPVQQPRPPPFRPPAFRAPQQVLPPSGQLGSQQPQHLQGYGPALQQPAVFAPGANPKPKKNKKKRAAQPAAQVPVGQGPPQQGVAGVQQTAFVPQGQGVPRADFFQQGQYHSQYMPQQAGVSVSIPQSHGFVQPGQFMPQYMPPHAGPPVAPQQQQATSQPQPFPTALPAQPVVVPVETVVNNAAKGKKGVWCWKCSADTHASKDCKVKHYCYVCDKRAHPTQRCPVLRAPRPSALVLGTGALETYFISLPDSVVDDDLVPSQSPVARIVTSGDEVPADVVAKQVARRCTGRQDWKWEAVPNGENEFLVSLPSFADLDRVDGIQVGVPGFGSTLAISKWLSADVPHKFELQKIWLHVDGVPHSVRHFLGLWAVGSLVGKTVDVDLATLRRKGIVRIQVAMLDSIVIQKMLQDSDVFVKSDVLVKFKGFDFRFRREPADFVHDGDFIPLVWVKKDDGDEGGSGNGPDDDAMDTSETRFVPLEVVTPQPQTGGSGSGGTGGVQTAGFAVTPFNPNPQTPLAKEMVAKLRVLSPDLERKSTQSGPLVSAQDLHMALSMATPQSPPLMQDSPSVLGSSGSDRPARGRVHTLGRLTHSASRRASASPPTWAPSSSTPGASGDEVAPPSPRAAATMAAGSEAIAAARPSSCPVQPGQDKDVGVPPAAARDRCVAAMVSAGALDEVQADVSATAMAGGEGSTPATPSPSASPLTDSHATGGGAALVGLGGGSTPSPPPMTNLAGTTATSTPSRPGLVAPIPTRQKGKVAPARSGVATPSRRSTRHGVGADGAAASDEDSLAKAMRRKAATNLDFAGINNSSKSFLTFPTPLISANLSKVGVSLGKSVNAITVSANALRRMEFDRIKFTPTILSKPDFVVSDDDDEEVYSVADGQLLSHLVGEVSEVGLDDVALGSCIELQAAERKSRSSSIKRNAWPNKKAKVNKSPIVSK >cds.KYUSt_chr7.25282 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157633800:157636495:1 gene:KYUSg_chr7.25282 transcript:KYUSt_chr7.25282 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPHKRHSSGGSDPAPPTSSLGSLSISSSAPRGRHLLRPSSNKIIHAAGCVSRWSPLPPFSPDSDDADSFRLEPFACEPVERKTGSKPFVLSLSSPEASSCSSAEAAAAIADRFLPELLAAAERATHHVSPDNEESEVVKLSLVARVGKVLFQRGGSHVSLDSLREAAKAGNEGSKSKVRKSFYTNVPKECSEDMERSVVKLMGLEFDSSKEHYHVKIFDKHRSDSISTMSCKCTLQQDGKLAIHKVELDTIRQLVEDISCLSQDLDLRLMLRTKRILKNLDPEVENAIQSLVSSAILDPNVKGALRWPLGKESIGERFSLVGVWHTNYKAFRNKTLRFKLRHSDWFDHRSSTREVSNEVSFKLIGISNRLQDGNKEVDSVKEMLECDVRMIWDSALCYKMVH >cds.KYUSt_chr4.3692 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21002394:21003252:-1 gene:KYUSg_chr4.3692 transcript:KYUSt_chr4.3692 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWRQGQGRHMGMCGARGGGATQKAAQPDPEEDDAGLFQQTVTADGVPRQNYNDECQMYVSLGEEEQPLLVESQNYDDMTPPVDEDETARPEDLMADFQMNFPQLFLTEEPEMMYGAAGFADQAAPLSNFFENVQQDFWSEYQNDAMMPVVGDLAVPAAQPEDAAAATLIMQTVTAAGEVPRHNSNEGGQMYTSLGEEQPEHDTTAPGEAIYGLPCPAFSPEMIRRCNDDLRMPWPSPSSTPMMFGLY >cds.KYUSt_chr7.18122 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112448949:112450247:-1 gene:KYUSg_chr7.18122 transcript:KYUSt_chr7.18122 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLGKKPDPKRRQCWSTTTVTLILFLITNTTSILLSSGAGASLIRRYEPRTVRIWDDSGALIADLNATRSALESSRAELAGLHARLGTATLLLQTLLADVVTAARASDDQQKQAATGWWARELAGELKLAVGNVAAAGEAALGHACGRFQDELERYMDYKPGGECPSDAALEHLLMRGGCEPLPRRRCRPRSPARYVEPAPLPKSLWTMPPDTSVVWDAYHPCKNYSCLASHGFGFGFDLRGRREKGLWTRDDGALVYSVEKALAAKPKGTVRIGLDMGGGGGTFAARMSERGVTVVTATTNAGAPFGSFVASRGLVPIHVGPAHRLPFFDGTLDVVHAAGELMAGWMVPGDSMALEFALFDVYRVLRPGGLFWLDHFVFPGAQLNATYAPMLERVGFRKLRWNAGRKLDGGAKKNEWYLSALLERPMT >cds.KYUSt_chr4.25912 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162963157:162964053:-1 gene:KYUSg_chr4.25912 transcript:KYUSt_chr4.25912 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASCKPASVMLLLGALLFAATFLAVPAAATGKTGQVAVFWGRNKDEGSLREACDTGTYTIAVISFLDVFGHGNYHLDLSGHDVSTVGADIKYCQSKSILVFLSIGGFGNQYSLPSPQAAADFANYLWNAYMLGTAKGVHRPFGDAFVDGIDFFIQNGAPDNYDELAKRLIEFNKAYRARTPVQLTATPRCRFPDRSVERALSTGLFTRIFVRFYDDAHCAAYWQQEWNKWTAAYPSAQIFVGLPAGEKKVGYVHPKNLQGGLFSVVQNAANYGGVMIWERYDDKRTGYSSFASKWA >cds.KYUSt_chr7.11581 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71221343:71222300:1 gene:KYUSg_chr7.11581 transcript:KYUSt_chr7.11581 gene_biotype:protein_coding transcript_biotype:protein_coding MHITQAHGDGARAGEGGHAAQEKAGRTSADGGRRPAVGVDRPAPHACVPQVTHSRDLEAAAYALEKEARLRFDYRHVSTLLEDGRWRSADEYVSSFLGDARTPAASAALFVVRFQRFVDAIRRGKTAWARRYLDLAVRPVLANHPDGAAAWAGCLRALDADAEALREDHPDDARYRLRCVRNFMRCINYNAQLSRCKLSFFNDERPMRLRGGRATSTRRHARHTRCSAGLRATHRCAPR >cds.KYUSt_chr1.36691 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223908017:223910837:1 gene:KYUSg_chr1.36691 transcript:KYUSt_chr1.36691 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRGRSFGTPTPPSSSSSRQQKQMQSCATPSVFRQSHRSLSPRQAHRKLLVLVHLRCTVVVAGAGAVVGLGSRRQADGQARAWRTYSRGCDAGGGSLLLVGVKVHGELALLLDDQASPLRLVGVMADVLRHLRDSGEESRERPACNTGEQLSMVGWVEADVAREISDIVHPARRVAVAVTWTAVGDVPRWGGCLIGVGPAPGSDLTRLRIASVDRRSDGRDLPIIRETTEANCPATNYGFRASDSPVPSAPPRTHARRHDDDGVRGGIACVWSAAPRGRGENCGTNGPAQKTDPLPVPAARASSFRGKKMVDQCVGRFTVSALLLVPTLPCGLASTYRHRPLSLIPSLQLPLELTPNA >cds.KYUSt_chr2.47699 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298375864:298377593:-1 gene:KYUSg_chr2.47699 transcript:KYUSt_chr2.47699 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKKIENTTSRQVTFSKRRMGLLKKANELAILCDAQVGVIVFSGSGKMFQHVLQWPFSRSNEIEVQIANIFDRYLKAPSTRFDEMDIQQKIIHEMTRMKDESNRLKIIMRQYMGEDLDSLTLQDVSNLEQQIDFSLYKVRLRKQQLLDQQLLGMRHREMHIPEDQGNYMFHMNPVRDQQVQAADMINPKLFPMWDVGEHIYGQDAESSMTTLKLSPQLQEYKLQPLQPNLQETNIHGYVLRLWTHTMESNATELIKDDEQSFLV >cds.KYUSt_chr1.546 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2953315:2958195:1 gene:KYUSg_chr1.546 transcript:KYUSt_chr1.546 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRIGRHTIFPKHRPRPGANVRDDGVGTALFFVRSLHLVSIVLAAILVVVSVGPWGAPGGEPRDIPIGSMPQSLVSITIWSIKALGGPICGFSYVYVDQNGGPIHVGPWGNADPEHTITNIQMGPGEYLYELSGTADDSALLSLKLVTNQHTYEVGAPLEQTTFSMPLKNGKVVAFFGRSDNDHLTALGIYVPVMKGSPVNVGPWGDSGGVPVDITTPVQLKSVTVYSTDSSDGRIYGFSFTYVDLTGQSIHVGPWGTIKGEKHTYNSSCMHVDVMLFDLSLQGEYVNKITGTTAGDNRVTSLKFTTNQERDYGPFGSDRGNAFSVPLPDGELNGAVVGFFGRSGKSHVDLGVYVGLAPNEP >cds.KYUSt_chr1.3429 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20708197:20716477:1 gene:KYUSg_chr1.3429 transcript:KYUSt_chr1.3429 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYRVCCFRRRFRPASNEPSEAIGDLFQSYASDDSGVLGEEALRRFLREVQGEISDDNLEESAREVMAFAAEQKLLKKGGGGLTVEGFHRWLSSDANAALHPRRGVQDDMTLPLSHYFIYTGHNSYLTGNQLSSGCSEAPIIKALRDGVRVIELDLWPNSGKDDVDVLHGRTWTSPVELEKCLEAIKEHAFVSSPYPVILTLEDHLTPHLQAKVAKMIKETFGNTLHLTESEAMSEFPSPEDLKGKIIVSTKPPKEYLQTKSSKEDSQKGGVEEEESVWGDEIPDNKALMAVNREVSEQDTKLYVQEEEEMEKTSQQGVNMEYKRLIAISLTRRKHDMDEDLKVDPDKVSRMSLGETAYEKATMTHGAEIIKFTQKNLLRIFPRTTRITSSNYNPLMGWRYGAQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDFLMNCDKMFDPRSNLPVKTRLKVTVYMGDGWRFDFHKTHFDKFSPPDFYARVGIAGVMADTRMEETKVIMDNWIPTWDHEFVFPLAVPELALLRVEVHESDNHQKDDFGGQTCLPVWELRPGIRSVRLCGRDGEMMRSVKLLMRFEFLWAPLAKHQDKANLLELTRQLKLIHADLVANEKHIQSSRLSTHANAHATEVATAVFLNSIFKCDHRINLARPAIDATMTPDGSTILYAYIILHRRRYPATPCH >cds.KYUSt_chr4.3598 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20442854:20448722:-1 gene:KYUSg_chr4.3598 transcript:KYUSt_chr4.3598 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKSLTISNTSAPVCSAVLRPVIRLAVVMLAFLSSLDDLSEEIDDAQASPCISNGPTKIINGIITCLFFTFPFTFPFTFDILHESITEMSKIAFIDEIIPFFIFFHYNPSFSMLGPTIIAWHETVPKQLRDLWGSPEACFSTSDGMKESDQAHPQIRIADSEENAVMELLGFMYHGKLTSREPTLLLDILMAADKFEVLSCMRHCSQLLTRLRMTTESALLYLEHPCSVLMVAEVQRVVGSDIVMNFPLAGIEAIFSSTDIQVESEDHVYHFLLKWARARYLELEERREILSCRLLPLVRFNHMACTTVQEILACTDDDIDHEKVSKLITEALLHKAYPTVMEGVLSANLIRTCWPFAERGYKTKPVKVVVFDPNFLKAIVYLGLTREECSRLFPLGKIWSQTFYLAGQEFRLVATCKMDKISNSYSFGLYVELLWMPERSKSVMLAFEFAARNNQTGKFVRHPNDYHKVCIFREDDLTLGCDNIFEMPWSTFIADDSLFINDVLHLRADLTVAEIYL >cds.KYUSt_chr4.3553 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20236147:20242074:1 gene:KYUSg_chr4.3553 transcript:KYUSt_chr4.3553 gene_biotype:protein_coding transcript_biotype:protein_coding MFMVIAASVAAFVGLGFAVLIVAVLAVVILWLYGSFWITSTVIIVGGASFLLKHERFALFVTCLYSMYCARSYIGWLGLLLSLNLSFFSSDVLVQFLKKNVDSEKFNDSSRNSEQNSDRSGNFFGGFQQSSKDSTSQSGYGQSSDRGPGDPSTSGAEKELTSEDEVARLLNCTDHYSAFGFRPYEVIDVSLLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQGASQKKGGHGTFYQGFSPSEGVDEGPSGLSRRIACKKCGDFHLWIYTGRPKLQGRWCQDCKEFHQAKDGDGWVEQSFQPVLFGMLHKPDSPHAFVCAESNIFDVTEWFSCQGMRCPANTHKPSFHVNASLAKQGSGKGSTSGPRGGGFPNGANMDGVIDEEFFEWLQNAMQSGAFENSGDPPSPGSGNNAKSSSGGGGGGGNSNKKKRKGKKQCSHCFLTGRCIALALLRLDQLEDPAYALNCPLRDTYDHLEWELQRCSGFRGDDEINYDIAPTEVVKMEKEEPPTVERELFSGDCYISFTDPNVVSFTVSGHDSFTDADYYTFGAPGD >cds.KYUSt_chr3.35787 pep primary_assembly:MPB_Lper_Kyuss_1697:3:224906987:224911556:1 gene:KYUSg_chr3.35787 transcript:KYUSt_chr3.35787 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGAEVTRIGYGCKEELGDAHRQCAEAWFRIKGDRSYVDGHIIYYDQVDTLMWSPLLLENIIEEIGYELAGRMKVFCCIPMLTIEKNELREIIDEVGTNFMNNLVDIGQHYFKIYLDHDQTLKSCTSTQPEMETSQIDVYDPEYIPGTTRQSSRKRTISELVSKVSGEDKESDAENDSDFDCVDSDYEYAADLGEHLALLFSGASRENQPDVAVEMKPPRERLLSLVWCGDQSFTRPETSLGGLGLSNGMIGSAADHHHGS >cds.KYUSt_chr3.29820 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186558447:186562079:1 gene:KYUSg_chr3.29820 transcript:KYUSt_chr3.29820 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKLYGMTMSWNVTRCVAALEEAGIEYDIVPIDFGAGEHKSPEHLARNPFGQMPALQDDDFYVWESRAICKYVCRKSKPELLKEDNLKESAMVDVWLEVEANQYTSALEPIILEYLVRPMFGRARRATDQKIVEDNLVKLKKVLEVYEARLSKCKYLAGDFFSLADLTHVSSTACLAATPQASLFDAYPHVKAWWSSLLARPSVQKVAALKKPYFQSSA >cds.KYUSt_chr4.8664 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52026530:52027657:-1 gene:KYUSg_chr4.8664 transcript:KYUSt_chr4.8664 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVSLSRRRRRWILLAALGTASAYGAYKIYHLPSVAARRRRLARLAAALAAFLDAAASSADAAALVSSDLAAFVRSDADQLPRSVAQLAKLAASREVSSTVSALSQAVAAGVLRGVGSTSDPGSPDKIALADRLVDKLFSESGERLMSAVAGSFARQLVIGFYSAPASPPAETSSPPDWVNVVATGKGQKAISSWLEVLVGTAVGVFIEKTIHINTYQQLFEGLTNPAHDAKVRELLVSVCNGAVETVVKTSHQVISNANAKLYEKGNGNGSDSGSSGGGEGWVDTVSTTLAVPSNRKLVLDVTGRVTFETVRSFLEFVLWKLHDGARKSGDTMFDSGLRAMRYMSDKSMLVATICISLCLHVLNGSRLLVTA >cds.KYUSt_chr5.41232 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260251539:260251781:-1 gene:KYUSg_chr5.41232 transcript:KYUSt_chr5.41232 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPARPTARRRTFPSLRATALMEVATGSFALAEVVAGGGALAEVATGGGALEKVATGGGALAEVLAGGDALAEVLTTPR >cds.KYUSt_chr3.9119 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53121764:53122692:1 gene:KYUSg_chr3.9119 transcript:KYUSt_chr3.9119 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCERAAVKRGPWSPEEDDALRDYMRRYGNNGSWITLPHRAGLRRCGKSCRLRWLNYLRPDIRHGGFTDEEDALIYSLYSQNGSKWSLIASKLERRTDNDVKNHWNTKLKKRLAAASAAAAATFSSSRTDSILPLPAPTPAPTHAHPHPHPSPLLSFSMPTVKAETYTYDGGDFLAPAAALHDPFAAAEGSTSAASSGSNWSAVDNGPADGGGFFADFCTAAASDLDAADQFLTGFYYPLDPTVSLV >cds.KYUSt_chr5.29387 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186261755:186263983:-1 gene:KYUSg_chr5.29387 transcript:KYUSt_chr5.29387 gene_biotype:protein_coding transcript_biotype:protein_coding MDASETGAVATPAVSLDQMLARSKRIDELLKSTSDSLAQIARLQNSTQRIWDSRPQRLKSTEQLVQANTPTSSRTTPLVHVPTMCSTSRVEEKQHLSAHHMFDERSGRQKLTASHVLLVTVTCVLYPVTEKVLHQVFDRYGVNEICVLQHTHSKAVVEFQSWHEASKARGDLNGQCVYDGCCLLDIQYAQSSISIHRLPNSKMVVVDWDRAEVEPLTSSQPRSASSAATTIIDKDLNSKEVVGLQAALLGNSSAVKPMATLALDTNPDTSVNDISDVVAPTPTKGSTDCSEDDNNDDVFTHVAGISIFLELWLDYVDDGIGNSVLTHVGGLSIFLEQPMNSLMESANVISATGYFTKERTTECICDEKFRLPLLLLLNSDEKNDNLWHLQVQEPYKCRDFCCSIYSGWYFQYKKWDPGVWISVAEVTELSTTGTRDTYCCTSGLRKKSTLELPKDSVAITTFFRHGICLCQIIMMLNCTSWKPEANRYVATGEGTRASVQSAKGNIVTSCNTDTLHPGVLMLLESNLQECSYCLRNCQQRMDASSVSKAHINLYRQVTRNSMLPDADLDGTLFLSGCKKFTDLSVLSVALMVQCRADIILELYCGHGWVHLEYELYNCSNYCSFSGFGCYLQCKQCDPGVRYFCLQFLSKFSIHKLCNRTQLDGMPWDPGGVRGRRCPWKQRSSIAWGQAMFFGGGIVTPGYLGRGRPRRHGPRAWWSWAGSTDGCQQKEKHTCRKTSRKLY >cds.KYUSt_chr2.41987 pep primary_assembly:MPB_Lper_Kyuss_1697:2:261462308:261462619:1 gene:KYUSg_chr2.41987 transcript:KYUSt_chr2.41987 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIQRQSQSGLQEKQQRVDAGTPQARAPDQNLQARWSARSRENGHEHDPEASHGADDHRQKQDHREADAEAKHEGHDGGAEPGRENEKKTEADRAAGQQKRA >cds.KYUSt_chr7.14657 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90521240:90521467:1 gene:KYUSg_chr7.14657 transcript:KYUSt_chr7.14657 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLTYLSLVSKVYSELEAHGGVGDRDLSEFIVHLARRSPSVAEFHARIREHDFEAPDYLARTLHTVIHAIPAAR >cds.KYUSt_chr3.17920 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110040701:110046293:1 gene:KYUSg_chr3.17920 transcript:KYUSt_chr3.17920 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSTHQLLQYYSRKSVAASAAAGCFVEMGKKAIRYAVVDAFAAEPFKGNPAAVCLLEDEGAAADERWMQSVAAEFNLSETAFLVRDSSRPAAAAPRFHLRWFTPVTEVDLCGHATLASAHFLFTTVLADHVMVEFMTRSGILTAKKVTAPGSTGVSEEEQGKLFIELDFPMDSFMGCNITGDTPLIPETLNGSSVVSVHKSKADGDLIVPSLTLAIFTSQTLIAKLKILHGFQVELSSAKEVADIVPSIDEIKSLDSRGLIVTGPAPAGSGYDFFTRFFCPKFGIDEDPVTGSIHCVLAPYWGRKLGKQKLTAYQCKFTCNNSTRGNHSVAGVIIRSITRRENATSEISALTDALKPAFLRELVNLAKDNPHPIIIGGDFNFLRYPHEKSKGRFDSHWPFLFNDVIDSLDLKEITMTGRQFTWANSLPDSTYEKLDRVLMDSDWESKFPLVSVRALPRIESLSDHAPIVLTTGTPTTPPKRPFKFELGWLLRERFSDMVKNVWEQHYVAGSPIQRWNCNLRALRKYLGGWARHTAGLLKQEKLSLSSAIDELEAIAEHRRLTTHELDLKNQNNAKLAGLYSNGISDPRLNSYWKGTRIRDTFIVSLMADTERNEFIPWSMRMRRSRVRSI >cds.KYUSt_chr5.22245 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145231524:145235513:-1 gene:KYUSg_chr5.22245 transcript:KYUSt_chr5.22245 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKTAEQIAADVAVLLADVSSSVSTYDHRNAQKPSSDAVSMKSHGDHLHNSENRQFTYKELEKFTNKFERSIGQGGFGLVYYGRLEDDTEVAVKMRSETSSHGLDEFLAEVNSLTKVHHRNLVSLVGYCWEMDHLALVYEYMSQGSLCDLLRGKRGIGETLSWGTRVRIVLESAQGMDYLHKGCSLPIIHRDVKTNNILLGQNFRAKIADFGLCKTYFNDMQTHISTNAAGSAGYMDPEYYHTGWLTESSDVYSFGVVLLEVATGEAPILPGHGHIVQRVKQKIASGNISMVADPKLGGAYDVNSMWKLIDTAMACTSDAAIRRPTMAVVVAQLKESLALEESREDNSVLGSFMSAAGAPVSTFGPSAR >cds.KYUSt_chr3.6989 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40306285:40308571:1 gene:KYUSg_chr3.6989 transcript:KYUSt_chr3.6989 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVEQPNLSDADGGGEDRLSALPDDVLIHILVLVDDAAESARTSILARRWHRRRLWAHLPELNFNFIEHRRIGAALAAHEAPGLGLVFALTMDASPESASAWLPIAARDLYGQIYLEVVVRPEEAEERGGGAIDLPCFDKASCIMLKPGFLRLALPPSGVFARLKDLWLVDFQVAHGECGLGDLLSSQRCPSLQSLVVRNARGLDSFTIRHDSLLDMELSDLDRLQQLTVVAPALQKLKVCGCFADPLNRDMSAANVSAPRLTKLEWTNDYDPSSIQIDIKRMTLLERLNIQLFIQGGLEGDSQHNQYCMMLLRHFDRIHTLDLLITYPPEQTPCPSGCTCDDPPSWRTEELALNCLEEIVILRMRGTEHEVALVQRLFHWATSLKRLEIDFHELIPESKVKELRQLLPSFSRPDVCIDWSDL >cds.KYUSt_chr4.50922 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315739137:315743336:1 gene:KYUSg_chr4.50922 transcript:KYUSt_chr4.50922 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGEGEGAGAAAAAATLHIRGTSGNKFAVRADLGATVGAFKAVVAESCDVPAPQQRLIYKGRILKDDQTLASYGVETDHTIHMVRGAAPPATSPAPAAANHGTSTTANTPPAGFGGLLQGLGTTGSAGSGGLGLAGSGLPGLEQMQQQLTQDPNLMRDILNMPAMQNLINSPDLIRDLIMNNPQMRELVDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGEGDRNANPFAALLGNQGTNQARDPAGDASTTAPVPNTNPLPNPWSANAGAAQGAARPTPAARSATSGGLGGLGSADLGSMLGGGSDASFLNQVLQNPTMMQMMQNIMSNPQSMNQLLNMNPNVRNMMESNTQMREMFQNPEFLRQLTSPETLQQLISFQQALTSQLGQQQAGQERTQAGTNPGNVNLNSLMSMFNGLGAGGHLGALDHVTAALPPEERFATQLAQLQEMGFFDTQENIRALTATYGDVNAAVERLLQNFGQ >cds.KYUSt_chr4.49763 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308207471:308207977:1 gene:KYUSg_chr4.49763 transcript:KYUSt_chr4.49763 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPATATKLTVDEYTAELAGVSVGAPAGVAETAEGVMAEGASVVGAGGEAIGDGDAAVGGVATGAGAVGGVATGAGAMAGDLAGGAGSGAILGAGMGAAPGACAAAVTARRATMAATTAKRAITSVLLVFPGRLCLRCGELGAIARLLRACTMGRCQPSLRAAT >cds.KYUSt_chr4.52594 pep primary_assembly:MPB_Lper_Kyuss_1697:4:326293257:326295213:-1 gene:KYUSg_chr4.52594 transcript:KYUSt_chr4.52594 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCKAPVLSCGAKRGAAAVGSPMGPLAGAVGRWIHRAAVKPPPPPRICGTPGGPPVTAPRVRLSDGRHLAYAESGVSKEEARFKVVFSHGFTGSRLDGIRASPEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPERIAGAAMMAPVVNHWWPSFPADLAAEVYNKQEVGDQWALRVSHHAPGILHWWMDQSWLPTSTVVAGTTPLPNKRDANIRNMIKADGTFEKKKELATQQGIHESYYRDMMVMFGKWEFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRYIASKLSWVNYHELSETGHFLSPVPGLGDTVLRTLFSQS >cds.KYUSt_chr2.41902 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260875814:260879106:1 gene:KYUSg_chr2.41902 transcript:KYUSt_chr2.41902 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSSRGRLSPASGGGDSEPRSAGSRTRSVSATRGRKASPRPGRDATAAVEEKKPAAAPTLLPSLSVPAGMRRQELLLRSGFSLDASCSSDASTDSFCSRASTGRIGRPVSGPRRKKAVPQTDHKLAAMLEREAGSASPGCASGLKRRCAWVTANTDPCYVAFHDEEWGVPVHDDKKLFELLVLSGSLAELTWPTILNKRSVFREVFMDFDPALVSKLSERKIIAPGSPSSSLLSEQKLRGVIENARQILKIIEEFGSFDKYCWSFVNHRPILSTFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVTGMTNDHLVSCYRFAECATTAASAKLTGSGTEANSGGGDHATEQMNGTNGLATDAELSRTIDELSIS >cds.KYUSt_chr7.25772 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160832862:160834404:-1 gene:KYUSg_chr7.25772 transcript:KYUSt_chr7.25772 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSVSDLRQLIAATAEANKAAAEAGQVNAARISDISATLDKLATSQQEVVRNTTKVNNTLDRVIQANAALDRSVVDLKQSMELVASRLDNIEKAATMLSTPGTDQGSGSLRPERHRQQQRHQGVSTGEDHTSSHALEALLAENTSPGAPPEQKKSEEKPPVPSKFDSLRAQRRARGECYKCGEKFSPGHKCPAQVQLHVLEELLEALQITDGAPEVASDGDESDSAGSDTEEMMKLSVHAMSGTTSRRSMRLQGVIGKQSVLILIDSGSSSNFISQHLAAKLQFEATNIPMAKVSVAGGGTIACTKLLPDMTWHT >cds.KYUSt_chr2.19463 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122388228:122391581:1 gene:KYUSg_chr2.19463 transcript:KYUSt_chr2.19463 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGLMVIVMITVFWGQMILQKRKLNIVKQEYFRQHGGLLLFDRMKSDKGLAFNVYSEAELIHATDNFDSSRILGKGGHGTVYKGITKTNMPVAIKRCAVVDERQKKEFGQEMLILSQINHKNVVKLLGCCLEVEVPILVYEFVPNGTLFELIHGKNQALQISFSTLLRIAHEAAEGLSFLHSYASPPIIHGDVKTANILLDDNYMAKVSDFGASILAPSDEEQFVTMVQGTCGYLDPEYMQTCQLTDKSDVYSFGVILLEILTGQLPLKLDGSERQRSLSLIFLSAMKENNLDAVLVSHVKGQESMELLRGLADLAKRCLDMCGENRPSMKEVADELNRLRKLSLHPWVRLDVETDVEGLLGGESTSSYEIELSGYPMSEIYFPEDAFLEAQLGTHPSKIWRAIIDGRDTLKQGLIRRIGTGGMTHPWNDNWLPRDVMMRPIACKKNNPPVTVDAFIDTSSARWNIQLLEEFFLPMDVETICAIPISTAGFEDFWVWHYKRTGVFAIRSTYRRLASVRKRRSAWLNGGTSTSDHRKEERAWTSLWKVQVPSKIKVFLWRLARHSIPTGDVRHRRNMASDSSCSICGELDSWRHSLLECNMSRSVWALAPDSITEHMERTVEPDAKQWIFAMISSLSHEELMRCFVTLWAIWFARRKVIHEGIFQSPLSTHHFARRSETGVFLGASAVVIQGISDPATLEAMACREALSLALNLHEKKVHVASDCLEVINSLKSDYRGRFSSVTHEITLTSADFDVVSFGHEKKEKYY >cds.KYUSt_chr2.50221 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314092308:314092604:-1 gene:KYUSg_chr2.50221 transcript:KYUSt_chr2.50221 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACSSFFFFDAEPLGEAGMPALDACALCTKQLARDSDIFMYRGDTPFCSEDCRDEQMQLDAVRARQAARRLRQYASETEARRGHQEARKVSVVS >cds.KYUSt_chr4.2801 pep primary_assembly:MPB_Lper_Kyuss_1697:4:15917919:15919525:1 gene:KYUSg_chr4.2801 transcript:KYUSt_chr4.2801 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTCVLSTRWKHLPWLLPELSINVEDFLSVPCTEPIEANYMEEAMVSLTKATRSFLSDQQRGCTISSLHLKLYLINTFLCEVGPLVGGAIDNGSLKDLDLTVLDGTEPLDCSDEDMLQRAQEIDTFFHAYPSVLNCLTKLSLQNAGFDNLDMHHLLFDCCKQLKHLRLCYCDTGTYSVFKIDAPNSKLCVLEIDVCRFERLELVCLPKLEKFICITWVASQHVPVWNHVCDVGEFRGDIFRDRKTPQWEMRFDGSENRLLKELEIGGFRALEQQFTFIRSMLERSPNLQKITLLGDDQCDECDALDASLHPSKFPEKDEEEMVAERIRDGLFSPEIIFDEDWSLSI >cds.KYUSt_chr3.19259 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118611432:118612853:-1 gene:KYUSg_chr3.19259 transcript:KYUSt_chr3.19259 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAEPPAPAATSAAAATILDTLGEEVLAVMSPVSICMALVVLLISLLAPPASSPSAPPPVTAATLVYLESPSDSAGQKLVGALLDAAVFVVLVALVTFVLVALYYYRCTGCLKNYMRFSAFFVLFSMGGGIAVAALRRLDAPLDAPTALLLLFNASAVGVLSVFASAVPILVRQGYMVALAVIVAAWLSRLPEWTTWIMLVALALYDLVAVLAPRGPLRMLVELASSRDDELPALIYESRPTVGPPESSSSYAPGVWSEEMQPANSGRSGASQYARVGQQDDMAPAMVEMRDLGGRRSREMARPSGDSTFQIEGGMPTAATTQTSNQVVQPQHAVIQIEQRVEEETAPLVSAASANSSVPTQEQRQVSSSEPPDDEFEMFESSRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICRHALPALPISIMLGVVFYFLTRLLMEPFVVGASTNLVMF >cds.KYUSt_chr2.50117 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313444479:313445072:-1 gene:KYUSg_chr2.50117 transcript:KYUSt_chr2.50117 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLTTMAARRLLQAPTSSSAPGAPTIANDRDIIVILASLLCALICVLSIGLVARCACSRRLGVGPGNAAAANRGVKKSVLRAIPTVAYVAAVPQTGTGKAAAPDEEAAAPKCAICLAEFEDGEPMRVLPQCGHAFHALCVDKWLRGHSSCPSCRRILAVRLPAGERCQRCGARPDPAAARWKPAPHCGEKPNFLP >cds.KYUSt_contig_946.133 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000087.1:940587:941713:1 gene:KYUSg_contig_946.133 transcript:KYUSt_contig_946.133 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLNEVEVDINAPPLDPYRDDDLEGGEDAEGGDEEEEDDDDEDITEIQEEAFTAVARPPVRSSNYTDAEDILLVRAWASVGLDAGTGTDQTGKRYWQRIEDAYLKMKPKRSGFASRSFRSLQGRWDLMKPACARWSAAMDQVMDAPPSGTVESDYEKIAGLRYKEMAGSKGKEFPFKHVWSILQTYDKWKLRDDETAPKKSAMLDMDDPDVEERNLNKPEGTKKAKLRVKMEGEAASLREKMDHMMKAREALATKTLETKLLITEQKKVVKLAHIEAKREEAARKKDMDEEQLQWWKEYKEDIAERKRMFRGSSSTFVVDTTMSDGGVDNSHDGGV >cds.KYUSt_chr7.4403 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26622556:26623983:-1 gene:KYUSg_chr7.4403 transcript:KYUSt_chr7.4403 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVRSRCPVLPDEIIWDEILARLPAMSVIACRRLSRAWADTLSSDDFIDSYHALHGGRPKIFRLQDESHGDVDEEAKSCAPPPMGVAVTADWFPRCVTAFWDKTPAPGSTDPSLAATRCRGLVLLELIPTGIYFVCNPSTGQKRALPEGRTTGCRSPRELSHKYASLGLGYDAPTRRHKVVRVYYRGSDSEGRPASMGCEVYVVNARDEDDSAAGSWRPVTSRPAGWVEPCRPSVFAQGQVYWLGYRKRDPRRGYGRREEKIMIVSFSMSQETFGTVLPPPAMDDEALRMRCLTELGGELCLFSGGSPGHEHRYDVWLLHSTTWDLYCRIEAGMASPEVNRVMRRGRNIYDINTLPLSITDNGHRILLVRNDWPEDVWAYTPLTGDIEKLIDLNNVVHWRNRLLEIAVYEENIASPGRQQPRDIVLTSSLSTQALSLVLRLLPECTLRRLMCVCRSWCTIIAIHLWYVGQGDLD >cds.KYUSt_chr3.30823 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193401024:193404041:-1 gene:KYUSg_chr3.30823 transcript:KYUSt_chr3.30823 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRWIPAAWHQRRLLARLPSRAYSHGRVFDAAARDGELRVFVVAGEVSGDSLASRLMASLRELSPVPVRFAGVGGQLMCKEGLQSLFPMEEIAIMGLWELLPHIYNIKRKIVDTVDAAILFRPHAIVTIDSKGFSFRLLQQLKCRYNQKADSPLHVHYVAPSFWAWKGGESRLSKLRDFVDHMLCILPFEEEICRLNGLPATYVGHPLLDDASGLNVDPELPSDKSVHQRSAEAFRLEYGLSPVV >cds.KYUSt_chr6.5992 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36034707:36035681:-1 gene:KYUSg_chr6.5992 transcript:KYUSt_chr6.5992 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRSKTALLLAAVMLASTAQLCIGARRRMELYRPDPADMLSFHNGGAVLHGDIPVSILWYGKFSAAQKSVIVDFLLSLTAETKAAAAAPSVAQWWSTIDQQYLSPVATTSSNGAGKKTTRVLLANQLSDGAYGAGSMGNSLTLEQIASLAATTKPKKGGIALVFTAEDVAVEGFGMGRCSLHGSDAGAGTAYIWVGNPATQCPGQCAWPFHQPAYGPQNPPLVAPNGDVGVDGMVMNLASMLAGAVTNPFGDAYYQGSSDAPLEACTACTGKFGSGSYPGYAGDLKVDSATGASYNANGARGRKYLLPALFDISTSACSTLV >cds.KYUSt_contig_1181.1303 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:8412636:8412890:-1 gene:KYUSg_contig_1181.1303 transcript:KYUSt_contig_1181.1303 gene_biotype:protein_coding transcript_biotype:protein_coding MFMPTGGKSGGSRYRGGMVSLRRRRPFQLMVLRRLRELKKIVPASTARRREADVDALLRRTAEYICVLELKVAVLRKVSAICGA >cds.KYUSt_chr4.16637 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103423416:103426381:-1 gene:KYUSg_chr4.16637 transcript:KYUSt_chr4.16637 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEPPAATAAEAEKGQQAEQGGGGWGGWGLSVFSEISRSAVEVAKSAMADIQLPPEQEAAGPGDGEEKGKEPEGEEDERRKAALDKLENASEDSLLGQGLKAFDTSVESLTTGTWQALGSAWKSGSLIVQKLETSASSFAETIQQGELPAKASEIAPTILETGKSFTARGMEMLERVGKETMELIIDETGMEVEKGTGEGDQQTEEEPFEEVSFDRCFYIYGGPDQLEELEALSSHYALVFNRKKSKLVAEQKAYYDGKIKEVQQILTLSTKTEEDGPEPDKGKKIEAADTDGDAEMKKLCDSSVSKAAKMAAGFTTALGGLSPSDIIKRTTDRLETIHSEGVHRLSEMCCLAVSQLLVLGKSVISAADTSKNEDGDDVKIDWPEDLVSKAEIIRCRAQSIAGDIEKVSTSFATGISDVAEAYAAAIQNALTDKQGDVPHQSVQEKAKYISSHLKSDQTCAVSKLHDALQYLAYVVVCTSMPSA >cds.KYUSt_chr2.21708 pep primary_assembly:MPB_Lper_Kyuss_1697:2:135003669:135006076:1 gene:KYUSg_chr2.21708 transcript:KYUSt_chr2.21708 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHPCGQNTYEAEKEKRNATLAHCLASCSASNSISDSLAPQQQAAHQIGGFGCFDDLGFGLGGGELWRAAIMMFIATRKRGAALEYLHSLSRAQPARSLACAGHHLAGRSFSTQAATTSSTPQPPPPPPPPEKTHFGDLKDEDRIFTNLYGLYDPFLKGAMKRGDWYRTKDLVIKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKLSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARQEAYASGLLGKNACGSGYDFDVHVHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLYCISGHVNKPCTVEEEMSIPLRELIEKHCGGVRGGWDNLLAIIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVMVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERMKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRDRADRELLTAASA >cds.KYUSt_chr7.28408 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177303033:177303865:1 gene:KYUSg_chr7.28408 transcript:KYUSt_chr7.28408 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSDIVANVHSAIDSSNKTLLKSDALYTYILNTTVFPREPECMRDLRLVTDKHPLGYMQSSADEAQLLGMLIKMAGAKKTIEVGVFTGYSLLATALALPADGKVVAIDTDRDCYEVGRPFIEKAGVTHKVDFREGPGLDRLAELLAEQEQEDGGEATYDFAFVDADKPNYVNYHEQLLRLVRVGGTIIYDNTLWGGTVAMPLGTLMSGLDTRFSAAIRDLNGKLAADPRVEVCQLAIADGVTICRRLV >cds.KYUSt_chr3.35444 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222573454:222575532:1 gene:KYUSg_chr3.35444 transcript:KYUSt_chr3.35444 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPRAAGAVSELAELVLPWLPPADLAAAASASRAMRAAASAVTARRAADFSRGLEAVPVPFDNPIDSKPYAYFLYTPFSLIHPAASASAHAQPWGCARARPPCPTWPRPDLGLPSAGCACAEADCGGAGCPCAGADAEMAGAGLGTLRECGDGCACGASCANRRTQRGVTARLRVVRQLKKGWGLHAAQVLRRAQFVCEYAGEFLTTEETRRRQRLYDELASAGKLSPALLVIREHLPSGRSCMRVNIDATKVGNVARFINHSCDGGNLQPVLVRSSGALLPRLCFFAARDIAEGEEITFSYGDARLKTKGLPCFCESSCCPGVLPAEET >cds.KYUSt_chr3.702 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3645179:3645469:-1 gene:KYUSg_chr3.702 transcript:KYUSt_chr3.702 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRPSAADASFNAAVRRTNPAHAAAPPTPAGLKRLAVGHGLPSQRSRDEIPVRGRGVSNAPSAIWAHPLSSQVPPDSEDESALPEVQADNIDVE >cds.KYUSt_chr3.26401 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164425256:164425612:-1 gene:KYUSg_chr3.26401 transcript:KYUSt_chr3.26401 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMSLLHWKSFWRHGTDGSTWAVVKRLVGRYQWRVFFESVVLGIVGLPRGGDCRSTLDMQAASNESCFSPSQDRSGTCRLPSVMSSLSDVVEAGEQARGNGDRLNVIGETLGLLL >cds.KYUSt_chr7.38288 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238729807:238732015:-1 gene:KYUSg_chr7.38288 transcript:KYUSt_chr7.38288 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRATSLVLARRSAIASVRAPAIAGSAGASTGVLRRAPVFFSTLGKQPLLPPPPSARPRIGISDLSCVSADAGQARMRVEDVMPIATGHEREEIEAELQGKKRFDMDAPVGPFGTKEAPAVIQSHFDKRIVGCPGGEGEDEHDVVWFWLEKEKPHECPVCTQYFKLEVIGNGGNPDGHDDDDDHHHH >cds.KYUSt_chr4.8857 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53171883:53172908:1 gene:KYUSg_chr4.8857 transcript:KYUSt_chr4.8857 gene_biotype:protein_coding transcript_biotype:protein_coding MANARLTGPFCFVYPSTSTLSLPLSLAAASPADMLISPGLAAPPPSQPSHYLTAAGLGGRGLGSAIQPTATTSTTLHYRSRSRPLLHSAPADAAAITRNAPARDTGCRLDRDDLRRICKEPDLEGAVNLLDEMLQQRGRTGASGQLAPEEQAAVLQCYTDPLSLASLRRGHRLLSKSMSQSQHSGIATPILLRLAALYCKLGAPGDAHRVLERPPRPPLPPVNDDGAQAKRKEAYEKVRELHEEIRAVGYVPDTRFVLHDIDEGAKERALMYHSERLAIAFGLVSTPPGTPLRVMKNLRICGDCHNAVKLIAKVTGREIIVRDNKRFHHFKDGACSCGDYW >cds.KYUSt_chr4.18482 pep primary_assembly:MPB_Lper_Kyuss_1697:4:116016744:116018323:1 gene:KYUSg_chr4.18482 transcript:KYUSt_chr4.18482 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRQALFRSLLYEACGRTINPVSGAIGLMWTSNWDLCQAAADAVLRGESLRALSAVPTAFTDRDMAGLYGNVGTNTGSSSSLHSSPENSSSAPSRKRSKNNCGVGVAQQQPINLMPPMLQSCELDLCLTPVSPLGGERRCGGGGASDEYSTTTCCEQEASGDAEAGAPALLNLFS >cds.KYUSt_chr1.11636 pep primary_assembly:MPB_Lper_Kyuss_1697:1:71688769:71690387:1 gene:KYUSg_chr1.11636 transcript:KYUSt_chr1.11636 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKLCASPARKSPLRARYPDRDTCRPATAPCLTSPSIKHRRQSATKKQAYSSLIPALFFPEPQALTASLIFPSQEEEQARGLVEFDSTMATKHVDSFDEHEVVDAGCVRAVLGELVLTFLFVFTGVAAAMAAGVPEVPGAAMPIASLAGVALAQALAAGVLVTAGFHVSGGHLNPAVTLALLARGHITAFRSVLYVAAQLLASSLACILLRYLTGGQDTATPVHSLGAGMGPMQGLVMEVILTFSLLFVVYATILDPRTTVPGYGPMLTGLIVGANTLAGGNFSGASMNPARSFGPALATGVWTNHWIYWVGPLVGGPLAGFVYESVFMVKKTHEPLLGWDF >cds.KYUSt_chr4.50774 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314709792:314714351:-1 gene:KYUSg_chr4.50774 transcript:KYUSt_chr4.50774 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVAPPPLDLTPRHAHAGAAPWPRTARAAIRCCCYARPEPRRLLSPERGEDRRADGNKTAAAAAAGRGRRRLGGLINVPPLPFPSSRRQPKQHDFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGDDLGENGYRCRWARMGGQTQDGSTEWKETWWEKSDWTGYKELGAEKSGKNAEGDSWWEKWKEVLHQDEWSNLARLERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGSVLKWTDKWAETDLGTRWGDKWEEKFFSGIGSRQGETWHASPGGDRWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSNQLLSIQPVERPPGVFPTFDFSSSPSHMDEPPGMMPPSSME >cds.KYUSt_chr6.2583 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14974263:14978390:1 gene:KYUSg_chr6.2583 transcript:KYUSt_chr6.2583 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKGAFVDYKCLKKLVKKIKVARHDEDDSTTAASDADALVAGAGAGGFSVLNPVRALASRFAPRVQASPVGAYCFFLGRYYGRLVLPTCKVLTYVCFRCAFSQEDEESSDSSGELVRPAARHEREFLERAEEELEKVDGFYATQEAELLGRGEALIDQLRILADIKRILADHAASRRARGLLNRTRSMPATAPLSPALSGSGRFLLSVSGLASPQSMSDGSVELQQAQTTEGAAVADEVMAALERNGVSFVGLPGKKDAKKDGSRGRGAGALQMPATVRIDIPATNPGRTALKVWEELVNVLRKDGADPAAAFVHRKKIQHAEKNIRDAFMALHRGLELLKKFSSLNVKAFTKILKKFVKVSEQQRATDLFSEKVKRSSFSTSDKVLQLADEVESLFMKNFAGNDRMVAMKYLKPQQPRSTHMITFLVGLFTGTFVSLFIIYGILAHVSGIFSSAGNTAYMEVVYHVFSMFALISLHCFLYGCNLFMWKSTRINQNFIFDFAPNTALTHRDAFLMSASIMCTVIAALVINLFLRNAGASYANAVPGALLVLSMGVLVCPFNVFYRSTRYCFMRILRNIVFSPFYKVLMADFFMADQLTSQIPLLRHMEFAACYFMAGSFRANPYETCTNSQQYKHLAYVISFLPYYWRAMQCLRRYLEEHDTNQLANAGKYVSAMVAAAVRFKYSATPTPFWKLMVIISSSGATFYQLYWDFVMDWGFFTPKSKNRWLRDELILKTKSVYYVSMVLNLALRLAWTVSVMKIHVSKNQTRLLDFSLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >cds.KYUSt_chr4.50094 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310353048:310356862:-1 gene:KYUSg_chr4.50094 transcript:KYUSt_chr4.50094 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEMPVTVPAFDVSSRRPATAGLVLNSPNPPSLRDELVGVVGKAFRPRRTNGGDGGARRCAWALTALQAVFPVLQWGKTYDLKSFRSDVMAGLTLASLGIPQSIGYANLAKLDPQYGLYTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMVQKIVDPATDPVTYRTLVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLSKFTNSTDVVSVAKAVFSALHDPWHPGNFLIGCSFLIFILATRFIGRRYKKLFWLSAISPLVSVILSTAMVYATRADKHGVKIIREVHAGLNPSSVKQIQLNGPYTTECAKIAIICAVIALTEAIAVGRSFASIKGYKLDGNKEMIAMGCSNIAGSMSSCYVATGSFSRTAVNFSAGARSTVSNIVMAVTVLIALELFMKLLYYTPMAVLASIILSALPGLIDIREAWSIWKVDKMDFLICLGAFVGVLFGSVEIGLGVALAISFAKIIIQSIRPQVEVLGRLQGTNIFCSVRQYPVACRTPAIQVIRIDTSFLCFINATFIKERIIEWVRAEVEASNEKARERVHSVVLDMSNVVNIDTSGLVGLEEIHKDLVSLGIQIAIASPGWQAIQKMKLGHVVDRIGEEWIFLTVSEAVEGCLTEHKGSATEC >cds.KYUSt_chr4.7940 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47331545:47332012:1 gene:KYUSg_chr4.7940 transcript:KYUSt_chr4.7940 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFGTKGIGGRVTFGTTMEGIGAMVTLGTTMVGIVGSVTLGTTMAGIGAMVTLGMGGSTALGTTMDGMGGSVTCGTVGRVTDGMGGIRVTVSPGTAGRVGTAGSAGTALAAGAAAAGVVSARWRAAWQVVPARRSAHAMTMANIFPLEAMARAG >cds.KYUSt_chr3.48069 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300977078:300981211:1 gene:KYUSg_chr3.48069 transcript:KYUSt_chr3.48069 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGKNPPGDEGAPIPTRPARVRVIRPEVEEVLRSPRRGRPKLHQQHSEDDNGAAPPRYDCAFQAEKEGLSGEFAPPDMVWCKVKSHPWWPAQVFDAADASERALQHARSGGGAPLVAYFWDKTFAWSDPAALLPFRANFARLAGQNTIFNYVSAVHTALEEVERRVEAGLSCACVPNARKRQEVQNSGVREGAYGAVVDDAYMRDTFHAKPFVDYIAALASSPLAGADRLDLATAKAQLRSFNRLRGPRELPEFVTFQGIEDVPTETTTPNTKRKRTEDDVPSKEKKPRNGGSSLRKRKEKAVDEESPVPRKSAARKKTSKDVEKTESHVKKDVMVEDSSKQTKSKIRSSKSSAEKKKKIDSSKDTDKGESLPEPAEEGAANEGSSMPSGEETDGKLSKGRKSKISKSSAKMKKKGISKDTDKKESVPEPAVEDATNEDSNMPSGGATDGTLSKEMKSKISKSSAKMKKKDISKDADKKESVPEPAEEDATNEDSNMPSGGATDGTLSKRRKSNISKSSAKTKKKDASKNADKKESPPEPAVEDATNDESSMPSGEAIDGALIKGRKSKISKGSTKTKKKDISKDADKKKSLPDPAKEEATGDDSSTPRSTDGTVSKKRNSKVSKSPVKKKQDTSKDTDGVETVGGSKTPVKKTVDGILTESKSERRLRSMHKTEDASEGSKGPMKDDVGETTKGKDKDAALLKENNLGRRASSARQNDKITADGDGLADSSVKESASPRKKKPQLGETSATKDAPISISEHGRKRKKVSELMAETSTPNRSPGGKSKAQGKRSLPALTEKPDETDRDPEDTVKTRGKRSLPASTEKSEDPGRDPKGTMKIRGKLSLPASAEKCENPDRDTKDTMKTRGKRSLPKSTEEPDDPDRDTKDTMETRKRKKIDTLGDLSSQPQPLSPKRPTKVREVMPKAAGKKSQTSSVVKANDVTLGDLSSQPQPLSPKRSTRSREATRQAAELKPHTSPAVEANGEASQTRSRRAKTSEETVPDKSPRPVKPNKGKKGATTEDSLSCGEMHSQLRLAASDLKKVGKITPASVSFFTEFSKSSCPSSSDVEKEIPVQAANTDSYASSPHADEQMPEEAANKVASPAADVEIPEKAANKVASPPADEEIPEKAANKVAIPPADEEIPERAANKVASPRTEEIPEKVANSEPSPSELPVADHMKDDYWADILINVEEPISSLKKKKDKSKSKTSKTKELQAEDAATKEPSVSMGNVEEPNKKAESKQDTMENGEAEVKPPSVANGGQPKAEETTENLPLVGLVLHFTRPDAVPSHSDLIKIFSQYGPVSEARTQTGNTTNSATVIFKRRMDAEGAFGSAGKMTSVLGPGLASFRLTDFPSSGGGAAASGNRPRQGASE >cds.KYUSt_chr3.48898 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305525278:305531197:1 gene:KYUSg_chr3.48898 transcript:KYUSt_chr3.48898 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRSSRLRRCRLGGLSLTRLGLGLFGWSLDSAQYRFRLSGPAGNGMSGACTDRTSPSAFARAGGHRVHLASGLEPSSWRSSADHFRVLLSTSRRTPRPPCQWPRAFELAVLSDHFRHRPAAFASSGWLRLRRIARLRHRRIARLRLRRLARLRLRRLVRLRLRRIAGCASAASSGCAFAASSGWLRLIVRLRLRRLVRLAAPSPPRPAAPPPPRPAGCAFAASSGWLRLIVRLRLRRIVRLAAPSPPRPAGCAFAASSGRAFAASSGWLRLAASSGCAFAASPGCASAASSGWLRLSPHRPAGFASSSGCAFAASSGWLRLRRLVRLRLRRLVRLAAPSPHRPAGFASSSGWLRLRRLVRLAAPSPPRPAAPSLPRPAGCAFAASSGCAFAASPAAPPPPRPAAPSPPRLAGFASSSGCAFAASSGWLRFAASSGCASAASSGWLRLRRIVRLASPHRPAALSPPRPAGCAFAASSGCASAASSGWLRLRRIVRLASPHRLAAPPPPRPAGCAFAASSGCASAASSGWLRLRRIVRLPSPHRLAAPSPSRPGCALSGCDLPPRLAGPSRLSSDCDFVASSGCVFAASSGCASSGCAFVVSSGCALSGCDFVASFGCALSGCDFVASSGCAFVASSGCAFRASSGCASSGCAFVVSSGCAFVASAGCALSGCDFVASSGCAFAAPAGCASASSRRLVRLRPRRLVRLRLRASSGCAFVASSGCAFIASSGCAFAASSGLRLRRLVRLRLRASSSCAFTAAPSPPRPAAPSPPRPAAPSPHRPAAPQPRPAASSGCALAASSGCALAAIIRWRRPEFVRTGPFLIIVTAAAFNAAGIAALSGHGFTAAAFNAAGIAALFGQVLTTAAFNAASFVSAPALAAAGFFTIIAIAAGNAAATFPVDAAAGFASAASLRLQQRPRA >cds.KYUSt_chr1.30139 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182404694:182408881:1 gene:KYUSg_chr1.30139 transcript:KYUSt_chr1.30139 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATPRLLLSPTSRDLLTGSSFASPPSPSSPDPASPLDAFASDPVLSAFLSPSFSPSDFSSAALSSGLAASRAEQLQEAIRLLRRHLRAEVLRRHPLLLSHLLSLRSANASLSTLPSNLRLLFSHLSLLSSHLSAPRSHLALSSSSLSSLLATADLLLHSHRLVRLSTRLLASSPAPDLARQAELHREIRLLYDEKNLSGINAVDEEMRKVDAAASKLRSEASAVIDRGIAESNQNDVWCGLQVYYNLGELKPAVEGLVGKCKAAGAKSVTVALDMKAISMAGGGGGPGGVQRSGTPQLGGSKRAADALWERMRQCMEDLHRAVTAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLLTERVWDAIVKAFASQLKSVFTASSFVKEIFTLGYPRLFSMIENLLERISRDTDVKGTLPALTLEGKDHMVAAIGIFQNAFLALSQSRLSDYINSIFPMSNRGSIPSKDQISRLISRIQEEIEVVRTHGHLLLLVLREIGKILLLLAQRAEYQISTGPEARQVTGTVTPAQLKNFALCLHLHEVHTRISSILSTLPNVASEVLSPSLGVIYGVACDSVTSLFQAMLDRLESCILQMHEQDFGAHGMDGGMDNNASAYMEELQKCAIHFRSEFLSKLLPSSASRSETICTIMVRRMASRILIFFIRHASLVRPLSEAGKLRMARDMAELELAVGQNLFPVEQLGPPYRALRAFRPVLFLETSQLENSPLLQDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYEMKVRSRGDKEFSPVYPLMCQIGSALSQATP >cds.KYUSt_chr7.12313 pep primary_assembly:MPB_Lper_Kyuss_1697:7:75728860:75729357:-1 gene:KYUSg_chr7.12313 transcript:KYUSt_chr7.12313 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYGLAQGPVGFGADIQAPQCRCRTVPPPRAAATTAATRTSPTTSTCATTTRSLRDPSTRRSVESAKVAADPDPYTDDDDTNDGLTIITPNRQHKTHSKPKQCRRVNDEVQHHDVVEQGPEGGLGLLHLGVPPKLTPAPFPSPRRRPYRPRAPPPRAARPPPRP >cds.KYUSt_chr3.15420 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94376754:94377443:-1 gene:KYUSg_chr3.15420 transcript:KYUSt_chr3.15420 gene_biotype:protein_coding transcript_biotype:protein_coding MHFACLRCQVRRHVPGRTPSRTQTSAIPHPSRSIPQADPAPLQPCCCSHLPFTKPLRPCTDAASASATPSTSKAWLFSTSSSATPLNATLHLSVSKLSSRRTHESVSATMQHVGASPSSFASAHSSLAVAVFRRLAKLFEHGRDLPRPAHIRLHHRRRRTPPATLRFAKNLSSRSSLTLRRQRVPAAFCITDSLLPSTMSAFSSRYRWLFSMRLLPALLHRSAGKPLPC >cds.KYUSt_chr7.29165 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181538239:181538619:1 gene:KYUSg_chr7.29165 transcript:KYUSt_chr7.29165 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRRNSPGSKKHTDTEICKSEQRDREAKLNRPPREIHHGQGKTSKNPGPGQDKMRKKRAPHLKLKEEAGGCEDVGKDLVELARDSGGDSSRIGAAVVVVEEWLGGGSRTNNYGGGRRAVDDMGWG >cds.KYUSt_chr6.1467 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9016353:9016622:-1 gene:KYUSg_chr6.1467 transcript:KYUSt_chr6.1467 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNIIKKKVEFHDVDKCECKYTIECDGIDTSTWNIKMKPTSNGGSMAKVECKSKGVEGKDMMLKAKDSAAEMFKTVEAYLIANPDPYN >cds.KYUSt_chr6.6188 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37346235:37347668:1 gene:KYUSg_chr6.6188 transcript:KYUSt_chr6.6188 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKQILKIGTGPNPVKGQKVTVHCTGYGKDGDLTKKFWSTKDPGQEPFAFNIGLGKVIKGWDEGVLSMQLGEVARLTCTPDYAYGAGGFPAWGIRPNSVLVFEIEVLSAS >cds.KYUSt_chr1.29310 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177381923:177382540:-1 gene:KYUSg_chr1.29310 transcript:KYUSt_chr1.29310 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLAALLLLLPLAAGQRFRGFSYLLDCGAPASTTDTRGLRWDPDAPYVTAGTARALPLQGLLDPTLATLREFPRRPGAKYCYEFPVDPNRRYILRPTFFYGTASPPPPAFDLIVDGTFWTAVDTADDRLAGSASRYEAVFPAAGRSMSFCLGANPNYTEAGPFINALQVIQLDDPVYNATDFRTSVMGLIARTKFGSTGQVER >cds.KYUSt_chr3.10734 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63826604:63828694:-1 gene:KYUSg_chr3.10734 transcript:KYUSt_chr3.10734 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCRWTWTGGLAGGPHLLAHTTFAIKASSRVRQAGTVTTDLSSGREIREESCDAPSEVTGAIKERALTPATCPVPTRRELRRSARRSQIQPKPSNNQSPDPSARQPMDSDGGPPLKPPDAAAPPSGSEQQQQQGQEDGKHPPPSLPDDALANIFGRLAPRCVAASRCVCRPWRAAIDARRLLRADLLPLTLRGIFLHFHTHKFPAFFARPGGAPALTGRLSFLPYASPAACAWRVGETYRTREKYAIKDHCNGLLLIDTYVVNPATRRWDALPPGPPGRVQFFVSTDQDLLEDATPVPEAINTYLLFDPTVSPHYQVLRICSLSRMGSMDVLGYDDAQCPASSCTLNVLSSSTGCWEERRFVREGGTAGTVAEVQARPRSGAASTHEEPSTCIASPISFSGAYLLLPIDSVNPNAISLSSNTYRVIRPPPGYADNRYPHPYLQRSQNGVYFLSLDNYLLRVWILTESSSCGQMEWVLKHDIDLEPVFAPWCPPQPRAPWMLKGINHNPFCIHFPEANKDDMVQDKYEWSSDSDDVLENGDDVVVNEGAIEECSSKDNKKAILQEKCESNSSDDLSEERCWNEEVCELDMLGLHPFKEVLFLSDLASKGLAYHLNTSKIEWLGAIYPEKYHRSGGYRDEVDRVKYAFAYTPCWMEDFPSNN >cds.KYUSt_contig_2595.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000405.1:74742:75029:-1 gene:KYUSg_contig_2595.15 transcript:KYUSt_contig_2595.15 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEAPTPAQAPVCSIVKEAPAPADSKPVEPPVCSIVKEAPAPATGSTPKKKICCACPDTKRLRDECIVEYGESACTKWIEAHKICLRAEGFKV >cds.KYUSt_chr3.26200 pep primary_assembly:MPB_Lper_Kyuss_1697:3:162967655:162977130:-1 gene:KYUSg_chr3.26200 transcript:KYUSt_chr3.26200 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDEAVSRLLSQDTFQEVKNKRDKKKEVNKGTPEPRPRGTNNSSRATRGGTDRANRSSSVQSVSSGADNMASRSSILGPGVPSTNSSQKLTVPSSFVSKDAVPDVSFGALQPSSGFQQNWCGVPGQMSMADIVKMGRPQGRSSSKPTAKTDKAFAHQNPSLSKEANLNSKQSASRALPTTFDQRFPALPDHIPQVLNSSHASADSHQTHENVWFPQDELPSQRQFTAPEPSGDPSLSAASFESPVLVDPAELHEKSHAEDNTSSGMQTTIPSGRHLEVLHDNSQFIDGLLQNSSTYQSQMHSYDDEVEVCDVDVESAATNFQHLRLQNEDPAVANSSDDNPAVIIPDHLQLENTDCAHLSFGSFESGAFSGLLPSKVPKYGAEEVPIPDEQSVDQIDGRNQDYDDSGALHSSANEDVEARIGTNTENIDVPSVSQPDILIQGALDVSGLQYNPPSVSDHVYQNTTQPSIVESQQGNTQAQDLSHFSSFLLHQANPMQNNFVGSNLTPHRDFDFSPFLSPQSAMKYNPAVPTTSYPISMQESLSQGGFSNTRSTQNFPSTSIPSGLPHPQQLPVHPYPQPALPLGPFASLVGYPYLPQNFYVPPPTFQQAYASNGPFQQSGGGDAAVPESAMKYSMPQYKSSLPATSVPQHSPVVSGYGGFGSSSNIPNFGQNQSAPSPAATMGTDEALSAQFKEANHYMALQQQSDNSAMWLHGAAGSRAVSAVPPGNCYGFQGQSAQGGFRQAQQPSQYGGLGYPSFYQSQAGLPQELPQNPAEGRLNSSQTAPSQPSHQMWQHSY >cds.KYUSt_chr6.20963 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132190062:132190430:1 gene:KYUSg_chr6.20963 transcript:KYUSt_chr6.20963 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAAWAALAIRRFASSASRPALSKAATSLLPATALLSAGTRLSLQPTAGAAAAALCARRGYAGGARKAKAAVSEDEDEEDDDFEAMGSDGEFDGDFDEVDLEDDDSEDCDDEPVKKRGRC >cds.KYUSt_chr4.28047 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176193550:176203089:-1 gene:KYUSg_chr4.28047 transcript:KYUSt_chr4.28047 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSTTTKGRREASINTVNDVLVGATSAALSRYYFRKSGNSNSDKIRLRSVLPVNLRSTTSLQTNVNMIESGKSDDVAWGNQLGYIILPFHLAMHDDPLAYIRKAKKTLDRKKSSLEVVFTCKMSELFLKMFGVKAKEDSDMLLEWRGGPFTTTGDMAVFVGATVEPLLKAAMIVATSITMFFILVIPQYSLQSVALIMHYQSYGSTIKVILSVDKEIFPDYSQLLDDFAVSFRCGCLVVEEPSVPLLPKLPPDKNDRERGRKARKGLLPDSSGVTAFEEDVPGGLRLTSAELTGRPREIDTVSSEVPGDRVHGPRKEGNVLQHAPKGDEQGHVLDGESGNGNIRNPSVIGQSVVDSAVFPFGHLVDERGDELRCSGVQPG >cds.KYUSt_chr5.18683 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120839315:120840785:-1 gene:KYUSg_chr5.18683 transcript:KYUSt_chr5.18683 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRCLPELIRRLCFSCSVAANGPLTARGRHGMEWHDLEVKYNCGGAATRCPGSTPIQTTTSLSSAESRSDLWPPPSAEISTWHPRNRSTSLSLPDQYRLISKQLKLDNHSPSHPWLGLSSHAHLRSAPSSEWSSPRSRSGSRGLIGWSSDPPPFRRQPLAFGVAVLVNVTRGGDCNSTLFAEFQSVLDDEEEPMEEDVSILTEE >cds.KYUSt_chr4.19433 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122157434:122163128:1 gene:KYUSg_chr4.19433 transcript:KYUSt_chr4.19433 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASPVFRRQLFLLRALAPTCAHGGRASSSSLQVHAMSAAAGPVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTKDAATVIKSYSPELIVHPILEESYSVREDERESVSSSILAEVTKWMERFDCIVVGPGLGRDSFLMDCVSNIMRHARQANIPTVVDGDGLFLITNNIGLVEDNPLAILTPNVYEYKRLIQKVLNCEVNEENASEQLTALCQKIGGVTIMRKGKADLISDGKKVTQVSTFGSPRRCGGQGDILSGSVAVFASWGRQFLLSNEQPTEKSVNPMILGCIAGSLLLRKAALLAFEKNKRSTVTTDIIEFLGQSLEDICPAVR >cds.KYUSt_chr6.26999 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171140726:171141744:1 gene:KYUSg_chr6.26999 transcript:KYUSt_chr6.26999 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPGALAGRRRRGDIPVQPPGEDTPVPDSSEKIYISAVALLKMLKHARGGVPMEVMGLLLGEFVDEYTVTVVDVFAMPQSSTGVSVEAIDPAFQAGMEGMLRQTGRPEMVVGWYHSHPGFGCWLSDTDIRTQRSFEQVNPRAVAVVVDPIQSVKGKVVMDGFRLADQATAVMLGEPRQTTSNVGRIVQPSAGAVVKMLWMFYYPLIIGHRMGEGEERMLACLSRKRCSDGLVLRWFDDEKNAAAVRAMRDLAVEYDAQVREEDGTPPEMLAVVRAGRTDAKKQLGEKAVAAMSANILQTLGMMLDAVAF >cds.KYUSt_contig_7463.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001544.1:57078:57633:-1 gene:KYUSg_contig_7463.9 transcript:KYUSt_contig_7463.9 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRPQVPAFGEWNYCYHHYGEPPAAECYYAPVPEPEQEACSDVWFRYSPPPRKATPKKSRRPEVDVAHREKGGGRRARAPEAGGMARATAIKTRGASGSRVVRPVDEDLYQVPPPELVSSHRRPRRKRRSLLMGCLGLNSCVV >cds.KYUSt_chr1.11653 pep primary_assembly:MPB_Lper_Kyuss_1697:1:71815645:71826275:1 gene:KYUSg_chr1.11653 transcript:KYUSt_chr1.11653 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKQLRDWVSDKLMSVQGYSTSVLVKYVIGLAKECSSTGDLVGKLVEYGFSSTAETRSFAADIYAKVPRKGRGISDYQKQEREAAKLVQKQSTYKLLADEEEDDVDNHTSTTATASSKSRKHFRRKAEDQDDGKDDDETVAHNSERSVRRRTEEVDDEDGNDALDEEQEIIRDQQERAQLEKNMKERDAAHTRKLMERQLSKEEQEELIRRAEAMDKNDTSDLRNYSRQAYLQKRRDKKIDEIRDEIIDHEYIFQDVKLTEAEENDFRYKKKIYDLVKEHVDNTDDVPEYRMPEAYDMGEGVNQEKRFSVAMQRYKDPEGKDKMNPFAEQEAWEEHQIGKSKLQFGSKDKKQSGDEYQYIFDDDQIDFVKSSVIEGTQFEDDSDQETIDAKDILKRELQDERKTLPIYKFRDELLKAVDEYQVIVIVGETGSGKTTQIPQYLHEAGYTARGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTMIKYMTDGMLLREFLGEPDLAGYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEVHYTKAPEADYIDAAIVTILQIHVTQPPGDILVFLTGQEEIETVDEILKHKTRGLGTKIPELNICPIYANLPTELQAKIFETTPEGSRKVVLATNIAETSLTIDGIKYVIDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKSIVAAEKYKCSDEVISIASMLSIGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETDFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEVCSNASDLDAIKKAITSGFFHHSARLQKNGSYRTVKNPQTVFVHPSSGLAQLLPRWVIYHELVLTTKEYMRQVTELKPEWLVEIAPHYYQLKDVDDGSRGSQSPLESLDSGDDIIQSSMEAPDLRYELQESPPIKMKNPDSSDDEDDAPALPLTSGDYAEEATVIELVALIIA >cds.KYUSt_chr4.25202 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158383846:158384232:-1 gene:KYUSg_chr4.25202 transcript:KYUSt_chr4.25202 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTPLQQELLSDTAIFAVFFIVSAMVFWCLHWQRRKELAKMIDYVSSYTRPQGQGSGGASTTGGEPAAEECAVCLGALEDGDVCCVLPACRHEFHRECMRRWFMTGKTNCPLCRTKVQPPAVAEIV >cds.KYUSt_chr4.35587 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218525062:218530710:-1 gene:KYUSg_chr4.35587 transcript:KYUSt_chr4.35587 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYSKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYTPPPSTFNVLLGSYWFDKPWFLTEGKLTVVRITPSSWGSQRTRVERKDIRQPRTTGTKRSAALGELLLAHLCSKFVHDPLQRPPPRPQLRPELLASADVRPSLAEQAMLLRSELQDCLAKVDCFLVRAGAALGASPGAHEACPSIELGVGSAEVGDEGLYGAFSPRAIPCPLQQPHVSDASKSEVIAPVTLMMPELKLREFCGESASPSSLVHLDMDSLEPSVVVSMPQSPKLNQSLAFVDFEVLFAKELCDLLSSSEMAIPRSSEEIVHLLSEKDYGDKTKKVKEYLKRKSKKSSTTRRASAAA >cds.KYUSt_chr4.1508 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7958865:7960427:-1 gene:KYUSg_chr4.1508 transcript:KYUSt_chr4.1508 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRYAATLAAAVLAVVVFVDCRLATELPIPAAYDNAFAALKAAVGVVGDLKHCRVGALPRPWASEGEPHARGRGISRAPGPVWKECKVAMAPFGTSATSTTLLLSVLLCVHLCSEVCVLQCGTAAIVNNLPQVMVAYGGTGNSYPQSADHVANSLTAASSSALPYPSGDVPALSDHGTHLPSETSTESIVADGLPPVFSPYENIFIKWWMVPVVLAALIAMGAGARAVPGLVHRFRSPRANQHQ >cds.KYUSt_chr3.36294 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228277206:228278481:1 gene:KYUSg_chr3.36294 transcript:KYUSt_chr3.36294 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADIPLAMRLYHPHLLLHSYYLLELLMLKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >cds.KYUSt_chr7.7933 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47897405:47898568:1 gene:KYUSg_chr7.7933 transcript:KYUSt_chr7.7933 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRQHVAYLIETLETKELAMRRDCRLPFDGRNSLDAAGALLFDAREVVVRLADEDNSSCSKREYMSAIKFAVRAELHHLREFITTAATELRPMVDKMRDLIAFFSSL >cds.KYUSt_chr5.7986 pep primary_assembly:MPB_Lper_Kyuss_1697:5:50418140:50422535:1 gene:KYUSg_chr5.7986 transcript:KYUSt_chr5.7986 gene_biotype:protein_coding transcript_biotype:protein_coding MAWATRFLSAVCFFAAGVLFAPDVLLGARSGSGATAVTAAKVSHLLCFATSWGAALWATFIGGIIMFKNLPRHQFGNLQGKMFPAYFTLISACAAISVAAFAYLHPWKAASAVERYQLGFLISALGFNLSNLLVFTPMTIEMMKKRHKIERELSIGDEVGWSKNVKSAKSNPTLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWYLASKLEL >cds.KYUSt_chr6.9217 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56823276:56828727:-1 gene:KYUSg_chr6.9217 transcript:KYUSt_chr6.9217 gene_biotype:protein_coding transcript_biotype:protein_coding MNYILRRTGSDLFRLLKRYSYKPAFRPKPAPPLQTATRHYHVYPQRSEITAPSRALVLTRQCPAIRRLLAPLVRTWIPHCRYQASPPRPELIHFTRGVGRLPWFLDWRKVTARFLLPGAAAIAAYYCSLEAVPYTHRTHFILLPPRVERWLGELLFDNTKEDEADKILPPDHDESVRLRRLTSEIVSAAERTLVGPVQRDEHEHRWITKRYRNHVKAPPMTRHLDGLDWEVIVIKDDTVNAMCLPGGKIMVYTGFLDHFKTDAEVAAVLGHEVGHVIARHTAEMASKSLVSMFMRTGIRQFFDNPRLVKYVSKFLQELPLSRKLEIEADHIGMLLLAAAGFDPYIAIEVQEKLGKIGGDSELQNYFSTHPSSKKRAKYLLKDKVMEEALELCRESSVNGSIILHAYIIPHLSSIPCSTMPPSLSANNVVDEYHFTDIRQHPAAAPKTMPQRVAHPQLAECSAKTGKDDAFEKDYQPGRPPPTKKMTTTTMPGAAAPGFLMTRGMPTNASLPRRQSHDRQIVTHPGPNGPRLDPIHAAAASRRQIDAIAIASLRRRIKERSGTRRRRALQVVGARG >cds.KYUSt_chr6.3159 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18527704:18529365:-1 gene:KYUSg_chr6.3159 transcript:KYUSt_chr6.3159 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETFECAGDAAAFLLSRLPHSDSAADAGRAAFVDAATGRALSFAALRRAALSLASALRLGLGLRRGDAVLLLLSPEDDPLLLPPILLGVLAAGCVVVAAHPDAAAHASGAAIVVAGPEAAKKVAGVIAAPLLLTSRSLDPRRLSAEELMDGGDPASALDALAADQPGPWDAAIVVYSSAGGKPVMTTHADLVAAVAAAGASSQDEGRVCLASLPAWGAGVHGGLPLLALGLPAAGVTTVLLPPSADLRDAVATHGATDLVVTPEAAAVLAASMAPQGKLAGLRRVTVVAPAPLADDARQEFRRRLPWVELTVLSDAPETETAAEQVQVAPAELGALLLGQPETASPLAIQIQHKGKMADQMSATNEATSLVAPLQKKIQKTVMADILAKSIAGKFLRKHPVASDKQAVSKL >cds.KYUSt_chr7.9608 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58763219:58765966:-1 gene:KYUSg_chr7.9608 transcript:KYUSt_chr7.9608 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLTDECNPPAPPDSSGSMEDASDQTARAPPAGECEWREELRQQQSQVEALRDRLVEVKVGMRRSEDDSGRELEHLCRRVKAIATLLAYLKSKARIMAIPHLAHTSCGIRAQDGVGFVDRHGVPLADWSKAAEPGSGEGADANEGDGDVDDILKSIRVVTDVMESLVKRVIVAESETANEKEKVRIGLEEIRRKTMQVESMSVKVEEMEKFAVGTNGMLNDMRQRVEDMVLETTRQRERAAENEEELSRVKTDFESLRTYVSTLVSVRETLLSSEKQFETMEKLFDRLVARTNQLETEKAQKEAEVQKVMEENVRLRAMVDKKDAQLQAMSEQCKFMALNRPN >cds.KYUSt_contig_5710.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001139.1:178262:178693:1 gene:KYUSg_contig_5710.18 transcript:KYUSt_contig_5710.18 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVELYVKQTAMGPWLRRWSRHEGARGEDDEPGHLHQPLCLHDIHLPQECWPVELPLFWRRDGREAEPSAPQSALSHTAAETSEEEKDKKAEPSVPRRCTAAKMGEERGGRRHSQRVPLDAAAHGPRQHPFFSPLVVLIWL >cds.KYUSt_chr3.18178 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111703289:111704638:1 gene:KYUSg_chr3.18178 transcript:KYUSt_chr3.18178 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMVSLVVLCLVSPLLLAGATRGNPWYGGLFPQFYDHSCPQAKEIVHSIVAQAVARETRMAASLVRLHFHDCFVKGCDASVLLDNSTNIVSEKGSNPNKNSIRGFEVVDQIKAALEAACPGTVSCADILALTARDSTILVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRLGLNLVDVVALSGGHTIGLSRCTNFRQRLYNQSGNGLADSTLDVSYAAQLRQGCPRSGGDNNLFPLDVVTSTKFDNFYFKNILAGRGLLSSDEVLLTKSAETAALVKAYANDVDLFFQHFAQSMVNMGNISPLTGSHGEIRKNCRRLNNYH >cds.KYUSt_chr4.47206 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292158765:292166619:-1 gene:KYUSg_chr4.47206 transcript:KYUSt_chr4.47206 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRYAARLLASSAAASPPPPPGAAPWLHAAADDCAFCDLTRGSDPQVAPDGIKHKGHTRIASPEPEAESDSDDLAQRPPAPQEWVPAQRCEIDHAQGELKSAGLPPQPHAPKESIPAQRCEMDYEQSASGDAAGIEVTGRAAAKPEYMEETTLSSELATEPAVKRGAFVVDVATGEQEAGGTTPLVNVSVADPEATGEVSLVSGSIAGPEVAMGVPSAGEAAAEREVPEGVSLASGSIAVPEFIMGASLAGKAVAQREVSEEVIRGAAFADEAVAEREVYEGVSLESGSVAEPQIIGGASLADREISGGVSLSSEATTEAATVVTALGVTAGVPLDTEGIAEPDCITGASPVDESAAEIDKMEASSSVNEAAAEMDKMEASSVNESAAEMDKMEASSVNESAAEMGVTRPGSFVIEAATDPGLPERVSLPNEAATEREVSEGVSPASEAAAEPADVVTVLKVTTGTPLHGEGVADTDMGASSVSESAAEMDFTLLGSLVTEAATRPGLPELVPLANENATDRVLTAGVILVTETATESPDIVTASGVTTGSPIDNEGIPEPDIKMEARLVNESSAEMDVIRLDSHVIEAAADPGHSERDSLANKTTTEREVSEGVSLVTEAATEPADVVTALEVTTGAPLDNAGAAVPETKMEASLVNESATQMDCVRLGSPTIEGTTHPRLAERVSLVSEVVTEAGIIGAANLDTEVFVEPEATGGPSLVNECTELEIAEGVSIAKEAAAEQEVTGEAYVCSEDADAALNKTQPLDCDLDCATVQVENVGVSVRDEVQPSRDDAADEVVSVGYMSTGPEKSPTADEVTPHDDTPSISCVSGIVARSVGKSGRTDIICYARRSGKRKLDMAEMKTDQIEMGDGDICDQCEEKATLDITAPCESAMSTAGSAEIKLADIKRDPVDNSASSRCKRRKGRYECDIDYCRMTFKKKTELSVHKKNICTVKSCGKHFRCHKYLRRHQSSHNEDTPYKCPWEDCSMAFKWTCSLADHFQVHTGEKPYRCRSPGCSKIYNYVSDFIRHKKRCKPQRPSVESFENQMLLPSSNGRHSKPWIKGRRTLGSFFTRRGAVERVAHGGAKEEQARRQHRATEE >cds.KYUSt_chr3.2232 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12847620:12848726:-1 gene:KYUSg_chr3.2232 transcript:KYUSt_chr3.2232 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSDGKYNSKFNPSVEDGSLATPPAYRTANDKIDDGPTATVTGSPQRRMDGQWPAKCDDSFSSLIKAKEWQSGSNPEELPGSQFFPHPAPRDGKAEQS >cds.KYUSt_chr5.29497 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187003519:187005034:1 gene:KYUSg_chr5.29497 transcript:KYUSt_chr5.29497 gene_biotype:protein_coding transcript_biotype:protein_coding METNPPQLFLPATAAATSARRRSVRSRGARKRRRIAAEDTGGWASLPDDIVRLVADRVLIAGDVVDYVSLRAAGSDWRASTDSPLLAGHRHHPARGWAALCDGDAARPDDADQVAFFKPATGRRVRVRRLRLRGSRIVAFSAGLLVLLHKRHTTVQVLHPFTRAVLLDLPSLATAFRLVGGTRDSLLRMNAAVFTTPTSTTTATTSTIDAVVAWFPGTRAVLYAKPDDTAWNWEWDAAVLDIHLHSVLAFRGGLYATTKTSTNILQLYPPTSNNTTFPVDTPIPDALGDPSSCLYFLVECQGRMLLAVRHYAATPTVHTAVKVFQVDLDRRRLKLVRGIADRALVLGADRCLSVSAKDLPSISGNSVYCSSQYGVECFSIGGGPAGHEWFAALRSVRPFTILDHLVTYCNHLEWARGLMFHEYQLIPDCLVELKTKIKKQDSQLHIPIREPDKKTTKPSPAAN >cds.KYUSt_chr3.35322 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221843948:221844520:-1 gene:KYUSg_chr3.35322 transcript:KYUSt_chr3.35322 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAPSAASALLLRRALSTSRPRPPSAATAVASSSAVNSIILRSLKEHYLEVNKMTPPPKISPPRPYTIVKGALDQSTGPVLRRAYGDAGEEISISVARLANIMPPGADFDSDSDGGGGPSESISQLFLHVDISRPESGKSMQFLCGLYPDAVGIHSVCLRSKTAPSGAAAAAIAAKGGGEYQGRIFQ >cds.KYUSt_chr7.39549 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245836833:245839006:1 gene:KYUSg_chr7.39549 transcript:KYUSt_chr7.39549 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVSTAKLGLMQKKLLREEEGLWSFCCKQTWKIIAGDLHCVLRSSNMDYVKEGDEYKFADEATSDIRVCFRQIGEQPEWFPCHSSVLSVGSSYFAGLLGRSDIRSNKCVEVECPRVEYDHYVKVLKLMYLRWETIVESFDSVKSALGVLRASTSLKCRLIIAGCVAYLESASWDEKEEEEILQLAQNLGPEAEPLLVRLQAPSESAVKKVFISAIRFATSMESSFAPFFDDLKTSAQEQIDFMLHDGDDPSIVMMDEGIRSVLREGLTKLLSTFITGVDLLASEFDQSPEQAEKRILRSLVDIDWITNVLTRIEMMTEFVSGWLETSDHVISVVQDKKYSSGLWAVKVKLIEVTGKALDAVGYGSVILPSASRARLLKAWLPYIRMTKCCLDDGKTKDEAFPQMDADLCQNIESAMVSMILALPSGDQSDILSEWMLKADQFRYPDLTEAFEMWCYRSKTAVRRLKARTDNGGNCISL >cds.KYUSt_chr4.44814 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277345355:277345969:-1 gene:KYUSg_chr4.44814 transcript:KYUSt_chr4.44814 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPPPLPFHRSHRRQIPAACCLLLLLALLLPSAVAKSSTRAITDNEIREKKNACYADIENGLWGFACRSSATEKENCVLRCASPECYNLIYGGDPLEEGELDFIRGQEYKYCMVK >cds.KYUSt_chr5.28636 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181268073:181273390:-1 gene:KYUSg_chr5.28636 transcript:KYUSt_chr5.28636 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLAAGQPSPTGAAQPNVIAAAPVRYAQPSADATAPRLYPIPIPFAAPFPILGAPPPAPYPAAAFYPMAPPSAFPPFMRPGTFPGPPVDPHPPPPPPPPPPGVLGPYPGTFPRPRADLHPPPPPPPPPPPGVPGPYPGTPSLPTVETPQFKIYVGKIAATVDNDFVLSLLQVCGVVKSWEPVINPIDGTRTGFGFCEFESAEGSLRAMRLLNKLSVDGQELVLNVNQATRDYFQKYGESTPEEKAKEAETETRDGVVSLADNGNALSRATPEVKAKEAETEKKDGVVSSADNQNDLSRATPEVKAKEAETETRDGVVSSADNGNDLSRAILDVTRRGAVVMQRIRSLIEGETKRCQADKDVMERIHSLIEERMKSKLPGSPTLAVQVPACIVDENGDDDTGSGALEERKIRRQCEQEEHLGERKAVGSEGQKDREMTAAGMSSPQIGEAPSMHVPMNHESTVEHERECQHRERDGLHNRNGEEQGRQRKAVGSEGRKDREVTASGKSSLQLDVLQSMHNSAGEKVGFELQGTSNSGEKPTLDAKQLLATVPKTKKELFSHHVNWAIYDEYGLHKRMRPWISKKATAVFDEELSEFVDYVVACIKEHVNAPRMLELLESLLDDDAEKFVALTWRKLIFEIKKVEEGLA >cds.KYUSt_chr1.3621 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21987025:21988185:1 gene:KYUSg_chr1.3621 transcript:KYUSt_chr1.3621 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSRGGVPIPPPPGGHALDVAIEEAWMTMTDEERADPRHHPDNYTRLNSYFLRRWERELAAYNGPPPQPPRNNAAGRRRWWSAPERTLANVLAHIEGGNFPVLTMPPASRASASHRRGNVWQPRRMAASSSSSGSAPRPSLAPVKKEATSPSTPARVKKEPASPPPTRGRSSGALVIHDQPSSPQRGRKRKAAKKEDAAAATNAAANRLAEEEAKRTEDAAVAEDAAVAEAIARSLKDLVPADNALPEDAALEWSRRDWEREEAEQQRRLMDLAAARQHAARAAAPTAAADDVTRYRRPATPPSGVAVPFVDLEASDDEWYKPSPKWHVERRVLKLAVEFP >cds.KYUSt_chr6.31833 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201170772:201172151:-1 gene:KYUSg_chr6.31833 transcript:KYUSt_chr6.31833 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAECLDRNESAKVAADPDPYTRRSVESAKVAADPDPYTDDDDTNDGLTIITPNRPPNPIGNGDSGSHRRQQQRGSARADPSGFLDEPARPPGAVRPEPQGHPLHVRGGGPVRRQHQGPAPRSSHAGKPVAESMVILEYIDEAFPDSLPRLLPSGDPYRRAAARFWAAYVDQKLVPTWIPLHEPRDLRRRNAGALTLASVSRTAAPGATGDREVGGVDPADGGGVERVGRCPCAAAGAAAFHRTPRARPGCARRGREEDARPTAATTA >cds.KYUSt_chr4.52395 pep primary_assembly:MPB_Lper_Kyuss_1697:4:324987814:324989244:1 gene:KYUSg_chr4.52395 transcript:KYUSt_chr4.52395 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTVVLLPVWGAGHLMSMLDAGKRLLARSDGALSLTVLVMQAPTEDNRTEVAGHIRREEASGLAIRFHHLPAVVPPTDFVGIEEFVSRYVQLHADHVKAAISSLTCPVAALVVDFFCTTLVDVCRELAVRAYMYCTAGAAFYALLLRLPALHEEVTVEFEEMEGMVDVPGLPPVPPSSLPNPVMDKKNPNYTWFVYHGRRFMDFDGVIINTATELEDSLLAAIADGRCTGGVAAPAVYPIGPVLSLSPPAEQPHESVRWLDAQPPASVVLLCFGSGGFFTAAQAHEVAHGLERSGHRFLWVLRGPPAAGTRQPSDANLGELLPEGFLERTKEKGLVWPTKAPQKEILAHAAVGGFVTHCGWNSTLESLWFGVPMVPWPLYAEQHLNAFTLVAYMGAAVPMKVDRKRNNFVEASELERAVKVLMDGDSDDGRKARETATELKAVCRKAVEKGGSSYCALGRLFEDIRNHGERPNK >cds.KYUSt_chr7.11687 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71772963:71779572:-1 gene:KYUSg_chr7.11687 transcript:KYUSt_chr7.11687 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTDSDDDRPAAAAAAADSAPPQQQQQPPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARDHANRSELERQDVPITRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQSANNALFLLSTNDKTIKYWKVQEKKIKQVSVMTLDTSTVGNGATSRASTSTSVPLPNGGCSEKSDGLNGDLLFPPGGYPSLRLPVTTSQDVNLAARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPTNMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGARSFFTEIIASISDVKFSRDGRYLLSRDYMTLKLWDLNMDSGPVSTFQVHEHLRPRLCDLYENDSIFDKFECCLSGDGRHVATGSYGNLFRVFGSTPGSTEVTTLEASRNPMRRQMSNPNRPTRGTMTSMARGVRRGGENLGVDANGNSLDFSTKLLHLAWHPTENSIACAAANSLYMYYA >cds.KYUSt_chr4.53928 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333689746:333691060:1 gene:KYUSg_chr4.53928 transcript:KYUSt_chr4.53928 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKAVPKLEKDSYYNCDGLPPVGYEDSLQFPPSWNKPKLPGRRDVAIARAEANGMGLDGVYSPPSHATKVGHRAYMQRPKRWCVQLELDDLYMLVIPEEFRTYISGRAYPQVVAIHYRAGCIWNVHAHPYNKNTDVSSRSRRVKSRDNPIQPVVLDNEWYGLADFLGLKVGNYLMFKVIPKGFKMTMYDHITSCEREVSCNDHP >cds.KYUSt_chr2.19538 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122943579:122945735:-1 gene:KYUSg_chr2.19538 transcript:KYUSt_chr2.19538 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRASAAAQRSSWLSHTRAIPRHLAPVRPCSSTSSPHRRSRRRTPQFEDGALTTTAAPRPFPDYSPPRPESPADDALARRLSAALLASPSPGSLPHLPFTPLLRPLHLLLALPLLASHPNLTTVLLPLLLLFPSRPHPHPHLIQCFAIAAHLAVRDPGTARAILVRALRFPSPHRHFVEQFIFTYKAFSSDPASFDLLLLCLPSATLLLRLRQYGLSPSPEPCNAVLSRLPPDEAIELFQELPDKNVRSYNILLKALCSAGRLKDARQLFDAMLLPPDVVTYGTMVHGYCAHGELEVAVKLLDEMVAKGLESNATAYTSVIALLCNKGQVSDALRVVEDMVMRGVALDAVVFTTVMSGFCSKGDLVAARRWFEEMQNRGLAADGVTYTTLINGLCRAGELKEADRVLQEMVDKGLDVDVVTYTVLIDGYCKRGDMVEAFRVHNDMVQRQVAPNLVTYTALSDGLCKQGDVHGANELLHEMCNKGLELNVYTYNSLINGMCKFGNLEQAMRIMTDMEAAGLKPDVYTYTTLIDTLCKSGEFDRAHSLLQEMLDKGIKPSIATYNVLMNGFCMSGRVEGGKKLLEWMLEKNVRPNVVTYNSLMKQYCIDKNMKSTTQIYKGMHSQEVAPNENTYNILIKGHCKARNMKEALYFHNEMIEKGFKLSASAYSALIRLLNKKKKFDEARGLFDEMRKEGLTAEPDVYGFYIDHNFNEDNLE >cds.KYUSt_chr4.52787 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327442391:327443176:-1 gene:KYUSg_chr4.52787 transcript:KYUSt_chr4.52787 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAANQAEAKGAPHPLLRHTLPLCHSGHGVSRPRNQSPFPLPSPPRAASGPAMPAPPPPKPSPRRHHHRAAPNPTRHHLHVARCFPDQLPKPPSPNPLLSLLTAVPDWADAVSERRIREERALYTHAQWREHRSSLRHLRHLLSSLSSRVILSLVPPVSAFTAFAAAVATYNTLVPDYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVLAGAAELAGMVMHSGGDEELGRGALLNYILAFPVALKVRDE >cds.KYUSt_chr4.33498 pep primary_assembly:MPB_Lper_Kyuss_1697:4:205440827:205442423:1 gene:KYUSg_chr4.33498 transcript:KYUSt_chr4.33498 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRCVAVVVAVAVAAAGMGVEALGVNWGTMATRRLPPKVMGQLLKDNGFKKVKIFDADENTMMGLAGTGIETMIAVPNDMLAAVGDYGRAQEWVKKNVTKYDFDGGVNIKFVAIGNEPFLTAYNGTYNNVTVPALKNIQRALNEAGHGSAIKATVPVNADVYDSPASNPVPSAGKFRDDIVGIITDMVKFLNHSGAPFSVNIYPFLSLYGNDDFPIDYAFFDGAPPKPVIDNGINYTNVFDANFDTLVSALKKIGFGDLPVVIGEVGWPTDGDKHATVPYAQRFYAGLLKRLAARQGTPLRPHARIEVYLFGLMDEDAKSVDPGNFERHWGIFTFDGRPKFPLDLRGNGRPAMPAPARGVHYLPRRWCVLNPNATNTTALADNVGYACSRADCTVLGYGCSCGALDATGNASYAFNVYYQAQGQVPSACDFQGLAMVTDKDVSQPPCNFSVQVAESNATAVTMTADAKSSAPSSAPSSVPARVAAAVLALGFVLVSA >cds.KYUSt_chr1.35295 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215173791:215183269:1 gene:KYUSg_chr1.35295 transcript:KYUSt_chr1.35295 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARSFRELSLLLALALCSALASSVDGETSRRFWIENDAFWKDGEQFQIVGGDVHYFRIIPEYWKDRLLRAKALGLNTIQTYVPWNLHEPEPRSWEFRGFADIESYLRLAHELEMLVMLRVGPYICGEWDLGGFPPWLLSIEPALKLRSSDSAYLSLVERWWSVLLPKVAPLLYVNGGPIIMVQIENEFGSFGNDKRYLHNLVLLARRYLGNDVILYTTDGGTIGTLKNGSIPQDDVFAAVDFSTGDNPWPIFRLQKQYNFPGKSAPLTAEFYTGWLTHWGESIATTDARSTAKALKDILCRNGSAVLYMAHGGTNFGFYNGANTGQDESEYKADLTSYDYDAPIKEHGDVQNPKYKALRRVIHECTGTPLRPLPDNIERGNYGLVKLQKVASLFDILDKICDPPKVAVSEQPLSMEQSGQMFGFLLYFSEYQGIGPYNILSIPKVHDRAQVFVSCSLDGVRNRRYAGTIERWSNKPLQIPSLSCSSNTSLYILVENMGRVNYGQYIFDKKGILSSVEMDGITLLHWKMYPLSFDALGVLSKFQPIQQITNGRNNKVLIHGDAKNKLRASSFYRNGLSEGPEFYEGHFHIDSDSEVKDTFISFRGWNKGVAFVNNFNIGRFWPAMGPQCALYVPAPILRAGDNVVVIFELHGPNPEHTINLVEDPDFTCGFICMSIDASLLHVDHMRHVAGYWEGSQKLPDKVLFLRYEEMLQDPVGNVRKLAEFMGCAFSGEDEAAGVVENIVWSCAASTP >cds.KYUSt_chr2.52727 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328936167:328940542:-1 gene:KYUSg_chr2.52727 transcript:KYUSt_chr2.52727 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHMAGYPAPPDFRAPGGWRLSAGGVPIPPPPMGRAALEAEIDAAVTSSARIRPDNYDSWSDFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLANVLAHIEGGNSPVLGMPPPEAATVSRRHGSSWTPRRMATSSSSSGSRSASRSGGSAPRYPVKQELATPRYPVKQEPASPTPTRGRSSGALVIRDQSASPSRGRKRKTAKKEAATTSAANQLTEEEAKRAEDAALEEAIARSLQDLVPADNTLPIDAALEWSRQDWERQEAEQQRRLLDLAAAQRLTTSARNVVPRNAPLIKLEDSSDDDLYRLMPPRAGDPGQESKKAPRAWALQRCRVVLPKQSVVSSVCAVVAVVITIALIRRCRHVKKKMHKKIVAKIMEEISKKHRHKRGPLDDDCAAYDDVVIEIGPVEKFLHEILNEKPMRFSSDQLACYTSDYSTELGSGGFGVVYKGELPNGLQVAVKVLKVSMNKKVQEGFMAEIGTIGRTYHVHLVRLYGFCFDRDGTRALVYEFLENGSLEKYLYRAGDGEDERQREKLEWRTLHSIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTSDFVPKVADFGLARLGERENTHMSSLTGGGRGTPGYAAPELWMALPTTEKCDVYSFGMVLFEILGRRRNYDAGLMDESREWFPKWVWDKYEQGDMGCIVAGVGEEDREKAETMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYVSSGGSSSGWALSTGTGTGTGTYTTSRDTVRDSEMSGLSPSPPAKPTDAMVKGVKSTDAITT >cds.KYUSt_chr2.10093 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63886779:63890828:1 gene:KYUSg_chr2.10093 transcript:KYUSt_chr2.10093 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRYLGAAPGSGSGGGGSGGQSVDTSTRRCRRMSTPSTGTRLMPAFNAAAADGDGGSWGLSSSSSSSGGLDLGLDESQLLYSRHRVYPEFSHKSNMGRLLFQGSPLGSQTTDDDVLVMDGVLVTNDSGSRPRRRPSFPDLGFVNADSPLSCGSGRYSSCRQFAYGKEESRVPRTMIPRHSEVDFRSGRSVQGASPNMQPSPGSYHHLLPPWLRSPGPHTPGAATTPIRTPSTAYFTPPRSTPATPARPPACYSWTPKRPELKPPSVQREAFAWPPTEEENAAISQYLYAPRRTPVRRLPVFVSICPS >cds.KYUSt_chr3.42992 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271780895:271781605:1 gene:KYUSg_chr3.42992 transcript:KYUSt_chr3.42992 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSRSATAPPVEHSIEVDTICFYEQAAPAGRFAVCVACYPMSSIGFCRLGAALPHESVLQPGENSLTTFLVADPATLRSAAACHGALREMLAALPELQSLRLAEDEWDAVVPVDVVPEIVGAAGRANGFVFCFHMGVHRRVIHDERGLLMACKEWRLAASALGEKDCGICLDELERESAVQMTCCEHAFHRRCISEWITKATCPMCRRDVWRPAVPEILELSFAGAPAQGMPDIE >cds.KYUSt_contig_554.33 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:346801:350464:-1 gene:KYUSg_contig_554.33 transcript:KYUSt_contig_554.33 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADSSPTRWGPKEQRSLYLRWFYLADDDGDGRLTGRDALKFFAMSNLSRDDLKQVWAIADSKRQGYLGFSEFMTAMQLVSLAQAGQEISQDTLSHADLGSLQPPTMEGLDKKLKKSNGNKSGSDIVAYHPVESPMSANWFNSKSGKKIALKSVTSIIDGLKKSYIEKLRPLEKTYQYNDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKFECSQMPHPLLDHISFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIMSLRGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPIRETAAGPLGTELFEREQEDLMSDLNDIPKKACDRRINEFVKRARSAKVHAHIISHLKKEMPALMGKAKAQQKLLETLDEQFTKVQKEMHLPAGDFPSVDEYREMLSAYNFDRFERLRPKMVQGVDDMLAYDIPDLLKQFRNPYQ >cds.KYUSt_chr2.36844 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227558860:227560688:1 gene:KYUSg_chr2.36844 transcript:KYUSt_chr2.36844 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSWIRRAFMALEVAHKEEQDDPLIFGFGGAPYDASRPASVEACFRGGSAQPRTIDTFSENRSKDRTKERSARTCYQRAHKGFGVEAGCAADGAAKTLYLSSISRKSPNGFGIPLIFLMVRFDMFLILLDC >cds.KYUSt_chr2.31248 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192841726:192842343:-1 gene:KYUSg_chr2.31248 transcript:KYUSt_chr2.31248 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAPAAAADSPGEAPPAAKKTGRVKTKAAGPRGVAPAKVRTKAISRIGLAPPPPSKVTTPPPSVPAVALPAPPAPPPTTIDVDKVFDVESTTSYLDMLNDSAVNLDAGIGAFDGECNVEDFDDEEEDEGDEEVVEVDPAAAGSSSTPKPRTAN >cds.KYUSt_chr1.2040 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11765445:11766044:-1 gene:KYUSg_chr1.2040 transcript:KYUSt_chr1.2040 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHIATAASEANRSIQKPNPSPPVPEEREMAAEVWETPFTGKIAADAQEGRLAAAAVHAAIGGGASMERRARILQLAEEALGRAAKYLAVSKSALGGATSQDARVRAGEACDALELCCDRLLLVDLLLDPTTRAPVPGADDDGNGNVQVRAAADGFTDGEGTMRIRAADALEKAMAMAEDCASLVHRARQAAFGAPAT >cds.KYUSt_chr3.5504 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31087511:31087966:1 gene:KYUSg_chr3.5504 transcript:KYUSt_chr3.5504 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRNSVFDPFSVDLWTDPFDTFRSIIPAISGNSETAAFTNARVDWKETSEAHVFKADLPGVKKEEVKVEVEDGNVLVISGERTKEKEDKNDKWHRVERSSGKFVRRFRLPENAKVEEVKAGLENGVLTVTVPKAEVKKPEAKAIEISG >cds.KYUSt_chr5.23298 pep primary_assembly:MPB_Lper_Kyuss_1697:5:151765922:151770637:1 gene:KYUSg_chr5.23298 transcript:KYUSt_chr5.23298 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYLDYLKEYHSKNRMACVTAADVTSKPEHSPHEVRPVDDVTQCHRNGKDDLIAAARSCLDMEKQFMSKWNNQPVHNVKLLSERIQERACYLIHMEQEFSEPAAASLLCITNEATLALELLRYGAKSTDKEFILCNYIRQCALSLMYIEGPHSDASTAAMVGVAKEAKKIREWMVANKELYLFGSYALYHEMGLCDLVRTSTFGVMKHILSNYTGYQEETSIARGGEEQLDVKTDVKMDVKLDMELDMKISHGRTREEREECARGEDVQAGPAPGPTGRQIGQPGH >cds.KYUSt_chr6.10942 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67762718:67767798:1 gene:KYUSg_chr6.10942 transcript:KYUSt_chr6.10942 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGADSDRDLPPASHHANADPRHPDDPWAAKDDDDEEDDPPVPDEFQDAPLSPETTSDGDGAGSPDRAGHPNAGEAAPPYAGGMRVETNGEDAMSHDGDGEDDDDDDEEDDDDDEEDEEDDDDSTPDASPKAEGDVPAGMAQCAGPPAESDPFLDGHDSGTEDEQAAFMAELDRFHRDRSLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKHKVRTGQLKISVPAIPQPGGTNREVILPTMARSFPQEALALYLELIQVQIGVNPSSSARIRRYAAARAMQGWHAHRLLANDMYGDHILKDKDSIAFSSRDNRNLKGFGVLKRKKASSPERAFKAETLAAEICQEDSMVIDVGEPADWVKINVRQTKECFEIYALVPGLLREEVHVQSDPAGRLVITGDPDQPDNPWGITAFKKVINLPLRIDPHQTSAVVTLHGQLFVRAPFGHPDM >cds.KYUSt_contig_2868.27 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000549.1:235026:238193:1 gene:KYUSg_contig_2868.27 transcript:KYUSt_contig_2868.27 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPDDGEPSLSTLDKTPPFDTELPTIGAKQLYSASMAMATTAVGVDRDRVASAPGNPTPPAVDVPLSQTAPVPPPQGVAVAPLASASTIPSPPSIAVASAASSLAPAPAALPPPSIAVAPLASASTVPPPPSIAVVSAASPLAPAPAAPSRLSFAVASAPASLALAPAAQPSGVAVDRSTAEAEFLWELRKYVLLLATLAASVTYSAGLSPPGGFWPDNMDGIVLHAGGPVLHAGDPVLPFTYPRRYKAFFYCNATAFVASLVIVNLLLVRSLCHHRRWLRALQAAMLLDQLGLMGAYAAGSCRDEAMSAYVFVLVALVATYVFAHAIVFALFAPSASGGPGNIGTPDDSVDRARKYLLIFATLAATIAYQAGLSTPGGFWPGSQADDHLAGDILLSLHHPSRFMVFFYFNTTAFVASLVVVMLLMSRTVTRHGIRSYALWVCTAGAMVGLMGAFAAGSCRSIKTSVYVVALVAAVLFYILLQALVFFCAPVKNLIHDVQAALEGYLKFERLEQQHQQSRASGDWGAYQIIRKSRMYLLLLGILAASVTYQAGLNPPGGFWQGDGADGHHHYHAGDPILHITYPRRYLAFFYCNATAFIASLVILILLLSNTLSTQGIKYCALQIAMILDLFGLIGAYAAGSCRQVSKSVYVSLIVLPVFLYVGIHVAVFMLEVFPSWAAWRQEWKEKMVQSAPGWLKEVFEHADEEEEERTLEKRRKLLLLLAILAASLTYQAGMSPPGGFWQESKPGHHVAGDPVLNDNYQRRYLAFFYCNATAFVASLAVIMLLVNRKISTAGLRCHALRVCVILDLVGLLGAFAAGSSRRVSTSMYVLVLTFAVMLCVLLQVALVLSDTARSIADSFMSKIGAAQDDARDNGLPATAAGARSPRDLWDEKLPKYLLLLAALAAAVTYQAAMSPPGGLWGDGLTEHVAGDPILASTYSRRYKAFFYCNATSFMASLVIMVLLLIERVSNTQPALLALHAAMILDLFGLMGAYAAGSCRRVRTSAYILALVIGVSAYIAVLVVVSIGVARWLRSAMDKLAEQVARCFSVHDL >cds.KYUSt_chr4.7216 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42691237:42691847:1 gene:KYUSg_chr4.7216 transcript:KYUSt_chr4.7216 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRGNILLAAAVAALMVASAAAQSGCTTVLIGLYPCLNYISGNETAPTKSCCSQLSSVVQSQPQCLCSALGGDSVGGMTINKTRALELPKACNVQTPPVSKCNDAGGASAPGAAVAPTTPDVQTPVPAGSGSKATPSGPLQGSGVSSLNGPAGLLFALAAAAFYTVSTV >cds.KYUSt_chr7.2980 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17642683:17643669:-1 gene:KYUSg_chr7.2980 transcript:KYUSt_chr7.2980 gene_biotype:protein_coding transcript_biotype:protein_coding MELGNVQQHPNQVFGLGPGGKQRSSSLEAPIMLLSGHQSAVYCMKFNPAGTVIASGSHDKDIFLWYVHGDCKNYMVLRGHKNAILDLQWTTDGTQIISASPDKTLRVWDVETGKQVKKMAEHSSFVNSCCPSRKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKYQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTETLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKTLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPIIGSCGSDKQIYLGEL >cds.KYUSt_chr7.8790 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53253263:53254401:-1 gene:KYUSg_chr7.8790 transcript:KYUSt_chr7.8790 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPFGSGVASAATTAEVSVGAGCRGGWAWRPRPKARPRGVRVRGASSAAAAASAVHMETEPHGSVRFRPFGLPARAGFGSDLEAGIEKAIYACRFMAFLAIAGSLAGSVLCFLKGCTFVMDAFVEYYLRGDGKVVFMLIEAIDMYLIGTVMFVFGTGLYELFISNMDIAKQSAEGSSLFGLFRLPERPKWLEIHSVSDLKTKLGHVIVMVLLVGISEKSRRVTITSCTDLFCFAASILLSSGCLYLLSKLGSTKGGSHA >cds.KYUSt_chr2.53896 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336409685:336411411:-1 gene:KYUSg_chr2.53896 transcript:KYUSt_chr2.53896 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTTLSMASSASLLAAVLGLVLASAPVAWSWSTEGHMLTCQIAQGLLEPAAAHAVKNLLPEEAGGDLSALCVWPDQVRHWYKYQWTSPLHFIDTPDKACSFAYSRDCHDPSGAKDMCVAGAISNFTSQLMHYKHGSADRKYNLTEALLFLSHFMGDVHQPMHVGFTSDQGGNAVNLRWFKHKSNLHHVWDREIILTTLAENYGKDMGAFRKDLEHNITKGTWSDDASSWRDCTDLSSCPTKYATESIDLACKWGYNSVHDGDTLSDDYFSSRLPIVTRRIAQGGVRLAMFLNRVFGEHKRNVAAPLHLAADDEL >cds.KYUSt_chr6.10615 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65776183:65782297:-1 gene:KYUSg_chr6.10615 transcript:KYUSt_chr6.10615 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASPQAAPRKRRRGDGMRRVAEIVMVLAAAGEARGGRAPTPAERALAAEARERLAAAVADGAARPRDLFPGEAVRALVEDLGLNRARDPAAMGFRPPRASIADRLLLTKRKMEEVKEPPVQQATITPQTTGSTGMAEFQGSHGAPMFAGGSLRTSPAVAALPTTAPATSNSVMALRQHGSSPIKPVTNPSVVAVSHTAQPHVKLERGVNGPLNLTRATPGHLNKSLHDTSARPNLNAAPSTNQIIKSQDTKVATIQAATGNPVMGHHATQGTASVTAKPTFANHNAIAKNVQHVLQQPANHPSWTPPSTEYMHARLDCQICKVAIMDANSLLVCDACERGAHLKCLQHYGNKGVPTADWHCPTCVAQSKGKPLPPKYGKVTRTVVASQAGPPGGGTQFSVQGAGENMIAKENQQKGATNGNLTKPNSMQGSSTVHNSTVLALSAPTDRSQAQIHSICEPAKGTASNAETSSNEMEWNEQPCSSTGCELTAGSSFGTPSGKSPDEKVSNAVSLHSVDSANDNTHGQQPTVTSRINCSDSSFVVAAEAKVKLEAQSEALPSGDVEMAANNGTPVDQVIHGATEENIRTQATSAPNADNVDITNNMETPVDQGSNVVAEEKVHTEADSETHAMEDVEMTTSTGTPIGQSNNTAKEVEFQTDTTSQPHVIKDMEVTASPATGVDQKSNNGSEEKPWSEQICAVKDVQMTTDAIANGLVENGVTPLSVEADADDSDFSAMPDHHSNHQVIPNGVVHVKDEVLCSQDGELESCTAAPKEETD >cds.KYUSt_chr7.7068 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42521714:42523427:-1 gene:KYUSg_chr7.7068 transcript:KYUSt_chr7.7068 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARTDFLIDHCSGCSRYIHALLSEPGRLLCFCMASSAAFFFLVAAALLLHFGCCHGQGNAGNGGAVAAIYSLGDSITDTGNLIKEAPPGAFETIKHFPYGVTLGYPTGRCSDGLLMIDYLAQDMGLPFLNPYLAKNRSFDHGANFAVAGATAMDPADQFNGSSSSMPFTVNSLKLQLRWFKNFMKSSFATDQAIRKRLQSSLVMVGEIGGNDYNFAFFGNKSMGEVEKLVPGVVKTIIDAAKEVLDMGASRVIIPGNFPIGCIPGYLTSMASSEPSAYDSMGCLKELNLFAAKHNTKLQRAIADLRTSYPDASIAYADYFNSFFSLLKGASDLGFDANSTHKACCGAGGKYNYDEMKMCGVEGTTTCEDPSAYLSWDGIHMTQAAYKAMSRLIYHGKYLQPQILNFPEGNGQT >cds.KYUSt_chr1.16238 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94315686:94316493:1 gene:KYUSg_chr1.16238 transcript:KYUSt_chr1.16238 gene_biotype:protein_coding transcript_biotype:protein_coding MHAATSAGSMALNDIGRGSTGAGISSSGSGNGISRGAAAGTQGHAGTTSKKRLVMIIADPGRESTAAMEWALSHSIVEGDDILLLHVNMPPSGAPGGAPPSRTGSGGSSSSSSLGVFLGGGGSADAEFMETMRAACKARHPRARIHAERVEPATEGREAKAQTILAESQRRGVELLVIGHRRFSSFLGLRSASGSSRGHDSTAEFLIEHSKCLCVSVQKKGQNAGYLLNTKTHKNFWLLA >cds.KYUSt_scaffold_869.1259 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:7994924:8013092:-1 gene:KYUSg_scaffold_869.1259 transcript:KYUSt_scaffold_869.1259 gene_biotype:protein_coding transcript_biotype:protein_coding IFLSLLGKVIISGLQHILKTEGLPGLYRGLSPTIVALFPTWAVTFSVYNYVRGLLRSQDARNSELSVEANVLAASCAGIATAIATNPLWVVKTRLQTQGMRPGAVPYTGILSALHRIAAEEGLRGLYSGLLPSLAGVTHVAIQFPVYEKAKLYVAKKDNTTVDKLGPGQVAICSSGSKVTASIITYPHEVVRSKLQEQGRVSHGARYTGVTDCIKQVFQKEGVAGFYRGCATNLLRTTPNAVITFTSYEMINRLMHQLLSP >cds.KYUSt_chr4.52647 pep primary_assembly:MPB_Lper_Kyuss_1697:4:326706017:326706421:1 gene:KYUSg_chr4.52647 transcript:KYUSt_chr4.52647 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTGSSTRQKQQGGEDVAVSSPMKQNKHRGRANQAKGGQNVVKESALAKKDGTTLAGQKRKPQKVYRPVVPPSVEEMPVNSLALTVCPVDLPRETQDAACVDDHSIDSNKKMRRETSGSADQAGAAEQPRQTQ >cds.KYUSt_chr2.8076 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50791251:50791529:-1 gene:KYUSg_chr2.8076 transcript:KYUSt_chr2.8076 gene_biotype:protein_coding transcript_biotype:protein_coding MNERGWQADRPPGRAAPSSSASGDLLRVHDGGDGGVRELVRVLDDGVRELVGVCGMLACGRGVRVRPASVRGSRSATELTIDSDEEEPVFEV >cds.KYUSt_chr1.30302 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183434510:183438512:1 gene:KYUSg_chr1.30302 transcript:KYUSt_chr1.30302 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAGGQPPGLAAAEGGGGDGARRRRCSRCRGSVRLQCVAALLLGAAVLLSAMFWLPPFAGRGRSAGPADPPEDVLAADIVASFMLQKTVSELNKSTHKLEFDIYEEIGIPNSTVAVNFLQPLGALNCTNVIFSVVPFPKYSTISSTWLSILRSYFMSLVVEQSTLHLTESLFGNSSFFELLKFPGGITIIPTQAAFLVQKPYASFSFTLNFPIYKVQDKINELKDQMKAGLLLNPYEVGALYSLSFK >cds.KYUSt_chr2.3315 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19720029:19721351:1 gene:KYUSg_chr2.3315 transcript:KYUSt_chr2.3315 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQPWVIQFNGTSKPALVAPFDGCRSHETDMAALQEKRCLGRDGDWSVMLDELTRECFLMSFAGTMNWQSPTVISLPPLPEDTTPPSYLSCALSNQTPPNCTVMLDLAREKFLLYCRPGDQEWSRLPVEFAEKGDEFDGPITPGHKGKVYATTMVSVVAVDSSGPAPAVERTDIRLPSPCPVHYAIYKCFPVPCPGSGELFLVRCCSFSFLGDLADAKIFRWNQQDNAWETVESIGDRTFFVGRNSFVVPSAAEAGTQSNCVHVLSQIYDESQMLKRDSDVQGWPTFPFTLNPHGVTASTDHSSAVAATLALSAARDICFRRRPLHSIDGLALQNPRHSCHEPQPPARRQIGMHTDGRAPARVKVLTSRPRLRPPPPDGMSAGQPPRKRPCRRQTSTWPPGGSSLTS >cds.KYUSt_chr3.26691 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166390950:166392398:-1 gene:KYUSg_chr3.26691 transcript:KYUSt_chr3.26691 gene_biotype:protein_coding transcript_biotype:protein_coding MPPANLTPTKWPKTLTAEHLHRLVRAERDPRRALALFDAATVAASVSTSAPPAPILPSPDTVSLLTSRLASAGLLPLATSLLSRSRALFPSAADLEPPFLTLLRAFARTHRPLAALQLFRSAPSALSLPHSARSYTAVLATLVAHSQLPLAQSLLADMRAAGFAPTTATYNVLLKAHCSDAAAPIDDAVRLFRNIRKPDACSYNTLIDGLCRRSRRAEALQLFSEMAANDIAPTVVTYTTVISWLAREACLDDALEMFDEMAKRGIAPNVITYSSLIDGLCKGGRAASALELLDRMVKEKKLPNTITYSSVINGLCKEGRLRDAMEILDRMRLQGRKPDAGLFGKLIVGLCDAGRAAEAANYLDEMVLAGVQPNRVTWSLHVKINDAVVAALCARGEVERAFRVYQSMRTRGISTEPGTFHLLVEFFSKKNNLEKAAHVVLDMLSERCIPERETWDVIISGYWSKKKVRQEAEKMWKQLAVT >cds.KYUSt_contig_319.165 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:1185762:1188793:-1 gene:KYUSg_contig_319.165 transcript:KYUSt_contig_319.165 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGCGEQVPWSYSFIEFRFRRLAADGFCDLLHAGAAPSSRRLEVMGTLTENSVGQWSIVGGTGQFTLAQGIINYKMDPSSNKDDAIRELNIRILYDADDAQVVSMEVSASFRKLPFKDQAWNELDLFMDLSSPLEAGRKLRCTSFDWIASSNPAMKKPHVVASA >cds.KYUSt_chr3.16453 pep primary_assembly:MPB_Lper_Kyuss_1697:3:100928158:100928951:-1 gene:KYUSg_chr3.16453 transcript:KYUSt_chr3.16453 gene_biotype:protein_coding transcript_biotype:protein_coding MADNLKPVALFLLLLNFSMYTIIAIIGGWAVNFAINQGFIIGPELRLPAHFHPIFFPIGNFATGFFVVFALLAGVVGAASCIVGFTHLRFWSYHSQEPAASLGLIAWALTVLAMGLACQEISFDRRNAKLGTMEAFTIVLSVTQLFYVLAIKRGSHGPVPVERHNTLGR >cds.KYUSt_chr5.20058 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130340043:130340651:1 gene:KYUSg_chr5.20058 transcript:KYUSt_chr5.20058 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPPPSQGRGVARRQTAAPPPASSSEKTPITSLLLPCSTCGALLQLPATAVAAAAAAILQHRRNRLQLRGRLFEALPHSNCSSAPQHMQLRRTAIAAPPHRICSSAAQKMQLHQQMVAAPPAIDCSTAAAGCSCSSTGRKLQLRRQTIAAARPLVEAPLVDGCSSTVGDSSSASRWLQLRGRRLQLHRQALESTTARYL >cds.KYUSt_chr6.27300 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173323601:173338741:1 gene:KYUSg_chr6.27300 transcript:KYUSt_chr6.27300 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPATPIRPAAHRVLLKHLEVSTNRGIVERYGIGYCATALTGQDDAKQYAAIVRGYTDCLIAAASMDDLTASPASEVPFRISFSGHGGHLRLDPTPCPPSPVPDFVLPPAYPPESPSSVKEYLEENYLNPELYIPTAANDARVWDVDWFDLARPPLEPSAPRTMLVPAWEPPFRRRPSTSPQVWDPKSVQMEMSQVFDSGTGGMVPRMPGPAKDFVRGRVNSRPFRPGGLQDDAAEAAALEKAFPESARNGDWVRELMSGGPALTAPPGFPKGIDLGQLKEYNSHWKCFRDGEQVEEQPASSSNDTMDKYSVQFDDLFKISWEEDDANIVPREDDGEHLARDEGTNDINEQKVDKLQDGSETLARADTDKHEVNVIGDASEAQMDLDKMLSSEVQDTRRETSGLGDDKPAQEGTDWALVVGDDGIMTNFHKLVPDMAIEYPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIRVTATNKRPVPLEHCLFYSGEVYKVCEKDIFLTQGFREAKDAFKIKNSNKFGAKPGTKSGTPAVRSGTQGRNPDTSNKGRDQKHSKHHHTNSGAAAIQQSTSGPRRSDLIWMPLINNLLKKSLVPVVIFCFSKNRCDRSAESMFAADLTSTSDKSEIRVFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDTIGTVIMMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKDLPQKEKLLLQMLRQPTKTIECIKGEPSIEEYYDMFLEAEEHREFVTEAIMQLPTTQQFLAPGRLLVVKSKTDDDHCLGVIVKNPSAALKQYVVLVLTGDSTSSALDPNLSNQNDKGTGVSQQGYLVIPPKGKRGMEEDFFSSGRTRKSSGVINIKLPYTGDASGMGFEVRAVENKEIISICTSKIKIDQVGLLEDISKTSYTKTVQMLIKEQSDGKYPPALDAIKDLKMKEMDKVQRYHAHVNLLEQMSENKCHGCVKLKEHKLLMKEQKVYKDQLDQLKYQMSDDALQQMPQFQGRIDVLKEIHYIDSDLVVQLKGRVSCEMNSGEELITTECLFENQLEDLEPEEAVAIMSAFVFQQRNASEPSLTPKLADAKKRLYDTAIRLGQLQKRHEVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALFKKMEIASNAIKRDIVFAASLYVTGI >cds.KYUSt_chr2.10556 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67122076:67124022:-1 gene:KYUSg_chr2.10556 transcript:KYUSt_chr2.10556 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRVFYDKRISQEVLGDHLGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGFGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLAKDDDVRKYVNTYRRTFTNKKGKKVSKAPKIQRLVTPLTLQRKRARIAQKKQRIVKKKSEAADYQKLLAQRLKEQRDRRSESLAKKRSRLSTAKAAPATTA >cds.KYUSt_chr7.8559 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51900444:51906558:1 gene:KYUSg_chr7.8559 transcript:KYUSt_chr7.8559 gene_biotype:protein_coding transcript_biotype:protein_coding MSESPCRLTVSLKSPPDPAASSPLRVSVSDTGVGSKLEEFLELDALARETPVEKWGINDKAIYHYQFNLQEETSSSARFSKLATTYKNSATFRQDLAIELAVEKTGTTGSRNVCLPHDSDDATPNIERLVSGLKDYALSHGNTCEKCDACCMNRHGFSDFAPPPDSESLAHGAHQMWDPPANDPKPGWAKTENPVLHFEDFIPCTISQSSFNVLMSMDWQSYGFKLKGGFMDDEGNAVLQWDNLTFARVDIAIHTYHGIREYVPDLAESIAGLISSSNDQEFQDECFTLLGLGSDQDISEGAVRSCISDKMARIIEMNDTKENVVESTPYLFECEKLDEDSEQLDEEDGDEDMVSDY >cds.KYUSt_chr1.20095 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118351708:118359714:-1 gene:KYUSg_chr1.20095 transcript:KYUSt_chr1.20095 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDLVLKPACEGCGSPSDLYGTGCKHTTLCSSCGKKMALSRARCLVCSAPITNLIREYNVRANATTDKSFSIGRFVTGLPPFSKKKNAENKWSLHKEGLQGRQLTDKMLEKYNRKPWILEDETGQYQFQGHMEGSQSATATYYLLMLHGKEFHAFPAGNWYNFSKVAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAATNGPAAFGSDMKKLEPTNDGEKEGARPKKGKDNEDGNNSDKGEENEEEEADRKDRLGLSKRGMDDDEEGGKDLDFDLDDDIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDDDNEEEEGGLSKSGKELKKLLGRASGQNESDADEDDEDDDQDDESSPVLAPKQKDQPKDEPVDNSPAKPTPSSGHARSTPPASKSKQKRKLGGDDAKTSGGTASKKVKVESDTKPSGIKEEAPSSSKPTSKATASSKTASANVSPVTEDEIRTVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLREDKK >cds.KYUSt_chr7.28661 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178770313:178772307:1 gene:KYUSg_chr7.28661 transcript:KYUSt_chr7.28661 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAYTRPSKPPGPVGDRRQPRLAKELGRIEPKKLGIGLVAGCCLALLTYISFARLFAIYSPVFESSSLVVKNAPPASMTVPSTDGVPVQEKILVDEEKEMAKDEAESKEPSFPEEAKIEDKEEPFQESEKEVAVLAKLDGGAAAAEAKITCDENAVDEGFPYARPSVCELTGEVRISPKQKTMFFVNPTGAGPFDENAEKKIRPYARKDTFLLPGVVEVTIKSVPSASAAPECSRRHEVPAVVFSTAGYTDNFFHDNTDVMIPLFLSAAHFAGEVQLLITNYKPWWVKKYAPLLKKLSNYEVINFEKEEAVHCFPGGQLGLYRDRDLIIGPHPTRNPHNYTMVDYNRFLRRAYGLPRDVPAVLGEKTGTRPKMLMIERKGTRKLLNLHAVAALCEQLGFDVTVSEAGGEVREFAEKVNSADVLLAVHGAGMTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMQLRYVEYYVSEEETTLKHKYPRDHYVFKDPMAIHAQGWPGIAEIIMKQDVEVNITRFKPFLLQALDQLQD >cds.KYUSt_contig_319.49 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:403101:404123:-1 gene:KYUSg_contig_319.49 transcript:KYUSt_contig_319.49 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDHQLMDRVAAASVGMVVWDAWLSLGQLEFFSACPARPPVVQTCDLTNPDVVCGSCCESEEGLLAMGLITGSFKFLMGMGCGVYVAQNYNVPNVKKLFNTYVFLAKHLEETYRKPKKDDDGHTP >cds.KYUSt_chr5.20456 pep primary_assembly:MPB_Lper_Kyuss_1697:5:132938712:132938990:-1 gene:KYUSg_chr5.20456 transcript:KYUSt_chr5.20456 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKSSFFLEFAGRVPPPIVGFRNSGGTDAAAPTASMLGEICTTAPPLPPSPPLTCPGCAPLAANSADPRSSVMSWSALIQFEVDSIGISG >cds.KYUSt_chr4.45145 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279602936:279603613:-1 gene:KYUSg_chr4.45145 transcript:KYUSt_chr4.45145 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGAWPRRSSSCYQWLVVVWLLSAALRWEEPDAEGGGASSPPTIKLVGFSFDLDRSGLDLLLHRHHGGGNEDEIFVGAVLGRSTEGHPGAALPRSISDWHGRPHLFFYYCDDLSSGWIRGDLQYPIQPASWVARLLLRLLQASPDLFLAPSYNLRREALFTLPFVGKDAAGDGGNCIAGEVGMGMRTRWRFEFSSRVLCANRKDMVVISFSFWSFLQYCKNFVY >cds.KYUSt_chr1.8721 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53511228:53511696:1 gene:KYUSg_chr1.8721 transcript:KYUSt_chr1.8721 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRPLIFLALAVLLACVALEGGAEAAGECGKSSADRMALRMAPCISAADDPESAPTGSCCSAVHTIGKSPSCLCAVMLSGTAKMAGIKPEVAITIPKRCNIADRPVGYKCGDYTLP >cds.KYUSt_chr6.11296 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69956779:69957700:-1 gene:KYUSg_chr6.11296 transcript:KYUSt_chr6.11296 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFRAASPLPLPSSSRSAIASGDGGGSGSFSWLHRKRSSKAPLRTVLGQEEESLGGGEGDEEAGAAAAPSSSNVDEHPSSSSRKKRAAPLARLRSVFLAAITHRRRRRQLGSSVTGTIFGRRRGRVHVALQTDPRSAPVLLVEMAAYSTGALVREMSSGLVRLALECEKPPLVAGEKRRALLEEPTWRAYCNGLKCGYAVRRECGADEWRVLRAVEPVSVGAGVLPDDGADAGAGEGDLMYMRAKFERVVGSRDSEAFYMMNPDGSGGPELSIYLLRV >cds.KYUSt_chr6.12042 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74943038:74952884:1 gene:KYUSg_chr6.12042 transcript:KYUSt_chr6.12042 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDAFAAVCCAIPVLVSLLAVRVAYVLWRSGLPPKPHAAGLRCLIVLGSGGHTAEMMNIISELQKDRFTPRYYVAALTDNMSLPKAQVYEWSLIPAQGGGEKIIENDILQWSWDMHSSMCLSFPSEGTNGITNVTPEEMRGIIEVIGETGFIDSEHFHMYSILGISTGLPMLLLRKSEDYYKVLEVDYGASDDTIKLSYRRLALKWHPDKHKGEDDVTAKFQEINEAYKILSDPITRLEYDFSGGYEINQYTAREYLSRFKGMILTCNGLGIDHSSKWAQHMREWEPH >cds.KYUSt_chr3.17925 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110071736:110072229:-1 gene:KYUSg_chr3.17925 transcript:KYUSt_chr3.17925 gene_biotype:protein_coding transcript_biotype:protein_coding MITSGWTLPPVDIAEIGSAAMELGGATALPCCGPRSEAPASAVESMKLGGAITSFGLLCFSTLQRFEARGGPATGIGAVPQNSKRPEIRLS >cds.KYUSt_chr5.14511 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94130039:94130302:-1 gene:KYUSg_chr5.14511 transcript:KYUSt_chr5.14511 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLAVAVLLLVVVAAAAPFAYAGPDPAAAVAAAAQAAGGIQPGLPLYQETGNPKKDAAGAAGASAAGGLTPGLPLYQDIGKGQKS >cds.KYUSt_chr3.27812 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173619224:173620030:1 gene:KYUSg_chr3.27812 transcript:KYUSt_chr3.27812 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRDAVVDNDNDPLALTLGSIYAAAPTPPPRPPRPSPPPPSPPTRATRRRLNNSTPSQRRRSSSEPRSDDSAPSQRRRSSEPQPDNNFLSLADGNGTPPPPFPWATTQPARHDTLESLLRRGITTVEGEARCKRCSRKATVAFDLEAKFREVREFIAANRHSFDDRAPEAWMASVLPDCVACGQSRCLWPAIPADKGQINWLFLLLGQMLGCCTLEQLKYFCKNTGRHRTGAKNRVLYYAYLEMCKQLEPQGPFDDTVPVGIGFLHT >cds.KYUSt_chr3.39447 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248534340:248536311:1 gene:KYUSg_chr3.39447 transcript:KYUSt_chr3.39447 gene_biotype:protein_coding transcript_biotype:protein_coding MCGMLETRGGLGLDALQQDDNVEAEPQVDDATHPPLLLRHQHPAPTPQTLRYILSVVVSATTSHRQRLRLGGHSPTPSLLPLGVCCGTHARMQLKKLPYALAVGAATRHPHFACREMAHEQLNTLAGRKLASSLTALEFLIPGTNCPTSVSAYMNKGFHSFM >cds.KYUSt_chr4.15934 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98595223:98598602:-1 gene:KYUSg_chr4.15934 transcript:KYUSt_chr4.15934 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCGLREDCTQDYITSVKASFYGQWLCGLCCEAVRDEASRKKQAHPGVEEAVRAHMAFCKKFKSNPAVRVADGMRQMLRRRSGDLSKPDTSKKYSSAQIGDESAPPHSEPPVFSSAEECAKWVHSEAARKRSARQWTNLCPVPPGKLAYAISGEGSSSVGCRCRRSPSTSDDEATSDEEEAPTKRFNDGNYVDNNEEEVPAIVAARIISEAKAATACRREEAAIACQVSAFEAAQKEAAIRRIKIERVILEDD >cds.KYUSt_chr7.26057 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162644117:162647257:1 gene:KYUSg_chr7.26057 transcript:KYUSt_chr7.26057 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAVSWGWFLGAVPLLCLAFWHAADAWYRAVFFLKHRSGKGANGIRPRLPPGHMGLPFLGETMSLLWYFKHVRRPDDFIGAKRRAYGGEKVGMYRTHLFGSPTIVACMPATNKFIFQAGSDTFGIHWPVPELVGLSSIVNVEGSNHTRVRGVILGAINRPSSLRTIAATVQPGVVAALNSWADMGTIVASNEAKKMFISMEPSSLTVKMDQWFTSLVAGLRAFPLDFPGTACHDARKCRRKLNTVFQAELEDRKNTNKKYDDVMSGFMELEDEQGKKLSDDEVVDNIVSVVVAGYESTASAIMWATYHLAKCPDVLAKLREENMMMSKRKGRSSFITHDDIPKMKYTTKVVEETIRMANIAPMTHRVAKRDVEYGGYTIPKGWQVLVLVRSLHTDPNYYEDPLTFNPDRWDEPAKPGTYQVFGGGNRICPGNMLARLQLTIMLHHLSIGYE >cds.KYUSt_contig_2887.181 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:895882:904392:-1 gene:KYUSg_contig_2887.181 transcript:KYUSt_contig_2887.181 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYSRRVRAIPETMKQGFLSAAHHTSHPIFYVPPTQLLAHSKAILDQPPCVDLRLARSAHHRWKAQVFLRQRLTLDVDDFFQLTLQLLRTPLAKEDGRLLEVYTLPRTRFVGLQNTSEGFEVARTSFQEDNAVVCKEKVRDGTLEGDQGRERISSDVTTCKDGGDAEPNWSSVWLSTVVELHCETTSCTQPNAKGNKRKVDMNPKGVRQEQQSEAGTCWLDFCPRPGFTVVSNVVTDNPYGTLKIPKAFCQYIIGDFPQKVMVRNTVGDTWSVRLVEWRNRIFIEHVWDAFAEDNSVLEEPYIALLNEVEILSVQNKMMFACMTSVRKIPTIPPEDVVANASGLDLVVSLKHHINKPFFIELLDVDGLQSTVRESRPPKLRPLRSCIGRRAIRFSGSVLARLLVVVRVLLRRFDCFLDRSDYTMGDINNDHGGAAVGATFPVAMYVLFLAYLALLLVPCSDLMHVLSLMCAVKQFSPSGFAAALKPNPFTGSHFKRWQTKTLLWLTSMGVHRVAEGTPRGPLTPDEDKAFGEATVIFVGAVLSVLGDKLVDAYLHIRNGKELWDALYAKFGAADAGGELGIVENIIGSLDVEEKARAKDKHTGGTEGRSAANMVQENAHKSKEKNKGVSQTTNFRKKGKTEKKDPCWVCDETGHWAHRCPQRKGKKG >cds.KYUSt_chr3.38453 pep primary_assembly:MPB_Lper_Kyuss_1697:3:242165041:242165576:-1 gene:KYUSg_chr3.38453 transcript:KYUSt_chr3.38453 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGYTGEGGDSIMSWPRSTSPTSSEVQLARQADDPWYIAYQDESTQWCSQRMDLEEKVANLGDELARKNLMIFELKRIVDEEKKNSELIRKEKLRVETDLAVFDQVVENLEHELKVMGEEKSRFICAVLLGVIPVLAVMWFW >cds.KYUSt_chr1.8701 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53364227:53369785:-1 gene:KYUSg_chr1.8701 transcript:KYUSt_chr1.8701 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGTRRGLSALLRSSRALPRRFLPLAAAAAAGSPAHLSPLAPPSRGAKTALPGKSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPADPKPTEEDMVAAYVKTLTTVLGSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFVDGKVIHRPQFQFTERQQHRFCNMLELMIMNDKKNAIKKTSWDALWILDERKITFVEKKAMMKLIANENRTIMKIAFEEKKTMMELQ >cds.KYUSt_chr1.27721 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167061814:167063754:1 gene:KYUSg_chr1.27721 transcript:KYUSt_chr1.27721 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRLLSILPLLLALLLAGSPEGAAGAGAGGNGTCPLDLSYVPAFPWDPTPCAGSAPNITACRQTLLSLLGIGLAGRLRATGQFRLPSAGAAAACLAGLADGISAPPASLPGASLVRSCFPSPADFVSTPSYCAGVTTAAEYRAVVGNDSVAGLDAACGPELASLPVCYNCLTAGIVATSHLIAADANASAESQRNCFYLTVIYAAGISSVEGPTSLSTANCTLGLGLATQPSHPSKSNSTTIYATTIPIAIILLLSAIAFFLWRRKRRHANAKKTRDLNIPEEGSSGERRPHLRPNTGSILFSIAELSKGTDGFADKNLIGRGGFGVVYRGVLADGSIVAVKKVLNPEMEGGDEEFTNEVEIISHLRHRNLVPLRGCCIVDDDIDEGKQMFFVYDFMPNGSLEEFIFRDKEGGSQRPALTWAQRRTIIMDVAKGLEYLHYGVKPAIYHRDIKATNILLDNEMRARVADFGLARRTREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLVLEILSARHVLDMSAQAGPVLITDWAWTLVKAGQSREVLDEALSTGESPRGEVMERFVLVGILCAHVMVALRPTITEAVKMLEGDMDIPEIPDRPLPYGHSLMFSEAGSNFSASPAISGPLIDNGDMLR >cds.KYUSt_chr5.17002 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109529663:109534094:-1 gene:KYUSg_chr5.17002 transcript:KYUSt_chr5.17002 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSDDGDRTCPLCAEEMDITDQQLKPCKCGYEICVWCWHHIIDMAEKEDTEGRCPACRTRYDKDRIVKMAAATCDRTAVADKNTDKKQKTQKVKSKALTVEAKKHLASVRVIQRNLVYIIGLPANLCNESVLERREYFGQYGKVLKVSVSRPTGTPSQQQTAANNGISVYITYAKEEEAIRCIQAVHNFVLEGKVLRSRVPQMASTVSQRRAGTVLPPPADDFSYSAVVSAKHTIKNGTTNTTSQSRLSPPNSSSGRSTLPPATSWGHRDLNTRTSATEVASSQSLVKSKSEAQSNSYSSSSMISSARLPSSWNDDTSTVPKLTEGRQVSERDSLSKTLKPYRPGIAKETQAATSLESSLDIDFSTIPSAWNDDEVVASDEASKGSEEKQVVNGQLVPPASSNPTEPGQLVSKSSSSTTNNAAALNSSKQSLTDCVSRSAISNSDVKGGNGDHQFTNMGPKNPTLRTINSQPNHTASESRPRDTEVDKLSVGVSSLTLDSKDKVHSKEENQQPGAVLNMSVPLSQTLNNEQSHLKLAGLLSSENKDSVFSSQSSSDKHLDWSSELQSSRATSPLNDIWNSSVATDKSHVRMLDTTDQTSSSSYVPTANTSHISLWNDKEINRTSTSDNRSSGTMMYTGLLSSTDNNSTLLNGRQEGLGPMYTPGMVSEHSGMRSHQHGLLDAARNDNVGGFGKAIGGNKDEGSIISDILSLEFDPWDESYSTANNFVKMLNESEKNDAPSWKSKGSSNESRFSFARQDNQRNFQDSSFRNPGSDQNFSLLSQNSHGNFYQNGAVFQSSEEEFSKSNPLAMPDIATAGE >cds.KYUSt_contig_1948.182 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:1101217:1102098:1 gene:KYUSg_contig_1948.182 transcript:KYUSt_contig_1948.182 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRHKLTRCQEEPWSKGRRLPVKTPNQGELLHILVAVNDKLGTDSHKDLMAKTCHQSALVANLLSRALPGRWPAGYVGGLLCNRLEELRWWSFKGSAQSQPPVGASAAAPPGRAVSPSPHFSHLRGCECIYLRLRFLSPYCSTGRSTVFVAGRLSWRRRGYVYI >cds.KYUSt_chr3.10111 pep primary_assembly:MPB_Lper_Kyuss_1697:3:59886665:59888074:1 gene:KYUSg_chr3.10111 transcript:KYUSt_chr3.10111 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIPIVLSCNVPTVDDFHWGYSLSLSESEPPLPPPRPLSIVSRRAFGVYPSDEADEVVKDLHFETHLVDAPGLSHLTVRGKGASFMDMIAVDDNLVVLASCFQPYQSPVYLVYDAVDQSITMIPSHPWLLPQHHLQPGEKHDTVDATRVLIARPPAPAGDDRSYALVNMAETSIYTGYNYEPDEKQDVLYVWRSSSLSPQWDLIRAKFPSKFKGDDVAHRYVNVLAFTCGGHAFWANLPHGLMYCRVDALLSPSTTGELKFGFIQLPVDHPPCPIQSAVELESEMYQTVGRCGDSSIKFVTIDGFVQLLNFRSCILKVWTLSPDKGMTGWTKRFLCLDSLADQDEFKKNGLPTDMVPMYPNLSAEEDDVVYFMLGKYTKCCQAHKRSKIRCKGYIPAAKNPLYHLRVDMRRGVLLASAPLPESTSPCLSIASTSVVPSSMIQRGSMGRKGKRHCGDGNRKRGKRAFSQ >cds.KYUSt_chr3.7361 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42578602:42584481:-1 gene:KYUSg_chr3.7361 transcript:KYUSt_chr3.7361 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNNPAPPSAASPLQGHRYAIKSSVHNTAASRRREQAVAIGKERREALMRAKRVCRTPLSGSNESAIEDGDMVIDDEKEGLETRTAQAVQELKSSLSSQGKWAQKKKIEALRALRRLLSQSEVPPIEVAIKAGAVPLLVQFLSFGSSDEQLLETAWCLTNIAAGQPEETKTLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGSDLRSTLLAQGALLPLARLMLSNKGSTARTAAWALSNLIKGPDPKAVNELIKIEGVLNAIIRDLEKADEELATEVAWVVVYLSALSEKAISLIVRSHVPQLLIARLLASENLQLLIPVLRGLGNLVAGDGYMVDSVLIVGHSITDQALSSLIKCLKSENRVLRKEASWALSNIAAGSFEHKKLIFTSEATPLLIHLLTSAQFDIRKEAAYTLGNLCVVSAGSTDPPNIITEHLASIINGGALPGFVNLVRSADIESARLGLQFLELVMRGYPNGQGPALVERQDGIDAMERFQFHENEAMRNMANGLVDKYFGEDYGLE >cds.KYUSt_chr2.13284 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84130065:84130340:-1 gene:KYUSg_chr2.13284 transcript:KYUSt_chr2.13284 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGIQSARGMSVEEFKEWLKQFDVDGDGRISRGELREAIRRRGGWFTTLKAGRAVRHADRDNSGYVDDAEIENLVAFAQKDLGMKISAW >cds.KYUSt_chr4.16313 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101346573:101348234:-1 gene:KYUSg_chr4.16313 transcript:KYUSt_chr4.16313 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVIPEPTNEVVVRVEPGRPASGELTLRNAMHTMPVAFRLQPAVRGRFAVRPHTGILAPLASVTVEVLYLSSVAPEGPGGGGGRGEDAFLLHSVVAPGASVREPVSALDSVNPEWFSARKKQVFVDSGIRASFVGAVVAARLVVAGAVEALREVLDRSDPEWRAADAADESGNTLLDLAVGLGRADIVQVLLEYGADADKPSRGRTPLEIAAASGECLIAELLVANGATPAGSDALHVAAAAGHNDVLKLLLGKPASASPRSSASSASFSGSFTSIDAAGRDGKNPLRLAAEGGRRDAVKTLLAAGARADARCGTDGGTALHAAARRGDEAVARLILAHGAAGTASVRDAKGKTAYEAAAEEGHNGRIMDFLGLGEAILAAARKGEARAVRRAADGGASVEGRDAHGWTPLMRAAFKGRADTVRDLIERGADVEASDAEGYTALHCAAEAGRADVVDILLKSGANARVATAKGRTAAASAAVSGKSKVVRLLEKAGGVGRKGAGEKVVPVVAKGGSMDRKRRVRKGSSGAIRFGGGKEGYEAATVTVGWSH >cds.KYUSt_chr3.35479 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222748657:222757253:1 gene:KYUSg_chr3.35479 transcript:KYUSt_chr3.35479 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCARSALRRRGLALLRGRAEGGRREALANARCAATVAGRGAGVGRWPDPPAHTQARGRWPAASQTRSFLGCGDGAEGSVLSKVYEERRVMGYSPEQMYAVVAAVDLYEDFVPWCQRSRVIRRYDNGSFDAELEIGFKFLVESYVSHVEMEKPKYIKTTASQSGLFDHLINVWEFKPGPTPGTCDLYFLVDFKFQSPLYRQ >cds.KYUSt_chr6.16729 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105169091:105169366:-1 gene:KYUSg_chr6.16729 transcript:KYUSt_chr6.16729 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSLGKRRRRRLKWRSWSGERKIASEKALSIILRCGVLKAVLDRRETGKVSCRLLPRTSSGPYTSTARESTSRHVLLCFPFKSHCYLHC >cds.KYUSt_chr1.10047 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61579643:61580395:-1 gene:KYUSg_chr1.10047 transcript:KYUSt_chr1.10047 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAMREAVAGATEVTAVPEAVAISPLVAAPECEDEASASNNLANVDDLLPPPPAFTVPPMEWQLGEPSAGWLVDEPERNFSDEEDEATPPLQYYLRHGYGPCLPSPTPTDEEPEHYAPPGYAPVTEIFEPPAEAPMDALPPGLSTNLQTEMEENEAVATARAGLLVPDLNLPAAEEMEEENQDAPPAPSLALPTPSPKARVLLRRFASAMAARPAGIHRETWSLMMREAHIRWEPQVEGVMRTAASFPQ >cds.KYUSt_chr3.22214 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137001820:137003781:1 gene:KYUSg_chr3.22214 transcript:KYUSt_chr3.22214 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAMVWDPWLIVAQIVCLQCLYYLGLGTFMALLVGTRVPRLTLLYLFDFAILTPRTTTGWCAIASFILAAIARNPSKEIFSELDEINAQGPILPRSFQKTEDETKWGHEAPKP >cds.KYUSt_chr7.38760 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241189295:241202404:-1 gene:KYUSg_chr7.38760 transcript:KYUSt_chr7.38760 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATGTAPSLDGELLPPHGSSSGDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEETPPPPIPSRGIQEIASGTSPERGIIPRRFHVGAGIHGVAPHYIPPPSTFNVLLDSYWNRTNHTRKIPAETIGSSHKCGRRHPKIHLYTRRFHVGAGIPGVAPHYIPPPSTFNVLLDSYWSEEHDGGTAQPPSPQTMQELADSLSEQLLDDTETQISMHGEIEKRANSSAIFSPKQARLGRSYSQMLEDEEEGMIKYRRFWEDTWGSSSGSFEDYTFVTPMLFTYGAIPAHASLSSCLQIFSIEVMENEYWRIRWPVEVYGFIAARDTVDYNRNLMFSRTRDDPQILTPQDPFLQLTGPCRAIWLIDPVDFEIQLKVKGAIESEDETFMARRFAYGHGFGQSGHLARRCWEGNFCTLEITSVLLCSTVAATIISADIIEGSWPDDSRGCVVSRTAGIDKDFVLLDSGDGPMHVDTDGHINLQRGVVCVPRAGMLTVSMEAYSKDKMTAAAHVEFRPKDSLTSIGTCNLGFGTVMFIVGWSLASTNMLANEKLV >cds.KYUSt_chr7.29824 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185632289:185639412:1 gene:KYUSg_chr7.29824 transcript:KYUSt_chr7.29824 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCTTKSQVAHGNESLHDKVPTKRTAKKLRRQSPYTPHSKETLHGKGFGRCRGAPFAVRAVGFGSSFEERPASGRDPATDFGSSCRAVTGGDDNKGAGGLEAKGAYGAADSGLPADAGAADAADATAAADDPAAPDTDELADVPDQSVFSTGEWSSSSSYLLAVGRRIADEKHIQSSRLSAYANAHAIEVAIVVFLDSIFKRDHRIDHASTPLHHAAATRRRRHSKSTPLHLSTTVIRCLLQKRYPQQGERRCAPPSSDPGDPGLGFPLEQPTRRTQTAETMPSTRSTLSPPSPSRAAALGAVVSDLEEEQQEIRPHPHPPGDAEARKEERTAPPGSGAALPPPPSPPARRGRDEERRRKPRRHGSRAALPARRGRGEDWMRGRAATDRCFLLPLSLLLLAASSAAAQLEIGYYSKSCPSAEAIVREEMVKIIAAAPSLAGPLLRLHFHDCFVRGCDASVLLDSTPGNTAERDAKPNKSLRGFGSVERVKGKLEAACPGVVSCADVLTLMARDAVVLARGPFWPVALGRRDGKVSDATEASHELPPAFGDVPLLAKIFASKGLDLKDLVVLSGAHTLGTAHCPSYADRLYNSSSAGEDGLVDPSLDSEYAAKLRLKCKSLDEGTGMLSEMDPGSYKTFDTSYYRHVAKRRGLFRSDAALLTDATTREYVQRVATGKFDEAFFRDFSESMIKMGNVGVLTGADGEIRKKCYVLN >cds.KYUSt_chr2.6454 pep primary_assembly:MPB_Lper_Kyuss_1697:2:40211905:40216452:-1 gene:KYUSg_chr2.6454 transcript:KYUSt_chr2.6454 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSWPSAIRLAVGAILLCAVGVAVFTLPVEKILKHFLIWIKENLGAWGPLVLYNANNCDDVHYRALAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIQRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGIVEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEISTTRWVFIVSGFVMSVLLIICVTKVAKSSLDKALAENGEVDIGTSQLPVVASPSDLHQPLVIKIDSSNEDHEK >cds.KYUSt_chr3.35611 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223681814:223700395:-1 gene:KYUSg_chr3.35611 transcript:KYUSt_chr3.35611 gene_biotype:protein_coding transcript_biotype:protein_coding MDFITGLPKSSKGNDSIWVVVDRLTKVAHFIAVKTTYQGPKLAELYISRIVALHGTPKSIVSDRGSQFTSRFWQKVHEGLGTRLNFSTAYHPQTDGQTERVNQILEDMLRACVLEYGSKWEDCLPYAEFSYNNSYQASLQMAPFEALYGRKCRTPLNWSEVGESQVFGPDVLREAEEKVHKIREYLKTAQSRQKSYANKRRREMAFEIGDYVYLKVSPLKGMQRFQLKGKLAPRYVGPFQVLSRRARERQNIELFGAWLRSGGMLIDDSVGSTAELGDEDRSVSGDSLSEWRSCDQVDSGSPSTSPPFWDTDGEDDDPGPGPSSLFGRHTWRIKNFSKEKKREMKSEPFEAGGFKWYILVYPQGCDVSNHLSLFLCVANHDKHLPGWSQFAQFTIAVGNLDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRLYRRELLRVYMTNIEQIYRRSVEEHRSKLTKLTEDKMRWSSFRAFWLAIDPSTRHRMSREKSDVILKIIVKHFFVEKEVTSTLVMDSLHTGLKALEHQCGGKKSRGKLMDSEESPLSPAPMVHVDMDMFVLAGDVLALLERAALEPLPCQPLSPKDDKCSQSRTKDGSSGEVKVSIEHEEKRLTEFGQKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEAGLLESEMKGKRSITEKEKRAKKKQAKQKKNNRKIKDKDREEKFDSTFPEKDKDDSTIHDMEDSKQAGQVDMKVDTSEEGASDMSDNFDGSAEVCQTDAGDKILQPLGGMNVVGIETENMSTGKNCIVESNLSSSSSESATMNIMQGKRDNLPAGSSQILPCLRGKTQRTRVISSMDSAKHDDDLPSSTATGSDRNTSGCGPAPKLDHETVLLTLKDRLQKLGQRLHEKEIEGRKLLKAHLEKKAAAEAASSSSSSSSLEKTPGGLKNPEQHSVTSPDACTYAPPLRSQSVATDGALPAKQNTRISIESGPIVAPTPNKVVPALGKEHVSSLKLKTDRATPVTSRSLSVDKAATLTTSSSLVNKAIPAPSESPAARVAKAAKPIPAPLKSSAPQADKVTKATPVPPNPPAPHVEKVAKAIPVLPKPPAPQVEKVAKAIPVLPKSPAPQIDKVVSLNAVPRQWPPLSNSEPRKVSVPKKIVVTSVPQTPAASRSLIAPLFQAPRATLSSTPAVQVPPLQSRSFTVSRRASKEPSPPVPSYVPQTYRNAIVGNGGLDTTLSSYDKVTSLGQSNTLSEPLSAYASATSVMLPPVGRNGQLPGNQGFMSGHGKLDTLDNWHPWKGDSDANKHMWRNDTPYQQLNGDAHIHPWNDNLYQQASYRETEEQGRFGGIQHRQFQREIPTNFVSHQLQGSVGEEFPHLDIINDLLEEEQSKVSMAEPPIHEYRTFGLPFSPSGNLVEADIASLSNSGRLNLTDHYYEGYPGAYDMPNAIHRPREGQFSTSNAYSNGRVDSISSKPWVYSYPNPVVNPGINSNGYTQQMGDYTNYASGRVHEEYLYRRADGRW >cds.KYUSt_chr4.17062 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106476395:106477894:-1 gene:KYUSg_chr4.17062 transcript:KYUSt_chr4.17062 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSIAISLLLFQAVATAQLAGDAPPELPRSELAAIYRVMADLLDDPTWPQLHPRPCTDTPWPGLQCELAPDDSHRLRATRLHFGPDVSTPPCKPGARLAAPAILGLPHLKTLSLFGCFVGAGPVELPAALFTNASSLEQLVLKSNPGLTGRIPATLTNLKSLQVLSLSQNGFRGEIPRELGGLAALQQLDLSYNNLTGEVRTEYFGCLSCRGVGKLRTNVHVCLGGDTDTYTYVCFGERQIPEEIGGMASLSILDLSWNSISGGVPAALGSLRMLQKADLSYNRLAGAVPPEIGSLKELVFLDLSHNGLSGPLPASLAALTKLQYLLLQDNPIGTAVPAVVGSLRRLQVLGLSGCNLTGPIPRGAFASLGSLMALSLDRNRLDGPIPASLGALPHLGQLNLSQNRLAGEIALPGEFVARLGRRLDVRGNEELCVGRGRYGRLQTSNLGARPCVDQGSVNGTSELAEAAADRGLPGAAGLGGLAYHLLVLLLVLEL >cds.KYUSt_chr6.18768 pep primary_assembly:MPB_Lper_Kyuss_1697:6:118024163:118034536:-1 gene:KYUSg_chr6.18768 transcript:KYUSt_chr6.18768 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQAPPPGEVLRAAAAEVSTLSGNRRLGLFRHTLTAILPKVTESASDTMLLVDLIFQTLPLYDDRASRKAVDDMVIRALSESTFMKLFAARLIGCMEKNLKVTSPLACFKLLKWSSYLMKWTQFATLSKALSALGSWASVSSEVVQPDVVSFIAAGLKEKDALRKGHLKLIRVICKKSDSLNKVTSLLDQLIQVLKSGFTKATQRLDGIYALYAISKLAAIDAKADGSVMKEVWPLIAQSEPSVISAQLLSKLTDEDCVTCVDLFQSLLVDHPFRVQEYFSIHSLLQVLIYLVCHPSWAVRKIAYDATKKVLSSSGFLAVDFLFLFTDWLSLLGEDRMSILKQSDMDSSGDSQLPFIPSTEELLSDDGIFSSNKQEQCAALCSLSTLMTIYPTDTFTEFEKHFIELPYRALHDGFSENDIKIFFTSEGLLSTEQGIDVADAVASRNTKLAKVCFHAYDVQDEDPAKSDWKESSSIGKRETGKSTKGTAPVDKSKTSKDDARELLLKEESFVREKVGHVQRKLVLMLDVLGELAIANPAFTHGQLPHLVRYIEPLLSSPVIPNMNSSDCVSTAGSSRQETMSQETVNEIVQIFVKVLDNPSLSIQIESNSSVRDMVAIAMVKHGIHLSDVYVTSDGRLVSPEAEIIISVVNSTFIIVPRLRGGVPPPKLLKQTIEISFMSLLEYFESAGDLLFDIVRFDGTMAGKYFVSLGEIARKVYRLLFKLLEFFHIRNGCIKRFALGSFRYIPTFDSLYLAPGVKVIPYTKENYRFNVHDAVSVMGYCCYDPDKVAANLADIRASGHVPGQLPPYLRILTRHAKKMIDTSVSEALKNPLDGKNVQWRSVYSLDFAGKSSAGRMAILSAVDLFQDYLPQHLQTRIRALMNTTNVGLWKHVANSVPSLKAVIEYEIYVKGSTQTQGIENFVTKKKNYYDHCTYSLWECGHNHLKHSKKHLMSEKVAEALFSFLFADDIAHVPRVLIQEFSDPTPDVGKEQNRAKVKQIIDMD >cds.KYUSt_chr2.20899 pep primary_assembly:MPB_Lper_Kyuss_1697:2:131454895:131473515:-1 gene:KYUSg_chr2.20899 transcript:KYUSt_chr2.20899 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTRNTSCLTTEYYIVVYVKDPPIPNLSSWIRLPSWTFTDLTPGIDLFGSGYLHGPSWIRVPQNPSKEIFSELDEINAQGTIFTRSFQKTEESTKWGHEVARRFHVGAGIPGVAPHYISPPSTFNVLLGSYWFHVGAGIPGVAPHYISPPSTFNVLLGSYWVWPAARWPPVRRLALVGVVGDVVDVPGGEALQWLDRAGDSGHGDHEASSHGEWGLLLRWNEVRYNRKQGLPPGTMGWPVFGETTEFLKQGPSFMKARRLRYGSLFRTHILGCPTVVCMDPELNRHMLQSESRGFVPGYPQSMLDILGRNNVAAVHGPLHRAMRGAMLGLVRPAMIRDCLLPKIDAFMRSHLHGWAGAVVDIQDKTKEMALLSALRQIAGITAGPLSAALKTELYTLVLGTISLPINLPGTSYYQGFQARKKLVSMLEQMIAERRTSDPAHNDMLDALLSGDNGTREKLSDEQIIDLIITLIYSGYETMSTTSMMAVKYLSDHPQALKELRKEHIDIRKGKSPEEAIYYKDLKSMTFTRAVIFETLRLATVVNGLLRKTTQDVEMNGYIIPKGWRIYVYTREINYDPFLYPDPTTFNPWRWQEKSMESHPHFMLFGGGGRMCPGKEVGIAEIATFLHYFVTQYRWEEEGKTTILTFPRVEAANGLHIRVHDY >cds.KYUSt_chr2.17113 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107790908:107794245:1 gene:KYUSg_chr2.17113 transcript:KYUSt_chr2.17113 gene_biotype:protein_coding transcript_biotype:protein_coding MSERRLRECGGGNSLPLAMWAAEYGADGHRRFLVGVFPWIEVPLSARPISSQDLRAVGFSKRKGKMAIGQTMPKGTLATSLSFPSSGSTRILGRKRVAVSPAPSPSGPHSPVRALRKQRSIRFHMDDAVCLLESLPQDVLIKVLCKVNHSDLRQLLLVSKPVSEATVVAKELHFAFATPSKAAAAGDGEDDDDEGPGAPKQRRVARSRYGGKNLASVTVNLSESFSSLLSDPDVEM >cds.KYUSt_chr1.33409 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203133550:203136713:-1 gene:KYUSg_chr1.33409 transcript:KYUSt_chr1.33409 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSKLIHYSQLLSILLLPLAATVTPQHVAAAADDLQGRPRQGMMGRPGCRDKCGGMSIPFPFGMDKPGCFLPGFEVTCNTSFTPPRAFLAYNHSYPPYQAIYQCKFGTNNTDCKYRYQPVELFGISLETNEPLPAHGPKWVLLGAGLLPDRPAAAVADDAHHRDRRDPRGCQYFLGNKSVLLRYGGGLVERSWYNFSTEDLDGYEVLSKKLARGFPVVLDFAIRNGSCERDGCLSGNSSCAKAPYDAAGYVCRCWEHYQGNPYIANGCQDIDECQLREQSPEFRDLYPCDGICTNIPGGYDCRCRRGMKGDAKKGNCTEIFPLPAKIIVGIAGLIVVFVVMFMAKQHLKLKKFYEQNGGPILNGVKNIRIYTRKQLKQITNNYESVIGQGHFGKVYKGTLKDKQQVAVKKSIKVDKDMKKEFTDEVIIQSEMRHKNIVRLLGCCLEYDVPMLVYEFVAKGSLYDVLFKSKDTIPAKKRLAIAIGSAEGESTIRHGDVKSANILLDEHFNPKVSDFGTSKLLAKGKDEETDRVIGDMSYIDPIYMEKGIVTQKSDVYRFGIVLIELITRRPATYDVKRSYVANFVQSCAEKRARNFIDNDITSEDDISMLEMVSGVAADCLKMNPEERQDMKQVEHRLLQIAGQPTSWSEETLAGRS >cds.KYUSt_chr4.21332 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134341215:134351989:-1 gene:KYUSg_chr4.21332 transcript:KYUSt_chr4.21332 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGQPVGGAASLLRSNSNLLSGGGQQGMGGGGGGMLQSQSPFSSLVSQRAQFTGNGLLGAASNVSNLLNRSSFGNGGPVPSPGQMPNGGIPMNTLQQRGGLDGAGDFSGAGGSDPLSFPSSSQVSLGNHLGSDMLQQQQQQIDVQDLQHQQEQQQQQQQMQMAYGQQQLPPQSQATVKLENGGSMGGVKLEQQMGQPDQNGPAQMMRNAANVKFEPQQLQALRGLGTVKMEQSNDPSAFLQQQQQQQQQHHHHLLQLNKQNPQAAAAAQLNLLQQQRLLHMQQHQHQHQQILKNLPLQRNQFQQQQQQQQQQQQQQQQQQQQQQQQQQQLLRQQSLNMRTPGKSPPYEPETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNASGQIILDYAKAIQESVFDQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIVPQVSQLGTVVQKYQAAAQNSTSLTTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQTGSGPIASLHNFPRRTPGINPLQTQQQQPEDQQAVPQSSNQSGQNSAPMAGVQTSASANADVTSNNSLTCAPSTSAPSPSVVGLLQGSVNSRQDHAMTSVNGLYNSGNNGAIPKVNSTSSLQSNPSTSFPSQVPTSSNNNMMPVPQNTNQLGSPAASSSSLPPMQPPATRPQEPEPSDSQSSVERILQEMMSSQRNGVGHVANDMKRPNGLTPGINGVNCLVGNAVTNQSGMGGMGFGAMGGFGSNPAASGLRMAMVNSAMTMNGRMGMHHSAHDLSQLAQQQQQHQQHQQQQQQHQHDIGNQLLGGLRAANNFNNLHLPSGGWYLNHHLVLVSPVPHEERQRRYGIYRHRFIQPPDLREDPTFALNSYNWSTFGTWEFDPRRHAGYLCDIDFFNREQHVRFGDDEKDEHGASEEELQPPNLTEEEVIEMAVRPERARPARLVGWPRCPAARVHAGPREAIHFSGESPALAPPQPTPTPPPTTLAPPLVPQQPLHWMWPWTSLVFIDLVDDDGGK >cds.KYUSt_chr1.10092 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61883085:61883693:-1 gene:KYUSg_chr1.10092 transcript:KYUSt_chr1.10092 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLNPESLFSSAYAYSSSSPFLANHYAPPAGDGDICAQSFNLHDDHQQHYPRFDDQFENSPPTAPPPITFTGAGEDEHRSSEKTMEERMSAGRIGFRTRSEAVEILDDGFKWRKYGKKAVKNSANPRNYYRCSSEGCGVKKRVQRDQEDPRYVVTTYDGVHNHATPGAAVAEYYCYSPARGSSGSPPAAYSAAGPLYF >cds.KYUSt_chr2.37711 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233369352:233378667:1 gene:KYUSg_chr2.37711 transcript:KYUSt_chr2.37711 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAPTAGAGANGSVAAAARAQVGPPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVFDLYFRKNPFGGEFTVFAGLEECIRFIANFKFMEDEISFLKSVMPMCEDAFFDYLREIDCSDVEVYSIAEGSVVFPKVPLMRVEGPVAVVQLLETPFINLINYASLVTTNAARHRHVAGKSKVLMEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGHLFGIPLRGTHSHAYVSSYMNLGEIPDRTLRNKDGSGICKDFVTLVQQWLHKIQVADSLSSVFGDTNQSELAAFASYALAFPSNFLALVDTYDVMRSGIPNFCAVALALHDLGYKATGIRLDSGDLAYLSIEARKVFHAIEKEFNIPGFGKMIITASNDLNEETIDALNKQVHEVDAFGIGTYLVTCYSQAALGCVFKLVEINSRPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMIRESEPSPKAGERILCRHPFLESKRAYVVPQHVEELLQCYWPGSSNKPRAELPSLENIRSRCMQQLEKLRPDHIRRLNPTPYKVSVSGKLYEFIHYLWLNEAPVGELQ >cds.KYUSt_chr4.9898 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59808881:59818512:1 gene:KYUSg_chr4.9898 transcript:KYUSt_chr4.9898 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSTAGEDIVQHLSSNSNPSSDKLAKLEARMAGKAVSAPPPSPPHHPVASPASAPTFMDQEELPESSSSDDDNGEEFLIQKNTMKRPRSPDCDHGLALGNFEGSANAAAKIADVADARLPSENPNRKKQGRGRGRGRGRGSKTVDQARQTSTSSVTVSNGQHDKLTSMDSRSSVLPGNEDRTAIHEELSLLRGKVALLEEELNKSRQEATDCHQLSDRLAKELKDFKDQDQQKKSKQMKVLSDLLIAVSKAERQEARMRLKQESFRLGNIGVMRAGTMISETWEDGQAIKDLNSHLKSLLETKEAIERHRKSLKKRQSDKGDGSDAETSMSEEDFLLQDEICKSRLTSIKREEEQYLRERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHHRYALLNLLGKGGFSEVYKAFDLVENKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHTNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPVLPEKEARIIIVQVFQGLVYLNKKAQKIIHYDLKPGNVLFDEVGVTKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLYGRRPFGHDQTQERILREDTIINARRVEFPPKPAVSNEAKALVLMDAMSYLKNDDNIPYLLSIHFCSKYVPLFARIASRRVDRSFWGSDSETSQD >cds.KYUSt_chr2.41617 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258868315:258868896:-1 gene:KYUSg_chr2.41617 transcript:KYUSt_chr2.41617 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSVIAPPAGDSASPAHRRARRAFLVSNYMILGAASGCGFLTLSLRLVPSVDGFLLILLHALTVAAAVAGCAVIAAPDPPRGRVYTAHMSGTVVVSILQGAAAVLAFSRTAEFLADGLRSYVREEDGAVILRMVGGLGIAIFCLEWVALALAFVLRYYAYVDRECGGNPMRRSAKVGGEDGAGTWPWQFQV >cds.KYUSt_contig_7442.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001531.1:51468:52638:1 gene:KYUSg_contig_7442.8 transcript:KYUSt_contig_7442.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLYKYDSLGGKKTQLPHDLAVAIAASPLSSTSTSIDKGLQTPTNVGNYNSTATARSHHHDTLPYHNRRIHSLLHLAGLLHLKIVHSPYESGRVASFSMSGATSPMSSADQSPPRFGAIVHAISAENIDMGSMGEDTDNMKTEEETINDGAKEQALPTSKPENLELEEEEIFREGQTDMQIEEGLTKSETNKLENKTGKEATYSELTNELSVNKASQQLLSAQDKHLATTSGTEGPGTIENEFASPMQIEKHMRRHNKPKKTQDYVVSPEGT >cds.KYUSt_chr2.31077 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191739407:191741053:1 gene:KYUSg_chr2.31077 transcript:KYUSt_chr2.31077 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWIGTVYWMQVGNLTVNRLGQRQVITAVNGQFPGPKVEARDGDTVVVHVVNYSPYNITIHWHGVLQRLSGWADGPSMVSQCPIRTGGAAYTYRFNITGQEGTLWWHAHVSFLRATVYGALLIRPGPDKPHYPFPTPHGEATLLFGEWWNASVVDVERQAMLTGGAPNNSVALTINGMTGGYELAVRHGKTYLLRLINAALNYQLFFKVAGHAFTVVAADACYTDPYDTDVAVLAPGQTVDALMYANATPGRYYMAAQVYQSLANATYTAATTALLRYEHHDAAAKAALSRSKIVMPIMPAFNDSETAQGFYGSLTGLLPDGKPTVPLHVDTRMLVTYGLGVMPCMPAQTLCNRTRGSVAASMNNVSFQLPTTMSLLEAHATGNVDGVYTRDFPDRPPVVFNFTSASASSNRSVMLTSKGTKVKTLRFNTTVEVVLQNTAILGSENHPLHLHGFNFYVLAQGVGNFHARSPTRSPYNLVNPQQRNTVAVPAGGWAVIRFTADNPGVWVMHCHLDAHLPFGLAMAFEVDDGPTPDTVLPPPPPDYPQC >cds.KYUSt_chr2.15079 pep primary_assembly:MPB_Lper_Kyuss_1697:2:95032273:95032870:-1 gene:KYUSg_chr2.15079 transcript:KYUSt_chr2.15079 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLLPPPPPPFAALGLPCRLFPSATAQRARFTAAFSLQTNVRLLKPNRRSRRSRYPYYDLDDDEEEEEEDEDDQESEETLTEKLPSI >cds.KYUSt_chr2.13740 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87091626:87097757:1 gene:KYUSg_chr2.13740 transcript:KYUSt_chr2.13740 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRALNLNALADDMPDLDRHMGCMAGIFQIFDRQRLLTAAPRGGRQPRHKSLPPPPKGRTPPKSSSSNVPAQSSSTPKIILEKTFSKSMTENSSLSIESSRASCSSSSCSSFSSIDASKSVQQEPPYINEEPFVQRPLKNSPSLKDASADAKAGQPNEVGFRDIVKDSINRDSGGLTVKTSALEPRKNMQYKDSPRPLLLSKSMDGTYVIGIDRSSKVVPVNVVEPSRRFQEHSRFSCDDRRLLRSAETQEAKKPAPTRAKELPRLSLDSRKESLSPSSRLKNYSYRRTDDSLLDALRPQDSPSHRRSNSVIAKLMGLEEAPDATGMLIADSYEPAKSPRPAEQATQSERPSRSPRRACQDQCVSLPKNEPSAPKTKPSPRTLTEAAPWRQQERGVSVTNSKASQCRDSEVRPRTPSLYADIERRLGGLEFSECNKDFRALRILGALHSKDAKRQNGDGDSESVAFQGQKEDLATTSCRSFESPIVVMKPARTTEKSGVSAAPLAGLRSLRKLQARDSSLDKNESSTNEKIHSRTARAQSKSEEPASRASSPRPTGSSSPRLVQRKAESERRSRPPVSPKSPSKKSNEAASPRGRTRSKPSQVKSNRDNEVSQSPRRRISLAKQIDVSIMDCQKPTVVSSSSVQPNYTAATPSPSFILGSDHRIHSLENVPSPVSVLDTSFYHKSISDSFKDGETHTSDECWNPNSLPDTPQSKTSSEVNQIKPENLEALIQKLEQLQSMNDEAASSKDNQYIYEILLASGLLHKELSFAAMPGQLCPSSCPINPELFLILEQTKPHFISATQAVTGAKKSSDPNMEKLNRRIIFDLVNEIIAQKMNIYSSASGMAKLLRSRKLSGCRLFKELCTEVDRLLSESSPAKCSEEDEAENMLLAEDALYDMKEWGSSDGEIQGMILDIERSIFRDLIDEVIAMGITGAWGSGKEDAFQHMECHHSSLLSAWPEYHGMVVWNRSRTGQYQWSTPSCHRLPARHQSITDLVHA >cds.KYUSt_chr3.12660 pep primary_assembly:MPB_Lper_Kyuss_1697:3:75772738:75774239:1 gene:KYUSg_chr3.12660 transcript:KYUSt_chr3.12660 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLCSVWLLLLLANLGWPGHASRALSLRRRYESIFSFGNSYTDTGNNPVVFADNGVFDPVTRLPYGSKFFGRPTGRCSNGRLIIDFIAQRLGLPLVPPSLAHNGSFRRGANFAVGAATALDAARFHDGSNPGNQFPLNTSLGVQLEWFESLKPSLCHTARGCERFFGRSLFILGEFGVNDYHFSFGKSMDEITSLVPDVISAISMAIERLIVTHGAKSILVPGTVPSGCIPQILHYFAKDDPAEYNSTTGCLNVYNKLGMQHNLLLQEALEKLRDRYPGVTIVYGDLFGPMIERVESPAKFGLEEDVLTQCCGGPGMLICGDDGANVCEKPSARLFWDDVHLTESVYRYIADLWLRSMDSPAAESS >cds.KYUSt_chr1.22606 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133715054:133717856:-1 gene:KYUSg_chr1.22606 transcript:KYUSt_chr1.22606 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYPASPKAQHLQESKKQRLTYILVVSGLCVAFYVLGAWQNTTMPKPMGDSAISRVDCDPVAQKDGSVPSFGSASENVLDFDAHHQLNLSDTEAVVQQFPACSLNFSEYTPCEDRPRGRRFDRNMLIYRERHCPEKDEQIRCLIPAPPKYKNPFKWPQSRDFAWFDNIPHKELSIEKAVQNWIQLEGNKFRFPGGGTMFPHGADAYIDDIAKLIPLSDGKIRTAIDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAILGVMGTQRLPYPSRAFDMAHCSRCLIPWGGHDGLYLAEVDRVLRPGGYWILSGPPINWKTHHNGWQRTEENLKQEQDKIEDVARSLCWNKVAEKEDLSIWQKPKNHLECANIKKKFKIPHICKSDNPDAAWYKKMESCLTPLPEVSNQGSIAGGDVEKWPERAFTVPPRVKRGTIPGIDGKKFEEDKKLWEKRLAYYKRTTPIAEGRYRNVMDMNANLGGFAASLIKYPVWVMNVVPVNSDKDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITDILLEMDRILRPEGTAIIRDTVDVLTKVQAISKRMRWESRILDHEDGPFNPEKVLVAVKTYWTADPSEHS >cds.KYUSt_chr2.49364 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308886267:308887400:-1 gene:KYUSg_chr2.49364 transcript:KYUSt_chr2.49364 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLHLRRLRGHMVHILRLRIHTPKHLQMLHLYVNAWLLNSKDSVAGNCKTGTSFWGQIAATFNSTSDPARRRTSKQLKDHWNAYNKEVSLFNGYYIQEERLRQSGADDDMVMKSAMERYANDKRVTQPFRKHHWWQAVRNEAKWKGQHGPGSGTESSSKRSRLGLSGEYSSSEATTEEERPTGRDRAKAAVRKGRRKGKDSSSSSEVGSKSFAMRNMMNGLVKAKLFKQWNKMKDRSTDDMNEAEKRLHAKAIKMCEKELGLADDEEEEQQEEEEEEEEEE >cds.KYUSt_chr1.38076 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232518233:232518586:-1 gene:KYUSg_chr1.38076 transcript:KYUSt_chr1.38076 gene_biotype:protein_coding transcript_biotype:protein_coding MLCEPTAAFGAAPGACAERVPKKPYTYGDPPFSSPVPAASPPPPASATSLAPAPAPPPWASPTSATAPPPPFPAMPWNCTATQSSVSSRSAQSRFVVVALLMVVLVLLLHALVPVGD >cds.KYUSt_chr4.14668 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90506780:90510918:1 gene:KYUSg_chr4.14668 transcript:KYUSt_chr4.14668 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAAPRDADAEMRDAESAAAAAAGDDDGGEDNVEEEEEEEDDDDDEEEEEEEEEQAPAEESPAPAPVSALAGSPNQLTLLFQGEVYVFESITPDKVQAVLSLLGTGELPPGLAAMVLRSQQENRGYENLLQRTDIPAKRVASLIRFREKRKGRNFDKKIRYAVRKEVAHRCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRSCSKAKVEAPISAIEQSNTAVSECQTGTDVKALVSPSNYSAGASNGEVMGHIVPANAVVTEEAPKPQPE >cds.KYUSt_chr6.23233 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146756944:146760201:-1 gene:KYUSg_chr6.23233 transcript:KYUSt_chr6.23233 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAAARLLASSLSPFRSSHHPRQRRPLLLPTSRSKTYSAKPLRPSSRTGKPAAPSVSRPSLFQEISGVIDSVAGPVSDPPSQPRVTGQLCSGDETLLQCPEGARPKVPESAAATSASFPGRVPPRGEASCQEVVAGDGNAQDSDIDIISADVQRVTEVLLSEVPGLSLEQRLGNLGVTYTPRLVNMVLKRCFKKRQFGFRFFYWVKQVPGFRHTTETYNTMLYIAGEARNFESMEELMGEMDTKMCVKDIKTWTIIIDGYGKARQTAKMLSTFYALEKSGSVVVDTKVYRTILRALCNDERHELALEFYKDMPASIVVGSYILRLLLCLLARSNNAEAVFLIRDDMIKSMRYPEEYCYSEVLRSFCVSGKIVEAKKVFQQMINKSMASSSAFEIFLRGLCRSGRMDEALQVIEHMKNRSSISSTAFGFVIDGYLREGNRTKALELLQAMREYGCVPLASSYTQLMQHLFTFDQYEAACQLYEEMKEIGVQPDTVTITALIAGHIHSGNISEAWNLLRKINQSGQRPTIKAYTVFIQELCKASMPLEALELLKEMLECDFRPSGETFYRIISSLRHKNFLGEASIVERMRASLCLRRPREDMECGPSEKGNTIDEFQKLSESDPEERKSSKSASDKDYTAPRCSIYDGVHRIEQAKRYSDEDVEEICQILSSSDNWSSIQQALEMRSLHFTPELVIAIMKRCKRKGHAALKFFYWVGKRSYYMQTTDTYNLAMKLAGSAKDFKHMRHLYREMAWAECSPTVDTWNIMICQYGNAGLTEMALETFYQMKQEGFQPGRSTYNHLIMYVSRRKGRKVDAAIKIFKEMTNAGYILDSQVLCGYILALCECGMLVDARTSIVSLCKQGFPAQIGYSILLRSLCRSDRKEEAVSLFDDIEKCGCFRNEHTYGSLIHALLRWDRFEDAVAKLAEMKNACICQSTHIYTSFIIYFFQKRDVAKAMGMFKEMAENGCEPTVVTYSALIRGLMGMGRVSEAWDVLRRMKLKGPLPDFETYSMFITYLCKAGRSEDGLQLIHDMLDGGIIPSAVNFRTVVHGLNMEGKHKLADSVLQSKWHVRRQRSFSEDSFV >cds.KYUSt_chr1.28162 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169886423:169886984:-1 gene:KYUSg_chr1.28162 transcript:KYUSt_chr1.28162 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGRPRARVGGAAAALGLVAVREQRYRQRSSRRGILVSTCKNRRSPPGPHAGASRVSTHVHAVQESRMLFFPVLNDAFAKTSMPPSVLGALVVNCSGFCPASTITTNRYRIHADA >cds.KYUSt_chr6.21260 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134158188:134173965:1 gene:KYUSg_chr6.21260 transcript:KYUSt_chr6.21260 gene_biotype:protein_coding transcript_biotype:protein_coding MELEIVEIGPSSRPLRYVPAPAPQWRPPPPPPPLPPPSMASSSSTSAADQATASAVAQALQFDSEKLPQTLVSEIRPFLRVANQIEAESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFSKRKERNDAREIKSFYEKKRQANAHELMPVLAEVLKAVLIGTGLESLAASEDFADKSGLFRYNIIPLHPRSSQQPIMLLQEIKVAVSAVFNVRSLPLASIRDEKTNTDILRWLQSWFGFQKGNVANQREHLILLLANMHARLNPKSSSAPMLDDRAIDELLAKTFENYLTWCKFLGRKSNIWLPSVKQEVQQHKLLYIALYLLIWGEASNLRLMPECLCYIFHHMSYELYGVLSGAVSLITGEKVRPAYGGEDESFLNKVVTPIYAEISAEALKNKNGVSDHSTWRNYDDLNEFFWSADCFKLGWPMRLNNDFFFTSTKDKKSHQTEIPNSKLPHGSSSEQGIANSEAPNQTQQKTGSDTSQQRWLGKTNFVEVRSFWHLFRSFDRLWTLLVLGLQILIIMAWHGLESPLQLLDPQIFEDLLSIFITNAVLRVIQVVLDIAFSWRTKGTMRFSQKLRFAIKLSLALTWAIILPIFYSSSQNYKACSARHPKNFLGMFCLSKYMVVVAFYLTSNVIGMALFLVPAVSSYIETSTWRICNILSWWCQPQSYVGRGMHEGQVPLLKYTSFWTILLSSKFLFSYYFEIRPLVEPTKIIMNVNVKTYEWHEFFPQVKSNAGAILAVWSPIILVYFMDTQIWYSVFCTIFGGMCGIVHHLGEIRTMGMVRSRFCTLPEVFNACLVPRSMPKDKKGILPSFLEKNIFKNLGKSERQDPTKFALVWNQIINSFRSEDLISNREMDLMTMPMSLEYSSGSIRWPLFLLAKKFSKAVDMTANFTGKSAQLFWRIKKDNYTFCAINDFYELTKSIFKFLVIGDVEKRVIAAIFAEIKMSMDNSSLLIDFKMDHLPLLVEKIERLAELLYKNKQGLRFEVTILLQDIIDTLVQDMFVDAQRVLDQINTDDTLIPDGDGVFDYYKPELFASISSESKIRFPFPDSGPLKEQVKRLYLLLNTKEKVAEVPSNSEARRRISFFATSLFMDMPAAPKVRSMLSFSIVTPYFMEEVKFSDEELHSNQDEASILTYMQKIYPDEWTNLLERLGSKITNEDIRYWASFRGQTLSRTVRGMMYYRKALRLQAFLDRTNDQELYKGPVGTERGQNKRNIHQSLSTELDALADMKFSYVISCQQFGEQKSNGDPHAQDIIDLMARYPALRVAYIEEKEIIVQNIPHKVYSSVLIKAENNLDQEIYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRRPKDQAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAAGTAYRVANGDGARVGEGFPSSFE >cds.KYUSt_chr7.77 pep primary_assembly:MPB_Lper_Kyuss_1697:7:459125:462336:1 gene:KYUSg_chr7.77 transcript:KYUSt_chr7.77 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIADDPRRAAVSKYRDSLLKCRELEALLSAGRANLKKAKKEFQETEEHIKAFQSTGQIVGEVLRPLGNDRYIVKASSGPRYLTTCRSKLDRAKLTATTRVCLDPTTLTIMRVLPREVDPLVFNMIHEDPGNVSFTAIGGLNEQVREVRETVELPLVHPELFIRVGIKPPKGVLLYGPPGTGKTLLARALASNIDANFMKVVSSAIIHKYIGESARIIREMFAYARDHEPCIIFMDEIDAIGGRRFSEGSSADREIQRTLMELLNQLDGFDELGKVKVIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRQEILKIHAAGMAKHGEIDYEAAAKLAVGFNAADMRNVCTEAGMAAIRVERDYAINEDFMQAVRKLTDAKKLESSADYKADFGKD >cds.KYUSt_chr3.47257 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296489084:296493325:-1 gene:KYUSg_chr3.47257 transcript:KYUSt_chr3.47257 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPCDGDGVCMVCGAASPAEVDLLRCATCATPWHSPCLSAPPALADAAAWACPDCSGSSAPAPAPAATRAGAGGGADLLAAIRRIEADASLSDLDKARLRQELLGGAARKALDDGEDEDDVVAKTAFAIIGSNITCSFCMKLPDRPVTTPCGHNFCLKCFEKWVHSGKRVCGKCRRTLPSQMVQLPRINLAIVAAIRTARNAKNAKSAVSAGTYHSVENKDRPDSAFTTERAVKSGKANASSGQIFVTIAPDHFGPIPAKYDPKRNLGVLVGDTWEDRLECRQWGAHFPHVAGIAGQSTHGAQSVALSGGYIDDEDHGEWFLYTGSGGRDLSGNKRTNKNQSSDQKFEKMNAALRLSCLKGYPVRVVRSHKEKRSSYAPVKGVRYDGVYRIEKCWRKIGVQGKYKVCRYLFVRCDNEAAPWASVLTGDLPRPLPKIKELQGATDITERKGSPSWDYDVAKKVWTWVKPEPISKKPIRTGDPETDKEIRQAQRRSHLSLAEKLLKEFGCAICKNVITEPLTTPCGHNFCKACLLGAFAGQASVRERSRGGRSLRVQKIVKRCPSCPNDICDFLENPQINREVMDLIVTLQAKAAEEKKEVEVPGEEDEDALENEEDDDSSLNEEGNVGAETKDGEQDTDAPAVKIVVESMEDAKKALKCKVDKEEGKVDKTKTTAAAAAEEDADMEEATKTAVETKEEEVAKQVQKKRKGRGTEAAAAGGGKRKKTVSAATAEVKNPGGGGSPAASSPRCAIRTSGLVDGGGSPGSRTRSSAMAGGGN >cds.KYUSt_chr3.34384 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215699757:215708451:-1 gene:KYUSg_chr3.34384 transcript:KYUSt_chr3.34384 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPPPVAVPPLTLRQRRKGAISLHLDVFLAYHYPPPPPVPEERDWLDLPLDATLSILQRLDHVELLVGGVAGACRSWRRLTRYEPALWRHIDMRPYPRVVGIEEFAREAVLRSEGLCESFSADEFGDDDFLLFLAQQAPSLRSLRLEFFYKVTNEGFVEAIRKFPLLEELELRNCHGIKDPRVFELVAEVCPGMKHFRHAIYRSTSSWGYYRYDIARPDNNVEALAIAGMHKLRSLQLFMNTLTNEGLAAIIDNCPDLELLDLRSCGNIVMDEAMRTKCARIKRKIIRLRNFEDDGEDFEVGSRISFCSTCGMSEYFRNLNKKDTTTDSEDNYDPYCYYLSDDDEINLEEHGRMTLGKSMQSNYVPIPPSLLNEDEAMATFPVNPLAFLPVGMTIDQGPPDGKNQQQHHGGGNNHGHQHFHHHVHPVVNNNAVVQELNDQEDLMHGPEGVQEAPPLFNFQIILAEQGVSFEGGLPPPANNVTDIPLQAWTDMVDSPSSSYRSQDIVLVDIPQMQQLNFLSFDSGSLEDLTAEIWAKVSLAKSSMSSALAPTVSLGNTQHISFKIHMDSVMLYELLGEFFMLQAGRKHLKKDSSTTSSAVTNMLLEYPADAPSSSEDCSADPLPMHQAVDMKGKGKLLTEPECTSQVMRSSSSNKYDGFNHKNLSEAKAVKPKVKQRKIRVVQQKIQKTKKFPKNAIIQYDPSVLAATLIPVLQAIGLNMCVVFPRKNLLKESYLLHL >cds.KYUSt_chr5.36644 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231687598:231693711:1 gene:KYUSg_chr5.36644 transcript:KYUSt_chr5.36644 gene_biotype:protein_coding transcript_biotype:protein_coding MTTACNGAGEAPKAELAAAKAAAGERPAEEREGVGGPFVIVNGDSDGLSDRCSDKADSRSDEEDLPPPNAAPDSGADAGGDHGGESGDSDAATVGLPSSNGHGGPTAVESEDDVGEGKAEGGDNSENGLQREQGTAGEELGGEEAPAEIGTDHVDDGADSASPAVDSVVSSAPSDVATPEEQQDGASASLESCSPDDTPTQAESDPIVLGKELEEETSAPSDVAAPEEQQDGVSASLESSTPDDNPTQAESDPIVLGKELEKETSAPSDVAAPEEQQDGANASLESSSPDDTPTQTESDPIVLGNEVEKDTSAPSDVAAPEEQEDEVNYASLESSSPDDTATQAESDPIVSESDTIVSESDPIVLESDGSGEQSKEEEIDAEIKELGTDGSGVSEVNGQHDAGVSADSCVTATEPLIHLDEGKHQQSVVTELVEQDGSNGHAHVESIPDSCTSAPDSDIDGGLEQQSDALEAEPEVPDGSGCGAYKGPIEEEVAANGLGCAEDTLNTSAELETAVDEGEGEVASGVVETEAVIGTDSEGDLHDGQAVAVTSGEEAKPPAKEGINEAIPAEAGVCVITGNISEPVVQDDELVKNGVSSGILHSEQSEPDQVVELKGGQEVQVEVASGDGNTPVSDVKAVIVEMNTSDSVQTQDLGSALEDRSMPLHENSIGEVEEEVKEQACPEDAHLALNDYSSVQTGKQGQFELPGAGVADKTDNVVLEAEPRKGVETEVVDVVQLDVPAASTVHNEPRSIDFINNASLHTKLETSDHVQAMECSSQEVSSTTVDQVISGVTEEHGTAVTDDAELQEVSSEAAVDQGEPVALGDVREASDICQTGESRDLAADYQSNSDQPEIFDASATCEELVSPIEGPRLSDETLEPGVKAPCTVQEIGSSDVTCKDVLMMIIVVCKSMNAYPSYCATVLEPSSVDGVVVPVEHKNDDKNAQKGKEKIAEDSTDSPMDLDKSHKGDIKFIGPPNLFHIVKVPRFAGDDVWARVQEAQVHLDRLTQERDALNVRRKNQKAIVDGYKDKLNAARQEESEARAAFVDKRNGLDSARSVIGKLNQANSIEEIDELIARKERTMEHETISLKQEKLFIKEINELKTQRKQVCSNLGSKAEISEAFHQKDHIHEQHKEADLLSKNLKSLEENRKKIQISYEDEKAVLGKIIDELNAANVIRQQAYKNWSDLRAEPTKRNKYFRMYMTDRDEVSKFTDKDKLEAYCNNQVKNFMEMWNKDDDFRRMYVEANKFSTLKRLETHDGRLLGPGEDRPVIPRNNFNRRPNNPSQLTASSPNMPIATSKAAPEKSAAVVVPVEEDSFPVLPPTQIHKQVKSKTAGSSSQKEITTAPVSEVEDVKHIEKEKARRPAEELELTKKADEELARKDKEIEEQKAAERERIVLEQKAKAKEAAERKKRQAQKAQERIEFRLLKEAEEREKRKEQKNHKIVTAPVAGGNGEGSSAATGTPDTESNSSENARDSKVPQQPPPRRNTNRAVKQINKLERMPDPLKNKFRKKTQHYVFIGVAVALAVVALILAGRSLNLPGLNFLGS >cds.KYUSt_chr7.34044 pep primary_assembly:MPB_Lper_Kyuss_1697:7:212634084:212637085:1 gene:KYUSg_chr7.34044 transcript:KYUSt_chr7.34044 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDYPPLAEKKLWPAAGSKSAESGCNDPDGQVDYVPVEVVRPEGMAGEEKKHSCAPFRPGIFKNQTYTRKRRCPAAASKSAKSGKDGNIVPMRKRGRPAGSKSAKSKMGRRPALVETSSSRSERKRNKDGEFDPTVPPALKKAMNVQENLPGQYPSFTMLMLPSQVVRTFWLGLPSHFSKSNLPNYTIDITLEDEHEKSYHVKYLGGGKSKGLSGGWVKFAKDHKLKVYIIREKELTTTDDDPEVTRTSSPAPDNDANNLASEEAFHGIDGMRSSGDPDTGFDGVMSFREFSIAIDGIDHDFFPDCQRMTYYKLCRERKALLHRHLIKNISPTLVAAVIMRTISISEGIRAFSFASSCSDLADWKKTLEGLELMGMDVAFLRQSVDDLLSLLAARSQRCSTTATKRPSSRRAVPC >cds.KYUSt_chr4.22997 pep primary_assembly:MPB_Lper_Kyuss_1697:4:144781006:144781743:1 gene:KYUSg_chr4.22997 transcript:KYUSt_chr4.22997 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGARRGVGAGGGHFPVGRRRHVPVVDTGCSCRPRRPRVQLSLPSFLKPSLFASRPSAAAGPARSNSSSSLFPSSSSTASFSTSYASSNCSNYYSSYHGFGAAPKKQEHLPAPKLQVPTATPASPVNKMQPASAKRKQKKRHSYVEKRAATAAEAEPEPEDVGLAVEKDSSDPRADFRESMVQMVVETGLCSWDDLRCMLRRLLALNSPRHHAAILTAFAELCAQLASPPPPAAAASSYHYNL >cds.KYUSt_chr3.42913 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271192765:271194066:-1 gene:KYUSg_chr3.42913 transcript:KYUSt_chr3.42913 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFVCEICHKGFQRDQNLQLHRRGHNLPWKLRQRGTGAEGAPPRKRAYVCPEPSCVHHDPRRALGDLTGIKKHFCRKHGEKKWKCDRCAKRYAVHSDWKAHSKVCGTREYKCDCGTLFSRRDSFVTHRAFCDALAQENNKLPQPAMSMATVASALQGQQQTHHHLMLLPSSHNDDVDMDVDAVADETSGFVGDIKSPHLKMFSDDTAAADDNPLGCMLSSLGASPSGFSGTKFSPLGLGGSTDAAMGFSPVGPASMSATALLQKAAQMGATTSSGYGPYAASFTHTGFGSTMVGLDRPIISGPFGPMRAYDGLPQDGAQLVGFDVGGLMPGQLYNEGAHSTHTTTTASSVMSGGSKTEEQRRVDDMHVVDYMGVEHHRTFGGANPFADHMGPWT >cds.KYUSt_chr6.28702 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181893854:181894138:1 gene:KYUSg_chr6.28702 transcript:KYUSt_chr6.28702 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPDDILSCAYLTRLYIGIWRWPFLDTTDHPPAFPNLQELCLFHTIIEDKGVDALLAQCPKLKIFSFAMAFNCPSRLHVKSRSLRVVVEWRCC >cds.KYUSt_chr1.41455 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254264807:254265455:1 gene:KYUSg_chr1.41455 transcript:KYUSt_chr1.41455 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFRHAARRLYGSVAPRQTQEAVSRLSPAAEQLAHRRLSPLFTHTTEAGQPYISCGKQDKHGTLKLIEKKKEELYDLMAEAHMTYGTDQNSRASLMNQQLLQYLSGHVKPRPDDRTWRWYRDSKAIGDYVEKIGVVTLCAVWMDIMWMALVRLCSL >cds.KYUSt_chr3.3611 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20479611:20481562:1 gene:KYUSg_chr3.3611 transcript:KYUSt_chr3.3611 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLHGAGRDLTIQVDGSDAAAIATSSPYFVGAAGEEEAMEGGQRSGAYNLHKGLVIEKITVLGLHGAGRDLAIQVDGSDAAAIAMSSPYFVGANGEEQAVEGGQRSVTMEVSGLELPLGKSFTMTWNMHIEV >cds.KYUSt_chr3.37422 pep primary_assembly:MPB_Lper_Kyuss_1697:3:235132151:235138802:-1 gene:KYUSg_chr3.37422 transcript:KYUSt_chr3.37422 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDEFVDVDLSDSSSSDDSDLDELLQDDEMEATMLLLSVKELEDRANRRRGSVLGQNHIQWNRLLGHEQLMEDYFTEVPTYPPHLFRRRYRMRCILFVRIIKACEANSNYFKQRRNAAGVMDFSAFQKIYAAMRVIAYGIPADYTDEYLRIGEDTTSESVRRFARLIIKLFGPTYLRAPSEDDTKRLMEINEKRGWLSMLGSLDCMHWTWKNCPKAWHGQYCGKSKDATIVLEAVASQDLWIWHSFFGLPGTLNDINVLQRSPLFTKLANGEAPTCNYKVINNEYTMEYYLADGIYPDWATFVKSVKDPQDRIEAEFAKGQEAARKDIERAFGVLQARIRWRRTEPVNGLIIRHLLPAMATSFTLPDHPTLPKGKTVAVIVLDGWGEASADQYNCIHRAETPVMDSLKNGAPERWTLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDAALASGKIWEDEGFNYIKESFAEGTLHLIGLLSDGGVHSRLDQVQLLVKGASERGAKRIRLHILTDGRDVLDGSSVGFVETIENDLAQLREQGVDARVASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFKTALEAVKTLRAEPKANDQYLPPFVIVDESGKSVGPIVDGDAVVTFNFRADRMVMLAKALEFADFDKFDRVRVPKIKYAGMLQYDGELKLPGKFLVSPPLIARTSGEYLVKNGVRTFACSETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNEQPKMKALEIAEKTRDAILSGKFDQVRINLPNGDMVGHTGDIEATVVACKAADEAVKIVLDAVEQVGGIYLVTADHGNAEDMVKRNKSGQPALDKSGSIQILTSHTLQPVPVAIGGPGLHPGVKFRSDINTPGLANVAATVMNLHGFLAPDDYETTLIEVANK >cds.KYUSt_chr4.28606 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179726569:179729620:1 gene:KYUSg_chr4.28606 transcript:KYUSt_chr4.28606 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVPVACLEDNYAYLIIDEITKAAAAVDPVEPEKVLAAASEVGAYIDCVLTTHHHWDHAGGNEKMRLLVPGIKVYGGSLDNVKGCTDQVENGTKLSVGKEIEILCLHTPCHTKGHISYYVSTKEEDPAVFTGDTLFIAGCGRFFEGTAEQMYQSLCVTLGSLPKSTRVYCGHEYTVKNLQFILTVEPENEKTKQKLEWAQKQREANQPTVPSTIGDEFEINTFMRVDLPEIQAKFGAKSPVEALRTVRNTKDTWKG >cds.KYUSt_chr7.8970 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54505806:54516023:1 gene:KYUSg_chr7.8970 transcript:KYUSt_chr7.8970 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSAPFVDADNDEEEELLWAALRRLPTLEQRARHAIVTMEDGSRKVADVGLVGPAERRALLGRLLRSGVHEDNERFLLKLRDRIDRVGIVLPTVEVRFEHLNADVEVCVGNRGLPTVLNSITNIFEGAANALHILPSRKRKLPILHGISGIIKPRRMTLLLGPPGSGKTTFLLALAGRLGSDLQVSGKVTYNGHEMGDFVPERTAAYVSQHDLHIGEMTVRETLVFSARCQRVGYLHDLLLELLRREEASNIKPDVDIDVFMKAAALGGREANIVTEYILKILGLDACADTMVGDEMFRGISGGQRKRVTTGEILVGSARALFMDDISTGLDSSTTFQIINFLRQAIHILSRTSVISLLQPSPETYNLFDDIILLSDGQVVYHGPRKNVLEFFESMGFRCPERKAVADFLQEIMSRKDQKQYWARHDQPYQYVSVKEFAGAFHLFHVGQDMANEIAVLFDKGASHPLALTTSKYCVSTKELLKANVDREILLMKRNSFFYVFRIVQLILLSIIEMTLFFRTEMHRDSVANGGIYMGALFFTTIMIMFNGLSELPLTIFKLPIPLTFIEVGGFVFTTYYVIGFDPDVIRLFKQYLLFLAANQMASSLFRFIAGAARNMIIAYVFGSFALLVFMLLGGFVLSRDSVTKWWIWGYWISPLMYAQNAASVNEFLGHSWQKVLPGSDEPLGVLVLKSRGVFPESMCAHQATGCHSETWSSIFDPDSMPAQRGMILPFIPLSLAFDDIHYSVEIPREMKVRVLQERLEILRGVSGYFRPGVLTTLMGISGAGKTTLMDVLAGRKTSGFIKGSISLSGYPKKQKTFARISGYCEQTDIHSPHVTVYESLLFSAWLRLPRDVDSNTRKMFIEEVMALVELTTMRDALVGLPGVNGLSTEQRKRLTISVELVANPSIIFMDEPTSGLDARAAAIVMRTIRNTVDTGRTVVCTIHQPSIDIFESFDEVNKFLTILENFF >cds.KYUSt_chr4.52437 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325262087:325262350:-1 gene:KYUSg_chr4.52437 transcript:KYUSt_chr4.52437 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPAAARQLAARAAAPPSSRNAAPGEVIKLEESSDDDIYRPSPPRAGDAGRGTSRWYEAPPPQDDAGSSDDDDGGDYTTFYRHFGM >cds.KYUSt_chr2.2547 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15382183:15382428:1 gene:KYUSg_chr2.2547 transcript:KYUSt_chr2.2547 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPQLRFLLGGRQQGTLLGGAPLLPGPTMGLTIDDSVDTPGESFAPLVPMLAVPLGTVSPVEGFIGELGLLLSRVLAFSG >cds.KYUSt_chr2.42241 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263111941:263117117:1 gene:KYUSg_chr2.42241 transcript:KYUSt_chr2.42241 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPLPDELLEEILLRLPPEDPGCLFRASLVCKPWRSRLTGSAFPRLYREFHRTPPLLGFFENDDTVFCWFTPLSPTSPFLPVHPDHRNLFVLDSRHGRVLLNSVGPDGEPLDLIVWDPVGRRKWELPYPAFADWVTVPDNAAVLCAVDGCDHLDCHGGPFLVVYVGTDEDGVAHACVYSSDSCAWSPVTSCEHPESFLEVIICWPKAHVGNAVYFPCTSSTIILRYDLSTQELSMITWPAMYKWQNANHILMRTEDGVLGCASLHESRLELWSMESHTDGTVKWVLSRVVELENWLPSRPTHVTRFVDGVGICFVRTNLGIFSVELKSGRVKKISNSMVQVIPYMSFYTPDQSGGIKPPSTLASSSEIVETTGGEQHDLLLQHSSGEVGDVKEKWVDKGEKDCEWKEGGWHEEGSVEDWEWKGEKDAQELFEKGSKAIEEGHFVDAKDFLHRALKSWLEFLFLPHAALSPKAGITVHTDGLWIQNFQLSQLSLSFSPRNLQIFLALKSASKIRDALPYARKVSLLYKSRMQKLIRANKALMAVTGDNASAAEFGSEMSLLDNEIEVFCSISTVLEEKVSQGIRQSNVKKISAEPSLKKPMEDSSPVKGDSRNKSDVYPAAWEGESLSE >cds.KYUSt_chr3.47739 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299213144:299214034:1 gene:KYUSg_chr3.47739 transcript:KYUSt_chr3.47739 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYCSPVTCPQHVTGSQSILGVAKLGDQSWTLLKFRDPMRTAPLMFAGHFYCVTVDGVMVLAETSPPRLELAAGRHMEVSLEMDSTHLVDNGGLLMLVHRKFLQLGRSYDLYRVDLDTKALLPVNSLGGGRAVFMGMYCSISVPVEVFPSGSISSDTIYLSFDVDERAATEAYHLVDRSITPAASYNLDGLAVILASLNAQRFRRLKEEQCEFINNASFEHAVEISRQRAATEEGERQKLIELNA >cds.KYUSt_chr1.12256 pep primary_assembly:MPB_Lper_Kyuss_1697:1:75695775:75697857:1 gene:KYUSg_chr1.12256 transcript:KYUSt_chr1.12256 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLAGGLDGGTSGDALIPGILGDIGLDVMDHGPGGLGLAVPVDANKKRSASEGRHGRIELKPGCSRFLSLVPYLSGGHSPQVGRVALRGISISIESIGSAVNHRAGRSILLRFSTAPVRSLDGRSRRRDSSDARQAAVQPRPPARCGHGDRHDPEADACAAGDSGGGNRIGCGQARRYGAYPASTTRQTEPSRARHDESSTSKCGKAGFMRRLHHGTATTQPSSPHWVGDTASATSSGAVHSKGLAFDPRGVGFLGGSAAGGAGCGGFGGAGGDGLGGAGGGRARGVGGSGLHQVAGAGATHADVILDPFMPTRCSTLAPTAATMLLSVQGRVPEATNSSSPPIILVNRAVAAAALRADEFLSYATPRSYSTVGSAFSVGSTHGVVALPTSDITHLPTTTLTGLGNITSEGVGGNDPAARTLGIDANISFEVLTCVGGFSLFHEFEQNSADEAFNGSHPKDVLWYEEFADCVITHIGSSSLFLELSRGTNQELQGQVDPNPGLGVPRVSCGTMVDEI >cds.KYUSt_chr1.42653 pep primary_assembly:MPB_Lper_Kyuss_1697:1:260978245:260982014:-1 gene:KYUSg_chr1.42653 transcript:KYUSt_chr1.42653 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNKFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYCIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDTPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRAMAAAAGQSSGMAPMANRQSASLTLQNDPNNSSTFMGRSSGSSRRPAVSSSRDPSTDAEQARSRTTDASPGGTFQRSAPRWSPQQADSSDAANNNNRRSSSGGRHLSSSSANAAKNYESTVRGIQGLNFDGDDRNNY >cds.KYUSt_chr4.9230 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55752428:55754333:-1 gene:KYUSg_chr4.9230 transcript:KYUSt_chr4.9230 gene_biotype:protein_coding transcript_biotype:protein_coding MDKACIAVFSFAVLFLIHYILGGKKNHGGDKAVQLPPSPPAIPFLGHLHLVANKPLHATLRRLAGRYGPVFSLRLGARNTVVVSSAAGARECFTQHDVTFANRPQFPSQLLLSFAGTSLVFSNYGPHWRNLRRVAAVQLLSAHRVACMSGVIAAEISAMTRRLCRAAAAGGGAARVQLKRRLFELSLSVLMETIANTKGTRPVEDADTDMSMEAQEFQKVEEELFTYIGAANMWDFLPVMRWFDVFGVRNKILAVVSRRNAFMRRLINAERRRLGEGGGQGDKKSMIAVLLTLQKTEPDLYTDTMITALCSNLFGAGTETTSTTTEWAMSLLLNHPTVIKKAQAEIDAFVGNSRLVAADDVPRLAYLHCIISETLRLYPPVPLLLPHESSTDCKVGGYNVPSETMLIVNAYAIHRDPTAWKDPAVFRPERFEDNKGDGMLVLQFGMGRRRCPGETLALQMVGMVLATLLQCFDWERVDGVEVDMTEGQGITMPKAVPLEAVCSPRVAMCDVLQKL >cds.KYUSt_chr2.51938 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324268682:324269498:1 gene:KYUSg_chr2.51938 transcript:KYUSt_chr2.51938 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGGMNEIIGVAGVQADVEVVFEVPSLEGAENLDPNQLLDPQRLCGEKGASVLGGVGPFGLLVLASGDLQEHTSVFFRVFRHEGKYKVLMCTDLRRSTTRADVYKPPYGGFVDIDIEKERSISLRTLVDHSVVESYGGGGRTVITARAYPEHVATANSRLFMFNNGTGAVKVSKLDAWELAPAKVNVPGDGLITAGSSMHLREAY >cds.KYUSt_chr1.20128 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118605474:118619022:1 gene:KYUSg_chr1.20128 transcript:KYUSt_chr1.20128 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEPIGWVASAEYTLYVNAVEVVHCILIVRPHEAILLEHGFVSVFWTIGARYLYSTQKRAYIDPTLLRFDGGRLAGMAVESESCNCDAWAARDPSGVLSPYRFSRRTVQHTDVSLRITHCGVCYADVVWTRNKHNDSVYPLVPGHEIAGVVTEVGSDVDGFKVGDHVAVGTYVNSCRDCENCNSFLENHCSKFVFTFNGVDSDGTVTKGGYSSHIVVHERYCHKIPDGYPLEKAAPLVCAGITVYTPMMRHNMNQPGKSLGVVGLGGLGHMAVKFGKAFGLKVTVFSTSESKRDEAISLLGADNFVISSDEKQMESLKNSMHFIVDTASGNHPFDPYLALLKVGGVMVLVGFPGEIRVQPATLNLGARSLSGSVTGGTKDTQEMINFCAANKIYPDIELIKMDYINEALERLVNRDVRTVWTFTPSRVSGSLERIHQMQKCNAAYRSMLAMKRLVTESCKESKLLWRVAFPAVLTEVFQFSIGFVTASFVGHIGVVELAAVTVVESILEGFAYGVLFGMGCALDTLCGQAVGAGKLDVLGVYVQQSWIICGATAVALTPAYAFATPILGSLLRQPAAVAVAAGPYARWAIPRLLAHAANFPLQKFFQTQSKVWALTAISGVALAVHIALTYVAVNRLGYGLRGAAVAGNISYWLIDAAQLVYLVSGRFPDAWKGFSLLAFRNLGAFVKLSLVSAVMICLEFWYYAALLILVGLLKNGQLQLDIMSVCVNYQFWTMMVALGLSEAVSVRVSNGLGASRPKEAKFSVAVAATTSAFIGAIFMAVFFIWRRSLPRLFSDSEEVVEGASRLGYLLAVTVFFGSIGPVLSGVAVGAGWQIPVAFANIGCYYLVGIPVGVLFGFKLKLGALGIWMGMLTGTLLQMAILLFIIKGTEWEKQHPNSYLGPTSFFECVSEFNKIAGNSEPAPIHRALNGRVGTPCHVRFQGLDRHVSDSTLGSIFKCHASDALRWVTIFNEPARSPAEPPP >cds.KYUSt_chr7.31350 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195243245:195244711:-1 gene:KYUSg_chr7.31350 transcript:KYUSt_chr7.31350 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPALGSKHWLKALYQLDVNKFLVVVVVPVTAAVALRKAVQLSPDELLSRLHGLRQVHVFLGVFLPLALATLYLMCRPRSVYLVDYACCRPKPNCRVSMASFTENLRCMPYLDDGAFRFIIRMLQRSGLGDQTYLHPSLHHIPPRCCLSSSRDEAEQVIFAAMDDLLAKTSVAPGDIDILVTNCSAFNPTPSLADIIVNRYKLRDDVRSVHVSGMGCSAGVIALEVARNLLQAAAQGARALVVSTETTSLINYTGKNRAMLLPAALFRMGAAAVLLSTSRSGSRFRLTHIVRTLTAAEDRAYRCAYQEEDEEGQTGVNLSKDLVAIAGETLKANIVAIGSVVLPPSEKLLFALSLVARKVLGRMIKLYVPDFRTAFEHFCIHAGGRAVVDAVQSSLGLSDENVEPSRMTLHRFGNTSSSSLWYELAYIEAKRRTRKGDRLWMVGFGSGFKCNSAVWECIRSPSDTTGGAPWADSIHQYPVKILKVG >cds.KYUSt_chr3.39167 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246809114:246812362:1 gene:KYUSg_chr3.39167 transcript:KYUSt_chr3.39167 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRRFSRRLITTVLPILLLLASSCVAAPATARRQLHQPFVPDQSTAPPSQTPPGPAPPFFPTFQGAPPPPPGPPTSPEQPTYPALVIPNNPSSPAGSVSASPAEGDGGARKPSSSSSKSSSKLVPAILLPLLTVAVLALSIGFFFKHRRTNAGRGVGGGCVGGESKFLHPERASLFARDEFGGSARGARAPQTSAGAAGAAASSAEFLYVGTLAGRDDGKSSDTTSSGDASSPRSSGGSPELRPLPPLVGRQCGPAGPRSAGGSSPSSGEEEFYSPRGSSKTSGSQRTLAAAVHAAVAARDRSRTASPGSAVSTPSYPSSPGATMSPAPSSPPLFSSPGQSGRRSASKARSDVFGLPPTPPPPPPPFAPTLPPPPPPRRKAPSPSPPSSPLENLSSAIRSATDTLSRNPFIQPPTPPPTSAHPQPPPPPPPPPPPVGYWESRVRKPCTSKETRSPALSPPPQPANFRTVPPTDAFPVRLPDNADHGDKSEETTPRPKLKPLHWDKVRASSDRAMVWDQLKSSSFQVNEEMIETLFICNPANAAPKEAPTRRPALSTPKADNKVLLDPKKAQNIAILLRALNVTKEEVCDALCEGNTQNFGADLLETLLKMAPTKEEEIKLREFNEETSPIKIGPSEKFLKAVLDVPFAFKRVDAMLYIANFESEVNYLKNNFDTLEAACDELRNSRLFLKLLEAILKTGNRMNVGTNRGDAHAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRTEGSRLSASNQSTPRTLANPLRDELECKKAGLQVVAGLGNELSSVKKAAAMDSDVLSSYVTKLAGGIEKITEVLRLNEELNTRDDAWRFHDTMQKFLKKADDEILRVQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLTVLNQVCKEVGRINDRTIASSVRHFPVPVNPMMVNPMMPQLFPRIHALRAGISDDESSIASSP >cds.KYUSt_chr3.14081 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85205208:85216495:1 gene:KYUSg_chr3.14081 transcript:KYUSt_chr3.14081 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRAMRDQHECRSVYDPFKASATERGLSTQYSLVMTSDKGKASKKAGDASGQPSTPQEGKVSNEPQRQRSLNGRTTGPTRRSTKGNWTPEEDDILSRAVQTYNGKNWKKIAECFPDRTDVQCLHRWQKVLNPELIKGPWSKEEDDIIVEMVQKYGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKDAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNSIKNHWNSSVKKKIDSYMSAGLLAQVSCLPLIEHPANFNSSPAMTQQNSEDSGSNAVREVEDSSGCSQSSLAIVSCSQVQNGNSALSSDLQLNVDPSKIETHDSQSLMCEEACYASTEGVASALSEVHCHVSSSGFASDKHSQQEFAQRMNFEMEIDEAPSNSVFVDNQTICSTSNNDRSMLQYEIAPDMPISVLTSISGAEEKVHYISEADFSSPNCFKPELWQDISFQSLLSAPDIVDDDSFSRLGHQSDAYSSKEDTNFGAPPEPSHASDPSSLMVTAYGQGPMMSLPQSLICSNDLSDAPDEESREMPVSGSEMVVYTHDSLGDSEQPANHGSSGGGHDASAIIERMPENGDKQLTDAEEPPLAQSEAASDGKQDKGALFYEPPRFPSMDVPFVSCDLVTSGDLQEFSPLGIRQLMRSTMNQPSGVLVEHNDNAIHDHGANVMDQKMNTNPEALSACKERTCAKSKSTELIAEKSSPCIHMDYEYVNILADTPGVKRGLESPSAWKSPWFIDMQYKGSYFVSPADTTYDALGLMKRINVQSAAAFADAREVLASGSRCDSKDFDKENKENIDAENETGTSKTQTKIMAEARVLDFNECATPVRTAGNSVGSGLSRSLSSPIPSSHLLKSLR >cds.KYUSt_contig_1181.550 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:3835076:3840565:-1 gene:KYUSg_contig_1181.550 transcript:KYUSt_contig_1181.550 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase (EC:1.3.1.42), Jasmonic acid (JA) biosynthesi [Source: Projected from Oryza sativa (Os08g0459600)] MDRPAPDQRPSLFSPYQMRRFSLAHRVVLAPMTRCRAIGGLPGPALAEYYAQRSTQGGLLISEGTVVSPAGPGFPHVPGIYNQEQIDAWKKVVDAVHAKGSIFFCQLWHVGRASHQVYQPDGAAPISSTDKPISSRWKILMPDGSYGKYPEPRRLAISEIPDIVEQYRQAAINAIAAGFDGIEIHGAHGYIIDQFLKDGINDRMDSYGGSLPNRCRFLLDVTQAVVSSIGSDRVAVRVSPAIDHLDAYDSNPMQLGMAVVERLNVLQQEAGQLAYLHVTQPRYAAYGQTESGPHGSDEEESRLMRTLRGAYQGTFMCSGGYTRELGLEAVESGDADLVSYGRLFISNPDLVERFRLNAGLNKYVRKTFYTPDPVVGYTDYPFLGQPKSRM >cds.KYUSt_chr3.38670 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243662942:243667175:1 gene:KYUSg_chr3.38670 transcript:KYUSt_chr3.38670 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIAGAVAATLLLLLLALASAGAAAGDGRRLHTLFSVECGDYFDWQAVGLLHSLRKAGQPGGVTRLLSCAADQLSSYRGLGIGHTLQVPSYSRHPRTGDWYPAINKPVGVVHWLEHSPEADNVDWVVILDADQIVRGPIIPWELGAEKGKPVAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILVMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGIEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPFPREVEAMEPDQNVKRGLYLSIECMNTLNEGLLLHHTSVGCPKPQWSKYLSFLKSKRFSELTKPKYWSSPKVENKVTVQHVASPKSRHPKIHTLFSTECSSYFDWQTVGLMHSFRLSGQPGNITRLLSCTDEEVKNYKGHDLAPTHYVPSMNRNPLTGDWYPAINKPAAVLHWINHVQTDAEFIVILDADMIMRGPITPWEYGAKRGHPVSTPYDYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFAMLWLHKSEEVRADKEHYATNITGDVYASGWISEMYGYSFAAAELNLRHIIKSDILIYPGYVPLPGAKYKVFHYGLRFGVGDWSFDKADWRNTDMVNKCWAKFPEPPDPSSVTKDDKNARERDLLSIECGRALNKALYLHHKRRNCPRLSTTTGNISKKIEEVSTTNKLERVTQRSSTTTVGRNSETMDVTAQQAIESATGSRAHRPRRLARSSKIWIIAIWAISVLVFLLVISMFFSDRRRSVSRSRASRSQKAHI >cds.KYUSt_chr7.3108 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18432601:18434978:-1 gene:KYUSg_chr7.3108 transcript:KYUSt_chr7.3108 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHAVKPYVLSSSMELWDGDKGWECTHKFGVYGMCKLAFNPEDTNSFAATIRHYVEVWSLDSAKPDYTLIEHSDKVKCLAFFKRGDRQYLITGSNDCTAKIWDMQKRMCIHTIEGFMSPVMLKTTVNFSGSGHVRGDEGDPRRLLPRLPRLPRLPRLELLDGGDGHRLLLCLRPSFLGGIRLG >cds.KYUSt_chr7.28833 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179512484:179515926:-1 gene:KYUSg_chr7.28833 transcript:KYUSt_chr7.28833 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDEAFQNWSPTKSMREDIKRNPVLEYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFYPHVKFVRVECPKYPSFCLTRQKTEYPFLEVFYNPEQAANPGKIADQNITKYSAKVLPFNYDQSVYGFREYFKKYGFKYSETN >cds.KYUSt_chr4.25402 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159553741:159555582:-1 gene:KYUSg_chr4.25402 transcript:KYUSt_chr4.25402 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPNQARGGGGRQAGNKRRHQDRQAGGPARGQPQQEAALRARAPAEQGARRQGATGSNHPNPPAAGNNPAPPQWWVERERKRAARRAQEETGAAAAPLPAAPGRGRAVEIAAGKQPAAAPMGGSGQGPSQPGGVAKDAAATSRMECFKCGRMGHFQADCPYPPVCLLCGVEGHFSAACTSKGRQPSLRVLGQAVAGESFFSLDFEEDDDEEELVSNGAIISFGAVSLSARELDRELHHLVEVEWDWQVQTLLGHSFAVTFPSRETLRMSTRSGKLYLPLSGTVADIRLADADPAPAEQLQEVWVRLSGVPRRMKRANRLLAGMGMLGWPIAVDEDSLKRPMPVRMLLACRNPAKLKGTVQLFHKKWGYNIGVAVEAPAGPSDGSSPPAAHKPGDDDDDEDVDDLSPSEGEWTDLGEQDAARKVAAPLVAPPPAPAPAPQAPSDAAGGSPAPCQDAPGEGALERSPTGLASLDQYGSNLPRKGAWPVSLATLERRATPVLTEVDVPADSAPLVSSVLLETDSDSGGSPSKNMDSEADADDDDEQLEDVDDSERAPLDRPAAGGVPRRRRTRTVAAGPARKSARLRGPAAAVTVLQRAQERTASKNLEGVEASG >cds.KYUSt_chr6.339 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2137901:2138224:1 gene:KYUSg_chr6.339 transcript:KYUSt_chr6.339 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWANQTGFTGVRFHPGRRFYADIHATGECIVSGRSTRQSSARARMMPPRGGLGARPPPSTSATVVPSRQFFPQDVLDEEAFFAQKRAERAAKKMKAKKVAKMEVH >cds.KYUSt_chr7.2495 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14517514:14525731:1 gene:KYUSg_chr7.2495 transcript:KYUSt_chr7.2495 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEFFAIINDASSDKLSLPKKFADLLEGREPRELKVREVGIRRTSTWDMDVWFDGTGRMFLKRGWECFTRTYGLQQFYFLICSYDGRDVLTVKMFDLSMCRIQYDATYKGSVKMELLDFEFYTTLEDAPSDKLMLPMKFAELLKGREPRGLKLREAEDPSSSLWDVDVLFNAAGYIYLGRGWKQFAQNYCLQRGSLLLFSFDGDALLTVKVFKLDMCRRHYYYDHDASTSSETGPDPRTDEESTDEESTYEESMDGDDPFDSSIIVSRRADLDDDEKDRIRELLASKDEYIGVPYVTRLTRTNLARNEMTGEEIWRVKLHYEDDGRTKKVMDMTIERTDICYIELISMIETVGFHSAFDYLYYRVKNAHGRAQLVPIEHAPEVERMVSLLNKEKNINLYVFKEKPNVDIATPGSQSTDESVSTRKKRGYTVSVRDATTEDQDSNEIEDTDFSATNVKKGSVKKNRVDTLQAYIRKHGKARSEDLQPEEWEWLIQYFGTNQKFKEVSQNNSDNWKKLKTNHRAGSKPYCQLSFENRNKETGEEPDCIALWEITHCKNGSWTTEESKKVYEKASKDIQNRETETGGPVSVEQRINIFQAAYKESVKCKSSQPRGFGYMAKLPTKSERIKFQVEEQARATQKVNSELSQQVTELEQKLQDERGSMDEKINFERGQREQLEQRFTKWIEAVPVTSADATSAVNFIKGIVFRFGVPNSIVTDNGSNFTSREFKDYCEGMGIKLQFASVAHPQTNGQVEKANGLICNGIKKRLLTPLEKARHTWVDELPYVLWSLRTTPNAATQETPFFLIHGAEAVLPIEIEHNSPRVVEYEEEASQKALEDDVDAIDEARDVVLSRVSGYQQNLKNYHSRRLRPRSFEVGDLVLRLK >cds.KYUSt_chr6.21878 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137997456:138001444:1 gene:KYUSg_chr6.21878 transcript:KYUSt_chr6.21878 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFRRALLFRRGLSSAAELPPPRPSAGRRVVVTGLGAVTPLGRGVGTTWDRLVAGDCAVRALTAEDLRLTGDGAGRTLEQLPSRVAAAVPRGKAETEFDDDAWTKDSKSISGFIAYALCAADEALRDANWLPSEDDKKERTGVSIGGGIGSISDILDASQMITENCVRRLSPFFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFSRLRALSTKYNSLPQAASRPFDCGRDGFVIGEGCGVMVLEALDHAIERGAKIYAEVRGYGMSGDAHHITQPQNDGRGAILAMERALEQSGLQADQIDYLNAHATSTPLGDAVEATAIKSVFGQHATSGGLALSSTKGAIGHLLGAAGSVEAIFAVLAIHHGIAPPTVNLEQPDPLFEGDFMPLTTAKKMPIRAAISNSFGFGGTNASLLFSCPP >cds.KYUSt_chr7.24479 pep primary_assembly:MPB_Lper_Kyuss_1697:7:152601979:152605756:-1 gene:KYUSg_chr7.24479 transcript:KYUSt_chr7.24479 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPPAMSLLRVRLAAAAALAVAVLALAAAGGADAYGLTKPGTVISYDRRSLMVDGRREIFFSGSIHYPRSPPHEWADLISRAKEGGLNVIESYVFWNGHEPEMGVYNFEGRYDMIKFFKLIQEHEMFAMVRIGPFVQAEWNHGGLPYWLREVPDIVFRTDNEPFKKLMQKFVTMIVNKFKDAKLFASQGGPIILAQIENEYQHMEAAFKENGTRYIDWAAKMAISTGTGVPWFMCKQTKAPHEVIPTCNGRHCGDTWPGPTDKTKPLLWTENWTAQYRVFGDPPSQRSAEDIAFAVARFFSVGGSMVNYYMYHGGTNFGRTGASFVMPRYYDEAPLDEFGMYKEPKWGHLRDLHQALRLCKKALLWGNPSSQPLGKLYEARLFEIPEQKVCVAFLSNHNTKEDGTVTFRGQQYFVPRRSVSILGDCKTVVFSTQHVNSQHNQRTFHFTDQTVQNNVWEMYTEGDKVPTYKLTNIRTEKPLESYNLTKDKTDYIWYTTSFKLEAEDLPFRRDITPVLEVSSHGHAMVAFVNGKYVGAGHGTKMNKAFTLEKPVELRVGINHVSILSSTLGMQDSGAYLEHRQAGVHAVTIQGLNTGTLDLSDNGWGHIVGLDGELKQAHTENGGQVQWKPAVFDQPLTWYRRRFDMPSGEDPVVIDLNPMGKGILFVNGEGLGRYWSSYKHALGRPSQYLYHVPRCFLKPTGNVLTLFEEEGGKPDAIMILTVKRDNICSFISEKNPGHVRSWERKDSQLTAVTNDLKPRAVLSCPEKKTIQQVVFASYGNPLGICGNYTVGNCHTPKAKEIVEKACVGKKSCELVVSHDLYGGDLNCPGTTATLAVQAKCSKRQKTAEQ >cds.KYUSt_chr1.40158 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245966727:245966975:1 gene:KYUSg_chr1.40158 transcript:KYUSt_chr1.40158 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPSAVVMMSLQVATKLAGTRPGRYVLLSLCPAWPVVVSGTKVARRVGMGLVMEAPVQAACAVVVQVRWHSGMDALELGS >cds.KYUSt_chr4.34691 pep primary_assembly:MPB_Lper_Kyuss_1697:4:213073575:213075847:1 gene:KYUSg_chr4.34691 transcript:KYUSt_chr4.34691 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLVVDGNVWWMKAPAMYRRVGVTRDCLRYVEVSEEEPFVLSSFLLDADGRGWTLNHRVPLTMLWAADGGYPWLPLQGKITRPKIGVLDLGNINVVHLIVGDHIVVVDMHKGEVLTHCPREGDIKILPCYLSPWLPTSPIPSAGMYKDASGEVRQDASSAMPKPPYPYRLK >cds.KYUSt_chr7.4157 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24822636:24826610:1 gene:KYUSg_chr7.4157 transcript:KYUSt_chr7.4157 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAWPFLAFAAVVAASMVVMPVRGRFVVEKSSVRVLAPEHIRGHHDAAIGNFGVPDYGGTLTGVVLYPDAKATGCAEFHTKFKSKSGRPVILLLDRGECYFALKSWNAQQAGAAAVLIADSIDEQLLTMDTPEASPDTEYIDKINIPSALVNRAFGESLKKMAEKAEAEGEVVVKLDWRESMPHPDERVEYELWTNSNDECGPRCDEQAAFVKSFRGHAQILERGGYARFTPHYITWYCPEAFKLTRQCQSQCINHGRYCAPDPEQDFGAGYEGKDVVVENLRQLCVHRVANETGRPWAWWDYAMDYKLRCSMKEKKYSKTCAEDVVTSLGLPLDKVLECMGDPEADAENAVLTKEQEDQIGRGSRGDVTILPTLVINDVQYRGKLERTAVLKAVCAGFKEGTEPHVCLSDDIETNECLHRNGGCWRDQATNVTACRDTYRGRVCECPVVNGVQYEGDGYTHCKAVGPGRCALNHGGCWWETRGERTFSACSDNALTGCRCPSGFQGDGHTCKDLDECKEKLACTCPDCRCKNTWGSYECSCSKGNQIYIRGEDVCIGNSMYRFGWLIGILVVSCAAGLGVAGYVFYKYRLRSYMDSEIMAIMSQYMPLDSQNNEHQPLRQHASDA >cds.KYUSt_chr3.30284 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189633799:189636209:1 gene:KYUSg_chr3.30284 transcript:KYUSt_chr3.30284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein, Drought tolerance, Control of tiller outgrowt [Source: Projected from Oryza sativa (Os01g0741900)] MEEGSIKREAMPRLLDLIPDEKQWNMRGAPAVPSRPRNTGFGGDEDKKLELKLGLPGLVEEEKAATSSKNMIHQESPALSLGHLPTTTTGAKRGFLDTVEAKPQGCNKEQKQQGRAACGKELAAEENTAAVSERKKGCCPPPPSHAPPSATPARNSGNRPQARGRGAAAPVVGWPPIRPFRRNLASSSSSRQPSKPQNGEVDAKATLNCNKSPLVKINMDGIPIGRKVDLAACDSYERLSVAVKDLFHGFIQAQRDASNAERAQQGADEKIFSQLLDGSGEYTLVYEDNEGDRMLVGDVPWNVFVSTAKRLRVLKSSELSHDLARAQHLDCSDRITPASDIN >cds.KYUSt_chr6.31221 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197733083:197733418:-1 gene:KYUSg_chr6.31221 transcript:KYUSt_chr6.31221 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSAAAVLEDGYLASEILLRLPPQPSSLPRASAVCKSLRIVASDPSFSRRFRIHHRRSRPLLGCFMRTGNELRFEPTEIGIPNGPAEDSTRGFLKAHDSKFMKPGSPIKR >cds.KYUSt_chr5.3140 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20328901:20337613:-1 gene:KYUSg_chr5.3140 transcript:KYUSt_chr5.3140 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLRPNLKFLASSANITVFREHGIAVIILFLFFIVFHHNPSFSVLGPTIVTGHETGSQKVAMNGAIVSADAASRARKNIADGPHSCGGVDIPYPFGIPNDNGEVDFREGFHVTCDAGEPVLATTGDKIPIPIGNFSVETAQAIVWLPVARQCYDSSGNRKEPEYMRLEFNTDGVYRISHERNYLFVLGCNTVGFLGSEPDNNTYAQFTGCLCYCNNSQSAVSGACSGVGCCHSDVPPDLVDNAVFFDGYNHSHKLDFSPCDYAFLAEKDHYTFNTTDLKRSLGDGRNPWSMPVVVDWAIRDSLTCKEAKKKDDYACKSSHSSCLNATNGPGYICKCRKGYEGNPYVRNGCTDINECERIGPHYHCKGDCKNKQGYYECTCPKHTRSADPYREDCRPDFPRHAKIIIGAIGGLFIVAIMVGIWYLMKEKRKMREHFVRNGGPTLEKLDNIKLFKKEDIRKIQKSSNIIGRGGFGKVYKGCIGDNNQLVAVKEPINVNSANKEQFANEIIIQSRVIHKNIVKLIGCCLQVDVPILVYEFVPNGSLHDILHGDRSMSLNMGLRLQIAAESAEGLAYMHSKTTATILHGDVKPANILIHDEFTPKISDFGISRLIATDMQHTGHVIGDMSYMDPVFLQTGLLTDKSDVYSFGVVLLELITRKKASHSDNNSLLRNFLDAYGKDKSVIDLIDKELAEVDREILNGLAQMIMQCLNLDVSQRPEMTDVAERLRDMVKRYRSGIIAT >cds.KYUSt_chr5.37807 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238892309:238893571:-1 gene:KYUSg_chr5.37807 transcript:KYUSt_chr5.37807 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLTSLQAIELFIEGNRRVFHYDAAEVLSLRVRDAQAAIQLLGPARRDGLTVWNYGRTSLLSTAGFMMECADMIVNDLLREVDAGALDQEHVESLRSNGEFTLRQVRDDDFFDFVTEVGEQVVSVARSMKKPFHRNFHQHRGSLRDLRAAINTLLDRTVVFPSTAPALGPVLLGSSINDGYPAQPAMAPATATPTAPSTAAPAAPSTAAPTAPATATPTAPSTAAPAAPSTATPTAPSVASSTAPATAAFSAPSVASSTAPATAAFSAPSVAASTAPATASSAAPSSASSAAPSSASSKGPSTAPSTAPSTAPSTAPAPLLVDYATKDEKVDAPARKEVEAPALILDDDAATPQDGKVDAPARKEKEAPAPKEDALLAQTNSPKVPVEAAPAEGEEDLDAAQEVDTTHDATPAPDAAD >cds.KYUSt_chr2.51209 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320177463:320179079:1 gene:KYUSg_chr2.51209 transcript:KYUSt_chr2.51209 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLALMPELGEWDNRDPDQSPPRNFYLDLIRNVGVARRRCNRQPVHTGSTSTYSSYSTASGASNPYFYQPNNSYCSSLSCSRSGAIADFGAHDHELTKIARRMVSDGYAQRMVTAFGGGPDRARLETWFMELDVHWVLQLHEEHGLPPDLQDKTSAASHQELIERWIRALTVIAASIVELVLIVTVDEMPAVARFGKASMAKMLVFVGAIIPALEADMIGTLVDMYVWVSSASYRFTPLLISREAQTILDEIGRSLSRLREAISSTTEAARTFIEDEDSNWAIAIQRGRGEVHECTRLMVDFIRYLVKAEGSMRNTAHSHDTAYLRGLIHDYVHYLKDLLLRKSDLCLDLSLRYLFLLNNFYLVTNRFEPLPGGHWGLTPECKKFMDSYLDVSWGHVLSHVQKKVFVDTALDVSCGPVLFGIPRKGLHGSGQHRKNTSSLAKFNSAFHQMYQAQKFWKVPFPRLRHVLRESITEKVISVYGYYLEKHPDLEKHISCHRVISAPSYWYFMKKNRELENLISSSPDVLKEMLAELFER >cds.KYUSt_chr5.38457 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243161240:243162675:1 gene:KYUSg_chr5.38457 transcript:KYUSt_chr5.38457 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIPNKSFPENGFVSKSETAKAPPPIEVPEISLDELKGKTKNFGSKALIGEGSNGRVYYAILDSGEPVAIKKLDTSSDPEHDNEFLTQLSIVSRLKHENFLQMLGYCVEGNQHMVVYEFATMGSLHDILHGTLAMSWKMIACFFIRPTIIKTIHLGDWSDLKLFLLFNGKQGRKGVLGAQPGPALDWMQRVKIAVDAAKGIEYLHEKVQPSIVHRDIRSSNVLLFEDYRAKLADFNLSNQSPDMAARLHSTRVLGSFGYHAPE >cds.KYUSt_chr6.29133 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184582787:184584187:1 gene:KYUSg_chr6.29133 transcript:KYUSt_chr6.29133 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATSLGGALGFLTPRRRSVSFAATGGARRRPPLAVVRAASYEAGVGVMASKVGMMTYFDPSDGKPVPVTVVGFRDGGNVVTQVKTAATDGYDSVQVGYHGVREDKLTRPELGHLGKAGAPALRHLQEFRLVAVDAFDPGQELEFNELFKEGDLVDVSAKSIGKGFQGGIKRHNFKRGLMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGKKTKIRKLKIVRIDNDLKVVMIKGAIPGKQGNLLRITPAKIVGKNIPKS >cds.KYUSt_chr1.16500 pep primary_assembly:MPB_Lper_Kyuss_1697:1:95746022:95750452:1 gene:KYUSg_chr1.16500 transcript:KYUSt_chr1.16500 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFQRSVPTVGGGGRDANAVHGHLQPPMHGRRMSGGTGYPAWYSAHTSFMVSLPWPKPFAAAILWEDEDDIAWTDEEKIWGARRRFGSARECASCAGLAADGDTYPTRKVIRRAAESARLRNTPCVLISEQKEAKWRLWELPVARRAPAPVRQLFWRMRSSWKNAVMRRPRGGAPRFGYDLHNYSLNFDDGHLGSAA >cds.KYUSt_chr7.1304 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7085128:7089834:-1 gene:KYUSg_chr7.1304 transcript:KYUSt_chr7.1304 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEGCRRQTVTGILTSRLHTSNRRAQVTMEREARERRGLKSNASKKGSDTKVTPLLGPTRAKARLVEIGEPMDQTSSAAAETPHPRAKATLVLGGESFAVSSESGTPSEQLAAMREKSMVILKDYITRHNAPNDVPDESVEGLSDDEGEALAKNPPKKSKKQK >cds.KYUSt_chr2.11756 pep primary_assembly:MPB_Lper_Kyuss_1697:2:74831480:74833157:-1 gene:KYUSg_chr2.11756 transcript:KYUSt_chr2.11756 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTLSSSQDYLLLLLPASTTFLSPILAVLLIAASLLWLCPGGPAWALSRCRGPSPPGAPGAVTALAGHAAHRAIAALSRSVPGGTALVSFSVGLTRFVVASRPDTARELLASAAFADRPIKDAARGLLFHRAMGFAPSGDYWRALRRISATYLFSARRVAASSPCRLAIGDRMVGQLATGCGDAVSMRRVLHAASLEHIMATVFGTSYDAASQEGVELEAMVKEGYELLGMFNWGDHLPLLKWLDLQGVRKRCSSLVRRVDVYVRSIIEEHKQKKLGRGNGGGGEELAAGDFVDVLLGLEGEDKLAESDMVALLWEMIFRGTDTVAILLEWIMARMVLHPDIQAKVQAEIDAVVGSGRAVSDADVGSLPYLQCVVKETLRMHPPGPLLSWARLAVHDSSVGGHLVPAGTTAMVNMWAIAHDPEVWPEPEAFRPERFAEEDVSVLGSDLRLAPFGAGRRICPGRALALATVHLWLAQLLHRFEWAPADGCGVDLSERLNMSLEMEKPLVCKAKARL >cds.KYUSt_chr3.30818 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193378717:193382197:-1 gene:KYUSg_chr3.30818 transcript:KYUSt_chr3.30818 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSAMISRSNSPRSVDSRAALTRSAPTNRALRHSQIAAAIFFDDTCRRMSSESAPSRYALALLFFSGSTATVESSGTTASRTPPHVEMEMNNNVVMDSMSDSSDWSRKEAHFTRAQEAARKDIERAFGVLQARFAIVRAKFMGFQGSPPAYLTLPRPIHVGKGFTGINYACTGAGLRDLDPNLDGWLTIPMSKQLQQFAATRAQMEAKLGGAQAVTALLAKSFFLIEVGGVDLTHYLPYPYTPTEVRDLLALYGDKIKSLHSMGARRFGLINVGLIGNSTSVGGGYDVADMNKHATEFNDGLKTLMDGLAKTLPDLRYSIADLYTFTETVFANPSNYGFEDTQSPCSQSGDSSQPHCDNPAQYWFWDYYGYITEHAANLVATAFFYGPPQFTAPVIFRALLDEK >cds.KYUSt_chr6.6719 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40481229:40482822:1 gene:KYUSg_chr6.6719 transcript:KYUSt_chr6.6719 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLRAAVVDVPVGAAPNAGVVSAMISATIPSKRKRFPKQFFEAPAAAAASPAAASPGEAPLAAKKAGRMKTKAAGPGGAAPAKVRTKAISRIGLAPPLPSKATPSPPSVPSDAPPAPPPSTMDVDKVFDVESTTSYMDMLNGSAVNLDAGIDAFDGECNVEEIDEEEEEGDEEEVVEVDLAAAGSSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQQRYKDMVGSKNKEFQFHHCFSILQHLPKWKLRDNEPKCKKEALLTMDDEAEDMSGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKMIAAKEAKVMKELLAEEREIMMMRTDGMDEDQLAWWNETKADIIARKKPARQARAQGESPASGGAGGDGFVDA >cds.KYUSt_chr1.41773 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256119795:256121869:1 gene:KYUSg_chr1.41773 transcript:KYUSt_chr1.41773 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHTHTGLTPFLVSPASGHGTPTSSYAGSCSYADGKAAPETGDAGAAFVLESKGTWWHAGFHLTTAMVGPTVLTLPYALRGMGWGVGLTALTAVAAVTFYTYYLMSRVLDHCEAAGRRHIRFRELAADVLGSGWIFYLVVTVQTLINAGITIGSILIAGNCLQIMYTSLTPDGPLKLYHFIMVVAVVLALFSQMPSFHSLRYINLGSLLLCFGYTILVSGACIRAGMSSNAPVKDYSLSPSMSGKTFDAFMSISILATVFGNGILPEIQATLAPPAAGKMVKALTMCYAVVFFTFYLSAISGYWAFGNAVQSNALQSLMPDEGPALAPTWLLGLSVMLVLLQLLAIALVYSQVAYEIMEKRSADAAHGRFSRRNLVPRVALRTGYVAACAFVAAALPFFGDIVGVVGALGFIPLDFVLPVVMYNVALAPPRRSLVYVAHVVIIIVFTAVGVIGAVASVRKLVLDAGQFKPFSDHVVD >cds.KYUSt_chr5.7729 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48651053:48651601:1 gene:KYUSg_chr5.7729 transcript:KYUSt_chr5.7729 gene_biotype:protein_coding transcript_biotype:protein_coding MANFKRVAAVQLLLLFVVFVMGTTSRALSAEPSDACGRDLYLRVVHAHHVLFLAAAVLLLAVRVAVSAAEARAVAAARAADGDSKAFDADREAAAAAVKGLSAVASRWKRVSLRATLLLAVVLAAAASFLAVVAVPDGFRCRICCNPRYYGWYGPGRTIGAVMLCLMLVVHGYSAWVAVSQN >cds.KYUSt_chr6.19571 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123162297:123166182:-1 gene:KYUSg_chr6.19571 transcript:KYUSt_chr6.19571 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVSTTLWVVGKALAPVVNGVLGHWDASKNLGLNVEAVGMELLLVQATLESASRKHMSGKATEELLRKLQDSARCAEDLLDELDYFRIHDQLHGTYDAADHHAKGGVHDLVLNARHTASDALGFSSAATPAESQRAVEDERQRIGCCVWSRARWWSRGNSSSTPNANKPDQEEVSRCLPQLGKLLPRSSSPNVQDDNSGSQSTHCGAPQREHPEKPTMLGFNRVVFSERMKHIVEQLQPLRRDFTTIMQFCDPITVPDISQSRPITTGQSIELKLYGRDDIVNSIIHDMTKGKYHNSDDWERLLLPLKTSQEKGSMVLVTTRFPAVAAMVGTTSHSIELEGKIWGLDTLILKHIAAMKEFVIEQRFCRLIKLKLVGLGSFEKWGPSQDTGMFPFLQVLIIRDCPRIFGLPFSNPNQDWKVDWFPKLQELEIVSCPGFLLVPRIPWTETLRHVYIIDVKLLEKFEYNSISPADLYIKGKDDLQRLDQVLAFSNLAGLEQLTLKKCPPMECKHLLMLTSLKKLVVESSDGLVGSLGGGDDVQWQHPVQHLVVDGLCGASVKKLSGLLSHLPRLSELCITKCENVTQLAVGMDMQQTTSTAAPEVEKEDGLLLFPADHSGSLQVLYISECPELFPSPSCCIFPSSLLDLNLKGVEGLGTLEPLSNLTSLTHLALLYCGEDLRCKGLGPLLAGSGQLKELVVYGSRRFFAGWDLNLRQVLLQQDEGEDQQLVSPPEACSSKLQVLRSDDTMGLLATPICSFLSSSLTKLKLRWINKIKMERFSKEQEDALHLLTSLQQLKFSNFREL >cds.KYUSt_chr2.40027 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248585036:248594622:-1 gene:KYUSg_chr2.40027 transcript:KYUSt_chr2.40027 gene_biotype:protein_coding transcript_biotype:protein_coding MEALATGSAPSRPGLPPPTRHSCLRRPKTLPSSNPTRRIIAAVPMDGTSGRPSAPLRCTPAETDAVATSSDQTEAETAAAAGATEQGNGVPAADAPAASIEGLDGIKIRRRPVTGPSVHHVGPFQFRLENEGNTPRNILEKIVWDKDVEVWQMKEKMPLYRLLGPLGSAPPARDFVAALTASYDRTALPALIAEVKKASPSRGVLRENFDPVEIAQAYEKNGAACLSVLTDRKYFQGSFENLEAIRNAGVKCPLLCKEFIIDAWQLYYARSKGADAVLLIAAVLPDRDINYMLKICKKLGMAALVEVHDEREMDRVLGIDGIQLIGINNRNLETFEVDISNTKKLLEGERGQLISQKDIIVVGESGLFTPDHISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFDHHLSPTQIRGQGYDGASNMKGEIKGLKTLIMKESPSSYYIHCFAHQLQLVLIAVANDNEACVWFFDHVSYLFNIIGVSCKRHDMLRDVRAQQVLQALEMGEIESGSGLNQEMGLSRPGDTRWGSHYKTILHIVDMYPVILEVLVRIGKDPSQKSEWTRIRGVAAAFESFDFVFNLNLMLVILGYTNDLSISLQKKDQDILNAMALVGLAKEKMKDMRSSLGWERFLAKVTFFCNTHGIEVPSPESNYVAHGRSQRAHIQDAHHHHTPGRCGFNANFSRAARPLTSSSP >cds.KYUSt_chr4.22842 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143655357:143657112:1 gene:KYUSg_chr4.22842 transcript:KYUSt_chr4.22842 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTSVVAFSLNPPAVTELDASEALPPWILLETRVYFDQRDNYTAASTTTSRDQHEITVSICLADPPALSYVCLRCPSLSTNNFVGEPRVLRSEKQLLLLHLPLTWSTSGVLKRSNEYYMYQVIQGKPKLSRIPDPNPPSLLLPDDLGIYCAADAPGEYILAGLCLTRDAQAHELHLYSSKSNAWTMKPARLENPKFEPRLPVTPHKVIPLGGSLIGWVDLWNGILVCDVLSSRRRQVLLRFIPFPERLPGNTSDHLCPWKVRDVTCANGSLKFIEIEHYPDPNDDLDTKPLSIFGWRSVIWNRVLSDNCWRLGCNVGFHDILVENPSPPSALLAGDAAGDSKTIDLLPSFPNLSIHRDDVVHAKCLVRLDVLKSHMVSIDMRSKALKALVPCTAVKLDAYCPHFPCVLSNHLSNTPGIECNNLMNKEMVPLQKKRIEPGVGV >cds.KYUSt_contig_1993.475 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:2729680:2732546:1 gene:KYUSg_contig_1993.475 transcript:KYUSt_contig_1993.475 gene_biotype:protein_coding transcript_biotype:protein_coding MVARVSRCAWGGRRQAIDEHSRAPITIRRRVSGERRRPLLTSTMRMSLDKYMSEKSYKKRISRSVSTITKRMTMTNKDDFAADCMFDEGDGPGKKALGLWWAASGEDGVGALLELPCQLAMAALVVCCLVAFLVGVVSISIHDEDDVARGKTDLAAKRATMGEDMKPFDDQVGYILGVWIGYTFEFS >cds.KYUSt_chr2.6613 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41260807:41261949:1 gene:KYUSg_chr2.6613 transcript:KYUSt_chr2.6613 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTASPATAPPSSFPSTTRKSPLPILRLPRKLKLPAASLSSDPSPAFQSVTAFAPATVANLGPGFDFLGCAVADAALSLGDTVTATLDPSLPPGTVAISAVTSPARPHLAARLSRDPLRNCAGIAAAAALRALGVRSHAVSLALAKGLPLGSGLGSSAASAAAAAKAVDALFGSLLSPHDLVLAGLESEKAVSGFHADNIAPAIQGGFVLVRSYDPFTLVQLPCPPALRLCFVLVTPDFEAPTAKMRAALPKKIDIGHHVRNSSQAAALVAAVLQGDAAGIGSAMSSDGIVEPTRAPLIPGMVAVKAAALEAGALGCTISGAGPTAVAVIEGEEKGEEIARRMVDAFWSAGKLKATATIAQLDRAGARVISTSRLLE >cds.KYUSt_chr2.5173 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32120799:32125027:-1 gene:KYUSg_chr2.5173 transcript:KYUSt_chr2.5173 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVAAAFPFRLFSAEAARRSTKGARGKRSSARPVKSPPLPPAPSSAAVIGRGATTFTRLPLREAAPESAEVTLERFPTAPGDPGRRAPALPRGSARLLGVEEDEEEDEEVDFGSRAATTVHRLPLRDAPIGASIGQFDARAARKSLNGRAISRQMVEHLEDDNEEEEEEFVVTRLDIFKGGKGRKARAVPPEETGEEDGAVVFDPDYGVDSDDEEEEFGTAAIGTAEFDGLEYDGEDDDEAEVLVYHPDDEDEQEEEEVGVFEGSFQDNDGEEADGEGEVKEKGVPAVMRCFDTARIYAKAGDGGNGVVAFRREKYVPHGGPSGGDGGRGGNVYVEVDGDMNSLLPFRKSLHYRAGRGAHGQGSQMAGAKGEDVVVKVPPGTMVRSAAGDIELLELMKPGQRALLLPGGRGGRGNAAFKTGTNKVPRIAEKGEKGPEMWLDLELKLVADVGIVGAPNAGKSTLLSVISAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGHEFLRHSERCSVLVHVVDGSGQQPEYEYEAVRLELELFSPTLVDKPYIVVYNKMDLPEASERWNTFREKLQAQGTEPYCISAINRQGTQDVVYAVYKVLQKERQRVKETEDWNDTQNLNHVADAIKRERSSAMNDFEIIHDKSTNTWNVVGAGIERFVQMTNWDYTESLKRFQQVLEACGVNKTLVKLGVKEGDRVVVGEMEVFWNEEPKRAASKTTNSRDDDAVRWPKFS >cds.KYUSt_contig_1948.272 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:1602398:1603684:-1 gene:KYUSg_contig_1948.272 transcript:KYUSt_contig_1948.272 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGWDELPDDVVQQIDGRLPCPLDRVFMAACCKSWRTAPVGPPARSLPCLILPLVRGPSVACILGGGALHGLDLPADARRARFFGSYEGMWAFVALRRRDGHVLLNVRTGERIPLPDIEFGKITRFRRAVYDENGAIQTFRWAGIGGPRRPVMMLAATLSRAPAVDDEECVAGAILNVLGPEGRVNHWRYVCFWRLGSQMAIQAQEVSTHVGWSPQDIAYFDGRFYVLTKGEHLRTYTVLNEPDPNREGVDNFHAKLCNLYHTGRGHKPDDAVRRAGYLVVSRGQLLMVVKEWMPDDGATSCIRLFALTPAVVLLHDDPDSSLAWTAVESLDGRLLVVGPGCSRAYECAHFPSGCIQEGVYFLDDRTYYNYTFFAPYFPEQSSPDEFSCTDNGRCRLLPARPEHCFPMKPGESSISTYSPPVWLLP >cds.KYUSt_chr1.39065 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238805421:238805904:-1 gene:KYUSg_chr1.39065 transcript:KYUSt_chr1.39065 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRLPHAGDPTSALERSWTGTSATGKVDHDELVELSLFPLPLVLFLVLKTDLRFGVLFVGASGASDVGCVREVAEHERLAEDRFILISKGQEQFRVVRSKSCLVATMEWLENRRPGECWATRYMEIVCNFVSYSV >cds.KYUSt_chr4.45689 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282756677:282760540:-1 gene:KYUSg_chr4.45689 transcript:KYUSt_chr4.45689 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSSDQYRSSSSSAGSPSAAAAAGRRYYFPRPGRPISFEDSPDWGDDITAAGPHTLTSASLASSSYPSPSPSLPEPPAPAFRERKVAGAALVWKELTVSVRDGGRRYCSGRAVKGSSGYALPGTLTVVMGPARSGKSTLLRAIAGRLRGAERMYGEVFINGVKSPLPYGSYGYVDRDDVLIESLTVREMLYYSALLQLPDVFSSKKAAVDDAIAAMSLGDYADKLIGGHCFAKRLPTGERRRVSIARELVMRPHVLFIDEPLYHLDSVSALLLMVTLKKLASTGCTVIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPTDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKSSAESASVESMIAKLTEKEGPYLKAKGRASDAARVGILTWRSLIIMSRDWKYFWSRLVLYMLLALSIGTMFINIGHSLSSVVVRVSSIFVFVSFVILLSVCGVPAHIDEVKIYSHEDANQHSGTSVFLLGHFLSSIPFLFLLSISSSLVFYFLIGLRNEFNFLMYFIITIFMCLLANEALMMIVAYIWLETYKCTLTLICLYVIMMLVAGYFRIREAIPSPVWNYPLSYMSLHTYAVQGLVENEYVGTSFAVGATRTIPGVQAVRGSYDISSSTGAKWVNLLVLLLMAVGYRVVLYVLLRLDVRRHVRLGRVSWPTIHTGAAPK >cds.KYUSt_chr5.41418 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261419099:261430699:1 gene:KYUSg_chr5.41418 transcript:KYUSt_chr5.41418 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSTRATGSPANQIAPPFQGRRPGIPTLPAAAPRKARNTAARIDQQAPKKAREAAAGHHGRRVPDLGANWAWQGPGPLPQPARRAAREATQPTPRPAPSQKASPPPARPAVAAEVRAAVLAARIPPPAELAPPSTADASAGAAAAPSAPHTRATTPPPEQPRRHAPVGVAPLCDETARAGDTARKGEGEIQQIKPSPNKAIASKRSRTGQALHQTMATPADLTQQLPEDLLIAVLHRLPPHGLAAARCACKAWHALVDALGLLDPFRSLLAGFFINYRDLDFSEFFFRPPRDGAAAVSGKMHYVSLTEHDDTTVLHHCNGLLLFWYYVVNPATRCWAPLPPLPSEMGVGPSDINVDPRYIVFDPPVSPHYEVLIIPPPPRHEMQQLEWPPSPFVMHVFSSATGSWEDRSFARQGAAIGTVGYLRELMKPHFSMIRDYSAYWHGQLYVLDQFVMRISLSNCTYQAFQPPVHVGTRDQGLCLGKSENGVYFASFDHRCRLRVWILNELLGEIEWVLRHDNNLDDMLSRRIASLHGYLDGMVAAPAKTTTEGTGDAARQVDNPAYATWWTQDQKVLCRGIVTAYAIGWLIEVVPERSHGGIRMRFWWRLRLADDFWSELNLLSGSGLRRAGVSAASGPAPDLTGLTPGSAVGSSGHINVPPDPVR >cds.KYUSt_chr5.19777 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128397051:128399164:-1 gene:KYUSg_chr5.19777 transcript:KYUSt_chr5.19777 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAPLLAREDGTAEGSGRGGSTWAQTLGNVVVSIVGTGVLGLPYAFRTAGWLAGSLGVAAAGCATLYCMLLLVDCRNKLEDEETEEPRDVHYTYGDLGEKCFGTIGRCLTEILIFLSQAGGSVAYLIFIAQNLNSILPQFMSPDGFIFAILLPMQIALSFVRSLSSLSPFSIFADVCNVLAMAIVIKEDVRLFDHPFADRSAFSGLWAVPFSFGVALFCFEGFSMTLPLESSMAERKKFRWVLSQAIVCIMFVYSCFGVCGYLAYGDATKDIITLNLPNNWSSSAVKVGLCIALAFTFPVMMHPIHEIFEARIRSSGCLPKLSHNGGGANWIALHLSRVAVVIILAVVASSVPAFGSFISFIGSTVCALLAFVLPTAFHLSIVGSSMSLWQRLVDYGFLLFGLVFAVYGTFAAL >cds.KYUSt_scaffold_1259.294 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1944375:1948208:-1 gene:KYUSg_scaffold_1259.294 transcript:KYUSt_scaffold_1259.294 gene_biotype:protein_coding transcript_biotype:protein_coding MWCASCLASACAGCACNLCTSAAASITRRSARLAYCGLFAASLILSFLLRQFAAPLLQQIPWINTFDQTPPEEWFQMNAVLRVSLGNFLFFATFALTMIGVKDQNDQRDAWHHGGWIAKFAVWAVLVILMFFVPNIVVTIYEVLSKFGSGLFLLVQVVMLLDFTNNWNDSWVEKDEKKWEIALLVVTVVCYLSTFAFSGVLFMWFNPSGQDCGLNVFFIVLTIILAFAFAVIALHPQVNGSVMPASIISVYSAYLCYTGLSSEPDDYVCNGLHRHSKQVSMSSLVLGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSGAKNPLLGDTNVEEGKAGGEARPVSYSYTFFHLIFALASMYSGMLLTGWMSVASEKSELMDVGWTTVWVRICTEWSTAALYIWTLVAPLLFPDRDFS >cds.KYUSt_scaffold_1259.571 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3829100:3829750:1 gene:KYUSg_scaffold_1259.571 transcript:KYUSt_scaffold_1259.571 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAADLPTQACPLPQSKAPADDPELQTRRRRRRCCCICFLVTLGVLVILGVTLLALFLTVLRVRDPRTHLISSRIVGVAPSLTQPNFTLLITASVHNPNPASFSFASGTTGLWYRGTHVGDAQVDPGSIPSKGDTVVELEMTVFTAGFTANMTQLLEDIEAGSLPLDANARIPGRVAVFGVFKINVVAYSDCHVVVGFPDMGIRGQDCRDHAKL >cds.KYUSt_scaffold_1259.567 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3811836:3812711:1 gene:KYUSg_scaffold_1259.567 transcript:KYUSt_scaffold_1259.567 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSDDISTQPNPAEKLTRDSLVQIMSRVPYKSLCRFKCVCRRWRSIISHPDHRKALAQYHLQALAGFFCRTHDHNFRLVHNFATVSVGGRPIINPSRPFLPNCGMFNIADSCNGLLLCRCFATTDSLAFTYVVCNPATEKWVVLPGWFKKIPTARLGFDPAVSSHFHVFQFVEHGVPNSDGLMDAPDVRGDGHVQGVGIYSSKTGVWSHKDNGLELVPRIVSDSKSVFVNGFLHLLAMEFLVLAVDVEGTTWRVIPLPHDDYEDALFINTNAGFIDLSQGCLYFANSDD >cds.KYUSt_chr2.48352 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302298105:302301314:-1 gene:KYUSg_chr2.48352 transcript:KYUSt_chr2.48352 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIRPRTGGPPKAPFPSYDDGPGAGAGAGGLGGMRKPNKEILEHDRKRQVELRLVLLRDTLEEQGYTEGEIEERVEEARKEAEIEAAAAAVVAEAGGKAPAGEGFTGTQSHHVAARKEKQLETMRAALRLDVVTGQKKKDDVDSDPESGELVPGKEYDGLDIDAHNDSKALKDGKKHAKKGKKGKGNDRKGHRSSSRKSKHGYESEDDSETDHDEKKGKKHMKKSFLDRDVDDYVHKKTIHGKDTRPIPEADSESDHGKKTKQAKRSRDEGKKVPVKSSRHDAKDEKSRRSKYKDDSYSDSESDVSYSDSESDYDQKRKKSSQHSSKDDKQAPKAKEKEANSGKNADKRKRHDSDSDGYAHERKVHLDAAVVRKDHSHEKSKSDLKSDEYKSKRSVETSRHDSEDEKLHSKVDSRKRHDSDSDGYAHERKARSDAVVVRKDHSHEKSKSDLKSDEYKNKRSVKTSRHDSEDEKLYSKVLPKDKKHTDEYKRPVKTSRHGSEDKKSHSKVIRKDKYTDESETDSGMHNSEKKKAAKSVHHVSKVDKAAPKLKEKEEDTGKNVAKRVRHDSDSDSDEWKGRLDTKVKKILEEKRRVMSSSDSSFYSGSSSDSSSSESDASAESQENRKSGRGLKNSEDKTYAKRASYKNESDERKISQDGRRKDLNDQRHKEEERTEREKQKQREEQRKELAKQKHLDEARKELEKQKRQEEERKELEKQKQSEREEERPKEREHERRKGEHGMERDYQREVGEDRYDPSANRESDDEYRGRRPREDYGRHRTDSRDPKRSRYDDSYKHSRPEYEDRYSRDEYRDRRHR >cds.KYUSt_chr3.17963 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110481521:110482966:1 gene:KYUSg_chr3.17963 transcript:KYUSt_chr3.17963 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKLESKSTAAARAAAAKATAAAAKIAEPAAPTPQPETLAEFYAPSSQTVAQKTGSAAAATEEKPPQELGGEEDEWEEVEVEEEVDEEEQQQQADEEVDEGESDPASIQALLEAFPKEQLVELLRDAAVGHGDVLAAVRAAADADPAQRKIFVHGLGWDTTVDTLKEAFGPYGEIEDLKLVSDRNTGKCKGYGFILFRRRAGARAALLEPQKKIGNRTTSCQLASVGPVPSGGAASNPMLATSPAPAPPALVLPPVSEYTQRKIFVSNVGAEIDPQKLMQFFAKYGEIEEGPLGLDKATGKPKGFALFVYKTLDGAKKALQEPHKSFEGVMLHCQKAIDGPKPNKGGGYGAASTSGRKGAAGYGASSHSMAGSLGAGYGMSSPASLASLPGGVPGGQGMNPVLGQALTAFLANQGGGLGLNNILGVGPNASGVPNSGSSGTLGGGGVPGMPGGYMGGYGGGGGYGGPPGGPGRNYMGH >cds.KYUSt_chr3.7283 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42131079:42132093:-1 gene:KYUSg_chr3.7283 transcript:KYUSt_chr3.7283 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVVELRLEFDYGGAVYFAPRVIEILNSTLPVDGAKTPVEAAAALDALFDENYSAEADDSAEGFLWWFWTLMHDLSRQVPHNSPEAERLASTLQALHDLPTKSVKLGKSWGGGTLEQWRDMPFFGPSYREALDDDPGAGDEEGRKQRFLNLQSYAARAAGLGVIEVEGWCLWALWTLVEALEGSMTPVRGAPDEINDDPAAVQGYMVKSAAAWIIFAGNRLYGRDEEVVGASAGPLWRLCKEEIVKLKRKTKGADGFCPERWNLWKQRFARIRDADELEADVRSAAGCAFTAMEAAEKFHTPS >cds.KYUSt_scaffold_1242.41 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000011.1:212623:213858:-1 gene:KYUSg_scaffold_1242.41 transcript:KYUSt_scaffold_1242.41 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIRSRDGTDRITVPSAATVADLQRLIAATATVPVPLQRLSLDPALLLPAGQAAPLDPSAPLASLPLANGSFVYLSYPPAARSAQPPPPRALAPAGSFGKKMTMDDLIARQIRVTRQESPLCSQASFDRDAANAFQLYVAESLAFAVKRAGFLYGRVDADTKDVLVDFIYEPPQDATEDVLHLMRDTTEEARVDAIADGLGMRRVGLVFTQAVGRKASDTGEYTMSSREVVQAAQLQAEGGIPEWVTAVVKLEVGDDGSGDVHFEAFQMSEVCVKLFKDGVLETEIGDSDDPRLSKMRKEVIAGGKDTMEVDNDFFLVPVKISDHQGPLSTGFPIENRGLPLATKLRAHLDRAKHLPFVKRISDFHLLLQATAMLDVKADVPALAACVRLQAEVPEGYQLLIEYLAGQT >cds.KYUSt_chr1.3680 pep primary_assembly:MPB_Lper_Kyuss_1697:1:22399382:22402198:1 gene:KYUSg_chr1.3680 transcript:KYUSt_chr1.3680 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERYDCGPFDRCVSSCLSEGFNYCQCYDEDDEEPYSGDSCRQPSAGSKSPDVWNGPSKPLLAAGSKSPDVWNGQSDVWNGRSKPLLAAGSKSPDVWNGQSDVWNGQSDVWNGQSDVWNGRSKPLLAAGSKSPDVWNGQSDVWNGPSKPLLAAGSKSPDVWNGQSDVWNGRSKPLLAAGSKSPDVWNGRSKPLLAAGSKSPEVRGGLSEAFLAAGSKSPDVWNGPSKPLLAAGSKSPDVWNGQSDVWNGRSKPLLAAGSKSPDVWNGRSKPLLAAGSKSPEVRGGLSEAFLAAGSKSPEKIWDDWADGATSNSTAIPRAPVVASAPKEWGDSGGSTSHSMSIPPSSTLTDVTLADGGRAFDCIACCLSLKPPIFQCDQGHAVCLACLKALSWEGSAAAARCNVSGGHHTDGYRRNQPLENAVGAICVTCPNAAYGCTDLLTRYFLPTHRRSCSYALTASCAREACSFIGSMEALLDHFIIVEKWPYTAETQAGKSFDIHLLGGFNVVGAVRGTRQHLLVLFMARRPFGSTVSAICISPQPKDVSWLRPPPEALKCKLELHFLATPNSQTSKHDVVTSFDVPCTNPSDGLPHPDDFFQFSVPKSVHPDDNAAIKVTASITLFYPEA >cds.KYUSt_chr5.23807 pep primary_assembly:MPB_Lper_Kyuss_1697:5:155001223:155001726:1 gene:KYUSg_chr5.23807 transcript:KYUSt_chr5.23807 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGKGVYTALAISNAIANLPVVNYVFYRSLLLMIVSKRLLIITGIHMSCPRASSSTCQTIMSSASSPEGLLRGLEYNELRIANNDLASRLAMLSSSRAKQLRRLHLMTKKDVHNVVEEHFLAFLAFIV >cds.KYUSt_chr1.23713 pep primary_assembly:MPB_Lper_Kyuss_1697:1:141265659:141266517:1 gene:KYUSg_chr1.23713 transcript:KYUSt_chr1.23713 gene_biotype:protein_coding transcript_biotype:protein_coding MASAACTSTAILVLLVSLAAMATTADARFRAMQWTPAHATFYGDETAAETMGGACGYGDLHATGYGTDTAALSTTLFLDGYGCGTCYQIRCVGAPDCYRGSPVITVTATNLCPPNWAQDSNNGGWCNPPRTHFDLAKPAFMKMAFWRAGIVPVMYRRVPCMRQGGIRFAFQGNPHWMLVYVMNVAGAGDVGEMWVKGSAGGGWVLMSHNWGVSYQTGGQLAGQALSFKLTSYTTGQTILVADAAPSTWSIGLTYQARTNFR >cds.KYUSt_chr4.13465 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83032100:83034454:-1 gene:KYUSg_chr4.13465 transcript:KYUSt_chr4.13465 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVRVKRPPPRPPPAVAQPVAKAKSEKMHPTLAPLLKTLKVRLAIGPPLAPTAKAFKSYAETCASLLRLCRAAVIGSASPASTSSFSTNLPLALSLHAHAVRSGVAADRSVASHLLTTYAAFARSAERDRAFLDCVDANAASSFTYDFMVSEHVKAGDIPAARRLFDGMPERSVVSYTIMVDALMKRGCVEDAVELYEQCPLGSVAFFTAMISGFARNELHRSALGVFHDMLGSGVRPNDITFVCVIKACAGAGDFSAAMSIVGLAIKSNFFDKNIEVQNHLITLYRRMGDTASAFKVFDEMEAKDVVSWTALLDVYADAGDLDGARRVLHAMPERNEVSWATLIARCEQKGDAAEAVSLYSEMLADGCRPNISCFSSVLSASASLQDLRGGARIHARTLKMGCSTNVFVSSSLIDMYCKSNKCRDAQIVFDTIPQKNIVCWNSLISGYSYNGKMVEAEDLFNKMPARNSASWNTIISGYVQNRQFVDALKSFNAMLASGQIPGKITFSSVLLACANLCSVEMGKMVHAKTIKLGIEDSVFVGTALSDMYAKSGDLESSKKVFNQMPERNDVTWTAMIQGLAENGFAEESILLFEDMMATEIPPNEHTFLAVLFACSHGGLVEQAIHYFETMQAWGLSPKAKHYTCMVDVLARAGRLTEAEDLLMKTPSRSEANSWAALLSACSTFRNEEIGERAAKKLRELEKDNTAGYVLLSNMYASCGRWKDAAEMRLLMKGITLKKEGGCSWVQVRGEYHAFFSWEARHPLSLEIDEILDLVTWELSI >cds.KYUSt_chr1.22952 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136042731:136051420:1 gene:KYUSg_chr1.22952 transcript:KYUSt_chr1.22952 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSFKRPAAAAAPPAFTVNPSDYRLMEEVGYGAHAVVFRAVFLPRNATIAVKCLDLDQLNNNIVSIRFVPLVPPCSPRAPLLGSPSADEVQREAQIMSLIDHPNVIRAYCSFVVEHSLWVIMPFMTEGSCLHLMKIAYPEGFEEPVIASILKETLKALEYLHRQGQIHRDVKAGNILIDSAGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRRFSKAFKEMVAMCLVKDQTKRPTAEKLLKHSFFKSAKPPELTMKGILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWHFDIEDLKAQASLIYDDEPSEIKENDASRTIDVEKDSYSRNPLGQSSSAIENYRSELTCTTISDAMGIVSSSAIENYRSELTCTAISDAMGIVSYPVIKFAMSDSDCRRIPNGYENSRLENDSLPSTSKKDPESKYWRSNVGQKQQSYSGPMESAVNSSTTERSHGFERDATAPALSEKHGSDTRRAANLSGPLSLPTRASANSLSAPIRSSGDSLGDKSKRNVVHIKGRFSVTSENVDLAKVQEIPLSSISRKSPHGIQLRKSASVGDWIVNAKPMDLIVNLLSSMQQNEKADGAQSGMSSQVQTMESETVVETANTEKERSLLVKISELQSRMITLTDELISAKLKHVQLQQELNALYCREEIEDIRDEDIIET >cds.KYUSt_chr3.10300 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61172756:61173151:-1 gene:KYUSg_chr3.10300 transcript:KYUSt_chr3.10300 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKASARLGGCGGERVPGDDGGEGGSGGGGHQSALNQAATASALALPLFCLRFPGSKGRGGGLLCHPAAPTERWMGLGRRTAGAKVIDPRCLAQFDKEDAALLRLTSSASGLVQLEYLRGISPITTSNT >cds.KYUSt_chr6.33540 pep primary_assembly:MPB_Lper_Kyuss_1697:6:210705519:210705881:-1 gene:KYUSg_chr6.33540 transcript:KYUSt_chr6.33540 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAQIVVVAIVVAMLLSAPFAANAAISCGQVSSALSPCMAYAKGGAAPSAGCCSGVRSLASSAKSTADKRAACNCLKKLVGSISGINAGNAASIPSKCKVSIPYAISTSVNCNTIN >cds.KYUSt_contig_402.316 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1876038:1877054:-1 gene:KYUSg_contig_402.316 transcript:KYUSt_contig_402.316 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPTITSGPVPFTDVDDGTVPKHPAKEEFGDLVAALPRKQQAGLELRLYQGFWLPEHWVAGTVVFQRRFAPRPDDVILASYPKCGTTWLKALAFATMTRDAYPEPAQHPLLRLNPHDCIPFLDEIFADGQEAKLEKLPSPRLMNTHMPYTLLPESVTGADVPGCKVVYICRDPKDMVVSLWHFLRRRQPELSFAELFEHVCDGAVAVGPIWDHVLTYWRASLERPDRVLFLRYEDLLQDTGKHVRRLAVFVGRPFSAAEESAGAVDGVVELCSFEKMKGLEVNKKGSSGAYHAMPRDAFFRKGVSGDWVNHMTPDMATRLDEIVREKFRGTGLAAP >cds.KYUSt_chr1.33716 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204886150:204886851:-1 gene:KYUSg_chr1.33716 transcript:KYUSt_chr1.33716 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHGGTSWWFPTDILVEILQRLPPNARRRYRLVCRRWRKLVDKRSFPHRSKTLVVTDQGSAFVVNVQTERLKELWSGRTPARCRGMRVVGTCNGLVCLCDDGVTGGAITVANPVTGETLDLPPLPLPTPCHDAGDQCHRWHQAYSFGYNPTTGGYRVVHVPCRFGEEWVFNTVQVFTLGEKSWRQVHMARHVTLALGLSTSMAPHTGLRLLETLCHSTSTTISSRPPIIGDY >cds.KYUSt_chr6.3172 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18583534:18587082:-1 gene:KYUSg_chr6.3172 transcript:KYUSt_chr6.3172 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLPDYSPPPPPHAATASSPAAAPLAPGFRFHPTDEELVSYYLRRRARSLPLRADAIAEVDLYRLEPWDLPPLSRIRSRDAQWYFFARLDRKVAGAGAGGRGGPGNRTNRATPRGYWKTTGKDREVRHRGRAVGMKKTLVFHAGRAPKGDRTNWVMHEYRLLDCDGPQDLHVVCRIFQKVGSGPQNGAQYGAPYMEEEWEEEDDAIENTPTSGTSTEMAAITDTASAESNVEDENIFSNINELVQSQEVLNSSEMAHLQAQGLNETGEGSYGDGDVSIEEILQNPVSNVSSENIVEPEAQNAVDDHFSLADLSGYPSQDDGYVGQDGPIIWSDPSNGEVAEWPLRTYSNQNQANGTLSVEEFFDAGNDTNTYSGQEQACPSDDQNLYLQTNGLPGPQQVDDNMPFFDASNNHKWEDGNDDYLNVNGLIYPPIENESLFDVGEDLMAYFAEEDDFKFDISGSAVGSDSQLPDMLNFAQKDESKDGSTFDGISTFTNAKAQYGASSSGSRGNLYPDSALPGVPKDEKVDNDNSFRKRFISMLDYPAPPAMASEFPPTTGKSVAALSGASSVVRVTAGFVQHGGLSFTDSSDSWPLQKHVDFSLHLSVTVESSSMSTTKSIGGFDEEPATRMSTVPSVLRGGLYLFFVSAMILMLSFKVGSCIYSR >cds.KYUSt_chr6.19309 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121449792:121456533:-1 gene:KYUSg_chr6.19309 transcript:KYUSt_chr6.19309 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFIPAYFKKTQTSKLGDAQGISFFIDNIIRNPSKEIFSEMDEINAQHLIIPRSFQNTRDGPEEGHRATTQEPGSPPSHRTRGGGIVFREPSTAQGRRRPKRDHDTSGERKRKSAKVKVEEEETAEDAAILEAVIARSLQDLVPADNAMPLDQACAWSREQWEKEEAERQARHLQDAARFRRPATPPSGAVVPVIDLEASDDELYKPSPSPPRTGGRWGDGRPRRRPGGFGAPRPGSASLKPGPKVNPFGNAKPREVILQEKGRDWRKIDLDLEHRRIDRFKQRYSWMTGFTRAVISQL >cds.KYUSt_chr4.1255 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6633017:6633514:-1 gene:KYUSg_chr4.1255 transcript:KYUSt_chr4.1255 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQQRARPDDAMFVAVLGACARLGALDAGRWVHAYMGRTGRNAVDGVVGNALLDIYAKCGAVEQAAEVFDAMARRDVYTYTSMISGLEDALELFADMRRAGVKPNKVTFLGVLSACCHAGFVEDGLRHLRAMSEVLGCSLIEINGVEHEFQAVPPNFSAMRQIC >cds.KYUSt_chr2.10486 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66542716:66543674:1 gene:KYUSg_chr2.10486 transcript:KYUSt_chr2.10486 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAQEAHPTARRRRSCILSFTAARDRCLRRRFLSAGLRPFSIRLPSAAGPGTTVHVWAPPRPGRRAVLLLHGFGASATWQWAPYLRKLIAAGLDPIVPDLLFFGASSSPVPDRSEIFQARTVKAAMDAMGVRRFAVVGVSYGGFVAYRMAAMYPEAVERTVLVCAGVCLEQSDLSRGLFPVSDVGEAAELLIPRRPAEVRRLVRLTFVRPPPIMPSLFLKDYINVLGSDHIEEKTDLLHTLINDRKLSDLPKIRQPTLIIWGEKDQVFPLELAHRLERHVPLNFL >cds.KYUSt_chr4.38189 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235455106:235458736:-1 gene:KYUSg_chr4.38189 transcript:KYUSt_chr4.38189 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKGGTTVDEGKREGVKLANRTKKYFPSQHLHTINEVEEAINNITNYGCASSVTNEEGCVMKAPGVVARLMGLDSMPCSGAPKSYCTPSHDQFSSFQDTHNLNSNIEYSMNDHTRHGSRMDDGYIHKAPLDSRDQSMPRKMIERFELEALPQSSIKHLSMSHRKMMSPVENPGFNSARNVAQIKDAYPKIVQPNQQMSSQERSILSFPSRMPFKVSELRQRHVTPERMLPQQRQFSRATTELKDKKFCTEQHMDQSSNCEKDIVMPSTDSYLTKNPNFAKGNKRKYVSVVSHAKVEEPEEYISISNAREGRYSVCCWSSECSDGSDGSKADSSSFQFENIPRKSIVTEEEYIGYILSSVNHTKDEPVPLYVNREGLDLDPLLFDTLEEEMNTFTEWKGLMNDRVYRRLLFDCVNESLEARRLTYFREGYAAWSEGIIDLSRGIETEVCDEISGWKGMGDLAVDELIEKDMSSGLGRWVDFRVEAFEVGKELEREILSSLLDEVISDVCVGLARRQQCQLEI >cds.KYUSt_chr5.28949 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183237221:183245112:-1 gene:KYUSg_chr5.28949 transcript:KYUSt_chr5.28949 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSVSGGSGPGGVSPDAIIEWLQDEMGYPSAPPAPDQLRKICRGNMLPVWSFLLRRVRSERTVATARRNILVHGVAARRAREGGLGAGGAGGGDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSSARHKQVMLEAYDQQCDEACKIFAEYQRRLHQFVNQARDVRRSSIGVAGSVDAIEEMQLQSERDDLYSSVKSNRLSEDLALVETSRERSIRKACETLAADMVEMIRSSFPAFEGNGINSSCQLDVAKLGTDLDGEIPADVKAVALDSLKNPSLLLQSINAYTSRMKLLVHKETEKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKIGSELSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKALSQKLLQRLHGTVDTTGSKKLPTGNTPQNVTNSRHLELDVWAKEREVAGLKASLNTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYSALLRANMEASAFWEQQPLSARGYASRTIIPACNAVVDMSTNSRDLIERELVAFGQSLENSLCRLPATPQALLEAVGSNGATGSEALAAAEKHAAMLTARAGARDPSAIPSICRISTALQYNSVSPGEGTDSGLASVLSSLEFCLKPCGSEASILEDLSKAINVVHTRRNLAENDRVLLNRAHRAQQEYERVANYCLKLAGEQDKVVAERWLPELRNAVQEAQRCFEDCRRVRGLVDEWYEQPAATIVDWVTIDGQSVGAWINLVKQLHMEISRRTLAMSSAGDD >cds.KYUSt_chr7.11293 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69407290:69411920:1 gene:KYUSg_chr7.11293 transcript:KYUSt_chr7.11293 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDAGRQVYLPRCNGDVDDDGREKRTGTVWTATAHIITAVIGSGVLSLAWAMAQLGWVAGPLSLVLFAAVTFYTCGLLADCYRVGDPVSGKRNYTYTEAVQSYLGGWHVWFCGFCQYVNMFGTSIGYTITASISAAALKKSNCFHWHGHKADCDVLLTPYIIAFGAVQVIFCQVPNFHKLSWLSAVAAVMSFSYATIAVGLSLAQTISGPTGKTSLTGTEVGVDLNSSQKVWLTFQALGNVAFAYSYAIILIEIQDTLASPPGENKTMRQATVMGISVTTAFYMLCGCLGYSAFGNNAQGNILTGFGFYEPYWLVDFANVCIIVHLVGGFQVFCQPLFAAVEGKAARQYPSLARNRAVLFRLVSRTAFVGLVTLLALLMPFFNSILGFLGSVAFWPLTVFFPVEMYIRQRQIPRFTTKWVALQSLSFVCFLVTLAACAASILGVRDSLKIYTPFKTKV >cds.KYUSt_chr1.40529 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248377156:248386121:-1 gene:KYUSg_chr1.40529 transcript:KYUSt_chr1.40529 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGIMDASGCPRDSAWPELAGIQALENCGLNAWMFTSAIDIRGLHAWAFASAIRGLMQRRSCNDLESGLYLVATPIGNLEDITLRALRVLKCAHVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPSILKRLHEGEAVAVISDAGTPGISDPGMELARVCASEKIPVIPIPGPSAAIAALSASGLPTDEFTFVGFLPKHGRSRKVRLEVSAREAATQIFYVPPHGIHQFLSDAASSFGDSRPCVIAREITKLHEEFWRGTLGEANEAFATRQPKGEITLLIEGNSISADETPSDDFLEHELKEMMAEGHALSSAVKLVAEATSAKKKDVYALALRLFGK >cds.KYUSt_chr2.53353 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332904946:332905560:1 gene:KYUSg_chr2.53353 transcript:KYUSt_chr2.53353 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRPPTTPRGGAAAAAGCADPNTTFVQADPATFRALVQRLTGAPGGAPAASVEKQQVSGTIFPAVPLPLRRPKLQERRRAAPARLELARPQPFYYHPHHHNHHHHHHGIMQYSPVSTMDYAHALAASSSASSPSPSPPSSCSCGVVISKEEEEREEKAIASKAFYLHSSPRSSVVAGGDSGRPKLLPLFPVHSPRSSSFA >cds.KYUSt_chr3.11104 pep primary_assembly:MPB_Lper_Kyuss_1697:3:66064333:66069861:-1 gene:KYUSg_chr3.11104 transcript:KYUSt_chr3.11104 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSAPRLLFLCFTLLSHFHSSVATPSHLRLSVQDIATVEAAQPARHRAKAKTTFFEVDRPLRPPRGSSGPCSTLLLSHSFALTLTKPPVTTAYSPPSCLRGAGDVSLAVLEWRADCHGVQYDRIFGVWLGGAELLRGSTAEPRPGGVTWSVHKDVTKYTSLLATGNSTLAVYLGNLIDDTYNGVYYANLTLHLYFHRKAQSAPTPAASAPADLIVPVSRSLPLDDGLWFVVQNGTGVESTRVAVPANAYRAVLEVYVSSHYSDEFWYMNTPDQNGPFREVTVQLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNLPTYDIELTPFLGKLLDNKDHEVGFAVTNAQNSWYVNANLHLWLDPKASTTAGGLISHDAPKLAGGINSHSLDGIDGEYRATASRNISATGWVSSSRGNITTTFAQRLNFANTNVVSKNGSEQAINQTIDAHTEVGGGGTYAHQLHHSFPLYIFLGGNGSGTSSQRLMRKVQIGFMESRSGAVAGTSTLRNEQVAEAVVVLRDDQVAGASWRMHQVYNYGASNGACYVRNVTSVGYDVLFDHDEASSLNFVNLNVHFIRVDVPDLGQRKLGDFLSPELVTTLAIRERAGSSDERPCRALLELGSQGATADVCHLSQGRSCSVRITSLRENDLTISFEHLNIVTGAATTAARPIRPSRNAASPTPAAQESVGSAPALIFKKLTPAEMDDRCAKGLCFNCDDKFVRGHRCKRLFYIQSADDEEEPLADAHEEAKFSLLAITGIPTSDTMQVVICIGDRDLVALLDSGSTHNFIHEELATVVGLPFSSDRRLGVTVANGDRVTCHGLLNTAPSGIPSLQELQEELEGQARMAAKVQEAEIKRASKARIREGERGQWWPCATTDVELRELQNEGMISTHWSFTRDSDVPKPEAGEIVMTKAWVERGLSLPCSEFFLSVLNTYGLQPHNICPNSYLLLSNFVTLCEGHLGIQPDVKLWQFFFRVKKETKDKAMVNCGSMTFMLRPSRMYPPHDSHESVQYWNARMVL >cds.KYUSt_chr5.14939 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96594379:96595444:-1 gene:KYUSg_chr5.14939 transcript:KYUSt_chr5.14939 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISCLSPAARCSLLLTVGALVLVLSHGAHANGGYRTGLSSSFYDSSCPSTRDIVRRVIQDARVADARIPASLIRLHFHDCFANGCDGSLLLDDDVSSGIVSEKKAPGNYKSARGFNVVDNIKRSLEKACPGIVSCADILTLAAEISIELAGGPSWSVPLGRRDGTKTNIESADDLPSPFDPLDILQKKFKNMGLNDIDLVALQGAHTFGRTQCQFTQRNCTARQDEGTLVNFDAVTPDVFDNKYYGNLLRGRAPLSSDQVMMSDPFAATTTAPIVRRFSDNQKEFFRNFAASMIKMGNISPLTGRDGEIRKNCRRVNMKYY >cds.KYUSt_chr7.38860 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241884922:241886022:-1 gene:KYUSg_chr7.38860 transcript:KYUSt_chr7.38860 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNGAGAEEVPSVPRSLAPPRKVALVTGITGQDGSYLTELLLSKGYEVHGLMRRSSNFNTQRLEHIYHDPHATPSAQRPPMRLHYADLSDSSSLRRALDLVLPDEVYNLAAQSHVAVSYEIPDYTADVVATGALRLLEAVRLSAKPMRYYQAGSSEMFGSTPPPQSETTPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAGRDWGFAGDYVEAMWLMLQQDKPADYVVATEECHTVEEFLQAAFGYAGLDWKDHVVIDKKYFRPAEVDSLRGDASKSRKELGWKPKVGFQQLVEMMVDNDIELAKQEKVLVDAGYRDPKQQA >cds.KYUSt_chr2.32012 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197427691:197428029:1 gene:KYUSg_chr2.32012 transcript:KYUSt_chr2.32012 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAQGHITPMLKLAKILHTRGFHVTFVNTEFNQRRLLETRGPAALDGLPDFRFDAMWDGLSSPDADAHGPPEPPRTLLRRHEHLPAPVHGTSCQAQCPRLRSTACHLHHR >cds.KYUSt_chr1.18823 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110471144:110471584:-1 gene:KYUSg_chr1.18823 transcript:KYUSt_chr1.18823 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGKRGVDAAHPGLLDDEEEAAEGLAGVEEEGGRVVDDEDVEELELLPALASLRKTRMKKAGTSDWPADTQRRGAGPGRRAGSMMSATKTSESRDVEHELLERTATVGGCCGRGCSGACWGDGETQTWPRRRRLASWRRTTAAGR >cds.KYUSt_chr3.1290 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7216966:7220064:-1 gene:KYUSg_chr3.1290 transcript:KYUSt_chr3.1290 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHAVARWQAALPHVPDLIWIMASLGSHSAVSIVERGARCSINGCSHVRTGAGNREKWLPSTSSLMPGDENIDWLHCLGFASSYSTFHVQVPTLELAKTGHLTLLGESGEALIGREADLLAPLTKGRHNYVRAAITAMVGKQCTVITKVDQETYDADRGIVFLTVSKAQLITDSVTALGSSTHTSLAIADKAIVPPDYPKSTQSHLPEGCGSQTTPPKDIIEPTDADEEEVNISSFPKIAYVNLNVSVFAVKSTTILPLYPLVLYFLPLAYVYCSNSISSY >cds.KYUSt_chr4.3654 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20749076:20754924:-1 gene:KYUSg_chr4.3654 transcript:KYUSt_chr4.3654 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRISKLAHDGLTGHDLTLSWFTRLIQPLNFHKRLICAYSGVNDPLRVTKDNLLVDSLNKRIRTLVMTKEDFKEIFYIATTNSGKEDDAPEEEEEEEDTEKYFSCVVGYSIALCDLKMVKFTAEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMTDESLASYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDVLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNSGSATCKRGFVQFCYEPIKQIIEICMNDQKDKLWPMLKKLGIVMKNDEKDLMGKPLMKRVMQTWLPASRALLEMMVYHLPSPSKAQRYRVENLYEGPLDDIYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGRVATGMKVRIMGPNFVPGQKKDLYTKSVQRTVIWMGKKQESVEDVPCGNTVALVGLDQFITKNATLTGEKETDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIVSPPVVSFRETVLDKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDEGRIGPRDDPKVRSQILSQEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALADENMRGICFEVCDVVLHTDAIHRGGGQVIPTARRVIFASQLTAKPRLLEPIYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSATLRAATSGQAFPQCVFDHWEVMNSDPLEVDSQSFNLVKDIRKRKGLKEQMTPLSDFEDKL >cds.KYUSt_contig_319.844 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:5432703:5434310:-1 gene:KYUSg_contig_319.844 transcript:KYUSt_contig_319.844 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEGCVIACHTKEDFDSNFAKGKESGKLVIIDFTASWCGPCRVIAPVFAEYAKRFPNAIFLKVDVDELKDVAEKYQVEAMPTFLFIKDGEKVDTVVGGRKDEIHKKLLELTPGPASASA >cds.KYUSt_contig_2804.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000512.1:17431:23996:1 gene:KYUSg_contig_2804.4 transcript:KYUSt_contig_2804.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGVCVMAYVLYGAGVGRFRGGSDRRRLARPETGRRERIRGGGDPRRRAWPEGDRHGVATSGEEPVGGIEIRSGPLLAPLPGRFRQGADEQRLREALMAYINGNSIMSDAEFDGLKLPKRADSIPYMQPIIVASDGAMVAHGDLGVEPVSSGDVIKLLFLIAYNRYDRLVYVAYRLEYHLIFWDCPQTLKMENESTTTEDDVRSKEDTWVTTKDMGSPDLGIVESDGITAGKPSVEREGYEEVKLEKDHLRRR >cds.KYUSt_chr1.25327 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151948315:151949163:-1 gene:KYUSg_chr1.25327 transcript:KYUSt_chr1.25327 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPCDEIDLQLFAACTKISVGNGQLARFWNDRWLNGVAPAEMAPLLFRLTKRKSLSVAEALSNGCWLRGLSRISSEEELHQFLQLWVLVDHVQLLDQPDTIWWQYSSSGVYSASSAYDVHFTGRLLQPHLENVWKAKVEGKVKFFLWLWLRNRNWTADRLSSRGIACNPTCCLCDQEPESAAHLLINCSFSKEVWATVAPSNASLANACSQTTSVRSWWAKVNLCTPKAQRVETVVLACYTLWNVWKERGRRIFEGKDMNVAVLVQHIREEVKQVQTALLL >cds.KYUSt_chr4.36962 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227267401:227269837:1 gene:KYUSg_chr4.36962 transcript:KYUSt_chr4.36962 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPLQNVASSCWGKKRRPSKGRAHGLAQLPLLRIVRVNGAGKMPYVFEFAVASSYDLDRERLDWEHTAIVAWVIAAPSGTDRTDVDDVFRRKFRLRSSELMVSSHFPEQYLVKFSSGELRDEVMRTERCNFKMDGLDVHFRPWRTVSHAYNADLHFRVHVVVDGLPPFAWRPEVVDQLIGRKCAVQRLDDGFTTMEDTSSFGLWAWTPSPHCIPKVLWCTLVNKGAGGLSSKVRVEEDRPDQWKRGISFRVLLHVDVVEDFTGAPVLDGGEPLTSFRPVSHTLPPCHLGTIDGRPVGESSGSIPPAPNPALGELGPAFHQRHDDDDRLGRARSYARGDLDGPSRARPRESERSKSHRSLSKAADRRRSRSSETRDRRRSRSRHGSRCDDRELRKRHRREDDDDEDRREPRRDFSRRYSSASFRVKSASPHHIGGKGRSYGRSSDRSSAHYDGRRRHFTELRDAAPSGLVGDTFTRKFIPSIISCDVPCKDWKSAITGLPSAFASGFSRLASPPPQAHSGPPIPGAWLEDVLDGSATPPASPLPLVVPTEPLAPSVVPDSWEDAAEDVAEEAERQAVLEPEVEPGAEERTSSTINNLFVRPQAPLLPSPAPELFLPTQHEVQATSETRRSARLLNKPKMHTMDKAVQVLNTKLGVAAAGVPLLEARKAYVDKFKAPLPMTRYQLVNAYGL >cds.KYUSt_chr6.27942 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177246774:177248041:-1 gene:KYUSg_chr6.27942 transcript:KYUSt_chr6.27942 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFTDLLQPDGSPIHLDDVSPTHRRSNVGSSPLPRVLYSSGTPAPGPYGPYAPPPAPYGSYPPPPYPYPQPPPHAPPTGSGSGTVRLYPPPSYGSYAPPPYPYAPYGPYPPPPPEANASSSESNAVETIVPPRAKRLDWTTADEEKLVHAWIFNSKDSVAGNCKTGNSFWGQIAETFNSTSEPARRRTSKQLKDHWNSYNKEVSLFNAYHIQEESLRQSGADDAMVMRAAMERYANDKRVTQPFRRHHWWEAVRNEAKWKGQHGPGSGTDSTSKRSRLGVSGEYSSGDATTEEERPTGRDRAKAAARKGRRKGKESSSSSEVGSKSFAMRNMMKGLVKAKLFKQWNKMKDRSTDDMNEAEKRKHAKAIKMVKKELGLKDDDDEEEEQEEEEEE >cds.KYUSt_chr7.29692 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184750031:184755218:-1 gene:KYUSg_chr7.29692 transcript:KYUSt_chr7.29692 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDEAQGKGKKPGKCWKCAVDSHATKDCTVQHYCLACDNFKHPTLRCSSLRLPRPSAFVAGEGTDDALLCLPDSVHKAHLAPTCSPTALVSISGDKVPAKAIQDLMKRICPLNGQWKWEAVAHGDDAFLIGFPSAADLQRVDGFQMGVPAHKATATVSVWKPQDIQHKSELKPVWVHVEGIVAAASTRSTPEKETTPGDATATVGFLIDDNVPAHISDDRRSSDELADPLPPSPMEPMNTKFYQLGNGGSLVFEHDLNALSDHLDRPHPEFHGVQVNDQLGGEL >cds.KYUSt_chr7.15164 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94146867:94148357:-1 gene:KYUSg_chr7.15164 transcript:KYUSt_chr7.15164 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPGHSPRHLSPSPSPAPSTPRPASPTPSSASASASTLATTTSSKRRRPEVLDEDTYVVAIERIIERDFFPDLPRLRDRLDWLQAVRSRDPLLLRDAQLKILDRRRRLQRSGPLPTPTPATSTALRSPSFLATPSAAPSAAGGPEEEDEDEDVSAALSLDGFFRRYTSEDNESFSRILDKVNHRRRERYAHLLEPGQAAEKQLLEDAKRDRVTDGYGTSGQPPSTLEGAKFTAKNLLMYHPADRGEAPLTDEELAVRLKGLTKEIDKSNTRLHGKALAEDGRPKEEEAAAILYALVAGSTPGGIAYNDPDKGKKYDLEDLRKTPNPFYIESGKKADNGYSFVKTPSPAPGVDESPFMTWGEIDGTPLRLDPDETPGGSERSHFKIPPPPVRDVKAHVLSRDAAKRIKARTNMFHKPPLPSPARGGSASPRTLSPAAQKFVRNAIAKSTRTIDESLRASYRGSTPPASTPKTRFSTDPGLGSRSPSARKGSTPPW >cds.KYUSt_chr4.48958 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303334239:303335228:1 gene:KYUSg_chr4.48958 transcript:KYUSt_chr4.48958 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVAGMEEERCAAAAADEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLQVAPPPQAAAATVRAILSRDGLRGFYRGFGASLAGTVPARALYMAALEATKSSVGPAAVRLGVSEPAASAIASAAAGVSAAVAAQVVWTPVDVVSQRLMVQTSSCSRYAGGADAFRKILAADGVRGLYRGFGLSIITYAPSNAVWWASYAMAQRLAWRVVGTDRSESYPALMAVQGASAALAGGAAALVTMPLDTVKTRLQVMETDGMAARPTLGSTMRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYEVLKRLSAKEGSFG >cds.KYUSt_chr6.15531 pep primary_assembly:MPB_Lper_Kyuss_1697:6:97515204:97516676:-1 gene:KYUSg_chr6.15531 transcript:KYUSt_chr6.15531 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKKGLKKGPWTPEEDKLLVDFIQANGHGSWRLFPKLAGLNRCGKSCRLRWTNYLRPDIRRGPFTAEEQKSIVQLHGIVGNKWSMIAAQLPGRTDNEIKNYWNTHLKKQLRLMGLDEPPPGPTAGCPAARHMAQWETARLEAEARLSLRSSSSSATAAATATTTTSGSSSSTEADAGAAAKPSDVFLRLWNSDIGSSFRKVAPPVSVKEEEAVLPGEYDSSAASDENEMDAAAECQMFLDLACEEFAGDELGLFHGRYGGFSLFPPLDVLTEASLDTAF >cds.KYUSt_chr5.1208 pep primary_assembly:MPB_Lper_Kyuss_1697:5:8340086:8341930:-1 gene:KYUSg_chr5.1208 transcript:KYUSt_chr5.1208 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSAQSSSASSSSFTQHHHGRRRLPNSLRLSPRAAAATNSALISSPSSAARSPAYIPSPSTTTRKVPGYESTSPPAPIAAPARNGGQEQSAKSLNFFQRAAASALDAFESGFIHNVLERPHALPRTADPAVQIAGNFAPVGEQAPVRSLPVTGRIPPFINGVYARNGANPCFEPTAGHHLFDGDGMVHAISIRNGAAESYACRFTQTSRLSQERAAHRPLFPKTIGELHGHSGIARLALFYARGLCGLLDPSQGAGVANAGLVYFNGRLLAMSEDDLPYQVRVTAAGDLETVGRYDFDGQLACAMIAHPKLDPATGELFALSYDVIKKPYLKYFYFHADGTKSADVEIQLDQPTMIHDFAITENFVVVPDHQVVFKLAEMFRGGSPVVLDKEKTSRFGVLPKYAADSSEMVWVDVPDCFCFHLWNSWEEGEEVVVIGSCMTPADSIFNDSGEDRLESVLTEIRLNTRTGESTRRAILAPDAQVNLEVGMVNRNMLGRKTRYAYLAVAEPWPKVSGFAKVDLATGKLTRFDYGEGRFGGEPCFVPMDGEHARPGAEDDGYVLSFVRDEAAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFIGAADIDAQH >cds.KYUSt_chr7.35923 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224491567:224491869:1 gene:KYUSg_chr7.35923 transcript:KYUSt_chr7.35923 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTIAVKQLSESMECSATGEAFDHVERRPGIIPKQLRPQIRPVPSTPSREATRSASFTPRTLLLHRHPARSASSAATALRSAHRNTPIQIPSHPSPK >cds.KYUSt_chr5.35366 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223510310:223510990:-1 gene:KYUSg_chr5.35366 transcript:KYUSt_chr5.35366 gene_biotype:protein_coding transcript_biotype:protein_coding MERPGIRVLAEFDRMLVIDVGSEAHGMYHLPEKFIGEVTTSVHAFDMGGRLCIARHIAGRRLLHFWVMEPLRGQHMDHKKDKTMYGSWELRYTFYVDECGRRHDKQAGGAWLNNGDGMLCYRLGDYLYIYDTTKDDQRRKTKSDFSEWDHRIQLPAAPSSDKHRWNVYSGYRPSLFSPRNLFYRRNKDEQEKYEHDLAHALRYKHKHIDPPDCNVRCAKRVRDNNS >cds.KYUSt_chr4.9847 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59544710:59545860:-1 gene:KYUSg_chr4.9847 transcript:KYUSt_chr4.9847 gene_biotype:protein_coding transcript_biotype:protein_coding MREGDQGRDEARHEPEPGCGGEAAGQAAADCAAVCCCCPMALLEVLLLVAVRLPADLLRRARQRRRRQRRLRRSGRGGDASLSGSAKAMIAAVDALEADEAAAGARRAQAEVEAASELEREIMASSLYGAGFWRSASSRPGHRRRYTKTIKCNPAYRRRYTMAKESPKWTFNPHPRYTRQGTRVIEDRRGGTPMVHVSEGREEEPFIMVQGREKKKRRRRRRRKEEKKAGRPRTRLDRPHDRTVRLPPGRPAPGPDHPVPGPV >cds.KYUSt_chr2.49505 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309857196:309858292:1 gene:KYUSg_chr2.49505 transcript:KYUSt_chr2.49505 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPAPTSPLPTGHHHRSTGPVLRRPALVHSRRRFRSHAQKGPSTGTPSGSESDNVVLKAAWYASEALGVAASFFRPPSPEGDAEATNDESASESLSTLGPTQVAEAIKDDFARSYFVTGQESSPTPCDLHRFVEDSSLNHTRLVFCCLTGNLTLRAYEEDCEFADPAGSFRGLQRFKRNCTNFGSLLEKSDMKLTKWEDLQDKSIGHWRFSCIMSFPWRPILSATGYTEYYFDAESGKVCRHVENWNVPKMALLRQIFRPSKWAWEKR >cds.KYUSt_chr3.41653 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262854339:262856363:-1 gene:KYUSg_chr3.41653 transcript:KYUSt_chr3.41653 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDLYKVLAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFEVNYRAVAADVISKAVIVAVIAIWARFFLSGAGKGKGGTAGSWCITGFSLSTLTNSLVVGVPMLRAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVDVAKQSVVVHDAIPESPVKADVEAAVGSVDEAAGVVAVTVSGKPSVWRLVKTVAHKLARNPNTYASFVGITWACVANRLHIELPSVLENSVLIMSKSGTGMAMFSMGLFMAQQEKILACGTSFAALGLALKFALGPAAMAIGSIAVGLRGDVLRVAIIQARNRISNRLLNYNSSILQSLT >cds.KYUSt_chr4.7942 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47337501:47337932:-1 gene:KYUSg_chr4.7942 transcript:KYUSt_chr4.7942 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMIMACTLLLAGITCDAARHLADTTPAAAAPAASAVPGLPAVPTLPAVPTDTVTLLPPMPAVTLPTVPQVALPPTPAIVVPKAVLPPMPKVTMAPMPAIVVPKVTLPPIPNIVVPKVTLPPMPFVPNVNVPMPFAAPPPSA >cds.KYUSt_chr6.3009 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17667031:17681071:1 gene:KYUSg_chr6.3009 transcript:KYUSt_chr6.3009 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQEAVHFDKITARLKKLSYGLSQDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAALTASHPDYASLAARIAVSNLHKNTMKSFSETVKVMYTHVNERSGLMSPLIADDVYEIIMKNATRLDSEIIYDRDFDYDYFGFKTLERSYLLKVGGKVVERPQHMLMRVSVGIHKDDIDSAVKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECASISKSAGGIGVSIHNVRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWIPDLFMERVQSNEQWSLFCPSEAPGLADCWGDEFNNLYKKYEREGKAKKIVSAQSLWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVRENGVPIESHPAKLVGSSGSKNRYFDFNKLAEITSLVTWNLNKIIDINYYPVETARRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHSLKASAEIAANEGPYETYVGSPASKGILQPDMWNVVPSDRWDWPAVRGMISKVGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGVWSPVLKNNIIYQDGSVQKITEIPDDLKAIYKTVWEIKQKTVVDMAVDRGCYIDQSQSLNIHMDQASSGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTSLLKDKKLAEEEEDLEAKMAQNNLFNRSSPMKVVRVCKGMTSKQRALIRGADFGAILSMKCSKLIPELCRFLMEHFDPVARVLDFGERGKIPVNVQSVVSVMAVPMGTHPVPYKQNIDATSSVLEMMGINNGRQPTLSEVEKQLERSYPADDAYLRKFIIFLMSSVLAPTTGIYVSPKCYPAVINIEAIRRLDWARFIIDILIKTANAKGKKNWFKACMPYLMVLYVDSLESDVVDLPPGPRICVWTNQLIRHVVNLDTNTDGSFGKLPLKACFRNKTVLFKNDPSAIDMFIRCHAQEYPNEEKLVQYREAVINMCTAFEDGLSQFIRSFAPNQVKEGNPDVHQAQDEVDNMSKHKHRRRATKVQHTVRDDGEQKDVQVDQMEGEANPRDNEVKSKKRKPDDIIIAAGRPKKKKMKVSAVSEDCHVEGPAVFSEEKASDGQSVDITRNMFAEEKTSDGQSVDITCNMFAEDDQTLNKEPAKLYEEDGTDEGNVSLEELVQASEEVLPIVPVETEVSLPHTNTVDALRILQGYGTGSQSSTETPQVHITGEGMQVEQEDERKTPESEHQRKVRELAEDCPSFDLGFSPGKKTVVQHIVPELTVRELTVSEQTEQTETTHVQQVETVQKEQDSQVDEVIVISSNDSGDSLDKIFASIEMPISGGKAINLQNSSVVSPTTPGSSTPIPQTKRILKLGPQQKSPFVANDKKPSVPKSDTELYNKVCMYGGRNRDKLNEERIIDYGSFFVELRDLSDSVKPGGWLSNTTCEIALQVLSAEMAKQKKFIMPLMIAIMFSVLQDLTPEIKKMTGHYYLIVLNLTSGRFEVMDSLRREGDKALMADARTIIGSIKHLWATNYSESKIDISKYKTVHITTPRQLTTYDCGFFMLKYIECWNGRRMAPINPSDMPALRKIFLKKWMDYVENRIDWEELLFPVR >cds.KYUSt_chr3.42627 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269539438:269540031:-1 gene:KYUSg_chr3.42627 transcript:KYUSt_chr3.42627 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVQPLPAAASSSPSPCAYSDGHQSQQAYTVWMKSLVFNGNGCTVYTADGEVAFRIDNYGCKGGREVFFMDRVGNTLIRIRRKGFGMFRRWEVCHVAGEEEEATPWFSVRRAKKGGAAVTMHGGAGACYRVDGCCARKSDYRVISSGAVVAEVARKQTAAGVVLGEDVLTLAVGPQVDHLLVLGLVVVRGLMNRSL >cds.KYUSt_chr1.11749 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72473663:72474996:1 gene:KYUSg_chr1.11749 transcript:KYUSt_chr1.11749 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQLCLCYFCFEHCAQDQLLQAVGVPDADLEEDDDPEAEEEDDDPEVEEDPEVEEEDDDPEVEEDDPEVDEEELDASIIGGGRAGRSRRWRGGGAGMEELAGAAEEILAGGGAMK >cds.KYUSt_chr4.8868 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53231691:53239594:-1 gene:KYUSg_chr4.8868 transcript:KYUSt_chr4.8868 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALVDGESFSPSCSTLVMPALSIGNVGQLAVDLLIPSAKARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEEYESPSNGLAFIQQRSPIITGMMISFAKNVANFVSSIGKDHLVILSSLDSGKRRVIDAPNDILYYLSSCNEDGSDPEYEKLGWKKLEEYDPSQRLWKSLANLIDGGVLSEDMADDAEEMTLSDYYATLPFAALFFACKAKGLKVSCVLCYCSEGDNMPESFHLAEAGESMLSAVLCPGAPASGLLPLCGHQQPPTGRFVCDRPNAGTCCFVIQESGLVHALAMIRTVMACLVLQRDGLVEARATGLLESTLPCMHGVLAVVSTNAKVAKVCSKTQWQWVAAGEVQDNRDAELERGDVAIMDNAVFRIEDVELLA >cds.KYUSt_contig_786.285 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1563408:1564976:-1 gene:KYUSg_contig_786.285 transcript:KYUSt_contig_786.285 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVATMEERLASITANLNDSRRLLASCAWRLGAKEMGGKAASTSSFASSTLPLFVGTRAPEQATTKSSPSSTLSAYRVVADGAIYADAALTTTTPTRCSTVGSAVNGSGNHAVVAFPTSGTKYLAATAWTDNGNNKLQGESGIGMSTRAGSSTHILANPLEGSTAGARAVHTDLLRNTSFPRFDGTNPSLWRVQCLEYFNLFNINRCLWVIAARMHMDGKAKEWFEAYKLCQVVSDWPEFIDDVEAHFGVGDLPPSTLIFGVDHLNVTVDSSRGISPNVMDKAAEPTELTTVTHLAEPVSPSDEMVLTNVGGVSMFLESWVEPAETVSSKTTMIELTEETSIFVGDSSLFLELDTDSSNKVFKGDVLTIVGCVSLFLELDTDVDHIYYDAEVLTQVSALSRFLEQIMDSHHEIDKSMCLDHGVGGVRVVPLAVPSTEGKLQQLPWREMQTGTNRATLFLPWDPGVWIIVAKIPELSTIWTSATRGLNQQQVMLPEDTFSMKLKKKLSLQVTQLTTQTVGS >cds.KYUSt_chr2.13624 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86373256:86375269:1 gene:KYUSg_chr2.13624 transcript:KYUSt_chr2.13624 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRELGEDGFAGVEIRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPDGSVELYAEKVMYRGLCAVAQAESLRYKLLGGLAVRRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNQYIDGAVRHVLLRQLDDSALDAVMKKLSPRRRTWLEFTCFTAALDKMIDRLLPMKQIGVLLQRKFRAG >cds.KYUSt_chr4.4315 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24748850:24753492:1 gene:KYUSg_chr4.4315 transcript:KYUSt_chr4.4315 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGSLDQHLFPNGSRNGGSSTGRRRTGAAIRRWATRYEIVRDIAIGLHYVHHEYEPMVLHRDIKASNVMLDSSFRARLGDFGLACTVAVNRNSATGVAGTWGYIAPEYAICGKATRQTDIYALGVLILELVTGKRALDDDHVVNSDDMHITDWVWRLHGEGRLSECLDAAVLAAASEDEEEQMGAGEDAARLLLLGLACSNPNPSDRPTMPDVVQVIVKSVPPPEVPRQKPSFVWPPPGGWASDDDSTCSSMMSDVDRSRDEQQKTHVIHWVRVDCYHSIDEAYEDRKNRLETLLSRLNSLNVPTLSAGSIKLKIEDFGSTLQKSSISAENYKKSVVQAKEHILAGDIFQVVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVEKVSKPGTVKVEKLMNVERYSHVMHISSTVTGDLLDDLTCWDALRAALPVGTVSGAPKVRAMELIDELEGKMRGPYGGGFGNISYRGDMDIALALRTIVFPTASRFDTMYSYDGDNSARQEWVAHLQAGAGIVADSKPDDEHQECQNKAAGLARAIDLAESTFLDFSDV >cds.KYUSt_chr4.12060 pep primary_assembly:MPB_Lper_Kyuss_1697:4:73332038:73332646:1 gene:KYUSg_chr4.12060 transcript:KYUSt_chr4.12060 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSSLRYGDSLSVVAISGATAVLCEAISWLLIYRTTTYNSLRASIERHSRKLDSMKSTASGPTSSSSSSSHSQAASSRAKKMDRVETSLKDASRELSFAKLKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFAPVPLVQRMSHRGLPGNDPTDCAMVFLYFLCSMSIRTNLQKLLGFTPPRAAAGAGPGLFGMPDPKVN >cds.KYUSt_chr3.29613 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185253683:185255386:1 gene:KYUSg_chr3.29613 transcript:KYUSt_chr3.29613 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRLTCLVAVALLALATVARCDPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGVDFPGGSSGRFTNGLTTVDVIAQLLGFDNFIPPYAETGGDQLLNGVNFASAAAGIRAETGQQLGGRITFAGQVQNYQTAVQTMVNILGDQDTASNRLSQCIFSVGMGSNDYLNNYFQPAFYSTGSRYTPEQYSESLIADYRRYLQVMYSYGARKVALIGVGQVGCAPNELARYSPDGTTCVERIDSAIKIFNRGLVGLVNEMNSLPGAHFTYINAYNIFADILANAGAYGFTVPNAGCCGVGRNNGQVTCLPYQAPCANRDQHIFWDAFHPSEAANIIVGRRSYRAASPNDAYPMDIATLASL >cds.KYUSt_chr4.40304 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248741701:248743791:-1 gene:KYUSg_chr4.40304 transcript:KYUSt_chr4.40304 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMFYTCSDALPVFLNERYIFLRETAYNAYRRSSYVLSHTVVGFPSLIVLSFAFAVTTFFAVGLAGGAQGFFFFVAIVLASFWAGSGFATFLSGVVTNVQLGFPVVVSTPAYFLLFSGFFINRDRIPKYWLWFHYASLVKYPYEAVMMNEFSDPARCFVRGVQMFDNTPLAVLPVPLKVRLLCAMSSSLGINIGTNTCITTGPDFLRQQAVTDLTKWDCLWITVAWGFLFRILFYIALVLGSRTKRRQNKARGITVAWGFLFRILFYIALVLGSRNKRR >cds.KYUSt_chr4.21456 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135122982:135123379:1 gene:KYUSg_chr4.21456 transcript:KYUSt_chr4.21456 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTDDSSSSEDSYSSDSEIAVNTPATRVSAHHLFDGMPPGVDRIGALPDGVLHYLLSFLLVQEAVRMCVLTQSWRHLFKSTTGLCIVGTKGAGSVQVSGSSWTIC >cds.KYUSt_chr5.19395 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125840561:125841481:1 gene:KYUSg_chr5.19395 transcript:KYUSt_chr5.19395 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGTGGCGRALVPDVLAEILSRLPPNTRRRLRLVCRHWRHTVDTCTATDLRPRRAKTIVAIAETAFVYEDLSAAGRPRELWTHAMADGFKGMTMVGTCNGLICLCDDREPGGAITLANPVTGGTLPIPPLPPPSHAGGNRKWHRTYSFTYHPATGRYKIVHVPQNLDRILVFTLGEASWRDVPTVYRGTRCDLDVGIVSADGYMYLKVEGPDARVVSFDLDHESFASVGPLLAILSRQGSWGLTEVHGRLGIAFTHDAAEDLVRTDAAGTSCRCVDYRTRRRPRNGSTSAIVSQGHTLLIAGSTS >cds.KYUSt_chr4.50238 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311228543:311228824:1 gene:KYUSg_chr4.50238 transcript:KYUSt_chr4.50238 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASHSQLLVQALKNNDDDFGPCGVLIKKIKETLYLNFICLSVEYCPRACNSVADALAAFGSKLRDETQTVWSGHAPDFVLVHVNSDVAVPV >cds.KYUSt_chr7.12794 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78934887:78937895:-1 gene:KYUSg_chr7.12794 transcript:KYUSt_chr7.12794 gene_biotype:protein_coding transcript_biotype:protein_coding MKHITYLKLPGFNFHITDRDNDREALAIASMPELRTLQLFRDNLTNTGLTSIIDNCPHLEFLDLRSCRNISMDDALQAKCSRIKKSTLLPDFKDQRDHFDTDSEISSCSLSYSDIVVDTSYEYIVGDDSVPNEFLGPNQDSSSSRRRPTARRCRPQLPGEREFGADPLGVGRLPRRARRPVASPFPAQEGLVGDLTELLFLDTDCAVEVVQRPSRFGLLSKIHSAAAAPPSSALAVVPSVPTVYILVRSQMAGSDGRARDAGFGLIMKNQGGNTYKLIHMGKDKMIKEENLPDTLEVDSELYEETLKLIEKYEKQLKEEEEKKIKATQAKKASMRKGRSQLIVDDDTIIAAKEYSTWLKDPSNVSRPWRRVKTKLDLIRETQICDFEAAIQPSIEEEKPKQEKRKGKQPIIEEEKPKEKKRIKSKQM >cds.KYUSt_chr5.6469 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40052890:40054995:-1 gene:KYUSg_chr5.6469 transcript:KYUSt_chr5.6469 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVVKEVNTSTPAQAESKRDIFPVNITGIIHGNSIPPYLRRKEKPDPHYRRASTGSCHDTCKFGTHHSSETKKDGASRPWRQDRANARQGKQDQAELVPPGGKSGKKDPGPKNVSHVNKGSGSVKPGFTKQKPPLKVVPGHSESSPCIELPDEVSEDKCLIMSFDDQSNCGDEELSEGAVSIDLEMPLAIQDNDESDDHVMDSTLPSKDVRKAMEQSLVDHVSGHSAIECVSSEKRSDQTVMAPEKHKKIEHETKSESFSRGSVKPKTKATLTATRNTVFSHKTGVKSQQKVAGTSVESSDRPRTIAKRADVSATSKFNGDKKPQLIVASTSLKLKEIKTPSPASATDSKSTRFSKLKALATKIAPAPSPTSGKQTGRKMAEENVARKDSLLGQKKGEEKVTILSPLKLSRSINMSAKSLLSPRIRAAKKATSASPMKSKKVYGIESSSVHKEKIVKTTSPKIRKPDVNNKERKSHKEKAVVAKTEGAGRPMPSSTSSASSTLRQLPRKLTFRRAKVLTKLASSSDSNSPRRVRFRPAKATDDRNISKDHTRGRVTRKRGAVASVASRDSGASRAEVIVLRHQDTNEKKSEQRSLNKVIKETANRLVEARKSKVKALVGAFETVISLQEKRGRSSSVSVS >cds.KYUSt_chr1.39060 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238738091:238738888:1 gene:KYUSg_chr1.39060 transcript:KYUSt_chr1.39060 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIASASASDHRHRRAAKSSRPDAPAAPPTPTPAAKPSASAALHPFSFSWGAQRRLRCSKDGAPVYHSASPHTPSPDKEKPKPLPLQQDPARGCSSRPQRPRNLRPLRYAASRPEGAAGPHAALQSPKPAHAPPRKRGFAVALTPDEIAHDFAAIRRCAPRPAPAAAARRSSKKRTNRVQNAIDAMCPGLTLLNVDLDNYKIEERGQKK >cds.KYUSt_chr1.28808 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174266343:174268017:-1 gene:KYUSg_chr1.28808 transcript:KYUSt_chr1.28808 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRHFGPHLRDKLVAKLMKDVEGTCSGRHGFVVAITGVEEVGKGLIREGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKESEVRLKIIGTRVDATEIVSLVTLSFAEVSEIEVLSF >cds.KYUSt_chr4.11334 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68904808:68908722:1 gene:KYUSg_chr4.11334 transcript:KYUSt_chr4.11334 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVAVVITTDLPYQLRDNISWETISDKLTTRNHKNCCLKWYDTLASPMVRKGIWADVDDYLLVEASGEVCRQRWNQMVRGLGGHREKPFIEQLDVLSRCYCPEMIEYRKPEE >cds.KYUSt_chr2.27386 pep primary_assembly:MPB_Lper_Kyuss_1697:2:168009788:168011355:1 gene:KYUSg_chr2.27386 transcript:KYUSt_chr2.27386 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHVIGKLSVRVVRGHNLIIADPLTHTSDPYVVLSYGSQKVKTGVLKKNANPLWNEVLLLPVTNPTKPVKLEVFDEDKFTADDSMGVAEFNVTDIYDAAKLDLKHASDGTRIKTIYPVGTNYLGGESHVSWKNGKVVQDLVLKLKNVDSGSVVLQLEWVHVPGVTL >cds.KYUSt_chr6.13380 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83746578:83748722:1 gene:KYUSg_chr6.13380 transcript:KYUSt_chr6.13380 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGARLRRRCGKLDNRATWLWRRFASLDLELVEWPQLIAAKGTQILALCHKRAKAPFVSRRLPTDILSGGGGGSLQKLNLAFLMLPDDLPGAADGFPNLRELCLIRTATSDEDLEYTTGMARYAA >cds.KYUSt_chr3.32221 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202434965:202435273:1 gene:KYUSg_chr3.32221 transcript:KYUSt_chr3.32221 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANLAARAAERFTGLPWRVATVPEVAMGMRVRVRCPAPPPGIDVTGSPSIAVRLTATVRSLFPVLFMGLITDRWPALLSSVWMTQLQLCCTQAAIPILRL >cds.KYUSt_chr7.6728 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40598773:40599405:1 gene:KYUSg_chr7.6728 transcript:KYUSt_chr7.6728 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGCASPVSARGIRWLAMEISVTAATWSLRLFLDLAMAQPFNKLEISPLDLDLEVLASFHLPICCGNSRYEASSSFSSWGRDQGVPRVLECLAARLATGEQRSCSREYIHGGSVSAVVHDGRKATTPNLFVGVVHFGCRQQVSINLQAKMPRRRPFYSCPESSRRPTVPFPAVRCLSVQRCVSAVVLELDSIAFVHFFLGSFAQIVWTC >cds.KYUSt_chr4.12210 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74330452:74331338:-1 gene:KYUSg_chr4.12210 transcript:KYUSt_chr4.12210 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVSSLAKAPAPVLEQGLRTEVQIVPEHNGVDKTILTIDLKAQEMLREEEVAFESVAGSARRASSAAGQRLSVAAPPGSSDSQLLAVGQPVVATPPAAPRSPWLPFPALLPAAHGGCSPPCSLQLTVAAPYSAVHPDPRPNIHILHRPLQ >cds.KYUSt_chr7.18771 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116297859:116298650:1 gene:KYUSg_chr7.18771 transcript:KYUSt_chr7.18771 gene_biotype:protein_coding transcript_biotype:protein_coding MPADAKPRALLPVRPILLLSVSVLSLLLIYAYSSFSPPPPSSAAATLALAAAVPLLPPSPPNPHIRMRGGAAFRSYDDYLRHQLNKTLDPRLRRVWATRDWRRKVDAFARLFEALRADGLLSDASRALCVGARLGQEVAALRLVGVRRAVGIDLAPAPPLVVRGDFHAQPFPDDAFDFEFSNVFDHALYPDRFAAEIERTLRPGGVAVLHVAVHRRGDKYSANDLLDVHGLLGLFRRSEVVRVSKVDAFGLDTEVILRKKGSP >cds.KYUSt_chr4.45632 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282382314:282383480:-1 gene:KYUSg_chr4.45632 transcript:KYUSt_chr4.45632 gene_biotype:protein_coding transcript_biotype:protein_coding MWELAKAMAATTRGTRSSAAEAGGGAGGGTAGGGAGLDLRELETDGAESAGESNLRPGNLLRFSVRDESVKLVDGKMEIGELQFVGKLNRSRNFADSHSKVLFQYAARHASLRIEDLIASVQSNSMEVESTDIEMQD >cds.KYUSt_chr2.10831 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68783208:68784012:1 gene:KYUSg_chr2.10831 transcript:KYUSt_chr2.10831 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANPYEEQRRRQVEENKSKLEELRLHRLSAAVRVAAVKPMPKVTIKPRNHRPPPDVIRRSGRIARLDKQPYFRITKADRDKKAELHRPVYATNEERAYAIAKAEELKDQLDSHYPAFLRPLTLALIWMDNLQGIPLQFSKRYLPWCNEMILLVDEQGTEYQVLYRAHGSGLSATDWKPFAIAHKLADGDCLLFQQVERIKFKVRF >cds.KYUSt_chr2.7034 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44007330:44008129:1 gene:KYUSg_chr2.7034 transcript:KYUSt_chr2.7034 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDSGGGGCGSAAGLGQRGRMAGAAPPEDAAAGAAASTVAVAEDTTTSTIAAIDAAPKVGPGNVGAEEHVAESMGAGGGELAAGVVQLEDDDSSSDAGYQGIDEGDDEVLATVDDGVRNLLQRWVCARLV >cds.KYUSt_chr7.29634 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184418874:184422505:1 gene:KYUSg_chr7.29634 transcript:KYUSt_chr7.29634 gene_biotype:protein_coding transcript_biotype:protein_coding MYEIFSKLLEQQQRNTVPETVMYALEPNPVRLAGPGNYVSWARHAQLILSSHGYADLLVADEEKQKTGDTRTKQTNDRVLVWMLGSMEPIVRQQVEIMSTVSEVWMALEKQFAGKSNKMQATRIVEELTHLKQGTKSVTEYAGELKRLYRDLHYYHPFEPVDKKDLAIHHKWFESIVAKLFLDGLSQELNLRRQLIFSEPEWPSLDDIISSILEEETRLGQPKEDDLKCGDDRAALSMQYRRTPRPLGKIDKSKLFCDHCKRNGHTKDVCFELHGYPSWWEKGKTRPGGVQSVNRRQANHIASLREPPVVDVRALEEFNSKLKLSESLASSQGNSKVDSGLISTSHQGKDKVRVADGSMVPIAGRGSIQCTKSLSLSHVLHVPKFPVNLLSVSSLNKSRNCRSWFDPTSCAFQELGTGKILGTGTEHEGLYHLDDGSDEVALASCLPSCQELLLQHRRLGHPSFAILSRVYPSLFKLCPKEDLGEENVGVSDDNSCCGDEGDATGHSSLPSHGEESEMHEDPGNAIEEISGLKHHLAQEFEVKDLGHLSYSY >cds.KYUSt_chr5.35442 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223934532:223934735:1 gene:KYUSg_chr5.35442 transcript:KYUSt_chr5.35442 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRALVLAALLLAAVAVAPLARAQTAADAPAAADYIDESAYAPAVEINSLADTRAPAPAPGRAADY >cds.KYUSt_chr2.51704 pep primary_assembly:MPB_Lper_Kyuss_1697:2:323016564:323019820:1 gene:KYUSg_chr2.51704 transcript:KYUSt_chr2.51704 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPASAADQGLAALLVRMFLNEAGDPSAGQRHPIKRFFISRLDQQALARSPESLHWCYEWLPAGELQDSARTTPCGVYSCRRHNTSIELLIVIVVTKGWLKAYLDYMAISAIDDLRALHNHAWFTVSPRDIECEHVNRERNWSALASGAMLLSEASYDKSDRLPDAAAFADRNTGHYTAILSSKLITSTLATRDRRPTLSS >cds.KYUSt_chr6.24580 pep primary_assembly:MPB_Lper_Kyuss_1697:6:155266442:155267035:1 gene:KYUSg_chr6.24580 transcript:KYUSt_chr6.24580 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSRILFLVSLTLALATAPAAARSKQIHLHFYMHDITGGPGQTAVQLVKSPGPAHPSPYMPGAHFGDTTVIDDALTEGPDAASRAVGRAQGSYMLAGLKEPALMVSMNVALTGGAYNGSTIAVVGRDDVSAAVRELAVTGGTGAFRKATGHVLWRTAKVESRDHIVLELDVYATVPGAAAASDGQRQAGVMNTTE >cds.KYUSt_contig_97-2.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:100141:102246:-1 gene:KYUSg_contig_97-2.21 transcript:KYUSt_contig_97-2.21 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSMLLVASMPIIQVLLIGVIGAFLASGYSKVLTASARRDMNKVVFTVFTPSLIFASLAKTVTLSDVISWWFMPVNIAITFLLGSALGWIACKILKPPPHLRGLIIAFCSSGNLGNLLLIVVPAVCDEDGNPFGNDRSQCRSRGLSYSSLSMALGGLFIWTYTYSLMQKSGKLYHKMQSKSIQCPADSDEEHLEQFKEHAESAHNDEEAALPASAGPDEHDDGNHMEAPLLSCESEVTDKGFWTKLKEAVHQLAEELMAPPTISAIIGFVVGLVPWLKSLLIGDGAPLRVVQDSLELMGNGTIPCITLILGGNLTQGLRKSVLKRSVIVAIVCIRYVALPVIGIAVVHAARGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLVAAVALTTWSTVFLSILS >cds.KYUSt_chr1.42504 pep primary_assembly:MPB_Lper_Kyuss_1697:1:260165799:260169294:-1 gene:KYUSg_chr1.42504 transcript:KYUSt_chr1.42504 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRQGAKRIGGAVLQRTQAALTSPAVAEERRRLVPRRMYSTEEQITEELTRKIQQKKEELYDLMLKAEQSIWTSSFSNKRLLQLLSVHVKPRPGDTKWQLMCLSKRAINAFEIAGLVALSSLITSAGLSFKRFIGHVELVREIQKRKDELYDLIAKGERNCKTSSWWDAFLLARLCKDVTPRPSDCDWRDLKSSTSAISGIKRAGFFSLAFLAVDCYNGSSNDEKVAPGTIMKEEHEASEVETGSHLMGMGNLF >cds.KYUSt_chr5.14396 pep primary_assembly:MPB_Lper_Kyuss_1697:5:93479285:93485586:-1 gene:KYUSg_chr5.14396 transcript:KYUSt_chr5.14396 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLQENFEVASKNPSEEAQRRWRSAVGTLVKNRRRRFRHVPDLDERQENHAKRRSVQEKIRVALYVQQAAITFIGGAKKKEYQLTEDIIKAGFSINPEELASITSKHDLKALKMHGGVDGISKKVRSAFDRGVSATDLDTRQSIYGVNRYAEKPSRSFWSFVWDALQDTTLIILMVCALLSVVVGLASEGWPKGMYDGVGIILSILLVVMVTAASDYKQSLQFKELDNEKKNIFIHVTRDGGRQKISIYDLVVGDIVHLSIGDQVPADGLFIHGYSLLIDESSLSGESEPVYTSTDKPFILAGTKVQDGSAKMIVTAVGMRTEWGKLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFATLTFVVLMARFLIEKGTTVGLSKWYSADALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSAGTICTDKTGTLTTNHMVVDKIWIAELSKSVTGNNSIEDLNSSISSSAWSLLLQGIFENTSAEMVKGDDDKQIVLGTPTEIAIFEYGLSLQGCSDAEDMSCTKVKVEPFNSVKKKMAVLVSLPGGTYRWFCKGASEIIVEMCDKVIDQDGDVIPLSDARRKDIMDTIYSFASDALRTLCLAFKDVDDFDENADSPASGFTLIIIFGIKDPVRPGVKEAVQSCITAGIMVRMVTGDNINTAKAIAKECGILTDDGIAIEGPDFRNKSPEELRDLIPKIQVMARSLPLDKHMLVTNLRGMFQEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVGRGESFITKVMWRNIIGQSIYQLVVLGVLMFGGEKLLNINGPDSKTIINTLIFNSFVFCQVFNEVNSREMEKLNIFRDIIGNWVFIGVITATVVFQVVIIEFLGTFASTVPLSWQHWLVSVGIGSISLIIAVILKCIPVKSGDASASPNGYKQLASGPDDV >cds.KYUSt_chr1.4972 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30537586:30538463:1 gene:KYUSg_chr1.4972 transcript:KYUSt_chr1.4972 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGWEPEQRDLGDLGLAGIFRETILVILALLLQPQVLILLTPDYVFCVASLYCTGGGLRAAHSVLNERIPAVPLRRLFCTFYLVALPILVIFTSLSVAALLGLDDKILLAMRLLGLASAAYAAVVGHLACVVSVLEDAVLFGAVRRSRELLAGKFWMVATFFLTLDGCIIALLVAFQALMTDGARSLGFGFRLAAVTAIYGALPALLHVAMVAQPVAYMVCKSHRQEVVDLVQLHGSTTLAVDGDN >cds.KYUSt_chr2.34722 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214387367:214392076:1 gene:KYUSg_chr2.34722 transcript:KYUSt_chr2.34722 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSAGITGDRSPVELSFRAFSGFVVDQEFKAFSVPAGKAHGKNKTTPIEEADGLSFSEKGSPPSTSGQARRSVSNDSHLTRAPSEKHKAAKPRLSTSGKASLTITLSMHCKHYTFVHCSCDETRCSVLALQVYIGLMNTLPADTFQVSDRASVFGRASTSAVQVLDTLSSGMSSLSTGGGGFASGPPTKGNRVSILAFEVANTIVKGMSLMQSMSKENLKYLKETVLRSQAVQRLVSSDVDELMRIAAADKRQELRVFSREVVRFGNRCKDPQWHNLDRYFSKLESEIVPQPNLKETAKAEMQQLMTLVRHTADLYHELHALDRFEQDYRRKLEEEKQSVVFERGDTVQIIRQELKSQRKHVQNLKKKSLWNKILDDVMEKLVEIVHCLHVEIQDAFGPSDVAARLDESSESHQTLGSAGLSLHYANIISQIDNIVSRSSVPPQSTRDTLYQGLPPNVKSALRTRLQTCPEPQEVPITQTRSSLEKTLQWIVPVASNTARNDLMRRTPGHPEVLKIETLHHADKAKAEACILELVVWLHRLISYNNGSSLAGPGGRSPVRSPPSSTAPRPPPSKPALLTREDREMLQEVYMRRQRAAPGKSKSQELTSTTAATRRSSAVAALNKDDRLSKSSNHSLFLLARRPHAVAPVAVGFDIDGIEARGVIGRADVQKQS >cds.KYUSt_chr2.10059 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63658911:63660526:-1 gene:KYUSg_chr2.10059 transcript:KYUSt_chr2.10059 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWWEMGKLAASVRPPSNKCLCLFFDRGQALLMLLSAGPGGEGEEHPPVGLATKQRRCLGSPCANHAATVVVAAIFGRSSGLISTSTAEACGTVARARPAQEIKWDICKIEPFSSAFHRAHGMHSLSRVLRLPASTTTSSSCGLRRLSSHRRPPPHPPAATGDDEWNDAWETAWLPGDSPASSPAPAAPWESPTSETVAATVPAIPAEVDPDTKAFVADMDERWAERRAATRRPRAPRPPPAAEGAGGAEAKKAGADEYRTRKQRVHAALWVKEIDKMEEARLGGGGGRRGAADDIDRLLDSCSECVATPSPFVHYFFFLLSSDAAIFGSGCLVHSHCL >cds.KYUSt_chr4.12960 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79629202:79632400:1 gene:KYUSg_chr4.12960 transcript:KYUSt_chr4.12960 gene_biotype:protein_coding transcript_biotype:protein_coding MVWESSARSSGQDPVCMVVEAARQGAPAVGDGGARPSPWISVNKPKVCSPSSISILTLFVLLPLADRGGEGWGRRTENGGTMEMSRGSLSLVSWCGTSGWLSSCDVKSPRWGMETHATPAKPPINKHCWMQRCALDILDIDLAGRGGEEEDEDGGDGGIFVGPFQRWEAIFLSRSKATPWPIQLSAMDSGESTSVARSFLRFAVAYYGCVEASGFVPASSHDGGVADLWLDGGEREGPDCILSSLSPGGTLGMAVSPRPDPLRPESCTRGEGRRRHRVPLGTRAIINLTAPLSFLSLATALSPPPNCHITRRFPSRRPIRRTDAGRSTAAGGGDVGDQDLDIRRNLLRSLHRHLIFARDLELVLGRNNGGKDLSFSPSYSFWQNMPFSGISNDMHIRSARVSIRIAVGLMFCKTEKIMLGSPAEVPGEGPAKKRRGRPAKVGHFHNEPGPDHFLKIIFKPTFGRLMIPKAFVKWFGEIPSNIIITTNTGCNWRMTTRREGDDAFIDQGWSAFTVAHQLKVGQFVTFRRVSSFEYSVVIFDHTCTEMVSRCPYHGDDTRRIVFEHHV >cds.KYUSt_contig_2087.185 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:1113331:1114806:1 gene:KYUSg_contig_2087.185 transcript:KYUSt_contig_2087.185 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRQDAIVADYDVAIVGAGISGINFAYRLQERNPELSYCILDGRHQVGGTWSLFQYPGVRSDSDLYTFGFPWRPWEEKQPIARGSLILKYLEASAAEAGIDNKIKFNHRVNSMDWSSASSTWTLKVTAGAGVVSMRVRFVLLGTGYYDYDEPLEACIPGIDRFRGAVVHPQFWPRDLDYTGKNVVVIGSGATAVTLLPSMADKAAHTTMLQRSPTYILALPENDPLDRAARLLLPGALARRLIRFKWILSAFLLATLCRWFPRLVRAVILWRTSRELPSGTGMKPHFTPRYNPWEQRMCISPGGDFFRCLRAGKASVETGVIEQVTENTIRLESGRELHPDIIVTATGLKVQLAGGIDVSLDGRPVHMLDCYSWKGCMLENLPNLFYFMGYVNASWTLGADATAQLVCRLLNRITSDGFAHIVPRLSEAEKSTMSELPFLPLNSTYVHKGKNVFPKVGNINPWLPRSYYWKDLANAWWGDVQSGLEWSR >cds.KYUSt_contig_1253.881 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5498524:5517326:1 gene:KYUSg_contig_1253.881 transcript:KYUSt_contig_1253.881 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELSELADVTAQASGLLADDDPADGATRRGGGSSFLTVVALGNVVRSLPFAFSPSIGCCSLRHSLQDRLTKAGSSAKGRSDEINVKLSTSTAPPLKLIDLPGIDQRSMDESAIGNSVVRSDAILLVIIPALQAPDVASSRALRLARELDSEGTRTIGVLSKVDQAAGEQKAIGAVQALLANQGPRTAKDILWVATIGQTVPTASAQSGVGSETSPETYWQAEAESLLSILCGAPLSKLGRVALVDSLSRQIRTRIRVRLPHLLNGLQGKSQIIQEELAKLAENMVQTSEGTRVIALGLCREFEDAFLQHIAGGEGAGWKVVASFEGKFPTRVKQLPLDRHFDMKNVKKVVLEADGYQPYLISPERGLKSLIKGLLELAKEPSTLLVDEVHRVLLDIVSAAANATPGLGRYPPFKREVIAIASAALEGFKNEARKMVVALVDMERAFVPPQHFIRLVQKSHKTTQLKCGYATASIHLSSQKRADFPSPTTMKVEAVKTTSSIFENCISNPRQGCRVSECNLEEILDEEDPPRSSKDSRRSSGTDFGKAPSLVFKITNKVAYKHVLKAHSTVVLKAESMADKTEWVARIKSIVAKRTTVSEGGSLMRQSHSDGSLVSVSKKDGSLAVVLCQIEKAKEDMLNQLYTSISTQSIARIEELIQEDHNVKRRRTKFQMQSSLLSKVTRLLSIHDNRSNDSARSGLEPL >cds.KYUSt_chr6.957 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6090384:6093044:-1 gene:KYUSg_chr6.957 transcript:KYUSt_chr6.957 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFSQGYGHRHGRASCSSPPGKIEVLAVDASDEVEYPSDDCSLWSSSSVNGCCVCAIARFPQLTPTAATLIALMATAAATRPSGPVLLIPNYASVSPNRVKLSAARSPAKSVSVSSPPVPSGAVKNRRSCMCSPTNHPGSFRCSLHKEGKPAPALHGNSKPTPTPRPSAVVSTGCGAAEGEGSRTLARRAINPPTQPPRQRRAAGGFRPRPQPSRLSAVSFAGDRAGKNRQ >cds.KYUSt_chr7.26094 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162936867:162939592:-1 gene:KYUSg_chr7.26094 transcript:KYUSt_chr7.26094 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVKSAVGAAAGSAAGDGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKKFYFLLPTRSIFASFAAAAAQQAPVIPAQMPPSYVRPGHPRVSDFHERFSEGDYGRDSGEIGNGVTESGMKGKLKRTKKSPGDFDIYGGHRINVEPIGALGEGDNRSEIRMRVDRDMDNQQILQAEEKEVVSSVATVLSDLCGPGEWMPMRKLHTELVDQFGNVWHHTRVRKYLTAEDWSPIEAKGRPWYGLLGLLRKYPEHFVINTKCKGRAISEFVSLVSLLS >cds.KYUSt_chr5.7155 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44642744:44651863:1 gene:KYUSg_chr5.7155 transcript:KYUSt_chr5.7155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical domain containing protein [Source: Projected from Oryza sativa (Os12g0570000)] MAGDREKPPAQRPSSTSSAPERVARAVEAVAAAGEFRNAYRRQLLALSRRIRLLGPFAEELRERRRRVDEREERALAPLAAALERALDLLRLGRDGSRISLVFERDRIMKKFQEVIAQLEQALCDFPYNELDISDEVREQVELVHTQLKRAKERVDTPDDEFYNDLISLYNKTYDPSAELAILESLSEKLHLMTITDLTQESLALHEMVTSGGGQDPGEHIEKMSMLLKKIKDFVQTHNPEMGPPMTSKVMESNGEQKSIIVPDEFRCPISLELMKDPVIVATGQTYERLCIEKWLASGHHTCPTTQQRMANTTLTPNYVLRSLISQWCETNGIEPPKRSSQPNKPTPACSSSERANIDGLLSKLCSPDPEEQRSAAAELRLLAKRNAHNRLCIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIMSSGAVPSVVHVLKNGSMEARENAAATLFSLSVVDEYKVQIGGTGAIPALVVLLSEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALMDEAMAILSILSSHQEGKAAIGAAEPIPALVELIGNGSPRNRENAAAVMLHLCIGEQQLVHLTRAHECGIMVPLRELALNGTERGKRKAVQLLERMSRFLVQQQEEQESHSRLQAASVQVIPSDLYGTACRHATVCSSCGKAMAHARTPCAGGSLVQVNKFCYMKSGKDDSSQDPMTQPASSPLRRQSLSMLTGFFLKNQEFHKMAMAVKNQKNRWCHFCRDKVLTGRALVPGGLVAECSSSSTIDRRQQPLRAAPARPPSPAEQGDVPDHDARGTDGTAASADEGGAAATEWVSRKRRPSLDGRRTTSTAQLVSRKRSSVRSPTRPSFAGKGEATGRRALRGSLPTPSGSAPNSLSPGSCGRQRRAVGLLLEEDDSKFGPD >cds.KYUSt_chr7.2585 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15178559:15187654:1 gene:KYUSg_chr7.2585 transcript:KYUSt_chr7.2585 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLEQMELVVEANHDNTEGEDGDNLDMLVKSHLDLWGDATTTMPIKRKWDNKGDIKYVAIEGITVMLQGLASLLSVQSISEEELKGEGREPDPSIYPTVGFAGCYGRFGSQGWLESLTSTSKGKTFFFANIYLSTIQDLVDLNIERHDRTFKVVIRYEPHGQSFFSPDVGWRGSFGDGLESWHRWIHDLKSEATMRSAEMQVAGRVEL >cds.KYUSt_chr2.18290 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115225698:115225994:1 gene:KYUSg_chr2.18290 transcript:KYUSt_chr2.18290 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFAFVRRARRTSTAAMTPEPVPVVAEEAAAPTSAAEKRRRRLSSGSASWKPTLVAISEDAALAAAKAEAKGKPAAAKAKARPSPRASRTSDYDDFR >cds.KYUSt_chr6.25479 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161502304:161510231:1 gene:KYUSg_chr6.25479 transcript:KYUSt_chr6.25479 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEGKSRKRRSSASSGEEEERERKKRRDKKESRRSSRGSDEEEERRRRKKKHGDRGKDKERDSKEKRSKEKEKSKRKDKDPDFKEISKDDYFAKSNEFAKWLKEEKGKYFSDLSSESARDIFLKFVKQWNKGKLPSQYYEGITAGPRSAHKWNIKA >cds.KYUSt_chr2.53590 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334248157:334248744:-1 gene:KYUSg_chr2.53590 transcript:KYUSt_chr2.53590 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIRHCLGLLIQGILNACMGLPPGFLVEYNTDRNFDFLTPLRYFPVTSAKETNGICAHEDANLVTFVLQDDVGGLEVLGTDGWVPTGPVEGTIIANIGDIVQVLSNNKFKSATHRVIRKPGAHRHSLAFFFNLHGDRWAEPLPLFAGNVSEVPRYMGVQVQRLYAAAHEEQDPPAVKARGRHRHHSLRHLACRS >cds.KYUSt_chr1.26133 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157177854:157180128:-1 gene:KYUSg_chr1.26133 transcript:KYUSt_chr1.26133 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTASCLAHEANDPGSVAQKLSVAVRGTRRGRKAIKEKRPPRPLNQARLQTLQVQMRASLKGYLNTLAELLSYFPSLLQEAKISTGCVDDSGTPDSETVTPVVPQHPKSYIEVMEMIQRGERPDDIQDINDEPPNPDQPISKPRMAPKPKPWEKQVQESSGLDLKAHPSGSSEPTSGVQTDSTNQGMESNNNSGHGDALLMAEPAMGSEAPTDDDAASLEQ >cds.KYUSt_chr2.46231 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288734705:288737686:-1 gene:KYUSg_chr2.46231 transcript:KYUSt_chr2.46231 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQRKGSDTKSLGSSKSGSFDFEQDQARDTDRPREVVVKIEADGTPSTSHAPGSGSGSTSPNARSRRIGEAFSFKNRLPQSESPTSPALSVGEEFSDDPAGRLIGNFLRKQAAAGCELSLDPDLEVEETGRPPRAPASMSGSRELRVSFQDPHKRFGQSSSTASSSSNATDSRNQAGNAGADTAEVLRCTSTSTGACSLLPRSKTRSRLMDPPPPSNGPAGSEGERNDRKSFVMKGPPKSGQLRSGLIGKSGLIGKTSGPVGKSGGGMFDDEDDDPFIDEGLTADLKREPTGCLIILEWVGLVIIVASLVCSLTIPSLSGKMMSGLHLWKWEVLVFVLICGRLVSGWAVRVCVFFVERNFMLRKKVLYFVYGVRNAVRNVLWLGVALISWHLLFDSNVREENPTTVLPYVTKVLLCLLVATVVRLVKTLLLKVLASSFHVSTYFDRIQDALFNQYVIETLSGPPLIEESHMIAEVQRLRSAGAAMPNELQAATMPRETLVPKSGRLTAAASRRGTSSKQLQRQKTERHNFDDGISIDQLHRLSQKNISAWSMKRLMRIVRYGALTTMDDQIKHATDQGDELATQIHSEHEAKVAAKRIFHNVAKPGSKHIYLPDLMRFMRPEEALKAMNLFEGAQENNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLHQMANVVVALIVLALWLLILEIATTRVFVVLSSQLLVAVFMFGNTLKTIFEAIIFLFVMHPFDVGDRCEVDGMQVVVEEMNILTTIFLRYDNLKVYYPNSQLAVLPIMNYYRSPDMGDAIDFSVHVATPAEKLALMKERLMHYLDNKKEHWYPGSMVVLRDIDDTNRLKISIWCRHTINFQDMGMRFERRELILHEMMKILRDLDIEYRMLPLDINVRNAPPIQSTRMPTTWTTNF >cds.KYUSt_scaffold_2697.303 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2017724:2026848:1 gene:KYUSg_scaffold_2697.303 transcript:KYUSt_scaffold_2697.303 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHDRIPPIQQTPGNRSTVTLTAGAFRNSTLQPDHEVQASDRSSSSPKRDPMTAAFIQEDMGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKTKEEQQVVTNPNSELKFWQREAASLRQQLHNLQENHRQLMGEDLSGLNVKELQSIENQLEVSLRGVRTKKDQILFDEIHELNRKGSLVHQENMELYKKISMIGQENAELYKKIYEAEGPSEVNRDSPTPYNFAVVENRNVPVQLGLSTLPQQDDTEQSAAPKLG >cds.KYUSt_chr2.17159 pep primary_assembly:MPB_Lper_Kyuss_1697:2:108095557:108100043:-1 gene:KYUSg_chr2.17159 transcript:KYUSt_chr2.17159 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSVAEQAEVGWSSPASGASDQEYLRNSEQRSKRNHDLPSRKLMLEAAAAVTTPVTPPPPVPGLHQAPAIRQDSAPVADQRLLLDAPIPELGAPVARGPRSKASPAEAQRKSARSKGASDGQVLERAMHAAADKNNLAKSVNDTATPSSSTPVPERPTQIRDSKKSVSAQ >cds.KYUSt_chr4.38624 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238218587:238218901:1 gene:KYUSg_chr4.38624 transcript:KYUSt_chr4.38624 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQALAASLLLFLVVYLAAIEGAHGICGLSNGEFKLCQPAAAVNNPTDSPSSECCAALGKANLSCICRYKGVAGVWLRMYHIDANRAMALPGKCGLTMPSNC >cds.KYUSt_chr5.14236 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92364571:92366910:1 gene:KYUSg_chr5.14236 transcript:KYUSt_chr5.14236 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFLVVMGLFFAAAAAAPVPRPEPEVKPSDTDALAMFRHAADAHGILAANWSTPDACAGRWTGVGCSSDGRRVTSLSLASLDLRGSLDPLSHLGELRALDLRGNRLNGTLDALLRGTSNLRLLYLSRNDLSGAVPDALARLPALVRLDLADNSLRGPIPAAALANLTALLTLRLQDNLLTGLLPDVAAALPRLADFNASNNQLSGRVPDAVRAKFGLASFAGNAGLCGLAPPLPSCSFMPREPAPTSPSATPASSSSQSVVPSNPAASSSSSSVASSSPALATPEGGAAKGGLSTGAIAGIAVGNGLFLLALLSLLVAYCCCSTGGGSETAKKRKRRGRVGLEDGDGGMFGHGKGMQPARPGSAGRCSDGGDSDGARSKLVFFGVDGEGGGNDDADDDGGSDSSAGRRASGGWTSQQQGRRSKFELEELLRASAEMVGRGSLGTVYRAALGDGRMVAVKRLRDANPCARDEFHRYMDLIGRLRHPNLVPLRAFYYAKQEKLLIYDCLPNGNLHDRLHGILFSFSRHRFLACSVLPSDVLLTVCERCNAGHQMSGETPLDWTTRVRLLLGAARGLACIHREYRDSAIPHGNVKSTNVLLDKNGDACVADFGLALLLSPAHAIARLGGYIAPEQAGDHKRLSQEADVYSFGVLVLEALTGKVPAQHLQPLPDAAGGHGQRKDKQAAVSLPEWVRSVVREEWTAEVFDVELLRYKNIEEEMVAVLHIALACVALLPEQRPSMADVVKMIESVPVDQSPLPEEDISMSPSIGITTDDGLSY >cds.KYUSt_chr4.6729 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39605557:39607348:1 gene:KYUSg_chr4.6729 transcript:KYUSt_chr4.6729 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEIDPELAAAGPKKRTFRKFSYRGVDLDALLDMSSEDLVQLFPARARRRFSRGLKRKPMALVKKLRKAKSNAPAGEKPEPVRTHLRNMIIMPEMIGSLVGIYNGKMFNQVEIKPEMIGHYLAEFSLSYKPVKHGRPGIGATHSSRFIPLK >cds.KYUSt_chr2.14036 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88808768:88810270:1 gene:KYUSg_chr2.14036 transcript:KYUSt_chr2.14036 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLFRRLLSTAVAARAPEASSAAAPRPTDPALLLRLCTVLYQHQNAPDAALQRRLSALPLPSAPADLRELFLQTSARFPLSWRPVHRLLAHLTARHGFAHSPTTAARFLDVLAKSSNMDLLHSTLLSLPPELLSDAALRAAIRGLAPAREVDKVSALLTLFPESHRPRVLDFITELVCSVCKLPDVAEKVIKQAEHRYGLARSSRCCDLLVVAYCRAGMFADACSVWNGMEKRGIEPGAAAYEEIVVTLFKNNRFADAMKVFDGMRKRGLSAGGGGACYRAVVSWLCKEGRTWCAFMVFAEMVKKGVEVDGEVLGDLVYGLLARRRVREGYNVFHGVKKKDISLYHGMMKGLVRIKRAREATEVFREMVASGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLEATKFVERTMWGGVDVPRFDYNKFLHYFSNEEGVAMFEEVGMRLRETGHVDLGDIFLTYGERMATRDRRRRAMSGHPCDV >cds.KYUSt_chr6.17425 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109749006:109750197:-1 gene:KYUSg_chr6.17425 transcript:KYUSt_chr6.17425 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGASNNGFARRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTMTDEERADPRHHPDNYTRWNSYFLQRWERELAAYDGPPPPPARNNAAGRRRWWGAPGRTLEAVIEHIEGGNSPVLTMPPLSASRASASRRRGSVWQPRRMAASSSSSGSASRSSLAPVKREEATSPSTPVRVKKEPASPPATRGRSSGALVIREQPSAPQSGRKKTKKEAAASQLAEEEAKRAEEAAMAEAIARSLADMEEEKRADDAALDSGRARPAAPGGGAAAAAAGPGRRTPTRRPRRSNRQRRCRAGSSSQAAQPKVPKVEDDGSDDGGDDYTVFYRRMGM >cds.KYUSt_chr4.26792 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168347030:168347365:1 gene:KYUSg_chr4.26792 transcript:KYUSt_chr4.26792 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSSQSQSSVGGGGAAGSRPATAGPRGTPAATAGMRRRPGRTSSSASGGGSFSGAGGNNMLRFYTDEAPGLRLSPTMVLVMSVCFIGFVTALHVFGKLYRSRTAASASA >cds.KYUSt_contig_1537.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:64373:65198:-1 gene:KYUSg_contig_1537.10 transcript:KYUSt_contig_1537.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGEMESGIREQQMQIVCVRSSSIGDEEEWESSRSSALSLFKEKEEEIERKKVEVRDKVFSMLGRVEQESKRLAFIRQELEVMADPTRREVETIRKRVDKLNRQLKPLGKSCLKKEKEYKMCLEAYNEKSSEKASLVTRLVELVGESERLRMKKLEELSKTIETLY >cds.KYUSt_chr4.41260 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255245239:255247923:1 gene:KYUSg_chr4.41260 transcript:KYUSt_chr4.41260 gene_biotype:protein_coding transcript_biotype:protein_coding MQHACPQLAEKEVEKDSLVNQLNDLERNVVPSLQKALNDISLEKDAAVIAKEDALAQLRSMKKRLKEAEEEQYRAEEDSASLRAQLNTMQQQVMSNSYGGYAVGASSEQTLAMEKEIQDLQAQLKEESLLRQQEQQKLAEESLLRQQDQQKLSEEQSLTAALAAEMREMEEKIAALTKKSSEEASEFAARKAFSVQDREKLENQLHDMALMVERLEGSRQKLLMEIDSQSTEIENLFEENSTLSASYQEAMSVTMQWENQVKDCLKQNEELRLHLEKLRSEQANLFKASNTYVQLDEQSETSIPNPPELVTENFSLKDQLVKEQSRSEGLSAEIMKLSAQLRKAVQTQNNLARL >cds.KYUSt_chr2.49333 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308682878:308683228:1 gene:KYUSg_chr2.49333 transcript:KYUSt_chr2.49333 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATNLRRSELCAAAVSAADAASWWCAVALVALVLVGALSAETADDGEVFRGPRLGGGAARPCDEVYLVGEDETLHSISDKCGDPFIVERNPHVHDPDDVFPGLVLALRPTKNT >cds.KYUSt_chr3.39833 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251082513:251084794:1 gene:KYUSg_chr3.39833 transcript:KYUSt_chr3.39833 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLRLTTRCCSPLYAPSSLPSRLRSHGLPPTLRFPSLSFSASAASTSSHGPSSGDGGGKEEDDDQAKYENYLGMSDDELMAQCDMGTFKSSGPGGQHRNKRESAVRLRHHPTGIVAQAVEDRSQHKNRSSALSRLRTLIALKVRRPINLDNYTPPVELLQILPLKSTIRSKDVGNQIGPNNIKFSPGMQALLDLLFAVEGSVSEAAKILGLSTGALSRLILSDDSLRAAANELRASKGLKPLR >cds.KYUSt_chr3.19179 pep primary_assembly:MPB_Lper_Kyuss_1697:3:117989608:117992502:-1 gene:KYUSg_chr3.19179 transcript:KYUSt_chr3.19179 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTKKAKKSGDNINNKLQLVMKSGKYTLGYKTVLKTLRNSKSKLVIIANNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTSCGKYFSVCCLSIIDPGDSDIITSTPAGQ >cds.KYUSt_chr7.14420 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89116609:89118958:1 gene:KYUSg_chr7.14420 transcript:KYUSt_chr7.14420 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDNSVDEDGGGDGSSVDGEAFRGHFPVPAACRNRDSCPPRKQNWHFGISSIGAPKTRGGKVKPSSRRHRDEEQEEIMPTRTTKRQRDAAAGARGPQKSMLDVKKGQFLEVRGANPYLLPRNARQCPNTYFHHINQERIYTEVYGAKEFKCCPQYSISMDKLHSDLDYFGEALEICEEQGLIPIMTFSHDFSREVICQFYATVVFLEDEGGYRSLKWMTKEHVMEASWQDFARGIGYELPEDNSNTFRIHLQAKPMAKEKMADLYIRGRMLCGSTKGLLPVYDIMNRIYRSTINPKHTNHDEVHGFLVNLLVGTHELRGRGKQLDVMDYIWHEMRDCAFLRKLPQYAPMRLICIKWDQEGRGDLLEQCRPNVTIHKEKSPLVKDHDLPRFGKGAPKDKDEDEADSDDSDSDDSDYVPNSIKQKGLFAKLTARLKKSFCFKRDLEDRMYQAHHDNKKICQRQKAMMRHMQLPVSEGSEDSITPPGEWKSKLVWSSSEESIPEPPHGKGLAQDEEYDDGEEEDVEDEGDDNEDDDDEEDDDDE >cds.KYUSt_chr2.24276 pep primary_assembly:MPB_Lper_Kyuss_1697:2:148227427:148227735:1 gene:KYUSg_chr2.24276 transcript:KYUSt_chr2.24276 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNQRGGPRGGSRVASMATTTRSISQWGCPGGSMATALTKRDPGGEDVRDVDDAWLGSESIPSAAPPGTVVPMAVALDRGPRGGVAGAVEASETDGVAAQR >cds.KYUSt_chr7.15106 pep primary_assembly:MPB_Lper_Kyuss_1697:7:93722207:93724691:-1 gene:KYUSg_chr7.15106 transcript:KYUSt_chr7.15106 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVESPQEPHWSCRRPPRLSPCGTPSTGRRCGGAGRAASHLTRLTGCDQRISIYQYMENGNLNNLLHYLSLGVRTTEDWSTDTWEDNNVGKATENIKAEGTAAWRFRHKIAFGSATAHGCIPQIVNRDVKARNIYFNCAMEPRLSDFGLSKIVGTSNMRICSTILLAMLWQSSQIQRTLTVSASCCLS >cds.KYUSt_chr5.12777 pep primary_assembly:MPB_Lper_Kyuss_1697:5:83333960:83334328:-1 gene:KYUSg_chr5.12777 transcript:KYUSt_chr5.12777 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNRTGVSHRTCLLAFSEVKNLQNGLDDDTDKMEELEASPVTKRHTCCRALLQGALTMSCCRRGLFLLPPPDLCTGGAEVREAERIDAGEGKNATPPLSVESYARVSGNAMTPRKGRRGVP >cds.KYUSt_chr1.39833 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243863675:243865599:1 gene:KYUSg_chr1.39833 transcript:KYUSt_chr1.39833 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGATTQVKRRVLPFRVKTQGPKASLRVQPLIRQQMPPSPGTTVKDTSIIPMPIIFTDAAWSQGSDGQPVSTGLGLFIQFGNDRACSQVYISAVSPPVSSAIQAEAYGLLLATKAAEVLQVQCATFLTDNAVLAMAAASQDLLKSPGHWMIRPQLAGIVASSFFNATRIFHISRSLNFKADHQAKLALKLRGRYSYFRCLNSPTGVCQNTDVASVFPMPECMLLYVKCC >cds.KYUSt_chr3.43141 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272701873:272708478:-1 gene:KYUSg_chr3.43141 transcript:KYUSt_chr3.43141 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPTPTRWRPRAPPYLPEGRRVKPSSLACVRTRGNPRIRPSSSVVVIACLPEGAAWYFIIIDDIWDQNVWAILKVALIESNCGSRIITTTRKVDVAESCCYGFNGRVYDLAPLSHEDSKKLFYKRIFHYEDEHPIELEEPLSVGGKIRRLSFQQKKGEHTMTETPMDLSHVRLLLVLRSAMDMIPSLLSFQVLRVLELEECQHLDSHLLKDITSLLHLRYLGLGSTYITEIPKEIGNLQWLQTLDLRETKINELPSSIVQLRQLVRLYVSIRTRVPAGIGNMRSLEELSRINISESPKLMKELGALTELRVLEIWANVWDKSYEDPIVESLSSLHKIQILSIFASDVSLDFLSKGWVPTCLRTFWAWDSPFSVLPKWINDSLQSLSIRVKKIQQKHVQILGGLPALHFLELLVTEHPEEKMVIGLENTFRCLTEFAFECRAAGLIVAQGSLQRLQRLRLDFGVRETKDLYGDFDFGLENLSSIRRVRIDIDCDGALVSEVESLEAVIRNTTKLHLTSPSINRRLEEDMLKDEDKQADGLANTKEENWPAKVGPWGGNGGRNRDIKVAPQRLETVTIRSGSVVDSVAFSYKDENGHQQTAGPWGSDGGNKHTIQLGPTEFVTQVSGTFGSFGALSNVLTSLTLVTNCGSYGPFGKVHGSPFQTTVKSNGSIVGFFGRAGQFVDALGVYVLSSSV >cds.KYUSt_chr3.21333 pep primary_assembly:MPB_Lper_Kyuss_1697:3:130819596:130821807:1 gene:KYUSg_chr3.21333 transcript:KYUSt_chr3.21333 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYRLPPIPGSKRTRTSAEAGVSGEEAMTRETAVVKREVKPEEEDEAWAWPRPMEGLGEPGPAPFVAKTYEMVADAATDAVVSWADSGSSFVVWDPHALAAAVLSRFFKHANFASFVRQLNTYGFRKVNPGRWEFANEAFLAGQKHLLKDIRRRRPSKHQMESQLRNGNVCHRQPEYLNEVDSLKRNSAALRAEVITLKRKYDICRSQLIAMEQRVLNNERKQQQIITFFAKALSNPGFVQQILLSCADKKELRNTAKRQRLVENEELQVVDVPLKKGTTAGSSDSGTAANNQPLPKGSDQNIGDMCDDVWEELDAIPGTQIKQ >cds.KYUSt_chr3.33498 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210283502:210285804:1 gene:KYUSg_chr3.33498 transcript:KYUSt_chr3.33498 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVLVAGGAEHRPTVDSLNPGVAELRRMGYDAAVVGDRVPHNDPTLQVVVEEYASMAAVEARPYLAAAGWQGEALGRDAVGRVEAGSERESSSFIREVAKKGRLPVVDAVATEELGIFGEASPALGDNGRAQEICRLRREAEQDLVEEVVVFQRMRPYRRRRGGPAAAVAHFGPRVGDESFMYAMNVGSSFMHEDTVDGWEEYEDIDEEGEGLIEPRHAGRSANYTIAEDKLFCKTWLTIGMDPTTGNEEKWKTRGKLDAVTMAANATGDATIIDDDDSSDEGKNRSSTPHSVNNGRRNVLGRNTAKDMKGNTCTDERRLHTIGEPQEEDMMSTSASFPLVRNQGLIDQ >cds.KYUSt_scaffold_1700.328 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2203669:2213860:-1 gene:KYUSg_scaffold_1700.328 transcript:KYUSt_scaffold_1700.328 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWRMSQWPLAVGNNKAIPPEKKISYISYFFSVQQISIPIPKGAAHVSVNGRHMRRLARSGSGRVKSIPNNKGKSVSVVSSRVSVVRCWLRPLAEFLFKQATGPIVQFLPGTFTHVAQLRYGKLSNKYSECMPDGLGQGLDQVGGKQRAGIVLVLTELSITWDLDSFVLVMSPNVQQVFIISYKLTTSKDFVKLGSLIGFFVSQTLGYYSAGTEVEHGINLVEPVTAGPLPSGNVDDLSKEPQVKRDLMITKLSVEPEVRNPSKEIFSELDEINAQGPIFARSFQKTEGERKWGHEAPPQQAARPRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWNRTNHTRKIPAETIGSSHRYGRRHPKIHLYTWG >cds.KYUSt_chr7.22286 pep primary_assembly:MPB_Lper_Kyuss_1697:7:138062631:138065210:1 gene:KYUSg_chr7.22286 transcript:KYUSt_chr7.22286 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRKLRKSTRLQTSLFTQRQIASNCCSDLSTTYTEELPNYLAINVLQDQSDAKQENIRKVLVILNPYSGFRSSREVFYKKVQSTLKLSGFAMEVVETAYAGHAKELASTVDFSTCPDGIICVGGDGVVNEVLNGLLGRDDLNEALQLPIGIVPAGSDNSLVWTVLGIRDPVSAATALAKGGFTPIDVFAVKWIQAGVTHFGLTASYCGFVADVIQLSEKFRLQLGPFRYVIAGLLKFLSLPQYRFEVDYMPLSPERNPKPNSSNEKIHDQLSDGSKVKRSTQMDGKTGDNWVTRKGEFLGILVCNHFCKPAQGLLSPVVAPKAQHDDGSLDLILVHGSGRLRLFCFFVAYQFCWHLLLPFVDYVKADILDLNLVSRYFHKTTGIS >cds.KYUSt_chr7.37185 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232118727:232120161:-1 gene:KYUSg_chr7.37185 transcript:KYUSt_chr7.37185 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLPTLSCGAQLLVDKGVGRVRVYDPDPAEMLTAFANTGIKVAVTLPNELIADAAGDPECAEEWVRDNVEAFYPDTLIESVCVGNEVFKSASELTPQLLPAMENVYRALDSAGLADAVKVTTPVAFDAFKNTFPPSASVFRDDLAESVMCPMLDFLDQTGSYLTVNLFPYIAYIAQPGNISLDYLLFRPNNGVYDPGSKLTYYNLFDAQLDAVYYAMDKLLSSGSRARGNGGRKLLQQGPQGDAKVGETNVAHTGHSGSGPPHKQAADDDGGCAGAACGVTTVENSKAYVGNLINRVVQGEQKTGNRGTPYRPDADIDVYIFALFNENQKEGPEDERNFGLFYPDQTPVYNVDFQGGNVEDTRWCVANPAVGDENLNKALSYACGHGANCNAIQSGGRCFKPDTAVAHASYAFNDYFQRNGRSSVSCDFSGAGYVVYQQPKNYYSHC >cds.KYUSt_chr5.20080 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130498106:130498390:1 gene:KYUSg_chr5.20080 transcript:KYUSt_chr5.20080 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRKDAPPGQKVGLRGARRTGRLRGQAAVNAAKAV >cds.KYUSt_chr5.43319 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273052037:273060459:-1 gene:KYUSg_chr5.43319 transcript:KYUSt_chr5.43319 gene_biotype:protein_coding transcript_biotype:protein_coding MYLASATRPDISFAVSKLSRFMSNPGTDHWHALDRVMRYLCGTMSYGIHYSGHPAVLEGCSDSNWISDVADLYATSGYVFTFGGGAVSWRSCKQTILTRSTMEAELTALDTTTVESEWLRELLMDLLVVEKPVPAILLNCDNQTVIVKVNNSKDNAKSSRHVKRRRAVLPTVVFNAVYFDPSSPSSTTLSSTTLLPRHHLLHLHRHLHQIGTFGQVLECWDRERKEMVAIKVVRAINKYSEAAMIEIDVLQKLSRNDAAGKHCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKTGYHPFPIDLVRDLGEQLLKSVAFMHGLQLIHTDLKPENILFVSSEHAMLPENKDGSFSRKLPKSSAIKLIDFGSTAYDHQDCSYIVSTRHYRAPEVILGHGWSYPCDTWSIGCILIELCSGETLFQTHENLEHLAMMERVLGPLPRHMLERADHHAEKHIRRGRLNWPEGATTRESIRAVLKLPRLQNLVMQHVDHSAGDLIGLLQGLLAYEPSARLTAQEALNHRFFTRCRERRSL >cds.KYUSt_chr2.37922 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234716232:234718702:-1 gene:KYUSg_chr2.37922 transcript:KYUSt_chr2.37922 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPPPPLPLLLLLPLLLLASSSATAAAAGEEFPRDGKVIDLDDSNFEAALSSIDFLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIMVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADLLVRNLKKFVAPDVSILESDTAIKSFVENAGTSFPLFIGFGVNESLIAEYGGKYKKRAWFAAAKDFSKDLMATYDFNKAPALVAVHPKYKEQHVFYGPFEGHFLEDFIKQSLLPLTVPINTETLKLLDDDDRKVVLAILEDDSDENSTQLVKVLRSAANANRDLVFGYVGVKQWEEFVETFDVSKSSQLPKLIVWDRNEEYEQVEGSERLEEGDQASQISRFLEGYRAGRTTKKQVTGPSFMGFMHSLVSMNSLYILMFVVALLGVMLYFAGQDDTPQPRRDHAE >cds.KYUSt_contig_2071.76 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000249.1:564312:565237:-1 gene:KYUSg_contig_2071.76 transcript:KYUSt_contig_2071.76 gene_biotype:protein_coding transcript_biotype:protein_coding MILPAAGALHDATGNLAAADILHLKPPLGTPPHGSCPPPPQEDAAQHAFVHLSLRSSSPAHEAPSIALAQPWTFLFYIAALQSARTIDFQVFHQ >cds.KYUSt_chr1.18987 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111512154:111514223:-1 gene:KYUSg_chr1.18987 transcript:KYUSt_chr1.18987 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSSAQEDDGDVMAHMTCYRSWPPCDDDGLPQVSATDIWTLKKKGQDIKKKFPICGNVDQTQSALLTKKEVLSSKPSRGKVAGKFPFSAACGRAPEAAAAVRLLDVPLRDAVLEQPRISDAGGPTARSSWPPQAQGHSRRRCRCLTSIHASRRRPLRCRNSDGNSVVPPHQQGLHAAPPLSLRGDEENGEQPATTAVLLAAAAAAATRREWKGNGPSWASFEFFREPF >cds.KYUSt_chr2.4720 pep primary_assembly:MPB_Lper_Kyuss_1697:2:29243418:29244715:-1 gene:KYUSg_chr2.4720 transcript:KYUSt_chr2.4720 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQALQTFVLRVSIHCHGCKKKVRKVLKAIEGVNEVKVDAQQHKVTVTGTVDAQTLIQRLQKSGKQALHWQNLHASPTKDPEPAPAATKAPAPAPAAQDGGKNDAPAEKKAEEPVKEPQAESAEKKPEQEAAVSEKKIEAEDKKTESEKTAEKEEAKPSDEPKKGGGESESAEPAKEKATEPAKEAAAAPAKEASNGESEAKEKKQSKPKEVAAATTDRSLPPRHAHQEYSPYYAPQPVMSYHMAQPKASVSYYAPQPEQGYSMQQQPPQPQHGYSSSMNMMPPPQEAYSQQGQPMQQWSPSYLYMPYPHASPESYYQDYYSPPGTHAPAPAPPLPLQDSYRLFDDENPNSCSVM >cds.KYUSt_chr4.16734 pep primary_assembly:MPB_Lper_Kyuss_1697:4:104003540:104008076:1 gene:KYUSg_chr4.16734 transcript:KYUSt_chr4.16734 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGKKDRGEGLGRALLRQRNKQAAAAKARGQQLVISRRAQQGLPLESVIEVSDIDAVLQRAAEEELLHGDDADGAAALTAALGSGLVDLDGTGATAEERRILREEQEALHADSLRVPRRPPWTPQMTTEELNNNEKRAFLEWRRNLARLQENEELVLTPFEKNIDIWRQLWRVLERSDLLVMVVDSRNPLFYRCPDLEEYAQEIDEHKRTLLLVNKADLLPLNVRRKWADYFKEHDILYLFWSAKAATAALEGKKLNGYTEESDTDLDTKIYGREELLVRLQGEAEYIVSQKGTPVAREDRGSNSSDSASARPKHVVVGFVGYPNVGKSSTINALVGEKKTGVTSTPGKTKHFQTLIISEELMLCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHRGAIQVVADRVPRHILEQVYKITLPKPKAYEQASRPPTAAELLMAYCQSRGHISHAGLPDETRASRQILKDYIDGKIPHFELPPSEIDDESDLDDASDLEGSAAAAADESDDYASDEDDEKIDQGEAEQNISHALSDLQSFDLNGQASKNSTKKKETSHKHHRKPQRKKDRSWRVGNDGADGSGVIRVFQKPAVNLAACSTAL >cds.KYUSt_contig_319.313 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2193560:2194624:-1 gene:KYUSg_contig_319.313 transcript:KYUSt_contig_319.313 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHRDVEAAGMACPYMIESPQLRWAFIRKVYVIVSMQLLATIAVASTVYLVPDIRRFFLARTPAALAAFVLIIVAPIIVMIPMMCLRNRHPINLILLALFTICMSFSVGLGCLSSKGGVIIEAALLTFVVVLSLTIYTFWAAKRGHDFSFLGPFLFAACLILMLYGLIQMLLPMGKVGTTVYGCISALVFSGFIIYDTDNLIKRHTYDEYVTAAIALYLDIINIFMAILTALRE >cds.KYUSt_chr3.6295 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36084146:36087005:-1 gene:KYUSg_chr3.6295 transcript:KYUSt_chr3.6295 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLMDTTDPSYWLNWKFLLCATWVYSCMALACYLIWKYEGPSSPAGNGDRVDAEEAQPRVGPGVVYLEDCWKPCLKQIHPGWLLAFRLVSFFTMASILTYDVVVDGWTVFLYYTQWTFLLVTLYFGLGSVLSIYGCYQHAYKTSGGGSDADHGSYIIVPAGESGYDNGTKTSCFSRTHDGREIAGFWGYLFQIMFQTNAGAVMITDLVFWFILYPFLARNQYDMNFLLIGTHSINAVFIIGDTALNSLRFPWFRIAYFMMWTGIFVTAQWIIHANVSIWWPYPFLDLTYPGAPIWYLVVALLHLPCYGLFALVLRLKQSLLQRCFCNSYT >cds.KYUSt_chr4.16352 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101627298:101628660:1 gene:KYUSg_chr4.16352 transcript:KYUSt_chr4.16352 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTRSLLRWTDDLHKMRTEFQLSGKARRVRSQPARVRIPISRTSDSEIVMDNTAAMRMAEMEMVNYFLMDDREMVDNEFSADEVQMMENELMNEIKASPGSRKELQAAPCAFNGA >cds.KYUSt_scaffold_869.373 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:2381757:2388904:-1 gene:KYUSg_scaffold_869.373 transcript:KYUSt_scaffold_869.373 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVEGDERAAEIARIKAAAADAAAKKAAADEVVDVEEEEEEEEEAVDAEDDDDEDDGDEEEEDDEDDADEEDDGEKGSAGQQVVDISDEEEEEAGDEEEGGDDDDDDDDDEDDEEDDDEVEGEEDPEEELGTEYLVQPLGRAEDEEHSSDFEPEENGDGADDDEIDEDDEDGDDAVKAQSSSKRKRSGGEDEDEDDDDDGPGCSSVGYGAASELGPLLINGNGTGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSSDLDKLDDHVVAEDSYTFLVNWFKRFPQYKNHELYISGESYAGHYVPQLTEVVYERNKHLETNQRINLKGFIVGNAETNDYYDYKGQVEFAWSHSVISDQLYEHVNSVCDFRLSSWTKGCRHVMATVYAQYDKIDIYNVYAPKCNTQESGLFSTSDSMPEMNTMVTAVLDNFFLVLYQIRE >cds.KYUSt_chr7.22948 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142446155:142447337:-1 gene:KYUSg_chr7.22948 transcript:KYUSt_chr7.22948 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTKPRNLGGSLPVPNVQDLAARPEDLPPTLLDRYLRPHPRTVDLLPDAPADEQEHVPVVDLGRLLGQEHGAEEAARLRFACENWGFFQVVNHGIPEETMEEMKRNVMGFFALPLAEKASLAQKPGGIEGYGQAFVVSEEQKLDWADMFYLLTQPPSYRDLHLWPSQPSKFKNCLESYSAEVQKVAGELLRVMAENLGVRDHSDMTRLAATQSTRMNYYPPCPEAHVDRVLGLSPHSDATGLTLLLQVSSVPGLQIRRNGGWLPVMPLPGALLANVGDVIEVFTNGKYKSVEHRAVVNAREQRMSIAAFHSSENGTMYGPLEDIVADQEARYRSISDEEFYKLVVSSELDGKKIMDAMKIS >cds.KYUSt_chr2.52541 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327750107:327750427:1 gene:KYUSg_chr2.52541 transcript:KYUSt_chr2.52541 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQKRWSRAAVVACLAVLLTAACAESSCQPGRRLLAASWEEAAATGVRSSESGDADDGPRRSAFDVVVEGLVSIGLGRRWRAGGDPLVDQDKRRVPTGPNPLHNR >cds.KYUSt_chr3.8263 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47603364:47608023:1 gene:KYUSg_chr3.8263 transcript:KYUSt_chr3.8263 gene_biotype:protein_coding transcript_biotype:protein_coding MCMAFFLREDDIISIGQAVEYIMAFHTVDFEITVFTEMDYTRCNQDVELNSLPKLIWMIFGGWKSKDPLFLGYVPLLEAVSLSTVSLSHHKMVKLTEMFGGTSPRSLRLGFECERIWVQPESVTKKLSSVFHQLKFVNLDNIAEGCDLTWTLFILEAAPNLKELYLMVWDHLCIMTTNEEEWRALSYSEKKGVEWKSPGPDFQQHSLDTLVIFGFQSDCMVRYVRRVIEAAVNLKDVFLYHRLNCMKCEHSYTNRPLKYPSNPEQKSTVLKKLTKRINSSATIHLPDDNITADQRAKKGILPKLRGSALQCMWSPALTILYFYALLVGRSYRTPRAIAILCVGDWITGALTFTAACASAGITTFISDDVEACYDNRCPCFMAATAMAFLSWFTVAPCCLPNLFSVVHKLDPRKPSPSLPTRSPALMAMSSTTSAPGALAAPPATKLTRENFLYWKAQPSLRGARVMGLLDGTNPAPPEHLDAEDENKKPISIPNPAYEAWIERDQRVVSFLVNSLSKDVLSQVFGLDHAKDVWTALNELYSTQSKTRVSTLRGALTNTKKLDLTAQQFLSKMKGFASELAAAGKKVDDDELKDYILNGLDGSYNPLVASINAVPSTTLNDMCSQLLSYEYRENMLAASGQGTNTFTSSINAVPRRPSFDAFAG >cds.KYUSt_chr3.43647 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275384800:275388670:-1 gene:KYUSg_chr3.43647 transcript:KYUSt_chr3.43647 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHAGDQRQRPPDGSGQAAGAGAQRSGRCGCGGAVRLQCVAALVLGAAVLLSALFWLPPFAGRGRGARDEAPDPGLVFQDDIVASFRLQKTVPELVGNTSKLELDIYAEIGIPNSIVVVNLLHPLAGSNWTNVVFSIVPYPKNLTISSTYLSIVRSSFMSLVVRQSTLHLTESLFGNSSSFEVLKFPGGITVIPAQNVFLPQAHRASFNFTLNFPIYKVQDRTDELKDQMKTGLLLDANENLFIKLGNLQGSTILPPTIVETYIVRIVGNQQPSVPRMKQLAQKITNSSSGNLGLNHTVFGKVKQIRLSSYLRHSLHSRGSSDAPSPSPMQHHGHHGHHHHHHGHEDNRHLAPAAPPINLPVHTPRHVAPPPSGCPYGKKAKNKVPVTPAAEPVANGHRSTYPAALPPSVHHHPHDPSVRPSKATMGKLCLAVLVVVVKATAGGRPLL >cds.KYUSt_chr7.24076 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149943087:149944318:-1 gene:KYUSg_chr7.24076 transcript:KYUSt_chr7.24076 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPLDAAGAPPPLAGDARRRHRPTPLLGGRWRLGRICMGPHFLVVEPKEATASGVAKVSKAAARIPPRPLPRQGPVKDLVKPRLAQPHWLIPRPPPTLGPLEAIPSWKTLSLTAEPTPTAEQSDFAVSEVPDSLPASPCMAPEEDPLSGQAPPPPPSMATAGPAQETVLEEAGMQMTQTSPVSRPLSWAASELPPHGPGPNTVADVCVAQDGVAQPTPPTSPAITRPPSRFASPPITLRRIRQRAPAQEAPLCTLGDFLKAATKSICASLPCPGRRPRRHTLNFSPRRGRSARAASSSAPPIAERRARVQILRTLEVIGVDQTITAAEMRAYDNIFVAPLSRPVLAAIAALVDRQLPAADTAPHHVEVVANV >cds.KYUSt_chr1.39771 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243374077:243375819:-1 gene:KYUSg_chr1.39771 transcript:KYUSt_chr1.39771 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKILGVDKGASDDDLKKAYRKLAMKWHPDKNPTNKKEAENKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGMPGGATFFSTSGGDGPTTFRFNPRNAEDIFAEFFGSSSPFGGMGGGGGGMGGMPGMRSGGIRFSPSMFGGGEDAFGSAFGGGDGHVHPGMFAGGGGRAVKAAPIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNEKPNTIASDLVFIIDEKPHPVYTRDGNDLVATQKIPLADALTGHTVHLTTLDGRSLTVPISSVIHPGYEEVVRGEGMPLPKEPSKKGNLRVKFDIKFPARLTPDQKSGVKRLLGQ >cds.KYUSt_chr5.30593 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193989746:193990390:1 gene:KYUSg_chr5.30593 transcript:KYUSt_chr5.30593 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDAAIKLTAAVISPAAAADGSPSDYSSTNMRISCGCKVPSFLSSSTMSGHQVSLYTSQVSARGHYRSSSGLAAASALRWVPYAAAVSTARGLMGASHEDLRLRVQQLSRALTSTFFYDANAEQRTALPFSATSRFPERELFICPDLSPVAPALQAVNQAMAQVAAKSASGDACASYYDAVGKLLRLLTINVFDYHVFSRSWFERHFYLLWEE >cds.KYUSt_chr7.31154 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194010564:194013269:-1 gene:KYUSg_chr7.31154 transcript:KYUSt_chr7.31154 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRGFSVGAVMKRMCKAVLKKGLGEFFLGELDLDQIDLQLTRGTLELTDLALNAEFINAQLSRSPFMVKEGSIKSLLVKFPLQLKSCEIVVEDLELVLAPSVPSEVPPVDAECSVSGNSSGTQTSINTNRSESDKHCSTSASRDVDEGVKRIANVVKWFLTNFKIKFKNTYIVFDPQTSLDNKVSEFNRSLVFRVKEIEFGTNLSTDGLVKLNNFVTFHEAVIEFLKMDHVDVLLQNNSDRAAADISSGHSTTSVLTGPIGGFSGTLNLSIPWSNGCLNLKKIDADVSVDSLELLLQISSIQWFIYVLDSLHRNQGEHNSAHNTADMSLNTSRSALNASKSVMANREDLDQIALSQNRQDKYQDSFLTKAHVIQDWIPELVLHEDQGEPDSDCDESIDQFFECFEELRNSQTNLGNSGIWDWTCSVFNAITFASTLASGSDQVPKEPPIEKTVRASIAEISVILLLNDEMDAGDLSASTSLFHDMRSSEMFSSCLSSGQIEQSMMSPATASSLNMHHLEPSVRTSILNLRHIPET >cds.KYUSt_chr2.51219 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320223874:320225646:1 gene:KYUSg_chr2.51219 transcript:KYUSt_chr2.51219 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPVLSVLTRPAGRRRVFVQTETGCVLGMDLDRGDNAHTVKRRLQLALNVPTGGTSLTFGDRVLENDLSSIRRDSPLLLTRDSIHRSCSTPCLCPASADFEHKDSSGLVEILGSSSTSVRRLVDDVATGIRGGLDPVPIDSGLGGSYYFRDLGGDRVAIVKPTDEEPFAPNNPKGFAGRALGQPGLKKSVRVGETGFREVAAYLLDHENFANVPATALVKITHSVFNINRPMNNGGAPAHDHQTTSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHGGNVLVRKLDGGTGRFGCQTELFPIDHGLCLPENLEDPYFEWIHWAQASIPFSEEELEYVRNLDPVRDAAMLRRELPMIREACLRVLILCTIFLKEAATFGLCLAEIGEMMTREFRGMEEEPSKLELVCMEARKKVDEWEPFSPDVEQGEDMGFQFSMDVLGGYSDAIRSPRFHCSGMKGSSFRNPLTKLVESMNEDNDDDEDRKEFSMPSSHHRIPSAELNPPSVYRTTSLNGSAVNRSADEQLPSSMCFVRLSDMSAEEWHVFVEKFQELLKEVLDECKAAGAQRMKQRLGTSCKF >cds.KYUSt_chr4.29713 pep primary_assembly:MPB_Lper_Kyuss_1697:4:186545433:186550639:1 gene:KYUSg_chr4.29713 transcript:KYUSt_chr4.29713 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSPGPFHGDGGCRRPTASAPSLNSSLHRRNSSTAAAPSLRSPNLSSPVASNPSLEQPFGEICGEPHESVHAHEEEQDGCLVREKEQGCSQIRHTKSRKKCTCQTQDFYSMKCRWPYLSTYHPIHRIRTDYLDGIFLLIEIPANHHERSMFSQYPDLTVGCEVKNLHVELIADPTIAASSATVRLTASTAPPPCASRCAASISPTSIAGPCTHAPSPRSSDCTSSRPYSARLNWETFGGHRRDGGMSLFPPTRDTGQGVFLTTLLNIFVRAVLEAEWS >cds.KYUSt_chr2.39484 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244831108:244831691:1 gene:KYUSg_chr2.39484 transcript:KYUSt_chr2.39484 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFTAVETRRALVFALVLVMSSFVTHGVRTTPSTSGSAADALRPGNTTTPSGSGAASQDEYGGQAPTHHHQGQATQEGKKEELTSLKERAVAGSRLPDCGHACGPCAPCKRVMVSFRCLHDAESCPLAYRCMCRGKFFRVPTF >cds.KYUSt_contig_2595.19 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000405.1:104511:109924:1 gene:KYUSg_contig_2595.19 transcript:KYUSt_contig_2595.19 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAEAQGPLLHRRIEFHAATTTQQHRAAAGGAGGFRVETLYQGDDRGLAAAAWSEGRDKGEPSGFDAELAAARVYLRRIGAGLRNLGNTCYLNSVLQCLTYTEPFVAYLQSGKHKSSCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGIPSQSPSAYEKSLVHRIFGGRLRSQVRCASCSHCSSKFDPFLDLSLEIADSATLVKALQKFTEEEVLDGGEEQYNCQSCKRKVVAKKRFTIDKAPDVLTIHLKRFSPFNPRHKIDKKVEFQPTLNLKPFDMDFRYSLYGVLVHAGWNTQSGHYYCFVRTSSGLWHNLDDNQVRQVREADVLRQKAYMLFYVRDRVRSSMMNTNFIEKKAISEKIACMNDSLRNGLREATLNVSPFINGDMKSQKQNLDNGHPTIFGNSSRGQCSKKPSSIEVLEAAAVQSNDMISVQEALCIQPDTAATLSINTNKTTSDSQSEIISPPYLFGESTLDINKAAAKDTTVPDGTVSCWDEAKAAAESVKQHDEIVMDKELPAEHFDAISNPVEQTSVQTNTAEAGQATLKELSVKDTDHITNAEEPFSVQNNNLEAVQATCSEEVSAQATCSEVSAQAICSEVSAQATCSGNSAQAICSEDSAQAICSEDSTKVLDQVPCHDNPNTVTDLKSSKQVAYSTARLLFVSKHSLLAVLKQHKKRKHKRATRRPIDNDELVTDDQQPSTSETVLRVISCKSHRRRKRSHEKSSSDNGDKMNSKKPHLAEPSSSTADLPMDRKDDKDALLGSTELPSSHASSVTDQHDNRSHEKSSSDNDDKMNSKKPHLAEPSSSTADLPMDRKDDKDALVASAKLPSSHTSSVTDQNDSRNCAHPNEVVSRHFDLLTRGLGEITVQRWDDIDMPNTKSTKFSCPRTNIIGYVPDQWDEEYDRGKMKKVRKPKEEEFGGPNPFQEAANIRSRLRKRFKCDQDRWGNQPRRI >cds.KYUSt_chr2.13600 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86196665:86199098:1 gene:KYUSg_chr2.13600 transcript:KYUSt_chr2.13600 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVWQAEANKNRLDTLDRNPDRLQVPLLSPVLDIYVVSPSPEIDLRRSCRESRIFLSFSNSLITYRGCGCHEDKQTRRFDSLHAKLAGAILEQSHRLVRCSIGKGLLSDKPIVVKAKLISKIAEKKIKAAGGAVVLVA >cds.KYUSt_chr5.42499 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267819847:267821829:-1 gene:KYUSg_chr5.42499 transcript:KYUSt_chr5.42499 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAMQWWEEWQLRILVLASLLIQYILYSSVWVRRSATVRRLRMLVWIAYILGDAVAIYALATLFNRTKKTWDGESSALEVLWAPVLLIHLGGQPWISAYSLEDNELWKRHTITLVSQVTVALYIFCKWWSGEKSLLASAILLFLVGILKFAHKPWALRTASFSSMQISSTMDLLVAEQSEGNTYSLEEYVQAAKKCVLETKVHRPSDFSEILSSCMFADQSAPYSVRFKELSCFMRLEYKNSYSQLQDCLGSAFDFLYTRIGSILTLLGMGLALLLPFLTLASMVLFATSHKDGHNKKDITVTYILFGCTAMQELLFPCYIISAFIPCFRSFIEKHTDGWHNMVSQHNLISFCVRKKKPTFLMKLATFKFLREFINQHWYIRHVPIAFQISGVVCQHLKHGWKKYICDAASYRRFNELRGQWALRRHHQLGWSLKKPFDDSVLIWHIATDLCFYHPNTSPQCRQGEGPERSREISNYMVYMLLIRPEMLMPGTKSYLFTSASDKIVGNSKGPLDTEEMIAHEILNMPMLLTATDLVSNASKLAKALMELRDDKERWSVIQGVWVEMLCYSASRCRGYLHAKSLGEGGECLTTICLLLSMMGMETLADRHQRSEPPQEEGREGDVEDQGKEAVKEEEEPCTSHAQAEACQSAEDYSPV >cds.KYUSt_chr1.38452 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235025007:235027693:1 gene:KYUSg_chr1.38452 transcript:KYUSt_chr1.38452 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSVRRGPMAINPTLHSAIFAVLMSTVYVGTSYQLRKIAEETKERQRQMQIRAAASQPQDNKT >cds.KYUSt_chr2.50498 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315776042:315779404:-1 gene:KYUSg_chr2.50498 transcript:KYUSt_chr2.50498 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVEDPHKSTDEGLDARMLGRTEGSSSSAQPMEIMGTHMDSVDASKRPADSTQPNEIANRKHMDSVDVMRLPMDICPEAMLEHTENLSDVTPLKDEKRRKTNLQHNKPKKANKMVIPQDYVCTSEDIDVVKLIMSAPKNTKFVDIGDALLSNNDLRCLTQDGMFLHDGVINAYIYCISDRGHLRDRAGGRVHLESTFVSSRLKRHGEREIDPSDHRRIVERMDKYLKNDMVFLPINVTASHWYVIVVNAKKCVIQVLDSLGAVVKRNDVTLTLRGLEKHLKIASQKKDFNIGEKWHDLNVTKWPVIEQFPEPMQTDGYAEKPLKLYLSEDMNEFRPKLAAILYDSELNKIKGSPIYAQSDKEENGSDSDIVVLPNPKRPYSSDVAEDDRVKEKRPILVHMMPTDPRMLVHELCKYIMSIQDAKLLEQEWVRSSKPYPIGLNLKKIQEILRVDRPMDNDCFNLGVRIVACDEILQMVETDVHYMDLRFCDGYELRKNFLIHVLKCHENEAEENIPAIVHQYLRSIKE >cds.KYUSt_chr3.7693 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44265077:44265349:-1 gene:KYUSg_chr3.7693 transcript:KYUSt_chr3.7693 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGASRRLAVRVITADCSGEGDDTESALPALSLPLMDDNGHAGRSLVACSNCRERGASAERDVSAKRERAALGLVVALFVAVAYLPWPA >cds.KYUSt_chr5.18899 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122228168:122233751:-1 gene:KYUSg_chr5.18899 transcript:KYUSt_chr5.18899 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPQLEPCQSLASLDCGEVLSPSSEALFAKELCGLLASLEAASPGYDKDIDCVLAGKASEDMIRRVEKSLRKVKYSTDPINPTKSAKAMGRDLRVHFKNTRETAFALRKMALNKAKRYLEDVLAHKQAIPFRRYCRGVGRTAQAKNRQPNGQGRWPAKSAQFVLDLLKNAESNAEVKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINPYMSNPCHIELILSEKEEPVKKEAESQIAPRKA >cds.KYUSt_chr5.32173 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204050560:204051081:-1 gene:KYUSg_chr5.32173 transcript:KYUSt_chr5.32173 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGRLRPVPSAPPRGHLALLQLSGWPVSGRAHRLRSSAERIRPVPTRLRPRPAPPVATATDPPARAHRLPSSPERIHPAPACLRPRPAPPVAAAVDPPRAIPSPPAPASPPPAATNATEEAPDWGGEEAADWGEERRRGLGRRRARRRIGCLGFHHAWRRKVTQTGACGPH >cds.KYUSt_contig_1546.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000163.1:24781:29118:-1 gene:KYUSg_contig_1546.4 transcript:KYUSt_contig_1546.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEGKPSPSPDAAAVEEMARAAATWCAMHGLVVGDRADPRSGTVPGVGLVHAPISLLPSRLPESFWEQACELAPIFNELVDRVSLDGDFLQDSLSKTRQVDDFTSRLLDIHRKMMDANKEESIRLGLHRSDYMLDSETNSLLQIELNTISVSFPGLCSLVTELHRTLINQYGNLLSLDAKRVPGNEASRQFAGALARACDEFNVDSAVVMMIVQSEERNMKTLSEVEAEGQVLPDGTLVVDGRKVAVVYFRAGYTPNDYPSEREWSARLLMEQSSAVKCPSISYHLVGTKKIQQELAKPNVLERFLENKEEIANLRQCFAGLWSLDDEVVVKSAMENPDLFVLKPQREGGGNNIYGLDVREALIRLKKEGGDALSAYILMQRIFPKESLANLVRGGVFHEALTVSELGIYGAYLRNKDKVIINDKCGYLMRTKVSSSDEGGVAAVRKPQEYHLQVPTGIDLPWF >cds.KYUSt_chr1.3843 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23523965:23541650:-1 gene:KYUSg_chr1.3843 transcript:KYUSt_chr1.3843 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHARPMLGAGVPPDLYLVRKVETASLIVIWLETENATCGSTLAPESLVLRRTTLRHHQPSTCFLAPTGSINLGFLLRENLLLYASHLPLGVPNGRVLYAYQHAKKLSDLGIVIPKKLGIHRVLQSLPPSYKNFVMNYNMQNMNKELPELFSMLKSAEVEIQKEHQVLMVNKTTSFKKQGKPNNKGNFKKGGKKVAAPPKKPKAGPQPDTVCYYCKEEGHWKRNCSKYLAYLKSGLIKKKKVEDHLRCVRGGNGPTEDHETVRFSPAGRYSSTDSTSPCLRPQVQQEGYEPLLDMVASARWLVCTSRLEEWRSHEGFPNATKAHRILRATPTKDNVPFLMMDHITLTKILTENPRKKIQQGPSKPHLRLCRTARHAGETRRLSSTQRLDWEEPPCGRGVDILGTRAPPSCTTDDDASLINNNHTIQIFDNRIHLLSDSGDGERRAAEWLELDRPLLPKIIEERHQRRRRPPSPNPAADYYGAGDGEDPTTIYAVSGTGLDATPYTLLLMGGRYNRTNYSTYLNDEPMPDAASEKEQGNEYFKQKKFAEAIECYSRSIALSPTAVSFANRAMAYLKLRRFEEAENDCTEALNLDDRYVKAYSRRITARKGLGKLKEAMDDAEFAVSVDANNPELRKQYSEIKALLMEKMSKRSSVQAKHTISEFDKAGDRKDITSHPATGLQKDSFMEVDSSGRSGIKEFAGGASKGGSGASLKDNNMLQPRDAKQKPAPEISVQDLASRAASRYMSSTVKSVKTPKTAYDFEVSWRAISDDPAQQIQLLKSIPPESLPEIFKNALSSAFLIDIVKCTASIYRDDAVLAVNILENLAKVSRFDLIIMCLSPMHKSELRKVWGQTFVVENASRDQVEALKLLQAKYVQGGWHDDMFTFR >cds.KYUSt_chr4.33417 pep primary_assembly:MPB_Lper_Kyuss_1697:4:204920869:204922193:-1 gene:KYUSg_chr4.33417 transcript:KYUSt_chr4.33417 gene_biotype:protein_coding transcript_biotype:protein_coding MCGNEVGKVQLGGGEGGGGHGGMEVEDVRVRHHLGHAGFPAAAGQHVLEHHYRRLALIVIDFKNVRSCLEKITNQLLLLQVSYLLLRGVHHRRLLHPATRCAGGSLGSAISPQSRDRHVAAPGLGELGGWQPLTPGLGGQPNRWVEIT >cds.KYUSt_chr4.46191 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285424604:285425135:-1 gene:KYUSg_chr4.46191 transcript:KYUSt_chr4.46191 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFWQQQSNMRIRAVMKFKRDAAQQGNERPEELRWNCCGGGEAVFGASAAALDYALLFPASSSLPCTVPLLPPLLFHATAAPLLQFLQPPPAARLPRPPASLFRFRRRSASLRCLLRILVRRQLRSRNARGLSFSDVLVVSHRET >cds.KYUSt_chr2.16230 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102059864:102065382:-1 gene:KYUSg_chr2.16230 transcript:KYUSt_chr2.16230 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPLSPHGNVVYDGLQKAGEDCLNHLVEANDGVEGADGICYEEPDLFGWVEGVDYLIVYGTGEKEKMEKALETMQTNFNTVRTGRANPSMLDRIEVEYYGTPVNLKSIAQINTPDASSLLIQPFDKSCLKLIEKAIVAANIGVTPSNDGEVIRVTVPPLTSDRRKEMAKTVAKLSEEGKVAIRNIRRDAIKAYDKLEKEKKLSEDNKKDLSADLQKITDEYMKKVEALQKAKEQVPRSFR >cds.KYUSt_chr6.11475 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71197390:71198842:1 gene:KYUSg_chr6.11475 transcript:KYUSt_chr6.11475 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRDVVTKGIALTVCSSLLASSASNGGADAQALERLPFKADGYSFWTWRGRRIHYVEQGSGQPIVLIHGFGASAFHWRYNIPELAKKYKVYAVDLLGFGWSEKALVEYDATIWMEQVSDFLREVVQSPSVLVGNSLGGFTTLFAATEVPELVRGIVLLNSAGQFGDPNAPPKVETAEEESALTRLVVKPIKVAFQKVVLGFLFWQAKQPARVEKVLKSVYKDPSNVDDYLITSITAPTADPNAGEVYYRLMSRFVANQTQYTLDKLLGKLSCPLLLLWGDLDPWVGPAKAAQIHKFYANSTVVNLQAGHCPHDEAPEQVNAALLQWLGSLEEETGDKPAEPSLQAV >cds.KYUSt_scaffold_1854.500 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2638965:2644182:-1 gene:KYUSg_scaffold_1854.500 transcript:KYUSt_scaffold_1854.500 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLWSSLWGKPEPPPPMVLVPPLFDYPPIAARTRMAVPAYEVMFGKLPLRSLFEDYFDHAGSMTSRFMLKPLEDPHVDLVANVSAAAGANSGTEVKGDALFRWQNEEVMFFRVSSEDYGVLGVKNPFEDDQVVGITNYIDLGLELAGGIDKDKPTESANNNLFQLAASWQANKNFMLKGKLGPSKSSVALAFKSWWRPSFTLSVTAVNDHRKGTTSYGFGIRVEDLRKASYEKADANYVMLTPNKQHLAPAVMFQYGERPMFQADVDSGNFDHLPAEMRPIGKIL >cds.KYUSt_chr7.39999 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248446703:248447887:-1 gene:KYUSg_chr7.39999 transcript:KYUSt_chr7.39999 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSKISDPSLSNPPGVAGLQGWAELPDHLLHSIIPLLGSFIDLTAFASTCPSWRAAFSSYPSKSTFCTVLPPLLVRPNIRVQAPHLPSSNGHHKLRTCKVIDMANQNKSLRCQIPQVTFQKMHFAGSSYGHLICCREGKCLIVDVFTGAEVSPPVLPFSGDPEEEFYFGGTLTAALASPNCHLLISTQSSLFDWPVGSDSWFELKFSDARIDQIVEFNGQFIAMDYFQRIYTLQLAPQLGLQEITTEWWDDMTECPYLRPWLAVCGDMLLIVDHYVSLSFGAPVLYKPYRLDMSAKPAKWVEVKKLDNWALFVGGDVRSPSFSCLSPEQWGGSSNRLYYAHYSQPWSVHGLGEDADAVWDPSTDPDLVYKRNWYGQLQAFWVYPSMFYSDGQ >cds.KYUSt_chr1.42593 pep primary_assembly:MPB_Lper_Kyuss_1697:1:260654601:260657051:-1 gene:KYUSg_chr1.42593 transcript:KYUSt_chr1.42593 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFHLLRSKGFVAVVLPLSSAIASAPDGSPPHSQFTPKQIDDLLASFCASVGRKDGGSGSRAFLIAGSNAAARDAGAEDSAGGMRVYMLSGCAAAGSAAPKSEHFDLFMDNLMVSPLRCPGGTPCPNVDGLGGNGTAKIPTEEEKKTFQELQFQLQKKLFVLSARSIHLDIWQHNVEAQSRVNAALKSSVDDSRAELEKGKHELIERSLLFEKQKADDKKVDQVLEMVKELVSNAAKATKVEKPEVSNDGGKPSEAGKEKFQTKSNKISCQLFHYSKFKIVSYAKSRKPTDHFITIARQFHNSYFVGKKYYPIVFGVIVWYTSGVEVREVLEYAEMKHDGNKAEYKKELVDFRAGKVAKAEALRQKEADALRQREAEALRKQEVQAEAKRKQDAAAKPKQDAEAGEGKDVAAVKKPWWSYFWK >cds.KYUSt_chr1.39522 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241781286:241785579:-1 gene:KYUSg_chr1.39522 transcript:KYUSt_chr1.39522 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPSKRSYLYAGSSSLDDPDVVELSPAAVAAAGGWGSSHQKRKRSQVVPHEVIEIDDDPDGVVIIGEKAPVEKNKHAAGYPLEWPNHVKTTVANDIAGPSTYASKGTAIVGGVKKLVESTVFPDFDDYSFDEFEEDYSYEEDEYTDYDYDPLPFEDKFNLFGKFDVGAPLPWMQKTAAELSNNTKPVSILDDKVDEKYNTFKSFDTVNGHTDHYYSKPELRMVHSVKKPSKDWAKRIQREWKVLEKDLPDTIFVRAYEDRMDLLRAVIMGPAGTPYHDGLFFFDIYFPPQYPSTPPLVNYRSGGLRLNPNLYACGKVCLSLLNTWSGSGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYASSANTPNGERRSQSYNEDTFLLSCRTMLYSLRNPPKHFEDFIAGHFRKYGRTVLVACKAYLDGAQVGCLAGNGVQDVDEGDKSCSLRFKTSLKRLFDELLKELAVKGADRDKFLPENMKPVAASASSSRAAQVDAASASASASSSTSTSRAAWGDSTSAARAARIDATLRLYSK >cds.KYUSt_chr1.33406 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203122387:203122974:1 gene:KYUSg_chr1.33406 transcript:KYUSt_chr1.33406 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAKATKKTGAAGRRGGGGPKKKSVSRSVKAGLQFPVGRIGRYLKLGRYAKRIGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKNRITPRHVLLAVRNDQELGKLLAGVTIAHGGVLPNINPVLLPKKTAAAAAKEAKPAKEKTKSPRKAPAKKAADS >cds.KYUSt_chr3.1282 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7152634:7164945:-1 gene:KYUSg_chr3.1282 transcript:KYUSt_chr3.1282 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVSKEEVGTVLMQAMLAAKNLRPQRDRLMQLRRRLQQLSPGEDDKAAVQELATNLFKVYYIGIEAGARSIATCLELAAENGARLALNPALAVVPNEHLYSALLTQRLPARPTTQTQAFTRAEATFHAVKLAQEHYLPRCIEYLVGDRPPLVTDEFSDDGSEDEEEEDDPVAAVTEGLAKTDLSDADAAPAATGEPPQAAASGSVDLDKARTCLNHACTLVSLAVKHIDLAVVVISRFVDPEEVASLSDFTDKFAYISELAPLILLVAAASPSQILSSPLKKCRPPARSPRRTALDAAASPWALYRARYPVPPDMRLPSSGGWRMAVNGIGVPPPPKPRTDQWWDAVMARRAQLTAEERRDPTWAVENNDAWWTTYFKAKYDVEMHNTDGLVGGPNSWNKDGRARCRAFGRTLENVIRGLRNGAPRLEMPSSPPPSPQWQPRRTTYSSSSHSSSSGPARSTPSSSYRSAPYTVPKREVKEEPAAPVNTRRGGSGSGRQQGRRGGVLLLPKPEVKEEPEEASQAALLAEGDLDAAIAMSILDSGKPLVDLTDDGEAGPSGLVKDEPVDEPVDERGKQEVVTDEMYNFRAMTTTPPAAASGSRLGARQRSLSHGKGRPTHGKEAPHGKAPLPHGKGLRTGKGADKHLAGTLPDPHISSPSPSTEPPPKPSTPRALPRRRLPPPSHVAAATGPPTQTLPLASPSLAAASLLPPRQAARAIAAARPSCCCSPLRRRPCCCCSPPPRAARAADACLPPPVVLLAPFAHHQLWELRPPPSAEAPATTRCLENLANGNVPESPHVEVETPRDAETSEDPEENTKEYYEVLFASQKPLHENTEVTQLDAIARLMALKCHRNLSRDGFDELLVIVGSLLPKGHLLPQNFYYSTKLLSELKMSSQQIHACPKGCMLFREEHADTNCCIKCNSSRYFEVDRNGDGQKRQTKIAKNILRYLPVLPRIQRLFMTEDTA >cds.KYUSt_contig_1546.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000163.1:36423:45593:-1 gene:KYUSg_contig_1546.6 transcript:KYUSt_contig_1546.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPYWIQSGTEAVPERYCRNSVTVLKRYLGRYYRSQVVLPLKVPKVNTTMGQGHSYLKKFTVKDENDRINYATSTMQGLRSYMEDAHTTILDLDHTGSTSFFGVYDGHGGDFILKSNGLSATEQMVTCNPDVRTVDITDDTEFLLIASDGIWDILSSQGAVDFVHQKLASGTTDLRTICEGLLSHCFRSRDNSTVILVQFKPAARIPLAPPASAAPVVNPSATETSIAANPDASAEAKADSGREIEELEGPLLPLSTLPSSSQLLPCNSVAHFLFVYSSNQSMVQLLWPESVPTDVSLAVASESAEPF >cds.KYUSt_chr1.41570 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254884136:254890646:-1 gene:KYUSg_chr1.41570 transcript:KYUSt_chr1.41570 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRSLLVSAVGLGVGVGLGLGLASARWRKPAHTAEVGAGAGAAEVEAELRRLVVDGRDSEVTFHDFHHFHSYLSEQTKEVLISAAFLHLNQPDLSRHIRNLSAASRSILLTGSSEPYLQSLARALSHHYMTQLLLLDVTDFSLRIQSKYGSSSKSLVHNQSVSKTTFGMITDFIGSFMLFPKKDERKEPLRRHVAIGADSRTRGSNVDASSGTSYVSSQYSGNSVSARRTSWSFDDEVLIKSLYKVMISAAESGPVILYIRDVDRFLNRSQRTHSMFKKMLGKLSGQVLILGSLLLNYDLEYNDVDDRVSCMFPYHVDIEPPEDEIDFYGWETQMEEDAKKIQIQDNRNHIVEVLSANDLDCDDLSSICQADTMVLSNYIEEIIVSAVSYHFRHNKDPEYKSGKLLLSSKSLSHGLSIFQEIGTDGEDTLQIEANGELKYGVKGAAGCKNAETDKSPIEDGDAPPPKLELPDNEFEKRIRPEVIPASEIKVTFDDIGALDDIKESLQELVMLPLRRPDLFKAGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFIDEVDSMLGQRSRSGEHEAMRKIRNEFMSHWDGILSNSGERVLVLAATNRPFDLDEAIIRRFERRIMVGLPTQESRELILRTVLSKEEVDENIEYKELASMTEGYSGSDLKNLCMTAAYRPVRELLKKERLNEMERRKMEAEEITTVPEDSDKPESKKLSSHNEEGSAEMANLDSKGESSESKANKAEDEEVAINLRPLTMEDLRQAKNQVAASFAAEGGVMNELKQWNDLYGEGGSRKKQQLTYFL >cds.KYUSt_chr2.46607 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291368664:291369305:-1 gene:KYUSg_chr2.46607 transcript:KYUSt_chr2.46607 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVQVIATLLALNILFFTFANATGRPCPPSGGSGGGGSGGGGGGGGGGGGGGNCGGNCGGGGNGGGGGNGGGGGGGGGGGNCGGNCGGGGNGGGGGNGGGGNGGGGNGGGGNGGGGNGGGGNNNGTQCPVDALKLGVCANVLGLLNVTLGSPPVQPCCSLIQGLVDLEAAVCLCTALRLNLLGINLNLPINLSLVLNNCGRNAPSGFQCPN >cds.KYUSt_chr3.33272 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208869143:208870606:1 gene:KYUSg_chr3.33272 transcript:KYUSt_chr3.33272 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNMSIGYMPSGAAVPDWLNKGDNAWQMISATLVGMQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWAYNMSFGHKLLPFWGKARPALGQKFLIAQAVLPQTTHFLNDGVTVETAWINPAYPMASMVYFQCVFAAITLILLAGSLLGRMNIRAWMIFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGIAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGLLWMGWAGFNGGDPYSANIDSSLAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWFTMMVVHKRSKILQHVDDTLGVFHTHAVAGFLGGATTGLFAEPVLCSLFVPVTNSRGAFYGGSGGMQLLKQIVGALFIIGWNVVATSIICLVVGLIVPLRMPEEELAIGDDAVHGEEAYALWGDGEKYDSSKHGWYSDNEGTHHSTAPSGVTQNV >cds.KYUSt_chr4.50054 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310062765:310065891:1 gene:KYUSg_chr4.50054 transcript:KYUSt_chr4.50054 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSENQPDGDEGTNPGEGGASGSPPFIAAAPVVCFTRSAGDFAGGAFVGSIFGYGQGLLTKKGLKGSLGNAGSSAKSFAVLSGVQSLVLCLLRKLRGKDDIINSGIAGCCTGLALSFPGTPQALLQNCATFAAFSCIMEGLNKQQTAMAHTLAGNALTFAHDNGSVLPPFTLPPILDASDALASCCQALVKPKH >cds.KYUSt_chr7.2772 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16442266:16445108:-1 gene:KYUSg_chr7.2772 transcript:KYUSt_chr7.2772 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGHGHPQMPATATGGARAEEAAAAAAAPSGSSSAPSSCMGTRPEELAARLAAGGGGPSVVAAGEGLEGEQGRVLALREIKNQIIGNRTKKLLYLRLGAVPAVVAALAQPAASPAALVQAAAAAGSFACGVDDGAHAVLAAGAVGHLTRLLAHADDKMNKIKAAAAAGSMVKLALAGGTLWFGASKTLYNVEGGHRAIVFNRFEGIKDKVTSPHTYPYLPDLLVAPLSPIRWISRWSHLRSLAGLPRENLAARPGGDLGVTGGSSDGASATPSSLAHYRILSPIGSGWELFVYGASWVSWKLEWLEFFVYLFPVQVGCHGFWFPDGEKHVVKLHGCITIAVEI >cds.KYUSt_chr4.41888 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258994603:259005844:-1 gene:KYUSg_chr4.41888 transcript:KYUSt_chr4.41888 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDMDMPDPEELEWMESNGLLPEEEEYAYFDDPEEGFLPAAAAAVASKPQDPPQVAAASPAKPAGTHHSPSRQATNLGLDYADSVDQIVHEEEVSEANLKRPPPPPPPEQEEERSKRRNVEREDPVDEDWLRYSPPPAVEVVAEKTVSRFASEIQGDSMPVTAPNGERVYAKLATEKLVSEVVEGAGRRASNANHTGLLSESFQSLTMRAEQDALAKALLESTDTQYVEGCPVTPVVTEQLWVEKYAPHSFTELLSDEHTNREVLLWLKQWDSCVFGSHIRATSDDTLSALRQHSCAIQKNSSNRSFFSKIKGGYVTSRDSMPQNESGSNSEDLKNNFNKKPSVDNAPEQKVLLLCGPAGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVVQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEVILKMINAEKNKNSDRSTGTDETPVQKASTRKGHKIAKLLRPIICICNDLYAPALRKLRQVAKVHIFVQPTISRVVNRLKYICKKEGFKTSSIALSALADYTECDIRSCLNTLQFLNKKREALNISGFESQVIGRKDMSKSIFDVWKQVLQKKKPKRAEMADSHVSGDKDIGSLFSLISNRGDYDVTMDGIHENLLRLSYHDPMLQKTVKCLDVLGVSDSLTQYVYRTQHMSIQAYQPSIAITISRMVAQVEKPNIEWPKALQRCRAVLLEKKDTLKTWQNRMSPLISRHLSVESFVEDIASPFLHILSPLSLRPVALNLQSERAKNELVQLVDTMVAYSVTYRNTKFEPQERANGYIVPTDIPSLSLDPPISDIISFKGYQSEHIGLSLAVKQVLVHEVEKQKIMKDSAGKLLNQTSEAVTSEVSTVTCQEAAAVTALDSSKGSSKLKSATVPMQFNSASSLRGKDMAPAKKPSSRPTDFFHSFRKERPAGAKSHNDAAQQGATVQRDLRPLIFKYNEDRWLNGARVADLAPNLLALVASRKVSTRTVKEGLGGEWLRDCGPDLGHAAVAEFFLLWRVVGAARLVPECSDEFVWRWSVDGKFSVSSAYRAFFAGRVGAPTASQIWRSRAPYSCEFFAWLISRNICWTADRLQRRGLPAPASCPLCNQEPETIQHLLLGCVVAREVWTWALSRWGKLGWLPAADEDLVSWWTTRPGLGASQRDLWTSLILVFWSIWTHMNDVVFNSARPAVLAIKTKIREEYGRWRLAGLFRSDSFGFPEPVELWWQLGE >cds.KYUSt_chr2.51180 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320039860:320040483:1 gene:KYUSg_chr2.51180 transcript:KYUSt_chr2.51180 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPPGVGGDASVDADRESDLQAQFASLRMELLQLVSDRIEEVTWPLRDEASAIKLWLAHAVGSWERVEEAATGGVGCAPISASDARLGDAELLEFYGPFSPVRRPCDSSPLGFDVFRQPLEGCSGLAPFPPDAVDDKVASPEGPQSPISIDVEGFGLAEFFVEASVPLSVEHSRLEASAFEPDDVVDVLAFPLVPFVEDPEVAD >cds.KYUSt_chr2.53818 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335896358:335896579:1 gene:KYUSg_chr2.53818 transcript:KYUSt_chr2.53818 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLVPYQATMDAPGDEPAEERDHSVERPLEAARAGQLTTFPIYQWVIAEEHGEASAPHAEEDAKDTGARDGE >cds.KYUSt_contig_915.192 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:1233854:1235089:1 gene:KYUSg_contig_915.192 transcript:KYUSt_contig_915.192 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKVCKKRKTTTADELVDELVFEILIRLPVRSLLRFKSVSKVWRAIISDPFFIRAHLRQSASRWRKDPSLLVTPHALNYVIEDEAWPTTFSNEIRFYQWPQQPSSLEEEEPQEARLLMHGGNFLGEFNSVCSFAHCDGLVVAPTNTKVYLFNPATRHTMTLPHSRRNKMHRYQVCLPVGLGRDPRTGCHKVVRAFYRSRDPSTGIYAMGMEVFTVGDASASWRETAADPPYPVAGWITAVFANGALFWVIEKRGLDPSPHSLLRLSLDDETFSVTRLPDSLDPALVESYSFMLDEMHGELCLTAFSSSKPAEQQPLKIWTLVEEDGRWEHRYSLTISGLVHPMALLPGGGAMIVQRSQYICRYDLQTHELDTVCELDRLRYKSTGTFKAAARREIFYFNVIPYTEGLVRI >cds.KYUSt_chr6.7582 pep primary_assembly:MPB_Lper_Kyuss_1697:6:46201845:46203448:-1 gene:KYUSg_chr6.7582 transcript:KYUSt_chr6.7582 gene_biotype:protein_coding transcript_biotype:protein_coding MENCGTLVSSADVPVPAAPAHGRLLSASADAVSGLLPSSASLPLGASPSAALACAAPSVGGAGQQLEALMAAPSSAQLSGTAAPALSTPPTASPSAPSPRGPSASPLPALWVSFADVDEDSDEDDVEVLAPKTPPDVIKTCCGADLPCSAVVDGVAGNGAPPLAAMPWPPSWVSAADNIDEDGEEELVPQTPPATKTFNTAAVVTVDGVKVDGVAGERDGWQEVLPRRGLRRPAPPARLLLAPLSLLGSRVDVAGVLLLVTALRFAVNPSGALDASRTVIGHEFVATLGVLSVGWLAMSHCRPTRRMLLAVSRVSSLASADTKSALEVQAKLLQEAVHPLQEAVDSLHGWMLAVGGFLERAEAVLGRLSQTPVDPLVPPVVGKVGTSGAGLHGCFSPRARASSAITAPVMHILPELMELCGGVLTPPSVEEVRPGSHESSDVASPPCLGFVKCDIVDAAVSLSPEFDRQVASIGDEVSESGLLSAVPGAVVAREVCDFLATLAIAYPGPAVG >cds.KYUSt_chr4.28277 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177665354:177665802:-1 gene:KYUSg_chr4.28277 transcript:KYUSt_chr4.28277 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGEDMIKKLTELGFRGSVARKPERCLRAGQWHWRLESNGPAATVLPKWEDRVMPVHCCAGIKKAKTRAARVVQPTFRHANLMLIDLNTLQYQGEL >cds.KYUSt_chr3.17942 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110263545:110268149:-1 gene:KYUSg_chr3.17942 transcript:KYUSt_chr3.17942 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWAVTRRDRDIDEDERGTLQEGMGDDSWTVNLFMKDAPSHQNFVEQTMDRDLICYFDIFGMVEKYGSVIASLNTGVHFAAPTHTGSSDDAMEGYDTESSNESDHETDRDELDHESEAMKRRWADPSVHPKKHKRPRKSVSNLEDHDHGDLDDPLEPLQNGDEDEEILQDPLVDSENEYQDLAELDTTISGVSAESGSIKIVWPNGVIQEYRGRFTVSNVMNIKSGGKVIVETDENGVPNQRSAGLLGSFLRGLAKNSSHVPLHIPRWDNKLMRKPKKNLITYVEEVKNKGPVSKIDLWDEAHKKKDGTYTNENVQQLMHKARKELAILERKKNGKLSPEDYDKVFDDVIAKDSTIGTYYDEKYWGDARLCRGSTSFPGASSEVMVQNKLQEMKADLKNVTGLMGRMCAFMARNHPGEDWMNDVMTAGNEIKKGNQPEDPAPMLQSNNITMNISDRFGGDYEVDGVERPMANIHTTGSDPKEAIVANEPTSQNPGNGTEEVRYSQLLLGLLF >cds.KYUSt_chr2.53095 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331269279:331272104:-1 gene:KYUSg_chr2.53095 transcript:KYUSt_chr2.53095 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVILNGSLTWLRRSLRLSALAPEILFLPLLVFVLSREHGRDYDETFAPVAHMTTVRTLLVVASVRHWSVSQLDVQNSFLNGELSEEVYMQPPPSSCHSATAALLRDLQKDFSLKDLGDIHYFLGIEVKRINDGLLLTQEKYTSDVLKRVANCAGTVAIRGALLVVLLVGAVVSTAEATRNAGLVVAAAPVASVHTSSIVGSILSCMMGCGTQGTMCGISCLNKPLLHSPECAVICTANEAKCILGCGSPAAHA >cds.KYUSt_chr5.14390 pep primary_assembly:MPB_Lper_Kyuss_1697:5:93392795:93396515:-1 gene:KYUSg_chr5.14390 transcript:KYUSt_chr5.14390 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFQIRRLCFIPRYGMSEEWMTDRSNQLKEKVLGILEACSTIVDQLDLVDTLQHLNVFNKFKDGDGAFNVHISNDPRGLLSLYNASYLLTHGETGLEESILFARQHLESMESDLKPPLAEQVRRSLQLPLPKTLKRVEALHYMSEYKDEPMHNSSILELAKLDFNLLQRLHWKELKALSRWEESAISLLPEYLKKFYLKLISTFQDFENELKQDEKYRVSFSIKAFQVLSINYLQEAEWSHHNYKPRFNDQVEVSSICSGAPLACVGLFVGMGDTATKEVLEWALGCTDAVKASAVVTRLLNDIASFKRGKNKNDVASSVECYISEHGVTGDVAIAKIGSMIEDAWKTTNQARFELAELFPAVQRVANVSISMWFMYANQKDAFTFSNGLDRTIRCMFVNPIRF >cds.KYUSt_chr3.31145 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195493060:195497516:1 gene:KYUSg_chr3.31145 transcript:KYUSt_chr3.31145 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPEPQEPRVIAHLDLDCFYVQVEQRRNPALRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDDAKNVCPGINLVQVPVSRDKADLNVYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELSESIFEEATKSNILDLPSDAGDREENVKAWLCRADADYQDKLLACGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSSVQDFLASLPVKKMKQLGGKLGSSLQDDLGVETVGDLLGFTEEKLQEYYGVNTGTWLWKTARGLSGEEVEDRLLPKSHGCGKTFPGPKALKNNSSVKSWLDKLCEELSERIQSDLSCNKRVAQTLTLHARASKENEYNSLKKFPSKSCPLRYGTGKIQEDAMKLFESGLHDFWGSRNTGWCITSLSVTASKIFDIPSGTNSILRYIKSPSSSATSAILDSSSTPELTFGGKVLISFTFLY >cds.KYUSt_chr5.33086 pep primary_assembly:MPB_Lper_Kyuss_1697:5:209711746:209712777:-1 gene:KYUSg_chr5.33086 transcript:KYUSt_chr5.33086 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMEPAKPEVPSPPPFPLLVHHISDSTQALFSISDNTSRAASIPEISGRYFPTSYGWILVLGPPPAWESFLWNPHSGERIQLPDMEEAGDEIPDNKRCACLLSDDVVASPTCGVLIYDKVTPNMWYCRVNGGSRVWLSYDYDIGSMDVPDETCKALVERQKTFNTIASFRSRFYFDESADTIGMLEFIGDGGDQTESQFGDMDVGWVEFPDGSAAADMFMLESGGELFHVCVFYTLPCLDAPSGFAVYKMDFSEPAWRKTDDIGDRVFLLQEVFSASSSCSASECGLKRNLVYWVNSRVDVTCLYLFDLNDGGITTVQPCQGTPDPQPRPPFWMLPAASPST >cds.KYUSt_chr7.22187 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137370832:137374715:-1 gene:KYUSg_chr7.22187 transcript:KYUSt_chr7.22187 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVWMQGWVGVSLPRRMGWLKESIANQSGDHHGRELEEETANVIVLAIAQTIDTSALTHTTAHRHITMGKENKNDFDATGGGAKKDPRTNRVPLNIEMAWMLHEN >cds.KYUSt_chr5.31250 pep primary_assembly:MPB_Lper_Kyuss_1697:5:198009721:198011097:-1 gene:KYUSg_chr5.31250 transcript:KYUSt_chr5.31250 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLVAAEALQLEEVLRLSAHSCMTACAVCRQMIISVEASWKPENCDHVICIDCFATHTTEAVATEVPKCPVATCKYHFEPRIHEVMDVDDDDVLRELGQCSSGTPICAVCRLIIVSPEASWKPENCDHIICIACFAIHTSEGSKCPVACCGTLLKPEVIDVVDDNGDAGSSTSVRVMDKGKGKQPCSDMLQEFGQCCHSGGVMVIDDFYCTICMEEVPAIECFPIGGCTHIFCVSCVRQYIAAKVEENVLSIGCPDPGCKDGSLHPEECRDVIPTPLFQRWGAALCDMAFGTLKFYCPFNDCSKLLIDDHRDGDAAITNVECPHCSRMFCAQCKVPWHDGVDCAEFQRLGEDERGREDLLLRKVAQKSKWQRCPKCKMYVERVEGCVYIVCRYYCANFTEYPTRQQLLIAVRRVRTIYDERLLSPPFCRCSHRFCYLCGSAMVKGNHHCSKCKRTW >cds.KYUSt_chr6.17120 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107830080:107834789:1 gene:KYUSg_chr6.17120 transcript:KYUSt_chr6.17120 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDRSGNRAPEIKEETAAASAGAGFGDLEDPRFQCCVCLELLYKPIVIELEKFMDAYSPQNIEFLNSKGNNYENGKDGDNKLEDGKTGFPGEVSVDDNTMNECSKKVKLEDVSCPLCKDLLYQPAVLNCGHGDEALKCQVCGGLHPGSYPNVCLDLDHFLEEYFPAEHESRRKKLLSESTQCNPEGSSSGTSCSKDEMDKVSKGVTYGQKNLDLSNVHAGVGCDSCGVYPIRGERYKCKDCTEAIGFDLCGECYNTRSKLPGRFNQQHTSDHRMELDNSSLYDAFLRFQGIPGEGLHQLIVEEAFIGPGGMLHIIGDDHEMEYSDEEEEE >cds.KYUSt_chr2.5324 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33024628:33025176:-1 gene:KYUSg_chr2.5324 transcript:KYUSt_chr2.5324 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRLQLQALAAVLALVAANGVSHGFEFHEATVEAIHLGFSNGSLTSTALVQFYLNQISRLNPLLRAIIEVNPDALRQAARADARRASSGGRLTGGLHGIPVLLKDNIATLDALNTTAGSFALLGSIVKRDAGVVVRLRQAGAVVLGKANPSEWSNFRTVDSGWSARGGQSLVIRLVLPSK >cds.KYUSt_chr5.16229 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104500714:104503357:-1 gene:KYUSg_chr5.16229 transcript:KYUSt_chr5.16229 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSPRVSVHVCLCNTRHGKEGCPQYNKREGLTHLSTTAAAYSPHKMKPEQQGAHAKMSTSSSPLVGLRAVVSFLFGLFILASTASLLMDRSRESQVQLAVEHRHLEVRIAAAGNQESQVRWTGELMGEAASGSGEKECDLSVGRWVYDNTSQPLYSGRNCSFILDEVACEKYGQNDTKYQHWRWQPDGCDLQRFNATQLLEKLRNKRMVFVGDSINRNQWSSLVCMVESSIPEGLKMREYNGSLISFKAFEYNATIDFYWSPLILESNSDNPIIHRVEYRIIRAEKIEKHASAWSNADILVFNSYLWWRKQREDMRMKVMYGTFEDGDAKLDEVEMVEGFEIALKKLTGWLEANIANKTKIYFAGSSPTHTWASDWGGDDSNKCLNETEPIPDAGYKGATTDYSMMDKAGKIFRPLEKKGIHVQILNFTQLSDNRIDAHPTIFRRQFAPLTAEQIANPSSYADCTHWCLPGVPDVWNLFLYSYLVQK >cds.KYUSt_chr3.3687 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20897048:20901020:1 gene:KYUSg_chr3.3687 transcript:KYUSt_chr3.3687 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWTQRLLAAATTAALLLAATCAAASALDAFHVPSVEAQAHVTKINQFRKQLNGNDKVTLTFNLSAKLESLFTWNTKQVFVFVTAEYENSKNSLNQVSLWDRIIPDKDHANVQVEVKSKYPLIDQGTSLRGKKVQLVLHWHIMPNAGRMMRGKMPLSEFNLPDTYTS >cds.KYUSt_chr4.19128 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120210844:120213683:-1 gene:KYUSg_chr4.19128 transcript:KYUSt_chr4.19128 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVRRSRRLSPPALVDDAMSEIFLRLPPDNPRSLVRAAAVCKNFRRILSDAAFARDYCKFHGAPPGMLGFLHNSCYVEHCVSHFVSTSSSTPSRCRSYNHLDWLALDSRHGRVLFWTPKAFAVEFVVSDPMANTTWKVPPNPRYSAGLFHADGDDVDEFNLTWNATLLGSNNCRGGHFILVLVGSEDEEEIMFAAVYSSESGEWRETIVTGQANAISQGVDVVLMGDKVYFPCETSNIVVEYDVGDHKLSVIHTPYKGGRDLVLTAAKDGMLLFTGVWESKLHIWTMEPGPNGDEAWAGRRALELEPLVSSRTVSEVFIVGVVEGASVVVFLRTEDGLFTTDLNSGRSKKVSEKKPTGKIIAITSFYPGGLGSTRRKMKVPNQLIGNKNVVSDSSALNEGLIGFRRWISASKRRVRILDNLAKSSVQQIVLRLYQFISH >cds.KYUSt_chr1.36392 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222026293:222034014:1 gene:KYUSg_chr1.36392 transcript:KYUSt_chr1.36392 gene_biotype:protein_coding transcript_biotype:protein_coding MANATQGLVLVSRVNFGPSDGVVVRFPDDPHDRIWMPLSKPTEWSEISTTKKVQNMNADSFEAPSAVMQTAITPINATSPIEFSWDAQPNVNDPSPGYICVLHLSELQILSGNAVRQFYITVNGEFWDPRGFTPQYLYTNAAYNTDPNHQFHQYNVSLNATANSTLPPILNALEVFSVLSTTGITTAPTDVSAMTAVRDKYQLVKDWMGDPCLPKNFAWKGLGCSYAVSSPATVTGLNLSSSGLSGNISPFFSSLKGLQYLDLSRNNLTGTIPNNLSQLAALTLIDLTGNNLSGSIPSGLLKRIQDGSLTLRYGNNPNICSDGNSCQILEKKKSSMVAIYVTVPIVVLMVIVLLVVLFMCMRRKQRATSKNLMPRNRRSSMHTSLQVNNRRFTYNELVVVTSGFQRVIGQGGFGKVYDGFLEDGTQVAVKLRSESSDQGVAEFLAEAQILAKIHHKNLVCLIGYCKDREYMALVYEYMSEGALHEHLRGDVVEDMMSMHAGLEYLHKGCSPPLIHRDVKTSNILLNANLEAKIADFGLLKAFSSNYDTHVSTGRVVGTPGYLAPEYQATHQLTNKTDVFSYGVVLLEIVTGQPHIPNDPEPTGIVLWVRRRLVSGNIEGIVDARMCGDHDVNSVWKITDTALKCTSQTPEERPSMTDVVALLKECLELEAARVISNAGYYTAESGVNPNSNAI >cds.KYUSt_chr7.309 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1800983:1804574:1 gene:KYUSg_chr7.309 transcript:KYUSt_chr7.309 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLERGRRVRAELSCGAQYTEHKAMGLASQLLLVLRSYSLPVWATIISGLFVVVSLSLSLFLLFNHLSAYKNPEEQKFLVGVVLMVPIYAIESYISLVNPSIGVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKKEGGSGSDAPLLGHASEERLVNHPFPMNYMLNPWPIGEWFYIVVKSGLVQYMIMKTICALLAVILESFGVYCEGEFKWNCGYSYTAMALNFSQSWALYCLVQFYAVIKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLSSWGLLRGPIAQELQFKSSIQDFIICIEMGVASLVHLYVFPAKPYELMGDRFMGGVSVLGDYASVDCPLDPDEVKDSERPTKFRLPQPTDHVRCSTAIKESVRDVVLGGGEYIVNDLKFTFDHAVEPINEKIHQISQNMKKHDKEKNKKTNDDSCIDSPRSLHRVISGIDDPLLNGSLSDNSGPKKSRRQQRRRSGAGSGGESSDQGLGGYEIRGHRWITKE >cds.KYUSt_chr3.6971 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40186159:40188927:-1 gene:KYUSg_chr3.6971 transcript:KYUSt_chr3.6971 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTEALLRVRGEDPQDQEALVGRILGYIHLVLPDPPVSRRATLRAFLPNDDVDRLSALPYALLRNIVSRLPDKDAARTAVLATRWRELWRRAPLVLVDSHFLAPAAASAGEVARTEARRVTANVSRVLAAHPGPFRCVHLTCSYMGEFPSLLARWLQTLAVKGVQDLVLVNRPFPLALDLPTALFGMPAVTRLYLGLFKFPDTAGLPRTVSFPNLRELGLCAVVIFNPDMDFVLARSPVLEILCIQANLLTERLRIVSRSLRCVQITAATDLDILLAYAPHLERLIVWSVMAKERSNMRIKIAPAPALSILGYLEPEFHTLEIGNIVIKAETRASPATMVPTVKILGLRVRFGMGDDVKLLPAFLRCFPAVERLHIESKKTAEPTCKFNLEFWKEAGAIECVQSHIKLMIFHNFHGDQSELSFLHFILESAPMLTKLVIVYPKRTFKSMTEANSKLEPLFASKWASTCCSLLVTEGAYAEGKEPPESQNFKRGSNFSVRDPFAFIIRA >cds.KYUSt_chr7.25051 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156276165:156286684:1 gene:KYUSg_chr7.25051 transcript:KYUSt_chr7.25051 gene_biotype:protein_coding transcript_biotype:protein_coding MSRANIGAVTKEMEALQVGKAQETKQENLNKVASVEDVTSPKELKVSDRNDGAPGGQSSPPEDGDDAEHGAAASVAAKKKKKRSKAKKKKDPLQQTDPPSIPIDELFPSGDFPEGEIQEYKDDNLWRTTSEEKREQERLQKPIYNSVRRAAEVHRQVRKYMRSMVKPGMLMIELCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDSAFTIAFNPMFDPLLQATRDATNTGIKEAGIDVRLCDVGAAIQEVMESYEVEIDGKVFQVKSVRNLNGHSIEPYHIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKTFDVGHVPLRVAKSKQLLGTINNNFGTLAFCRRYLDRIGETKYLMALKNLCDVGIVQPIPPLCDVKGSYVSQSEHTILLRPTCGAVAVVCRDETGHFLGASTLTIRGISDLAVMEALACREALALAQDLHLQRITIASDCLAVLNDMQRPFAGRYSMILEEIKATSGHFDEAVFRHENRASNQEAHRLARSATSADFGHQVWLLEPPDLCISNIMRFE >cds.KYUSt_chr2.34332 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212055918:212060754:-1 gene:KYUSg_chr2.34332 transcript:KYUSt_chr2.34332 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGAPGGPGSSGDALYRELWHACAGPLVTVPRQGERVYYFPQGHMEQLEASTDQQLDQHMPLFNLPSKILCKVVNVELRAETDSDEVYAQIMLQPEADDMAQNPPWQELVAKDLHANEWHFRHIFREVRMGIYESVTSRSEFVVSVNKYLEAKNNKMSVGMRFKMRFEGDEAPERRFSGTIVGLGSMSTSPWADSDWRSLKVQWDEPSAIPRPDRVSPWELEPLVATNLQPPQPPARNKRARPPASHSIAPEQPPAFGLWKSPSESAQTFSFSGLQRTQELYPSSPNSIFSSSLNVGFNTKNEPSNLTNSHFYWPMRDTRVDSFYASINKVPSARKQEPTTAGCRLFGIEISSAVEATSPVVAVSGVCQEQPAASVDVESDQLSQPSHVNKSDAPAASSDHSPYETQSRQVRSCTKVIMEGMAVGRAVDLTRLRGYDDLHHKLEEMFDIHGELSASLKKWKLVYTDDEDDMMLVGDDPWNEFCSMVKRVYIYSYEEAKHLTPKVKLPVIGDTIKPSPSKPSSESDLPQSDFENNPKVADNKDC >cds.KYUSt_chr5.721 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4984344:4985678:1 gene:KYUSg_chr5.721 transcript:KYUSt_chr5.721 gene_biotype:protein_coding transcript_biotype:protein_coding MALHVHPTDLCSLVSRVVPKLLGQSPSLLNNKTLLIEPIAPELPVDILMHVFATLEIPDLVRAGSVCTSWFSAYATLRKLGKHKQSQTPCLLYTSESAGENVACLYSLVEKRVYKLNLPEPAIRSRFLIGSSLGLLVTVDDRSEMHLVNPITGVQIALPSVTTMKHVKPICNDSGAVHKYEYTRDSAKQAFSTSIYALCALRESFYFKALVFYDDTSSGRFIVVLIHEPFGQLSFARVGDDKWTWLPPHDDYQDCTYKDGLLYAVTKRAEIHAFDLSGPAVTMEIIRGVDVDLDLDCVYIVQAPWGGLLLVSRLIEIEDPDDEEADTEIPLPKYTVEIKLYKVDVGTMKLVETDCLPGYVLFLGHNHSLCLSAKEYPSLKGNHAYFTDDDEYITGRKSCRRDIGVVDLGSNSKKDLVPPQLWSNWPAPVWITPNITMMKLVSNK >cds.KYUSt_chr4.11680 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71017465:71019648:1 gene:KYUSg_chr4.11680 transcript:KYUSt_chr4.11680 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHATLSRDAGSHSDLPQDGQEEAGPPRPRRPTAFYSSVFAQIEEVGWGRLASAAGDDGVSCLAFRVVDEHGRQHLLEITLPMNYPACPPSIAADVPYLPKLQWSKSSRLKDVVGQFQEHLKVLQDYWSTMDDIDMALWVVDPTKPAYAMSHRRIALGDDCYILLNVDAQKPSSLPECRFLGTDGKLERLIKNWRKYRNRWSADKKFHENLATVLDFALPQPPSINIKDDQQADCGICYATHLPTDDELGTQSGCATDYTCENSSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSEAVAVKITDR >cds.KYUSt_chr6.27722 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175855925:175858033:-1 gene:KYUSg_chr6.27722 transcript:KYUSt_chr6.27722 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCLAFVLLVSLVSPTSSCTDQDKGSLLWFLAGLSNDGSLAASWRDGKDCCKWEGITCSTDRVVTGVSLASKNLEGNISMSLGNLTGLKLLNLSHNSLSGGLPRELLSSHSITILDVSFNQLNGALPELPSSTPARPLQVLNISSNLFTGEFPSSIWKAAENLIALNTSKNSFTGQIPTHFCDNSPSFAVLDLSYNKFSGSIPLGLGDCSMLRVLNAGYNNLSGTLPNELFNATTLEYMCFRNNELHGVLGGAHIIKLGNLVTLDLGRNNFSGNIPDSVGQLKRMEELYFDHNSMSGELPSTLSNCTNLITINLKWNKFSGELSKVDFSKLPNLITLDLLYNNFSGTIPESIYSCSNLIALRLSYNNLHGQLSPQIGDLKHITFLSLASNSFVNITNALQILQNCRQLTVLLIGYNFKGELMPEDDIIDGFQNLRVLAINGCQLLGNIPLWISKLPNLEMLMLSSNQLTGSIPVWIKAPRYLFYLDISNNSLTGEIPTTLMDTPMLKSEKTEPHLDPGVFALPVYSAVSRQYRKPIGFPKVLDLSNNKFIGEIPQEIGQLKSLLSLNLSSNYLTGQFPESLCNLTRLQVLDLSNNNLKGTIPSALNTLHSLSAFNVSNNDLEGPIPSGGQFNTFETSSFDGNTKLCGSMLIRKCALAKAPQANILSTKETSYKTAFMIAFSAFFVVGMLYDQVVLSRYFG >cds.KYUSt_chr3.39965 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251860674:251861922:-1 gene:KYUSg_chr3.39965 transcript:KYUSt_chr3.39965 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTHGRSRRCSLGRQPRCGWDAWTRSPLKATKNPVPHLRCLFAVHKSPEAAAWSVRITGAGVEPRMEERTRTSEPGTCCGWPVLFGVEGNGGRGASFMHALGPAPAMAGRGGHAPWEVRFSVAGVGPRLVQGCIERGCRHWICVPRPENGTSCPVTLILGDDIGPLVPRAVQQVMELAPLFQDLVTGDAQRSLTLYSIRPCSASRVRRNNEMRMVQVRHMRREVGQLLDGNQNKDGMNQGNRHAPQSSNMSISSPYAFSL >cds.KYUSt_chr4.22219 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139655151:139658011:1 gene:KYUSg_chr4.22219 transcript:KYUSt_chr4.22219 gene_biotype:protein_coding transcript_biotype:protein_coding MCTAKQPLPCDCAQRTAKQSLSCGWGLRTAKDLSRQRLVLFAVPFFIAVRFSEALSCALSLPCAVDPLCRALLVAHAKGGDDGVKKNLDRFSALPDDVLLAILQLLDLRATVRVATVARRLMHLPRLLTDLVINVADLIPHSGDFSRHTADHVMSAYADAIRWFLSAPAKQRSIKTLRLAFYLIDPYLRSIGDAVAETGGAERLEFAIRAHLYPINRVRDVQDAVFARRLVAFVAACPVAFGWLTRLTLQNISFSDSEMLHLLNACNRLELLSLIRCCAVSNSRCSILRIDAPRSPLLALEIRESFYPGVELTSLPKLERVFCDDRLDIFIGVFPVRFGNVPRLHHINLAAPHLMYYSYRNPGQHFPALSNLRVIHLSDLDIQEMFWTLHILHGAPLLNTLSLKVFSPRCYLTNVVEEAPDFEHLNLSLLEIKGFAGLTADFEVVRYIRIIMERAVYLKKIHLVEQHPFLRSCGGVSCSRCQVIEQQTNLLSKLFADAGRAPEIAIDLMSGDTSF >cds.KYUSt_chr1.38508 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235443746:235447033:1 gene:KYUSg_chr1.38508 transcript:KYUSt_chr1.38508 gene_biotype:protein_coding transcript_biotype:protein_coding MREPFPQPQAHRPLLVAAATDTTHARSSSPACLAAAAALRFGSITPELLASARSGGLPAPASPSMAPASPSMAPAALEPLAPYSCPPGLAAASGSADGMEEIRAACSDFLPPPPPLRPGDRPLLFVSAEKQAVSPRGMMRPAAPRLRSIIVAPSRKVEELNRRIPQDRDGDWQVVRPKFWWRRVNPSSSRGRSAGQQSLIPKRGSGPISRRGTAPVSNSFDGLCFRCLSSSHFVRDCKGPVHCLVCKQPGHLARACLARKLPLRPEAPQSPLSANATARAGRPTMAREPGHPSCRPDEVFSSSLSAPAMEMAATEMRRTHLAILVSDPRLNISTRSIAKALQDELKFAWEDITVTASYPDDFLVRFSQPWQRDEALKLGTLPLKRGNMALTTWSPTARGRPQTWRFYCRIAVEGIPLNGWDDEVTVKEVLGGTCELDRIERRSVLRDNTAALFVWVWSLDPDLIPCIKAHSILNRPAARRESLPEGTPAEEGRDGPLYRILLHLDKVMDFTPIDENQRRRGYKWPQVYRKSWDFGIPDNKPGLRQRPVRDRLGPSSHGRRRDDDKDYDRRDDRDRDGRSGEHRRGGRRGADGGNEEDRRWSSERQQQRQDRHDRRPSRSPEYRRRGDCSRRRSRSPSAMDVHVVMEVQVHQGEGQARQQHNLGAPSAAVDADGSRDRSCTPEGSMAMGVTPSPPSASRWQPCPGSPMQLHSPTADGTVQYTLCSTGSDLAEGFAMPAPPSPPINWAELPVTAQPLGEAQVFEDCWSANIAPAPMAELGAFFPASPQHLKSPLHRSPDNQTTDLWAEFFGENSGDHVPSHNSIQDWNRVWADEPASRSLPSYDQMQLGGLQAQQTLGLSFLGHNQPQTWEQSQDTPLKQIFEAHSDPEMMRPSSPGWQLQDIFATPPAAPAPQLSESSDEIDDEALYELTLKSNALHALRDANLCGPGMVDEVTKGIGELQVDPKTSFMSKIIGMLSPSLLGFPTNSKPKRKKAGPRSLLCMDTAIRRSERPATRSASLMTSRRAQASACKRLGLIRTEEEFNDEVCSQYLRLFQAPLSRDNLHGLAMLAETAERPGFVLSQDDLTELLRETPTAF >cds.KYUSt_chr3.39770 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250587790:250588083:-1 gene:KYUSg_chr3.39770 transcript:KYUSt_chr3.39770 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPELVPFTERRQNQQLSGGTRCQRTPPAPGSFTIQLLMVFLWAAASLAFLPLVLPPLPPPPLSLLLLPVCLLAALAALAFVPLDAHNNVVGSSCL >cds.KYUSt_chr2.48010 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300216416:300218347:1 gene:KYUSg_chr2.48010 transcript:KYUSt_chr2.48010 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEIMPSLGKFQGRERELVCALSHHREILRRETTFLRKEVTSRGLATISRRVDYVKCRSEGIRAPPHIVHGLSQLSGQRMDLRFSDLLEVSLPYLVSNRDEALDFILHDLENMCYRIKSFATILKEFSIAIPLEKIQLFLLMARSFLRISDAISYGRSNQSSYEEHRMGWTSSWGSGIDKCGGFDDITTLSPMLFTHCTPRIFPYGSAVGTVLQIYSFKIVDLKGDLNWPLYVYGVVAARDTVDCNRNLLFCRSRANCQELNEHNPFLCLTGPSRAILADNSVSFEVELKIKYGKLSLGKLARAVQATILSVCVVEGPWPFKYGARIACSLSAAETNDPLSREVVLLDIHGEEKMPDNDHFHLSRNVVSVELQGTLRVAIQAYTPSCAIAQQSHVNFPAKYCQTSIDGSDGGAIPAWIDVEAALHGVEDNRVVDAYLRFELKKLHRDQGILNSSKLPGFGRS >cds.KYUSt_chr2.28138 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172633405:172634442:-1 gene:KYUSg_chr2.28138 transcript:KYUSt_chr2.28138 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSVPSSSPPATAAPYVVEDCGDALQVLSDGTIVRSAPPPAPVPDDGRVQWKDAVYDAGRGLGLRMYRPRRRDVTDTGEEEEEKLPVLVYFHGGGFCVGSCSWPNTHAVCLRLAAELPSLVLSFDYRLAPEHRLPAAHEDAARALLWLRDQLIAPSSENAAWLAESADSRRVFVSGVSAGGSLAHHMAVQFGTAGLEPGANVVGYILLMPGLFSAEPTRSELDTPETAFLTQEMFDRFFRLGMPAGATRDHPLVNPFAPESPSLEAVCVGRMLVVAAERDFFRDRNVEYAERMKAMGKDVELVVFAGQEHGFFGMDPASDADRELVRVITRFVGRQGNGNSHEV >cds.KYUSt_chr1.747 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3996615:3997127:1 gene:KYUSg_chr1.747 transcript:KYUSt_chr1.747 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMQYSPKQLAAVLLLALASAMIVTAQDMVQEILDAHNTVRANVGVPPLTWDDTVATYADAFAQKRSADCLPIFSPLGHPYGENVFVGTGSEWNMLDAVNLWVSQKQYYDHATNTCSAPSGQSCDAYKQVVWRDTKAIGCQGVVCDGNAGVYIICDYSPPGNVVGQTPY >cds.KYUSt_chr1.24455 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146028892:146031132:1 gene:KYUSg_chr1.24455 transcript:KYUSt_chr1.24455 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAGKSEQHHGDASNEVTAPAGVAVASLRRDFSPVLPPNPSPSKKRRKEPPFGHTAVEKRCCRRRRGSNAIKRTEKVETHYLVLQLANYIVDLCEVLEYGDPQD >cds.KYUSt_chr3.36197 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227535191:227535688:-1 gene:KYUSg_chr3.36197 transcript:KYUSt_chr3.36197 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRFLIPIAASDEHSKHGTAAGKPAYHQTQGYCHEPGDAYVDTKLGESPHYCSFPPRRHGRPGKIAGENYSTLNNIGEEAGSIHPSQNQQQPPPKGACTRSVPTGNWTLSLQQLLNSKNPPSLAASAGQRTELS >cds.KYUSt_contig_3510.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000679.1:20043:21704:1 gene:KYUSg_contig_3510.4 transcript:KYUSt_contig_3510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVANRLQESSSPPKQATDSTNGPLLRFQEQCGHGCHLPAMADGENALGPLALTLGAPAATDDACRRCCTGDDADAPAPVPAMLPEVLRRSRYLRPAQELLRDTVSMGRDVAVNDESEDAGDDAYQEMLATSRRLHGKNDDGVQAKLLGLLSELESRQEHYFQELTRVAASFEPAMGPAATEGYTSLMSQAMSRHFRNLRRSILRKLAAVSMPPAPPTLWVDADSEEEEDEDDCDYDPARRVCQEDVVSRLVRRTKQAAATRAAEQVCRPLRGLPEESVAVLRAWLFDHFLDPYPSDNEKLRLAVSTGLSRRQISNWFINARVRLWKPMIEEMYNDEFSQDSRDYGGAPSS >cds.KYUSt_chr7.4121 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24621548:24622093:1 gene:KYUSg_chr7.4121 transcript:KYUSt_chr7.4121 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTKIILLLLVLAPLLMTAAGKKCPGVPWLGAVAACRKASGTKLIYDLCIRTMREDGVDMSPSHKKEVTAYAILAAEDAANSYDRSMLAASNQLEHNESLPGQVRDAYEGCINDYTPAEDSLDRAVEKMKSGCDFAGLADLYLSGVASLESCRTRLVTLKYSTPVSPITSGKLLFCTGF >cds.KYUSt_chr3.17891 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109824475:109831865:1 gene:KYUSg_chr3.17891 transcript:KYUSt_chr3.17891 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGQYSVLSTRRRDGDVDVDLAVASSQVDAFAAEPFKGNPAAVCLLEDEDVAADERWMQSVAAEFNLSDTAFLVRDSTRPAGTAARFHLRWFTPVTEVNLCGHATLASAHFLFKNVLAEHGMVEFMTRSGILTAKKVTTPGSVSEEEQGKLFIELDFPMDSFVGCNTADDMPLIPETLNGASVVELSSAKEVADIVPSIDEIKRLDNRGLIVTGPAPAGSGYDFFTRFFCPKFGIDEVGKRSPEASGLWICSGQDCEGCSVELWELIVHGHREPQDPTRLTSTEFYNRQLNASARDKIRSGINRKLLDQVDDIVSAKELWDRIVVLQEGTDLIQSALYETAKQEAYQFMIRDGESIFDAYARLGAPKVRVKGLGVEKYNDGFEMNEAFIKSKVIAMIAVKQEDTNLGLNLQIMTKSADLNSDDLVSYVAANESMAKAGKRLKAMNRVDEASHNHEASHNLALKARADHESKEDYEIEEDEEMTSTSDIATDFAFFAKKYKAKFPMLLNDKKKKRTCYNCDEDNHFANECPYEKRVDKPKFIKGVKPRLKPNPINDRYKKNKGRAFVGAEYLSDEEEEDEEKEAGVAGLAYSKPGSLFTYDYSKDYSTENDVGSSFMARTTQDDDSDDSLSSPIIGSCLMARETKVMEPPPSLSSVLDDENEDQEELTMLKELYDVRCTLRGEALVKFDFLMDSLKEKDESIEELEYQLNEKEQRFNLLRQELKTERCISQGLKQQIETYELDKVKDLETIDRAQLLTQELNSSKEELEVAHASLTRDLDHLERANKLVKDELKKLGENHDLLQESYKRLLDQ >cds.KYUSt_chr5.37758 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238497001:238501679:1 gene:KYUSg_chr5.37758 transcript:KYUSt_chr5.37758 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYRVVAAMAPLYFALGLGYGSVRWWKLFTPDQCEAINRLVIYFAFPFFGFDFTARAGPFGAGYRVLAADAVAKLAVVLTIAGWAAVKAARPARRGGPSYSWCITGFSLGALNNALLVGVPLLDAMYGKWARDIVVQLSVLQAVVWFPLMLVVFEARQAWLEMTPASEEAACEEGGQVAPESGDVDRPVVAGDRRKTAATATGCAFWPPLLRKVGLKLARNPNVYASLLGVAWSSVANRWHLEMPTILDGSIAIMSRTGIGMGMFSMGLFIGLQDKFIVCGPGLMVLSMVLRFVAAPAATAVGALILGLRGDLLRVAILQAALPQSVATFSFAREYDLHAGVLSTAKCMCGKGPPHLGLGVVVLFVRSMGRNYKYDGNIDHPRKVVGCRGAPRQGQEHHLMILELDDGSLQGDLTMV >cds.KYUSt_chr2.53294 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332557391:332560087:-1 gene:KYUSg_chr2.53294 transcript:KYUSt_chr2.53294 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVSAARILDVVDVEDDDDDTAASTPAALRARSRITTSGRTPSFPDAFSSSLAAPKRPAPASPILVEDDTPPPPPKRRQSVAPGSVVPETPDWHHVPCSLPRSRATTPDTPDSVLRSSSRFGFDSPDPEIPGRAFPRSGDANIVVLETPGFTTPRPSRAATTVPETPQSFVPCSLDPLPGAAAPDMPDSVLPLDFDSPDLGIPGPAVPGSTNPDIVNLDTPDPVTASRPSGAPTVTGTPHSFVPCSLSPHPRGGAVPAMPHSVLPRSFEFDFDVAAAADLGIPGPAFLGSVDPNTVGAETRFWNPSPFDVAGPSTLPGPSSPISLDSDDELDDFGYEAPLSNLILPCEDSTLQEEENNNNAEERKTKQGKRKLIKEGKAKLIEEKKRQREENKLLKEATKAQKAEQKKYAKEKEEWESGKHALKSIVAEIDSTIIESGSLGGTLLTRFSEKSLKYRVQVNPLRGSILWKMEVPPIGEVSSLVITVALM >cds.KYUSt_chr2.42664 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265721325:265722745:1 gene:KYUSg_chr2.42664 transcript:KYUSt_chr2.42664 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVFLAVLLVALQLLHVAHGEIKTTPIASDSRPVILFEEFGFKPGGVAEVSVSDVSWSVPEGSQLQAVDPGLMGFMLISNTLFFKITNESEYAEETGTAFCPLTSEYVMPLFRLKDVGPDGKGKGTVTIPAADQYTVLFSSCQDGVEVTMDVRTEMYNLVGGNGRDYLPVGLLPLPGIFAVASAVYFAFLAAWLFVCVRQRATAERIHAVMGALLLFKALKLACAAEDSWYVERTGTAHGWDVAFYVFGFFKGILLFTVIVLIGTGWSFLKPYLQDRERNVLMIIIPLQVIENIASAMIGETGPAGRDWLAWNQIFLLVDVVCCCAVFFPIIWSIRNLREASKTDGKAARNLKKLTLFKQFYLVVVGYLYFTRIAVSAFAAVLSYRYQWVVNVSVEMASLAFYVFVFYNFQPVERNPYLYVADEEEEAAGGQLELEGTFEI >cds.KYUSt_chr7.30194 pep primary_assembly:MPB_Lper_Kyuss_1697:7:187998486:188001790:1 gene:KYUSg_chr7.30194 transcript:KYUSt_chr7.30194 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWESILRRGGRRFIKRKDSDAGEAGRALEELRSSLYNEFHTSEGAKRQQQRFCGPGVALTFNFVVSVGIIMANKMVMGAVGFNFPVALSLIHYIAAWVLMAVLRALYLMPIAPPPKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVAAEFILFQKKVSLRKVITLVIVSFGVAVATVTDLEFNFFGACVAVAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITIFFFLVLMPLMDPPGLLSFNWNVKNSSAIMISALLGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGFLVFNSDPGLTSLYGAVIALGGMSIYTYLGLKESTTGAKRIPSTSRQSSQSLKSKVTVDGEKPETRPMDSV >cds.KYUSt_chr6.19475 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122538601:122538965:1 gene:KYUSg_chr6.19475 transcript:KYUSt_chr6.19475 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQWPSPPLIPASTLLPVHVTTQEDELLLAMAESDLEDKLNAIRKTNSNLVIIGKPTNDIKEEYDAEVEEDDADNVDESDGDDFDQETG >cds.KYUSt_chr7.41188 pep primary_assembly:MPB_Lper_Kyuss_1697:7:255198773:255204470:1 gene:KYUSg_chr7.41188 transcript:KYUSt_chr7.41188 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAEASQLSVGFSGSLTPDPAASGVASSTTRRLGLRNSIQTNFGDDYVFQIASCQEISTLAVSLSTNALKFYSPATGQYMGQCTGHTGTIHEISFSTPSSPQVICSCSADGTIRAWDTRSFKQISLLNGGASREMFSFSFGGSSGNLLASGSNSQVLLWDWRSSKQLACLEDSHMDDVTQVRFAPNQQSKLVSGAIDGLVCVFDTDGDIDEDNDLLSVMNVETSVAKVGFYGNMYQKLWCLTHIETLSTWDWNDGTRELNVEDARSLATNKWNLDHVDYFVDCHYSLPDDRLWVIGGTNAGTLGYFPVKTDPAGGAIDSAEAVLEGGHTGVVRTIYPAGSSLERLGQHRGIFGWTGGEDGRLCCWRSDDIVETKKSWISSTLVSRVEKRTKGRHQPY >cds.KYUSt_chr3.14139 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85580161:85587834:1 gene:KYUSg_chr3.14139 transcript:KYUSt_chr3.14139 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMVDRASSDMLIGPDWAKNMEICDICNRDPGQSKDVVKALRKRIGHKNPKVQLLALTLLETAIKNCGDIFHMHVAERDVLHEMVKIVKKKSDPRVKEKVLVLVDTWQEALGGPRSRYPQFYAAYHELVRAGAQFPKRSDRPAPLFNGQSPAEKSMRSPDQRDEAESSAGNDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVQLVNTASDEELLSQGLALNDDLQRVLARHDAIAAGIAVRVEKKPKSLQALVDTEDSANQDSKKEQALVDIGDPISQDSKTDPNQSTSEQSPFEQLALPAPPLSNGSANPPKPDSSVDLLSWDDTPSTAENSLALVPVTDPLADSTSNQSALAIVDIFSQNNAANSNSRPLDPFGLDSSPTVPGTQPYNTQTQHPAQSQQPPQQVALYSNGNTVNPGTSYDQASQFNGTNSGWNGQVANHVAPPPQQINYDDQSGALPPPPWEAPSVPTNEMSNGQSGGMHSLQPPTSQFGGVQPLQPQNNYMGGQQTQPMYSNQPGAMPPQAMQPSQIVGAQMQPGYGNQFGHLPPQSMPMPGMQFAGMQPSPMPVAQPGMMYAQQMPGAQFGGMPQQQMYGRQMASQYAYVQQSAAQYYNQGRPAYGYPGTNDLSQSMYGLSMQDSSYAGMNSSYQTPTSSSPSMGQPIRPSKPEDKFFGDLLSIAKTKQNRAS >cds.KYUSt_chr3.26043 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161851452:161851715:-1 gene:KYUSg_chr3.26043 transcript:KYUSt_chr3.26043 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSRSSSRGSVNAEWSTRENKLFEEALAYYGEGTPDRWLKVSRAMGGTKTADEVRRHYEILDNDVKLIESGRIPYPNYNAQGAWN >cds.KYUSt_chr2.3136 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18386937:18387775:-1 gene:KYUSg_chr2.3136 transcript:KYUSt_chr2.3136 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQLCSTDTWPEARVRVTPTLFTLKFDVWDGEPPQRRALLPGHRGGVLLRCYGGWRVRGKLDRDFPAYVTLPTRARLAGVSLYAGPVQSARPVKAAGHNRFMRLMFDFTAMFAKTPASCLVADFPLVPFVATMDDAEEVLFFRHVTPIAYSRG >cds.KYUSt_chr5.29627 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187901432:187902508:1 gene:KYUSg_chr5.29627 transcript:KYUSt_chr5.29627 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAAELVRIVSRRMIKPPPSFSEEAVNIHLTPWDLCLITVDYIQKGILLPKPPAGGVVVDTLASSFARALGKFHPFAGRLVVEHHDGGTTTAVLLKCTGEGAEFIHAVAPGVAAADIAGSIHTPSVVWAFFPLNHVLGADAATESLPVLSAQVTELADGVFIGMSLNHSVGDGTAFWKFFNTWSEINRGGVDLRGPAPVHQRFFMDSSPVPVILPFSKLQDVVLRLERPAVQECFFTFSAASIQKLKARANRETPAAITVSSLQALLAHLWRAVSRARRLPPEQETSYSLLIGCRGRVKGIPQVYMGNAVVFAKASSTVGEILDKGPVAEPGIAHATGEQKRAKKKLHENDPSFKA >cds.KYUSt_chr3.1088 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5902611:5911862:1 gene:KYUSg_chr3.1088 transcript:KYUSt_chr3.1088 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVEPLKLHFPFEINKQISCSLKLTNQTDAYIAFNIQKMSPLPYCMQPNKGIVPPQSKCSVDVTLHPQDMAPRDMQRADEFIVWSTKVNSLSAEDITINMFNKESGVVDAMNLDVVFHTEESTIVSKELILHSITEDMSNIKIDGTVGDVKKSQEYLLPTALWMSSTGSHDHREAEKESSEPHETSEKTSEGDVVKVYANNQAKITCVYLKELITDQFTRGDPETKELIKRTLEARHVSYQVQLPVRYMWEPAVLAINREGYDFELAKFGLSFRPNEDIGAIAKGKVQHSKSNHIESANISIGQFCFNLPLDQGKGPSSSLDPNFFMQLPKVFGQQARVPKIQIPNEAVPPIKGFAKKTGEYLGVIETCQHESLLDPTCAASPSFSYPSAAPPESPTTMATFPVNPLAFLPEGMTVDHGLADRKIRTDLVVPSFAPLQNDKVIIAETSRYASCRLTFEVDEEITVTFVRHDEAKNMRLTGFGRGTWIMFLAFPLDFQTTYYIESDVEDFGLLSVWHNPSDNNKYVLVKVKIVDPKFVPKSLVMHQLGGARHSWTVPVIMLRSSDWNAHAHDLPPPPEDPAPEDGNPHPLYGPYVTAEQQLLYNANASALIAFSVASKVCSVLLAPRLNLDNSFFFEVPQTMTKSMMFEMIDEHLAVVQNKARKTSIGLGPSAARITVKSIAEEEEEDEDRSISERNGFELLQNKYDGFKVHLVSDSKVVKSKVMPRKVPAIKFSVKEKGKTVLQKEEDYAPTHVPVLQSIGVNLCGFHPSDVSSQKLLAEEEEEEVTLQ >cds.KYUSt_chr3.3117 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17908486:17911409:1 gene:KYUSg_chr3.3117 transcript:KYUSt_chr3.3117 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRSDRDPPRANAVPRVTAPLRQQPRRTTWMTSCAPHGCLASSDSTGTTRDHHYVFHPAQHPKPPTFHFAAITPGYLLDEMHRVTVQRYGPRRRAGDKPGSRSGGRGAVGVDRLSALPDALLHHIMSSLKAWEVVRTCVLARRWRDLWASAPCVDIRLRYSTHRGDSEAPEQFRDFVHRLFLLRDVTAPVDTLRLRPSDEDAGFDENDALGRRLRRERCQHLDQGCHHPPCTRYPSRWASGAEIAVALLIYGAAGLVRGEQGHGHLLLSKESWKVRISSLSPLSSSLSPSFLVYCASGHY >cds.KYUSt_chr4.25371 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159400888:159403834:1 gene:KYUSg_chr4.25371 transcript:KYUSt_chr4.25371 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIQCVLNDAEERSTKESAVNNWLGELRDAMYYADDIIDMARSEGGKLLAERPSSSRESTKCGGISFFTCIPNVFKRHKIAVQIRDFNAELEKISKLSEFLKLKNLQPKAEVSTVKKSISLVEPNLVGKETLHACKRLVGLVLANKEKKAYKVGIVGTGGIGKTTLAQKIYNDQKIKGVFSDQAWICVSQKYSEVAILKEVLRNFGVHQEQGETVGELSSKLAATVTDKSFFLVLDDVWQPEVWTNLLRVPLDAAATGVILVTTRHDTIAHAIGMEDVHRVDLMSADVGWEMLWKSMNVNEEKDVEKLQDIGYDIVRKCGGLPLAIKVTASVLANKEKTENEWRKFIDRSAWSVGNLPTELREDLDIHRDDLIRLWVAEGFIEEQQGQLLEDIAEEYYYELIYRNLLQPDPTRADHSRFKMHDLLRQLAQHISGEESFCGDPQSLGAKTLSKLRRVSIVTDKDLIVLLNTDKEMIRARTLFNKSAKRLNVGNTIFKIIPRIRVLDLTGSSTQTIPDCIGSLIHLRLLDLDGTEISCLPESIGSLINLQILNLQRCVDLHSLPLAIIQLCNLRRLGLKHTPINQVPKGIGRLEFLNDLEGFPLGGGGDNGKIQDGWKLEELAHLSLLRQLDMVKLERATPYGTDLLLTDKKHLKVLNLTCTEHTDEPYSEEDVSNIEKIFQQLIPPQNLEDLCISRFFGRRYPTWLGTTHVSSVIYLNLIDCNSCVHLPPIGQLPNLRYLNIDGAAAVTKIGPEFVGCRGDNRRSTDAVVAFPKLETLVIRDMPNWEEWSFVEEGDAEAAEGGEDGSAEIQKGEAPSPGMQLLPRLKRLELYGCPKLGSLPRQLGQEATSLKELNIRGASSLKVVEDLPFLSGVLAIVGCESLERVSNLPQVGELRVTSCPDLRCVEELGSLQQLWLDEDMQDISKLWVPGIQEQHRKLHGEDVDVYTWPRS >cds.KYUSt_chr2.41359 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257232261:257236454:-1 gene:KYUSg_chr2.41359 transcript:KYUSt_chr2.41359 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFAAAAVSSSSALFSPSPSRPFIRRQLPLSSISVRPRRGPPAASAESAGKLLLEVHGLTASVKETGQQILKGVDLTIREGEVHAVMGKNGSGKSTLTKVLVGHPHYEVTGGTILFKGENLIDMEPEERSLAGLFMSFQAPIEIPGVSNYDFLLMALNARREKDGLPALGPLEFYSVVSQKVEALKMEPKILDRNVNEGFSGGERKRNEILQLSVVGADLALLDEIDSGLDVDALEDVAHAVNGLLTPQNSVLMITHYQRLLDLIKPSYVHIMVLKTYGLTRFYTFERKWQDSEDR >cds.KYUSt_chr2.37765 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233751792:233752493:-1 gene:KYUSg_chr2.37765 transcript:KYUSt_chr2.37765 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAGSDTSATVLQWAMAELMQEAQEEVRRELAGHDRVTEDGLTNLRYLRLVIEETLWLHPPAPLLMPRECGSPCQVVGYDVPLPTGRDGARERAGHRQGPGTLGRAGGVRPREVSASLQGFQGDRLRDHTLRRRKESLPRHGVRHTAHVELALAPFPLHFEWKLPDGMVPEEMDMTGEAWITTRRRSDRLAGVRRPSCAGANGISCKAGAMNIMTQLPNDTMTQCICNASAE >cds.KYUSt_chr6.15277 pep primary_assembly:MPB_Lper_Kyuss_1697:6:95798922:95799494:-1 gene:KYUSg_chr6.15277 transcript:KYUSt_chr6.15277 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHRGFRLGRKLLGLCRWALSHRRRRRGGGYLRLQRCQQLGAPADKSPAAFGSAKNQQQQQLVVLPQDEPRRRVLTWGRSLARRMRLLPRRGGGERLLEEEAAEATTPKGQVAVYVGGDGPGGESSMRYVVPVVYFNHPLFGELLREAEEEFGFQHPGGITIPCAATRFERAAAMAGSCSGRKAPGWW >cds.KYUSt_chr4.14832 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91487233:91495006:1 gene:KYUSg_chr4.14832 transcript:KYUSt_chr4.14832 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLFAVAFRLTPISVRKNIDLIWSKHTAETFGSLTPDALYGSLFVEFLANHANATVALATASSPPLISGHNQVNPGQLRANGDRGSGGEHLGGIPGDARECPNVPRNVRYNEGGRNEAMRKKREKENHKLCIERGIIEDDDDEHIQMSLLEQLRDKNVSRAIERKRGSGSGVRVSLRKRSITSYFDKELSSNKVPLQLKIK >cds.KYUSt_chr4.16293 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101129506:101136720:1 gene:KYUSg_chr4.16293 transcript:KYUSt_chr4.16293 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTNSRARMAQAISRPVTVPPHVGCPVRAMNPKLRLWKSDEQSEELPVLFFSPTGDGGEEFFFPTSRRWRITHTENAGRAIATEFLFLVNPAMLLIRASAVNGLQRSKSNLEKLFCYDKSVSEEDIGTPTGLDLEKKEVGKNPPCVSCETKGAVLCRTCAGSGLYVDSIMESQGIIVKVRCLGFKREEIRVLVDNHRHLRTRGERPLEGNKWSRFQKDLQLPSDCNVDGIRAKFENEALTITLPKKHPSHKAPPATPAMPTPATPKPEPRRPSTTAPQKPPPALPEPAKPTVLPPVPTQKSFPDRRPSLPRKPSDIPAPAIPAPPVPAPAAATPKPAEDWLKEEAKKAQAAAAAEEEEKRMERETRGKMEEDRKMVEDMKKPKEAEGMMGDMDMAQQRRRRGLLVNVAVAVVVLVGITVYVWRNLSAAVGGDGNGAVGSGSYGDEM >cds.KYUSt_chr5.19639 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127445740:127449867:1 gene:KYUSg_chr5.19639 transcript:KYUSt_chr5.19639 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGELPRIAVVGAGIFARTQYIPRLREIAHLVVVKAIWSRTQESAEAAAELARDFAPDIECKWGDAGLEEIMGDRSILGVAVVLAGQVQVELSLKMLKAGKHVIQEKPASGSTAEAETALSVYNSFPNQLPYKPIWALAENYRFEPAFVESNKLMSDIGEMMNIQVIVEGSMNSSNPYFNSSWRRNFLGGFILDMGVHFIAGLRMLVGSEITTVSSISRHVDTALPPPDNICSLFQLENGCAGVLVFAVNSRSPKILWRVDGTKGTVQVERGIDSGKHGYQVLFTSENGQCQKTFYPFSGVHEELKAFVHDIVQASKGCLLMQDGDHKAEPRSSYAEGARDVAVLEAMLESSTKQGTQVQVKKF >cds.KYUSt_chr4.8044 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47979514:47981490:1 gene:KYUSg_chr4.8044 transcript:KYUSt_chr4.8044 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYATALTSLSIDLPVVDKDDAVSRRIDLLRERLDISSLLAERFPAIFSHCTRLHTLMATVVALGLDLQPRLSSAAEAELRLIRHLIDDTRLRDSPDIRFVDSPSAPRFCSREAYRMPSPPRPRDASACIAWALCLPTKLKIFIYLLDIDRLSTRANLFYKSCAPSDVCAACPTIETGRHLFFDCHLATDLWARLDVPIPARPFSVWDLRSPLPLPDKAWQFGAATILWAIWKSCNDLVFNGRVTTSSSTLQKACDDLLLWRWRLSISERAHLDLLRSYILTRAL >cds.KYUSt_chr2.39098 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242422903:242429802:-1 gene:KYUSg_chr2.39098 transcript:KYUSt_chr2.39098 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGDGNSVLDLRAARLCSHVLGPSLQGLFFAVLCEEMATLSGVGQVATVAQLAGIDAYGLIKMIVEAVQTVKRNKETCQKLARRVKMIGDLLQELHEAQLMQHRDTRNPVEQLEETLRRAFMLITTCQDSSFMYHCFTGGNQACQLQEVENDIAFYLQIFPLVSHVDTSRTFVLDLSRRQPSHTEVVKFTENFKWDNVVGRGAFGYVYKGKLPSGTEIAVKRFAASSTQDTKTRESLNWSKRLHIIEGIAQGLVYLHDLSHQCVVHMDLKANNILLDYDMNPKISDFGMARILPSSGTEETSDTVKGTNGYMDPEYIRYGKFSVKSDVYSFGILILEINYLLYY >cds.KYUSt_chr5.15365 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99333019:99333888:1 gene:KYUSg_chr5.15365 transcript:KYUSt_chr5.15365 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSERGSEKRDAALSPRAARARLEEQLGRLDITEEEATPLVIDDRDEGAKQKWLVAGKVLHRHVFHINTIISALRPAWGNPKGLEFRSEGENTFVAEFATARDRDRVWNGSPWHVSKNAVILAEFEDCMKPSELRFDQIQLWARCLNLPFNLREKKWWLPIARKIDKDATEVEFNNMGGYLRARVTVEVANPLRRCIVIESARRQSMDVYEVQYEQIPHFCFSCGRLGHSDLFCHNPGTRDSNGNLPHRMRSGRIRIARARMRNIVILKLKLVARAMLMIKGLKPHRL >cds.KYUSt_chr2.26921 pep primary_assembly:MPB_Lper_Kyuss_1697:2:164745594:164755614:-1 gene:KYUSg_chr2.26921 transcript:KYUSt_chr2.26921 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSSSRSILSSCNRLVALSRTAAKRDGKRGLVCVLRGEPGGCSTEQKDVVEGRIRRRAALALLLATPALSVSLSAHGKTKGMNPYDERRLLQQNKKIQEANRAPDDFPSFIREGFQVKVVTPENYITRESGLIYEDVKIGTGDCPQDGQQVIFHYVGYNESGRRIDSTYIQGSPAKIRLGFEEGIRDMKPGGKRRLIIPPELGPPENLVAVVKLILLCPSSTSSAIMVGSHLISSEKTRAAAVQRQPLQEAGFRPYMPSLSTAPRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPRKNQENTEVVSPSKEAYRRLLREKLLNNRTRILAFRNKPPEPENVSAADAVSSHQAKPAKQRRYIPQSAERTLDAPDLVDDYYLNLMDWGSSNLLSIALGDTMYLWDASNGSTSQLVTVDEDSGPITSVSWAPDGRHLAIGLNSSDIQLWDTSSSRLLRTLKGVHESRVGSLAWNNNILTTGVMDGRIVNNDVRIRDHAVQTYHGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLASGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTKNQLILWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGTPEVAKPAPKASHTGMFNSFNHIR >cds.KYUSt_chr3.34849 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218476000:218479941:1 gene:KYUSg_chr3.34849 transcript:KYUSt_chr3.34849 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGGYGGVTGSAVDTHQHHHHGHMLLHSHAHHVAAAAAASGGQLFHVPQHSRREKLRFPPDSAVGDSPPTTLPPQHHHHHHHQQQTGAWPPPAFYSYASSSSSYSPHSPTVVQGHLVPNGLDPHAQQHQQQQQLTQIPTQNFALSLSSASSNPATAPPTPKRQQLTGGPSTAAGPYGPFTGYATVLGRSRFLAPAQKLLEEICDVGAAAAHVDRSLPDEGLLDGDPTDAADGQDLDNDADRAEASDAGPMSGAEQQWKKTKLISMMEEVCKRYRQYYQQVQAVIASFETVAGFNNAAPFAVMALRVMAKHFRHLKSMILHQLRNTSKMPVKEGMSKDITLFGLGGGGGTVGFQRGGSVSGFGQPHNIWRPQRGLPERSVTVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQIHKHSPLDKAQHGIHNQTENSSRRNGKRSEPCDSQPLQSSSITRNHNTTTSHGFQDELSQMSHSIQGQVSFAGYSALSSQQHNMASPQHHHVSGAGNGGVSLTLGLHQNNRICFGEPLPSTIPANLAHRFGLEDVSDPYVMGSFGGQDRHFAKEIGGHLLHDFVG >cds.KYUSt_contig_257.319 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2433894:2436871:-1 gene:KYUSg_contig_257.319 transcript:KYUSt_contig_257.319 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESTDLSPPPPEPTASPDPEILPRKDDRDWKADMMSALGESVSFGRFLSEPLDWGKWSAFHHNRYLDEAAGQARPGSVARKKAFFEDRYARKRKSEADADADADADDINTDAPAYGGGGGACWSAGSSCMTDEPQPAGEEMEMRGVDSCTDFGVVVGDAPVDDDEEELEAVTDAAGLACGFGNTDEQSHHVQAAEPRKGLPLDDGGIVAAVDSLEKQPLQESSIVNQGITESVKNKRLPIASLFQKRMEFSSPPSEKKTPSSSVKRRSTLCSAKENSSPSPSTDSNKQEEASVAHKRSTFGALHMSTNFRRREMGNPASRSRYLGSTIASRISQLDSASRPVKDTHPKVNQFRQTKKVNANNESELKEMSPSSRFKARPLPNFYWKNKEPKDSSQQV >cds.KYUSt_chr6.29325 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185797369:185805438:-1 gene:KYUSg_chr6.29325 transcript:KYUSt_chr6.29325 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNRRHIDNKGKSAIRSAAGGGGNGNGRRRGGTVDVVAFAAVLHDAKTADDVKFLAQDFLGGGGDEERLPVQVYTSLIRGLGKKQRIDAAFAIVEHLKRRGGGSLNQFVYNCLLGAVKNSGEFTRIEGVLADMEEQGISPNIVTFNTLMSVYVEQGKIEEVFRVYHEDIQGRGLVPTAATYSTLMSAFKSAGDAFAALDFFVKLRERYNSGELIGNPADWEAEFVKYENLALRVCHMAIRRALAGANNPGGAALKVILSMDEARVRPDRRYYERLVWACTGEEHYTIAKELYQRIRECDGEISLSVCNHLIWLMGKAKKWWAALEIYEDLLEKGPKPNNLSYELIMSHFNILLNAARRRGIWRWGVRLLDKMEEKGLNPGCREWNAVLLACSRAAETSAAVNIFKRMINQGLKPDVVSYGALLSALEKGGLYDEALRVWNHMRKVGIHPNLHAYTILVSIYIGKGNHDMVDAVLRDMLSANIEPTVVTFNAIISACVRNSKGSAAFEWFHKMKMKSIEPNEITYQMLIEALVQDGKPKVAYEMYITASNKGLNLSAKSYDIVMEACQDYGALIDLASLGLRPIVKLDPPR >cds.KYUSt_chr1.22697 pep primary_assembly:MPB_Lper_Kyuss_1697:1:134236503:134237795:-1 gene:KYUSg_chr1.22697 transcript:KYUSt_chr1.22697 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGKPVIAEPSNVIFLSTILNTEGQVPSHKCDKRCQNEHIFGNMYRCKLTGMTHICDKNCNQRILYDNHNSLCRVSGQLFPLSPLELQAVRGIRRKHEVDSHEGCSFKRRRGAQLHPSPFERLLIKPELFMGHTGNCWFVILSIHGRREALKKAMEPFAAEE >cds.KYUSt_chr1.27458 pep primary_assembly:MPB_Lper_Kyuss_1697:1:165518284:165519855:1 gene:KYUSg_chr1.27458 transcript:KYUSt_chr1.27458 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGSAIAFLVSCVSCYLISVPSLASSDEFLQCLNEKIPSELVYAQSSSNFTDVLVSSIKNPKFFTNATVKPVCIVTPSDASHVQAAVLCGRKLNVRLRVRSGGHDYEGLSYRSACPYEVFGVVDLAKLRAVSVNQSESTAWVGSGATIGELYYAIAKNNSQVAFPAGECPTIGVGGHFSGGGVGMIMRKHGLSIDKVVDAKLVNANGELLDRASMGEDLFWAIRGGGGGSFGVVLSWKVQLVQVPPTVTVFSIGKTLEEGAIDILTKWQDVGPSLPSDLNLKVKVQGQEALFMALYLGTCSSLVSTMGEQFPELNMTSTDCRTMTWLESAALSFTDMSSTGTVEDALLSRAPSMSIFTKGKSDYVRRAISKDAWKSIFSWFAMNGSGLIMLEPHGGFMDTVPVAATPYPHRCGVLYIIQYIVFWQGDGGAPATTWLAKFYDFMGHHVSKKPRQAYVNFRDLDIGQNPAVVDGNVSTFESGKAWGERYFMSNYQRLASVKAAVDPTDYFRNEQSVPPLLHGSK >cds.KYUSt_chr4.10590 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64339477:64345070:1 gene:KYUSg_chr4.10590 transcript:KYUSt_chr4.10590 gene_biotype:protein_coding transcript_biotype:protein_coding METENGVVRVRRDALTARLTCPLCQDLFREASAFVECLHTSWKPGFTFLNIIYVSHDLEAGRVIALLCKSTFLDRKPGTALHLCRECIMKKIDDEEIASCPVCHIDLGIAPEEKLRPDHNIQSIRNKVFPHKTEVDASKINADVIASNQPSSEVGENRETIDNEELQKPLHSLVTESGKRSLRLSLKRKYAAAKEDKMKSTKGEISIRKNVAADKVAITGIRVGKHPNKLKLVDENKGNSSESVSTNDKRTTEDSLRKITEADLQGKPLNSCIEASRNTSLGSGSKSHGATAKEDKIKSTNGKLSIRKDDMVEKLAIAELSLSEHSNKTTTEDNLRKSPEADPRQEPVGSTITGSLHDGVTTPVWFSLVSSPSQVQDKLLPQIPNMYLRIKDRSMQISSVLTYITKKLELASDDKLEILCNEWPICPSTTLHRLREQWLSRKPKQEVRAAVGGPAKEFVMELRYRRRPAAVSLCCMMKTNSVTTSLPCYCST >cds.KYUSt_chr4.4597 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26397785:26398501:1 gene:KYUSg_chr4.4597 transcript:KYUSt_chr4.4597 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSRLLDLSGRIWYGLCFVFVATKLWWHELLLAMETDARISDNKAGARSSSVLVYWRDTRQPSPSFFDKLPRRKVGEVVFGTGSFNKRCWVDFGILVASLDLAAMVAEDGWWWLDLVIHGEFLLRFGVPHMVALVCHRDLWLMRQPLQAPMASIQPPWRRPFEGFLLVFIALAAPSGCVPGAAMCGRQWSSCYGGEDGPDCFSSNPSRVLSVIFEDCFVLSSFSGFLCNLYSHRQN >cds.KYUSt_chr5.28508 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180539806:180540827:-1 gene:KYUSg_chr5.28508 transcript:KYUSt_chr5.28508 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEKRALYAAIESFVRKGWNGSGLFPDPCGQTPIQGVSCDLFNGMWYPTVMSIGPVLDNSLQCAPDAKFSPQLFDLRRLKSLSFYACFPATNPTPKVNRGSNLFRTTRLIPTSSSTNGRTHRR >cds.KYUSt_chr3.29990 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187785733:187787163:1 gene:KYUSg_chr3.29990 transcript:KYUSt_chr3.29990 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGVGVGHLVPMVELAKVFLKHGAAVVVALVDPETKSTDFSDAVARAKTSNPSVDFHVLPPPPPAPVDSSNSQVASTKHHLTKISQFLTAMNAPLRDFLRSLPSVDALILDMFCVDAQDVAAELKLPVYYFYASGAAALAIFLNLPSMVAGSTAKMNELGDSVITLPGVPPFKASDLPNELTGGGGALPIIVGMFDRMSRADGILINSFDSLEPRAVRALRDGLCVPDHATPPVYCIGPLVSAGGGGVEHECTTWLDAQPDKSVVFLSFGSLGNFSAKQLLEIAVGLEKSGERFLWVVRSPRSPDFKYGDPLPEPDLDALLPEGFLERTKDRGFVVKSWAPQVEVLRHRATGAFVTHCGWNSTLEGITAGLPLLCWPLYAEQRVNKVQIVEEMKLGVEMRGYNQEVVKAQEVEEKVRWVMASEGGKALRARAAAAKDGAAEALKEGGSSHLAFVQFIQHLDTATTLQQD >cds.KYUSt_chr3.5627 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31825980:31827329:1 gene:KYUSg_chr3.5627 transcript:KYUSt_chr3.5627 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPDLSRVLPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHAMLDSFEPIHGVLLCEGEDIDPSLYDAGDDNNDKDGLTPEQLEAVRRLHPSDAAVDHEKDSIELLLARRCLERGIPFLGICRGSQVLNVACGGSLYQDVDQELPSHVADTAVCHMDYADYDGHRHPVRVLPGTPLQEWFAESLGGEVGDEIMVNSYHHQGVRRLAERFVPMAFAPDGLVEGFYDPDAYSPGEGKFIMGLQFHPERMRKAGSDEFDYPGCAKAYREFVRGVVAYQAKLAVVRRFQDVVTRGRSAVTAAAVPKLSHDMEKQRNVLVRSFSLAKNMYLGAGDTKPAEAERRDLDAGAEFLETASAALSTQQEKRLKQMGATVRNASGYLNSRLKVSEDREATARALMGKMSAAQLSSLAAFYRAMGATCSDVLDAKLQPTSPTLQE >cds.KYUSt_chr5.13204 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86116359:86121107:1 gene:KYUSg_chr5.13204 transcript:KYUSt_chr5.13204 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVRSGALDLRCQGKVFGSATSCGKAGCSTNLAGSAEQNLHAKAKHLQLSASGTSSLVHRGPVLKHQHSLAVRSAAAADAYTTFDENVKGVTSHAVEEKVGVLLFNLGGPETLNDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTLRAPKSKEAYASIGGGSPLRRITDEQANALKIALKEKNMEADIYVGMRYWYPFTEEAFDQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQNIVKEDAFFAGLPISIIESWYQREGYVKSMADLIEKELTVFSNPEEVMIFFSAHGVPLTYVQDAGDPYRDQMEDCIALIMEELKSRGTLNNHTLAYQSRVGPIQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYRELALESGIENWGRVPALGCTSSFISDLADAVVEALPSASALTTRKVKGTDSDMDMRHYLTKMFFGSVLAFFLLLSPRLVSAFRNTLR >cds.KYUSt_chr4.10909 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66240375:66241886:1 gene:KYUSg_chr4.10909 transcript:KYUSt_chr4.10909 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPFPTVDKCSSADRSGDTVVADLDGTLLCGRSSFPYFAHMAFETGGLLRLLLLILLAPPAGLLYYFVSESAGIQVLIFASTTGVKIADVEGVARAVLPKFYCSDLHPESWRVFSSCGRRFVLTANPRIMVEAFLKEYVGADAVLGTELLVWGDRATGLVRSPGVLVGENKADALRKAFGDATPEIGLGDRKTDYPFMRLCKEGYVVPPAPKLRPVPREDLPKPVIFHDGRIVQKPSPALALLTVLWIPIGFVLSCLRIAAGSLLPMRIVYHAFMALGVRVTVKGNPPPPASRETGQTGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSELLSPIRTVRLTRDRAADAAMIRRLLQEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKGLDPFYFFMNPSPGYVVTFLNKLPHELTCKGGKTSHEVANYIQRLIASTLSYECTSFTRKDKYKALAGNDGSVVSKPNIDKKKFMGS >cds.KYUSt_chr4.52546 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325958326:325960644:-1 gene:KYUSg_chr4.52546 transcript:KYUSt_chr4.52546 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASDLAVEEASSGLGDMPELCAAEVLLRLGAPDICRLAGLNHAFRSAGAADFVWEAKLPENYRYLMGFVDGGEEKGGDQWQKSVVGKKEVYARLAKAVRFDDGKREFWLEKSTGMICMALSSKALVITGIDDRRYWAHMPTTESRFHSVAYLQQIWWFEVVGEIDFRFPAGTYSLYFRLRLGKLASKNSGRRVSSGADKKDSIHGWDRKPVRFQLSTSDGQNAVSQRYLDDEPGSWALYHAGDFVASEHGRPVRLKFSMAQIDCTHTKGGLCVDSVLVYPKGFRTEKVVVGA >cds.KYUSt_chr1.20578 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121499066:121500003:1 gene:KYUSg_chr1.20578 transcript:KYUSt_chr1.20578 gene_biotype:protein_coding transcript_biotype:protein_coding MERLEVDGNGTGERDVGAYDDEEEEDDDDLAGGGARGGAGGLGEKKRRLASEQVRALERSFEADNKLDPERKARIARDLLLHPRQVAVWFQNRRARWKTKQIERDFTALRSRHDALRHECDSLRRDKDALAAEIRELREKVEINQEIAVKLEQKPSSAAAAAIYKQDGSTDSDSSAVLNEEASPYSGAAFDQHNHPPQPSFTGFTSFLDAPSSALTLSSSFPSLYHGSHFEQDDALLLGAAAADELGGAGLFATHQEHAGGLSWYGGQGW >cds.KYUSt_chr6.19344 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121739981:121749376:1 gene:KYUSg_chr6.19344 transcript:KYUSt_chr6.19344 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSPHLHPVSSMHSIDCTANTASAFRHSHVILDSFEFSRLTPPGERLFFLFSLFWVDRHNAKANFVDSLTMILSSRLGKEVLSSSLQVMTLPPMPPTPASLNLFGVALILTTIFVHVNNNSFINKLVVLKHELKPQYTNTRTWTMNLVVYSPLNMKSDISCLDLDKIRQELNKTSKEIGKLKVKKVLDATGELIQSTEEIKTRLASPRRRRCRRQDHPRCQAVTIGNIVHDFVPVSDDEIGLPYQVVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDYQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENYQKENGVEVPKALQPFMCGIDFLPFKRPLDSKQAADSKTKSKPKNVITSCMIFRGSCSCRVTDNCPPEVWSTPNPCSTPFPASLLHLGKPPRLLGLDYETVFTNVVRGR >cds.KYUSt_chr3.47327 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296914019:296915119:1 gene:KYUSg_chr3.47327 transcript:KYUSt_chr3.47327 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSNPRQPLSLALPASSHSQDFPSLFSDLTSLLLHHAPASHGRAPVFSSSTLSIPAPPAPAPAPAQAQPTPLARAAIGACAGAAAGAFTYAALLPLDAVKTRLQAGAASRGSWQVFADILRTDGPLGLYRGLSAVILGSATSSAIYFGTCELAKSLLRPHLPPFLVPPLAGASGNISSSAVMVPKELITQRLQSGAATGRSWQVLLHILRTDGFLGLYAGYAATLLRNLPAGVLSYSSFEYLKAFALSKSNGANLTPGESVMCGALAGAISAALTTPLDVVKTRLMTRVGAEGSRTVVGTMQEVIAEEGLMGLSRGIGPRVLHSACFAAIGYCAFETARLAILKSYLEGCERKAAEEMKAGVAAA >cds.KYUSt_chr5.15544 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100445519:100445773:-1 gene:KYUSg_chr5.15544 transcript:KYUSt_chr5.15544 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQDALCYPSSTSSASTLCAPPQPVKMEFQDALSFALGGYVANVKLTRILPILEDAPYFVSGDFIDGHHLPTVLGLMSETRRR >cds.KYUSt_chr4.23127 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145537589:145541527:1 gene:KYUSg_chr4.23127 transcript:KYUSt_chr4.23127 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVALFRGPANLASSASRGSSSPSPSSSSPRYLADSDVLQRRSNGSSSGADSPAGSAERQAGGSVEQERWSFLALLLELLRKSLLGCRVEGGAGEGGMEIGLPTDVQHVAHVTFDRFHGFLGLPVELEPEVPRRAPSASASVFGVSTQSMQCSYDSRGNSVPTILLMMQRRLYEQGGLRTEGIFRINAENSQEEFVRDQLNSGTVPDGIDVHSLAGLIKAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPTEAALLDWAVNLMADVVQEEQINKMNDRNVAMVFAPNMTQMDDPLTALMYAVQVMNFLKMLIQKTLKDREESNLEDASLPQKDPSDENGHQNPSLPVNSQPEELSGRSSFVREEPLLCSPTHSPEDKPSGINPAEDDSVACTVQTSNVLTRIESSASCSQPGLAASSTDDATCATAVNSLQGRGSRSLTSRRTRKGKRQCGTPTVPPAEKSRGTSIVSRINSKVERIEAWR >cds.KYUSt_chr2.10020 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63435723:63436652:1 gene:KYUSg_chr2.10020 transcript:KYUSt_chr2.10020 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGVKKGPWTPEEDIILVSYVQDHGPGNWRAVPAKTGRLHANQVLVIELPGESGFLTDQFGAGLMRCSKSCRLRWTNYLRPGIRRGNFSDREEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLLRTTCTATTPAAAQTESAYKGQWERRLQTDINLARSALREALTVDSGTTTSTSPAVFTDSTAYALSTQNISRMLDGWAPVKAASAGISNPGATDSASASSSELTECSGSSVSYSAAPALWSSLIGPESKAAAEGVEMPLSAIESWLLLDDSTEQLPAREGLLRNYPF >cds.KYUSt_chr2.6859 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42825507:42826577:1 gene:KYUSg_chr2.6859 transcript:KYUSt_chr2.6859 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADQGVPGGNGEALTLPPPLPLGVRLQVGGLTVAIDAVERRDGTVNRCLYSVIDRLLSARANPRPDSSGVRSYDFTIDASRGIWARVFAPVAAAAPLPVIVYYHGGGFALFSPAIGPFNGVCRRFCSQIGAVVVSVNYRLAPEHRYPAAYDDGVDALRFIDDAGAVPGLGDDVPVDLSSCFLAGESAGGNIVHHVANRWAAEHQPSAKSVRLAGIFPVQPYFGGEERTESELRLEGVAPVVNLQRSDFSWKAFLPVGATRDHPAAHVTDENAELAEAFPPTMVVVGGFDPLQDWQRRYADVLRRKGKKVQVAEYPDGFHGFYGFPELPDAGKVLQEMKAFVDSNRTAPKSTTP >cds.KYUSt_chr3.2628 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15086201:15088712:1 gene:KYUSg_chr3.2628 transcript:KYUSt_chr3.2628 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQGGGGGGLLGVRFESQRFRLLSIVVGCFLISVTFLLSSRPDAGAFDTLSPKPWLEEARSTQTTPTTAIKTVKTSPKLGGRDFLVDVVPQRGAQGESHGRQPQQSAGERTETEWVKDTVIIEERIGGAGAGGTERAEHEEAERDLNAGTVDRQPGVQDESAQGTATEEEEAAHHDDLPAQTMGAAVTTARPAVLETTTATQPDQLPVPGLFSDKETQKVGGRTMKLQADPQQTPAIIKHTTPLPPLCDFSDRRADICDFSGDIRLVANASSYIVVIDPATPAQSHKVRPYQRKGDETCMGRITEITVRTSSAVPPKCTQTHTVPAVTFSIGGYTGNIFHDFSDVLVPLYNTIHKYGGEVQLVMTNVAPWWLVKYDKMLRTISRYAPIDLAKAAAAGEVHCFPHAIVSLRAHRELIIERDRSVDGLATPDFTRFVRRALALPRDAPSRVADGTGRKPRLLIIARHRTRILLNLADVILAAEGAGFEAAMSESDVGDPLSRVGAEINSADVLVGVHGAGLTNMMFLPPGATLVQVVPWGGLQWIAHMDYGDPAEAMGLRYIQYEIGVEESSLKDKYPRDHEIFTNPTGMHKKGFGFMRQTLMDGQNITVDLDRFRDVLQEALGNYLAQ >cds.KYUSt_contig_1467.388 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:2159025:2160785:1 gene:KYUSg_contig_1467.388 transcript:KYUSt_contig_1467.388 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRALAARPLVAACSSPPQPRCHTGSPCAALRPALATAATPVGEKSAHRRSTGDEAVLLLELLTGRSPEQASRSGSSASFLGPSAAEGQKAQAPEIMRWVRQGFKDTRPLSELAESGTPARARRRIKQLCAWWASSSVGKTAGLAYEAYSDTHKMHPTVPLKVQNVAPTVPCDLQSAKRPRLLFHHTHRAIFCVSDARGYTDYDEYYINHDYVNHRYITIGYLDIDIKGNVYRYSSATTPVQESVPSLASTTLPL >cds.KYUSt_chr5.30270 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191945903:191946511:-1 gene:KYUSg_chr5.30270 transcript:KYUSt_chr5.30270 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPHGVIVARPSKAAASAHVNCPGPPPGIHYPRQQVNHAQLAHIYRTTRNTDSKSGAFRKETRLLDEALNRGNGTQGHRQHRHQWCETFAWRLNADVRPKLALAAGVVSRTENLVAVHQTPNTRLSIGPATDRPPTAKPGHGPAEAQIGPDRSIPRASAKPATSSHSNTTAPPR >cds.KYUSt_chr6.13101 pep primary_assembly:MPB_Lper_Kyuss_1697:6:81819223:81819504:1 gene:KYUSg_chr6.13101 transcript:KYUSt_chr6.13101 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRLAVLARSEGWTEERHAAFLEGVELSFVQEVLVGAVGVSDVRRASHRISRREQPPAGGRGQLPLDRPLPDSAVESNRRGPSSRPAARDAK >cds.KYUSt_chr6.17322 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109073779:109074589:1 gene:KYUSg_chr6.17322 transcript:KYUSt_chr6.17322 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDEDGAANNGFPRRSLHAWEGHLLHQAGYPCPPNTRPPGGGWRLSAGGVPIPPPPQGHALDASIEEARMAMTEEECADPRHHPDNITRWNSFFLRRWERELASYDGPPPPPPRNNVAAGSHGACCQLVVFRYGVEVALQVGAILGAGEKGAGFAAEQPHARRRHRHPRALGGTRTPPPEAGTGHLRRAKRKPAKVKVEEAESAEDAAILEAVIARSLQDLVP >cds.KYUSt_chr4.44008 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272825975:272826697:-1 gene:KYUSg_chr4.44008 transcript:KYUSt_chr4.44008 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLLDRSSGSFEHRKRNVYGGSRPARPHGAYGSSRTCPQYHHFQQGGAWSSAPAPLLPYARPVIYKSPSLPLPPSNQPPLLPLPPTATKYAPFPYPPPPAPPRTVRPAAPVTVVPAAAARAASSRQQISQSDKRRRPAKPPATEPARVQKKKKPLERATPLPSATVVTEALDDLEQEVARSFVQDLLHALAPPPSSLPLPRFSIVVKAAASSVKVAPAAPSCNAEASSADGLRRLLRL >cds.KYUSt_chr2.11355 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72170648:72178488:-1 gene:KYUSg_chr2.11355 transcript:KYUSt_chr2.11355 gene_biotype:protein_coding transcript_biotype:protein_coding MISFCRNQRTFRPKKSAPAGDKAMKLKRHIDATLGSGNLREVVRLPVGEDLNEWLAVNTVDFFNQVNLLYGTLMEFCTPATCPKMAAGPKYEYRWADGVKIKKPIEVSAPKYVEYLMEWIENQLDEESIFPQKLGAPFPPNFCDVIKTIFKRLFRVYAHIYHSHFQMIIKLEEEAHLNTCFKHFMLFTSFNFPKRLIFQNGGSLVKGELRYMAFKTLQVPSSLARPNTYSFSSSQAFYQPKPASRPQARVPAENHLKRPSVLKCRANLHRCVDEVVQPQLDHHATEIPIVHYPSVVFPGETLQLQTFEFRYRIMMHTLLQESLTFGIIYSGRKGSRMDDIGCMVHVVECEKLVDDRFFLTCVGGDRFRVLEVVRTKPYVIARIQVFTDRCSPNPGHQVDLGYLMQQVERHIKNVTMLSEKLNWKPRGDYQAGKVSSMHSPESFSFTVARLFVEDRSEQQWLLRLDDTAQRLVREGRYLEQRSKYLAAIAAIRDAFRHLSCNEK >cds.KYUSt_chr7.24936 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155600338:155603256:-1 gene:KYUSg_chr7.24936 transcript:KYUSt_chr7.24936 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRRADRARKSVNPMEERFEKAISKPPPAPLSLPETAQFFFQHLPRHSRGGPHVAADEAWERKTALRNSIHDAYLSALARLPFNGPDGSQTMPSLLPALLAGGHCFGPLADGASNIIVNTVWIHAASSPDRFTAADNVVDVVYLDHIKLGSFLGLTLLDAVADRDRDYHAAAVAARHPNCEAFAAFARSGVATSPAVTQLLANGSGVLSTEDIERLSALLVPHSAPRLQDYPAPESKQLNLEREERIRRWQAWRRKVANMAIDHWNRTIGGPELHLKVVFAVSIVEDGHQHINFMATPRDKPADVQLFFAEHASKTGIVLCCPVQNSVSRSGHCRLCEGVEERITHPPFGAYSFRSALDATLDIIDFDIANLLDIKYAQHLWGSRHMCSSGYPIDTNSSTEWVSEYDMIIIR >cds.KYUSt_chr1.28467 pep primary_assembly:MPB_Lper_Kyuss_1697:1:171936766:171937960:-1 gene:KYUSg_chr1.28467 transcript:KYUSt_chr1.28467 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGAALLALAVLLAAAAAAVPGAAGFHLGGDESGLVRGMLAAIREQAEAEDAARFAVAEHNRNQGSALEFARVVNAKRQVVAGTMHDLMLEVVDAGKKSLYKAKVWVKPWENFKAVVEFSHAGESQSESSFASVASTGPGIVDLISFLSI >cds.KYUSt_chr6.20995 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132399568:132400663:1 gene:KYUSg_chr6.20995 transcript:KYUSt_chr6.20995 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAQTRAVLLVVAISVLGLATGGLAQLKNGFYTGKCGANDVETIVQGLVKTRVGRDAAIVAQLLRLQFHECAINGCDGGLLIDGPGSEKTATPNLSVKGYDLIATIKMELEKRCPGVVSCSDIEILATRDAAALAGVQKYSVRTGRRDRRRSSAADVKLPSEEDTAAQATSYFAGLGLTQLDMVVLLGAHTVGVTHCSKIKKTRLYSYGGKTGATDPSLHSDDVAVYKKYVCPNTASSDNNILYLDTQSSVSRIDNSYYKRLQGRHGVLSVDQNLYANGSSTKWHVDRLANTDHFSWLFPQALIKLSEINVLTGTQGEVRKVCSRFN >cds.KYUSt_chr5.30897 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195623020:195626105:1 gene:KYUSg_chr5.30897 transcript:KYUSt_chr5.30897 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHKPLAAMVVVQCIYAAMALWAKALFSGGMSPMVFVVYRQAIATLVLVPIATLANRKKLKEMVCIGTTGFALVFVASLVGATTNQYLYYQGLNLGSSSMATAMTNLIPAITFVMATSVGLESVEIRKPRSMAKIFGTAVCVGGAMIMAFYKGPKMLNHSLGASGLYDVLLHSTAGRNWVIGALLLVGSSSCWSLWLILQVPICKSYVDPLTLSAWMCFLSMGQSALLTSFVVPDLDDWKIHSLFELMGCIFAGAFGSGVTFYLQSWCITVRGPLYSAMFNPLCTVITTVLATLILHEQPHTGSMLGAIAVVAGLYIVLWGKAGDSKNQRVPADTVDLEKTLARSESQLDASSTITEPLLADGNLTEK >cds.KYUSt_chr7.16060 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99640214:99640528:-1 gene:KYUSg_chr7.16060 transcript:KYUSt_chr7.16060 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRADKENLPSAAAPAAAAVARLHGVAVKSCKLKRLGRARRRVPLRDITNLFVVESAVAEWQQAPLQQPHTAPATPEPAVKNGLAGCAGSKAGRYSLRKEFR >cds.KYUSt_chr5.2834 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18654999:18655541:1 gene:KYUSg_chr5.2834 transcript:KYUSt_chr5.2834 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNSCILLDSDDLSLFSCYWSNFAPLKVKVFFWIARHGNTRTRALLHRHGILSTARCPFCDDDEDLVHLFFRCPRLAPWFAGLGAHAAALAADLDEGCELLTAAHHGLIPMVRNTMVLLTLWIVWKSRNRMVFDAQLLRPRQMFSLLATHCELWLHRLPHRHSRQPVDAWLAAIRAQFT >cds.KYUSt_chr7.5563 pep primary_assembly:MPB_Lper_Kyuss_1697:7:33353346:33353846:1 gene:KYUSg_chr7.5563 transcript:KYUSt_chr7.5563 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKAAMERYANDKRVTQPFRRHHWWEAVRNEAKWKGQHGPGSGTDSTAKRSRLGVSGEYSSSEATTEEERPTGRDRAKAAARKDRRKGKESSSSSEVVSKSFAMKNMWNGLVKAKLLKQWNKMKDRSTADMNEAEKRKHAKAIQMVEKELGLGDDDDEEEEEEEE >cds.KYUSt_chr4.52730 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327191666:327195351:1 gene:KYUSg_chr4.52730 transcript:KYUSt_chr4.52730 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRQRRATQIQEKNVGCTWGLIRMFYSRRDPKLILDRKQGNRRHSFSGFPGRGHLRRKSRDFEEIDEDRDNMEECSTTKSTVKRLMEGELSKQKQPKKIPNDEVQRILADLGHDACLDKSTTQNSKPEGITNPKADISIASSSASLDPSGSKSMKHAEEDNIELALSDFLGEVALSDFMGEVYKYHDEGADDCMSNSELCPELKSLIDTNLDEFSNPLCDLAYKKILVSEGRELVDNKHLHNRYVGNRLETKRMTERSNIIEDTKSSNQHELVIKTKNKESKNIFFWKKDKSSRQHTPERSSQPVNKIVILKPNPKAGFGPIVATASTQAPGYRAAECSTFSVKEVRRRFRIVTGENRKGRPSVNEDEVQGDPCRPRHSVFTIKKDSRQVPPATAKNDVKHSDSSMQKQINGELAVINSDISTSKDASIFYAEAKKHLTEILKDKSHTDKYPSPTVQISRSLVRMLSLPQCTTSSSTGSTPRVKHCIELSPEDKDICAVHKAEREESANGRKESEENPESVECEASDQQADQERHYNEEATKHGVELDVMCIEEIDKPDHSETIHSAQCIPAEQHIYNSPLDMMEGANGSKEHDEMFPGSPENVIENREHQDPETPIPSTSLELISQEENHEKQEQPSPVSVLEPLFHEDANSPDNKSTIKCDLRQDVLRPQYYLDARSDQEIFWEDRDARLGYIKAVLELSELYTHKNPEIWNLEDELISTCVFEELHQHNQTDDVKLFFDCVCQAITVVQGTHFRNTPCLPFLTRNIKAPPTGHNLVSEINKHIEGHLCYQFPSTLDHLVDKDLEECSWMDLRPESRDVAVDIWEFLLDELLEDVSYDLLI >cds.KYUSt_chr7.8889 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54049425:54050501:1 gene:KYUSg_chr7.8889 transcript:KYUSt_chr7.8889 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLVALHSSRHEPLHALLYDSRRRDPFSLLRVGTTAGEGAEAAPKTAQSLSPPLARCPRVRDLDEPDKHRQEKKPRSCDSTVEVASVADWGEPTCMAAGSNKWWQDYPSQHDQLPPVSMPPAQDAPLAAFSPETKPPPPPPQQGQGPEAGAIVPLRRPRGRPMGSKNKPKPPVIITRDSPNALHSHILEVPPGADVVACVAEYARRRGRGVCVLGASGAVADVAVRGAAGPIPGRLELLSMTGTVLPPPAPAEASGLAVLLSAGQGQVVGGCIVGPLVAAGTVTLFAATFANAVYERLPLQDDAVDADVKPDLSNATDAALSQQVQAHLPLPMSQPMAMGAGYPDHRSPPYAWGGH >cds.KYUSt_chr6.23115 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146083419:146084141:1 gene:KYUSg_chr6.23115 transcript:KYUSt_chr6.23115 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGHPTLSSCHGDVLYLMAKASLYALDRESIVIAVDMKNMKVGRVAKYTMQRQGCMIFAYMHTTISKYLAPPRDSKGNMKRRGQILLGSFHKKPHVITFMSGHGDDQVEGADDHMYDLPSMSDDEDNMDLG >cds.KYUSt_chr6.18583 pep primary_assembly:MPB_Lper_Kyuss_1697:6:116915891:116918101:-1 gene:KYUSg_chr6.18583 transcript:KYUSt_chr6.18583 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPAAVFLDENLPIHRGKRADGLNAKPLKPSAKPSARKALRDVSNTSKPLRDVSNIKGNATLKDRSVLKEKSALRSQETVKKNPVNKTSIFPDEATKKCHDWAKGGVEGAHFTGNDAQKLDSDKINQRVKKKVDKINSALRDWPDVIFDPLLFPAKAVAPFYEEVNVLEMEPEILPDVTSSRGLSTSGNLAKLAEDYFGDDNYPFLESKPVEFQLKDEASCHPWSLEGMN >cds.KYUSt_chr1.39286 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240316210:240322023:1 gene:KYUSg_chr1.39286 transcript:KYUSt_chr1.39286 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQPPPPAVRTVAIPFADLKERGKDLSARIEEGLGPNGLGIISISHVPDFPALRRTLLRLAPRVANLPEDVKKQLEDPDSRYNFGWSHGKEKLESGKLDTFKGSYYANPILDVPTTDDALLSRYPSYCRPNIWPADHLPELEIAFKSLGKLMLEVGLMLASHCDLYVMQHGVGTFDGESLEQTISRSRCHKGRLLYYFPRQFSTQKEEGGSVSSWCGWHTDHGSLTGLTCGLFMRNSEEIPCPDSAAGLYIRTRDNRVVKVTFGEDELAYQIGETTEILSRGRLCATPHCVQAPSGENASNVERSTFAMFMQPDWNETLKFPSEIPYHQEVCHLPVQKPASLVFSTSVDEHNLPPGAVPSPAAAVTLYRLQLRRCPARPSPPPPAPHARGRRRHPRPSSPGHHIPAVSTHPVPALRHWVSKHPAHPLPRSAPLLFPKSPMAGQSDPHLSLFSPAEVEFVAEDEIVEVVPNIRMEALNMICVRALNQLP >cds.KYUSt_chr4.11395 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69277266:69278854:1 gene:KYUSg_chr4.11395 transcript:KYUSt_chr4.11395 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHRSSAAALAALLAISVLLCSPPCATATDDHKPIVARVRKDAATSLYTIVIKVGGVPLLLDLAGPLLWLANCPTPHRTIPCGTDVCEGVNYMNHPTKGNCWNQRMSSPFDACRDGGVCTTYPYNPVNGRCTQDDATTITLAANTTDGENPLSEVSFRAVGSCAPGDLLASLPAGAAGVAGLSRLPLSLPTQFGTILKVAKQFALCLPGGGSDGVAVFGGGPFQLLAAPPVELADALRQNQLPLLKNPRSDTGAYYFRITGIAVNQQQVPTPPGAFKLDGGSGTGGAIFSTVTPYTALRPDIYRPLHDAFDAATSGIARAAPVAPFDMCYQASALNMTRLGYGVANIDLMLDGGQTWTLPGGSSLVQVNDQTVCFAFVQMAPSMPAAADSPAVIFGGFQMENHLLIFDLEKETFAFSGLLFGIRTTCSNFNFTMGSS >cds.KYUSt_chr2.250 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1518575:1520368:1 gene:KYUSg_chr2.250 transcript:KYUSt_chr2.250 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFGQSFTSWRSSRQGGLRGCILDRLQAFEPIQQVRSQAGLTEYFDEKNEQFRCTGVSVIRRVIEPQGLLLPQYHNTPGLVYILQGSGYTGLILPGCPETFQKKFQHFGQAWFAEGQSERQKFKDEHQRVHHFKQGDVVALPSGIVHWCYNDGDVPIVALYVFDVNNNANQLEPRQKNAVLSPFWNINAHSVMYIIQGHARVQVVNNYGRTVFDDLVHKGQLLIIPQHYVVLKKAKREGCQYISFKTNPNSMVSHIAGRNSIMSALPVDVIANAFQISRQEARNLKNNRGEEYGAFTPEFTPTSWQSYPDNDEESSSSNKASK >cds.KYUSt_chr3.34890 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218767123:218770993:-1 gene:KYUSg_chr3.34890 transcript:KYUSt_chr3.34890 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPIWGGGAYPLSGVTGWPDWGSVTSEPMGGGVKMVELAQNYHELEKCTEDILSVIKPTEDDRNKRLHAIQELVNSIYLVSSLRDAAVKPFGSFVSNLYAKSGDLDVSVELPNKYGFPTSKEKKQDVLRKLMIALQLEGVARDVNFIPAARVPILQYVSNRFGISCDISIDNYTGRIKSKVLYWISTIDERFGDMVLLVEDPFQRSENAARTVDMLELPRISRAFTRAKNMISDSRADRNELLSLLCTPEVGSKLGVAATAGRYTNPGTSHLQHGLRGFLAANGYRDQYDQRARGSTGSRPTHSSGRAAKPYESQQPNCQQM >cds.KYUSt_chr7.4979 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29840574:29844840:1 gene:KYUSg_chr7.4979 transcript:KYUSt_chr7.4979 gene_biotype:protein_coding transcript_biotype:protein_coding METFQQFQKFNLNLVKLIKEGKVAGFPSQQQGQWMVLLDAAKGCATEPPNLDVYPADFVVCSFYKIFGYPTGIGALIVKNEAAGLLNKTYFSGGTVAVSIADIDFVKKRKSVEQVLEDGTISFLSIASLRHGFKIIDMLTTSAISRHTASLATYVRKKMLDMKHRNNKKVCIIYGHQASKVADLKMSPTITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDVIKGKPTGAVRISFGYMSTYEDAAGFLKFLQSSFVSKPITFSNGLDLCTSYEILIADNQSQQVVPDVRLKTITIYPVKSCQGFSAQIWPLAIGGLKYDREWLLQGSGGEILTQKKVPELGSIRTLINLELGKLFIESPKRKDKLEISLLENLTHLTAEVDVYGQRYEVESYDDNVNTWFSEAIGRHCTFMRCSSSKNRSCSHAGKNGRLCRDTRSKLSFVNEGQLLLISEESVSDLNSRLSSSNNGNGKQRVIVDAMRFRPNIVISGSTPYNEDNWRRIHIGDAYFTVKCPFHLRSH >cds.KYUSt_chr5.34693 pep primary_assembly:MPB_Lper_Kyuss_1697:5:219849735:219853512:-1 gene:KYUSg_chr5.34693 transcript:KYUSt_chr5.34693 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPRKRKGARSLAGSLHDASADRKRTCRHRNPRPDNKKPSSPSSSASPAASARRGVVMTAPPASGRGAPDSPGRGLKRKVGCIDSATRIGRKKRLDSEYELGAQIGRGKFGSVRICRARAGGEAFACKSLPKSGGETVHREVEIMQHLSGHPGVVTLRAVFEDAHAFYLVMELCGGGPLLDEIARVGAVSERRAAVIIRDLMSVLKYCHEMGVVHRDIKPENILLTKNGKMKLADFGLAARVTNGQKLSGVAGSPAYVAPEVLSGSYSEKVDIWGAGVLLHVLLLGSLPFQGGSLDDVFEAIKTVELDFHSGPWESMSAYGRDLISRMLDRDVSSRITADQVLSHPWVLFYTECTLKAVTPNVCVTNKVVAPKLPWDRIRSHCESSASDSSSQRSEDQDESGIVDALTAAITHVRISEPKRTRLCSPAIPIQQECSSNLKSNLCTAF >cds.KYUSt_chr4.6442 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37966350:37970372:1 gene:KYUSg_chr4.6442 transcript:KYUSt_chr4.6442 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTEKDDHRFNVKFMREKLQPQQHLLGITENCFSLPALFPGTVIPDDSSDDEQARSMMDMQAVARSLWARRAQAELAVGRSLWASQATWDLVVAKTKTAELAVGKTKTAELAVAKTKTTVAMSSFDTLFSTVSSCSPVSPSADEAVLAYPAVAEVAVRLLEEVRLLDVETQGVVLADEEQLQAEVVADEEHQQAEVVAVEEKQQAEVLADEEKQQAEVLAEEGLQLAVEAVMIREKIMPMDMSMLQLCH >cds.KYUSt_chr2.42907 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267089788:267091184:-1 gene:KYUSg_chr2.42907 transcript:KYUSt_chr2.42907 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPYLHSFLSSSSSTSSPSSLHLRRAFSDSHLPSLNPGDNKTTGLHTELSFSIYNTFSSKAPPHAQMEPHHEQELEDDQEDQAVVQPDLPELPLFLARGVGIDRIASGLFTAGMDSQAAVARMMSGVDEMVVMALDAQYKEMVDEQPGNALFLRNYAQFLHEMKGDPRRAEEYYSRAMLADPTDGEIMSQYAKLVWAVHRDHERSLAYFQKSVQAAPRNSHVLAAYASFLWEQDDDDDLDVDVGAPEPPAAQPMQLASAAV >cds.KYUSt_chr2.35322 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218014918:218018278:-1 gene:KYUSg_chr2.35322 transcript:KYUSt_chr2.35322 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVSPISGAGAAGTGGGRGRAADDTAFSFLSKGWREVRDSASADLRLMRARADSLRTLADRELDHLLASAAAAPPPPLAAGAPIAELEFVRNRIQPKISELRRHYASREPELRRRVLDRWVPPRGATSARVDLSGITAIRNAIVFEATGEAEGDKEWEVVRMIRGGLKELERRSQSSEILGGFRGTGDLVEKFKSSLKPFNVESDDYKDVPPLYVTEILANLVRQSGPFLDQLGVRRDLCDKLVETLHSKQNHSPSADMSLHGNDNSVDELDLRIASVLRSTGYHADDGFWNEPAKYEVSDNKRHIAVVTTASLPWMTGTAVNPLFRAAYLAKSAKQDVTLVVPWLCKSDQELVYPNSMTFSSPEEQETYIRNWLEERLGFESNLKISFYPGKFSKERRSILPAGDTSQFISSSVADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIVCNVHGVNPKFLKIGDKLTSDVESGKQSFSKGAYFLGKMVWAKGYRELVDLLAKHKSDLEGFKLDVYGSGEDSHEVHSTARKLDLNLNFFKGRDHADDSLHRYKVFINPSISDVLCTATAEALAMGKFVVCAEHPSNEFFMSFPNCLTYKTSDEFVARVKEAMAREPQPLTPEQRYNLSWEAATERFMEYSDLDKILNDNSTTLSGESRRRRTSQPSLSNVVDSGLAFAHRCLTSSEVLRLATGAIPGTRDYDEQHRVDMGLLPPQVQHPVYGW >cds.KYUSt_chr1.29706 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179842672:179843448:-1 gene:KYUSg_chr1.29706 transcript:KYUSt_chr1.29706 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRGSALSPHSPNSMTVTVYYGVVAAWLQLQILHLVSCRFKNPPMRLVLTPPCQDSGSFAIDCGHLLRSVEFCSLPKPESLTSSHASVQLASDAAPCLENVKFVFVGVPYEEQSLSVLNRWTLDNIYCMLMRFFRSAHCMTDRSEDAVLRLAQLLFLSP >cds.KYUSt_chr7.19540 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121106252:121109352:1 gene:KYUSg_chr7.19540 transcript:KYUSt_chr7.19540 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAIRNPSPAAAAAAVVRAPLPSRAVLRMASPSVPARRGASVVAAAAMRPAKAVAAEAPSPVAERVNGAEVAGAGVARPDALGRFGKFGGKYVPETLMHALTELEAAFHALADDRDFQEELDGILKDYVGRESPLYFAERLTEHYKRADGTGPLIYLKREDLNHTGAHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLECIIYMGAQDMERQALNVFRMKLLGAEVRAVHAGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHKVIGKETRRQAMDKWGGKPDVLVACVGGGSNAMGLFHEFVDDQDVRLIGVEAAGHGVDTDKHAATLTKGEVGVLHGSLSYVLQDADGQVIEPHSISAGLDYPGVGPEHSFLRDIGRAEYDSVTDQEALDAFKRTSRLEGIIPALETSHALAYLEKLCPTLPDGVRVVLNCSGRGDKDVHTASKYLDV >cds.KYUSt_chr3.37904 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238502997:238504098:-1 gene:KYUSg_chr3.37904 transcript:KYUSt_chr3.37904 gene_biotype:protein_coding transcript_biotype:protein_coding MWARDRTEPLTIHPHPRVFAGTEREPELRLLPTSFPFRGRDPKLQFPTAGATNASPRCNPLLSELPAAEAATNANRSFNPLPSVLQMIDGRAASFVAGAAMYHRRCCHGRANTIGATTAIHWRFHRQAPKLQSTITSDANAFHGSFHRQAPELQALLLDADGAPTAAAGDTSGGDGSHW >cds.KYUSt_scaffold_1854.392 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2094771:2097424:1 gene:KYUSg_scaffold_1854.392 transcript:KYUSt_scaffold_1854.392 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDHKRPSGSTEYQLKKYLLLLATLVATVTYAAGLNPPGGVWQDTQDGHLAGDLILRDTHYHRYLAFYYCNATAFAASLVVCLLLLVLGSKTKAWAAALRVVMVLDLLGLMGAYAAGSCRDVFTNIYSSVIMSAIFTYIAIAIFVYVYSVKQDEDTAKHKRDDQHEVLMLLATFVATITYVAGLNPPGGFWSNAEYGHHVSDPILQDHNSSRYQAFFVCNTTVFVSSLLIIMLLLDKNLIISLPAKKLKSVRFVALYGFIVVALFGLVGAYAAGSCRELDTTTYVVCLIGAVLAYIFLQVAITRAITENAPAWLQKIRKLFVEPKRDNEAKAAAQKKPRANDALEKARNLVILLATLVASITYQAGLDPPGGLWPDDQDGHKAGDPVLLTTHPTRYKVFFYSNSAAFVASLIVITMVRSSSLLKRHTLEAAMLLDLFRLIGAYATGRCRDVSTSIYVVALAGAIFVYVVIHIVFFTLDYNDKQEDANLLDSRREVLLLLAILVATLTYQAGLTPPGGFWSADDNLGHQAGFPVLLDNCPQHYRAFFYCNAISFMSSVALIVLLVNPNLYRPAIRCYALYVCMVAGMFGLMGAYAAGSSRQLRTSIYVLTLVAAVFAVVILQVVIFWIRNSKNKTPGQVGSSSSNVSPDPANGPYEQGVGSVESSEIRSHNTDIESAEHLKQKMEKELQDEEENGEVKRKKDMREYLMLLGVLAASVTYQTGLKPPGGLWQENNNGHSSSGNSVLHDINKRRYHAFFYSNSTSFMASIVVVVLLLPRTLHNHKLLLWPMHTAILLNMLGLLGAYAAGSTRDLATSRNVICLVIPVLVYIAAYEAWSFYQRNTKVTKEILHQPISDEQS >cds.KYUSt_chr3.19595 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120610383:120612419:-1 gene:KYUSg_chr3.19595 transcript:KYUSt_chr3.19595 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPVLFLLVFSQICLTLKLVPFCAGEDHFAYSGFTGAGNTSLTLDGAARVTPEGLLELTNNVANTKGHAFYPTPFQFKKSLNGTVQSFSVAFVFSIASAYSEASTDGMAFFISPDQNFSDAMSAQYMGLLNSKSDNDTRNHMFAVELDTAQNLELQDINGNHIGIDINSLNSIQSHQAGFYDDEDGGGFKNLTLCGCKLMQVWVEYDGETTQINVTLAPTVMAKPARPLLSVTHNLSAVLTDQAYIGFSAATGPLSSWFYVLGWSFALNTSAPSIDIDKLPELPCSSRKTSPKVLNIVWPIATASLFIATCTAVILLIRRKLSFAELREDWEVEFGPHRFSYKDLFKATEGFKNKHILGTGGFGKVYKGVLPTSKWEVAVKRVSHDSSQGIKEFISEVVSIGHLRHRNLAQLLGYCRRKGELLLVYDYMSNGSLDKYLYSEEGKPILHWAQRFHIIKDVASGLLHLHEKCERVVIHRDIKASNVLLDNEMNGRLGDFGLARLHDHGTDPLITTKLVGTLGYLAPELAFTGRVSPLTDVYAFGVFLLEVTCGRRPISNSSQHRPRLLVDVVLEHWHRGSLKETVDLRMKSDYNADEACLVLMLGLMCSQPIPNTRPTMRQVMQYLDGDIPLPELTPTNFSYSSVAFMQKEEFDTPWSTCQQETWAQEHLASQMENKI >cds.KYUSt_chr6.27788 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176257313:176260761:1 gene:KYUSg_chr6.27788 transcript:KYUSt_chr6.27788 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQPHSLCKRRRSNGIPVPFLGPALVAVVLLCLAAPISSCTEQDKISLLQFLAGTSSDGGLKALWQNGTDCCTWEGIICDTDRTVTDVLLASKGLEGSISPSLGELRGLLRLNLSQNSLSGGLPLELVSSSSIIVIDVSFNLLNGNMLELPSSTAGHPLQVLNISSNLFTGQFPSTTWRAMENLIALNASNNSFTGRIPTHFCESSPSLEVLELDYNRFSGSIPLEVGTCSRLRVLNAGQNNLNGTLPEELFNATSLQHLSLPNNALHGVLDGAHIMNLRNLITFDLGGNNFSGKIPDSIGQLKRLEKLHLNNNMMSGELPSALSSCSNLITIDLKSNYFNGELSKVNFSTLSNLRTLDLHDNNFTGAIPESIYSCSSLVVLRLSVNRFHGELSSRIENLKYLSFLSIGNNNITNIANALHVLKQCQNLKTLLIGHNFRGESMPEDDTVEGLENLQVLDIGDCQLFGEMPIWISKLEKLEMLILSNNQLTGSVPAWIKTLSNLFYLDISSNSITGEIPIALMDMPMLKSEKTEAHLDPRASELPAQATPSLQYRIQTAFPRALDLSNNKLTGEIPLEIGQLKVIASLNLSFNDLTGQIPEPICNLTDLQVLDLSRNNLTCTIPAALNKLNFLSAFNISNNDLGGPIPSGGQFNTFQRSSFNGNTKLCGPILRRSCGLAEGLPSCSSETQGRGKRKRYKTILLATVPALISLVLVALILMFRQEKKKSKSINTARVTQANAFSVWSFNGANVFKQIVEATNDFSEIHCIGTGGYGSVYKATLATCEIFAVKKIHMIEDECCINESMFNREIDALVQIRHRNIVKLFGYCSSIKGRFLIYEYMERGNLAETLKTNERAIELNWKRRINIALDVLHALAYMHHDCFSPIVHRDITSNNILLDGEFRAFISDFGTAKILNVNSPNLTRLVGTKGYIAPELAYTENVTEKCDVYSFGVLVLELFMGSHPGNLLSSIYLTTNKNDVCLKDLLDSRLELEDTETAREIYIVLSVAVQCLEPNPSRRPTARRASDELSAGIKTRDDHHVYYLHAVLTIPSQ >cds.KYUSt_chr7.37171 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232027452:232033189:1 gene:KYUSg_chr7.37171 transcript:KYUSt_chr7.37171 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIDNKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGRLFEFSTSSCMYKTLERYRSCNYNSKATATPETELSNYQEYLKMKTRVEFLQTTQRNLLGEDLGPLSIKELEQLENQIEISLKNIRSTKSQQSLDQLFELKRKIQETSAENVLHMSCQDVGPSGSSGQANQANEQELFHSAVCDPTLHIGFHQSYLDQLNNN >cds.KYUSt_chr1.23660 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140901468:140903156:-1 gene:KYUSg_chr1.23660 transcript:KYUSt_chr1.23660 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGAGDKPPANGMHGGAAHGASGGVKAKSSFIYGILLYVVLPVLFMYMVIVAISPIYNPRCSPEGAGAMVHFVVANPNASSSNSSTMSPPPPMVSADEAPTGLRHIVFGIGASSALWESRKEYIKLWWRPGRMRGFVWMDKPVDEFYSKSSRTGLPAIMVSSDTSKFPYTHGAGSRSALRISRIVSESYRLGLPGVRWFVMGDDDTVFLPENLVHVLSRYDHTQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISRALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPATPSRAGALRRLFDGPVRLDSAAVAQQSVCYDPEQQWTVSVSWGFAVMVVRGVLSPREMETPVRSFLNWYRRADYTAYSFNTRPVARQPCQKPHVYYMRESRMDRRRNETVTEYERHRVKQPPCRWRIADPAALLDHIVVHKKPDPDLWKRSPRRNCCKVLSSPKKGIDRSMTINVGMCREGEFAKV >cds.KYUSt_contig_7622.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001616.1:36493:37119:-1 gene:KYUSg_contig_7622.3 transcript:KYUSt_contig_7622.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKQPFTPSSAIRHRRCRRCLLGTLAALLALAVVLLVLFLTVLRVRDPTIRLVSTELTGVAPRFALLPAPSLRLNVTLILTVSVHNPNLASFAYADGGHADLSYRGAHVGDAQIGPGAVLSRGDAEARLALTLQADRLLAAGDARQLAEDMEGGALPLDATTRVPGTVVLFGLFHRRAGAYPECSFAFAVAEMRVQSQECSGGTKL >cds.KYUSt_chr5.4140 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26557690:26558040:-1 gene:KYUSg_chr5.4140 transcript:KYUSt_chr5.4140 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPPTLRLRGGDGEVFEVDARRLAALFPAFVLRVPAIHNLGVFNLISAYRKFEASGRHTAPDVETAFVKTIGDLDNLARVVSVSHNLPRLAASWSRGIRGYRLISHMGSVAAVA >cds.KYUSt_chr3.46461 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292293998:292295881:1 gene:KYUSg_chr3.46461 transcript:KYUSt_chr3.46461 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAALVGPPVAVAVAVDTEMADATNPKATTPSKPKAKAKGQVVFPVDDSSDFEMEDAGVYGADTKAPPPTGRALSYTSSGHPCVDFFFQVVPGATAATDVASLLSVAWGNDERSARTALRLVCHLRGVRGLGKSDRDGFYAAALWMHANHPLTLAANLRVFAKFGCFKDLLEIVYRVLHGPRDERKEETQPAPARNGHKRGRGDGKATAEAKRKKEVEHAQVTMARYASDDKFRHLYDRVAELFADQLKADLEHLRAGDTAKITLAAKWCPSLRSSYDRSTLICEAIARRMFPRESTPEYLVMADKHYAYRVRDRLRREVQVPLRKALELAEVYMSAQKWEDLPYARVSSLAMRQYKEAFQKHDKSGVAGFFDEVRAGLAKIPADALLPHEIVAAALKGEHDEAAELQWRHMVSTLASEGRLSNCIAMCALSSSAEKPPASVAIALGLLVSELSQEPWKGRVITFDTATHQLHKVRGTSLVEKLRPLAAVRAQKGANLQAVFNKILNVAVAGKLDKEMMVKRVFVLSDMEFDGWVGGEAWVSEHEAIRKKFEAEGFAVPEVVFWNVGTSKASVPVVAAQVGVALVSGYSKNLVRLFLEADGVLTPAAIMADAISGPEYDDLQVLD >cds.KYUSt_chr5.13891 pep primary_assembly:MPB_Lper_Kyuss_1697:5:90290237:90291532:-1 gene:KYUSg_chr5.13891 transcript:KYUSt_chr5.13891 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLGFVLALAAVVLLAAAATTTAQSDKGKGPPKAEGPKQEGPKNPPNGPEGPKSPPNGEGPKSPPNGPEGPKSPPNGEGPKSPPNGEGPKPKPKPKQVKCNVNRKENPYCFNKKMDCPDDCPETCFASCTEYDCKAVCACDQPGAACGDPRFIGGDGNAFYFHGRKDADFCVVSDRDLHINAHFIGKSGHSGMSRDFTWIQAIAVLFDGHHLYVGARKTGTWDDAIEHLEITLDGEPVHLPADQIDAAKWTTVNAVPITEEDSRVHRYGVTSDDCLAHLELAFKFDALTDDVHGVVGQTYRSDYVNKLDVRASMPTMGGDASFTASSLFAADCAVARFGTSRSNNEPSVMSELAGITCASGMDGQGVVCKK >cds.KYUSt_chr5.19541 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126772781:126774310:1 gene:KYUSg_chr5.19541 transcript:KYUSt_chr5.19541 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGCALQLLSHPSLTAAQLRQLHAHLLTSTTHLADRFLPNNLLRQASSPHRAIALFPRLRRILPAFLPNNYTFSFLLTAAAAAVPAPPPNSDRRLVCSLHALAVALGWDAHLYVSNGLIHAYAARGHLACARGVFDHAWTRDACSWTSLLTAHARAGQLADARALFDGMPHRTPVAWSAMLSAHVGAGGFADALEVFGGMLRARVRPNRAAVVGALAACGALGALEQGRWVHALVAAPGRSGNGMDGVVATALVDMYAKCGSLDAATQVFAAVPERERDVFAYTAMISGLSDHGRCGEAVELFRRMQDRGVRPNEVTFICVLSACARAGGALVGVAKEIFRTMATVYGVVPGVEHYGSMVDVLGRAGLLAEAVGLARAMPMRPDSYVLGALLNACRVHGGDVELGKELVEWLAELGLDHSGVHVQLSNMYAGNSKWEDVVRVRTAMEEKKVTKVPGCSMVEVDGVACEFVAGDRSLDPWIMAVVRELDGQLRLLGHDSRHLDLEELLA >cds.KYUSt_chr4.8148 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48709866:48712567:-1 gene:KYUSg_chr4.8148 transcript:KYUSt_chr4.8148 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHAEEEQRSGLPVEDPMEAVRRAFPGDNFAISVVSRAFGRPDPPAERSRQQDPENRAPTVELRPDAAIVVPENRVDLREAGNSSAQQGASTSGIDAPSTSENRVNSEAVNNNGAAQPTPADAGNGAAGGWTRRVRLGRVPDERETPADHVSALEKALTGFAERQTDAVVHPAVGTIFDSLVEAYDFYNIHSWEMGFGVSPENLCGSSVDLQGKPKDNMKNSSKSVRCNCTAQIRLLRSADKGWYVAHHKETHNHPLSRSCSDKLSSPSHKHIDKYTRDLVRKLRNNNVDLGVYNTIAAFFGRKDNGPVTKGSIRTLCEKINQEQADDAVRKNAEMTTALGEKDLENHATEEVPLICFDETAGSPDCTEMPVDPLSHFHQEYTERNPDAERVADLMLTFGDCNLVLRSCSYDDLINSNSTMADLEKVMNTVKPHLQQGLFGDLWSRAHNSGGVMSAQVLTIKDLFRFERWLTTTTGKHSLQSVQQHVKLAKSGKGVFEPEQTALLCLFQAENDECSREISKLQGERDAKIAHYQAMIDEARASFEASVESAKVGYPVSSSYVPLSTHELRGQCWSAYLAHCQKESQYMNRGASNIVERFGPEIQQHHLFEFCTQEANRQSLLKYGQTKVQKLKEARVAHGETTFHGYMTLLDIEQAYALLAAEAQVADEPGSGGGGEDVDCSNREIGVARDESARGSGEENGGEDVSEAPPQQKRQKNSQSYVFGYSGSR >cds.KYUSt_chr1.34055 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207126626:207127793:-1 gene:KYUSg_chr1.34055 transcript:KYUSt_chr1.34055 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALEAAIDEVLVTLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTHENVLAHIEDGNSPVLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNEAAAAAANQLAEEEAKRAEDAAVAEAIARSLKDLVPADNSLPIDAALEWSRRDWERQEAEQQRRMEVIKLEESSDDDIYRPSPPRAGDAGQGTSRWYEAPPPQDDAGSSDDDDDGDYTTFYRHFGM >cds.KYUSt_chr5.41624 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262640050:262640268:1 gene:KYUSg_chr5.41624 transcript:KYUSt_chr5.41624 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCAFSLGLGEPNHAGKPVLEYDRVVRPQELHALKPRMAPDPKTGYRNRENEAMFTIHQILSSSSPASTPI >cds.KYUSt_chr7.35029 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218811366:218812553:1 gene:KYUSg_chr7.35029 transcript:KYUSt_chr7.35029 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRGQAGGGGRCLFTASQWRELEHQALIYKYMAAGSQVPHELVIPLRHHDAAAVDTIPSLGSCFPPPQPSLGWGLYGMGAQYARKPEDPEPGRCRRTDGKKWRCSREAYGESKYCDRHMHRGKNRSRKPMEHMSSTSTVSSPAPAQPGAAYRPSALSISPPVPADTPSSYGHYQTRPDTSAARAAAQLHLDSPSPPPSYHRYAQAQQQYCASPFFPSGGGYGYGYGQSRQQEQEEAEAMARRRQHCLALGADLSLDKPDAGAASSVTTEEKPLRRFFDECPRDDTSVDGRPWYMGHRDETLLSMSIPTTARYPNGGE >cds.KYUSt_chr5.13429 pep primary_assembly:MPB_Lper_Kyuss_1697:5:87419532:87420449:-1 gene:KYUSg_chr5.13429 transcript:KYUSt_chr5.13429 gene_biotype:protein_coding transcript_biotype:protein_coding MVETACGDGGIETVIEDLPADVMGLVIRRLDGASLAAMGSACSGFRDLASDPDAWRALCLDMWPSVRDVACLGSGGYQSLYANAFPFPAASSPPAAPSLPARLVSAVDLHHKGVCIMSRAVETDASSPWFQGSPFRVDALVQEGFTAPCPIAPDELTLSWILIDPATGAALNASSRRPVSVDRTWLSGDAVARFTVVLGGGVALDAAVTCDDRRGHVREVSLCAGDAEGGGVSGRDGLAAVAAAMATARQRRGAEEAARRVYREFAQGKRDRKEWKARRDGIVDLCCSGVGAAALLSFLVMLTFR >cds.KYUSt_chr6.11098 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68851025:68853055:-1 gene:KYUSg_chr6.11098 transcript:KYUSt_chr6.11098 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVFSVFDAVSDSIVLYLLGAMQSAPFKNQLFPVWALVLVNFRYSADYISGYGVPDRGGRRFTEWRNVFKLLGSAFLNWTRGSSFTGPLWSVWCLQIMRSAYRFRSHNLASVSVWHGGSSELVAEHMRAMYDRLKDASKKKESLKDGSKEKEYLKEDLKPVAMKDYSYLVYGETKRRFKLKKPQYALSTDNTGPLITLDKIWDFPIDQGKDGKDIPLAFALSRLRCRLENVSLQRCIFGINRELIKNIIGGKIGTSDALRIMELQLAFVHDYFNTRYPMVFWCGLRSLFFSLVPSVLTIGALIFLAVDIRKVYKPPTGDLANLVKGINVDMIITWVFISLMIVKEIWEMLTYLLSDWTTLIMGCEYVQRKFKRTKKCIDSWVDWVLLYFSRTKISGRRWHGYIDQYVFVQSYDYRPTFWNLIHNLTTGIIPKKDDGAKLSSAIKVPDYVRVAVLEKVTKIMEEELGCSPAKKGCNLPRFIKALCNSNLSKQLQNYQAYTARPMSTQIVLETSPQIILPTPTGTHFVLPSSTNTVLSANSHNVVPRSSDIVLPTSSHIILMWHIATSLCEMELATEYRVNLSNPGFPCSLLSWFTSCCSSQPYLLDVGEKKDCILSWFTNCCSSKSNIDDKKKMNAKLPDFFSIKGIPSINVPRRSGSRRLQKGQKDLVRSIPRTQ >cds.KYUSt_chr6.28960 pep primary_assembly:MPB_Lper_Kyuss_1697:6:183444917:183450725:1 gene:KYUSg_chr6.28960 transcript:KYUSt_chr6.28960 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTFVRDEEGEEAVQKLIYESARGPEPDDGDDNEFQDFLNDFGEGLESEQIRRDNEVSITNSGEPSGSSSKSVRSKRGPTRVLKGEGRLALMAFKDNGEPVQPKEFCRKFTSQSGVIVRDHVPISIQEWHKPKNPESGASYVNDTMKKFLWDTLLTKFSLPEDMTEGQKNKVKEWTLKKMAIQFQTFKKNLWDKYKNEDPMSGYKSAIPKWTAFENKLIDHGITPQTWDWPERSKFRLFAHGAGLDPKTGLIVAKGKWKEKIEAIVPKLVDAIEKVRKGEYIPDRENDELTLALGNPEHVGRVRARPGLTMKEAWPDSADTYRSRSRKKKKDADIVTELLTRVEALERNRRAPDQPLFLQDPQADAAPSQRRSGVGSSHLDGCGGSYPVDYVTEKTDCELHMLIGTAYVKVAVGYVYPSEDGAMHHHMPIPPGCVRVGVDEVVSGFEKVELDIPRGEDERTLADVKHGFALWPKNPGEQPSPHLPERDPSVSPPSRDPPRRKIASVKRNGTPPRKKARKEKQSPPTEKLPWEKTPEENAEAVQAELKKWFAPKVPEIPFEKTLDPVKVVRTVDNLYDPVPSPPSDYARSIERSYDKMIEATKPVQSGIREIKGIHSVYQLGQQPVQSVAPLKVFDGKTVQSSRQDATDYAFAERAYQFVQVKDLVENLRKVPTCMRNLHSWYLKASKEGIETIMVRVREEHYFQEYLSKMLECKRDDITDIGFIDPHTMHVKTIENPLYNKDTPQTLLSPSGTGTDKRFSTSAGPLPLRPPHLHHHERAPGRDGALARRPRRQGRASKNSYLSTARGRSTCASPPRFSAAPLSPARLYLGVSRLQDTAAVPRRATFPNLRELGLCFTVMEDRDLAFILERSPVLEILVISGSQTGVRLRLVSQSLRCLLVGLTYLEDIQVVDAPRLERLFQWTTFGQRTTKGKLRRSMIKIGHAPNLRILGYLEPGDCEIEITKTVTVDGTNEKIVPSVNISAIEMQFGLRCALKKVSGYLTSFPNLETLHVQSKTKIAQEPTGKVNLKFLQEGGPIKCVVQTLKEVFFCEFIAERARVLEKMVVVVASECFSSGANVNVKLKPLINAKWISQACKLQLFKSPHAGGGSPVFSHQLASDFSFADPFDLIDYQESLSVEVN >cds.KYUSt_chr4.23413 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147405944:147406306:1 gene:KYUSg_chr4.23413 transcript:KYUSt_chr4.23413 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGWTGDSGSDQGSSPEGSWKYEWGWAAGPGVKISGFGYGYGGSGDAGSGGGGGGGGDSGTGGYGGLPGNYGGNDAGGYNGEAGGEGAFGDGDGAAGGNRRGLFRGGMAEKKVDGGSKN >cds.KYUSt_scaffold_869.253 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1541390:1541857:-1 gene:KYUSg_scaffold_869.253 transcript:KYUSt_scaffold_869.253 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKQKHKHKKVKLALLQFYKVDDSTGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNQKA >cds.KYUSt_chr1.7794 pep primary_assembly:MPB_Lper_Kyuss_1697:1:47964990:47967552:1 gene:KYUSg_chr1.7794 transcript:KYUSt_chr1.7794 gene_biotype:protein_coding transcript_biotype:protein_coding MDISITPRRIETCLAKLCRRPRPETLVVADASFFMPAGSLEVAGAKMAVVMSDSTPTSPPWPRHDLAAFLAAPGSGSATDAPAQAVPRRSRSWPPPPRPSPAPTRRATVPHLHGRAPRASHTPATGTPRHAAVTTRTGRARASPLPPREPAGTGLAAATAGTGAAAAAQALLSSTRSAPPPARVWSTTRWPPVRRLVCVGVVGVGIDDVPGRRGTVVARPRRGLRPRRPQGLLPGGVGVRSPPLLRRSSTELRLPLLPGEVPGPLEPPLLRAAPPLLRAAPGVLPLLLLAAEPGLLTTPRFFLLSAGLGKELLGLDVLDRLQGLLLHRRGANGRLELRPLSFLLRRRYKQRGAKFGVLLGGLRLGLQQQRAGGGNVAPPWAASPMWRPRGSLRPAAPVADAGCCWPRHWLRERTVASGAMAVVALGEWSGDWTGGTDPLKSTFNSLPGHRQVGPRETSSQAPGRDRTPRAIRGHANLAQIWAGFASFRTPRPSAFAVRRRVGPGFCPAGPIRTRGRGMGRRVGDALIYPSGDKRTAHRNSQYGTPPCILLRASPPVAPK >cds.KYUSt_chr1.11231 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68728639:68731467:-1 gene:KYUSg_chr1.11231 transcript:KYUSt_chr1.11231 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCGNCTVAAAITFGMRQPTISTYFQLELHHLTSHDNALHIPRPTYIPCSRLPQGFTQPSPFVGHKMFPPRLSTRPQMGRAAVCLAVLLLAIAFLFVSAASDSESLRAEQRVGGGRNPPVHCCKQEQAVGRQAQATGDVADLASAEEQGQKPESLKSKKEKINDDDDNDNNDDDDDDDDDDNDDDDDDDGGTDTDLIGSELSSSHGSHSAHDPNNHHDDNSKSGKKKRSAPLRKGVQGGDR >cds.KYUSt_chr2.6462 pep primary_assembly:MPB_Lper_Kyuss_1697:2:40256175:40259514:1 gene:KYUSg_chr2.6462 transcript:KYUSt_chr2.6462 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTLPSCSLLAPAQHPALRLRSSLRLRLCLCLSLSHHNQPAADTKRRRAPVPAHPAFSRSHRPKKIPVPDTGEPAAGVRVTDRGLAYRLEGAPFEFQYSYTEAPRARPVALREAPFLPFGPEATPRPWTGRKPLPKSRKELPEFDSFVLPPHGKKGVKPVQSPGPFLAGMEPRYQAASREEVLGEPLTREEVAELVKGNLKATRQLNMGRDGLTHNMLENIHAHWKRKRVCKIRCKGVCTVDMDNVCQQLEERVGGKVIYRQGGVIFLFRGRNYNYRTRPVFPLMLWKPAAAVYPPLVKRVPDGLTPEEATQMHKRGRQLTPICKLGKNGVYTHLVKEVREAFEACDLVRIDCSGLNKSDCRKIGAKLKDLVPCILVSFEFEHILMWRGNVWKSSLPPPDENSFEVGSDQHPFTSEEILNKKGTASGTGLTSIEAVNNAGYVYNSNLNPELVNDVMLNLPCIVPGSSNPKDVAGIENSAVTPFELSTSDSVIPSPKSALHGQSVISDDSENGDPDDRSPFGCKKSVQCPNEQEASVRPLGRSYEIGELETTKRNTEGLNGQDGAKTDSTSLSYMEGVLLLLKEATGSGRAHVLDENEFVDADVIYQKSVAFAKKAPRGPVFKNTLRKSGIRKNEPNKSGRVKNHPVEKQVPNNVEKKDDVNRGLIKQRNDLAQEFLSDVVPQGTLRVDELAKLLA >cds.KYUSt_scaffold_1700.588 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:3676962:3677315:-1 gene:KYUSg_scaffold_1700.588 transcript:KYUSt_scaffold_1700.588 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSFLLQLVRYVSSLPTHFMRVSTRALPLQMEGSGRAIRPLAAAPKPKPPGPPAEGPGDKGGIIHAASPVPRELMRAAPQRQGAGGMIKAPQRPGAPAEGTGGRGGIIHAASS >cds.KYUSt_chr3.25283 pep primary_assembly:MPB_Lper_Kyuss_1697:3:156980877:156984344:1 gene:KYUSg_chr3.25283 transcript:KYUSt_chr3.25283 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLILRVPPSVAEQIERLMNESAAGSSSNPEDASLDLSFSEDGRSGTFMIGNQSFPASLLDLPAVVESYKTYDDSFLVKTADIGQMVMVRQEDDPAPEGVEYKHGLTPPMRDARRRRYRREPDLSADLVNRVENDLINQNTSVVGAGEGSDPKKAAPARAPEPEAQEPAANGEEAEPDRTDSDESDN >cds.KYUSt_chr3.10631 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63099205:63106793:-1 gene:KYUSg_chr3.10631 transcript:KYUSt_chr3.10631 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLDDKELELERERKQKKEQKAREKEEKKLKAKQKETARLQAQATSGGPKKSEKKQKKKGAEDENPEDFVDPDTPSGEKKSLAPQMAKQYSPSAVEKSWYSWWESAGYFGADPESTKPPFVIVLPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERELTRHDLGRDKFISEVLKWKDQYGGTILGQLRRLGATLDWSRECFTMDEQRSKAVTEAFVRLHKDGLIYRDYRLVNWDCTLLTAISDIEVDHLDLKDETMLKVPGYGTPVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDKRYKHLHGKHAVHPFNGRKLKIICDAVLVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINILTDDGKINSNGGAQFEGMPRFAARVAVIDALKEKGLYKETKKNEMSLGICSRTNDVVEPMIKPQWFVNCHTMAKSGLDAVRSGKIEIIPQQYEQDWYRWLENIRDWCVSRQLWWGHRVPAWYVTLEDDQLNVLGSNNDRWIVARNENDAILEAQQKYPGKKFQLNQDPDVLDTWFSSGLFPLTVLGWPDDTADLRSFYPGSVLETGLDILFFWVARMVMLGMQLCGDVPFQKVYLHPMIRDAHGRKMSKSLGNVVDPLDVINGATLEELLKRLDEGNLDPNELIIAREGKIKDFPDGIPECGTDALRFALISYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDNYTPPATIVVSAMPPICKWILSVLNKAIGKTVTSLEAYKFSNATSAIYSWWQYQLCDVFIEAVKPYFFNDSQEFDSARAACRDALWVCLDTGLRLLHPFMPYVTEELWQRLPQPKDSGRKSSIMITDYPSVVKEWADDKLESQIDIVLDTVNKLRSLKPSTDTNERRPAFALCRGQDIAATVQCYQSLAVSLSSVSSLKILTESDETPPDCSTAVVNKDLTVYLQLQGALNAEVELEKLRKKRDEIQKLQHALSQKMDASGYREKAPPSVQEEDMRKLTAFLEQLQVISEAEKKLDA >cds.KYUSt_chr1.21863 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129088064:129096578:1 gene:KYUSg_chr1.21863 transcript:KYUSt_chr1.21863 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLDEKDLERKLKKEHKAKEKEEKKLRAKQKEAARLQAQAASDGARRSEKKQKKKGAIDENPEDFVDPDTPTGQKKLLASQMAKQYSPSAVEKSWYTWWESSQYFEADAASSKPPFVIVLPPPNVTGALHIGHAITVAIEDAMIRWRRMSGYNALWVPGMDHAGIGTQVVVERKLMRERKLSRHDLGREKFLSEVNNWKDQYGGTILRQLRTLGASLDWSRECFTMDSQRSKAVTEAFVKLYKEGLIYRDIRIGHWDCTLCTAVSDIEVDYLELKEETLLVVPGYKNPVQFGVLISFAYPLEEGLGEIIVATTRIETMFGDTAIAVHSQDERYKHLHGKYAIHPFNGRKLEIICDDELVKTNFGTGAVKITPAHDTEDFKAGKRHNLDFINIFTDDGNINENGGSQFEGMPRFTARAAVIDALKAKGLYRGMKNNEMKLGRCSRTNDIVEPMIKPQWFVNCNTMAKAALDAVKSKEIEIIPPQYEQDWYRWLENIRDWCISRQLWWGHRVPAWYVTLEDDEEKDMGSYIDHWIIARNENDAILEAEERYPGKKYQLDQDPDVLDTWFSSSLFPLSIFGWPDDTADLSTFYPTSVLETGLDILFFWVARMVMLGILLGGDVPFQKIYLHPIIRDAHGRKMSKSLGNVIDPIDVINGITLEGLQKKLEQGNLDPDELEKAKEGMKKDFPDGIPECGTDALRFALISYTSQSDKINLDIKRVHGYRQWCNKLWNAIRFAMIKLGDQYTPPTTIVLDSMPPVCRWILSVLNKSVGKTVSSLEAYKFSEATSSIYSWWQYQLCDVFIEAIKPYFNESQEFEAARGTSRDTLWLCLNTGLRLLHPFMPYVTEELWQRLPQPKEACRKDSIMISEYPSAVQEWENDQVENEMEIVLDAVSKLRSLRPPTDIHERRPSFVLCRNLEIAATVQCYQAQIATLASVSSLKILTEDDPSPPGCATNIVNKDLAVYLQLQGALNTEAEHEKLRKKRQEVQKQHDTLSQKMNASGYREKAPQSKQDDDMKKLASLLEELEIISEAESKLDANN >cds.KYUSt_contig_97-2.131 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:611397:611708:1 gene:KYUSg_contig_97-2.131 transcript:KYUSt_contig_97-2.131 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr1.3870 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23664260:23665387:1 gene:KYUSg_chr1.3870 transcript:KYUSt_chr1.3870 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQYLSVDVLADILQRLPSISRRRARLVCRHWRDVVNKRTTEMQSRAKPLIWDDGDAYVIGDLSSTGSCRELWKRRVRSGVYRWWDGTGTRPSHLVGVCNGLLCVCVNEERAGGSLTVSNPATGEALAVPPLPCAGLFVVSHRREEIRWDAAYSFACHPMTGKYKVVHVPWSKERLFDLETVQVLTLGDTAWREVPAPAGGARCDIAAGIISIDGTTHWVKVGNATRIVSFDLDTERFAPTTTPLPAQPDRRNISYHLTEVHGRLGFVMMPDVWVLDQRRRWSRRYRLEEAIPRPHFVFGKYVLTTRSGKTEFFAHRPKGTPPKGWRLECDGVERVGHPDHGTLVAEMTRGYAQCRTFPYVETTEPLGVYETN >cds.KYUSt_chr3.6993 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40331402:40334453:1 gene:KYUSg_chr3.6993 transcript:KYUSt_chr3.6993 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSPATAAARKKRALTEQRFSELSPAISPEVVEALKRGGFRRCTPVQAATIPLLLSHKDVAVDAATGSGKTLAFVVPVVEMLRRLPSPPKPHQVLGIIISPTRELSSQIYNVAQPFFATLKGVSSILLVGGLDIKAELKKVEEEGANILVGTPGKLFDIMERLDSLEYKNLEILILDEADRLLDMGFQKQVTSIISKLPKLRRTGLFSATQTEAVEELAKAGLRNPVRVQVKTEAKDAAQQDLGPSKTPLGLRLEYMICEPSKKSSQLVDFLVHNNGKKIMVYFATCACVDYWSVVLPMLNLLKGSPVIPYHGKMKQGPREKALASFSALSSGILVCTDVAARGLDIPHVDLIVQYDPPQDPNVFIHRAGRTARYDQEGDAIVFLLPTEDSYVEFLKLRGVPLMERECSSDIVDIVPQIRAAASEDRNIMEKGLRAFVSFVRSYKEHHCSYIFNWKGLEVGKLAMEYGLLQIPSMPEVKHNNLSLKGFMPVSNVNFSQIKFKDKTREKQRQKVLKRKAEELAQEPPEPERRVREKPLKPKRKQTGKQRQTIQTKEDMDELTHEYRLLKKLKKGVIDEDEYEKLTGFGDSDGGGSSDGEDKGKERRRKVQKKLKQRGGKTRGGSARKFDGKSSKLKSRRK >cds.KYUSt_chr7.19350 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120053549:120054772:1 gene:KYUSg_chr7.19350 transcript:KYUSt_chr7.19350 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRQFTCRCVTPFAGVSVITDGELGISTMSDMIDASSANGYHLLVIEGYSRTKDILNGNGIKSRPFMVGGHRWCIDLRPSRDSSFSADFISAYIVLLDDNVAEPVKVQFVFSCIDQVEKQEATHIRSTKACIFPKYGYSWGQRKFLRRDAFEKSKNLKRDCLTIRCDIMVCKDLNIEDGSATDAPRPDLRQHLNQLLQTKVGTDVTFQVCGETFAAHRCVLAARSTVFMAQLFGPMKEGTLAGVIQIKEMEAKVFRALLSFIYTDLFPEMEKDEAEIEEEEEGQEEEAEIEEEGQEEYTLVDATWLQWVQDLFVAADRYDLQGLKWSCEEVLCESIDVSSVTSTLSLAEQHHCHGLKEECLQFLQEQSSSSLQTIMEASDWEHITMTYPSVLNELIAKLATKEKCV >cds.KYUSt_chr3.28605 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178450332:178450754:-1 gene:KYUSg_chr3.28605 transcript:KYUSt_chr3.28605 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLLVASRWQMALQGRKEGSFISRNEVRTGGSKLELSDQVQLHVVCFCTMEVLQWRKPNVAMVGSTSSSSNKVCAGSLFFYSSCALLLLLASHGGLEKELGGALDCGSGGDRESLKQQFGEDQTCVVFMIYGQEGGLS >cds.KYUSt_chr3.41373 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261006066:261008424:-1 gene:KYUSg_chr3.41373 transcript:KYUSt_chr3.41373 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRHLCLAVAAVAVAAILLTASAKKSADVTQLQIGVKGSLTDGSVFDSSYDRGDPFEFTLGSGQVIKGWDQGLLGMCVGEKRKLRIPSKMGYGERGSPPKIPGGATLVFDTELIAVNGKTSGADTSESNSEL >cds.KYUSt_chr2.37580 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232689045:232694560:1 gene:KYUSg_chr2.37580 transcript:KYUSt_chr2.37580 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKPGGGGGGASSSSSSSSAASTVDRFLKIVLSWDYLRLVADSKGSDQAKGLKYAKNSYASVAEYLGVFEPLLFEEVKAQIVQGRSSEEDEVGLDWQRGVVDSCTESEGFHKLAMVVQDGFREIVSENDLLLLSKEKFEEGVTPTAYAFAVVEQRGGKDNISLRTFLAGEIKNLNVTKPVKSSRLQRVASTLSTPQSLLWVLKMCSLSTILREFSAMHSIASLPFKDLILSASDTNKDGDDQNRAWNVPEPLMDYLQTNLNGSQLEAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARVQTKGGFDVQKHGPELDIEGKNANWMKASPWLIGANPRDLIMPVDGDDGFYPTGNDLKPEVISSNRKYRAHVLVCAPSNSALDEIVSRVLLTGIRDENNSTYNPKIVRIGLKAHHSVKAVSMDYLIQQKLSGVDRSADGGRRGAGEYDRIRASVLDEAAIVFSTLSFSGSAIFSRMTRAFDVVIIDEAAQAVEPATLVPLVHGCRQVFLVGDPVQLPATVISKTAQKLGYATSLFQRFQAAGFPVQMLKIQYRMHPEISIFPSKEFYEGILEDGEGLNKKRPWHSYSCFGPFCFFDIDGVESQPSGSGSWVNEDEVEFITLLYHQLAMHYPELKSSSQVALISPYRHQVKLLKDHFKSTFGDQSKEVIDVNTVDGFQVIGSASTLQKDEHWNNLVESAKERNHYFKVSKPFTTFFAEDNFKTMKVEKPPPPDMRISQAIDAIHEVVERQEVMDVDDAGDQADGEDYDAMEADDGGGDD >cds.KYUSt_chr7.32524 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202549284:202549712:1 gene:KYUSg_chr7.32524 transcript:KYUSt_chr7.32524 gene_biotype:protein_coding transcript_biotype:protein_coding MGANSSSSEPSSSSAKETHDPVTTTPQKWSNGEAAARSADIRVSTGGASAAGTSQPPAVGGKKSYTTSASAVGVVGVGPGAVPIEGLETAMREAITPTFSLRNLPTGGRLDERGEATEETLSEGMSRPAEHPQQADSQGMTS >cds.KYUSt_chr7.8494 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51469201:51471272:1 gene:KYUSg_chr7.8494 transcript:KYUSt_chr7.8494 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYRKQGIQGTWGLPKVNKWVEAENKENAAGEDGLLTALANESKKIEAADVEGADDATTIVAALGDDEDGEDVLGQLPLRTFSEEDEGTMAVPSPRSDATRQPTTGTNTAISGGFLGVTDEAEIVPGVSS >cds.KYUSt_chr7.23592 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147116935:147120821:-1 gene:KYUSg_chr7.23592 transcript:KYUSt_chr7.23592 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIWHRIHSLMPMRDAARAACLSRAFLHFWTYYPNLTLDWNTLCSKGHGGNLSCKIDSIIRNHSGIGLKILKLNLGDDDHTFPHINSWLQFAVTPGIEELTLKLYKKYNFPCSLLSDGVRNSIQYLQLGSCTFRLMAELGPLRSLRSLHLCSVRTTGDELGCFISNSPALEQLKLYDCKEINFLKIPCMLQRFTCLSVVGCWGLQVIDIKAPNLSSIDVSGENIKLSLREALCMKDLRMRCPKVVCYALAELPSIMPNLETLNLGSDDEVVNTPMLPTKFIYLKHLSIQITGETFSPSYDYFYLVSFLDASPSLETLFLDAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLITEAPKYKQITPSVLSERLRINGSLARRAIKDLEERGLIRMVSIHSSQQIYTRATNT >cds.KYUSt_chr3.25125 pep primary_assembly:MPB_Lper_Kyuss_1697:3:156030214:156032686:1 gene:KYUSg_chr3.25125 transcript:KYUSt_chr3.25125 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKERRATWVPGAVIVGAGPSGLAAAACLAARGVPATVLERSDSLAFTWRHRMYDRLALHLPKRFCELPLLPFPEEYPTYPNRDQFVTYMERYAAASGVAPRFGASVEEAAFDTVLGAWAVRLAGGEVLMARWLVVATGENAEPHVPDFPGLRQFAGRVLHTCEYKSGEEFAGEKVLVVGCGNSGMEVSLDLCRYGAKPSMVVRNTVHVLPREMLGLSTFGIAMALLKWLPVQLVDRFILAAAHLTLGNTSQIGLRRPKTGPIELKNLTGRTPVLDVGTLDHIKSGKIKVVGAVKEVTRVGARLADGKEEQFDAIILATGYRSNVPSWLKDGGDVFTREGTPKTPFPNGWKGRNGLYTVGFSQRGLLGASSDALSVARDIHCQWRERERE >cds.KYUSt_chr2.1980 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11918643:11924171:-1 gene:KYUSg_chr2.1980 transcript:KYUSt_chr2.1980 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSLYFLGYEDLSAAPADPVKRTVKRTEWTFGQKATIELTHCIAGQRRFPPPASEDEWDDDEEEDEEAEEQAKEEAEEEEEEQQEEDDEEAEDADEEDSTSSDEEVTSRKRRRHDDEAGPCRKK >cds.KYUSt_chr3.18317 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112568576:112569628:-1 gene:KYUSg_chr3.18317 transcript:KYUSt_chr3.18317 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPPGVGGDASVDAVRESDLQAQFASLRMELLQLVSDRIEEVTRPLRDEASAIKLWLARAVGSWERVEEAATGGVGRAPVSASDARLGDTELLEFYGPFSPVRRPCDSSPLGFDIFRQPLEGGSGLAPFSPDAVDDKVASPVGPQSPISDDVEGFGLDEFFVEASVPLSVEHSRLEASAFEPVDVVDVLAFPLVPSVEDPEVADSTKLCDFLANLASKKRALMPPLGESLEEIPAACVVVPENVPAEDIQVDPGDPAAVKLNAFLSSVFRPVPPPILASPPSRRPRAPKEVATTPRRSGRIEKQKQLRKDVTSQELLARVLGILKENAEFDDNALAACGDPAYHCMV >cds.KYUSt_chr7.17604 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109065128:109065370:1 gene:KYUSg_chr7.17604 transcript:KYUSt_chr7.17604 gene_biotype:protein_coding transcript_biotype:protein_coding MRETLDKVVGAHKAVLFMKGTEDFPQCCFSHTVLLLQILRSQGGAGFILLAIFSDVPFSFQILRSQGVLHFTLEYQVDAE >cds.KYUSt_chr4.19288 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121159301:121160475:1 gene:KYUSg_chr4.19288 transcript:KYUSt_chr4.19288 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPQLTVPTDAELLQAQADLWRHSLYYMTSMAFQCAVKLGIPTAIHGLGGAASLPDLVAALSLPPAKLPYLRRIMRLLATSGVFAATDVEVYRLTPISYLLLDGVAVVDGHPSQTAVVLAATSRHCVEAALGLTDWFRKDVAGSPFEDLHGVALFDGSMAEAEPEIDAVFNEALEAHDNSGFLAVLQECGGTLFQGLESLTDCGGGNGTTARAIVEAFPQIKCTVLDLPRVIDNVPADGVVNYVAGDMFNLVPPAQAVLVKLVLHHWSDEDCVKILAQCKKAVPSREEGGKVIVIDIVVDSSSRHTHEAELLMDVAMMVLTNGRQRDESDWGEIFTKAGFSGYTIVKKLGARGVFEAYP >cds.KYUSt_chr7.30833 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192012518:192013801:-1 gene:KYUSg_chr7.30833 transcript:KYUSt_chr7.30833 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGATAVDQAEGLPPPKTLVDWALQILDTADPDEKARLGDLAATQWLRGAIPLPYDPAQSSRALPDRPARSDAVRLLPPSRMPKLGKGGSAQSRLAMLHSLAHTESWAVDLSWDIVARFGAQLQMPREFFDDFARVAQDEGRHFVVLSARLRELGSHYGTLPAHDGLWDSAMSTSHCLLARLAVEHCVHEDTDPYSNAKIRSTLVEPTGVDISGRQGWKEVRDEDHVEIGKSLRIMSRSGKSSGKVRGRSDKDG >cds.KYUSt_chr2.31281 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193112669:193113763:1 gene:KYUSg_chr2.31281 transcript:KYUSt_chr2.31281 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLSLALAASLATLLSASASQPGIGFGYYKETCPAAEQIVFRETTRIVRASPDLAASLLRLHYHDCFVQGCDASVLLDSTDGTPAEKDAKPNESLRGFDAVARVKDKLEKACPATVSCADLLALMARDAVVLSKGPSWPVALGRRDGRTSSAGDCGQLPPLYGNITVMIEVFAAKGLDVKDLAVLSAAHTLGKAHCSSFADRLYNGSAPSTDPTLERRYADRLRMRCPGPGDSSAAMSEMDAGSCSTFDTSYYRQVARGRGLLRSDAGLMEHPVTGAYVRRAAAGRYEGHFFQDFRDSMAKMGAIGVLSGNQGEIRTKCNLVN >cds.KYUSt_chr5.13261 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86524015:86524590:-1 gene:KYUSg_chr5.13261 transcript:KYUSt_chr5.13261 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGATAAHKPPPPPQPDQGARKLRCHHPRHAGLKSRAAPSLPTKLAEKSSAGAANRHREGASTDANTGVQGRAVGRSSASSPVQPPCRPRGHSGRQTSRSTHRAATPQTTSAATSRAAAHRQHTAHPGHPRAACRRPSQARTARRTGGALVAALPPTRSERRRIRWAAATARLTTRSGDLAPDPPPHGP >cds.KYUSt_chr6.889 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5630482:5631027:1 gene:KYUSg_chr6.889 transcript:KYUSt_chr6.889 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPDSVVDMAAVLKWLEPVLPSATGAAPTGDSRCSLGPLFALPADAASPRRRWILQWTWRRCSSGWSMCFHRWPALLPQAALDAPLVRRLCCRRMLQAPAAGATIHHCRSCKRLPLVLPWRAPELQSNTAGAARAFRRSSHRLELEVQAITAGAANALSGSCHRRALELQATSGEAAC >cds.KYUSt_chr3.8867 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51707786:51714714:1 gene:KYUSg_chr3.8867 transcript:KYUSt_chr3.8867 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAFCPLTGALLRRRIPLHHHRRRLLAITATAPEAPAPTPSHPPRAKGYFPKKNEVLELTCEALAFKGKGVCRVDGSTFVLLCDGALPGERLLARVRRLRRGAFAEAAKLKTLAPHRDAVVAPCPLAADCGGCKSQSLAYAAQIRHKHVQVRDLLVNFGKFDPTTLEGTDPGAVLKPIVPCDEIFRYRNKMEFSFGTKRWMKREWKEEKPEVAKEEELETDGYSLGLHAPGFFDKVLHVETCLLHSEPADKVLAVVQESWTDPALGLTPYDVYKHVGFLKHLMIRTGRNVGTGAPEVMVNFVTSCYTPELLMPLVDRITKIPEVVSVVNNVNTSVGNTSVGEQEYTLYGKPTITEMLRGLTFQISANSFFQTNTKQADVLYKLIEDSAHLKGDGSEIVLDLFCGTGTIGLTLARRAKHVYGYEVVPEAIADAQKNAKLNGISNATFVQGDLNKINETFGKEFPKPDIIISGVAHAAESGGIEHKDFEDMMQAEIGSSPSQVWRGIHEGIRLMQWLILSTRVLQLGTRRNSGNVFLPMDVDVIKEIPLPSRRHDDFWAWHYERKGVFSVRSAYRMLVDTRERREAWLDSRATSSNEAGIAKEWSSLWKTQVPSKIRVFLWRLAKQSLLSNELRHRRGMADDDRRWASGACDSWRHALLDCSMSRCVWALLDEEVTEHVSRSDDGDARAWVAGLIQTLKHDDLTKVLVTLWAIWHARRKAIHEQIFQSPLSVHLFVESFVSDLKKCEDLRKKKPATAPEQRTPGWIPPPRGMIKINVDAAVGKNGGRGTVAAIARTENGVFMGASTLVLPGKSSAEILEAVACREACALAKDIHVRRIMVATDCMNVVTSLAEGTLGSYAHIVREIRESKGDFDALEFRHEARISNKEAHCLARSAVYDAPGRWVWLVRPPEGFLVSITSD >cds.KYUSt_chr5.20041 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130180074:130185200:-1 gene:KYUSg_chr5.20041 transcript:KYUSt_chr5.20041 gene_biotype:protein_coding transcript_biotype:protein_coding MECVRSGALDLRCQGKVFGSVTSCGKAGCSTNLAGSAKQKDLHAKAKHLQLSASGTSSLVHRGPVLKHQRSLAVRSAAAADAYTTFDENVKGVTAHAAEEKVGVLLFNLGGPETLNDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTLRAPKSKEAYASIGGGSPLRRITDEQANALKIALKEKNLEADIYVGMRYWYPFTEEAFDQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQNIVKEDAFFAGLPISIIESWYQREGYVKSMADLIEKELTVFSNPEEVMIFFSAHGVPLTYVTDAGDPYRDQMEDCIALIMEELKSRGTLNNHTLAYQSRVGPIQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYRELALESGIENWGRVPALGCTSSFISDLADAVVEALPSASALTTRKVKGTDSDMDMRHYLTKMFFGSVLAFFLLLSPRLVSAFKNTLQ >cds.KYUSt_chr2.11644 pep primary_assembly:MPB_Lper_Kyuss_1697:2:74050022:74054397:-1 gene:KYUSg_chr2.11644 transcript:KYUSt_chr2.11644 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTESEIAGFAVGAFLLGASIAAQRVDGFIATSQRSVLTDPGRAIRVVRSSLIEAGGVFFSSLSLIASCVDSEPPIPFPVSTPSRPPVAADGREGAPRRCDETTQRPRHELLDSVPCFICFHRGMALDEPTSSSASGGTGRGFRERMNPSPTQVLGDEDEASRDGSQEPLAPAPPPPTPRGRFNGRRLLKKRRRERVRRRGKPSDLVAEGR >cds.KYUSt_contig_2486.248 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000356.1:1279080:1279593:-1 gene:KYUSg_contig_2486.248 transcript:KYUSt_contig_2486.248 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGAMNDPERLLFFDLACKTAKTAYDENPLDADNLTRWGGALLELSQMQNGPEGLKCLEVASLQTLELAIDWGFPFTVYFLNVVIIKLCVRAWVVPFVPTNT >cds.KYUSt_chr3.30985 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194417431:194422791:-1 gene:KYUSg_chr3.30985 transcript:KYUSt_chr3.30985 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRNRRPPAGSAAASAEQPPVQASYNIVPIQDVVMHGQHPSLRFPEVRAAVAALVHVADLPPPALARGWDSHRADLFDWLGLTFGFQRHNVTNQREHLVLLLANAQLRAAGTLALPRDHPPDVLHFSIAQDIRKKLLKNYDAWCAYLGQRPHVHVPRRNAGVDVRRELLYTALYLLIWGEAANLRFMPECLCYIFHYMALDLNHVIDRSVDIETGRPAIPAVCGEDAFLIRVVTPIYTVLAAEVKASHNGTKPHSAWRNYDDVNEYFWSRRVFKRLQWPLDTSRGFFVPPGKFGRIGKTGFVEQRSFWNIYRSFDRLWVMLILFFQAAMIIAWDGRAYPWQSLRFRDIQVRVLSVFITWAGLRFMQALLDAGTQYSLVSRETKRIAVRMVLKAFVAAGWTITFSVLYVRMWDQRWRDRRWSFAANTRVLNFLEAAAVFIIPQVLALALFILPWIRNFTEKTNWRILYVLTWWFQTRTFVGRGLREGLIDNIKYSSFWILLLAAKFSFSYFLQIKPMVAPTKTIFTLHDIRRNWFEFMPHTERLAVIILWVPVVLIYLMDIQIWYAVFSSLYGALIGLFSHLGEIRSVGQLRLRFQFFASAMQFNLMPEERLDRVHGGIRIKLYDAIHRLKLRYGFGRPYRKIEANQVEAKRFALIWNEIIQTFREEDIVSDKEVELLELPPVVWKIRVVRWPCLLLNNELLLALGQAKELVADDKTHWSRISSIEYRRCAVIEAYDSIRQLLLEIIEERSVEHIIINQLFLAFDNAMVDGKFSEEYRLNLLPKIHSSVITLVELLLKADKDQTKIVNTLQTLYVLAVHDFPQNRKDIEQLRREGLAPSRPTESGLLFEDAITCPGENDLSFYKQVRRLHTILTSRDSMNDIPRNPEARRRISFFSNSLFMNMPRAPTVEKMLAFSVLTPYYNEDVLYNKDQLRRENEDGISILYYLQKIYEDDWGNFLERMRREGMVKDEDIWAGKFQELRLWASYRGQTLARTVRGMMYYYRALKMLAFLDTASEIDITEGTKRLATFGSVRHENDVYPMNNGLQQRPQRRLSRGASTVSQLFKGMEDGAALMKYTYVVACQIYGNQKKGKDQRAEDILALMKKNEALRVAYVDEVRPEMGDMQYYSVLVKFDQALQKEVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEQYSYNHGSQKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGLSKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDIYRLGHRVDFFRMLSVFYTTIGFYFNTMLVVLTVYTFTWGRLYLALSGLEAGIQGSANATNNKALGAVLNQQFVIQLGIFTALPMILENSLELGFLPAVWDFFTMQMNFSSVFYTFSMGTKSHYYGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGIILTVYAVHSVIARNTLVYIVMMLSSWFLVVSWIMAPFAFNPSGFDWLKTVYDFEDFMTWIWFPGGIFSKAEHSWEVWWYEEQDHLRTTGLWGKILEIVLDLRYFFFQYGVVYQLKIADGSRSIAVYLLSWICVAVIFGVFVLMSYTRDQYAAKQHLYYRVVQCAIIILGVLVLILFMEFTEFQIIDIFTGLLAFIPTGWGLISIAQVIRPFIESTVVWGSVISVARLYEILLGVIVMAPVALLSWLPGFQEMQTRVLFNEGFSRGLQISRILAGKKTNVA >cds.KYUSt_chr2.32866 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202676081:202677474:-1 gene:KYUSg_chr2.32866 transcript:KYUSt_chr2.32866 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRHKKTIAGNAKKRKENASTTTTTSTALMPVGSLMSDDMAIEVLLRLPPKAVTRFRCVSPAWRDLISSNGFKQQYHDAAAVAAAAANAVPRFVFVPADPESNERRCDTPSWCSIVKAYPAHSPGVATAGKPCLGVFLLGMPSNGLFFLCNPSTGGLLRLPPRRPACYIHSASLGYHAATGEHKVLLLEHAEGQSVSAPNLQGHVLTVGARRRSWRAPRGKRSAAIRDVVVPTGTDPVFADGCLHFMLSPKYWLRDEPQGILSFSLGGESFSRIPPPPFAAADAVKYSKDHLKPDPDAAEDSQEVKAPAGTTLAELDGSLCMVRDRRTDAMDTLEVWKLHAGSTGSWSLDYTIDLVSDLLKLPRVVVPLCYIMDDGETRKILLATTLRKAHLYDPSTKKLHTVASNAKGAPMCPVFYQDSIVSMAGMEYM >cds.KYUSt_chr6.11455 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71085183:71085830:1 gene:KYUSg_chr6.11455 transcript:KYUSt_chr6.11455 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVTPPIVAILASEDIEGLELPLKIICDLSSDADVKSHLISLGIISKLVPILTEGSFVECCLKILWNLCDVEEAMVLITRTDRCLASIADHLETGSPKERELAVIILLAICSRSVEDCSHVMKEGVIPALVDSSVNGTDEAKSCSLKLLNLLRDMRQSDQCSNSCSQEVAVADAVEDPPESPVPVRKQQISKSSGFFPRKLNIFSKTRSVTLF >cds.KYUSt_chr7.35780 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223477067:223481150:1 gene:KYUSg_chr7.35780 transcript:KYUSt_chr7.35780 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPSMGKRQHAETAEPAMPPPPPLVPSAAAVKMEAEDVGYDDHGPFGKRARAALPSPPPQQDMYQNVLDEPSPLGLRLKKSPSLLDLIQMRLSQANSNAGQSSTDDCSAEPSKKKDLKSGASSAGERLKASNFPASALKIGTWEYISRYEGDLVAKCYFAKHKLVWEVLESGLKSKIEIQWSDITALKVTCPENGVGSLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASLNRRHFLQCPSTLLGKNFEKLVQCDQRLNQLSQQPDIILDSPVFETRCSIFEDPVELKCHDFANLKDEHGDLPGFSGSVSPCAGSSMSAKNEANDCFGKQPAFIAQTVNPGAGASAVNVHAVSRNGVAQEFNIPNWWSQLKVPGLRPSMSVDDLVSHLGNCINEQITSGNPSMTNNEVPTKESLEEIAQYLLGDTQGPQAPASDERLMARVDSLCCLLQKDTVPTAKPKPEPNDSGSLGGEDSDGSDDEFSSASTRKTTDASHPPAMSRKDSFGDLLMNLPRIASIPQFLFKIPEDSEN >cds.KYUSt_chr4.47901 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296606846:296609006:-1 gene:KYUSg_chr4.47901 transcript:KYUSt_chr4.47901 gene_biotype:protein_coding transcript_biotype:protein_coding MIACDLKSLSVAAKPKPEILNPDLNQPEIDQAGIDVAVSNMYSEMKQDNTICWTYSGHKDYFQIKEETEDERSERELILKKERVVNHQVMTQMTLVTEACNLQGPVLGLSFNELHPHWIGTAALGEQICVHDLYHPIQLSRKKLEVWDMRNTMNPHDAYGSSGVVSLSWNRSGLLLASHQNGSLTCYDVYQKKMLEEKKFVHSDLGSIWSHSDDVAASLSSKTGVKLYEIGG >cds.KYUSt_chr1.9088 pep primary_assembly:MPB_Lper_Kyuss_1697:1:55653177:55657497:1 gene:KYUSg_chr1.9088 transcript:KYUSt_chr1.9088 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPFVYLRPSDDGTRQGALLMAAFDGNLGCLKGAVKSLLKENGDPGTIFSLNRDGLNVLHLAASQGHLEMCKYLVEDLGGDVNAPGVLNGAGGATPLMISAQSGDVSMVKYFLDHGADPMKADVKGCCKITEFLLSKGVPVDIDCGCGTPLCDAAINERDKTMKILLDHHANPNIIVNDMGYPLLNALLYRSLKCMKLLIKAGADVNGKGSRITPLGFATGFEGYTNFIQFLLKAGADPNIPDDLGRLPIERAAVRDCKEEVEMLFPLTYPIPNVRNWSIEGVISHAKIQAKKPL >cds.KYUSt_chr2.11195 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71058671:71059129:1 gene:KYUSg_chr2.11195 transcript:KYUSt_chr2.11195 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAKLAVLLAILFAAVGGVSGLEAVAPAPAPLVARLNAAFAGLEGSVQQPADGAVGWMMECWSAVTELRSCTNEIVLFFLSGDSFLGRDCCLVIRTITRHCWPTMLASVGFTAEEAGILRGFCDAEVGGAHAPPATPSVPPTAPAPAQAR >cds.KYUSt_chr1.36645 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223625139:223629197:1 gene:KYUSg_chr1.36645 transcript:KYUSt_chr1.36645 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFHNLLGAPYRGGDAVFAGDSSVLLSAVGNRVATTDLAASSSLTLPFESSSNITRLATSPSGDFLLASDDRGRALYANLSRRAVLHRISFKEAPSAARFSPDGQLIAVAVGKLVQIWRSPNFRKEFFPFHLLRTFPGFAAGVTSFDWSPDSAFLLASCKDLTARLLPVKRGLGNKPFLFLGHRAPVIGAFFATDNKTGTVHGVYTVSKDGAIFTWKLVEGDDATSPPSPGTPEQDSEQPELNNGSKKRKSSGEEPSTTPLHLAKWELQKKDFFMQSPAKLTACDYHRELDMVVVGFSNGVFGLYQMPDFVCHHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLIATGADDNKVKVWTASTGFCFITFSEHTNAVTAVHFMANNHSLLSASLDGTIRAWDLFRYRNFKTFTTPSPRQFVSLTADQSGEIICAGTLDSFEIYVWSMKTGRLLDVLSGHQGPVHGLMFSPISAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLINFWDPFDGLLMYTIEGRRDISGGRLMTDRRSAANTSIGKYFTTLCYSADGSYILAGGNSKYICMYDIGEQVLLRRFQITRNLSLDGVLDFLNSKNMTDAGPLDLIDDEDSDVEDGIDQQTRGNMGHGLPGSMANRGRPLARTKCVKFAPTGRSFAAATTDGVLLYSVDESFIFDPTDLDIDVTPEKVEEALAENQQQRALLLSLRLNEDSLIKKCVFAVDPSNVRAICSSVPFKYLQRLIDAFADLLESCPHLEFILLWAQELCKVDGTYIQQNSRTLLPALKSLQKSITKIHQDLADTCSSNEYMLKYLCAAGTK >cds.KYUSt_chr4.46973 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290653529:290655060:1 gene:KYUSg_chr4.46973 transcript:KYUSt_chr4.46973 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHEAPIDGDGRRRPHARRVLVFPLPFQGHINPMMQLADVLHSRGLGVTVLHTRFNALDPAFHPEFTFVAVPDGIPADVAATGSIISIILAMNAAMEASGAVHDVLSSVLADEPAACLFIDANLLAVQKAATALGLPTMVLRTGSAACFSCFLAYPMLHEKGYLPPKESQLCTPVEELPPLRVKDLFLSSSNNHEMVRKVLARATETVRNSHGLVINTFEALETAELDRIRREVDVAVVLAVGPLHKLSTRGTGSSLLQQDRSCIEWLDRQAAGSVLYVSFGSLASVDSGELSEVAWGLANSGQPFLWVVRPDLVRGSDGTGLPEGFDRAVDGRGKVIPWAPQQEVLAHPAVGGFWTHNGWNSTLESITEGLPMICRPQFADQMMNTRYVETEWSVGFELEGELERNKIEEAIRNLMSHGDVMRESARELKKKVATCLESDGSSVLAIDKLIDYISSL >cds.KYUSt_chr7.9912 pep primary_assembly:MPB_Lper_Kyuss_1697:7:60661556:60663076:1 gene:KYUSg_chr7.9912 transcript:KYUSt_chr7.9912 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPLLLLLLLLPLIPLVAVVLHASPIKATLGSVKSGLASVLSAVWKQQPAIILVTDLATAHRLLVRGATAGSGSGSFSNRPPSISPSAILSRRRYQNITSAPYGQHWRAMRHNLTSEILHPARLHRYAAARRRAVRGLVHDLDEQRRLSGAVQAGKSIHYAMFGLVAAMCFGDGLDGGRVRAMADEQRDLGKSLDAALVFANAKFLAVTRLIYRKKWKKLAALRQKQEETFLPLIDSRRGQSSEPPAYVDTLIDLEVPDDAPGASDARRRRRLSDGELVGMCSEFLGTGTESTASALQWTMANLVKRPHLQEAVRREIDAAVAADAEEVGEDVLVKLEYLNAVIMEALRLHPPTSWVFRQVMEEDHVVHNGQHVPAGTRVFFQLGALARDSAAWDDPDEFKPERFLAGSKGEQLVLAAAGGGDIKMMPFGGGRRMCPARDIAMLHIRYFAANLVREFHWREAEGDLAVDLEPQAEMIFSAMKRPLRAHLDLGRPGVKTTQGTHRL >cds.KYUSt_chr1.23289 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138239690:138244677:-1 gene:KYUSg_chr1.23289 transcript:KYUSt_chr1.23289 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGDGSAHRLKTRRVDSRHIPSSSSILCPPSATTAHVFPPRTIQPPLAVAVPEDDVEAGGRGEDEVPPPPSSVLLLHILQPTNTAVLVRLHPDVGPSSERSCRLERSCGSAAGRNQGCAQGQPPPPLVFFLQPPHQQSIRHEGRRTPRQEYQGRNRGRARPAAATAAARVHERRGGGEELGIGGEKERGGGLLERDIFDLFLPEFDKPWVIHLRETCCCSTNLCSWRPNTVYKNRSTRRHHRSHRIPEEVFCRIPGKEREPAPRPCEIVVFAAHFERGFGLPASDFFRSLLDFYELQPHHLHGNAIFYLSAFISFMEGFVGLLPTVDTFAHFYNLRINSIQDKKKLPNPKPIVQCGACILTPRQGSPFYKFTVLESCRAWQESFFYVRKSGSLDFINLAPYVPGTPSRANWRFNPKEGHVETSRIVWYIKELNDTTGICSDDIMRAFVSRRVLPLQRRAHKISQMSGWKDPTRITTFGLSRSDVRRFPRILAEEPESFTPKRLYLDDKDPDPYVVGNVHKMGPVHSRRPGNFSAMNPANASDTDDDDVVVLEVMDHVTPLAAEVGDPPAPRVRKTPPSDAGPNTEPAPKRHKKSSSGPPGRKRKHEIPVSSRATLELTRSAYGMKPEATKDAGKATPPPQQSPAHSGAGKAHSSPQGGKTSSGRAAPKSKDHHAEDFTSPPEYEDTGASNMGVGSEETGWSEPLVPPVLEKNKQASAASPSKTPSTAPPPSSSPAKDAPAPTPASVSKPPPVARKSSRKGAEVTAEQLSSAVTAVAAPASGSQGQALVLHTGPATVTASEKVSAQLGLIVELNRGDTNLDSLQRYVDQWNLSDLTEATRGVGKDKQVIIDPRSPRNSVQHLGRLKQAVPELDNAWHDAHNNVLVSFSLELQLFPSYRCRFSTLELVDMSS >cds.KYUSt_chr3.18558 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114159221:114167595:1 gene:KYUSg_chr3.18558 transcript:KYUSt_chr3.18558 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRPSAPPPHLRLLLRRLLSTAPAPSRLLPGPSPSARLLFRPRVVAAAAAVPQRNGVAARAFMSSTAASDAMQEKRVAGEYTAANVQVLEALDGVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGYATEINVVLHEDNSVSITDNGRGIPTDIHPQTKKSCVETVLTLMHAGGKFGGSTSSYSVAGGLHGVGLSVVNALSEALEVTVCRDGKEYRQSYSRGKPLTSLSSTRLPDESSSRQGSCIRFWPDKEIFTTTIEFDFNTISSRIRELAFLNPELRITLTKEEDDAQVQHNEYCYAGGLVEYVAWLNTDKKPLHDPIAFRKELDGIIVDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIEGLKTSLTRTINNLAKKSKTIKDKDISLSGEHVREGMTCIISVKVPNPEFEGQTKTRLGNPEVRKIVEQSVQENLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASSDPAESEIFIVEGDSAGGSAKQGRDRKFQAILPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGEDFKKEALRYHKIVILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQVHYCYDEADLKELVNTFPTNASYNTQRFKGLGEMMPLQLWETTMDPERRLLKQLTVDDAAEANIVFSSLMGSRVEYRKELIQKAASMVNLEHLDI >cds.KYUSt_chr7.22855 pep primary_assembly:MPB_Lper_Kyuss_1697:7:141842842:141847863:1 gene:KYUSg_chr7.22855 transcript:KYUSt_chr7.22855 gene_biotype:protein_coding transcript_biotype:protein_coding VRKPYTITKQRERWTEAEHKRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNCPYPRKGCLSSETPTREVSNDKPTKSNVSLSNSNIQTESNGTLQLDCIQKLQRMELSENGNCSEVLNLFQEAPSASFSSVSKRSSNHGASRAIELTKTEIKDMATMERNIISIDVEKDVKDNNDQQMESLNRVQTCSKYDHSHEDCRDNSRQHMKLKPKTVETSYMNQHAVSAPQCQMNKTGATDIPVPGTEGSHPDQTNDQVGGANGSINGIRPTLPVDPKLNISSAAQPFPHNYAAFAPTIQGHCNQDAYRSSFDMSSTFSSMLVSTLLSNPAVHAAARLAASYWPAADINTHILPNEENLTENAQGRHIGSTPSMASIVAATVAAASAWWATQGLLPLFAPPMAFPFVPVPSASFPTTDVQRASVNCPVDSSSKECQVAQEQDQPEAMMVVASSGSGEDGKAEVSLHTELEISPADKAETTPATGAETSDAFGNKKDRSSCGSNTPSSSDVEAEHVPEKEDQANDKTQQASCSNSSAGDINHRRFRSIASTSDSWKEVSEEGRMAFDALFSRGKLPQSFSPLQAEGSKAVAKEGQDEAATVTVDLNKSPTIIDLEFETSVGPKASFPIELTHLNMKSRRTGFKPYKRCSVEAKENRVPAGDEVGTKRIRLDSEAST >cds.KYUSt_chr1.19554 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114937832:114946188:-1 gene:KYUSg_chr1.19554 transcript:KYUSt_chr1.19554 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGGASPRPAPPKRSASPTTTTTSTATKLPVRASSSSLAMPPPRLAHLRRLLSLRSPPPHPLAPSPVRPLPLPLPRAMAGAAHAGVATGSAEYEEVLRCLSSLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLIDVRERFRLDGLDISEEKFIRYFWWCWNKLKVKTGDDIPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVKAPVVCGISSLGYDHMEILGNTLGEIAREKAGILKKGIPAYTVPQPAEAMSVLKQRASELGVSIRVVPPLDPRQLEDQPLGLHGEHQYMNAGLAVALANTWLERQGHLDRIHVKDHGTLPDQFIKGLSIACLHGRAQIVPDPQVNSEYKDTRCPLVFYLDGAHSPESMEICAKWFTHVTEKDITQPGPLEQPRSSGNSKKILLFNCMSVRDPQRLLPRLLDTCAQKGLHFDQALFVPNQSQYAKVGSHASPPSGREQIDLSWQLSLQTVWENLLHGEKGLNGPSSSGTSLVFESLPSAIKWLRKTSRENQSTSCQIESRRQNIKMTFSGTQDKCKACDKTVHFIDLLTVDSIPYHKSCFKCSHCKGTLSMCSYSSMDGVLYCKTHFEQLFKETGTFNKNFPTCAKANNEQSKVPNKLSSVFCGTQDKCAACKKTVYPLEKMTLEGEPYHKTCFKCAHGGCLLTTASYASLNGILYCQHHFWQLFKETGSYDNLLKPAASAKNNDEPEATKEEVSLEEQAPEAVADQEHS >cds.KYUSt_chr3.9290 pep primary_assembly:MPB_Lper_Kyuss_1697:3:54416615:54419150:-1 gene:KYUSg_chr3.9290 transcript:KYUSt_chr3.9290 gene_biotype:protein_coding transcript_biotype:protein_coding METEQSTDEQTKRREGKSDIRNRQEEVGSLQEEGIDVQRRRTAIGNHKEEVEALQGGIDGERRNGKPAIRNHKEAKMSDAEVEQEVQRLWEQFVIATKKVGTKSGEEEEERRRIWLSHPAECRRGKEKVGEAERMNQQTIKEKEEVVGEAAKPRTIKEMPLQGTKASSKQQLRATGKKKDLNSDLEYDDSDPLAVEAASFRRHWKFVWTGRFGSYEDISKSFRPSSCTHSPHDLHGKKREPGHSPLEPMETLQVFSVKIVETAQSLPWPLDVFGMVVARDVLDHNRNIIFHRTGDNCQTITAENPSRAIVVLDPVHFEVMLQVKGSAQSEDKVISFLVVKYDGYGKESRVLKRLCPSKLSTVELTFGSIVRSVEATISVQVVAGSWPDGFRGEFTASTTSLDDMKIQLLDFGDGKFPVSADGIVKLSRRVVSVELKGELKGELIVSVKAVGDQAVEWRDSKVFRAQKSSRRKADVEVGSCKMKVTVAWSLLSDRQYFGGGSAPEELLDL >cds.KYUSt_chr2.36985 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228807239:228808405:-1 gene:KYUSg_chr2.36985 transcript:KYUSt_chr2.36985 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCVLTHLLSSPSASPIPSSLHRLISAAAPAVSSNPGFAVEDYLVATCGLTRAQALKASTKLSHVKSPSKPDAVLAFLAGLGISSADVADLVARDPLLLCTSVDKTLDPNVVDLTGLGFSVSEIARLVSIGRAGFRRRSIVSKLHYYLPLFVSIQNLLRALKFNDNILKYGLERSQRATKLNLAVLRECGLGDCDIAKVCIAVPWMLTANVEHVRATVASADGVGVRRESRMFRHALHAAACLGKEKIAAKVEFMKKTFRWSDAEVGIAVSKLPSVLLRSTEALQSRSEFLISELGLEPAYIAHRPAMLSYNLERRLRPRYYVVKFLKENELLERERDYYSTVTISDKVFMDKFIHPHKEAAPHLAEDYATVCSGEVPARFLFA >cds.KYUSt_chr1.22583 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133551708:133552049:1 gene:KYUSg_chr1.22583 transcript:KYUSt_chr1.22583 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRAAPKGCVTVRVGAEGEEQRRFAVPLGHLSHPLFAALLEEAEREYGFRHQGAIAIPCRVDRFVHVEQLIDRDLVDHHLHLVDLDNCGATLTAGHSNSHIQLPRFVGCFRD >cds.KYUSt_chr3.26929 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168023548:168025576:-1 gene:KYUSg_chr3.26929 transcript:KYUSt_chr3.26929 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGERVCRDAHGKAADAKPVAWSSGFRQLGRFWNAGLEHAELGFTTVEKLGLPFVPPYLEQSMRMGVCGVGLSNIDGMIQGVNYASAAAGILSNSGSELNLYNINVRKIVLMGLPPVGCAPHFLSDYGSQNGECIDYINNVVIEFNYGLRYMSSEFIRQ >cds.KYUSt_chr6.32009 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202251093:202251962:-1 gene:KYUSg_chr6.32009 transcript:KYUSt_chr6.32009 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAAVLAVALLLIAWQPSLGKAVATQSTALPPPDEQDDDAPRYSQPSPPDEQEDNPSWPGLPLLPSPPPPEESDAPVAPLPGSQPPPPEPETPAPAAPPPPRPRRAHLPPRQDDPVEPETPDPPHQRPAPLPPKEPTPPRTVVPPQEPGWAAVPLPLPPTPAPGRALNYSATGWTTMLVFGDSTVDPGNNNRLQTVMRANFLPYGASFLGGRPTGRFSNGRLITDILGTDTHSAFPCICFTARVKSGMHNAKLGFKANRAEELWFELQANDGGDSVQLNGWCFWSEG >cds.KYUSt_contig_959.52 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000088.1:319459:322839:1 gene:KYUSg_contig_959.52 transcript:KYUSt_contig_959.52 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSTDRRRGNPAASSSRGVWRPRSAAPAAAPDHHPIPTYVAPQHDNTAPILPLPSPASDARPSRRRQRRPNPNPNPNYNNLRQTPPQERPPSNHNNSHRRARAQERAPPLNTSAPAPADAPAPAPPAAVPQLVQEIQDKLARGAVECMICYDMVRRSAPVWSCGSCFSIFHLPCIRKWARAPASVSGASDPSAAWRCPGCQSVHDVPARDIAYTCFCGRRRDPPSDHFLTPHSCGEPCSKPLGTAAKAASPDDDDATRCPHVCVLQCHPGPCPPCKAFAPERPCPCGKQSIVRRCADRTTPVTCGQQCQQLLPCGRHRCEKVCHTGPCGDCQVNFSAQCFCGKKTDTLLCGEMAVKGELSEKDGVFSCGEFCGNSLACGNHGCQDVCHPGPCGECELVPGKVTTCHCGKTGLQEKRASCLDPIPTCDKVCDKKLPCGVHRCKVTCHEGKCPPCLARVEQRCRCGSSSRMVECYMVSMEEFRCNKPCGRKKNCGRHRCSEWCCPMSKPFAQHEGDNLDPHFCQIPCGKRLRCGQHGCQHLCHSGHCDPCRETIFNDLSCACGRTSIPPPQPCGTPTPSCPHQCMVPQPCGHPASHQCHFGDCPPCVVPVMRECTGGHVMLRNIPCGSKDIRCNQPCGKNRQCGLHACARTCHPSPCDPPPANGDARSSSGSKVSCGQLCGVPRKECKHTCNSPCHPSSPCPDVRCEVRVSITCSCGRIASTVPCSAGGSDNMFDISIIQQLPMPLQPVESNGKRVPLGQRKFCCDEECAKVERKRVLADAFDITPPNLDALHFGENSNASELLSDLFRREPKWVLAIEERCKFLVLGKTRGNSSSNIKVHVFCHMTKDKRDAVRLIADRWKLSVQAAGWEPKRFVTIHVTPKSKAPARVLGSKAGIPVSASHPYFDPMVDMDPRLVIAMLDLPREANVSSLVLRFGGECELVWLNDKNALAVFSDPARAATALRRLDYGSAYQGAAMFCPSSTTQASSSGNVWVGAQRDGVSAAKTSANPWKKAGASESDPSGDWTVLGHSPGTSVLGQAPGSVWRHADIPGQVMGTNRWNALESPSATSSGPTDKRKPLPRTDAGSSSTSVPRVGAGSSAAQSAGQAVPKLQPDFEVEDWEESCE >cds.KYUSt_chr1.3182 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19271626:19272880:-1 gene:KYUSg_chr1.3182 transcript:KYUSt_chr1.3182 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALRRALPPLASPAASLLRRAPAPAPRPLRPLPLLDPIGPRPFSAAAARALEMGASLFKGLTETRFPKRRPGFESRRKRASLRPKGPHFWVMCKPGEPIPSSQPNKGSLKGRNEKKRIKQRKDFIMAEKRKRKAQYSVAVKRKEAERTERKMAAVARERAWVERLAELQQIEAEKKAATA >cds.KYUSt_chr3.8396 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48521377:48522544:-1 gene:KYUSg_chr3.8396 transcript:KYUSt_chr3.8396 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPGTWPVIATVLLMRGLFAAFPAAASVDVGDLLLMDRFHQWQATHNRSYLSAEERLRRFEVYRSNVEYIEATNRRGDLTYELGENQFADLTEAEFLARYGSSYDGSARTNWDITMTAAGGDAGSLWSSGGGDNSLEAPLPPSVDWRAKGAVTPARTQGSGCASCWAFATVATIESLNWIKTGKLVPLSEQQLVDCDQYDGGCNRGYYHRAMKWIMENGGLTTAAEYPYKAARGACKRAKPAVNIKGHLAVPPNEAALQSAVARQPIGVAIEIGSGMMFYKSGVYSGTCGTRLEHAITAVGYGTDPAGTKYWIVKNSWGPGWGENGFIRMKRDVGGSGLCGIALDTAYPTM >cds.KYUSt_chr3.35843 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225281407:225282960:-1 gene:KYUSg_chr3.35843 transcript:KYUSt_chr3.35843 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVGTDLSRDRISNLADSTLVTILSRLCMDEAARCSILSSRWRHLFPCTLLNFRAFTRSGRNVVKAVTSILAAYPDKPVLSLRTGMLFFRPQDQTAVDVWLRDLSNRGIEELSLWFGFDEKRRPIPESLFACNSLRRLHVINGTFPDTTEAAAASLARLTKIELSDVRISQNSINSLLSQCTVLEHLTIKFTGRLDSLRLRSRSLKVLNSTGDFEKLVIDDAPNLERVVDKLMNQRKVHIEVVYAPKLEFLGYVGMSNEIGIGDTISKGEIMRVETLMPRIKTLAVEMSYMKEGYTTWFMQLLRLFPHMETLYITRDSSYMVEFTAPGSWEMRRPIPCIMNHLEKVVFEVFRGHKWEMEMAKFFHRRSDFLKTMEFHCMDDKSKEDYGGPPSEQWVRKQKKLLCLDGRAAGDALFQFFKRPLAEDHHEHCDDERYQRYYYRDMYDV >cds.KYUSt_scaffold_869.327 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:2056864:2064090:-1 gene:KYUSg_scaffold_869.327 transcript:KYUSt_scaffold_869.327 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPPRSSAGMAACGGTSSKRVELDAGLPPSVHDEWLRLMNQPKAYTKFRDFNIASMKGDLNVNSVSLLLIAAKFSPYHIDPSFMVDGSFPPQEYVADADPTCQIIPSPYYIPAVLPYAQDGVLGNTATPLHPSSVAFIPSMPVYAATSTNHLLPSVAPVAPKSDLVVNPPVQSTIVSSKQFQNHAVLPMVQLQNPLPVKQELANGLMASAKPPHTSQKWAAAEKFQPTSKPSGHLNAHAIVAKSYTSKLAVANSDGTILIRPEQYNGSDVRVDYPYAKFFVIKSIGEADVHKSIKYGVWSSSSSGNGKLDCAFRDADKIARRNNTKCPVYLFFSVNGSGHFCGMAEMVGPVDFHKDMDFWCQDKWTGCFPIPYIPGISMVKILKDIKVKECLFDDFMRYEEDEARIKQHRWSKLSHNAPDFVPVSQRRRDASEAPQPKLGSVLIDRTSEIQNVSDKPQECNGTKHQDPCADAAEKQVGGEAGKENGHQESRCTGKQGNEKAPKSLASQPQTSTLKTSLDGKQQYWKKVEPPRVNPDGAAVQGSSKVAEKHVNGVNASSAVVALETPDEETISTKVGSLTVSGKARKADDESRLVDVVTIGSLPIRVSKSVE >cds.KYUSt_chr3.29475 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184521561:184524238:1 gene:KYUSg_chr3.29475 transcript:KYUSt_chr3.29475 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKVCAVAAGEAHTLALTCNDARRLARRILSGYRRNLGSEACSFVWLAGLAGNGEVYSWGRGTFGRLGTGREADVHVPTAVVPAAAVGGAGQRPRFAAVAAGAYHSLALDAGGMMSFAIDSLGALWMWGNCPQQSDDGQFCIAASSVPLPVWDFHGHSVVKVACGNEHVVAAVSAGETYTGGDLVCYSWGNNNHGQLGLGDKESRSRPVLISEFSEGSAWEVYEIACGAWHTAVLTNKKSFDQDLESRCWTFGIGDNGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTAVVSSDGEVWCWGMERGLGLCPDASFSGIDAGDALYPIRVQSPETNGFKFLGPVQVACGAAHTVLVAGEGYRIWAWGRGRSGVLGRDQTTDSYTPCVVMWPPLDENFQEIHEDQAQASTSRVNDRTNTELEQKLSAASEELQFLRSKLTLMERYANILHISIFRKPMDERTLPRSLQESAVFDIRKEFENILDSSDTDELARLEMFYRSMLSGVKDKLLKRKVQQMVQECIVSLSAGRQTQRGQ >cds.KYUSt_contig_2073.38 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:216922:222696:1 gene:KYUSg_contig_2073.38 transcript:KYUSt_contig_2073.38 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSIPPLSLLALLLSVALQSDANAFASPTGEETIPFALSSNVGFDLAFCVPLYSPPRGFLVADNIVKQLSSVVKWPRGSASPNSPKQSPHSQYDGNVAVQFESGYFVETLVEGDLLGVTPHTIRVSPIEGGELLAVDSAHSNIVRITPPLSEYSRGRLVAGSFQGHAGHIDGKPIDARFKRPTGVAVDDTGNVYIADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDAALLSSDIILVIGAVVAGYVFSVVQHGFGPSSSEKMEAPEDGKQESSTIGKPPLVVESLKEEPSAGWPSFGTLVADLLKLSVEGVGSLLLNIVPLRLQHMKRKTGLTPLKDRLVMPEDGEQAPAAQKLSNTPMRPETLHAPNADGETAAKGQKIVKPSKFRDSTLSSKHRSTKRQEYAEFYGSAETPQASAKVPKDRLRHRSSHREKSSEVGYGTGRPEPRAAEVKPAEYSDPKYEQYNMRSKYGADSGGFRY >cds.KYUSt_chr2.24105 pep primary_assembly:MPB_Lper_Kyuss_1697:2:147095858:147097443:1 gene:KYUSg_chr2.24105 transcript:KYUSt_chr2.24105 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPVVWVNGPIVVGAGPAGLSVAACLRERGVPSVVLDRADCVASLWQYGTYDRLRLHLPRHFCELPGMPFPDSYPEYPTKRQFISYLEAYAAHFGVHPRFNTSVTSARYDDDAGLWRVHAENGGVVTEYIGRWLVVATGENAEHVLPEIDGIKDFCGRVSHVSEYKSGAPYSGKHVIVVGCGNSGMEVCLDLCDHNALPAMVARDSVHVLPREILGVATFSVAVFLRRFLPLWLVDRTLVLLSWLLLGNLEKLGIRRPSRGPLELKNTRGRTPVLDIGALARIRSGDIQVVPGIRRFFCDGAELVDGRRVTADAVIMATGYHSNVPQWLKGCDFFTQEGYPRVPFPDGWKGQSGLYSVGFTRRGLSGVSLDAVKVAQDIAMAWNNQTATTC >cds.KYUSt_chr7.14088 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87116822:87117464:-1 gene:KYUSg_chr7.14088 transcript:KYUSt_chr7.14088 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDLAVPCSDQRFEVKDLPRTPSLAVISFPFSARAGRLGNLRLLYSSQSPSRGLISSPWSGAAASRNRRRRPLLRPPLGNLHFPLLTLSRRHPAKHGGRRAARDDEDISPRIYLHLGPLVFLAATSPLMVLRVKSFDFYSLLVFMVRTLC >cds.KYUSt_chr3.12905 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77410045:77412364:1 gene:KYUSg_chr3.12905 transcript:KYUSt_chr3.12905 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAILVLAAMAQVSYCDGSAMDYGKALSKSILFLEAQRSGVLPGNQRISWRANSGLLDGKANGVDLTGGYYDAGDNVKFGLPMAFTVTMMSWSVLEYGEQMGAAGELGHAMEAIKWGTDYFVKAHPEPNVLYGEVGDGDTDHNCWQRPEDMTTSRQAYRLDTQHPGSDLAGETAAAMAAASLVFRRSNPGYANQLLQHSKQLFDFADKYRGRYDASIPVARNYYGSISGYGDELLWAAAWLFHATGEGQYLDYLANHADALGGTGWSTSEFGWDIKYPGVQVLAAKILLQGKAGAHAAVLRRYRQKADFFACSCLGKQWGSGDVQRTPGGLMYHQKWNNLQFVTSASFLLAAYSDSLASAHVQCSSGSAAPSELLAFAKSQVDYILGSNPRGTSYMVGYGNVYPREAHHRGASIVSVKSNPAFVSCHDGYSSWYGRKGSNPNLLDGAIVGGPDEHDNFSDERNNYEQTEATTYNSAPFMGVLARLAAGHGGGGRFGRSLSDELATAAVERDNQTSILSAADVEDKHASLMVIEQKATRSWTEKGRTYRRYVATVTNRSPNKTAHELHIGIAKLYGPVWGLDKARYGYVLPGAAPSVPAGGSVVFVYVHAAPPANVWVTGYKLV >cds.KYUSt_chr7.4053 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24288015:24290453:-1 gene:KYUSg_chr7.4053 transcript:KYUSt_chr7.4053 gene_biotype:protein_coding transcript_biotype:protein_coding MLETCCCKELNITSSFSLGLELSRAGPGVQPPPSLEPSLQPPLPCPAIVVGRSLLLPPLPRPAAAVARALATSTAAASSLQPPPLERGGGGGRSPPSFHISLACSLASRSASSSHGTLLTFPSSRRPLMWSSQRPKTSRRAEAMVLDGALGPRPISSPVQEEGGRREEESTSKARRSTGGGEVEAEPAQRRRPGGGGDTEEKEGVEEAGQWGAARPGDGERRSG >cds.KYUSt_chr2.50979 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318902260:318909344:1 gene:KYUSg_chr2.50979 transcript:KYUSt_chr2.50979 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASSSSVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIDGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVMYPYCPPHITKPKECKKLFIVHLTEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVSSPFHVRSIKIVSDLEFKAQPKKTGNSKEGDYTDTTLGKLIIGGIPVETGNGIEAEPEPEQRHEEH >cds.KYUSt_chr4.47382 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293224871:293234370:1 gene:KYUSg_chr4.47382 transcript:KYUSt_chr4.47382 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSTSSDAMSASEGQKLDTETDEDSDLPFDLSIDSGSLTCVACGILGFPFMAILQPSQKALEEMSLVDRERFKLNCEKGNHSNVPPCSSDDGNSDYTKLKALAISIAEEIEFQFDCKDVPLANASKSDLHLINISIDDEGYNEDGRDWTSQMGLNMKYFAKLRKETPGSQEQPPLSFWKRLDTTEKPSSPISVVPNLKWLCRRTRTPYRVVGHVSSHNATVTPEKVNPAVTTKMGACGNGYENGKKQQTAEQVASLQPSRLQEADGMANMYMCSEDSDQDMHSLSDIPIALAEYPMMHQVCEGPVSIGTCELDGLISASTSDDSVCSVNSHDSPAMSDDKQNFVFQPDELTSSATTAVQQFHDNEGMTAEGSSNHENIGSYNITAECKDKQLQVQQQQENIKFCNNADRNLATEAAPSENEENHELTSVADDVVVSGELQAIHNLEMPIDSLEQVQVDTSHFGVKAMKLKNGIPTELQHGYSKSDVILLEGVRAASPKAVFGENRNSVHAGSDSLDILLGVLAEDSMLADAPGKDEVDNASLALMTLASNDHSADDVAQDEVLKTSSSCVGTSLSCQVQTLSNLATDRLFGIQNPNRENAEEIGVWNCQGLKSGRGIADISANCVAKTGENSGAPKKYQYGDQPDLVSRSIGSSKRTDIIYYVRRKRKRKRKRESELLTESSQSLGSFARSPCESLRPRSKPSVIEETVDQTETVKASAATKGKRTKVVELFQCDIESCDMTFESRADLRAHQHNICTEESCGKRFGSHKYLRRHQCVHSDERPFKCPWDGCGMTFKWLWAQTEHVRVHTGERPYKCSAPDCGQSFRQFPELTMAGFADALRPDKFTGVHFKRWPIKTTLRLTHLKVFEVSNGLPKGTIFDQDQKKFKKDNTLFVGCVLSILADRLCDVYMHIVDSKELWDALNTKFGATDAGSELYIMERFHDIMMVINRSVVEQAHEIQCIAKELALLKCALPDKFVARCIISKLPPSWRNFATTLKHKRHEISVENLIASLDFEEKARAKDTTEKGEGQPSANMVQNKPYNKSKGNNKPFFNKPMRTTTFKKKMMINKADLSCFTCGETDHFSKDCPERADRKKNVRQVNTVTASNIDGYGNLFTVLSVFQYPCWWIDTCAIVHVCANISMFTSYEIARDSSVLMGNGSHDSVRGVGTVDLKFTSGKIVQLRNVHHVLL >cds.KYUSt_chr4.2214 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12160049:12160703:-1 gene:KYUSg_chr4.2214 transcript:KYUSt_chr4.2214 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRGAGFPFSIGCMSQSAVAVADPLEKKQPPPQADPPSSSPTTTTQAAEHARPISEKRRRSVSEKRRRSVSENVAGEADGGVSEEKAKAAAAAASGIVTAGVQRLVKGIKSLSQMFAAYDGEEGEEEEEREIVIGYPTDVQHIGHIGWDGLNKVGGMGMGMDMVSAFSLPSSLSLHHVDIAMDAGAGTATTCTN >cds.KYUSt_chr6.4061 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23520217:23520828:1 gene:KYUSg_chr6.4061 transcript:KYUSt_chr6.4061 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTRLHPKACTRLHVKSGEAEGSPNADTDTETTEAAHVVVDEETLRHDLETAIEEEDYAQAARLRDELRHLREDGRSSLLAANARFYDAFRDGDLAAMHALWAKGEHVYVVHPSAGRIAGYDTVMRSWEMVCDADYEFPLRFDLQDVEVHVRGDLGYVTCLEMVKTRGSGSWGKQIATNVFEKVGGEWRMCIHHASHLDDDE >cds.KYUSt_chr1.26430 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159318672:159318822:1 gene:KYUSg_chr1.26430 transcript:KYUSt_chr1.26430 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHLLAAAAATSSSSATFRPPLRSLSSPPPSLALNRTLSLSGVLIYCV >cds.KYUSt_chr2.32834 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202483527:202486932:1 gene:KYUSg_chr2.32834 transcript:KYUSt_chr2.32834 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWSELQEGALLGHELVDALGFEAKFEVPGMVPNMEEPLVGGNVEKIGGPGESLVVIEVKKQLYLAGPLIVGSLLQNIVQMMSVMFVGHLGELSLSSASIATSFAGVTGFSLLAGMSTSLDTLCGQAFGAKQYYLLGIYKQRAILVFTPVSVVVAVIWVYTGQILLFFGQDPEIAMGAGSYIRWLIPALFVYGPLQCHVRFLQTQNIVLPVMLSSGVTALNHMLVCWLLVYKLGLGNKGAALANTISYLTNLLILALYIRISPSCKRTWTGLSMEAFRDIVSFFRLAVPSALMVCLEWWSFELLVLLSGFLPNPKLEASVLSISLNTISLVFRIPSGLSAAISTRVSNELGAGQPNAARLATQVIMVLGILSSLSVGLLMILVRNLWGYAYSNEKEVVEYISRIMPILAVTFLFDDMQCILSGIVRGCGFQKIGAYVNLSAYYLVGIPAALCFAFVYHLGGVGLWSGITCGLVVQTVLLLGITLRTNWDKEALKAKDRVFSSSLPVDLET >cds.KYUSt_chr1.30279 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183306280:183306639:-1 gene:KYUSg_chr1.30279 transcript:KYUSt_chr1.30279 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAANRAMAFALVAFGVMLLAGFLYAAVLSKALPPPDNWFLLAVRNDRYYCLLVPLTVPVIIVAVYLHWMSMKMFKHA >cds.KYUSt_chr3.17812 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109294683:109299741:-1 gene:KYUSg_chr3.17812 transcript:KYUSt_chr3.17812 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPYAAASPGDAAGGAADPDTGLEGSMWRLGLGTGGEPAAAAAGARLPERPGEANCVYYLRTGACGYGETCRYNHPRDRAAAFDGGARTARTVEYPERPGQPSCEYYMKNGSCKFGSNCKYNHPREGGSVQPVVLNSSGYPLRLGEKECSYYIKTGHCKFGSTCKFHHPQGPEPGVVSEAPNMYPPVQPLPISSSLPYPPLANWQLGRPSVLPGSYFPGSYPPMMHPSAVMPMQGWNPYMSPMNQVASAGGQQTVQAGPLYGLSHQGPPSAVAYGSNYAPLPSSTWPSSNKQEVVFPERPGQPECHHYMKTGTCKFGATCKYNHPQYLSTPRSNYMLSPLGLPIRPGAQPCVYYSQHGFCKYGPGCKFDHPLGALSYSPSASSLGDMPIAPYPSLPAAPMAPSPSSSVPRPEYILGKDSSAYQPASPGTTFGPAGPMSKVYAPHMLLRPPTSTTGATVTSHGGEL >cds.KYUSt_chr4.20301 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127671730:127672058:-1 gene:KYUSg_chr4.20301 transcript:KYUSt_chr4.20301 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGGYHPLQDWQQRYCELLRSKGKEVQLLEYPEAIQAFYVFPEFAESKDLMLRIKDFVSGTKSRGLGLMFVESAVWTIP >cds.KYUSt_chr1.7914 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48579277:48586526:1 gene:KYUSg_chr1.7914 transcript:KYUSt_chr1.7914 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAAAAAATAVAAPYPARLLLLSARPRFGGSSILPSPIPSGKLPPRLRQRCAVARSRELGHCRASASARLLRRSCYASTTMGDETSTSASAQAQEPAPLAVQGAGSVKQQISNFAALSLRATVPEVEVEPVVEVCTTKFGDYQCNNAMGLWSKVKGSGTSFKNPNAIGQAIAKNLPAGDVIESTSVAGPGFVNIVLSNSWVAKRIQNMLVNGIKTWAPVLPVKRIVLDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVHVLRRNHVGDWGTQFGMLIEFLFEKFPEWEELGSQAIGDLQLFYKASKEKFDNDAEFKDRAQQAVVRLQGGEEKYRSAWKKICEISRNEFDQVYKLLDVELEEKGESFYNPYIPQVLELLSNQDFIKESEGARVIFIEGHKIPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFKAARMAGWLPDPKEKKFPKTSHVGFGLVLGADGKRFRTRSTEVVRLGDLLDEAKSRSKSELVQRLKDNGKIVDWTEEELEKTSKAVGYGAVKYADLKNNRLTNYTFSFDQMLSDKGNTAVYLQYAHARICSIIRKSNMDVEELKLNGSISLDHPDERVLGLYLIRFAEVVEDACTNLLPNVLCEYLYNLSEMFTRFYTNCQVVGSPEEPSRLLLCEATAVVMRQCFQLLGITPVYKL >cds.KYUSt_chr2.10159 pep primary_assembly:MPB_Lper_Kyuss_1697:2:64349589:64349804:-1 gene:KYUSg_chr2.10159 transcript:KYUSt_chr2.10159 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRLCWASSRARRSSIALGLLASVVLLHRPLSLANEFLVHVDPHIIAVALLGESAGVLLNETCLGNAAWR >cds.KYUSt_chr4.35682 pep primary_assembly:MPB_Lper_Kyuss_1697:4:219176628:219181426:-1 gene:KYUSg_chr4.35682 transcript:KYUSt_chr4.35682 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLIASCAARTWRRAARGKREDKMIFTPNDPRPLMKLNVDFRTIKGDKFNKVDGSKPTQQLLNLLKDPDKGGGYIFEFDNVGNGDSCPDFVGIRTIVIEFLVDGVIFFLCFGDKVFGERSTATAGFNIDTEADDLFPDITNLFSDMALNSDNGNASSSSGPYVFSFTLW >cds.KYUSt_chr7.5239 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31268020:31269126:-1 gene:KYUSg_chr7.5239 transcript:KYUSt_chr7.5239 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSAFLSTLRGAGRQHLTASTITATATCSHLLRINEYTSISKKMANGQSIRSGTFRVGGHDWRLLCYPNGCLKAHEGSVSLFLEHASHEDTGDTTAKFEMSILPDVNSKPIRSRSLAEYNFTENGLNWGWRDFIKHADLDKEKHLRDDCLTVLCDVTVTDPHTADHHVEVEAAAPPESTLVAGPAPPFDLRGQLEEAIWNKQQVDVKIEVGGETFPAHRWMLEARSPVLKADLLLASTTGDGATLLRVNDMDAQVFKAMLQFIYTDSPPALESATVAERMLVAADRYELEELKLICEEVLSRHVDMGSVADTLALAERHHCSLLRTACIKFLTSPGNFEAFVATDGFAQLKRDCPSAVSDLVANKLP >cds.KYUSt_chr5.11895 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77234257:77237215:-1 gene:KYUSg_chr5.11895 transcript:KYUSt_chr5.11895 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSKDPKELEKMITVATSSQSFDQSLGIPKWFMLDSSLVLGKLVNCTKATFHSDAGLEFSISLQCAIPPASSYVHLDCAQAVTPPTEEHGFYGASSVVAADGEVLLVRIVVPVKGKYGYNYPVEYFIYAPCLQTPPIERLPCMDDEWARWPGVLGIAHCGKCVMVVGFRKILVVDNTCKGARKELVQLGRFCSGAKNWDVEEIELPYDHDNGLEPFQWDTAFAFSYGNRMSFVDYNTGLMFCDIFSDSPNLQYVKFPVPVRKVNFSVEDNDQEEGVPIESYRNVGICEGKIKFVSVDSCCNKTTGPIIKTWTLCIPGMVWEKDSILDVKHLWATAFKASRLPLWVPRFPVVDAQDPDILHFVLLGPNYHDRVWIVTIDMKTATLKSYKNYRNAEQRDEYKGIFMYKPFLCSVLSKYTVGPSDWQVDENRAMMWRAAAMEETDSEHEDASCRSMEMYYSDDEDRMTDDEDRRRVVEYDNVFME >cds.KYUSt_chr6.17619 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110880734:110881228:-1 gene:KYUSg_chr6.17619 transcript:KYUSt_chr6.17619 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSQKMPEIASTHQREAGMDGMAQTEGLAAAHHQPLPECCGKPTRRGRPQHRPSHPRRPVRCGTADGRRAAAAVAHPTRVLRQTSRRWGSGRRSPRGDEEGPPPPPRPEGLCPGGATGGGGGGLGAEG >cds.KYUSt_chr1.39225 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239951469:239954577:1 gene:KYUSg_chr1.39225 transcript:KYUSt_chr1.39225 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGKKAAKGGGELSRFLQPHLQTITDTIQMMSVAAPAGLERTVWSEVVALGDVVSRQATVGDWDGLGGELPGVEALKENIAAYFNVLQGFLLACHGSTVGAGPTLHKYITTSARGVVDSSFSLFKLAVSAYESGNPDRKTIIPPVTGTVWEACLALKKVPTTNCIAIGRAMTEICVCLKDVLREMKELPIGDNTAEKSSSGEADMTLSDNDESSSDIEVDDDFTEEEIAVAKLIITVTSDSLAAVKETIRFITGLLKSSGNQRGANEDKVESMENLLSCCNDIADQVNELGACVYTQDPSEMKSAIKRLYNGIKGMCKEIGDLGGLPENAFAAFGSSSYEAFVGQQNEECVVLSIEKKRNVLSKLELKIQFVKTVFIVAGMVWSGDLPGVETLKEIIAAYFNVLQGFLLACHGSTDGAGPTLRKYITTSVKGVVDSSFSLFKLAVSAYGM >cds.KYUSt_chr2.33948 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209857804:209859474:-1 gene:KYUSg_chr2.33948 transcript:KYUSt_chr2.33948 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPAAAPPPAMSALPTFASSHPYPSLPTPKTLNSKPRLNFAHAGVAAAPNAVPHPAASNDRLRGLVRRGDLEEALLLVESMSGLKPSAAAGPCAALIKKLCASGRTAEARRVLAACEPDVMTYNAMLAGYCVMGQLEAARRLVADMPMEPDAYTYNTLIRGLCGRGRTENALAVLDDMLRRGCVPDVVTYTILLEATCKRSGYKQAMKLLDEMRDKGCAPDIVTYNVVVNGICQEGRVDDAIEFLKSLPSYGCEPNTVSYNIVLKGLCTAERWEDAEKLMAEMTHKGCPPNVVTFNMLISFLCRRGLVEPAMEVLDQIPKYGCTPNSLSYNPILHAFCKQKKMDRAMGFVELMVSRGCYPDIVSYNTLLTALCRSGEVDAAVELLHQLKAKGCTPVLISYNTVMDGLTKAGKTEEALQLLNEMVTNGLQPDIITYSTISSGLCREDRIDEAIRAFCKVQDMGIRPNTVLYNAILLGLCKRRETHNAIDLFVYMIANGCMPNESTYTILIEGLAYEGLVKEARELLDELCSRGVVSKSLINKGAIRLLDETTDT >cds.KYUSt_chr2.7385 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46356306:46357320:-1 gene:KYUSg_chr2.7385 transcript:KYUSt_chr2.7385 gene_biotype:protein_coding transcript_biotype:protein_coding MRSREAMELLGFAPYSRPSPSEVKAAYRRMVMESHPDRVPTHQKPQAESKFKQISEAYSCLKDGRRYGNRMEVHVTRYGVPRGYGRSNRTLVKAPFLLIICAAVSFGSYSAS >cds.KYUSt_chr1.10947 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67004483:67005010:1 gene:KYUSg_chr1.10947 transcript:KYUSt_chr1.10947 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSSTQAPEQQAQEWNGAVEALLPSTPAAAAWPHLASFCALHRYLSGVDVCERVAGEDGQPGCVRYVASHPAPAGEEGQEQLQVKWAHEELLELDDAARTLSYAVVGGNMGFGRYVATMTVAEETEAAGCRIVWEFECEPVQGWSRDGLVGYLDHAVKGMAARIEEAATADES >cds.KYUSt_chr5.37777 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238636493:238641240:-1 gene:KYUSg_chr5.37777 transcript:KYUSt_chr5.37777 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRLLLLLALALTAACWAGRADGHGVHPLSRIAIHRARVALDASSAVRASPELLGSRGEDTAWVTVDFHVPHASDGDWIGVFSPANFNASTCPGSHGSGPGPVICSAPIKFQFANYSSGYAGSGKGTLKFQLINQRQDFSFGLFTGGLSNPILVAVSNKIAFMNPKAPVYPRLALGKTWNEMTVTWTSGYAMSEAYPFVEWGMKGSRPGRAPAGTVTFGRDSLCGEPASTVGWRDPGFIHTSFLKNLSADKEYYYTIGHVLHNGKVIRGKLNFFTAPPCPGQKSLQRIVIFGDMGKAERDGSNEYQNYQLASLNTTDALIRDLDNTDIMFHIGDISYANGYLSQWDQFTQQVEPITSRVPYMLASGNHERDFPNSGSFFNGTDSGGECGVLAETMYYAPRENKENYWYSTDYGMFRFCVADSEQDWREGTEQYKFIERCLATVDRAKQPWLVFIAHRVLGYSSGFSYGYNGAFAEPMARQSLEKLWRRHRVDVAFYGHVHQYERTCPVYEEKCVKEGTVHVVVGGGGSHLTNFTTEVPPWSVYRDMDYGFGKLTASDEKTLQFEYRRSSDGKWDEGGDNTPTDIIQKPNVFPNRPIAGEKHIQSSRLFADANAHATEVATAVFLDSIFKRDHRINLARPAADATMTPNGSAILHAYIIPHLSSIPCSTIPPSLSANNVVDEYHSTDIRQHPAAAPKTMPDDPQV >cds.KYUSt_chr7.5605 pep primary_assembly:MPB_Lper_Kyuss_1697:7:33547496:33548529:1 gene:KYUSg_chr7.5605 transcript:KYUSt_chr7.5605 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTLHLRRHMVHTLRLRIHTPHHLHMLHLQVHAWIFNSKDSVAGNCKTGNSFWGQIAATFNSTSDPARRRTSKQLKDHWNAYNKEVSLFNAYHIQEEALRQSGADDAMVMKAAMERYANDKRVTQPFRRHHWWEAVRNEAKWKGQHGPGSGTDSTAKRSRLGVSGEYSSSEATTEEERPTGRDRAKAAARKDRRKGKESSSSSEVASKSFAMKNMWNGLVKAKLLKQWNKMKDRSTADMNEAEKRKHAKAIQMVEKELGLGDDDDEEEEEEEE >cds.KYUSt_contig_319.413 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2661326:2661574:-1 gene:KYUSg_contig_319.413 transcript:KYUSt_contig_319.413 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGLLHMPVASTGEQQKNAMGRALHEQELRGEQWSLHLLARAAAPAGENPSAPSQSRTSLLLTFILSSSPFCSRVSDPSRS >cds.KYUSt_chr5.3987 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25719786:25726906:-1 gene:KYUSg_chr5.3987 transcript:KYUSt_chr5.3987 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVEVDHTEDGRSSSTEDLPSDQQSYSGESLAEWRSSEQVENGTPSTSPAYSDTDDDDCGPRPAELYGKFTWRIDNFSQINKRELRSNSFDVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVINKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLHDGFIVEDVLTIKAQVQVIREKADRPFRCLDGQYRRELVRVYLSNVEQICRRFIDERRSKLCRLIEDRLRWSSFNAFWLGMDPSVRRQMTREKTDTILKVLVKHFFIEKEVTSTLVMDALHSGLKALEYKSKNKLGVSKLTEADARSTSMVLVEQDMFVLADDVLLLLERATLDTLPHQPLPAKDEKSSQNRTKDSSSGDDFNKDSIERDDRRLIELGWKTLEFFALAHIFSRIEVSYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRIRKKQAKQKKNSHKNNKGKSERFDMKDIVIETTPSDDRVPDDVSSQAEEVTLNADNPDEASDVSDNRDDNSEVLQMDFEDRESSPVNWETDASETQATTVPGGGEAQNDQAGKRPSCVDDSSSTCSSDSVPSVILNGSSAEGAWTSVKSSSNRGNNRRNKDTDTRTGHAHGGSNSTPNGNFGSSNSRDMKLEAEDYKVVPQKKQNAQRQVDVMSPSKLRVTESSFPSMSPVKKQPIFSQQPKSSLESTSSLTSRASEVSGAAGTATRTGVSSTPIVQQVPNKGPRTNPPTHIERPVPVVSRPLQIPVPTKSEAQKPTSLVNSATATQAITVSRPLSAPQVPAAKQRAPAVSTVQTAPALSRSRSAVGRLGNEPSASAPSYIPRSYRNAMMEKSSAGASGLTHQTSLSGQGVTHSQSMFSSSPSILSPDTSSRKEIPSLKPGFTFGTVKPESLNQYQWREESSQEASCSSINDNGVLNSSVVNEFEKLNLNGRPRSKQLLSEISTRFTPYQPQGLVGEEFPHLDIINDLLDEELSDGRKVLQPGFARQFSLPNNVPNNASTPDYGMFSDPYLFDQSEQYYDEELAPYYSDLNGAPNQGLRDRSYSQFDLPSYSSSQFDDMAMSQWPYSRADNSSVPSFGADVNAYPYQLRDYPTSANGASSRYPSYHHANGH >cds.KYUSt_chr1.28500 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172139626:172140449:-1 gene:KYUSg_chr1.28500 transcript:KYUSt_chr1.28500 gene_biotype:protein_coding transcript_biotype:protein_coding MHHIYIHSTKLKILAIEGQFQHLNLHTPYLTSATIKLKLQSELHDASMARCNFNLSQFIDSLSDVENIRLYGRILECVEHEFLILKTTELFNRLTYITLEINLGNLKEANLALCLFQHAPNLRNINLKLIPRNLMVPPVRFWESIDRHVCLFQNVHVVCMTNFTGSFAELGFLKLLLEDAPVLRKVIISDKGLDRGVFQNLLKMRRTSKEAEIVIL >cds.KYUSt_chr3.40897 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258142613:258148105:-1 gene:KYUSg_chr3.40897 transcript:KYUSt_chr3.40897 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVATYFAMTFGAFLFWESMDKVHVWIALHQDEKWGIDAFFPDEEAVTSDGIDYGHGVSQGDIHAWKNKRQRWTDTMCADRGTTG >cds.KYUSt_chr4.49274 pep primary_assembly:MPB_Lper_Kyuss_1697:4:305139502:305140040:-1 gene:KYUSg_chr4.49274 transcript:KYUSt_chr4.49274 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFPYTGFANRLEKLSSGGKCFGLSGGNSLYYGPGPEGKDEVSAQLRDPRGLEPKTRGLDRASVGSSAGPSRLRAEDRCGASAVANEAPSENTCRCCARKPWELPSPTRKA >cds.KYUSt_contig_786.552 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:3148157:3152241:-1 gene:KYUSg_contig_786.552 transcript:KYUSt_contig_786.552 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHAQGLVHSDLDHSDLVRSDDAHQIKGQFILHMELMTVAIKCPSLLLKRNLMVTIFHTSIPGNPEEAVEHLTKAILLNLKNHIWYKEILWSLLIRTNRLNGPPNVDIVAFKSEIWDTEEAVEHLAKAFLLNLKNHVWVPDMLLKPVLPAIYVHLDQVERKDQLTLL >cds.KYUSt_chr1.32393 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196684597:196685892:-1 gene:KYUSg_chr1.32393 transcript:KYUSt_chr1.32393 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRRFLGLPAAVSGSLRRSHTSAGTRIPWAMMRHNTYPVEAPSPQVRLVEPPRISEVYLTDHLVKTTPVPDPASDVVEGLGGVVCAASGDGLLLLVFAQMRLRARIVARQGDVPLRLPPDGFDPDHAPNVTRFVFNPVTHQISRLPPRVTKFNADAGPLFNVHMGLVTQADRGHGPPDRFAVAELPEGNLMLRFLSETGKWETVTVSRCQLPSARRMEIHHEVLAFRGRLWWVDQTWGAISVDPFSDRPELSFVELPRGSVLPPGAPTRERVSMHFLGDAPSDDNEDDGKVWWDLYRRVGVSEGRLTYVEVSTKEPYLLSSFALDDDGSGWTLEHRVALSKLWADGGYSWLPLKEGMTPRIALLDPLNASVVYLKVDKHIVVVDMNIKEVTGSYLYKTNFDCIPCVLPPWLGSSQIPSAGMTYFNCWIT >cds.KYUSt_chr6.9043 pep primary_assembly:MPB_Lper_Kyuss_1697:6:55863899:55868656:-1 gene:KYUSg_chr6.9043 transcript:KYUSt_chr6.9043 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTIQVLGFSPTVSAEDVKDLLEKIVGSGKVCAVKLRPPKNISATSRSFAFVQFDSEADASKVDDLARRSGLMSGIYYLKVRPAERDIIPRPRTAMFSLQDATLHFGCLLKERVLSVLWSSRDVSVEFGFAMKKIYFYLDYNSKRYKLELSYESIWEIQLHRPPGSQKKFLLIQVQAAPKIYEPNLRRSGSMFEDPLFNYSRDDSDDQWTRTTEFTPSASIGQSYILCLELSRHCDLPNIREYFVYYEEHNHVFHCQGGHSYSQGTCFVPIVKPHRYIDVPYEILFKINHLVQNGTLSGPTLDENFFRLVSPGFVHIDHIKRALEKMSYQKKTVLNPTNWLSEYYTVIKRSRYVSTSPNISLDDEGLVYVYRVQVTPAKVYFYGPEINVSNRVVRHYAADLDNFLRISFVDEDCEKLRSTDLSPRSAPGNNTRRTALYNRVLSVLSNGITIGDKHFEFLAFSSSQLRDNSAWMFASRPGLSASDIREWMGNFRNIRNVAKYAARLGQSFSSSTETLKVHKYEVEEIPDITNGTKYVFSDGIGTISADFADEVSMKCKLNRFTPSAFQIRYGGYKGVVAIDPRSPWKLSLRRSMSKFQSDNIKLDVLAYSKYQPCFLNRQLITLLSTLGVRDNIFELKQQEAVKQLNRIVTEPQAAIEAIELMPMGEITNIVKEMLLCGYQPDLEPYLRMLLETFRASKLLELKTKSRIFIPMGRSMMGCLDETRTLNYGEVFIQASNSANDRGKFVVTGKVVVAKNPCLHPGDVRILKAVYHPALDHMVNCVVFPQQGPRPHPNECSGSDLDGDIYFVSWDPDLIPTRMVQPMDYTPAPTETLDHDVMMEEVHEYFANYIVNESLGIIANAHVVHADREYLKAESGPCIKLAELFSIAVDYPKTGVPAQIPSELHVREYPDFMEKLDRSTYVSKGVIGKLYREIKKQNPHVGHFTKDVARRSYDTDLIVDGYEAYLKEAFSCKEEYDFKLGNLMEHYGIKSEAEIISGCILKMAKNFTKSSDAADAIRHAVKLLRKEARSWFSDEKGDGDGQDASYAKASAWYHVTYHPEFWGVYNEGYDHRTHHLISFPWCVYDKLLRIKQRKNLLRKLQPDMFALQNSMSRNTIFG >cds.KYUSt_chr1.34239 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208340112:208341886:1 gene:KYUSg_chr1.34239 transcript:KYUSt_chr1.34239 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAAPVISIPVSARAPKIPFCISGRGDLPAPLETAEPGVPPWISKMSTVAAPRVSVAKSGASFQTIAPAIRTPSFVGYAKQTSNLSGLRISNKFRASATHKVKLIMPDGEEHEFEAPDDTYILEAAENAGVELPFSCRAGSCSTCAGKMDKGEVDQSEGSFLDENQMGEGYLLTCISYPKADCVIHTHQEEELY >cds.KYUSt_chr6.27977 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177442316:177443394:1 gene:KYUSg_chr6.27977 transcript:KYUSt_chr6.27977 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSSCSSALRRRAPYISLIPCPYCGRMVKRSVSGTAEHTGWTYFKCEDHGKGCIFWNWELEYVQYLIEMDNLGEMLVCLNWDAEKDVENVCLNWDVKKICLNWDAEKDVENVCLNWDVEKVCLNCDAEKDVEKVCLKSTRI >cds.KYUSt_chr4.26640 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167221208:167221757:-1 gene:KYUSg_chr4.26640 transcript:KYUSt_chr4.26640 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVLLVELLRWEPEVAVGDAIKGVERGSGGEEVCLLRHGSAVFRGVHQLGHGDASVILGRRDLSLLRCRVFCNIFNLQAGVPMWRPFSDSIKALNACPSSSGTIPDGGAGGRDVESFVFFGGEGLDCILQYFLGSFLQMWRTCL >cds.KYUSt_chr7.31112 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193728275:193730892:1 gene:KYUSg_chr7.31112 transcript:KYUSt_chr7.31112 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVAAAASARLTPVALDEEAEALRCRSKLESDPLLMHDAAVRPGRSPGKGVHPPCSWNDLFWAVHPGGRSILDNLDTVLNLEPEKLAASRHVLREYGNMSGATIVFVLDELRRRKKEGLLPEWGAMLAFGPGITIEAMVLRTPSPNKKW >cds.KYUSt_chr3.32005 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201193264:201195082:-1 gene:KYUSg_chr3.32005 transcript:KYUSt_chr3.32005 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHNSRLVLILLLYTWKKPTPKSRTHLQFYTQNTDQMNSSQLLTAARAFNSTVYPWRSDAMMHMESTLQLQINPRAVLACVLSFLAATISSAGGVGGGSLYVPILNIVAGLSLKTSTAFSTFMVTGGTLSNVLYTLLVRGPGPDGQPLIDYDIAVVSQPCLLLGVSVGVVCNVMFPEWLITVLFAAFLSFATFKTYGTGMRRWRGETAAARRVLEGAGTGAGTEEPLIIGKEGGGGHGCHWVDLVVLVTVWLCFFVMHLFIGGEGAKGVFDMKPCGVAYWLITVAQIPIAVAFTACIVSQKRKLHTRNSQVAELAIAVKSRLDALPVYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPKVTSATTMFMVLFCASMSMVQFIILGVPGIMGALVYAVACFVASIVGLVVIEGAIRRSGRVSLIVLMVAAVLAVSAVIIACSGVVRVWAQYTSGQYMGFKLPC >cds.KYUSt_chr4.48263 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298889508:298889858:-1 gene:KYUSg_chr4.48263 transcript:KYUSt_chr4.48263 gene_biotype:protein_coding transcript_biotype:protein_coding MPGALDGCGTACIRGIGEGADIGAPPIGRGAPAIGGPCACGANGMRGLAIGACTRGVCDGGATLTAAAKLLPKDPMIGRGTPAAAGGPCGRGGAAAAPGAGKGVIVGLISKSKSRQ >cds.KYUSt_chr2.13651 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86511274:86515918:-1 gene:KYUSg_chr2.13651 transcript:KYUSt_chr2.13651 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLKALVYGAGGMAVVGLAALVALQERLVYVPVLPGLARAYSITPSRLRLVYEDVWLRAADGVRLHSWFLRHSPTCRGKRHPAPTLSRSVLLVPPLLESTASIGIGSVRFVGGRQPVDLFEAGVEGFVLIRVAWVDTCVASSLALSIGRLQCNVFMLSYRGYGESEGFPSQSGITKDAQAALDHLVQRKDIDTSRIVIFGRSLGGAVGAVLAKNNPDKVSALILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWSTLDVVAEVKQPILFLSGLQDELVPPSHMKMLYDKAIENNRNCRFVDFPSAFHQFSDVDAIPVIDELKKPKL >cds.KYUSt_chr4.1822 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9784921:9788772:1 gene:KYUSg_chr4.1822 transcript:KYUSt_chr4.1822 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGKEQRTPARYVWAMAENRLAIGIDLGTTYSCVGVWRNGRVEIVANDQGNRTTPSWVAFTDGEKLIGEGASNQVASNPSNTVFDVKRLIGRSFSDASVKSDAKYWPFKLIQGHDERPLISVSYLGEKKEFSPEEISAMVLVKMKETAEVFLGPGTTITNAVVTVPAYFNNNQRKATKDAAAIAGLNIMRIINEPTAAALTFSEGRKWSRSEERTVLVYDLGGGTLDVSLVVVKKGVLHVRATAGDTHLGGEDFTNNMVDHFVDEFKIQNKKDISGDTRAVRRLRNACERAKRMLSSDARTVVDVDALFEGIDFHSTINRALFEQLNKDLFLRCMEPVETCLGDAKIDKKKVSDVVLVGGSTRIPRVHRLVQDFFNGKEPSKSVNADEAVAHGATIQAAILMGDKKRDVKVHVIDVMPLSQGVSVKGDVMSVVVPRNTTIPTTKEQIYVTTYDNQPEMCIEVYEGERAMTTDNSLRGKFTLSGLTLAPKGVTKVNVRFQIDEDGILHVSAEDKKAARKKNITIMDDPRRFSKDEIKRMVQEAQRYKAVDEEHKKNVQARNEIDNLLYTMRNTTEKLQHSASGLQDLVDGTKEWLQNNELNSDELDAKKQLLEAIIGQTSTK >cds.KYUSt_chr5.39985 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252684092:252692438:1 gene:KYUSg_chr5.39985 transcript:KYUSt_chr5.39985 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNSGDAPIPLEEGVKHEAEPEAKGKAPANSTSTMLHRSGSRPQLDLSGAAIHGALEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHADTEDKRKLSTKRRLEIFTGARRSYPVLGGRLHFVKFETGKLNECLDFISSKQLHRGGVDSPSWRSGVQPDNIVIKATGGGAYKYADLFKERLGVSLEKEDEMDCLVAGSNFLLKAIRHEAFTHMDGQKEYVQIDQNDMFPYLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTECKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDNKELSEYRPEDISLSLLRMISYNIGQISYLNALRYGLKRILFGGFFIRGHAYTMDTISFAVNFWSKGEAKAMFLRHEGFLGALGAFMSYEKHGLDDLSAHHLVERFPMGAPYVGGKIHGPPLGDLNEKISWMEKFVQKGTQITAPVPMGAPATTGMGGFERPTSKGDILRSDASAALNVGVLHLVPSLDVFPLLEDPKTYEPNTIDLDQDEFKYWFTLLSDHLPDLMEKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFFDAYASIKQRENEASLAVLPDLLMELDSMDEEARLLALIEGVLAANIFDWGSKACVDLYHQGTIIEIYYMSRKKMQRPWRIDDFDIFKKRMLKKDKPYKRALISVDNSGADVVLGMLPLARELLRNGIEVVLVANSLPALNDVTANELPEIVAEAAKHCGILRKAAEAGGLIVDAMAGIQDDAKDEPASVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRALHTNLNARFKCDALKLAMVKNQRLAEKLFNGNIYDCICKFEPVS >cds.KYUSt_chr4.45539 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281833229:281834404:1 gene:KYUSg_chr4.45539 transcript:KYUSt_chr4.45539 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALETAIEEVLATLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNQAAAAAANQLAEEEAKRTEDAAVAEVIARSLKDLVPADNTLPIDAALEWSRRDWERQEEEQQRRMLDLAAARQLAARAAAPSSSSRNAAPREVIKLEESSDDDIYRPSPPRAGDAGQGTSRWYEAPPPQDDAGSSDDDDGGDYTTFYRHFGM >cds.KYUSt_chr4.19885 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125041915:125046087:1 gene:KYUSg_chr4.19885 transcript:KYUSt_chr4.19885 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDGRPFGPIIQSCVMHDVAGADFYDVWMVGENGEDLKNPKEFLPVSKLEEIGVLYWHLDPKQSESEEELSKIRKDRGYSYMDLLDICPGKLENYDEKLKNFFREHMHADEEIRYCLEGGGYFDVRNKDDKWIRIWIKEGDMIVLPAGIYHRFTLDTANHVKLMRLFIGEPVWTAHNRPQEDHPVRQEYVKNLTDNAGLPLAAH >cds.KYUSt_chr5.29233 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185253612:185256480:-1 gene:KYUSg_chr5.29233 transcript:KYUSt_chr5.29233 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSYSDLVELASGSGSADEPSPLPSLGRRRLPRVVTASGIVPDLDCSDSDSDDATDSAASDHSPHAPRERTIIVANQLPVRATHLAGGGWEFSWDEDSLLRQLKESLRAHHGRADMDFVYVGGLRDDVPPADQDRVAHDLFEGFRCVPTFLPPDLRSRFYHGFCKQQLWPLFHYMLPLSPDLGGRFDRALWQAYVSANKIFADKILEVINPDEDFVWVHDYHLMVLPTFLRKRFNRVRLGFFLHSPFPSSEIYKTLPVREELLRALLNADLIGFHTFDYARHFLSCCSRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLVQLQSVLNLPETGVKVAELLKQFCYRDRILMLGVDDMDIFKGISLKLLAFEQLLIQHPEWRGKVVLVQIANPARGRGKDVQEVQDESYAMVKRINDAFGQPDYEPVILIDRPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYIIARQGNENIDRILGLSPSTRKKSMLVVSEFIGCSPSLSGAIRVNPWNIDSVADAMDSALEIPEGEKVLRHEKHHKFVSTHDVGYWANSFLQDLERTCQDHNKRRCWGIGFGLRFRVVALDTSFKKLAVEQLVSAYRRTTKRAILLDYDGTLMPQTSFGKNPSSKTIDMLNSLCRDKNNMVLLASTKTRATLSEWFSPCENLGLAAEHGYFLRMRGDAEWETCAPAPDSSWKQIVEPVMRTYTETTDGSTIEDKETAIVWCYEDADPDFGSCQAKELHDHLESVLSNEPVSVKADVNHVEVKPQGVNKGLVAKRLLSAMQERGALPDFVLCIGDDRSDEDMFEVITTSVDRPCLSPDATVFACTVGRKPSKAKYYLDDPADIVRLIQALANVSDQSQGAPPSSSSADIDTALS >cds.KYUSt_chr7.39603 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246177587:246183698:-1 gene:KYUSg_chr7.39603 transcript:KYUSt_chr7.39603 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLAKQGTLCGDGLLLEENEDNSFNATLISYNKHNRLIARSWCSFISSLSQEMSARFLVPMMHSEEVVRPCGVFATLDPVACQQPRHLWLVTSSLAAAYGSPLAPLSKPSERTRPPTHDDTLTATQASLVLPCMPSYARGYGNQDMVGAVGGKAESGVLPAVALLKINAMRFSYFLAWMLAMLGIGHFSYRSRAAGGGVQWCPAARTTGVRYVASAPPVSYSHGGRRPGDACPRPSLDPRRRTPTCSSQAPWRWGRTTQAPQTMCEGWMRDLMHSLEGELSRCLDAKVLRWLRVREMLTRIEMLIGSWKVLWLDKHSSLLDPGGVPDEAEPLERGTSLNWMDMNELILGCMSTESTSSVPLLKKWRQELACEALLG >cds.KYUSt_chr7.12484 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76867022:76869661:1 gene:KYUSg_chr7.12484 transcript:KYUSt_chr7.12484 gene_biotype:protein_coding transcript_biotype:protein_coding AWRTAAFGLYGFTQFTKSGFVEHAKKFREEDMKIRLDGKNCLVTGANSGLGFATAEGLASRGATVYMLCRNKERGETALNEIRSKTGNTNVHLEICDLSSINEVKSFATKFSSMDKPLHVLVNNAGLLEHKRSTTPEGLELNFAVNVAAPYTLTELVMPLLEKAAPDARVITVASGGMYTEPLTNNLQYSESDFDGTKQYARNKRVQVALTEWWAGKYSNKGVGFYSMHPGWADTPGVSKSLPGLSEKLSGNLRSNDEGADTVVWLALQPKEKLVPGAFYFDRAEAQKHLKFAGTASSHGQIGSIVNSIRSACSLPANP >cds.KYUSt_contig_528.550 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:3355955:3362606:-1 gene:KYUSg_contig_528.550 transcript:KYUSt_contig_528.550 gene_biotype:protein_coding transcript_biotype:protein_coding MPDADLAAEMANGGASTDVVASGNASKEEEEEAAEGKDLAVANKDAQEQNKASENGTKATSDEDAKITEAEDAKKDDAAAKQAGIEDVKSGGLDDVDTNGGNNTEAAQHEDPKTGGVEVADAKQDDNAKAAQHEDSKTAAVGDADADAKEDDNAKAAPYEGHKTGAAEVADAKEDDNAKAAQHGDSKTGAAEDADAKEGGNAKAAEHGVSKTVDVEDAHAKEGGNAKAADHGDFKIVDVEDADAKEAQHKDPKTSGVEDSDAKEDDGAKAPECNDVKINVVEDVDVKEDNNAKAAEHEDAKMGGIDDANAKDDNGSKAAEHEDVQMGGVDAKEDSDAKAAEQADAKMGDVEEADANDDNGTKAAEQEDVKMGTAEDADVIEDNTVMVAECEDVKMAEAETKTGDAAVEDEGIKEDKCENTEDKKELENAEQGGSDKQDELSEEGKNGSAEQEEKKAEEMSDEDNQGEEDAEEMGSSDKIDGGDGKTNVNEEKLEKGVKKRRKGGKVTEEEVSEADKNVEENKEETPKNKKARSARDRSQGKDKKQEGSKSREAKSLQNTPTPYCTDRPQRERKIVERLVEVIDKEPNRNFVVEKGRGTPLKDIPSVAQRLSKKKPTDLKFLHHLLFGRKGKTVDFKGHILQFSGFVWHESDEKQRAKAKEKLDRCMKDMLVELCSLLAIPVPKTNIRKEDIVAKLLDFIAEPHALSDSVLSDDQGSNSRKRKRAGDSSSKTLDATPKRSKKKFGDDAPSKRRKQALEYDSDEDMEDEDQPMKSDSEEDADEAPDEQEDGYESVEEKASRKSSEIKDSSGKKKAAAGSTHKTGPPKTTSKSAGKTSPSKVSKEKESPDESAKVFSRKKKPVISKRTPSSEKEIEEKKSSGKDATKGKGEAAEGGLPSKAELKKTIIGILKKVDFNTSTFSDILKKLDDHYKIDLEPRKGAIKIMIQDELTKLSEADNDEDEEEDVETKQPRRRAKVTA >cds.KYUSt_chr2.40298 pep primary_assembly:MPB_Lper_Kyuss_1697:2:250312238:250325163:-1 gene:KYUSg_chr2.40298 transcript:KYUSt_chr2.40298 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGQGGGDASAPLISSDGSKIVRNGNEWTASAHVITAVIGSGVLSLAWSMAQLGWVAGPGTMVVFASVTALQSTIFADCYRSPDPEHGPHRNRTYANAVERNLGSSSAWVCQLLQQTALFGYGIAYTITASTSFRAILKANCYHARGHQAPCTYDGSYYMLMFGGMQLLLSFIPDFHDMAWLSVVAAIMSFSYAFIGLGLGLANTISNGVIKGSITGVPMKTPVAKVWRVSQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKKASIISIMVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIIIHLLGGYQVYSQPIYQFADRYFAERYPESGFVNDFHTVKLPLLPAFRVNLLRVCFRTLYVASTTAVALFVPYFNEVLALLGALNFWPLAIYFPVEMYFIQRKVPRWSTRWVVLQGFSALCLLVSAFALVGSVQGVISQKLG >cds.KYUSt_chr4.51389 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318808936:318809597:1 gene:KYUSg_chr4.51389 transcript:KYUSt_chr4.51389 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVRNLTHRIVCRRAHALGHARASFRRFLCFPKMSGMKSSWPEVLGRPVNAARQKILNDRPDVKVVVVPPAVATREFNDKRVIVYVNSAGNVRQIPGIG >cds.KYUSt_chr7.5938 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35487489:35495211:-1 gene:KYUSg_chr7.5938 transcript:KYUSt_chr7.5938 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRWALARRLATLAGTSTAPGAGAAQPRRLLSSSGALLGRRFPPAPQIRNEVPSSPYHSPPSPGGQRSGFWWRLVAWWVTTYYSTVDPVERQDPFELVADELSILANRLRSMVAAEVPKLASAAEYFFKLGAEGKRFRPTVLLLMASALKFPISESTEGGVFSMLASTMRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVTSLNCIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKAVAVLTGHTADVSLLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPMLYAMEEFPQLHDVIDQGFDNPANVELALDYLQKSRGIERTKELAQEHVNLAIKAIEALPDSDDEDVLISRRALIDITQRVITRTK >cds.KYUSt_chr1.19774 pep primary_assembly:MPB_Lper_Kyuss_1697:1:116317634:116319942:-1 gene:KYUSg_chr1.19774 transcript:KYUSt_chr1.19774 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSPASTHLLRSTAAVPLLPRRASLQLSCAASGAVARRRNGRLEVVRAASAEVAEPAAATPYTTESLVLYFKAEGTMEERAIPKITQALEGVEGVTDLEVLIEEGIGSVVLTKATTVQATGVASNLVEAIQGVGFKLQTLSLSFEDFDKADAAAVPAENADDQVSE >cds.KYUSt_chr4.34602 pep primary_assembly:MPB_Lper_Kyuss_1697:4:212585228:212589095:1 gene:KYUSg_chr4.34602 transcript:KYUSt_chr4.34602 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQRPRTVVALRGAASVSFAFATVLWSEIVALLGSGWRSSGDAWAQGCRSGQFVFGLCVGCPGCYIGPAAPKAWCLGGDLEICVDIKYVGLVGSSSDNGEVVLAGTAASGSTDITGEEEVEPPSYPKQRAPTRMKKAAGSIRHAVTESESMNRHGQRPHKPAPPPPDDHQPRRRRHRPPAAKLCHEVRHAAPPPHPPPQERSPPCRDEERDRGQKLRTQAAVFAAPTTPRAPEHAGSTDPRKHTGLRLADFAEDDAGKGIELQAPKLREGTTIPHSPAPSEKLLLLSTRRERRTRVPPLSRRRSGRRRGGEPPAWPAAERGTLGRLLIAWERIRTSPGLSKLGDDLQLKRLDLTRRN >cds.KYUSt_chr5.9411 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59691253:59694355:1 gene:KYUSg_chr5.9411 transcript:KYUSt_chr5.9411 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCAACKGSGDRKKKKQQKKPKCELIGYESLPEWLKDNEFIHGYYRCEWPMRETILSIFSIHNETLNVWSHLVGFLLFLCLTVLTAMVIPSDGISGTFEDASSARSYWGDLMSMANMTGALKHEAAAACLLLPPAATDLSENEEKIPTSCPPNTSSSPSHHHVALIQEDAGRTPRAADAASADPITRWPLFAYLCGAMVCLLTSSACHLVLCHSERTAYVTLRLDYAGIAALIVTSFYPVVYYSFLCHPGLQRLYMGFITAFGASAVTASLVPAFQAPELRPLRAALFSLMGVSGFVPVAHKLLLYGGRQEAVVTAGYEALMGALYGLGAAVYALRVPERWFPGRFDLVGHSHQLFHLFVIAGAYAHYLGGVEYLRWRDADKCW >cds.KYUSt_chr5.1843 pep primary_assembly:MPB_Lper_Kyuss_1697:5:12534348:12535607:-1 gene:KYUSg_chr5.1843 transcript:KYUSt_chr5.1843 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGFAIMDCAGINPKYAAGREGWATIKCASKRAYGCGDTGNSAVQDLDLLLHQGDLENLSSSLSVHAGDDVFRWIDQVIAEKKGRPAAPGKDRYFMSKVEIVDKGLIVVTLSFSEYGGFDYYLVFDSFDRSLSMIPYIPEWAACYTKCPLPLRHESGYSLVLIGGEFSFGREGPKKYIWQWSPMAASWGSIPDSWQTQKLCLPSQMNNKFFSPDVAFSCNGFAFWTDLALGTLFCDCSALLSESYLVKSKFIPLPPGYQLDRHEEGIWPVEVYRTMGYVGGSFKFVSIDKPRDHCGTRVKVWTLAAPGPSHKWTLYSEFRLKKLWKDFKMAGLPKNLPMWPMLREQEGSTLYLILADKTQITGQKHYLCRLDMPTRSLLQAKLLAHTSLILGPVKLPSGFFNCLDPLPSSPEPMWMDV >cds.KYUSt_chr4.46246 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285891332:285891687:1 gene:KYUSg_chr4.46246 transcript:KYUSt_chr4.46246 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWWERVVLPVRRVWLGVAARFGVRQSGLWRLRQEVSTCEYEDVRVMWEMLSRTSTAPPPRRHSRFRQPKPWADRLRLCRDI >cds.KYUSt_chr3.48399 pep primary_assembly:MPB_Lper_Kyuss_1697:3:302779721:302792031:1 gene:KYUSg_chr3.48399 transcript:KYUSt_chr3.48399 gene_biotype:protein_coding transcript_biotype:protein_coding MWAELPHDVLREIFGRLHAASDLVRFHAVCKPWRDTATATTTRQPLLPLLLAQDKMYSISVRLRCVFSRTSYSARPPFSIGRRNWVASEDGTSIWYLAQRPSPSLRDPLTGAVTLLLPSFPQEHGWEDSPNGMVYSDGTVLLYTLSGHGDTVKFKAALLRPGDAIWTVEKRTFESSEECEFCVAYHRGTILITVDGPVATLNVEFGAVQVLTLPRPLMPGELDHDFYVCYYVLESRGELLCVSVYARPDYPDEFGGTASVPNLVQSLSMSVHVLDRGGGGRARKNAVGEYNMVNGKAKFVEQLPQGWDDMCTWLFPRPSIAPVEEIIGRLEGRKWWKMKKKVHMASTTRSNIYIERPQPPCYNPYFRMFVRNIPRTVDSFQLQQFFSKYGKVATAKVMLASLPLLSAAMEPDPSPDPAPAPAADEALAALSLRAAADLPPDFAAPEIDDDDDDEGYATAASRGGSSTAAWKEEMEGLEEDAYGDAGPPSPSSSGYAGERGSSLASSGAGGIEEEDAATPPNAPGAEDWARGKKHADEDDASASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIVSFVENSADHIKFVRAGKHQIVFLVKGPIYLVCISCTEESFEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIRAFSWNPATFLHAYTCLPLAQPTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDILLLSNFILSSESFRTSESFSPICLPRYNSMAFLYAYVHFFDENTYVTLLTPRSDAFYDLKDSRARIQDVLLKSNVLLEVQRSLHENVLRVEDVPMELSSQPTSPPAQSSEMAIGGPAGLWHFIYKSVNLDQYVSSEFALPIRSPKEQKRLYKAYQKFYASMHDKATGPHRTQFRRDEDYVLFCWITQDFELYAAFHPLADKTQAIKTCNRVCQWIRDVENKVFVYGESTLAW >cds.KYUSt_chr6.27434 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174210080:174210485:-1 gene:KYUSg_chr6.27434 transcript:KYUSt_chr6.27434 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPKLSGIQRQALALYRGFLRAARLKSPEERQMIESVVSAEFRENARSVDRRNFVYIEYLIRRGKRQLDQLKNPDITGLSTLRINKASSIP >cds.KYUSt_chr2.1885 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11296863:11300096:-1 gene:KYUSg_chr2.1885 transcript:KYUSt_chr2.1885 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASPVVVAEYIAEIEKARRDLRALIASKSCAPIMLRLAWHDAGTYDKATNTGGPNGSIRLPEELRHAANARAQYRRRPSRTNQAKHPKISYADLYQLAGVVAVEVTGGPTIDFIPGRKDSSVCPEEGRLPDAKQGDVFYRMGLTDKDIVALSGGHTLGKARRDRSGFEGAWTKEPLKFDNSYFIELLKGDSDGLLKLPTDKVLVDHPAFRPYVEKYAKDEEAFFRDYAESHKKLSELGFTPSRATLLAWKSRDKAKRVVTTTTALFAVAVAVIACAYICETKKKLEMDNDDEMMVTLLMEEELVATAERKKHLNSSHHCSMPTGEAAIPSHLRFSYGKAEEHRPQAPSRGSDA >cds.KYUSt_chr1.36700 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223959315:223965974:-1 gene:KYUSg_chr1.36700 transcript:KYUSt_chr1.36700 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSTAPGPAEDILEDGNHANSSQNTETFKHQSFPYTSQSPGERRNYKRAANRGRKGSGVSPSRNYPLGSSDSTARGLRSSSVEEKSPSDSVHTLIEKAAKKPPSDPVHTVVKPAAKKTKKGKPVKKSSGNEFSTIRKRVRYVLNRMNYEQSLLEAYASEGWKRQSLEKIRPEKELERAKAEIVRCKLRIREAFQSLDHLLSMGKLQESLFDSEGKISCDDIVCSTCSTQDVTLNNDIILCDGVCDRGFHQNCLNPPLLTKDIPAGDEGWLCPACDCKIDCIDIINELQGTDLSIDDSWEKVFPEAAAVAHGQMQTDVPDLPSDDSEDDDFDPNIAEEHVAGHVEVSSEEDEGEDSDSDDSDFMTSSDNSEHVKEKEKVDDLGLPSEDSEDDDYDPAGPDSDKDIQEKQDESDFTSDSDDFCAEIAKSCGQDEVSSGPKVGDHSNDIEGATVQPNTAMSHLTSKDLEIDSDLILPSGRRQVQKLDYKKLYDDAYGDAPSDSSDGEEWSGKSTSKEDDEERNEVDSFARNSSRAVQQNSEFSPQSTRQSLHPDGIHGLVNGLHAGEPTSDGSNSKAKKRQFGPIITERLNKHFTIDQYPSRAVKESLAQELGLTFRQVNKWFESTRSAARVAATKNGTHMENHGNKRKRSSVVTRIEVADPKETMLEKPNACKNEAIKQDGSSGNLEPLENIEKVADLGLPSDDSEDDDYDPTSPDSDKDIEKKQSCSDESDSDDSNFITSSDNLEHVKEKEKVDDLGLPSEDSEDDDYVPAGPDSDKDIKEKQGESDFTSDSDDCDQYVVLPSSGKQQDANQEAPFDSNHGEDLPEKNTPEKHNGKQSEAGSFVSQSNEFTPQSAQGQQSLHDLVNGEHQEEFLTPNGSNSSVPKGKYGPIVYQRLQEHFTADQYPSRAVKESLAQEVGLTLHQVNKWFDRKRHNTRVASSKNSTKGNGSSVAAHKVTDPETMVEKPDVGNSGAANEDMTSGILNKGIKQDGPLKRNIVDVLMRNVSSPNSSQRRTTAKGSVGPPKGKPSQDRVKNASSSRVERPKDGDAEDVILALEAVDEKTKNSVLRELKKRKIG >cds.KYUSt_chr3.31795 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199768351:199777124:1 gene:KYUSg_chr3.31795 transcript:KYUSt_chr3.31795 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVRAPAAVVGWQCAARIGVEFDVGDPGSGSPRSLGLAIPVAVLSAVSALLPLRGLMVLAGFGFWVGHRRKPCKALAFAGDDDVFHAVFLLGGATNFPSFPVAGSRPHARLARCSDGTRVGTVSYACQDNRVLQINEGSTGKKKVVILGTGWAGASFLRNIDTSLYDVHVVSPRNYFMFTPLLPSVTCGTVEPRSIVEPIRNIVRKRGGAFRFWEAECFKIDPTSKKIHCRAGDGTNVDGNGEFVVDYDYLVVTVGAKPNTFNTPGVVENCHFLKEVEDAQKIRKSVMKCFEKASLPNLTEEERKKNVHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYVNISVIEAGDHILTMFDKRITHFAEDKFKREGIDLKTNFKVVKVSDKTITMTNPATGEIAVPYGLAVWSTGIGTRPIIMDFMKQVGQATRRVLATDEWLRVNGCDNVYALGDCATITQRKVMEDVDAIFRVADKDNSGTLSVKKIKNVLGDIYQRYPQVELYLKTNQMKGFHDLLKDKESEELNIEEFKKALAQVDSQVKMLPATAQVAAQEGAYLADCFNRMNTCEENPEGPLRIRGAGRHRFKPFRYRHLGQFAPLGGEQTAAQLPGDWVHVGHSTQWLWYSVYASKQFSWRTRMLVVTDWGRRFIFGRDSSSL >cds.KYUSt_contig_1991.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000237.1:26419:31409:-1 gene:KYUSg_contig_1991.3 transcript:KYUSt_contig_1991.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSNSLMLNKVISVAPYEVAWIGDVVSVAVSVVDLSRVDSGSMEEPIEDGAGDAETVNEGVASAVAERIGSRRRRVSTELTCKHGSPSTILIPSWEELKANRWVGESCVKTPGLRLQMRSWFHGPLVGPGNCGYLIRKEMDGVPLKSDLEKADDKGPYSSISPPEDEAKTLGCSAPTPDYPKLGGVNAIKPRVFLYCVRACGSKASILIYHK >cds.KYUSt_chr1.35446 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216129017:216143104:1 gene:KYUSg_chr1.35446 transcript:KYUSt_chr1.35446 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTGSIAPGSPDTSSIVSYTGGIAFDIGSIAFGSIVSNTGSIASGSITPGTGSIASDTGSIASGSITPGTGSIDSDTGSITSGSIASGSITPGTGSIDSDTGSITSGSIASDTGSIASGSIASDTGSIASGSITPNTGSIASDTGSITSGSIASDTRSFTSDTESIASCSIAPNTGSIASDTRSIASGSIAPNTGSIASDIRSFTFDTGSIASCSIAPNTGSFTSGSIASDTGSIATDTGSITSGSIATDTGSIASDTRSIASDTGSIASGSITPDTGSIASGSITSNTGSIASDTGNITSDTGSIAPGTRSIASCSIAPNTGSIASDTRSIASGSITPNTGSIATDTRSFTSDTGSITSCSIAPNSGSFTTGSIASDTGSIVTDTGSIASDTGSIASGSITPDTRSIASDTGSITSGSIAPGTGSIASDTGSITSGSIAPNTGSIASGSIAPNTGSIASDTRSIASDSIAPNTGSIAPNTGSFTSDTGSIASCSIAPNTGSFTSGSIVSDTGSIATDTGSITSGSIAPVTGSIASGSIAPNTGSIASDTRSIASCSIAPNTGSIASDTRSFTSDTGSIASCSIAPNTGNFTSGSIASDTGSITPDTGSITSGSIATDTGSIASDTRSIASDTGSIASGSIIPDTGSIASGSITSNTGSIASDTGSITSDTGSIAPGTRSIASCSIAPNTGSIASDTRSIASDSIAPNTGSIASDTGSITSGSIAPGSGSIASGSIAPNTGSIASDTRSIASDSIAPNTGSIASDTRSFTSDTGSIASCSIAPNTGSFTSGSIVSDTGSITTDTGSITSCSIAPGTGSIASDTRSIASDTGSIASGSIIPDTGSIASCSISPNTGSIASDTRSFTSDTGSIASCSIAPITGSFTSGSIASDTGSITPDTGNITSGSIASDTRSIASGSIAPNTGSIASDTRSFTSDTGSIASCSIAPNTGSFTSGSIASDTGSIATDTGSIASDTGSIASGSITPDTGSIASDIGSITSGSIASDTGSIASGSIAPNTGSIASDTRSFTTDTGSIASGSITPNTGSFTSGSIASDTRSFTSDTWSIAPNTGSIASDTGSIASGSIASDTGSITSGSIAPNTGSIASGSIAPNTGSIASDTRSIASDSIAPNTGSIASDTRSFTSDTGSIASCSIAPNTGSFTSGSIVSDTGSIATDTGSITSGSIAPGTGSIASGSIAPNTGSIASDTRSIASCSIAPNTGSIASDTRSFTSDTGSIASCSIAPNTGNFTSGSIASDTGSITPDTGSITSGSIATDTGSIASDTRSIASDTGSIASGSIIPDTGSIASGSITSNTGSIASDTGSITSDTGSIAPGTRSIASCSIAPNTGSIASDTRSIASDSIAPNTGSIASDTGSITSGSIAPGSGSIASGSIAPNTGSIASDTRSIASDSIAPNTGSIASDTRSFTSDTGSIASCSIAPNTGSFTSGSIVSDTGSITTDTGSITSCSIAPGTRSIASDTGSIASGSIIPDTGSIASCSISPNTGSIASDTRSFTSDTGSIASGSIVSDTGSITTDTGSITSCSIAPGTGSIASDTRSIASDTGSIASGSIIPDTGSIASCSISPNTGSIASDTRSFTSDTGSIASCSIAPITGSFTSGSIASDTGSITPDTGNITSGSIATDTGSIASDTRSIASDTGSIASGSIIPDTGSIASGSIASDTRSFTSDTWSIAPNTGSIASDTRSIASGSIAPNTGSIASDTRSFTSDTGSIASCSIAPNTGSFTSGSIASDTGSIATDTGSIASDTGSIASGSITPDTGSIASDIGSITSGSIASDTGSIASGSIAPNTGSIASDTRSFTTDTGSIASGSITPNTGSFTSGSIASDTRSFTSDTWSIAPNTGSIASDTRSIASGSIASDTGSITSGSIAPNTGSIASGSIAPNTGSIASDTRSIASDSIAPNTGSIASDTRSFTSDTGSIASCSIAPNTGSFTSGSIVSDTGSIATDTGSITSGSIAPGTGSIASGSIAPNTGSIASDTRSIASCSIAPNTGSIASDTRSFTSDTGSIASCSIAPNTGNFTSGSIASDTGSITPDTGSITSGSIATDTGSIASDTRSIASDTGSIASGSIIPDTGSIASGSITSNTGSIASDTGSITSDTGSIAPGTRSIASCSIAPNTGSIASDTRSIASDSIAPNTGSIASDTGSITSGSIAPGSGSIASGSIAPNTGSIASDTRSIASDSIAPNTGSIASDTRSFTSDTGSIASCSIAPNTGSFTSGSIVSDTGSITTDTGSITSCSIAPGTRSIASDTGSIASGSIIPDTGSIASCSISPNTGSIASDTRSFTSDTGSIASDTRSFTSDTGSIASCSIAPITGSFTSGSISSDTGSITPDTGNITSGSIATDTGSIASDTRNIASDTGSIASGSIAPNTASGSIAPNTGSIASGSIAPNTGSIASDTGSIASGSIAPNTGRFTGSIATCSIAPNTGSFTSGSIASDTGSIASGSIAPNTGSIASDTGSIASDTRSFTSDTGSIASCSIAPNTGSFTSGSIASDTGSIASDTRSFTSNTWSIAPNTGSIASDTRSIASGSIAPNTGSIASDTRSFTSDTGSIAFCSIAPNTGSFTSGSIASDTGSIATDTGSIASDTRSIASGSIAPNTGSIASDTRSFTSDTGSIASDTGSIASGSITPDTGSLASDTGSITSRSIAPSTGSIASDTRSFTSDTGSIASCSIAPNTGSFTSGSIASGSITPGTGSIASDTGSIASDTGSIASGRFTSDTGSIASCSIAPNTGSFTSGSIASDTGGIATDTGSIASDTRSIASDTCSIAPNTGSIASGSIASETGSIASGKIAPDTGSIASDTGSIASVSIAPDKGSIASNTGSIATGSIASDTGSIASDTGSIASNTRSFASDTGSFASDTGSIASGSIATSTCKVTTSTGSISPATFSIATSTGSISTATGSIATTTICLATSTARIAPATGSFTTSTGIIATSTCNIATSTGSISPATESIATSTSSISPTTESIATSIGSITTSTVSLATSTGSITTSTKNISPATGSIATSTCNIATSTGSISAATDSFTTSTGIIATSTCSIATSTCSISPATESIATSTGSITTSTGSFTTSTGIIATSTCNIATSTGSISPTTESIATSTGSISPTTESIATSTGSITTSTGSITTSTVSLATSTGSIATSTGSISPTTESIATSTGSISSTTESIATSTGSITTSTVSLATSTGSITTSTKNISPATGSIATSTCNITTSTGSISTATGSIATTTICLATSTGRIAPATGSFTTSTGIIATSTCNIATSTCKVTTSTGSISPATFSITTSTGSITTSTGSFTTSTGIIATTTCNIATSTGIISPATESITTSTGSISPTTESIATSTGSITTSTVSLATSTGSIATSTGSISPATESIATSTGSISSTTESIATSTGSITTSTVSLATSTGSITTSTKNISPATSSIATSTCSIATSTGSISAATDRFTTSTGIIATSTYSIATSTGSISPATESIATSTGSFTTSTGSFTTSTGIIATSTCNIATSTDSISPATESIATSTGSISPTTESIATSTGNITTSTGNITTSTVSLATSTGSIATSTGSISPATESIAASTGSISPTTESIATSTGSITTSTVCLATSTGSITTSTKNISPATGSIATSTCSIATSTGSISAATGSISAATDSFTTSTGIIATSTYSIATSTGSISPATESIATSTGSITTSTGSFTTSTDIIATSTCHIATSTSSISPATESIATSTGSISPTTKSIATSTGSIATSTGSITTSTGSITTSTISLATSTGSIVTSTGSISPATESIATSTGRISPTTESIATSTGSITTSTVSLATSTGSITTSTKNISPATGSITTSTYSIATSTGSISAATDSFTTSSGIIATSTCSIATSTCSISTSTGSISPATESITTSTGSISPATESIATSTGSITTSTGSISPATESIATSTGSITTSTGSFTTSTGIIATSTCNIATSTGSISPATESIATSTGSISPTTESIATSTGSITTSTVSLATSTGSIATSTGSISPATESIATSTGSISPTTESIATSTGSITTSTVSLATSTGSITTSTKNISPATGSIATSTYSIATSTGSISAATGSISAATDSFTTSTGIIATSTYSIATSTGSISPATESIATSTGSISPATESIATSTGSISPTTESIATSTGSITTSTGSITTSTVSLATSTGSIATSTGSISPTTESIATSTGSISPTTESIATSTGSITTSTVSLATSIGSITTSTKNISPATGSIATSTYSIATSTGRIAPTTNSFTTSTSIVATSTCSIATSTCSISPATESIATSTGSISPTTDSISTASGSIATSTCSITTSTGIISPATGIIATSTSSIATAMGNMASSTGSIATSTGRWLRAVHAGRYSCIWSVHRHQPRRDGHGR >cds.KYUSt_chr1.34545 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210278646:210283416:1 gene:KYUSg_chr1.34545 transcript:KYUSt_chr1.34545 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGLKPKALGDDEAAAPAPAPAEAKGEEVPAVEAAEAPAEETSRAVEVGTTEEATTTPAETKVAEEPKEKEPAAAEPAAVDLPPSAGA >cds.KYUSt_chr3.9623 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56537130:56538063:1 gene:KYUSg_chr3.9623 transcript:KYUSt_chr3.9623 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRYAATLAAAVPAVVVFVDCRLATELPIPAAYDNAFAALKAAVGVVGDLEHCRVGALTRPWASEGEPHARGRGISRAPGPVWKEYRYKWVKAISATIANLEVKVKETYPEFL >cds.KYUSt_chr3.37263 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234330278:234341166:1 gene:KYUSg_chr3.37263 transcript:KYUSt_chr3.37263 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKDVVAGSGSGLKDFPYTIGEPHASAWGSWAHHRGASKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVPDGPAVKHTIYIVTEPVTPLAEKIKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEFDANNEAAGSPMLQFEWLVGNQYKPMELTKSDWASIRKSPPWAIDSWGLGCLIYELFSGGKLTRTEDLRNIASIPKSLLPDYQKLLSSTPSRRMNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLQSALEFGSAAAPALTVLLKMGSWLPADQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLAAQTVDEQVFPHVATGFSDTSSFLRELTLKSMLILAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNISNYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNIVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLNTGDNRAAESTGVQLKPGNTGLLGWAMSSITQKGRPSDHGPVSTANASNSQVSASPSGTSDTHPAPMAYAPSTSNSLDQTAPALSSSSVDGWGELENDNQGENGSDNEGWDDVDPFEEKSAPSLLSNIQAAQKRPVVQPKQPVPSSSRSNPPVAPKAEDDALWGPMAAPAPKGASKSADTKPSVPHNDPDDLWGSIAAPQPKSSGRPSKPAAANSDDLWGAIAAPPPATKARPLASSGRGRGTKPAPKLGAQRIGRTSSTGM >cds.KYUSt_chr6.26121 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165458386:165460505:1 gene:KYUSg_chr6.26121 transcript:KYUSt_chr6.26121 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSLRVAVIGAGAAGLVAARELRREGHTPVVFERTDDVGGTWVYDDADATASRDPLAARRSNLYASLRTNLPRQSMGFFDFPFAAGPDGDPRTFPGHEEVLRYLREFARRFDLHGLVRLETEVVRVSRDANANSSWRVRYSRKLAGSEKREEEEEVFDAVVVCNGHYYQPHVADIAGMDVWPGKQLHSNTYRVPEPFQGQVVLVIGCSVSGMDISRDIAGVAKEVHVASRSAPAATCERLPGHHTNIWLHSMVDRAEEDGSVVFQDGSRIKPDVILHCTGYEYSFPFLGDDATISVDDNRVGPLYKHVFPPQVAPQLSFIGLPLKVIPFTLFELQSNWVAGVLSGRIELPSEEEMMRDVMAFYSGLDARGWPRRYTHVLGDLEFEYENWLAGQFRRECIEDWRRQMFAVAMEDNVDHHGNHRDMWDRGHRDHLLVQANREFTQYFPAVVSHNPPLLNCSQKKKNTPQFTLTACKDLDA >cds.KYUSt_contig_6762.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001368.1:5857:6249:1 gene:KYUSg_contig_6762.3 transcript:KYUSt_contig_6762.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGTAVGDGQRARGQAAARVRVSRAAATGGVAQGGVAVRSRGAAGGLDSAPPSPKSLFLGASLPLRPLAAQPPLPPPPPLRLLRPRLAVVGVYSLRFRLTPAVERLYLSELQLHNESEGLAVSKLRCS >cds.KYUSt_chr3.43028 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272000396:272005699:-1 gene:KYUSg_chr3.43028 transcript:KYUSt_chr3.43028 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPSRPIPPCQTPIMFPSRIFVKHLLGKNKDKKKLRGLNGERKERDQDEERQITLARCPRSSARASPLDAEDEEVEQRRQARTSVRPANREEKMRSFPFHGSSSSSIKDARDGKKVLTLAQPPPMCHEATDFTFPIGHVIHADRSVNLTNEKSIQAASSLFVQGTTVHYTDESSEINFKKQDMLINKHGIPGSNPDISFLKCAMAAAAAEASSSSRAAATETRIRGLGKVTEMKKMDDQRSPCSVRLYGPIFITRESLKIGHKTSTKVQDDPPYHFHVFNCQMKVKDPSLFRSYVACRDLIHRILAEGPETGKCDLAADNWENYTIHELATTIVDKVRQDTQDAWGVIPAYAFKILIGLKVRLIYSEPKALLLACKDKTVPARVPARRRKRRREPAGDLCVICLLEFSRTAEDETARLPCSHAFHSRCIGPWFHRVSTCPVCRRDAANNTVRHDTVVETAEGNDDGPPLQVDSFIQHDAVVDERVLETSDGSDDRTSVHQIGRPVRARRQPARLADTVWN >cds.KYUSt_chr6.29359 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186017945:186021530:1 gene:KYUSg_chr6.29359 transcript:KYUSt_chr6.29359 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAKTPRLRGGSKGRRRFTPPATKAAGISPSGELSQKLEHVTLFSYFDDDRPPAAHKPFEELLDDESHGSAPPPPPPPPVDADVAMEDRDCCILSQDFFCTPDYLTPPAPQLPSGFDADKENIPCPQSPEKSVARSKRYKRDCSPKDRCTSLLDSEEQDITPVQFGLCQDDSEEEQMAQPTSYKRGGYVPRSARVLRSQVTPPPCIRNPYNTDPRIDDNLFCVRQRKSSGASPSIGADGLSRYRTDFHELEQIGYGNFSVVFKVLRRIEGCLYAVKRSNKQLHNDMDRRLALKEVHTLVALGNHENIVGYFTSWFEAEKLYIQMELCDRCLSLNGDKPLEVGDALDLLYQVCKGLDFIHGRGIAHLDVKPDNIYVRDGVYKLGDFGCATLIDRSLAIEEGDSRYMPPEMLTDTYEHLDKVDIFSLGATVYELIRGTPLPESGLASLREGKISLLPGHPMQFQSLIKSMLDPDPVRRPSAKEILRHSVFEKLHNAAAKK >cds.KYUSt_chr7.3377 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20165015:20172467:1 gene:KYUSg_chr7.3377 transcript:KYUSt_chr7.3377 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCTYSARLNIYGSKAMDNVILMQCDVHFLPGVNHFVGSPEGVLALRLQLGMRRDEVGIGRIWRQQLTDDALEQSRCRRHIGCADIVLLPLECRLGRASEFAGGVLVTGCAFAGAIHTSHHDLHAASGAVGMLPENHADSRQIICHVYHAGIRACGRSTDVHVVHAEGDEDATPGEGAIAEVAYIVADEVQRAESLDEARNHTLAGSRAYDMSATLFRHEYAGLDDSMRFASKNNQLNQSEGYAGASLALISEIEPKDVIMQLLMQETFSRSECAMLIKIIQERVVDPDSPGVIDGYIPLPISWKAEKQPNLGYSSFSPNVSSPSPSTFPIHRHGFDSNAAADAVPTRTPASRGLFNHYDDNIESTKKRSYSVVRDTPEDLRRVRTKIDGNPINITKFKQVDVVRHRPDAACDDSGSVSKLMFKEDIEATPSLQMGLQLQNGSKNRRRKQSNSPRTTTKISEPPAMGTRNQANSPRTTPKLSEPPAMGTRNQANSPRTIPKLSEPPAMGTRSKISDSTVKVEIDLLEQIMPLSSDKQDPDYLPEKKPVGRTKKGK >cds.KYUSt_chr3.17889 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109807134:109815061:1 gene:KYUSg_chr3.17889 transcript:KYUSt_chr3.17889 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLRRARGSPAAAALWAASRGFASVGSDIVSAAPGVSLQKARSWDEGVATKFSTTPLKDIFHGKKVVIFGLPGAYTGVCSQSHVPSYKNNIDKLKAKGIDSVICVAVNDPYVLNGWAEKLQAKDAIEFYGDLDGSFHKSLDLEIDLSAALLGRRSHRWSAFVDDGKIKAFNVETAPSEFKVSGAEVDAFTAEPFKGNPAAVCLLEDDASAPTGDARWMQSVAVEFNLSQTAFLSRDSSCPADAATPRFHLRWFTSVTEVALCGHATLASAHFLFTSVLAEHHGVVEFATKSGILTAKKVPAPETEEQGKLFIELDFPSSDFVGCGSADELPSIPDTLNGASVVSVHKSVATTDFIVELSSGKEVADVLPNIEEIKKCGGRGVIITGPAPAGSGYDFFTRFFCPKWGIDEDPVCGSAHCVLAPYWAKKLGKQKLVAFQLLLQLFPPSTVLHILPSKPQLHLRQVPPLVDIILTLLLLSHLPLQCKLLPSLLPAHLAPVLPLRLSVMPPYLQQLPLVRGKCIYGRC >cds.KYUSt_chr3.22088 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136054796:136055203:1 gene:KYUSg_chr3.22088 transcript:KYUSt_chr3.22088 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHEVCSHGRIKLRRCSDGVAWMPKGAREATDHYSLPSKQPLMEATLEAGAATRGKACDNPDMVSSTICISLAEEERCANQPSSRKALHHPPSNGRVGPSTGENPSSSKPSTNAAAGVASSWLGCASSMASPTP >cds.KYUSt_chr1.9653 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58955004:58956779:-1 gene:KYUSg_chr1.9653 transcript:KYUSt_chr1.9653 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRQLFHRRLFKSEEDFPSHLKDVSDQILKKCDGLPLAIIAISGLLADRENTKDEWDQVKVSIGCALERNPSVEGMMKIISLSYFDLPAHLKTCLLYLSIFPEDDIIQKKTLIWKWIAEGFIYNEGRYTLYELGEKCFNELVNRSLIQPVKTKYGVVKRCRVHDTILDFIISKSIEENFVTLVSDSNTTEMQSKVRRLSLQGYNEGNLFVPDNLVLYHVRSLHVFGHSGDTHSLDRFTHLRVLDFVDCYHLENHYVEDIWRLLQLRYLNLRWTHVSKLPQRIGDLLCLEMLDIRDTTVDELPTSIVNLKKLAHLYVDFRVIFPDGIAKMQAVEKYINVHVSRQSTKFLQELGQLNNLKKVRLNLKGVCGTGDENGVAEVDVKAIVSSLQNLHTLKISHAGNFLTEAFLPRPALKNLQVSGSTVTRVPNSVGSLVNLRSLRLSMVCFREEDLCILGGLPALLKLRIIIRKCEDIAETRAIVSGAHGFSCLRKFLYAIRHGEMDLMFAAGSMPKLEVFTTRFDVVKTESFTGGDFDFGIENLTCLTTVKCKAYGRTIKTDDAAKAAMERKVRTHPNQPSLTFNYYVPMVDRY >cds.KYUSt_chr2.5289 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32829917:32832553:1 gene:KYUSg_chr2.5289 transcript:KYUSt_chr2.5289 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKAEMPAWMRRPGAPAAVDAVIFLHYGMMWVATAACLAMVIGRRAFRLVEGSPVLSSASSLSLYSLTVGVLLYPVAIMLVGFRGMSSASKSKGNSKDTKVPPTPRQIARKMLKDPVMAGALVVMAFIMLIPAGDFGVVDLSLPKGSHKAGVGSVLRDVGILGACVVNCFIIVPTTLLRQWRMRSPLIPPAPGRKQIALPSANESIESHASALDPLSPISPSTPMAALVAMEA >cds.KYUSt_chr5.21888 pep primary_assembly:MPB_Lper_Kyuss_1697:5:142945265:142946923:-1 gene:KYUSg_chr5.21888 transcript:KYUSt_chr5.21888 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHYPKYVLYGLLILGSWLISCLLHFQFVHLSLFSSRAQGSRASPIVLPLSVPNGLDTSIQHPASSVVADQDGALRRLSSAASPSCEGRYVYMVDVPSRFDVLRDCVEGSPVFQDEYHMCSLMANAGMGPAFPPATGNGSDGDTGVIPNTGTGWYNTDQYALEVIVHNRMRRYECLTDDPAAATAVYVPYYPALEIEQHRCGFNASVRNGPSSEFLRWLSSRPQWAAFGGRDHFMVAAKTTWMFRHLEGDGNATNRVCGNNFLEHPESGNMTVLTYESHIWERRDFAVPYPSYFHPTSANAVAAWQARARAADRPRLFAFAGARRANGILPIRDRIIDSCASSSRCGLVDCSHGLQGFLTCRSPRRLVSAFASSRFCLQPHGDSFMRRSSVDSIMAGCIPVFFHEESTFKKQYRWHHPDPDRSNGDERRYWVLIDPDQVLQGKVDIEEVLAKYTDEEVAAMREEVINMIPRFLYKNPRERFQGDMRDAFDVAFDEVMARMTRIKKGEDLGWPVDHDTLAAKDS >cds.KYUSt_chr7.36834 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230176079:230178610:-1 gene:KYUSg_chr7.36834 transcript:KYUSt_chr7.36834 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRQGSQEELLRMKKNGEQGKKGDDSGNGLSRKEATEELIGCMVHSEEEAYRLYCDYGHRVGFSVRKGKQSYFIGTKSIRTKDYYCSKEGLKSDEPVTEANFNRPDTRTNCKAMVRFRVDEKGRWTVIRFAPVHNHQLAKPGERHMLRSAKSFAVGKSGVIDPAASAELHPVNGFSGKAIAYIPEPPGYTVRECYNQDNVQNITLVEAADSQSLVSYFKRRTNEEGMFYWDVQVDQEGRMTNFFFRDGKSRNDYDCFGDAVIFDTTYRTNKYSLICAPFVGVNHHWHNIVFGCAFLLDDSTASYTWLFRSFLESMGGRSPKSIFTDQDEAIMQAAEQVFPNTQHCFSYWHILKNAQAHLGTINTSQAFQNMFMKCMQGSDTQEEMEESWAAMLNEYKLEDNNWLNDLYKFHNRWCSVFNKETFDGGINSSQWGEVSNNILTGVADESTSLTRFALLLEKVVKTLRTNESEEDFRCSQTAPVRAIKHSTVLKQAAESYTHRIYKLFEAEFLDGCGATSCDESSCGGTLLRKFERMGLLCSHALKAFSLQNVDTIPDKYILKRWTKDAWRSMYNLSQEDSTQQECTEAELAYRNRAMQYAYNLVLKSQELEEARKIFWDSLETGEKALEVFFEMRNLRAQSAKDASKRGKKKSSKGPSTKKAKQALASSSAAPELLAQTNGQQFQSAQDAHGNATIGRPVYYQTYPSTPMQPNQIFLNPNTMPVCAPQWIY >cds.KYUSt_chr5.33575 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213059081:213060148:1 gene:KYUSg_chr5.33575 transcript:KYUSt_chr5.33575 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSFPIIDMGLLNGKDRPAAMDLLHDACENWGFFQVLDHGISTELMDEVERMTKAHYKRAREQRFLEFASKTLQEGGGKAAENLDWESTFFVRHLPEPNIADIPDLDDEYRRVMKRFAVELEKLAERLLDLLCENLGLESGYLTRAFRGCQGVPTFGTKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDDRVGGLQLLRDGAWVDVPPTRHSIVINLGDQLEVITNGRYKSVLHRVVAQTDGNRMSIASFYNPAGDAVIFPAPALVAKETAGGTYPRFVFEDYMKLYVRHKFEDKEPRFEAFKAMESESAKLIAIA >cds.KYUSt_chr6.2851 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16812189:16814384:1 gene:KYUSg_chr6.2851 transcript:KYUSt_chr6.2851 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRFMEEMMREHEQSLLESACGGLFDHIDDLLDFPKDDCAADVLLLDAPVPGSPLAARVLDACGLPPVKPEQPAFLALPPPPPTQSAAGVEESAFMAALGDGHIGSCDVLDMDMDLAQLEWLSGLLDDASIPTEPAFAACAQPIIRASALSANAGVVLPDHTEEDALFRSSSPTSVLEDSAGGFNANKNGNGGGGGSSASSSSSSASSSSESFSGAARPWSVPVSPRPEPPVFVVPARARSKRSRASAFPTAIRAAAPPPEATILVPTPMYWPTTSSYSEPESIAESNSSQPPAMKKKKKAKRPAPPVTSDAEGDGDADYEEGSGGGGAALPPGAVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPAVHSNSHKKVVEMRQKVEPKGDDLLQFIRRRD >cds.KYUSt_chr7.7646 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46183307:46189525:-1 gene:KYUSg_chr7.7646 transcript:KYUSt_chr7.7646 gene_biotype:protein_coding transcript_biotype:protein_coding MADVIDPSARRPPPPPPDSPEGRALPLPVPPPPPPGGPPPTRKRSRSPPRPSLPPPPPLGLSRPERYRDRDRDGHRRGSTSPPPRRRRDSPSRRSPSPPFKRSRRDDGYDRRGGRGSPPPRYGYHPGRLRSFVCTGCLFPELSLGFMRLGFCVVRADWPDTGFGASNNGTGIQREGLMTYKQFMQVLEDDISPAEAERRYQEYKTEYITTQKRAYFDLHKNEDWLKDKYHPTNLLSVIERRNERCKVVAKDFFLDLQNGNLDLGPGITASAASKSGNGSDGYSDDDGDGDKRRKHARGSSKETDPLSAAPKAHPVSSEPRRVQADIEQTLNLVRKLDSEKGIQGNILSSGDHEKSDIDKSHIGSMGPIIIVRGLTTVKGLEGVELLDTLLTYLWRIHGVDYYGMSETNEAKGLRHMRADNKTTITTNVSAADWEKKLDTFWQERSNGQDPMVILTAKDKIDAAAAEVLEPHVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVYKHLRLKHPELVLEVTSKLREELYSQNYMNDPNAPGGTPVMQQSAPDRSRRRPGNDMDSRMRYDRGNRREYDRADRDGGRHGRGDRSPSRDGPDDQMFDGFRGRGSNAPFAAEFPAPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPPQFEQNGMPHGNSGVLAPMMGGGPAPIIAMPPSFHHDPRRLRSYNDLDAPDEEVTVLDYRSL >cds.KYUSt_chr4.40811 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252082281:252083888:-1 gene:KYUSg_chr4.40811 transcript:KYUSt_chr4.40811 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTALSMDTHPHQGPSTFLSMDTAAHDDFDLFLPPPGPFQRCLHAAAAPPDINLPLDADPSPPPPLYESNVDMLDVGLGCPQLYDSDSPAPAPAAPAPSVPASTTTTVHVSHTKSSGSSAARKCVKRNDSIWGAWFFFTHYFKPVMSSADKGVNKSKAPATTSTGNGNNTNATMDAFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSRLGEPQFPFSAEKGFVRSHRMQRKHYRGLSNPQCLHGVEIAQTPNLVGVPEADLKRWFELTGRDANFSIPTEAGDFETWRNLPTAEFELDRPSTAAPAKSTSLAHHKKLLNGSGLNLSSTHASKHGLGDGLDIAAMCHKRRKDSSPSAMEEDCSNSNSDKVQDMDVSHTFEPSWMNDFSGVMRHASGPVTAAKTIYEDSKGYLIIISLPFADMEKVKVTWKNTLTGGIVKVSCTSVGRMPFLKRHDRTFKLADPSPEHCPPGEFVREIPLPTRIPEDATLEAYCDGTGTGLEIIVPKHRVGPEEHEVHVSLRPPSSWCQ >cds.KYUSt_contig_2789.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000503.1:384:2393:-1 gene:KYUSg_contig_2789.1 transcript:KYUSt_contig_2789.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSAAAAAVGRAVEEVRSALNEHAEGGGALHASPPSSAAGSCSSAVDTFIGFSTPSTGRRKYQFPTYVVALKFSGVFLAEKLNAFLGQNWKSFSSQNYFDPQGLFISVMWSGPLLVITILILVNTLVTLCMLMVRWKRAELKHRAREARSKQE >cds.KYUSt_chr7.30298 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188692019:188694424:1 gene:KYUSg_chr7.30298 transcript:KYUSt_chr7.30298 gene_biotype:protein_coding transcript_biotype:protein_coding MTQMGAYKWAPGRRSNSSTQTTTTTHSSTDKLANQKLNMLQSAPPPMASPQPVSLLVLLGTVGSAVLAILLVALSTYGRRCRHPALRFFVWGASVAFIPLASSAISALLQQRKTDRDRHEQYCEEINPADCAPFPKGGESPEVQIMWTILLWAVIMVIIRGKADTAAASAATAAATPSTGDVSVDGQKVRIPVELLAKYAFVAWLIVVCMPEAEWLGFARKSIFVVFFLLGFAKVVLKLVAFFLASDSYAVGKNARLVSGYMAQLVEEGAVEGHGHVPPYLVMGENKDDVEATPEGYRINRGAIDNKLGALVTLDRVWRQSDHGDGLLGKHLELRDLCLSFSLFKNLRRRLSGYPIAEEGTSSALDFVLRGLDASGKGGADADRVFRVLVDELWFASDFFYSALPLCSFSGWCAALSYLLSVLIIAGAIGVGYVYHDSRVIVFNKTGPLSDAELAQNANYLITLFLLFATVLTETCEITAGVCSNWTKMALLGTYIGHGSPSPGFTQAVLEAVLRLKPAKRWRNKIGQNSVLEPRRFGKRSGLFSDKLYGRAGLMRSVQVSPAVKDAVLRSLKISYGGLDKGGGTAAPRFAVSGMAASAWAWPANGTQETLSSTTEHILACHIGTRLFEMKYSHTACPTLAAADKTAACHLSHYFSYLVAAAPGLLPDSTAWTEKRYKEVAEDVKAALGEDVADGASESAAERYERLVKELSAGSRDKVLRRGAELGRLLVEAYVGEEAAAWRFLADFWSEMVLFVAPSQNVKGHVEAMGRGGEFVTLVWALLLHAGVTDRADTPHRSSIP >cds.KYUSt_chr6.27877 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176814553:176815605:1 gene:KYUSg_chr6.27877 transcript:KYUSt_chr6.27877 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFSLPNDRHRLPPLNRSLPEEAKGRPFFYYENVAKAPKDTWSTISENLHGIKPEFVDSQNICATARKRGYVHNLPIENRIRLPLPPQTILETFPHYKKWWPKWDDRRKLNCLQTVKAPATVTEWIQHTLASSTSPPPASVQTQVLNECRKWNLVWTGKNIAAPLEPVEIEQLLGFPINHTRGVSKTDRYKSLGNSFQVDTVTYHLSVLKRMFPNGMTVLSLFTGIGGAEVALHRLGIRLKAVVSVEKSEVNRRIFRGWWDQTDQQGTLIEYDDVQSLSNDLIECHTRRLGGFDLVIGGSPCNNLAGSNRHHRNGLQGKESCLFFQYRRILRVVKSVMATMCEEGAASY >cds.KYUSt_chr4.26867 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168728533:168730089:1 gene:KYUSg_chr4.26867 transcript:KYUSt_chr4.26867 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTSSTATPTAMEELPWCTQCAGLAFLGFSLCVVALGAVLLLARRWPWCSCHVCRSYLTGSWARDFTNLGDWYAHLLRDSPTGTVTVHVLGCTVTANPANVEHMLRTRFDNYPKGKPFAAVLGDLLGGGIFNVDGDAWRHQRKMASLELGSVAVRSYAYGIVAGEVEARLLPVLADAADNARVLDLQDVFRRFAFDTICKISFGLDPGCLELDMPMSKLAAAFDAASRLSAMRGAASSPLVWKVKRLLNVGSERELRKSIRLVDELAAAMIRQRRKLGVAGSHDLMSRFMASEAHGAGAVDDKYLRDIVVSFLLAGRDTVASALTTIFMLLSKNPAVAAAMRAEDAARDNTTPTSRTTYEHLKSLNYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVSASQRVMYHPYAMGRMPRIWGADYDKFRPERWLTGAGGAFAPESLYRYPVFQAGLRVCLGKELAVMEMKAVSVAVVRMFDVEVVGENGAGAVAPRFAPGLTASISGGLPVRVRRV >cds.KYUSt_chr2.53713 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335091137:335093098:-1 gene:KYUSg_chr2.53713 transcript:KYUSt_chr2.53713 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLWLRGKEDMDVSFSQAEPAAINCRNEDLDVTAVNRDCLGSSEAVEASRKSFNQRNQLVPDDDSKLVLGLGPMPNLYSADSHSSGGNKVKLSATLFAHCTTTDPGLILGISSGSSRNLQPTIVPGSKEHSHKRKNGIFLPLIDEGSTSARRKSGEYVLPLLFAPRSNDICLNGTPSETDVQQHLGTRYEADHDRYLNQHKAQLSTEPSATTDCSFAETSDTTAETSDTIACTSNGEQRSHQRHLKKCRFNGCSKGGRGASGLCISHGGGQRCQKPGCYKGAESRTAYCKGHGGGRRCQELGCTKSAEGKTEFCIAHGGGLRCGVQECSRAARGRSGFCIKHGGGKRCIMEGCTRSAEGYPGLCISHGGGRRCQYPDCSKGAQGGTMFCKSHGGGKRCISEGCTKGAEGSTSLCKGHGGGKRCLFEGGAACPKSVHGGTSFCVVHGGGKRCAAPGCTKSARGRTNCCVRHGGGRRCVSDGCDKSAQGSTDFCKAHGGGKRCAWSTGCEKFARGRSGLCSAHETLMASKQEPECGQGTRMIGYGAFSGIGSSSWTAGSSMDHGISSSVPGASSDCGESLQDMPSGRLIPPQLLVPGSLKPSSSFNLAGNGQEGGEGIRSQSFGLVVPEGRVHGGGLMSMLGGAGGNSIHVPKS >cds.KYUSt_chr3.40483 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255287595:255288978:-1 gene:KYUSg_chr3.40483 transcript:KYUSt_chr3.40483 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLLAALASVVSSCAAELIRTTETRWALRIPLPSGVTGAESLAFDAEGGGPYTGVSDGRVLKWGGTAVGWTTFAYHANYRKLPLCASSVVPSEETESLCGRPLGLAFHYRSGYLYIADAYKGLMRVGPDGGEAEVLVTGAEGVAFNFVNGIDVDQATGDVYFTDSSLTYPRRFNTEVVINADATGRLMKYNAETKQVTLLKEGLLYPNGVAIGYDMSYVLVAHTTPCQVFRYYLKGPKVGNYELFADLPGYPDNVRRDGQNGYWVALNQERTHPNATAPVKHLVGVRLNRDGMEVEELTAAKGVTLSEVIELDGKLWLGSVELDYVGIIAQSVYTTSL >cds.KYUSt_chr4.25994 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163465256:163466011:1 gene:KYUSg_chr4.25994 transcript:KYUSt_chr4.25994 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSRLGVGLTVVSALLLLALAAELYYLLVHKRRQRRRAAAISDAASSPSSSSRELLQLFCFKKTPALASTTYAQEPRAGDAEADHEDDDDDDDGDDTVEGQLMRLGSLVGPSRLLFTIKEETKEDLESADGRSRCGRSRSLGDLLHCPETPFLTPASSPLPPAMERSYNPLFESPAASPTTIAKSPPPKLQFLKDAEEKLYRRALEEEAKRAARGSPSPVAGEEDGGYITIVVGKNTRVIPLPSPPRTS >cds.KYUSt_chr7.36115 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225725224:225728736:1 gene:KYUSg_chr7.36115 transcript:KYUSt_chr7.36115 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCGRGAPPLVLIALSAAFLTYNVLLSSRAVLPLPTSFPDATAAFSSSSSRRLASGGGSGGPRLFHTAVTASGSVYNTWQCRVMYHWFKEARRQARGADMGGFTRILHSGNPDEFVREIPTFVAEPLPEGTDQGYIVLNRPWAFVQWLQKADIQEEYILMAEPDHLIIKPIPNLSRDGRAAAFPFFYIEPKKFEKVLRKFFPENKGPIANIDPIGNSPVIIEKESLSRIAPTWMNMSLAMKKDPEADKSFGWVLEMYAYAVASALHGVGNILHKDFMIQPPWDLEIGDSYIIHYTYGCDYDMKGELTYGKIGEWRFDKRSYENKPPPRNLPLPPNGVPRSVESQA >cds.KYUSt_chr5.30826 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195219769:195219990:1 gene:KYUSg_chr5.30826 transcript:KYUSt_chr5.30826 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVGKTRGVVASLMHRRPFQHMVLRRLRELKKIVPDARDTDVDMLLQRTAEYICVLELKVAALRRLSIVYGA >cds.KYUSt_chr5.14027 pep primary_assembly:MPB_Lper_Kyuss_1697:5:91125303:91126259:-1 gene:KYUSg_chr5.14027 transcript:KYUSt_chr5.14027 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSMRDLASCIGDGAVRVACASPASTLTSSSGGAGSGNSGSASTLSVTVSYRATLLSSGAPPLLLRLTWAHSSVGPTLSFSPSAAAPSVLLRRRRGTRSVSLTGAVDDAEAESPALALFWDLTAARYDPGASPEPLYGYYFVAVAGAEVVLAVGDQAAEFVKTKLEGQISRARCVAVARRERVVVADPAAMHTARVRFAEGGPEHEVSVGCATSSGPGGGEELWVSVDGKRAVQARRLRWNFRGNQTVFVDGAPVDVMWDLYGWWFRDPPGCAVVMLRARSALESRLWLEEEGAAPGFSLVVQAFKAPP >cds.KYUSt_chr3.45421 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286173600:286179425:1 gene:KYUSg_chr3.45421 transcript:KYUSt_chr3.45421 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQRALLAAGAAALAAVAAFFLLPGPAPRLPWTPRGRFADMILANATIYTADLARPFGAAMAVRGGRVLHVGTYESVKEFRGKHTFELNLSGNVVLPGFIDSHVHLIDGGLQLARVPLRGVRSKDVFISRVKDAVRDKHPGQWVRGGGWNNDFWGGDFPIAAWLDDISPDNPVWLTRMDGHMGVANSLAIKIAGIDKNTNDPVGGTIVRTTEREPNGLLVDAAMKLVLDVIPDVSLTERRESLLRASRHALMRGVTTVVDVGSYFPGMSEKQPWQDFSDIYEWAQSMGKMMIRVCLFFPLPTWSRVSDLIHKKGRLISEWIHVGGVKAFLDGSLGSSSALFYEPYKDDLGSFGLQLIDMDVLLNATLESDKSGLQIAIHAIGDKANDMLLDMLDEIVNLNGVRDRRFRVEHAQHLAPSAAKRFGEHNTIASVQPDHLLDDADSAGKKIGVERAERSSYLFRSLSAGGAHLAFGSDWPVSDINPLQAIKTAMFRKPPGWEVPWMSAECLPLDDSLKAHTISAAHACFLDPVLGSLAEGKYADFVVLPSTSWKEFADDIPGHVLATYVNGKKAYP >cds.KYUSt_chr2.5775 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35876171:35877340:1 gene:KYUSg_chr2.5775 transcript:KYUSt_chr2.5775 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHTFSSFFLSPLDVSFGTATTYTHTLIKQLDHFTPLSIFQSLRPFHRSVTTNKQSESTMESQNMEVDALVQKITGIHAAISKLPSLSPCPDVNALFTDLVTACVPPSSVDMTKLGPEAQEMREGLIRLCSEAEGKLEAHYSDMLAAFDNPLDHLGMFPYYSNYINLSKLEYELLARYVPGGIAPARVAFIGSGPLPFSSFVLAARHLPDTVFDNYDLCAAANDRASKLFRADKGVGARMSFHTADVADLRGELAAYDVVFLAALVGMAAEEKAKVIAHLGAHMADGAALVVRSAHGARGFLYPIVDPEDIGRGGFEVLAVCHPDDDVVNSVIIARKSNDAHADGLQNGRGRQTRGSAPVVSPPCRFGEMVADLTKKREEFANAEVAF >cds.KYUSt_chr3.39941 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251729483:251732023:-1 gene:KYUSg_chr3.39941 transcript:KYUSt_chr3.39941 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHMGRYLARDMLISALKMDQVLLAVTNSATPQTGPTYAFGTGLRVFARSRSHDPALRVYRDMVASGIMPDEFVVAAALSSCAGATVLELGVSVHATAVRLALDPFLSVGNSLVSMYAKTGSLGEAKKVFDAMRVRRDPITWTALIVGYAQNGRGKESLEVYADMVRSGCRPDYVTFIGLLFACSHAGLVDAGRAHFRSMQAEHGVAPGPDHYACMVDLLGRAGRLDEAMDLLSQSTTRLDATVWKALLGACRTHGNAELGEYAAEMVWRLDPTDAVPYVMLSNLYSLARRWADVARIRMLMKSRGITKEPGCSWVGVNGVTHLFYVEDRGHPQTEEIYRKVEEMMDRIRAEGYVADTDWALQDEGPEGREKGLAYHSERLAVAFGLLAVPAGAPIRVFKNLRVCGDCHAAIKMIAKAYGREIILRDGNCFHHMRDGACSCGDYW >cds.KYUSt_contig_686-1.838 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5140892:5141374:1 gene:KYUSg_contig_686-1.838 transcript:KYUSt_contig_686-1.838 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIEKGKGRGRLDCDLARKLEEVKATDVRVTQDQDTKRRLERSDHGALEGHMARSHELRGAERTVGTIIGDDGLAEEEVTRVDPGLHQQPYKELHPRRRAAVPNETRRRGGDASEPTELLERTCLDLALGPPTERQPLIILHCGQRNQGKSGLEHCSCE >cds.KYUSt_chr5.38557 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243964711:243967048:1 gene:KYUSg_chr5.38557 transcript:KYUSt_chr5.38557 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDNYLLQSAAWGFLPEAEALPLGLDSNGVIHFHGRLCCGHEPRQHQGTENPTQAPAVFTDFHVSVLTEEDGAIKIRVTVSSKMTDSIFEKVFSKHVAAAQPLPGFRRMKGGKTPDVPKEVALHLIGPSKVKKAAIKKIINRAVAEYVEKEKLDASKNLKVLQSYEELEAAFEPGKEFCFDAAVHLAGN >cds.KYUSt_chr7.3276 pep primary_assembly:MPB_Lper_Kyuss_1697:7:19520133:19532410:-1 gene:KYUSg_chr7.3276 transcript:KYUSt_chr7.3276 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os06g0656500)] MPDRRRTNLFEHLPEEIIDKILIQLPSKDVGRCRAVSTSWRSITSTPEFMLEHRRRQPSLPIINGNGRPASLVVIRDTGSNQQLWPFLPGFEHRSKSDLRASCDGLLVIRHLTRYYIRNPVTREHAFLPQLQVGQGFFNNIIGFYRHRPTGEYTVLWVSKSRDLSSKSSLHALTVGSEEPRHVAVTMIGVSSPSMEHKLLVEICPLSSSPPPVHHRGSLHWFPYGGCPILGDVGDDIIVFDTEAESFRRMRGPTQLCSNRKLFDMKGALAFWGSPAPGYNTIDVWMVQDYEAGIWDFKFRINPSTVEVSRQIYLASSKRKRKATLDSTVRWFSDMVVLNERELLIKFNNKLVFRYDIGGEFLGMVNLGKRQYCMLLTQHRLQESIIPIPSHWRQGEDEEAYLNVQHSWVQERKTARTDDDSTLRYIAINHQTQQRFGKKSQNPLTWHHRSQSTDALLCAGLTQVSPTTVGPPSGEVTCLPPPCGPRELYSLTCLPPASSPVYYPGPQFPLLSHEQQPEHGIPAAIPPPSAPAKDFHPARSMGSIAADAPPAELVFRSKLPDIEIPTHLTLQDYCFERLPDHSARACLIDGATGAALTYADVDALTRRCAAGLRRLGVRKGDVVMALLRNCPEFAFVFLGAARLGAATTTANPFYTPHEIHRQASAAGARVIVTEACAVEKVRAFAAERGIPVVSVDEGVDGGCLPFAETLLGEESGERFVDEAVDPDDVVALPYSSGTTGLPKGVMLTHRSLVTSVAQQVDGENPNLHFSSSDVLLCVLPLFHIYSLNSVLLAGLRAGCAIVIMRKFDHGALVDLVRAHGVTVAPFVPPIVVEIAKSVRVTAADLASIRLVMSGAAPMGKELQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFAVKSGSCGTVVRNAELKIVDPDTGASLGRNLPGEICIRGKQIMKGYLNDPVATKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMQDELAGEVPVAFVVRTEGSEISENEIKQFVAKEVVFYKRICKVFFADSIPKSPSGKILRKDLRAKLAAGIPSSNTTQSKS >cds.KYUSt_chr1.2390 pep primary_assembly:MPB_Lper_Kyuss_1697:1:14069337:14074858:-1 gene:KYUSg_chr1.2390 transcript:KYUSt_chr1.2390 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGKDSRSGDANGGATPKGDKEKGVNVQVILRCRPLSDEETTSKTPVVISCNERRREVAATQVIANKQIDRTFAFDKVFGPSSKQKDLFEQSISPIVHEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKAKSGELPTDAGVIPRSVRQIFDILESQCAEYSMKVTFLELYNEEITDLLAPDEPRFPVLPVSEDKTKKPIALMEDGKGGVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHESEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIRNKPEVNQKMMKSAVIKDLYSEIDRLKSEVFAAREKNGIYIPRERFLQEEAEKKAMTEKIEKLGADLEARDKQLVELKELYDAEKLLSAELGGKLEKTQKDLEGTRNALHGLEEKYNEAKSIIKEKEYVILNLQSSEKSLVDCAYNLRAELENAEADVSGLFSKIERKDKIEDGNRSLVQRFRSQLTHELDALHKTVSTSVTQQEDHLKQMEDDMKSFVSSKDEAAQGLKERIQNLKALHGSGITVLDNLAGEIDINSQTTFEKLNSQVQSHTSALEKCFGVIALGADNLLNELQCSLSKQEERLAHFANKQREGHLRTVEASRSISTITAGFFHSLDIHASKLSSILEETQGVQDQQLLDLEKKFEECAANEEKQLIEKVAEMLANSNARKKQLVQTAVGSLRESAVNRTSQLQEEISTAQDFTSSVREKWGFYMEETENNYIEDTTAVDSGRSCLAEVLVECKTKTDMSSQQWKNAEDSLFSLGKGNVESVDSIVRTGAEANQLLRSKLSSAVLKTLEDIDTANKAILFSIDSSLKVDHEACVNIVSVLTPCHGEITELKGAHHHKVVEITENAGKCLEEEYLVDEPSCSTPRRRQINLPSAESIEELRTPDYEELLKSFRESRTGWKQANGDTKHLPEAQESTSPSAASDARVPLVARN >cds.KYUSt_chr2.2168 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13143218:13150541:1 gene:KYUSg_chr2.2168 transcript:KYUSt_chr2.2168 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVETAAASRALLPPRTKVLLLPLLLLVASLAPHASSADGVRYDYKAYTECKGHPEPALYNGGILRWAKKIKDFRTADEGNYSPSFVLYNMSAATVYSFSCWVKFDGPGTVHVKAKILSLDNGASQCLGTALVRNDCWSFLKGGFTLSSASQTSVLYFQTASPNASMVSIRSASLQPFSPEEWSQHREDRIELIRKRSVNVHVSDSSGSRVVGAKIAIHQMSRDFPLGSAISKTILGNKPYQEWFSKRFNAAVFENELKWYATEPSPGKEDYTLADQLLQFVQSTDAVARGHNIFWEDPKYTPWWVKNLTGADQLRAAVAGRIESLLSRYKGDFVHWDVSNEMLHFDFYESRLGRNATAEFFRTAKRADPLATLFLNDFNVVEVCDDVSSSADSYVSRLRQLADAGVTFEGIGLEGHFGKPNIPYVRAVLDKLGTLRLPVWLTEIDISSALDQKTQAAYLEEVLREGFAHPSVDGIMLWTAMDANATCYQMCLTDGSFVNLPAGDVVDRLLGEWQTKEVLGATNDRGSFNFSAFLGEYKLSVAYLNSSAEGTFSLARSDDTKHITIRLSP >cds.KYUSt_chr1.27942 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168500228:168504789:1 gene:KYUSg_chr1.27942 transcript:KYUSt_chr1.27942 gene_biotype:protein_coding transcript_biotype:protein_coding TFKRKNQKPLYEAILKVKEELMLLGFISLLLTVFQGMIQKTCISSGWTLHMLPCKREELEGEESGPAKEHFVTSQIIGRIGRRLLSDGAAGVEICKHKGKVPLMSLEAIHQLHIFIFVLAITHVVFSVLTMVLGGAKIHQWKQWEDAIQKDNAGNGPKKVTGVHNFEFIREHFKGIGKDSRILSWLQSFCKQFYGSVGKSDYTTMRLGFIMTHCRGNLKFDFHKYMLRVLESDFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFIPLILLLAVGTKLEHVIAQLAQDVAEKNSAIEGDLIVKPSDDHFWFGRPKIVLFLIHFILFQNAFEIAFFFWILTTYGFNSCIMGQVVFIVPRLVIGLIIQLLCSYSTLPLYAIVTQMGSSYKKEIFNEHVQQGVLGWAQKVKLKKGFKKSNTTAESTSIAESAGPSAKIEMIKRTSGEGNDTTPLNEQRGKETSSASESIE >cds.KYUSt_chr6.26131 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165563395:165563628:-1 gene:KYUSg_chr6.26131 transcript:KYUSt_chr6.26131 gene_biotype:protein_coding transcript_biotype:protein_coding MADRTQQHQLNQQLRQEEQQRSPADGRENLGRPGLPAEEAADPGRGGNAAPKAQAKEVEAKPATRMMDAPAKTAGYG >cds.KYUSt_chr6.15236 pep primary_assembly:MPB_Lper_Kyuss_1697:6:95520753:95525318:1 gene:KYUSg_chr6.15236 transcript:KYUSt_chr6.15236 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDESVQWDSMNGADAVNGGGSGAGRLDRIQVLVRVRPLSEKEIARGEPAEWECINDTTIMFRSTFPDRPTAPTAYTFDRVFHSECNTKEVYEEGVKEVALSVVSGINSSVFAYGQTSSGKTYTMTGVTECTVADIYDYINKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVILRDWNHLKGLTAVCEAQRKTGETFLNEKSSRSHQILKLTVESSAREFLGKDKSTTLMASVSFIDLAGSERASQAMSAGTRLKEGCHINKSLLSLGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLFFGSCAKDVATNAQVNVVMSDKTLVKQLQKEVARLESELRHPVSSSSLEAQVKEKDNQIRKMEKEIKELKSQRDLAESRLQDLLQVVGDRDPKHKVSGKRSVRSPPSVGMPPSMSRDDSSQISHDDSDHYKEVRCIETNGTQGNECLSLSAGESTSPRDSNRNSSRRGNDLNASVNSRPLGETPITLEQHLENIRRPFISKDLGSSVRNSSSCRVIGRSRSCRSLTGSTLLDDLEMEDCTPVNRSLVIFPGRPEEYHRRGSALNYDAGSETLSRAGSMLSEMSTSKGATKANSSAAGDAEFTGIGEFVAELKEMAQVHYKKQLGDQSANGKSIGLDPIMDALQSPSRWPLEFEKKQQQIIELWHACSISLVHRTYFFLLFKGESADSIYMEVELRRLSFLRDTFSKGSTPSNVIVGSLSSSPVASAKKLQREREMLARQMQKRFSVEERNHMYTKWSVSLDSKKRKLQVARRLWTETKDLEHVRESASLVAKLIGLQEPGQVLREMFGLSFAPQQPPTRRSSNGWRYGIASFS >cds.KYUSt_chr7.4485 pep primary_assembly:MPB_Lper_Kyuss_1697:7:27095216:27095452:-1 gene:KYUSg_chr7.4485 transcript:KYUSt_chr7.4485 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMSGLVSSDRWAAFASSVPGLVVSASSVSDLMSCLRLVGARQEIVRFIEEKTRRWSGFASSVLGRCWGRWSIWLSE >cds.KYUSt_chr7.12124 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74525269:74526280:1 gene:KYUSg_chr7.12124 transcript:KYUSt_chr7.12124 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCCTHFALAAREVPAAGNDKAMRERYEKWMAEHGRTYKNSAEKARRFEIFKSNAHFIDSYNAAAGPGAKSRPGLTTNNFADLTEAEFNNIYIRRRSLPRPPSVVETGFMYGNVCLSHIPASIDWREKGAVTPVKDQGSCGCCWAFSAVAAVEGIHKIRTHKLASLSEQQLLDCSTGANNRGCNLGDMDEAFRHIVHSGGLTTEEAYGYEAKQSTCRSSGKQAAAKIRGFQYVPPLNETALLLAVAHQPVSVALDGNNTAFRFFDGTGIFGAAGQQCTTDLNHAVTALTRTVASTG >cds.KYUSt_chr2.13507 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85634994:85640673:-1 gene:KYUSg_chr2.13507 transcript:KYUSt_chr2.13507 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQQQNQAAALMQQAAALHSMYPMPPPPPHHHLLLGAAPPQQIEPILTGNLPPGFDTSTCRSVYVGNIHVQVTEAFLREIFQSVGLVDGCKLIRKEKSSYGFVDYYERRSAALAISTLNGRQIFGQPIRVNWAYASGQREDTTDHFNIFVGDLSPEVTDSALFAFFSGYSSSCSDARVMWDQKTGRSRGYGFVSFRNQQDAQIAINGLNGQWLGNRQIRCNWATKGANSGEDQQTSDLKSIADITNNFTENGKDKANIDAPENNTQYRTVYVGNLAHEVTQDVLHRFFHALGAGALEEVRVQHGKGFGFVKYTNHAEAALAIQMEEIKELEAQVLSLKKDLEKRHEGKSALDKMLSVQQSPNDKSGLGFNSNNKNKSKSKNIKKKGQDKVKDSAKLVCFKCKVEGHHVRSCPLKKKKHLSEKQRGKRPQGQGQAYARPQVEDRPLPKKNQDSVPQEKKSIKKRKGNTCYLCREKGHFASSCLGGTLSNPIIVDDDYSLRKDKDGNVFAKFIGTQSGFKKRTIWVKNHLGCQAYCD >cds.KYUSt_chr5.9934 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63440777:63441655:1 gene:KYUSg_chr5.9934 transcript:KYUSt_chr5.9934 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDMQIPHAFRGLAFGIPASATDYVGWENGHQWDPIYGDEKALPPARTALRMECDFSYLPDHDEDAHFCHARAGVVGLADGVGGCRGDGVDAAEFSRGLMANAYNAVAAASSSGICPYTLLEMAYQKTVASTRTRAASTALVLSLAGHALRWAYIGDSTFAVFRGGRLLLRALPQQHYFNCPFQLSAVGGDRVKDAAVSEFPVEEGDVVVAGTDGLFDNVFDAALEGIVQTCTALSLTPGEMAQAIGRLAYDMARSSRESPFSAASREQQGTNFTGGKMDDITVIVAFIVS >cds.KYUSt_chr7.18515 pep primary_assembly:MPB_Lper_Kyuss_1697:7:114694300:114695230:1 gene:KYUSg_chr7.18515 transcript:KYUSt_chr7.18515 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGIPITSEKIATVVKAAGIKVEAYWPALFAKLLQTRSVDDLILSVGSVAAVVSTSQILWHSIVALVVIVALGVLSAGGGGAAPAAAAPAAGGAAAVEEKEEKKEEAKEESDDDMGFSLFD >cds.KYUSt_chr3.7607 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43770036:43770876:-1 gene:KYUSg_chr3.7607 transcript:KYUSt_chr3.7607 gene_biotype:protein_coding transcript_biotype:protein_coding MATKELSIKLVIDTKTDKLCFAEAGSDVVEFLSCLLSLPLGTVASLLTKESMVGSIGNVLGSMEQLDANYKSNVLRLSPAVAPATLSRLQQLLGSHLSNTNVFTCECPNNFPGPTFYFDNCNNRRASCGYFSATKGTACLVCQKPMDKPMTMAPDAATNGPKVVAASPTTYAVKDDLSVTPASNLLSGITLLSQCGVMNISALEEKTVKIGKEEALAILAASLKSKTVLTDVFLPKKNSRCKREPAEEVI >cds.KYUSt_chr2.17552 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110567025:110577950:1 gene:KYUSg_chr2.17552 transcript:KYUSt_chr2.17552 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLESWIYEAQVRRFPRSSSIPDWGGDRNPRDGGDRSCHRDLENAVELLQRYRRDRHVLLNYILSGNLIKKVVMPPGAISLDDVDIDQVCVDYVLNCVKKGHPLDLGDAIRLYHDSLDYPNVANTGTVEEFYLLTKPEYSGSPPAREPPPVPATAPSPVVIPPPVVEQPQIPVPLPVANLPKSLSLDSPTEKELTIDDIEDFEDEEDEFDSRRASRRHQTDANDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPKKEKKKEKRHRLMRKLGRSKSESVESHTQRQPGLVGLLEILRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLPPSAAEVQRTECLRSLREVATSFSERPARGDLTGEVCHWADGYHLNAALYEKMLGSVFDILDEGKLTEEVEEILELLKSTWRILGITETIHDTCYAWVLFRQFVFTGEQGLLKVVIEHLRKIPLKEQRGPQERLHLKSLRSSVDADDSCQDFTFFQSFLSPVQKWVDKKLNDYHLQFSEGPNMLADIVTVAMLTRRILGEENDKAMESPDRDQIERYITSSVKSAFVKIAHSVEVKADTAHEHVLASLADETKKLLKKDTDIFSPVLSRWHPQATVLSASLLHKLYGNKLRPFLEHAEHLTEDVVSVFPAADSLEQYIMSVMASVVGEDGLDSICKQKLAPYQIESKSGTVVLRWVNGQLERIETWVKRAAEQEAWDPISPQQRHGGSIVEVYRIIEETADQFFAFKVPMRISELNSLCRGIDKAFQIYTQLAIGPIVDKEDLVPPVPVLTRYKKELGIKAFVKKEIPEVRTVDEKKASEIVQLTMSKLCVRLNSLYYGISHLGKLEDSISERWARRKSDNINIRRSMSGKSKSAVSNQKNQFDGSRKEINAAIDRVCEFTGLKVIFWDLQQPFIDNLYKNSVPQARLDTIVEVLDLVLNQLCDVIVEQLRDRVVTGLLQASLDGLLRVILDGGPTRVFSPNDAPLLEEDLEILKEFFISGGDGLPRGTVENLVSRVRPVINLIKQEMTFLMFSLSQTRVLIDDLREVTQGGKSKLGGDAKTLLRVLCHRNDSEASHYVKKHFKIPSSAPPSS >cds.KYUSt_chr1.39549 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241940840:241943574:-1 gene:KYUSg_chr1.39549 transcript:KYUSt_chr1.39549 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVNPLTGFRVDGRRPNEMRQLRGEVGVVSRADGSALFEMGNTRVIAAVYGPREIQNRSQQVNLKEALVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPHSQIDIFVQVLQADGGTRSACINAATLALADAGIPMKDIVTSCSAGYLCSTPLLDLNYIEDSAGGPDVTVGILAKMDKVTLLQMDAKLPMDTFETVMELATEGCKAIATYIREVLLENTKQLECQRG >cds.KYUSt_chr2.3194 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18889524:18890597:1 gene:KYUSg_chr2.3194 transcript:KYUSt_chr2.3194 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQRSDRHDGANRWRHGQKHLYLVLDDWEKGYSIHEVDDHAFENSVGTELELAPVLRLEAPRGVLIGALGSKVVALWQPTAASRAVAYDTRTAGLAVGPPLPDALQTMRFVVAAGKKLYAVHEGGVHCLDLDAGEHQWAWTRASSSPLRLPGCDQDGPVEITSYAVHPDGRTIFVSAHAKGRGPGNAYAGTFSLDTGGDGDAGWTRRGEWLLPFLGQGHYDADLDAWVGLHSRRYMCTCDVPAPLADVCSGDDDHDAPAPPQPTWELVGATSLFSADPDPRRGDSAASLVNMGSGEFCVVEALLATGEYVQLRLTRFRFERDRHGRLRASSRHGGALSRIVRKLDPLFSPRAFCI >cds.KYUSt_chr5.43599 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274617457:274617960:1 gene:KYUSg_chr5.43599 transcript:KYUSt_chr5.43599 gene_biotype:protein_coding transcript_biotype:protein_coding MMTATITMRFAVVGAVALLLVGGCTADNCFFVEGEVYCDTCRAGFVTNVTTPIQGAKVRLECRHFMTAAGTVERSAENVTDAAGNYKIELKDNRGSEEVCAVRLLSSPVPGCTEIDKGRDSAPVTLVDAGLATKVRRASALGFLKTEPLPNCGKILSDLALGSGPSY >cds.KYUSt_chr2.15508 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97658465:97659676:-1 gene:KYUSg_chr2.15508 transcript:KYUSt_chr2.15508 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSNVGDGPAGLIAERLLADDVTDYVRFRAVCRPWRQCTADPRAHGVLDRRFLPRRWIMLPEEEVADPDRRRRLLNVSTGQCVRAHLPELRGHDACAPTIEGLLVLRNRATYAVRLLNPLTRQVADLPPATTLYSLYWRAGMYDAYPRLDFEVSAAGLADDDATVAVLFEEVQMLAVAKPGDEQWTLVKQGASFSPAISFAGRFYCTTGTDINVVDTRDNQPPRLVVAAKINHQLSGNLDTMHLLDNDGELLLFTCTYEACTYEADHFPADETREYFLEYNVSRVDLEARKTQPIRDLGGRALFIGSTRAISVSPLAFPAIEKNSFYHESIGWYKIVDGTIMSFHPGSDVTEACAFVNRIPRYGPHTIVQYLSQYVTTKDTSASLAEDGTSDYDAEANCVIC >cds.KYUSt_chr5.22725 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148408708:148409421:-1 gene:KYUSg_chr5.22725 transcript:KYUSt_chr5.22725 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESWWCWPLSAWLSSGMACFLFFNAIVCVVAVLSWGRAGGDATLSARRKRLTRSASSMVMERLRSMSTIFSFNYYVDEYDSITPPESHPHHLQGYYCASQEERREEMSQAASEEEPEPLVAVAESRTTTMARPKPSVSIASAAAAEVCAATSTTGNGKEDPETQEEMATPDPAAAKGAATLERLRKWKVPSIVERRAFAEIEEKAEVNARAERFIRQFREDLKLERLKSILTRKC >cds.KYUSt_contig_686-1.498 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3050938:3052358:1 gene:KYUSg_contig_686-1.498 transcript:KYUSt_contig_686-1.498 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSGGFCGYGTGIELEGSNACWAASLMIPGAEVPRGSSSLAALPPPVRGGGDRGGAEGAEGEAVVAAATSGAAGRRKRRRARSVKNVEEAETQRMTHIAVERNRRKQMNEYLAVLRSTMPPSYVQRHVYCDLYRQGDQASIIGGAINYVKELEQLVQSLEARCHARLRNPVLDDVSAAVAVPFADFFTFPQYTMSVLRPHVPDPAVAAASAGETATDADEMATGSKHSAVADIEVTIVESHANLKLLSRRRPRQLLRIVAGLQGHRLAVLHLNATSAGHMALYSLSLKVEDDCRLSSVDDIAAAVHRIVEMIAREEEHKEASRS >cds.KYUSt_chr2.43924 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273407226:273413367:1 gene:KYUSg_chr2.43924 transcript:KYUSt_chr2.43924 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRGEETPRRTAAGQAMQELQANGAAATATSASGAMVVGLSPLSETLWRDSKSLPGGGAAALIGEVSARLTWKDLSVTVALGPGKTQTVLDELTGFAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGSVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSALLRLPDKMPREDKRALVEGTIIEMGLQDCADTVVGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSGGKNVYFGQASQACEFFAEAGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARVERSDDPLDKITTSEAIRKLVSSFSRSQYYYAAREKVNDIARIKGTVLDSSGSQASFLMQACTLTRRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYLDVGTKYTSILARAACAAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVLAFVIANTLSAMPFLILICFMSGTVCYFMVRLHPGFSHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKVFWRYPMQYISFHYWALQNDMDGLLFDNQYPDQPKIPGEFILKYIFEINVKRSKWIDLSVIFSMIFIYRMLFFAMIKVNEDVTPWIRGYIARKRLQKKVPAIGKTPSLRGYVVDPELALLSGESDSRKKDRQVRTCLVSCPCTFAAYCGTTTVAAASSHATDDTDLKDVTASTAGTTVQAATSAAATTQEAPMEATVASCAVAAANVTACSIVPAVETATSFKSVSAVACDEAAATVVVLQQAAKVHGYETRNVLTYLSFFKSLSQESRADNV >cds.KYUSt_chr1.31372 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190273938:190279942:-1 gene:KYUSg_chr1.31372 transcript:KYUSt_chr1.31372 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFLHRVKGLDAFPKVNEDFYKRTLSGGVVTLVSALIMMLLFISETKSYFYSATETKLVVDTSRGERLRVNFDISFPSIPCTLLSVDTRDISGEQHQDIRHDIEKKRLDSHGNVIESRKEGIGGAKIERPLQKHGGRLDKGEEYCGTCYGAEESDEQCCNSCEEVREAYKKKGWALTNPDLIDQCAREDFVERVKTQHGEGCSVHGFLDVSKVAGNFHFAPGRGYYESNVEVPELSLEAGFNITHKINKLSFGTEFPGVVNPLDGAQWTQPASDGTYQYFIKVVPTIYTDIRGRKIDSNQFSVTEHFRDGNVHPRPQPGVFFFYDFSPIKVIFTEENRSFLHYLTNLCAIVGGIFTVSGIIDSFIYHGQKALKKKMEIGKYR >cds.KYUSt_chr4.12657 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77786659:77786865:1 gene:KYUSg_chr4.12657 transcript:KYUSt_chr4.12657 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAGFSHHYPEKRNIDGGKGCAHHRQQPPARSGTQSRIRRRGLDQLACNRDTTMAPGSEQPSAATPL >cds.KYUSt_chr4.5001 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28628355:28629275:1 gene:KYUSg_chr4.5001 transcript:KYUSt_chr4.5001 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSELEVDGVVFPPLARPPGTAHAHFLAGAGVRGMELGGNFIKFTAIGVYLQADAAVSALAAKWAGKPADELAADNAFFRDVVTGEFEKFTRVTMILPLTGAQYSEKVTENCVAYWKAVGKYTDAEAAAVDKFKEAFKAESFPPGASILFTHSPAGVLTVAFSKDSSLPESGGMAIENRPLCEAVLESIIGEHGVSPAAKLSLATRVAELLKEAAPVGEPAVAEPVSVSA >cds.KYUSt_contig_1181.401 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2709647:2717673:1 gene:KYUSg_contig_1181.401 transcript:KYUSt_contig_1181.401 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSFLFRVLFKGMASKEVVKSRDRDPGLAVLAVALCDSRGKVVLRIQKTVEGFAGGRMMLELMALTEGLQAEVGFGIQSLTIVTDYRALHNHHELKFWLIDKAGLGSLLNSDGGWRVRMEDQNNGSGKKTCRRSGKMTYVVIAGAAGVLGSLALGRNPGHPSGGSVLSRRLRHAQEIVAVPEVAEEQIVDAVYEDEASATSITADADDLLPPPPTFAIPPMEWLLGGTSTSSLIGEEFEALPPLVYYQRHGFGPCLPSPTPSGEDPPPFAPLGYDPLPEMEEEENDVVAAAHVVPSFPDLNLLAPDEEKAEDTAPSAALPTPSPEARVLLRRFASTMAARPAGIRRATWSPEALGLAGRIVEIRLNEAAPHFPPRPRKNQADSRIADQHRREARQRIEEIEGGG >cds.KYUSt_chr2.45446 pep primary_assembly:MPB_Lper_Kyuss_1697:2:283404759:283408752:-1 gene:KYUSg_chr2.45446 transcript:KYUSt_chr2.45446 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLLGLWWLVVVLFAAEALAAEETAVVVGGEPGPRRHAYAAMMYMGTPRDYEFYVATRVMMRSLRGLSAHADRVVIASLDVPPTWVQALKDDGVTVVSVDNLKNPYEKQENFNTRFKLTLNKLYAWTLVSYDRVVMLDSDNMFLQNTDELFQCGQFCAVFINPCIFHTGLFVLKPSMEVFKNMLHELGVGRKNPDGADQGFLTGYFPDLLDQPMFHPPVNGSKLDGNYRLPLGYQMDASYYYLKLRWSIPCGPNSVVTFPSAPWMKPWYWWSWPVLPLGLSWHEQRRELLGYSSEIPMALFQALLYIGVIAVNRLARPSLSKLCYNRRMEKNIMLSLTTLRVVAAWSILAAYIVPFFLIPRTVHPLLGWPLYLLGSFSLCSLVISVFILQPLAVLTIWFGLIGTLFVMACPWYMNGVVRALAVFAYAFFCAPVAWASLVKIMSSLQNLIERDAYRLGEPNQTVEFTKLY >cds.KYUSt_chr5.17504 pep primary_assembly:MPB_Lper_Kyuss_1697:5:112930804:112932104:-1 gene:KYUSg_chr5.17504 transcript:KYUSt_chr5.17504 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSVRTSRDLGGLVADHGGQLGLGHELGHLADEELDGALLPAAPVLPWASATSTIGPRAAWARHDGNTRSCGYVLCVRKPTKRTRRPDPISRIANDDEGSHPDDKIQLPQFSQTAPIDEAFPRQCPP >cds.KYUSt_chr3.2808 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16188503:16192446:-1 gene:KYUSg_chr3.2808 transcript:KYUSt_chr3.2808 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKHGPCFTARTPRIPSSPPSPPRLLASPPVVPHLLYRRLPPSRRAAFDDPERIVLEWIDGCRGVSSSGFVLCGFPIVSACLMASKMTLCPHPSRRPLHLEVNPALYLAHRPILCVGIRAAADWAWFNLDEEESSRQFASLHACLVAMICINVLELELSVNLQLVLPHSIPYVSRLNIRQHVCTTLQFPIDCVHAIHHINMGIPPDGVNSWNSAVPMIFHGDQMPTPSAAGRRGVMGEAD >cds.KYUSt_contig_1253.75 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:447867:455761:1 gene:KYUSg_contig_1253.75 transcript:KYUSt_contig_1253.75 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 3, Lateral root developmen [Source: Projected from Oryza sativa (Os10g0402200)] MSLPAYNLHLSPSLSPVFLQPFFVLHKAAAGASLTTPRARRRVDEISQPSSPNPKSAKRPRDEDEDEDDEGDLELYERLRLEAFHRTWSKIQSTINEVLRGINLKLFDQVLRWVQESFSTVRSVIRPCPAEIQQPYPLLTDVICRKIPTAFVLTKNAEFVDDVTTFRDLSNHLESNGCHLAKLSSTELSAKHGVAGCLKSLLRQLLSDVPDVADVSALASWYCGAKNYDQPIIIVIDDLEQCSGDVLGELVMTLSEWVIKIPIFFVMGIATTLDAPRKLLSSEALQQLDPCQLTMGSPSDRMNALVEAILVKPCAGFCISHEVAVFLKNYFFRHDGTITSFISALKLACSKHFSVEPLSFLCMGTLEEDCENFWHDKFGALPQAIQKQAFGLPSCTRENNSIKPGNNLVEGLSALMKLQRDWSSVLSCLYEAGRHGKVQLLDIFCEAINPDLRTQKDSNIELLMSKVTSGNVPSGNSGAGRRFLAQALDTVRYMPMETLVRVLKVWSIHSEGMNEINDKVKELQSTTTSADCMRITKDKWTRSTNSTTNGAVPLNEKATMLLDDITRKFLVPVECLPFHEIICFKNVGVLQSALIGNPRRMVQLDLLKSQSRLKCSCCSRNGIAVSASLHDTSIMCNLAQEYGDVINLHDWYLSFDGIINNTNSKGKRKLIGSPSKKKSKAAPQESEAMI >cds.KYUSt_chr2.4460 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27609432:27610924:1 gene:KYUSg_chr2.4460 transcript:KYUSt_chr2.4460 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYSGDRSSSRPTTTTSFDSYNFDFGANSSRSSASRPLRDQRPAAATNPSPRPAATWSHQPPKSSWTHQPSPAAAASALGSGPVSMVGDISGRSWGATAPSSGIGLPQSNNPNLFSDLLGPALGSTRAQSNAPLRSQPSRPAGANPGTNSAPFSMGGMASTLPKTTGAPMASAGYGVGGRPMKPVGMASASAAAAQQTMGQKKDPFGSIDPFAAKPMNAAKQAGSVKPDQGFAAFQGADAGFSGFQSASAGFSSFQSTPKPSSVTPPPPAPAPAPAAAANSGIDHFDTLFPSSAPAASNGGGDMFGEMDDWVDVESDYVAGGDSGGTTTELDGLPAPPSGLTASAAKAKGMDNYKGGQYADAIKWLSWAVLLIEKTGKNAGIAEVLSSRASSYKEVGEYKKAIADCSKVLEQDKENVSVLVQRALLYESSEKYRLGADDLRLVLKIDPSNRLARSMIHRLNKMAD >cds.KYUSt_chr4.39147 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241629018:241632531:1 gene:KYUSg_chr4.39147 transcript:KYUSt_chr4.39147 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLVPYFAQPWCGAPPPFQLAPTPYQSRPTFPCSSSPSPPPKPSYSFSLSPAAEPFQPRTTTVQWQAPPDGCVKINFDGSMYYDGSGRASIGGVIRDCTGRVLVAFAKRTKPTSPGIAEAQALIHGLQLALRHFPGSWLLVEGDDLMLVKLLRGEDTERRIPLAMQEEIIMLLRRFPACEVNHIFREGNQVADALCHEAYQQPGDREWVGDVRLPQAVWEKARDDAQGKKYVRICKPKPPRCRSFIPSLVKFDLHISRLSMGLFESVMVVVLVSGERALFWRDRWLEGRGIEDIAPNLLAKVHPRRTASRTVKKGMEGEWLRDCGLKLGAEAMAEIFNHWHVLARVQLVPA >cds.KYUSt_chr4.21754 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136986662:136991650:1 gene:KYUSg_chr4.21754 transcript:KYUSt_chr4.21754 gene_biotype:protein_coding transcript_biotype:protein_coding MHARRAAVFSVLLALPIVALVPRAPSSWSVFRSRPLLNAGGKVRAGGCDYSDGAWVRDADAPMVYTEDCPFLDPGFQCARNGRSNSSFQHWRWQPRRCNLPKFNASEMLERSRNGRIVFAGDSIGRNQWESMVCMLAAGAGGSRIYEQSGKPIGRHKGYLSMVFADYNLSVEYYRAPMLVRVDRFPAPASDDGAVVRGAVRLDALPRHADRWAGADVLVLNTGHWWNEHKTIKAGNYFMVGKRFNVTTDIREAFRLSLQTVKRWELSNARRSKSYLFFRSYSPSHYRSSSSIAQNSTNSIACSNGAWDTGGSCAAQQEPQTATGGDQFIEENSWMNAAISSTMRDVRRKGRNARFLNITHMTELRRDGHPSRHREPGTPPDAPEDCSHWCLPGVPDTWNQAEQKIRKFMSWCGICRAPNDRRNLGHRVCESVDAGQPLVGARSFRTEPEQLMRQPQSSLNDRLPATVSQRYGLRQVGHDDIVEDRQRRAKTD >cds.KYUSt_chr7.26255 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163955267:163958208:1 gene:KYUSg_chr7.26255 transcript:KYUSt_chr7.26255 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSFLCALLLLAAFACHFNGDLIALSLLASRLMASLFASHRVNQTNCFRSVCMHAESHGRRHHSRSHGHDHDHVHGLGVNYGTLGDNLPTAAHSVKLLRSAKAGAVKIYDANQDILHALAGTGIPVSVMVPNEIIPNIAASRRNARRWVAKNLSRHPRVKIIYLLVGNELLSNQAIAGSTWGKIVPAMKNLRRALHSYKLGHVKLGTPLAMSALSASFPPSAGEFREDIAQSVMKPLLQFLSDTRSYFFIDVYPYFAWVGNQKDILLDYALFQSHTTDNVVDPGSKLIYTNLLDQMLDATIAAMAKLGYPDVPLAISETGWPSGGGDGANIVNAETYNRHLATRMSHTPGTPARPDTSMPVFVFSLYNEDLKPGAGTERHWGMFYPNGTSVYDVDLTGKHYYAPPPPGPEPVPVPPPGPVPPPGPPDNNPTDEGVWCVLATGKPVNETAVQIALNYACEQGGAATCAAIQQGGACFEPNTLDAHASYAFNSYWQKFKKTGATCSFNGLAVKTTKDPSYGTCKFPTSSDQAAKGKWCVLATSKPVNETAVEAGLNYACGQGGTATCAAIQPGGTCFEPNTLDAHASYAFNSYWQQFKKTGATCSFNGLAETTTNDPNAPSLYVVDVTNTGHGSCKFPTSSDETVKGEWCVLEIGSGNAVNETAVEAGLNYACGKATCAAIKPGGACYEPNTLDSHASYAFNSYWQQFKNTGATCSFNGLAVKTTKDPSKFII >cds.KYUSt_chr2.6228 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38836876:38837390:-1 gene:KYUSg_chr2.6228 transcript:KYUSt_chr2.6228 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVATKDSFDWVSTMPEIVQRLGIIIRLLDDIMTYEREQMTPHVASTIDSYMKQHNVSIQTAREKIWELKEESWKHFNAHWMEPDNDQPRKLLKVIFNLTRTMEFMYNKDDNFTYCRNLKDTIHSLFVETFDIV >cds.KYUSt_chr2.53931 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336656516:336664973:1 gene:KYUSg_chr2.53931 transcript:KYUSt_chr2.53931 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVPVWFISLAALGALYLSAACIPLLAHLALCLRRPIDLRRRYGTWAVITGPTTGFGKATAMELASRGISLVLLDLDAANLQSVSESIARTHPSIQTKTVVFDLSLVGTAAGDQSIQELREAVEGLDVGVLVNNAAVARPGAMYVAHLSRCLDVEYKSKGIDVQCQVPFYVHTGMLSPAVKATLTFPAFVATAEAYARDAARWIGHGGAICVPDASQQLQWFLAGMVPDAVHDWYRLRQHLKHRAIIRKLTDTATLRSWSALQKVENRDLFTEEVRLQMMFKDVYGEDVAEPLAAAKLFAYVASGVGAGADSAPAPIITPERDKCQAEEEKDKTEEEKDGNMFSLLMTMKPPLSEYPYVDAYVFFGNTTTTRTMVSSLDDIVSGGAHWIRDLAYEALKHYNSDHPGAEFRIPFQPTADMKAACVGFRRDLWYHLNFLARHGDDERTFFAELCYDNSSHRLIVQTCDILEKPSSSSCAMCPEESKILHPDDSEFVCGKEGHQRDFFCETSWDGHTREFFSQRAMLRTPFLIGAPAPRYRLPDDSP >cds.KYUSt_chr1.27304 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164554132:164560333:-1 gene:KYUSg_chr1.27304 transcript:KYUSt_chr1.27304 gene_biotype:protein_coding transcript_biotype:protein_coding MAADFFGDLEPSLQALLAQAGSGGGEAESVVGYSSLPQDAAAAAATVDVGESTSTGSCNSVVGANCTAAAGEGLQADASVAEASDVCERTIVSGWKKRHWVGKAPPERALNSSRISALEQTLRDYPNRDYGEVGQIPFVSNLPPKSETPPPFPQAKYLLLLLLFLFFLVWRSLRRAAMWVEILCGLVAYKLIRTIFFSGDGLDQLADLDSSHSDLCFAVASRLEKLYGGRCFVGLRIPDPDAGARQHVDVVLVTKREVMVVAIKNLSGFVEVDKDGNWYTEKKRKQEVIPNPVVEVNRIATNLQSYLEQRGAALPNGIVSGRVVLPNPNCRPSYTINLQPEVISHDQWNDLKADTKGGVSTWIKGAFSGSKSDIQDSLLQTLYSILSTSPMWDRLELRGDKNVLGEFMEFKGRHEDMQLLKKLKRSKVSRFVIQKSTLFGGF >cds.KYUSt_chr5.30544 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193661282:193662768:-1 gene:KYUSg_chr5.30544 transcript:KYUSt_chr5.30544 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLWSELAAEKETTVSFNKVLAVYRIKVFEILDVELLRAGRGGEGEGRWVEDSGSVELLLDDRGGEEEKLYRATFSTSTVWRSGGSGGSRCGGGSLLSRHRGGGGGVMEACDVRVWPLRPQQGSLLASRRRILTAPTSPYLMAEGQPLHLWRISMATFSPSLMAVGRPLLPGTAPARRLQVFFNLQSSSWRLKSVVGVSSRLHAPSGFVPGGVEIGSGEFVGGGFGAVLDRVFSRRKFQTHSFPYQSTEGDWKGSLARGCAYTTPRPRLRALFSPATWQPQSAHCRLSFPTPFAHIAPRPAEQLHNKAGSLPHLVPLTGVLAAGAMSYNKTASITAETINPRVRLVHMHPSFALKAQTIGSVQIIDEVNMYTWFRLKIKTFNYEPRGEIATLAEVILSFYLHYDTSPDNNFY >cds.KYUSt_chr7.906 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4807860:4813802:1 gene:KYUSg_chr7.906 transcript:KYUSt_chr7.906 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDPIDIEDIDRSIVGEEEFVESVKPADRSTEEDANDLAADGDGFLADSEANGAGDDAGGVDEAADDMAESADDGSCANMVPTDGANFRGSILEVAMITTIASIPRQPLSWPLYWEPGLDRSVRREEAPSTSVTAKVRSPEIDFSFDQKQHRPECLPLSENVPANESSQKSDISATPGNGDRGKKPPSTFVAAKVRSPEIDFSFHQKQHVPECPPLSENVSANGSNQKSDMPATPSSGKPFADYAFMRVSNVNVQRQPKVPLQPLAQQPKVLNKKESAAKGDLHLDSDTPISVHVASSTNVPRTEKRADAALSYTEAKPSSAADAMKEAMEYADARLRASKELMERKGDSFKIRKKPSHHRSTRSVEIKAPVEVYTFEENMSMKNPAKGHNFVLDKHQVNAVRTNHCDDSGRKVLPFEKPKQMMRTCTMPCQNSSKLEKLGNWRSGDEFFELSGDDQKFQTDTARGEEDNCGTSKTVTEPRKVQEGKVEVTVQDSDLGRHEKPRVVNYHSDLEVKHESRKEDNTVQLDKGEDMVSTMLEASTEYMAHKVEGTSKEPEKSEGGLEVRCDYEIQSTSGSSKTLQEPPEVADVYNSQASQIKSLILEELERSDLTQTSPRVESTSELEAETYGREKFSFIGESYLHNENEIPSESLFSEVEKVETEVELHPPTHSEESVPDEDVKCTEESDITLQTNNHVVSSVLNVFEVASKMIKGDVDQEIQGSLGPSEVEDGTEEGTDRPVSVCERKEAEETPSENNEKTDTEEESAHVNQEDQKVSVSDTNKGQVDVDARGKITVDKMESVISSEDEITMKSANDCPTIVTINSKDEPASCPEMSTDVQHLTQNAESAISQTSNENVPGVDKTKEVRKEAARELPTEISRTSEEEKSSANKMEGKDSRERISKAEQKHQHVHLEKNDSVPKSAESSFPVSAEVSRKETQRSKERGSTKSEKEIEDREAAQRLEEAKERQKIFEKERENAEDSERKRKEEQEREREREKDKLAVERATREAHERAFNNAREMAEKMALERIAAARQRASAEARQKEERANAEARIKAERAAVERATAEARERAIKKAKAEKAAAEARERREQIRSSSKDIRQDTHSQRATCSGSLRNPDSSSKVVDAESGLRHKARIERHQRTTERVSKALAEKNMRDLMRMADFLDPEVKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTDLITAAAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKVCAMSSF >cds.KYUSt_chr4.2785 pep primary_assembly:MPB_Lper_Kyuss_1697:4:15724772:15730771:1 gene:KYUSg_chr4.2785 transcript:KYUSt_chr4.2785 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPDNSPPPPPPHRDWFFPPAPPFLPSSSSRTLAHRAPFPTTSRSYKPYSLADRRPPPTPRSRSRSPHPSPEQPSPPPPSAPRRRNPRYAGVRPGDARTPSTAAPPAAAPLVPERKSPPASAPTLRWSGLVSAAVGNGELQIFVFDCCDRHVFDGGMLSLQAILLCFGSLLRKNFSLHDQVHHLRGQLAAATAKLQSCIVVMDSSLDMSSMFSHQSDSSSVPSRSLKNLSLLLSLSALYAPIVVLKYIDLLSKLRRPQDSEEVAINKRLAYRVDIFLSLHPYAKPLVLLVATLLLIGLGGLALYGVTEDSLSDCLWLSWTFVADAGNHANAEGFGPKLVSVSISIGGMLIFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLILGWSDKLGSLLNQISIANESLGGGTIVVMAERDKEEMEADIAKMEFDLKGTAVICRSGSPLILADLKKVSVSKARAIVVLAVEGNADQSDARALRIVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLVGMQFEDVLISFPDAVPCGVKMAAYGGKIILNPDDCYVMQEGDEVIVIAEDDDTYAPAPLPKVKEAVYIDIVRHERYPQKILLCGMRRDIDDMIVVKRGYPPKDFVVPKSPERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNDVPEIDREKKLIEGGLDFSRLENITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYKEAFRGESFSEGSWMGEMLQASDKSVIISEILDPRTKNLLAMSKISDYVLSNELVSMALAMVAEDRQINYVLEELFAEEGNELQIRQSVLYLREDEELNFFEVMLRARQRKEVVIGYRLDDAERAIINPPDKVSRRRWSRKDVFVAIAEKE >cds.KYUSt_chr4.50261 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311351380:311353608:1 gene:KYUSg_chr4.50261 transcript:KYUSt_chr4.50261 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATARWLILFLAVSATAWASADEEQWKAGGAVGGQVVQKEKRRVVAASEAGTVTATDVVDAAGTVYRLQFITMDPGALFLPVQLHADMVFYVHSGRGNVTYIQEGASESRSLEVERGDVYNFEQGSILYIHSYPNATRERLRIYAIFSSNAIGSDDPSHPTSEAYTSVSNLLKGFEVQILRLGFGVSPDVVEAIKSAESPPSIIPYNPDQEKKEGESNWTEQILDALWGIGDPVNKKKKKEKKQKGKKEKSKTFNFYSGKPDVENCFGWSKTMTNKDLQNLKGSDIGMFMVNLTTGSMMGPHWNPKATEIAIVTHGSGVVQTVCPSSPSSTGGDSGHHEQGGRGGREGERNHHEQCKNSVFRVKEGDVFVVPRFHPMAQMSFNNGSFVFVGFSTHMGQNHPQFLAGRQSVLQVIGKEILALSLGQKNSTAVEKLLSAQTGSTIMACISCAEELERKAEQEEQEGGHGKGGGGDEEERRRKEEEERRQREEEEQRRREEEEEERARKEEKERKQREEEERARREEKEQRKREEEEEAARREQEEEERRRREEEEGGGGQGGGGDEPPREKEEEAGGRGRGDEPPEEEEEGDWGGDSAKNLKKRYRVRKGAVFRSA >cds.KYUSt_chr4.40623 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250762660:250763139:1 gene:KYUSg_chr4.40623 transcript:KYUSt_chr4.40623 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTTATGGKAKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIIPRHLLLAIRNDEELGKLLAGVTIAHGGVLPKIHSVLLPKKTVEKEAKSPKKAAKSPKKAAKSPKKA >cds.KYUSt_contig_257.414 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:3058980:3060176:-1 gene:KYUSg_contig_257.414 transcript:KYUSt_contig_257.414 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTDTPNVQPHRRRPSNYTPPSSLPFPHHLPPPPLSAAAAMASTAPPLTCHHLGVRLRPHLPSFSLRRRSTSVLSSKPISLSHSLPTPLTHSPAAAPRRLLPPIAAAPTSPPTPPKPAPQGAAIKPLLASIATGAIIWLIPAPAGVARNAWQLLAVFLATIVGIITQPLPLGAVALLGLGAAVLTRTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGSRVAYAFVAAFGGSTLGLGYSLVFAEAFLAPAIPSVSARAGGIFLPLVKSLCEACGSRTDDGTERKLGSWLMLTCFQTSVVSSAMFLTAMAANPLAANLTASTIGEGIGWTLWAKAAIVPGLLSLVIVPLVLYIIYPPEVKSSPDAPRLAKEKLATMGPMSKEEKIMGGTLLLTVS >cds.KYUSt_chr6.23076 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145819270:145819755:1 gene:KYUSg_chr6.23076 transcript:KYUSt_chr6.23076 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGASEIMQDQVIAAISDRSGAKLKISRKIAAGPHPLPEQQLADLRMLKRAISDEMSELCVVLRRLRLGDLAFMEEMPPGRLDQLLAATTDRVAAGLRGVPLEESVQIEAWRRAFKIALEMPLPLPSSSAGAVTAASDAPPSVTGQVAAAREDLARLQI >cds.KYUSt_chr1.6600 pep primary_assembly:MPB_Lper_Kyuss_1697:1:40617107:40623826:1 gene:KYUSg_chr1.6600 transcript:KYUSt_chr1.6600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin family protein [Source: Projected from Oryza sativa (Os05g0153000)] MVSHGAANNGFSRRSLHQGEAGLLHMASYPAPPAFRVPGGWRLSTGGVSIPPLPVGGDELDAAIDVVRVTLTDEQRAEERYCADNYDVWNEFFRLGHERELAAGLDIWCIMGTNVVPISKNLHGKFYTGNCYIVLSTAELKRGSRQHNVHYWVGEEAKEEHCVMASDKAFELDAALGSQALQYRETQGEESDKFLSYFRPCIIPVQGSFSSHWRRSAEECDQTTMFRCEGEHVARVTEVPFSRTSLDHKAAFIVDTPLKIFLFSGCNSSVQTRAKALDVIKYLRENRHSGRCEIGTIEDGKLVGDSDAGEFWNLFGGYAPIPRDVPDAANGELMTTTSKKLFWINKRKLVPMDAHLLDREMLKSDRSYILDCGTEIYLWLGMATLVSERKISVTVLEDYVRSQGRSSIGQTVITTEGHEIADFKLQFQHWPKNVVQKLYEAGREKVAAIFKHQGYDVAEIPEDKPQQSISSNGSLKVWLVDRGSVTLLCTEEQEELYNGDCYIVQYSYVEDGKDYNLFFSWSGQNSVQEDRLASVSLMSSMSDSVKGPAVVGQVSEAREPELFFLVFKSLIIFKGGRSAAYKNSVLQKSNRTEGYQKDGAALFRVQGLSHDCARAVQVDLIASSLNSSHCYILQDGPSFFTWTGSLSSPTEHVILDRMMNKLFPLKQSFLLKEGSEPDHFWKTLEGRSEYSKEKCVKSWPADPRLYTCTFQQCLFKAKEVFTFCQDDLATEETLILDCGEEIYVWVGLHSGVTSKEHALDIGKMFLQAGNGQDGRRSIFDTTVYAVAEGDEPAFFTSFFNWDNSKQVVSVLGNSFERKLAMLKGISPKLEAPDRSLRRSSSRRPGTFQGEIRIISGTVAVTGNTILVFEEPRLLLAVVAIHTGDSSEALPVHAARSPARRLRYSHCEPRSSTPKPRIRERESARPATCSLRHNSCRVVIAAPHHREGTRHRRLADLVVGFAVLSSPRQFITDATRADPCGLFPCHTHTT >cds.KYUSt_chr7.12826 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79156596:79157531:-1 gene:KYUSg_chr7.12826 transcript:KYUSt_chr7.12826 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAEERIRREAHHRTHAMIKTWRVATSMPSASAFEEGPNLLTLTRQEKGIRVAVNVRCTHSCRKGDIFPLSPRPRAPTRRQATAPAEHHHTSPPETPPPAGLETHAAQPPSAVPRQRLQGEHDARTPSPPNQEILGFHPGAEEGGKGMYLSVASKEEDGVRNIADAVAATAGQRSLPARAPDPATPTHHPDENVKMPLGWVLRGAGRRLQNRLGGRRSDHRDASNLHRLHAAPADKVSTCQCLWIVG >cds.KYUSt_chr2.49454 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309530981:309538151:1 gene:KYUSg_chr2.49454 transcript:KYUSt_chr2.49454 gene_biotype:protein_coding transcript_biotype:protein_coding METGGGPTLQPLLCLAWLAATLPIVAAALPIPAAAGGRLLHGLLAAFSARGKTVRTASAAAASSTSSKEGSLRDNKASDEYVIPCGDWFSRVSCPHYLAELVIYLGMLIASGGSDISVWFLFAFVCARACLDMDVAATVLKWIVEWDHQISGSAKKAPCPTVHPVSIILLELS >cds.KYUSt_chr2.7413 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46519071:46527367:1 gene:KYUSg_chr2.7413 transcript:KYUSt_chr2.7413 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVTIEERRAPSSGNALTGGRSRGRKPRAVGKRTPRVPVASMYHRDDKIGPANRQAKPDATNGDDEGAHVAALALAEVCQRGGSPQVSQTLGRSSDHMFLSPIKSADRKNADSEMGNSKMHGFQVEADYPEGSVGSREAETGDHPKYDSYFMNNEGSAFGKSKQKLKRPQRRRKKAAHKTDDQFEDDREACSGTEEGYSARKAKYESEVDALGNEISALDALHTLADISVNILQPSSNAESESSAHIKDESKDNESDEKPNESDEKPSVPAAVPLFEKKDNSRKTKKIKRQSELVNIEMVTRKKARLTKDPHHDGSTISEVKQQDCKCGVKTEKKKRKYSTGKISKDAKNALKDIEKAEVSAEEGNVVSNKGRHTHVSPVSKQNKSKAQESSPAHADFGKEAMDNMDTTQNAITQQSDSPSKARSRRKLGILKALTPECKPPEGADDSCDNVTYPVNNVLDLKDKLSHCLSSRFLRRWCMSEWFYSAIDYPWFAKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLHEEREKLSQYRESVRQHYAELQSGVREGLPTDLARPLAVGQRVIACHPKTRELHDGSVLTVDLDRCRVQFDRSELGVEFVMDIDCMPLHPLENFPESLRRQNIVNKYYRSFPEVKFEDRSREYGGGGAAKFVPNGDTFDSVAHAKNTGNESTVAAQQAMYSQPCTLSQLQEREADIRALADLSRALDKKATLLVELRHMNEEVSGKQKDGETIRDLEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTFHGHPTQSYPIPKCVENSGAFNRTPDASNLFGYINQESGSQVMEIIETSRCRAKAMVDVAIQAMCKVSDGENAFAKIGEALDNLNIRGTGFGSSILGIRRIPPDSGQANSACQDNSTSGRFDPATTNVNSPRLPNGRDSETQFPSELISSCVATILMIQNCTEKQYHPAEVAHILDSALSRLQPCSSQNVPIFREIEMCMGIIKNQMLALIPTPSG >cds.KYUSt_chr2.9070 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57035066:57036993:1 gene:KYUSg_chr2.9070 transcript:KYUSt_chr2.9070 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLNRILDVYTSRNNMSEMFDLPNGTIIDVSGGVTYVGPAEADFCAPSGMREIAIVDSGHNTIFLRFFGESTYLLGDQLLSAEQNNAVVVASNMEVVHQSSVRQHVRAETSIREHVKKLVLYRRDIYEGQIDVTEEPPSKTSKLNNGGSNRSSVKDLFGYMTQGVKRSTMMDELD >cds.KYUSt_chr7.230 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1260973:1261740:1 gene:KYUSg_chr7.230 transcript:KYUSt_chr7.230 gene_biotype:protein_coding transcript_biotype:protein_coding MMMASPAAFGRGGHVRSASVPSQRHPLLTHVDDQLRALRSWTSDPGQNPLALAHVRALLCVLDELTPLMPLSSSSRLLDAFLALADAYGSFLAALLALRQHAADLRAAVRRRDPAKLASAARAQRRAAKELTGLATAVARCSPIHAAAGFSSELEVARTVAQAVSDTAAASASVFHQVAFLADAAAATALAVSSSSSSKKRTKHAAGEEMHKDVAVARLQELEQCVGELESESEKVFRSLLHTRVSLLNIHTPTI >cds.KYUSt_chr5.29260 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185392565:185394397:-1 gene:KYUSg_chr5.29260 transcript:KYUSt_chr5.29260 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVQDEENQRLLLDDHKEKHFTSGEVVRDIIIGVSDGLTVPFALAAGLSGANASSALVLTAGLAEVAAGAISMGLGGYLAAKSDADHYYREQQREQDEIDTVPDTEAAEIADLLSEYGLGPEEYGPVVNSLRNNPKAWLEFMMKFELGLEKPDPRRALTSAATIALAYVVGGLVPLSPYMFVPSVDRAMLTSVVVTLGALLFFGYVKGRFTGNRPFLSAIQTAVIGALASSAAYGMAKAVQNI >cds.KYUSt_chr2.9174 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57733072:57747809:-1 gene:KYUSg_chr2.9174 transcript:KYUSt_chr2.9174 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRKRGVSAAAAAAAAAAQWKLGDLVLAKMKGFPAWPAMISEPEQWGLASVKNKRLVYFYGTKQIAFCSYAELEAFTEEKRRSLFAKRHGKGADFVRAVDEIIDVYDSLKEDSNKRLDLTANEVKPGEENPGDNNDILEPKGLVKSSNMGSDKKLVDHPVIVIDHNLVNADEASVVSTGSARCIVNSAPDGPTDNISMLDEMRNIPLSASSFSKKKLRDVHPQNCYTRSRAPALRRSRSSSGVGIRKVQDSCKLSGETSLASVDLVPDDNKEDSTLHKDASSGSPSMLDDVCFRSRGGTFNQPGTPGASDSNKNLSSTAKVDYTCDSEASQNGASTTEFKSNGASSLPMKSTVIFKRKRKPSRNWVPHTADCITSKKDEELQDELSGNLGDSPNSKNELNKSDGDEHLPLVKRARVRMGRPQLEASPGTDEQIDVPNNRSGVASPAEHIVMHTSNAFSADQSSAVNSVPNLSSISDMPLPSGEGHSVSKNKEYQPRVLTLDVEAALPPSKRLHRALEAMSANVAETISSLPEETGSKQLTLKDCVSSENSHSNKSADAVITTSDKSGIIEGLESSSMQFMHSSTGKTHTPGSILQNNNVVVSMKLNEPVLDVTQTIAVPDRLSSSSGKPCNDVSKLISCSSDTKPLGCPTLEVNRSYDRCGEPVHLPKLLSDNNVSSDSVPHGETVLASATNLGDATSSSSLATKSSSIQSDADTRTSEVLERHDDTYFVSRHTFSALALKELNHRNLKDRCTSPDSMPMKELIAVAQARRFSRSTSFSDNLLISKYIAKTSVSTPLKEGQGQLSPSNRIIRHTSTIDSIHSKSPFDSLQHNDVKTIAGSSEASAARKAFEAFVGTLTRTKENIARATRLAIECAKHGIAREVLKLWLERKTLPEYIIRHHIRELEVINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFHIPNLICTKVLENDEGSSSDDRSFEAVTPEQDAPGGDAMEESQIPVEKHRLVLEEVDGELEMEDVSPPSEAEANILRQPDQSDTNFTSYHHPSDTGPPLPNDGPPIPPPLPCSPPPVPPPPPVPIDQSTQLQATLQMASDPVGPHPPIATYNVQSQQSHPMVDHPRGMNPSVAPLHPPFCNTAPGPPGPDQASVAPMHYGRPSDPPQGPCSGWSTEPPVPHVAGGREALQSGLINGSLSPIPNPADTELVKPSDLVKVSELIDSENLKWRSDLTRSASIALDATAILNIPLWNGGGKDFFAWSHERSGVYTPLNRHTVL >cds.KYUSt_chr3.4184 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23831854:23836744:-1 gene:KYUSg_chr3.4184 transcript:KYUSt_chr3.4184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-interacting, WD40-repeat protein, Actin turnove [Source: Projected from Oryza sativa (Os01g0125800)] MAKLQETYACSPATERGRGILLGGDPKTDTIVYCAGRSVIFRRLDAPLDAWAYTDHAYPTTVARISPNGEWVASADASGCVRVWGRNGDRALKAEFRPISGRVDDLRWSPDGLRIVVSGDGKGKSLVRAFMWDSGSTVGDFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANFYEGPPFKFKHSIRDHSNFVNCIRYAPDGSKFITVSSDKKGLIYDGKTGDKIGELSSEDSHTGSIYAVSWSPDSKQVLTVSADKTAKIWDIMEDASGKVNKTLVCPGIGGVDDMLVGCLWQNDHLVTVSLGGTFNVFSASNPEKEPVSFAGHLKTVNSLVYFPQSNPRTMLSTSYDGVIIRWIHGVGYGGRLIRKNNTQIKCFVAAEEELITSGYDNKVFRIPLNGNQCGDAESVDVGGQPNALNIAAQLPEFALITTDSAIVLLHKTTVTSTTKVSYTITSSAVSPDGTEAIVGAQDGKLRIYSISGDTVTEEAVLEKHRGAITCIHYSPDVSMFASADTNREAVAWDRATREIKLKNMLFHTARVNSLAWSPDSRLVATGSIDTCAIIYDVDKQASSRITIKGAHLGGVHGLTFADNDTLVTAGEDACVRVWKLPQQ >cds.KYUSt_chr2.12027 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76371567:76373401:1 gene:KYUSg_chr2.12027 transcript:KYUSt_chr2.12027 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSLPPGNATADPQIAPCGIRPGSSCSRLAIAVTIQIEVSKLRLYETRASDSTSYQAKTTVDRSKVDDKVLGDKVMGKVDDKVLVLFLRDYAEAVRDSRRSGHPP >cds.KYUSt_chr4.47502 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294073536:294074375:1 gene:KYUSg_chr4.47502 transcript:KYUSt_chr4.47502 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPSFLDGIVQECLPLYRAITRAGIVSGTTTSFWLDRWLPGRPLAERYAALFSHVTRPHATVATVVASGFSLQPRLSSAATHELAIVQGYVDAVDLVDRPDLRFIDSPSTPPFSSREAYRMLSPRRVPDASACKAWELKLPAKIFAYLADIDMLSTRANLFFKNCAPSDSCAACSDTETGRHLFFDCPISVEVWARLGVPIPRDDFSIWDLAPPTAVDSSIWRAGIAAILWSIWKARNDLVFNAKPSTARLVLRRAGADLVIWRWRYKIHETMTVRV >cds.KYUSt_chr1.33460 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203410137:203410853:1 gene:KYUSg_chr1.33460 transcript:KYUSt_chr1.33460 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLERQHTRDAQLTPPPTPGVQEDSAAKAAPAARSRRRPGGDDAAEGAFVCRTCSRRFPSFQALGGHRTGHTRLQARRELPPRQQKPARAAHECAVCGLEFAMGQALGGHMRRHKQQQADAPACAEVVAEVEVGQQKEDAVDSSGAPPLEDRRGGDIREGCSSGMGQVLGGHVRRYQQHAVAASVDVDEERRNEEEALNSSCQPPLEDRRGGDREGCTSGSGSGSGPEPRLLNLLV >cds.KYUSt_chr6.5482 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32711597:32713344:-1 gene:KYUSg_chr6.5482 transcript:KYUSt_chr6.5482 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMRPEMQRDEEEPTGPVELTENSYSPDEYRDQTMEHHEARVTEAWGPEEPVTETDEVGRGVRRALLDIKEKYCSTSKKKKSRPDKEALRLLVKDLSDKFDISMRSTSAVKEHTPMVESDELLIEEAAAADPSAATDSVTRSGQCSVQDDLDHGRGESAMTLSKKMADEMVDEAKDFYAHYTRWESTWSEICGPFELTTLLTSMQFTHYTPGHLPPNMAASTPATLQIISIKLAEVAGGLQLPLSVYGLVVVRDVVDHNRNFLFSLDRENSQELTQDDPFLRMIGPSRAVVYRPMVVYTDRVTFEIQLYVRVVERTTQATILGVQADRPGRGRSYPFEYGARVTCSPLPGKRNHHDNQVTRVTYPASGEIVMVDSKDGAMLKGSDGYLHLPRTVVSVECEGRLDVDIQAYSKSGEIAALEHVSFVPRLSKISRRNCYVLGVKVVITVAWSRVAKDKHDVMILGSLV >cds.KYUSt_chr6.26718 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169372104:169373862:-1 gene:KYUSg_chr6.26718 transcript:KYUSt_chr6.26718 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFMEQLTSMRIFLALFLPLLLILKARRRLRSSSNAVRLPPGPWQLPVIGSLHHLLHSPLPYRAMADLARRLDAPLMSLRLGEVPVVVASSPDAARELMKTHDVNFATRPWSPTMRVLLADGEGLVFAPYGPLWRQLRRISILELLSARRVQSFRRVREEEVGRLVAAVAAATPGKAVNVGQRIAETIMDVTMRSIMGDRFEKRDEFMQVFAEGVKITSGFNLGDLFPSSRLASFLSGNARRAEANHRKNGELVDSVFRQHEEKRAAAADGAVDEEDLVDVLMRIQKEGSLEVPVTTGIIRAFILDLFASRGETTANALRWAMSELMRNPEAMQKAQTELRDSLQGKTTVTEDDLANMKYLKLVIMETLRLHPVVPLLLPRECRETCKVMGYDVPKGTTVFVNVWAICRDPKYWVDPEEFKPERFESGTVDFKGADFEFLPFGAGRRMCPGVAFAVAGIELALASLLYHFRWELPAGVSPRELDMTEKMGITVGRKNDLYLHPVVSVPSPAVL >cds.KYUSt_chr4.19037 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119579776:119582429:-1 gene:KYUSg_chr4.19037 transcript:KYUSt_chr4.19037 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWHVSFIVLVLLGGSDANPVAPHQSQLDVNHKKPLQTFRPYNIAHRGSNGEIPEETAAAYSRAIEEGADFIETDILASKDGHLICFHDVTLDTTTDIADRAEFAGRRRTYEVERANVTGWFVVDFTLSELKSLRVKQRYIFRDQQYNWKYKIIRFDEFIMIALYADRVVGIYPEMKNPIFINEHVKWSGGKKFEDKFVETLMKYGYKGEYMSEDWLKQPLFIQCYAPTSLIYISNMTKSPKLLLIDDTTVRTQDTNQSYYDITSDDYLKFIRKYVTGIGPWKDTVVPPENNYLGRPTDLVARAHALNLQVHPYTFRNENSFLHFNFHQDPYAEYEYWLSKIGVDGLFTDFTGSLHKYQDWTAPHQMKAKNAEALLYQISYMLKDDGY >cds.KYUSt_contig_444.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000041.1:80175:84213:-1 gene:KYUSg_contig_444.12 transcript:KYUSt_contig_444.12 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRSVGASFSTEAGETIRATLFPGDGIGPEIAESVKQVFKVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTNGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIIYEEVIIDNCCMTLVKNPGTFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDISGKNLANPTALMLSAVMMLRHLQFNDQAERIHNAILQTIAEGKYRTADLGGKSSTSDYTKAVCDHI >cds.KYUSt_chr2.13216 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83766000:83775497:1 gene:KYUSg_chr2.13216 transcript:KYUSt_chr2.13216 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGARGGLGLPVRLKSVLGHMAMAGDVAGTVQDAADLGEKQSQTWPLIRPLRSRFTGGTNMDTEVQMDYSFDGYYMNDGHQNVAENEANHYEYVSDDDLSQEIIRLIINSVQKKERRNSAKKQKRKRYNFARSEVNGSEADNELKAYSRCSISFLNKVLTAVRSNSNYVCLVNWMGFGEVLNMDDCCVPRAFVQWVADNVSCSDEAIQLGSKSIKLSPEAVVDTFGTPSGTLFCPNSNTKISTKYMGALVVVDRIKDRNWSKFIHEWTRIYIRKYQNEPLNAKRLNRTLGGYIYLLAIRCLDFVDFSPIHIPSILPRIRIWKGNLIKVFSDMFIGTNGKYGAYPIKDISETCYSTNTNTTSEFAWSNEIMKQSLHAALGGLVNNKLKEDISSSFISIMKDEGTSFCMKAQELVVKVVQAFQSNNFGSQDTLKLNCSDDGSDKTAIDSRTTEKLPSSDQEIASDVTSVKMDLNKKCIEQEQEEFNGFNTTNTDQVSPETHDRYIDSVDQHDTSYKSIPTYNRASIVGRRLFEGNQNYDSSSMSKSPVKQILNNFGKLYFPSVFDDHWFVFMVDIKYRNFIFLDSYYSQETSYQKKVANLLLSLFLWMKRIKNFKATWNEAGLKKLDFNSFGLAYPNVPKQRNGNDCGIFSMKYLELFTARNPAQCSFSHLDITAFRMKYAHDIFMCDYNEKNIKRKHGNGELQTKLLHKL >cds.KYUSt_chr1.43037 pep primary_assembly:MPB_Lper_Kyuss_1697:1:263165900:263175310:-1 gene:KYUSg_chr1.43037 transcript:KYUSt_chr1.43037 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKAEASNLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFDVLLDSYWPKQYTYIMAAAAGQRGKMMALLVVGLLLLAVATTASAKNNVELNHGDGEGRVVYADMRLAVHEKKSSKESSDAPVPAPAPAPEPSASDE >cds.KYUSt_chr4.16433 pep primary_assembly:MPB_Lper_Kyuss_1697:4:102163534:102163968:1 gene:KYUSg_chr4.16433 transcript:KYUSt_chr4.16433 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKAAMARYANDKRVTQPFRRHHWWEVVRNEAKWKGQHGPGSGTDSTAKRSRLGVSGKYSSSEATMEEEHPTGRDRAKAATRKDRRKGKESSSSSEVASKSFAMKNMWNGLVKAKLLKQWNKMKDRSTTDMNEAEKRKHAKAI >cds.KYUSt_scaffold_2697.712 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4496378:4498417:-1 gene:KYUSg_scaffold_2697.712 transcript:KYUSt_scaffold_2697.712 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAATRGVDSVAVAGDAKDQLVVVGDGVDPVKLTSALRRKVGPAQLVQVGDVKKEEEKKPAAAAAEVVEYQSHPGYYQWQPEPVSVAYPISAGDGYGNPWTATIIQVGLNADHPRPAEATQRDLLQPTDPPPATTKITKRERRRTTYGLHPSGPSLLASSSQAWPAAGGHHHPQQDTTQTGAQSPSAAPPMP >cds.KYUSt_chr3.49436 pep primary_assembly:MPB_Lper_Kyuss_1697:3:308875688:308877987:1 gene:KYUSg_chr3.49436 transcript:KYUSt_chr3.49436 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMADESSQYHWHDDFRFGDELMRELLDAPAMVAGADNSSSNKGADEDEEREEDGPAGARRRESMVNRLISTVYSGPTLSDIESALSFTGVSADSQQLLDGRKVFSPDKVLSKMENKYTMKIKSCGSGLADDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCNAKKQVERAVDEPDTLVVTYEGLHLHYTYSHFLQHPTPTPSGTAAAAAKKPKLHLHTAPATTTTSPPPAMPLDGAVVPAGAGGDSSSDSGGGHNVAAEAGFLLEQAVPNCSASSYMFDGGFASGEERMLPSGGGLLEDMVPLLVRRPSCNSAATTTTTSSSGSPAGAPVSSPSPSTSSSVSWTPASPYIDMAILSNIF >cds.KYUSt_chr2.19122 pep primary_assembly:MPB_Lper_Kyuss_1697:2:120318700:120319201:-1 gene:KYUSg_chr2.19122 transcript:KYUSt_chr2.19122 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKSTVVVVAVLSMLLLLMLSAQQQVAASAKSEFCACYKECYHGCRDDHVPRVFCIPFCLNKCSPSQAAAGAAAGDSCREGCARFTICGLSEPSADAADAEVCVQNCNEKWNHQLPIIKAK >cds.KYUSt_chr2.16114 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101411421:101413400:1 gene:KYUSg_chr2.16114 transcript:KYUSt_chr2.16114 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTGQNRGPTRPCIANADGRGVRDDPNLAQIWARFAWPRMARGILVCPPGPRVKPTCRCPSPIKCGLGEGDYPYPVSTLHSGRMSSTFRAAMALEREFEPFTNDHEAGSSQRTAPAAFDMGPLTPIRDRIYVAVVVAQMFWEAGVPIPWGDVHLPHGWHLSLDRVPAALAASRELNDLEELAKWPHLASTLRASALEEMAKKAQEDAEAEAWAFLAMARRQEEATCQAALREEEERQAALRHEAELHAAAAEQRQKEAARVARLRRPASPPDPHSPGKGRSGCPGRRVGDAHGDALSVTNQPALLKISEPKFPPPISQPTGQPNSTHARTTLAGHAALVCAHDGHSAAAIISVRGFEASWQLDHCVACEDLYNSRATSAFSLCFDTRRQPQCNPTRFGWTFGEITVEICGFLKVFYVSLAIYFWGNNLFQT >cds.KYUSt_chr4.34333 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210708144:210712695:1 gene:KYUSg_chr4.34333 transcript:KYUSt_chr4.34333 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKARGLSSAASPLIPPPSSEIDLEAGAGDQLQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHVHTDRKWRTMKFRFFVTRDILFIFALVQFVISALAYLVHLIDGYQQYWLRTSWGFDNEVSFYYICGALLFFALLGLSGCFITCYDRRVRSDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLLIMGVIVLGLFTVVGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERTDWSPPPLPAEHVQQLKSLGLL >cds.KYUSt_chr4.54502 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336748875:336751975:1 gene:KYUSg_chr4.54502 transcript:KYUSt_chr4.54502 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFGDSMVDVGNNDYLNTLGKVDMPPYGRDFKDHVATGRFCNGKLAIDIIAERLGFTSYPAAYLSPQASGQNLLIGANFASGGSGFYDDAPFKSQYITLSQQLGYFQEYRSKLAVVVGSSKAQSIISDALYIICIGSNDFHLNYFINPLLLSTLTFDQYCDRLLIIFLNTITDLYGAGARRIGVMSLPPMGCYPVAITVAGLGNDRCVPWLNTIAQGFNGKMSAAVDSLSGRYHDLKIFHLDIYTPIYGLVASPWSHGFTEVRRACCALIFCNARGVGICRNATTYVFWDGAHTTEAANQVIADYLLAHGINDLVVS >cds.KYUSt_chr4.10855 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65890551:65891051:-1 gene:KYUSg_chr4.10855 transcript:KYUSt_chr4.10855 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVDGKGPPHPLDVLLPPLLLYLSALTRPLLLCSMHLHGRHRGPPHPLLGPAGQAATGGSAAATSPDEQVVAGGSTAAEHWSRAKQVDQDGACGAVLRGNLHNEPPTLTACRASTGQIWSRCVVSVVCSAQPAAAAPSNSYATIQRANTSTTGLPLPSILHRAF >cds.KYUSt_chr4.52464 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325409465:325409752:1 gene:KYUSg_chr4.52464 transcript:KYUSt_chr4.52464 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLMAVVVTGGRSAGIACVASDGCATQNVACRFRGSWLATYLVRSIVPQQDGRCNGKVRFVDCRSYVFHEVTLMTGFASFSRKINHQERLGRAH >cds.KYUSt_chr4.20412 pep primary_assembly:MPB_Lper_Kyuss_1697:4:128461320:128464910:1 gene:KYUSg_chr4.20412 transcript:KYUSt_chr4.20412 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQTTGSTCIHGLFTASGKDESTAVAEVAAADGNTEVSNVQLKEKSVIGFDPPRKPSKRHRLKIWISTRHSGVIGRYGNKTESGIFSGAKRFSSEHSNAGWPDWLVNVAPEAVQGWPPRRVDSFEKISKIGQGTYSSVYKARDIKTGKVVALKKVRFVNSDPESVRFMAREICILRKLNHPNVIKLEGIVTSPVSECLYLVFEYMEHDLVGLAATLDLKFTESQVKCLLQQILSGLDHCHSIGVLHRDMKGANLLIDSNGFLKIADFGLATLYDPGSQQPMTSRVATLWYRPPELLLGATRYSAAVDMWSTGCIFAELLTGKPIMPGRTEVEQIHRIFKLCGSPSDEYWQKLEVPPTGMFKPHRQYKRCIAENFKDLPPEALVLLDNLLAFEPEARGTAASTLQSDRLVTNTKFNNTNLNPKDDPSALVNEAQPLEFDSTWNKGGNSYTTHHEVPERKYRSARVANSIASRTSESDLLQPKSTDVRNGMPAARNKDMGAKGPMTNHKGMSKRIHYSGSIITKDGNMEDILREHERNIQEAVRKSRGPTRTESCKRDE >cds.KYUSt_chr5.15963 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102836432:102836926:1 gene:KYUSg_chr5.15963 transcript:KYUSt_chr5.15963 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDASATSLKRKHPDSDSSSPTGMCPSGCGFFGAAATGNMCSKCYKEKAVPASETTAAQASVFVPASPTAPPEKKAKTIVSVASSDGAVASMKQPTPATTNRCAACRKKVGMLGFRCRCEGTFCSVHRYSDKHECGFDYKTAAREKIAKNNPTVVADKIATRI >cds.KYUSt_chr5.21792 pep primary_assembly:MPB_Lper_Kyuss_1697:5:142289837:142295416:1 gene:KYUSg_chr5.21792 transcript:KYUSt_chr5.21792 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSVALYNQLKAAQPFFLLAGPNVIESEEHVMKMAKHIKAITTKLGVPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESCQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQHVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLNSPCDGPTQWPLHNLEELLEELIAIARVTKGKKPLKIDLTPFKE >cds.KYUSt_chr2.35655 pep primary_assembly:MPB_Lper_Kyuss_1697:2:220255045:220262492:-1 gene:KYUSg_chr2.35655 transcript:KYUSt_chr2.35655 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRTEAWWGRRAWALLSAVRARTPLVQCITNLVSMDIVANAMLAAGASPAMLHCLREVPDFSPRCDAVYINVGTLSEDWLPSMRAAASAGRPWVFDPVAVAASGFRMEACLSLLALRPAVVRGNASEILALASRSDDSFKGVDSSHDSSDALQAAKALAQSSGAVVAVSGAVDFITDDPSDALVAAACALAIFGLAGEIGMESARGPASLRMHLIDALYGLDEQTVTSGVKISLLP >cds.KYUSt_chr5.33225 pep primary_assembly:MPB_Lper_Kyuss_1697:5:210753187:210754833:-1 gene:KYUSg_chr5.33225 transcript:KYUSt_chr5.33225 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTLSASFYLLGHRFCSSGWMEVDLGGVVFIYHVDVDDSRRRGAAGYRRWARTAARVQNGGAVWTAGLARIRLTCSASDPPMGGLGRHSVLDDVRWCIVRVMTLFMVTPFIALVVPHAVSRMNRGAVAELEATSSSSSPVSSGHGHGYPCDAAVGVRVPHDRICDRDRCGALCVELFMWKYPDIKAAFGDCPPEDPHKCICSFFC >cds.KYUSt_chr6.17758 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111636943:111639328:-1 gene:KYUSg_chr6.17758 transcript:KYUSt_chr6.17758 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAALAAGVGVLLPFPFYWALWSHPQRWVDLCGRGADPCRRMAQVSHVLKALQLLALASVASFSWPPPTCSFALLAFGQYLNFKVYQLLGESGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSILSLVAVLCWVPYQYVLLWCLGYVFMILVESKEDPATRAKLLS >cds.KYUSt_chr5.9889 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63263269:63266687:-1 gene:KYUSg_chr5.9889 transcript:KYUSt_chr5.9889 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIALLLDLAARAPRGSLHSHATLSAAAAASAAAALAAAGVPLSARHLFGKIFDSIHGISTHDLQVPIKEYPFELKPLHFAFTFKHLSLTTLRAFLLYYLPLLEPSPPNDDEDDDDFLQDDSEKPPVDLVTPFHKSLQQIARETSVVTTRRVLERIAVRHVSDRTAWKLLKDAAKSSKRKAERGMSIPEYTYCVARTTIRAHALGVAAAWVIQSLVQVYKCFISQPDNDEELLDEREKFKLFGKKIYSVTIKCGFSLVFASIGAGLGALVHPMHGQWIGCTLGDFAGPVVAILIFEKLQFPLES >cds.KYUSt_chr3.41456 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261497797:261498150:1 gene:KYUSg_chr3.41456 transcript:KYUSt_chr3.41456 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNRDPCELTLHSGSSSLAPSEPPGLFLCVYCDRKFYNSQAFGGHQNAHKEERSLARRRLDIAAATRAHAATLSSSSSAPSAKHVSRAAGFFPAAKKVGVETQARTGDGMDLSLKL >cds.KYUSt_chr4.17093 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106617054:106621068:1 gene:KYUSg_chr4.17093 transcript:KYUSt_chr4.17093 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSFSFDACSSRLLEIVPCYHPIPMVFSKGEGSHIVDPEGNKYIDFLSAYSAVNQGHCHPKVLKALIEQAERLTLSSRAFYNDKFPVFAEYLTSMFGYDMMLPMNTGAEGVETAIKLARKWGYEKKKIPKDEALIVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDITGLEKIFKEHGDHICGFLFEPIQGEAGVILPPDGYLKSVRDLCSQYNILMIDDEIQTGIARTGKMLACDWEDVRPDMVILGKALGAGVVPVSAVLADKDIMLCIKPGEHGSTFGGNPLASAVAVASLKVIKDEGLVQRAAELGQEFRNQLQKVQQKFPHIIREIRGRGLLNAVDLSNKALYPASAYDICIKLKERGILAKPTHDTIIRLAPPLSISCEELAEASKALSDVFEHDLPQMQKQIKKPELEAKKPVCDRCGRDL >cds.KYUSt_chr2.11270 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71618221:71621516:-1 gene:KYUSg_chr2.11270 transcript:KYUSt_chr2.11270 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSSKKQQKRSAWSSLFGCCVGSGGKKTGSGKVGPGSSSRRIKDVAADAVAALGQRLSFTDVMSAASDQDLSVSLVGSNLHVFTVGELKAATQGFLDDNFLGEGGFGPVYKGSLEEKAKPGLKAQSIAVKLWDPEGTQGHKEWLAEVIFLGQFRHANLVKLIGYCCEDENRLLVYEYMPKGSLENHLFRKFSPGLSWSTRLNIAVGAAKGLAFLHDADKPVIYRDFKTSNILLDPDYKAKLSDFGLAKDGPEGDATHVSTRVMGTHGYAAPEYILTGHLTSKSDVYSFGVVLLEILSGRRAVDKTRPTREHHLVEHMRSWLKDPQKLGRIMDPALEGKYSAAAAHRAAMVAYQCLSGSPKSRPDMSKVVEELEPLLSLTDDVPSEAVVYVASQDDARKERATRRRNGERESGNGGYRHKARSPKKTVRRRGNQTEEFWEWNMPGEGKV >cds.KYUSt_chr4.37322 pep primary_assembly:MPB_Lper_Kyuss_1697:4:229784365:229785540:-1 gene:KYUSg_chr4.37322 transcript:KYUSt_chr4.37322 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPYNPERVMRQFGKHQDIPPPSPRRLVADVHTQDNKDMSCFDWSQRNQTWITKWIDEAESDGVRENRRMIIFRHIHLDNDSPTQKANTRKDSTLRNLLTLRGPTWELV >cds.KYUSt_chr7.24137 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150418945:150420680:-1 gene:KYUSg_chr7.24137 transcript:KYUSt_chr7.24137 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRVEVTWLRWLLADFGVSADAPTPLLSDSTGAISIARDPVKQELTKHIGVDAFYVRHAASATSSTIHTVCNGLRRLGDIYDCIDELTSLPRRQVLLCNPQQRIAMEQELENSIALLNLCDAIQVSFAELKANVQDIQLVIKRGDDAALHAKITSGFTWPTRCKISSRRSARSPLLLIRRAAD >cds.KYUSt_chr1.38990 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238378682:238378969:1 gene:KYUSg_chr1.38990 transcript:KYUSt_chr1.38990 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAKAALVCFLLVALAAAPGAVEAATCSPTQLTPCAPAIIGNAAPTAACCGKLKAHPASCLCKYKKDPNLQRYVNSPNGKKVFAACKARLPKC >cds.KYUSt_chr7.29119 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181210766:181230982:-1 gene:KYUSg_chr7.29119 transcript:KYUSt_chr7.29119 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDDGQDLVVYGTPIEREEDVSARKRRGVAEAGQLRTLPAWKQEVRDEEGRRRFHGAFTGGFSAGFYNTAGSKEGWVPQTFTSSRKSRAEHKKQSIYNFLDEEDIKDMGGNALETSQQYDTFGFTAAEQARKQASKDQIERPSAIPGPVPDELVVPATTSIGVKLLMKMGWRQGRTIKDAHADSLYESRRAARKAFLALSGISNNEDQDKVSSQKSRVDENVAESFDDMRASGNTPVYVLHPKEDLHGLGFDPFKHAPEFKDRKRSQKSVNRDRNRSDASVRGNLLISNSGQYAPGFGIGALEELGVEDEDIYASGFSYELTEVDIEPSKTSGDSKFKLEDRKRGVFLSFKIASNSEYKLERFHPPEIPADFDGHHKFSSPIEAADKYSDLAPPEVPPPEDTTLRLLIEGCAAMVARCGKHIEDFYKEKSKANPQFIFLDGGDGCSYYARKLWENQQKFVDQQRPDSVKSKPSSDKLTAENRGNILGERPLDRSSKSSSTYLSAKEAVQLQSNLGDTFVKPISLDGVPDSKKPFRNEPAKQARFEQFLKEKYQGGLRIASVVPTSSMSEADRARERLDFEAAADAIEKGKEYKAIDPLSILGLNEQRFVPSTQSESSAVPRDEKPMYLPREEFEWRPSPILCKRFDIVDPFMGKPMPLRRPISKMDTLMFMTESTKRTNDDVKSSSVISQNTSAGGTEQTDADVHANDPDIVPSSVQRPVDLYKAIFSDDSDDDMDEPRSNKQVDPVKTSEGANMALNRLVAEDFLESLGKELGLEVPAEKPVVPQNVLFRSEILPTADGSVSRNEKTTACSEVKETEIPFDSVKADNANGDGFEKLDSKYEKREHRAEKDRSHSSHRQVWNGSSESDTEIARHRSRKRRSHHKIRSATPDSDSSGERRRSKKRKSHSRHRTVRSRTPDADSSSDSQKNKRKRQEKRSHRTRTPDIDSSDEYKDKYASSSRKSSDKDRSRKRSRHRRHRSRDPA >cds.KYUSt_contig_824.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:43389:44201:1 gene:KYUSg_contig_824.11 transcript:KYUSt_contig_824.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASVKKAEKTDAKPAVPEEYVTLVVTDQDGRRLTRTMRRSDQLQVLMDFYYSMVPTVKQGEGVFNYRGPEISRAGSKTPADFQMKDGDEIRFFPEIELVTPVLRDFTGRSFTRTMRTTDQLQVLMDFYHDMVPSAGVVPGVFLYCGEGVTGEQTPVGIGMKDWDRIYVVPTVRGEVGRENKSDDDYITLTVREAGYEHQAMVHLTLRQTEKVRVLMRFYYDIMPAACQVGGVFFFDGTRLSGEVTPSQIGMEDGDEVDHFATQFGVF >cds.KYUSt_chr6.24589 pep primary_assembly:MPB_Lper_Kyuss_1697:6:155353459:155356150:-1 gene:KYUSg_chr6.24589 transcript:KYUSt_chr6.24589 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYFQLKISNVYDNSCIALQYGTKVFSGTQNEVQCLLAGLGRAGHINNMWPSVIHMKQIDVNPSDEWTNMSMTGVSPQILMKSAYRSVMFYIGQKELEMQYKMNRIVGQCRQKCELMQAKFSEKLEEVHTAYQKMAKRCQLMEQEIENLTRDKQELQEKFAEKSRQKRKLDEMYDQLRNEYESVKRSAIQPANNYFPRAQPDMFSGMPNILDGGDPLRQGCRAGRAEETFCF >cds.KYUSt_chr3.41554 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262115001:262116288:1 gene:KYUSg_chr3.41554 transcript:KYUSt_chr3.41554 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQGRTPVLLQQVGAALSGGRSCCKGRRSHGGATRQGCRCYYRRHALLRAAAVAATRGGGTAAYHESGRWRRSPPLVLQRPATGAAKADSDCCKRWRTPAAGAANADSSSCGRRPPELQTPDVGAARANYCKRWQTPATGRRSYNDRPLELQRPIAAAGDAGHRSCKHRTSELQRSGFLLKVGRIRLPVPGPDIIRQYQQQLGRPMGHMPHHHLWATRACRI >cds.KYUSt_chr6.31129 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197292321:197293092:-1 gene:KYUSg_chr6.31129 transcript:KYUSt_chr6.31129 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVLCAANHAPLTPISFLERSALVYPDRPAVVSAAGDAPPRTWRETRDRCLRLAAALAGLGVQRRDVVAVFAQNIPAMCELHFAVPMTGAVLCALNSRLDAAMASVLLLHSEAKVIFVDAALLGVAQEALRLVSAAGARAPVAVLITELLDDDADSSPPPQSHPRTCTYNT >cds.KYUSt_chr2.54833 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342062271:342062812:-1 gene:KYUSg_chr2.54833 transcript:KYUSt_chr2.54833 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSHTDSGAVLKLASDPTEYACARRLRHKLLLSYLSQQGLHPTFQSLVRQTDAHLSAEHLRQLVAGGLWGEALDYLARFLPPGAGAGDNDILARPILLFLHTLWTFANVSASTTLAAVTSDMHLHDFTVCLSVSHSFLLRTILNLILHKPPFR >cds.KYUSt_chr1.7739 pep primary_assembly:MPB_Lper_Kyuss_1697:1:47605025:47605291:-1 gene:KYUSg_chr1.7739 transcript:KYUSt_chr1.7739 gene_biotype:protein_coding transcript_biotype:protein_coding MISRLPVTHELSVTRRSCVGRRHPSSYGHSRGPSPFPSAIRSFYSGARPGRHHPFASYQTAAGGVRHPTFKSGAIQVAMRFCTNAHYC >cds.KYUSt_chr6.11385 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70621438:70624532:1 gene:KYUSg_chr6.11385 transcript:KYUSt_chr6.11385 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAHPMHFCMDSDWLKGIVPEDQGGMGSSSPSEELIIACPEQVQAQQAAADRRLRPQHDQPLKCPRCDSAHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRASASKKSSAAAVTSPISMMPLHQYSRQMAETGLHLSFSGMMPPVTAADPLSSLGLLDWNKYDHILSGSSGFGSANSEAHFTGTGMMGMSSGGGDSSVATEYHALNALRYAAGLGEHLALPFGSASRADQRDATVVEMKPQAERLLSLEWCGDASRAPAESSMGSLSGLGLWSGMINSAAHHHHGSSAAI >cds.KYUSt_chr2.7346 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46088924:46089767:-1 gene:KYUSg_chr2.7346 transcript:KYUSt_chr2.7346 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTIGDTVPNLELDSTHGKIRIHDYVGNGYVILFSHPGDFTPVCTTELAAMANYSKEFEKRGVKLLGISCDDVQSHKEWTKDIEAYKPGSKVTYPIMADPDRSAIKQLNMVDPDEKDSEGQLPSRTLHIVGPDKKVKLSFLYPSCTGRNMDEVVRATDSLLTAAKHKVATPANWKPGECVVIAPGVSDDEAKKLFPQGFETADLPSKKGYLRFTKV >cds.KYUSt_chr3.46402 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291943098:291944507:1 gene:KYUSg_chr3.46402 transcript:KYUSt_chr3.46402 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDEGNGICFPYDVLLDILRRLPGGAIAACQCVCRSWRDIIHGHKLSLECYFPRRAFPGFFVNKTGCRSDCTFFAPSSRRRLYYPRKTEVIHSCNGLLLMEDWGGYCVLNPATARYALLPRLMPWSIDLMSIAFDPAVSLHYHVFLLQKDILVEQSLSVRPMGEEDPTPKRMPEELKKKSVPLLVYSSCTGRWEHRAFMPGRCARGHLYDVVAMKPADYQGAFWSSEYWRGSLYVHCHNDVLMILRPSKGTYDMVPLPCGNCGPKDLYSLPQNSLLASYERGIHFTVIDHLQLRVWLLAESADGQLGWTLAHDASLYPHGHMIHPLTIQPRVRWWTVGSKCGPVSVTEDDDDDSEEEKEDLDGSECSWNSDEENFIDVDRDDAHLGPPAWGLYGRLVGFHPHKNAVILLIYFAVVVYHLDTSRMQYLGDENDLTRDHQQQACCVDDMFAYRPCYEDVLPVGKPSLSS >cds.KYUSt_chr3.3742 pep primary_assembly:MPB_Lper_Kyuss_1697:3:21306708:21311099:1 gene:KYUSg_chr3.3742 transcript:KYUSt_chr3.3742 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPQQLLLLLLVSLLAARRAEAWGKEGHIMTCKIAERYLSEDAAAAVRELLPASAGGELSTMCPWADEVRFRYHWASPLHYANTPGVCSFNSTRDCHNSNGVQGMCVIGAINNYTDQLLTYKDSTKSSYNLTESLMFLAHFVGDVHQPLHVAFEEDEGGNTINVHWYKAKANLHHVWDVSIIDTVMKNLYNKDLDTMVEALHTNMTNGWSEDISQWKNCTNTQTTCANDYAVESVGLACKYAYKDVEEDITLGDEYYFSRYPVVVKRLAQGGIRLALILNRIFDKKKVDAIPLYAHFCSCSSSLCSQLAARRTAAWGKEGHIITCKIAERYLSEDAAAAVQELLPEAAGGELATMCPWADNVRFRYHWASPLHYANTPGVCNFKSTRRFLLLLPSRPLQFFLDRRY >cds.KYUSt_chr6.1379 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8476289:8479159:-1 gene:KYUSg_chr6.1379 transcript:KYUSt_chr6.1379 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTDGDGNGACFAYDLLLDILRRLPRRALAESRRVCRAWRAVVDGHNLLLPHFFPRGVFPGIFTDNFGCSNESSFFAPSAPCSGQPHDGPAFRRPLFRHDWASVRHSCNGLLLLQNDDYCPFVCNPATVRCARLPPPPSEHPWPWMHLGTNAMFLAFDPAVSRHYEVFLLPEGTIAPDVVKEKIQPRKKVKNIQPQTWIELDVEQLHLPILFEDEQLSEQGQEEIDIKVHSGYICQWTNRDFVPGRCAPRHLYDTVTTPHPYHVKIWKTAEYWRGSFYIHCWNNIIMILRSSEGVYDMAQLPGKAYDDRKYRDEYQLPKRSILASYDRGVHYVALDIFQLHVWTVTESHDGHVGWMLTHEADLGPYNSKLRQWMEPKVLWEAAEHNKATISLFKPRNIEKIIYDEDVESNTTDDIDGDGGNEEEGIHGVDAFAGTFICDVNVDDNGEIKEGELSEDEAIEGNTIDAVVGDGDNQEEGIQEVDTFEGTNIADVVVDDNGHNEGGDSNENEAGEFKSEHAFVCSWDSDEDNFIDLDGSVTSDEDNFIGLDESDTYLKDLDLLRGAAAKAQLLCPAAAEDPSTGSLLGKIPTYPPPPSPYHNPKLVTPATPSPKAPPAIQDATPPSTVTPAATLSSPRFQRRYARARPPLQQGPDPHHRRAHAAHRQAPHSLEARQETQDLSCEPIDRYSPTSSPSPSWNHN >cds.KYUSt_chr3.2777 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15987190:15989381:1 gene:KYUSg_chr3.2777 transcript:KYUSt_chr3.2777 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAALKAFTVLFVLAVLAADQVEGRHQRPDCPSFSCGPLRNVSSPFRQAGDPPGCGYGSYELVCSDTKATIHIDNATYYVPSINYSGSYFWVVNAELDLYNGCPLPRWNWHPYIFQEGQEVELAPFVSSKACFLKCSREVKHNGMYMPVACLSTNDTSVYVLTGYGSNYMEYLEPSCAYMAMTPLPWESRQLDNSSYADVVKSMRSGFAVQFPFRFSRGNIIDCLMNFFRSLELRVSSEGIMGSVLRIFWAHEFFLICALQVTGFGYASLYIALVITTVTLIAVLCRFVLAPLTMLIFLAYKYWKTRITIDAVEKFLRMQQMLGPIRYVYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGDLHVAIKMLEGNSNCNGEDFINEVSTIGMIHHVNVVRLLGFCSEEMRRALVYEYMPGGSLDKYIFSSDKEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRDNSFVPSNALRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSRSYYPSWVYDQLSEQEVVELSPVDDMHELEKKLCIVGLWCIQMKSHDRPTMSEVIEMLERDADILQMPCRPFFCDEGHTHVEDSYDLSSELTTICEDDE >cds.KYUSt_chr4.38439 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237046281:237047400:-1 gene:KYUSg_chr4.38439 transcript:KYUSt_chr4.38439 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRCPGRQGTLLESPVPVPPRHLLRCRTVCKAWRRLATDRALILDHHARQPAQPLLTVYPFVAPHNNCLEAVDLSTSNRRMVARFVDRAPRPLSNPNDAAANLVYKIRGALVVHGSCDGLLLLSFHDAVFVCNPATRQGSLLPIPDNGFRHIAGFYRHAASGEYRVLYHQLRYNRVEGLRRKYYVLTLGSQDAARNIQLRTSSAAMGVGLARGLAGSYDLPPVLLHASLHWPPQQSQNRNILVFHPAAESFSWIAPPPAALPLLQGKHHDTRLFQMQGTLAMFCWQHDARISHLWLLEDYHGTKPN >cds.KYUSt_chr5.38654 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244627028:244631136:-1 gene:KYUSg_chr5.38654 transcript:KYUSt_chr5.38654 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALSGSDLFTNQGFYLKVSDSSHATYVTLPEEQHDLILSDKIQLGQFIHVDRLEAATPVPILWGVRPVPGRHACVGNPEDLVLTSSSGSKKAQPANGSKATNGVKDAGALSLEKEKSKLEKINASHKTVGTENKKPLLTKSKSSLSKQALNAVADKKEAVKPKTRPGTVRSTPSSPTSVYSLPGTFDRFSSDLRQRHGVKGAEKAASSRLSLLERAASVLKVTTAGRRSSVGNSISSSLLGIGSGPKALRRSWEGAVDTKGKINSDSKTTRADRKPENRGPTTPRRKPPVNEKASHKDDSKNHNPARKSTASAPAPSVDADKAPKKHPPTLKRPSGVLGNPNATNLVKIPPNSKKLTDASSSWTSLPPPLAKLGKELLKYRESAQVAAVEAMQEASAAESLLRCLSSYAEVSSTAEEHNPQPTVEQFLALHGALSRATVITDTLTKSAASPECSAASDAGTVVSATDEETAAVAAERQRRAKSWVNAALATDLSAFGLYNLKPAPATVPSPLAVVVVDESVKPAAAAPNAVKSLSPAAKSRMSPAKGKPRTSPVTMTAAVVAPPPEWERGVGAEERGQLARRLGEESRGWFLGFVERFLDADVSAAAPWDRERAARMLPQLKRVNDWLGEIGTRTEAPPPPPLDGDEEDALATTPTATNGGCGVPEETIERLRKKIYEFLLTNVDSAAAVLGGAASAPAPANGKKL >cds.KYUSt_chr3.33354 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209409179:209410498:-1 gene:KYUSg_chr3.33354 transcript:KYUSt_chr3.33354 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNRRKRDTSDEPKVGDGGADCNDTNKKRSIANKLTFSDAAIQDPHLLMNGDDDEVQVEPQQTQVDGSETSKSQWTTHHSDRAGDIDVSPQQHLLLWVEDQSGTWWKHCSSPGYPEYKFRSHFQMGWETFDMICDVLGSAIAKEDTPRRPAIPVRQRVAVCVWRLATGESLRAVSDRFGLSLSTCHKIILEVCAAICQRLMPHFIHWPDQATDFKSSFQAISGLPNVVGAIYTTHLPIIKPKSHNSSYYNRGWSARNEKPSFTTTLQGVVDPNGIFTNVCIGWPGAMHDDEVLIRSELQQHVGNGMMVVGGSSYPLMDWLLVPYTHQNLTKEQQVFTEKVMKLRRIAVDAFARLKGRWMFLQKRAEMKTSDLPSVIGACCVLHNICEMKGEEMGPGLQCDVIDVETVPEYPVHSASASKARDKIAHNLFHSGLDGANF >cds.KYUSt_chr1.18579 pep primary_assembly:MPB_Lper_Kyuss_1697:1:108763160:108764400:1 gene:KYUSg_chr1.18579 transcript:KYUSt_chr1.18579 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPIGGRLTLTDVVLSALPSFAMSVLLVPKGALVKMDRPRRTMFWKAHEKCSGGDCQVAWDYVCLLRSEGGLGIVDLGLQNKCLPLKVLHSLFSGRDSPWTRFLSLPPPPPPATIADALGDGGIEIPLTHRLTASASSEIKFVHACLSRITLTMMPDTRTIALGPFASFNTGDVNRGLHSSRCIVPGQDINWYFFAPLKVRVFLWILRLQKTRTRVMLHRLGCVPSLGCPFCPGHTESITHLFVCCPCLWPLWNIVSPSGRLHNGDDLPALIDGLSGDLPQMHKKARNTAILALLWSIWKSQNRMVFDTVHMPTAGVIAMVVDHLRLWVVRASARIDMGPLLAWCQSIS >cds.KYUSt_chr5.19725 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127940084:127952173:-1 gene:KYUSg_chr5.19725 transcript:KYUSt_chr5.19725 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVELGSVVRRAAEESYLALRELVEKSQAESEGKGAYGGGRQRSDSEKKIDLLKFIARTRQRMLRLHVLAKWCQQVPLVQYCQQLGSTLSSHETCFTQTADSLFFMHEGLQQARAPTFDVPSALEIMLTGNYQRLPRCIEDIGRQNKLSPAEEKHALQKLDTSVRYKLLVTPRPKEVSKVSVTDGIAVFHIDGEFKVLLTLGYRGNLDLWRILHMELLVGEKSGPIKLEERKRFTLGDDIERRMAVSENPFSVLYAILHEFSIQLAMITVIRQACLLRQGRWKEAIRCELTTDSTSGQIGNAALMQLALDGELDLSGSVLKLNYWLDEKSSVPAESDLSPFIKIEAGKGQDMQIKCQHSSFILDPLTDKEATLSLDLCCIDVEKVILKAIACNRHTRLLDIQRQLCKNVQISQSPEDVILKRDVNLAKAPHKKVEKTGLAEFCGNEVLQVRAYGQAYIGLGVNIRSGRFLLQSPKNILPPTALLDWEEALNKGSTTATEVFSSLRTRSILHLLAANGSFFGLKVYEQSQGTIKIPKAILHGSDFMVMGFPQCANAYYLLMQLDKDFRPVFHLLETQSDASNKVNANVDAKEASRVNKIDVGQMQTIKYEKDTNLFDAKLHTLQSIENCDDIMDNGLPIQDRVDPLLLLPACSPSFSSIVDEIFECEHGSSLPSASPLGSLSLGLQGESTRAISPMQDGALLHSQANNSSIVHPGASLNSYFPTSLRHLQSTNAFSPSNPVRNSSATKSSNSKSNHDLSSLSSPSEHGLGKVITVGSNCAPRKRSLSDLLPELPSLQGLTSSLPVKRRRISESANSSLPLQAYSSSLQSGTNLAHGNILAERNDCVPATVYASVLLHVIRHCSLCIKHAQLTAQMDSLAIPYVEEVGMRSPSSNLWLRLPFAQDDSWKHICLRLGKAGSMSWDVRINDPHFRELWELNGGSTTTPWGVGIRIANTSEMDSHISFDADGVALTYSTVETDSIQKLDSDLRRLANARVFARGMRRLIGVKLDDKLDDNQISMGTKLQSVNKGHSDAADRLSEQMGKPFRIEAVGLMSFWFSYGHMPMVHFVVEWETAKKGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYSSMPKQTNHVQSQGPLTNGSSATTMHHASAPSNAAANLGGQNLHTAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPAFMNATQPGQHFNTSAGASQSASALNRLNVTPGVVMSRPTSGVANHVAASLSRAGNAMLSSSGLASGIGGPSVRLTPGTGLPVHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQSNAQEELTAAEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLITWKKGFSQAHGDIATAQRARIELCLENHSGSASDGNTESSLAKSNIHHDRAHSSVEFALTFVLDHALIPHMNVAGGAAWLPYCVSVRLRYSFGESSHISFLVINGSHGGRACWLQHEDWERCKQKVARAVETVNGAAVAGEPGQGRLRMVAEMIQKQLQHCLQQLRDGPLSAGSIAS >cds.KYUSt_chr7.2551 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14962719:14963333:-1 gene:KYUSg_chr7.2551 transcript:KYUSt_chr7.2551 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQLLYLQTPPPTRQPHPFHCFIHRTTVNTICTSSGSKSQLLSIHPRSTPMATVAGGAVLQMRIPSASSSAFEPVRRRRTPSVRCAAVRGASRTAGGLEEDHYRTLRLSPGATRREVKKAFHRLALQYHPDVVRRQNDGEDNSDDLDFQRINVAYQRVMANMREAEARLEYWRSRYGLADEDLDRYRRYLNEDDGEDDWFADL >cds.KYUSt_chr6.28456 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180298344:180298778:1 gene:KYUSg_chr6.28456 transcript:KYUSt_chr6.28456 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHPRPPGVRRPWGASWSTACSWRATAAAPVRRRPPPLAQAAAAARATEVQQDSIDPASRRPKEVRQANADHLRSPPELPVFLFSYCRSWSWPSQTAPKPGFSCCWSWPWQRGHAPVRLLLLLVLVVAMSGRRRAATCRFTTS >cds.KYUSt_chr3.12533 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74944622:74949960:1 gene:KYUSg_chr3.12533 transcript:KYUSt_chr3.12533 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPLPTRASEEQEKEAMGSLTIGAKYRTTLRDPGTSGVLNMSEDKFTFTPHDTCSLMVLNVDFRSIKGHKFNRVDGSKPTQPLLNLLHNSDKGGGYIFEFDNVGDRELCRDFVARVFAEMERRIRLLREDSELRKLHKKFVVSSILQESEFWAIRKNLLDDEANKALKQKPGVKNVMVADVRPSANGQALYFGTELVLLRDLWHTNKVPIRLTTEMILEIFSEKPAVHQAFLDYVPKKIKRVDPVFDMEVDAGDDYIHLPDHGILCDGTKETTDTDIELARRTLSQDLNRHAAVVLEGRSTDIKSADTKTVAEALARSRKERPFSSVADDASHERSVKMARMTEIEDLQVPRSIPYATLCIKDPREFFDSQQANALRSLGENNGVRKAHSCSLSTDDAFRHLMDQISSAKLNCLIVQSDLAPKVNSFS >cds.KYUSt_chr4.3302 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18765384:18768094:-1 gene:KYUSg_chr4.3302 transcript:KYUSt_chr4.3302 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASSDESKVPIRTRFERDFHGVAARLAYAKDAAESGAALTRRHPTLNAVAMTEMSASRDLYVLRLRGAVWFPGWDGAPESPTGEPPENFEPPSASLVFKMSTSTLATSCLLLSNGRTDASQRVTKSPSSLGFFGRSMLQVPGLKSSRKLDVSAMAVYKVKLVTPEGQEYEFEAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIETGEVDQSDGSFLDDNQQEEGYVLTCVSYPKSDCVIHTHKEGDLY >cds.KYUSt_chr5.43540 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274290594:274291784:-1 gene:KYUSg_chr5.43540 transcript:KYUSt_chr5.43540 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLITEAPKYKQITPSVLSERLRINGSLARRAIKDLVERGLVRVVSIHSSQQIFTRATNT >cds.KYUSt_chr4.40544 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250286324:250286623:1 gene:KYUSg_chr4.40544 transcript:KYUSt_chr4.40544 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVNCSVSALPAPSLGTSANRFVRSYAELACGHVVDDRRPVCTFASTPGGRHCMRTSPTEPRSLRRILQRRPPSSSTTAGENLVSLRRSYHRDADVHW >cds.KYUSt_chr7.9982 pep primary_assembly:MPB_Lper_Kyuss_1697:7:61097217:61099181:-1 gene:KYUSg_chr7.9982 transcript:KYUSt_chr7.9982 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRETWPRRAILRGSGVVAVLAVLHALCGRWRGLSKEKLLLGSSRDATSTSMDVVPFLKLSLCLFSAILGYMPGRLYDIDASKYGNAAELKSLIAALHCMGVHAIADIVINHRSADYTDNRGTYCIFEGGTSDGRLDWGPHMICRDDTVYSDGTGNLDTGADFAAAPDIDHLNDRVYIDGTSPDLAVAEVWDTMPTCGDGKPNYDQDAHRQNLVNWVDGVGGAASAGMVFDFTTKGILNAAVEGELWRLIDPQGKAPGVMGWWPAKAVTFVDNHDTGSTQAMWPFPSDKVMQGYAYILTHPGTPCIFYDHFFDWGFKEEIAALVAVRKRNGITATSELKILMHDGDAYVAEIDGKVVVKIGSRYDVGSVIPAGFVNSAHGNDYAVWEKACATATLQRS >cds.KYUSt_chr7.28759 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179246886:179248252:1 gene:KYUSg_chr7.28759 transcript:KYUSt_chr7.28759 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSTLAATDRYYLQLRSGSAHHELTGPNKYRRRSMIRCCSTAKGKARGNYHQVLGVAIHSTPQEIKEAYRKLQKQHHPDIAGDKGHDYALLLNEAYEVLMRNSSRNAGKSSGGIGSVYTGEGYSSWNGPMRSQALFVDENKCIGCRECVHHAGETFAMDDVLGSAHVEVQFGDVEQQIQVAVESCPVNCIHWVGAEQLLPLEFMSRPQPKEGHGVFGGGWERPKDVFMAAKTFAKKLERQERSESTNGGEDMETETAAQAEARRHAGQELRWKRLFDVWNGLTDWRKSGTER >cds.KYUSt_chr2.5555 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34417936:34420196:-1 gene:KYUSg_chr2.5555 transcript:KYUSt_chr2.5555 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPLGPAPRLRPPIDAHPLDATLAPGPRYQQHHTQTHRARCVMPLVDMSSSLPVSPAPPPPMSTDFLPRRIPPQGILQSLGLGVATACRQAQGRRERMSAREERRRGVAAEKQWAASCPSPPTPSRRASRRSVPGRARRLRSPPPRFHHAPDRLRPRPTPPFAAAEDPPRASLSPASPASPPPAATDVATRMGRPSCSGWLLLLRRRGFEGEAARRWPPLMIGEEVQRDALRQDHVLQDDEKYSRRKPECQYNSLRQVTIPGFSSAKSLVELTIHILESAPSLERLTLDTAAGGRWLQ >cds.KYUSt_chr4.3082 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17674404:17676986:-1 gene:KYUSg_chr4.3082 transcript:KYUSt_chr4.3082 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRGGDLALLLCCGCFLLLPFLSHGADMPLGTSLTPGNSAPWLSPNSTFSLAFGPSPTSPSLSVASVSYAGGVPIWSAGAGAAVDSGGSLRLSSTGDLQLLNGSSNTVLWSSNTANQGVTAAAVQESGNLVLKNSSGGAVWQSFDHPTDTVVMSQTFSSGTNLTSGDYVFTLERASGNLTLRWARRGAATITYFNRGYNASFTANRTLSSPALTMQTNGIVSIADGTLATPVVVAYSSNYGESGDMLRFVRLDSDGNFRAYSAARGSGTAAEQWSAVADQCQVFGYCGNMGVCGYNGTAPVCGCPSQNFQPSNPANPREGCTRKVDLASCPGNSTMLELDNTQFLTYTPEINTEQFFVGITACRLNCLSGTSCVASTALADGSGLCFLKVSTFVSAYQSASLPSTSFVKVCFPGVPNPPLGGAGSGSSSSRNSGLRSWVVVLVVLGVVSGLVLAEWVLWWVLCRNSPKYGAASAQYALLEYASGAPVQFSYRELQRSTKGFKEKLGAGGFGAVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDSFLFSTATGGDNTNAKAMPWSTRFAVAVGTARGITYLHEECRDCIVHCDIKPENILLDEHHNAKVSDFGLAKLINPKDHRHRTLTSVRGTRGYLAPEWLANLPITVKSDVYSYGMVLLETIGGRRNFDIADDTDRKKFSVWAYEEYERGNVAGIIDRRLAGDADMDQVERALQVSFWCIQEQPSQRPTMGKVVQMLEGVMELERPPPPKSSDSFLTATTATSGVSSSMASTFASSSAPAPPVPSPNLEKEIAVGRSASARNREIASLPLRSSEPYMTM >cds.KYUSt_chr5.43268 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272768851:272769252:-1 gene:KYUSg_chr5.43268 transcript:KYUSt_chr5.43268 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTIVALLFGIVVCLQMSCAVSKLLVNVQAVMTLNGFQQGEGGGGPAACDGQYHSDEEFIVSLSSEWFDGGARCGKLISIQGPSNLHILATVVDECRDCDNEVGASAHIWRNFNLDPSVGEVSISFSDIFE >cds.KYUSt_chr5.3951 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25554805:25558520:1 gene:KYUSg_chr5.3951 transcript:KYUSt_chr5.3951 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFLSLLLAVLAAGAAAAAQGHDGGAAARRTMEEFAGYPASDDPLRVYSDGGAYPLRVDSDGLQRQIDELASFSDSPAPSVTRVLYSDRDVQARRYIKGIMKQLGLVVREDAVGNIFGRWVGSEADLAAVATGSHVDAIPFSGKYDGVVGVLGALEAISVLQRSGFQPKRSLEVIMFTSEEPTRFGISCLGSRLMAGSKELSQSLKGTFDNQNISFVDAADSAGYKIHPEDLHNVFLNQDTYFAFIELHIEQGPILEKEGIPIGVVTAIAAPASIKVEFEGNGGHAGAVLMPARNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHLEIDIRDIDEKRRNDVIEKVHQSAIDISKIRGVELSEFKIINQDPPALSDKSVINAMEIAAKQLGLEYKLMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYASPEDMANGVQMLALTMAKLSSE >cds.KYUSt_chr7.23266 pep primary_assembly:MPB_Lper_Kyuss_1697:7:144721538:144722010:1 gene:KYUSg_chr7.23266 transcript:KYUSt_chr7.23266 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVYELRESLGGRSQSTSVWHAVQVFTGDPAAVKHVRLAGRLHDSLDRELRFLAAMSHPNIDSSAAAGEWGWRDRERLMKDKGPLAVRVPPSLLAPERVDRTPPRPSEERWASGSIGKGFGLPRITASGRAE >cds.KYUSt_contig_7409.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001509.1:114398:116046:1 gene:KYUSg_contig_7409.21 transcript:KYUSt_contig_7409.21 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRTCVLSTRWRHLPWLLPELSISVKDFLSVPCPKPIEANDMEELAMVSLTKATRGFLADQQRERTISSLHMKLYLTNTFFSEVGPLVGDAIDNGLLKDLDLTVLDETDPLDRSDEDMLQRAQEIDTFFRAYPSVLHCLTKLSLNNAGFDKLEMHHVLFDCCKQLKHLSLCYCDTGTYSVFKIDAPNSKLCVLEIDKCRFERLELVCLPKLEKFACTTWESQHVPVTFGVVPSLGELELSCGASYNLWLQPEMKELRTAFNKLKKLSVCGIFVEFDILWMTAFLVAAPSIEKLCIQVWEHACDVGEFRGGIYRDRRTPHWEMRFDGSENRLLKELEFGGFRALEQQFTFIRSMLERCPNLQKIILRGDMQCEDCHALDASLRPSKFPKKDEEEMVAKRIRGGIFSPEIIFDEDWSLSI >cds.KYUSt_chr2.40144 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249295987:249296693:1 gene:KYUSg_chr2.40144 transcript:KYUSt_chr2.40144 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGSDRYHKRALKVAAAVSGVVSVTRTGRNMELLVVIGDGVDEGLLTKKLRKEVGEAEIVELRTLAAGASGSGYLPGTSSRDVAAAHSRSPHHWQSVNTLGRDSPVRGHVSYPVSAPSPLANPAVARWPAEQYRQTDAGYYPHAPSPSPSYYHASPMAGNGGYGGSSYARAAARSHPANYSPMIERHDYNAAGSWHRHGGGRTPKCCSIQ >cds.KYUSt_chr6.5747 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34538389:34544259:1 gene:KYUSg_chr6.5747 transcript:KYUSt_chr6.5747 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGWNCQGSLKIWCKKKKPLQQELNDLEDQIKQIQMKPLDEQDQAMEASLVTRCIFASTQPNNGRPFIHTQLPEDTHDYTYSIPDEHEVLETLKEMKINASPGPDGFNVEFYIATWGWIGSDVMQLVNVIQKVHIVLACRACKIMENQGQGRKESHPKQIHHIATAINQNMEMVDVLQGLEDRLQAGGVLRNSLHGANRSINSGNRDEDQSQVVAIKQGETIKGDLTIRGSKIFSDAAWKSTKVPGLHGRASTGLGVHCQLQRPDFEATVLIQASADKSPSPLHAEALALLLGAQIAERLQSHQVTFLTDNLTVARAAAATRTTDYQHNKPPSMASRGFFGYDPYDYYYPSPSTYTYPYDSYDSYYHPRPAPARAPARSQGAFFRDAEIPEPMMREYVRPRPTSTRPASIPIEVTGPDSEPPRKAAPVLKKRAPSAEAAAVKVQAAARGLLARRMMREVRAVEREAEAVAARVAAEAEALSADSRKRIGVGEELMRLVLRLDAVRGVREYRKKVTRKVLALQDAVDALEATPAHQEVPPAAADAESMAADTVEMSEAHDALESGMTPELQQPGEQIGSDSFSVDATPTEMDMEVDGGRAEEPDSAATESTVTESVAAGEQAEGDGELAAEAEGEWEMVTGDDAIDGEPKAEQQEAAAEETEEKKTTEAATAEGLDAKKMMEMVAALCERSAQQCALIGALAERVDTLERAVRRVEEADRRRRRIKKIKKEGKIDGKAGNSFYSD >cds.KYUSt_chr3.24417 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151528957:151531642:1 gene:KYUSg_chr3.24417 transcript:KYUSt_chr3.24417 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTGAGTAVLRDVSPSTLLASAAAIAMMWFVVQMLEWAWWSPRRMDRVLRAQGLRGTQYRFLKGDLKEEQRLKGEAWSRPVPMDRAHDIFPRVAPLLHRVMKDHGKVSFTWFGPYPRITIGDPELVREVLSNKFGHFEKTKLVRLARLLVGGLATLDGEQWAKHRRIMNPAFHAEKLKRMLPSFSASCSDLISRWENSASLSVGEIELDIWSELQSLSGDVISRAAFGVTSQEGSRIFLLQTEQAERLIQSFRTNYIPGFSLLPTKNNRRMKAINTEIEQMLRGIIEKRQQSMKNGVIHNDDLLGLLLEANTDYSDADGKSSKGMTMEDVIGECKLFYFAGMETTAVLLTWTIVVLSMHPEWQDRAREEVLQVFGQNKPDLNGINRLKIVAMVFNEVLRLYPPVVLINRRTYKEIELGGVTYPPGVMLALNIMSIHRDPAIWGDDSDEFNPVRFAEGVTKACSDPGAFFPFSWGPRNCIGQNFALLEAKVAISMILQRFTFELSPSYVHAPCTVLTLHPQHDVPLRLRRL >cds.KYUSt_chr6.28129 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178279083:178281374:-1 gene:KYUSg_chr6.28129 transcript:KYUSt_chr6.28129 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVIWLLGVVLTLGAAVVGPAEGAKNHHYDFFIKEANYTRLCHEKTILTVNGQFPGPTIFARKGDVVVVNVYNQGNKNITIHWHGVDQPRNPWYDGPEYITQCPIQPGANLTYTIILSEEEGTLWWHAHSDYDRTTIHGAIVIHPKLGTTFPFKKPHKEIPVILSEWWNADVNSLLEEARRTGGEVSISDANTINGQPGELFPCSRKGTFKAPVESGKTYLLRIINAGLANDLFFGVAGHRLTVVGTDARYTKPFTVDHIFISPGQTVDALLEADRATNGSSNGRYYMAARTFASNTNIGFNNSTATAILEYADARAGTIDFPILLPAINDLNASLEYTAMLRSLGSKGHPVDVPTHVDEHMLITLAVNVLPCETGNGTCEGPERHRLAASLNNISFHLPSIDILDAYYGVVRGVYEADFPDKPPFVFNYTDDISNLPTERWFTKRGTKVKVLEYGAVVEVVFQDTAILGAENHPMHLHGFAFYVVGRGIGNFNETTDPDTYNLIDPPFQNTVTVPKAGWAAIRFRAANPGVWFMHCHFERHSEWGMDTVFIVKDGKALQSKMMRRPPGMPRC >cds.KYUSt_chr2.12130 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76946095:76947302:1 gene:KYUSg_chr2.12130 transcript:KYUSt_chr2.12130 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPGTWPLITAILLIRGLFAAFPAASSGRVDAGDMLMMDRFLGWQATHNRTYLSAEERLHRFEVYRDNVEYIDATNRRGDLSYELGENQFADLTQDEFLARYTSYDAEHRSSHDDASDIKTTAGGGGDDDDLRSSGSGDDSLEVSSPPPPPSVDWRAKGAVVPPKSQSSSCSSCWAFVTVATIETLHWIKTGKLVSLSEQQLVDCDQYDGGCNLGSYHRGYKWVVENGGLTTEAEYPYRAVRGPCNRAKSARIAAKITGQGAIGPRNELVMQKAVAGQPVGVAIEVGSGMQFYKSGVYSGPCGTRLAHAVTVVGYGTDPSTGAKYWIVKNSWGQTWGEGGYIRMRRDVGGPGICGITLDVAYPY >cds.KYUSt_contig_605.34 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:281399:284168:-1 gene:KYUSg_contig_605.34 transcript:KYUSt_contig_605.34 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRSAREKLEREQRERMQRAKAKADRERRAKAEAARRRDALEASNRERRLDAARAQEEADQKIQEAMQLGKGISFSHMFEALRYDGPGDKIKLPPSSFKVLSDEGALDKGPMYFRLSKVRDTVQGASQNQDTEEATCCGVLEFTAREGSAELPPHVWNNLFHSDTPDVPLIEVRYASLPKGTYAKLKPEGVGFSDLPNHRAVLETALRNHATLSENDVVVVNYGQLQYKLKVLELKPASSVSVLETDVEVDIEGPDSVLDNGENQHVLVPLQTGKVESGLVEEGKFRYYKFSVEEIIGEKVASGLANIEVKIEADTSSGDTDIYVSRHPLVFPTQHRHEWSSHEMGSKVLILKPRDATLVAGIYSIGVYGFKGTTKFQLSVAINDVINSQRIGEQASVSSTASGESVVCKNCKRHISARTSVLHEAYCVRHNVACMHDGCGIILRKEEAADHVHCSKCGQAFQQREMEKHMKVFHEPLHCACGVILEKEEMVQHQSSTCPFRLIVCRFCGDTVQAGGQPLDVRDRLRNMCEHESICGSRTAPCDSCGRSVMLKEMDIHAIAVHQKS >cds.KYUSt_chr3.22668 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140064015:140071004:-1 gene:KYUSg_chr3.22668 transcript:KYUSt_chr3.22668 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRDSPPSELGGSGNGARADVARKTKEVERMLSKLEEAGVEIDDKIASIIDDEIARIRAEVEREKNIDALKDNGYLLGGSGNGARADVARKTTEVEHMFANLEEGVEIDGKIASILDDEIARIKAEAEREKKINELKINGCVILYTISVIAIGFVLGADFVEQSLPALVAKAIIFAEPAKGQYGYCQHETSERECVLDRWLFLVELQRSETVSSPTSGISANKVQLSSCSSRIQVALLLRLPPLADHGGEGNEWGLIHRLGLVWSSQGGSSNGAKADVARKTNDIDHFFAKLEKEGLEIDGNIGSIIDNGMARIKAEAARENIAEPKSKVLVLLLTIASGTLGFFLGVEWQENNIRKNISKELAKKRRA >cds.KYUSt_chr5.6162 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38039437:38043584:1 gene:KYUSg_chr5.6162 transcript:KYUSt_chr5.6162 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPSYGLIIIQVKGPATSLHSDPLRSPEMDEATAAGALAALAPSWSAAVVLLSYLGYLAVAGAVLPGKLVAGAVLPDSSRLHYRCNGLLSLLLLLGLSALGVYMGWMTPTVVADRGLELLSATFIFSVIVTFMLYFIGLRSRHQSSSLKPHVSGSFIEDWWFGVQLNPHFMGVDLKFFFVRAGMMGWLFINLSLFAKSYLAGSANRSVILYQFFCAWYIIDYFIHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFSIQGWWLLRNKVELPLLASVANCFVFLIGYLVFRGANKQKHLFKKNPKALIWGKPPKVVGGKLLISGYWGIARHCNYLGDLLLALSFSLPCGASSPIPYFYPTYLLILLIWRERRDEARCSAKYKDIWAEYCRLVPSRILPYVY >cds.KYUSt_chr5.38608 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244260353:244284064:-1 gene:KYUSg_chr5.38608 transcript:KYUSt_chr5.38608 gene_biotype:protein_coding transcript_biotype:protein_coding MLALINGGGFVPYYGLGMEEMTQEYDIDVEPLFDQYVQPMGQDTFVDVDVEGEGEGEGEGEGDGEGDGDGYADKYGMVDRNDVTNAGDPKKKVCPPGMSNKYPWSTGMQEAHGLETLEAQKVKGFRVSGALEYLKVICKKSYHMVHCLRVVFGKRSARLLKLFITGRLPSKSGGAAYRRLQRRLLRPVVPVVHNVVINNVTAATSSATPPPPPPPDRQFPELTMAGFADALRPDKFTGVHFKRWQIKATLWLTHLKVFQVSNGLPEGTISDQDQNKFKEDNTLFVGCVLSILADRLCDVYMHITDGKELWDALNAKFGATDAGSELYIMESFHDIRMVNNRSVVEQAHEIQCIAKELELLKCALPDKFVAGCIIAKLPPSWRNFATTLKHKRQEISVENLIASLDVEEKARAKDTTEKEEGQSSANMVQKKPYSKNKGNNKPSFNKPMKTTTFKKKKMINKADLSCFTCGETGHFSKYCPERADRKKKARQVNTVTASNADGYGRPGFFRLDGEWVTCFCSWCWHGRSEVHFGKIVQSRNVQHVPTMNKNLVSGSLLCRDGFKVVLESNKVVVSKFGQFIGKGYECGGVFRFSLSDFSNKSVNHICGNVSDDTSIWHSRLCHINFGLMSRLSSLSLIPNFTIAKGSKCHSCVQSKQPRKPHKAAEERNLAPLELIHSDLCEMNGVLTKGGKRYFMTLIDDATRFCYVYLLRTKDEALDYFKIYKAEVENQLERKIKCLRSDRGGEYFPKIFDEFCEEHGIIHERTPPYSPQSNGVAERKNRTLTDLVNSMLATAGLSKAWWGEALLTSCHVLNRVPNKNKDKTPYEEWAGRKPSLSYLRTWGCLAKVNIPITKKRKLGPKTVDCVFLGYAPRSVGYRFLVVQSEVPDMHVDTIMESRDATFFENMFPMKDMHSIARISTEIIPESSPSNEYFEQSHENVTEKDDNEAPKRSKRRRIEKSFGDDFIVYLVDDTPTSIAEAFASPDADDWKEAVHNEMDSILSNGTWELSERPHGCKPVGCKWVFKKKLRPDGTIEKYKARLVAKGYTQREGEDYFDTYSPVARLTTIRVLLSMAASYGLIVHQMDVKTAFLNGELEEEIYMDQPDGFVVKGEERKVCKLLKSLYGLKQAPKQWHEKFDRTLTSVGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNMKVIHEVKSFLSKCFDMKDLGEADVILNIKLIKNESGITLTQSHYVEKILSRFGYIDSKSSPTPYDPSVTLRKNRRIAVDQLRYSQIVGSLMYLASATRPDISFAVSKLSRFMSNPGTDHWHALDRVMRYLCGTMSYGIHYSGHPAVLEGYSDSNWISDVADLYATSGYVFTFGGGAVSWRSCKQTILTRSTMEAELTALDTTTVESEWLRELLMDLPVVEKPVPAILLNCDNQTVIVKVNNSKDNTKSSRHVKRRLKSVRKLRNSGLRKTNRVLDGRVAVSRFGSLPGSALRDCSSSSSRVAFRPSRAVLPTVVFNAVYFDPSSLSSTTLSSTTLLLRHHLLHLHRHLHQIGSYNDINVLQRSPLMTRLAIRVRPLVEFEANDHKYNYGYFLANGIYPRWQTFVKPVIQPRAKMEVVTGAIGSLLPKLGNLLKEEYDLQKRVRGEIMFLETELESMEAALLKISQAPIDHPPDSQVKLWARDVRDLSYELEDSIDKFMVRIDGDPNRPQGFKGFIDRCITLLTRANIRHKIGTEVKDIKKRIGEVSKRRDRYKVDNIIAKRIGPTIDSLRLSALYTKATQLIGTDEKSQYIIKKLMGADDESDKQMKIVSIVGFGGLGKTTLANVVYQKLKEKFDCAAFVSVSQNPNMEKIFKNLLGQLGNNNCDGVNDEGRLIEKIRELLETKRYIIVIDDIWDIRVWEIIKRALIENEYGGRIITTTRILDVAKQVGGDYKLRPLSSVDSRKLFNQRTFGVQDRYPPNQRALDISEQILRKCGGVPLAIITIASMLASKKEEEYTHQYWSLVYESMGSGLENSYDALKDMRRILSVSYYDLPAHLKTCLLYLSLYPEDYKIKIKELVWRWVGEGFIHAKQGKSLYEVGEDYFHELINKSLIQPLDIDGGNMAMSCRIHDMVFDLITFLSIEENFQTPIGGQQPVLTPRKIRRVSFHASEHKGIRQPSNMSLSHVSGCEQVKNHHCKDIFSLLHLRYLRLQGTSITEIPKEIGNLRFLQVLDISETDLVKQLPSTFSLLTKLVLLDMLNSILCEVPRWMSSLLSLSSLSITLGTLRDEYIQVLGSIPSLNELFIQVEKPMQGRNKRFVIDGASPFPCLRRFTIRSCHTEMDLLFAHGAMENLQKLELQLGPLKTTEFVDFDFGMENLSSLEYVSNGMIYYDEQRQQALDTAVQKALDMNPNKPKMIRPEIEMVLKLDVGSSKDPMRAIKVASGITGMKSATILGTDRNLLLVIGNIDWTDIIQKLRQNVCCTEVLEDMIHFERKPNLSKKLDISQAELVKQVPSTFVQLTELMLLDMLNNIVCEVPTLMSSLSSMSSLSITVGTLRDEYIQVLGSIPSLSELYIQVEQPMQSRNKRLVIDHTSKFLCLRRLTIRSPKSEMELLFAHGAMENLQKLELQFGPLKTTEFIDFDFGIENLSSLRYVLNGIIYYDKQSQRSLDSAVRRALDKNPSKPRMIRPKIEMFLRMDVSSSKDYRRAMHVVCACSGMESIVPRESDKNLLQVIGDFNWTNVVEKLRRKTYSFFLTMIYKVLAAYWKQRFTFRLCRFGEDNTKFFHACASSRLRKNQIKVLHDGGRVLYNHADKADLLRDFYVSLLGTSTPPVWGFDLRATMHGVAGLQDLDKPFTIQEAKEAVWAMRTDSSPGPDGFGPAFFRTFWDVVSPDLMAFLQDFHEGVASLDGLNRAFITLIPKKDEVLTADGFRPISLQNCVMKIVTRILTTRLQHFIERLISFEQSGFVKGRNIVDNFLYAADVIQSCRTRNTPAVVLKLDFKKAFDSVNWTALDAILDARGVGPLFRSWVSSILTTGRTAILLNGVPGRWITCKKGLRQGDPLSPYLYLAVADLLPCLIAMGGPDEPLLHPIVDDLPCPVIQYADDTLLILRAEHSQVRRLREILDLFSEATGLHINFHKSTFVPVGGVPADLASELAGILGCPVSSFPQTYLGLPLSDHKLPASALDFLSVKISKRIPGWRASLLPIGGRLTLTNAVLSALPSFAMSVLPIPKGTLMKMNRPRRAMFWKAKEKCSGGDCQVAWDYVCRLRSEGGLGVTDLELQNKCLLLKVLHGLFSGRDSPWTRWVKRSYLGDHPHAATPTWRCFQALIPLYRSITRVDPRDGRSTSLWHDSWSPLGPLAAALPAAYSHCLRPLATIADTLGHEGVGIPVTHRVTAAAAGELTFVRACLSRVSLTTTPDIRTISLGPSANFNTGDVYRALHSSGCVVPGQDINWDCFAPLKVRVFFWILRLQKTRTRALLHHLGCVPSPDCPFCPGNEETISHLFVCCPRLRPLWGIVSPSMRPSGNTDLSALLDGLSEDLPRMHVKARNTAILALLWSVWKSRNRMVFDADLMPTARVLSMIVDHLRLWIVRAPARIDTDPLLAWCRSIS >cds.KYUSt_chr1.26041 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156629014:156632607:1 gene:KYUSg_chr1.26041 transcript:KYUSt_chr1.26041 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGVVEELTRVFRELPPRPAVEEVEAAAAVLASADAEEEARLAEVGREEAARKADGVPGELLAVLREARRAAVRLRALQQRKEAAHVVELERRFKVLDGLIQRASRIVSPSGDGGGDGGGDGYVEEEVVEETEAKRAAVLEIERGNTGVGFGLDSEAISSLPRHGSTGTDMVDQKLSLIQVASLIESSAKRGTTELNLRGKLVDQIEWLPVSLGKLQDVTELDISENRIMALPSTIGSLRYLTKLDLHSNRLNNLPDTFGELSSLIDLDLHANQLKSLPASFGNLTSLANLDLSSNEFKILPDCIGKLINLRRLIAETNELEELPYTIGSCVSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTIGSLTRLRELDVSFNEVEGIPENICFATSLVKLNVSRNFADLRALPRSIGNLEILEELDISSNQIRTLPDSFQFLAKLSVFHADETPLEVPPREVIKLGAQAVVQYVAEMVASRGASQKKTEQTSFWAWFRSLFGCCKNDEEMGIVPA >cds.KYUSt_chr1.9451 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57602311:57607851:1 gene:KYUSg_chr1.9451 transcript:KYUSt_chr1.9451 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKPQPQPPSEHQHPQPVSPSSPSQESLAAQAMRASAAHRDASSLSSAYSSSAASAAAAARRSHEPSVSTPSPDSSSYGYTSMKSLNEDKYGFWGTLARKAKSFIDEDGSPGQYDSPERQQQPRGGLSPVHFGFIVQSSPYTWKSDTPPSQKRSEVLASSLNYIGGTIKSALEEGRTIVENKTADIIQETRKLNIRRKGSGLNPQGEASHKFAHRNFLQNPLDHETQLKASRDVANAMSAKAKLLLRELKTVKADLAFAKERCAQLEDENKMLRESQDNGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVSEVYPTQVLPPSAARTGVSTGHRISSVCILSWLLLPYSKLERLSFPDFLPKQSKRPGLYQTSLPVFDIHRSSSSSSNSPVPSSPQASNRDRGELWAMENKGPAEVTDVRRPAEEDAASGARCFRRTVGEDATLMESAKDRFRQFKDAPAAEHWVCLKNKVRAASEYAGLMTRQGVSMFGEPKIGSVFQQGSQDHAKKTSAAKS >cds.KYUSt_chr6.14481 pep primary_assembly:MPB_Lper_Kyuss_1697:6:90855630:90855854:1 gene:KYUSg_chr6.14481 transcript:KYUSt_chr6.14481 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAGVGVLDVVQDGEERMEVRFHGSGELVDEVKQNMAVILLVMEVELGVQEEMASVGQRSGGGWEGKGHKG >cds.KYUSt_chr4.39 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278479:292372:1 gene:KYUSg_chr4.39 transcript:KYUSt_chr4.39 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVFFFGLLQASKSRFVVGSHVWVEDSDEAWMDGLVEEVNGDDLVVNCTSGKKVTVNVSSAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRFMLNEIYTYTGNILIAVNPFQRLPHLYNNDMMRIYKGAEFGELSPHPYAITDRAYRLMMNDRISQAILVSGESGAGKTESTKSLMQYLAFMGGKPKAEGRSVQEQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEVREKYKLGEAKSFHYLNQSNCIELNGMDDAKEYVETRRAMSIVGINSDEQDAIFKVVAAILHLGNVEFGEGKEEDSSAPKDEKSQFHLKTAAELFMCDPQGLEESLCKRVMATRGESITKNLDPRAAALSRDALSRIVYSRLFDWLVNTINNIIGQDPESKILIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNQHFSKPKFSRSDFTVHHYAGNVTYQTDLFLDKNIDYAVNEHQVLLNASKCPFVSSLFPPVEESAKSTKFTSIGSSFKQQLQSLLETLSATEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFYEFVNRFGILQPKVLSKSHDEITASKMLLEKANLVGYQIGKTKVFLRAGQMAELDALRTEVLGISAKKIQTKVRSFMSRKKYVQLRICATQLEAVCRGTLARKYYENLRRQAAALKVQTRYRMRQERNKYRDLWSASTTIQSGLRGMAARNKLRFFRKTKAAVIIQSQCRCHLARSRYVRLMKATIKTQCAWRGKVARRELRQLKMAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRSDMEEAKTQEIKKLQLQLQESQQQVKDTKESLKKEQEIAKAASEKAALVPEIRVDATRVNELTADNEKLKTLVTSLETKIQETEQRFEETDKLREEWLKKATDAESQINELKSTMQSLREKFTSVEAENNALRQQALKARHDNVAVLNMHRKSNLANGSLPGDEQTPHGTPMEFGRRSLIERQQESVETLINCVVENIGFSDGKPVAAVTIYKCLLHWRTFEAERTNVFDRLIQIFSSAMQKQDSNADLAYWLSNSSSLLIILQKSLKPAGSGITTPLKRTTTQTSFLGRMVFRASSITVDMDLVRQVEGKYPAFLFKQQLSAFVEGLYGMIRDNVKREVSSVLSLVIQAPRTAKAGLITDQDSYWQAIVKHLNDLLKTLQENCVPAIFARKIFIQIFAFINAQLFNSLLVRRECCSFSNGEYVKQGLEELEAWCTQAKPEYAGTAWDELKHISQAVGFLVIFKKFRISYDEIISDLCPMLSVQQLYRISTQYWDDKYNTESVSEEVLNEMKTLVNEASGQANSGNTYLLDEETSMPLSLEEIASSMDAKEFQNVVPPQELLENAAFQFLKS >cds.KYUSt_chr6.29303 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185607326:185610304:-1 gene:KYUSg_chr6.29303 transcript:KYUSt_chr6.29303 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMRSCILTHLLSSPSAAYSIPPLHCLFSTTASPIPANPTFAVQDYLVTTCGLTRAQALKASTKLSHLKSPSRPDAVLAFLAGLGLSGADVASLVAKDPQFLCASVEKTLAPVVAGLTRHGLSHTEIARLFPRGRAGIFRRRSIVSNLPYYLSLVGSYDNLLGLIKKSSIVLGHSLEKVKPNVAFLKECGLGDCEISKICLSAPWILITKSERLRAMVACADGLGVPRGSGMFRHVLHAVSFTHEEEIAAKVDYLKKTFRWTDAEVGIVVCKAPMLLTRCKDRLQRLSEFLISEVGLEPAFIARRPVMLTLSLDGRLRPRYHVVKFLKENGLLKPDPNYDTIFKLTEKVVAGLGSARKFSNSINERLWFRQGFRFFFHHGKDRKDQDESPKTRWLMEEYTRVACLEDGFARADGKKVLPALYRMYLTRRDPGKEKNRNKKRDRDVDGEEKDGEMALAGW >cds.KYUSt_chr2.13504 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85594870:85604160:-1 gene:KYUSg_chr2.13504 transcript:KYUSt_chr2.13504 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEYMASRLTTDYDVLPDVGRPLREEAAFWLVRPDGHIIWTVQEASPLAKYHRRELQASGEMDFPLGPVERLNFGDSSELAGIMDPGYTLRLEDEGAVSVVAAAHDAPGDDEADSVAVGKQRSGGVVQPEESAGEVGEETIAAACIQMGRSCNGKRKIAGADGPSKSEQYTMKVNPEAPGWIKRVRVGGNAAERIPCPNRIGTLEKMIRSYPERRGDFVLEPTLGMSFDSLGEAYDFYNLYSWEHGFGVRHYMRLQHDREKDEEEHASKVYTRSMFEQFGQNLYMAGAYHIEEVVKGKLYLAKHTKAHKREKWSRVEFQVKVVDNGEFFECECGLFEHMGIVCSHSIKVMDYLGVEEIPKRHILKRWTRDVRDVLPGHLEVYQNDHASSRSFTYRHSLLYKKALELVRLGDASAEAYEKLDSFFESNLLIMAPFDNMRDGLGLEDRPSDQPEERVADLVLAEEGLIVADDANLLQGLGAPLKIRGAGRPTTSRDRAPYEGAGGLSIDKLIPCQPCPPRGLLAELLLLLRPERGPTLLLRQERGTALLLLPERRLARVLLLADAPSRGRPTPPLGRSPGPSGLLRRGGGTQHLGEVRPFGRLVEVASLAGRRERRSQLRVVLVLLAAGPAARAGLVDVLSRRGSGLPALQCRALVREAAPSPT >cds.KYUSt_chr6.2469 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14396352:14397459:-1 gene:KYUSg_chr6.2469 transcript:KYUSt_chr6.2469 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPAACEIDRLPEDLLEHVIALTSPGDAFRATAVSRAFHAAAESDTVWSRFLPNDLPRFAKNEIPRRSPSTTKGLFRRLADEPVLLRHKYMRMQLDKATGAKCFTLSSSALQPPAYSTLVPVGSDFDYSKRGKRFLQAIRIPYYLPGPDIRAKVHRNMLSRNTTYGAYMVFKLLDDRSYGLDLPLHEASFGMAGRESIRKVCLYGYAEDGGGAAGEPPRKLILPSWYPTRCDAIPPMYDDVHFPRKKTHGWMEVELGEFHNEEGDGVVSISLIHTSDARPTVIVWGIELRTI >cds.KYUSt_chr1.9795 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59871259:59873803:-1 gene:KYUSg_chr1.9795 transcript:KYUSt_chr1.9795 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRHVRSTRELAEHYLNINPGAKPVKQDMRRFGDKKRRAMGMELAKLLEAAGQKWIAPTVGLFKINVDATTSKNSATSSIATVVRREDGGFVRASSVVLKGITDPETLEAMTCREGPTYRPGPDPARAENHRAFLRAQSDMSSWSFQHSSIETHRRRMYSLVLCCFSTSWHRRRSPLTPPPAACFLRRGSCGRDCAPPSSASAGVDRWWYAEQTDSDAEAASSMDAITL >cds.KYUSt_chr3.22136 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136349055:136353247:1 gene:KYUSg_chr3.22136 transcript:KYUSt_chr3.22136 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEGSGGEGKQTVVVPEIKFTKLFINGEFVDAASGKTFETRDPRTGDVLADIAEADKADVDLAVAAAREAFEHGPWPRMSGYARGRALSKLADLVEQHIEELAQLDGADAGKLLLLGKIIDIPHAVQMLRYYAGAADKIHGETLRVSGKYQGHTLKEPVGVVGVIIPWNFPSLMFFLKISPALAAGCTVVVKPAEQTPLSALFYANLAKEAGIPEGVINVVPGFGPTAGAAIASHMDIDSVAFTGSGEVGRLVMEASARSNLKTVSLELGGKCPLIIFDDADVDMAVELSRLAIFFNKGEVCVAGSRVYVQEGIYDEFVKKAVVAAQNWKVGDPFDVATNMGPQVDKVQFERVLKYIDIGKSEGATLLTGGKPTGDKGYYIEPTIFADVKEDMKIAQDEIFGPVMSLMKFKTVDEAIEKANCTKYGLAAGIITKDLNIANKVSRSVRAGTVWVNCYFAFDPEAPFGGYKMSGFGRDQGMMAIDKYMQVKSVITAVPDSPWY >cds.KYUSt_chr5.9471 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60145379:60148954:1 gene:KYUSg_chr5.9471 transcript:KYUSt_chr5.9471 gene_biotype:protein_coding transcript_biotype:protein_coding MEHISVSDFLLLVRILLKVETSGHRYKEYKPRRSSGSPTKLLVGKDVLKELEQRRSSPSVIAKLMGIDVLPPTYVAHNRHQEFKDVFEVSEELPETVTKERSHHFPKGLPSLKRSAMKLKKLMPSKSPYGDEIFDNDADYRNGLDRLNSLEIDNPLFEKRPHDANYYPSRRHEKDTASSFRKYPVGLANSSLRDIKNSPRGGYRGFNDIVVLEPGSGNSNDPENSFPMPFLSHVNRNSRRDRKKKQAESVVMSKGRVSQHLLDTEDLNAARMKGERYLTSDPNNSLSTRQEASLDQFNMVDMNNSGTSQGYASSDSNSRQNKKSSPNSLHWKIFRKYEEGDVGSKTLAQMFALSDSERVKKNSESRAQIQHNRLDRGKGHSKEGCFIVLPKHAPPSFLHSSLDRRSPSEGSLDSENFPNPSVSYDNGKFHFDSFLPKPRLKQIAGERQALEQRRPASPSLDDSRSHSWRLADNASTSDCINEKVLFTTDEGLIQESAKTSPSVFQLQFSRVQKASSSPLQCGDYESISISNHTVLPKSRKSMEEFEQPSPVSILEPPTDEDGCSSGFFKNDLQDMPNVEKQIDHCRLQDEPEVSMSSDDDNDSSYQSLEAFQVEEDRDFSYLLDILICSGMIVADWQLLCNSWHSPGFPVGPQVFERLERKYNKITSWSKSERKLLFDLANSVLSDVLAPCTNIHPWVDSIRRCQPIWGPEGPVEKVWQMMARQQEDLAIGHPDDKVLDSNWLEVGDDTYMVGKQISMILYGDLLEEVILEFLSPTVVAVR >cds.KYUSt_contig_1253.314 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2093432:2101706:-1 gene:KYUSg_contig_1253.314 transcript:KYUSt_contig_1253.314 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQGVAGKRDYSTAILEPSKKRSPNRLVVDDAEGGVAVDSSAVALSLATMEALNLFEGDAVTLRGKRRRETVCYARKDDTCPDGMARLNRVVRGNLRLHLSDLVTVNPCANIKQATKIACSPFEDSVEGISGNLFEAYLTPYFKGQLRPVRKGDRFKVHGHLHAVEFKIVDTAPDEFVIVTDQTEMYLQDPLRREEEERLDGPGYDDVGGVRKQLAQIREMVELPLRHPKLFHTLGVKPPKGILLYGPPGTGKTLLARAIASESGAHFLVVNGPEIMSAMAGQSEANLRKVFEEAEAKSPSIIFMDEINAIAPNREKTHGEVERRIVSQLLTLMDGLRQRAQVMVIGATNRPNSLDPALRRFGRFDKEIDIGVPDEVGRLEILRIHSKNMPLADDVDLERIGKDTHGFVGADLAALCSEAAFQCIRQKMDVIDLEDDTIDVEVLNSMSITMGDLKHAMEVTKPSALRETGLVEVPKVTWEDVGGLEDVKLELQETVQYPVEHPEMFEMFGMEPSKGVLFYGPPGCGKTLLAKAIARECNANFISVKGPELLTMWFGESESNVRDLFDKARQSAPCVLFFDELDSIAVKRGNNVGDAGGTSDRVLNQLLTEMDGINAKKTVFVIGATNRPDIIDPALLRPGRLDQLIYIPLPDEPSRLQIFKSCLRRTPLSRRVNLPDLARSTAGYSGADITEICQRACKLAIREVIQKSTLVGKALAMADAEMTRKHLLGAMEHARRSVSDLDVLKYEYFAQKFKQGGSFEEPVPRAQQVKPPEGHLELKAAADVEATLDEESLSVGAEKQIEAFRCGAALGGFARRRCGAALGGSIGGWAAARRCGGRKSDRSVEYIVEGEHPRRCNHFQSFLPIMRPEHTPKSSKPEKSKYNRMRNKLHLKATVQGDGGDETGVIPKTDVVGDMPSASLPSADLEDIAVDVAVDDKHVDEDNDQEGMVVTKKKNQSSLFNRSSPMKIVRVWKGMTPEQKELISTSVFADIAQMKCSKLVPELCRFLMSCFDPVRCCLDFGGRGIIPVNAESVVRVLGVPVGSADVPYHPNVDATCLVLKMFGIHNGVHPNVSTVEKELGPEYPADDSYMRKFVIFLISSVFAPITGIKVSPKCYPSVVNIQAIGTLKWAQFIVDILCQTASAKDKKNWFKACMPYLMVLYVDSLETDALDVPQDGTRCSVWTNKMITIVCDLDTHSDGSFGALPDIVNCRKAVVSMYTTFEDGLVTFIRSLGCNEGTTSKHNQEEVPCNEVAGDVADHNAQEDNLNKSILGQEVPLHEDAVCLDDQFVHPQSINQEPSNRLDTKEEELIVISSNESGDSLDKIYATVEIPLRTPLKDQCQVPVVTSVGPSSYTPVPEAHRKRIVKPAANQKSPFVRNDKKSVATKFANDVYSRVCSYGGQTEDAVNKQKIIDYGSFFIYLWDLADSVRPGEWLSNSTCQIALHVLSAELAEQKKHVMPLRIADKLRNANCIHDRFVKKQFKFDPECRLDHKEQISFPVLQDLTPDIKQFNGHYYMIVMNVKAERFEVLDSLRGKTDRAFTKDVRNIIGSIKGLWASNYSESNIDIQKWPTEFIDCPKQTTSYDCGFFMLKFIELWNGRKILGLINPNDMPTIRKQLTLKWLEWCDNSIAWQEMLY >cds.KYUSt_chr7.21305 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131954485:131955294:1 gene:KYUSg_chr7.21305 transcript:KYUSt_chr7.21305 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPSPLQDFCVADKYSPVLVNGFVCKDPKVVSADDFFMAANLDKPMDTTNKVGSNVTLINAMKIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGALYVGFVTSNPENKLFTKKLEKGDVFVFPQGLIHFQFNPCANKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKNTVKWLQAQFWADNQN >cds.KYUSt_chr2.8065 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50730372:50731055:1 gene:KYUSg_chr2.8065 transcript:KYUSt_chr2.8065 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTLLAALLLAAALLAAPALAQTVQVWSSCSTANYSSGSAYATNLRGVLSDAVAAASRSRDGYARVDRRPQQPDAPYGLAICYADAGPAAVCRLCLRMAAGNVTLACPRAAEAAMLYNNCLLRYVAAAAAGALAEPDMEKRFGFYNPNMTSAGDADRYGAALGRLVDRLAQAAAAGAGSPGRLLAFAQTNVTGEESLYGFAQCVPDLSPAGCRRCLRSLAASLPM >cds.KYUSt_chr5.20978 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136417375:136419986:-1 gene:KYUSg_chr5.20978 transcript:KYUSt_chr5.20978 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGEPPPSLCPLCGHPATTPSSSASLSPPVAATRPPMKRNSPPEAPAAVVRVEIGDEAVALREALARQQVALGELQAELDAERGAAAGAASEAMTMILRLQREKAEAMMEARQFRRYAEEKMSHDAAELAALEEAMAKRDASIPMRAVQSQSRLATSSIPSSSPRVSSTPRHPSTPSTVTGAGGYYPPLRCFSDRFNDHPPTASEADVLDVQTPRDHLARLSHRVQMLERRAPPTATTTPIIRVAPGSAFPRNSRVFSDTDSIDFCDGEFFPDDDCGASDRVYTVDAIHGRGVPLAVPEGSYCGGTPVGSDCCGGGSWAEDEEMRRLTARLQALEADRETMRQALISMGAEKAQVVLLKEIAQQLCKDAPPPLPAVTVGHHYYKGAAPPPMTVTVPRPQRPMVMHRMVVKSQPLTRTSFFATVAKWVASIVWWRRKSSRIKYPIGQCGNNVGLMLLLDKSSKAPGHGHQRPPKRI >cds.KYUSt_chr7.35338 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220759940:220760572:1 gene:KYUSg_chr7.35338 transcript:KYUSt_chr7.35338 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLIAPVVAALSPLTQAGAGGGERYRPAPACSAPRSRPAHSRLSLAGGGGTASAAASVGTGPNWCAPRDPGREMDSMAGVSEHAPGTVPVPPLATRAQVNDARPAAHAHAHATHPSSRPCAPEQPRSRARNRVAGLPVRRRRPGRVGARGSGVALKRRDATAEWECERAPATVRAWKAVAPRAPLGCPAQVRMLLVNRSRVECTAVTV >cds.KYUSt_chr5.34878 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220743303:220745571:1 gene:KYUSg_chr5.34878 transcript:KYUSt_chr5.34878 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATARNYKTAVDADTPKNKGRGVLKGLKASKKRHANGAGKLNIAFSDKLGGAVGMNYRSFKDDIVIIMKRRLPIIGVRRWADIHLGIHRLIVSDMLDRYDLEDTPETEEKILKIVKERYRGWRASLSSTYKAYKTDDARMSNIPEDLQPEEWEWMIQYFGTDQKFKELSQKNSDNRKKLKTNHRAGSKSYSQLSFENRNKETGEEPDCIALWEITHCKNGSWTTEESKKVYPCGFGYMAKLPTRSERIKFQVEEQARATQKVNSELNQQVTELKQKLQDERDTMDEKINLERAERERLEERLEEERAERERMMEFERASRVEFEKNLMAKLASQFQSQFQSQFEEFTKQIETQKTQTNKIETQQTQTNKNPKKANLKENICPNLQTPLLESPAKKIVPPHNTLQNTRMFKALDGHGNRNGKN >cds.KYUSt_chr6.21502 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135743874:135744452:-1 gene:KYUSg_chr6.21502 transcript:KYUSt_chr6.21502 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLSSCFNQRGSLSQQAHQPAPVRVIAADGSLKEFLATPRVTVSDVLGGEAASFFVCNSDELYFNEPPPALAAGELLQPGQIYFVLPVAAFTRPLSSADMASLAVRASSALAAKRPHRLVGKNKKMRVVPVQEEPENREDVLFYEKLNERTLGEFAVAMSPAKKTDEKQKLAARSRLKLKRVLSVIQEIA >cds.KYUSt_contig_528.462 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:2877601:2878833:-1 gene:KYUSg_contig_528.462 transcript:KYUSt_contig_528.462 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLRLLRIGNMVDGALGGEVSWELLVDECGYGGDHRAGERHDKDAVLGTHLHGGDHLVDTDDADGNFANS >cds.KYUSt_chr5.31779 pep primary_assembly:MPB_Lper_Kyuss_1697:5:201446676:201449972:-1 gene:KYUSg_chr5.31779 transcript:KYUSt_chr5.31779 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDGLWEVMYSTSRDQDRRRNMRYDGKIQLWVARDWITLLNAKGEVIGNRRLDKADLSPGSIFSLSSHTVHVGACVFSPEVRLSSPQPTFDASGMAVHAMGLDFSAGELFKSQVKKRFRSTVHPLGKANHFLMTVSFGRAKFKLDRVSAGLALESCLGGLCDDMLVIQLADRVFRFSVASRHVGFMIYAMKYFTCASFKCFFHLWGNGGPSWKSEFRSWQKECNEEWTLVSPNKKRTDHAMSLLQKNPIRSALKTSASGASVKRKLSFATFMQYPLCQGYEFEATVDLAKDALQAGYDCPQIRRRAGVILSPSILRSDHSIQFGTTESNLADQNQTETAVDKQAPTEFSSPQVSRARDSDVARADGPIGPNNDDPFGINDLVDDMVYRVWKCGRCLSFTHETSVCSNEIRCRSCFRYGHMRKDCFLAKNKQIWKPKKGGSGTSINENHLESLDATASETNIPSASTPDIHPLSPPVSLQRIETPAMANFAVDPARWVPLGMQIIDGGPNRLPRTFYNPSVMPPRRNDNVITAIMMPPPPEEQEEAWREQVRLFIVQHLQRAVDDVQPCLFGLGFYRLRSAAATVALVNHGPYELQEDVFVRFVNHNDRQNHRAFLGFRQGWIMILSVPLDFRNDYDIANAVSAFGKFHHWHQEDGVLERTMVFVSFNSTAQVPRDIVFGNYGNLGAVKESWTAPCYILTADFADIVPPDEDQMPLDGNPHPLPGNMFMDNNNFVMPQFPEIGWNIPEPQVQHHQQHHPPEHAEEAWQPVQHEEPVIQPDPVIEEEDAVEEDVDSSIVNASADSNNVIDNGENEVQQNVVINSVLICLQEPLLVKQSIGMELIHSFMGTDACLIGPSLPPLMQCQKLLQKALPFVAPSIAPIPSVLHAPFKFVILAKRAWSEAFQQSSVFSPSDGNMATMTVYLQAKKRVIKPVARMLTFGEDSDTAPTVFSVSSPTESGSKVVRRKRAHKAAAPLVDTSVRRSTRSSAIKDGHRHVALIDKRAPVSKKRKVQRRLNQKKDCSEATPKSPDEGKGSSMNESSSQKGSKSAHCTLPPTPIPLLQKIGLSLGIDPKDLTREKLMAAPDRDSDSQNSTDE >cds.KYUSt_chr1.10166 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62351093:62354122:-1 gene:KYUSg_chr1.10166 transcript:KYUSt_chr1.10166 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGVSDVYGEDRATEEQLITPWSFSVASGHSLLRDPRHNKGLAFSEKERDAHYLRGLLPPAIFSQEHQEKKIMHNLRQYTVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPVVGEACQKYGSIYRRPQGLYISLKDKGKVLEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNQTLLDDEYYIGLKQRRATGEEYHELLQEFMTAVKQNYGEKVLVQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLAALKVIGGGLADQTYLFLGAGEAGTGIAELIALEMSKHTELPIDDCRKKIWLVDSKGLLVESRKESLQHFKKPFAHEHEPLTTLLEAVQSIKPTVLIGTSGVGKTFTQEVVEAMASFNEKPVIFSLSNPTSHSECTAEEAYTWTKGTAVFASGSPFDPVEYEGKTYVPGQSNNAYVFPGFGLGVVISGAIRVHDDMLLAASEALAEQVSQENFDKGLIFPPFTNIRKISAEIAARVAAKAYDLGLASRLPRPDDLVKYAESCMYTPLYRSYR >cds.KYUSt_chr3.45521 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286808149:286808454:-1 gene:KYUSg_chr3.45521 transcript:KYUSt_chr3.45521 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVALAALLVLAVALAAAPLPAHAVCDMSNDDFMLCQPAAAATTGPMPDPSAECCATLGKADLKCLCGYKNSPWLNLYNIDPKRAMELPGKCGLTTPPDC >cds.KYUSt_chr2.7302 pep primary_assembly:MPB_Lper_Kyuss_1697:2:45849417:45850736:1 gene:KYUSg_chr2.7302 transcript:KYUSt_chr2.7302 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTAALTVLALVACVGRPCQAGYGYPNPMPSPSPPPSTPPPYTATSPSPPPPAPVTPSTPTPYSPSTASPPPPTPIAPSSPPPYTPSTPSPLSPPPTPSSPPSGLSVGYYQKTCYRAEDIVREAVRDASKGIMAGLIRLFFHDCFIRGCDASVLLDTADPNSATEKFGIPNLSLRGFEVIDAAKARIEKECGNIVSCADIVAFAGRDATYFLSNKKVYFDMPAGRYDGLVSLINETLPNLPPPFATVEQLKAGFAFKGLNTDEMVTLSGAHTIGISHCSSFSDRLTSNSSDMDPSLKSTLQQQCQSNSGSDNTVVQDNKTPDKLDNKYYKNILSHEVLFTSDAALMMATDTSDAVRANAKDTNQWEEKFKAAMVKMGAIDIKTAANGEIRRSCRVLNTN >cds.KYUSt_chr2.16536 pep primary_assembly:MPB_Lper_Kyuss_1697:2:104023811:104029332:1 gene:KYUSg_chr2.16536 transcript:KYUSt_chr2.16536 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISRIPRDGIGGGGVSGRFSVSWLSVPHWRRNPWCCAALHSSTNNLHVAFISYWLTQITVIYYCRLVTDIPTTTGVSFGTEVVCYESPRPVLEIHRLVFLLFQQLGRQTVYAPGWRQNFSTRDFAELYNLGSPVAAVYFNCQRESGTGGRRM >cds.KYUSt_chr3.3570 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20206699:20207181:1 gene:KYUSg_chr3.3570 transcript:KYUSt_chr3.3570 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDEPSITRWSFEDFERYYDAGLGIRHQPKGDGDDDDDDEEDAPPGSGPADSARANGGADLAVFEQYERLDRNVEFRNGAMEAGPP >cds.KYUSt_chr6.4004 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23155538:23162657:1 gene:KYUSg_chr6.4004 transcript:KYUSt_chr6.4004 gene_biotype:protein_coding transcript_biotype:protein_coding MTADRILHLLRSPVASPAATHRRGPLRPQRVGLPSGKRRVAVACSSSTEEDGMTDKDAGVDIDAGTEEDGMTYKDAGVDIDAGTELVRRIRKMAPWIGGFGGLLAYKDDYLVLSTDGVGTKLKLAFETGIHDTVGIDLVAMSVNDILTSGAKPLAFTDYYATSKLDVDLAEKVINGIVDGCKQAGCRLEGGETAEMPGFYAEGEYDLSGFAVGAVEKDKVIDGKNIVEGDILIGLPSSGVHSNGFSLVRRVLDKSGLSLSDQLPGNDGKTTTIGEALMTPTTIYVKQVLEIISKGGVKGLAHITGGGFTDNIPRVFPKGLGAKIFTGSWKVLPVFNWLQQVGKIEDSEMMRTFNMGVGMVLVVSKEAADRIIEEASPAYRIGEVIQGNGVHYV >cds.KYUSt_chr3.22219 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137050067:137051308:1 gene:KYUSg_chr3.22219 transcript:KYUSt_chr3.22219 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAMVWDPWLIVAQIVCLQCLYYLGLGTFMALLVGTRVPRLTLLYLFDFAILTPRTTTGWCAIASFILAAIAGYGNDIPVPPSAPANQRLVLIASSSKCVELKLPVQLRLCFQICSFEAGRVKILKRPYLVVVSASIKD >cds.KYUSt_chr4.44549 pep primary_assembly:MPB_Lper_Kyuss_1697:4:275958672:275962323:-1 gene:KYUSg_chr4.44549 transcript:KYUSt_chr4.44549 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQSVKARQIFDSRGNPTVEVRAPPNSAPSSSRVSLYTEIWSSSPDFESLPLLMCAALMELLRGLLCPAVHQLVGVYEALELRDGGKDYLGKGVLKAVDNVNSIIGPALIGKDPTEQTLLDNFMVHELDGTKNEWGWCKQKLGANAILAVSLAVCKAGASVKKIPLYQHIANLAGNKHLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKVAIEKAGYTGKVVIGMDVAASEFYSEKDQTYDLNFKEDNNDGSQKISGDSLKNVYKSFVDEYPIVSIEDPFDQDDWVHYAKMTAEIGVPVQIVGDDLLVTNPTRVAKAIEEKSCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGLNFRTPVEPY >cds.KYUSt_chr4.12564 pep primary_assembly:MPB_Lper_Kyuss_1697:4:76943390:76943722:1 gene:KYUSg_chr4.12564 transcript:KYUSt_chr4.12564 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNRCNQAQYENGLLKRWPPTSEIISPPLPGIPPPSAQASEPVPHEVPEEPRSTPVVRTSRSTFDEEDDDAEELEGPTAKAPFKYRMHMAVPDLEVTDLLYSADTMQTR >cds.KYUSt_chr6.2952 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17332010:17335600:1 gene:KYUSg_chr6.2952 transcript:KYUSt_chr6.2952 gene_biotype:protein_coding transcript_biotype:protein_coding MWPTVKSTVWASRVHTTVNCEEQLDSPERVFEYSPEDPLNFSLPCAVKVISSGKFTVPPSGAPIGKSTVLRAHALPLRTPESYPIVAGKNIRKLNLITSGSSFLTKLDALLGTIDHKTGATTSLNCPCCGVMGCQCSFHIHVQDEKDGQSIFIIVLGLIYEFTQLAQLCGTDGGL >cds.KYUSt_chr1.19240 pep primary_assembly:MPB_Lper_Kyuss_1697:1:112995166:112996119:1 gene:KYUSg_chr1.19240 transcript:KYUSt_chr1.19240 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIHSSGKLCHVEAADPLDAAPSDTSLILWLDGGPGCSGQLSNFFQIGPYSLVVTCGANGSLSPNPYAWNHRFGLLFFDTPAFFALHPASLRARPFFLAGESYGGKYVPAAASRILAANQALPPRMRVNLRGVAIGNGLVDPVAQVATFADTAYFMGLVNARQRVELEALQAETAALAGAGRWGEATDARARVVSRLQEDIGIRS >cds.KYUSt_chr3.1047 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5576002:5577462:1 gene:KYUSg_chr3.1047 transcript:KYUSt_chr3.1047 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNDDDDGQGYSDFDEEDALIELDSDDEISPAVKQNYQVLTEDAVRKIQEDRIATVADLIQVPPSFAALVLRHCNWSSMVVQDKWFSNERRVREAVGLPSSGVPVAVKHNDVPITCALCFDEYSPGQMRSAGCAHFYCGACWRGYLHAAVEDGTRCLSLRCPDPSCSAPVVRDLYDVADEEDRGRYDRFALRSFVEESKRMRWCPSPRGCDLAVEYLDGERCRETLDVVCTCGHGFCILCAEEAHRPVTCGTVREWMAKNSSESETTNWVLVNAKHCPKCRRPIEKSSGCNHMTCSSPCHHQFCWLCLGDWRTHEAGIYKCHRYVDVNDADHRREQAQASLDRYLHYYERWAAHELSRQRARDDMFELESALEACGENADEAHAQIGFLMDAYKQILEGRRMLRWTYAYGFYAEGAKLTLLECLQGEAEASLERLHGFAELERNSAEMDFDGGVSYFKTLAKLTKQTANYFESMAEAFQTDLA >cds.KYUSt_chr2.2148 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13011976:13013723:1 gene:KYUSg_chr2.2148 transcript:KYUSt_chr2.2148 gene_biotype:protein_coding transcript_biotype:protein_coding MLAISVANAEAASVVVGLAKCADCTRKNMKAEEAFKGLKVAIKCKNIHGDYESKAVGGLDGTGAFSVPLAANLHGADCVAQLHSAASNTPCTGQEPSKVVPVSGGTTYGVVAGAKTTTASPECASVTMCGPIKKHILEHFHHKKPVPPKPEPKPQPHPDYHPVPPTPTYGGGGGHPATPIYHAEPSETMLGPIKKHILEHFHHKKPVPPKPEPKPQPHPDYHPVPPTPTYGGGGGHPSAPIYHATPSVHVLGPIKKHILDHFHHKKPVPPKPEPKPQPHPDYHPVPPTPTYGSGGGHPSTPIYHATPSAIMLGPIKKHILEHFHHKKPVPPKPEPKPQPHPDYHPVPPSPKYGGGGGHPSTPIYHATPSATMLGPIKKHILEHFHHKKPVPPKPEPKPQPHPDYHPVPPTPKYGGGGGHPSTPIYHATPSATMLGPIKKHILEHFHHKKPVPPKPEPKPQPHPDYHPVPPTPKYGGGGGHPSTPIYHATPSATMLGPIKKHILEHFHHKKPVPPKPEPKPQPHPDYHPVPPTPTYGVGHPSSSTPIYH >cds.KYUSt_chr1.30554 pep primary_assembly:MPB_Lper_Kyuss_1697:1:184901399:184902528:1 gene:KYUSg_chr1.30554 transcript:KYUSt_chr1.30554 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPLQCKILVLRVPIHCEGCKKKVKRVLQSVDGVYRCDIDARSNKVTVAVTGSVGGDALVKRLRRSGKNAEEWPEPQQQQPADSTQRSQTPEETKKQATEPDKPGGSGTAEKPASGDAEANSAEQSNPKASSEATAGVTATLAQDGTESTSADADGAVEHATKEATAEQCSDAKRNRKQQPEEVEKPVDTMVVLTAASAQSSDACNLPPPLEQPSVHVLSYSMARPTVSAASYYAAAPAARAPPPQELPYTYPPCCYYPQPSPYHGSWAPQTGAASPAYDSYSDLFSDDNANSCIVM >cds.KYUSt_chr4.51282 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318083368:318084615:-1 gene:KYUSg_chr4.51282 transcript:KYUSt_chr4.51282 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTQRAVAAAAVLLLACSLQAPTATAWVSAHNITAFLNGHPEYKLYNKYLTETRVCDEINTRAAVTCLVLTDAVMATLVSDAGDELGAVKNALRLHSLLDYWDMKKLRALPDGDTLTDTFYQAAGNAGSSHVGGVKMAKLEGGGFGFKAAASTGDTYDATVTKALKQTQYDFAVLEISAPIEFDGLFDVPNISNVTKLLEKAGCKRFAALVAGTTGVLAAYQAAMEKGVTLFAPSDDAFMAKGAPDVDKMAHADLVALLLYHAVPAYIPRASLKLLKSAARPLRTLASTASGQYNVSVVARGDDVSLDTGVRKCRVADTVLDDTPVCMYTVDKLMLPVELFADAPAAAPEPAEAPSPVEALSSSPPAPPPADVPSSEEEPADRKHKNLKASSATAAAFRSIGSFAAAACSFLLL >cds.KYUSt_chr2.35085 pep primary_assembly:MPB_Lper_Kyuss_1697:2:216422880:216426190:-1 gene:KYUSg_chr2.35085 transcript:KYUSt_chr2.35085 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAATAAQQLPRSESRTLSGHEGAVLAVRFNRDGNYCLSCGKDRTVRLWNPHTGALVKTYKSHAREVRDVNASSDNAKLVSCGADRQIFYWDVASGRVIRKFRGHNSEVNSVKFNEHNTVVLSAGYDRTVRAFDCRSQSSDPIQTIDTFQDSVMSVSVTSTEIIAGSVDGTVRTFDIRMGRETVDNLGHPVNCISLSNDRNCLLANCLDSTVRLLDKNSGEMLQEYKGHICKAFKMDCCLTNDDAFVVGGSEDGFVFFWELVDAPIVASFRAHSSVVTSVSYHPTKTCMLTSSVDGTVRVWT >cds.KYUSt_chr1.11485 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70472718:70482191:1 gene:KYUSg_chr1.11485 transcript:KYUSt_chr1.11485 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFALSKTQLCFQIIQGVNRVMVASPLRIGFSRTSKKAAEASPSALAVPDGGASSGRKKGRLPNGRTTGPARRSTKGNWTLEEDDILRKAVEIHKGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDDIIIKMVKEYGPTKWSAISQALPGRIGKQCRERTDNAIKNHWHSSVKKKFESYRAEGLLAQFQGLQAVVYPTGSLNVDSSSTVTQQSEGSGLNVIREVEDSSEFSQSAFANVSCSQVEQVDEAMGYHALVHFNDEGAHESYSSCQDTCYNNANSDASALPETHHLLSFSENDLDKHLQQEFSQADFDPQSELWQDISFQNLLNAPDSVNADSFLSSNYQHSAYSSEVANNFEEPLYPLQTLNSSGIAGAVHHQSSDTSLAPSFICSGSENETVSCDPPMVPESRKQQLTSVEESCLEPTTMGREASPIHSESVIDKKQHPECYEPLCFSGVEVPFVSCELVSSSDLPEYSPFGIRELMRSSMNISTPLRLWGSPTRDGSPDDVRKSAATSFICTQSIMKKRQRELPSPIPDVRRAKKMDTEKDCGKSAMPFTRTEISCVDATGDESLDLFSHENEENSKEATYQGKTEENGKRNNLEKGEVSSGFLTESNANSFTTPKNGPNPESRKLNTTAKSFSKDIISSRSKPAELLVEKSSACINADYEYVNILADTPGIKRGLESPSAWKSPLFKNFQSEARILDFNECTTPVKKKEDNRCRTLGRSPTSSYLLKNVR >cds.KYUSt_chr7.15656 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97209848:97213533:1 gene:KYUSg_chr7.15656 transcript:KYUSt_chr7.15656 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGHFERPKCIAPLEMPLDAGSEDGTTQRSYPARFEVDSEHVGPSTIADDCHDDARGLPLRHPCARTTGLDGQTGVGKTSMESWSKHSWRKARNTLTSKPPISPEVNPGGISQLDTSGCMDQGVDVAVNLNGEQCPRFSRIDTLKPGPMCTESPAHAFEIKYTQATHLNWTTDITPGNSTTSTSIMTTR >cds.KYUSt_contig_3248.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000632.1:32183:35260:-1 gene:KYUSg_contig_3248.2 transcript:KYUSt_contig_3248.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFLESNEKPNCQFFAVKNVTLLSLAADPTYPSPHALPVVIDEALHGSRAALLLLVSLAAADIPIPAADIHMPYREKSEEEMRLIFVEWKAKMGKTYSSAGEEERRYATFKDSLRRIDQHNAAGIHSYRLGLNNFSDLTQEEFSATPCLVIPSVHDKDKAAWRLIIYMLFVCGLFIVYFIWTNWLACGWGRLFVLQRLCELTTPKSTARLIPCAPEEVEPAITLLACPAVELPLTYLGLPLTIRKPTSA >cds.KYUSt_chr3.17640 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108203853:108205688:-1 gene:KYUSg_chr3.17640 transcript:KYUSt_chr3.17640 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPVLTLVVEKGPRKGETRQCAAGSALRVGRVVKGNHLAVRDVGASQQHLAIEFLPPPAARWAVSDLGSSNGSFLNGAPLAPNVPAPLSDGDSIKIGESTVLAVSISSDSDLNAAAAAGPEPRRSSRYTAAAAAEEKPPAATRRGARKKAAVAEIPEVENEVPDAAVVVLEEEKARPATRRGGRGKAAAAVPAEETEEVVELRPHGGRKKAEQPPELEKEEEEKEPPVATRRGGRKKAEQPPEQEKEKEAPVVTRRGGRKKAAEPAELEKEEEKEEAVVVTHCGGRNKTTEPPEPEKEEEAEAPVVTRGRRKKNAVPVAPPPQPPKTRSRRGQGRVARTTSTRSTVLEDEDVEEEEENEVAAPRELPGNLMALTAVKDGVEEKGDKVVAGDVEIEVAAKTLEEELPNGRGSAQCAVSGNVGDEEKGGGVEEDDGQGRVTRSTVPEDEEVEEEEENEVAAQRELPGNLSTLMAVKDGEEEKGDTVAARDVEMEVAAKTSEEEVLKGRVSAQCGASDNVGDEEMGVGVEEDDDIGGLVGSGGELGDGVKVKHAGRSSLETMTLGEWFDRMEIYLPGTINEAADKMIAELEEKQKRIHEYIATLGNSSNPY >cds.KYUSt_chr6.16793 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105626331:105631117:-1 gene:KYUSg_chr6.16793 transcript:KYUSt_chr6.16793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome 2, blue light photoreceptor, Promotion of flowering tim [Source: Projected from Oryza sativa (Os02g0625000)] MGGSERTVVWFRRDLRIDDNPALAAAARDGSVLPVFIWCPAEEGRFYPGRCSRWWLKESLAHLGRSLEALGCPLLLIRAEEGTLAALLRCVDSVGATRVVYNHLYDPISLVRDDKIKNELLGLGISMQSFNGDLLYEPWEVYDDNGHAFTTFNMYWEKCMGLPIEISPSLAPWRLVPVPGIENLHSSSVDDLGLESSKDEESSNALLSRAWSPGWRNAEKTLEDFVCHGLLDYSKDRMKVAGTTTSLLSPYFHYGEVSIRKVYQLVRMQQIKWENEGKSEGGESVNLFLRSIGLREYSRYMCFSFPFTHERSLLGNLKHYPWRVDEDRFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIVSSFAVKFLLIPWTWGMKYFWDVLLDADIESDILGWQYISGSLPDGHELGRLDNPEVQGQKYDPDGEYVRTWIPELARMPAEWIHHPWDAPSSILEVAGVELGFNYPMPIVELNTARECLDDAISTMWQLDTAEKLAEFEGEVVEDNLSHIKSFDIPKVILKELPPSALQCDQKVPTADGRNHELQPKELNGANKQTTCVDVIKDSKMEDTSSVANSPISRKRSASGSAFDVPSCSSSVEVHSQNHHPGGPFVGSSRYILQKAERSCAGKAEDDDNADTGTSMSRPSKRPS >cds.KYUSt_chr5.38322 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242331225:242331782:1 gene:KYUSg_chr5.38322 transcript:KYUSt_chr5.38322 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSKAPQLLKKAAAMCKSKTSVLAGRLLVLAALQRRRMTSATVISHKIHALVVADRQRMDCRKALMLQNAERRPAVIHGGDMASDLSHQLALFGQETGHGGCLDWTLHPIFSDDENSYTEDSEDEDDGDVLLDACHDDDDEPSVMDVIRINREVEGLEFNMEEEIDEAADMFIRRFRQQLSKSF >cds.KYUSt_chr1.20246 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119216787:119218688:-1 gene:KYUSg_chr1.20246 transcript:KYUSt_chr1.20246 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFLPHGITDIRCLIQSIVDYCGDAGPELFELAPMLVSNMGGSMTLLQVYATIIWIFVDLSMFEDALLTYIEAKKVGVELRVCNFLLKSLVVKKQILHARSLFDDMKSSSPSPNVYSYSVLMSAYTHGDKLCLEEAFELLREMETKGVRPNAVTFGTYLYGLCRARQVTSAWNFLQMICQRGDPCNIYCFNAVIHGFCHEGQLKKAIAVFDVMKKGGFVPDVHSYSILVDGLCKKGDLLTGYYMLVEMAKTGIAPTLASYSSLLHGLCRVGNIEWVFELFKRLEEHGFKPDHIVYSIVLHGCCQHLDLDVTCDLWNDMVHHNFVPDVYNYTSLIFAYCRHGDLEEALSAFELMLDNGISPNIVTCTILVNGFSNKGRIAEAFTFLDKVRQFGIFPNLCTYRVIINGLFKVNKSDDVWGIFGDMIKRGYVPDTVIYSIIIDGFVKALDLHEAFRLYHKMVDEGTKPNIFTYTSLINGMCHDNRLPEVKTLFNHMIGKGIKPDMILYTSLIACYCKRSNMKAALEIFREMEKEGLPADAFVYTCLIGGFSKVLAMDGANMLMEEMINRGLTPTVVTYTDLIIGYYKTGDERKAKMMYKSMLQAGITPDAKMMCILGFDSCEDDFEDSPEDKGVA >cds.KYUSt_chr4.44282 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274384865:274385662:-1 gene:KYUSg_chr4.44282 transcript:KYUSt_chr4.44282 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGIVEREIKQQILASIPPHWEENPVLFLTSPSGKYAAYFLRSQTAPGAGGLGADFCYVEILDTTGAEGQSVWESECLAISTVNTCALVFSWKGLEVFDGSNSVWHTHDTESVDNNFLRTLQLVDEGDMRILDKGGEMAWKASDEPRAGQHCGLPGSPGLAPAMPPFADPIGQGSGKLPFGQEGGNGNSYGAYNGAAQAELPLAPLPQAAGLSGEQGVESVGEPLVDNSPYDSGATGDRCSLLGIGVVLGLNVAIAIAMGLGL >cds.KYUSt_chr5.29797 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188915853:188916419:-1 gene:KYUSg_chr5.29797 transcript:KYUSt_chr5.29797 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASAESKSRRFALACGVLSQYVKAEQKLSQSTAAPRATTTLSLMPGADVGHEQEQEQASTTEQAQAQAPLTIFYGGRMVVFEDFPAEKAAEVMRMAATATAGASAPAPVAPVAPALGGDMPIMRKASLQRFFEKRKDRLGARQAAPYARPAAAAAKDSSEKSSSSSSSSWLGLANTEDARLAFAL >cds.KYUSt_contig_2486.804 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000356.1:3097480:3098585:-1 gene:KYUSg_contig_2486.804 transcript:KYUSt_contig_2486.804 gene_biotype:protein_coding transcript_biotype:protein_coding MVDATLFTSISRMLKFVYSPSSVFGQYWRHPKSNCTDSSPIEVPATSCQPLRKDNGIGQLALQLIDRRWLHAPPSGGVVIHDGPRHIASALAWRTSGTLQRCRPKEEDAADSPPPPPAKKWWEMEAEVQTAFRGGDEPEEFPGQHLIVGRSVKEDYRQITLNPRQAAVWSAMDHGENFIDLAGPSEPLAPKEEEDDFSNDGGGNGGSSDAATTTRTTSTAAPSRGATCLDADNLVGTLSLNRGFFCRRLFGGCVWML >cds.KYUSt_scaffold_6468.896 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4336310:4336780:1 gene:KYUSg_scaffold_6468.896 transcript:KYUSt_scaffold_6468.896 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHTLAPKLAPHIVDSAHHVEGDGGIGSVRHYNCSSAMPFNVMKKKVEYLDVDNCECKYTLECDGVETSTWHIKIRPTTNGGSVAKVECTSKGTEGKDMMLKAKESATEMFKTVEAYLIANPDAYN >cds.KYUSt_chr6.27422 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174151436:174154328:-1 gene:KYUSg_chr6.27422 transcript:KYUSt_chr6.27422 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPVLSSSPECAPDAQVPHRVKVAASDLAPLFDAQRRHLDHFFDRLDLTQAAAFAQALLDAPGAVFFTGVGKSGIVALKLAQTLASLGFARAGFLSPVDALHGDIGSLFPGDLLVLLSKSGASDELLALAPCARAKGAHLISLTSAASGADCPLAAACDLNVHLPLQGEVCPFGLAPVTSTAIQMVFGDTVVAAIMEARRLTRDQYASNHPAGKIGKTLIFKVKDVMKKQNELPLCKEGDMIMDQLTELTSKGCGCLLVVDDEYHLIGTFTDGDLRRTLKASGPAIFNLTVGEMCNRNPRTITADAMAVEAMEKMESPPSPVQFLPVVDHKNIVSGIITLHGLVSAGL >cds.KYUSt_chr5.14178 pep primary_assembly:MPB_Lper_Kyuss_1697:5:91938584:91940355:1 gene:KYUSg_chr5.14178 transcript:KYUSt_chr5.14178 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSGRRLRSLPPPQAGRRTTRSQGFSGGDDEDRIGALPDDLLLQVIERVGCPRAAARTGILSSRWRGLWTRIPRVTVTLDDIELSDLEAALARAACAGLHLLDIFVPGRYVEVTSFQVEAVLAAADRLSPVELRFDTCPSIRYSSSGVCLPSFSRATSIEMFGLRELMPAASGLPALERLSFYNEYGLRLGNLIQRCPCLRVLDLDLGLTPRPPMLDVDLRIHSTSLEELRVKRYRGRDLVIDIMAPMLKKLDLTVLTSYAQDVIAISIWAPVMEEIFWSCSYVNSSARIGILWRLGRLQLTAVQRRRNDTMPYNGEDNTCLYLPIFPDMTGMVPAEWDLVEEIRKIKNTFPAVEFTTLKLEVILWKTGHVFGPLVLSLLEISWIRRATRRLEINLKRRNIEQWCARGTNCPCDQPGNWRTKSNSLTLINLEEVEIEGFEGLDDEFDFLKVVFQFALKLKKVNLKVSDKVTPSALKKIDNMFKEYPRVECCVTSAPVSATRSVE >cds.KYUSt_chr5.10205 pep primary_assembly:MPB_Lper_Kyuss_1697:5:65393217:65393456:-1 gene:KYUSg_chr5.10205 transcript:KYUSt_chr5.10205 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKGKIPEHENLVDEEKAEIEAAAQEWWNITQSRIELMRRLGEPVSLFYPKKPVDARILLNRKSNCDHIDIGSGYEL >cds.KYUSt_chr5.12352 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80576175:80578377:-1 gene:KYUSg_chr5.12352 transcript:KYUSt_chr5.12352 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRCSRHRGKVKAFPKDDQQKPCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPIVIVGLVAYVKTPRGLRTLNTVWAQHLSEDVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYATNIRVIAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKVGQETHDASTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFVDTSSKFGHGRFQTTDEKQKFYGKLKA >cds.KYUSt_contig_1504.31 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000157.1:231908:232579:1 gene:KYUSg_contig_1504.31 transcript:KYUSt_contig_1504.31 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGATVEEFALLGRFLTLSSIPMAGKIRIRPSVSYYLGQMTEQVEQEDRIPVLKVSQDHLKEFISTCEALDLVPENELELSRQGRPETAANRREQKVRLLPPYNL >cds.KYUSt_chr2.53664 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334742707:334743093:1 gene:KYUSg_chr2.53664 transcript:KYUSt_chr2.53664 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRRSRSRRAGTSVSSSSSRSISEDQISDLLSKLEALLPDAQARNGAQRGPASRVLQETCSYIRSLHREVDDLSETLAALLASDAVTAEQAAVIRSLLM >cds.KYUSt_chr3.35258 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221420653:221420937:1 gene:KYUSg_chr3.35258 transcript:KYUSt_chr3.35258 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGRRPPRLRRPPPRAGCGRSNHRQEGDDLDWHGALNKIEVWVDLVGAVQTSAASGSAGIKIPPRAACASVLREVGTRRPCTGPPFLVPEAV >cds.KYUSt_chr6.33079 pep primary_assembly:MPB_Lper_Kyuss_1697:6:208300679:208303371:-1 gene:KYUSg_chr6.33079 transcript:KYUSt_chr6.33079 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGAGVSEFQQFIMDGGFAMAAAAPQQQAQAHAAAQAAAAAAGAGQELGSPFRYQPLHHHAMQLHHHHHQQQQQQHHAPQMPPHFAHFGGPAAAAGGIPFTQQLLHHQAAQHQQHHLQLFHEQQHHHQHKPPARWAPQPHHQQQQQHQHHHHLGLDVEAAAVPETSRAPSGGAAPPGVPPFLAAAMNFKLAVDTGGGSGATGGTDDAMNEGAGGASSGMMLHGGGDDEAATESRVRRWTGDEETSIKEPTWRPLDIDYIHSTSSSSKRAGAGKEKAATPESPAPAASPANYFKKGSGGDDNAAAAASAGAGGNYKLFSELEAIYQPGSGGGGGAGQTGSGSGLTGDDNAVLPPPSMAELPGAAAAAEEAPQANMSETSAGEDAAAVVQPPPPPQAQQQASAGEARRKQRKRRRHEVQSASASFFERLVQRLIEHQEGLHRQFLDAMERRERERAARDEAWRRQEAEKFAREAAARAQDRASAAAREQAIIAYLEKLSGEAIVLPPPAPIPAPASTDDATSHDVGAGRELVPYDGADTSLPLMSSSSRWPKHEVEALIRVRSGLDGRFQEPGLKGPLWEEVSTRMAAAGYGGRSAKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELDRLYSRTTGSSSGAAAAGNSNAIIAGTGNVDAASKQGSSELLDAVVKYPADTHYGLPPGFPNDDAGGSNVAGKNDGAAHQDGEDDDDMGRSTSGRGQEDEVDQSHGHDDDH >cds.KYUSt_chr3.41979 pep primary_assembly:MPB_Lper_Kyuss_1697:3:264959308:264960809:1 gene:KYUSg_chr3.41979 transcript:KYUSt_chr3.41979 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGSLYLGGGREHAPVTGQKRKRSPAAGAAGECCAGRVKRQAGGPDFLDGIPDDLVLTILSKVAATASSPADLLSVHLTCKRLNGLGQQDMVFAKASPASLAVKAAAWSEPVQRYLKRCADAGNLEACYILGMIRFYCLGSRSGGAALLAKAAVGGHSAALYSLAVIQFNGSGGAKSDRDLRAGAALCARSAALGHVDALRELGHCLQDGYGVRRDPAEGRRLLVAANARELSLALAAAAASAPHSFASALPLGAVAAGVGGAAGCPLLSDFGWSLPEAEPHTANQFMSDWWASRGVQACSKKQAAPAPAPVGGAEASGDGDGELRLCSHMRCGRKETRRHEFRRCSVCGAANYCSRACQALDWKRAHKAQCVPMDRWLIAAAAGDNNAAAAPAQ >cds.KYUSt_chr6.6608 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39844190:39845083:1 gene:KYUSg_chr6.6608 transcript:KYUSt_chr6.6608 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRSSLIIAGILAIFLFSSANGGSIAIYWGQNGNEGTLAETCATGNYAFVNIAFLCSFGSGQSPQLNLAGHCDPYSNACTNLTADIHSCQSKGVKVMLSIGGGAGGYTLNSKQDAADLAQYIWNSYLGGSGKRPLGDAVLDGVDFDIESGNPDYYGALAAHLKSYSGQGGKKVYLSAAPQCPFPDASVGKALETGLFDYVWVQFYNNPPCQYTPGSTANLLNSWKQWTSAINATYIFLGLPAAPDAAGSGFIPTGSLESQVLPALKGSTKYGGVMLWSKFYDDQDGYSSAIKNHV >cds.KYUSt_chr6.28335 pep primary_assembly:MPB_Lper_Kyuss_1697:6:179578996:179579760:-1 gene:KYUSg_chr6.28335 transcript:KYUSt_chr6.28335 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLDVDGFVDTRLSLSLVIGAGIRPAPPVQALLPASPPPGNEATAAASKRKGPESGRDGSTATTGQHGKKARRVLGDSCVDDDGGVAADSGARKKLQLTADQAAVMEKSFRAHNVLSHGEKHDLARELGLKPRQVEVWFQNRRARTKLKQTELDCELLRRWCERLGDENERLRRELAELRSSSSSSAFLSRLTAAVRVNEACPSCNKLAATGSGCRPNSRC >cds.KYUSt_chr4.39760 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245537072:245540469:-1 gene:KYUSg_chr4.39760 transcript:KYUSt_chr4.39760 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPGGSPGGDGGGGGGDGKPRDKFSVYQNPSVTRALASRSVRPSLPVLLLLAFSPIASASSLMALSSWEGQLVKVAGRAGLSTTAVVLVIWLVEAALGLVALLTVPAFFRALMLYNGRRALAKEDKVALSERQLGLLGLKTTGSDGAAMGEQTKKPPKAKPSTPSEPIVPIRRSSFSYTPSRGQPRIGSSHLSPGGERLTTSLQMSPATPLQKPVSSPSTPWSRKSSGSANGIQTEAMLDHFLARLDETIDNLTDSASKTATPPANITGFGIASPVSVTTSTTPSGATRSTPLRAVRMSPGSHQKYSTPPKKGEGEFPPPMSLEQAVDAFESLGVYPEIEQWRNNLRQWFSSVLMNPLVQKIKSSHIQVKQTTASIGASVNVSQVGSDLPSTTAPASLSPLGGTKDWQPTVTVDEDGILNQLRGALLQSRNAPVAQTFGSPQQPQSNPLLPAIQACIDAITEHQRLNALMKGELIKGLLPQSTVRADYTVHRVQELAEGTCLKNYDYMGYRDGYGKSEKKWSSELPTDSHLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPPKERFPEKYVALISGVPAVIHPGALVLAVGKQSPPVFALYWDKKLQFSLQGRTALWDAILLLCYQINVGYGGIVRGTHIGSSALNILSVLDSDMES >cds.KYUSt_chr3.44106 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278443331:278443735:1 gene:KYUSg_chr3.44106 transcript:KYUSt_chr3.44106 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPAMSSTNKGTINGAAGAGGAGTTASAPRPHWRSRDPSATVVYVVHPDQFRDVVQQLTGAAPADTAPSEATPSTADANATLARRRCDGGGGDDRGIDGTRARMTTLRQMMDECSAWATDDGFGCDENSKPF >cds.KYUSt_contig_1467.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:120660:121278:-1 gene:KYUSg_contig_1467.17 transcript:KYUSt_contig_1467.17 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQLLAAALVSALLLLWRPAGAAEYSVGGDGINGWDTGTNYATWAQAQSFVAGDALLFNYVKSQHNVYEVTEAAYRSCDATAPGSVLATYDTGFDRIVLPDAKTYWFICEIPNHCIGGMKLAVNVSGAGAPGGSPTIDVPPPSPSAATRSSWTAAWGGLVVLGVVHVMVNLAA >cds.KYUSt_scaffold_1700.368 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2454060:2455857:-1 gene:KYUSg_scaffold_1700.368 transcript:KYUSt_scaffold_1700.368 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKRSRHRKAVKFYSTCFGFREPYKVLVDGTFVHHLLVHHLLPADDAVRDLLSASRTTPLFTSKCVLAELRRLGKSHAQDFDAAQLLATASCEHDKVVSAVDCILSLVGEKNPEHYFVATQDSDLREKLREIPGVPVIYGLKNSLFIEQPSAQQRKFAQLDEEKRIHMEKSEFKKLLKASSEEKASGDGITPGVAEKSKFKRNRAKGPNPLSCKKKKPRPQPSDAQSQGPAADGEAKRKRVRKRKRSGKDSNQAETAS >cds.KYUSt_chr4.42614 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263805656:263807221:1 gene:KYUSg_chr4.42614 transcript:KYUSt_chr4.42614 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEAPHPPPSMDIHEPAPPSPLSSDISPHFPPSIADAGAVALDLSFTSTASASTSSFTTATTFSARSSLSLPSFSSSTSLSPRPHSSSASPHWTHLAAARATTPDGVLRLAHLHLIRELGHGHLARVFLCRLKSSPPASPLFALKVVDLRDDDPSRVCHVLAESRVLSSLDHPFVPTLYARLDAGRYACFLMDYCAGGDLHSVLRRRPGGRLPVAAARFYAAEVLLALEYLHVLGFVYRDLKPENVLLRGDGHVVLSDFDLALPASVEPAVRRRQVRQPSRRRKRSFLPSCFGSAKGGSDEEDASVDAKERFEFVAEPTAASSRDCVGTHEYLAPELVSGSGHGNGVDWWAFGVFLYELVYGRTPFKGAAKDVTLKNILSKQVTYPKLDGDAEAAAQLRDLVGRLLERDPRRRMGAARGAAEIKRHPFFAGVDWALIRCVTPPLVPEADAASPTAAVAGAKLGSWNSLGGSSFKKASSFKKSSSFGRRSSVEERQGVFCKLMSWNQESRCKRTRTSKLKP >cds.KYUSt_chr4.14054 pep primary_assembly:MPB_Lper_Kyuss_1697:4:86481136:86488286:1 gene:KYUSg_chr4.14054 transcript:KYUSt_chr4.14054 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLSRRHPPSRLYPLQDVEVLYLDLGCLPYLPISRVKKIAINLHQEHRSSGRMPPAPGNVGVAVNFGFFSDQRDDHHHHERAPSILATDPDPLQDFCVADLDGKAISVNGHPCKPMSKAGDDFLFSSKLAMAGNTSTPNGSAVTELDVAEWPGTNTLGVSMNRVDFAPGGTNPPHIHPRATEIGIVMKGELLVGIIGSLDSGNKLYSKVLRAGETFLIPRGLMHFQFNVGKTEASMVVSFNSQNPGIVFVPLTVFGSNPPIPTAVLTKALRVDAGVVELLKSKFTGGF >cds.KYUSt_chr2.39492 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244884281:244886293:1 gene:KYUSg_chr2.39492 transcript:KYUSt_chr2.39492 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALLPVALLVCLALASGADAARKPVGFYELKNKKGDFSIKVTNWGATLVSVFVPDCKGNVADVILGYDTVAEYVNGTASFGSTVGRVVNRIAKSRFVLDGKAYHLFPNDGNNSIHGGHRGFGKVIWTVKEYVSGGDSPYITFFYHSFDGEQGFPGDLDVYATYRLSSPYELSISMNATATTKATPVNLANHAYWNLAGHDSGDVLQQELQILASSYTPLDDTKIPTGQIEPVAGTIYDFLKPTPVGEHMDIVPGGGGGYDLNFVVNGEQDAFRQVARVEDPKSGRGMEVWANQPGVQLYTSNWVINEKGKNGKVYGQYGALCLETQAYPDAVNHPEFPSSIVRPGQVYKHDMAIKFYA >cds.KYUSt_chr7.23541 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146768614:146784280:1 gene:KYUSg_chr7.23541 transcript:KYUSt_chr7.23541 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSPTHPAAAATPASARRLATRVRAAAAPVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLHLAEAVREGVRDAGMVAFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMVYGGTIKPGHFQGNSYDIVSAFQSYGEFVSGSISDEERKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLDECRLAGKYLLELLKMDLKPRDIITEKSLRNAMVIIMALGGSTNAVLHLIAIARSVGLQLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCLTVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGLFFSGPALVFDGEESMITAISENPADFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPESQEGGPIGLVENGDTITIDVSKKVIDVDLTEDQLEQRRRKWSPPPHKVTGGALWKHLSTIAIAVGHDEVISSLPQSNTFFWSLKMVVYIPWSKAESTIVAAMGTGTIMWPIIVVFDAVADVEGIVLNKGGVLVIFHRMESLWKLSYLLEPASLALIATAVSVVYASATRALDHGKEMARNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTAVASVMALFFCLSPYIAYAKSRLNLMDPFVSRCCSKSFTRLQGLLLMFCISTMAVWLVTGHWLLNNALGISICIAFVSHVRLPNIKICSLLLVCLFVYDVFWVFFSERFFGANVMVSVATQKASNPVHTVADKLSLPGLQLITKKIELPVKLVFPRNLLGGIVPGSTPGDYMMLGLGDMAIPGMLLALVLSFDHRKSKDMAVASDMSPSSKRRKYVWYALTGYGVGLVTALAAGILSQSPQPALLYLVPSTLGPVVYVSWLRNDLWELWEGSDAIVNDKAHLLEIGLGSNLKPFTSLLSQEETVCNNWLSCELLFIFGELLDFLVALLVTATSRVLLNGVVGEPINHGRGLRQGDPLSPLLFVLAIDLLSQILENATTEGLLHRLRGRGTRVRTSLYVDDAAVFVAPYKDDITNLATILHNFGEVTGLHTNFLKSSVVPIRCQNICLDDILAGLPVNRSSFPMTYLGLPLSVWSFKRQDLQNLEDKCAGKLLTWNGKLISSAGRAALVKSVITSQAIYYLTPLAIPLGTMKFINKIKRAFLWSAKETTTGAKCKVNWEKDPSRIWVGSGNPCSDHDMEIFYAATTITLGNGKKTPFWFAPWLEGRKPIDIAPLIFAISKRKNWKVSQALCEDAWVTKIALESSFTMEHLSQFIDLWTLISNISLVLEQEDEIVWKLTPDGQYSAKSAYELQFMGATLSSMDKTVWKAWAPPKVKFFAWLANQNRIWTADSLTKRGWPNCGLCPLCKQCTETIDHLLMHCRYTTRLWGLIKVWMGLNDLQPAQWANLDMVAWWSMMAGGHKAMASLTLLVSWEVWNERNARVFRAKNAPPQVVFDKIKKEGRLWVIAGAKKLGEMMPRE >cds.KYUSt_contig_1275.207 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000124.1:789066:793857:-1 gene:KYUSg_contig_1275.207 transcript:KYUSt_contig_1275.207 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGVIDRGHLSPFGGAPADSSSSFFSEDLVVPPERQVGFWKSESMVDPRGVYHVPSFEYSVIIVHLVAVASCMEISCQQFFAAIQRSKSVFASPLEKINPMGANPEGGLGRPRGQGFKGLDILRVSKLTGQGNGSTLPSISWGDMITAPGSRPGETTIAESASGNSKIMASGVHSQSADTLGFIYDGNEALGSMEEVEAQTIGDLLPTDDDLISGVVDGFDFAGLSINQDDADEDIFGTGGGMELESDDSINKGTKNLEGSLKCQFSGENYINKCPSRTLFIRNVNANIADSDLRALFQQYGDVHKLYACKDQGYVTVSYYDIRAAQNAMRALHSKPLGLMKLDVQFSFPKENVSDNDMDRGIFSPVSLQFDRSPHGICTSGPQKLSSPIRIEPARQYNNQAAISELGGSLGQGNFGRGMQMFHPHSLPECHNSICNSSKSMTSSGRSASFRGDGVDYSHPQKVGSSSLHGHSFDPNNEASGVTGVGSFPLHGQHYSWNNSNAFPQSPSSPMMWPNVQHPLRTHGGYLGVSPHTLNTGAYPIDQHHMGSAPNNGGGFGNVHPGSLGSVGFPGSPRLYPSDLSVFPPARGNYRETMFSLVSTAGFPSLRQMINGRNPMAQVSASYDATNDRMKSRKHDGNNVQPENKRQFELDIERIAKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFVYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKRWEKFNSEKVASLAYARIQGRSDLISHFRNSSLMNEDKWCRPILFHKDGPNAGDQEPFPVGNNIRPRTGRNRPLHSSDTRGDDASLSTSPNQENSNHRANTVEGEHSIFVH >cds.KYUSt_chr3.17658 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108324418:108328523:-1 gene:KYUSg_chr3.17658 transcript:KYUSt_chr3.17658 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTGIGDGGGDFESHPGGCLVPATPRPGKDGEERRSSAGPAGGSWGRFWAGAGEDAGEESSEEGRSDLECSPEEQEDVGTEGASRPSGAMSLGGFIAQAEELGGSLRHRQRTAFAPGGRASRFRACSAPRFTRLGDGGGPRGVRERGGGRRRVAGAGLPWDGPVGDCRRPPPPDSPRSVVYSDSSREEGTSPAQAEVGPSGTCGGPGLGPVEDGTEHPLLLLGPPLSGLSEPHGDLVVRPMRQEVAHYAGPRWLWLAKGCTTPSLGFPARPGEVSRFQSIARTLFRLPAPPPLSKSFAAVVMERYGGEGSGGDGRNKRRFGAFGDGDGRRQGAGRQEGGRLDLGRHDGGRSESGRRDDGRQEGGRLDLGRHDGGRRGRNDDEPRPRFGEHRSNDSERGDWGPPPPWWEWEQQRLREEEAARARGQGQLQVPAGGRGGGGGGGQYGRNKKGGGQGAPPNPKHKGKNKLAAGGAMGAVGGECFRCGREGHFQSECTNAPVCVLCSREGHASANCPTRGRPMMLQQMGHAITGGGFYNIEVEPLEGSGQVETFEAVVHFDVAPLSALQLADELKNLLDGAWDWSVAKVSEKEFSVRFPSRETLRMSTRRGKIYLPLSTMDVDIREAFVNPRPGKAMPPVWVQLTGLPGDLMERERLMAALTMIGRPLDVDELSVKKWKTEPVRVRFQCRFPERIKGTIALCVNGEPYTVGVQAELGTPGAGGSNPPRPPPPGDDDDVDDLDSEDRSTDGERWNRHRRNDKSKAAAPPAGPGNGTGGGGSQRTLTGGAGGGGSQQRALSGGAHSAPPLGRFAGQYGSNVDLLPSLVLGKAALDFGAAASTDVEMLGGEGGTAFGPEELPAASGETSSHVTDPVQYWLLDSPLKPAHEGLEGGLAASKALPILEVEGELGEEEEMEAPPVVEDLRSAVTAVAPMAQGKRTKTVATMAPTKTIKKKAPASAIRKSSRHGGAAAASAMEKAQKLAAERNLDPATAGTDPDDFSILDARSDQQIGAVLADSCILFVPSAGTPMEAISLLRAKEEAQAALARVAARQAKELAAREAQVDVDGGRRTQLKSYIRGDRVDIIGLQETIKADFSAAELRSMEFGGQFAWNWVPAEGHSGGMLLGFRDECFDVGAWRQGTFFISATILQRKNNMKWCFFLVYGPADHRRTEEFLGELTQAVAGCEYPVVIGGDFNLIRTADEKNNDNINWSRVRRFNEAIAAMALRELERTGARFTWTNKRLRPTRCVLDRVLVAPAWEAASGVLDAGGQLAGERELGA >cds.KYUSt_chr5.18803 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121575094:121575684:1 gene:KYUSg_chr5.18803 transcript:KYUSt_chr5.18803 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVLLAQLLLDSCWSGSSTRHLRLVLLAHFLLDSCWSGSSTTRLRLVLLGHLLLDSCWSGSTATRLRLLLLGPLLLDSCRSDSSATHLCLLLVDTLLKNRLPQIGSAARLPIFKLASLQFATLLATLLHPAAAARLHPAAPARLHPTAPALLHQAVAARQGYILIIASATWLPIQILSKLWHALLDLKSHKFLQR >cds.KYUSt_chr5.1380 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9510276:9511769:-1 gene:KYUSg_chr5.1380 transcript:KYUSt_chr5.1380 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHLLLLVLVPILLSAASAATHSPETESTAASRTHHHHHHGTATAHFHPVPSATPSMHQNHLDSQSQSLLLDPFVADAQAADAELGAETSPAPLLPPPPPTVQPDLASQPQEGSDSEPSPSTTPAASTTTLLPLPTTAATASPPPVQAGLDAGPSDAEQGLQQLSRVLTSLGYNEMASAAPLLVDEPPLARWPGAITVFAAPDAFLQASCPMCSRHHLLLQHIAMGYYPYAELASAATMKIPSAAVGLCLKIASQRGPFGVHYARIFADGVEVSHPELYNDGRYVVHGLHGFLRPLTHSCFDDIPPRHAARSAHSSSKATAASVVRIMLRDAIARLRDGGYGFVALAMRVKFADLERFANVTLFALDDQAIFVGGGHDYVSAVRFHVVPDHRLTRADLRRLRPGTILPTMAGEGQSLVVTHASNHDVRINYIPIKDPDVVVNSRVAVHGVYVPFPRIHLANLAASVAVASDADINATCGFGGPFGVDCTSPKMRA >cds.KYUSt_chr1.31519 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191121281:191127749:1 gene:KYUSg_chr1.31519 transcript:KYUSt_chr1.31519 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATRRRVLLLLLVVASSVPAGLCLTNAQDASALRAVMSQWSNYPSTWTTSGDPCDGSWDGIQCSNNGRITSLRISSLSVQGTLSSSVGQLSELIFLDLSFNTGLSGPLPTSIGNLVKLTTLIVAGCSFSGSIPKELGNLQKLSFLALNSNKFTGTIPPQIGLLSTLLWLDLADNLLTGSVPISDGKTGGTPGLDMLSITEHFHFNKNQLSGSLTGLFNSNMSLIHILFDSNQFTGPIPPEVGSIVKLKVLRLDRNGLAGPVPNMTNLVNLNELNLANNKLTGSLPDLSSMNLLNVVDLSNNTFNSSESPNWFTTLTSLTSVTVSNGGLTGDVPNALFALPQLQEVVLSKNQLSGKLDMSGNISQKLQSVDLSTNNIGSTEGTQNYKKELVLVNNPACLDDSISTGPFCIIQPRNVIPYRTSMNRCASANSCPSNQNQNPITCGCAYAYSGKMVFRAPLFKDVTDNDAFQQLETSISKDSNMRVSAVYLYDVHFNNDSYLQVQLELFPPSGTTFNKSQVSFIGSLFSNQIYKPPSKFGPYFFIGDKYLPFSASGGKNSKFSTGAVAGIAAGGGVLVIALILVGLFALRQKRRNRELKVQANPFASWGTMQKDSGGAPQLKGARFFSFEELKSCTENFSDSYEIGAGGYGKVYKGTLVDGIRVAIKRAQSGSMQGAPEFKNEIELLSRVHHRNLVSLIGFCFEQGEQMLVYEFVAGGTLRENLVVRGSYLDWKKRLRITLGSARGLAYLHELADPPIIHRDIKSTNILLDENLKAKVADFGLSKLLADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLEMVSGRQPIEKGKYIVREVRQVLDPADREYYGLRAIVDPAIRDAARTAGFRRYVQLAMQCVDEAAAARPAMGTVVKEVEAMLLNEPDGDGTNSAGSSATEFVGAGRGPPSHPYSDVEITGSSYAGGEGASDYMPYFELVIDDELYINFVYRVAVMNRKYWILGQLSNIDDDPLGIKRLPDKFAEFVDGVEPTQLQLWEASCNFCRWPVEVLFDGQGKMYLHTGWDKYARDLALEPGCQLTFLYEGDGEMIVKVFDDTACRVHYHTGESGWTPIVRT >cds.KYUSt_chr1.32082 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194613710:194618302:-1 gene:KYUSg_chr1.32082 transcript:KYUSt_chr1.32082 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPPATAADDLEILALDSASSSPSTDPLLRPPSSSNGAAKHHEPFVIDDFLDSSSDEADAPAPPAPSARAAPSAASNDSPSEYALITVSDPKKHAEPVAGPAGVIPGSGSYFSYLVTTRLAAGADGADGAGSEFRVRRRFRDVVALADRLAAAHRGVFVPARPDKSLVEGQVMQRHDFVIQRCTALQRYLRRLAAHPAVGASPDLRAFLTEPGAAPAFQGEGAPRYWATTVNAAIQQVPAKAGRDLFGMFKDLRQTVVNGLVATKPPPVEEETDTEFLLHKSRLQDLQQQLTTTSQQAESLVKAQDDLRTTTAHLGMTLLKLAKFEREQATCISQKRRAVYIHNFANSVVKFSRSHAKLNSEIVHHLDSIHDYLEMMISVHHAFTDRSNALQHVQSLSADLFFLHTRAGRLESVSSRGIGQEWTRYQKIEGLKETISATEEAKNNALREYESIKENNMIEIRRFDNDRRRDLIEMLKGFVVNQVSYSDHFATMWGKVAEETKVFANRSN >cds.KYUSt_chr3.40204 pep primary_assembly:MPB_Lper_Kyuss_1697:3:253429993:253433521:-1 gene:KYUSg_chr3.40204 transcript:KYUSt_chr3.40204 gene_biotype:protein_coding transcript_biotype:protein_coding VTFWRIIKRKSTEDFSGVPYNMTLLNCLLSAWYGLPFVSPNNILVTTINGTGSAIEIIYVVIFLIYAERKSRHRMLGLLGVVTTIFTTVVLVSLLALHGKGRKVFCGLAATVFSICMYASPLSIMRLVVKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGLDPFIYIPNGCGSFLGLVQLILYAIYRKNKGPAAAPAGKGDPAETVDEVEDAKKAGATVEMAEAKIKVADTVAADEAAVATQV >cds.KYUSt_chr5.22077 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144039985:144041031:-1 gene:KYUSg_chr5.22077 transcript:KYUSt_chr5.22077 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAEQSADHAYTIGYALQPSKVGSVIQPPLVALAAERGMRLVAIDASRPLADQGPFHLIVHKLYDRPWRAQLAAFSALHPSVPVLDPPAAIDRLLDRATMLDVVPDLGISGVGVPRQVAVRDAAALAEPGEMFTAAGLRFPLIAKPLAVDGSAASHAMSLVYRREGLRGLQPPVMLQEFVNHGGVLFKVYVMGDGATCVRRRSLPDVRDEHLLLADDLVPFANVSSLPPPEDAGDADTTTMSPAAGFVEEVARGLRRALGLHLFNFDMIRGESGRYYLIDINYFPGYAKMPGYEVALTEFFAKTLNLQLDHPQLENTARGLDCKVQELEFAQPEIFPSYVSRAAKGF >cds.KYUSt_chr1.18831 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110509736:110510726:1 gene:KYUSg_chr1.18831 transcript:KYUSt_chr1.18831 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAARTTTGRRGFTVGRSEDATHPDTIRAAISEFFATAIFVFAAEGSILSLGKLYHGMSTAGGLVAVALAHALALAVAVSVAVNISGGHVNPAITFGALLGGRITLIRALFYWIAQLLGAIVASLLLRLTTGGMRPPGFSLASGVGDWHAVLLEAVMTFGLMYAYYATVIDPKRGHVGTIGPLAVGFLLGANMLAGGPFDGAAMNPARVFGPALVGWRWSHHWVYWLGPFLGSGLAGLLYEYLVIPSTEAAAHGHAHQPLAPEDY >cds.KYUSt_chr4.16222 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100586371:100593457:1 gene:KYUSg_chr4.16222 transcript:KYUSt_chr4.16222 gene_biotype:protein_coding transcript_biotype:protein_coding MWFIPAYFKKTQASKLGDAQGIPFFIDNLSEILVRKYSRNRTKSTPSILFFHEASRTPERNQRGATGPPDARAARPKGGGAPPYCVIASSAFRLRFFAYLSLLDLKPRYGKATVLMTCSGSLGKRGQRHRSACVYRGSGGGGQGHGDEQDQQAPSPEEAGAGEQLVMPEDGYQWKKYGQKFIKNIQKIRSYFRCRDKRCCAKKKVEWHPGDPSLRIVYDGAHQHGSPSSTGGQGEGNGEGVGNRYELSAQYFGGAPAQ >cds.KYUSt_chr4.47414 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293431192:293435906:-1 gene:KYUSg_chr4.47414 transcript:KYUSt_chr4.47414 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRRRRDYEPERNSPPRVSSERNRGDRRDQRYSWFPYPLPNGDEILVYKDKDGVLFTDHGGPTRPVEDVIREFRSDHSRDPPPPTVDESDSKRPEEDQQKATPSPGPSPAQYTKEYQQAATPDPAPSPSQYIQEDSPAPQQTPTPNPGPSPAQFWAGLLDKAFAEHKLEMAQRSGVPDPLALKLDKALMSSSFVSSLVPTGLFIKYFVRVDKEGFFHTYPDRGGPFKTLEKAQEAIDSHHVVQREMMCMDGLSDEERAIRNTLYWYHDGTRKHSAEAFASCECAVCEVEMEDIKHPTDDVYNHGRRNKFHVYRSRCHSRAHGIDLFEQLFAVRDEAWLVEEEARVRCMIKEGKDARSSKLEVDNIGDDMIKEGKEARRIKLEVDNRRDDHAKRDNWHGMVPLYVSLGEY >cds.KYUSt_chr1.40778 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250084278:250086682:1 gene:KYUSg_chr1.40778 transcript:KYUSt_chr1.40778 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGRYASATTDPDWTGAGQAVLLRSPRGATARVSLHGGQVVSWTNDRGEELLFTSTKANLKPPKAMRGGIPICFPQFGNCGTLERHGFARNRMWALDEEHQPLNRSDSGSRAFVDLILKPSEEDLKCWPHCFEFRLRISLSKDGDLSLVSRIRNVNGKPFSFSFGYHTYISVSDISEVRIEGLETLDYLDNLSQRERHTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKRTFVIRKDGLPDTVVWNPWEKKSKTMPDFGDEEYKQTLCVDAAAVERSITLKPGEEWTGKLELSAVPSTNCSDHLDQPGIM >cds.KYUSt_chr7.34989 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218589650:218590546:-1 gene:KYUSg_chr7.34989 transcript:KYUSt_chr7.34989 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHPRPAASLLLVAAILSAAGLATAISSSGFTGGVTVFWGRNKNEGSLAEACDTGRYTIVVISFLDVFGHGKYHLDLSGHDLSTVAAGIKHCKSSALVYLSIGGFGNQYSLPTAQSAIDLADHLWYTYMAGYKNGVYRPFGDAEIDGIDFFIEHGSPDNYDVLAKRLWNFNKGFRARTPVQLSATPRCRYPDPLVGKALATGVVGRINVRFYDDSYCAAHWKQEWGKWTAAYPTQDIQVYVGVPASEKVVGYMHPEYVHYGVVPVVKKAASYTGFMIWDRYSDRLTNYSSNIVQWT >cds.KYUSt_chr5.42244 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266235285:266238754:-1 gene:KYUSg_chr5.42244 transcript:KYUSt_chr5.42244 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPAAPSRPSFPPHVSSLVLRRQLHGRAGGEFELRFRQIDRVRLCTVARASPVEADASAAGFGRRAIVGVVLAMSLSAPAYYRAPPPSSALTEENLIFLEAWRAVDRAYYDKSFNGQSWFRYRERALREEPMNSREETYAAIKKMLSTLDDPFTRFLEPEKFKSLRSGTQGALTGVGLSIGYPLALNGSPAGLSVMSAAPGGPAEKAGIISGDVILAIDDRSAQDMDIYDAADRLQGPEGSSINLTIRSGADTRHVVLKRERYTLNPVRSRMCEIPGSEDSSKIGYIKLTTFNQNAAGSVKEAIKKLRDNNVKAFVLDLRNNSGGLFPEGIEIAKIWMDKGVIVYICDSRGVRDIYEADGATTIAASEPLVVLVNKGTASASEILAGALKDNKRAVVYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLKDPASPCNLNAARLFARS >cds.KYUSt_chr3.28652 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178859195:178864642:1 gene:KYUSg_chr3.28652 transcript:KYUSt_chr3.28652 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSKLSGVLHKGFKPDKCKIALKMAMARIKLLRNKKEAQVRQMRREVAQLLEGNQDQTARIRVEHVIREEKFMQAYDLIEVYCELIVARMSIIDSQKTCPIDLKEAIASVIFASMRCSDVTELGDVRKNFTSKYGKEFATSALEVRPDSGVNRLVIEKLSAGAPDVQTKTKTLSSIAAEHNIKWEPKAFEENTQKQNEDLTGHLLLAFQLHSLQPLLVCLLDILMNLLKFQQIETHTALPIPVLPHKKIEGSRMLQFLLGPNMVQLLIPQQRFRDLTISLMKILEIQVFLDLTLNMAQQFQSLIYFVYSDTIPRTEEINRPRERKSSASGSNWNVEFKDAASAAQAAAESAEMASIAARAAAQLASRGNFYEEQNTGDYESAAYMHDTTPRKQQAEHLMKNDKRSSNEQSSGPRRTSSNAREDEERAETSHVSSQNISTTYSSSQHHSYAPESQSHTDMYGMPTEAPRAHSSEPPYFDDSSEKESSIGRHDDHLFDLHEERFPDAGFDGHRTKDMESRRASFNEENINNYDSNFSASHSGSSPTWDNRSEKAGADSSAVTFDQYDYDVEEENLLDRLSSKHTEEIPTVQDHKGFSSADWSQQPKSESPVGQNTSTLFSEIETQPSYDLGANKEDIPLSHSYDTTQPTFDSDGASSDEDISTGMHGESLRSHSRGYDYSENKMFSNISGKLVPDVNDNIEDDKSRSRKQYQNPPGYDVFDKEQQSDGSPRFDYSGAQGSLGSVQIRDYDLSEEETEPPKLKGTSSIKRANENRPLSFRLETSVLSDDNDEGDLGLNYGRLTPGLRNKPRQPPQYKNSGGNLTPKQSLQKAPSSIEESVYSKESDMSSKQTIDTPKSSRTTKNSVGADYISENYDRKHNSEKPVESRSSVTRNYFGSGDTGKLSERSGTTPSPNPITTSSPVSASSSQDLRHERPGIGVGREGRSRNSRTYFDPDGSEEELEPRQTGQTKFSKEPIQSRRTREVTSDTKRVGRVRTGAQYAAETESTPKERKTPAEASSNLSTEQNRVAPPYSRVSVQQSSPKPGRIEPPAARGKWQEDEPDGSSSEDEGNAVASAGTPKGSTPASTPAHIHPKLPTDYDSFAAHFKSLRTNRR >cds.KYUSt_contig_1790.281 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1419804:1423408:1 gene:KYUSg_contig_1790.281 transcript:KYUSt_contig_1790.281 gene_biotype:protein_coding transcript_biotype:protein_coding MANAQSGPVTPQSQGTEYSLNLYMHQTIHGPNHNQINIADPKQPMLFGYTNVHDYPIHDGLGPSAKIVARAQGLHAETSMNDDDWFHWSSIVFIDERFRGSSFKAIGNQNKIKGQWAIVGGTGVFTFAQGTISIYRMQDNGPSNTKEIRIRAFCRTPQTTPTKIKPFKDRLLKDESNATSNVGSLSMTNAQSGPVTPQSLGTEYSLNLYMHQTIHGPNHNQINIADPKQPMLFGYTNVHDYPIHDGLGPSAKIVARAQGLHAETSMNGDDWFHWSSIVFIDERFRGSSFKAIGNQNKIEGEWAIVGGTGVFTFAQGTISIYRIQDNEPSNIKEIRINAFCYTTPQATATETKMSHPWMYGNRCDPAFREGVKSFLLVAEANKSKQGFICCPCLKCKNEKDYSCSRDIKRHLLRYGFMSGYNVWTKHGEEGVMIEDGDEEEDNDDKYRSIFSEFDDTAMEDKEEGGEERAPDEPGDDDLHRAISDARRDCGTDKERLQFDKMLEDHQKLLYPGCEDGQKSWVAYWNC >cds.KYUSt_chr7.19605 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121514873:121518441:1 gene:KYUSg_chr7.19605 transcript:KYUSt_chr7.19605 gene_biotype:protein_coding transcript_biotype:protein_coding MKLATTWADYEDARAVGFATATEAVTTKFWCFYRVDPELETKARLTLRGACERLTPQQWYNQKVCPEWAEQHREAWEKLIRARWLRQDEEFAAVSRRNMENRRTGDTHCAGNRDYTRFKGKKVAEAPPGVVLHDPEIYDMMRTNQKPNLALPQPQYYGNAKAAKDDYCDMVRSRHPEVDDPLRIPTDEESLVLSGRGRPHGRFPFLNKVVKPTHATSYTRLKHTLTADSPQPRPRPARPPAYDALMTALSTGTPPPDPVPMAGDMPIMPSRATFALTYYGSTPAYAEGNAVGIDLGIDHMVSRSTMAATRALLLAVVSMAALLGTALGASYTVGAPSGSWDLKTNYTRWASGVKLYAGDQLRFQYTVAEHNVVEVTKSGYDACNGSNNTVATYQIGNDTIPLTAAGSRYFICGVPGHCAAGMKLQVNVSSQLTPPPPPPPPQQQCRMRKGKLRCNRPASPSSSASAAAAVDRSAVAWQRLAAVVVAGLVLLC >cds.KYUSt_chr3.47847 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299785291:299785719:-1 gene:KYUSg_chr3.47847 transcript:KYUSt_chr3.47847 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPAARRRVRVDQDAMAKSLLVVLGLALLAAACTGQHGRGAATAASSDGDNLQQRQAAMMEAVQVFSEYNQEVTDPRALERAVATVNREVGTLRPIFQVVSRMPEGSAKEEASAAAKELLTRHLAQLLPGGSVKIADDMP >cds.KYUSt_chr4.8810 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52872851:52873381:-1 gene:KYUSg_chr4.8810 transcript:KYUSt_chr4.8810 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSCDAAADGALTARVVLPSGELREYSQPATAAVALEEVGHGKQGWFLCDADAMGLQGSVAAVASADELRPGQIYFVLPAEMLCRSLTLEEVAALAVKASAALVKASSAGGRRRRGSVVPLVFTPSEEDYSDDSVMTFVASKPAVVKKRVVAYRGGRSPPRFSPDLTAIPESE >cds.KYUSt_chr7.356 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2037661:2038325:-1 gene:KYUSg_chr7.356 transcript:KYUSt_chr7.356 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMKDSGTNHSASTLDDEQLIARSSLKETEWQCWQTELHGHGTWMSVCCGARAREQVDGKEQGQALRAGGREHATHARRQLEMQKGHALDLANMEADGDDDLEARGKKAGASDCRRRRYNVEASSMSFWSGCRRLEAMACLETGGQVTDVLEDDLGG >cds.KYUSt_chr4.5040 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28910134:28915284:-1 gene:KYUSg_chr4.5040 transcript:KYUSt_chr4.5040 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLDNIRLPACILNLVVINHHTLRILRVGTLQGIRNTLQPTLQLVIPVMDNQCQFLLTVMVLCMEAAMVQAGCLLVGLLPQLQHMVLTKCPTPAPMGCMATATTTASSSMESSSTARPGGGWRFSSTQIIVDLIGIEVLVASSSASIEGSQGRAELGADGQGRPAEQRPGDWSRRWGRVEALSRGRRLETKAAAGQRGKAVVGRRGKTAGRRNGDDSTDLLDLVRDAEALAEAEKEAEEERAAHAAVDAEMEQLRVAAAAAAEDSDSDI >cds.KYUSt_chr4.11826 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71885555:71886181:-1 gene:KYUSg_chr4.11826 transcript:KYUSt_chr4.11826 gene_biotype:protein_coding transcript_biotype:protein_coding MESLISAGSAPAQSTARPHVVLLASPDAGHLIPLCELARRLVEHHGFAATIVTFHSLSDPQSLPSSLPASVTIAALPAVKIDDLPADALRPVVLVEIIHRSLPSLRTLLRCISSDALLAALVPDLLCFPALPLAAELGVPAYIFFASNVTLLYLMHGLVELHDGALPGEYRDLPEPFEIPGGLSLPRADLPDGYRSSKDPVYAGVVVW >cds.KYUSt_chr4.52731 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327195898:327196107:-1 gene:KYUSg_chr4.52731 transcript:KYUSt_chr4.52731 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAAKAQTDMIAELRKQQREKGYIEMEVTDSEDEGVGRRWRYGRGRKRFRPGVWKKPGGEIKRINLRG >cds.KYUSt_chr2.41320 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256933182:256933625:1 gene:KYUSg_chr2.41320 transcript:KYUSt_chr2.41320 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSAYSCQGLFWVLRVTSSEKMRKVGRLVGKYLAKISPDHGLGVSRFLAIAESLPDSARVFYDGVYKAWNIYLEGS >cds.KYUSt_chr6.32152 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203027661:203029509:1 gene:KYUSg_chr6.32152 transcript:KYUSt_chr6.32152 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRIASILQASRISFLTGELRPYPSDLSASNVLLDDVGGARLCDLGSACEATFSAAVAPARRGAAAAVGSPGYADPFFLRTGIVSKKSDVYSFGVLLLEVITGSPAAGTSEGGGEYLTARVLPRVRATGVAGLVDSRLGDCYDTIEASDIAGIALECVSSQPGLRPTMAQVRAAVAEKAARSIARDGSDHKLLDLFRATS >cds.KYUSt_chr2.54406 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339464962:339465428:-1 gene:KYUSg_chr2.54406 transcript:KYUSt_chr2.54406 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVFSADDLIGEARQFPTHTHIPIFCSLDIPLEAVLLEGNLPPTVHRIVKDEEYSGEIKIALTFTPAEENEEEESYGGWNQST >cds.KYUSt_chr5.34274 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217350429:217354892:1 gene:KYUSg_chr5.34274 transcript:KYUSt_chr5.34274 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWNQSCCGLMNGLEGQWGIQGWNRKFVVAAVFGDHLHHGWEAIQGIKRKEPVRWVSCRHYLRSTTTELAGANLHAAGDRTTLCVEAGANSNEAIEPPPRRLEVEEDLRTTNERRLTRMEGPPYDQEVWRQWRRGKPPRVEGPPFGHKVRRQWRRESPPMRSLQTPLAQIQEQADPWKGLAAVLATTASIEQEAPTMGRRRRSADSSSHGPKSKIKGLTASSSLFLDRGRRRLSSGR >cds.KYUSt_chr3.28912 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180467182:180472226:1 gene:KYUSg_chr3.28912 transcript:KYUSt_chr3.28912 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPHLEPRFKVGTDISNQAPTSACTIRDLRTSLLTTTKRPDEEEDVKARCHYRCVQIDNIVYMLDDDVYVQVANNGRDAIAKAYSSHLVSSLLTTRLCCTLKTEPHLASFGDSSEFFIDPGGTLIA >cds.KYUSt_chr1.7977 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48925929:48935839:1 gene:KYUSg_chr1.7977 transcript:KYUSt_chr1.7977 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGSKGMDGVGGAGGAALPGDGGVDGDEGSAGGAVTGSWHGGAQLYVSLKMEKARIIGDLVPHVYGSEPIIGSWDPAHALPMERELASMWELSFVVPPDHETLDFKFLLKPKDAATPCIIEEGPTRLLTGGMLEGDVRLANFRINGDDEPHEFRVFNKADIVSPLDLAASWRVYKENFQPSMVRGIPDVSINEAPAHATEDGSGSSLELDLEHYVVPTPTAPPTEYAANLAATPASLTQPSIWTNDIPLSDGIQSPSASADFQDHSDHNKAMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAVKLTRYLRWLGHETKHFNVGKYRRLKHGANQSADFFRPDNQEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTSKRRYMLMKMAEGNCKIIFLETICNDRNIIERNVRLKIQQSPDYADQPDYEAGLQDFLERLTNYEKVYEPVEEGSYIKMIDMVKGEGGQLQVNNISGYLPGRIVFFLVNSHLAPRPILLTRHGESLHNVRGRVGGDTVLSEDGELYAKKLANFIEKRLKSEKTATIWTSTLQRTILTATPIVGFPKIQWRALDEINSGVCDGMTYEEIKKIMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYSYFADRPLREVPDMEMPLHTIIEIQMGVTGVEEKRQHSAAAMTPFAPPYNTNVLLAAVTALSAAIAFVAALHLYARCFLQQRAAATSTTNPHALALQRPPDGYGYELHAISVVVDAAVCAPDEAAGLGAKELGALQVLVWESSSAKEKEATFDEHCAVCLGEMEDGELGRLLPACRHVFHVGCIDAWLRLSSTCPVCRSAVRTELGAAAPAAAVEPLSYC >cds.KYUSt_chr1.5905 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36393598:36397098:1 gene:KYUSg_chr1.5905 transcript:KYUSt_chr1.5905 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAPAPPTAELAAASISSPPPSSDLEPPTTRTRIRAILDAGDSLAGQSVVVGGWVKTGRQQGKGDFAFLEVNDGSCQGNLQVMVDKEVYPLARLTHTGTSVLVEGLLQKPPVEAKQRIELKVKKVIEVGEVDAAAYPLPKTKLNLETLRDFVHLRARTNTIGAVARIRHQLAYATHTFFDKEDFLYIHTPIITTSDCEGAGEMFQVTSLFSQAEKVDKELKENPAPSEADIEAAKLVVKAKGDAVAQLKAAKASKQEITAAVSELTKAKEAVLRLEERSKLKPGIPHRDDGSIAFENDFFKRAAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAYANLHDDMNYAERYVKYLCKWLLDHCREDMEFMVKHVDKTAIERLELVSSTPFERISYTKAVEILEGTGKKFENKVEWGIDLASEHERYLTEVIFKKPVIVYNYPKGIKAFYMRLNDDQKTVAAMDVLVPKVGELIGGSQREERLNVLTQRILDADLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGLDNIRDVIPFPRYPGRADL >cds.KYUSt_chr5.18190 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117474209:117474418:-1 gene:KYUSg_chr5.18190 transcript:KYUSt_chr5.18190 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTAPSPSRDQLLIRRACPLAAAISNHTQEFGGGLRSSLAVTARPRKDVVFLLWLTSNHSEAAGRPQ >cds.KYUSt_chr3.47317 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296846063:296846305:-1 gene:KYUSg_chr3.47317 transcript:KYUSt_chr3.47317 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDDEMLAHLFMEEDDTAVVRRHQQQLMLESLLRLRQPIVALVVPRCGGSRVGKTRNKEQHPGPAHKAGQRGDRPGPLG >cds.KYUSt_chr5.30004 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190238171:190238572:1 gene:KYUSg_chr5.30004 transcript:KYUSt_chr5.30004 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVVQDLAPAIEAATAAEVEWAACACCGLREECTAAYAAGVRARFAGQWLCGLCGDAVGEDLAAAAGGRSAAASVEVEAAIARHAAFCQALFCRSPAAAERLIAAVRRLLRSESGRKEKASVQVVLELQKA >cds.KYUSt_chr1.31481 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190876779:190878348:1 gene:KYUSg_chr1.31481 transcript:KYUSt_chr1.31481 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRTAEQVIVSLLFQKYRLSKNLQAQVNVGTTKNAIGCAVVADTMPGTIGTSVPAIINANVIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQESLGKQNLGPANLEDAKMKISELVSQVSNECFSSAVTEIKGSPSMHRLEPRQIQFVESSTNNCLTAAEGFISEHRQRRHGVLKAYDDSSIFCRKQSPDHEYQFSLNRSLSERRMSQVHNVKQYHRGEFGSESETEMQQEYITPQGNGRGSTTSSASGSKERDADRLHPGEPNGKRQVVEHPSSGKKLDLNTQNTDDIDQGYRHFDLNGFSWS >cds.KYUSt_chr5.26367 pep primary_assembly:MPB_Lper_Kyuss_1697:5:166939816:166945718:1 gene:KYUSg_chr5.26367 transcript:KYUSt_chr5.26367 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPNAAANKDEKAVNAARRSGAEIDTTKKYNAGTNKAASSGTSLNTKRLDEDTENLAHERVSSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNNQIIGKLERALGAKLRSKK >cds.KYUSt_chr2.10938 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69436771:69441795:1 gene:KYUSg_chr2.10938 transcript:KYUSt_chr2.10938 gene_biotype:protein_coding transcript_biotype:protein_coding MKTASAGGGELSGQRRAQRVAALGSAACWGRGDSGRSQGCAAAGLGAPVAARLELHRLHRLPSAPKLLPTSCLSYPLPQAPHGRAAPWPAAIALIGFSSGVAAAAEAPRLPRRTSSLGRCSRPAGWFAVWPVDARPLLCHKLPMAELLNGLQPEQGLGPPPALRPPPRCHNFPDVPPCWDALDLPAAPALSFSNLGLFLCLIQIPLATVMIGVSHMTNITVKGFSHRVELSWFRNSMVPSQHVFSGSRNLLMYTAEVMSGKYYKLAIIVTEVDDSDGWWFMSCKVCWKKGLPNGNAYRCTGKLPLQRREAQVSNCRYSYKP >cds.KYUSt_chr2.7386 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46357684:46359522:1 gene:KYUSg_chr2.7386 transcript:KYUSt_chr2.7386 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGGAPAVRPEHLAAHARLVKSAKIDAFVVTAVMRAYLRASLPLQALLALRGLLPRAPRLLANSFSLSLALQSCAAAAATLPPNPAAAPPRLGPALHARALKSGFAAADIFVRTALVEMYAKTGLPALARAAFDEAPRRDVFLCNVMLAAYVSRCDVAEAREVFDGMPDRDLVSWNTMIHGYTVSGDVGAAREIFDGTADRDAFSWSSMISAYAKGRRSKDALELWREMRLARVAPDCITMVSVLSACGDVGALAIGAEVHQFVDNNNVEVDVKLGTALVDMYAKCGDIESSLRVFRAMPVKDVLAWSSMVIGLANHGLGHDALGLFSEMTSEGLQPNEITFIGVLIACTHVGLVSEGKNYFSSMSDVHGVAPRIEHYGCMVDLLGRAGHVEEAMELIRSMPFEPDAVIWRALLGACRIHKNVEIAEEAMARLRVLDPLADGHYVLLSNIYAQANSWEGVAEIRKTIRRENIQRVPGRSSIEWENTVHEFVSGDRSHPRFGEIYKMLEEMMDRLRQAGYRPMTSLVLQDIDEQSKTQALAEHSEKLAIAFGLLTTPARSTLRITKNLRACEDCHSAIKLISLVYDRKLVVRDRNRFHHFSEGKCSCKDYW >cds.KYUSt_chr1.31871 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193314448:193321671:-1 gene:KYUSg_chr1.31871 transcript:KYUSt_chr1.31871 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVERSTKERTMEANKRKLRRDEEEDAWMRRLATMRERRKEKMMREKINLEKAGENDSSFVLVPGANLFFTDPKAFFSEIYKDEKPGGEPLKRCSGFWIDFDEGSKTGTVLTTAHLIHTNDPPDTSSDVWIDEAHYDSKANVTVHLLDGTTAEAQLLYYQPHYDLAVLSVKVDQPVHLPSFNEGVKFSQKVFRLGRDNSLKLRITYGRAAYFNPDMYERYHNMYFDCADHDSDSDDDDDDDHDNEYDDGGIVIDLDGGVVGMVNISSTLGSFIPSSILLKCWASWKSKGRILRPHLGMMFKAIKLLEPAFVDDIWRAYNIDDGLIVQEVSKGSYAEKIGIEREKGEHPSCNPSTGKDGNPSSQSSLPINKTADDRPPRYFKMEFPTYDGEVSLMSWLKRCALFFNAQHTTEPEKVGIASFHLVGDAQLWYGQYKDVYGPPPWHRFTELVHTQFGPPSRSNALGELILLKCTSLVADFNKQFNALLGRAPCLPLPNRL >cds.KYUSt_chr5.14501 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94044918:94047430:-1 gene:KYUSg_chr5.14501 transcript:KYUSt_chr5.14501 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIQEAIMNATMQELPLMADSTEPELQLFDSDVAATPRRGNDTTTAIYIDIGSSSGNMFPPQPNYDETPDFNQRGGFDMPVQFVIGGDIFTDFHSIVGDQEQPPFYGSGEIERDGENVEQFDESMAFQQNLISNGPMLAPLPQSCPTQPNFNNIPTQGINQNMAEQFSIFLDSAKEMMGNLFGRLDGVCTSLKESSESMKQLTGVIAEREEDMCIGMKNGDVMYVDEPSQSQTGNLFDKQKRTVT >cds.KYUSt_chr1.32365 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196460495:196461800:-1 gene:KYUSg_chr1.32365 transcript:KYUSt_chr1.32365 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLLGLSSAAAAFPGLLRRSFSFTTSGTPPPWAFIERSTEAAEGFSVCLGYPPSLSTIHVPKHLVRTSAAPDHDADVIQSISGSVCSASSDGLLLLSYIDLRLKAPILAQRGAARLRKTPAGLAPGHGAQFTHFVCNPVTAELSRLPDPTCSDRVSKVMFAIAELHGNQMVRFLSETGEWEVVPVSPCQLPDARRMVLDHDVVAFGGRLWWLDVTCGAISADPFSDRPDLCFVELPKDSVLPAAAQDGCGCAGASGCGRLPKHRRLCVSKGRLCYVEVSREEPFVLSTFVLDEEASGWTLQHRLDLSRFRFEHNCPHPWLPLKEGNTPQIGFLDPLDDSWMYMSATIATLQNTPQVTFVVDMKEEMVIMSSAYRSGVPSFVPCVLPAWLGSSQIPSAGSDSLLNLLDY >cds.KYUSt_chr6.31339 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198268753:198271197:-1 gene:KYUSg_chr6.31339 transcript:KYUSt_chr6.31339 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRERAGDHASPPHDTSLAAIAFGSVPSSLLLPSSSPCSGGRVPQPPRDISSNDHARDASPPTLLPPSTSSELPLLSLAGTAGSAVVLSTAVAPQPNPGAAPRPPTPGDKTLSARHDDDGIPCIEPLPVSSAAGMSYPSPGAAFLDSVLSLLHSMVATAVGGRSCERCRFWVTPGSLSPRFNAFSIANALNFNLTVHPDRFSISSHGGGVFSALAASPAVCSLILAHRSVRLGKSVFLLHCSAGDASDAAARLPPWPPAPAAPPLSARSTSSCLDEGRGSHAVGPVAPQAACTLREPLRGLVVGVGPTLSPTMPTLPRHEGEKSAFPSPTSLGQPSILGSPIPSSSISPDPCCHDPYPANPAAAAPLTDQDSSVAHPFPPLAIPSPRHVTPTPTSFSPTLARAPPRTGESAVAAAGYGRSGLPVCESAPPREVLSLGRAAASSVCSPLSYKDALLLSASPSPPRRSERLVFSPIKNHRLCFRCLSPEHGVGECRDPTKCAACGRSGHKKKACELPPLVFSRPAPDLCCLVSSPPSALPSSPPLSPRLLAALARPRSCSTSPPAARAVRALPASPMETRIDTFFHELEGRSSRFRQRPPVPFPRGAAVGVSPSSSELGTLRGATPSVPAVAPTHRGPPTFAPLQAPACTSASPAAATLSWSNHCADPLPRRDDVAKIFMPPPANDNSSHRVAYALVSPPSDSPGFLLRRAFEERGGNPFVGLAASDFGAMLVLFPSVEVREATLQLFPLYLMAMTSPWRSQRRGTTGSAPPSPLSLSSRPPGSLWSIGMKVGFGQHFAPLVAYTASTPFVSMV >cds.KYUSt_chr4.32960 pep primary_assembly:MPB_Lper_Kyuss_1697:4:202049864:202054306:1 gene:KYUSg_chr4.32960 transcript:KYUSt_chr4.32960 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSAPPRRRRNRGWSGPSKSSRRPPQSPSTPPSSEPPLAQKPPLAPGTEVEVRVDDDGFHGSWFEAVVDGFLPARGRGYRPRYSVTYTHLLSDDSGGILVEPFAPTHIRPRPPPPPSNPLRLHDIVEAFHNDGWWSGILFATHPLTAAFPITREVITFQDPRHVRPRRDYVDGHWIPSRAAVSVKPKRAVKLYDVGDKVEVVRDREVYGYSWFPATVAKVIDGLSYIVEYTDLEGHDGGGKAMEYLHCLFIRPDVEHSPRESEFQLRPGAAVEVYCDGAWSPGLVNKAIAEGEYEVRVDGKDQELLLNKVPELLKPQYKWDGKHWRIVSPKRQGNRRQSVSGKRPCSAVKVASGDDEHSNHAQSSATKRSRKELSPKNLQELTEGPEHALESDMDTTVSALRKLLASSYSPKSCSPSSGKNNFQVLSKRIVSSCAAPIKGLGLLDASPEHPTLQNESRAHGIVEVVIQEIPLDMMHSDGQFSTPDGGTSADETHTMVLSAGLRKQKMDSSCVDNAVEEPLDSPLFVQPLQVENCTVKHKGGEVHPIRALQGNSNTFHNIQLKGNDNSSGRNIVCALTASTCGTPSPLDKHMRASDAVSRGTDNASTTKVFASKKSAEKKRGIKKVPGRQKECSVERQVEKRGPHTCQQLNGNLEEEVNVNEVTNQELFPLVPPGFKAICNGQGFLDGGKVDKRPIQLQIQNAGSSQSTMDNTILRSCSVVGTSLHPTFPSCHISGELAPFVKTSPIWGQIEPLEVFQKVPQEPHFLPLQQFVPELREGMAIGLMVTYASLVESVKKSCIKDDIDLFQRKMSALAHLAENGFDVTSLQHSLSKLVQIKLEHTQHLGDLGKLKELLPGKESAVSQKCALLDEKEGTIFELEQRLEYLRGEAEQIARETRDEDAELCRLKVDVNMAQEVCGGDEMQFRSTLAELRSRLQLSD >cds.KYUSt_chr4.4516 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25856697:25862121:-1 gene:KYUSg_chr4.4516 transcript:KYUSt_chr4.4516 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDGTAACAGVRRVHSGDHKRGPAPESCACTFLPSTAYPLAGVRPSPSPKICSAAILLSPPAVGIDEVHALPVPRTDELLVLILQAVDRSWRKKLDDFAERTVSGLKRRDDDVLAAANAATAELEAAKRAREAAETDLRGSQATISHLQEEIAKVGSKPEELKSKEDTERDEFISQMVEMNARIRQFQQMASFELARKCSEVSTDGEQGKAADGNQVKGTDVSLTRLKARYK >cds.KYUSt_chr7.18920 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117269986:117271275:1 gene:KYUSg_chr7.18920 transcript:KYUSt_chr7.18920 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSETLIPIQELVRKTTEKLEANFSKIGTMIHRFPRGLQFVSKHDRYISPSFVALGPYHHGLPQLQEAEEVKHVAAHYFCSKSGHSIEEVYGKIVTIAVEARGCYADDAVARFGDAEFAAMMFLDGCFLLQYMHVLLQYMHDFMCAESALFANRAGLSTGPCMLRDIFMLENQLPWLVLEVLMTFTNVPVCQFVVFMASTFDAGSTLIRLPEDELKRYRPPHLLGFLRYYLIGNMPPTQPSHGLVRHLVLASSAIELAEIGIKLTASNKRWFDMSIQKGYLAGELSLTPLFLNDYTACWLVNMAAFEACMSTDWPSDGYTISSYISVLAMLMEKEDDVHELRTKHLVRSFFSNQEMLDLFKGLACHLRLGRGYFVVLKKIDDYKRHRPVRIVVHKFFYNYFKIIVALLSVTSVLVGIFKTLLSLKQN >cds.KYUSt_chr5.16721 pep primary_assembly:MPB_Lper_Kyuss_1697:5:107565760:107569941:1 gene:KYUSg_chr5.16721 transcript:KYUSt_chr5.16721 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMRQQQEASCKATEDHRSDFDAAKPPPFRIGDVRAAVPAHCWRKSPLRSLSYVARDVVVVAALAAAAWWVDSTAVWPLYWAVQGTMFWALFVLGHDWYVSQQNEIDAGHGSFSDSGTLNSVVGHLLHTFILVPYNGWRISHRTHHQNHGHIEKDESWHPITERLYQKLEARTKKLRFSVPFPLLAFPVYLWYRSPGKTGSHFNPSSGLFTPKERLDVIISTTCWFTMIGLLIGMACVFGPVPVLKLYGVPYVVFVMWLDLVTYLHHHGHEDLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAASPVLGRYYRVPEKSGPLPVHLASVLLKSLRVDHFVSDVGDVVFYQTDPSLSGDNWTGTDKHK >cds.KYUSt_chr6.29585 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187457887:187462853:1 gene:KYUSg_chr6.29585 transcript:KYUSt_chr6.29585 gene_biotype:protein_coding transcript_biotype:protein_coding MADIMAASGAQGLHVSVRTLGPFFRVTATRAGPEKEAAAAAGVELGRAEGAVLPWPGGSLLHLDSMRMSRATLSVPDRPLFGLGLFLGAVAVRHGFDAGCVRAELLAINDSPLYHAKLVKFYTRMGFKAVHEVDGSSITDLAHMLVWGESSMLLVPGEADPLNCHDLDHAVGTAITPFIYFPRPNPSGRTGGRRREATTAMSRANEESRGELVEETHLGRSWSSVAASVAVVGEDTERERGEMGSPKFGLGQALVNCLKPGPKTEKPNIVALSI >cds.KYUSt_chr1.40047 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245312854:245313246:-1 gene:KYUSg_chr1.40047 transcript:KYUSt_chr1.40047 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKRGEPRTQGEWRRPSGGREKPGGTLLPGEMGMHLGVPTSGAASTMPPPTSEMAARTEYVVTLKANGSCSWTRFALSVPVTSVLEAVLIPDGLWRVLLELAMEKNEDISRFIFCEARPVNPIRPELRL >cds.KYUSt_chr1.9617 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58615692:58622514:-1 gene:KYUSg_chr1.9617 transcript:KYUSt_chr1.9617 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQRRLLCVAPPGSTPGDGETFPTVQAAVDAVPLGNTARTVIRLAPGVYEEPVYVAKTKNFITLAGASAQVTVITWDNTATRIKHAQRSRVIGTGTFGCGTVIVEGDDFIAENITFENSAPQGSGQAVAVRVTADRCAFYSCQFLGWQDTLYLHYGKQYLRDCYIEGNCDFIFGNSIALLEHCHIHCKSAGFITAHSRKSSSESTGYVFLRCIITGNGEAGYIFLGRPWGPFGRVVFAHTFMDRCIKPTGWHNWDKSENERTACFYEYRCSGPGSQSSNRVAWCRQLLDLEAEQFLAHSFVDPDLDRPWLLQMMAIRIPASA >cds.KYUSt_chr1.26007 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156462444:156464602:1 gene:KYUSg_chr1.26007 transcript:KYUSt_chr1.26007 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLEHGSRGRKRTWFLLVVHECAVHRLLSGQGDFMDHRFNRLKVFTVILLLKASLSPDAHMVDQVPCPNVE >cds.KYUSt_chr3.19749 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121541834:121542073:-1 gene:KYUSg_chr3.19749 transcript:KYUSt_chr3.19749 gene_biotype:protein_coding transcript_biotype:protein_coding MGISMSRDRYDARAGQTLPLLAFLMLLKYGPAGAARPPVTAALIAANALVYFRPGDLDALLPRLRQVTFNPHLIIKVPT >cds.KYUSt_contig_1181.791 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:5389780:5390579:-1 gene:KYUSg_contig_1181.791 transcript:KYUSt_contig_1181.791 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDETVAGPRPDHGLGKLRKYSSFSPSSSSLAAADVAPAVTRSITILRPPSLSVPSGESSSLPSSPAGAPDSPFAAATTPRGDGWRSFRRKSKMAGVGEEAAVGPRSPTVYDWCVYTSLWAPLQASCTMTNDQ >cds.KYUSt_chr5.37748 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238418638:238424412:1 gene:KYUSg_chr5.37748 transcript:KYUSt_chr5.37748 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRWALARRVATLVGGGASGAAQAQRLFSSSGALLGRQFTPPSQIRNQVVACRGATFVSSRWIHDATQYQTRQDGASRAEEQQDPFELVADELSILANRLRSMVAAEVPKLASAAEYFFKMGAEGKRFRPTVLLLMASALKFPVSESTDGGVFGLVASKLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVISLNCIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKSVAILAGHTADVSLLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPMLYAMEEFPQLHDVVDQGFDNPANVDLALDYLQKSRGIERTKELAREHVNLAIKAIEALPDSDDEDVLISRRALIDITQRVITRTK >cds.KYUSt_chr2.7996 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50212040:50214812:1 gene:KYUSg_chr2.7996 transcript:KYUSt_chr2.7996 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIKADNIDVAVEGILNELNRSRQNDIYFDGWDGLGASAVLRAVAQRLALKERTTPLGLEFDQIIHIDCSKWENTRAVQREIAEQLKLPTRVMDMFDKQDEEDDFDGITDQGSRTEIVDVAVEIQRSIQGRRFLLIFHNGSNEEVDISRLGLPVYGYLTNKVVWTFQGRFRMMDPKMRDEVVKKNTTDVLLSVPRSEQDPQHLWSYLVHEESIQVACKHGVDPTIVTECFLYMLTLHCTGRRVVDIEYDLATRACNYWICDVIIQKITDICEAWQVGEALQDEIRLDLDYHHKELPSHLLTYADNIPHWTSPAHGFVLVPPGVVPRGMFQHFDKLGVLKLSRCSFIFSSPPFQCCHSLRFLWLDHCQDLKSTDAEDGEQDEDTSRSWSCFQGLYVLDLRYTDCNWILSSRMLDLMTQLRELNVMGAKNLDGMSHLRGRLRNVRILRITKSSLRSDHVFVDMESVELLDFSGNTITRLYGTSTNSKLKTVIVSGCDDLEIISFKGCKELMNLFMEGSSFVRLQEMNLSGTRVKILDLTMLFISFRPTSKQIILLEKLRTIMWPQDLRGDPGLDVLQIDTTSASASASGRDVEHAHPHGDQSLQQQKEKIFWAGWQISLADTRLLSSLHVYDNSYIASIPAPGWENLRWCRVERCPKVHTVFNDSQGINASCFRNLETLWISQLLTARYILDRACSLSYLKFLHLDCYPMLLHVLPANHLYPFCLETLEIVYCGDLREVFPLSLKLQEYDKVTKLPDLRNIHLHELPSLQRICGRRMSARNLETIKIRGCWSLKRLPAIGPNTKPPKVDCEKE >cds.KYUSt_chr2.53099 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331280232:331281765:1 gene:KYUSg_chr2.53099 transcript:KYUSt_chr2.53099 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMTHEDRYLISYPDVADLYQTDIERMVGVRVAKSMTTLSWSVFHHRSSKLREYARVAPGVVPVVNCAAGTLKGDVQRSMRQPTNPATTQSYLLPPGVLLARRLSSRGKGSSGHGNRNGTEGKEIPHMVPCVPQPIMQLKMEPIEDVDVCTLATGAAATTRPASLAASAVDTRAPTRRTTRSAPRMATVPAEFAAWAIGGISDATTRYEGREACGWLVVRGGSGSAYIARPGT >cds.KYUSt_chr2.2378 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14359012:14360193:-1 gene:KYUSg_chr2.2378 transcript:KYUSt_chr2.2378 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLPAAAESPPPAPTTIFTIGDDLLLEIFVRLPSLATLVRAAFACRSFLHAVRSFPTFRPRFRALHPPPLIGLFLMHRVGDIPSFAPLRGRADPDQAALIRGSDFFLTRLPDDQGFWNIDDCRDGYLLLHNWQNRVLAAYSPLDGVLHRIPSPPEAVRELYILSSPEERHGSFRLVCIHADGLKVRPVVFSSDTGEWQVLPWTEAATINKDHPEDDKHCLSPRAGRLVNGRIYWTRYDYLIVLDTMTMQFTSMDLPPFMDGQKPFVLGETQDDKLCMVCAIDDKLMIAVWVWKADDDRVEKWMLDNEIRLGEIPVMKLVAVNHGFLHLHLIAIQNPNTVPLCSFFSFCLETAELKKIFSLYEYELERSYPYIMPWPSSLVCNKMNPRIEGA >cds.KYUSt_chr3.9621 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56516290:56521471:-1 gene:KYUSg_chr3.9621 transcript:KYUSt_chr3.9621 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSTGRAARLTAHATGIGRKDGNHLDQCPTNEKDTPPMSSNTPTVDDDSWRIPPPLRHLTSPLLEFSLFLPQIIFLGVVTSGFGCLMLACCVEKKGLVFAAAFSPLSQIFAALPESHPGFSACDHVLYLVFLRITGVHVCSSRFLFLAQEYSNIEWTFDLQHRKVENASRRQREQKARERKDLAAALMQRAASIERMVETLPQYAPTASGSQGSVSSPWPSSSSPAPRLVLQESLLRRWVISPFDFRGYVLTADMRHFLIRYTLIRGDLR >cds.KYUSt_chr3.11941 pep primary_assembly:MPB_Lper_Kyuss_1697:3:71245568:71247430:-1 gene:KYUSg_chr3.11941 transcript:KYUSt_chr3.11941 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSKTVMLRSSDGEELVVQAETMAAASMLIRDMLEDDGAGAGVIPLPKVTGRILARVVDYCTCHYAAAHAVVNPDLERFDAEFVGGMDHDTLMSLILAANYLDVQGLLGLACKTVADRTSRRKTPEKISSALAPPRVPMELHAGNRERLVAALRAHLSASTRPLRGLVLLQGGEERTRDSTDHVELFRQESYFAYLFGVREPGFYGAVDIASGQSILFAPRLPPAYAVWMGEIKPSSYFKGMYKVDKVFYTDELAQVLQNQFSDEHGKPLLFLLHGKSTDSGNYSKPASFEGMDKYFDTDSSTLHPIITECRVIKSDMELAVLQYANDVSSEAHIEVMRRAKPGMKEFQLESIFLHHAYMYGACRHCSYTCICATGENSSVLHYGHAAAPNDRTLVDGDMALMDMGAEYHFYGSDITCSYPINGRFTRHQTVVYNAVLEAHNAVISHMRPGVKWIDMHKLAEQKILESLEKENIIQGDIGDMMARRLGALFMPHGLGHLLGIDTHDVGGYPEGSERPKEPGLSALRTIRELKEGMVITVEPGCYFIDALLGPVRDDPISSKFFKWEEIENYKRFGGVRIESNLYVTAQGCKNMTNCPRETWEIEAVMAGAHWPLPAKN >cds.KYUSt_chr6.8872 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54508540:54509619:1 gene:KYUSg_chr6.8872 transcript:KYUSt_chr6.8872 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGLVLLAFLAASGASAPLPRFAEAPEYRNGDGCPAAVAGAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESLFFHFLAAADAPSTASLRTALAASFPSLRFEIYPFRPDTVTNLISASVRAALEAPLNYARNHLADLLPKCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTDAFWSDPGLGARVFAGRRRAPCYFNTGVMVIDLRRWRSGNYRHRIEQWMELQKERRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVLGSCRPLHKGPVSLMHWSGKGKPWDRLDAGRPCPLDHTWKSYDLYVDDSSSASAPSLTSLSSSALPAAVFSW >cds.KYUSt_chr6.22670 pep primary_assembly:MPB_Lper_Kyuss_1697:6:143233036:143234040:-1 gene:KYUSg_chr6.22670 transcript:KYUSt_chr6.22670 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAPPPATKYFWGDSPEPDEHYASLGLRHTESYYQSPCGRLFTHSFHPLSAAHDGDVKAVVFMTHGYGSDTSWLFQYIAISYAQWGYAVYCADLLGHGRSDGVHGYLGDMESVARASMSFFLSVRKTTAYAPLPAFLLGESMGGAATLLMYLRSPPDAGWTGIIFSGPLFLIPEKMYPSRLRLFLYGLLLGFADTWAVLPDKRMVVKAIRDPEKLKVIASNPRRYCGAPRVGTMRELARITELLQESFGEVTVPFLALHGTDDGVAAPEGSKMLYDRAPSEDKSLILYEGMYHSLIQGEPDESRDRVLADMRAWIDERVRRYGSAAVPAPA >cds.KYUSt_chr4.9824 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59408401:59414087:-1 gene:KYUSg_chr4.9824 transcript:KYUSt_chr4.9824 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSEMCILDQALLFPELGWYGVRSTSSAMAMAASSLVVPLSFVFLTIAAAATPASDTGHFLGISYGTLGDDLPPPHRALELARSAGAAAVRFYDSNATLLAAAASFSLGVVPGVPNELLISLAASQRAADAWIVSTLLPFRRNRRFRYLFVGNEVLSDPTTKDRWSRLVPAMANLRRALRRYGMRRVKVSTTLGMDALVGQNVFPPSAGVFRPDIVDVAVRPLLAFLHRTDSYLFVDAYTYFTWSANHTIVPLTYALLEPSPAPGYQYHDPATGLSYTNLLDHMLDTVVAAMCRAGHCGVRLALAETGWPNAGDLDQFGANVRNAATYNRNVARHLASGAGTPRRPGMRMPAFVFALFNEDLKGGPGTERHWGLFYPNGSAVYEVDLTGRRPAASYPPLPPATNDLPSPGKLWCVVRTDRRGAVANETAVREQVAAACADEATLCDPVRLGGECHLPNTVAAHASYVFSAHWNKFSKQYGGWCYFRGLAVETTADPSVAALGLGTYGAHMFRPENPRYKEIWQTASLYHLVHTAALLGAPITKRPNIFGGLLTTGIVLFSGTCYTVAYLEDRKFSSPAPIGGFAFIAAWASLLF >cds.KYUSt_chr3.4138 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23568360:23570231:1 gene:KYUSg_chr3.4138 transcript:KYUSt_chr3.4138 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHDLCLRLCCLRLGLHQGGGTNGNVEEARGWTTMKECAAGHVICSTCYEKLLEKDYCQLCSVSASYSRCFAVERVLQSVKVRCSNAGYGCMAKMPYYEIQDHEMNCLKGFCDFSGSNIVQSMPLHHGLPTDCYTLVIPKVSC >cds.KYUSt_contig_1790.264 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1345620:1346093:-1 gene:KYUSg_contig_1790.264 transcript:KYUSt_contig_1790.264 gene_biotype:protein_coding transcript_biotype:protein_coding MERHRSGWEAAGTMLQLSGCGASSPRPSNRSRGQDDLQDAPPHGTRRDSATRGSPRSCRGGCLEEGRACPLEWQRMGLAGRPPPAGLVCLVRAGLARPCGNLRTREWRSAGVAPRGRCGGGSSQEDRSSPDVRGQGEVAAPCRSSAGLERLGRREKD >cds.KYUSt_chr7.13916 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85914299:85922418:-1 gene:KYUSg_chr7.13916 transcript:KYUSt_chr7.13916 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLPLLLLAVAANAGLLATPSQALTQDGLHLLDAKRALTVPDGTLTDRNPTAATPCAWTGVTCDAAGAVTALSLANTNLAGPFPASLCRIPRLASLDLGANYLGPEAAVAGCNALTHLDLSVNSFVGPLPAALAGLPARRGGAAVGGRHGVRMGRRRGRGPDPRPVDRGKGLRRRGRGPAAAAGRSEEVAATASWGRTAARRPGGAAGGGGGVVEVAARRPGGAARRQRRRRGRGGGGGVWGGRRVGRSCGCVEVGRNLSGSGRPDRALSAFFAVRPSLPCGKGSLPCLGKLCRAQRCLCRAQQRALGEAAGHFAGGPPYIASQLSCLDSRSCARLRRTARLSRGYRAGYSTCASSLVAEYLSVERVSCIGASLVAKYLSVERVSCIGVPLVAEFLSVERVSCIRILRTKEGVGVGAACLDQAGGAVTGIGLLLDMPGSGGDAKK >cds.KYUSt_chr3.44876 pep primary_assembly:MPB_Lper_Kyuss_1697:3:282884575:282885349:1 gene:KYUSg_chr3.44876 transcript:KYUSt_chr3.44876 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVKLIGAFGSPFVHRAEVALHLKGVPYELILEDLTNKSDLLLRHNPIHKSVPVLLHGDRPAICESLLIVEYIDEAFDGPPLLPIDPYDRAMARFWAQFIEQKCAKPFWMALWLNDGDKREVFVKEAKENMALLESRLQGKRFFAGDTVGYLDFAACGLALVLGVLAEVTGLSLVGEDEFPLLRRWADDYTSEETVKACLPPREQLVAHFAGKKDRIKLAVNTMACQM >cds.KYUSt_chr2.46297 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289191240:289193119:1 gene:KYUSg_chr2.46297 transcript:KYUSt_chr2.46297 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSPVKASLPKQRRLLRPRRSPKRTTALSLPGAEEFFAVAEHLVQQDATAAPAPGYTTASDQTLAVHAGEKLRKNGMADTDSIATPIVSGTTHWFKNSDDLIAFKEGRRHSFEYGRYGNPTVSVLEEKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVTTTECYSEARIFIRERLSKMGIRSTFVDLNDIETLKAVLDQGDVTLFYTDSPTNPHLKCINIKLVAELCHLKGTLVCIDSTLASPINQKPLTLGADIVVHSATKYIAGHHDVIAGCISGSQELIKTIRAWHQDLGGAISPDAAYMIIRGLKTMALRVETQNRTSLRMARLLENHPKIEHVYYPGLKSSPWHHIAESQMTGFGGVVSFEVASDLHGVMRFIDALEIPFIATSLGGCESLVQQPAVMSFWGQNDEEKAKNGIKDNLVRFSFGIEKFEDLRDDILQALEKM >cds.KYUSt_chr1.24516 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146537737:146538943:1 gene:KYUSg_chr1.24516 transcript:KYUSt_chr1.24516 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGGASAVDAGGSGGGSTWAEEEWKETSVVYYQASPRRTSSSTRTTRHHQDKIEDVNQVHHRRLNVREIEEENMLLLMRLNELEDEYFSPFLFLFLAQLMHPFPLLQLLLFLFLLL >cds.KYUSt_chr7.39647 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246453078:246454892:-1 gene:KYUSg_chr7.39647 transcript:KYUSt_chr7.39647 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAI-RGA-SCR (GRAS) family protein, Brassinosteroid signalin [Source: Projected from Oryza sativa (Os06g0127800)] MSTAQRLPCGFSKRGGRGDTGTGAAPRGEGRGGNGTCSFRPHPAPPVSQAVSWGAKPEPGVADGGWDMRSSRAVKRQHEEEEEEEYGPVVRAKRTRVMGGDGDEVWFHQSTAGDAMMQAAAGEGGEEAEEQKVFLVPSAAAFPHGMAVAGTSSLAPAKQEEYSKSPSSHSSSSSGGTDGGSSAMPPVLEPAITRSVVPEAESQALELVGALTSCAESLAVCNYDAANYYLARLGETASPAGPTPLHRVAAYFAEALALRAAHMWPHVFDVTPPRELTDGAFHDDDDAMALRVLNSVTPIPRFLHFTLNERLLRAFDGHDRVHVIDFDIKQGLQWPSLLQSLAARRPEPPSHVRITGVGASRQELQDTGARLACVAAALGLAFEFHAVVDRLEDVRLWMLHVKRGERVAVNCILAAHRLLRDETGGAMSDFFGLVRSTGAAVLLLGEHEAAGLNAGRWEARFARALRHYAAAFDAVGAAGLPPASAARARAEEMFAREIRNAVAFEGPDRSERHESFGGWRRRMEDGGFQNAGIGDREAMQGRMIARMFAPGNYGVHPQGDGEGLTLRWLDQPLYTVSAWTPAGDGAGGSTTVSASTTASQSLQS >cds.KYUSt_chr1.36585 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223232674:223235618:1 gene:KYUSg_chr1.36585 transcript:KYUSt_chr1.36585 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRRSVGALGVPDRLSVHRLLDGEISSGGAAHLTVFLRQPADQPAGNRSYNLLSVPDVGVDGSGAAISTSGLPFWIPPPPPSCAQGVVPPLFPSPHAAGVSSPSTSARREGKTPKSPSKEEVLEQGYKVKGQQLVFRAMSSGLSRQAVNFRKPFSLRRCYIATSRNPPRLQPEHEDILGNAERLPRLRTRGSMNNGMSMHVSLNFGVWR >cds.KYUSt_chr4.53882 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333364958:333365793:-1 gene:KYUSg_chr4.53882 transcript:KYUSt_chr4.53882 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTTLMAALLLLVSLAAAYMSIVSYGERREEEKTRQMFVGWKARYNKTYRSIGEEEHRYAAFKVNRRLIGRRHVDADAQRYSYHGLNVFADLTTEEFQTSFQCIHFTIFIAYLPYDYHILVTYDVPEYTQWGGMLIMSRRAPEERQWRLTVDGN >cds.KYUSt_chr3.35594 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223523822:223525349:1 gene:KYUSg_chr3.35594 transcript:KYUSt_chr3.35594 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKPAFRWIHLKMNEMGTDIACFRLGGVNVVPITCPKIAREVLKKQDANFMSRPLTFASEAASCGYKDAVLSPFGDHWKKMRWVFTSEIVCPSRHKWLHDKRADEANNFTSYVYNLATGSSSGGSSDANNVNVRHVTRHYCGNVIRRLVFGRRYFGEPQPNGGPGPLEVEHMDASFTSVGLLFSFCISDYLPWLLGLDLDGHEKVVMESNATMNRLHDKVIDERWMQWKGGELRLDEVEDFVDVLITLRDGDGNPLLTIEEVKAICKDMVLAAIDNPSNAVEWALAEMVNSPELLDMAVEEMDRVVGRERLVQESDIPELNYLKACIREAFRLHPFAPFNVPHVAIADTTVAGYRVPKGSHVLLSRAGLGRNPTVWDDPLRFKPERHMGDDVEVALTENELRFISFSTGRRGCMAASLGTAMSVMLFGRLLQGFTWAKPAGLPVIHLSESKHNLSLAKPLVLHAEPRLPVHLYHHST >cds.KYUSt_chr5.41572 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262317175:262324311:1 gene:KYUSg_chr5.41572 transcript:KYUSt_chr5.41572 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSKDQSTAWDMDGEIAAAWRLQGELQLRSSLVRGDVFFKGIFAGIRFESFRGISACAIMAEDGIGHWVSKDRFAAKRLHALAAELDDPKRRIIEEQSAFRALLNVSPFNIPNALIDFVASHTSPGLREFKFHKKRIVFTKDMVRKVFGIRCGDRLVVQKKGEHPQLRQIYIEEGQSRPFIQHAVKLLKACDVTDDLTIIRTWDLICLATVIAPGSANLISLDYLDCMLDPRRTHEFAWDEHLLELAMQEVTKINSKTAEVVYMDHLDFPPNQHVINYSIPRVCFVTSSDFKFVVQNDADRKILNNKTVFGRRPFLELSNTPYGVAAFSNRDHVEELVEQPVEESEVNPSASLNEWLVFPTSQDLEVPAAYKHLYEKHRSIYGRDLDTTLKNFGVGLKQMHSQRMAALLIDIDAAKKESDGPSVHFPNRGGVEDENMDGADRHDDEASEQPKVMEGTTPPIPSRDAEDHLGENVSPQHPTNTDVSVIKRAKLFAADGKLSLIADVAADVVTKDASTGAKTVEKKTRYKRAAKGELSPPKLKKIKVSQDVVRKYDCGFYSILYMEHFNGKVMPNFENDVVPDFRRLLAASLIDNRDNQSDDVDVIMNEDLQQG >cds.KYUSt_chr1.29392 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177876991:177885595:-1 gene:KYUSg_chr1.29392 transcript:KYUSt_chr1.29392 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGDEDAGTKRVADRYLKREVLGEGTYGVVFKAIDTKTGVTVAIKRIRLGKYKEGVNFTALREIKLLKELKDPNIIELIDAFPYKGNLHLVFEFMETDLEAVIRDRNIVLSPADIKSYIQMMLKGLSFCHKKWVLHRDMKPNNLLIGAEGQLKLGDFGLARIFGSPERNFTHQVFARWYRAPELLFGTKQYGSAVDVWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKSSQWPDMVYLPDYVEYQFVSAPPLRSLFPMASDDALDLLSKMFTYDPKARITAQQALEHRYFSSVPAPTKPALLPRPKLKGDSGNDKIPDLNLQGGPVVLSPPRKLRRVSAPDGTESNIHRAEKAEEIPPTGLRRHTDENMSSQSSRIPMSVDVGVVFGTRPAPRPTLNSADKSRLKRKLDMDPDFGLVRHFVLVWTMSFECCLLGHYAARHPTLILSSLPAYYMPRRLATTYSMLAHVIPPPPPEEELSHDDFGEFDEEVNEETMRNAVEEDEQWSEGLEPANPE >cds.KYUSt_chr1.4985 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30667232:30670377:-1 gene:KYUSg_chr1.4985 transcript:KYUSt_chr1.4985 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGQSGAPSASSPPGPPPGFGEGMPPDNALHALGFEFTRITGDEVLGRLTVTEICCQPFKMLNGGVSALIAESTASIGGFMASGYKRVAGVQLSINHLRPARLGDRIEAKASPIQVGRNIQVWEVQIWLMDPSTSERKDLASSARVTILTNMSTPERVKNYEEGIKKYSKL >cds.KYUSt_chr4.9911 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59948348:59951243:-1 gene:KYUSg_chr4.9911 transcript:KYUSt_chr4.9911 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVLVTFCLLVLGRAEYLKYKDPKQSLAVRIKDLLGRMTLAEKIGQMTQIEREVATKEAMSKYFIGASYFTYATTTADGEGLVAEFVCVTNWYDAGSVLSGGGSVPAPQASAESWASMLAEMQKGAISTRLGIPMIYGIDAVHGHNNVYRATIFPHNIGLGATRDPMLVKRIGEATALEVRATGISYVFAPCIAVCRDPRWGRCYESYSEDPKVVQAMTTLISGLQGDAPSGYTGRPYVGGSKKVAACAKHYVGDGGTYMGINGNNTIIDTHGLMSIHMPAYYNSIIRGVSTIMVSYSSWNGEKMHANHFLITDFLKNKLKFRGFVITDYQAIDQLTSPTHLNYSYSVQAGIGAGIDMVMVPFNYTEFIDELTSQVKKNIIPMSRIDDAVYRILRVKFTTGLFENPFADPSLSSELGKQEHRELAREAVRKSLVLLKNGKSSDTPLLPLPKKANKILVAGSHADNLGNQCGGWTITWQGESGNNNTAGTTILSAIKSTVDPSTQVVYAENPDSSSVEAGNYDYAIVVVGEPPYAESAGDNLNLTIPAPGPAVIQTACQSINCVVVLISGRPLVVEPYIGAIDAFVAAWLPGSEGQGVADVLFGDYGFTGKLPRTWFRSVDQLPMNVGDEHYNPLFPFGFGLTTEAKKLVG >cds.KYUSt_chr4.29777 pep primary_assembly:MPB_Lper_Kyuss_1697:4:186918630:186920860:-1 gene:KYUSg_chr4.29777 transcript:KYUSt_chr4.29777 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHYKAAIEQTEQVGELMTGKPATEAPVNGGRNYNGPKMPTTYVVYKGRVPGVYDDWEDCRRQVHRFSGNSYKGYPTRVEAKGRYARYLAGEMRDMRRNRMKTMAFVMMVIMTMLVMFYVIVV >cds.KYUSt_chr3.6194 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35453512:35454386:1 gene:KYUSg_chr3.6194 transcript:KYUSt_chr3.6194 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAGRCYSPSVLRLQPQLSPPPQLKPAVGRGRGRRGRQSLRVAASAASGASAEPVKAATDAEFFQPSDTRPIMLFDGVCNLCNGGVRFVRERDPGRSIRYIPLQSESGRKLLQRSGRSPDDISSVVLVEKDRSYIKSDAVLRIMEYLNLPFPQLAAFLNIAPLFLRDFAYDNVANNRYLVFGRSESEACEIL >cds.KYUSt_scaffold_1259.163 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1079758:1083458:-1 gene:KYUSg_scaffold_1259.163 transcript:KYUSt_scaffold_1259.163 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKLVQLEVLIFMVAVTTIVPAADRAVASPPPDPVQCSSGGGTADCTVSSAYGVFPDRSTCRAAAVVYPSSEEELVRAVANATASKTKMKVTTRYSHSMPKLACPGDGDGQGLAISTRWLNRVVAVDAARMEMTVESGITLRELIAEAAKAGLALPYAPYWWGLTVGGMLGTGAHGSSLWGKGGAVHEYVVGVRIVTPAPAANGYAKVRVLTSADPEMDAAKVSLGVLGVISQVTLALQPLFKRSTTFSERDDDDLAEQVAKFGYEHEFADIAWYPGHGRAVYRIDDRLPMNASGNGILDFIGFRATSTLLIGANRVAEELSEREGNGSSKCLTSRVTHAALTAAGYGLTRRRGWLFTGYPVVGTQDNMQASGGCLTAPEDALQTSCPWDPRVRSSSFFHQTTFSLPVSRARAFIQDVQRLRDLNPKSLCGVELYDGILMRYVKSSTAHLGKPAMRGESADMMDFDMTYYRSRDPGRARLHQDVMEEIEQMGIFKYGGLPHWGKNRNLAFIGVARKYPGMRQFLRVKNAYDPDGLFSSDWSDMMLGVGGGTPTKDAPGCALEGMCVCSQDAHCAPDHGYLCKPGKVYTSARVCTKG >cds.KYUSt_chr5.41392 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261270894:261272467:1 gene:KYUSg_chr5.41392 transcript:KYUSt_chr5.41392 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYNPLTQALDLFPGPPGQVVCYNPQLPEEYFREFHIVVSEEDQGMFRMVCVQHRNTQRQLQACTTVFSSTTREWNVSPWLDTPTPLQPDGETVLYYDGIQSNGFIYWKEMSRAYAYVLVLNTATLQFSRLDLPSFLREVEYKGFSLGHTKDGKLCMVAMDGSDAHIGMLLVWFWTADKHGVEKWMLQDAYPLRTFLDATKSAMQDHVKLEIEGVIDGFVYTSVKYDVHIKSVKYDVHIKSLVSLCLETGKLNKLFDGTYAAFALPYIMPWPSSLTCNEEDSGTKVVVDRVADDGPVGTEKSPSVLVAALKSYREALINADEAKVAEIGAFFISYRG >cds.KYUSt_chr2.41242 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256388840:256389307:1 gene:KYUSg_chr2.41242 transcript:KYUSt_chr2.41242 gene_biotype:protein_coding transcript_biotype:protein_coding MACASSSIAIGPPNYGLYAGTRILSPSYGNFTRKSSYKLLKVRALQGNDGRRRLIDIIRIIPELSRNYFKTGSRRALFGGISILGGFYVAQTISLSFGALAVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >cds.KYUSt_chr4.2252 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12457462:12457860:1 gene:KYUSg_chr4.2252 transcript:KYUSt_chr4.2252 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSDHLSELSDDILRHILHFAPVREAASTAALLRRWRAPLWLSLGVRCNLAGLYRVTVESLLLETLRMLELSDCTQGLYQSKVAVVLPRLSCLRLRHCAQHLGSLQRIIDAAPTLATVCLESVAIDATE >cds.KYUSt_contig_1658.330 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:2102216:2106118:1 gene:KYUSg_contig_1658.330 transcript:KYUSt_contig_1658.330 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPWESKAAVDERFYAQKPTAFTVARADNWRGAGFNITSSEGGAAVLQVQTDHVGNGNLRSLVVLDAASHDPLLAVEEFRSGDGLKWWEAFRGRGTSGKDRLFAAMDKTQFMQMGNTVHIFLDGDSSGDRVPDLVVSGSYSRGTMTVSRRGGDADFVAQIRKETNYTVWIKPGVDQTFVLALTVILDQMHCPYFDTPSLALQKPSCATMSSSSSASLDLSTQSSSSREPTPEWNPEEVHAANIRRAIEAGEESSHDFSVWSEDDKSSTDGESDLRFLADGETEEESDDDRFSCDDFTSPEDEEEKEEEEDDTSSDEPPAKRFCPWPGNLSDFDSEGPVGGRYSSDDEPAGSSADSGDDGDDEGSDGP >cds.KYUSt_chr3.39189 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246913495:246915042:-1 gene:KYUSg_chr3.39189 transcript:KYUSt_chr3.39189 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLTPYFSSHPEKSPHSTIPKVVRRRCLHPPGSPRPVLLLATLCGVPVALVCAPAAFGGAGAPRLVWDSEEGVLERYPAAAIPPETRAQHTRRSYLMAELGKGTTKLARARPGALPDWDAALNDMTVDEVWKPGHLALDMGYDGFQPQTMSCHGGSNDQGSLPEEFLMQPERGLECVGGGGSYYAGAVDETQALGAQIPPERTEAGAGQEMAAITEVKSSVSMDVEEIDLNIESTNVDDFDNRPLK >cds.KYUSt_chr5.35672 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225397657:225405097:-1 gene:KYUSg_chr5.35672 transcript:KYUSt_chr5.35672 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLRNPTALLAQVFLLVSIVSLLQRPASAEIKPYVVYLGAHSHGSEGAALVANQERARSSHYQFLGSVLGSEEKAQDAIFYSYTKYINGFAATLEEEDAMEISKHPSVISVFPNRGHKLHTTRSWEFLGMEKEGRVKANSIWAKAKFGEGIIIGNLDTGVWPEAGSFSDDGMGPAPARWRGVCHDQNSDAQVRCNRKLIGAQYFNKGYIATVGQANPASTRDSDGHGTHTLSTAAGRFVPGANLFGYGNGTAKGGAPGAHVAAYKVCWRPVNGSECFDADIIAAFDAAIHDGVDVLSVSLGGSPAEYFDDGVAIGSFHAVRNGVTVVCSAGNSGPGAGTVSNTAPWLVTVGASTVDREFPAYLVLVNKKRIKGQSLSPVPLPANKHYQLISSEEAKAANATVAQAQLCIEGSLDKAKVKGKIVVCMRGKNARVDKGETVLRAGGVGLVLANDESTGNEMIADAHVLPATHITYSDGVALLAYMNATRLASGYITVPSTALETKPSPFMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGLAGPTGLAFDSRRVLFNSESGTSMSCPHVAGIAGLLKALHPDWSPAAIKSAIMTTARVRDNMKQPMRNSSFLPATPFGYGAGHVQPNRAADPGLVYDMCAADYLHFLCALGYNSSVIDTFMGGQPEDSRRDNERRSTPRYGCPATPPKVEDLNYPSVAVPHVSPSGEPRTVTRRVRNVGVAPVAYDVRVQEPRGVSVSVRPSRLEFAVAGEEKEFVMTFRAKKGSFLPGEYVFGRMVWSDGAGRHRVRSPLVARVADHHRANKTGISVA >cds.KYUSt_chr6.27956 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177330469:177336212:1 gene:KYUSg_chr6.27956 transcript:KYUSt_chr6.27956 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYESREGSVIDLNEGVTVIEPAKCRLMSLDEKRELVRELSKRPETAPEKLQSWSRRDIVEILCADLGRERKYTGLSKQRMLDYLFRVVKGKASSPAVHLQEKEPTPTRQKKEKEPTPDPNTSNHQQAAKRQRKSENPSRLPVVASNPVTADVPALPKKYLALHQIVDTAMKKLEAEVGPIVGDGNIGRGIVSRLTCGAEVQSLCAQALDAMESLFSVASPPNSQFQRSSMIPFNFIKFEPITQTSITVVFDLDQCPTMAQRVTGFHMWHRVASTGFYLSNPTAIVLALAPSTTYVVRELKPATSYILKIAAYSNSNELGSWEVRLKTSCQKEDDPKVSVPGGAGVEQNNGSPKTNSGGQSDRSSEGVDSNNNTTVYADLNKSPESDFEYCENPENLDSDKTSHHPNKPTDNSRNIQVAAVRVTEVIELDEAPGLSASALDEEPNSTVQTALLHESSNSIEQIPRIEIPISQDASNPTAVNESVIPPPRFSASMPPTAPRVMENGKDNMVQNGSSKPEREPGNSSNKRSGKFEDNGHKDGGPEASYEYCVRVVRWLECEGYIESNFRVKFLTWYSLRATPHERKIVSVYVNALIEDPVSLSGQLSDTFSEAIFSKRPPSVPSGFCMDLWH >cds.KYUSt_chr1.1317 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7129484:7129720:-1 gene:KYUSg_chr1.1317 transcript:KYUSt_chr1.1317 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWYNVPRIRKKTSWPEVIGMPADEAENIIRKGKPDATIIVVPEDSPVFNDLRTDRIYIFVDTIAGVSTVALTPRVG >cds.KYUSt_chr3.33387 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209624162:209625253:1 gene:KYUSg_chr3.33387 transcript:KYUSt_chr3.33387 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQNPRRRQLGTGQSSWPDLPPELLESILCRLGPLGRVAVRLVCSSWRSCARASISSDLTFEAPRLLLRRPGSCGSLAFFSLRRDEILQFALPGRVNAGRCCGQIGGWLAMAYDEERAVELHNIFSGESVAMPRAPTFPVAKIVLSAPPTSLGWVAAVLGSLGTLALLQPDVSGGAWTTIAAAAEHRAFRDVAIWRGRLCALSDDGTIRAYRADLRARVAAVSELNYGLVWRWRWQQPTYLVESEGELLLVRKLYRVDGDSVEVEVEIRRFRPEERKWEEVRELPGRALFVGAVASVAVLVTAALPGVRENCVYFARREVDMMVPHAVGVYSLGDRETAVVAIAGGHSVEVEPVWIIPSVA >cds.KYUSt_chr6.29245 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185245311:185247388:-1 gene:KYUSg_chr6.29245 transcript:KYUSt_chr6.29245 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRFGSSRSSESRTMERFSQLNPHATPFVPSSFAKSLKANKDPEKQVDGTEKNVTADKSAGYELPDSLSFDDYAESLGKVNISAESSSKGEAAEASHANNHLAAVESLSLMFPDVSADSILEVLKANEFDTDLTIDMLFDLCEADDNGHSAEASGNHQQQLHHHHSPSST >cds.KYUSt_chr4.41886 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258988102:258988302:1 gene:KYUSg_chr4.41886 transcript:KYUSt_chr4.41886 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVTVLFPSARAMRHYAGEMADGDAGTCEEKEKGRPKWMRYQSETSLIPISSDQVRLVGAPDREV >cds.KYUSt_chr2.20516 pep primary_assembly:MPB_Lper_Kyuss_1697:2:128979272:128979490:1 gene:KYUSg_chr2.20516 transcript:KYUSt_chr2.20516 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMVTSKNTSWFGGGWCHSTDDAIADSGVGPAGMATPGRSEDCTAEASRRSGSWTERERGFKIESREAGGA >cds.KYUSt_chr7.17628 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109179272:109191752:-1 gene:KYUSg_chr7.17628 transcript:KYUSt_chr7.17628 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMDMPQMEKAKKITQMRLSPATSDSEEEDLSSLVMSKVSTFWEDLVEEDIIPLGVDDDIELLKPVAENEILTTPFTEEEVFEAISQMELNKAPGPDGFPAEFYQKFWGFFKVGTGDSVRFWEDTCLIALYIERAGRHLALWNLDISSTVTAALLRGCMTAEENYRRVFIGFASTSTGAFEVKVLDEVAKNSDGTNIGLLWCQYTLGPVKSVNMVKEGSLDHPISEEQYIYLASLDKQKSALVIENYFTNENNCGCLVVETVSGTLQCWLNYLVKNNIPLVNHDGRIMPTLRKIIFLVYHVLGENWHLHNLTMDDLFLKKLNGELELKVLLSDVRKGITQQKKDSTWKHVKDIINCCFSVNKVELSVETRRFCNFIGRQTKDFPLEKYPDSWTDSDKVAYLRLILQADMNDMKSSVMASGIVWPTGHDGAIQPILEQIINHDKKAAKYESHPWHYIRLARNVTKSFDLPESLKKTLKVESDFLRKMEEWTPTIWTDLTYFYLLFREYNLRDHIDGSVDLFARRDNDWLAIDATIIRWLFLTISPDIFKTVVREGDDAHTVWTKINELFTGNKLQRIVFLQQEFFCTPQNDQTLDAYCLRLKAISDELHDLGFKIGDEILLSTLTAGLNEELGNAAADLTLMTKPTFERAVTYLKLEERRLKNLRTRAVHTALAAGYRAPAPPAPAPPQQAPHPWTASPLLPMPPQQLQQQQGRKNHRRRGGGRNNGGGNGGGNDGGNGGGNTQPWPPWSGGYNPWTGIIHAYSMPVPCPPAPDTFGPCPRLTRRSSPRHRPTPQPTTPRHRPTRRRNPHGTRRSSRHCSRHPRRALTPVAVTGSWTRAPRLT >cds.KYUSt_chr4.48920 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303072627:303073432:-1 gene:KYUSg_chr4.48920 transcript:KYUSt_chr4.48920 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRVAVAVAGWLWILWSSPAGLAFLCRFVGCVSRYVLTCRWLAADFFRPLGDGSLYNNGEGLPVTHFLTIGPHVLLHRAAQLPLERFGSVLEHVDCQCKKHGGTFGTSTTLADSAPKETKVAETGRHLFFDCPLSAELWSSLDVPIPAGPFSVWDLRPPPHLLVDTWRTGVAAAILWAIWKARNDMVFNSKPSSRAAVLRRVCDDLNLWRWRFKVADRMALDSLRSFLLSSV >cds.KYUSt_chr7.29038 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180614971:180617543:1 gene:KYUSg_chr7.29038 transcript:KYUSt_chr7.29038 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIPPHRHRSLPRALRPIRGGVPVRRGSTARGVPACLGLTDLSSTLESGGGVKERFLPIANIGRIMQRGMPEKRRTINRDGLIWSMGMVSFEDYVEPLKL >cds.KYUSt_chr6.13947 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87257272:87257959:1 gene:KYUSg_chr6.13947 transcript:KYUSt_chr6.13947 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRESELDKYGVVNHVIYCAYIDNAREELLTGLGISTASVVCAGNAMALSELNLKYLTPLRRGAKFVVRVRLVQIKGARIFFEQLIETLPDRELVLEATATAVCLNKDRRPTRVFPEMSSKLQQFFSSQD >cds.KYUSt_chr2.42198 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262819637:262820095:-1 gene:KYUSg_chr2.42198 transcript:KYUSt_chr2.42198 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHKPSVNHFAFLDRSDPGDKCLSDFDAAKNAVTPALSLTQELFGNAYPSARDYIIRRNQLERQAAQAKRRAAKVQADGAKLGGYSDGSSRQQQQPGMSGGYKPSYNKNGASRRQQRPGVAQGMEVPLAPVQAPPPQPPSLYDINEFPSLN >cds.KYUSt_chr2.55092 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343440436:343461475:-1 gene:KYUSg_chr2.55092 transcript:KYUSt_chr2.55092 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVGGGGMLLEDFGQRVDLTRRIREVLANYPEGTTALRELIQNADDAGASRVRLCLDRRAHGARSLLAPALAQWQGPALLAHNDAVFTDDDFASISRIGDSKKVSQAWKTGRFGVGFNSVYHLTDLPSFVSGKYVVMFDPQGAYLPNVSAANPGKRIDYVSSTAVALYSDQLSPYRAFGCDMEAPFQGTLFRFPLRNAEQASSSRLSRQVYTEDDVLFLFAQLYEEAVYNLLFLKNVLALEMYVWESDMIEPKLVYSCSLRSKDDNLSWHRQALIRFSGTSDESLEQNIDSFAMDFVSEAYLGDKFEKKSHTYFIVQGMASALSKIGIFATGAANEYDLHLLPWASVAACISKLGPEDSHLRQGHAFCFLPLPVRTGLSVHVNGYFEVSSNRRDIWYGADMDRGGKLRSDWNRLLLEDVLAPLFRELLLALRTLSDSTILYYSLWPTGVYEEPWSILVEQIYKVIYGSPVLHSEIKGGTWISPAEALLHDEGFLRSNDLSEALVLLGTPVVRVPSEIVDMFSKFYVKSLKRVIPATVRHYLRDFVKLGMLGKSHKLILLEYCLNDLDSADIGKCMNGLPLIPLANKQYGMFSDISQGNHYYVCSKTEYELLSALGDRIIDRSITPVLLEKLHQIAKSSQVNISLIDGPIFLQFFPRLFPPGWKCRNQVPWGQLSGGSSPTADWFKLFWQYIGEHSYDLDLFTDWPILPSTSGHLYRASTVSKLIETESLSSLMKELLAKLGCKILDTKYLSAYQQLAHYVYKGDATGVIHSIFGIVSLEGVDLHALFQHITPGEKNELYQFLLDPKWYLGVCLSDTNIKLCKELPIFRVFDGGCPSSYGFSDLSHSRKYMPPLGVPEHLLNSDFLFCISPSNEDIIMRYYGVERLSKSIFYQRYVLNKLDQLQTGVRDSVLLTILQDLPQLSLEDPRFKEGLKVLRFVPTINGTLRSPQSLYDPRVEELYALLQESDCFPSGLFENPEVLDMLLCLGLRTSVSTDTIIESARQIDSLVHKDQEKAHSRGKVLLSYLEIHAQKWHVHKALDVRKRLNMLVKVTTALRPRDTSGGFDLDKFWSDLRMICWCPVLVTAPSPTLPWPSVSSMIAPPKQVRLQEDMWIVSASSRILDGECTSSALSYSLGWSSPPSGSVIAAQLLELGKNNEIVTDQVLRQELALVMPKIYSLLTNLIGSDEMDIVKVVLEGCRWIWVGDGFAKVEEVVLSGHLHLAPYIRVIPIDLAVFKDLFLDLGIKEHLSPVDYASILTRMATRKATASLEAEELRTAVLVVQHLAEFRFQDQQTQIYLPDSSARLCLSSELVFNDAPWLLDSGHDIIGNASSIAFSSKKYVHNFVHGNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEDLTTRLKHIVEMYADGPGILFELVQNAEDAKASEVVFLLDKTQYGTSSILSPEMAEWQGPALYCFNDSVFSPQDLYSISRIGQDSKLEKPFAIGRFGLGFNCVYHFTDMPGFVSGENIVMFDPHACYLPGISPSHPGLRIKFVGRRILEQFPDQFTPFLHFGCDLEQPFPGTLFRFPLRNEAAACRSQIKREQYATHDVEMLFSSFSEVVSEALLFLRNVKKITLYVKEHDSQEMRLVHCASKHNSCEVSKEPHALNTMLAYVNGNQSAGMDRNTFFNKLNKTKDSDLPWSCQKVAILEQSPTAHFVHSWILTECIGGGHARKLSTASDSKSHFFVPWASVAAYLHSVSVDDTKELSGEAEVNRDDFVLKHLALRSSQGRNLFEGRAFCFLPLPINTSIPVHVNAYFELSSNRRDIWIGNDMAGGGRVRSEWNLALLEDVAAPAYGHLLAAMAEELGPSDLFLSFWPTAVSVEPWSSMVRKLYVSIAELGLHVLYTKARGGHWVSTRQAIFPDFSFSKATELAEVLSQAGLPLVSVSKPIVDSFRNAYPSVHLLNPHLLRNLLIRRKRGFRSREEAIVALEYCLSDIDDPSLSDKLHGLALLPLVNGSFTTFNNRGEGERVFFTSQMEFDFLKDSIPHLVIDNSLPDGVLKQLFDIASSARSNIYLFTCSILVELLPRILPPEWQHAKQVSWFPEQQGQPSVEWMMSLWNFLLHSCEDLSIFAKWPILPLADGKLMQLGSASNVIRDDGWSENMHSLLQKLGCFFLRPDLQIEHPQLANYVQESTAAGVLNALHSVASNVQDIKELFQNTSLAETHELRSFIFQSKWFSGNQINTSHMNTIRNLPIFESYKSRELVSLTTPRKWLKPDGVHEDLLNGSFIRTESEKEKSILVSYFGIREPEKAEFYTDHVLPRMSEFLSQPAVLSAIVRDVKLLIENNSSVRDLLSEIPFVLTASGAWLHPSRLYDPGVPEFHKLLHKETFFPSEKFMATEMIELLASFGLKRKMGFSTLLDIARSVSLVHNSGQDDDAFVRGQMLLTYLNVLEWKTSNMEDKDTFNEDGAVEASNTDGNLEAENKEDRCDPDLTIMSLFSNFDLDLPEHEFWSELKNISWCPVHVAPLLKGIPWFISEDHVATPVITRPRSQMWLVSSKMRILSADSCSMYLQRKLGWLDTPNLNILLSQLVELSKSYDELKMFSEDTDIDAVLQKEIKLIYSKLQDIVDSGDANILKKNLDGIPWVYIGDRFVPPDALAFESPVKYHPYLYAVPSELSEYKSLLLKLGVRQTFDAIDYLNVLRRLQGDAKGEQLSAEQLSFVHCVLEAFVDCYPDSQAADAVLNSLVIPDSFGVLTPSRNLIYNDAPWMDTDPSSKHFVHHTIGNDLANRLGVRSLRGSSLLDDELMRDLPCMEYAKISELLALYGESDFLLFDLIELADSCNAKKVHLIYDKRDHPKQSLLQQNLGDLQGSSLTVVFEGTMISREEVCSLQLPPPWKLRGNILNYGLGLLSSYFVCDALTILSAGYFYVFDPLGLTGGATSTATSSAKFFSLIGNDLVERFRDQFIPMRVTQEASLSSANSTVIRMPLSSKCLKELEAGCNRVQQIFDRFIQNPSSTLLSLRSVIQVSLSTWEDGSSQPTLNYSVLVDPSVATLRNPFSEKKWRKFQLSRIFSSTSAAIKMQAIDVHVIESGCSYIDKWFVALCLGSGQTRNMALDRRYLAYNLTPVAGVAAHIARNGVPTNINASSSILSPLPLSGSISMPVTTLGHFIVRHDGGRYIFGSTHDRSLRELEMDRNKLVEAWNEELMLCVRDSYVEMVLEFQKLRKDPLSSAIESRCAHSVSIILQAYGDRVYSFWPRSRQPTAALTGHGSTITNLNSPRTSKADWQSLIEQVIRPFYLRLADLPVWQLYRGNLVKVGEGMFLSHSGSGDDDNLPSATVCSFIKEHYPVFSVPWELVSEIQAVGVTVREIRPKMIRNLLKESSTILLRSIETYIDVLAYCFSDMDPYRFSDLHRPEQSHLNSQLAEPLNSSVPHFMPSSRSSLSYHTSTQRPGTSGGDALEIMTYFGKALYDFGRGVVEDISNTNGPASHRAQAGENSVLSSIISELKGVPFPTSTKCLTRLGATELWIASEEQQLLMRPLLDRFIHHQCVEKPFLALLLSTQVIHVPLKLRSFSPHLLSGHLKHLFDEHWVRAVERKPQWIPWVNNADSSTTGPTPKWIRSFWKIFSSLNGDLSLLSDWPLIPAFLNRPVLCSVKDCHLIFVPPMDDSTTRTSHVSGVVDDAAREVDTSGPQGDDTGEAVQKSALDTAFESMNSKFPWLTALLNQLNIPIFDLSFPECAAICNLFPSRDRALGQMIASKLVSSKNAAHLPSSLSLSSEDCDRLFMLFVSEFRLSSRHLYQREELEVLRELPMYKTVTGTYTSLLGSDHCIISPTAFFHPSDSRCLSSSDDGNLFLQALGVEQLNDQEILMRFALPGFGSKTVQEQEEILAYLYANWKDLQLNPATVNTLRETNFVTNADEFSTELFKPKELLDPSDALLASVFSGERNKFPGERFMADGWLAILRKAGLRTSTEADMIVQCAMKIETMGHDVMSSSENHDDFNEDLSDRKNEIPFELWSLAESVVNVILANFATLYNSDFCQKIGKIVFVPAEKGFPCIGGKKGGKRVLASYSEAILSKDWPLAWSSAPILAKQAIIPPDYSWGAFRLRSPPAFSTVLKHLQTVGRGNGEDTLAHWPSSSGIMTVEDAFLMILRYLDKVWGTISSSEKTELQKLAFIPVANGTRLIAAKSLFARLTINMSPFAFELPSLYLPSVSILREIGMQESLTNSYAKELLLDIQKACGYQRLNPNELRAVMEILDYMSSGVNQAISDGSEGLFDSVIPDDGCRLVSATSCVYIDPYGSHLLSNINTSRIRFAHPDLPQNICKALGIKKLSDVITEELDGKEELQVLDSIGSVTLDIIKAKLLSKSLHDALRIVMIGITNHFPSFEALNLVQIETILKDISQNLQLVKHVHTRFLMLPNLQDVTRTAQHPSIPEWSSNGKHRSIYFANKSTGHILIAEPPSFLTIHDIVGIVVSHRLGAPVILPIASVFACPDGSEKEVLEILHLGTDIGVSKREGRYAGSLGAELLSQDARQVQFLPLRPFYSGEIVAWKTGKEGEKLRYGRVPEDVRPSAGQALYRFPVETAPGETRMLLSSQVYSFKSVSMADLSSAPFQLDGGRVEEAGPPGQSSISGRTEVAGDMAPGLEYGKVSSTELVQAVHDMLSAAGVRVDAEKETLLQTTLTLQDQLKESQVALLMEQEKAESAVKEADIAKSAWSCRVCLNSERQIQASKAEQSIPGAAMPALLLNTAVSLGNPKPSFSSRPSSRHHHRCQAASTSTGGSSSSSSSSSGGAGRGSSWATEYDLYSLLGVEPSSPHSEIKAAYRALQKRCHPDVAGTAGGSHDMAVVLNEVYALLSDPAQRQAYDREQAKRSEFQGYTGRPLYSSWRGAEAESRAVFVDEVACVGCLKCALHAGRTFAIESVHGRARVVAQWADPEDRIADAIQTCPVDCISMVERSDLAALEFLMSKLPRRRVRVSEANAAGSPDIFAEVRKFKARFQEMEQRSTTRQSE >cds.KYUSt_chr4.4142 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23750107:23761987:-1 gene:KYUSg_chr4.4142 transcript:KYUSt_chr4.4142 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAAVAYTAAALLCAAAATVIALGHIYRHLLHYAEPIFQRFIVRIIFMVPVYAVMSFISLILPDNAIYFTSIREMYDAWVIYNFFSLCLAWVGGPGAVVVSLNGKTLKPSWFLMTCCFPAIPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYEDGNFSPNQSYLYITIIYTISYSMALYALALFYAACRDLLRPYNPVPKFIIIKSVVFLTYWQGVLVFLAAKSRFIKNTEKAADLQNYVLCVEMLIAAIGHLFAFPYKEYAGPNARPSGGFRESLIHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGENTPAKYPSASAVPSSRDVELAGITVIPVTSSVPSNQVDQDESMTTPIRHKVDPPGGMYDLSDLLDVDLSSYPAKVPAISDVANPINLLAIAASLALTPHLRAQISLVSVLGGRRMGSVDASERTATGWAARDADGHLSPYTYPLRKTGPEDVLVKVKYCGICHTDIHQAKNHFGISKYPMVPGHEMVGVVEEVGPEVSKYTIGDMVGVGGIVGCCRSCRPCNANDEQYCSKKIWTYNDVYTDGRPTQGGFSSAMVVDQKFVVKIPAGLAPEQAAPLLCAGVTAYSPLKHFGLTTPGLRGGILGLGGIGHLGVKLAKAMGHHVTVISSSDKKRAEAMDELGADAYIVSSDGDQMAAAADSLDYIIDTVPVDHPLEPYLALLQLNGKLVLMGVTPAPLSFLSHLVMMGRKTITGSFIGSMQEMEEVLQFFADKGLTSQIEVVKMDYVNQALERLERNDVRYRFVVDVAGSNIDDAA >cds.KYUSt_chr6.21206 pep primary_assembly:MPB_Lper_Kyuss_1697:6:133860276:133865730:1 gene:KYUSg_chr6.21206 transcript:KYUSt_chr6.21206 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDLESQANGLSKIQKGTCYISKNHEVRKQFTIQVGENELVLKELELLNDGANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEEKQNSKKESIIRLQQKMQAVQAKG >cds.KYUSt_chr3.3975 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22602424:22602857:-1 gene:KYUSg_chr3.3975 transcript:KYUSt_chr3.3975 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEGALLVAGRPSAASAAATTIRLPSDTQAGSTRPWDCCNFALCTRSIPPWCRCMDEVDHCAATCKDCAASTSDPSRHICQDMFIGFPGPMCTVEANNVGS >cds.KYUSt_chr3.11962 pep primary_assembly:MPB_Lper_Kyuss_1697:3:71345360:71345629:-1 gene:KYUSg_chr3.11962 transcript:KYUSt_chr3.11962 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTGKSRAVPAAGGGGNGVAAAGGSLSYRPGLVPAPEDTMTKEARAMREAEKADLEKKIASAKDEIVALEAELAEMDAAAVSEPTKP >cds.KYUSt_chr6.5816 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34931810:34932283:1 gene:KYUSg_chr6.5816 transcript:KYUSt_chr6.5816 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSQPPVASSSLPCLVLDYGDKQRTSTMYSVTDGAHRPCDDAMDELLRGKKRSWVTSHGWVLVWDPATLATYLAREKNKIELPSLAKPPVKAADCVLSSEPTDAGGCTVLLAAKSSNVMWYCRVGDPAAPWSWVRQEYDVGNIHAPADYTSARQRG >cds.KYUSt_chr5.34021 pep primary_assembly:MPB_Lper_Kyuss_1697:5:216056849:216059293:1 gene:KYUSg_chr5.34021 transcript:KYUSt_chr5.34021 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSLLAVVLVVGFPIHGADALSGYQINCGAGAEQVAGNVTWLPDARFIAVGNATDIPSLPAAPMLASLRYFPDASARKHCYVLPAAKSAKYLIRTTYYYGGFDGGAAPPVFDQIIDGTRWSQVDTAADYAAGRATYFEAVVVAAGKALSVCLARNAATAPGSSPFISALEVVPLDDSVYNATDFASYALSTIARHSFGHDGSIISDGDQFNRYWQPYSDGVSPVVESQGSVSPGAFWNRPPEDVFRRGVTASRGNVLELQWPPAPLPTASYYLALYFQDNRTPSPLSWRVFDVAVNGQPFFAGLNVSAAGSMVYGAEWPLSGQTKITLTPAPDSPVGPVINAAELMMVVPLGGRTHPRDVIGMETLARGFANPPSDWSGDPCLPVGNSWTGVSCTEGPLARVTALNLTNFSVGGSISDHIANMTAISSIWLVGNNLTGPIPDMSPLHHLSSLFVQNNNLQGTIPNNLRNRAGIAFQYTPGNNLS >cds.KYUSt_chr2.43100 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268265125:268270441:-1 gene:KYUSg_chr2.43100 transcript:KYUSt_chr2.43100 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGISGRIGEYCGSSEFGEIAGDSSGKPGTLSYSSERELENWRRMAPKAASLLWLLMLFSCASAIDRSQFPPPSEFLFGTSTSAYQAQFKMEATAILLLTTTIVTWYVFRKMHRKPDDGRFLDPIILGDYPPEMRRILGSNLPQFTLKQRKKLRVTKLDFIGLNHYSTWYVKDCIFSPCELDPVDGDARVVSLAERDGVLIGYPQASDIGMSANDFTSDKGRIDFISGYLKFLASAIRKGVDVRGYFMWSLLDNFEWTSGYTARFGLYHVDFKTQKRTPKLSAEWYRKFLKGSLVTRKFQDGSQPQRNSS >cds.KYUSt_chr5.42590 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268292650:268296988:-1 gene:KYUSg_chr5.42590 transcript:KYUSt_chr5.42590 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRCPSGDGWRSYRKHGHHPPAEEEDGFTALLDPPLIDTPCTPPAPAAQHPRRSMKPSAAAPPSKGKAAFELKHRLAQALDKIGDRDTYQVGLGELQSAAAALSPDTVGPFLACVTDTGADQKSAVRRECVRAIGALARSHGSLLAPHMPKVVASVVRRLRDADSAVRDACVGACGALSVCAGEYGDGGAGMVALVRPLFESLGEQNRYVQAGAALCLAKVIDESSYFPGPVLPQMLGRVVKILRNPHFTAKPAVIELIRSIVQAEGATTEQALSSALTSIMDSLKSSDWTTRKAASLALSSIAVSSGYLVASFRTSCLRSLERCKFDKVKPVRDAVTHAIQLWKAIPGSDTPEPSEAGSSTKENFFSDRHDARSVHDGESRETSFRRVDPTPSASVISGSSITSVKKRSPLSVNKMPQNNASNQQRLQSSDWHVEISVPKQNTMPDLGKKGYGIDCKLKYAKGGAYEIVDEDGKSDYDPMDDKQECSSLSEVASRSCETKHVTSALEVTEDYDSAQVTELCPRVRESKSIDSSVTAVTSHGTHTCCLSATKELALIRKQLQEMERKQANLFDLLQEFMSNSVENMSVLNSKVHNLENAVDNTVYTVTQSESRYRLPGSKVFNNQIVSSSPRLSNLTPRPSVDTNFKPPAIPRLKHEKKWAHDVPSRGTSACPKEGPEFLKGHPRNRIVKSGAGSLEERHIPCSVRNRASGIKGNFQVPFTSSCDQIDLQNAPCAANQVGEFHGADSMEPAYAEALSYGDYDDLIDLMDRTGPVLDKLSRETASDLLRVIAGQFLNTKLFELALPWIQQVLDLSTVYKPSQVFVSVRAQREFLSALEEAASSGSTEPAIRIAIVQLTFKLTKVCEAVPCRKISTRVSRGTESIMAAAM >cds.KYUSt_chr7.1672 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9518285:9521246:1 gene:KYUSg_chr7.1672 transcript:KYUSt_chr7.1672 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVYCGKRPSSIFADDLLPPSSPSSHHHHSGAPAPKRSRFSPPPHHRRDALLGQLRAAFPAMDPQLLERALEASGDDLDSAIKSLKELNLESTQAILSATASENGQPTAAQPSVEGTITNGGLDTATEHQPAADSHQATNSGPEWVELFVREMSNASDMDDARARASRALEALTKSIVDGAGAAAAQSLHQENMLLKEQMTAILSQNALLKRAVAIQHERQKEYDERSNEVQGLKQLVLQYQEQLRTLEINNYALQMHLKQAQQSNSMPGRYNPDVF >cds.KYUSt_chr2.1661 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9838354:9844916:1 gene:KYUSg_chr2.1661 transcript:KYUSt_chr2.1661 gene_biotype:protein_coding transcript_biotype:protein_coding MERTNLHASHILTCYTSVDTIHLANASKRKYIYFARRLPSLPPSKIIAANGRTRIQVIIEAPVDELHTPLNNATIFTLCLRKWLILAISRTAGGGRRQPRLATPLRNDIIEYKVYYKGRRFTIVVDTPRLLYMWLMVVLKESEKTNIAERAACNNVLNVKPVVEKVSTTIATTFLWLPPYLILLSPLNLLTPPQPLSAAPRYSSHLAAQRPATPPHPPNSLATRHPHPPNHLRPCFWRIRHAQDVGVKNFRLVLFLAIRSPVDLGCWRPFAPSCLDAPIAGNDTSSCRYWRWSWRTPARRLRPASVELAAEMQGAGDGPHLHGEAAAVRDILENLPELRVPPTSCCRRPFRLAVSATP >cds.KYUSt_contig_2792.164 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000505.1:749969:755524:1 gene:KYUSg_contig_2792.164 transcript:KYUSt_contig_2792.164 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSHSRIYLPATPASGERLGVVAVGERCSGMGSKEERLMAFGILNMEKRTISAVRFITQKQWFVAGDSNGYIHVYITTTYNVKKFQAHASYINSLAVHPIDPFVLSSSDDHLIKLWNWENEECIRTFQAHSKEVESVKFDPLTTSNTFASASRDGTIKIWSISLDTPITTLECGAELTSVHYLFVPGSHRHIVTGSSCGTASIWDLERKTCIQNIKGLQEKWSAVAVVDCLPGHPILVTVSEDRIVSFCNSTTHRYQNMVDFELGLIMDFAYIKDTRRSKEDMTPTIMAVFCHVDGEILVRVKDVATPFGCATFCKGCRADLPNNRQTLL >cds.KYUSt_chr1.10914 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66756116:66760738:1 gene:KYUSg_chr1.10914 transcript:KYUSt_chr1.10914 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSRGCANSWPASVAAALLVAAVIAGALRWAKKRRSANGTARARLPGGSLGWPIIGETLAFISAAYSPRPESFVEKRRLLYGKVFKSHLWGSPAVVSSDAEVSRAVLQADASSFVPWYPRSLMELMGESSILVLGGGLQRRVHGLSGAFFKSPQLKARLTAGMQRRVAEAMDGWRRRCGDDGGGAVVVRVQDEAKSIVFETLVRALIGLEPGQEMQFLKQQFHEFIAGLISIPIKLPGSQLYRSIKAKKRMAKLIQKIIQEKRENGVYQAPHDMIDVLMNNGSDQLTNELISDNMIDFMIPAEDSVPVLITLAVKYLSKCPLALEQLEEENMELKRRKSDVGETLQWTDYMSLTFTQHVITETLRIGNIINGIMRKAVKDVEVKGQLLIPKGWCVFLYFRSVHLDGHIYDDPYAFNPWRWKERDIMAGSSYFTPFGGGQRLCPGVDLARLEASIFLHHLVTSFSWVAEEDTVVNFPTVRLKRGMPIKVTPKT >cds.KYUSt_chr4.9810 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59317814:59318646:-1 gene:KYUSg_chr4.9810 transcript:KYUSt_chr4.9810 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIKIKVDLDCSRCHRKIERVLDRIREKGEFVIDDIEYDEKNNIVIVKGPFDADRLADKLCCKACKIIKQIEIVEPPPPPPPEPEPEPPKKEEPAPPPPEPVVEQPPPPAVVEPEPPAPEPVCEPEPPKKEEPAPPPPEPEVKPPPPAPTKVVEVPYPWPYPYPYPMWPSDCCCHHGHGGCHCCSCKDEPAPAPAPVAPPPQYNIYPQYPQYPQPAYPCGGYKIVCEEDPNYACSIM >cds.KYUSt_chr7.31488 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196140316:196148208:1 gene:KYUSg_chr7.31488 transcript:KYUSt_chr7.31488 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDNCDTAAALAKYHESGGGVRGLVESGITTVPPYFWVPAPGILGSTPVLKTTTFAIPTIDLSLPRTAVVSLVGEAARTCGLFHVTNHGVDAGAAVSAVRTFHELPLASRSPLYTLAPVRGVTYSTMPYAPVCRDALVEHHHSMEEFGKEMAGILLEALGVGPERLDVEGWIMAGHYYPPCPEPELVVGSLEHTDPCVLTVLAQDDVGGLQFRLDGGSNDRSWVDVPPVPGALVVNIGDVLKLVSNDEYNSGVHKVRIKSDQDARVSVATFFNPPKRGDSDLFGPLPELVTAEKPARSTMVSNNKDKEPFEKGIQDPEFEEEVESEDEEEVEEDSRAYPRVTVASIGVVEDPFNPKKSARIRTGGKVPRHFLAPRTSPPGIYNPFHTLIHNSQIERIPKAVLPSIWDMDRSNTAGRMKPEAEEWGNNSKSWDSPSDILLSRVEHNSEMIRNLIYEIDELKELVKKLIKNSSPAPPKE >cds.KYUSt_chr6.25349 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160586477:160590449:1 gene:KYUSg_chr6.25349 transcript:KYUSt_chr6.25349 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLQLSCIQIAIALLLFTQAKSTTEERFAHTNGMITSCIASERFALLTFRAGLSDHGNLLSSWKGDDCCRWKGIYCSNRTGHVVRLDIRGTDCRSGDGPWQVLAGNISSSLLDLQHLHYIDLSCNNFNKIQIPEFMGSLHKLRYLNLSRSNFIGRIPPQLGNLSNLRYLNLETLSYNIYSTDITWLSRLTSIEHLDMTGVNLSTAVHWLPAVNMLLTLKVLRLSFCLLGSSPDSLQLTNLTSLETLDISGNDFHKRSTPNWFWDLSGLKYLDISFNYFYGPFPDEIVELMKVVNLQYLDLAYNYMSGTVPKTIVNCTGMAQVRDNADDLRGAFTFGEGDDGEGLITENLTVLIKGQERLYTGEIIYMVNLDLSCNSLTGEIPEEISTLAALKSLNLSWNNFNGKIPEKIGALMQVESLDLSHNGLSGEIPSSLSALTSLSRLNLSYNILSGRIPTGNQLQTLEDPASIYIGNPGLCGPPLSRKCASQPEPIPGDSHGDASNEMVSFFPAMGSGYVMGLWVVFCTLLFKRKWRVSWYSPYDRAYVQVVVSWASLRGFPLQAKAKHIQDQLQDEVKNMMSVQLRPPTSGGSRYAARPQPLLAPAHRLLQSIRSHASVFWLPQGTGMVSRVVAVSTESVYTLLRHHLAALVPFLGAAPYSAS >cds.KYUSt_chr4.41839 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258703662:258703973:1 gene:KYUSg_chr4.41839 transcript:KYUSt_chr4.41839 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr1.9720 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59430087:59430641:-1 gene:KYUSg_chr1.9720 transcript:KYUSt_chr1.9720 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRPFIWVAKEADDLDDGFDERVAGRGLVIRGWAPQMTILSHPSVGGFLSHCGWNSTLESLSHGVPLLTWPDFADQFLNETLVVDVLGAGVRVGVTVPITHKLLNPDAPAILVGRDEIKRALTELMDEGALIRARAKELATMAKKAMAEGGSSDRDLGEMLRHVGELAQRTEKGVPATSWRA >cds.KYUSt_chr7.26925 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168273201:168276997:-1 gene:KYUSg_chr7.26925 transcript:KYUSt_chr7.26925 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEDAAGGKNLALASICFPEVLAVGGGGGAFQGDGLLGVRLAKDDYLYGETAFGLPDVGAAVRTFLRRREIAEFVSGAMAGAMTKAVLAPLETIRTRMIVGVGSKQIFGSFVEIMEHNGWQGLWAGNTINMIHIIPTQAIELGTFECVKRSMTSAQERWKEDGCPKIQLGGLKIELPLHLLSPIAIGGAAAGIVSTLVCHPLEVLKDRLTINREAYPSIALAFNKIYRANGIGGLYAGLCPTLVGMLPYSTCYYFMYETIKTSYCRTHKKKSLSRPELLVIGALSGLTASTISFPLEVARKRLMVGALQGKCPPNMIAALAEVMKEEGLKGLYCGWAASSLKVMPTSGVTWVFYEAWKDILLSPQLIT >cds.KYUSt_chr1.40828 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250441690:250442436:1 gene:KYUSg_chr1.40828 transcript:KYUSt_chr1.40828 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTALASELDRLQAMARELKASVVDKNTPAAARELCGELAASVDRAVRLAGNGNAKNSGHPRNSSKKSTAAAKVRKQVRVASMQDTAPLDDGLSWRKYGQKDILGAPYPRAYFRCTHRHTRGCQATKQVQRAAADPLLFDVVYHGDHTCAQAPALSLLSAEQQHAFGQQEQDSPAAAPEGILQWPLEPVTPPGAIDGWTSPAGSWYQHAGSYGYAAGAGLGTDMDFEAQLDEFLNPTKFFQPEIHSL >cds.KYUSt_chr5.18210 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117595291:117601040:-1 gene:KYUSg_chr5.18210 transcript:KYUSt_chr5.18210 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPHLGKLRREVKEEALDADDPAAAAGAEASPFHKRSRLTQQQQQENPQSVQWSTGGASVSNQQQPSQHDFLEEPSPLGLRLKKSPSLVDLIQMKLVQAGKAKDARHGGTVSASEKLKASNFPGSVLRIGSWEWVSRYEGDLVVKCYFAKHKLVWEVLDGGLKSKIEIQWSDICALKLVCPETEPGTLEIALSRQPLFFRETNPQPRKHTLWQAASDFTSGQASMHRRHFLQCAPGMMNRHMEKLVHCDPRLLSLSQKNDITLENPYFESRCSLFEDPDDVKCQDFDPNGLDDDQLGPQRFRELLAHHSAPTSLDVEGRQEAGASDSLPRHFSNSVTGSEMIKQDGASGMCEPQTSILSWNGFKLPGIRRSMSKSEIANHIYRQMYSGNLPTAHGGAGTSGKLVLDDITRYLLSDSQIINGGHDSAGELAFDEVTRQLLNDSQITASADERMLMSRVNSLCSLIYRDSGESQVNAGVHGDNEMYERKPQPYAPSVGAEEGSGSLPPRQEPFGDLLTHLPRISSFPHFL >cds.KYUSt_chr7.17981 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111540392:111544945:-1 gene:KYUSg_chr7.17981 transcript:KYUSt_chr7.17981 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMILKGVMINAEEKGKGRDTIYDIFRKWNVNCCRGLPLGGIGAGSIGRSYRGYFQHFQIFPALYEEKLILANQFSAFISRPNGKSYSTVLSAPSADDLKGVDKAAIGSWDWKLKEKNCTYHALFPRSWTVYDGEPDPEIKITCRQISPFLPHNYRESSFPVAVFTFTVHNSGSTAADVTLLFTWANSVGGQSELTGNHTNSKMIERDGVHGVLLHHRTADGHPPVTFAIASQETEDVRVTDCPFFTMGSSNSGDFTAKNMWEEIKKHGSFNEARTDKEPRVSKPGSSIGAAVASATTVPAGGTRVVSFAVSWSCPEVKFPDRKTYHRRYTKFYGFNRDAAAESLAHDALLEHLDWESKIEEWQRPILQDKRLPEWYPIALFNELYYLNAGGTIWTDGLPPKISVASSGTGTEPFSLDVFHTDLQGTATGTSKTTADGVLREMTSVTENLHSAAAFGAALLGDSEENVGQFLYLEGMEYHMWNTYDVHFYASFALLSLFPEIELSLQRDFARAVLLHDPRPMRTLNGKTVPRKVLGSVPHDVGLNDPWFELNAYMIHDPSRWKDLNPKFVLQIYRDVVATGNVAFARATWPAVYLAMAYMDQFDRDRDGMIENEGRPDQTYDLWSVSGVSAYTGGIWVVALQAAAAMARIIGDGDAECYFRARHQRAKRVYSDELWNGTYFNYDNSGGKTSSSIQADQLAGQWYAHVCGLEPVVEEEKARRALGTVLDYNVMRVKGGTVGAVNGMRPDGTIDMSSTQSKEIWSGTTYAVAAGMIHEGMLEAAFRTAKGVHDASWSKDGFGYAFQTPEAWTAEGGYRGLHYMRPLSVWAMQWALSPPELHKDLGPLSSPGDASIGQEKFEKVASMLRLPEEVEHQGFLRALCHIIRQLVFPA >cds.KYUSt_chr2.7960 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49866001:49867779:-1 gene:KYUSg_chr2.7960 transcript:KYUSt_chr2.7960 gene_biotype:protein_coding transcript_biotype:protein_coding MDPANDARRAEARAAGILRLLMGMAAGAGAGAMPGARGVMLVQHVLVGGDGTGDLFSGGVGGGVPPASKAAIAALREVRAEQEGDCAICLDAFDAGKEMPCGHRFHGHGECLDRWLGVHGSCPVCRSELPKPDPAAAEEQQQQNGDGGGEGQGHRGAVLLSFLVMGAPQQQEEEEPMEVEEPWNIRVEDVVAAIHALSSEHEVATEIKTQLLCCEKHIQSSRLSAHANAHATGFASAVFLDSIFKRNNRINLARPAVDATMTPDGSTIHSTNIRQHPAAAPKTMPQEVERCRARRHRPIQETRT >cds.KYUSt_chr3.3816 pep primary_assembly:MPB_Lper_Kyuss_1697:3:21682648:21683178:-1 gene:KYUSg_chr3.3816 transcript:KYUSt_chr3.3816 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLGPHLVVMGAAIVVRLWLLSLLCSLLGWIGLLLWLCHWKLMHNSFFEADARRREIWLGKCPVVFAPSPFTYSSSCAPGPYVDYDDYCPDDWPLPSGVFIDDDGYSDPSTSVSDLSDCVGCLWLVMVLQRMASVPLHFP >cds.KYUSt_chr6.32253 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203511295:203513228:-1 gene:KYUSg_chr6.32253 transcript:KYUSt_chr6.32253 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSFRSIVRAPPPPRGLARRSVFCCSTAPGGAYTSKLVMEVKERLEREHPGLPTGRSGRDDDEMILWFLKDRKFAVDEAVSKLTKAIKWRQDFRVSELSEESVKGLYQTGKAYVHSSFDTSGRPVLVVVAAKHFPSKQDPHENEKLCAFLVEKALNKLPTGTDNILGIFDLRGFRVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASL >cds.KYUSt_chr5.3853 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24945609:24945911:-1 gene:KYUSg_chr5.3853 transcript:KYUSt_chr5.3853 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLASHLLAVVVFLACSVAGHTVHGAPEPAATTADCHALLSFRSLIMGDPSRALASWTSTTTTATNISSAPPPCQWRGVSCDMRGSRRGRRHRQGDV >cds.KYUSt_chr1.25865 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155610767:155614900:1 gene:KYUSg_chr1.25865 transcript:KYUSt_chr1.25865 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQEAKSRLRGLDAHKANVAAGKAVARILRTSLGPKGMDKILQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVLAGSLLEQAEKLLERGIHPIRIAEGYEMASKIAFDHLERISKKFEFTAANIEPLVQTCMTTLSSKIVNRCKRMLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIAVDKDMSHPQMPKRIEDANIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRGQEQKYFDEMVQKCKDVGASLVICQWGFDDEANHLLMQRNLPAVRWVGGVELELIAIATGGRIVPRFQELTRDKLGKAGLVREKSFGTTKDRMLYIEKCANSRAVTIFIRGGNKMMIEEAKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADRYAGVEQYAIRSFADALDGIPLALAENSGLSPIDTLTEVKSQQVKENNPHCGIDCNDIGTNDMREQDVFETLIGKQQQILLATQVVKMILKIDDVITPSEF >cds.KYUSt_chr1.39607 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242361514:242363927:-1 gene:KYUSg_chr1.39607 transcript:KYUSt_chr1.39607 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFLKTGHGRDSRFSFRRRKSGKASPSPSSPLSVSSSEEITDPGSPMESSKAQTLPCARRALSRSSCGSRGKLSVDLIPPPLAGGPSDAPRPSTSAPPPKPAPRHDGPPSDYEIVREKFSKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLQPMAPEQKARWTKEIDWLLSVADHIVEFVPSRQVSDDGSTMEVMITQQRKDLQMNIPALRKLDMMLLDYLDSFSDKQEFWYVKKESNDSEKSGDAPTQGDKWWLPTVKVPPNGLSDSSRRWLQHQKELVNQVLKATMAINANVIMEMDVPDAYMESLPKNGKSTLGDSMYKLITDEYFDPEELIATVDLSNEYNIVDLKNRIEASVVIWQKKMTQKDTGKLSWGHGVSHEKRGRFEGRAENVLLLLKHRFPGISQSALDISKIQYNRDVGSAILESYSRTLESLAFTVMSRIEDVLHADSLAQDPKNADAMRMPSLQSDDTDTVVQDAKDEVERLGRMEPLNSTLFDYVGPRDGDIQSMIAESDDPQDAKVTKVSPIATKRFSYLDKLENLGGTRSPISRH >cds.KYUSt_chr2.43819 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272823501:272824106:-1 gene:KYUSg_chr2.43819 transcript:KYUSt_chr2.43819 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAASSAYTRYETRRRDPNPGAAALLVIDVQGHFASLTAPAMPAIATTVALCRGAGIPVIYTRHVDPVPRSRPLAEWWAGDHIGAGTPAAELLPGAGRAAGDLVVEKSTYIAFAAGTGLEEALRGMGVEEVVVAGVMTNLCCETTARDAFVRGFRVFFSADATATASRDLHEATLLNMAYGFAYIVDCERLEAAFGKDK >cds.KYUSt_chr4.21625 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136123140:136125178:1 gene:KYUSg_chr4.21625 transcript:KYUSt_chr4.21625 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYSTAGEAEAALGRALTWAEAAWLRYSASVPDCYLHWPNIAITLVVYTLAPLPLVLLDLAAPEIAAPYKLQPKVQHPPAAFFRCYMDAVRVSLLTIGPYQLISYPAAKVYYTSCILNSVILEKLGSSHKKKRFLNTIMEIRTGLPLPSMGEIAAQLTVYFLVEDYLNYWLHRLLHTKWGYEKIHHVHHEFTAPMAYAAWYGHWAEMLILAVPSLAGPAIVPCHVTTLWIWFAARLVESLNIHSGFKLPFNAEKYIPFYGGAEHHDYHHYIGGQSKSNFAPVFTYCDYIYGTDKGYRFHKATLAKVAI >cds.KYUSt_chr7.2822 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16732978:16733370:1 gene:KYUSg_chr7.2822 transcript:KYUSt_chr7.2822 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTMLLLLSFLVATTTSSSRQTAHAARVVLHDAEAPAPGVPGYEDGTPGAAPAEAETPLSDLLKEWELGFVGEETPAPAAASSTSAQAPAGSASSSAVGILWRKIWWFDEPYYRPAPGSKNQWYPFTR >cds.KYUSt_chr1.31419 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190563874:190564245:-1 gene:KYUSg_chr1.31419 transcript:KYUSt_chr1.31419 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKPLVAIALLLLVALLMVADAQPAPKIDCPSACKARCAKNDRKNEMCNKDCNICCGKCNCVPSGTGQDTRYECPCYANLVNSKNGKPKCP >cds.KYUSt_chr1.4265 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25970262:25973672:1 gene:KYUSg_chr1.4265 transcript:KYUSt_chr1.4265 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMGGSSILPAPTVATTALVLLIVLFASPATVAKGSGLSVGFYKKLCPKAEKVVRRTVTKAFEKEPGTPADIIRLFFHDCFVRGCDASVLLESTPGRMAERDSKANNPSLDGFEVISDAKETLEKLCPQTVSCADILALAARDGAYLASGLDYAVPTGRRDGLVSKEDEVLPSVPHPDFNHSQLVENFTAKGFTAEEMVTLSGAHTIGTSHCSSFTDRLYNFSQGGALTTDPALPAAYAALLKEKCPPETAAQNDTTMVQLDDVTPFVMDNQYYKNLLAGTVPLGSDVALMESPDTAALVELYAREPAEYWAKRFVAAMVKVSEMEVLTGAEGEIRLNCSKVN >cds.KYUSt_scaffold_2697.921 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:5834599:5838802:1 gene:KYUSg_scaffold_2697.921 transcript:KYUSt_scaffold_2697.921 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRKGGFKNKIKAVAELVGLSSGSSSQTRPPSAPPSPPPRRKNAQPRRLRTPSPSPPPVEDDDEEQWGGEDEEDGKEHGGQDEEDGGEDGGEDGGEELEEDEGLGGLLQELNPDLAWYPPEEEVCVAAEERLEPRDKKPYKRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRRPTREYSNILGGLIRKHFPGIVNLPSGGRDVAWTWKHYSYAEDPSGKCTNMQERVVRHFWKYFKRAEGEEIASDVILHELCRVRVTGMHYEARVQCVRDWHAERKVRMSKADCRDTLMAPWQYLQNPPQYIGEDKACFLAMVIWWTSPEYARKHEEGKLKRLEMGGGSHVLGSKNLALTLQQEEVKTCVTPNLFGLFQKSKTRREPHPETGSVWVNGLAEAQCGAYRSKFKAKHGEDADPTTEDFDVEVAVLAGQGKKGGRLWIADGLVDPKTIPSLRQIRRGRGDGRKGTEEPRAADADAAAALGEHADAAADVAADATIAADVPADIPTPDPAVMALLQQAPSQTPLTPGLTVNNTGIIRSLQQFLEAKKEEPTTDLQDYYRGGGGYPGRGGGGGYYPYPGRGGGGYPGRGGGGGRYCRWGCCGRGYYGGCRCCGRFDEMPEPMYRPEAEVHN >cds.KYUSt_chr2.50865 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318082910:318086116:-1 gene:KYUSg_chr2.50865 transcript:KYUSt_chr2.50865 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVAAATSRAVEPHRNASPEPSSQAPSSSSSRAAEAEAGPVSFDGGAEEGELHLDSPWVAPAEADSRLEEAAAAAGLRLCAENEAEADEIRDNLLRQDDEICEMLDTIWAELPGEEVVYRWVEWIHTSSLPHLGFDNKITLGPDIPKHKGDSRAISRSLSLESVIPLMLSYNSRKCHQVFLEDLHMCMICLNQSKGSNFIKLPCEHLFCVKCMETLCRMHVKDGTFFQLVCPDTKCNVSIPPYLLKRLLGKEEFERWDKLTLEKALDSMSDVVQCPRCAISCLEDEDSNAQCPKCCFVFCSVCKDPRHPGKLCLTLEEKLQRQQASGKMATRGMVEDMISVKLLYSNARSCPKCQMTISKTDGCNKVVCSSCGQTFCFRCGKAIIAGYAHFSGRCDLFHHKEKDTTDWGKLLEQLETRNRDDDKYIFCWSCQASYCTLCKKQVQFAGEQSEHWGSQDCVKIKF >cds.KYUSt_chr3.28045 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175032539:175036019:1 gene:KYUSg_chr3.28045 transcript:KYUSt_chr3.28045 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVEASWPVPSGCHGQRDVNTQEHKSSSLEQEVLVWLSGSNSPVDWLQESQNGVLCAPSLTKSLEVHAGVNVKAKWDDAEPTEDESAGGDTAASIDPLEDDALWGIDGAASQRRQAAFPEMGNNRRCRR >cds.KYUSt_chr2.40745 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253205636:253209142:1 gene:KYUSg_chr2.40745 transcript:KYUSt_chr2.40745 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPQLLGLLVVLLASARAVSVSLPALPLSTSSRWVVGADGRRVKLACANWASHLEPVAAEGLSRRGVGDIAARVAAMGFNCVRITWPTYLATNATLSSLPLRWSLQLLGLRESAAGVRVNNPDLLDLPLIDVFRVGWCCSRSDGNTFFGDKYFDPEEWLKGLSAMATMFKSTNNVVGMSLRNELRGPYQNVSLWYRYMQQGAEAVHAANPNVLVILSGLDFDNTLSFLFTKKVELSFTGKLVFEQHWYGFSDGTNWDHQNQNDACGVSVESIRTKGLFLLQQGWPLFFSEIGFDMSGTHTSDNRYLTCFLSVAAEMDLDWAIWALQGSYYIREGILAYDETYGLLSWDWCTARNPSFIKRINPLQSPFQVEVYQLRPPFCSCRLLDPGLQHQVHHGSNLKTTRLDIPKDQLFKPIGNGRL >cds.KYUSt_chr6.33843 pep primary_assembly:MPB_Lper_Kyuss_1697:6:212201675:212202671:-1 gene:KYUSg_chr6.33843 transcript:KYUSt_chr6.33843 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRPRHTPPPTTARHRIPSARRNAAMRERTALNGRSRHLRPRRRMCGGPTCWVGYPLRRRRPHAQPRRRCGSQSALTVELGRGEHRHAPGEAHAYVARVDGRVEAVAGVGEEEEQQIVGGGEWLAAGEARPCQRHNGGEGGWRTRQGRAVGFAELDDALLEALDVVRVQGVPDVHTRCRMQNALGSGRGGQGLAVEEEHDGALHGLHLGGDVAALEIRLDLVGHGGGALVDTSTYGLKKL >cds.KYUSt_chr1.41822 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256424015:256430552:-1 gene:KYUSg_chr1.41822 transcript:KYUSt_chr1.41822 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHEERVEPHDSRFGEDSRCVFFNGALHLATCDSRSAYMLDQEGKLIRWIVAVDTEGKTWRRIQMLHRIDSSGIIGQSQGRLCAACIGRENGCQLSVWVLEDYASGKWTLKHTASVLELLGRPRLQTGELYMLIAIHPEHNLIFLEGGVRPEETLMSYDMDSKKLQVICSLGESQAWRFQPYIPCFAERPSASDGHEQLNICQVGMTPVPYEIQMLAAADDRWGPGDDVAATLANHPRTHTRCSTPCTDGDHCGPAWRARANERVPGSLRGRVRLVSSTRTRGWRRRFQEVAGASPAAGSCGGAFRLRGEEKQNGGKKEKGDVQKVCELTRELNVQTRWPERNQRRRNGRKTAAAGGDGEVDFVAVEPSRLDSSHQEEEDVNRKLLVTLVRRGATETGGAMARRRWRSRWLAERKKKRGKEVAARGREKVGARVLQGTEVRLKGEGSRCGVVGEEDHCILTHTA >cds.KYUSt_chr3.31299 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196672171:196677358:-1 gene:KYUSg_chr3.31299 transcript:KYUSt_chr3.31299 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAMALRSTVDRCFGHHSPLTNVIQSTFSANACSRWGSLARTFSAKPIGNEVIGIDLGTTNSCVSVMEGKSAKVIENSEGTRTTPSVVAFSQKGERLVGTPAKRQSVTNPQNTFFGTKRMIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQFSPSQVGAFVLTKMKETAEAYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSVLEISNGVFEVKATNGDTFLGGEDFDNTLLEYLVSEFKRTDDIDLSKDRLALQRLREAAEKAKIELSSTAQTDINLPFITADATGAKHLNITLTRSKFESLVNGLITRTRDPCKNCLKDAGITAKEVDEVLLVGGMTRVPKVQEVVSEIFGKAPSKGVNPDEAVAMGAALQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLISRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMATDNKLLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATAKEQQITIRSSGGLSDSEIEKMVREAELHAQKDQERKALIDIRNTADTTIYSIEKSLGEYRDKIPAEVATEIETAVADLRAEMASDDVEKIKNKMEAANKAVSKIGQHMSGGASGGGAAGGSQEGGSQGGGDQAPEAEYEEAGAFGRNNTPAVTSGDEVEEGDEGDGGIRDEHLGKEVEISSENTGPGSQSGDGEEEDRYDGGSRKKRSRKSYHRHTAEQVRVMEG >cds.KYUSt_chr6.20264 pep primary_assembly:MPB_Lper_Kyuss_1697:6:127667598:127667978:1 gene:KYUSg_chr6.20264 transcript:KYUSt_chr6.20264 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNGVKVTYIETQFVTSDAASFKSLVQRLTGKSAETTEPARQLHRPRPCRPGTDGRGAIARAPSYSMPTAAGSASTAPDDVRRATPGASQLCLDERHGLSDYSELFYVAGPSDRRHGSSYTDLLY >cds.KYUSt_chr1.34248 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208396993:208397594:1 gene:KYUSg_chr1.34248 transcript:KYUSt_chr1.34248 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDSIWCLKKSPSFRSNCDRPPFFDDVDDEEDDDVDVALMPDPPMISDSIHIVDHEQLPQSLDSNMTQLQAHNISYSTVASSDITWQSPTECKVLAMAGKQFSILTGIAKAFLLPSHTEKYGFW >cds.KYUSt_chr3.37663 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236940699:236945087:-1 gene:KYUSg_chr3.37663 transcript:KYUSt_chr3.37663 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHCSVRGFSGGGTPMPFTAIFQPPLLGSRMSGGTGYWAFYSAHASGTVRLPQPKPFAAAILREDELDIFWSGEEKIWEGGGGGEEMVSGGEMGLSFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >cds.KYUSt_chr3.10066 pep primary_assembly:MPB_Lper_Kyuss_1697:3:59476977:59482478:-1 gene:KYUSg_chr3.10066 transcript:KYUSt_chr3.10066 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPVDEVAMMLAGYGQPHGRPACLAGGFKPQRNFTQIKATLPSGSYATSSRTTCRSRVEVDTQLEEAYAVAYEEYLEKIKEHDLVKDAYVQWTSNQMASFTRFMMTGVREEPLPEPPHPGPTPVFPSKEEFYIMYKRQRQLTPGLGESGNDTPCVIRGFEEFEWSTTPLSVSWHRRGISVVYIPGLHGDPRPQPAAKLFFPITGYEWFALASLLCQTLNRNCHHCTLLKNNPNGGDSSDQGEATEEEGHEDHISGERQ >cds.KYUSt_chr1.1070 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5722577:5725169:1 gene:KYUSg_chr1.1070 transcript:KYUSt_chr1.1070 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTEALENQVKSKVTVKGHLHTYRFCDNVWTFILTDASFKNEETTEQVGKVKIVACDSKLLTQ >cds.KYUSt_chr4.647 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3418399:3423723:-1 gene:KYUSg_chr4.647 transcript:KYUSt_chr4.647 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGLDLLQGLVRSYLNNRYQEETSIARGGEEQLDMKTDVKMDVKLDMELDMKISHGRAREEREACARGEEDVQAGPAPAPPLPVDVAVAEIQQLKARVEDVSKRNARYNLLGDDNSMPPNQLIPTAAVESSSPFDVRSQVWQAAGKLRGTGDLKKLITSEGEDRQVISLWQGSCSTGAVAAHHHHLEATYIIKKAYDDPEIYQGFKSRAWIKLMHPFDPDEFLKTLLALFYPPSFSNHQSRGAELRKKMRAAVTEEDDSTKAELMRQVSDDRRYLLVLEGVSTVVDWEAIRMYLPDCNNGSRIVVSTEHLRIALLCTREPYQVSQLTRFSDGQFLCAFSKKGSVRRSDIGEFNWQITRGGVISVAGNSNRFEGVLPEVYKRIRGKRKGFDGVVFEEHNWVDVPRPFDINKFAVVLFLNFQSRDFQAKEIEEVGRKGDQGVIERCCKYLHENDCLVVINGLEARKDWDEIKTTFLSKPTKWTRTKSSIIVVTEDETVAKHCAPDQPNRLFDINALEQDEVLDRLIKKDTGITTRGVEYFGRAPLFYSRRTEADFYANFEYADRRLKEFTTLKEQLLNPNPSVISVWGRSGVGKSTLVRRIFSRPVLTRRWYGCAASSWVDVPNSFDLADFSWHLLLDFQSTHEEKVAAAAGLMKGQDPIQACREILNEEEYIVVIDGLQSKQDWDIIRKTFFSELHTSRGSHIIVITNEKSVAKHSVDDKKDQLLKVKHLRDGDSIGLPLGLSPDTLVKDD >cds.KYUSt_chr4.43244 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267908156:267915982:1 gene:KYUSg_chr4.43244 transcript:KYUSt_chr4.43244 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLAGDLTDPEMAQPYMKKDDDDSDIEYSPFFGIEKGAVLQEARVFNDPQLDARKCSQVITKLLYLLNQGETFTKVEATGVFFAVTKLFQSNDAGLRRLVYLMIKELSPSSDEVFIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGNLLTQIERYLKQAIVDRNPVVASAALVSGIHLFQANPEIVKRWSNEVQEAVQSRAALVQFHGLALLHQTRQNDRLAISKLVSSLTKGSVRSPLAQCLLIRYTSQVIRESMNTQNGDRPFFDYLESCLRHKSEMVILEAARKITEMDVTSRELAPAITVLQLFLSSSKPVLRFAAVRTLNKVALTRPLAVTNCNVDLESLMSDQNRSIATLAITTLLKTGNESSVDRLMKQITSFMSDIADEFKIVVVEAIRSLCLKFPLKHRSMMIFLSNSLREEGGFEYKKAIVDSIVTLISAIPDAKEMGLLHLCEFIEDCEFTYLSTQILHFLGNEGPRTSDPSRFIRYIYNRVILENSTVRASAVSTLAKFGALVDELKPRIFVLLRRCLFDTDDEVRDRATLYLETLSGEVAVGNSEKDVKEFLFGSFDVPLANLAASLRTYEPSEEPFDISLVSREAKSQPLQDKKAHGKKAPAGAPALAPVSAVDAYQKVLSSIAEFSGFGRLFKSSEPVELTEAETEYAVNVVKHIYERHVVLQYNCTNTIPEQVLEDVTVYVDATDAEDFSEVCSKPLKSLPYDSPGQIFVAFEKPDHVPATGKFSNVLKFTVKEVDTSSGEVDEDGVEDEYQIEDLDIVPADYMLRVAVSNFRNAWENMDPESERVDEYGLGARESLAEAVSAVTGILGMQPCEGTEVVPSNARSHICLLSGVFIGDVKVLVRLSFGLSGPKEVAMKLAVRSDDPEVSDKIHEIVASG >cds.KYUSt_chr5.42635 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268604227:268604703:-1 gene:KYUSg_chr5.42635 transcript:KYUSt_chr5.42635 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFWSVSPPLSSCGRWLTEVSLWALVGWYYERQLGAVPASPTISSRSLYGFVHAVQGLKLLLSLRGDAEGSKFFVLGCVVLVLRQGSSEASLGKAFVVALSWLPPLMVERRPSQHLSPATVSSDRQMKALSNLQATKPTRRPLCSQLGMLPVLCPKC >cds.KYUSt_chr5.22917 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149676613:149686016:1 gene:KYUSg_chr5.22917 transcript:KYUSt_chr5.22917 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVQQSPNDKSGLGFKSNNKNKSKNNNNKKGQVQVKDPAKIVCFKCKIEGHHVRSCPLKKKQKGKRPQAQTHIQPQVEEIPLPKKNQANAPIVEKSSEKKEKKRTCYICREKGHISSFCTIGTSSNSISIDDVYSLCHLPMHGFAPLMDTVAACRAPGPLYGENGSEIREEQRIAEMLLAKGSLDLVLVPCGLAIMLGYHLILLYRILRRPGTTVIGYENHNKLAWVRRMTQATPEETALALSVISSSIAASTNLASLSIALGSLIGAWVSSTSKVFMTELVYGDNSQATAAVKYISLLVCFLVSFTCFIHSARYYVQASFLVTTLDSDVPASYMQHAVIRGGNFWSMGLRALYFATTLLMWIFGPIPMFVCSVFMVFTLHMLDSNSLPLHQHQFTVRKRHDQRALATTVATRHPSPQNAIFSNPILSPVTFYN >cds.KYUSt_chr3.46291 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291262201:291264258:-1 gene:KYUSg_chr3.46291 transcript:KYUSt_chr3.46291 gene_biotype:protein_coding transcript_biotype:protein_coding MELMTAACAAAPSSPDVALDAKNAYNDTVYTFSCRQKITYDTSSKTPACWEGAAETALEHYNRLNEEDEHELVKAVESVTFFFSGPWMHLNFLARLKGGSTCVELVPKYFFAELRWNPNKKGFSCVSCVKLDPADSETAPVRGCEICGSRIFHPAAGGHRGALTPRSADGDNEQENPAPKTAKALFDAWICKMQQ >cds.KYUSt_chr7.11661 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71628067:71628678:-1 gene:KYUSg_chr7.11661 transcript:KYUSt_chr7.11661 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAWRRKPRVIAPVLVVVGVVDHEQRVLVPIQQNIQHEVPLLLDSTYEHITEESIPTTIAPTNPSTTDDSTHDANNEGFIASLDDTSMPKDWKEAFHDPKWNAAMLEEMEVTWDILDLPIEKDPMGCKWVYTVVGILYGYINGMA >cds.KYUSt_chr2.26631 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163097939:163099604:1 gene:KYUSg_chr2.26631 transcript:KYUSt_chr2.26631 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHCEETYHSAAQGDHADWISVLPDEILVCILSRLPIRDAATTSCLAARWRHLWKSVPKLVINARTVGVDDIQEEETNRQLYEARTAEFIRKVDTMLLTRGTAGVDMFSLWFPYLTCAHANEVDRWWTVLAESTTRVSLFVLRTARKSSREAMGGSHVEPYEFPLQSIASVGSQMRKLCLKNCGLKVAPEMGPASPFTLMACIKLEFVSVTDMEVRILIDLCGALTKVVIICCHRIVQLHVVHARLQHLAVERCKNLQSMRIHAPALLQLRYSGRRIAVDYVHVPLLHMLKLLFVRKNQCPLDCLGALPGLKTLFLQFPSPVAVSPEQLRHDRRFAGLQTIKLLLLNSWSGNIVSVSYLLKACPLIKTLKLEVYSLAANYVHDFMCFSWTFHWVQVLGEKQSADLPLAKMEPDIMWPEGCLARSLRRISIRGFGGEPELTELAMVLLRTSPGLVRLRVRLLRWRKHGDGERREHARALALTKLAPRVPSRVKFTVF >cds.KYUSt_chr3.48022 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300713167:300714015:1 gene:KYUSg_chr3.48022 transcript:KYUSt_chr3.48022 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRELPYHLSNTVLGYLNLLTLLASIPIIGAGLWLAHAASTTPATTCQSALQGPLLAVGFVAFLVSLPGFIGARYHVSWALWLYLAALLLLVLALLGATAFGLAVTAGGGGTQVPGRPYREYHTRDYSAWLRRHVADEKYWRPALACVASSRACREVAGWTPEDYMRRDLTPVQSGCCKPPTSCVYGDGELAVTAVQDEDCYAWRNDPAVLCYGCESCRAGVMEQLRRHCHNLTILNAALLLVLIAVCSGGCCAFRNARRAEYAYGGGRMSKIHPRWDYFW >cds.KYUSt_chr6.30824 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195392675:195394378:1 gene:KYUSg_chr6.30824 transcript:KYUSt_chr6.30824 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPSTPLHPPPPPGALPPSPFRPTVFSSEQRLLLSCSRSPPAPATNTQLPCASPHAPPAADVRPREEECWQHVGRRSGRALSSPSPWGEALERSLAFKRWARGRCFRCLERGHHVSTCREPFRCIRCRRPGHRERNCRRRSPAGPSPTSQSCSPTVGSPRPSQSRSWAEVVRHSPPCASVLPSCPPRVGGDASDNAAVRDSDLQAQFASLRMELLQLVADRIEEVTRPLRDEAAAIKLWLARAVGSWERAEEASTCGVGRAPARASDARLRDPELLESFGPYSPVRRPCDSSPPGLDAFRLHLECCSGPAPLLPDVADDKVASPEGLQSPISEDVEGFGLAELFVETPVSLSVEHSRLEASAFEHVEVVDVLDAPLVPFAEDPEVADSTKLCDFLANLASKKLALMSPLCEPLEEIPAPSVVVPETVPAEDIQVDPGDPAADKLNVFLSSIFRPAPPPILASPPSRRARAPKEVATTPRRSGRIEKQKQSRKDATTQELLARVLGVLKENDAFDDNALAAFIDKFKTPLSPRSIAMLGSLVKNVEKVKKPKGNKVGAKKKAVEIT >cds.KYUSt_chr7.32626 pep primary_assembly:MPB_Lper_Kyuss_1697:7:203321635:203327614:1 gene:KYUSg_chr7.32626 transcript:KYUSt_chr7.32626 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEKPSGGTSPFRRRAGTETPVPQILASRWRRLWKVSEETSMAPLPHSPSSGGSPRSLKRSSSGSEWSAVVQRNIKSSLLLLLLLSAAFAFSILYSSRGLTVGTTASSGETLTQRPLHVALDVSRRMPGDVEAPGDNNVSPEQDISLPSANSSSSSAAAAPNTAYEQNSGQSVQLEEKCDISRGKWVREPNGPVYTNLTCPMLPDFKNCQKFGKDPGHLFWRWQPDGCELPRFVPERFLDVVRGKRLAFIGDSLARNQMDSLLCLLSQAETPTEVHTDAFDKFGTWHFPAHDFTLMVMWTEFYAHAVPVAGADGKPTASFDIHLDRLGAEWTSRLPGLDYAVISGGNWFFRVNYLWEGGRRIGCVNCGRDANLTDVGVPYAVRRVVRAAVEGIARCRDCKSGLVTFLRTYSPDHFEHGSWFSGGTCDRKAPLEEGEVSMGSIGWELRRVQSEEVRRVRRSSGGRRFGVLDVTKAMMMRADGHPGAHFDSRWMRNGSDCLHWCLPGPVDMWNGVLLQRLAEISPPSTAR >cds.KYUSt_chr4.7925 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47236459:47239720:-1 gene:KYUSg_chr4.7925 transcript:KYUSt_chr4.7925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor-like bHLH protein, Stress-responsive transcription factor, Regulator of reduced internode elongation under drought condition [Source: Projected from Oryza sativa (Os03g0782500)] MDGNGRSAAYQKKPLSAETDLVELLWHNGGVVAQPQTHPRPTAAWFGDVDGLENEMYAQLWHSIADGPAPLPCPPPPPPHQAARPPMRSGIGSSWTGGGDIGSTFCGSSQVPEVPAEGREEGSAALPSEGTRGTSTRDCTATYTGTGTSSSGGSGSNFGGSGLPSESGHAHKRKGRCRDESACRSEDVEYEATEETKSSRRHGSKRRSRAAEVHNQSERRRRDRINEKMRSLQELIPHCNKADKASILDEAIEYLKSLQMQVQIMWMTTGMAPMMFAGAHQFMPPMAVEMNSACMPAAQGLNQMARVPYMNHSMSSHIPMNSSGAMNPMYVANQMQNIHLREASNHFLHPDGGQAAAPQIWSAGEELAGQDIELSASRCPPVHVQSLRCMLIDKVFGIAALVAHSSWIKVWEFRVEPWVCNANTGVSLRLSCRCRTRVVVIFFFRLMFTAPPKCTLLLLHGPPV >cds.KYUSt_chr4.47406 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293365689:293366357:-1 gene:KYUSg_chr4.47406 transcript:KYUSt_chr4.47406 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSFSGEVWAELRLADARDVPHIYSLIHQMAEFELLTDLFAATHELLHSTLFPTPQPAPFASFTALILDLSPSPVSASADTIGSLRLDLSASPLADPEAAAFPSPRGAGRVTAGFVICFPNYSSFLAKPGLYVEDIFVRAPWRHRGLGRMMLSAVAGRAAEIGMGRVEWCVLDWNQNAIDFYEGMGAEVFTKWRICRLTGPALHKYKGAQEEHDAGKAE >cds.KYUSt_chr2.14810 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93361177:93362072:1 gene:KYUSg_chr2.14810 transcript:KYUSt_chr2.14810 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDNLPCSTSWRDLTHTSWRDDDYRRMVMASLIEAVYLLELERQERRDAAEVAQQWWKPFSYRLAHELVDERDGSVFGAIFEWDHHRRPRSHDVEEARPTGAPSAVIAFRGTLLRAPTIRRDVEDELRLLACNSLRGSARLDGALRALRATIDRFGSENVCLCGHSLGAGFARQIGRMLMASRQQQPQPQQQQASLEFYLFNAPYLSLPMGVRRVVRTTDCLLKTLRTGVAAVGRWHGKALKNVAYANCVLGYTRLDGDGRRLFD >cds.KYUSt_chr5.35419 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223785365:223786563:-1 gene:KYUSg_chr5.35419 transcript:KYUSt_chr5.35419 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGSLTRLHLSEVHITGDELGQLLSNSVALEELQLVNCHKIISLKLPCLLHRLNCLTVFECRGLKVIENKAPKVSVVHINGSFEKLRVGDMLQVKKLEMIDTYGTSLVHHARANLPFIMPNLETLNLYSNGEVSQTRVRQDLISAEDSHMRQMLEHCHGSIKNVKILGFCSAKSMVELTCHIIENATSLECLTLDTIYDNSSRGEADRSHEHNKFDECRPVRSRRMIEHAHKGLWAIGRYVVQKVPTRVKLNVKKLCSRCHKI >cds.KYUSt_chr2.47414 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296706586:296707734:1 gene:KYUSg_chr2.47414 transcript:KYUSt_chr2.47414 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRIKIAREMVICSGTGSTSAVLLLPVLAVLVALALLVPAPASAAGVAGASVRAVQLEALLEFKKGVVEDPLGTLSDWTVRAGGVPRHCNWTGIACDGAGRVTSIQLLETGLQGTLTPFLANISTLQVLDLTSNAFAGPIPPQLGRLGELEGLILTVNGFTGGIPPELGDLGSLQLLDLSNNSLSGEIPSRLCNCSAMWALGLEFNNLTGQIPSCIGDLSNLEIFQTYVNNLHGELPPSFAKLTKLKTLDLSANNLSGPLPPEIGEFSHLWIVQLFQNSFSGEIPPGLGRCKNLTLLNIYSNRFTGAIPRELGELENLKALRLYDNELSSAIPSSLGRCKSLVTLQLSRNKLTGSIPPELGELRSLQSLSLHANRLTGTIP >cds.KYUSt_chr3.30504 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191207547:191210015:1 gene:KYUSg_chr3.30504 transcript:KYUSt_chr3.30504 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAITATVPAQHPHLKPSVSFLRPSPPHRVRIHPSSHRLCTRASAMASSAPQQLTITRPDDWHLHLRDGQVLAAVLPHSAMHFQRAIIMPNLKPPVTTTARAVEYREEILRALPPGSSFTPLMTLYLTDTTSPEEIKIARKSGVVFAVKLYPAGATTNSQDGVTDILGKCLPVLEEMVRQEMPLLVHGEVTDPHVDTFDREKVFIERILAPLVQKLPQLKIVMEHITTMDAVNFVESCKEGNVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSRQYFLGTDSAPHDKRMKECSCGCAGIYSAPVALSLYAKVFEQAGALDKLEAFTSFNGPDFYGLPRNNSKIVLRRSAWKVPATYTYGGGVIVPMSSGNTLEWLPSDQPEE >cds.KYUSt_chr2.44914 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279695186:279701648:-1 gene:KYUSg_chr2.44914 transcript:KYUSt_chr2.44914 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEAPPEISTATATTPSCCRIQGGATTSASRWNGEKDVVFINNRTCDRVRRCCPFVAPEPIVIKIFYALLQAASERLPQQQEPPLVGFGISSRTVPLSPAHSLPRNVTLLLYILHPQGTPLWRNGPPNKPVLCNACGSRWRTKGSLENYTPMHSRDDIDAEQPRVSKLKPPTSRLAEHKQLKKKPSHSIRENEPFSDQNFWKMGDTDPSRSSSGSAVSYSESFAPYGSADASEMAGSAQSHAWESLVPSKKRSCVTRPKPSQMEKLAKDLNSIMHEEQLYYFSGSSEEDLLYHSETPVGSFEIGYGSVLLRNANSKSVEEDWEANSVPADNKSYLTSESYSETASFVVHSGSKGASNSNAGPEKPKSFPVQTQQEKARRDKFHYSKQQTMENVDSAFVSVVLEGKDTNEAGNENTSTLYGLTKSTMKHLKRPHESQLQSCPEETMRGPKRVSRYGAMAPQFKGSVLPKSGGAALNLFMLPPDKLSMLPPPQYMDDDCDQDLLLGVPRNARQPEAELLCQPFQISSVARSFTSEDVVADGEGRLKQP >cds.KYUSt_chr5.10262 pep primary_assembly:MPB_Lper_Kyuss_1697:5:65853473:65854527:-1 gene:KYUSg_chr5.10262 transcript:KYUSt_chr5.10262 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPSGRHYGGALPPKRCPLAINLWHVMSEVCALPDPLTIPNVGSEWVLHLLHGKTELERLRIMMLLWRIWHVRNEVVHHKPPPPAEASRRFLCSYVDSLLTIKYYPHDDGVKGKAPADQHVISKEARYHVAAGPSAAKERWTRPPAGWGKLNVDGSYVEATKEGGAGTILRDEAGSIIFSSCRYIPSCSSPLEAELAACLEGCSLALEWCSTPFIVELDCSEAVRMINNVVEERSEYTFLVKEIRRLLRERSESKVVGIRREQNLVSDALANMARTERTTDVWLRSGPSLIPRLCSEDCNFDV >cds.KYUSt_chr2.3218 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19113918:19115359:1 gene:KYUSg_chr2.3218 transcript:KYUSt_chr2.3218 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVTRSISLLMDRYRKQKAVPTEEESLHSLQRLLLQLSIVVEEADCRLITNQTMLHQLSMLKKEVHRGYYTLNVFSCQAHGEDRKKGHVIKYSFAPSEFNPAKRLRFCSGTNKGAAKAVLLEQVLGSIRETIEGVSEFVMFLCTCPRLHRQPYNMHLLLNKCMFGRQMEMEHIMNFLLQEESACGAEDLAVLPIIGPGKVGKSTLIEHACDDEKVRSHFSQILCFKGDDLNDGSVEILRDGGRSKHQNHGMGGGRTLIIIELFLDIKDSVRTFGSTSTEDNPKLTAIAMDIARLMNGNIKRQNILLYGERFDDAWDFEEPVYLRRANKTSAECFVIFAEYQICSPETEPEDPKMVSLHDLYFGSVRPQGNLKVHAWTSHLPPHYNYMFRCGIKELPEELSSS >cds.KYUSt_chr1.39744 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243217131:243218165:-1 gene:KYUSg_chr1.39744 transcript:KYUSt_chr1.39744 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNYRKFLGVNKAAAAGGGVGKRVSGAGGKAKDTGGGNKAATAGTGKGKRVAAAGGSGKRSGGGACSATGVAVAGPSPRGAKRAGGRGSGGASTLSHTTTFKTAVKVTNEYYHVEESSTFKTAVKLMNEHYDDEENRGASEDERSTFCPTDHSTFKTAMKSENEYYDEEERRTLSEDEKDMQHDAELLAEEGNYGAQIGDDGNGRGDCDGGCDEDAGFGDDDAGTGDLDDYLNGDAYYYDVAAAGFDDGYEGDGGDGGDGWW >cds.KYUSt_chr4.23098 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145389777:145391372:1 gene:KYUSg_chr4.23098 transcript:KYUSt_chr4.23098 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAPIRKTETYTDTKRRDDVRGVNIAASCAVADAVRTSLGPRGMDKMISSGDNEVTITNDGATIVSLMALLQPAARMLADVSRSQDAAAGDGTTSVVVLAGSLLRRAQCLIAAGAHPTAVADSLHRLAARAVEVLQGMAIPVELSDRDSLVKSASTALNSKVVSQYSSLLAPLAVDAALSVVDPAHPELLDLRGIRIIKKLGGTVDDTELVRGLIFDKKASHAAGGPSRIENAKIAVIQFQVSPPKTDIEQSVVVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEVEFVTKTLNCLPIANIEHFREDKLGHADLVEEVSVGDGKIVKITGIKDMGRTATVLVRGSNQLVIDEADRSLHDALCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELHGMESYCIKEFADALEVIPYTLAENAGLSPITVVTELRNRHAKGEKNTGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVR >cds.KYUSt_chr7.26129 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163117095:163121719:-1 gene:KYUSg_chr7.26129 transcript:KYUSt_chr7.26129 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPENSHRARSTLKRHVPSNTFKKEWDDDAATRSGPRVSHGTHMDKGGGLHPTPFRKEGMMGQEKRKTSSAEEETENLLKSLHLDAAAASEMLWEFSHPPLTEEVESTTGDSTYDIGSCEHLFIDSEELDEIARGLKAAHKPPTCVHDPCSGAAGIMACTECPSTFCTGEKGDRENPQGHAAWHASNDQHWVALWCDEPSKGYCFECGLTLVLGQNKVNEDDYALVPRNKKDERGTVSSYVEALPELSHPPSPEDGESTTGDSTYDIGSCQHLFSDSEQLDKMARHFKAAHKPPTCEHDPCSGAAGMMACTECPYTFCTGEKGDRENPQGHARWHASTFQHWGCSVVR >cds.KYUSt_chr7.31184 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194239187:194240385:-1 gene:KYUSg_chr7.31184 transcript:KYUSt_chr7.31184 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDGNGLKKGPWTAEEDQKLTDYIDKQGRGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSHEEEQTILQLHSILGNKWAAITKHLPGRTDNEIKNFWNTHLRKKLVQMGCDPTTHQPRADLLGALPQLIALANLGRLVDDHTARQLQAYAVQAAKLDYFKSLLQSAATIATSPSSSGSINTISTDLQWMGLLSASQSSSLSSLPSPRTMEGTDYQGLVTGQLPDLHIPGTGSSFVQQPITNGSIQNLGYIANSGEGENCFPAHSDCSISNLVGACSTSGCDAEGNSAQLPIWSDSYFDQFMTELA >cds.KYUSt_chr2.15828 pep primary_assembly:MPB_Lper_Kyuss_1697:2:99557081:99557763:-1 gene:KYUSg_chr2.15828 transcript:KYUSt_chr2.15828 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFLLSAVVAACAILASVSASSPRVLTIGGDARGWRQPAPGEETYNHWASRSRFHVRDLLYFRYAKNDSVLVVTRDDYKVCRGDRPALRLDGGEEARFRLERSGPLYFISGAPGHCDAGQRLTVRVMAQHDDASSSPADAPAASTRPGSGSGAVKAGDGKTSAAAASVRASIGDVLVAAAGVRGGAAFRRARCGRA >cds.KYUSt_chr5.3796 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24557074:24565471:1 gene:KYUSg_chr5.3796 transcript:KYUSt_chr5.3796 gene_biotype:protein_coding transcript_biotype:protein_coding MPASADGKRTADTATAASRSTDMRKKQWFTTPHMEHRRNSFRRVSSRTHSASSTTTCPCRCCPPSSIGVGRRVLSALSGRDTNHSDKNRLAAYTLPAEAPVEQRSAHAAAALQAVPQLAQRVDVAERGHGRAERGARVEGVVVLGAADAIELDDGPRANMVTYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKIDYCSNLKNLSPSRASPNFKFVKGDIASADLVNFLLISESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETDEDALVGNHEASQLLPTNPYAATKAGAEMLVMAYGKSYGLPVITTRGNNVYGLNQFPEKLIPKFILLAMRGLSLPIHGDGSNVRSYLYCEDVAEAFEAVLHKGEVGQVYNIGTLKERRVIDVASEICKLFGLDTKKFIRFVENRPFNDQRYFLDNQKLKKLGWSESTSWEEGLKKTVEWYTNNPDYWGDVAGALLPHPKMPMTPGVEENNWIEEIKSLASSPGEAKEPSTITPTTTSKSTSSGTQKTNYKFLIYGKTGWIGGLLGKICDKQGIPYEYGKGRLQERSQLLEDITKVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREKGLLMINYATGCIFEYNAGHPEGSGIGFKEEDTPNFIGSFYSKTKAIVEELLKDYENVCTLRVRMPISSDLSNPRNFITKIARYEKVVNIPNSMTILDELLPISVEMAKRDCKGIWNFTNPGVVSHNEILEMYRKYINPNFKWSNFTLEEQAKVIVAQRSNNEMDASKLKSEFPELLSIKDALIKYVFEPNKKVATD >cds.KYUSt_chr2.5826 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36237981:36238778:1 gene:KYUSg_chr2.5826 transcript:KYUSt_chr2.5826 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGCGAAGGTDGGKKQQQQPRQFARSLTYHHHQGHRLMPKWRRPQLADEPRARPQAVVLYTTSLRGVRRTFADCSAARAILRGSRVAVDERDVSMDAALRRELQGLLDARGRAFSLPQLFIGGRLVGGADEVRQLHESGQLRRLLEGAAGQDPAFVCDACGGVRFAPCPACAGSRKVFDEEEDRVIRCGDCNENGLSDDLGIGLAAHGRERIVLLGS >cds.KYUSt_chr7.14169 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87658134:87662313:-1 gene:KYUSg_chr7.14169 transcript:KYUSt_chr7.14169 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTPPATLQPCEHARGRALRSPPRAWRRRRSQAIRAAATGKQLETPLAAAGGEEGDVIRRLQNGPDVRGVALEGEKGRPVDLTPLAVEVIGESFGEWLREKDSEEQLRVSVGRDPRLSGSRLSAVLFAGLAKAGCAVFDMGLATTPACFMSTILPRFDYDASIMMTASHLPYTRNGLKFFTKRGGLTSAEVEAICDRAAGKYVARKMGLGGGGLGMPPVVMRVDLMSAYAQHLRDIIKERVAHPTHYDTPLKGFKVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGMFPNHMPNPEDATAMLLTRGAVLAHGADLGVVFDTDVDRSGVVDDAGAAINGDRLIALISAIVLGEHPGTTVVTDARAGDGLTRFIEARGGKHCLYRVGYRNVIDKGAQLNADGVETHVMMETTGHGALKENYFLDDGAYMVVKIIIEMVRMRLAGQEGGVGSLIRDLEEPAESVLFRMDIVGEPKNAKQRGVQAVETFKNYIEEGKLNGWVLDDCGDCSVDQGCLVDNNDHPIDVDAYMYRAKFYDESQRRLGWVHIRQSVHNPNIALNMQSCVPGGCKSMARSIYDGFLLTSGVNEFVDITQVQSFVE >cds.KYUSt_chr7.10355 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63513329:63516978:-1 gene:KYUSg_chr7.10355 transcript:KYUSt_chr7.10355 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLLLLLLLLPLLVSGVRFGGGERLGGNGDYEDWRLGTATYIKESQGHPLNDGGGACGYADLDIFRYGRYTAGLSGALFDKGSACGGCYELRCVNNILWCLRGSPTVVVTATDFCPPNFGLADDFGGWCNFPKEHLEMTEAAFLRVAKAKADIVQVQFRRVSCDRAGGIRFTITGGANFLQVLITNVAADGEVAAVKVKGSKTGWIPMGRNWGQNWQCDADFRGQPLSFEVTGGSAPQEQFQQV >cds.KYUSt_contig_7480.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001550.1:26084:26650:-1 gene:KYUSg_contig_7480.3 transcript:KYUSt_contig_7480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFASCTLARIPGAAKGARVVLPDGGVRLVRPPATAAELMLEAPGHFLADARALQAGRRIAALAADEDLEQGAVYAAFPMKRLGSKAAPADLARLAAVFTKEAHARRPASAKVAAIAVVAPAEVASVTAAEDDALVRAPRLDEMSVDDDAAAAEIGELKQRISCGRLSRRRPTLETIPEESYALAVC >cds.KYUSt_chr4.39287 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242455762:242459001:1 gene:KYUSg_chr4.39287 transcript:KYUSt_chr4.39287 gene_biotype:protein_coding transcript_biotype:protein_coding MDARIVPVDRPSPAAVNGAVGCPASAPGCPIMSSHPAPLAAGAASLGRHLARRLVQVGVGDVFAVPGDFNLTLLDHLVAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGVPDFSQELRCFQTVTCHQAVVTNLDDAHEQIDTAIATALRESKPVYLSISCNLPGIPHPTFTRDPVPFFLAPRMSNKMGLEAAVEATVQFLNKAVKPVLVGGPKLRVAKAQKAFVDLVDASGYAYAIMPSAKGLVPETHPHFLGTYWGAVSTAFCAEIVESADAYIFAGPIFNDYSSVGYSFLLKKDKAIIVQPDRVIVGNGPAFGCVMMKEYFSELAKRVKKNTTAYENYKRIFVPEGKLLQCEANEPLRVNVLFKHIQKMITGDNAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGANDKRVIACIGDGSFQVTAQDVSTMLRCGQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTAKVKCEEELTAAIETALGEKKDSFCFIEVIAHKDDTSKELLEWGSRVSAANSRLPNPQ >cds.KYUSt_chr6.4837 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28447657:28451403:1 gene:KYUSg_chr6.4837 transcript:KYUSt_chr6.4837 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTLGIYNHNTATEAVDIWVLQDYKSASVGLEDQVGEALECGIRYQLEGLAFQAALVLGARFFGCWSRLWSYSDTVTANSDHFSASDEMPYIEYHWSKADSLKQDITRTCVTIHLHNLSWPPVLGNHRWVAWAVALILVVAYGVAGDRSPLHKLCSNKGAPSDPILQLPGVLLASISQIEGGAEGFGYGTVGSVDPLSMAASRYSSCAAPSSMSPSPSQVSLSMPRTSCGGELPAISSVPPPWCRPRMGSEFGTGFFNKLGGARLLGVLPRSSCRGGSGGGKIQGSGAPGINREALLRSSSTEVMIADVIYGQGRPLRAVVFSWHYSFFFLQAGEPSRRIFGFSMAQHAGLDPSGVVPGVVVGGHASRPCQSCGEDEGPDCFFDFTSRVLFAYAEGQVVISIFLTVLFVTCSAA >cds.KYUSt_chr3.12400 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74102002:74103910:-1 gene:KYUSg_chr3.12400 transcript:KYUSt_chr3.12400 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIVSTKRAYQLPAAVERELVQLEGRHGQRADSHAQHRLAGLGEAAAVRVLQRIGESQQPVQNLSAYIQWMAGFNASQDAACGSIHPERARAACCPRASRWSGTPRCSVPAVPAKRVKRPTSKVAVAALAPKKPAPKKDAKKPSAAKMASVAALTVAVVPTPSEPVDACKVVDESPEFDDASESFGGMLNDAAVDIDTPPLADYGDYNDGLEEGLEGEEFDEEEDVGGEGEDELEEIEEGAFDGAVAKAKGRAIWISNYTEFEDVILIKAWEAVSMDAMTGTNQTGKRYWQRIEDKFSQLMPSLSTTPTRSYRLLQGRWDTIKMACIRWSGCIEGVRNAPPSGTNAGDWEAIEQQRFWEMPGLKHVPFKFAHCYALLEHNPKWKLREQEAPPPKHKLIE >cds.KYUSt_chr7.35206 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219954326:219955421:1 gene:KYUSg_chr7.35206 transcript:KYUSt_chr7.35206 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGRWRARCFHGRGRGPGFAGYSSLRRAALRRVTAQRDRRLTHTRKGRRYPRQLAKALKEAERSLAPPRRATAADGGGDRVLAVPSLAGQKERARSAADHWEGDGDFCQGALFDHQLHPRRRAAREEGGGSALHARAEHGVPVHEAGNISSVVGHEDPPPHTGDVPSDRADEIDEINRVKNAEAAAEFLQELQKELNLHPTPTPTPTPPTSTPVLESPASESCFRVPASPSASQPPASEYLMLTIRTCDDAREAVAAASDTEGVRICIARDVPLGAALDISAILYNGRVQNDIIDPGVLENKVRQANWLIQEINNAQEHLERLQLLLHRPWLP >cds.KYUSt_chr1.24636 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147472882:147476097:1 gene:KYUSg_chr1.24636 transcript:KYUSt_chr1.24636 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAVGEKGVPEAEDRGSQGGVAEEEAGAAQVAADPAAKVGGGGEILDAKEGDGAEGVVPAQEGGGGEAVEVRQMNADGEVAVSDVASNEANMDPSAGQDHQAAQNGAAPEDGPPAAAVESDEDADLFVEVEQQEPAGSDHSEEFFDNAETLVAGESVAIEGEVIGDGMHAEIGVEDDDAHDEHRERLEEAAMLEAIRQCVTDAVLAEHLEEQPERGSEDVPGSDSNPELPTNSSEPEYVKEATLVDQSKEQPEDGKGDIAAPDTKLEVPTQSGGEADVVIEELDDSNSSDDENKASSAPARSSSAAEGQTNAPSLLARPAGLGSSTSLLQPPARPVQQVRANGPVAVDRDARQDTESAGDDGDENDEIREKLQMIRVKFLRLANRFGQTPHNMVVSQVLYRLGLAEQIRRNGRGVFSYDRAQDMAERLEAANEPLDLSCTILVLGKSGVGKSATINSIFDDVNLETDAFESSTRKVQEVVGTVEGIKVKVIDTPGLSSSSSDQHYNQKVLNSVKKLVSKNPPDIVLYFDRLDMQSRDNGDVPLLQSISKVFGASVWFNAIVVLTHAASAPPDGPNGIPLSYEMFVTQRSHVVQQAIRQAASDVRLMNPVALVENHSACRTNRAGQRVLPNGQVWKPQLLLLCFASKVLAEANSFLKLQDSPSGKPSSTRIPPLPFLLSSLLQSRAPLKLPEEQYGDDDDIEDDLVDGYGSDDGSDYDDLPPFKRLTKAQLSKLNHAQRKAYLEELDYREKLFYKKQLKEESMRRKIMKKMAAEAKDRVDDFSNNNVEDDDSTPTNVAVPMPDMVLPSTFDSDYPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSVSGQLTKDKKDSSLQMEVASSVKHGEGKTTSVGLDMQSVGKDMAYTVRGESRFKNFRRNNTAAGISATLLGDSVSAGVKIEDKLIVNKQLRLLVSGGAMSGRGDAAYGGRLEATLRDKDYPIGRMLSTLAISVVDWHGDLAVGCNAQSQIPAGRSSNLIGSVNLSNKGTGQVSIRLNSSEHLQIALLALVPIYKNVRKLLDSYYESI >cds.KYUSt_chr2.24670 pep primary_assembly:MPB_Lper_Kyuss_1697:2:150880397:150881044:-1 gene:KYUSg_chr2.24670 transcript:KYUSt_chr2.24670 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRFVPVKPPGRVQSGDESCPLVDSPYFGAATDNDGWACLLPASFRCLPMGSWPVLLLFLFLRLSGIPILLQDKLVLWNIHPILQHIMWLDRDQR >cds.KYUSt_chr6.16635 pep primary_assembly:MPB_Lper_Kyuss_1697:6:104731819:104734981:1 gene:KYUSg_chr6.16635 transcript:KYUSt_chr6.16635 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGPICEKDPLNWGAAAAELTGSHLDEVKRMVAQFREPVVKIEGASLRVGQVAAVALAKDASSGVSVELDEEARPRVKASSEWILNCLAGGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGTGADGHTLPSEVVRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQATTTDGRKVDAAEAFKVAGIDGGFFKLNPKEGLAIVNGTSVGSALAATVLFDCNVLAVLSEVLSAVFCEVMNGKAEFTDHLTHKLKHHPGSIEAAAIMEHILAGSAFMGHAKKVNAIDTQLKPKQDRYALRTSPQWLGPQIEVIRSATKSIEREVNSVNDNPVIDVHRGRALHGGNFQGTPIGVSMDNTRLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPVTNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYMVALCQAVDLRHLEENIKASVKNCVTQASKKVLTMNPTGDLSSARFSEKSLITAIDKEAVFSYADDACSANYPLMQKLRAVLVDHALTTGAAEAEGEATVFSKITKFEEELHSALPREIEAARVAFESGTAPIPNLIKESRSFPLYRFVREELGCVYLTGEKLLSPGEECNKVFLGISQGKLIDPMLECLKEWNGEPLPINV >cds.KYUSt_chr2.26924 pep primary_assembly:MPB_Lper_Kyuss_1697:2:164778766:164787976:1 gene:KYUSg_chr2.26924 transcript:KYUSt_chr2.26924 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMTALCETRKRYTRLLVHLVDSNLAGGVEEGGDSSGRRFPGVVDIVKSTCVIGLVLEDPDQFKDSMATTSALGRKSLEACAQRFPDCHQQHRGDSDLNFEKLKAEAAARWFRPNEIYAVLANNERFKVHAQPIDKPVSGTIVLYDRKVVRNFRKDGHDWKKKKDGKTVQEAHEKLKIGNEERVHVYYARGEHNPNFFRRCYWLLDKEAERIVLVHYRQTSEENAIAHPSTEAEAEAPTVNVIQYNTSPPTSADSVSAHTELSFSTPAPEEINSHGGSAISSETGGSTLEEFWVHLLESSMNKDTSSCGSVAFSQQIEYGPKDSESNINIANNVPANHVGALDHQGDQPQYLLTQDLDSISQQFLNSSQKTLVDGNIPNDVPARENSLGLWKYLNDDSPCLGDNIVSNEKFFNITDFSPEWSYSTEHTKILVVGHYNEHYTHPGGSNMYGIFGDNCVAAHMVQTGVYRFMVGPHTPGQVEFYLTLDGKTPISEVLSFEYRSMPGSSLQTALKPLDDEYMKSKLQMQMRLARLLFVTNKKKIAPRLLVEGSKVSNLISASPEKEWADLWKIASDSEGTHVPATEDLLELVMRNRLQEWLLERVIGGHKSTGRDDLGQGPIHLCSFLGYTWAIRLFSASGFSLDFRDSSGWTALHWAAYHGRERMVAALLSAGANPSLVTDPTSDSPGGCTPADLAAKQGYVGLAAYLAEKGLTAHFESMSLSKDTGRSPSRTKLTKVQSEKFENLSEQELCLKESLAAYRNAADAASNIQAALRDRTLKLQTKAIQLANPEIQAAAIVAAMRIQHAFRNYNKKKEMRAAARIQNHFRTWKVRRNFTNMRRQAIRIQAAYRGHQVRRQYRKVIWSVGVVEKAILRWRKKRKGLRGIANGMPVEMTVDVEPASTAEEGFFQASRQQAEDRFNRSVVRVQALFRCHRAQHEYRRMRIAHEEAKLEFSEEQKQGPGHRS >cds.KYUSt_chr3.39478 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248721617:248727104:-1 gene:KYUSg_chr3.39478 transcript:KYUSt_chr3.39478 gene_biotype:protein_coding transcript_biotype:protein_coding MILLGGVAVESFLLLAAVYRGGYGTGESPALILVVVLLLGRARQALAPCALRLAAKLNPAALLQQWLTSLSGMFVAATIRFASTNAARRPEFDSGSEHEDDQERHQEKEAIMKDCVDSTVDLGHNKTKSNVKNQEQSSMKQKFWGSVCGIGPAMKAVTTVISNKKDEFFSTLQHYWLGLRLLWLDVRISSRLMLKLANGKKLSRRERSQLTRTTADIFRLVPFSVFVIVPFMEFLLPLFLRLFPNMLPSTFKDKLKEQEALKRKLKARMEYAKFLQDTVKEMAREVTRSGKMKQRAEDIDQFIKKVLDLFIISVDLFMFTALLFCMTLDHSYLCEHSMVRAFTLSGKMKPDDVAATLSSLPDNILSNVCVSLPSEDALTARKRKLAFLKMQDKLIKIELYNSLLEDSTAENANEAYVAAKGSKSSGSSVSSALMKKINAMLKELTKEIDDVDTAIGDGWQLLDRDHDGKVTSEEVAAAATYLKHNLDNTGVEELIGSLSKDKDGKILVEDIVRLGTQADEAEFDDE >cds.KYUSt_chr4.45106 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279308193:279312300:-1 gene:KYUSg_chr4.45106 transcript:KYUSt_chr4.45106 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGSEADHGGREMFHGHSDPVIDELNRLENLLREKERELGHTYSEVKGLKVTDVLKDKAIAELSKELKKQDDKLRSLEKQIEQKNLDVKRLCNERKEALSAQFAAEASLRRIHSSQKDEEVVPFDAIIAPLESDIKKYRHEIAVLQDDKKALERHLKLNEAALIEAGDILRSALERALIVEDVQNQNIELKKQMEIYHEENMLLEKSNRQKVLEIEKLTHTVGELEESILAAGDVANAVHFYQNQATRLNEEKKTLERELARAKVYVNRVATTTANEWKDDANKLMPVKRWLEERRHLQGEIQRLRDKITIAERSAKVEAQINDKLKRRLKSLEEGMGTEKTNTPANEANRKGTPTRSTSQPRQPHTPRMSQQPASLEGAVDKRRPTSQPRATMAGKVLKQPNSDSEPAEKVRSVKQPDSPRVRPAAARKERPVRNLLWATSKVTSDAGKENKEQNPNYKPRLSAPHVQVHGGTKPQAVFDPNGDCGIQCSEHHKTMDLENLGEKTVDASNAVDSAQGGNREI >cds.KYUSt_contig_662.207 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1271577:1272187:1 gene:KYUSg_contig_662.207 transcript:KYUSt_contig_662.207 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSSAASRVDFYGFLDRMRRPAAAGLFRSIKSFLASLSLDAEEDGARVQAFYAAMEAAFREHPLWANATHQEIDHALEGLEKYVMTKLFDRTFAASPEDAAADAEVSERIGLLQRFVRPQHLDIPKVLHNEASWLVTPVCSVLSCF >cds.KYUSt_chr2.5676 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35058093:35058542:1 gene:KYUSg_chr2.5676 transcript:KYUSt_chr2.5676 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHYAAPPPPPTTHALSRPRADAAALLPDDGSDSESVAESSCPGTYSRQSASSGFLGGRDEDDDDGCSSCVEGNELGGGYHYQEADDDEDDEVSTATVWWKKRLAAGDSCAFQPSVAREEAEDPERVAARQAEDRKFWEDCLGTGYP >cds.KYUSt_chr3.1375 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7661325:7662859:1 gene:KYUSg_chr3.1375 transcript:KYUSt_chr3.1375 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCEARDWRRVSALGLVFAVFKLLWSELWMVTTASASLIKLLVSGCFQVEGGDAVKLLLAGRGGEEKRLYSSCIPARSLVYGLAGPVLTCRGGEGTRRCSTCSPSLSRRCSWRCHHRRGGLARSSFLLAGHGGEGEESGAGAAAGVGWWHRGSLESAPSAAAPKRRRRCAAAIFGHRGGPAALGLHGLSLFFLLQWRFFLDLGVAENATAPPSGLVPGGCRGGRAARIMEDSIGDFQSVLKNAPF >cds.KYUSt_chr2.4923 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30489928:30492995:-1 gene:KYUSg_chr2.4923 transcript:KYUSt_chr2.4923 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMTSIDGNVMNSDESGVLRWCLRAASGVRWLSSSSCCSHGWSRTRRSKASSTSPSISPDLSLLQSGVHQQRTCGGEVRLASAFHGRLAALQGHVLVASQPPGLMPSRRLLSSSWRFIGAAIPSGSSPAMVSLLLASSSS >cds.KYUSt_chr6.32370 pep primary_assembly:MPB_Lper_Kyuss_1697:6:204332217:204333303:-1 gene:KYUSg_chr6.32370 transcript:KYUSt_chr6.32370 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAPITVHVAETGWFEGASAVLLNDPSRESSGRTAIPVVLEWAVNSARLVYQAPTTLGCPDDAATKLVCRSSLSSCHNVSGNYRSGYVCRCQGNPYIINGCQDVDECALPDTCSGGECTNTPGDYTCHCPRGSRGNPHIKDGCVKTSLGLSAGIGIGSGAGLLLLALGAIFAIRKLKHHRAKVLKHKFFRENRGHLLQQLVSQKADIAERMIIPLVELEKATNNFDKAREIAGGGHGMVYKGIMSDQHVVAIKKSKVTIRREIDEFINEVAILSQINCNIPGNGVTKIEEIDVCIAFMHRKSGEFSRFKVKQIHNESKFHLTLVELK >cds.KYUSt_chr2.43925 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273415167:273416837:-1 gene:KYUSg_chr2.43925 transcript:KYUSt_chr2.43925 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKASFTDDGISLLDKRKPHRKRAPKIPLPSPLPQFVEHLTRVSAAGFLPALDKVGRVCHVYLTPTHAMLLHNLLGSTGPDGDGPQCVAQFAKDLLFRDYSVSSRDANRVAFSVDVALLQRALRSALAVHAQWPAAGDAPAAIQVKLVNKQTAGSRSAAPFLTFETKGARSAVVQDVPISKPLSRSDVARLQEALDAAQELPETLVQVPDLPQLQNLVDRLKNIGDLLTVNVTQYGDLHLQVSTSLVTVGSEFKRLRILGVRGKL >cds.KYUSt_chr2.264 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1584294:1588418:1 gene:KYUSg_chr2.264 transcript:KYUSt_chr2.264 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAASPASEGGGRAEWLRVYDRMVAILRKNHRHVEALLADRSRLEALLRVQHDFWLARHGLLRGRLNETRTAEACLRRCDAAKLELLLGVKFRKLRRYQIHADHQDEDLEDFKTYAVALAEENAKLKLKLKEVESSPELSENTGEHEHSGRDWRAEIRELKIAYKNLSSEKNKEVSALAAQKDFVWHQFKTMEKEYGSLLKKKTTEAAQATEAAQRLQQKVEKLQAAAQKKDDDIGRLQAEANGAKKVILVLEDKLQKLHSLASEKDGEIQKVKGGHLQASQKRKQDISGTHRKSRSEGHSQRGKSKNNARRKMVEEDQPETSQKRQCASSLSNGLALGRCSSRMQLKPAGSPALQQLQLDTTRRNDGVI >cds.KYUSt_chr2.11459 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72838742:72844324:1 gene:KYUSg_chr2.11459 transcript:KYUSt_chr2.11459 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTPLAVRASPSRLLSHRRSGSSACPGLRGELLSVLFGWSNGLSLCRGALFGIESFDSIRVQQNTIVASQSENPPLMPAIMTPGGPLDLATVLLGNRIIFIGQYINSQVAQRVISQLVTLSAIDEEGDILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNTEEVRRQVGETVYARDKVDKMFAAFTGQPVDMVQQWTERDRFMSASELSQPVVSADSESEDIDEFLAPDVADHARVT >cds.KYUSt_chr3.33938 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213007848:213012115:-1 gene:KYUSg_chr3.33938 transcript:KYUSt_chr3.33938 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSPSPRRDRPSPATSPPPHRTASTTASGGNTATTVSPYALARSPSASISVDPDPDADEHTVVRVYGSDGCPVAWRLRVALLYKAAAPLHFTPSEAAPLGRPVLRLSAADPELCGAADELLRHVDARFEGKPAVAPPPRRPATRASLAAAAAEEVAEMVRLQHRSAERHLDGVAAKLAEMVKKGAKKPGKGGRTVPLEGAEVRRLGKWYGDAMEVMLEHARMEERVLFPDIQRASFPGVCDKVQEQHGKHLPMMNGIKEDIKTLLTLELGSPLFHEVLVNLAVRLKALQDHTKEHFKEEEKDMLPRLESVRRMQREEGSVADKSNSGWASEAMDTMEMTHSKLFPFFMTGLMPQEAMQYLDLNLWANAG >cds.KYUSt_chr6.30394 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192510563:192513218:-1 gene:KYUSg_chr6.30394 transcript:KYUSt_chr6.30394 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDAKPLADGAFLRFLLPSPKPKPAPAPPARLAPPHQLFAPPPPPLPLPRPDERLFIVPPTRPSWYPPPPPPAPTPPPRRAPPATRNAGGFGAGRGAPVRRRVGDFVGARPRAGPERRNAGAGLAAAKPTRGGGEARRAEKSQKRVWVPVGKKGGNGGSDVDDQAAGGGGYAGGDEAEGSLEGDEQLEPDDSEQDDSDLLGEELENSLTIAGGDHEYADGDGGEEPNEKQNLVSQSNPVQRLRVKVRGGWVECRDDIDAFAPGLLSIYESLKPSDEHKSKQSQLIDSLTKSVSKEWPNARLHLYGSCANSFGTSHSDVDVCLEIDIGTGSVVEMLLRLAEILRADSFDDVEAITSARVPIVRMLDAGSGFSCDICINNLFAVANTKLLKDYAQIDGRLLQLASIVKHWAKLRAVNETYRGTLSSYAYVLMCISFLQLREPKILPCLQAMEPTYTMVVDDTECTYFDEVHQLHDFGAENRESIAELLWAFFHYWAFQHDYRKDVISIRMGKIISKKEKNWTTRVGNDRHLMCIEDPFETGHDLGRVVDRQTIRIIREEFERAADVLQHSDDPCTTLFDPYNHEPESLKIP >cds.KYUSt_chr3.9896 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58150534:58151466:-1 gene:KYUSg_chr3.9896 transcript:KYUSt_chr3.9896 gene_biotype:protein_coding transcript_biotype:protein_coding MRWACRGFVHDRPFWPRRPNSSAAAGFPRRGTAAAICLARAGKFPRLPATSLHNPSTIPTRSAIPGALTMATAAADEHAEAMEQVGGEERAAEAVEAMEQVEEEERAEADAEAPAEEGAAASDEAHIEAMEQVEEEAQAEAEEEVQAEAEEEEEVQAEAEEEVQAEAEEEVQGEAAEAAAEAAAEAEVLSTVLPLGRVKKIMRLDRDIKKVTAEASLLIAAATELFLGSLAAGAHTAATQRGRRGLRAADVRAAARAHRPTADFLLDCLSTAEEAPRAARSGSDAAAPAAAPKPLPRGTRRIDGFFQKVT >cds.KYUSt_chr5.14663 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95023097:95023708:1 gene:KYUSg_chr5.14663 transcript:KYUSt_chr5.14663 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFISLFRDRPVREQENQAEVEMGSARIAGGRLIDCPIEEYLDEDGDLAYRVLLANGTRIGYMLDPMPLEEAQATIRELLLADIRNGRYVAYDMPRGREPWELLPDADEDGAWEDAAFMDQDQGFRAAPASDEAVADLQETTAVGEGQCSVCLEPLEGDGVAKLRMMPCSHSFHEHCIFAWLRINHACPLCRFALPTREQE >cds.KYUSt_chr6.31597 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199714392:199717051:-1 gene:KYUSg_chr6.31597 transcript:KYUSt_chr6.31597 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHKSQNNVWIIRPPHKGCQLLHQRLNISSLPFHPSTMVAAYTFILILATAAPLPSMGQPSYKNTTTTAKPSMVWINNAASLYHYDISTVNSIVTSSRKLGPVSPGRIVWSTNRARPVRENATLKFTSDGNLELRDADDSPVWSTSSSGRSVAGMMITEIGNLLLFDQRNATVWQSFDHPTDTLVPGQSLLEGMRLIANTSPTNWTENQLYIVALSSGLYAYVESTPPQLYCFYPYLLIHQKAGNDSHLTKVTFMNGSLELFGQPGQPRLNISFPPSGSTQYMRLESDGHLRLYGLSDVEVPIVFSDAMNINDCAYPTVCGEYGICTAGQCACPLQNNSNSGYFRPVNERRPNLGCSPATPISCEQMHRHQLLTLTDISYFDKSYYVDAMHTGLNLNAMNIDDCKKACLKNCSCMAVVSRAGECVWVTKVFSLQAILPENGGYNSTVYLKVQLNPSNRNKKKVIVGASATLGAVTTLALLVIVVILHLQKRRKYEEKGEEFDFNQLPGMPMRFSFQKLRECTEGFVTKLGEGGFGSVFEGKLGEDRVVVKRLEGARQGKKEIFAEVETIGSIEHINLVRLVGFCVEKSLDRWIYYHHNTAPLDWCTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDDNFNAKVADFGLCKLIDRDQSKVMTRMRGTPGYLAPEWLTSRITEKVDVYSFGVVIMEIISGRRNIDNSHPKENVPLINLLREKSQENRLIDLIDKNSDDMASDQQEVIQMMKLAIWCLQHDNIQRPSMSTVIKVLEGAISIETFDANSLMFVQDNPSTSSVPSQASILSGPR >cds.KYUSt_contig_915.422 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:2767200:2767931:1 gene:KYUSg_contig_915.422 transcript:KYUSt_contig_915.422 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFWQLLLFLAILLPAASPASCHPDDLRALRDFAGNLRGGGVLLHAALSGASCCGWEGVGCDGASSRVTSFQILLKGLTAAGRSLGKAFTHMPLHVKPSQGTLDEDHNTITGINNTVRSGSNNVVSGNDNTVISGNNNVVSGSHNTVVFGGDNFISGSYHVVSGNHHVVTDNKNAVSGDHNTVSGTQNTVSGNHQIVSGSHSTVSGNHNTVSGRNNSVYGNNNIVSGSNHVVYGNNKVVTGG >cds.KYUSt_chr2.4389 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27100849:27102393:1 gene:KYUSg_chr2.4389 transcript:KYUSt_chr2.4389 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSSPPHPQQLQEQDHDQDRCPTKKSVWAAAAARAVAGEARAQRGIALPLIAMNLTWFAKQAVTTAFLGRLGDLELAAGTLGFSFANATGFAVLTGLCGAMDPICGQAHGAGNVALLRKTLLMAVAMLLAVSVPIAILWLHVDAVLLRVFGQQLDIAVVARRYVVCLLPDLAVASVLGPLKAYLSSQEVTLPTLFASAVGLAAHIPLTVWLSRTRGVGGAAAAVWLSDLATAAVLAAYVLFLAKKHDGKAEAPPCGRWWWPEKAAATEWMRLIRLAVPCCLNTCLEWWCYEILVLLTGRLPDARRAVAVIAVTLNFDYLLFAAMLSLSVSASVRVSNSLGAGDASAARRAAVVSIAFSVLAGAVGGALMLALRQQWARLYTRGAEVRDGVAKAMRVMAALEVVNFPLNVCGGIVRGTARPAVGMYAVLGGFYLVALPVGVALGFRARRGIEGLLAGFIVGAAASLAVLVVVIARMDWKAEADKARVRVAVATVQDDLGSGKEKASSGNTGDV >cds.KYUSt_chr2.51597 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322370151:322372732:-1 gene:KYUSg_chr2.51597 transcript:KYUSt_chr2.51597 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPKVGPAKELPLDHKIGLWWSVNMSDDSKSIPPEQLPSDDLHPPPMPVINLGHLILEPETRSGVVEDIAKACHDLGYFQVINHGINQSVMDGAFEAALDFFKLPSEIKEEFASDDLRQPVRYDTSSKDGISMSRAFLKHYAHPLSDWMQYWPEKPPIYRKHMGNFAVEVRRVALQLMEAILEGLGLGKDYQHEKFEEGLQLMSVNCYPKESEGDVAIGLAPHSDYGFLTILLTSCRGLEVVDRNSNSWKVVQQLPHALNVHVGDHMEVLSNGRVKTVVHRALLNPEEERISIASILGFALHEKVACAKELVDEQNPKKYKESSFNDFLDFLTSNMDNKDRNFLGSIRM >cds.KYUSt_chr3.48592 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303858680:303861822:1 gene:KYUSg_chr3.48592 transcript:KYUSt_chr3.48592 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGATEPLLAPQLRRRAWGLAVTAVLVLILFLLSASGPLRPRLFSSSPERVPLTLLAGATEKGAVCLDGTPPGYHLQRGSGDGANKWLVHLEGGGWCSTIEDCSNRRMTALGSSNFMKPIRFAAAILDSDQLQNPGCSAGGLAAILHCDDFSARFSQEVAVKCLADAGFFLDVKDISGERSFWSVYDGVVQLQNVRKVLPKDCLANKEPTECFFPAELIKYIRTSMFILNSGYDSWQIRNVIVPGSSAPDNSWLSCKDNIRNCTSKQIEVFDEFRNTMVNNLKLVEDKEDWGIFIDSCFTHCQTLSRISWNSPTSPRVGNKTIADAIGDWHSGRSHGEKEIDCEYPCNPTCSSLLPT >cds.KYUSt_chr3.5773 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32643080:32644338:1 gene:KYUSg_chr3.5773 transcript:KYUSt_chr3.5773 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGKGGCRVAVLRRWRRSPIEAPCSSTTSAVNMPSVIYASEAWQIFNLLRRPFRRLAVASHADLEASGFVPASELDGDMADLLLVGGEREGLDCIFLSLSEALSANARDLCAIAHGESATLYLNRSLCKLLMGNGEGALSDALRCRMLRPNWGKACYRQAAAHVLLKEYKQACDALLDAQKLDPGNVEIENELRKARELMKNPSGDREQ >cds.KYUSt_chr3.46199 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290750290:290756104:-1 gene:KYUSg_chr3.46199 transcript:KYUSt_chr3.46199 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGSPAREGVGGRAEWLRIYDRMVAILRKNHRHVEALLADRARLEALVKVQHEFWVARDGLLRARLSETRRAEACVRKGHEARLELLLGDKERAVRRYQIYAKHQDDDLEDFKTCAVALAEENTKLKLKLEEAENSGELSEKTTEHEHSVRNWRSEIREFKKAYKNLSSEKDKEVSALLAEKDFVWNQYKTMEKDYDSLLKKKKIEAAQATEAAQMLQRKVEELQVAAQKKDDDISRLQAEANGANKMILLLENKLQKLHSLHSQEDDETPKVKGGHLQASQKRKQDISGTRRKSRMRSMNAGCGSSTLLLEDAPETCVVTCTSAGTLPLQFQGSKAEDPSSSFKVPKLKTPAPVSRFQS >cds.KYUSt_chr5.4214 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26950788:26952121:1 gene:KYUSg_chr5.4214 transcript:KYUSt_chr5.4214 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSLSSALSSMEVMLDALMQRGIGKPDDKPKEDTAPPALPTRPTVRGRPPSVHRPGSPAPWLNRPPLAPIPPPPQEEEEEERDKEKCTINLELEMRAIKAEEDVKQRDDDVRHKDEEISGLRQQVEHYESRLSECEAKMRAVEEELQRQIAALQQQVEARSLQMARSVASRPSTSCHHRRELSSVGITRAPQPPVATAAEEASSVKRPEQHADVVTKKNQGAPENLAEEFVREKQAFEHAAKAVAEVKPGTMSVDELRMLKRQFVAWRKEYATRLRKAKAELRKRVHSEKSHGHGHDQAAGHGGNQRRRWCGWWRTIKMPKFRAPKRCCTCAIKFPSPSSCCSCFSCCFRRHR >cds.KYUSt_chr4.22366 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140519921:140521523:1 gene:KYUSg_chr4.22366 transcript:KYUSt_chr4.22366 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >cds.KYUSt_scaffold_1259.124 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:892226:895734:1 gene:KYUSg_scaffold_1259.124 transcript:KYUSt_scaffold_1259.124 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLPKPTGEQRRATRRAGRLPGLLVGPGPSGNGPQCSGTRPDGRKGVPPDLYLVVEIDPFDATMFANRSLCWLRKGEGDRALQDARHCKMMKPGWSKAWYREGAALGFMKDYEGAAAAFHRALQLDPKSNEIKEALREAVKSMEEMQHD >cds.KYUSt_chr5.15289 pep primary_assembly:MPB_Lper_Kyuss_1697:5:98807459:98821134:-1 gene:KYUSg_chr5.15289 transcript:KYUSt_chr5.15289 gene_biotype:protein_coding transcript_biotype:protein_coding MADELDELLDFLSNTSPQVRGAAADIVRGLTGDGDGLRSLAARADRALPALLRLLASAGGGAGEAAADSLVNLSQDADLAARLVALGAVAAAMDVMVKRGADQPKLARSLVMLLVNLTQVESGIAALLQVGDEKVQGLYVAKLVRSFCRSSSDSEDEDIFEHIASILVNISKVEAGRRVLMEPKRGFLKQIIRQFDSTNQLRKKGVAGTIRNCCFEADTQIQNLLSIAEYLWPALLLPVAGKKIYSEEDRSKMPLEIANALSHEREAVDDSEIRERALEAIYMIVMQDDGRKAFWSVNGPRILEVGYEDEEDLKVVGAYELIGSLLVGKGEIEQDQEQVEEKP >cds.KYUSt_contig_1407.43 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000141.1:237743:243189:-1 gene:KYUSg_contig_1407.43 transcript:KYUSt_contig_1407.43 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFTFSCGISDEVRDCEKSREKVVGHSGEKDESRVPEQIRGEGREPGREIVREKDGSRDLFCHDVADHGKYYSDDGDIEGDSVYSDSDGLEYRPRDTILDDALFGFFRKQAVKSLKRNFSDFCMDGSARMKAKPNTHDGVSRNVFSRYSIKYYVEVIRKLTVEQRSVIEKFGFGCLLLLDLHDIPSQFARWVADCVDPVCSQITVCYKHIDISKSTVHLILGLPKDGLEVPCNSDEGKDFILSHFHLSEMPYITFFGNKLCGSEVLSEHDIFVCFMVVAISCFLCPNLREYPNNKYLSVLRFPEAARQYDFSKLVYECCLESISEFSMLGKLKGRRLRAPVCCNYVLVVHYLDCFDFGRKNVEQTTPRIKGVFSSDVNHRKTQSPIFDPVSFKFRDNVQNRFVSNLRPDIYAGFGDTIMKIGEDLAHCSGFVDEVKAVDTA >cds.KYUSt_chr1.40180 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246162572:246164344:1 gene:KYUSg_chr1.40180 transcript:KYUSt_chr1.40180 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTAAPMSSPKVGAGSIATPWQQLQGSESWKGLLPSSEHPDSLDADLRASLIAYGELAEAAYDGLNADESSWDAGSPLYGHAGLLAASGVSHPEHYRVTKFLYATCDLRVWPWKTSKSTRSVGKSMFVRPAQVARAGPWWWETNWIGYVAVATDDGMKALGRRDIVVAWRGTVQTSEKLKDAIFPYASVAEGLDLSAENKFADANVHSGFLSVYTTNNPRRNYRDSQIDIVFDTSPRDEALKEVRNLVEAYKSEEMSITVTGHSLGASLATLNAFDIVAHGINVPPASSKLIPTLPCPVTAILFASPRVGDDHFKHVFASLPQLRALHVKNENDGVTSLPTGNFYDAATASLLIDTNRSPYLRHGNLKTVRWYHNLQCYLHGLAGDQGAEKHFKLVVDRDVALVNKSTDRLKEEYPVPANWWVTTNSHYKGKGVARFKLDKFGEE >cds.KYUSt_chr2.6871 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42879236:42880667:-1 gene:KYUSg_chr2.6871 transcript:KYUSt_chr2.6871 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRYDARGLGNADEDNSTQTTARPSCGLAMWCVDGGGAILGLADASRSPLGLGLGGPWLRLPPRCGGRDAQPRLQAFRSLMRYWFTLWLCGYQLTKCSVIFCTYFLHLCQGTLSEAKMYYRAIMFVKADCQNLSTFVLTSSPDPVHPVFE >cds.KYUSt_chr3.38126 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239887322:239887543:1 gene:KYUSg_chr3.38126 transcript:KYUSt_chr3.38126 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKPSVLIPMTSRDRDRDPLVPPTAAAAATSSSAVAGSDDDDESKPSSASAASAAAQTGREVAALTQTPLV >cds.KYUSt_chr6.31809 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201001664:201003184:1 gene:KYUSg_chr6.31809 transcript:KYUSt_chr6.31809 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETGAKEATASKFTLPVDSENKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLAAPTVFCMSLIDDAAGYITVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIKKCGATAFVAWRIAYFVPGMMHIIMGLLVLTLGQDLPDGNLASLQKKGDMKKDKFSKVLWGAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYYFDHFHLDLSTAGTIAACFGMANLVARPAGGYLSDLGARYFGMRARLWNLWILQTAGGAFCIWLGRASALPASVTAMVLFSVCAQAACGATFGVAPFISRRSLGIISGLTGAGGNFGAGLTQLLFFTSSQYSTGTGLQYMGIMIMCCTLPVAFVHFPQWGSMFFPANANATEEDFYASEWTKEEKSEGLHLPGQKFAENCRSERGRRNIILATSATPPNNTPQHV >cds.KYUSt_chr4.5431 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31351752:31355561:1 gene:KYUSg_chr4.5431 transcript:KYUSt_chr4.5431 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRASVKRLCSFCKVVKRRGIVFIHCKSNLKHKQRQGFSTIAACLPPPPPPPPTGTSASAVAFAEASKVARQESSMKFNLPLGLAALLKNGDKKEEEEEQRVRAMLAGVEVDSDSNHEDGAESEEDDGVDDDGDGAGLHAVEFGDAAVVSRDPA >cds.KYUSt_chr4.44254 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274194002:274195560:1 gene:KYUSg_chr4.44254 transcript:KYUSt_chr4.44254 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCYDQFDLAGDESATPDANGAQLLLAAPSKHQRCRSSSSVLSICIEGGSLDRRHRLVRARLRGWDPAAMGSGRSHTGYGGGSSGRGRASLFPALAFSNMSVNVDMRTLTKSSTYFDTAKEKNQRSASQGRLSSSTSALME >cds.KYUSt_chr2.6682 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41627361:41631386:-1 gene:KYUSg_chr2.6682 transcript:KYUSt_chr2.6682 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAYFLMDDEERRCKTDELQFEVTHPSPLLGIVKIIPMVSAVHESRSTRVQVSELEAVLEKEKRLNRVLHCSLQGRNVVCHCCLSALVPTKIRGLLAELAIIEDEIFYLEKKVDDLRLRLRRERNWTERCILQQRQQHNWLQQNWRHSGGPREIDGGGQQFPMLPYRGSQEEREVNIERGSKASGGSVSTQGEEVEQVTRRSHSTGNIKPPERKICLSSPNKLSEELIKLTVTIFHKINKTTHHAAELELSSMPKLNITSCIGSSRNLAPKSSSSSSDGVKSRALPPREYGGGERETSGGCKRFVEFTRSSFDASRVSSCLADIKNLRVLMNKLSTVDPSFLTNKQKLAFWLNIYNFCVMHAFLQHGLPPSADKLLSLLNQASVNVGGTVLNVLSIEHLFLMQSPDQGNKEKRMMTEGEMDLQLNYGLGYPEPNVVFALCKGSRSSPPVRVYTAEEVSSELEEAKVEYLERCVRVVQAAGARRKKTKASTTIMLPKLLYWHMRCFADDVESLLEWVHSQLPRSTSALELKRAIRDLLLHRDRPPVPEKMVEIEPYEAEFRYMLPVVW >cds.KYUSt_chr4.35028 pep primary_assembly:MPB_Lper_Kyuss_1697:4:215168807:215169229:1 gene:KYUSg_chr4.35028 transcript:KYUSt_chr4.35028 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLIAERVLVTDSAFTVSPRQVGRSSRRPHLDLAKLALDRLLEELSPAGGGHLARPLHFVARSVATACGAGTRARCASSRSYRSKTKPPPPRHLRTCTCPSSPWLSCQRMLRSCLASTLLLVLAPDRPDQPLRLPPRA >cds.KYUSt_chr2.248 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1509396:1510217:1 gene:KYUSg_chr2.248 transcript:KYUSt_chr2.248 gene_biotype:protein_coding transcript_biotype:protein_coding MREFWQLPKEEELFYTGHDWVLNILANHEEDTRSQLLFIWWRAWHLRNNSIFGDGKDKISTSACFLKNYFNTTAQIKNGDAFCDRKGKCKVDKQIPKESKPMKELCKASWCKPQPGWMKINTDASFLAETGTGHWGAIIRDHEGKTILSAWSPIDRCNSAAEAEVKAALEGLRIAACLNMPTVLESDCQYVVDCLSNHVLDRSQACFIVDEAIAVADTIPSLKVVKVHRDGNLAAHKLAAYSRSVLSSGVLHYSVPTCIREQVMHECNLNEFE >cds.KYUSt_chr2.3329 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19846616:19856631:1 gene:KYUSg_chr2.3329 transcript:KYUSt_chr2.3329 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIISTESHDTENLAETPPPPIPSRGIQEIASGTLPERGIISRRTLHRHGRLRIDEFHVGAGIPGVAPHYISPPSTFNVLLGSYWFDKPWFLTEPLASMENYSLLMGDSNVDEDGGGVDGDAFRRHFPFPAACRNRDSCPPDLGFAMAAALEGFSYRGFFSYRSFRYRTRGARGRGLDPLVGPAAVGGARPFPAALPGGALEHVREHDGGKVLPGGVPWRRGLQLVVEMSVSRAALSLESAEDLPFRLAPHPRAATNSMAAAPLPWERQSPWIPISPTTMTDALRLRSAMLFDPHHHASRLSSAGVGILSMGPGCGGVVCGEGIRKERTRDQGKGRFVTKGLLASVLLFLEPAVRWTSNDRERGAL >cds.KYUSt_chr4.24126 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151837982:151838842:-1 gene:KYUSg_chr4.24126 transcript:KYUSt_chr4.24126 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPAPLLPVSTPPPDTNPETSAETAPLRPPTTAPPSPSPPLAPPPGTSYIVHVPKDQVLRVPPPDRASRYTKLAARPARRRLLRRACCGACGALLLLLVLAAAFVGAAYLVFRPRAPAFSVASLSLDAAALLLGGGSPVRIDAAVRADNGANRKVGVDYRGGGEVAVSYSGVRLAEGRWPAFYQAPRNVTVVAMPLAGGGSGGVALLTEEQRASLLVEAEAGAVPLTVEARVPVRVRLGKVLRTWTVDVWARCEVTVDKLAGQAAAANKGCTVKVKPLWWWW >cds.KYUSt_chr5.18458 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119153870:119154382:-1 gene:KYUSg_chr5.18458 transcript:KYUSt_chr5.18458 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVDARRDDHPNDDGDDDEGDHPMRYLPLGRLYSSTAPCPPLPPKKPRATVSAPDSPSPAKPPVIVYYRRRTKKPRLQEPSPDTPLQHPEEEDPDPEPEGRGARRKCPLRHELLGLGPAPPALDGDGLLRRRQRQRQTRRATESTSAGRQPRHKEAASSSSTGKRWVE >cds.KYUSt_chr7.8257 pep primary_assembly:MPB_Lper_Kyuss_1697:7:50028916:50032329:1 gene:KYUSg_chr7.8257 transcript:KYUSt_chr7.8257 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRAKKRKWPEAELDAGVTPPASEKTEAGESPDQISNLHPDVLRRIVSLLPTKDGARTQSLSTRWRGHFRSAPLNLGVELRREDEPAPSSLVSRILADHQAPCRRLSLTWYGYKSEFVSTLLSRWLQSPALNGLSELDLWQKRKRRDEERRELPREEDPYALPLSVLRFSPTLRILSIKCTGCMIHFPSVGDLHLPILKQLTLKGVVVSEGVLHDVLAGCSVLESLVLSELDGVRAVRINSSTLRSLGVSSGWRDEPEEVLQQVIVEDAPLLEKLFLSGLDDHLSIHVLCAPKLDFLGSLPEGFSKAKLETTFVQRTVVASLMSVVPTVKVLVLRMSPPSVDDAIDFVKFFPCLEKLYVLHQGQIQFVHQTAWAVQQDGAQLKYIPERERPYQTYPPPPPLPQLHSTDSPLLWSWKAGGYGGGRRCSRSGASPRSLPDEIVVWEILARLPPKALLCCRAVCPAWRRATSTCRFLLAHQAASPLSLCYAASITSATLTTDP >cds.KYUSt_chr2.13019 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82569801:82575661:1 gene:KYUSg_chr2.13019 transcript:KYUSt_chr2.13019 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDCRVQHYCYICDKIAHPTVRCPVLKAPRPSAYVAGSGLSETFFTAIPDSVVRDELAPTSAPVAQVLVTGEVVTADIVARQVARRCSDSPGWKWEAIAYGEKEFLISVPSFDDLNRMDGIQVGVPDSTSTLAITAWQSSEVPHKVELEQVWLHVDGVPHTLRHFLGLWAVGSLLGKTLDVDLLSLRRRGVVRVLVAMLNSSVLDKTVAEPGSFAISDVVVKLKSFEFRFRREPADYVPDNDFTPFLWEKRNDGDDDGGAAGTEEDDAMDTSDDCVGPSDSGTVQLPSSSGGPSSGGTRVSSTVFAVTPFNNNPQTSAAMEVVAKLRAVSPTLERRSIVAPKVTLEELSAVHSASRPSSLTSGQGRAILPARGRVHVLARTSPATARRSTSPAAAHQSSSSASLSSSGPVREPDSATTVLVATAAGARGGSAQTEAVLSSQPAPTTSPADANRFTQERSSGVERVLHEAVQDTTSCGLGLAGPCMVPGVHVVQPHHACAGHLAGLGLSPDAAPPAVEDTNPLAAAVTGGGPAPTTPPPKVAPSSSRSSPFTPSRRSTRHGVGVDGSAATDEDSLSKAMRRKAASNLDSSAQDAFKPAFLRELVNLAKDNPHPIIIGGDFNLLRFPHEKSKGRFDNHWSFLFNAVIDSLDLKEISMIGRQFTWANSLPDPTHRKKRIQSLLHDDATIEGHEQLKSYITSYYKGLFGAPEESGITLDESRIDDIPQVSPQENAVLTAPYTMEEVVRPTQTAFMQGRYILDGVVTLHETVHEMHRKKLNGVILKIDFEKAYDKVIYRSTALLRSWSSLQRLEDRDLFTEVSTRLENSAKEFITQHGWLHSRRIAASSP >cds.KYUSt_chr4.41887 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258992159:258993595:-1 gene:KYUSg_chr4.41887 transcript:KYUSt_chr4.41887 gene_biotype:protein_coding transcript_biotype:protein_coding METSAAGAGRRGSGTAKQLNVGGKLFALEASSLSLSLSLDPSPTPTFVDRDPALLSAILSAIRAPSSPPAFPARILLDEALFYGLHAQLLAALSPPPLFGFSASLASTLSPASEPFPTALAAHHDGSLCLAHGAGQLTYYSPALDHLTTFRTHLHRATSLRQLSTSLAVVGSSSSPGLHVYDFLEGRHVASVEWADPSDLRVLKARVIAIAARPPASAADTNSPIFATFECSHRENCILAIDPVTLKPVQEIGRQGGTAAKSSSPGRVVHLQELGLVFASSVSSGAFGYSGYMRLWDIRSGNVVWETSEPGGSGRSSRFGDPFADADVDVKQMVLYKVCSKSGDIGAADLRRLSDDPWVYMSSGPRESGGGHGSVLHCYKSQVFVSRKDGLEVWSRLEEQQNGRDNSGEQPRAKEVLDSKGINENSYRSSYMDAEEDSKRGMIEMMEGGGDRLFLTRENMPVVEVWESSRLAGAISLS >cds.KYUSt_chr7.29135 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181331517:181342518:1 gene:KYUSg_chr7.29135 transcript:KYUSt_chr7.29135 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYSAAAFALRAGKPSYHAHSSAYTTSHHCQQYSDGDAGQRELAPPNPHLNPRFLLDGYLLRHSTHLLLLSARLRPPPPPHPPHCCHRRVAARCCSSSRGGCGGTRSSLQHVPWRLEAAAQRCCVQGTTRSDLGAVCRRSNAPGCGCGNSGSGRFGLENSCGNRGTLRLLGRAVRQEVWEYDGGQWPHTSYSMECHDDWDDQEEEDEDQCSHAQWELQRKSRMRRRWKEEDDDARCRDCHGRKAVENDCYHEGEYSGRRREIRDVNGTRASLQSARRRLEQRGYLDDEDTRRRREVTEERDRREFEFDDARKVGARRYSEDDRKYDRRRGKRDSDYEDVFDATRYSEDDMKYDRRRGKRDSDYEDVFDSGRVRADRYTEDDRKFGRRTERMDFEIDNEDHLRRDGRRHRNDDERFVVTNPGGKKYREEDVSPSGSRRWRDSEYHNDDRDIAERKHYSRDRSRSSASAFHKDDSQRASSSRNTVDERLARENSSSRVRWDDNVDRRTAQTSEERDRRYSSFVGLSNDDKREYDYDEAQVVRARDSRMGTQDVKVITEDDTSLISSSKNSSILKHRRDLDQQVAARREESNKSSQRIMETSEVRRNNTELDSATLSNYQEDRRNYIDNKSSSLQSSVNVATDSRRQIDRHDEVEVDHQMVALTDSRKNSEKLTDIKMDSSHNVNRASHSQRNYEEVNQMDIDDRSTSIENITHIIRDKKRYVNQQVMHETDIDVQNATHADVSTVRASDISMSRSSHNHSETRSDVNSISNTSLIDRARVQQEQIHQNKVLASDRTIVRGSQSSQDTGVYGQVHSVSVTGSTKEMQEQVELTKARTNNTATSTSESHIETRTNDRFHPSSSVYTASGMKEQTDVTKIHASDTVVVSSSHNRSDNQAQKISAVNLVDAMDSRENSDLQITQGSSERSDQVRTTFSESSQDSRGILTRVEETGRFVNHNTALNSQQTGSSRISDDKDITGLGIENSGKASDINVDMEERGTIVGRTEPTAIQSLPGGSSTRKSVNESMLESAARLEKSSTFHVGQFVGELQRDASDADTTLTKKNEKSIMEGTTRSSSRSRMKGPSDEIWDVQSATSQETFKTADKEEGSSADGGANSASQTPKNETAIAKKVHKSLWAYVADIVRLGWVQRGESHDSSNKSFKKSSSSNSQSTEGWLSTQGHDNDSIQKRNWSIKPNDNQLVKSHTTESESIVASTLKEESMPTGTQALQISTSGNVSEVGISKGDFVPRISKGDIQISGERAKQSEVGASPRGNTMGHKDSISTSVDDTIGQTLGDEVASSSRITTQGSAEIDAGKGVSAGNSSMTAKPEEACHNDGSDSWRYGPSVAITPYHVPQTQTMIPHEHTSSASFESTELPTGGSTRLEEKIFVRKAPEIIRTEVKDPELSRRKIQRNKQVLKETFEDWEEAYQHDAKQRKTDELFMREALLEAQRAADIWEVPVGAVLVHNGEIIARGCNLVEDLRDSTAHAEIVCIREASSKLKTWRLADTTLYVTLEPCAMCAGAILQARVDTVVWGAPNKLLGADGSWVRLFPGDAQTSTLDPANQNQTAGPVHPFHPKIVVGWVVEDEVVVVVVEEEVVVVVVEEEVVVVVVEEEVVVVTRGGGGGGWVEEEEEKEEEVVAIGGGGGGGGGGCRRRRSPEKEEVVTGGGSRRMRRRSTVWGRRRREKKEEKEEKGEEGRRRRRSGGEEEEEEKGAAEIQISG >cds.KYUSt_chr3.14216 pep primary_assembly:MPB_Lper_Kyuss_1697:3:86262392:86262820:1 gene:KYUSg_chr3.14216 transcript:KYUSt_chr3.14216 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQGRRKRRSSGARLRLSGSTVSGAKKPAAAKAAPVPPCNYHLSMAAVQSILNHRREPFFIGSYFDNLDEEGKRIVLEAAAEHEKIEDRYAVYQEKVRKEFAAKGFVALPDDYDKRRAAVNAASEETFRRFAAQYNPDGI >cds.KYUSt_chr4.8760 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52587627:52589187:1 gene:KYUSg_chr4.8760 transcript:KYUSt_chr4.8760 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTVEEVRKAQRAEGPATVLAIGTTTPENIVYQADYADYYFRVTKSEHLVDLKDKFKKMCDKSMIRKRYMHLTEEILEEHPNICAYMAPSLDARQDILVAEIPKLGKTAAQKAIKEWGQPMSKITHLVFCTTSGVDMPGADYQLIKMLGLSPSVRRVMLYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPTETQLDSMVGQALFGDGAAAVIIGADPNMAIERPLFELVSASQTILPDTEGFIEGHLREVGLTFHLHRNVPVAISNNIERALVDAFAPLGINDWNSIFWVAHPGGPAILDMVEARAKLDKNRMRATRHILSEYGNMSSACVLFILDEMRKRSLQDGNATTGEGMDWGVLFGFGPGLTVETVVLHSVPISAP >cds.KYUSt_chr6.3617 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20865442:20865747:-1 gene:KYUSg_chr6.3617 transcript:KYUSt_chr6.3617 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELTAAQLRAYDGSDASKPIYVAIRGKVYDVSAGRGFYGPGGDYAIFAGREASRALAKMSKDTADVSGDLAGLSDKELGVLADWEKKFEAKYPVVGRLA >cds.KYUSt_chr2.8515 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53508841:53511557:1 gene:KYUSg_chr2.8515 transcript:KYUSt_chr2.8515 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNPVMLTLVDSGSSGSFINDDMAKRLSYTATMTEPVPVKLANNQTLIYDKMIPALSWWCHGETFTASMRVLNIGAYDAILGIDRLKLHSPMRTDWDEKILAFPYNDKYITLRGVRHTSDNLLRELPVDQFLKWAKGNEVWALAVLKPETEDKLSQKEPEIQSVLDEFTDVFTCVGGLSLFWELDLGSAEDMDSHMKLEGSVDKAKDATNLIWLPPPYQRNKVFGVTPPCEQRAFAPGKYGIGVPRAHCGIMIVEVEKGQHVNNQCIHRFGHTRLALARNFVVVLNFTILWPIEEMYMLNSPWDPGGSRFAYSALNSLTFVGHTIVYSMNNLVMDSIVWEDRMSVFQPIECYVQRDPGIVKVVIMYAISMRVDALHKHCDSMDHYAAKTISDAWHCQDEYTSKTIIIEVTPCDGSVFSPCKFAELPPWRRCGFRPGYQEQPHLSRIPSWRHGGLSHGGLIIVFLTKTSVFCSHLVHHVAESILMVKPTGESPGDTPRLHHRIQPWFSPSDGSHSFGYKSGDGYCFALAPQENHLFYGCAGTIHKRIVQPCAVGSGECYCFNIQLAESMLVGIELQLFVIQSGEHVLPLKPKKPRSLLAPATSSIEFRSNMRTITESVHIAGISLEWIPFGFATWPSSKLSSCFDLQIPWDAGIATIHNAFVLSLSRLNCMLELDGVEATRHCLGTSNVFWGRYCHDRTLGDMGLGLMGHELVIIADSIGGYPTKGEATPGEGIALHLRRNSTPAPYLRKSTTPRGSC >cds.KYUSt_chr4.10264 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62149922:62150650:-1 gene:KYUSg_chr4.10264 transcript:KYUSt_chr4.10264 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVVNKAKGENALYHPTEQGGKSISYREKHLVKSLRADQSAAGESSAVARALSAIHREIRAPDAATKATALQKLTYLSSLHFAPVAAHPLAFPAIELLASPHLPHKRLAYLAASLSLSPASLSLLPLATHQLHKDLSPSTAAPAAHHLCALALHLLASPAASAAPDLAAHLAQDLVPHLSRGKVPAAGRPASGAEPHRHWPQAAPTPPRPPQPGPARRGASPRLSPSCRGCHGSPSDYRRC >cds.KYUSt_chr2.55593 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346802752:346803150:1 gene:KYUSg_chr2.55593 transcript:KYUSt_chr2.55593 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVPMLPLATKAGQEPKRSTSHSAAMVIPLTSMAGQEYHNLAAILHRKKTCIHRFIEGDHVAPIPATLRPEEQQQREERPYTMDSAGEIHGTAPSYRADAQTRQNAKTEKNLQDLLPSYSGAAPEPAPAS >cds.KYUSt_chr3.57 pep primary_assembly:MPB_Lper_Kyuss_1697:3:307511:309648:-1 gene:KYUSg_chr3.57 transcript:KYUSt_chr3.57 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDASSTAVAPAADPLPPPSSPAPPSPSPSTHLLTWPSPSPSPSTWSTVWSLDDQQRRRLLQIHDRGVAWKPPTSLSLSPDPVLILRLDHGGEVDSDGNCLFTAVRTAASAKATPRELRHRSVRRFQSVYAEAQAADRDAVDAAVRHLYAPDLGTGWGVHVVQEVKMLARKDQRQGMDGAIKELVDIGIQRETAAETIYKERCIAVNDGDSWAKYMSISGSAEDEHDIITLQYTEEGLLTVDENRDGRAAAFGDDIAIECLATDFKREVFVVQAHGADAMVDEENCVFFLPHRPRGEICDTPIFLFMKGTAWCGAGADHYEPLVATVLEGITADKAAVVL >cds.KYUSt_chr5.36744 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232321094:232323639:-1 gene:KYUSg_chr5.36744 transcript:KYUSt_chr5.36744 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAALQGPGLARAPPAAVPLHPRPRRAGFGGHHHHRGAPLRSDLLLLLQQPTAVPLRARSPPSSSASSNVNPDTGEGADELLDDLLQEHGEVVYSAGGAGSLASEADEDAECLSLAVSLAKVASEVKAADIRVLFVKPIVYWTEFFIILTAFSNAQIEAISSKMRDIGEQQFSRVASGDTKPNSWTLLDFGDVVVHIFLPPQREFYNLEEFYGNATTIELPFETQLQ >cds.KYUSt_chr7.39680 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246686487:246687194:-1 gene:KYUSg_chr7.39680 transcript:KYUSt_chr7.39680 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYGVSFYGAGGDDVGQFSGEYATVTSAPPKRPAGRTKFRETRHPVYKGVRRRGPAGRWVCEVREPNKKSRIWLGTFASPEAAARAHDVAALALRGRAACLNFADSADLLTVDPATLRTPEDIRAAAIALAEAACPAAPASSASSMAEASAPAPVMTHQGMGMGMQEAAAAMAQYNDYTMYGNMGDLDQHSYYYEYDGMGGAGAGGEWQSSSHMDGVDDDGSGYGAGEVTLWSY >cds.KYUSt_chr4.16370 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101722417:101723637:-1 gene:KYUSg_chr4.16370 transcript:KYUSt_chr4.16370 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGRYVNLVMANHHQGIYSLRRLNSDNFFYPAKEAAAKARDLRRLKRVPPDALSSWFDKKKKKHAAALSNKQLETTIRPPPPIFSVRPSTCPVVDGEYNRLHSFPLSGTKIFFADSGKRTTLYDIQTRCSISTPCLHAPKVFPVALSIPSPGPEGEQDQDGGSLYIMETSLNPSNATPFEALIWRDCPVHHFTAHKTWQCEALPRPPFFHHQLDVHTSVLSYALVGDIICVSLTSVGTYCFDTVSQKWSLAGDWLMPFSGKAEYVPELKLWFGASAGNHQLPCAADLSPILGGHAPKKRYVWGDPHLPGDWLPDLLNPANIVSLGSGRFCIINFFRDMGGCCTSCMDGPSAADGSPIVVFSGLEVLSGNGKDSCSDKGNGNGLRMIKHKSRLCRDTDSHSIESVL >cds.KYUSt_chr3.45316 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285538445:285538744:-1 gene:KYUSg_chr3.45316 transcript:KYUSt_chr3.45316 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLPSLRRHGQIIVTTNNMRVAASMGTVAPIRLKVLPHTEYWFFFKAHAFAATDVEENPRLLAVGTAIARKLNGSFFGAKIVGGVLRAHPNLQLWS >cds.KYUSt_contig_686-1.557 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3372547:3372948:-1 gene:KYUSg_contig_686-1.557 transcript:KYUSt_contig_686-1.557 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHLVAAAMAVLFAVAAAQAPGASPTPAPRPQPIPPPPPAPATPPARPPVMAPPTSAPAPAPTALAPAPTAAAPAPESVISSPPAPAPGTIALPPSDAPAASPPPPSAATGLAPATTWAAAAAVAAVAVFY >cds.KYUSt_chr6.184 pep primary_assembly:MPB_Lper_Kyuss_1697:6:1094245:1098874:1 gene:KYUSg_chr6.184 transcript:KYUSt_chr6.184 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATGAGASRAAPPATGAGAGPSVVVLVESPEGAPPAPEMAAPTGPTASPGAPSPPELTREEPAGKEPARDEPARAEDTGSRVLVRTEAPSASLGGLHIAKGARLLHVPSASDSSLGSAGTMEQAWLSADSYEVTSREGNPGQASVKMFFSGFHANLKARAAETAANLAKVEEASKAVTERRTNLYNRAVTHYHKAKLDRADLARELEAVKAEAAKVPQLEADLWAARAQCAESEEAGRSAAAKLKVAEGELIKRRDEAGDIPERRPNFHGDGELRELRKDLRIGGGEGLKELALLRTAEKEKVDDLSRRLTEVEKQRLALQEEVTTKTTELSATAKRWVEEIGALDRGLSGECTFSFFLSLCRLSAVGCRLRRRATGEGSSEYFTMEDYMASMAARVEPITKLGWELRKAAEELVQMLWPAEAVPQDLSNLISWLERAPDRFLDWKESATRAGADMALSFVLSWYNEVDLGQLEYRRAGVEDKLPAEYKTARLARASAIADFVDKGLFVADPNPPSDDEDEEMEEEEA >cds.KYUSt_chr1.40329 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246991568:246993322:1 gene:KYUSg_chr1.40329 transcript:KYUSt_chr1.40329 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVGVVPFNPDGWGPPETPAAPPLLGGAVATASIPFAPFSRSDKLGRIADWTRNPGHPGAHAAASRDSIFDFSSADDSLAAAAEDSSFSLVDAKPPPRHPRFGPKWRFNQRPQLPQRRDEEVEAKRREAEKERARRERHYQNHRSHHHQGFRGNQASQAKPSVDIQPDWTMLEQIPFANFTKLSFAVNDQPEDLLVCGAVESYDRTYDRVNPKTQRRLERFKNRHFFKITTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSILSWDIVVQRVGNKLFFDKRDGAQLDLLTVNETAQEQLPENKDDINSAPSLAVEATYINQNFSQQVLVRDGEKVTFDEPNPFATENEEAASVCYRYRRWKLDDSISIIARCEVHAASVDPSGARQFLTLNALNEFDPKITGVDWKQKLESQRGAVLATELKNNANKLARWTAQALLSGADMMKLGYVSRVQPRDHFNHSILTVMGYKPRDFAAQINLNTANMWGIVKSLVDICMKFEEGKYVLVKDPAKPQLRIYQVPNDAFENDYVEEPLPEEEQVRPATDDVDLTAEEMDAAAEAEANKAATPGGQGEKSAETTVA >cds.KYUSt_contig_3002.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000579.1:100163:103995:1 gene:KYUSg_contig_3002.22 transcript:KYUSt_contig_3002.22 gene_biotype:protein_coding transcript_biotype:protein_coding MELAFRFMPLNGWTDGAYSSLQLESGRQYDDDDSIANYPSGLVRSGPGPHVCQSAMCEPARRGFESYRASPVDMRVITSDGQSIAAHSYVLASSSPVLERTIDAARRGWGAECTIRVLGVSADAVHAFIHFLYCSKVTPEEDEVVGAHGAQLLALAHAYRVGWLKRAAEAAVSARLTPDRAVDMLKLARLCDAPRLYLQCARLAAKDFAAVELSEGWRFARRHDAALELELLKLIEDADQRENRWARERAAQEACRQLGEAMTTLEHIFPGSSGAACATDGCSCRGLQLLMRHFSTCTKKTAPGGCARCKRVLQLFRLHASVCDRTDQTCRVPLCRNFKGKTQAEKADKTWRLLVKKVIRAKVMSSLANRKVPEVVSASWARHNGRAAKLR >cds.KYUSt_chr1.11056 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67689381:67694435:-1 gene:KYUSg_chr1.11056 transcript:KYUSt_chr1.11056 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPAPESMLLDEKPPTAVASEKKQQDGEARYAEGKGAMTGHIISTTIGGKNGEPKQTISYKAERIVGSGSFGIVFQAKCLETGEAVAIKKVIQDPRYKSRELQLMRSINHPNIVCLKHCFFSTTSRDELYLNIVMEFVPETLYRVLKHYSNAKHGMPLIYVKLYMYQIFRGLAYIHAVGVCHRDLKPQNVLVDPLTHQVKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTSIDIWSSGCILAELLLGQPLFPGDSAVNQLVEIIKVLGTPTREEIRCMNPSYTEFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREPNARLPNGRPFPPLFNFKHELANASPDLINRLVPEHVRRQAGLNFSHSLVLAAESFMPCSLALKPENDGWGAHYATPLNRMQAVCIPFFLNLQTLKQKDAAATVSPVLQVAERVERVIPPSASAMSFISGGWAGKGGLTKRTMECGLVINQRQTAAQPGTKEAPAVGLLEEGAQPRPVGSMMDLLRELL >cds.KYUSt_chr3.23195 pep primary_assembly:MPB_Lper_Kyuss_1697:3:143552733:143554561:-1 gene:KYUSg_chr3.23195 transcript:KYUSt_chr3.23195 gene_biotype:protein_coding transcript_biotype:protein_coding MDARQPCTCEKSECLQRYCRCFDQKHYCTEACSCCKCHNTEENKDEVDEHAEGISSKRPDAFKPKIVAAAGGGDAPGSQQHEVMVHVKGCNCNKAECRKLYCECFKHDVRCTAKCQCVGCTNRFRVNGDYDSPAGTSDGSDATLTSSDGASSDVDGVLNVPAGQAGLVDGLPLVPIPGDWSDWCANFVPQTRAMGGGGLEVMFQHSKDKDNFQHNVDPQRHKGFEQDGGSLCNDANSMLRQDPSTSNACRLNHDDNQINMFGGSGATFAGLDEAFSTFGDMLNVPADEAGMVDCFSSIPVAGDYSGSYDDLVPWTRAMGGSSLEAMFQHLKDGYTFQRDADPQRHQGFAQDNGSPSNGPNSVLWQDPSNSDACHLNHDDQDK >cds.KYUSt_chr1.8645 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53025101:53028478:-1 gene:KYUSg_chr1.8645 transcript:KYUSt_chr1.8645 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKPSPFRKSFSPIRRLLRRNLSGRHYRHPTTATTAKTTTSSPPAENLQGQTVIVDVEAWLLRSRLSTFAYFMLVAVEAGGFLRGLLLLLSYPFMCLLSGDMRLRAMVMVTFFGLREKEVARVGKAVLPKLFLEEMAMEGLDAVKDARRVVAVCTLFPRVMAEGFLKEYLRVDTVVGKEVMAVAGRYVGFIVDEALTLQTDGAPVGEEMKEMMNKGKHEEAFGLVGTGSRMNHLFSYYCKETYAVSEADKKSWQPLPRDKYPKPLVFHDGRLAFTPTFPAAIAMYTYLPFGIVLAIFRSLAYSLLPYCVSIPLGALTGMRSRVIAGPPIDATEKSKAGGRLYVCNHRTLLDPITVAACLNKPVTAVTYSVSPVSELIAPIRTARLTRDRDEDRRRMAALLARGDLVVCPEGTTCREPYLLRFSPLFTELTAEVTPVALETNVDMFYGTSTKPASKVLDPLYFMMNPRPDYRVEFLDPVRTATSTNSQEDHNKSQTIEAANRVQRVLGEALAFELTEQTRKDKYMMLAGNEGVVEGKVKK >cds.KYUSt_chr2.30013 pep primary_assembly:MPB_Lper_Kyuss_1697:2:184809975:184818079:-1 gene:KYUSg_chr2.30013 transcript:KYUSt_chr2.30013 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRREGELEAHRWPTCPKEARERDPASTPAAILVLALIGATVTTAAVRLSSLSPPLSFAASFFSSNPRCVGLNNTSTGKMHPGVRTGMERIRRMQDMFKKERSKCRDYRTRDGHNPSYNQHTRRDDWARSEPFSDAEIKNAFRRKAMEYHPDQNQNNKEVAEAKFKELILVQDMRMEHVVIAMSLINLVEKFCSHWLKIEFQCSHSSSSLLDFSPFWSSALPFASHRLEWGLWARSIGIGAGVRALVLKRWRAPGIVCVCSEQRDQGLLFWEEERCELRRWCGWSWPLMAPWSGLWAGLAGGDAYRGTPVIVKMENPNWSISEVDGGEDFLPGPGGRRRRGKNAKQITWVLLLKAHRAAGCLAWLASAAVTLGCAARRRVAAGRTDSDANQGECEGEEEEAAHAVRRSRFYAFIKACLLMSVFLLAVEVAAHSNGRGALAVFAASFYASWVRVRAAYLAPPLQLLADACVVLFLVQSADRLVQSLGCFYILLKRIKPKPISPALPDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIATVCNLDWPRSSLLVQVLDDSDDPTTQALIKEEVEKWRQNGARIVYRHRVLREGYKAGNLKSAMTCSYVKDYEYVAIFDADFQPYPDFLKRTVPHFKDNEDLGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLLDIIQCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPNWVVCYIPALMSFLNIVPAPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLTASAPKGLKQLKAGSMPVIDAVIKEKSNPKEKPKKYNRIYKKELALSLLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEDIK >cds.KYUSt_chr4.23787 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149877630:149880311:1 gene:KYUSg_chr4.23787 transcript:KYUSt_chr4.23787 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQAAQYKFGPYKIDAREVFHSTALSYAMVNLRPLLPVKRFADLSSDETSDLWVTAKEVGAKLEQYHKASSLTFAIQDGPQAGQTVAHVHIHVIPRKKGDFENNDEIYDAIDVKEKEMKEKLDLDVERKDRTMEEMAHEATEYRALFS >cds.KYUSt_chr4.49837 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308707700:308714041:-1 gene:KYUSg_chr4.49837 transcript:KYUSt_chr4.49837 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLEMWLPPAGEGVSAGLFLDGADAAAHGALLAAMPGCSASFGVPRRRRGTPPGFLSMTMSVKGGRGFVPGQVGLLATGEDKGGEAEGLVAGRGVDGLMVVETDGKLADEKEAHSGAGAMNTTKHLWSGAVAAMVSRTVVAPLERLKLEYIVRGEQRNLFELIQVIAASEGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKWSGNEETTNFERFIAGASAGVTATIMCIPMDTIRTKMVAPGGEALGGVIGVARHMIQTEGLFSLYKGLVPSLISMAPSGAVFYGVYDILKAAYLHSPEGKRRISMMKQQGQEANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVVRRQLQLQVKATKMNALATCLKIVDQGGVPALYVGLIPSLLQVLPSASISYFVYELMKIVLKVE >cds.KYUSt_chr3.35292 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221617225:221618321:-1 gene:KYUSg_chr3.35292 transcript:KYUSt_chr3.35292 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLACCCFLVALALACGVALGATAPRKPGPPKNPPKSSLQTFKFPKSGGKRLYSISCKKERGKPLCVMSCPAKCPNECLASCSYCMSFCLCDIFPGTSCGDPRFTGGDGNTFYFHGKKDQDFCIVSDKDLHINAHFIGNHNPAVRRSFTWIQAIGVSFGGHRLFIGARKAAQWDADEDHIDIMLDGETVDVETFKGALWVSKALPNLSVTRTDNLNAVTVELDGVFSINANAVPITDEDSQIHNYGKTERDSLVHLDLGFKFHALTKAVDGVLGQTYQPDYVSKVDIGAKMPIMGGAPKYLSSNLFSTDCAVSKFTGNNVAGPVVTYAS >cds.KYUSt_chr5.360 pep primary_assembly:MPB_Lper_Kyuss_1697:5:2475137:2475880:-1 gene:KYUSg_chr5.360 transcript:KYUSt_chr5.360 gene_biotype:protein_coding transcript_biotype:protein_coding MHTASTAASQQPIGRTATTQAMASSRVLQQIALLLLVAAAATHAATITVVNKCSYTVWPAALPGGGVRLDPGQTWPLTMPAGTAAARVWPRTGCTFNGSGVGRCITGDCAGKLACALSGEQPTTIAEYTLGNGDPDFFDLSLVDGFNVPMRFQPLDGAPCRAASCSVDITKECLPELKVAGGCASSCGKFGGDTYCCRGQFAVNCPPTNYSMFFKSKCPDAYSYAKDDKTSTFTCPTGTNYQIVLCP >cds.KYUSt_chr5.13339 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86937157:86937831:1 gene:KYUSg_chr5.13339 transcript:KYUSt_chr5.13339 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSRARRGGGRQFAVGGRLRHVAVVDAGCGCRPRRPRLPALMSLPSFLRPSPKPPVPAPGSSISSSLSRSSSFFPSSASTASSATYSTYSSSSASYKQHGQAPAVPYGVTAKASAPAPVARKKHAARKRREKAAASAEEGVGVAVEKESSDPRADFRDSMVQMVVEMGLCDWDGLRCMLRRLLALNAPRHHAAILAAFAEVCAQLASDPPPQPPAYHYDYYY >cds.KYUSt_chr1.37372 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228308260:228308999:-1 gene:KYUSg_chr1.37372 transcript:KYUSt_chr1.37372 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVPLVRKAATSASASGPATTTSLKVGDALRSGRRRFTEADVAAYAAVSGDRNPVHLDDAFARGAGGFARGRVVHGMLAASLFPALIASRFPGAVYASQSLRFAAPVYVGDEAAAEVRALNIKSAGGRHIVKFATKCFANGHEDGEETLAIDGEAMVFLPTLQLGSEAIAE >cds.KYUSt_chr7.16704 pep primary_assembly:MPB_Lper_Kyuss_1697:7:103513532:103513852:-1 gene:KYUSg_chr7.16704 transcript:KYUSt_chr7.16704 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLAGCPLKLHASIHEGERRPRVGAFLIGSDAVHPLKPVLTMGEGVAGYLAGFHRCLRLEVPASSVKRAVDGLKVKSEPKYDAEGYLLSALLSPKDRSNSAYRV >cds.KYUSt_chr2.44464 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276651263:276651934:1 gene:KYUSg_chr2.44464 transcript:KYUSt_chr2.44464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal protein, Response to salt and low nitrogen stresse [Source: Projected from Oryza sativa (Os04g0534600)] MSGDTLDKLVVFLAKRDGIDKLVKTYQYVSKLAHWAAESSHPGFAGRAKNWETAAGLSRKVFRSGRSLSGLNALRRSPGEFGALAVLANAGEMVYFFFDHFTWLSRVGVLEPWLARRASFVSAFGETVGYVFFIIMDVIMIRRGIRQERKLLSEGGKEKEKETELSKIRMDRVMRLMGTAANLADLVIAIADIEPNPFCNHAVTLGISGLVSAWAGWYRNWPS >cds.KYUSt_contig_1467.252 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1590444:1593140:1 gene:KYUSg_contig_1467.252 transcript:KYUSt_contig_1467.252 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLISAGSRQCCARCTARYVYGVINARDDLHEIDRKIDPCRKIADWRRKRTRVAGDRSLPTKCERVEIEAGQEMAATTIAKSSVSVDVERVEIEAGQEMAATTTAKSSVSVDVERIEAGAGEEMAAITEVKSSVSTDVEKSEEVSGKDNMPASKSPVSMDEEEMDLNIESTTVDYFDNRPIKKAKSSKTCVSDDPLSSFTISTASIVSECSDSVSSELMNEDPLPPSPNQLALSPVSTEDDKHITNNLTYEYLPQDYTLTQLDECAHCVIQYSNEDDVLVKIGDISIRKRYLECLLARV >cds.KYUSt_chr7.8550 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51802659:51806663:1 gene:KYUSg_chr7.8550 transcript:KYUSt_chr7.8550 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGLVDGFRRLFHRRTPSGSVPGSNQSSAGEDFSSDDVEDLDLVGLRAIRVPKRKMPLPVETHKKNALEKEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREVKLLRLLRHPDVVEIKHIMLPPSRREFQDVYVVFELMESDLHQVIRANDDLTAEHYQFFLYQLLRALKYIHAGNVFHRDLKPKNILANSDCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAVDIWSIGCIFAELLTGRPLFPGKNVVHQLDIITDLLGTPSSETLSRIRNEKARRYLSCMRKKHPVPLTQKFPNADPLAVHLLGRLLAFDPKDRPSAEEALADPYFATLSNVEREPSRNPISKLEFEFERRKVTKDDVRELIYREILEYHPQMLQEYMKGGEQLSFLYPSGVDRFKRQFAHLEEHYSKGERGSPLQRKHASLPRQRVGASNDGNNEQHPNDQELGADPDAQSPPRSQDPGQQHPSGGQNGVSPRSYQKSASISASKCVVVNPNKQREYDEEISEETEEAIAELSEKVSKMHP >cds.KYUSt_chr5.35538 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224572913:224573650:1 gene:KYUSg_chr5.35538 transcript:KYUSt_chr5.35538 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGSPTPARRRRLLAPCPLPRRLPRPPAAKPSAADEGGGEDLCLSGSRTVVSEGGRHAGPRRSSPSSAPPAPFAVVSRLAAFLQPAAARRTLTPGHGAVRLERARSGPVWARSGPGGPRPVRRSPALPVCAPSCCLPRGLPRSFAAACPGEGVPARVALALVWFAVVPAAAHLRPLLASVRLRIGPAGGFAGRFRPSLLFAARRLSCFSPRPAMAWLLSGLWWTRFAWRVVARLPGGCLMHRWR >cds.KYUSt_scaffold_2697.35 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:239894:241246:1 gene:KYUSg_scaffold_2697.35 transcript:KYUSt_scaffold_2697.35 gene_biotype:protein_coding transcript_biotype:protein_coding MMESPTDVEEEAEVEEEETEVEEEEDDEFEWSDNNGPHPDETADQQRVLVEFFKSVKKLQDAARAREEAQIRPAVELSLQAAQQGRADDDALLEQRRLATALRMERRRAQQELRRRQATTGQGRRTHRRAAQAPKYILEHPWQAIPFTKGATDSTNTVSFKATPDLTQVHIILQGSKDLRTWQEKRHKEEDGDTEPYRSRVTKRRTQVT >cds.KYUSt_scaffold_719.358 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:2337145:2338541:1 gene:KYUSg_scaffold_719.358 transcript:KYUSt_scaffold_719.358 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVLSLLPFCLVAAAAVQPGDYLAVPGGSIRIDAVVSPSRQPEQHVFRTISSAVDGAPAGYVVYVTRGVYNEHVAVASSGLTLVGEGMGATFITGNRTNKTEGVHSNADTATLDITGENFTARDLTVANTAGVDAGPAVAVRSAANRSIFYRCEFVGFQDTLLAESRIQFYKECTIHGTVDFIWGDATAVFQDCLIYVRKPQAGRHNVITAQGRDAPERDTGFAFQNCSVITRDDLTGVDTFLGRPWRNHSHVMIMQSYLDTIVNPQGWVTWKREDVAAEATRTVRYMEYGNRGPGAVYDRRVNWTGFRHIRHSREAKKYTVDRFIAGNQWLPGRKVHFNPGLYKSDKHA >cds.KYUSt_chr3.26513 pep primary_assembly:MPB_Lper_Kyuss_1697:3:165111359:165114378:1 gene:KYUSg_chr3.26513 transcript:KYUSt_chr3.26513 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMADAEKTIVAQERFSWLPRSYNHSWTSHYARSIHRCRFPMKSAARDAAATHPRSLRDKQGDQLLIPFSKLSIQILQSAHQYSKLQQELQALIKFSNGHQRTPGCGPTPVSRMALSEGGEDDGQ >cds.KYUSt_chr7.2386 pep primary_assembly:MPB_Lper_Kyuss_1697:7:13863859:13864809:-1 gene:KYUSg_chr7.2386 transcript:KYUSt_chr7.2386 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKCFLVHGFPCLLLLVVSGCLPHVSSLSFNYNFSAPTGFASTDLRYMNDSAPVLDRIELTNQSRRWSTGRVAHNQLLRIWDHRTSKRGDGMAFFVGPYMPYYMPMDAPAGHLGLFNNRDNPGNNYFPPTVGVEFDTFRNVDWDPAETNCHIGVNVNSIRSTNYTAVPEGIFNGIMSAEVRYDAKAATLSATLRLLDPPGQGTYTVSANVDLQGAGLPEMVEVGLSASIGDYIEQHHILSWSFQTIMIGKWIRPFFGYVQVPPKSK >cds.KYUSt_chr1.33452 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203373931:203374508:1 gene:KYUSg_chr1.33452 transcript:KYUSt_chr1.33452 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >cds.KYUSt_chr4.50713 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314194507:314196992:1 gene:KYUSg_chr4.50713 transcript:KYUSt_chr4.50713 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTARRNEPELVRPARPTPVETKALSDLDDQLPLRFYESIVGFFKSPPGENVKPGKVARGIKAAVAGALVYYYPMAGRLRKLPDGNRLVVDCTGEGVVFVEATTDVRLADLGEPLVPPYPCVEEFLGDAGDTRDVVGKPLLFLQVTQLKCGGFVIGLHMCHCIADGFGILQFIKTIADFACGELIPTTLPVWKRDIFTARMPPSIAHVYPAYKPFIHGLQCTGDDVMLSTPPESMEVQYLFFGPKEIDTLRSHIPEQLSKSTTTFELITAVMWRCRTLALGYGPSEKVRVMFTLNTRGRSINGESVVPRGYYGNAHFSPMVEVTVDELATKPLASILEKMRKVKWDTTKECMKSMVDLLALWREWSPFGMDRTYEVSDTKWVGGKALKFGKAELVAAGTPHAGDFTSKLISYHTKCKNIDGEDSTVVSILLPKPAMEKFTKEMEIWLKK >cds.KYUSt_chr2.13905 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87985497:87986865:-1 gene:KYUSg_chr2.13905 transcript:KYUSt_chr2.13905 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKPDGVQLGMQQFPGAKPPGFLADTGVGEDEEHAAGAQPAAALVEKVAAASSPATARMVKKLAALKATAVRTCPKRGAMPAALKAAAVTVMRKGPVRTNSGWRHCRPHGGRQMSWYRHGICYGVAKVRWFLYGRRRYPDAGLVHSTR >cds.KYUSt_chr4.21525 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135511371:135512012:-1 gene:KYUSg_chr4.21525 transcript:KYUSt_chr4.21525 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNSRFCLLLQTGILTSISIMSHSLSLRAKASSIVPSCRSGQRNRGCRRRNGCHQLQVGNGITKHLQICGAISSKGMLPRTREHTEMETRWKEGDTVAASLSPSSHRVQIEDDIGTTRNTPCVRSVCGASGKAAVVLGHGQGGGAVGDQRGGVDPGTEGHGGGAGMRMIARGRRQGPCRVLPSEVLDVVVKCQDRTDSETDLAVGPTKVTSR >cds.KYUSt_chr1.25581 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153586892:153587662:1 gene:KYUSg_chr1.25581 transcript:KYUSt_chr1.25581 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRPEKLGGRVILRKRPRTFSPEEISSSLVSQLRLPFPISSAAGARPTPVDSAHHFAARPPPRPSPVDPCRSHGLEAWLRSPSTNPSRGSSRLCFSIQFRFFSTAAAQILAGAVVQLTGGGGSAGRRRPAHRRRRVCRSPRAWPTAAQRSTRAQRLLRYAAAHVQGFPAPAPALALTQFGHGQSNPTYCLRAASATETRRYVLRKKPAGAILQSAHAVEREFQVQILLTRFAAAQFSQLFIRLAVADVPFASII >cds.KYUSt_chr7.21151 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131031957:131034615:1 gene:KYUSg_chr7.21151 transcript:KYUSt_chr7.21151 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIGMATAMEKTTRFDDLPVGCVAHVLALTSPRDVCRCAAVSPSFRDAAESDTVWERFLPADYLAILLRSGSGRLPPPSSKKEAYLRLSDSGVLVIDSSDTAVWLARGSGAKCVALPARKLSLPWDDGEFSWRWTSHPLSRFPEVAQLVGCTGLDIYGRLPASALTPATDYAAYLVFGVADEGHRGLSFPDQETTVAVGGRAASSHAVCLCPDEGEARKFSGVAHADGVRRPERRDDGWSEMEMGRLRVDETVVAEEEVVVSFEVLGWYPKCGLVVEAVKFRPL >cds.KYUSt_contig_528.512 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:3184402:3184916:-1 gene:KYUSg_contig_528.512 transcript:KYUSt_contig_528.512 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVVAPSLLAVGGSVAALTTPFPSKKVACLLVSKRSRISAQLGGGGDGEAKSDGEKKFITREEEPEQYWQTAGERKGENPMMTPLPYIVIFGFSTPFIILAIAFANGWIKAPLIR >cds.KYUSt_chr3.31848 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200045589:200046042:-1 gene:KYUSg_chr3.31848 transcript:KYUSt_chr3.31848 gene_biotype:protein_coding transcript_biotype:protein_coding MADNAVGREGAERLERDELIPKFTSRWEIAGVRARVQLGPSCRRGPGQRDWLRTRHLRLPEPKVSVDMSVAFPDLSQARSGDNLSILASALGHKDAYPGTRVLLTAADTGNVVSNSPRAGTPFVAT >cds.KYUSt_chr4.46502 pep primary_assembly:MPB_Lper_Kyuss_1697:4:287471299:287471700:-1 gene:KYUSg_chr4.46502 transcript:KYUSt_chr4.46502 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEDTALTLRLPGSDPDRKRASTSDPDCPSPTAADSPPSPKYVPRSVRNPPCSFSGADTTRLVNLAVQGAGGDLAPVARSRRCSLGGAADAGSPPSCPSAGTAGPTAASSSPSYT >cds.KYUSt_contig_1948.68 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:406040:421728:1 gene:KYUSg_contig_1948.68 transcript:KYUSt_contig_1948.68 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKRSIRYRLRNGLEDATRDALMVRHGKPRASSSRDHQPDHCDPAVLGGGGGHVHLIPTNSIAVGRQFKYEADKQISALVGQSKGEHQNGQEVAVLLRQLTERVGDKQLQDETDKKISVLDDHSKDISNALYEYNSEYPGLVAQRLKLDFLKKITNNFSSEKIIGKGGYATVYEGEDEDGRVIAVKKLVITSNVDSKQFENEARHLSTLTHQNIVKLEGYCYEEEIHVIQHEGRGIKAADIYMLLCFEHMRGGSLDKHLSDADCGLNWSQRYKIIEGICNGLHYLHEGKEGSPIIHRDLKPGNILLDDEMIPKIADFGISRLIGEDKTHTRTFAIIVMPSRRVYLSPEFKQNGMISKKLDIYSLGLIIIEIISGKRIYCDNIETPNEEFIEFRSGGSFHLPNTLALPSLDKSRKLNWDMRLRIIKEICEGLHFLHVESGRDIIHLNLKPSSIILDDKMVPKIANSGLHRLFGQEHTPPQAQDISGRLVYMAPEYLYCGEEKLESDLYSLGLLIMEVVTGNRSCFNEDGLLPWHFIGNVRKDWTNTSHIKSAYPSLNTEGLNQVKCCIVIGLKCADIRPKERPRIGEVLSALGKICPVPTELIGVYPLQLCFPFKRNRMNCCFLHVTNMTDDRVAVRLLSDNQKRCMARGVAPPRSTYTLVVSMRKPVNSGEFFTLESCIVGEGGLNLKDVDLDLTNREFHDFFKEAQASCTKKVHQQKLEVVCGAQDEIWNVSSPNSTITLSADGLQSLRYIINDIGQFLISDSQDGTTKIWDLDTHKCVQTFKGHANHLEVKVKVEAKLRAKTAKVKEADVSEFLLVTCFAPDPKQVDLRRQ >cds.KYUSt_chr2.36984 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228793769:228800283:1 gene:KYUSg_chr2.36984 transcript:KYUSt_chr2.36984 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVCNAPKEECVPAQGGMVRRRIKEIEEAARGARDMQPPSLYVSVASPLEVSAIPSSSGSRTQTIFYEVPQVQHCDSMYELPQPRINTEEASSTMKAEPKVARLLEKAGFTLRRNNRIPPPPAMCEAWWRQSEDLIKKRHKARPKFGLGYIDLGGSDDEEEGPSYVPQATCHATSVSSGDDTETSRRGLHRRNYNNNDQTEVGELDSMMSTHASFPVDSVGPPRAEKILAGVLYSDLELTEKEIERMVMEASRAEFLNHQQVNFRESSMSAGAEPSSSAARDKGSENCFVLPDTVLTRSMQLLLAMGFSYIQVMEAYSIFGEDVDSMICYLVEMGGAGASAGGSNRRKGKAAE >cds.KYUSt_chr6.24917 pep primary_assembly:MPB_Lper_Kyuss_1697:6:157780501:157782918:-1 gene:KYUSg_chr6.24917 transcript:KYUSt_chr6.24917 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAAQLAAVLQACIKRSPKPSRVHAKAAHARVLAAGLAGDTFLLNRVVELYSLSGLPRDALRAFRNLPRPNAYSYNAALSAASRAGDLDAARALLDEMPEPNVVSWNTVIAALARSERAGEALELYEGMLREGLVPTHFTLASVLSACGAVAAPEDGRRCHGLAVKVGLDENQFVENALLGMYTKCGSVGEAVQLFDAMASPNEVSFTAMMGGLAQTGSVDDALRLFARMCRSGIRVDPVSVSSVLGSCAQACTSEFNIVRAFQLGQCIHALIVRKGFGSDQHVGNSLIDMYTKCMQMDEAVKVFESLPAVSIVSWNILITGFGQAGSYEKALEVLNLMVESGSEPNEVTYSNMLASCIRARDVPSARAMFEKIPSPSLTTWNTLLSGYCQEELHQDTVELFRRMQHQNVQPDRTTLAVILSSCSRLGILDLGAQVHSASVRLLLHNDMFVASGLVDMYSKCGQIGIARSIFNRMTDRDVVCWNAMISGLSIHSFNKEAFDFFKQMRGNGMMPTESTYASMINSCAKLSSVPQGRQIHAQVVKDGYDQNVYVGSALIDMYAKCGNMDEARLCFDTMVTKNIVAWNEMIHGYAQNGFGEKAVELFEHMLTTEQRPDSVTFIAVLTGCSHSGLIDEAIALFDSMGSTYGITPVAEHYTCLIDGLGRAGRLVEVEALIDRMPCKDDPILWEVLLAACAVHHNAELGECAAQHLFHLDPKNPSPYVLLSNIYASLGRHGDASGVRALMISRGVVKGRGYSWIDHKDGVRAFMVADDLQTFSGESAMCSNQESTAGVTEVHQKQTCAG >cds.KYUSt_chr7.25803 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161027710:161028057:1 gene:KYUSg_chr7.25803 transcript:KYUSt_chr7.25803 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWQLAAVLFLALSTATTSMAQDALQTKCQDDLSKLSDCMDYATGHEDSPSAKCCDDTSETQKERPECLCYIIQQVHSGTHGVQNLGLRFDRLLAQPAACKLTHANVSLCISQ >cds.KYUSt_chr7.29649 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184507019:184509211:-1 gene:KYUSg_chr7.29649 transcript:KYUSt_chr7.29649 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLDPEDLHPTVPLRKVPGGDIFEAARAGDCARLALLLEAGANVNARDRWDSVPLYYACLAGHADAARMLLQAGAVCAERTFDGDRCHYAALNLDLRRLLKSFEARPPPLAPLPAALRAAFLACPANRAAFLDMMLQGSATAEAAALAEAAGFGPTDAASAARLVPPDITFYVGGKPIEAHRVILCARSPFFEKKFKTDWKDRKEVRFSEKKLSFTALYNLIHFFYADRLEAPVDDMEGLSIICKVCKCQELHRLVDKEIVHHRFAPYKATRKLDLMESQTRFVLQGQSLPPEDRLPSALQRVLHSCLANSRQEDYCNSVQNEMRRNSYDGDRADLIVKVDERVFRCHQVILASRSEYFKARISRTVGFLEGNNESTDLPCLEAHDMSVEAFEKMLEYMYTDKVEHMDPILAEELFDVASRYLLFPLKRVVADLLLPNLVHVSPAELCHWLMLSDIYGVMKIREYCLDIIACNFETFAHTREFRALLLTLPPPSATDALRTTHPSAPGATGNTEQGNVLDDLREKWLEAEAAELDKRDQSAALFDKRLELLMFVAEREADNDDHAGRLN >cds.KYUSt_chr7.25915 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161866485:161877630:1 gene:KYUSg_chr7.25915 transcript:KYUSt_chr7.25915 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPQAILPLRALPPDAPLPFPPPFHQQSPAAAPSAAPTTALVPATPTNPTPPPPLPLAAPPASTRPPHPWEIAARAWLESFPDGRPPTEPEVDAYIDAHRPDLPSLPRSQLHQRLLALRGDQVLDPDQSAFPYRFQRTDLWKPVYQWLETLEMETLVESKQISDWLAANPQVMNRLVEKHSKYHLIHYSQRMHLKLLKKRGKLPKTLQLSAARATFQRSSVPLTSPESAMTLPLSLPPVTGGFPGGSATISPGRSAGRSPVEGAVKSPGGTATIRDKDMSLSKKKEALLKYELLTDLQNQLTSVLLKQCRTVAIKDTDSLYMDIQKPETDICIQEGTTIASTSNLADVPNIYVNEQQNSGGAIESEFGQKRKRNPIIVTPAWCFSEAAPGTLEHEQNSSSHSDGARSFNMWKGHASPSFLHRSIKKNLLYCLEGREIGSNWSQACSNGGYVGRNCERWTPFLEGWNSPAVQFEGPAVQAVRKSYLSWHPTSFAYTSSAPSAQPHDRQGVRKVLDVKFHPEGLPQLVSCSNEAPNELLLFNLLSGRAIQLRGHNTKIQATSFAVKGASVVSCGSNLLKVWDCITGSCLYTLGGDDQNSVGHTQNINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSIDTMEFCSENLLACGSDCDYGGSAVVQLWDLESPESYLSFSASDSYITSLKINPAGNTIITGSGDGTIGLFDIRACSAINHLSVGSGSEVTSVSFSNCGTYFAASSTSNNTLVWDTRLVPVSHTRDVSRSKDMRFFRPLHCLSHGKQMPTAEYTSQLPGHVDEGDQGVNAAQWLHNQPVLVTASGDGSIGMWDVTLGQPCVRHIITHNRCANAVAVAPNDEYISTGGSDQKVVLYHDKNGRADLNWRLAYPLLGNE >cds.KYUSt_chr5.30708 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194679764:194680201:1 gene:KYUSg_chr5.30708 transcript:KYUSt_chr5.30708 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLNSPQEDELELSLQLTLHTPATAPEPGGFFICVYCDRKFRSSQALGGHQNAHKHERSLAKRRREIAAATRAHRAVLNGKSPGSGSGDNFLSAAGKARRTEARNGASATLVPHGMPRKRGRSSSEHGYGTLDGADELDLSLRL >cds.KYUSt_chr5.43020 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271221117:271221608:-1 gene:KYUSg_chr5.43020 transcript:KYUSt_chr5.43020 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGKSPADPESLPLHEDMLREILLRLPPRPSSLLRASAVCKHWRGLVTDPRFLRRFRAHKGKTPLLGVFEPHIWTIRFRSTLDTPDRIPQERFDARDLSGSSHKIKLLGCRHGRALLLDVERRKVIVCEPITGQRPPARLFRGLYNYGAGLSPFYALKALQ >cds.KYUSt_chr7.32053 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199534403:199537700:1 gene:KYUSg_chr7.32053 transcript:KYUSt_chr7.32053 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTTTHDDRKIHLVSIPDGLSDGDDRRDLGKVLDALSWCVPGYVEDLIGKTKVKWLVADTNMGALCFEVAKKLGVRVASFFPASAACLGTLFRVPKLIEDGFFDDKGFPKRRGEFELAPKMPPIYTSHMVWSVEGGPEVQHAAFQLVCRNNQASSLAEVVVCNSFLEAEATAFELFPNILPIGPLFADQRKPVGQFLPEDTRCLSWLDGHPDNSVVYVAFGTSTVFDPRQFKDLAEGLELTGRPFLWVVRPDFTSGAGVSKAWFDEFESRVAGRGMVVSWCSQQQVLAHRAVACFVSHCGWNSTMEGVRNVVPFLCWSRLKVDQYANRSYVCDIWRTGLAVSPVEDGVVTKEEVSAKVEQVIGDHGIADRARMLGDAARSSLGEGGSSYGNFNRFIGLLMENPNKEIFSELDEINAQHLRIPRSFQNTREPPEEGHRGPTRVGGAAKEPGAPPYCVVAPSAFRLRLFAYLKLPDLNLRDGKATVRKTFQSRRHREAKIWGTGVSVPARRRDGEVPPEGISIDTTAIFTAIAVSYDEEGVVLHRG >cds.KYUSt_chr3.36841 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231739548:231740147:-1 gene:KYUSg_chr3.36841 transcript:KYUSt_chr3.36841 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQSPLRIKQGGKFYERLLTKESSAANPSFRYYWAEPGSVPFIWESQPGTPRDASRMVGGTLPAITPPPSYLLRHGGVKVQVTAPHRPKQRKVTCRKPYRLKRIKIGFIADIFRRLTVGKAWWRPEPSSAPVSSSSRWLVATAKAEQKDQQHHEQGHHDHDLAAKKGGVVCSGAARQMSPCWMLRIRGSGNQNFRDCD >cds.KYUSt_chr4.44609 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276310291:276314176:-1 gene:KYUSg_chr4.44609 transcript:KYUSt_chr4.44609 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGFLSRILLLVFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALMTVLERFGDLTISWLPFYSEAKLLFFIYLWYPRTKGTTYIYGTFFKPYISQHENEIDRNLLELRARASDVVVVYFQKAASAGQSTFFEVLKYVASQSPSQKSKQQRSQEPQQPQQQQPQPQVQQQQQPQRQGPPVMRRAASIAARQAAMAQQAQETKPLSPKIKRQASAKAGPVASTKPVAAASAAKPDDNTKKSEAKPAVELVPVSAADADVPKPEPRVQPTPEPEAVDDIVVDEVDDDAVEGAEELEPSLEEETVMEETIRVTRAKLRRRAAAEASA >cds.KYUSt_chr5.38940 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246589129:246591159:1 gene:KYUSg_chr5.38940 transcript:KYUSt_chr5.38940 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLGSLRRRSSNKALHAVVLGAYTLSYLLVSYSLGLMQDSEDYFTEFAVWAVCLLMLLGGTDNLMACKLDDVDNWKSFHVRHLFKGALVVLIVAFYGGEVSEYQKPLWGILCVNILQSCVRIKSMRDASKSNLLSKNVKPISDYMKGEDKRQLSSAVTMKGYRYVVAGEPSLRKHGKEAVDDKEITTVEQIYECEGSLLSSKSKRGMRLKDICLSMALSKMLNRRFAGFELAEVKANRQKTNDFVFQGLLAGDNKYERAFRVIEVELGFVYDLYYTRYPFLYQKIRHFALALPVAMVTFCSWLTYELSEKHKIKQGEDVPLTATLCLMAVVTFLEAFQLYLHMASDWFKVALVRGYVTRHYLQTSGCTCFSHMVIGLVLRLKALRPWESKLGQYSLLEKYNSTRYRSNCCHVITLFLVDKAKKGRKRGDLVKLSIQVKRAVIDSLIRSKDQLANGARSLEDNGVHELLSWACAASDETLIHSILVWHVATTICKNQLDAEPTKGAKQIAAEDSSAVGTAAPSVQDSSAVASSLSQYCAYLVAFSPDLLPGHSYDSESILDQSIEDARSFAPLKGTKKMKDKCKILLSTSINDNHPVAQGARLARQLMEIQDKALRWKVLSDFWAEMMLYVAPCDDGQARAHLEALARGGEFITHLWALLTHAGVLKRPPNGSEAA >cds.KYUSt_chr3.41815 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263831726:263837952:-1 gene:KYUSg_chr3.41815 transcript:KYUSt_chr3.41815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Histidine kinase [Source: Projected from Oryza sativa (Os01g0923700)] MTGAAARSARVGAASAAAAESSDMEGKGGAVSVGLGFLGMGLDRVRLLPLPLPRRPPLPEKLSSSSKALRGHVYSNSRWAVQRWWRPLLLLWLLAFTLLAHSLFYNGSSEAVHKRRDALASMCDERARMLQDQFNVSMNHLQALAILVSTFHHAQNPSAINQATFARYAERTAFERPLTSGVAYAVRVTHAERDRFERQQGWSIKKMYSSNKKYGPSGDAAAAEIREPAEEYAPVIFAQDAYKNVISFDMLSGDDDRENIIRARESGKGVLTAPFKLLNSRLGVISTYTVYNTELPANARPHERVQVAIGYLGGIFDIEALVDKLLHQLAGKQSIMVNVYDTTNEWPISMYGSNDTCGGMYHNSTLNFGDPSRRHEMHCRFMQKPPLPWSAITSSLGTLVIGMLLGYIFYATMHRIEKVEDDYQNMIELKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELEAAPFDLRLVCDDILSLFCGKAQEKGLELAVYVSDQVPQTLIGDPGRMRQIITNLMGNSIKFTKRGHIYLTVHVVEEVMVETGTQYTDTLSGYPVANRRHSWESLRLLDMDLHSSEMPFTPIMPETIRLIISVEDTGAGIPLEAQSRIFTPFMQVGPSIARIHGGTGIGLSISKCLVHLMKGEIGFVSMPNVGSTFSFTAVLARSRSNANVAKSSGFKGINALVIDHRPVRAKVTKYHLQRLGVKTELTTDVNQVIAKMNCGSLVAKLVLVEQETWLKESHSMPHLLSKLRSKDLPDSPKFFLLENPITSIKSNSHISREYNLNVIMKPLRASMLQVSLRRALGGVDKAHGKNGNSTLGSLLHKKQIIVVDDNVVNLKVAAGALKKYGAEVVCADSGKKAIALLTPPHSFDACFMDIQMPEMDGFEATRRIRVMERDLNERIEKGEIPPECANIRTWRTPILAMTADVIQATYEECLKCEMDGYVSKPFEGEQLYREVPNLKFLLLLGSLPSKKYILLNAACRIHSKLIAKALLLFRQPNSFPDHLTRGDDK >cds.KYUSt_chr1.676 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3644249:3647864:1 gene:KYUSg_chr1.676 transcript:KYUSt_chr1.676 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRGGGRGEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVTKPTTFENISRWLKELRDHADANIRIMLVGNKTDLKHLRAVTTDDAQSYAEAEGLSYIETSALEAMNVEEAFQLILGDIYHTISKKAVASAEDDRAGVKEGKTIDVAATDTGAEKKQCCSA >cds.KYUSt_chr3.22083 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136022863:136023564:1 gene:KYUSg_chr3.22083 transcript:KYUSt_chr3.22083 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGDVKVLGTVVSPFALRVRMALYLKGVSYEYLEQDLFDKGELLVASNPVHKKVPVLIHGGKPVCESLAIVQYVDEFWAGTASILPVDPYHRAVARFWAAYVDDKVFPAWIGILRAATEEERDEKLAATLAVVAPMEDAFTAWSDGKAFFSGGDSVGYLDLALGCQLFWLEALRSMFGVTVIDAGRTPRLAAWAERFLETKAAKAVAPPANSMEEYAGKLRAIWAAAAAAK >cds.KYUSt_chr7.31590 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196751395:196752855:-1 gene:KYUSg_chr7.31590 transcript:KYUSt_chr7.31590 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMVNPNLPFGSIVANDWTIYDGLGTDAKLVARAQGSHMETGVTEGTWFICFNIAFVDERFKDSSLKVLGHFEVPTHGEWAILGGTGDFAHAQGIVSFKKVPELDNGKTTVRELEIRAVCLNFSSSLSLVELGPTEFVKEVSGTMDNVAPSGLTVSALIIATNVKQYGPFGFVRGTWFGLVLPEDTCVLGFFGRSGDVLDAIGIYTGPILAS >cds.KYUSt_chr6.31322 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198163176:198166096:1 gene:KYUSg_chr6.31322 transcript:KYUSt_chr6.31322 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSVVPLKILRILMIEDLACCTLLPDGLCQLPYLEFIQIHRAPSIKRVGPEFLQSNHHQSLPPSHVVVAFPKVHQMYLMWMVEWDEWEWVEAVQAFPVLRELAIVHCKLASLPAGLSSQARALNKLQIHYVQGLTYVASFASLVRLEVGALPDLERITNLARLQILIIADCPKLKVLEGVPALQTLSLDNEDMETLPEFMGGINPRCLDLYCSLALLYSIAAGQSGPEWDKFGHVERVKAYAREGDIVKKWYVLYTANPYNLETNISRSFMSAGTLSSFEDAQRFEYVFKMTRKTFDYICSLVLGPSMEDMDSYTFIDGRVLCLEDRVAVALIRLYSSGPSDSLGSSVGVSKSTVLLVAEKFADAVHERACHHVRWPDSSEMDHIKSTFGKIHNLHNCCGVIRTTHIPFGPNSNHGENGRILMQAVIDPKMRIMNLWLHSTDRNTQLSSLQESPLFKECGKGSCLNGSKMKVASDGSEVGEYLIGDAGYPLLPWLLTPYQEENLSDPKVEFNRRHFAATTCARKALAMLQEKWKCLREDVWWPENLQTRYKMITACCRLHNIVIDMEDDAGMPSAKAKDWNYHQQVRQVANEDAVRARDMLSEYFFTSRSSESEVSPVDADEDHEVAASGAGDEDREQEAQTGTADEVANDIQEIIV >cds.KYUSt_chr3.15518 pep primary_assembly:MPB_Lper_Kyuss_1697:3:95008256:95010769:1 gene:KYUSg_chr3.15518 transcript:KYUSt_chr3.15518 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAIVFFLVLASAAPLLLASQVLGDYPTPKPSTVWINNNVFFKDSDSRNIIPRVVVPDSNSEIYTDAGFHCVPSIFQCDQFLFAVSIGNSNTQQMSRSFNQQIAGFADTRQIIWSANRDRPVRENATLEFTTHGNLVLRDADGSQVWSSNSSGQSVAGMVIKDVGNLVLFDHNNRTVWQSFYHPTDTLVLGQSLVEGMRLTSNTSATNTTEGMLYITVLPGGLYAFIESTPPQCYFLNPLRQNKTTNDPTKVTFMSGSLTTYQSGQSDFRTNLVFAPATSTQFMRLEYDGHLRVYGWSVDRWTPVYDVMTSMEKDDCDYPTVCGEYGICTERQCGCPVETNSSSSYFKLVDEHKPNLGCTPITPISCQEIQHHRLLTLPNIDYFDESNTAANATSIDDCKQACLKNCSCMAVSFRYKWNAPHGKCVWVTKVFSLKSIQPYDGYNSSTYIKVQLSPPTENRTTVQPNPSSENKTKVMLGASLGAVMALVLVVIVVALYLKRRRNYVDEEFDFDQFPGIPTRYSFERLSECTEGFSKKLGEGGFGSVFEGKLGENRVAVKRLEGARQGKKEFLAEVETIGSIEHINLVRLIGFCVEKFERLLVYEYMSGGSLDRWIYYRHNNAPLDWSTRCRIILDIAKGLCYLHEDCRRKIAHLDIKPQNILLDDNFNAKVADFGLCKLIDRDQSKVVTMMRGTPGYLAPEWLTSRITEKVDVYSFGVVIMEIISGRKNIDNSQPEENVQLINLLREKAQNNQLIDLIDKHSDDMLSQHEEVVQMMKLAIWCLQHDSIQRPSMAIVIKVLEGAISIQTFDANSITFAQDNPSAYSAPSQASILSGPR >cds.KYUSt_chr5.31922 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202416938:202419505:-1 gene:KYUSg_chr5.31922 transcript:KYUSt_chr5.31922 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTRNPTGHVWSMVTAVLNGVKLDMHIHNQGPFGTCSAHAFVAGLDFAKRIGGAIHGLVLTHPLDVKDLLQKFNVVYNDPLGAEKNPLIPASTPQVLKIKSAFEIYDAPPVVCSHAILLIGFGVANWPGDDGGNPALAGWPEDKSGNKLPRVFFRARNSWGDKEHANYALAGKGADFDIWADQVVQMQRENSSYIEGSQYIGDTTEQREGSLFAGQIRSHSAGIAGRRRWWRWSLGFSMLLAKVLQLLVVLRWSEPEASGGTTASSSPIKAFFESPDLGEIVVDPPLSSHRGGGDWERISDDPAFGRSAGSPLDCFCYCDDLSCSWTYGDIQNPI >cds.KYUSt_chr3.8362 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48296650:48300413:-1 gene:KYUSg_chr3.8362 transcript:KYUSt_chr3.8362 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACAAAARMFAYNATLCACDPGYYLSSTANSTACVSLPTSGGGGAFSDWQVGAVGAGSRNQTLYFLAPVLSLDAVRRLTQSQAVLLVIALITLLSWLAFCAAARLAGRHHAAASGTRRRFFRARFWISRLDCLFDTQHWASDQQVLKKRKTELGGTFSVAAIILFIGLVTVLLYQAIKRRNIEVHRVKPANAPDLLSFVNDIEFHITTVSAMSCAQLAPPSTIAMGTPGFMDFRVMPLSTLLTYTCQNTSQGPSITLKCNGCRMPPRDHYVSWQFVDLPRQPAAAVGFQFNLTTKQTGDDAHVSFVSGTINSDNYGDEKLKTFRGRDSNVLKIQLFPQMYSKLHDLKLLQPLLQDFTQGSTFSDVSSLNASLNNPTDGVINTTLYISYLSDYIVEISNESVLGPVSILASIGGLYAFSVAIFLCLMAQCEARIKKLRNEDTRMLKILSKQRAQRNWDKVRKFVMYTWGPSNLDPSDKSGQWPEGVVLFFHSISQILFVLSLRFLNSVCNTMCSVQLISNKLVRCSIQAVPDSLDAARKEESFSKKFAPVQTLARP >cds.KYUSt_chr5.16017 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103177477:103178966:1 gene:KYUSg_chr5.16017 transcript:KYUSt_chr5.16017 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSRLLVVLVALCVVSVVAAAAENVTVGQLSSYHGGAVSYNAKSYGAKGDGHTDDTKALMAAWKVACGAAGTVTLTIPPGTYYIGPTQFHGPCKASALTFMLQGTLKAATDLKRFGNDWIEFGWVKGLTVAGQNGIIDGQGAASWPFNKCPIRKDCKVLPTVSLISSRACMEFHLACTNCKMSIKINGLLQSVLFVNNQNTVVRDITSVNSKFFHFALLTNNNIKMLNLRINAPGTSPNTDGIHIERSTGVVIADTRIGTGDDCISIGQGNDNIDIQRVHCGPGHGMSVGSLGRYVGEGDVTRIHVKDMTFEGTMNGVRIKTWENSPTKSVAAHMVFENMVMKDVQNPIIIDQKYCPYYNCEHKYVSGVTLQDITFRNIKGTASLPVAVMLRCGVPCQGVVLQEIDLKYKGQGGTSSKCENAKAKYVGYQYPKPCA >cds.KYUSt_chr1.32129 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195030066:195031559:1 gene:KYUSg_chr1.32129 transcript:KYUSt_chr1.32129 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPAATDWTLPSDLLLEIVARSDISTVVAFALACKLLRRDILSSSFIRRLTQRGGIVPPCILAYLHTHDNMDDPPAPFSLVHPATPAASACLNDYISPSVSRFAHELLAEHKPLTSRGGLVLFRRRRISNKLSNLCVYEVFSGRCTVFSDPIDPNNSDRFKYVLLTAADGIDCPFLICVLHQVALPHNIDASPIEVHTATSMSNTWAHTITSNINSGFFRKLFQQNIVVLRGGVIHWLARESGEIASYNVCTREHGTIKLPGPVINCNGELHLGSYYSHDENKLLRLVTLNKTFNISVWHQLPNGNWESEALMVDLEEKLRSLDPYSGMIVQFSQSSSEKTNIVLLHISIYVSRWPPTSRTIILDLETKEMRELHCPTSRKLFFTGVGRCRSRIHHPFTSRSVSSAAAAFLLPAPRGKGACRFLVFFFSPSPLTRLYLT >cds.KYUSt_chr7.12435 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76481445:76483857:1 gene:KYUSg_chr7.12435 transcript:KYUSt_chr7.12435 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGDQSGSAAAKGSKLRYPLRSASRGKVEPAAAVADAPPSGSAPRRVKPSSDVTRSVCALDLSSVKDKSAKPPRRHSIPTKPGASPRPAATGTITPVSVVRSRRSDIVGKFDTPASEASMSTGRRKFSTLSSISYWMTQIRLAEAASKHSISLGFFKLALQSECEPLDRMREELKAYVARHGLTTELEEPVKDVLQVYDIAEDFEKLKISAETSQEAKKADKAALSATNVTPKGSLKPRSLNSVATQNKDGKKENIQKEKPDAKVRGSYNRNPTKNAAGKETAAKNTVKKTKKQAKEQQEDVNGGSDALSVGSEQASVDVVKEITYEDKENMRDTEMAIDAGNGMPQEV >cds.KYUSt_chr3.8548 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49538784:49544736:-1 gene:KYUSg_chr3.8548 transcript:KYUSt_chr3.8548 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRMRTAAGSLGGSDARRNAERRCGRRHGPPVAVGERDDGDVCMQGSRSAGATDCAEAFLDRPGRAAAGLGVSADHGAGTVPAARGSSSTTEIFRRFLEGKRALLRLRKRGRDERNREQGQGRAAALAMTSGRTMTPSARLSSSARMGTNQSFASCVLGGIERRGVWLSGQHKDYLDACSEGSFTSKEVEARWDLLDRIEDNAEGWENDKGYADKPPFKPLPPKEGNEEKEEKKKKGTKKKKKKGNKKKEVTSYPRVYEITIGNRKYVAPNDYCDNESEYDDLPMPFTYISNHDLNEHTTFDIANLWEIDSENDDDNNCHSVSAIHASFHNDIESSKLGEEVFANPLATGHYVLDTSPSNNNNGVDTDKPIVKDNYSISYDDTMPPISNDYYKECYDIVVYYCSNLSLS >cds.KYUSt_chr2.7231 pep primary_assembly:MPB_Lper_Kyuss_1697:2:45298347:45299418:-1 gene:KYUSg_chr2.7231 transcript:KYUSt_chr2.7231 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVAILVSCALLLAATWQGAAAAPRLRVGYYQKKCPAAEYIVKGVVGKALQQNPGLGAGIIRMAFHDCFVQGCDASVLLDPTAANPRPEKLGAPNFPSLRGFEVIDDAKAALERFCPGVVSCADVIAFAARDSAYFLSNYRINYQIPSGRFDGSISLETDTFAFLPPPFFNHSQLVASFKAKNMNEDDLVVLSGAHSIGLSHCSSFTDRLPPNPSTINPALSTLLQSKCPVSPNFTNDPTVDQDMVTPNLLDNQYYKNIRKRNVLFTSDAALVTSPLSARKVYQNALFPEVWEKKFERAMVKMSAIELKTAANGEIRKNCRVVNN >cds.KYUSt_contig_1467.190 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1260091:1265114:-1 gene:KYUSg_contig_1467.190 transcript:KYUSt_contig_1467.190 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCVATALQETFHARSFDLWRYRTIPDKLPRRSFAIRHATRCTTVWILHETVQAKAISALYSEITSFFWKPSNEVVVIVLHPLVAENLQPSGTYIVLGLIAGGDLNLEVFKGGSGVGYWKVKRSPAMQVNNVRTEDGEMRKKTGEMTVSLGHCPDSPPLPLLLEVDHLLEEILLRLHPLPSSLPRASLVSHRWRRLVSDPGFFRRFRLHHRRNPPHLGFFDVDSHDLHFVPALDAPDRVPPGRFSLQFNEGDKFHLLGSRHGLVLVLQPPRKQLLVWDPITADQHHINLPPGFAGPVFAIHGAVLRDAVDAQHFQVVLVDVEAEDPHHSRVLACVYSSETGVWGDLISAPLPPMVPSSNRVVFVYPTKPAVLVENSLYWILDGDLVGILEFDLERKSIAVIQPPVDVLTKSKYQYTVMWTEGGGLGFLFVSRSQYNAQLWKRKTNLDGVASWVLERTIELEKLLSLNSGARVNLCVRGFAEDNNVLFLGTPIGVFMIHIESLQFKKLPDHTLVSCNHSFESVYAAEQAFDNDIREHLWIIAYLQYILDAEAEKRKRLHRGGSKAGRKKSKPRQRMEQHTMLHNDYFADEAPHADNLRRRYRMSKGLFMNIVHDVREFDPYFKLKHDIVGIVGFSSIQKCTAAMIKDACIRSTC >cds.KYUSt_chr3.22905 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141624966:141625499:1 gene:KYUSg_chr3.22905 transcript:KYUSt_chr3.22905 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRAILLVSLAMVVVSPATAGVTEDKCKCLMCVCDVDPHPLPPAVPARHPPPSEPEPKPEPKPEPEPEPEPEPEPIPTPKYYPPPTEAEPRPVYYPPTTKPEPTPVYYYTPPAEAGYYLTPPAGQYGYPWSNTYETPGQLYPQYYPSSAHRSMSSQLLASFVLAAGVLSLLTCRA >cds.KYUSt_scaffold_1259.295 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1950234:1951682:-1 gene:KYUSg_scaffold_1259.295 transcript:KYUSt_scaffold_1259.295 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPLSDSSSCPATASHGNHRLGSYEPTSVLDPIATSTSTGSPATTLAAPAHAGTLQGTPHHPHDDWDALSWLLSPDDQKDGTGELFSLNAHQHSSPFDVLADPFDPYSCSPPAESHEQHLRAAAEAVWAGDSVAASGMLARLTQALPHPPRTPPQRAASHFAEALQSLLAAPDQFLARPERPAVSAADVIRRIGAQRAFACLSPVPQFASFTANQTLLEAFEAPFHIVDFDLGLGGQWSSFVEEVAARRLPSQHATATPAVRVTAVVHEETAETLLAADNLRDFACGLGVRFAIDVVRLDALAVGSIRASGDEAVAVVLSPAIFRHLAATRPDASDALLEFIRRSDPRVVVLVDAEVSLGAGEGVAPLMRSLESCTVLTESVEAAAAVSAGEDAMLRVDRGVVRERAYAAVRSWWSRCEPWKETVVRARWAPVALSDLATAQAEWIVRRAPVEGYRVVRRDGALVLCWHGYDLAATSAWRC >cds.KYUSt_scaffold_1700.444 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2846273:2847934:1 gene:KYUSg_scaffold_1700.444 transcript:KYUSt_scaffold_1700.444 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSDVATQAAAVRVLAVSRVAPSALGEGQHTGLRLKLSFFDSPWVMLPPIQRVFLYELVEDGGGGQGFPAVVDRLKRALADTLAHYLPLSGRLEYVAETGDVFVDCSDAGVAFVEAEADVDVRRLAGDEEHDIPAFLGLVPELDARVLPAPVLCVQATRLGAGLAVGLSVHHAVADGRAVWRFMEAWASASREGSPVTKALGPPHYGREAVAYPNGDELGREMLRTVAPNLPTVRGDYDFSQRFLRARRTFYLDTDDIKSLRRRIDDLASAEAETAGGGHDAASSKAKAVSTFVALAALSWTAFVRSKGLGAGDDTYLMFLADLRTRLDPPVSEAYLGNCVRVCMASCADASDLLGEAGILRAARAVQAAVAAMEAAPLAGMGKGWIHTMMRLPFHRMTNVAASPRFRAYDVADFGFGKPARVELVSMNHDGEMVLVGGRHDGEVQVSVSIDPAHMDTFKACILG >cds.KYUSt_chr1.6035 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37085669:37087645:1 gene:KYUSg_chr1.6035 transcript:KYUSt_chr1.6035 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPFSRVSQLAVKATVLAAVCLLLHGGGGSSASAAELCVSYYDHTCPDAYKIVQGVLVEAHKSDPRIFASLIRLHFHDCFVLGCDGSLLLDTFPGFQSEKDARPNNNSARGYPVVDAAKAALEKACPGVVSCADILALAAEISVQLSGGPGWGVLLGRLDGKTSSIAGAQNLPGPFDGLKNLTLKFQAVNLDVTDLVALSGAHTFGRVKCRFVTNRLYNFSGTNQPDPTLNAAYRAFLSTRCPRNGDANFLNDLDPTTPDTFDKNYFTNLEKNRGFLDSDQQLKSDPGALTTTAPIVDRFASSQDAFFKSFAWSMNKMGNILPITDPSRGEVRKHCAFVN >cds.KYUSt_chr5.12183 pep primary_assembly:MPB_Lper_Kyuss_1697:5:79407277:79409287:1 gene:KYUSg_chr5.12183 transcript:KYUSt_chr5.12183 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQQQQARRNGGKAVQVLDGSDIRELVENKVEFAKFVENKFRHLDRDGDGRLSVRELQPAVADIGAAIGLPARGSSAQADHIYSEVLNEFTHGKQDSVSKQEFQVVLSDILLGMAAGLQRDPIVILRMNGEDLNEFIDSSTYETEAVAIFSQVQSGNASLRQCLAAALRQLTVDQGMPPVSDSLVVENIIEPATQQLSADQLDGPASQEAFFQEFRKYLGIIARRLQERPVIVAHSENTFDGTGVKKVLSNKAEFDKLLDSMWGDVPKEHKDRTSKKYIRVAFDRMAASVNLPPYGAVDQVDAVVNEAFKMVNAEDGKPVDEAEFKKLLTEVLGAVMLQLDGKTISVSSNTVLHEPMSTPSSLLSPSPPSPMVSSPSE >cds.KYUSt_chr1.28019 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168965781:168971002:-1 gene:KYUSg_chr1.28019 transcript:KYUSt_chr1.28019 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVRLLRRLSSSSSPRSLRRLPFHPSPLPSPHPLPLPILRTRAPLPRLAGRRFSTISCASTPSLRLGECGALGTPAIPEVEKSEGEEEVDSLAARHDTDAFAAVELALDSVVKVFTVSSGPNYFLPWQNKSQRESMGSGFVISGRRIITNAHVVADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLTVESEEFWEGMNSLDLGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPIINRFISGVEESGKYSGFCSLGISCQATENIQIRECFGMRPEMTGVLVSRINPLSDAYKVLKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPEETSVIKVLRDGKEHELTVTLRPLQPLVPVHQFDKVPSYYIFAGFVFIPLSQPYLHEFGEDWYNTSPRRLCERALRELPKKAGQQLVILSQVLMDDINVGYERLAELQVKKVNGVEVENLKHLCSIVEGCTEENLRFDLDDERVIVLKFQNAKLATSRILKRHRIPSAMSNDLFDEQGSNDAEGRGLPGALHWLRRLLFG >cds.KYUSt_chr3.12719 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76197440:76201308:1 gene:KYUSg_chr3.12719 transcript:KYUSt_chr3.12719 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAGEPHRVVLLVDLDPLLPSPSPTTPTAQPASSYLAAALPAATSLLAASPASTSLSAARLFFSSLSPILSSSLLPKHLPSAPTPLSFDLHPATIASLAPLRRLALPPASPHARVTASSSIAKSLLQLEHDYPWDAEPQHGRRRRVTDRPPNLVVLFTAASNFQEFGEDTSFGKRFRAVFEPARDRLSSRGVQVCWVAVGSAGEGIRRAVTELGWRFTTADAVTLGSAVAPPALVWGGVGFGCGGGGGRGELVLEITDVKGKPLVCKGCEVEMVSSTSGQVSSHGVSKIHVKGVSEVGNCEQLMGRECEVVLVRGLPQDRGKGDGEAAVDKEFFPHRLLELVLAGENNCLGAFSIKNCLGAGMPIWQLILVFLHRKNYCAMVSVSDGDGKSVDGVLVPFSMNCAVLHVEKNGTGLGQVVAKCPETLDSSVPDPSKEQSARKKRSRLVNKLLEATSWSAFCDVFLKHADGSMPVVDLEDLYFSRYGTASKKLRFLKCWLKQLKLSCLGTSPSLQTEGETCPSSKDEGEAKLQVSEEDASAPHVNSSVDEADCNKMDKPVDEADCNKVEQQVDENGSGFSSMEELEAFLGSIPQKIEQGLCSEDTDLGNLAERLVGLSVHALLIKNGKIAVKYFEHNEILLRMEIIRSKLGPEFEEGSKQKMIKEICSLLQFIDINIQGDSFQSDSIVKFAEKTIKSRYMESLEDVIKKIYTEMEFDLFDEDDVPCSDSVPSSSNQDDARADRSRRSHGNCAGSASAPTLHTRSSRHDRHEAQLARAQERRDRERRFSSLTSWVPDLRRVWALKHPGKEPPQAAVPRSRQHSKRRKRRVACTDMVCETPMMAAKKQQHEQARWESQEGDEMMSFGSVSKTLFDDDTEISSSSSV >cds.KYUSt_chr1.27722 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167064197:167067935:-1 gene:KYUSg_chr1.27722 transcript:KYUSt_chr1.27722 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDEFDLSDSSSSDDSDLDELLQNDEMEATMLLLSVKDLEDRAKLLNRRHGSVFGRNHIQRNFLLGHEQLMEDYFAEVPTYPPHLFRRRYRMQRSLFVRIVKACEANSNYFKQRRNAAGVMGFSAFQKISAAMRVIAYGIPADYTDEYLRIGEDTTTESVRRNMFMGAVAGVQKWLWSLYGLFLDSPSLEGPACRETLALSADLQELLLRVTASRLLWIWLMLVVDSHHKDPHHHGLLLPSVQRRGEEGSSLGFSCSEEVEMGRGKIEIKRIENSSNRQVTFAKRRAGLLKKAREIGVLCDAEVGVVIFSSAGKLYDFWTPKTTLPRILEKYQTNSGKILWDEKHKSISAEIDRVKKENDNMQIELRHMKGEDINSLQPKELIAIEEALQNGQTNLREKMMDHWKMHRRTEKMLEEEHKLLVLRMHQQEVELSGGMREMDLGYQQSRDLAAQMPFTFRVQPSHPNLQEDK >cds.KYUSt_chr6.28610 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181158416:181166738:1 gene:KYUSg_chr6.28610 transcript:KYUSt_chr6.28610 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIQVTDMKKSETASIAQMSSPDGEVGEIKENDNKQIDMVGSAQCINAYTYCLRAKEHLTNKAGGKVWYESTHISQLMRRDANNNISEKDCDGIIKRVRIYLEHDMIFIPFNENTNHWVFCNVDVRNRRIQILDSFGSAKDRPDLQRTLQGLQNQLQLAAQMSDFNLGQKWQDLEVTTWKWVECIQQPLQTDSSSCGLFVLKLMEEWTGQELAHPVTQNGLKLFRKQLPFILHNTASNMLKGNPEFEQPDTKGDPSDILMWDSNGPPPTEFTQLPQVANAPTPPLKIIKKSFNKNEALSELRNYILSVSDNDAMKQIWVKSSEPYPISISLKQLKDLLNDKNGIDTDSFNMAVRVGYTLRNKAVIHMLSYMDNECEANIPQHVKDLVKMLGSKEAATSADGHDLADWWRERPAPVAETSQIGDLRDQHQQPRPPTGLRPTLGRGVDETGGEERVVEVAAGREGSGHRRWLDMDCSSFRGSAAASVRGNGSKSAVRGRRPAFAAIATSNSPVLVQALGLHGCLGRPIAVAAAAVLGRCRGPFRGACRKDCVRATNLDKQRTTVHRGTTVPHTSPPHIVHRLTQISTSLSHGMAAHDNELELGKKMSAELATECGGARQGRSSPPLFLSRSDSCRSRLLGG >cds.KYUSt_chr1.11871 pep primary_assembly:MPB_Lper_Kyuss_1697:1:73149230:73156974:-1 gene:KYUSg_chr1.11871 transcript:KYUSt_chr1.11871 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKGTDLQPLKIRYHGTSDIPYDERYTEFIRPTGLLPFISLVSRGGPLMNPSALTALVDRWRPETHTFHLRAGEMAPTLQDVSMILGLPIQGEPLCMNTASDGWRQQMEVLIGGGSSAAADPKKRAPAGASFEWIRTNFGECPEEADEDTRRTYARVYLWYMISRTLFADSGGKLAHWCWSKALTVLDNRWSWGTTALAYLYRQVEWEPYGSYYRIGASMTDLNHKCTEEARFWRMRCPLICMWLVEHHQPQRVMRQFGLYRRGPPVWQDTDKALHRLDRQRQRKITNWPVHHSGHIAAFQQCLEAARNAGPEQIVPHNFAAFNNYLEWFHENTRIELVKHAYPEEILDDPIQFDEVGQSQHDRFARRGRSTSIASELNFVEIEKTVEECEVMWEQSGRDDKPVRPLRYFIKLKQTMMSEAGELEWLFSEEQQEQVNEVRDLLGELTEEMPSFLSDTTISRFLRARNWSTEQATKGLKETVKWRREYRPDAISWEDIAEMEDEPKRTHVANYLDKNGRSVFVSNVPMKSKVSFKDQIKHLVYLLEYFATNSANEQDDYVVWLTDFRGWSISSTPFSVTRESMHIIQNYYPGLIAVAIPFDPPRIFESFWKIVKNFIQQNMKEKVKFVYASKPESMKIMEDLFDLDTLESSFGGRSTTTSFDINKYAEKMRRADKMRGASKNAKG >cds.KYUSt_chr4.17056 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106455367:106456598:-1 gene:KYUSg_chr4.17056 transcript:KYUSt_chr4.17056 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFQLLPFCAVAVVVASLFHPCASAEFHRELSSWSGGIATWYGDANGAGSEGGACGYQYAVDQPPFSSMIAAGSPFIYDSGDGCGSCYRVVCGGNEACSGIPVTVVITDQGPGGPCLSELVDGQCQNEAAHFDMSGTAFGAMAKPGQADQLRGAGLLQIQYTRVQCEWTGVDLTFKVDAGSNPNYLAVLVEYEDSESDLSAVDLAQSGGYGATSAWIPMQQSWGAVWKLNSGSTLHGPFSIRLTFRSGRMLVASNAIPAGWNPGVAYRPGGVAVRASGGRRGYRSEGRLSALYRLLLVLVVFLQL >cds.KYUSt_chr2.18209 pep primary_assembly:MPB_Lper_Kyuss_1697:2:114802293:114808631:1 gene:KYUSg_chr2.18209 transcript:KYUSt_chr2.18209 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGRSPPSLLFPPAAAVSHSSGLHGQPPSSTMPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSRYLYTLCVFDADKANKLKQSLPPGLSVQETWVNTVQSASTGAGSQSSVVDESETVEWAMQDFYALRKEVELAVERVDEVRQAAGLEQLVEEIASLENKSGDSTLWDDPSKAQELLVALTEVKEKVRLLNDFKLQVEEADTIVKLTEELDSIDTGLLEEASKIIKALNKALDNFEMTRLLSGPYDKEGAVITISAGAGGTDAQDWADMLLRMYVRWGEKQRYKSRVVEKSPGEEAGIKSATVELEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLQEESLDVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCAEERSQLANKIKALRRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTACETSDIGGVMDGELDPFIKAYLQYKLSAAADEQSAK >cds.KYUSt_contig_2073.60 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:353667:354340:1 gene:KYUSg_contig_2073.60 transcript:KYUSt_contig_2073.60 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSLSEKVAAVRLIIICRKEGDVLDWSIFVVELEIRIRIIHGADCVVLLVVFADMWMESNGTCRRRLEFDSIILESNMKLSDQIHRAFFVRQKRIIRSPDFVVVIVQVASMWSPISPADGAQ >cds.KYUSt_chr7.30895 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192392406:192394575:-1 gene:KYUSg_chr7.30895 transcript:KYUSt_chr7.30895 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSSSTIYEEAVGQEGSWSRLCDGCCMVPSVVYCHADSAYLCASCDVRIHSANRVASRHERVCLSEAHEHAPALLQCRTDAVASCAAYEAQAHYANLLAGMHQCVPVVSHPATAIPTASLLAEAAVTTTILSCKEEEASWLLLSKNSANHNCSGDNRSSSTYFGEVDEYFDLVGYNSYYDSRMNNNRAQYVMQEQQHLQPMQKEYAEKEGSECVVPSQFATASKPQQSGYALVGAEQAASMTAGISFSSMEGGIVPDNTVVDLPYSIIPTPAGASSLHSGPPLQMPLHFSSMDREAKVLRYKEKKKTRTFEKTTRYATRKAYAEARPRIKGRFAKISEAEMEVDQMFSAAALSDSSYSTVPWFQ >cds.KYUSt_chr2.40610 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252267753:252270146:1 gene:KYUSg_chr2.40610 transcript:KYUSt_chr2.40610 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLQSDPYPRSSLQQQLTDTSTSFENNTVDLVKHDVPKPRVGMTFETVDLAYQSYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKAMMVVKYNASDNRWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKEFMARSFSQSNYSIEAAGKCGKLRFAEGDVEALLVFFDKMQAQNSNFFYNWDMDDEGRLKNVCWVDAQSRAAYQHFSDVVCFDTVYLTYQFVIPLVAFLGINHHGQFVLLGCGLLGDESPETFSWLFKKWLKCMNDKAPEAIITTHSRPVVKAASEVFLNTRHRYNLWHIMKELPEMSGRVEDKEAISLRMKKVVYDTITSADFEREWVEMVNQYNLHDNCWLTTLFEERAKWVPAYVKDTFWAGISTVRRSERLEAFFDGYITPETTIKTFIEQFDTAMKLRSDREAYDDFRSFQQRPQALSGLLFEEQFANAYTINMFQKFQDQLKQLMNVNCIEVSKNGSIVTYTVTVIGKERKFDYRVMYNNAEKEVWCICRSFQFKGILCSHALAVLKQELVMLIPSKYILDRWRKDFKCPEEPKETPISPKAANATGNSAPENVREDKVDNLYNDGHQYFAEIVEMGATDPDAMEYVLSVMKEAKEKVRKFEESRKEKRPGESPASAGKKGDKSLKPSAEGAGISTPVSTPANTAMAPAAVPSSTPMSVPPAMMAMATTSAAVPPGMFFVPMHPHMVFPHFTPAVPAAVPPVVPPPAPTPNVVGSSSKKRKKRKGNT >cds.KYUSt_chr7.6232 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37515380:37523422:-1 gene:KYUSg_chr7.6232 transcript:KYUSt_chr7.6232 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSEPLPPAAAAPEPWRSTRKRRKSRAALEAEEDAEAEAELEREMSRGKRRRGAARRRAAEVKRPVGVHKPQGVAAAEAPRVMEVGDAGRRAIGEDNACAEEPGAVDMSRATWRRRKAAALMEADADAEHESRGKRRRGAAGTACRRRSGKDKPEEPVAVLVAEVPGTMEVGDAGRRGAMVGDDDVCAEEPDAEEFLTEEEEAALEAEEQAMDGARSAAARKRVARPRATDRTAVDDGASSEDHFVGEPVPDEEARRRWPARYRTKDSADSDARRSDGEITETLARCHYRTACVDGVNFDIGDDVYLKAGPGEENYIARITEFFEGIDHGSYFAAHWFYRVTDTLVEVEDHKHDHKRIFLSDHKDDNTIDSIISKVNVIYVGPNMTLEAKSKIIEESDFYYDMSYSLACSTFANMPADIGIATGSEATSDISSDDVDSSKEKPNDDLVSPPDAQMKTAALLDLYSGCGAMSTGLCLGAALSGIKLITRWAVDLNTHACSSLKHNHPFTQVRNEKAENFLSLIREWNTLCKKFDVHKSISLSSDLPRTSNENEEYGNEPLLKGTFEVERLVDICYGDPNSMGKVGLWFKHCLVAYKENEYRHLEKALVLGDAISDLPKVGNHQPNDVMEYSIKPKTEFQHYIRLNRQGTSVFLVFSVMLVGANFRDLKGVRVGKNNTVEFVPDIPQVVLSSGKPLVPSYAMTFNKGKSLKPFGRLWWDETVATVVTRAEPHNQIILHPTQHRVLTIRENARLQGFPDYYRLLGPIKEKYIQVGNAVAVPVARALGYSLGLAYQGKFHGDQSLFKLPGNFIPIDHATETRVASLVRSEGRDLGGQIRWFVGLILLVSLPLWPGKEVEGRASTPSNKIALCCLHLKSSGSADRRPLAGLGGEGRTQAVVLLLDAGVWWGEFQLPRFGACLLPLAGCGGEERRNFSSMLEVVGQALMLRLLRVPSRWQLFGFYTKPIFFAHLLLPVAGVVSLRVGRFALLVLAKNPQLQEMPDSSGVGKRF >cds.KYUSt_chr5.7828 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49360026:49361455:1 gene:KYUSg_chr5.7828 transcript:KYUSt_chr5.7828 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKKMNYFQTEGAKDKSRLPSSQDKKTKKLRTIEDTFTPEQPAKVQRESSSDPVRKSRRIAKKSNFDSEFDEEYDASTLENLGASNVNDGHGRDSKNKGGSNSKNNASKKDRSRSTVYEVDNDFATPQSNRDGKKRLRDNSVADADNTEEELASDSELEPGNKKQKAVTESPANVKIEPLTTRRRALQSWMDGNSNSTVEFPDGKKEKLSDAEMLAKKAEAAQRRKLQVEKATKESEAEAIRKILGLDTEKKKEERKQKEREEKEKAARAEELARNSIRWVMGPTGTVVSFPDSVGLPSIFNSKPCSYPPPREKCAGPSCTNVYRYRDSKLKLPLCSLECYKAVRGNA >cds.KYUSt_chr7.12151 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74663489:74666446:1 gene:KYUSg_chr7.12151 transcript:KYUSt_chr7.12151 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASALSCSLLTATIVYSFLTLFAPTCSIATTATFVDEPNKDLEALLCLKRHLSNNPKTLASWNDTLQFCSWPGVTCGKKHASRVTGLDLESLGLDGQIPPCIGNLTFLTTIHLRDNLLTGGIPSEVGHLRRLVKLSLQSNNLTGAIPSSLSACSSLGSIDLGSNSLNGEIPTGLANCLNVTNIFLDRNELHGSIPYGFGMLPKLSVLFLASNNLTGSIPYSIGSSSSLNYVVLTNNSLTGAGEIPSTLGECLHFEGNLLDGWIPQSFTELRGISDMDLSRNNLSGQIPDFFEAFSSMSLLNLSFNNLEGPVPTGGVFQNASKVFVQGNKELCAVSSPLKLPRCRTTASEHKHTSNILKIVGFSSLSLVLLSCIGFIFLKKRNKVKQETLPSIKGFKKIAYADIVKATNCFSLANLVGSGNYGSVYKGRIGSEEHAVAIKVFKLDQLGATKSFLAECEALRNTRHHNLVRVITVCSTIDRAGHDFKALVLEYMVNDNLKSWLHPAPHEHPLRRPLSLGSRIVPNP >cds.KYUSt_chr7.7829 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47347793:47365075:1 gene:KYUSg_chr7.7829 transcript:KYUSt_chr7.7829 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVGWVASPVISDLFKKASSYLGFDASEKLSELEPKILLLERVMGAVEGSPCRPRLEGLYNKLKSAFYEAEDILDDVEYYRLEKKIQDDRLKSEVAGPSRRLKQIWSAMATSSPLKHQESGMSKVKLKKKLDKIEEIINDACKVLELMNLPSISGANQTHVVAANSRCSVTTSRPLSKIIGRDEDCDKIVAMLHEKEEHSQPDTNSAPCYSVVGIHGISGSGKSTLAQLVCAREKKDVHFDLVMWVHVSQDFGVDAIYMEMFEAATGTSCPQLRNRDTLQDMLEEKLHGKRFLLVLDDVWYNIRDVAQSESLQQILSPLQAGEAGSKILVTSRTEDALLALGATKQRCIPISVLDENVFCNLLMHYALHGVPADDHARRTLGDIGKDIAKKLKGSPLAARIVGGQLHIRQNVEFWRSIRDRDLLNETMGALWWSYHHLREQVKRCFAFCSIFPRRHLLVRHELVKLWVAEGFARLTSEGEDMEDVCQEYFDELVSASFLQLRAKEYPHSNDYYLVHDLLHDLAEKAAGSDCFRIENSLKLQGKYPAVEVPPNVRHIFVQIYDEELIIKKICQLYNLRTLIIGSVYNLETVGEQVLKCMFKRLKKLRVLTIIAKDFIGSDVSSDVAVPACIGQLRHLRYLAFRPVFFRATGRRMILPATFAKLCHMQILDFGWIEKVVFSSCEDICSLVNLRHVICFGDVDLGSFGSLTSLRTMAAFNVKREQGHELKQLENLNKLRGQLLISGLEKVESKVEALEANLASKEGLSTLKLSWKWGGEASPEVQAEVLEGLCPPKDLKSLIIEGYEGSTYPSWMHNGGPKHVNHLQLSMCTQPGPELVGFCAHLHELTIEWCSWDALPDYMEHLTSLQTLHITYCQNIQLLPALPQSLARLDLTGCSKVSLGSCCMERLTSLQTLMISSCDSILSLPTLPQSIKDFKLRTDSEVLLSSCRTAGDPDWQKIKHIPSVSIGGSVAIKVNDDVGKYFQTKKGLRQGDPLSPVLFNIVADMLAVLIERAKSEGQIEGVIPHLVDGGLSILQYADDTILFMDHDIDKARNLKLILSAFELLSGLKINFHKSELFCFGEAQDHVTEYTELFGCGQGQFPIRFFWQGDCEKRKYRLAKWSVLCRPKDHGGLGIQDLQVKNIALLGHLPMHGFAPLMDTVAACRAPGPLYGARRQSPARPCRHPSFCLPGPSRNGSEKCSVTFTASSRKPREQIARFMIAFASLAGGRSDTVALHGRDHRFYKKERRERERRGAALPAISPHPWLGVAAECPCRSILLGRVIELTSLESVDVAGVRASVDRAQHHVEHQLEELRTEGDPTAGYCVIEKVLEEGRDFGVAFGGKGGSTAKNRRKPRQWSP >cds.KYUSt_chr5.86 pep primary_assembly:MPB_Lper_Kyuss_1697:5:616364:618086:1 gene:KYUSg_chr5.86 transcript:KYUSt_chr5.86 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENAAPLAASGPRLTRAAAKRAASAVSGGPSGGAKRKRVALSELPIALSNAAALPSPPHPAKPADDIRCAPASPPASASSGGGGDDDDSPLCGSYASDIYTYLRTMEVDPARRPAADYIEAVQADVTPNMRAILVDWLVEVAEEYKLVADTLYLTVAYVDRFLSANQLGRKRLQLLGVAAMLIAAKYEEISPPHVEDFCYITDNTYTRLELLTMESDILKLLDFEIGSPTIKTFLRRFTKSSPEDKKRSSLQLEFLGSYLAELSLLDYSCLRFLPSVVAASSIFLARLTIAPDNNPWSKEMQKLTGYKPSELKDCIIAIHELQLNRKGPYLSAIRDKYKQHRFKSVSTLLPPVEIPASYFQDLTE >cds.KYUSt_chr2.35571 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219689099:219690355:1 gene:KYUSg_chr2.35571 transcript:KYUSt_chr2.35571 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRATAARISRPSWSAVAVTVTRRMEGIGGSRPAPRYFGDSIRSGKKMEREKLEKLRRKADQERADAAKRAAAGKGDKVTYQTNDLYGLLFAEVASCAAIDDFVLDYCRRMRGLVRTDYSDQSHPLLVALVLYVLQM >cds.KYUSt_chr2.55492 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346098878:346101991:1 gene:KYUSg_chr2.55492 transcript:KYUSt_chr2.55492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0671200)] MSCLTLRRSSDASRLQDGLLVFVFFISIPIRLLLPTIERRCASPPSVIVIGGGISGIAAARVLSNSSFEVTVLESRDRIGGRVHTDYSFGCPIDMGASWLHGVSNENSLAPLIGHLGLRLYHTSGDNSVLYDHDLESCSLFDKNGLQVPTETAAKVGLVFERILKETVKLRDEQEHDMPLQQAISMVLERHPDLKLEGLDDRVMQWCVCRLEAWFAADADEISLKNWDQEHVLTGGHGLMVDGYYPVIQALAQGLDIRLNQRVTKIARQYNGVTVTTEDGTQHSADACIITVPLGVLKANIIKFEPELPPWKSSAIADLGVGIENKVAMHFDRAFWPNVQVLGMVGPTPKTCGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDAEAVALVMAHLRKMVPGATEPTQYLVSRWGSDPNSLGSYSCDLVGKPSDVCERFSAPVDSLMYFAGEAASAEHSGAVHGAYSSGIDAAEECRTRLLMRKGVPDLVQVAAACEEMADVVAPLQICRT >cds.KYUSt_chr5.20565 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133643294:133646921:-1 gene:KYUSg_chr5.20565 transcript:KYUSt_chr5.20565 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLADNVAKHWKANETEAVETAKEWTLVYGNDG >cds.KYUSt_chr5.2060 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14058142:14058480:1 gene:KYUSg_chr5.2060 transcript:KYUSt_chr5.2060 gene_biotype:protein_coding transcript_biotype:protein_coding MINAKRIAPLATKWQRVAVLTRKRLTRTTTTAAKWCSTAVAGKDHCVMYTTDGRRFKVPLAYLSTVVFSELLRMSKKVVGFASREDRIKLPCDAVVMEYVMSLLGRSATNET >cds.KYUSt_chr7.3284 pep primary_assembly:MPB_Lper_Kyuss_1697:7:19559018:19561549:1 gene:KYUSg_chr7.3284 transcript:KYUSt_chr7.3284 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSTTHPHHWLSFSLSNNYNHGLLEALSSSSSGHHLHGGEGPVDEVPKMEDFLGGVGGSGSTTAEDHVGCGELGSIAAGFMHRYTAPEMPENTGAVTVAAAATDVVESDQARRPAESFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDMAALKYWGPTTTTNFPVSNYEKELEEMKPMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVESILSSDLPVGGGAAARASKFQSDPSPIASVASPDMLPPSEKDYWSLLALHYQQQQQHQLQQQQQYPASAFETYGSGVNVDFTMGTSSHSSSNANGGAVWAGATGHQDGSIRQSNSYSNNIPYASMVSGSAAGYEGSTGNNGTWVTSNPSTAPQFYNYLFGME >cds.KYUSt_chr2.49837 pep primary_assembly:MPB_Lper_Kyuss_1697:2:311750131:311752426:-1 gene:KYUSg_chr2.49837 transcript:KYUSt_chr2.49837 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMQIVLAGGKIEAQHVEMKVPLYSYGCEKKIKKALSGLKGIHSVEVDYQQQKVTVWGICNRNDVLAAVRRKRRAALFWGADQTDLSEDARLDAPKHYLRAFTAYRRPTRSTLSTSHRAAPYTVPKREVKEEPEFTTPPVNLRRGGSREQQRRGGGALLIPKPEVKEEERDDEATGKAALLAEYDRHQRLIASSDDPENCLGLHAVFAASLNDKDAWRGYLDRAIAMSIRDTGKSLVDLTDDGEAGPSGLMKDGPVNEPDERVKHEVITDDMYNFL >cds.KYUSt_chr5.43074 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271592520:271593593:1 gene:KYUSg_chr5.43074 transcript:KYUSt_chr5.43074 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSVTTHASPLLLSLLLLVSIPTVFLLVPRLIPPKTLPAIPDADESDDLALFRRAILSSSSSAAKPATNSFFHRRPTPKVAFLFLTNSDIVFSPLWEKYFHGHRQLFNIYVHADPSSILDLPLTPTFRGRFVPAKATQRASPTLISAARRLLATALLDDPSNQFFALLSQSCIPLHPFPTMYNALLSDNAGPHGRHRSFIEIKDKDWVLHDRYYARGDEVMLPEVPYDRFRSGSQFFVLTRRHAILVVRDMRLWKKFKLPCLIERKDSCYPEEHYFPTLLDMQDPDGCTKYSLTRVNWTDQVEGHPHTYRPGEVSARLIRELRKSNATYSYMFARKFAPECLEPLMQIADSVILRD >cds.KYUSt_chr2.13120 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83213244:83214089:-1 gene:KYUSg_chr2.13120 transcript:KYUSt_chr2.13120 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLALLLVLAVSGGAAGDDEAMLPRLKLRDVSMNGCGSFVSLHNVTANASDISHSQRPVLVGGGGGLAVFCPDEAWQGLVDLMSKNGCGSFAGLLSATASAAEIFHEHLLGGGGLTVFCPDDKAVAAFDPTFRSLAAGDRVAVLLYHGVAACYGRERFKGFNYVSVHTLAEDAATKKNQAITVHDEGGALALWPAPPSYPNGGAWVTRTASEEAPLAVYVVDTVLLPSTVACIGYLGWLRCSIAPFSDWIMPICIMSSAGGLVGALLGVLIAEFLIPID >cds.KYUSt_chr1.5364 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33111673:33112774:1 gene:KYUSg_chr1.5364 transcript:KYUSt_chr1.5364 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPETAADGVGGGKDSCCFCEMTKQHATGCSRRLPKRIILVRHGESQGNLDMSAYSTTPDYRIPLTPRGVEQARAAGRGILDVVSSSSSPDGGPDPNWKVYFYVSPYERTRATLRGIGAAFPRDRVIGAREECRVREQDFGNFQVEERMRAVKETRERFGRFFFRFPEGESAADVFDRVASFLESLWRDIDNGRLDQTTSCETNLVIVSHGLTSRVFMMKWFKWTVEQFERLNNFENCEFRVMQLGPGGEYSLLMHHTKEELEEWGLSPEMITDQQWRASANRRSWSEECSSFIATFFDNWNDRPEDDDCQEEDDAAEIKSLEE >cds.KYUSt_chr3.10272 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60996940:61000212:-1 gene:KYUSg_chr3.10272 transcript:KYUSt_chr3.10272 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTGVSVITDGKHCTTSAVDTDTNSGYHLLVVKDYLRTLQEIHNGEAITSGPFMIGGHHWCIHYCPNGYEQSSTDYISCYICLCDVDTEEAVKVHVGFSFVDQVEYQKPMCIRASEPRSFSTKFYSWGSENFMKRDALERSTHLKDNCFTIRCDIMVCKDPNTQDVGATMSDIGQHLDYLLQNKVGADVTFEVSGETFPAHRCVLAARSTVFMAQLFGPMKEGTTSSVIQIKDMEAKVFKDLLSFIYTGSCLDLEEDGKEEEDVERVMWLHDLFVAADMYDLPRLKFLCEEHLSEHIGVSSVASTLALAEQHHCRKLRETCLKFIQVQSPPCLEKVMATGGWEHITTTYPSVLNELIAKLASNQKDNKRKRQLFLLLCPFSLAVETLPSFMQDESKLRASPTLRPKRTRWAIRFEPFGWPRRHPDSVPRPRVRLGRHAAPNAVTQKAATWFVFNARLCAMAGLDGTAMADLHGFSAVLSPSSFSDALYTSIAMSRTLQATWAKLSLAARARFPRTEEEERANVRWVADDEALRVAAEGTAKKEEDAELVEATADGWHENADGWYEAVEEEAEPAAEEEAEPVDADDLFDDLALTNINVAIEECLADLTRVTKEHEATCRAGRHRLGDLLGQKNQLLAMRAARHLMRAREATASVERGRQERMRRREENHQAQAAGRVHLEARTVVERAALQELDVCGRRMVTPQEAERERAKAARAEKRKAAAEEHRKRVAAEPPSC >cds.KYUSt_chr1.7835 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48137145:48137606:-1 gene:KYUSg_chr1.7835 transcript:KYUSt_chr1.7835 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRQSGGPRPDARPRGRHAISADLQEVAAVYGASRKAPTEDKRIWTTRRHVHARYTVIVQECKAHNNLHAGLVHLLRLCSSNDPRFYFDYCYYGHESVYISGNPRVDGHARDLHQLRHRSDAIFTEPTSSTPRASTLLHQHIKPPRNYDIN >cds.KYUSt_chr2.10669 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67914621:67915823:-1 gene:KYUSg_chr2.10669 transcript:KYUSt_chr2.10669 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSVGAGGSGGGGDAGGREQAPRWLEIAEKLLNARDLVGCKRFADRAVEADPLLPGVDELLAVADVLLASQAMLITGEPDPFAVLQVPSKTADQATISRSFRRLALLLQPSNPHPGADVALGIVHDAYNFLSDPARRQRLSASTNTPPDAPAQPAAAAAPAAAADFWTACPFCCYVHQYPRDLVGRALKCPNESCRRGFVAAEIPTPPTVVPGTEMYHCAWGFFPLGFPNEADLGGNWKPFYKVFPWNNAPSGGGAPTRSYGNRGGGSNDRQPQNGSARGRGGSSRGRVKKTTARKKVGAGLRRRSFGGGVESGIDESMLGQDGWAEAEDGEHLEEVRGININEEAQAADGTSRANVAGGVEDLGTFHLDVDPTEDILGNLGNLHNMPFLRVDNLGRMM >cds.KYUSt_chr1.10458 pep primary_assembly:MPB_Lper_Kyuss_1697:1:64044863:64046029:-1 gene:KYUSg_chr1.10458 transcript:KYUSt_chr1.10458 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGAQAPPWQHAATGAEGGGYTHTGPAADDVSPYLLSALRRYLPSNTAAAAAAEDDEDYACDEFRMYEFKVRRCARGRSHDWTDCPFAHPGEKARRRDPRRHCYSGASCPDFRKGGCRRGDACDLAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRAVPTQHQAQGSSSSPRSAASSPLAESYDGSPLRRQAFESYLGKSAVSSSPTSTLVSPPRSPPSDSPPMSPDALRRGPWPGVGSPVNDVLTSLRQLRLGSPRSAPSGGPFLAGYNSAYGSPRSPAGLYSLPSTPTRPSMVTVTTPSGATLTVEPLDLGLISEEEPVQRVESGRALRAKVFERLSKEAATVCNNDTVAAATVGFPTEAAAPDVGWVSDLIN >cds.KYUSt_chr6.6585 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39706874:39707658:1 gene:KYUSg_chr6.6585 transcript:KYUSt_chr6.6585 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFQRHHHLLGGGKMRGAAGLMGLQKQNSWSPDIERDEAWERRRRGLMRRAPSSSSLSRAQSVTDDDLDELRGCLDLGFGFELPPAAAGCAACGEGRTRLVETLPALDLYYAVAVKGGAGAGTEGQCAAVCTCGASSEASDPSPIGSPLSILSPDDPPETVKMRLKQWAQVVALSLRNRA >cds.KYUSt_chr4.26584 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166902169:166903101:-1 gene:KYUSg_chr4.26584 transcript:KYUSt_chr4.26584 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRKDPRTERESAAHGVPDEVLELVFFRLASLLHLVRAAGTCKRWRRVIADSGFLRRFRSLHAASLVAGHYRVDERVAHPRPPGRNPVFVPSPSTSLDARRFSLDFLPRTNQRRYWELADSRGGLLLFVNGPTASDEAAACPRPSLVVCEPLTRTYRVIPPSAWSHGCESFGAFLLDGDADDAGGCISLSNFRLVRALYCSGVARACVFSSQDGGRWTSPASGTAICGDDYWSAFDVYDFAFAGSDGRYAYWRMGPGPFGHGARDLVLDKDAAEFSCCIHSENMHVDLQTEFVYQLAWPPAIRVTL >cds.KYUSt_chr7.6390 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38522833:38524856:1 gene:KYUSg_chr7.6390 transcript:KYUSt_chr7.6390 gene_biotype:protein_coding transcript_biotype:protein_coding NMDDALIPIDLWNRVIETVYGPDFVPVCSKAMETATQKQQIADVIGKPIVLGPWYSAFIGVSRARHSVSYMFLVLGPQGDGILKFKAARLGGVCF >cds.KYUSt_chr4.19001 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119356334:119356654:1 gene:KYUSg_chr4.19001 transcript:KYUSt_chr4.19001 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGTGRCASAVAHRHAVWPPGRQLAADILDGSARVPVVSPRTHDGHRDACVRDGQGPPGLIRIALECKHAITGSMGMRLVEERRRDPEKPMLLGLGRHDGGETT >cds.KYUSt_chr4.34337 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210719868:210721825:1 gene:KYUSg_chr4.34337 transcript:KYUSt_chr4.34337 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDEVNLKLHGLAVNNVLAATTCDYKKATLAPAAALHHSPTIRFQALPFAIIYCHPEVIAKEKAHAAPAIHDEVDLDELLDDPELERLHAERIAAMKREVEKREVLKRQGHGEYREITEGDFLAEVTRGDKVICHFYHREFYRCKIMDKHLKALAPVYLGTKFVKLDAENAPFFVTKLAIKMLPCVILFKKGIAVDRLVGFEDLGGKDDFSTRALENILKRKGIIEEKKKDEDDEDDETDMSKNRRIRSSTARDSDSD >cds.KYUSt_chr4.7592 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45337502:45337936:1 gene:KYUSg_chr4.7592 transcript:KYUSt_chr4.7592 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLGASGADGLELSLRLGTPTPAPAPRKNLTIVYDRRVLGAVDVVELQAIAIISTASRETAGKKIAGAYDDGGIAHIDRLGNKWMPTPASPDQGGIFAPLPLLGDQAGLSMKRSLQRFLEKRKARTASPYDMHRPARPPRY >cds.KYUSt_chr7.25333 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157961465:157965104:-1 gene:KYUSg_chr7.25333 transcript:KYUSt_chr7.25333 gene_biotype:protein_coding transcript_biotype:protein_coding MWGMSSKLGSTVIHDPSEPPTSSTTASTDKRLIRLGEIEEIMRNTMNITAPLFTFNCDLAELRIVDVPLWHWHHGEWQAEALLVYIRRENGSTTTEDDVRSKEDTWVTTKDISSAVGDVDDDMGSSDPGIVDSDGITAYKPSVEREGYEEVKLEKDHAAEEMKASKGKMAALSDALKAVDIGMEELESSVKNNAQAMHHIVNAPW >cds.KYUSt_chr6.1516 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9213942:9215435:1 gene:KYUSg_chr6.1516 transcript:KYUSt_chr6.1516 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDHRRNVSSLSAGRHERRSAGPSPLWSQQLPAGSGSGRAKSLFRSIGVWFSSLPTSSSKKKKMSKAALPAPDDAIKKPPCKSSLPAPFLVDELIFSFLLSARRVPLINHRLVRAAAVAFGHGTGRPSLLRGAGGLYSNTSGRGSVGAGQQFQSSVFTMDEILKATDNFSPALKIGQGGFGAVYKGVLPDGTVVAVKRARTKSPHVDVEFRSEVKIMARVEHQSLVRFYGYLEHGDERVVVIEHVPGGTLREHLDRCHGRFLELGARLDIAIDVAHGVTYLHMYSDHPIIHRDIKSSNILLTASLRAKVADFGFARLGAGFGSGGDSNGGGGAGGARPHVTTQVKGTAGYLDPEYLKTCQLTDRSDVYSFGVLLVELVSGRRPIEAKRDMQERLTARWAMRRLVEGRAAEEVLDPCLLRTAAAGCAAEAVLELAFRCMGPVRDERPSMDDCCRALWAVRKTYRDMVATTMGAYDAFSDRASSSSASTGTGELCRV >cds.KYUSt_chr1.23616 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140633472:140634788:-1 gene:KYUSg_chr1.23616 transcript:KYUSt_chr1.23616 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRSGRGHRSPPYGKNCPRSRARRDRIRDDAGDLDSNGGTTLPDDVLSAVFARFSDKADVVRCASTCRAWGRVVTKEAAILSHGLPPLPRLALGFFHTKQLHRAPASTRPCFVPMPYGTRLFGFSKPLSSALPEAVHGFFEHARPVASRTGRLILELRSEMHADDLNLCVCNPMAGDTVALLPRLSGDDHPGFYACTLLCGDDLDPPRLSRSFFVVLIVYNRPTYTALRSYSSDNGCWSREVKRPGPRIKDAKLQKFGQGLVLRGVAYWPLMRTVFAVRLDTPEPIEVSMPLGDLSDQPQKYRLLGATPDGKLTFIRAGVSRDRLLCMAIRVFETTRDGMCSGRWEKKDVFVLTQFNHVSTINLRWFCERSGILIFTLGNGSNNPGTFALNVETHEIEKVASGVQCSSWKSFVGYEMDGASYLASIMPNRELLCP >cds.KYUSt_chr3.28613 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178505891:178514799:1 gene:KYUSg_chr3.28613 transcript:KYUSt_chr3.28613 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSVQIDGRRHLSFGAFFGFRQVVLALPTEMAPKAGDALHRQLVKETRRATEAIARRPHLPPTRPSWRLELVHNPVSSAGVIDNSNGGEPTDAKERNRQRARRRYAEMDKDKKDEMLRKRRESYQQKKAKLQCTPSVTCTSESHGGPSALSQLQIMAAVKGDTATNKENVDPGEDQAYQMHTAHISSQGQESIVNGYTATNKENVDSGETQAYQMHTAHVSSKEQQSIVTDQIEAKRARERLRYADMTPEQKSSKKALRDSRRNSLSKESNAMENPCWTPELVFNAPGPQETTPPTPPMPQIVKKNQTQLPANDDDSYTSGEDEGVILEEDSEDEEGYMFAGQEHDADEDVEIDEINDGSASVPNVPDPYDMVYNKMPIETHILKSVDDCIHKHCGAKRFEHEPPGFCCRNGQIKLANTVTPPELERLWTSQDPDARHFRDNIRFFNGHFSFTSLYCNLDGDTTNTSKHPIYTFRAHGQMYHNIRSFGRVDGLQGSHLELYFFDDDPNLEHRYRSCRKEQCAKDKEVITQMVNILRGNPYSEHLRSMG >cds.KYUSt_chr3.5109 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28938433:28942374:1 gene:KYUSg_chr3.5109 transcript:KYUSt_chr3.5109 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRATEISQPPKSLRPPSMAEDEQDGAWAHEIPSTLLPRSLRDHVDRIRYSHHPDLSEFTMPACSSLRLKKSGQHPEHEPEQQLQFRFPLNSPPPVAIPSDYASTYEVISEKTDDVSDDPNYWWDVPKSVAPLSVELHRKFVVAINILGIDSKKALRHVAFCFLLNGLLGGELVAEDGLGAEVGSAVVAEVVMVAKGVGDGDGGEGKAMWRVRLGRCFLQQCLLTLAVASLPTPPPLKLGQDKRTHSRVATAIASMRLQLRKEIRSRGRP >cds.KYUSt_chr4.43215 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267744044:267746848:-1 gene:KYUSg_chr4.43215 transcript:KYUSt_chr4.43215 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSPTLDAGAWWRQLQHRRRVLQNRRGHDEALHAISSSGGAIRRRFAVHDWVVGPVAVASSVFHFCSIWGRSGSLTMGKVNQEARKILVVPIPLPHPLHRRRLVYNLAADLVPLPSSGHHRPHAMAATSTSLSLAVSPLPRPKPQPQFQPRHLDPKSPKPLRLSLAPALSCAAAPLAAAPDGFAIDDIVEKDWSFLNASGSQLPRALAAAALSPASRVLAVTPTASFVSALLSESPCELLVAAHESLYALGGIKEEHDQVRCFHLEGGGAGQGGGVVEAVPGRFDAFDVVFVCYFPGMGVTADALLKSLAKRCCKGARVVIFLEQGRQNLAEHRREHPDIVFSDLPSRSSLEKAASGSKYEIVEFVDESALYLALLQFQG >cds.KYUSt_chr2.14566 pep primary_assembly:MPB_Lper_Kyuss_1697:2:92055091:92056482:-1 gene:KYUSg_chr2.14566 transcript:KYUSt_chr2.14566 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFTHAAVTAPPLHPNGAHGLGLGLFLDVGAPRARPWPAGGFASTPPPLPTSSKISLGNLNSAGCMEQLLVHCANAIEANDATLTQQILWVLNNIAPADGDSNQRLTAAFLCALVTRASRTGACKAVTAAVAAAVESAALHVHRFTAVELASFVDLTPWHRFGYMAANAAILDAVEGFPVVHVVDLSTTHCMQIPTLIDMLASRAEGPPILRLTVADVASCSSAPPPVLDMSYDELGAKLVNFARSRNVSMDFRVVPTSPADAFSSLVDQLRVQQLVLDGTEALVFNCQMLLHTVPDETAGSVSLAQSVSLRTMLLKSLRALEPALVVVAEEDADFTAGDVVGRLRAAFNFMWIPYDAVDTFLPKGSEQRRWYEAEVGWKVENVLAQEGVDRVERQEDRTRWGQRMRGAGFRPVAFGEEAAGEVRTMLNEHAAGWGMKREDDDLMLTWKGHNVVFASAWAPS >cds.KYUSt_chr1.33241 pep primary_assembly:MPB_Lper_Kyuss_1697:1:201886006:201889873:1 gene:KYUSg_chr1.33241 transcript:KYUSt_chr1.33241 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNRPCFAKMVGSLIRSSVNFSMREGIMRLKGHNTTLQNRNLKLLHRDGGSIVEKVPMDKDFAALRHIEEDVITRFSVNSDQIKGHNTILHKKIRHLVGRGIFNRAISAENARSEQSSFDKRYSDVLAECKKLKKEVEELRAQPPMSVVTDKAGQCDCNCDCESFQQEVELHAEHAQYFETKYLKVRTECDKLKKEVECLRSQTANYCDIGETREYSDDMDDNKERRDQKSRYVTSGPKGGEIRFRMRGELFCPFCGKILQKDIRSLIQHATGVGLSTSGKHRPATKAKHAAYGLFLQNYVLPGLFPVNAPAAGPHAPGPV >cds.KYUSt_chr3.48934 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305726281:305731778:1 gene:KYUSg_chr3.48934 transcript:KYUSt_chr3.48934 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPLSPNPSPGLGPCQKNCPRPDCGCRELHSGASMEYRDAHKSVTGDNDNPCAISVCLLPPKAILLSEIKIWWCGRGWIMDLAATRFPLCRVFQAQPGHDKDRNTSGLCRAEVQHAADKDDDENSSGCTHPCTTGNKSYAPHYTGDSSIRKLFASKFRKAARNRMCGEMSNKASGASFSVEGQNPGSEDYNVKLRIKSFTIPELFIEIPENATIGTLKRTVMDVVTSIIEGGLRVGVFLEGKNIQDDSKTLRQARICHGENLDNVDFTLECEAAHNSSPGVRTPEETDFLGADAMKPLAMIKCEEPFSETKASGNNQHSIVEMTMQETPGSSRAIVPVASPNADALAIVPVCKSKRATMGQRRIRRPFSLPEVESLVDAVEQLGTGRWRDVKMLAFDNTDHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQGLLDRVLVAQAYWSQQQQLSGKASGQGSSSC >cds.KYUSt_chr4.54232 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335324412:335325203:1 gene:KYUSg_chr4.54232 transcript:KYUSt_chr4.54232 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVLLVVALFAVFLGSAHGIAKVPPGPNITAEYGDKWLDAKSTWYGKPTGAGPKDNGGACGYKDVDKAPFNGMTGCGNTPIFKDGRGCGSCFEIKCTKPESCSGEAVTVTITDDNEEPIAPYHFDLSGHAFGSMAKKGEEQKLRSAGELELQFRRVKCKYPDDTKPTFHVEKGSNPNYLAILVKYVDGDGDVVAVDIKEKGKDKWIELKESWGAVWRIDTPDKLTGPFTVRYTTEGGTKSEVEDVIPEGWKADTSYSAK >cds.KYUSt_chr7.31827 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198115974:198122441:1 gene:KYUSg_chr7.31827 transcript:KYUSt_chr7.31827 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPPRPPSPAATPLQSCAATTSSGVDVVANAPKEETRGRLLLHAAIDGNLDLLASTFPMPSPVGIRPLRIYYSVYIHSGLALVSGMAVDLEATTRRGGPGVWSTCGPQALRLAAANGRTVVCRYLVEHLAVPVDAPSSSGETPLLLAATSGHTATAAYLLERGADPRTAESDGETPLHWAAYNGDRELAMLLLSRGADVGAANPRGTALHVAAAQAHPAVVALLLSHGADPNKVANRVFTPLVSSLLGGSLECMKLLIQLGRLPIEIAAVHAEQEVAELLFPVTRCRPAPTILDWSIGGIVRHVNSDAYKEWERKASHKRKEELKLQGNSAFKIKDYDAAILYYSMAMRFDDGTDASLYSNRSIFWLRLGMGDEALSDACACIRMRPDLAKGYFRQGMALCFLQDYAGASDALLRALKLDPKDTTITEAIRDVSVNGNVSVPVMWFPSRYKYSREVKFPTASGIELWKPQCIIDRNWRLGRTPTSATILGWPLQPSTGDAIAGFQAPPSRRRNAVIDQKIHHHQPQADPLRRRRGSPPPSNPRLLPQASSYRGRSPRSSPLTGERRRERRSPPPPATTVGMPSMGGGEAAARSWIDPGRAAAAPPSSSDRRRPGRRKGHRRVRDSLAAVPDA >cds.KYUSt_chr2.15439 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97251898:97254628:1 gene:KYUSg_chr2.15439 transcript:KYUSt_chr2.15439 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKPPVVSDLGAWGMNVVSSVGIIMANKQLMSSAGYAFSFATTLTGFHFTVTALVGWISRASGYSASKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVINSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYSIGSFELLSKTAPIQAVSLIILGPFADYYLNGQWLFNYSFSTGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTIKNILGMLLAIMGMVVYSWAMESEKKATAAIPRNKSDMLDGEDVPLKSRLSGVPSDELDLEDGPMKS >cds.KYUSt_chr3.42596 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269278334:269281178:-1 gene:KYUSg_chr3.42596 transcript:KYUSt_chr3.42596 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTMTHSSSFLLPSPPPPPADPADAVSYAVVVLNQRLPRFAPLVWTRAQLRVCADGGANRVFDGMPDLLPGEDPDEIRERYKPDAIKGDMDSIRPEVKEYYSNLGAKIIDESHDQDTTDLHKCISFITTNPPDPDNSNLCILVLGALGGRFDHEMGNINVLYRFSSIKIILLSDDSSIFLLPKTHSHEIHVDPSIEGPHCGLIPMGAPSSSTTTTGLRWNLDNTSMSYGGLVSTSNIVDEEKVTVTSDSELIWTISLRKHA >cds.KYUSt_scaffold_869.1452 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:9264274:9267939:1 gene:KYUSg_scaffold_869.1452 transcript:KYUSt_scaffold_869.1452 gene_biotype:protein_coding transcript_biotype:protein_coding MARATRGWQSCCNLWPEVLHAAGGTAARVDHRRYKGRQRCYDFGPAVLYAARSAAASGAARQVARDGTRPDSEISVSSNRDGRVELPNLAGFMDHVDLPAWFWEHLGWYMLVSSYWHVWLRVVIGVTPSETEVFGPDALVLGRRRKPPESMMKWTPPKVVSMSPDGPAGIRCGASGCGTNSKDPQRIEFLGSDGVGDSSKNAADVTGTADDLPGADRLPTDGANCRGYSSAMPFGWG >cds.KYUSt_chr4.19751 pep primary_assembly:MPB_Lper_Kyuss_1697:4:124267552:124270423:1 gene:KYUSg_chr4.19751 transcript:KYUSt_chr4.19751 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALFHAYGIDEIGQSVDAVRSSVLDLKRFSKAVKLAGFTPFSSAIDALNQCNAISEGIMTDELRNFLELNLPKVKEGKKAKFSVGVMEPKVGSHITEATGIPCQSNEYVQELLRAVRLHFDQFIDQLKPSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVREWYGWHFPELVKIVNDNYLYAKLAKFVTNKSDLSEKDIPALADLIGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEMVGARLISHAGSLSNLSKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSDVSSSIFGEKLREQVEERLEFYDKGVAPRKNLDVMKAAIEGMTNTALEEGEENGGTSAKKSKKKKSRAEADGDAMDLDKPANTSAAEAEPETEKKKKKKKHKLEEPQDQEMAATGDDETPKKKKKKKSRDASADDEPKTATEGKKKKKKSKTAGDD >cds.KYUSt_chr4.45266 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280347010:280348245:-1 gene:KYUSg_chr4.45266 transcript:KYUSt_chr4.45266 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGQLDDFYRHHQFKPSKEEAVTYFLPRLLAGTPLPHGADSLIRHADVYACEPRDLAAQFAPVPNAASTGDRFFFTTCRRKSGNDARVVRRAGAGTWAVQTTEDVYHEGAKVGEAKHLSFKKGKTTTGWVMKEYRCLRPEAVVADGEMVLCKIHLAQHAPAAARQESNAYKMLRQEPAEPTPTPQSHKRAAPAAAAAGPPCSKKMRMAAPVPEPECPVTPAASTHAQKSQKLYGVPVPAPEEMEYDDCPVWFTSAAPVSLPAAFTEVPHAPEADGDTGRFSCTMEELLGLQQPQEQTLPVAVEDEDFDWDSLDRESEVHLLLKPWDDDDWESEEQTPPVEAEKNNIQQVDTHQPAPSASWELPEDLRNLLADHNDQEALLYMGCSYNTVAAACLHAPSLQGFFSFGAVN >cds.KYUSt_chr2.4625 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28559724:28563273:1 gene:KYUSg_chr2.4625 transcript:KYUSt_chr2.4625 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKVPPRPPGYAFVEFEDPRDAEDACAGRDGYNFDGNRLRVEPAHGGRGSGGPSHDRPSFGGGGGFGGGGGGGRRGVSRHTDYRVLVSGLPSSASWQDLKDHMRRAGDVCFAEVYREGGGTIGIVDYTNYDDMKYAIKKLDDTEFKNAFSKGYIRVKEYDGKQARSYSRSRSPSRSRSRSASSFRLPLITSKIQIPDCFSGKWCSGGKSQETHSKQEPISLTVS >cds.KYUSt_chr4.12770 pep primary_assembly:MPB_Lper_Kyuss_1697:4:78655327:78657509:1 gene:KYUSg_chr4.12770 transcript:KYUSt_chr4.12770 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSDSVEGIVLNFVNEQNRPLNSQNAADALQKFSLKKTAVQKALDALAESAQISFKEYGKQKIYLARQDQFDIPNGEELEQMKKANTKLQEEIAEQKKITSEVESEIKSLQSNLTLEEIRSKEAILQSEVQEMEEKLNKLQSGVILVKPEDKKIIEESFSERVTQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVDVTIQPYSEMLASLNKRRKVSR >cds.KYUSt_chr2.50149 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313605497:313605706:1 gene:KYUSg_chr2.50149 transcript:KYUSt_chr2.50149 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGLERGVQMMRCSMRRLKQRGHQIRILGVEKSAVPHCNPASAAMGIQCDKSFIGDELEIMHFFLIA >cds.KYUSt_chr2.33967 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209978884:209979222:1 gene:KYUSg_chr2.33967 transcript:KYUSt_chr2.33967 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPCNVTPRRRQKGALLWRGLGRRRRLPIVRLGGGGTAAGAGGGRVRGRGLLRRLRLRWLLRAVRKLAAVYMAALQPPPGPHGASSSCHPWIGIEPCFATPFVPNARPFW >cds.KYUSt_chr1.36914 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225166215:225167978:1 gene:KYUSg_chr1.36914 transcript:KYUSt_chr1.36914 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGDIYKLMSTEDMLQGHAELCIHAYGFVKSMALKCAMELGIPGAIHSNGGGATLGELATRIALPPFRLPRLRRLMRVLTVSSVFSIHYQQPDSADGGAAVYGLTRASRLLVGDETFPGLSHLVSLMLDPNLAAPFSGMSSWFMDERPRSIFEMHHGEDIWGVAARDVALSRSVGDGMDCDSRFVMEVLLRKGLGREVFSGVGSLVDVGGGTGAVARGIAAAFPNVECSVLDLPHVIAEAPADGDVRFVAGDMFEYIPPADAVLLKSVMHDWRDDECVKILQKCKEAIPSKDAGGKVIIINMVVGLGTSQDNNRKEAQALYDLFLMVIEGGEREEDEWEKIFLEAGFSGYKIIPVLGIRSIIEVYP >cds.KYUSt_chr2.20060 pep primary_assembly:MPB_Lper_Kyuss_1697:2:126159388:126160362:-1 gene:KYUSg_chr2.20060 transcript:KYUSt_chr2.20060 gene_biotype:protein_coding transcript_biotype:protein_coding MRYCPDCNRAVTVLRHRASGDTVCTQCSRLIGQRYVDQSSEWRTFLNAVGGIDGSGAADHSLAHAGNIAATTTIPYPASAGSAQSAEANDNDTHGGAAGPSRDGAMPKMRGAVSDKPLADAFHSIDDMAARLGLAAAVRDRAKEVFRKLEYAKVLPRGGKCRNRHALYAACLHVACRAVGTPRTFKELASVTGDSATAGIKDIGRLVKSIKIHLGEEDCGQPAGEITMGAVVQAGDYLRRFGSLVGIGDQEMNAALEAVRRLEKNLDVRRNPDSIAAAVIYMAVQRAGAGKSVREVSTATGVGQVTIREVCYKDLGPHAELLFG >cds.KYUSt_chr2.50459 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315541223:315550614:1 gene:KYUSg_chr2.50459 transcript:KYUSt_chr2.50459 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGWSLHLPALTRIHDRVQAMFLHAAGLLDDSTGAKVVETVAQRQWIFGARRLGMRLRAALISHIYQKGLRLSCSARQKHTSGEIINYMSVDIQRITDVIWYINYIWMLPIQLSLAVYILHQNLGAGAWAGLAATLAILACNIPLTRLQKRLQSKIMTAKDNRMKATMEVLRSMKILKLQAWDTEYLQKIEALRREEHKWLWKSVRLTALTTFIIWGSPAFISSITFGTCILMGIPLTAGTVLSALATFRMLQDPIFTIPDLLSVFAQGKVSVDRVAQYLLEEELKCDTITEVPRSDTNYDVEIDHGAFSWEFENTTPTITDVNLKVKRGMKVAICGMVGSGKSTLLSCILGEMPKLAGAVRVSGRKAYVPQTAWILSGNIRDNILFGNPYDKEKYQKIIQACALSRDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGGQLFKVMQDGKIVQNGTFDELFQHNIGFEAIVGAHSQAIESVTNAEISSRVLSTDSKNLADSDNEFDKENDTDDQLHGIIKQESAHDVSHGINEKGRLTQDEEREMGGVGKKVYWTYLTAVHGGALAPVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTLIQALFRIVESSVGTIEIDNVDLSKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDQHIWETLDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQQTLREEFGDCTVLTVAHRIHTVIDSDLILVFSEDGITAVFSPSSHRGGGDGEEFLGDAGGSKKLRPGAYPLPRAQHMVTTHVAMISCQ >cds.KYUSt_chr1.15564 pep primary_assembly:MPB_Lper_Kyuss_1697:1:90499833:90500604:1 gene:KYUSg_chr1.15564 transcript:KYUSt_chr1.15564 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYVHCLEYRRSIFIAWKDNNSDDDIEQVLDTMDPSAYNVTRHLWPWMKYQEAGFAHCALRASESCEWVGFIDIDEFLHFPGNQTLQVVIQNYSSKPRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLASPECHKSIVRLDALNPLLINVELLFIACKVITVSRALMDDTPT >cds.KYUSt_chr2.42715 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266008053:266016679:1 gene:KYUSg_chr2.42715 transcript:KYUSt_chr2.42715 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSVCPIAPRLASVSGRPWSPPASYRAASTRCWSARRGRRRRWAGLRARCAGAEPAVEPGSESAPEEPVGDVEQAKPEFDLNLAVVLAGFAFEAYSSPPVSSLFPPCSSSLNCTDPVSLEDAGWRETDEAGCQTVFLSDVFLREVYDGQLVVRLKKGTSLPAMDPWGTSDPYVVLQLNGQTVKSQVKWATKEPTWNEDFTLNIRKSLENLLQVEAWDANLVTPHKRMGNAGLYLETLCDGNKHDITIELEGPGGGGTIDLEVRYKSYDDIERDKQWWSTPFVSDFLAKSSLGSALRSVLGSETVNASQFVQSAFGQLSSFTDTKAQNPFDTESEVSERPEESLDNSIGPNELQQKKIDSKVSGDNSDSQSEPVSTAAVVKSEGNTPLDTKEPDDFWSAFTNTLNQNVIKNFGISLPEAKQLDGFDLLSSLGSKSREIAEQVYLDSGLATSDTSTSDGSETTPELTVGIDDKDSTMPTKEAAQASFPDINEVSRDVLSQTENILGALVILSKNFSSQEKGSETIDEADVKDGLIGEDQGAADSIDKAGAVASTKEPKDTKKADDTQQLFASAETAVEAWAMLATSMGRSSFIKSDFEKICFLDNGSTDTQVAIWRDSSRRRLVVAFRGTEQTRWKDLVTDLNLVPAGLNPERLGGDFKQEVQVHSGFLSAYDSVRNRIMVLIKYAIGYMDEEDAETIAKWHIYVTGHSLGGALATLLALELSSSQMTKDGVIFVTVYNFGSPRVGNRKFADVYNAKVKDSWRVVNHRDIIPTVPRLMGYCHVEAPIYLKSGNLKHALVIEILDDEDQGDEIGEYTPDVLVSEFMKGETQLVEKLLQTEINLLRSIRDGSAVMQHMEDFYYVTLLE >cds.KYUSt_chr4.45727 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283021073:283023863:1 gene:KYUSg_chr4.45727 transcript:KYUSt_chr4.45727 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNGLLPNASAGVTRRLDPDRWAVAESRAAELIARIQPNAYSQGRRHAVYNYVQRIIRNCLSCQVFTFGSGPLKTYLPDGDIDVTLFTNSEELKDTWANLVRDALEHEEKNKTAELCVKEVQYIQAEVKLIKCLVDNIVVDISFNQVGGLCTLSFLEQVDNLINKKHLFKRSIILVKAWCFYESRVLGAHHGLISTYALETLVLYIFHVFNNCFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPINSLPDMAADSPRMDTDDLLLSKAFLDRCSQQYGVAARTLENQGQPFVSKHFNVIDPLRTNKNLGRSVSKGNYLRIRSAFSFGAKRLAKLLECPNEDLVAEVNQFFINTLTRHGSGNPPDASTPNLSPEHALQAVSAEASNIHESATRTNNKIEKSELQASQ >cds.KYUSt_chr7.40784 pep primary_assembly:MPB_Lper_Kyuss_1697:7:253054586:253055059:1 gene:KYUSg_chr7.40784 transcript:KYUSt_chr7.40784 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVQCCECRKWRTVPTKDEFETIRENFNDDPWFCSKRPCCSCEDPADIEHDSSRIWLMDRPNIPKPPPNTERLVILRRDLAKTDVHYVLPNGKRAKGTEDVQKFLDAYPEYKDSLSVESFSFTLPRIVDETVSDSSAWRTKRAKRRGRKNASSSKN >cds.KYUSt_chr4.42935 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265970436:265971263:-1 gene:KYUSg_chr4.42935 transcript:KYUSt_chr4.42935 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSSSLAGTSGSDYAAVAAAVAAAAAAAAAAAPQVTRKAMWSSDEDGVLREQVRLHGAHNWDTICVALPGRNAKSCRLRWCQHLDPRVDVVKPFTPEEDKLIVKYQAAYGNRWSTIAEFLSGRTDNAIKNRWNSVLRKHHGHASASASAADQGPPRQYWAPSASRHAAGPELTPGCLPLFPLEKGDVMRDRRSPPLPHHAPEDEDMSDAETSSAASVECLQLFPLAPGDVRANAGAAASSGMSCGADDPLTQLRLAPAARLVFDVMPLRSYQM >cds.KYUSt_chr2.3402 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20359437:20360587:1 gene:KYUSg_chr2.3402 transcript:KYUSt_chr2.3402 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGVAAKKAQAKGAYVTFLAGSGDYWKGVVGLAKGLRAVNSAYPLVVAVLPDVPEDHRRTLVDQGCVVREIEPVYPPESQTQFAMAYYVINYSKLRIWEFVEYEKMVYLDADIQVFDNIDYLFDLEAGSFYAVKDCFCEKTWSHTPQYKIGYCQQCPDRVAWPEHDLGVPPPPLYFNAGMFVHEPSLATAKALLEKLVVTDPTPFAEQDFLNMFFRDVYKPISNVHNLVLAMLWRHPENVELGKVKAVHYCAAGSKPWRFTGKEANMDREDIKMLVKKWWNIYDDESLNFKGGEVTVNDPLGVALSEALAVKYFPAPSAA >cds.KYUSt_contig_257.224 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:1787641:1788129:-1 gene:KYUSg_contig_257.224 transcript:KYUSt_contig_257.224 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGPSAGPLDAHAAAPIAQEEASLHRSPGATSVHEDVQTLTALLRQFRQRLDDPLLSLPDPKVVRRRLFQVVPSTARRSRRIAAKRTGVSTSAVKRAQRILMRKLGICRDEERLSDSQLEEYAAIFASPLGPEQVEAITALFGLSCPSVGECELADLATA >cds.KYUSt_chr2.17968 pep primary_assembly:MPB_Lper_Kyuss_1697:2:113172348:113178525:1 gene:KYUSg_chr2.17968 transcript:KYUSt_chr2.17968 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPVGAETYASASLDDRRGRRRQGCGLVVVNEYDHHRGLCCLDGSQDLRRRRRLQRGHGLHGRRSGLHRQGRAAGAVRLVATKSAEAACAVAATTVAAGTVATTDVPAFPITATIGAARCTVVVAFKQCRPCVIKSYKQKEKQRLLRRPRRRRLHRRARLCAIQYGDDADAVRYDGAFAYRLPASSSGTTGHLDFGPSAAPAKARLTPMLTDKEPPFCDVCLTGIRVGGKLFSIPDSIFSKGGTIVDPCTVITRLPAAAYAAVVGVHRGHGGEQFQEGVSWSPATTHGNREATPRRFHWCSRAVPAWTWAPATSEIMFPVTQAHGNKRGCANATPEEMRVIIEVTDETGKFCYSPRPASPLRWSPRPPSVRRTAAPASPELGLPRDWGYGATSGDALDAVLGTGPRRTGRAWRCSASPRAARRRSRAALTPPTTPDHPVSPTSVIPSSPTTDSCGHTLLLPSAQPGQQVILLLPRVPTTDAAISALAMLAPDSLMDASPTSRPPGFETSPEPCTPPLVVVGSPACTPETVTRPPRLQAAVVDNSEDRAPLAPLFAPAQAPILSPPMSSPPVRPVPRRKTLAGTDITRTVGFSLRNKGTATNQIKMADPVEKKAQAMVCKGLGIIKDGEEVTEWALAQFASRFQGRIHEDVLSALLALFKVGTDEDLGNDDAMIAHGGADALDLDRHVDASAVPEA >cds.KYUSt_chr5.3353 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21696034:21702244:1 gene:KYUSg_chr5.3353 transcript:KYUSt_chr5.3353 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYSWWWDSHICPKNSKWLQENLSDTDSKIKVMIKIIDEDADSFARRAEMYYKRRPELMSLLEELYRAYRALAERYDHAAGELRQAHKKMAEVFPDEYLIDLDDDLPSETASSETDSDNRGDMTPFFRSFISTGDSKRRSRDDQDHEKLQKEISSLSQENQDLKKKISSVLEKSESAESEVLCLKKALAQQESEREAAVSQCQQSGDRLQNLKSEISHTQEEFKRLKEEMQNGLQNLSAAEEQCLLLERANQGLHLELDKLKCASKEKHEELNEKHIELEKLSISIQEEQLKSMQAEMARLSLEKQLAQVQEKLRLLSLEKHGETNKFKDIEASKLMLHNELEKIREENRKLDDQNHSSRSVIIRLQDEIIFLKNAQRRLEEEVSRHVEEKKVLQHELSNIKNDRGDVERKHFSIKEQIEVVNFNVESLQALAQEMRDGNVELKETIKNHDGVKALYVENLMQLERTLEKNAHLERSLSAATTEVAGLRENKLALEESCKHLSSRVSGHQSERAMFIARVEGISHTMEKLSEKNVFLESLLSENNSEVESHRRKLKDMEESAQALRNQNSLLRSDKRTLVHEVDSINGALLDLETQYAELEGRHLDLQQEKSKVRNEVVKLQELLRLEKEKSKELTHSDKTQFSAIQKQIALLLEDGRCKENQLQEEEHKIVESQIEIFVLQRCLGDMAEANSDVSGQLQKQQESHKVLEEKLAFLSQNNQKLTEGISSVMEVLQFDEKYGSLDLMKLDVVVQLILHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLRQEWQAKSEELLQLQSERHDLVKTSCELRKDMEARNRKVDEMKSESKFLVRQLSELQESRQSLQAEIIKLIEENSSLAGKLYDSREKEKLFEDDFSTLIGEAVRTDILGVIFRSLHDERTSELQCLHDDFGSLHAAGNELYQEIRLMNKKLGDLQLENNYLEKELSRTISICDGSSPETGSARRRTLRRDTKLLKSGRKSQQESAVNMEQRKEVDNAGLEKSNEMLREELHKLQSEMQLLRNNEQPVIDVRSCDAEISKLLANMQIATANAALFKEKVLELIVTCESCEISEIVQKEVLKEEISRRNSYVDALKDKLSAVEIENRRLKVDLNGDFTVLGALQTEVDALERQTLSLAKDCVPSSKHRKEEFLLSPQLSKIAVRPSDDQNSPKLVKDMELQKLHGTIKALQKVVTDTGVVLEQERLDFSSNLQDARKQIEMLKLKDILDSDAGDVNYERMLKDIQLDLVQTPSRRAIGSHRLKKKITAQPDDKMLALWSVVRTSSGSGRHDDLRPPQSEAASEKDKGKRYSSEVMLVKDLGVDKQEEVPRPVATTEPHREWKKKVIERLSSDAQRLRDLQSILQELRASVEASGESELESVRAQMVESEEAITQLIDANGKLLSKAEEFTSADGLDGGSVDLRSRSQRKILERVRKMSEKVGRLEMEMQKFQQVLLKHEEERASRRASKTVQRRSRVQLVEYLYGKRRGDAPRRTKRGPSCCMRAKAMDD >cds.KYUSt_contig_988.269 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1557632:1562322:1 gene:KYUSg_contig_988.269 transcript:KYUSt_contig_988.269 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIGRVSLAVEEAAAALRRASPQMLLLAVTAVLACWCAGRALEWAWWRPRRLGAALRSQGLRGTAYRPLAGDEPLNARLNREARSRALPLRCHDIAPRAMPLLHQLIRDNGEMFISWFGPVPRVTITKPDLVHKVLSNKFGHFEKFMFSHVLKMLHNGVSSQEGEKWAKHRRIISPAFHLEKLKRMLPAFAACCIELVDGWESMATRDDETIEVDVWSEMQRLAGDVISRAAFGSSYLEGRKIFELQGEQAKLTVLVLNKIYFPGYLSLPTRTNRRRKQIAAEVEGILKGLIAKREEDLRTGQGTSDDLLGLLLESNMAHRRGGGGGLMTDEVIGECKLFYFAGTETTSALLTWTMVLLCMHPEWQHRAREEVLQVLGSSSTPDYDCLNRLRTVSMVLYEVLRLYPPITAIHRRTYKPMEVDGIRYPAGVMLTIPVLCIHHDKDVWGADVHEFRPERFADGISKATASGSRNTPAPFFPFSGGPRVCIGQNFALLEAKMGLAMILRRFSLELSPAYKHAPLPIGMLQPQHGAQIKLRRLH >cds.KYUSt_chr1.743 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3964852:3965364:1 gene:KYUSg_chr1.743 transcript:KYUSt_chr1.743 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKYSPKQLVAVLFLALASTMIVTAQNTVQDMLDAHNTVRASVGVPPVVWDDTVATYADAFAEKRRADCLFEFSPLGRPYGENVFVGTGSEWNYVDALNWWVAEKQYYDHATNTCSAPPGKSCDAYKQVVWRDTTAIGCQGLVCDGNAGVYVICDYSPPGNVVGQTPY >cds.KYUSt_chr1.27077 pep primary_assembly:MPB_Lper_Kyuss_1697:1:163283138:163285679:1 gene:KYUSg_chr1.27077 transcript:KYUSt_chr1.27077 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGSSARGRRWFGRWGLAAATPVFRTEVERRKFNRSEDTRKRSACRWTNWGLTSLGKFAKYANRGEGSSSGGSCRPSPARIWFEQEAAMAQAIVYRCCTKLRSAIRDEATIRWKFSGDLEEMMTALEEIQPDLDEAERRSLWEVAVRDWLMLVRKASYDIWDMVDELQDTSPAARTMTWIPCRLSVVPKKENSMPIKMKHLKGQLKTLQEEALRFGFTRLDANVQQAINVVGDKAPEIDEAKVVGRDRDKERMMSLLPETERDFREGPIILPIYGPQGIGKTTLAAMIFNDTRFRGYSRVWIHVSQKFDLHQIGNSIISQVSEQINHDSNDMEYIAERLHELLSAAKVLIVLHDLWEEDAIGLQRLKRMLSVADKGSQVIVIVTACKVAIALDICTTRPYRLDRLSDDICWRILKQSSYFEDRSESNKQVLEKIGRQIASMCDGLPLAAKEIGRMLQFQDDRRWAEVSMLLNEHAERWDLYSDSVYSTDSCPSPSPLELLDSTLPVEISDSPSSAKFSYNCSFLPLKFRYISMPPNMRLCFAYLAMFSDDPFIVKDDLIHQWIALDLIEPSDRFSSKQLGEQYFRTLIGMSFLGAAEMDSVSYLILSSINLL >cds.KYUSt_chr1.36327 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221529546:221536723:-1 gene:KYUSg_chr1.36327 transcript:KYUSt_chr1.36327 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDNSVGEDGGGVDGEAFRGHFPIPAACRNRDSCPPDLGFAMAAALEAENNNWPFGISSIVIFGPSFGDEIFFPGSMVVLNNYNFLESVTQLIGDRSPPTSRYLLLRRHLAAAAAAAAKAAPLPARRVQRVFDKSARSDRIQELARLGRLREAREVFDTMPRRSIFDWNTMISAYCSSGMLEDARDLADAISGGNVRTSTILLSGYARLGRVLDARRVFDGMLERNVIAWNAMVSCYVRNGDITMARRLFDSMPSRDVSSWNSMLTGYCHSRQMVDAWRLFEHMPERNLVSWTVMISGYARTEQHRKAWDIFRMMHREGLSPDQSNFASVLLAVVGLRDLAVLEGLRPLALKTGFEGDVVVGTSMLNAYTRDALDVAIKFFEGMPERNEYTWSTMIAALSYDGRIDAAIAVYKRDPVKPIPCQTALLTGLARSGRITDARILFEQIPDPGVVSWNAMITGYMQNKMVDEAKELFDRMPFRNTISWAGMIAGYAQNGRSEEALDLLQALHRNGMLPSLSSLTSSFFACSNIGVLETGRQVHSLAVKAGCQFSSYVCNALVTMYGKCGNLEYVRQVFDGMKVKDTVSWNSFISALVHNNMMEDARHVFDNMLSRDVVSWTTMISAYAQAERGDEAVEFFKTMLHEHELPNSQILTILISVCGSVGASKLGQQIHTVAIKHGMDSELIVANALMSMYFKCGSADSHKVFYSMEERDIFTWNTFITGCAQHGLGRQAINMYKHMESARVLPNEVTFVGLLNACSHAGLVDEGWQFFKSMSRDYGITPLLEHYACMVDLLGRTGDVQGAEQFIYDMPIEPDAVIWSALLGACKIHKNAEIGRRAAEKLFATEPSNAGNYVMLSNIYSSLGMWVEVAEVRRIMKQQGVTKEPGCSWMQIRNKVHSFITGDKQHEQIKEIESTLRDLYTLLRTTGYVPDTGFVLHDIDEEQKQSSLLYHSEKLAFAYGLLVTPKGMPIQIMKNLRICGDCHTFFKFVSHVTKRHIDIRDGNRFHHFRNGSCSCGDFW >cds.KYUSt_chr3.3175 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18239008:18240450:-1 gene:KYUSg_chr3.3175 transcript:KYUSt_chr3.3175 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWPRPEVNFDDVESLREAEFLFPLVQSYVRAPRKKKKMLLSSTFASPLHLPCSSSNVAVGAASSRPAFLTRISAAKQLTGRVVTTKANKTVGVEVVRLAPHPKYHRRERIKKKYQAHDPENQFKVGDVVELLRSRPISKTKHFLAVPVPPRDTRRKAQLLPPLQSDQEDDTAGEESQ >cds.KYUSt_chr2.30192 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185868921:185871766:-1 gene:KYUSg_chr2.30192 transcript:KYUSt_chr2.30192 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDMVKGTRGVQDNKRKRIKNKTRLQYDDPLILSNDYMKEQIDGGKLYMLVSKRKKAPLSAKDVWRYSRMRQEDIFSKPLIHMRLGFPRMRVHCDKMQEQQRGKDAIIDLNLSPSHQMLEQHRVNNVVIDLHLSPSHQMQVHERGKDVVIDLNLSPSQQMQVKDRGKGDETMLEQDRGRYDETMLEQDRGGDDETMLEQGRGKGDETMLEQDRGKGDETMLEKDRGRYDETMLEKDRGKGDETMLEQDRGKGDEMMLEKDRGRYDETMLEKDRGKGDETMLEQDRGKGDEMMLKKDRGKELRDEERYGLYFALEVIRRRDGGFTKEDKQLIAEMLNTSIRTVERVWNLGKNQIAEGKRRVDVSNQKKGHVGQTFEPFLDISLEIDQVDDLVAALESFTKVEQLGDAENKLKCESCNGQVCKDKQLVLDTTPDVVAFQLKRFTVTLDGYIEKIDKHVAYPSQLDLQLFHTNPDKEGLKYDLYGIVKHSGLPNFGHYMCTICSSPTSWHLMNDSLVDSITETSALNQEAYLLFYVRQGMLPWFSSFLQEANSSAHSATKRMYPVNNDHNVFAFDGLASNIKRI >cds.KYUSt_chr4.26680 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167530033:167530257:1 gene:KYUSg_chr4.26680 transcript:KYUSt_chr4.26680 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIEASSVFAAFDKDGDGKVSASELRCGLGSTLGEDVSEEDAAALLAAVDADGDGLLDQEEFSRLAGGAQEGA >cds.KYUSt_chr7.13690 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84477775:84478681:1 gene:KYUSg_chr7.13690 transcript:KYUSt_chr7.13690 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLIPAADEEEGAALVPPPAAGAAPCKVVDDDNTAVGVERQSSSSSSSPARTKKTWWWTALVSPTRGAVGMVIGGLVVLAMLVGTTWIDLDARWKGYTIVSGGPVPKSSRVTNPL >cds.KYUSt_chr6.3513 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20265829:20266545:1 gene:KYUSg_chr6.3513 transcript:KYUSt_chr6.3513 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKVLLCHIAEPDGPSWQEARSRCWLHGRGQGAAVPAWLILAARRPDASEMTRHTSMALRPASGDDDDAAALACAAPLQAKVRTAEPDEPSWHVATPTPKTSHAPAAGRSHWKRIISLPSAPHRAAASCARAAAVGVLEPPREHLTAPPQSPVAQARVDAPEATQPVRAEKRPDQPVVPGKDTVAQADSSKIVCCRLQAAACHFETNQEEREDDFDEGETLFPCDCGSHVWCAERL >cds.KYUSt_chr6.23538 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148686742:148688463:-1 gene:KYUSg_chr6.23538 transcript:KYUSt_chr6.23538 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNCSIALGTAAHAAGAHGTNVLALLLLSSLTLIGAFLVYFYAPFWAVRKVPGPPTRFPLGHLHLLAKDGPDVLRAIAKEHGPIFRFHMGRQPLVMVANAELCKEVGIKKFKDIRNRSTPPPTVGSLHQDALFLTRDSTWSSMRNTVIPLYQPARLAGLVPTMQSYVDALADSVAACPDQDCVPFCQLSLRMAIDIIGKTAFGVEFGLCKKISGGGGDDDVRELLEEYKRSMEFIKMDLSSSLSTILGLFLPCAQTQCKRLLRRVPGTADYKMEENERRLCRRIDAIIAGRRRDRDDEKSSSSSSSPAAALDFIAALLDARESGTRDSVLEDRHVRALAYEHLIAGTKTTAFTVSSVVYLVSCHPCVEEKLLAEVDAFDLDGGRAPDADDLHSRFPYLDLVIKEAMRYHLVSPLIARETSEQVEIGGYVLPKGTCVWLAPGVLARDAQQFPEPEEFRPERFAADGEEERARHPYAHIPFGVGHRFALQQVKLALVHLYRRYVFRHSPAMESPIQFDFDLVLGFRHGVKLRAITRTRV >cds.KYUSt_chr3.11620 pep primary_assembly:MPB_Lper_Kyuss_1697:3:69196830:69200942:-1 gene:KYUSg_chr3.11620 transcript:KYUSt_chr3.11620 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGEPVALVVHQWRQRLKFFVNERTGARPAQQPFHGRDGEGRRRREQVVGVRGDAIGRSLILVHGIDDLFFSDLRHSDDFLHACNLRYSSKRRWDGLDFFPGQDCPSTRSWSRVVAALRPTVAAVVAPTIVVAAPEATTMAVVPVRGTKRCHAVAEAAVPVLAAAEVLTDGLLCPVPLRGASQLQKHNGFKEQVPADSVSSRRKVGLMEDKKLIFPFIPGLKVKEGARTEGIPLVDVGWCWQVGPLLVPRSGHVFTYPDQRGTHNLSTLSASDPQLTQATVAKWEPEPALRPCPCHCFPFLSPRPIVLLLRQRQPFSGRRVMSSFSSTSRSSWPQYGPVPLTRCPDCPRQEPLKRSICKTDENGNRGREFVACESLPYREGDKILKKLRHFEWIDVYVQRLQL >cds.KYUSt_chr4.49187 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304618867:304622781:1 gene:KYUSg_chr4.49187 transcript:KYUSt_chr4.49187 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRCNQLKDKVLGVLEACSTIVEKLNLVDTLQHLSIDHNFEEEIFSILRTTHASEFNSSSLHDVALRFRLLRQQGFWVSPDVFKMFKNEDGTFKVDITNAPRELLSLYNAAYLLTHGETELEESILFARQRLESMKGDLESPLAEQVKRALHLPLTRTLKRVEVLHYISEYKDEPMHNSSILELAKLDFNNLQCLHLKELKDLSRWEESAVSLLPEYLQKFYLKMICTFKEFEDELKPDEKYRVSYSTKAFQILSSNHLQEAEWFHKNHKPRFNDQVKVSSICSGAPWACVGLLIGMGDTVTKEELEWALGCTDVVRACAVVTRFMNDLAAFKQGKNKYDVHSSVESYISEYGVESDVAFAKIGSMIEDAWKTTNQTRFERPELLPAIQRVVNITISMMFWYDDHKDAFTYSNCLEGTIRRLFVDPIPF >cds.KYUSt_contig_7616.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001613.1:24260:24628:1 gene:KYUSg_contig_7616.5 transcript:KYUSt_contig_7616.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDLRGLAEFAVRVTAQSIWDAGFDAKAALYNIEDISEADERCFKDCGVKLQDFSKELESKTNLVGVRTFLDDAKTKNMELNCDVCHHGDDKKKADDISQGNRSEKMMVVLPVLIDRALLK >cds.KYUSt_scaffold_1700.156 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1085897:1088250:1 gene:KYUSg_scaffold_1700.156 transcript:KYUSt_scaffold_1700.156 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELVPGDHVLPVFTGECKECAHCKSEESNLCDLLRINVDRGVMIGDGQSRFTIDGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGLGATLNVAKPKKGSTVAIFGLGAVGLAAMEGARMAGASRIIGVDLNPAKYEQAKKFGCTDFVNPKDYTKPVQEVLVEMTNGGLDRAVECTGHIDAMIAAFECVHDGWGVAVLVGVPHKEAVFKTHPMNFLNERTLKGTFFGNYKPRTDLPEVVEMYMRKELDLEKFITHSVPFSQINTAFDLMLKGEGLRCVMRMDE >cds.KYUSt_chr6.8883 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54647819:54657637:-1 gene:KYUSg_chr6.8883 transcript:KYUSt_chr6.8883 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLSPHFLLLLPLPTASRHALPQTPPPPSLLPPSRPAQPRPAPLHLRSARSPSRAAAPVSDDDEDEEEADEEDDDELEIHDVDAEYDEDEDGEGDERDEELEEESGGEYDEEGDAAGDSREETAARRQRSEDYKSQQVAKLVAEVREFGEDMIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMSASEGRLFEVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSNKRPVPLTWHFSKKFALLPLLDEKGKKMNRKLRMSHVQNISSPKSDYYYVKGKRKVRTNKNEQGSRSPLDISKQVQLSKHEVTNMRRSQVPLIRDTLSQLWESDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELRRFRMQYPDAIRENAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRIDAGRQLLTPNNLFQMAGRAGRRGIDTVGHSVLVQSTYEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNNKGLGDVKAKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIQKEIQYLSSEVTDEFIDRKCREELSEEHYTEISFLQNKLKEEKKIRNELKKRMEVERMAAWKTRLEEFESGYLPFICLQYKDKDSVHHTIPAVFIGSLSSFDDQKIVSMLEDDSTGPDKREVDSEEQLHYPSYYVALSSDNAWYLFTEKWIKAVYQTGLLNLCNQRDKSEITLAIEVRGVMSWSLKTSIFLASQMFHMTVRSTESQTEEGSGVATTY >cds.KYUSt_chr3.32031 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201321993:201326611:-1 gene:KYUSg_chr3.32031 transcript:KYUSt_chr3.32031 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNGAQAPRGGGGGGGLGLFSARSLSSYMRIMSSGASTAASSLRSAGASLVNSIATHDDDGTRDQVQWAGFDKLECGGDTLRQVLLLAYRSGFQVWDVEHADDVRQLESRHDGAVSFMQLLKRPVASKRGEDRFVDSRPLLALAGGGTSTGNGNSNDTNGPVFNGTNGTQHSIGGEKLPTILRFYSLKDHAYVHSMKFRSAVYSIRCSSRVVAVSQATQIHCFDAATLELEYTLLTSPIVSPVSGYGPLGLGPRWIAYSGSPVPVPNTGRVSPQLLSVSPLVPSPGSNGSVVAYYAKESSKQLAAGIVTLGDVGYKKLSKYYSDLNGSGTMKQGNGFKANGVTNGHTIDSEYAGMVIVRDIVSKSMIVQFRAHTSPISALCFDPSGTLLVTASIHGRNINVFRIMPSVDGNTSEDGPNGTCVHLYKLQRGITNAVIKDISFSDDSEWILISSSRGTSHFFAISPYSGSTSFHYSDNSLAENNHIVDSSVKHAAQWSQNTPTSLGLSQKMLSVSGPPVSLSVVSRIRNGSNLLKGAVHGAAAFATGVSSPIAGAIASTFHNCKGGVHSDGSSLCMKYYLLVFSPSGSIIQYVLHHSAEQDSGVDFPSDAVSYGSQRETDTKFVIEALQKWDVCQKRNRRDTAESNLYNDYDSGENNKLFQKVVRKGNSIYPSNSAAVERLKLSADENHKYYISESELQTHVLQIPVWSRSGVHFQVIGGGTLEADTSDDISGETEIEKVWTRNIESRSKNLTPVFDSLHTSRYQQTRVNMPDSNRTGLLQRQKSGFSADGRLSRRSSSSSLDCMSEVPNSADDNSSGQYLVEDSAAAVNKNSILNHQAELVNNTESLNMEALLGVVNSKEDCVDRELLPDL >cds.KYUSt_chr1.844 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4498496:4499274:-1 gene:KYUSg_chr1.844 transcript:KYUSt_chr1.844 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIDSSPPRPRVVFAEAHKDAVDFLFSLLTMPSGTAVKLLGKDSMVGCIGNLYTSVEKLDDPYVQPGAAKDAMLSPTVLSLGAKSNRTFFRLPEPSPAMKRFFRCSGYTYSSCRNYVTEERGARCPMCGNQMLADSQYVPSEPVTQEAKGLVQGGMVTYTVTDDLKIFPMSNISSIALLNTVAVRDLGALQERTVQIGYKEGVEILKTSLQSKTVLTDVFLGKKT >cds.KYUSt_contig_3568.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000692.1:3414:5978:1 gene:KYUSg_contig_3568.1 transcript:KYUSt_contig_3568.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGGYSYLYEPLWWIGMVTMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILNERLHMFGVVGCALCVVGSVDIVLHAPKEKKIDSVSEIWQLATEPGFIVYSCLAVALALVLMFWVVHHTEQRKMLAYIAICSLFGSLTVISVKAVAIALKLSFNGVNQFVYVQTWFFIAVVIICCLVQLNYLNKALDSFNTAVVAPVYYVMFTILTIFANMIMYK >cds.KYUSt_chr2.19232 pep primary_assembly:MPB_Lper_Kyuss_1697:2:121006107:121012914:-1 gene:KYUSg_chr2.19232 transcript:KYUSt_chr2.19232 gene_biotype:protein_coding transcript_biotype:protein_coding MHPWFIPAYFKKTQASKLGDAQGIPSSSTTYQVPHWRWNPWCCAALHSATNNLHVILDSYWFDNLGFLLRENLLLYASHLPLGVPNGRVLHAYQCQRIGKQLAWGWRHCVRPGRGAAGLTVVGSPELAPIVGPSASAAGVRFRTGLLLPSGMPFPTGGSIISFDVHHVYIVTVAPPRFPRDVQNSTSPSHVTSMASSTDLTDRFDESGPTHMMPTGGTSARKIDWESEEHRRCIAACIVKGTYVLESDRTMCRQGLQAKALAPAWWESFHFHLKDVLTDDSFKHRGDKFIFGAIYEYAAPAGAPPRHPSAPQYVVAFRGTMMRHPKAIQDVYLDGKIVLNCLKGSNRSQRAHAKVHALLAGIAKRKESCVVWLAGHSLGASLALDVGRTTMEHQGLGLPTFLFNPPHVASTQAINLLQPSELAKNDLYAASNLLKAGLGVILSPHRKRMEKLFQQLSPWAPKLYVHEKDFICQGFIDYFQQRERMEERFRGAAKSAMTLSYRDMFHHLVGHDKERPHLLPSATLWKNSRIDDASWLTTRSNAHGLQQWWKSDAQLTLSPRHYTYPVA >cds.KYUSt_chr3.12708 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76096971:76098514:1 gene:KYUSg_chr3.12708 transcript:KYUSt_chr3.12708 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLFTVSILLLLANLGWTWAVPASPALSSGRRRPYRSIFSFGNSYTDTGNNPIVFAENDLFDPVNRPPYGSEFFRRPTGRCSNGRLIIDFIAQRLALPLVRPYNPTLAHNGSFRQGANFAVMAATTLDAARFHDGSNPGNKYPLNTSLGVQLEWFESLKPSLCATTQGCEKFFGRSLFILGEFGVNDYHLSFENKSMNEITSLVPEVIGAISIAIERLITHGAKSFLVPGTIPSGCVPQILHYLAKDDPAEYNSTTGCLNVYNKLGMDHNQLLQEALEKLRDRYPGVTIIYGDLFSPMMEMVESPAKFGFVKDVLTMCCGKPGTFLCGDDGANLCEEPAARLFWDDIHLTETAYHYIANRWLRSMYSTATESS >cds.KYUSt_chr3.37262 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234319248:234321710:1 gene:KYUSg_chr3.37262 transcript:KYUSt_chr3.37262 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVFVEKLLVGLLASVVVAIVVSKIRGRKLRLPPGPIPVPIFGNWLQVGDDLNHRNLAAMARKFGEVFLLRMGIRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRAGWEAEAAFVVDNVRADPRAATDGVVLRRHLQLMMYNNMYRIMFDRRFESMDDPLFLRLRALNGERSRLAQSFEYNYGDFIPVLRPFLRGYLRLCEQVKETRLKLFKDYFLDERKKLASTKSMDTNGLKCAIDHILEAQEKGEINEDNVLYIIENINVAAIETTLWSIEWGIAELVNHPEIQQKLRDEMDAVLGAGHQITEPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLAGYNIPAESKILVNAWFLANNPEQWKRPDEFRPERFLEEEKHVEASGNDFRFLPFGVGRRSCPGIILALPILGITIGRLVQNFELTTPPGVDKLDTTEKGGQFSLHILKHSTVVAKPRVF >cds.KYUSt_chr4.11398 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69306408:69306926:1 gene:KYUSg_chr4.11398 transcript:KYUSt_chr4.11398 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPLSPTLPLPPPPPPPRTPRRRPTNRYNRELGLGFVGGCSCIIEGQECQLLERPVAPFDMCYQASALTMTRLGYGVANIDLMLDGGQTWTLPGGSSLVQVNDQTVCFAFVQIEPSMPAAADSPAVILGGFQMENHLLMFDLEKETFAFSGLLFGIRTTCSNFNFTMGSS >cds.KYUSt_chr2.11206 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71168202:71170347:1 gene:KYUSg_chr2.11206 transcript:KYUSt_chr2.11206 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLSNVNTEAGLKKLDEYLLTRSYISGYLASKDDMAVYTALSCAPKSSYVNATRWYDHISALLRSSGVTAEGEGVKVESSASFVASTPEVADKKAEAVEEDDDSDVDLFGEETEEDKKAAEERAAAAKTSTKKKESGKSSVLLDVKPWDDETDMKKLEETVRSVKMDGLLWGASKLVSVGYGIKKLQIMMTIVDDLVSVDNLIEDHFDVEPANEYIQSCDIVAFNKICLWFHGY >cds.KYUSt_chr2.13310 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84360935:84362645:-1 gene:KYUSg_chr2.13310 transcript:KYUSt_chr2.13310 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKDTFRKMYSAAKGYYCTGLAPPNAFSALDLRHPLSSPRKPPGHANSFRVRSYTQRALTNDSTDNEIVIALGSNVGDRVSTFDRALRLMRSSGIRITRHACLYETAPAYVTDQPRFLNSAVRGTTKLGPHDLLRKLKEIEKDIGRTTGVRYGPRPIDLDILLYGDSRIKTKSLIVPHERIHERPFVLAPLVDLLGSSAEDSMEKRWHSLSKCSGGFFDLWSKLGGESIIGTEGIKRVMSVGNTLLDWRERTLVMGVLNLTPDSFSDGGKFQEVEAAISQARLLISEGADIIDIGAQSTRPFARRLSAEEELERLVPVLDAITKLPEMEGKLLSVDTFYAQVAAEAVKRGATMINDVSGGQLDPDILQVVAELGVPYVAMHMRGDPSTMQNEQNLQYDDVCKEVASELYARLRAAELSGIPLWRIVLDPGIGFSKKSTQNIEVITGLESIREEMGKMSLGASHVPILLGPSRKSFLGQICNRADPAERDAATASAVTVAILNGANIVRVHNVRYSVDAAKVSDASLKYTRK >cds.KYUSt_chr1.34592 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210600258:210610911:-1 gene:KYUSg_chr1.34592 transcript:KYUSt_chr1.34592 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASVLALLVLAAAAAREADGYVPYNTSAGTVAGVLNVHLVPHSHDDVGWLKTVDQYFVGSNNSIQGACVMNTLDSVVDALARDPGRKFVVAEQAFFQRWWVEKSPKIQAIVHKLVDSGQLEFINGGWCMHDEAATHYIDMIDQTTLGHRVIKQQFNKTPRAGWQIDPFGHSAVQSYLLGAELGFDSMHFARIDYQDRAVRKGDKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPSGFGFEVLDDITPVQDDLLLFDYNVEQRVNDFVSAAIAQANVTRTNHIMWTMGDDFNYQYAESWFRNMDKLIHYVNKDGRVHALYSTPSIYTDAKHSSNESWPLKQDDYFPYADSTNAYWTGYFTSRPTFKRYVRTLSGYYLAARQIEFLVGGLFTSSLEDAMGIAQHHDAVSGTAKQHTTDDYSKRLALGASQVEKSLNTALDCLTSSKGTCRSPPVKFSQCQLLNISYCPSTEEQISGGKSLVIVAYNPLGWEHSDYIRVPVNDVHLVVKSSDGSVVDSQLVEVDTVTDNLRKLYVKAYLGINTDKPPKYWLVFQASVPPMGWNTYFVSKPTGTGSNKMGSVSAISSPNNGTVEVGPGPLKMTFSSASGQLTRIFNSISGVDLPIQQSFLWYGSSTGDAMDSQASGAYIFRPDGATPTAVSRLVSLKVIRGPLVDEVHQQFSSWISQVTRLYKDKDHAEVEYTIGPIPVDDGIGKEVITRLTANMVTNSTFYTDSNGRDFLKRVRNYREDWDLQVTQPVAGNYYPVNLGMYVADGKYELSVLVDRSVGASSIQDGQIEIMLH >cds.KYUSt_chr3.37731 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237400395:237401327:-1 gene:KYUSg_chr3.37731 transcript:KYUSt_chr3.37731 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDGRVHPAAATSSDFSGEMNQSQSAYSSSDPSSSPLYSFHFEKPVPPPALPHGQQPKQPQKTMPPQKPQPGTYVVQVPKDKVFRVPPPENERLFEHYTRRAKRRGGCSCLRACLYLLLAIVCLAVLLAVAVGVVYAVYKPRQPAYSVVSLAVSGLAGVSNASAPGAFTPGFDATVRADNSRNGKMGVHYDGAGSRVAVSYDGVSLAQGSWPAFYQAPGNVTVFVAKAKGTGLRFSERVRGQMAAAERLRSVPFDVDVKVPVRLQLGGVKTWAVTVTVRCTIAVDRLAATAKVVSRSCSAKVPFLFWRI >cds.KYUSt_chr7.4347 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26254267:26255136:1 gene:KYUSg_chr7.4347 transcript:KYUSt_chr7.4347 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGRTASHLRDQDMSARLSRPSDVEVNPTAGTTLSPPIDPSPCRYKWPAAPPVSSSSSNTSLVSPRIKPTATAMSDTASSFANWSDDLYHYAPSPGLSIGAIDGGVTDSTIIASTAAPTSPVSAGSGDASPNRSASGVLGPRAAGKPSAARKRARASRRAPVTMLNTDAANFRAMVQQFTGIPAPPAGPFSAGGARAINFGVPDYGFAQQQQQPAALSFDHLHQRQQQYTGAAFGDYGSSTQQGLAGGVFGHGLGSAEDRMFLQSLQAAQMLPGAHAANNGANGYFA >cds.KYUSt_scaffold_2787.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000018.1:34907:35539:1 gene:KYUSg_scaffold_2787.1 transcript:KYUSt_scaffold_2787.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRAIKLRRRTRRGSPAFCSVAWAPGGQHVVTACAAEVAILIHDAAAVSAAGVGAAFSSGSAAAAALTTIRLHKDGVTAVALAPGPGGSLASCSIDHSVKFYSFPDGAFQSNVARFTLPIRSLAFNKKGTLLAAAGDDDGIKLIATIDNTISKVPKATRGSVTGLAFDPKRLLGIG >cds.KYUSt_chr1.11192 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68487465:68488568:1 gene:KYUSg_chr1.11192 transcript:KYUSt_chr1.11192 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYPAPSDFRSPPRGWRLSAGGVPIPPPPTTRSALEAEIDAVLVTLSDEQRADQRYWPDNYDAWTDFFRRRYERELAAYDGPPPPPPRNNAAGRRRWWSAPRRILANVLAHIEGGNSPVLGMPPVEAATVSRRHGSSWTPRRMAPSSSSSGSRSASRFGGSAPPPATPRYHVKQEAASPPPTRGRSSGALVIRDLPSSPSRGRKRKSSKKEAAATAAANQLAEEEAKRAEDAAVAEAIAGSLNDPVPAGNTLPIDAALDRSERDRERQETEQQQHRPSDLAAAQQLAVQAAAPASATNAAPRTAPLIKLEERSDDELYRPTPPCAGDPGQGSSRWYEAPPPEDAGKSSDDDGDDGDYTAFYRHFDM >cds.KYUSt_chr1.25812 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155199172:155202095:1 gene:KYUSg_chr1.25812 transcript:KYUSt_chr1.25812 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVLAAAASPFLLHGAAGSRSLGTVAAAAPGRRAAAALRVRASIKCDPSKVAPQSDRVLVRLEQIPEKSAGGVLLPKSAVKFERYLMGEILSVGADVSEVEAGKKVLFSDINAYEVDLGTEEKHCFCRESDLLAVVA >cds.KYUSt_chr3.19115 pep primary_assembly:MPB_Lper_Kyuss_1697:3:117562585:117562836:-1 gene:KYUSg_chr3.19115 transcript:KYUSt_chr3.19115 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQPAKVDLALHPEVIALGCRRLQQAARLAVGRSCGYLADEEISSTPKRICRHCPSPTPTFSSAIDRAARASSVLCLSPHGP >cds.KYUSt_chr4.53988 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333973431:333983775:1 gene:KYUSg_chr4.53988 transcript:KYUSt_chr4.53988 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQRHQPWGEVGDEGLQQELMIHRELQNANKMGFLTSSWVMNLCGSPICSDPDVPPSCVLKEMLDSSACTNHLVEFGIVLLFLVVLALQLLVKIPKSRASGHQLVTLSSPLHLAAVLSNGSLGLVYLGIGLWMLGNNFSHDASAYLPHWWLVTLAQGLNLILASFAFSISYPFLGAMFVRFWSVLLTIYAAFVCCSSLVHIVSEKTITVKACLDLLSLPGAILLLLYGFRLHHDEEGDGGHGNGLYKPLNTGTEGEAPNSESQVTPFAKAGYFSEMSFWWLNPLLKMGYQKPLEDKDLPLLGATDRAQNQYIMFMEKLNSKKQSPSHATPSIFWTIVSCHKRAILVSVFFALIKVLTLSAGPVLLKAFINVSLGKGTYRYEGYVLAVTLFVCKCCESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNAAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNVVGAAVVSAMVVIIITVLCNAPLAKLQHKFQSKLMEAQDVRLKAMSESLVHMKILKLYAWEYHFKKVIEGLREVEYKWLQAFQVGRTYNSFLLWASPAMVSAATFLTCYVMKIPLDASNVFTFVATLRLVQDPVSTIPDVITVVIQAKVAFTRISKFLDAPELNEQVRKKYYVGIDYPIAMNSCSFSWDENSSRPTLKNINLLVKAGEKIAICGETAWIQTGTVQDNILFGSLMDKQRYEETLVRCSLVKDLEMLPFGDHTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMSALSDKTVVLVTHQVDFLPVFDSILLMSDGEVIQSTSYQDLLANCEEFKDLVNAHKDTIGVSDFDNHIPSHRAKEVSMKETHGSRYIERVNPSPADQLIKKEERETGDAGVKPYMLYLRQNKGVLYFSFFMISHIIFTSGQIAQNSWMAANVQNPCVSTLKLISVYIIIGACTMFFLLSRSLSVVVLGMQTSRSLFSQLLNSLFHAPMSFFDSTPLGRVLSRVSSDLSLVDLDVPFTFVFAIGAGYNAYSNLGVLAVVTWEVLFVSVPMIVLAIRLQRYYLSSAKELMRINGTTKSALANHLGESISGTVTIRAFEEENRFFAKNLDLVDKNASPYFYNFAATEWLILRLEVMSAAVLSFSASVMAILPQGTFSPGFVGLALSYGLSLNTSFVFSIQNQCILTSQIISVERLNQYMDIQSEAAEVVEENRPSPDWPQDGNVELRDLKIRYRKDAPLVLNGITCKFEGGDKIGIVGRTGSGKTTLICALFRLVEPTEGKIIIDSVDISTIGLHDLRSHLGIIPQDPILFQGTIRYNLDPLGQFSDQQIWEVLEKCQLREAVREKEQGLDSHAYDASKDVTREKEADRRRDESDPEEGFKLREKIIGGRRTFGLVVGKDDDFDEIDMSIEEDEGICLINKLKMEDQDRQESLDKLDKRIKIIEEKAPGSAEHVRLLRCYLD >cds.KYUSt_chr7.40662 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252359766:252361430:1 gene:KYUSg_chr7.40662 transcript:KYUSt_chr7.40662 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRRQHAAAILSVMVLLFFVCIIPAGLITCVSQRRLPPAVSGGSTTTVSSGRQSPLPEAVLLPEWQVLVLLRRSNNSTTDAPGAGNGTCVFRGGVSSPARSLGSLPASGRHAYTCIIPEPVRVHYHHDAPAPLLVFAASTVITAGDGALPGMLKWSDRIVYESAVIDGGDVLVFAKGVNTRKKVNRAAEDIRCLYYHGDAANAVASLPATTSAQQVFRCPPPPPATMTTHAELRVTLVVAGEEEPIPSLATYDPPRHHASAAPGKKKKRLICACTMIRDMAKFLREWVVYHAAVGVDRFYVYDNGSEDDLADEVRQLTSAGFDISTEIWPWPKTQEAALSHGAAVHRDACEWMVFIDADEFIFSPHWARSESPAKPMLRSVVASVKQDVGQVSLWCADFGPSGRTAHPVEGVTQGYTCRRKTMERRKSFVRLTAVDRSLVNSIHTFTLKPGFQAGWNTRVRVNHYKYQAWEEFKVKFHRRAPTYTADWTEKVELGSNDRTPGLGFEPIEPTGWPQKFCDVNDTLLRDVTRRWFGVGFGNNLAHPQTTSTYPGS >cds.KYUSt_chr1.7405 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45364183:45370385:1 gene:KYUSg_chr1.7405 transcript:KYUSt_chr1.7405 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAATSSCSGMHFEIDKALGITNRQQVLDLGIGKYTETCRGIVTKYVAEWEAVVTRSRRWIDFRDDYKTMDLNYMESVWWVFGQLWKKELVYKGFKVMPVFGYRWVNVITDLSSERRRELSSLGSASKSNQALDRYRPRIPRFSEADTREITSNVSNSFMTMDLAGILMPKTVKGETAHLAMAPVHRVALESLGIIDDKITHKKDKSSHHGSGSGYRSSSKDAHDDITQSKIDKARRRRTARAGFDSEDSEETQEHDGELRGGVEVDSGELRRGEGVGPDYFF >cds.KYUSt_chr5.4078 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26229901:26233704:-1 gene:KYUSg_chr5.4078 transcript:KYUSt_chr5.4078 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEAEAKARLMDVDAKSMVLEVEAKIMAEENRLIALSGFRFTFGINRSMDLAPFKLDIDELLDDYAKENCTSFTDFKRVWMAKKFSYIYEGRPKTNSGVFMQSLFLHCIGYMTSQSSLCQRLAGLYCLYCLYECQPYKPHFKIYLSLEECKQLKEFVMEAKQSGVGLVPALVKRMLDKGMFLFGFINLLGDHGAKQVDEFNAFQTKRVKFACNKLFANTQIGRYTHTDLGEELELDTIKKLSMDYAKAKESAFSGAYLLPSSSCTIWNSISIIRAANDPTAIPSSFPFTLTELSQTVDVEDAKHILQNDKLLGDRVGEIVKEWDAQKEEFYQKTGVSPGDELAVVDNDEPGEFLNENDGHTELAVIDNDKTGEFYDEDGGFDELEQLLLE >cds.KYUSt_chr1.7786 pep primary_assembly:MPB_Lper_Kyuss_1697:1:47910684:47912995:1 gene:KYUSg_chr1.7786 transcript:KYUSt_chr1.7786 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGERSVTTQLKMVLPGSSEKLRISDELAKCFDSREGGAGMTAFLVSPFGSAIWQVEVGRDGDSAFLGRRWPEFVEAHGISVGWFLVFRHVGRGVLTVKAFDPTFGQTLTVPGFPAVQIETGHARKPQFLMPLFPHCMDKMAIPAEFLKRRYISDEELNRRKATFVNPSSDFWHIDLEKDGSNVFFAGGWSKFLKFQGIAVGQAILIRYQGNMIFTFEVFELTGCRRKLVKQGSRFQLTENSEETYSSQDTEQTEEANPSQKNAHSSKEAQSQKEAHIPRRKWKRSEEKRMPRSSTNPFGKRTDCEYETGSQPWIRKKLKSSALSQPCFPGEFCKKVGFLKKCTITLKSSEKKGPWEVQGLVYEAGQFKFSKGWSSFRWDNRLKEGDVCTFSVINRGLWHVDIERC >cds.KYUSt_contig_319.1543 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:10282939:10289096:1 gene:KYUSg_contig_319.1543 transcript:KYUSt_contig_319.1543 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFNDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVSSLTRGSVRSPLAQCLLIRYTSQVIRESGMNTQGGDRPFFDFLESCLRNKAEMVILEAARAITDLNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFDYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDALKPRIFVLLRRCLFDGDDEVRDRATLYIKLLDGEATVGETEKDVTDFLFGSLDVPLVNLEASLRNYEPSEVPFDISSVPKETKSQPLAEKKAAGKKSTGPASAVNGPVPTIDASYEKLLSSIPEFASFGKLFKSSAPVELTEAETEYSVNVVKHIYDGHVVLQYNCANTIPEQLLEEVVVFVDASEADEFSEVASKPLRSLPYDSPGQTFVAFEKPEGVLATGKFSNILKFIVKEVDSSTGEAEDDGVEDEYQLEDFEIISADYMLKVGVSNFRNAWESMDAESERIDEYGLGVRESLAEAVSAVISILGMQPCEGTDVVQNNSRSHTCLLSGVFIGNVKVLVRLSFGISGPKEVAMKLAVRSDDPEVSDRIHEIVANG >cds.KYUSt_chr7.1317 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7148827:7150145:-1 gene:KYUSg_chr7.1317 transcript:KYUSt_chr7.1317 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGAPSWRTMDQISSATTTPHPRAKATLVLGAESFAVSSESGTLSEQLAAMREKSMVILKDYITRHNAPNDVPDEPVEGLSDDEGEALAKNPPKKSKKQK >cds.KYUSt_contig_554.261 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:1530860:1531192:-1 gene:KYUSg_contig_554.261 transcript:KYUSt_contig_554.261 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVDRANVPLDYSTATLLDWSRLGFDEVDYGFGVSGYVCPHDEQVNFAATLLFVRPPAPRREGIRVLSRMELIANYSNSRAWSSVRGKQIETSLKSFAKTDLILPRCIG >cds.KYUSt_chr3.46320 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291490856:291495481:-1 gene:KYUSg_chr3.46320 transcript:KYUSt_chr3.46320 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLANLLSCRDTEIAATSSSEEAEIPDDDTILSQGIASQRKKQATRSAYQLKPRGKAPARYTPDDYVNRGKKVVIEEDETPPRRSTLRKMRNDEPLSSEEEEQEEQEQEEQQQQPRQRTKRLFRPAACGGGARLSSTGSGMERGRLLAPFPPREQATARVCMVSSFATELLEIRSREPSPPLHVLVIPGNPGIVGFYKDFVEALYENLGGQASVTAIGHISHGQKDCERGRLFSLQEQIDHKVDFLEKEVLHTEQSIILVGHSIGAYIGLEIFKRLQKKVNFFVGLYPFLSLNKSSLKQSTIGYIARSSLLSKGVSLLASFIGSLQPSVTKSIVRRFLGSSWSAAAVDAGCSHLLQYHTMHNVLFMAKTEFLKLHEEPDWNFIRAKQDQIAFLFGVDDHWGPLAHMEEISKHAPEVALSVEKEGHTHGYCCTEAGSFWVADYVADLIKNQMTIRDT >cds.KYUSt_chr6.19278 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121295343:121298197:1 gene:KYUSg_chr6.19278 transcript:KYUSt_chr6.19278 gene_biotype:protein_coding transcript_biotype:protein_coding MECLAEMPHAPLDRRPRKRQRLGWDVGPEIAQIKFCGQEVSNVLTAVTLGLSSGGIVSSQEAQELLRLATPPLREDDKDGHYVFAVGDNLTPRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQICKYEKSRSSCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKNNYRAFPIAVVREVAKQLLECLAFMHELRLIHTDLKPENILLVSAEYTKVPDYKVSSRSPKEGSYYKRVPKSSEIKVIDFGSTTYDQQDQTYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLSPLPYHMLKRADRHAEKYVRKGRLNWPEGCASRESMKAVMKLPRLQNLVMQNVDHSAGDLIDLLQGLLKHDPASRLTAQEALKHPFLMEKSERRR >cds.KYUSt_chr7.41107 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254675109:254677479:-1 gene:KYUSg_chr7.41107 transcript:KYUSt_chr7.41107 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFAIVVAAALLLAGELHCARTAPSPAEASATPSPPLPTEAPQPEAPLQAPQPPRRATAPPQPRRRPHGPPHGRAPPPAVPRGQDPPLPPPRPVAPPRPDPPSPPRQVVPPQEPVLAAPPPPPPRARANNYTVGCTTLLIFGDSTVDPGNNNRLRTTAKANFLPYGIHFYGRRPTGRFSNGRLATDMLADKLGIQGTIPGFLDPTLKLGQLRKGVSFASAGSGYDDITASTLSALPFRRQLWHFWRYKLLIRALHGPRRAERLVNRATFVISAGTNDMLLNYIASNRSAGPIGMLRYENNLISRLGNYTQVMRMLGGRRFLFVGLPPIGCLPIARTLLGRGPDGCDSNLNQLAASFNSRLIQLSNFINYQPRLKSAYIDTYTIIREATDNPQSYGLSEVSRGCCGSGMIEVGQTCRGRRTCPDPSKYLYWDAVHPTETTNQLITSLMLDSIAGLYS >cds.KYUSt_chr5.42751 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269323042:269328695:-1 gene:KYUSg_chr5.42751 transcript:KYUSt_chr5.42751 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAARIGSYPRGASPWQPQSCFDLPAKASSFLSPIPLPFLLVFPLLFEALPVFFRSLPRRAVLADAALGKGGSDRRASEEETRRRRRTQMDTEMTAPPASAAPHLQQLDSPMRPQVIKFCYRKRPTCLSRNGKDDSSQDPVTQPPSSPLSRQSLSTPAVATYHAGGFYEINHGMLPPRTPIHLKSIRVVQVSEYTSLDVTVSFPSLLALRSFFSSFPAPSAGPELDERFVMSSNHAARILRRRVAEQELQGEMHQDSFWLVNPRLFDFSASSHPANLPDALSSPEAPPAQPKVPVPSCRLLETLKCDGAGWGVRRRIRYIGRHRDASKEASIGGYETEASVREQQRPAQQEDNRSSVCGKRKRDEAERNNDKPSRERRAKKTYKSPKKQKKRHVESKDGDPRRGKERWSAERYAAAERSLLEIMRSSGARLGAPVMRQALRDQARKRIGDTGLLDHLLKHMAGRVPDGSTERFRRRHNADGAMEYWLEPADLAEVRREAGVSDPYWVPPPGWKPGDDVSPVAGDLLVKRQVEELAEELNDVKRHMEQLSSNMVELGNEAKSEAEQAYSSWKEKYQKVVKANEKIEKQVFSLKDTYENVIHKNSKLKKEVRSLKDKYEFVVEKNDKLEEQMASLSSSFLSLKEQFMLSSNGDKLKMAIDQVPLAHNDGKQAIPIGGNSGQISQRADVTVVHDGEKGTTRKSSFRICKPQGTFLWPSMGSGTDISGGGSSGISIATGGSLPRSGSGSCPGIGPGLPPSSRAPVEVLIESPLDEHVMVGDYFSTPPSASSSNATKLLSLPSPRSPLKPQPLFSALHSFAGLTLRHMDSPSSLPSPCGASLLQRGRMAMPNAEVGGISTVGTELALATPFYC >cds.KYUSt_chr5.14692 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95202146:95203414:-1 gene:KYUSg_chr5.14692 transcript:KYUSt_chr5.14692 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILGSAVAGESVSRIFDILSGNTRDHGSPEDNAERLEFAVLKIHSVVAVSEDWLILHQPLINWRARLKRVAKEGDDILRAHRRRSSDRRQNEGAARQQAASVRKRITRAATRFLPFRRGKEEDGPSDATVRRFERLAHVADEFFRYVQFGGRPRSLMVSFKVPVEPLIAGKTLEFSHRMGTRDTILLLHPCDGEAGGPKEIVLFLSYDDTTVWEKNLKLFVVFQLLENIDILDIIMSALQLLPPQFVAACVTTRDLVKEVLPPQETSYFEASSVPRRCASMAWWCHRDSTDRRCPAGDGMRLPLPIVRVDAVYFAMPQKDSSDTSASDGLPLRLVCHITPYLVPERYSENYEQIGQERLQELELFPEVTAQGTPACTGNWWCPRASTFLSVEPELSVPPPKLEQLFLMENSCRAVEFSQQ >cds.KYUSt_chr7.20114 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124615531:124616169:1 gene:KYUSg_chr7.20114 transcript:KYUSt_chr7.20114 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSSSSTQQAPAGGSKGCWGAEPKLRGVRKRPWGRYAAEIRDPVRKARVWLGTYDTAHQAARAYDAAARRLRGPAAKTNFPPASPSAAASAALLHLHNKTTAPAPTSAGSSTVESASSSSSRDDSFLAAAPAEALLELRLGTAVPEPYLFLDPRTAAAVTVAVPAPGGWSEERSDSSGSSSSSVMNADEASPAVGVGFDLNLPPPAEMVM >cds.KYUSt_chr2.44472 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276711629:276713340:-1 gene:KYUSg_chr2.44472 transcript:KYUSt_chr2.44472 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPFCFRGPAAKRAPMADWCVFCDIAGRAPGSTTALLYSDDKVVAFQDINPSAFRHYLVIPIEHIPTVNDLRITNEDHQLVSHMVKVGKDLLDRDAPRSEEHRFGFHQPPFNSVDHLHLHCLALPFMPR >cds.KYUSt_chr7.35710 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223055875:223061098:-1 gene:KYUSg_chr7.35710 transcript:KYUSt_chr7.35710 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRRVDDEMALNRQRTVRFHEERAKPTMPVYQKQPGLAARKLGVGNSGKSRIFVARPDLQYKIIDPTSDFILMWNYVFRIACFVALFMDPLYFYVPRIVNEARTQCVGKDRHLATIITVFRSIADLFYVIQIVIKFMTAYINPRSKLGVFGRGDLVTDPDEIAKKYIRSDFAVDLVASLPIPQIITWSVIPAIKYSWSGHNNDMLFLVALFQYILRLYLIVSLNSKIVKVTGAFSKTAWQGAVSNLLLYMTASHVLGALWYLLSVDRQTACWQQYCGDELSCHNKYLACNVKPDPIWANSTAIFTKCDASNKTGSFDYGMFETLLSNKTPSQRFLRKYVYCLWWGLQNLSCYGQTLTVSTYIGETLYAIFLAVLGLVLFAHLIGNVQTYLQSITARVEEWRVKQRDTEEWMRHRQLPHELRERVRRFIHYKWLATRGVDEASILKALPTDLRRDINRHLCLDLVRRVPFFSQMDDQLLDAICGRLVSSLSTKGTYTVREGDPVTEMLFIIRGKLESSTTDGGRTGFFNSIILKSGDFCGEELLGWALVPRPTVNLPSSTRTVKALVEVEAFALQAEDLKFVASQFRRLHSRKLQHTFRYYSHHWRTWAACFIQATWRRHKRRKLAKDLMMRESFSSMREDEDDAFPEHNLGRKIMTAARKGPDSHRELPKFRKPSEPDFSVEHED >cds.KYUSt_chr6.12148 pep primary_assembly:MPB_Lper_Kyuss_1697:6:75648869:75663928:-1 gene:KYUSg_chr6.12148 transcript:KYUSt_chr6.12148 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVSCAFKFVVEAVALFKSYFGGTFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPSKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKLANRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRKVEKQRRTVKKLPQRTRHGVPSLKRTHQTLTSYSYASKSCSSTELLIWTPSAADPLRVSAAASQMASPAPPLKEAVGGLDREPFVALLAKLIGETQRLQNDPPELVPQEELVAQHVLDVLLPVSTDTGGGPLLVRKVNYTEGRSNVIVEYPGTVPGRVVSFVGMHMDVVPANPNEWDFDPFSLTFDSDDKEKLRGRGTTDCLGHVALVTQLMRRLGEVKPALKHSVIAVFIANEENSSVTGIGVDGLVKDGLLDKLKTGPLFWIDTADKQPCIGTGGMIPWHLKATGKLFHSGLAHKAINSMELNMDALKEIQTRFYNDFPAHEKEKLYKFATPSTIKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTSFVVKKLQEYVDDINANLETTLPTRGPVSKYVLPDENLRGRLEIAFDGDIMNGVACNLESRGFKALCKATEEIVGHVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFPDMAQGFQVFVSIISQLEADA >cds.KYUSt_chr1.28611 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172946596:172947141:1 gene:KYUSg_chr1.28611 transcript:KYUSt_chr1.28611 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSTSGAIVSTTAGALSPAGAARSVSPVVLSFDAGNYTKWAIYLRASLGRAGLIGHIDGTTQAAPTNGAWMAEDYTVLNHLHAAIDEDVADMVLADNRTARRLRLAIYELFSANKVGKAIYLDNDFRQLVQGTSSITEYSPPPKQLSDALADNDSPVSAARSSSTLCAASGLGSPPRPP >cds.KYUSt_chr7.14114 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87238561:87253576:1 gene:KYUSg_chr7.14114 transcript:KYUSt_chr7.14114 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCLPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELGLDHSLANVVSSPSEGKFEDLPPALHSSKFKFEESLSSLKPLSFQSTDLDLSLEVKKILYLALKLYQVPSVENLIPDLGSAVISAVSKYVTTSNCMPHSWNQDLADGFNKSNLDPQGSNNIHTEVSEELLEIWKNVHSVAATRNGDNGFAFVLEEIPTTKILFELFNSCFPYYRDSSLLDLQCPSQNNWLVMSLSLVLLICSSKESCFYFVDAGGMEQIVNLLCWKTPKSTATTLLLLGIVENATRHGVGCEAFLGWWPRNDQNCIPTGSSDGYCSLLKLLLEKERHDIASRATYLLQRLRFYEILSRYESAVVKVVSDLPSDELSTDAVSFLIFASNELAEMSKLINMCGPIEDPSAVAIARRLSKSSRLEDSLSFKATVALITSSKYSFLQFDTDSCLLSLIQERGFFPLSAALLSSPIMHLASGPAAEILMEITSSIESILLSLLFSRSGLSFLLSQPEATELIVLSLQDGKDMNKTECITLRHAFVLLSKGFFCRPQEVGMITELHLKVGSAANRLLAVPPNSDELLWVLWELCSISRSDSGRQALLALGYFPEAISVLLSSLSSYKDLDSTMTKDGGSPLGLAIFHSAAEILEVLVADSTASSLKSWIEFATDLHKALHSSSPGSNRKDAPTRLLEWIDAGVIYQRNGAVGLLRYSAILASGEDAHFSSGNVLVSDSMDVENVVSDSNNTSDGQVIDNLLGKLVANKYFDGVALSSTSVVQLTTAFRILAFISEDKAVASSLFEEGAITVIYIVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQALVDLMTPSLVLLINLLQILHGTKEQYRNKKLLTALLRLHREVSPRLAACAADLSFMFPSFAVSFGVVCQLITSALACWPLYNWAPGLFHCLLENVEPTNASVPLGPKDACSLLCLLGDLFPDEGIWIWNVEVPSLSAIRSLSTGTVLGPQVEKQVNWHLRPEHVAILLVRLMPQLDRLARVIDNFATSALMVIQDMLRIFVVRVASEKIECAVVLLRPIFIWLNDKVDGTSLSEGEVFKVHQLLKFIAKLSEHPNGKVLLWKMGVARVLKKLLQNCSSASYLEDKMSERGAHRSDQLMLKWRIPLFRCLASIFSAHPSTKEQTAIEESSENASAEECSSIMHHLLVLCQVLPVGREMFACSMAFKEVASSYICRNAVPLIFSQIQTPNQDDEEKNESDYHDSSNMDNWRCFTSLLKCFKRLLKYVDANHPTDYCVQTIYSFILGAIALSQYGDSFEGLIILRCLLGHSFDSSLALKPTDDSLNEGIVLLKTFEERIHQGYNDLSRPVGKSLLNQVQSSITLLCSILENSGRLEDSVQMVLEGTYLPFGVVRSVVMTSRLMPSIASVNHEYVLFFSNAWKFIADSEEPVEGEFSKRLVWELPDSSLERPGQSASRKLALGEAASRRIKDNQATEPTGQFTRGLSTASASTGHARRDTFRHRKPNTSRPPSMHVDDYVARERNIDGASSASNVVNSTPRGTLSGRPPSIHVDEFMARQRERQNPVPAPSGDVPQVKSQTSLDDNTRAKTEKLQQPKADLDDDQEIDIVFDAESESDDKLPFPQPDDNLPPPVIVGENSPGPVVEETENQNEKSPFSHRDMPVSKSSDSLGADLSSQTAMPPEANVSLERKDSVSSPEKNVFSDQADEPAYISSRNKRPAEAPLRQLRPNNYQKRSPYKLSESSVSSGSHGHDHRLSKTQPPLPPMPLPTYSMPTQSPDSSQRRSSSYSARDGPPPYQSNYPVQPFEASMPTAFVGLQAQTEHALANNGTSSSNAPSADGNFLWNNFPVNRLSMEHLSSGSSARPMPPLPPTYSVPATQHGAMSSGSPASLYNQGNSVVQPSLSSSLMNEANSVMNSASSSAFLSSMLPSFGSQFLMGRPPILTQFYGTSLQHVQLSSSLPQNVSNPQASVSSMQSRPPPPPPLPQQPHPSQTQQHLGSVQWQQHQEQAQSYAQSSMQPQMALQFQNQIPVPQMQFYQSQQEFTGQTMRQVGEQSQVVNQSIQAGSSSQQQRDTDIDLQKFFSSPEAIQSLLADRERLTDLLEKNPKLMNKLEAVLRQQ >cds.KYUSt_chr3.24750 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153625002:153628535:1 gene:KYUSg_chr3.24750 transcript:KYUSt_chr3.24750 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLKGFGLLLLLVLLALCSTIDVCDAARRGKHWRPRSSPSSSLLKRKGKTKKGSSHRQHGSSRPSPKQPVSPPPGPGAGKGYQTPYQPSPNAPPGPSPQPSPPKGNGHQSPKSPPPSCGKGNQPPPQPPPATSEGAVFNVVDFGANGDGVSDDTKAFQAAWVAACKQGSSTVLVPSELEFLVGPISFSGPYCKPNILFQLEGTILAPTNAKAWGSGLLQWLEFTKLNGLSIQGSGTINGRGQQWWTYSDPNDDEDDDTYNQELERMPQVKPTALRFYGSSNVVVAGITIVNSSQCHLKFDNCQGVLVHDLTISSPENSLNTDGIHLQNSKDVSIHHTNLACGDDCISIQTGCSNIYIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMTGVRIKTWQGGIGLVQDVSGSVRRSV >cds.KYUSt_chr6.5739 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34499970:34501760:-1 gene:KYUSg_chr6.5739 transcript:KYUSt_chr6.5739 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAEAQQRECGVVTEGLDAHIVTLLSCVHSVLPSPPVVSSHAALLPPPSDGVDRISDLPDKILGDIVSRLPVKDGARTAALSCRWRGSDARADARRVAYAVSDILDAHPGPFRCVHLVCSGLEEYPDLLARWLHLLAVKGVGELVLANRPWPLDTPLPPTVFGMATLTRLYLGVFQFPDTTAIRGATAFPRLRELGLCFVAMLRREDMEFLLSRSPVLEILCIQANVLVKHLDLVSRSLRCVQIIEGIDLNIAVKNAPHLKRLIIWSSTVRDDLPRLVKIGHAPSLSILGYLEPEQHTLEIGNTIIKARTRASPNTTVPSVKILGLRVYFSIRNNAKMLPSFLRCFPNVETLHLESKETYQPTGRLSNKFWQEVGAIECVQSHIKLLVFYGFRGERGELSFLKFFLESARMLTKLVIVFNKGSFASVTEANSKVKPLFSTKWASRDCSLLLLESALPAGEDKWLLNFKTGSDFSTKDPFTCAVALGGCSF >cds.KYUSt_chr4.16095 pep primary_assembly:MPB_Lper_Kyuss_1697:4:99768934:99770181:-1 gene:KYUSg_chr4.16095 transcript:KYUSt_chr4.16095 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLKDRATGRLGRLISALRPARAGPLPVQTGFPTSLADLFMKNHGRLRKPSSCASGASRRKKRGAAPSPSPPRSPAPSPPASSPPSPPPPPVAVSPPTQPRPELPPDSEPVCDAEREGSVFGLGLGFLALVGVVMSLAILVIWSRRVVAAAVTVAAFSLFLLEPIRSFSLRRKPRPPATREAPDLGGRGYVSPIREVEVEPEPPTLSCSDSDRWTDVSSVLATEDTVEERVEAGDGSGSSRGKAKRRSWRKLIPRKLQKGRIRKEEASSSLSSSFRSECSDADATVGPDSRRGSGRYQADATVGGNARATDHPDSRRGSRRHQADSVADATAKSSDPSGPRRGTGAGMDAEVRSDVGVPIGVDADGPGGRRLPLVAAVVVVLVGLVAGRLPAVAFTVLFVSSLQRLSCRREGR >cds.KYUSt_chr2.12974 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82188104:82191686:1 gene:KYUSg_chr2.12974 transcript:KYUSt_chr2.12974 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSIAGKAACFLSFAAARDRCFSHRFLAAGLRPLAVHLPDAADPVTTVHMWVPASPPRNPLVLLHGFGASATWQWYPYLRPLIAAGFDPIVPDLVFFGNSCTRLPDRSDMFQAWSLKAALDAIGVKKFGLVGVSYGGFVGYKMAAMYPDAVDKVAMVCAGVCLEEKDLAEGLFPVAGVDEAAALLVPRRPDEVRRLVRLTFVRPPLIMPSCFLWDYIKVMGSDHIQEKTELLHALISGRQLSTLPKLKQKTLIVWGEQDKVFPMELAHRLKRHLEGNSRLVVIHNAGHAVNLEKPTEVCKSIIDFFQEPVAEDSNDEMV >cds.KYUSt_chr3.42382 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267960620:267966479:-1 gene:KYUSg_chr3.42382 transcript:KYUSt_chr3.42382 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDSARCRARIGVDGNGVLGGVAVQGGAGAEGGDQLSVVMKFGGSSLSSAARMEEVAGLILAFPEERPVVVLSAMGKTTNLLHLAGETAVGCGVNHVSGIEEWNMLKDLHIKTVNELGLPRSVIDTLLDELEQLLKSVAMMKELTLRTTDYLLSFGERMSTRIFAAYLNKFGVKARQCDAFDIGFITSDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKTGVVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNAKTVPYLTFDEASELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQRDMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDCVATSEVSISVTLDPSKIWSRELIQQELDHVVEELEKIAFVHLLQQRAIISLIGNVRQSSLILEKAFHVLRKSGVNVQMISQGASKVNMSLIVHDSEARRCVEALHQTFFEGVDPLSTTEMDEEDLKPISPA >cds.KYUSt_chr3.20994 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128643638:128652353:-1 gene:KYUSg_chr3.20994 transcript:KYUSt_chr3.20994 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSDSYDSPADDSDSLPTSETEEEQITKPHTCAALHADSPPHPAPRKEMSSAAAVGAGKVVCVTGASGYIASWLVKLLLGRGYTVRATVRDTADPKKTLHLQSLDGAKDRLHLFKASLLEEGSFDSAIGGCECVFHTASPFYHNVKDPKAELLDPAVNGTLNVLRSCKKASIKRVIVTSSMAAVGYNGKPKTPDVVVDETWFSLAELCEKNQQWYVLSKTLAEEAAWKFSKDNGFEIVTINPAMVIGPLLQPTLNTSAEAILKLINGSSTTYPNFSFGWINVKDVALAHILAYEVPSANGRYCMVERVAHHSELVKLIHKMYPDIPVPNKCADDQPFVPIYQVSKDKVRSLGMELTPLDTSIKETIESLKEKGFFTFESSHL >cds.KYUSt_contig_554.44 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:439755:440318:1 gene:KYUSg_contig_554.44 transcript:KYUSt_contig_554.44 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGSTMVHAAATGVAPRYRGVRKRPWGRFAAEIRDPAKRARLWLGTYDSAEAAARAYDAAALALRGPLALTNFPLDAAPLPSLPPPCGRRRPSPACSASSTVESFSGPRPPRGTRLPPRAPAPARPGDDGGCHSDCASSASVVDDAGDEAASGGRSRAPAPLGFDLNLPPPEDQEQQGLCTELRLW >cds.KYUSt_chr7.32242 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200805517:200808556:-1 gene:KYUSg_chr7.32242 transcript:KYUSt_chr7.32242 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRVNRCLHRLSDRDTEAMAANELDAIARGLDADELPVFLAAVSDTRPTDKTPLRRHSLRLLALLVAAHPRDAVAPLVPRLVAAALRRVRDPDSSVRAALVDAARAAGAAAPSAPAALGPLADAVLHEQDQCAQLSAALAAAAAVEASAPTSDLAAYLLPLLPRLLKLLRGAAFKAKPALISLIGAASAATDAEAAATAVPCLRDALAGDDWAARKAAAEALALLALEHGDDLAAHKPGCIAVFEAKRFDKVKIVRESMNRMIEAWREIPDMEEDVCSSDAPPSQPRSSLTDSASSDARYPADSLGPNSVQSVTRRNTLPASRSPPSDAMRNVSNRRSSPPSPIRNKKGSSPSRSSDAGQAKKYDYKVDVTVAADATPIKTVTEEQLLKEGNVRARLEARKMLFQKSGEKGYKKLAGIKSGSRVVPYNGDGDSEESTEVGDRPEEFQSEEPEEFESAHKDEDLSKIRMQLVQIENQQTNLLDLLQKFMGSSQNGIRSLETRVNGLEMALDKISRDMAASSGRMPNSEPGMNSCCIPSPKFWRRNDGGRYSSRYPVTDIANYSGDSKTSHKWERQKFGVHGGFVTNPLAEPNASFVRSTLVAQEGRRHNSAQYKAR >cds.KYUSt_chr6.29249 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185269765:185271364:1 gene:KYUSg_chr6.29249 transcript:KYUSt_chr6.29249 gene_biotype:protein_coding transcript_biotype:protein_coding MCGYPRSSGNSLGWVRPAIHKSDAGVLARYPPPPLRRPFPYMSWPRSLGRRRHSRSPRRSILGKRNTGALFPAAAPWASPLIEDGARRPLRTRRSPRYSQWFGCVAWRSSRRGARAGSAVLHEIGGGRRCEASPARRLLPRWSFAVVVLGIQTVVDCELDRNSSLATRRGSACSSRRIGVQRRGGHNNQVAGARAGAGKVCLGSGRLPGGQHWVTDLDFMALINSKYACCEHLYPDDDEDLSCKHKFKTWRSKIDGTGPGFHGEA >cds.KYUSt_chr7.35877 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224154066:224155796:1 gene:KYUSg_chr7.35877 transcript:KYUSt_chr7.35877 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDEWLAPDKLQHVLACLLIALAAAALAGRSSRPFLRRHALPLGCAASLAVGAAKEVADEARLFGSSGASLRDAAADLLGVALAAALVSLVARLRRRRRREKARDADGTDGSISMRTPPAMALLAAAAAAAAAARRLPRSLRLLKPHHFTSASSPPSFGESETSAPAWEPQSPVRTPPDDQFAAWVTRLRPGFTARDLADAINSEQDPDLALALFRWAALRPGFRHAPASYLAALNAAASGKRPVAAENLIQDVLAGACPPDLQLFNACLRFCCDRRSLFPIAFDMFNKMRALPAAAACRPNVETYTLLLSTVVRRVRRPPASLVYLHAVRSLSRQMKASGVVPDTYLLNLIIKAYGRCLEIDDALKVFREMPLYSCEPNEFTYGYIVKAMFQKGRTDKGLEYLKEMREKGFVPSGGVYMSAVAALALEWRFEESREVLLDMLDCKRKPDMITYRTLLEELCRATRTEDAFELLEELKQRKRGALDQRMYSELLGGLHWISQPQQNALTRRDRGSDERDSGD >cds.KYUSt_chr4.14503 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89538969:89539590:1 gene:KYUSg_chr4.14503 transcript:KYUSt_chr4.14503 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGGGEREDEEAAHKLKSMDVNKLQKGGSSSDEDSPHPPRPAVKYHGWRAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMQSVDAATLLNGLNGTSSLAPIVGAFLSDAYLGRYLALAIATVASLIVSSSCLLLADPAISSQFPALEFALPSLLFLLLLPCRVSCQHPVDQ >cds.KYUSt_chr7.13369 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82432237:82433131:-1 gene:KYUSg_chr7.13369 transcript:KYUSt_chr7.13369 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVPRSANGEIIVAPPVAAARDGRTGGGSSSSFGASGAGSLSQILSAKLRKCCKTPSPSLTCLRLDTEKSHIGVWQKRAGARADSSWVMTVELNKEPAVAAAAAPPTPSDSTMSATPSSSTSTSTSTTTGSPPACAMDDEERIALQMIEELLGRGGPASPSHGLLMHGEEGSLVI >cds.KYUSt_chr5.32747 pep primary_assembly:MPB_Lper_Kyuss_1697:5:207716021:207719463:-1 gene:KYUSg_chr5.32747 transcript:KYUSt_chr5.32747 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAALLSPPRCLPVAPLRCHAATHQPAVSRGLLLRGAGSPVVKRLPAGDWLLWYNSGARVALATSPDGLRWSAPVAPDPLLPSTDWWVFDTAVIRPADVLVISGPDASSRRFPSSAVYWLYYTGSNDERFACPFPAADVAALPGLAISQDGRHWARIEGAHHTGALLGVGEDHPQGWEKRCAAAPKVVMHAEGDLRMYYHSFDEMAQRHAIAVARSRDGIRWTRVGKVLEGGGPGSFDEGGVRQGHVVRDRAAGRYIMVYEGSDMSGRVSIGMAVSEDGLKDWRRCSEWPMLHPSEEDDEWDAAGVGSPCLVQMDGPYDWRLYYMGVGKDGEAAIGMAYSEGQGLPRFDKCDALLMMVIDSICDISEEKCIAWKLELLPFILLATFQLEIKLTM >cds.KYUSt_chr1.32405 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196755451:196756650:-1 gene:KYUSg_chr1.32405 transcript:KYUSt_chr1.32405 gene_biotype:protein_coding transcript_biotype:protein_coding MENAYHPPGERVARFLLLLGVTRVLAWQKKKASTTLSLRAVSPSSLSQSTPPWIGMEHRSAPVLPPRRLPTLLRRRRSHAVAPLPCRLPPWIPPLLPAPHRPAMIRSGRCDARAREVAGQPRLQSSPTTTKYGRFESAARPWRAPVPQGGGAAASWPPVERQVAAGRTAAARARSKRHHVCFKAGAGLLQRADAGDARGHRRYYKGNQGWRRCYKKPPPLLHVSASVATSGRHIWYIRPPVLGTFFLCRCYIQSPVLLHSASGVATFFLRRCYIRPPLLHEAAFAATSGHGRCYIGRPLLQRPPSLLRPAVAAATGGRLRCYVRSPPSLHRPALLQEATFAATSGRRRCYRRPPPLLHRAAAAATGGRRRCYVRPPPLLQARLQVESRERLKRCPGLVR >cds.KYUSt_chr2.17031 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107198257:107200590:1 gene:KYUSg_chr2.17031 transcript:KYUSt_chr2.17031 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSVVWHCTLLVLLLAQPTHSSTIQKPNDATAEQKPLPSSSHTYIIQTNHLAKPSQFATLERWYSSMVATHSPRAAMNSSSRLLHTYGTVMHGFAVRLTEEESRRMSSTHGVSGVYRSRMRYTQTTRSPGFMGLHEEFGAWPDSELGDGVIIGFIDSGIWPESASFNDSGLGPVRSTWKGTCVAAEGFNASSCNNKLVGAKVFAAEQDGTLTPRDKDGHGTHVSGTAAGSEVRGASLFGFSRGTARGVAPRARIAMYKACNTKACPDSSIVAAIDAAVSDGVDVISMSLGRIDGNPDPAFYDDVLAVATFGAERRGVFVVLSGGNSGPEASSVGNVAPWTTTVGAATTDRVFPATLKLGNWAVVTGQSLYPVEAQGGTSMVPLVYSSCDKYGMIPDNVTGNVLVCKVERNYVESGIYAQTVGGAGIVGVQSEARFRDAVDAQAFTLPGLTLSYIGRTKLDAYMSSVPYPVASFGFSCDTVTGENRAPMVAGFSSRGPNSVVAEILKPDVVAPGVNILAAWSGDAPPSYAREDARRVEYNILSGTSMACPHVAGAAALIKKRHGDWTPAMVRSALMTTATPLDKNGRRILDNGVGYRSNNGLEDGTCDDATTLAAGAGLVLPRLAMDPGLVYDLGTEDYVDLLCTLNYTTEQMRRFVPGMSGCARTLPGGAGNLNYPSLVAVFDSRTSARTLTRTVTKVSAQAETYNVTVAAPSGVNVTVTPTTLVFRRQNEKKSYSVEFRSNAVKPAGGWEFGHITWENRKHQVRSPVAVLWEN >cds.KYUSt_chr2.10158 pep primary_assembly:MPB_Lper_Kyuss_1697:2:64339177:64343716:-1 gene:KYUSg_chr2.10158 transcript:KYUSt_chr2.10158 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRWQIALYISACVAEECTSRQGISWSAPPKKLVCHAELKGWLLDWIGKGSADQIEWMFTMIYNLWQARNDARETKQMQDPKTIVLRTATALEEWRGLMVKPLSVPSAVKVNWQPPAIDWYKINVDCAFRQQEGIGGGGVVIRDHHGSFTAGACCFFTHVADAEGAELLACRKGLLLAKELRANRVILETDNTGVRAKLLKLELDRSVHGSLVEEIKVLLGSFSETAVCAVRRTANAVAHALAKDGCDNKLCKIWLEDEIIRITNNFETMLGKGSFGEVHKGVLEDQSIVAVKRFIHNVKEDFAKELTIHSEINHKNVVRLVGYCADENALMMVTEYVTKGNLSDALHRENTPIPLDTRLRIAIECAEALCYMHSQMYTRVIHGDIKPANILLDDRLNAKLSDFGISRLVNTENTLYTLNVTGSIGYMDPLFALDGRLTPKSDVYSFGVVLVELITRKKAKTDDGDVGLVKSFTQSLAKGIRRVREMFDAEIAIPGDLKTVEEIAKLAGKCLRLEFDKRPDMLEVAERLRKLRNAAHERTARFSWGRRYKPAQAQTKSSQESNIRSQIVRSAAPAKVAPSQESNSRSQSVRTAAQVEVAPSQERSGTIHYVGNFRLSSTATDNLLELVDLLQAPAGGYTGGSTYMLKLRENGVKLAVKRVKGVDLSKAEFEQRATMIGAIQSEHIVPLQAYYYDKNEILLMYDNFPRDSLEQALYGGRCTCPLDWKQRVAISLAAARGVAYIHSVGPSSCHGNINSSNVILKGKYGARLLEHGLTTFGLFPGVSGYSAPEITDANNWVSQEADVYSFGVLLLELITRKSPIVRTEHADNVYLPQWVRSVARRKWRAKVIDMQLLARQHAEGDDEFMVRLLLLGINCCSEDAELRPTMHDVVQQIEEMTTWGLQCLMPVILESTSLKKNFHCNFAIYRLPYAFAYTLCIVGLIILSTQLQINVKN >cds.KYUSt_chr7.35684 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222919609:222923064:-1 gene:KYUSg_chr7.35684 transcript:KYUSt_chr7.35684 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYDGGKEAADTQLGYPLVAVCIDKDKNSQNALKWAIDTIVGKGQTIVLVHVNTKGVSGGVEDAAGFKQPTDPHLKELFLPFRCFCTRKDIQCKDVVLDDNDVAKSIIEFSAHGAIEKLVVGACTRGGFVRFKADIPTTICKGAPDFCTVYVINKGNKVSSQRNSIRQAPRVSPLRSQIQNLSNAAAAKPPEPALPVPANQKWSTSSRGSDHGETPKVDSYIRSPFARGSMGPTRKSYADLSHMPMPDSADISFVSNGRRSTADSSDISFISSSGGGGGRRSVDHYQQSAPRMSNGSSIDCYDHSFEMRTPSKWGDSFPGGGANDLLSFSQTSTSSFSSIGMDDVESEMKRLRLELKQTMDMYSTACKEALNAKQKATELQRWKAEEEQKRQDQNITEESAIQMIEREKAKAKAAIEAAEASQRIAEMEVQKRISAEKKLLKEAEDRKHRGNGGGGEIRYRRYTIEEIEHATGNFDDARKIGEGGYGPVYRGHLDHTQVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGATGGPVIPWQHRFRICAEIATGLLFLHQKKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPSNVADSVTQYRMTSTAGTFCYIDPEYQQTGMLGTKSDVYSLGVMLLQIITAKPPMGLSHHVGRALERGSLADLLDPAVTDWPVEEAQCLAEMAIRCCELRRKDRPDLGNVVLPELDRLRALGEDNMEFCGTIRGGGGGGMYSSAYHSNVTASRAADVVNDSYPMRCKQGGKGTENTTEMDAEKEHSSQHNSVGGSIINLTRPDSEEEQAPEQDEHALEE >cds.KYUSt_scaffold_1854.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:78903:79553:1 gene:KYUSg_scaffold_1854.13 transcript:KYUSt_scaffold_1854.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVHPAHAAASACSRTASRESRRWSCDCFAAGDSDLRPGSRLGGGGKGDTHSRTASKALEASAAGRRPRVEALVLRPLRRRRWLPPTGVAPWRRKRRRRAPLGAAVERSRLARLGSPDVAPCLYLVGFTGDRSGPVVVVAADDSGAAFDLSSRERAGRAALLPTLSPLITTTAPATRLGSRRASPLQTIAARGRAPSELGGTSGSDGDLSRRRI >cds.KYUSt_chr4.23254 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146456242:146463789:-1 gene:KYUSg_chr4.23254 transcript:KYUSt_chr4.23254 gene_biotype:protein_coding transcript_biotype:protein_coding MDILGPIGPIDTKMAMEIQRQGVLARLLSCIHYILPDPPVSAAARLSALPSHDAVDRVSRLPDALLGDIVSRLPVKDAGRTAALSRRWRGVWRSAPLVLVDADLLPVESTAGARADARRVTSAVSRVLAAHPGPFRCVHLTSSYLEEFHGPLTRWFDLLVANGIQELVLVSRRWPLNIVLPATFLDMATLTRLYLGLWKFPDTTGLPRAASFPNLLELGLCGIFMDSKDLDYILDRSPVLETLYVEANLFKLRLRLVSQSLRCILLIGCFFEEIFVVDAPRLERIILSEASTPDDSCTKVKIGHAPNLNLLGCLELDPGTQVLEVGNTVIKGRTRVSPSSMVPSVRILALEVRFGVRNDAKMIPSVLRCFPDVETLHIKSGDTDQSTGKLNLKFWHESGTIECIRSSIKLLVLHDFRGDRSELAFLRYFFESALVLKEVVILVAASVTSMEEVDSKVASLRTMKRASKASSVLVTSCSDPEGGYIRSIKRGSDFSLRDPFANYGSQSIVFGVTAVLWGMQHPGPAGVWMVRRTVEFMDIVARAHLKGLKFLYLNDVESPPLNWSLGLSGVAKRRQGQEEKRKSTDASSHYPYNVDE >cds.KYUSt_chr1.768 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4115031:4116244:1 gene:KYUSg_chr1.768 transcript:KYUSt_chr1.768 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGKKPYVVAVAIQVIYTGLFIVSKAAFDSGINTYVFIFYRLTTATALLLPLALISSCRRRSATTTATPEPTMSCRLLFKLFLYALLGNTFTLNVYNVSLKQTSATVGSAATNSMPVATFLLALLLRMEVVKVRSRSGLGKLAGVGLCVVGVLVIAFFAGPSIRPLANHPVFAHKPHSVSNSAWIRGTFLLLLACTTWSLWIVLQVPLLKEYPNKLMATAMQCLFGALQSFVVAVVVERDFAKWKLGLDIGLLAILYSVR >cds.KYUSt_chr6.13942 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87220343:87221233:1 gene:KYUSg_chr6.13942 transcript:KYUSt_chr6.13942 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSAVRGAPQWLRGLLSEEFFDACAVHPAERKNDKNHFCADCAAALCRHCLPHDPSHNVLQIWKYASCFVVRVDDLKLFDCTGIQSHAVSDHEVVFLNERTARKRSASAENPCAACARPLSSGHDYCSLFCKVKHLGESDRGLRCALRVSRKAAAAAVGEDAAVLEPHNGKRPRAPSSEAGPSCGGPSRKRSRKQLLPARSPFC >cds.KYUSt_chr4.3938 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22599289:22600494:1 gene:KYUSg_chr4.3938 transcript:KYUSt_chr4.3938 gene_biotype:protein_coding transcript_biotype:protein_coding ESSTETDIHRLRVSPTHRLENGGLQSDDGEPYASASFPVFEHLERDPPYGREPLTDKVSVLAGRFPALKTFRSCDLLPSSWMSVAWYPIYRIPTGPTLKDLDACFLTFHCLATPSKDSHPTTPACPGFEGINHFTSSMGKLPLPAFGLASYKLRSSLWASNGAPEQESVTSLMQEADNWLRCIQVDHPDFRFFVSHFGAAWR >cds.KYUSt_chr7.11018 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67735219:67736877:-1 gene:KYUSg_chr7.11018 transcript:KYUSt_chr7.11018 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLPAAQDQAASAVRRAGKGKKVHPLPDGAAMGDEKPKPAPAARRPAADWLTPAGVAGILARHPLPALFACGLLLFMGVEYTIPMVPAAAPPLDLGFVATAAMHAAIEARPWLNSLLAALNTVFVAMQAAYILWAILVEQRPRAAIATLMMFTCRGLLGCSTQLPLPAEFLGSPMDFPVGNVSFFLFYSGHVAGAVIASLDMRRVGRYRLAALYDALNLAQVVRLLACRGHYTIDLAVGVGAGLLFDMLAARYLESKNVNAGVTRCCSNCQKVSQKLTS >cds.KYUSt_chr6.14882 pep primary_assembly:MPB_Lper_Kyuss_1697:6:93140845:93143853:1 gene:KYUSg_chr6.14882 transcript:KYUSt_chr6.14882 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAPGALLPHALLSHRSPPPPQLLALSSSFRRISVSVSHRRTTHLIAHADAGAGAAEPEPAEEPAPESEDAVASADAEEGEAEAAVAVAEEEEEPAPKRKPKFGEIIAILNKQFIEEAEKVKVLPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKGGVHTTIRVRRIIAGVGVEITFPIYSPRIKEIKVIRRKKVRRAKLYYLKHKLPRFSTFK >cds.KYUSt_contig_3162.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000611.1:32947:36003:1 gene:KYUSg_contig_3162.6 transcript:KYUSt_contig_3162.6 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVGKLAALLPDENEDSSGDLESLKAELEAVHALLKNTSGADDPDERARRWMKEVRELSYDVDDGLDDLMLPAGDGSGSRPDGLAGKIKIWLRETTALRRTGEEIVAGINISKAIVEVEDPRDCYLYREMPELVVGVDGPSAELVKMLGMGGGGEDASVQQQQLKVVSVVGCGGLGKTTLARHVYRTFGEQFSCRSFVSLSRKPDVVEILRNIISQLGYDQTIPGDVQPLIDCISSFLQDKSSLLPLLNYPYSFVHAKCPSHLKRVSDMILQKCGGLPLAIIAVSELLAANTHEHQWEKAHNSIRHGLGTNPAVERIMRILLLGYSDLTPCLKSCLLYLSIFPEGYAIKKEHLVLRWIAEGFIHEEHGYTLYESGERCFNELINRNLIQPGEINEFGEVETCRVHGIILDFIVSISKKVDFVTSLGVPGLNPEPENKVRRLSLQEGSDIPKDLILCNVRSLTVVGNRVKMPSLLDFRHLHILDFEGSSELEDHHLADIGNLFHLKYLRLKYSRITKLPEQISELRYLESLDICGNITTIEIPSAINQLKRLTRLVVSDDTILPDEIGGIKALQVFEGINVNMQSTNFVRQLGQLSNLKKLSISFINYYAGDNWKENQEEMVSAICRLGKANLHILHIKINEGADEIFEESWCPAPDSLRELFIEVGIVSRVPRWIGSLVNLQKLVILMWDVGQEDVLILGGLPVLQHLCLTALTVGSKDERLKIDRIHGFPSLSHFKIGGEECALGLNFEAGSIPKLQKLELEFDAEETLSLTNGNFNFGIEHLSCLTSTSVRCTYDESIPPTLEAAMERAINAHPNHPMLVWIK >cds.KYUSt_contig_4908.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000978.1:52912:53127:1 gene:KYUSg_contig_4908.13 transcript:KYUSt_contig_4908.13 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSEGGGPWLRSVNNFLGRAVWEFDPDHGTPEERADVDRVRREFTENRFQKKESQDLLMRMQVYKLL >cds.KYUSt_contig_4188.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000826.1:296:1373:1 gene:KYUSg_contig_4188.1 transcript:KYUSt_contig_4188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFNAVINRLLSKVPESRRRKLYIRTFAVVPLTEDCGLVEWVPNTRGLRHILQDIYITCGKCDRMKTTSQIKRLYDECHASKMAEGVMLKTKILPLFPPVFHKWFLMTFSEPAAWFRARLAYAHTAAVWSMVGHIVGLGDRHGGHKEALMTVLETFIHDPLVEWTKTSKSSGGEVRNPQAQRAIADITARLQGVVVGVNAAPRCRSPWKARRAA >cds.KYUSt_chr7.32429 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201978337:201985819:1 gene:KYUSg_chr7.32429 transcript:KYUSt_chr7.32429 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEQEGDLVGGRPPHPEEWDEGRGGGAGGRVKQQEVEVEAAAEIGLLESTTAVSCGKGDSSKLSEYNVDLAITLFYSFPYNIGQISKKWKVYSTSSLCSSCEVVHIATSGSMVLHNQGLQRGCFKMSGSMVLHNQGLQRGCFKMSSAVGGVDDDMGSPDPGIVDSDGITTDKPSVEREAYEEVKLEKDHAAKEMKAPKAKMAALSEALKAVDIEMEELESSVKNNAQAMHHIVNAPW >cds.KYUSt_chr2.54152 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337993657:337994802:1 gene:KYUSg_chr2.54152 transcript:KYUSt_chr2.54152 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDEDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPQGHALDAAIEEARMAMTEEERADPRHHPDNITRWNSFFPRRWERELASYDGPPPPPPRNNAAGRRRWWSAPNRTLHNVLEHIEGGNTPPLTMPPPSRALANRRRGNSWQPRRMAASSSSSDTASRSLSRSAPSLAPVKKEPDSPPSNRTRGGGIVIRKASAARLRPKREQDTSGERKRKPAKVKVEEAESAEDAAILEAVIARSLQDLVPARTPCRLTGLRPVEGAMGEGGGGAAARLLQDVARYRRPATPPSGAAVPVVDLEASDDDLYRPSPSPPRTSGRWGDAGQGSSQGASAPPQFDADSSDDDGSDGDYTVFYRHFGM >cds.KYUSt_chr2.50382 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315110589:315111105:1 gene:KYUSg_chr2.50382 transcript:KYUSt_chr2.50382 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSQALPGLVLLLLLVSSSASVLDDTCKSFGANHKDIGYDYCIKFFQADKGSATADKRGLADKKVRSGLDDCHDVYSEAVDELDAAVKGVEAGTPQGLKDAVTNLSGAMDAPQTCETGFQELGLQSPLAAEDSEFTREVSVALVITSSL >cds.KYUSt_contig_97-2.41 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:175561:176145:1 gene:KYUSg_contig_97-2.41 transcript:KYUSt_contig_97-2.41 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLLQDSVGRLTEMAAPPLATAKAGGVLHTDTLLILVAVLCFLLCVVGLALVARCSRLCNPSVFSVDALPAKTPCKGLKKKALQSLPTVSWKLERKKKDEEEGEVPECAICLAEFASGDEVRVLPTCGHGFHAACVDVWLLTSSTCPSCRRALVIAVQPATEPPTTTTCCERPDVAPQGSATGAGRCRSSAQ >cds.KYUSt_chr2.53424 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333251686:333253691:-1 gene:KYUSg_chr2.53424 transcript:KYUSt_chr2.53424 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCSVVARPVRTNAPPPPPPPWSRNESMTSTSPDHLFGLRNSFYVGAYQAAITGSQSVPAHALSPDEVLHRDAILYRSYIAIGSHQLVIDEIGPAAATPLQAVKLLALYLSGGAAGNKESAVSRLKELLGDPAVGSNLILRLVAGTIFMHEQDYAEALKHTNSGGNMELLALNVQIYLQMHRPDNAEKQLRVMQKLDEDHTLTQLASAWLHLVMGGSKIQEAYLIFQDFSEKYTATCMILNGKAQCLMHMGNFEQAEGLLLESLNKVISSHFNEPKSGRPNSWSPTKLVCFSHKVKVQYYVRFAEMPLIVQDPKDAQTLANITVCSLKLGKPASRYLNQLKLAQPEHVLVKRMSAAEDTFDRASQAMA >cds.KYUSt_contig_2528.38 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000367.1:310171:312047:-1 gene:KYUSg_contig_2528.38 transcript:KYUSt_contig_2528.38 gene_biotype:protein_coding transcript_biotype:protein_coding MAARASASSAPASASSAMALAISSHGRREARLPRPPPPPPPPPSPVLRLNAGGGYLVFLLLRVESAAHVNNVLPIHVVGHRWMVAESSSGALAPTASRRRSRSSSSAAASFSAAAPSTSSGPDRHTTNTNTSNTTTSSTSSRSSRSSLAAARASLPPPPLLYPFHELTAATNGFLAKRDASSTYWRCSLRGRDAALFQLRALPGLTRADASAALARTARYHHASLAPLLGACLAGPHVYLAYSHPPAAASLAACLLRAGPASGSSILGTWLSRVQVAADVAQGLDYVHTHADAVHGRVSPSTVLLVPDPDGLRASLTHFGADQFAQPDAAAQSDSDVHAFGVLLLQLLSGEAEASRFRFDRATKEFATVSVLDTAAEALATGRVRTWVDRRLGDSFPVAAAEKLLEVGLRCASPEDRPPPEMSWVAGKVSKAYVESRTWARQLQHSDGLSSVSVAPR >cds.KYUSt_chr7.19171 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118765928:118766533:-1 gene:KYUSg_chr7.19171 transcript:KYUSt_chr7.19171 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFAMRSSSSSRVRGGRQQPWRWLRRCAGLAAAAHARIRRTVVRVRWSGPGRLGAHRHHRSPAPPLPSVQRGHHRSFAPVYVDELYSQPKGLSVVREEVPQVSTSKLARDTDRTAGVVSKAPVHGAGAAAATTTGGSSKARAAGRSAGVRGFLLSPGRGGCGMGEVDVRAEMFIRKFREEMRLQSQRSAEEFEAMLARGL >cds.KYUSt_chr7.37271 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232642681:232643268:1 gene:KYUSg_chr7.37271 transcript:KYUSt_chr7.37271 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAMVEYQSPASGQRRRRLAAVLTPLLLFLAAALSFPSVFHLTLHFPPPSAGPPPPPPTGRVAVCLVGGARRFELTGPSIARHVLGAPALHHFGPAGVDLFLHSPLDADAYKFSLLARALGNATTTLAAVRVFRPEPVEETPERARVLTPANSPNGIQVRTPSWVQLPIPCLRTTFTPSSVRSPSAASSSRRAI >cds.KYUSt_chr1.24540 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146667848:146668636:1 gene:KYUSg_chr1.24540 transcript:KYUSt_chr1.24540 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRLLPSRRLLSTLLHTPTPTPAARFTDAATATPFAHNLQPARFLSATRRAGPGAPRQPRAADIGARARQLQSRRLWTYALTFGCAAGFVVTVLATFQDQLVFYLTPTDALARFATDPAKARCRLGGLVLEGSVAHPSSSASEIEFVVTDLVTDVLVRYEGALPDLFREGHSVVVEGFLKPLTDDLRRDGAGRKVSDKAREGACFLQGTEVLAKHDEKYMPKEVGEAIERNKKQIEADAAAAAAAAAAEETPTVAADASS >cds.KYUSt_chr3.37477 pep primary_assembly:MPB_Lper_Kyuss_1697:3:235524831:235527506:1 gene:KYUSg_chr3.37477 transcript:KYUSt_chr3.37477 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFSRSALRAAAAASGGGPAPASRPAIACRLPPPCAALQRFRFASLPAADVARDAESEVTAEEARRLMRLANVEALKRRLGDGEVIPYADLLRACEEAGAARTRAEATALAGALDHAGVVLLFRDKVYLQPDKIVDLVRKAMPLALAPEDDPRKEELKQLQTQLEDINKLAHKQVRRILWSGLGFLITQMGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERLFQSRQRKLIQRQNFNLDRYLELQRCCKDPLEKMCGTSHFSNADIAHLHELSPVHK >cds.KYUSt_chr2.46582 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291192087:291193573:1 gene:KYUSg_chr2.46582 transcript:KYUSt_chr2.46582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, beta subunit domain containing protein [Source: Projected from Oryza sativa (Os04g0555900)] MLLLWLWLQSCVAFLLTLSYPKMHQHDNVKRYGGRVIWSDANMESRESIARRVQEETGAVLVHPFNDKYTISGQGTVSLELLEQVPEIDTIIVPISGGGLISGVALAAKAINPSIRILAAEPKGADDSAQPVVRDLVDDIIVVDDNAIVDAMKMCYEMLKVAVEPSGAIGLAAVLSDEFKQSSAYHESSKIGIIVSGGNVDLRVLWDSLYK >cds.KYUSt_chr6.31714 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200404259:200406826:1 gene:KYUSg_chr6.31714 transcript:KYUSt_chr6.31714 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPRRRHKRACVEEIHGAEGRTQQRLPELDAPSVDKGLRVSSAETKAQTCEDDDICQGAPNARQYLELDKLPENVNTPMLPDKLLHLKSLGIRLYRSRDSPIYDIFSVVSFLDASPALESFILHVERDDIINDCVTGDDLYASGESAYQHDRLKRVKIMGFHSAKRLIKLVIHILGSAPSLESLTLDTTGGRKPGDAGKCTASGESHKCCCMSERDVEYADRAVEAAGRYIFGRVPSAVQFEVLEPCRRCYAGNR >cds.KYUSt_chr4.16339 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101568121:101574834:1 gene:KYUSg_chr4.16339 transcript:KYUSt_chr4.16339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Myb-like DNA-binding domain, SHAQKYF class family protein, expressed [Source: Projected from Oryza sativa (Os03g0654600)] MATVAALLPLRAAACAGHAPFRLSSDDGARFPGRLGQRRLVAGVCRPRESAGFRVEALFGGGGGGGPKEPMVPPYNVIITGSTKGIGYALARKFLNAGDNVVICSRSAERVESATSDLKKEFGEQHVWGTVCDVREGKDVKALVDFARDKLGYIDIWAELQMNEVNNVVVHNLSPGMVTTELLMSGATTKQAKFFINILAEPPEVRYREKCDLGGQPRQDVLGAASPIMAGHNMTSESEMVMDNSAAMHMAEMEMVNYLLMDDREMVDNDFSADEVQMMENELMNEIKAQPGSRKEVQAPSF >cds.KYUSt_chr7.5305 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31629616:31630149:-1 gene:KYUSg_chr7.5305 transcript:KYUSt_chr7.5305 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSCAPSPPVFPCRHGRFRDLRKVCCRNSIGRCPSLLSPDAVMLSLACTTLERCSPGRKYMRWLSSYCKGYPPVLQWVLAGAAREGRRCCEVLSPEVRAKGSGVQTLLAGAASQGQRCFVAFSRMTLRRARRSNGAVGKAAKACRKSCKGSYQQLQRPLVTAIGKGDDAAGEAVLP >cds.KYUSt_chr2.46365 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289707191:289715575:1 gene:KYUSg_chr2.46365 transcript:KYUSt_chr2.46365 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYTLPSDSESEGKSPGFLHWWESPATPSDPGSTPGYPTSTPSEDEEEGGGNGSEGQEEDGGGAASDADDEEKGQEEEDSDSKFARLEAQEAADDKAAVRKKSRALARAARHRPFTDDDDEDAISSSFKSSTGASSSSSDDELLAKTAAGVVFTRVTLDISTGTADLVDFNWDTLGFQPVPTDFMYIMSCSSDGVFTNGELVPYGPIQLSPAAGVLNYGQGLLEGLRAHRKEDGSVILFRPEENALRMRIGADRLCMPAPSVEQFLSGVKQTVLANKRWVPPTGKGSLYIRPLLIGSGAILGVAPAPQYTFVVYVCPVGHYFKDGLSPISLLTEEQYHRAAPGGTGDIKTIGNYATVVSAQKRAKDKGHSDVLYLDPVHKKFVEEVSSCNIFMVKDNVIITPLLTGTILPGITRRSIIEIAGNLGFQVEERLIEIDELLDADEVFCTGTAVVLSPVGCIVYHGRRVEYGNGKVGAVSQQLYSALTAIQKGLAEDSQAIGSSGPGVRFSTVPN >cds.KYUSt_chr7.16145 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100050201:100051476:1 gene:KYUSg_chr7.16145 transcript:KYUSt_chr7.16145 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRRCSAWRTAAAILAVALQLLLAAAADAGKYNAVFNFGDSLVDAGNLVTEGVPDYLATARPPYGQSYFGYPTGRCSDGRLVIDFIAQEFGLPLLPPSKAKNASFAQGANLAVTGATALDTDFFQKRGLGKFVWNSGSLFTQIQWLRDLKPTFCNSTQECKDFFAKSLFVVGEFGGNDYNAPLFAGKDLKDAYQLMPHVVQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYVDPKEGYGTRSGCLKRFNTFSWVHNAMLKRALQKLRAKHPGVRIIYGDYFTPVIQFLLNAEKFGEFRGSFICAVIWSRDIVDVTSSVLGYKL >cds.KYUSt_chr2.47763 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298757411:298761519:1 gene:KYUSg_chr2.47763 transcript:KYUSt_chr2.47763 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSRGRRSKRKKKKGSLAAFAALLRLRGCAPSTSARARPLAEPATSSSSSSSSSSPPSRAGLLLFQSHPFGGDELHGSGGWAVTDWIIVVYLRLVGAKYFFFPLPRPNLPSVFFLEGYCFVGPYRFVCQENVLRVRMRFASRAAKFGDNLSVRGCFSQRGPSGLHYPNGIMVGSKNEPAGDGLPDGWSKEYRPRKVRPGARARRDMFYIDPTNSYEFRSLKDVYRHLESQDASNSAETPNKRKVEDLQIPENRFHHAEGTSDNIQMDSAHESNPKSRENAGNMPLSEHEGVSLGRLTELELQKASVNNQSLKHESTGRENANVGPKSKGKKQRTKPAKQVSTPLRASPRLTALKVNQELKHNQETSNVLRDSPVSTQTDIAVQLKPTKLVKNPKSKAIPSLLPWKKDGAHIASASEHPGDKCPSAHEQIPGTSVACSITDVRYQNAPSELHVPPQQTGLFETADDMPGSSLSSLLRGILSDPCLEFAFKTLTGDIPVPDNNLADANYFIPPPDLTRGDPCLEFAFKTLTGEIPVLDNNLAVANYLLPPQNLNKGAASNCSSSTYDGKNHAQVDRVRLPMPRQSDNLYSSGWFPPQ >cds.KYUSt_chr2.11185 pep primary_assembly:MPB_Lper_Kyuss_1697:2:70964822:70969623:1 gene:KYUSg_chr2.11185 transcript:KYUSt_chr2.11185 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGGGRWCVVTGGRGFAARHLVLMLLRSGQWRVRVADLPHAISLDRDEEEGILGAALREGQAVYASADLRDKAQVAKAFEGAEVVFHMAAPDSSINNFHLHYSVNVEGTKNVIDVCIRCKVKRLIYTSSPSVVFDGVHGIFNADESMPYPDKFNDSYSETKADAEKLVMRANGRDGLLTCCIRPSSIFGPGDKLLVPSLVAAARAGKSKYIIGDGDNYYDFTYVENVAYGHVCADKTLSSEDGAKRAAGKTYFITNAEPIKFWEFMSLILEGLGYQRPSIKIPVSVMMPVAHVVEWTYKTFCKYGMKVPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPIVSVKDGLKRTIESYSHLQARSQRSISKASIFLGNGNLAKTLLWEDTKQTMTVLLLLAVIYYQLFTCGYTIMTAMAKLFSLTALFLFIHGMLPANVFGHKIEKLEPSNFHISQMEAHQIACSVSSSWNSSVGVLKSLCRGNDWPLFFKAVFFLLVVSILSSMSSQAAFKIGIALIFIGFKAYEKWEDTIDSLVGDACSFILQFSPTQKSSRQKQT >cds.KYUSt_chr4.42791 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265044665:265050769:-1 gene:KYUSg_chr4.42791 transcript:KYUSt_chr4.42791 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVVSGLAGAGAGIIAQIITYPLQTVNTRQQTERTAKKKKSGSDASTLFQMLQLVKTEGWGGLYSGLKPSLIGTAASQGIYYYFYQLLKNKVENVAAARGKKGLGDGTVGIFSWLVIAAIAGSINVLLTIPIWVLVTRMQTHTQAERKMIESKRELLLKEISRANSVDVSILKDRLAKLDSEKPHPYGTIQAVREVYRESGIRGFWKGLVPTLIMVCNPSIQFMIFETLSKRLRSKRAKQLPKKNITAMEVFLLGAMAKLGATVVTYPLLVVKSRLQAKQEIGRNATSRYTGTIDAILKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELILQILKPGLCSCISCLFNSLRSGMLHSA >cds.KYUSt_chr7.10851 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66636128:66636502:1 gene:KYUSg_chr7.10851 transcript:KYUSt_chr7.10851 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVFGRLDSTKRGSHGLKLESKMVESMRQRAAHGTSVKSFNTIIMKFPRIDEGLRNCKAIFEQFVFLPCFELINGSGIVDQCIIDS >cds.KYUSt_chr7.6392 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38553778:38558292:-1 gene:KYUSg_chr7.6392 transcript:KYUSt_chr7.6392 gene_biotype:protein_coding transcript_biotype:protein_coding YPLLEPLLEDMLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKRFQVDRGAIKFVLSGANIMCPGLTSPGGALDSGVEEETPVAIMAEGKQHALAIGFTKMSAKNISTINKGIGVDNMHYLNDGLWKMERLE >cds.KYUSt_chr1.32510 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197423271:197423822:1 gene:KYUSg_chr1.32510 transcript:KYUSt_chr1.32510 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDPTDSADPHRRTPPGGLTIAFHRLRHSPSSPEKGRRVESGNAKQGGGAAAMDRVQLLLVGLPALLFISDLSHIFAPPPPHLRHGHHPHGHPPHHPHPPHHPHPPHHHHPDPAAAAIQLQPDVDGAGYGATVELQFCASCSYK >cds.KYUSt_chr7.36209 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226356979:226361253:1 gene:KYUSg_chr7.36209 transcript:KYUSt_chr7.36209 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSVRFCVGDRHHMTAKLIRRTVPSPNFDTTVNMLLMDELEAVIVSTTAPSSGFADSRVLPHLCFAFKELQGWLGSIEDARVSVFPCHPWDQESGGCGPFAEMRRRILLGVVPPVHEAGLAVIRSQAWTSCHTCRVKGHSSIRWRIDSVDWSQRRQRWW >cds.KYUSt_chr7.32825 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204568412:204569641:1 gene:KYUSg_chr7.32825 transcript:KYUSt_chr7.32825 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSSSGSHAAAAAAAATYPYPRRLHGAFVARDSLTPFNAYPAAPPPPPPQSSGGGGGGKISPAVLFIIVILAVVFFISGLLHLLVRLLVKKQQHHGRRATSTGHHGPDGADAALQRQLQQLFHLHDSGLDQAFIDALPVFSYREIVVGAGAGDKEPFDCAVCLCEFAADDRLRLLPLCGHAFHLNCIDTWLLSNSTCPLCRGVLFAPGLTAEDNPMFDFDEGMMEEGRLSECCEDGFGLPTQKSSDEAQVPVVAEKRVFPVRLGKFKNVGTQGAVEGGNAAADSAVLRREEGESSSSSLDARKCFSMGTYQYVLGTSELQVALQPGRTRNGAGGTMRPRPPGISCVNADIMEGKKICARNKGESFSMSKIWQWSNLKGKLPAGSDDCSEAGSLPWMKRGGAADKSNI >cds.KYUSt_chr3.34620 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217132015:217134456:1 gene:KYUSg_chr3.34620 transcript:KYUSt_chr3.34620 gene_biotype:protein_coding transcript_biotype:protein_coding MPQELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKYENMQERVVRHFWRAEGKEIACDVILHELCRVRVVGMHYEARVQRRPATGTPERKVWMSKADCRDTLMAPWQYMQHPPQYVGEDRACFLAMVIWWTSREYARKHEEGKRSVREMGGGSHVLGSKNLALTLQDEEVKTGVAPNLFGFFQKSKTRKEPHPETGSLWVNDLAEGQCGAYRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIADGLVDPSTIPSLRQIRRGRTSEQPRVETRPRASDLAIEKLRAEMEERERRHQEEQMQMQQQLRENMQMRAADVAADATTSSRCSKRYPHARSGCDGAPPSKRQEDKEVEKDKEVEKDKEVEKDKEVAKVDVACV >cds.KYUSt_chr1.33639 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204344804:204346834:-1 gene:KYUSg_chr1.33639 transcript:KYUSt_chr1.33639 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRGRPGTWGGLCLRLGQAALAAASIAVMVSSAGFAGYTAFCYLIASMGLQALWSLGLACLDGYALKVKRDLNNAVLVSLFVVGDWFQRSLLCCSKYMSTDITGVTLRTGTLVGYSSLRGFH >cds.KYUSt_chr6.7552 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45907531:45908646:-1 gene:KYUSg_chr6.7552 transcript:KYUSt_chr6.7552 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYASPPLSLFIAAAMVAAALVIVATPAAAHFPAGLPEPPFPNPWSAFQNLSGCHMGDERDGLARLKGYLSHFGYLPSAPSSSPFTDAFDADLEAAIATYQRNFGLEATGALDPSTVTQMVAPRCGVADVINGTSTMDRNSSSSAHGRHLYTYFPGGPMWPPFRRDLTYALTATSATSIDRATLSAVFARAFARWSDATTLRFAEAAPGADADITIGFYAGDHGDGEGFDGPLGTLAHAFSPTDGRFHLDAAEAWTVGDSASSSSGAVDLESVAVHEIGHLLGLGHSSVQGSIMYPTIRTGTRKVELETDDVQGIQSLYGTNPDFKGVAPTSPSTSSRETDSSAAADSRPAVSGFVGAVVAVGLLLLLPL >cds.KYUSt_chr7.30691 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191026671:191028752:-1 gene:KYUSg_chr7.30691 transcript:KYUSt_chr7.30691 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPLDLWNHWSIQILVLLSFALQVVLFFFAGIRRRGVNPVLRILLWLAYLLADSTAIYALGHLSLGTISKERKQGELVAFWAPFLLMHLGGPDCITAYALQDNQLWLRHLQILVVQVLGAGYVLYKRIADNGFYVMLASVLMFAIGVVKYVERTWALRCGNMGTIRSSLKRGPDAKHHEFHALDQGFREGAANEEELYVRRAHSVFHICKGAIVDSWIEKDSENHGGEMLRDIINEGYKGMWTLMEVELSLLYDILYTKAAVIHTWRGYCIRLVSPLATVAAFLLFHFSVKDGHRRTDIAITYTLLAGAFLLEMASLLMALGSSWTYSFLCTTRWSWFRYAVLCTGRWNQLRQLVKKITRRQVAGHARRWSGEMGQYNMLHFCSRQDTAFSPVLGKLAKMVGLKEWWNSKHYSGTIQISDELRLWLHWYIEQLPRKNKVNTQGVLRQNWGVQALEVHRCYDNFKGYLGIELQEGIVIWHIGTDVFLAKSSRAKADYGSAQEKLVKTIRILSNYMMFLLVERPEMLPGLAQTRLYQQTCENLVDMWCKVESSSHSHPNRKIRTMMKELFLWRDDPNTSRLLQRDELAVILYSEKPEYSTDVPRLCYANWVAKELLKQEKEKGSKAMLDLLLHVWMDFLVYTANRCSRESHAKKLSSGGELTTVLWLMADYFHKSLLYPQFPIGVRTDSPEDG >cds.KYUSt_chr7.20178 pep primary_assembly:MPB_Lper_Kyuss_1697:7:125020235:125020801:-1 gene:KYUSg_chr7.20178 transcript:KYUSt_chr7.20178 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQPDNRHVALLSSAHSSAQPHVICILSPTNSNPGGESRVINPKSSGSSGRRRDEIEVKGGDHGLGAAVGRERHERSQCSRGEGRPWRRWGNRTATTLPTKVRAAAMALVLLRGTEEQAAPVLHIIWPGQRQPRRLWLRDATRVLAARRPRRQRLLDAARVPAMHSADGLDHDDLDAGGQPAARVRP >cds.KYUSt_chr6.4399 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25480927:25482402:1 gene:KYUSg_chr6.4399 transcript:KYUSt_chr6.4399 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARAAFRRLSPASSTAAASDVRLLLLAPLSSKSSAAAPPEYQMPSVTWGVIQGRKERLVSRVLALDFLRSAGVSDPAGELEAVELPSSLDVLQERLDFLLRLGLSTDDLSAYPFLLACSLRKNIIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLAPIVKALRGLDVDREDIPRVLERYPDVLGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHYPFFLSMRVGTTIKPLCDYITSLGLPMRILARIIEKRPYILGYDLEETVKPNVEALLSFGIRKEVLPLVIAHYPSILGLPLKVKLAAQQYFFNLKLKIDPDGFARAVEKLPQLVSLHQNVILKPVEFLRGRGITDEDVGRMLVRCPQILLLRNELMKNSFYFFKSELKRPISELLEFPEYFTYSLESRIKPRYMRVASKGIRCSLDWFLNCSDQRFEERMRGDFIEGDAPGPSFTMGGKLQMPGNQLVSDDDNEDSDDEVLYRRTVML >cds.KYUSt_chr7.22729 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140985635:140986288:1 gene:KYUSg_chr7.22729 transcript:KYUSt_chr7.22729 gene_biotype:protein_coding transcript_biotype:protein_coding MERSMCTDELFPCLDNYHLVECFHINMSLWDSDGCMLAWYSNEKWGTTSEHTHLHSFDQVWWSLSLLLAYASEIWLVAWAGYELELSSGRGQAFSQEGRNVLNQAIISTSVHVGHHYYIAFANKIIVGYDCCSNQVYSFDKQVYAPWDPGKSALRHHPKTSGLGTRRNLRREECYDPCLCGPTWAAITDHRRWAWPSLPSRSQRCYMYASGGNEKPI >cds.KYUSt_chr7.38661 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240635474:240638155:1 gene:KYUSg_chr7.38661 transcript:KYUSt_chr7.38661 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLPKLTGEQRRATRRVGKLPELLVGPGPSGNGPQNSGTRPGCCEGVPPDLYLVRKDDLKLEIENIKVKLRHLQRLHEVDQNESVDSPQKLHDSLGIRHVEDQIKHRGIDLTEHMARRLIQAATSSFSSDLVIGKGTYGTVYKAKFRHAVAAVKVLNSLEGCGSQQLQQENMEENKWMQWQTSIKGRKGSLSEVSCTSHSHICDELLSTTG >cds.KYUSt_chr4.37106 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228279890:228280354:-1 gene:KYUSg_chr4.37106 transcript:KYUSt_chr4.37106 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSVHQGQHNGVSKPPVHHHHRKGSGKRKGGGGGGNGIKVVYISSPVMLTASAEEFRTVVQELTGRHSNVADHDAPGGPSYYSSSSSSSASYGRVSTTTAEGSTGAAAAAGERALSQAMVPEYMTVAGAMLPPFQSLYDQTGGVSLLYGQDW >cds.KYUSt_chr5.7271 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45508800:45509821:-1 gene:KYUSg_chr5.7271 transcript:KYUSt_chr5.7271 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGGASGPWLRSTSEFLGRQVWEFDPDAGTAEERAEVERMRRDFTEHRFERKESQDLLLRLQRL >cds.KYUSt_contig_605.1770 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:7059769:7059993:-1 gene:KYUSg_contig_605.1770 transcript:KYUSt_contig_605.1770 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRTGRRWRQLVQTVDGGDLETERRQSRSCRDICMPIPSTPSAFDDYSSINNHSISSSTSSGLSRMVVDAPA >cds.KYUSt_chr5.39509 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250046432:250047535:1 gene:KYUSg_chr5.39509 transcript:KYUSt_chr5.39509 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKRRRHHGPPAVAAGASSSSSPADTPSPPPDLLPDIARRLTSLEDFFSLRASCRTYRALLPATRPLLASQAPLLLVSLYPSFVEALFHPGVRRLHRFRLPWGHHLPPSRHTLLYAHGFLVTATTAAAQYPPRLLLLHLFTGEQQRLPKVPAPFSRVILSHDLLAVLFLPGRRIVQHCHPGDALWRVATADAPHVLEDLIFVGGTLYALVRLRLAILELSETSLELSFLGGEYDDEENRPVGERFMLGECEGEVLLISEEQAETIVYRVFRWASVEGKWATIASLGGRTLFLGFNGFAACVGPDLPEVRGDCIYAAGRRLGEWYEYSLVDGTCDVCYAEYAGAPPLNSNSPLRPPVWVFPSLMQA >cds.KYUSt_chr2.54999 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342920293:342921900:1 gene:KYUSg_chr2.54999 transcript:KYUSt_chr2.54999 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAWPAAASAARAAVRTLGRFIPRTYTHSTTTAASSSSPAHTLDDYNRLLSALARDGDGDGALRVLRLMRQSPSPAACAPTAASYVSAMAALSKAARPADAAALFDDMLAHGVAPDRAAFSLLLHIYSSHLALPSAAHSVLLWMTRLGLPPSPIDYADLVFSFCRAGRLPDALQVLDEMRALGYPLTTHVYAPILQAHCANGDMRSADALIASMRLSGCHPDVVIYNIYVHGLCKAGDFDAVERLIEESSFNGWAPDAVTYSTYIAGLCRCGRVEDAFRQLEIMVAKGLQLTVVGLNILLDHAAQELDMEVGNEVLERCEELGFEVDVVTYNTAMDHFGKEMQWLRVLKLFTDLLKKPITPDAHTYNILISGLCRAGKFRLAKFVFGCRGFVADTVTCNILIHEFYGAGREDELGFLFSDINAAKIVPDTITYNTLVDCLCRSGRRSQAANLVRHIDEGYPAEPVAHLTYWLVRSGHVQEALRLFDDIVAKGVALDGRVFANVIKAFCRKGPVDCADMTQLCFVLDRMLWIG >cds.KYUSt_chr4.22802 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143407829:143414565:1 gene:KYUSg_chr4.22802 transcript:KYUSt_chr4.22802 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDDLIEEAKVRTVCWALCIFAISYFMTHTSKSMWTNVPMSILILAFLRYISFKVEFRWRSQPVPKQTYLSQASKRQLSANDHRLSNVPPVSRWRRKVGSPSVEAAFESFIDNILRDFVTDLWYSSITPDREAPELIRGLILHALGEVSGRAKEMNLVDLLTRDMADLVGKHVDMFRKNQSQIGVDVMVTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLQELVGGIMALVLRPQDAQSPLVRCFSRELLTCLVLQPVMNFASPIYVNELIICFLNNKDTNIGGSVNKTTTVVGVTNDHSSYKGGPQGRQTESQKLSAESSSSGMTSLEGDKSKVSVDEHGRTVLPRQADWAVVLDAATERRSQVLAPENLENMWAIGRNYQKKMVKVDHPTKGKGAGRVDNIRTAVAAGKELSPNFNERVTSVDDKYMVNLMQGSNRNAQSTFVTGSHPLVSQNTDEVKSKEQSQVYYSSKEKHSEAVKNTKAQLKRSNSSPDMEKRHLAKSNQTVISNESLNAKKNQEDKGSGPSSHGEDLLSIANIAEQHEVMDFLSESSKNYSAGKSTSVMKTLAVNVDDAMDDIVRHVKGVSDGLKRAVSTSSPSAPYSQFADNRMPLSWNQEEIDNQNLQNRHLGSSHSLSDVDSNCEDRPSSVNSSCHSCHSDNELNNGGYGSNDIKHIEACTSCDAQVNQRIEKPARGNSDSANMSSVKPFEDPSGIPPEWVPTNVSVPLLNLVDKVFQLKRRGWIRKQVLWISKQILQLLMEDAIDDWIIRQINWLRRDEVIIQGIRWIQDTLWPNGVFFTKLDGLQGGAGASQSDKHPSGSADEATGNRKSSTSSFELQLEASRNASEVKKLLLGGTPSTLVSIIGYKQYQRSARDIYYFLQSTVCVKQLTFAMIEQVLVSLFPELHKLIEDIHEKGHKEQAAFTYQL >cds.KYUSt_chr5.1286 pep primary_assembly:MPB_Lper_Kyuss_1697:5:8856486:8859767:-1 gene:KYUSg_chr5.1286 transcript:KYUSt_chr5.1286 gene_biotype:protein_coding transcript_biotype:protein_coding MEASARGGMAGESDVLGERRDYLGVRVSGCVVQEASGLGHADGSGPQSSEGSKSGLGEAGSNSGLGEAHPCEGSNSGLGETSPDFSGASFSLDDNEINAYNGILNLQAPDVTTVMAIVHGEGYWTDVAWYFQDSDFVTLLNFMNSLGPETVEDEDFLDFANVVSFANSLGKESDEEDDMVRVLLNVDDVSEEL >cds.KYUSt_chr6.10420 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64268617:64271828:-1 gene:KYUSg_chr6.10420 transcript:KYUSt_chr6.10420 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRWWRVDASEVAAVTAMGVWEAVLAGGGRRFIKRKDSDAGETGRALEELRSSLYNEMHSSEGAKRQQQRFCGPSVALTFNFAVAVGIIVANKMVMGSVGFKFPIALSLIHYAVAFVLMAILKALSLLPVAPPSKSTPFSSLFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVAAEFMLFQKKVSFQKVITLATVSFGVAVATVTDLEFNFFGACVALAWIVPSAVNKILWSNLQQTGNWTALALMWKTTPVTIFFLLALMPLLDPPGLLLFDWNFRNSCAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLIFSSDPGITSICGAVVALGGMSFYTYLGLKESVAPTGKKPPSRSNSFLGKPGVVGDGGSSDYEDSV >cds.KYUSt_chr4.39681 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245066545:245068967:1 gene:KYUSg_chr4.39681 transcript:KYUSt_chr4.39681 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLPERCSLTPLRARSRGGSAIALPSPPHLAAAPRRPVSAAIHRDWALRVSAPARLTSVFEEDKSSSLRGDGEEDAAGSSPAEAFNPGAPPPFGLAEIRAAIPKHCWVKDPWRSMGYVLRDVLVVLGLAAAAARVDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSSNKKLNSVVGHILHSSILVPYNGWRISHRTHHQNHGHVEKDESWHPLPQRLYNSLDNMTKKLRFSLPFPMLAFPLYLFVRTPGKKGSHFDPSSDVFLPNEKKDVLTSTASWLAMIAVLAGLTFAMGPLKMLKLYAIPYAIFVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGLINKIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYKEPEKSAPLPFHLLGVLSRSMKSDHYVSDTGDVVYYQSDPETNNSD >cds.KYUSt_chr7.22987 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142743492:142744565:1 gene:KYUSg_chr7.22987 transcript:KYUSt_chr7.22987 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTRTVSASAEMQTWRIFGPGIGSKPNIPIMPGFRSWFLRLLHQRDGRLRHNRRAPRRSDGRRYWEGRYIGRRHGAGGYRGHRHGPGGFRGPRRHQPNGAGRSALPVLAAAPAAQSMAPLQPAAPAQILAPVEAAVPPEVVIVIDDDEAARLPSLTPSVVEVAGDALAYVSAPTGASAFTFGSGVSSFTRAAIRAASALADARSLASFTAQQRSPPPCPWASAPQPVVEQPRPSRRHMEPDRG >cds.KYUSt_contig_2278.65 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:469253:470987:1 gene:KYUSg_contig_2278.65 transcript:KYUSt_contig_2278.65 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVIAAPTAGSTARFPHVTLKIPGGAAPRRLPRLAGFFPPGNQPLVIYIAALEVLIKPCLIGKCYPFVMETKRPRGIHSSALTRFTTTRMTQCGAGEEDQARIGLSAFEFPITLDIEKMPPQAVSALQIQCYR >cds.KYUSt_scaffold_2697.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:151123:151671:1 gene:KYUSg_scaffold_2697.17 transcript:KYUSt_scaffold_2697.17 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSLAFSAHKPPAFTVSPLLFSASPASPLPRPAASPCAVSPIQSHRPERFAKSNPAAWTNDDSENPKPIPRSPTAIPFQFWPHLVFLRSVLEKALNRLCCLGSPATIGLDLSSPRMYKQWQQEVMWRERIKSHLARKEAMDEFLVLASSRILLLQDTYREHNKELRRATVQAFKAPWAP >cds.KYUSt_chr4.3754 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21408518:21413717:1 gene:KYUSg_chr4.3754 transcript:KYUSt_chr4.3754 gene_biotype:protein_coding transcript_biotype:protein_coding MESAEARLKKQLVSDRLVALIGGCPVDMVAQMVVRIARDSASAWSTGPAEDAGSDFGGEELELDREMVQLDRQIMEHRAANTRKEEDRLARRSSRCRFLQKHKSKKAKKKAVALQNKILEYENIFYSIKVTNDESSSDIVAAPAHSDTSGLGTERGTCDARGHGPPRVDSPVEEQEEGARRTTTWLADEVSIDTSKPFPESFFNIDQKGCRKAGISAVFCFPFDGAELCSVDFAISWQPCIE >cds.KYUSt_chr7.6616 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39975767:39980672:-1 gene:KYUSg_chr7.6616 transcript:KYUSt_chr7.6616 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCRSEEEKEGPKISVQMVNAQLILRVLESHKDYSGEGVDTIECVHYYPSHAYCKPGSRLEIVVGLPEGLSGNVDERLQETRTNLPKGFTVDDGGNIVDAMNMAIGKISDTESHCISCTYQLPTLTLIYLQENDMDDLEDMHPQGE >cds.KYUSt_chr2.8871 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55889697:55899026:1 gene:KYUSg_chr2.8871 transcript:KYUSt_chr2.8871 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPRSPNSGDPPQAGGLAVALAAAPARGWSSLVARLPPLADSLLLAAVAGLLRRLYAGRKRRRRRPALPLPIFDDAGSSARIAGQMPKAFAILEDIVQHTMSNLHTIQKSLPYWKSRAEGSNSHKMYFMVFERGPRAFVEATCQTLTKLRSNESPSKYLVDSASDMVSTKLAVLTSMQHCLAVFLAEVYSEVDNRREGLTESSDKSLHTLFVILNTVFSKLEATIRNATEGQTLLFSHDGNSSQLIFERLPEVDVESPQWTEALSTDAIAMVYQNLQKLDSFVFSQLSRHKKPRSMTIYWLPYTCGAIGLSACSLWLLRHSSLMGSSDLDNWIQDAKESVVGFWDEHVERPVISIRDELFETFKRTDKRVMEKEEVQLTEETLHRMLVAFCEQTKFKPPQDASSQELLEIVMRRYEMESAHPIWNLFGGELAVAMLIQVQKLKLDLQEAMLELDQILKANEINFAILAALPAFGLSLLLLIVVRAWAMHDQGAEGRGRIARHQRWQLLIEVEERLEEFKECMTKEMEEEALCKYGLTLYTLDRLYKAVELHAKKTTEWSRPESQRTTYQRAMTCIFGDLIHHSVECYVDDMVVKTKDRQDHHKNLRVVFERLRQHQLKMNPLKCSFVVQSGIFLGFVVHHRGIEIEPKKIKAILIMPPPCNLKELRPLQGKLAYIRRLISNLFGHIQPFSCLMKKGDPFVWDEGCQRGFDDINNYLLNPPVLAAPVKGCPLILYIHCSATYFDRRPTRSTQ >cds.KYUSt_chr4.52520 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325773223:325774746:1 gene:KYUSg_chr4.52520 transcript:KYUSt_chr4.52520 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAGARGQTAASKFTLPVDSENKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLAAPTVFCMSLIDSPAGYITVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIEKCGATPFVAWRIAYFVPGMMHIVMGLLVLTLGQDLPDGNLGSLQKKGDVNKDKFSKVLWGAVTNYRTWVFVLLYGYCMGVELTTDNVIAEYYFDHFHLDLRTAGTIAACFGMANIVARPAGGYLSDLGARYFGMRARLWNVWILQTAGGAFCLWLGRATALPASVTAMVLFSICAQAACGAIFGVAPFVSRRSLGIISGMTGAGGNVGAGLTQILFFTSSQYTTGKGLEYMGIMIMCCTLPIALVHFPQWGSMFFPANSDATEEEYYGSEWTDEEKKNGLHLAGQKFAENSRSERGRRNVILATSSTPPNNTPQHV >cds.KYUSt_chr5.37802 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238789786:238794620:-1 gene:KYUSg_chr5.37802 transcript:KYUSt_chr5.37802 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSRKLAKRRADAEAEAAAAPPATSTSHSPPAKKQKLSSGLNNWEAEKLFGEITSKLKNYPRVSQPLPRRLKIGTRSLKDKDPSLLTWTCNKCRRINYPRRYLLTDLPVIQCECGEEADIEFDFSMANIEVNGECPIGNVKNQQKEHGHPLTTGIVPGKKFRHLKPGQIYKAPKKEKFTANKKDTGHAILLIGAGRRRKTNYYHFLNSWGENFCLTDDGKGGFGMVRASDITLRPLKFIRYKELPLLLKPPSAEAQARPEAAVSEDIPRVVLNVKRRLDEHQAKSQRSFDSLQGELSKLKDRLSSLTTSKVPLSASNTTTAPTPSVDGTEHSYYVLRGDSSAEQVTPPMLPSVAENVGTTPQPSVAAQAADTSSVCKEMPVYDIYEEDNSCLNCMDEQLAKPFHKASENAFIEQLLTCFSKALKTGQTSGCLYYTIDVLDYAL >cds.KYUSt_chr3.764 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3910404:3911032:-1 gene:KYUSg_chr3.764 transcript:KYUSt_chr3.764 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTHTLTVPHPCTTSLCIILMCPVLLYCALRVVSLSTCMKVGAISFVVGVAVGFTLKRRLRLCAARLLKRIKDD >cds.KYUSt_chr3.31304 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196695451:196697699:1 gene:KYUSg_chr3.31304 transcript:KYUSt_chr3.31304 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARATAGALLLFLLRRATRGDRADGPERAFRAKDRLTNGKADCLQDQEYVLTYEDKDDDWMLVGHLRWEYKYRGTESPSVVASISLNSRVLGPEEAQEPQAVNLVLAGLDGVLDEMLPVTDLYLVHVTAWLRDPSSVPKELAVMVPAPILVPTLPGSDEDVESPPAPSSPTYKRTHEYNLILHVKEVIDRDPLMMDGTDDDDEDLSCKHKFKTWRGKIDGTGPGLYGEA >cds.KYUSt_chr2.19617 pep primary_assembly:MPB_Lper_Kyuss_1697:2:123396722:123403872:1 gene:KYUSg_chr2.19617 transcript:KYUSt_chr2.19617 gene_biotype:protein_coding transcript_biotype:protein_coding MSACVSPASFHGGWEEALQEQVPEEGGGASASWMEVFRKDQTGWGRTVPVGCRGSGELKGGASRCRRFDGSGRTGRGRQRAGAYGLEGADGEQCWKNSPMSSFRCADSCRTFGLHDLLAQEPPKCMKHAKRTNVTADYVNSALSLRNVEQVQIGRWSLTEIVRRVVVAAAVHICSIVGICPCGSLLDSMILLIIVGRGLGELSLGRADTVTSAGWRSLEIPLKIGRIL >cds.KYUSt_chr4.2123 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11612725:11613109:-1 gene:KYUSg_chr4.2123 transcript:KYUSt_chr4.2123 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVVAEHGGAEWEIHGGVCMLLQLQESESMARGVAESKVAPPGGSKWRRLMGAGQEHSVDLGEKMSRMYKRNVLRTELEPKMLRLKLFE >cds.KYUSt_chr5.5282 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33148447:33151524:-1 gene:KYUSg_chr5.5282 transcript:KYUSt_chr5.5282 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGDGGGGGRAMKQAPQQRQARLKPALEMEDLISLLHGSDPVRVELTRLENDLHYKEKELGDAQAEIRALRLSERAREKAVEDLTEELAKVDGKLKLTESLLESRNLEAKKINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIANLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVLEMNEEMKTLERELSRAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRIVQGEMQQLRDKLAIAERAARSEAQLKDKFQLRLRVLEEGLRMSTTSRTNASAARRQSIGAAESVSKTNGFLSKRPSFHMRSQASSTTTTTLVNHAKGASKSFDGGCRSLDRYKGHVNGIGMNVSTDSSEDKESNNSDEKEVVEQEDTVSGMLYDMLQKEVVALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESDAKKMRREVAAMEKEVAAMRLEKEQDAKARRVCCSKSECVSQDSASERFGTQYVIWKGKL >cds.KYUSt_chr7.28863 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179700795:179702917:1 gene:KYUSg_chr7.28863 transcript:KYUSt_chr7.28863 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPVFSMSQAGNPTHTLQGQQTSMIGHGHSSQQGVYVMDSSFNQGIYANKQISFAERVNMTQLNKRMADDSSSAVLRCQRLGCKEVAEGQTVFCKNHRVGQHCQVVGCPHILPDGIALCMSHGGGHPYSEPGPSAVPFTKSEGSAKYEGDGGFRVMENAGNVIGSTGVDNPDGEVVMCNYQGCSKRSQGNTVYCKVHSGGSKACMVQGCPKGAHGGTPLCIGHGGGKRCSVTGCSNAACGSSQGRTDCCVRHGGGKRCKHDGCGKGAQGNTDFCIAHGGGRRCKFEGCGKSAQGRSDFCIKHGGGRRCKFEGCSASSKWGMDFCSTHRKSMSNGSASADGVLPAPQPKRRAKKTAGKKTKKAKSTVEPAGVSENVIVPDIPAAGVSENINMSAMPANDTPETGIIHVTAASSDHPKSPESATMKQHSAVVRQQPLQSEPPSGLAASTEGVPAVGNHVLFGL >cds.KYUSt_chr5.17235 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111364002:111364461:1 gene:KYUSg_chr5.17235 transcript:KYUSt_chr5.17235 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRTSGVWLLVAMVCLAATAARAYDDMADVTTYWQKRTQETRFKRGGPLTDLVNAAARYHQELNGNKYGGGRYLLQEKAEAPAADSTASTATETATSTASTGAAAPPVVQNTLADHEMIGA >cds.KYUSt_contig_1537.107 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:656321:656977:-1 gene:KYUSg_contig_1537.107 transcript:KYUSt_contig_1537.107 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAGAAPSFRSAPQLLFPEGTAPPPPLHPSAPPVNFSYPGASTSCVEQPVSGKIFVEPLEPVHVCEEEKGSSPVRKKEKDGCQIRQKKRRKKLNEKQLELVGSEKQLELDSW >cds.KYUSt_chr1.36857 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224852583:224854953:-1 gene:KYUSg_chr1.36857 transcript:KYUSt_chr1.36857 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVRRALSFGLVSEHSICHCISGNPYNQRLPVVSSRQQRQPPHTSSAPLSYITYAPRAAAASSSWHSSAAVASDPPPPQHPAVKGGTVSYADLAAATGGFSEANLLGQGGFGHVYRGELGNREVAIKRLRPGSGQGDREFRAEVESIGRVHHRHLVSLLGYCVHDQQRLLVYEHVSNHTLEFHLHGDGATLDWERRWKIALGSAKGLAYLHEDCHPKIIHRDIKAANILLEDNFEPKVSDFGLAKIQNVDDSHVSTRVMGTFGYMAPEYTNTGKITDRSDVFSFGVVLLEIITGMRPVLPGEHDNDETLVSWARPLLAKTFEEQISGELIDPMLESTYDAHEMQRLIACAAAAVRDTSRSRPRMSQIVRYLEGELPLDALNAGVEPGQSETHDDGEREQLRRMRRMAFFMPGADSDTGATGIVSEATSEYGLYASSSSSGGDEAQTTSRPRAGELGATSRRTRPGRAGLL >cds.KYUSt_chr5.37247 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235498872:235499138:1 gene:KYUSg_chr5.37247 transcript:KYUSt_chr5.37247 gene_biotype:protein_coding transcript_biotype:protein_coding MLALTDHDQRSIFPAAAATAAQPPQPCLVFILLLPLRPPVRSASMPMVCGAQSPRPPPSSVFSTYIRSVEGISISTVIPEGIGLKLDS >cds.KYUSt_chr4.33984 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208589308:208590558:-1 gene:KYUSg_chr4.33984 transcript:KYUSt_chr4.33984 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNMPRFDAVREPQRRSWADVPADILGIVVGRLPCVEDRARLRSVCSAWRAAARRHRPPPPPLPLLVHSNFAFSSFSPDGAMTGTRRIPVPKEVAALDVRCVGSFEGWLAGVRPNEGRYFGDGKCFLMNAFSRDVVHLPPPSASSHFVDAYTRSLPIISGSGVVECTVSAAQYVMSFCKVILSASPDSGSKCIAAAISVHRNGAKLALWRPGMTSWCVCLGGCISKFSDITFFQGKLYMLSKLTTNLYAFELTDDDRGLMVSCVERCVPQLPEVKDSYGLRWNLVEWHGKSLLVARYLGGGEGWHNICKVAVYVVDLSTKPFRFTEINTLDGDCIFISPCSTKSFHAWEYDCVEGEVIYFIDGYLYPAKNGPPFDKFMYNLRDGTLSSFATDISECNFRAPDGKLMSPTWLFPSE >cds.KYUSt_chr2.19315 pep primary_assembly:MPB_Lper_Kyuss_1697:2:121474657:121476342:-1 gene:KYUSg_chr2.19315 transcript:KYUSt_chr2.19315 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSRKLRRDGGTTSYTSLEHYFLSPNANLQRIARTAPIYPGENPPRPASEASSTLVVLAAAMDQLPLTTVAGSLEGGGRVVDTYKKALATAASVAAYAMLARGMARELLPEELRAAVRWAAAFVRARLGAGDKERHTIVIRRQFDTGCYSENHLFDAARAYLATKIDPRAMRRLCLARSRHKEPDGSSSWSTLLCMDDGGSTTDAFEGVEFRWTSIETGGDDGKKGKGHRTPRETLELSFDAEHTDTALERYVPFIMSTAEQLQRLDRALKIFMNESRSWHGINHHHPATFDTLAMDSALKRAVIDDLDRFLKRKEYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANYLRFNLYDLDLSEVRLNSALQRLLIAMPNKSILVIEDIDCCFDAKSREDPKMLVSADAYNSSDSSDDDGHTGAHKQQNVTLSGLLNFIDGLWSTSGEERIIVFTTNYKDRLDPALLRRGRMDMHIYMGFCCWEAFKTLARNYHLVDDHVLFPEIQELLAAVEVTPAEVSEMLLRSEDADVALRVLTEFLHNKRRKARKEETQIKNDKVEKAM >cds.KYUSt_chr1.29865 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180871993:180872199:-1 gene:KYUSg_chr1.29865 transcript:KYUSt_chr1.29865 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPCASSPPRSAAAMEDDAITTLMDIDADSPRSAFLDDDDDDGRSSRWRTGGAASRRTPCGRWARR >cds.KYUSt_chr2.50317 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314635936:314636373:-1 gene:KYUSg_chr2.50317 transcript:KYUSt_chr2.50317 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFPFALPAHTARSLPLLTHRNQQAHFKAQPCSSQAATTYTHTPPMAASVARSFFFFDAEPVGEPAMPVQDACALCAKSLARDTDVFMYRGDTPFCSEDCRDHQMRLDARQAAKSAARRQRQFSSSATASGRGHRESREVPVAS >cds.KYUSt_chr3.19538 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120231178:120245714:1 gene:KYUSg_chr3.19538 transcript:KYUSt_chr3.19538 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYGFEYSDEEVEEADVDIENQYYNSKGMVETDPEGALTGFGSVVGMEPEKAEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQHFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMNKILKELRKSCQKEDGTDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYQRALSIKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNVPEKDVEQLLVSLILDNRVQGHIDQVNKLLECGDRSKGMRKYQAIDKWNTQLKSIYQTVSNRVGWVLEMRSWMWEKEELVKKLKYENGNWIEGWDDLFGHINGYFSNLFASEVEAPNEEVINKPHNICPNSYLLLWNFVTLCEGHLGIRPDVRLWQFFFRVKKETKDKAMVNCGSMTFMLRPGQMYPPHSSHESIRYWNAGWFYVKNITVPGIHDGLPKFINTPPEERDSWSFFPTLAQFPILEKAARRISWLVHDGLTGTELTLSRFSWRIQPLRYNARLICAYTGADNLLRVTRHDMPADSLKRRIKTVVKIGRGQPVPELVKDIKTNDQCPPLGTLAEEDFRTILRIPVSGEAAEEDPEDDDEEDEQAPKKAASRPTKRPRARISGSEAGASGEASAKKAKTKPPPLDSKKAERERLKLLSTAGKRNPTTTTPRTTVQKSITKYMQKSPVVGPPTPAPPSTSHATPQPSPPRADPSPPPATDAQVEIIPVSSERVGGESSGKKRPAHEQGQIQGQEEAGVKFSGKAEAAASDAIVFPKNFGDPTDLTSTPKAYATKFFNKLTEAEKWDLEQDLLNAMMSNAWGKPDAESSEIQDFKKEVGQFCDQLLCKQKEQQALHYELHKNIALQRRVTLSQGEKIQAAKEENAELKKQLAKAQGASSYLATASSELENMRSSYQALEAKLAEAEQRREQAEKQLAEKNSELIKKEGEFAMKRKIDSDTIQKQRKEINGLQTYMETAEKHWDLLNADVMEPLGYNEKRRSQIPRDDLLQLAGDDCKDLISTRRKIFHNLAIKKSRTCDVRQLIQRMGIVRVLVVDLQSSSARGTAAMSLAMCLAHHPDLNIDRVTSGVPPASDVNVLLNAVSGYDTRIA >cds.KYUSt_chr4.51689 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320736503:320737427:-1 gene:KYUSg_chr4.51689 transcript:KYUSt_chr4.51689 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLASSAAHHTTARPPVIARTHVHGSAITMEVSSSLQHHLGDSFSYGWLTQAQPPSFAADAGQAFGSSRSSYIDMDPADLFSMRWTTAAPPGSDFDFGLPVPGDGGDASPAQLVSASQIFRGGRLLPCEPGACSGAAQLDVHGDDSITRGTVDAVLGAARWSAPSSPLFHSAQSTPLSLSACSSATSNHGRARRGSSPWKVLLRYVRFLMPLYRKARALAPPSPRRKHARVAPAAGSPGRGSTTSSVDWCHGSADTAVRDAILYCKKSSGQDALP >cds.KYUSt_chr4.9269 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55933655:55934660:1 gene:KYUSg_chr4.9269 transcript:KYUSt_chr4.9269 gene_biotype:protein_coding transcript_biotype:protein_coding MILNQRCHSNFYEFATLLGCPFESATERSSSKLNMDDEGNEGLISPQVKVLGYDKWKSDQVPWIEFQGISLKGKEPMQEPSDEGDDEEYATGEMETKTRKMRMMETMTSSELH >cds.KYUSt_chr7.25106 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156674914:156675123:1 gene:KYUSg_chr7.25106 transcript:KYUSt_chr7.25106 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAVLLATTCQVADAARPVPADHVLLPRAGGEVAAAYYYPAVEKARATVELLLARLPAGPSPKGPGH >cds.KYUSt_chr1.303 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1677100:1679188:-1 gene:KYUSg_chr1.303 transcript:KYUSt_chr1.303 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEASPIAPYPSDGRPSVTQLASSETLAPGAPPEYPRRPGEPDCSYYVKFGSCGYGTSCWFNHPPKPAGSSRCGEIKPEYPRRPGEPDCSYYVKFGSCGYGMSCMFNHPPETYRLAANAAGGSSSRSGCEASSSEQYKQTVKALGKHGSMHTRQHLASALTLKGDRRALV >cds.KYUSt_chr6.5102 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30183563:30190470:-1 gene:KYUSg_chr6.5102 transcript:KYUSt_chr6.5102 gene_biotype:protein_coding transcript_biotype:protein_coding MTETGLAFVVQPGSDGKRRHDDRERFPNVGQAFSSTGSPERADGAAFTSTSSRGRVYLNAEPWLRPLANQVIRSSKVDAFFRSTKFSFISIHAIGKGVPVNQTIAIDMGSCHVEWSSSPREFAKQQMDYLLEANPMGRSYVIGFGVIPPMQPHHHGASTPALKPGTVVN >cds.KYUSt_chr1.9496 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57922834:57923812:1 gene:KYUSg_chr1.9496 transcript:KYUSt_chr1.9496 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLLYDPHPRTTKKASASAAAVQLLLRRSGSGRAAAKDESIEFFSALRECQHDRRVSGQIGIGPADRRGKARRSGGETELLSTETGKHDYDWLLTPPATPLCFPVATSGTTAALNRLTRATSASYAKTLPDTPGKWEPDVEAGEEQLRVALLLGQHVGVRIVLSPVASQDTVVGIFVVDQRPKQRVQEIHVVRVRGDEPTHAGHGKERRGGLFSDPFPGQSTGVLL >cds.KYUSt_chr5.28368 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179660131:179661291:-1 gene:KYUSg_chr5.28368 transcript:KYUSt_chr5.28368 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSEALMGGKTKVPSKKMGGKKKARATEEDARQLCSDALTEVFHRLPARTLASCRTVCKSWMSLLSDLHFVHEHLKRGQQKLLLFTNDRASDRSLATVLADANGHMYQLSRPAASRSLFVHNSCNGLLCLGDSRGAVELLNPTTGESLALPTPDYTAGSSQFSSCNWHSLGFCPSTREHKVAHFYPGDLGSVKACCEVFTIGGRAWRQVGSLDGTPIDRGMHVNGTVYYLTMFRYVASSRINCLDLESEKFDVMRLPLRKTYGGHCSLSELEGRLCLLVVDGTLDGLPRTMDILMLDNDDKKSWTHRYHISLPLLMQSCYFTPKNALFHDGKIWVQLFAKSLYCYDPNSNSEELEIACPESEFPFSTHTFVESIVPLRHHYFIK >cds.KYUSt_chr7.32152 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200257681:200260222:-1 gene:KYUSg_chr7.32152 transcript:KYUSt_chr7.32152 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILRRLNPTAAQRVAPLLPLARAASDSTSPLTIETSLPFFPHNIDPPSRTVATTPAELLATFRTMALMRRAEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRRDAIITAYRDHCLYLARGGTLVAAFAELMGRVDGCSRGKGGSMHFYKKDAGFFGGHGIVGAQVPLGCGLAFAQRYRKEGTVTFDLYGDGAANQGQLFEALNMAALWKLPVILVCENNHYGMGTAEWRASKSAAYYTRGDYVPGLKVDGMDFLAVKQACKFAKEHVLQNGPIILEMDTYRYHGHSMSDPGSTYRTRDEIAGIRQERDPIERVRKLILAHDLATAQELKDMEKEIRKEVDTAIAKAKESPMPDESELFKNVYVNDCGLESFGVDRKVVRTVLP >cds.KYUSt_chr2.393 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2389443:2391482:-1 gene:KYUSg_chr2.393 transcript:KYUSt_chr2.393 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPAPLLHHLFFLLVIVSGAEPSSSCGGGTERCGDLVLPFPFHLNSCSAAADANSSSLFRLSCVNATLTFPLGATFRVLDFLRGGTSLLLDYAAPTAAPCDPAYAAFSRASSPAAALDAAAPFLAVSPSNVLRLYACEDSSLCRAGCDDVAAACAGGKNSTPAAARCCYPLSDGSVWKPGDGLAVFAGFGCRGFSSWVKNPSSSSSSSSSAGGVTRGIEVEWAVPRGSALAACADGAVLVNATAVRGGVRCACPAGLVGDGFAGGTGCSKRLPCSNGDGTGAECCQGRFCSKKAVALAGFFASLFFLAAAASFWLFLRQPSSSSGDGTRRWDLDPACIPKILGSVCNAKQFTCEELDAAKRRFDDGRDKAVDVAGAQALCSTVHAGVLDDGTVVAVQRIGYETQDKLRLALDAVSILPEVSHRNIARVVGFCLLDPATRALLLVHEHSAGGTLEDHLRRTVAGGRAALGWHHRVNIAIELASALAYLQALDGAPTFLHDLRSSDVFLDADLAAKIAGHKIVSSTAATTNYYYYYGTDAAAREQDVVCSFGLLLIELLTGLRHHDPFDSVAPKVREGRLHEVIDPTLLLASSSSSGRNQLPATAEEVRKVLELAVRCLLSADNGMGMVAAARELVHVVRGDDMGSSSKIEISLEETFSSSSLLQMISMSPDTLHRHLP >cds.KYUSt_chr6.1739 pep primary_assembly:MPB_Lper_Kyuss_1697:6:10554418:10557270:-1 gene:KYUSg_chr6.1739 transcript:KYUSt_chr6.1739 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGAAAALTAALSSPALVAAVSVMALGYYSTVFVFLDHWLGLGTTAGAAHAAAFSLIVAACLFSFLCAAAADPGSVPAAFSPDAEDPQDHHCVWINNCVGYANYKPFIICVLNATIGSLYASVIFVRDLLQTEHNFHILYVKIIHILAGVILFSLCLTIGSLLCWHIYLMCHNMTTIEYREAVRAKWLAKKSGQNYRHRFDQGTRKNIQMIMGTNVFCWLCPTATGHLKDGTEFQNTNN >cds.KYUSt_chr4.27192 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170863602:170869423:-1 gene:KYUSg_chr4.27192 transcript:KYUSt_chr4.27192 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYCVPRERRYVLEVLLNGLRRLEYRGYDSSGIAVDADLQPQPPGSAAAYAGASPIVFRQEGKIENLVRSVYSEVDEKSVNLDATFAVHAGIAHTRWATHGVPAPKNSHPQSSGAGDEFLVVHNGIITNYEVLKETLIRHGFVFESDTDTEVIPKLAKFVFDKARDGEGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALEYALENGALCVGITNTVGSTLSRRTHCGIHINAGCEIGVASTKAYTSQIVVMAMMAMAIGSDQLSTQTRRESIITGLSSLPSHASEVLKLDSDMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIVVIATRDACFSKQKSVIQQLLSRKGRLIVMCSTGDISAVVPSGSCRTIQVPEVLDCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQSKNNWPAVCPLAPIGSAFFGPFGSLSSVAFLHSTGLKAPLQVPRRNALFDPFSKVRP >cds.KYUSt_chr2.55270 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344581292:344585255:-1 gene:KYUSg_chr2.55270 transcript:KYUSt_chr2.55270 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDATTATPRSPPGPPETPSAQKRRQRGLVSRVWKGIFGGREDVEKLLQALAKEEDALRSRVHRRARASRQNAHNVLALASALEIAAVGYAIMTTRSPDISWQMRAARVLPMFLVPALAALIYSAISSITKWLDNRDQHTLEKLRAERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAASVLASKLGADSGLRIFLGDESNMDGSKSNDQHGQTSGLRQRKAAHSGHGSAGQTHASEPSDGSSIYDGNESPTSSDRRTVEHFRGHAGNDGGWLARAAALLVGEDPTQCFALICGNCHMHNGLARKEDFSFITYYCPHCNALNGSRQQEDMVPNSGKETPTDRSYGNSAQPSASHADSGLSSPVARNLPTVEELPAEDPSASDLTTAEKPRADSPAANNLPPAVEIPHDEDTGEKATSDQPAS >cds.KYUSt_chr1.10561 pep primary_assembly:MPB_Lper_Kyuss_1697:1:64643338:64647094:-1 gene:KYUSg_chr1.10561 transcript:KYUSt_chr1.10561 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNSAGEPAPLVRRQKARIPGAAEKSCAGMAATAKDVRAVHVPDEVSRWWDTFNSCVPVDEQDTEEEPVVDSDSDFEQVAQEEEQNGGVALNGSDGNMTAAAHTRVEEQSSGGGGAARHGDEEEVSQDGNVQTQRGFLLGRKRKAIATRSKPEATETKIHDKKCQGESLRAENEILRLQLVQTMKELEAEQIRRLELELLLKNKENKSLKKQNKALRAENEHYKKTAKPPRNPRLCRFCNEYVLGHDYRNCPERRASPSSEQDEADDSN >cds.KYUSt_chr3.45485 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286652459:286653232:1 gene:KYUSg_chr3.45485 transcript:KYUSt_chr3.45485 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLYARTPALVSHCTNPSITVAEALCDRRLGLPLQPRLTVTAQGQMEALVSSLQHATLSSDPDVRLLPGGAAFSTVAAYRIMCTSGVALPLSDFNLENFAPLKVRVFFWIARHGNTRTRALLHRHGCLPSPRCPFCDADEDLAHLFARCVWLEPLFALVEAPAAGAAHDLEGVCAALSAPLQELAPPVRHTLVLLILWVVWKSRNRKVFDDVWLRARHLAKLLSEHCELWLHRLPRRLSRQPVESWLARLRTSVL >cds.KYUSt_chr5.33857 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215049833:215050917:-1 gene:KYUSg_chr5.33857 transcript:KYUSt_chr5.33857 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQDAEVDIGAPPLDPFEFGDDLHGEEEEVGEGEEEEDELTEIGVEAFAAGGRRKASNYTEAEDIILVRAWASVGMDACTGTDQTGKRYWQRIEDAYCKMKPKTGGFAPRTFRSLQGRWELMKPSCARWSAAMSNVIDAPPSGTVESDYLRDQETAPKKAAMESMDDRAEEERNADKPEGTKKAKLRKKMEGDVSSIRDKMETMMKTRETLTLKTFETKLLITDKKKEVKLAQVEARREESKRKAEERARKADLEERMLAMKEAKAWKELMLEEKEHMMMSKKDMDEDQLRWWKDYKEDIAARKRMFRRASSSLRGDTPMSGCGDGGVEVSTTGAYEGA >cds.KYUSt_chr7.33255 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207498556:207499582:1 gene:KYUSg_chr7.33255 transcript:KYUSt_chr7.33255 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSPKTSHQNIARVAVSSAFNPPNDRSANAARPLFGDTLEDHLPRAGQRWQALPAVVSFVKTSCRLLEPPVNIASTLAYLQAHDLRSSDILLKANFAAKITGPKLVSSTPTRRWRPTTTTHYSHGYAWCWESGRDLIGPGQRRRLGDGQLGDLVVLVR >cds.KYUSt_chr7.20978 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129977295:129979054:-1 gene:KYUSg_chr7.20978 transcript:KYUSt_chr7.20978 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIFSLGRPIFPAFAARITMEVTPSKMASIIRRARLPRKLDPIAEDDREAMESSPGTFNHNASYGKEADKRTFLAPMAKA >cds.KYUSt_chr1.8915 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54755337:54756553:1 gene:KYUSg_chr1.8915 transcript:KYUSt_chr1.8915 gene_biotype:protein_coding transcript_biotype:protein_coding MHHREEGYQNMENLHFVGASPHDSFSTPPPPPHPKAASRSAPAQVGSTSSKRKRKAITVDDDELGERTAYRLTYTPDEHVRLASAWLECSLDPIEGNGKKGEQFWDDIAALYSSTTASNRKRDRNQLKMEWQRTKKRLAAFHGEWLAIIGIYHSGHNTNDLEKMALEKYEGNYHQPFQHLTMWAKLKDDGKWLASYNDMMKKAEKSPSVETNLTSNELNLEVEKRPSAGRDKAKAERAGKGKSGGLSQELGERLDKFIEVNNQSMEDRQKVIDSQVLLSNQQLETAKINNKTKMLDVYSKMLLADTSKMDDGEKAQRSKALSRMEAMLFPGDSGDQGETDGSAATA >cds.KYUSt_chr2.50363 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314916858:314920233:1 gene:KYUSg_chr2.50363 transcript:KYUSt_chr2.50363 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGPDAASLEVPSEDGGSGEWHRIFNRVEALLPRVEELAADRARLEETSRTQQELSAARENSLHARLLQAKASRWRWKTAYIELPLLANPKIIELKENDLEDSRKCEALVDVDDSGPEIPLKGAKRHLERSETNVSYEDIVRDLRAELTKLKQAYETLSTNKDKETSESAHKLQRNIEEMFDDAENKMWIFVKICDSKTVTLEVVDSDTIYSIKAKIQDKEGIPSCQQRLMFDDQLLVGSCTLKDHNIQNEATLTLHLVLQGMHIFVKPIVGKIMTFEVDRADIVYSIKAKIFDETGIPPVVQLLTFNRKVPKEDRTLAYYGIQSDSTLHLDFRTPLRDRIGISIRTLTGKIIVQRNGMRSETIGNMKVKNYVELGIPMDQQCLSTGGKPLEDGCTAEEAKNCCSCDLLLQLRLPSGQRDE >cds.KYUSt_chr7.6168 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37068368:37071502:1 gene:KYUSg_chr7.6168 transcript:KYUSt_chr7.6168 gene_biotype:protein_coding transcript_biotype:protein_coding MHCQKTLNGPGTVLNGFPSKAACKKSKRKTREPKEENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAVRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >cds.KYUSt_scaffold_869.1328 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:8434459:8435228:-1 gene:KYUSg_scaffold_869.1328 transcript:KYUSt_scaffold_869.1328 gene_biotype:protein_coding transcript_biotype:protein_coding MLARGRRAWPAVDEAGTRGRQYMTSTVDDVRDGKLQEAAAGDDEGCCVRVACEDALHGRASANRGTATSADADDDLGGTQTRRGSIETNMAGVGDFRVEQVGEAWSETTDGSRRGCEAVVAEQAEVDKEELRRALQRDGRTRRLQIKDELALLFGLEVELGVAAHGVGEEQRCRKEDGSRGRKKEWRRLGRGLELGFDGTCERRF >cds.KYUSt_chr6.17351 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109263333:109264697:1 gene:KYUSg_chr6.17351 transcript:KYUSt_chr6.17351 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGQTPSDPGGHPDNLLEYRDNFNSLSKLGSGKGSSCYASDGSHQFLALPWVDNRGLSSFLDWENGLSCRDNEAHQFMPLPSAYITDVSSSDWKRDTVRNQVSNLLLEDVQPLTKGKSASANELGGNIQSETYDHHGWDPMLSVTSVPKRLSFPCQTRGQSVVPYALSNTSWQPEFSRSLEHCPSRSVGLEGENLTAAGLFGNSAAELLSAFDQPHEKCTSSRFLDFRDGVLDNNGFSGISNFHASESNSFLWKPNTSSLDSICSTSDYPFEQGSKSFCDSAVSISCLAGMETEAELFDNSDTGLVHGMDLVPVTFTASSFSKYPGIVDRHHDPKDHNSILPVGADDACLDSLSPYSEHPCKQNWENTSDFSTELWSSSHHAQSRDGSLGAMFGFTSDQSICSDFEDDHSMALVAANPKSSYFGTSDRAFFDSRSAMDSIRETPMLSLDCVRW >cds.KYUSt_chr1.6437 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39662145:39663155:1 gene:KYUSg_chr1.6437 transcript:KYUSt_chr1.6437 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPTTIVGCSIFPFRVDYEQNKKLPIGEAVHSDVVSVGGHLWRVECFPRGYDEANNGEYISIFFSHASRSRSVRVIAEAFMIGRDGEPSISYERRICETFAISGDERLHDSWGWTRFEKVTDVENFFLIERHVTFVCTIVVMDDSPIPVPPSDIGIHLGRLLDHTDGTDVSFIIDNETFPAHRAVLAARSPVFRAELFGSMAEATMSSITLHNITPTTFKVMLQFIYTDELPAKDDLEDSSIEMIQNLLAAADCYALDRLKFICAQKLWDKVSVDTIATILACAETYNCHELKNKCIDFFVLEENFKQDVFTDGYVALVIKFPLVAAELKKRVRA >cds.KYUSt_chr4.10928 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66370568:66375227:1 gene:KYUSg_chr4.10928 transcript:KYUSt_chr4.10928 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPAADGRDPPATAAPGDDDAAAAEALLSAASEQLTLVYQGEVYVFDPVPPQKVQAVILVLGGCEVPPGLVSMAGSTVYGEKSTTVAAKRVASLIRFREKRKERCFDKKIRYGVRKEVAQKMKRRKGQFAGRANFGDVACSSAACVSPAYGEDDHFRETHCQNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGTLRSPLNTPKMTVQQHPTNLSKMVRQDNASDEKAIVCAEHNHTTIKMDSGMSPEQDQKPELRPATEDSMTES >cds.KYUSt_chr6.28433 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180140952:180143461:1 gene:KYUSg_chr6.28433 transcript:KYUSt_chr6.28433 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRLAAVAFHLCLLLCPSSSLRRLYDEAGSTPRHDRSRTAYHFQPAKNWQNGPMYHNGMYHFFYQYNPHGATWGNGNLSWGHSVSIDLINWTALDTALDPDSPFDANGCWSGSATILQDGHPAMLYTGIDVAGNQVQNVAFPKNASDPLLRQWVKPDYNPVIPLPKDVVHDNFRDPSTAWRGRDGLWRVAIAAKVNVSVGSTLIYRSKDFRRWERNAAPLYKSTAAGMVECPDLFPVAEPGAQNGLNCAPSNGAARHVLKLSVMATTQDYYVVGRYDDTADTFEAAGADNDSRTWRRLDYGHVYASKTFFDARKNRRVLWGWANESDTEADYVARGWSGVQTVPRKIWLDIDGKQLVQWPIKEIETLRKKRVGLLGTEMKSGGLNEIIGIAGLQADVEVVFKIPTLEGAENIEPNELLDPQKLCGKKGASMSGGIGPFGLLVLASGDLQEHTSVFFRVFKHGDKYKVLMCTDLTRSTMKADVYKPSYGAFMDMDIKNTNSISLRTLIDHSVVESFGGGGRACITARVYPEHMEMSKSHIYMFNNGIAAVKVSKLEAWEMATANVNIAGDG >cds.KYUSt_chr1.26653 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160692090:160692932:1 gene:KYUSg_chr1.26653 transcript:KYUSt_chr1.26653 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPGEGSSTPEEAGPSSSSAPVEKTEEPKQQAQPPEGGRQQAVVQEHLQPQPLSQQPPVPAGLSRYESQKRRDWNTFLNYLRNHKPPLTLPRCSGAHVIEFLKYLDQFGKTKVHADGCAYFGQPNPPVPCACPLRQAWGSLDALIGRLRAAYEESGGRPESNPFAARAVRFYLREVREAQAKARGIPYEKKKRKRGSTAAPAAPPPVVTAAEAAGTSGGGEDDDDEPTQSTEQRQTTPASPATPPTRSSSAGGTSTTAAAAATTTTTTRGKEAAEGSA >cds.KYUSt_chr7.8147 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49269560:49270756:-1 gene:KYUSg_chr7.8147 transcript:KYUSt_chr7.8147 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGANIAATVPALPEDVLVEILLLLPAKLVLRYRAVSKQWRRLLSDPVFIRDHHRRAPRAILLNSTFVGMGISVLQFPYTDQSTVAPLYCGIPCQHTYLHGCCHGLLLFSCNCLEPHEIDTNGDVFRYFVCNPATREFTRLPASIGQQVEFVGFYRHAPTAEYRVLCHNIHHPYKIYEYLVVAPGHHTARRLGPSNLWMDTDFPLMDESVIHRSCLHWMLWWRHMGIVVFDTISEEFRLMCGPVDSDTHADSMFGRLVGVDGTLGAPLFVADEGVLKLWVLESYEEEAWVLRYMIDTSLLDQGRRFFSILLVHVSDEGDAVVMTFERKGVGVYNLRRGEVVSTMQKPFHDHLYETDHVYQESLLPLASKGLGDPTPPSWQQPVTISSLDMLRAIRA >cds.KYUSt_chr1.40456 pep primary_assembly:MPB_Lper_Kyuss_1697:1:247921754:247922158:1 gene:KYUSg_chr1.40456 transcript:KYUSt_chr1.40456 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGPKSRPPSSRRPAQIPLHLLPVGRPKSRPTFFPGGSLIPPPTHLFLLMVDEPPASLPATTAVAEPPGSVPDAATEPEKADEEGDKEDALLDHAQALISPVIGQEADPSRRLIHTLATICDVQEATGASRG >cds.KYUSt_chr2.18098 pep primary_assembly:MPB_Lper_Kyuss_1697:2:114033443:114036256:1 gene:KYUSg_chr2.18098 transcript:KYUSt_chr2.18098 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLQAGGSPELRTVDFTTADGCLMYQGLRGGVAAVRWRNVFVVFHGIEVQKDSVVILCFVLDCSASPAMGERDIELGRLHADATAVYGPQHYFQGVSELGVLLENTGNMVQKLKEANLEFSSVSGHDAIKEIKVKIHEEMDEVGQMAHNMKEKLNKIFQSVLTPILNNLSKGRGYPVTLPKTMDPSAMSMTMELKIKLKEKENDFKVVRMITASSIVQMFENALQGINPEQVVPAMDEIKERHAAAMDFDKKILELQQNFADMAALVETREKMDKALNKVRKLTVRARSTKKELRDEMAENDQLLEENYRLLALVISLGIALCVAVIFLLLQV >cds.KYUSt_chr6.4841 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28473866:28475300:1 gene:KYUSg_chr6.4841 transcript:KYUSt_chr6.4841 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWNTSHVPTEQCQRALELMREADDPSDGLVAGDPDEAGAEGAGDVSDGEGPPTMLPTDADYETYEIHHAVSMMIDEVPLRSWRGVVRTASDHHSAKSPSEGGPEVDRIHERTSNQIVLENEHPVRRCVMVSSAWSQNGHLGACGRPRRASLSPVQHLSRQASQMKNFTLGGTQDFQFSSQDAEVMAP >cds.KYUSt_chr4.5583 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32365154:32374892:1 gene:KYUSg_chr4.5583 transcript:KYUSt_chr4.5583 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMKHISFLQLFLLLFGLIVAPLASGDDQFLFSSFNQTSLTIDGCAIVTNGGLLDLSNGTSGLNGHAFYPTPMHFRRTPGGKVRSFSVNVVFSIFIMYADLNADGMAFFIAPTKNFSDARAGKYFGLLNEKNNGNTSNHIFMIELDTYKNAELQDINENHIGININSAVSFKSSTSGYYEDEGGAFNNMTLNGNKAMQLWVDYDEGDTKINVTLAPINVGKPSRPLLSETCDLSTVLSDSAQYIGFSSTATPINTRQYVMGWSFGMNRPAPSIDISKLPKLPLVVPKARSKLLAIVLPIATATLILSIGTLVTLVVRRRMKYAEVCEDWEGEFGPHRFSYKDLFHATGGFKNKHLLGEGGFGKVYKGVLPLSNVEIAVKMMSHESRQGMKEFITEVVSIGRLRHRNLVQLLGYCRRKGELFLVYNYMPNGSLDKYLHCEEHRVILNWVQRFRVIKGIATGLLYLHEKWEKIVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGTDPQTTHMVGTKGYLAPELLRTGKASPYTDVFAFGMFLLEVACGQKPVKKNAEGNELFLVDWVLEHWHNGLLTKTVDTRLQGDYYVEEAYLVLKLGLLCLHPSPSSRPRMREVMQYLDGDMPLPELRRTELSMNMAALGEDDIHGVFSFITYTVQFHVGAGIPGVALHYISPPSTFNVLLDSYWTNVDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNYYNNNRNTPPGLEAMPKEFISTQTAFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVVPNENHNNKIVTIANAIQVRINENIRLMAELRARWEKEENAKEDNIAKVWTITTTSNANAPHVAAPPTINAREEKLEREASIPRKLEDGWEPIIKMKVNDFDCNALCDLGASISVMPKKIYNMLDLPPLKNCYLDVNLADNSTKKSLGRVDNVRITVNNNLVPVDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEGNIKYQFPLKKGMEHFPRKRMKLPFDSIIRTNYAINASSLDNT >cds.KYUSt_chr5.1108 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7636662:7639513:-1 gene:KYUSg_chr5.1108 transcript:KYUSt_chr5.1108 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLAGRGCEEERPSCVLSPAEQRSRYFSLALGLVSSWAALPPPCGRGDRHESERPRVRRSGVKRHTSERVVLTMTEAHYRRQISSVGAFSRRYFWPRGPLRTSEGTAPGSIYFLQALVLKGKIFDLGVAIHPGDEPSGVVPGVAASDHGPRRRYLPPDLRANPAYDIDFNSWRSYLETERDPRRREGFMGDRDFPFDRPLAPHRRRQQAPTCAQQAPTPAQDDHDDDDDDDYNEALMYHNEETKDDSDDYVAFIFQE >cds.KYUSt_chr3.17639 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108192156:108194028:-1 gene:KYUSg_chr3.17639 transcript:KYUSt_chr3.17639 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHSSRPLAATMAPWKTKLLPLLLVHLLVVRGGDGAAVFSGYTFKGQGEAEAFEDALLRQACFNVSSSGAGRGHCVSRLDTARGGAGSGPVPVLRAALRDTLSEAVGAVGSVARLASLSNHEREEMAVRDCIELLGYSVDELGWSLDAMAEPVDGAEMETETESEHGMSAGGVRKGARAEDDLHAWLSAALGNQDTCTEGFRGTDGRLLRRVESSVAQLTQLVSNLLAMHKRLRSIMPLRQRGKNDTAASGADSELPPWVADIAGGINEEISEKARARGRSGGKKAMHVDVVVAQDGSGRYRSVGEAVARAPNHGRRRYVIYVKRGVYYENVDVKKKKTNIVIVGEGMGETVITGRRSFSSGWTTFRSATFAVSGAGFVARDLTIRNTAGPAAHQAVALRVDADRSAFFRVAVEGHQDTLYAHSLRQFYRDCRVSGTVDFVFGNGIAVIQRTTLATLPLAPGQTGSVTAQGRKDPNQNTGFSIHDCVVEAKHPTYLGRPWKPFSRVVVMESYLGAGVRSRGWLEWAGDVGLGTLFYGEYRNFGPGAGVAGRVRWPGYHVIMDPKWASRFTVRRFIDGLAWLPSTGVTFTADLIKK >cds.KYUSt_chr7.35554 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222168672:222172520:1 gene:KYUSg_chr7.35554 transcript:KYUSt_chr7.35554 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSWDEAALEAVVEVLEADPQLAEYEAWEKAALAATVDAYDAGERQHQEAERREQRERGEAERQRQEAERRCHEEENRVVLEEAERLEFLKGREAVDDSGSIICFVGLPGLNSAVRVSKWDNAAMAKFKLYVVWVRISGIPESLLHYHGICEAASLIGKVKEVDMKMFRKNEIVRVKVGVKDPRMIPEAAPLNEDDYIYDIWFEIEDIVEQGGPMLGGALIVNKLPDAPGNINNSFDLARTRDSNMTDDADSSKGNQLEKDTVISQDKVDEEEVVSSQYELDMRLQAEIAAKNLRNTGLSGFLQSPIACPINAEKFDNERDVNDEEYPEEEVDFSCSEDPDQFARAVGLSTHKIREIDKEIAMEEENKENRNPGVMEVVGQKKQTLGGCNAKAVNNIQFEAQERIRKAKAKAEIDDALRRRSVRNKKNDDQHAMDKCEDMAKKKNLETVPGVSLGKTDEEAEKTASMIKQLEVARCNLYLADLRKVKDNKLDSGSKLDSFDPSVVRDLHSDDDDEESLNDEDADLDATIKLLASLHKNRSYRAPPSAFSVG >cds.KYUSt_chr3.23334 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144313307:144313606:-1 gene:KYUSg_chr3.23334 transcript:KYUSt_chr3.23334 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPSALFSFENLEVATTPSPPQSCHPLASLDHGAVLVPSSDALFAKELCGLLASLEAASPGYGKEIACVLAGKASEDMIKKVEKSLKKVSKEKSHNKG >cds.KYUSt_chr3.47881 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299982909:299995843:-1 gene:KYUSg_chr3.47881 transcript:KYUSt_chr3.47881 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVDVEALLSEPDCRSAGATPVRSNPMAELVATMVVGPLLTIVKDKASSYLLDQYKVMEGMEEQHRILKRKLRAILDVINDAEKEASHRDGAKAWLEEVKRVAYEANEIFDEFKYEALRREAKKNGHYSKLGFDVIKLFPTHNRFAFREKMGKKLCRVLQAIEVLVTEMNAFGFKYQQQVPASKQWRQTDHVFFDPNQIISRSRDQDTRNIVDTLLSQASNADLTVVPIVGVGGLGKTSLAQLIFNEPEIQKHYELLLWVCVSDNFDVDSLAKIIAELLPKKSIAESASRKSPLDVVQDALRGHMYLLVLDDVWNREPDKWEKLKSCLTHGAKGSAVLTTTRDEGVAKIMGTVKSYNLAALGDDFIKEIIEKKAFGSQKEEERPSVLVNMIDQVVKRCRGSPLAAASLGSVLRTKTSKEWKAVLSRSNICTEESGILPILKLSYNDLSSQMKQCFAFCAVFPKDYEIDVDKLIQLWMAHGFIEDQKEVSPETVGKRIFNELASRSFFVDVKQIKVPIKKYYPEMGSFSKHTCKIHDLMHDVALSTMEKECALMPEHPSQIEWLPDTARHLLLSCEKPETVLNDSLLTRSPAFQTLLCDNDMQEPLQQLSKYSSLMALQLCTKRRSFPLKSKHLHHLRYLDLSRSRIKALPEDISILYNLQTLNVSGCEELFQLPRQMKYMTALRHLYTHGCPHMRSMPVGLGKLLSLQTLTCFVAGPSGSGCSDVGELQQLNLGGQLELLQLENVTKEAAKAANIGKKEELRELKLKWTVGCEDDARVLEGLKPHDELQVVRIESYGGTTFPTWMSMLRNVVEIHLFGCSKLQWLFSCGTSFTFPNLKEFTLEDLDSLERWWELSHQEQGKEVIFPQLEKLSIKCCPKLTMLPEGTLLGESYGTMARSAFPELKELCLGDLDSFERWEAIEGNQRGYIIFPRLEKLEIHSCSVLTAFPEVQPGGDYGMAHSAFPALKVLSLKKLQNFESSDAVDGSQREDAMFPQLQELYVENCGKMKVSSGQQKVSPKLSVLHTEGSEEEMFLLVARHMTSLTNLRLQSSGETETTLSAADHSLKLVVDVMDKGNHNDFPLKYMNLRGFKSGGAAELCAHFVQLQHLNFNSYDSLVHWPEKEFQSLVSLRSLGIFRCEKLIGYAPQAPAAEPSTTPEQSSELLPRLESLNIYGCPSMVEVFKLPASLRKMEINHCTKLASIYSQRLQQGQSAPSILRGLSPVYSEVSSSSTIRGCDSLTGVLYLPPSLVRLYINDCKTLSSLPNGPQAYSSLQRLFIKECPSLKRLPTCLQQRLSSIPDKYLDARFQAVSEDHFQGYYHTNCFDKDQQERQLSGARAAVNGADGQDAFRRLLTAYKIRRREKPLSATSFPLSSSLCHARANPSPANLAVAAAQGLPAPSRCRHELRPVALFLLRLAARNGEPPSA >cds.KYUSt_chr7.26741 pep primary_assembly:MPB_Lper_Kyuss_1697:7:166996250:167002720:1 gene:KYUSg_chr7.26741 transcript:KYUSt_chr7.26741 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEKPSGGTSPLRQRAGTETPVPRILASRWRRLRKVESEVDASASAAGEATTATAVLTAPLSLEGGLAAELKPANIVQRVLSLFRNVRPGADLSHFQLPATFNLPKSQLQLYGEGVYCAGEDYLSRCARGKDSLERLTAVVAWSISTTRPPIFGFAPYNPVLGETHHVSGGSIHVLLEQVSHRPPVSALHATDDGGNVELVWCQNPVPKFHGTSIEATVNGNRQVKLLKFNETYEVDCPNLLIRLLPAPSVEWSGTVRIACKESGLEAELSYHRSRSFLGIGGDARCVKGKVFRSSSGSQETVCEMDGHWDRTVSLKDVQSGEVSVLYDAERAIGNLITPEVKDKEELSSSESAVVWSEVSEAILAKDWDKASEAKRQVEGRARRLDKERNERGEVWMPKHFSLSQDKNGNWECWPLEKSVRPAPIIVPSS >cds.KYUSt_chr6.29872 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189259060:189261423:-1 gene:KYUSg_chr6.29872 transcript:KYUSt_chr6.29872 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPYGTPPPPYMMYPPGTVYAHPSTPGVHPFHYPMATNGNVEPAAAAPGAPEMNGKNEPGRTSGPSTNGVTSNSESGSDSESEGSDANSQNDSHSKENDVNENGSAQNGVSHSSSHGVFNETMSLVPVQSGALIAGVAGPATNLNIGMDYWGATGSSPVPAMRSKVASGSARGEQWDERELKKQKRKLSNRESARRSRLRKQAECEELGQRAEALKAENSSLRVELERIKKEYEELLLKNTSLKEKLGETGGDAVPDMNERNDTNGSHHEQKEA >cds.KYUSt_chr4.25028 pep primary_assembly:MPB_Lper_Kyuss_1697:4:157360148:157364888:-1 gene:KYUSg_chr4.25028 transcript:KYUSt_chr4.25028 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDFLGFVRLDPKPGPTQTMAMYNARVEENAGMASGSEGPTGDLPELKALALNEASRECDTASSETESEVVVEEEPEDESDFDLEEELRLLEEYKERMFNKDFTAQSATLAASCDKNSAIEHVTEHSEDAGWARRLYLLQDESIKACLDARRTTVKSSRDEPRSEVSDKDIIENGEKWMTEEVNVMLAATKGWMI >cds.KYUSt_chr4.52314 pep primary_assembly:MPB_Lper_Kyuss_1697:4:324535948:324542340:-1 gene:KYUSg_chr4.52314 transcript:KYUSt_chr4.52314 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPCPWRPPLRSRFVFRWSLMWLRRVLRILPAGGEAFSSKSAVRRSSDTAATSNAIATLVAAAGGGGVGISSLEADLDRLDPPLSDAVVSDTLRALTERGVPACRFFAWLSQHRGVSPSARAHNLLVENAGRLADYPAMARALARVSERRLSLTEQAFAFLRASSSGSSVDGAAKATLQTLDATGGPCRASGVFSLVKALASAGEFDAAVWVIEETARRASYYNVLLAAKCRAGDFQGAREVFDEMRKGKCDPNSNSWNYLLGCLLKNGRATEACDLVEAMERPEHDGVPNSLTYEILACHACKAGKMDSARRILDQMFLEKLTPRITIHTAFIKGYFCTGRMEDACEYVRAMSTRDRCSVNRNYSLLAKLFRKSGRTVEAGRVLYELMMMGLRPDHSSYVKVAKDLHKMGRGDLAAELKTLYQGFVVQTVLSRCLLCHQLDEDKGHLFLKWTKSMQVSKRNKQDDREIVENRQPPTKDVLQFSVDRDCGTDPRGTSVVASDYQGMVVAAWAGRQEHIGSAFTAKLPAVEEAISLATALATREASIIDELMIDSIELIKVVPCGPEGWEKPKVASIAGISNVELTLRDFQAIRSLQADEADDCEEGDVEEEAEYDMDDGPEATEAIRKEDADLSQKIIDMKSKKSDPHYHYEGDTEEEDIFYDSDGSDSDCNVQPQPKIEAEEFVVREKKRKKPCKPGPTNRSHCTEESSTPADFVSSSDSGTNADLGFDDDDGAAAADGRLRQLLEKGRKITERPNAWAGRVRF >cds.KYUSt_chr5.9865 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63097107:63099864:-1 gene:KYUSg_chr5.9865 transcript:KYUSt_chr5.9865 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELGAAQNPNPDPPISAYYQTRADHHAVVSSDWLAHAAAAASSVTDTDADAAPPPSPGGNGGGVIEEFNIWRRKPEAAEAVAAIMALTAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFILDGCTMLVHGYSRVVLEILKLAASNRKLFRVLCTEGRPDRTGLRMSNEIAALGIPVKVLIDSAVAYSMDEIDMIFVGADGVVESGGIINMMGTYQIALVAHSMNKPLYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPVPAGVEIETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >cds.KYUSt_chr2.4140 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25031317:25032029:-1 gene:KYUSg_chr2.4140 transcript:KYUSt_chr2.4140 gene_biotype:protein_coding transcript_biotype:protein_coding MDASEYIKELKQKVVRLNQEIAREEEATHSHKDNSFPTVSVESLGHGFLVNVSSDKSCPGLLVSVLEAFEELGLTVLQATASSTDTFRLEAMGGGESQAHNVDEDAVRGAVLQAMRNCGADQGDQ >cds.KYUSt_chr6.30810 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195255835:195256292:1 gene:KYUSg_chr6.30810 transcript:KYUSt_chr6.30810 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRSAAITSACVLLVVMLSGQVQQAAAISKFCACYQGCYPACRQHVPRFLCVPFCANKCSPSQAAATATGGGGGDSCLGACAAVKICGLSDPPAEAVDVATCARNCRKEMSQN >cds.KYUSt_chr4.45086 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279223917:279232759:-1 gene:KYUSg_chr4.45086 transcript:KYUSt_chr4.45086 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIVELGVVPSALDPLIIYCDNMGTIANAQEPRSHKRLKHIKLRYHSIREYIEDGEGSLPGSLPLDYFVMAAATVAGAAATAAAISLFTYYLFLRKSDSKLPWTLTTRVNGRRTRRKGLVEAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGDLVCGGVVIEGSAGSTAISLATVAPAYGCKCHVVIPDDAAVEKSQIIEALGATVERVRPVSITHKDHFVNIARRRALEANVASVKRETTDIQTNGSAHAIVKTLHPEQTNGSAYANSEVPHIGKCFPNSDSKGGFFADQFENLANYRAHYEWTGPEIWEQTKGTLHAFVAAAGTGGTVAGVSRYLKEKNRNVRCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTVTEGIGINRVTANFMMAELDGAYRGTDREAVEMSRFLLRKDGLFVGSSSAMNCVGAVRVARDLGPGHTIVTILCDSGMRHLSKFFNDEYLANHGLTPNATGLEFLDQ >cds.KYUSt_chr1.33690 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204654463:204656705:1 gene:KYUSg_chr1.33690 transcript:KYUSt_chr1.33690 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASALRRAPRAAALLAALGSRAGGRVHPLHRRAAHVSPFSSIPAPQPSAADAQLLRVINFEISSAQSECRKPNWAKILGEDFPFEIKDKEGTNRITLTRTCKSEQIEVEVLLPSPVEEDQAEDGEKLSQSHAGSDVVSSQYYCIPLVVRIHKGAAASCLEISCRSCPTELVIESLEFRSSGASGGSLSGGTAFSNLPEEIQKALHPYLGIRGISQHFTDFLHAYMINKECHEYLTWLRKLKDLVIKSLEFGSSDESGGSLGGGHDFSNLPEEGFASLLGN >cds.KYUSt_chr4.25983 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163398444:163399810:1 gene:KYUSg_chr4.25983 transcript:KYUSt_chr4.25983 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHIMVLPFPAQGHVVPLMELSHRLVNHGFEVTFVNTEVNHALVLAALPTSGPDGMHGIHLASIPDGLADDEDRKDLSKLIDAFSTHMSAHLEKLVGEMEAAGRPRVKWLVGDLNMGWSFEVAVKLGIRVCSFWPVSAACLAIMLNIPDLIQDGVLNHKGWPEREETLQLGPGVPPLHTSLLSWNNTVAPSGQPTIFQLVCQNNRLNDLAEIVVCNSFQEAEAGAFKLFPGILPIGPLLVDGGESQKPVGNFLPEDTACLQWLDARRRRSVVYVAFGTFAVLDPRQFQELAEGLELTGRPFLWAVRPDFSTGLDKAWLHDFQQRVAGTGMIVSWCPQRQVLAHPAVGCFLSHCGWNSMMEAATNGMPVLCWPYFCEQFLHRGYITDVWRTGLAVSPAAADGVVTKEEVRSKVDKVITDQGFKERAR >cds.KYUSt_chr6.13279 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83054687:83064565:-1 gene:KYUSg_chr6.13279 transcript:KYUSt_chr6.13279 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAALFGIRDGHQQDQIKPLLPLQQQHHHQPALAPPSAVAAGPDQPAAAVPPVKKKRTMPDPDAEVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKDPNQVQRRRVYLCPEPTCVHHEPGRALGDLTGIKKHFCRKHGEKKWKCDKCAKRYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALTQESARLAPAAHLYGGATAAANMALSLSQVGSSFQDVHGQYHQQASSDLLRFGGGGGGGGMAARLDHLLSSSTFRNLPPPQAPAPFHLGQTQQEFGDGNNNGPHAFLQGKPFHGLMQLPDLQGNGSGGTASSAPGLFNLGGYIANSANSSGGTSSHGHASQGHMANNDQISEGAGGAGSENSGAAFFNAAAGGNFSGGDHHQVVAPAGMYNEQQAMAMLPQMSATALLQKAAQMGSSTSADGGGSSSIFSGFLGQSDQQGRAPSMVDQGMHLQSLMNSLAGGSNGGGIFGGGGNGRGMIDPRLYDMDQHEVKFSQQGRGGSGGGGGDVTRDFLGVGGRGDVIRGMSVARGEHHSGGGGDMSFLEAEMKSASSPFNGGRMQ >cds.KYUSt_chr6.13513 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84696100:84701694:1 gene:KYUSg_chr6.13513 transcript:KYUSt_chr6.13513 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAKTDSDVTSLAPSSPPRSPRRPSYYVLSPAASHPDVVASGGGIGAAGGATAEKMSLAGSTPAESPLHYGFHHSGGAAATHHSRESSTGRLLFSDQLRSGGPAGAVPWRRLGHGSGAGSVGDDDDDEDGGRAGSPSPWRCYALAALAFVAVFAFFLLVLWGASKSYKPHVEVKSVVFESYHIQGGTDRTGVPTRMMSVNATVRLRFRNRGTFFGLHVTATPFHLYFDDLTVAAGNMKEFYQARRSGRVVTVSVVGRQVPLYGAGADLHSKPNNGRLGPAVVPVRLAFLLRARAHILGALLRSKFYRRVGCRLDVREAHLGRPVRGVAADCEYHDGR >cds.KYUSt_chr4.49152 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304398399:304401374:1 gene:KYUSg_chr4.49152 transcript:KYUSt_chr4.49152 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDRETIEALARVAAALDGAVLGLGTAALAVASLAKYLAASGALRRIAEAPAVAIPDIRYSLLSGLGDGESRLAVVRGLVRSPPGGTFLIPPGSREHCVVTRHTQTCLFGEWRGIFGWTFDLHALFFKSLKEQIITSFRWVPFVLVDPEKPLGMVHVKLDGTVKQALPLTTVYHKLIPVEQNSYTLFQTIVGNGYPIALLDEEKILPIGKELTAIGLCRVNNRGVEITSCPELPFFLSDLTKGEMEAEMSSRARAFFWITVALGTVSLGLLGHAIYRFWERVKRHREAREAQERFHQGDNEDDDAVENGSDDDEPAEMGDGQLCVICLRKRRKAVFVPCGHLVCCSNCAKRVVLMDEQLCPVCRQDIDHMLRVYDS >cds.KYUSt_chr2.36092 pep primary_assembly:MPB_Lper_Kyuss_1697:2:222962121:222973174:-1 gene:KYUSg_chr2.36092 transcript:KYUSt_chr2.36092 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTLPFNTRHAMAEATTGPSGWLRGKVKAVTSGDCLLIMGSTKAEIPPEKSITLSYLMAPRLARRNGVDEPFAWESREFLRKLCIGKEVTFRVDYTAPNIGREFGTVFLGDKNVAYSVVAAGWARVKEQGPKGGEQSPYLAELQRLEEVAKQQDLGRWSKEPGAAEESIRDLPPSAIGESSGFDAKGFAVANKGNSLEAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQAPSMGRRPPNPAVVTEVEGTADGTTNGDDSGETPAPLTTAQRLAASAVSTEIPADRFGREAKHFTETRVLNRDVRIVVEGTDSFNNIIGSVYYPDGDTAKDLSLELVENGLAKYVEWSANMLDVEIKVKLKNAELQAKKEQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPYGSPSAERRINLSSIRAPKLGNPRREDNKPETFARESKEFLRTRLIGKQVTVEMEYSRRISTVDGQNAVPATNMADTKVLDYGSVFLGSPVQAGGDDIPSAGDQPRVNVAELLLSRGFAEISKHRDYEERSHYFDALLAAHSRAEKSKKGLHSAKLSPVMHITDLTMVSAKKAKDFLPFLQRNRRQAAIVEYVFSGHRFKLTIPKETCSIAFSLSGVRCPGRDEPYSSEAIALMRRIILQRDVEIEVETVDRNGTFLGSLWESKTNISSVLLEAGLAKLSSFGLDRIADAHILTKAEQSAKKKKLKIWENYVEGEEVTNGSASESKQKEILKVVVTEVLGGGKFYAQTIGDQRVSSIQQQLASLSLKEAPVIGAFNPVKGEIVLAQFSLDNSWNRAMIVSGPRGGVVSVDDKFEVFYIDYGNQEVVPYSRIRPASPSVSSSPALAQLCSLAYIKVPGLEDDYGQEAAEYLSECLLGNSKQYRAMIEERDTTGGKSKGQGTGTVFIVTLVDAETESSINAAMLEEGLARLERSKRWDTNERKTALQNLEQFQEKAKKERLRLWQYGDVESDEEEPAPALVVARSHRLPSPATTHCVSEPAIGGPDYAPVSPWTIDGAGDQINKRAATMEVDELMASFRAVTMCDSRAAVAAMAAISPPAAMDYDEPAMGEEACTLRVRFPDGRVTCKSFGAARPTMALFRYCHSVLMGGGAAMLPPFRLVKLAGGATEEIHPDQSSSLQDLGLHQCTLHLVFFA >cds.KYUSt_chr7.9682 pep primary_assembly:MPB_Lper_Kyuss_1697:7:59186671:59187201:1 gene:KYUSg_chr7.9682 transcript:KYUSt_chr7.9682 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSLHHHHHGALPTAATSSLPPHHRSLHLKHPPPATISTRLAATLPPSRTPRRSSTAPPPIPTRAATTTTSARAATGYAAALADASLRAGTLPRAARHASALLRRLHAPPNGQRLEEADGRVSALVRMLVAKGKGGIVADVLAEFAAICDRLLAPLPASASGERAHSRASCCY >cds.KYUSt_chr4.43551 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270092900:270094586:1 gene:KYUSg_chr4.43551 transcript:KYUSt_chr4.43551 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVNDMLNWVATPAMIASLLLFYPPYYLFKTCYSFLSYLFPEDLAGKVVLITGASSGIGENLAYQYATKGASLVLVARRELSLRQVADRALEIGAPDVIILSGDVADPDDCNRFVQATVDHYSRLDHLVCNAGIASVGAFQEIPDVTNYSSQLDVNFWGAVQSTSAALPHLKRTRGRIVVTASATGWNPVPRMIFYNAANAALINFFETLRTELGSEVGITIVTPGWIESEMSKGKFLKEHGGVEVDQEYRDAQIGLFPVEYAKNCARAMVQAARQGDRYLTVPAWFSTMYLWRVFAPEVVEFCYRLVYMHSQGTNQTDVPSRTMAEGGGKQLLYPSSLRSSDVKNE >cds.KYUSt_chr7.35615 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222580007:222581694:-1 gene:KYUSg_chr7.35615 transcript:KYUSt_chr7.35615 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLVVGRGLLRRAPPIKEMLARLAAVKWALVRDFSTALRQDVVITDRATAHRLLVRGGGGGAFCNRPPTSAASSVLSRQRHHNIGSAPYGPLWRAIRRNLVSEVFHPSRLRLYAPARRRALRGLLADLREQCTSSNVALAAESMHAALFGLSAAMCFGDGVDAGRVRDMADAMEDLIRSLVGLSVFAALPALTELVYRKKWNKLVALRRQQEELYLPLINARRGQHHRPSGEAPTYVDTLFDLLVVDDNCSASGGNSPKQRLTDGELVGLCSEFLGAGTEPAIAAMQWVMANLVKHPEVQEAVRREIDAVIGEDAEEVGEEDLGKLEYLNAVLMEALRLHPTVPSLSRQYDRVVINQAHGLNFLFTRKVIPEDHIVLDGRRASAGTTVQFPLERLARDKAAWADPDEFRPERFLAGGEGEGVSLVAAAGSAGEIKMMPFGAGRRMCPGMGVAMLHLGYFVANLVREFEWTEAGGDMAVDLEPQVRFLNVMKQPLRAHLAMRRKTR >cds.KYUSt_chr5.31791 pep primary_assembly:MPB_Lper_Kyuss_1697:5:201582911:201583672:-1 gene:KYUSg_chr5.31791 transcript:KYUSt_chr5.31791 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRCSDERPDFGTSVDRCCYRAAGVATWWRRHGRVATAIPCLLTLYATFAECGACVSRATSPPSPSAPSSTSARQALPGSYKLRHAYLRERLDHARPHPISHTAYASLNNTFERALATMHKMPRVWALYLASLLDQRLLTRGRHAFDRALRALPVTQHDRIWPLYLRLASLHACPVETSFRVFRRYLQFDPSHAEDFIEFLVSSNRWQEAADRLASVLNDDGFRWQEAADRLASTSCLQHLRRRCACFASP >cds.KYUSt_chr2.27118 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166234878:166238610:-1 gene:KYUSg_chr2.27118 transcript:KYUSt_chr2.27118 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVGSWSDLVPIPLPSAVNPSPCYLHGCGIGVLKSFLVKEGKTWDSKKAQCLLRKGPYEAAVAVLEEYELPYSAEEFLNMITPMFNEKWGNIKALAGANRLVQHLRSKGVSVALASNSPRENIRAKIGCHPGWEESFVAIVGGDEVTRGKPAPDIFVEAAKRMNSDPSNCIVIEDSLQGVLAGKAADMHVIAVPSIPKSTAEFGAADEVINSLLDLRLEKWGLTPFVDWIEDTLPIEPWFISGPVIKGYGRGSRVLGIPTANLPADKFSDILSEHTSGVYFGWAGLRKRAIYKMVMSIGWNPYFHNSEKTIEPWILHDFSEDFYGEELSLAIIGYIRPEANFSSVESLIERIREDGRIAEKALDLPIYACYKDSPYLRRDQND >cds.KYUSt_chr4.40259 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248534851:248535159:-1 gene:KYUSg_chr4.40259 transcript:KYUSt_chr4.40259 gene_biotype:protein_coding transcript_biotype:protein_coding MRAARVVFDEFPHRDALSLNSLISAYSRGGWYVECLELFHEFVQARAGDGVQPNCVAVSTALHACDQLNAFDFRVYVLRIAYEIGLDMEVAAWNSVASSALR >cds.KYUSt_chr3.42077 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265779792:265785882:1 gene:KYUSg_chr3.42077 transcript:KYUSt_chr3.42077 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLVGAFKGLSLSSSSSFLRGDRAALGGNSVGMGVGVATLPARGLTIQMAHKKGAGSTKNGRDSKGQRLGVKIYGDQLAKPGAIIIRQRGTKVPAFPASLLLLMPPVYPGNNVGMGKDHTLFALIDGLVKFEKYGPDRKKVSVYPYEKQPENPNSYRARKRENFRLQRERKKARAERTYEPQLVLAAADGSVEDTAHFRDQEGSIIEKIKAMRKYRRSRRQQQQKLLPTLTPYMVATCVLCLLLTSPAWFPRLCSLLISFLTTLPDLATAFLLSPKCLFVVGNLIVAFLINESRLAPRSPPASLVDVDGIHEEHVKRNVTSTTTTTVVFTDQSAQVGVVWEGEEEEEEEQGEEELEKRVDDFIARVRRQRKLEAKSFFDTDR >cds.KYUSt_chr5.26619 pep primary_assembly:MPB_Lper_Kyuss_1697:5:168573620:168574153:-1 gene:KYUSg_chr5.26619 transcript:KYUSt_chr5.26619 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDEVKGGVKVFSEGGDDADEEGMRVYSRLRRNQSDGGGGGSGAGAGAGTAAAAKKRRNWKASEPVTAIGELRKSRSDVAAATGVVAKRAVARVTTPEKKVAEVKEVLVVEVPLQQPKNFGEEAEEDEEEWEEELEAEEEEKEVLDQDHMAIDDQETVQATSLHQGKNYSPLIVYV >cds.KYUSt_chr2.15807 pep primary_assembly:MPB_Lper_Kyuss_1697:2:99467581:99469629:-1 gene:KYUSg_chr2.15807 transcript:KYUSt_chr2.15807 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEHHYFVTLLLVLVAADRYLVAAGGGSGGVDDGRQFTYNSFVGVNLTLDGGTTVTPNGLLMLTNGSLQMKGQAFHPSPLPFRAEANGTGTVRSFSTTFVFAIFGQLANLSSHGLAFFATTSREVLSTALPGQFLGLLDNTNGGGNRSDQIFAVELDTLLNADFRDINSNHVGVNVNSLISIQSANAGYYDDGSGMFQNLNLISRKAMQVWVDYDGMATVITVTLAPLGIARPKKPLLQATVNLSGVLQSTAYVGFSSATGVMSTRHYVVGWSFALDGPAPALDISALPTLPRAWPKPRSKVLEIVLSVASTTLVVAVGIAVYFFVRRRVKYSELREDWEEAFGPHRFSYKELFHATKGFSNKNLLGAGAFGSVYRGKLRKPPKEIAVKRVSHESRQGMKEFVAEVSSIGRLRHRNLVPLLGYCRRKGELLLIYDYMPNGSLDKYLYDTSAGALDWRQRFHIIRGVAAGLLYLHEDWEQVVIHRDVKAGNVLLDREMNGRLGDFGLARLYDHGANAQTTHVVGTMGYLAPELGHTGKATPSTDVFAFGAFLLEITCGRRPIEQHGRNNNRIVLVDWVMEQWRKGMIMDVVDTRIPDGFCPDKVSLVLELALLCSHPLPTARPTMRQVIKYLDGDTLLPDLSPAYLSFTMLERMFDGEYNQSMLACTSSTSIGAISDLSGGR >cds.KYUSt_contig_1181.490 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:3454789:3457414:1 gene:KYUSg_contig_1181.490 transcript:KYUSt_contig_1181.490 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAYMDHATAAAAEPETMELATVADAAECAAARDFGGLVSARPAAVVRPASADDVASAIQAAALTAHLTVAARGNGHSVAGQAMADGGLVLDMRAVARRTQMQLVLLSGAAFADVPGGALWEEVLHWAVSNHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVAELEVVTGDGQCRVCSPSAHPDLFFAVLGGLGQFGVITRARIPLSPAPQTVKWTRVVYSSFADYAADAEWLVTRPSERAFDYVEGFAFCRNDDPVNGWPSVPIPGGAHFEPSLLPAGAGPVLYCLEVALYQHHHQHPDDVDERVGEMLRPLKYVRGLEFAAEVGYVEFLSRVNRVEEEARRNGSWAAPHPWLNLFISARDIAHFDRAVINGMLADGIDGPMLVYPMLKAKWDPNTSVALPEGEIFYLVALLRFCRPSGTGGPAVGELVEQNAAVVDACRRNGYDFKTYFPSYRAQSDWAAHFGSKWARFVDRKARYDPLAILAPGQKIFPRTPSAGARAIV >cds.KYUSt_chr2.6425 pep primary_assembly:MPB_Lper_Kyuss_1697:2:40066814:40067203:-1 gene:KYUSg_chr2.6425 transcript:KYUSt_chr2.6425 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLGSLHFLYMLSIEMLMTSRQHNVGGDGSEGASGPGAKGADGASRFAARSEQQITDDAADATAAADDAAAAGTDELAHEPDGSVFSTGEYSCSSTLLHAVDAATAHSEPGDTSHREQLEHHPEHEPR >cds.KYUSt_chr5.9014 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57264311:57267320:-1 gene:KYUSg_chr5.9014 transcript:KYUSt_chr5.9014 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLSDSFELSIEERARGNVDIELGLQGHTASSAQPGFDGFFEQVREIEKVLDTLTKLLKDLQNSNDESKVVTKSAAMKEIKKRMEKDVNEVTKVARLGKSKLQKLNKDVLDTLQEIQERHDTVKEIETKLLELQQIFLDLSVLVEAQGEILDNIEAQVVGAAEHVQTGTNLLQKAKILQKNSRKCACIGIIILLLAILIIVLSLRPWAK >cds.KYUSt_chr5.30133 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191150556:191152621:-1 gene:KYUSg_chr5.30133 transcript:KYUSt_chr5.30133 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQWASFLAVVLATVIFLKAVLRRRSSLKYNLPPGPKAWPIIGNLNLIGALPHRSIHALSKQYGPLMQLQFGSFPCVVGSSVEMAKFFLKTHDVVFTDRPKFAAGKHTTYNYSDITWSPYGAYWRQARKMCLTELFSARRLQSYEYIRSEEVLALLRDLHRGATAAGAGRTLVIKDYLSTVSLNVITRMVMGKKYLEKEVRDESGSVITTPDEFKWMIDELFLLNGVLNIGDSIPCLDWMDLQGYIKRMKKLSKMFDRFLEHVVDEHSEQRRRDGESFLAKDMVDVLLQFASNPDLEVKLNREGVKAFTQDLIAGGTESSAVTVEWALSELLKKPEVFAKATEELDRVVGRGRWVTEKDMPSLPYMDAIVKETMRLHPVAPMLVPRLSREDTSIGGYDIPAGTRVLVSVWTIGRDPELWDAPEEFMPERFLGSRLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFEWKLPHGVELNMEEIFGLSTPRKFPLEAVVEPKLPAHLYE >cds.KYUSt_chr6.15624 pep primary_assembly:MPB_Lper_Kyuss_1697:6:98098371:98099930:1 gene:KYUSg_chr6.15624 transcript:KYUSt_chr6.15624 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAREAIVDCFMEVTSCKPRSIAVRHLASCSWRLEAAINLYFTVGAVGGAPDHPVVDPIPVRSETTHDDTVRDPIPARSDRLYQHEDFYGPGSSTARAAPSIWSVNREPPSRTPVYPVPVFVDDASMPEIKETGWESDGDTSTYEKEETKIVEEDEPAKEAEEDDVKNDDKEPMEEDDGTKSMEMEEEDDGYGGTEDDYEYSDDDQDDGDYLDAAETDHMEALDGQPPPRSRTDRTLDDLFRPPYEIMFQGSFHDAKVHAARKDQWLLINIQLSGLFASHLHNRDLWSNEVVAQVIKDSFVFSLLQKTGRSGDEAGKVCCFYKLQDDQLPAVLVLDPITGQLLAKWCGIVQQPDDFLTSIGKYTESKPSTLSKPRIVVRPIAAATPQEPVAAPAAPLPKVVGKIEEAPAAPLPEADKTEAPAVTIDDGKPMEGEAVCKMRVRFHTGNIVTKEFGSTRAVAVLFAYCSSVVREQAGTDQAFRIMRMTGRTFEELRDDGASSFEDLNLNRDTVTVVLDS >cds.KYUSt_chr3.1470 pep primary_assembly:MPB_Lper_Kyuss_1697:3:8213446:8213670:-1 gene:KYUSg_chr3.1470 transcript:KYUSt_chr3.1470 gene_biotype:protein_coding transcript_biotype:protein_coding MFERLLEDHVLHRLLHHLHAKLEDHYATAHRRARAPPHGARDAGFMLFGQELAAVLLGCALFFLFPTSGRREAF >cds.KYUSt_chr1.26798 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161661832:161662341:-1 gene:KYUSg_chr1.26798 transcript:KYUSt_chr1.26798 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAGARAGCQLALLPHTPTPVFIPVPGAGASRSRRRCRLMAAAAVSAAPAEDGRVLGRRPVEDVYKVRVVRGAAAQERVEALRVMETWSVWRTGGRCRLPWDWQVDQLVYIVAGEVRVLPAGATTGEEYMHFVAGDLVRYPKWMEADLHFDGPYEERYRFLAYGDDN >cds.KYUSt_chr5.36774 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232497950:232498353:-1 gene:KYUSg_chr5.36774 transcript:KYUSt_chr5.36774 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVIPSATEAEIKKAYYVKARQVHPDKNPTDPLAAQIFQASVPSALLLFCFPTTITSAECQPHQSMSNSPLKTRPPRKIPVGCGADAVWAV >cds.KYUSt_chr5.4126 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26487756:26488100:-1 gene:KYUSg_chr5.4126 transcript:KYUSt_chr5.4126 gene_biotype:protein_coding transcript_biotype:protein_coding METGRRHHLVQNSITTLTNTGVQGAPEEEHYKMCYKVECDKCGKFTWKGCGQHVKSIYDGIEKGKHCTCKEWPGVDAKALGSTSTAKEGHLLLLEFLSSVQRHKQLSDLLKRLL >cds.KYUSt_chr2.19452 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122349201:122352748:1 gene:KYUSg_chr2.19452 transcript:KYUSt_chr2.19452 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPQRRLESKQARNKEDAEIRLLEAWIDAGKPLPGTKPPPPSKSSSAADARKNARAVVAKAAAGEYSQYGACTRFDELPLSKKTKEGLRKAGYKVMSEIQRAAIPHALCGRDVLGAAKTGSGKTLAFVIPVLEKLYRVKWTKMDGVGCIIITPTNDLAGQIWDVVNRLGTPLGFSGGAIVKRNGIDDEKEAINRLNIIVCTPGRLVQHFDETPNFDCSQLQILVIDEADHMLHRDFQSQIDIIISQIPKVQTLLFSATQTKSIKDLARVNLKNPEYISVHEQASTATPDFLEQCAMIVPLEQKLNMLWSFIKRHLRSKILVFLTSVKQVKFVYEIFKKLRPGIPLKCMHGRMKYEVQQAIVAEFNESTSVLFSTDISARGLDIRDVDWVVQVDCPENLAVYIHRVGRTARYNRKGKSLIFLCPEEEKMLEKLKAAESKIPISVRKPKVEQLEQISKNIAAVLVKFPNLQQLGKRAFVTYLKSIHVQKDKEVFDLNRFSAENFAAYASSLGLPVTPKIRFVTHQKNVSRKDMDENDVKQMKQKAKVIEINPQKNRDMLADDGLDDDDILYPKKATTDPNMLQLDDILSPKAPGTYTNMEPGKVEELATRPLKKKKLKINVHRPVGTRVKYDDEGNAIDPLASLAEEVGSEDVIHKDKISQRYAEMLKEMQEDDKEDKAQHKKTLHEKKLQKKVKLKRKRQEETDAVSEDSGSESDGGRNMPYKGKKKYLNNNSDEDEGGDEAKDLLAQQEALALKLLGKMHD >cds.KYUSt_chr2.17791 pep primary_assembly:MPB_Lper_Kyuss_1697:2:112073949:112074605:-1 gene:KYUSg_chr2.17791 transcript:KYUSt_chr2.17791 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQNTSKIPKSKVLTMGLKLMGHATEPSMLHLLRRCGSTTKLVIELIHMDTSTNPDRLRTENVALDSLEEVEIHFFTGADDEVNLVKLLLMCKKDLKSMTINVADDFSLSEEVRGKIHGFAHPNTKLEMGGSSSHKRDICMCKDHDWY >cds.KYUSt_chr3.12375 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73943515:73945191:1 gene:KYUSg_chr3.12375 transcript:KYUSt_chr3.12375 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGYTVPPYHSTSVLGKIYDEAELQQSETVHPIKISPLTCFTEEEVTEERKIWGPRYQEYLKLSSPLCDVNRKPPISKEEKNMRFQELFKHYKQMLYEAVELEESQRNRFVVFKEACAIYQIVYEKALQKDDVGKCGFAWKVAGHALCQFYVIKRGGDTALINMQVLREAFKRGA >cds.KYUSt_chr2.48914 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306080648:306082691:1 gene:KYUSg_chr2.48914 transcript:KYUSt_chr2.48914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homodimeric diiron-carboxylate protein, Cyanide-resistant respiration in mitochondria, Reactive oxygen species (ROS) scavengin [Source: Projected from Oryza sativa (Os04g0600200)] MSSRMAGSVLLRHAGASRRLFSATAASPAAAAARPLLAGGEGSWARLMSTSAAKDQAAKAADAAAVAGKGGDGEKKEVAVNSYWGIEQSQKLVREDGTEWKWSCFKPWETYTADTSIDLTKHHVPKTMLDKIAYYTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAQPRWYERALVITVQGVFFNAYFLGYLISPKFAHRVVGYLEEEAVHSYTEFLKDLEDGKIDNVPAPAIAIDYWRLPANATLKDVVTVVRADEAHHRDVNHFASDVYYQGMQLKATPAPIGYH >cds.KYUSt_chr6.10045 pep primary_assembly:MPB_Lper_Kyuss_1697:6:61965018:61966226:1 gene:KYUSg_chr6.10045 transcript:KYUSt_chr6.10045 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRQWERELASYDGPPPPPPRNNAAGHRRWWSAPERTLANVLAHIEGGNYSVLTMPPPSRASASRRRGNVWQPRRMAASSSSSGSAPRSSFAPVKREEATSPSTPVRVKKEPASPPPTRGRSSGALVIRDQPSQPGRKRKAPKKEDAAVAAANRLAEEEAKRAEDAAVAEAIARSLNDLVPADNALPEDAALAWSRQDWEREEAEQQRRLLDLAAARRLAVRASRAAPTAADDAARYRRPATPPSGVVVPVVDLESSDDEWYKPSPGWGDAGQGSSRQAAPRAPKVEDDGSDDGGDDYTVFYRRLGM >cds.KYUSt_chr7.29027 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180539331:180545892:1 gene:KYUSg_chr7.29027 transcript:KYUSt_chr7.29027 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYLSQKYRSRAVVPSRYHFGTVNMKNGTTALVPLWYRVGVRGSQRPYRGAAPSLDGYDAQSIQGEKAGCFLRRCGRRQCDMVGLVEVDDGMNSSSACFFTNSASILVQSLTYFTIPFLLLHRMEEDHARTHDSHSILGGRKKRAFLAVTSAGSKKIVGVFYKAGEHADKNPNFVGCVEGALGIRNWLESKGHHYIVTDDKEGPNSELEKHIEDMHVLITTPFHPAYVSAERIKKAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELMRILILVRNFLPGYQQVVQGEWDVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLQINPELEKEIGAKFEEDLDAMLPKCDVIVINTPLTEKTRGMFNKEKIAKMKKGVIVVNNARGGIMDAQAVADACSSGHIAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPAENYIVKEGELASQYK >cds.KYUSt_chr2.52501 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327578541:327579552:-1 gene:KYUSg_chr2.52501 transcript:KYUSt_chr2.52501 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTHNMPGHAPLFSNVTTTMQIYSVKVAEIQIQDLQWPLEVYGVVAARDAVDYRRNLLFLRTRDDCQILTEEDPFLHLTGPSRAIMSTDIVKIEIQLKVKGTTKSKDIALITRAFSCDPYLHTSSTTLLDGYFCKIELRCEHLRQSIQATILSVRVKHGSLSSENGFQIFCYSIHEDHDEDIAEHPSRHVLLLDSSVGTVHVDEEGYVDLSRQVVSVKLEGRLEILIKTFLESGEISGRVVFRPEYSNVSQKTCVIGECELEITLAWSFLVDDEQDILMMSYTKPFMASHPLPFMKLVDEDVEDGSC >cds.KYUSt_chr5.1095 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7567046:7571706:1 gene:KYUSg_chr5.1095 transcript:KYUSt_chr5.1095 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGGEELVSVEMPAPDGWTKKFTPQSRGKSEIIFVSPTGEEIKNKRQLNQYLKANPGGPASSEFDWSTGDTPRRSTRISEKVKVFESPEGEKTPKRSRSSSGRKGKKKEDTETEEGKEADTGKEAPKVEEDKEAEAGEDAPKGEEDKEAQAGKEAPSEDAAKSTNVETKPAEEVAVESTDVEMKPAEEAKDAVESTDMEMKPAEEAKTEDSTEKADVAAPAPVEDVKEDEKPVEVVAPPAPAEAAAPIAPAETIAAPPSQAEEKKEEAKPVETIADPPAQAEEKKEDAKPAEAVTAPPVSSGLATTEEVAPPVSIPTENSVAVPSDPAVPPTAPESEAKADAAAVDSQQPGAATTESSPAVNNGQLSPGASTVKCT >cds.KYUSt_chr5.8316 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52561768:52563232:1 gene:KYUSg_chr5.8316 transcript:KYUSt_chr5.8316 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAGASAAPVGGDGKMTMVVGVDESEHSYYALQWTLLHFFSPGQLQLYRLVVVTAKPTAASAVGLAGPGAADVLPMVEADLKRSSLRVIGKAKELCAQVSDAVFEVVEGDARNVLCEAVERHHAEMLVVGNHGYGAIKRAVLGSVSDYCTHHAHCTVMIVKKPKHKH >cds.KYUSt_chr3.27009 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168537924:168555811:-1 gene:KYUSg_chr3.27009 transcript:KYUSt_chr3.27009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated Ca2+ channel protein, Elicitor-induced defense reponses, Hypersensitive cell death, Activation of MAPK cascad [Source: Projected from Oryza sativa (Os01g0678500)] MVVGALLWHAVPATVAREEATAAPDIIGAVANGMSGLKAPETSFHLWGWAAAVRWLPGGGETLMVPAPWMLPAIDIGPARHVCASERGTDGQGYLDREQCISLLNELNKYRSLPKTSREDFELIFTELDRSGDFKVTPEEFDDLCNTIAIKFQKEPPPSYLEKFPFYQSPLCESLKSFVRSRQFDYIIIFVLLMNLVAVIIETTLDIENSSSQELWQEVEFFLGWIYVAEMGLKIFSFGFGAYWMEGQNKFDFVLTWTIFIGETLTFAFPSKLSFLSNGEWIRYLLLGRVLRLTRILLQVQRFRAFVATFLTLMSSLMPYLGIVFCVLCMYCSIGLQIFGGIVYAGNPILEETDLFNNDYLLFNFNDYPSGMVTLFNLLVMGNWQVWMESYRQLTGSSWSLIYFVSFYLISILLLLNLIVAFVLEAFFAEMELEKVGETDIQNPTTGGTRRSRSMRAAAAQQLGPQLSAKDKTPAAPPPPPSPASSSDDDSIDDLLDPVRDAKTLAKAEKEAEDVQAAHAAFDVEMGRRRVAPAAAAEDDDSYISWSSDDPDAPIPEEKAAEQRVSWAYPSGTHY >cds.KYUSt_chr5.4134 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26523177:26524655:1 gene:KYUSg_chr5.4134 transcript:KYUSt_chr5.4134 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEKEALFLLTFADGTEGAADARDAAAVLRGYAVPAPAIRSGRVFDMVVEYVEKHRAHRSGEAVDRDIDDWDRRFIARAAGDTDALHDLFLAADELLEYELMDLCAQTTADMIRGGTVEEIKALLGILGITPEQDRLAQEDHDRLLRIVR >cds.KYUSt_chr3.39431 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248440225:248442914:-1 gene:KYUSg_chr3.39431 transcript:KYUSt_chr3.39431 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARLCVQYNPKIRPDMSVVVEALTPLVRPLASTSSNLSSAAGASGVRSEQKAHNSVSKVMIQTGWTWDDRFHLILPVGTRQDNPEITWLDVRGERSLSGDCSPYVLYEDQETDENMYCCSAASAIKAGGPPVVIGLTAGISGYSVLARYGTNEFSKWDMQD >cds.KYUSt_contig_1658.309 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1980443:1984054:1 gene:KYUSg_contig_1658.309 transcript:KYUSt_contig_1658.309 gene_biotype:protein_coding transcript_biotype:protein_coding MEDADTTKVITRCSYAPPANNVEDERKRSRNDSFCFKDASGTALEVMDSKPDAPGEVLAAAAMPDYVEEIFPNSSHRDGSIYSGTDHWKTDYRISDRNETWLEAMMFSDPTDCRMRNGTCMSHTSCHMLQFLSLRDDPIMVKQGSLINMSGPKRGIELVGTILIEYDMKIKAGKDEKEDLQVIDGVSCLDNIDTWDRTPFTFRIEGDCGAIDVGVSRVSFAYEATIEVVVSQVQNGFSMCVGCFTSGLDEEIRLFDGAIGGSCVLKRSVVAVSSDDEMELKLKIAPDSCTPAEYCCCFEAKKHGRATQEIYTGFALITVKVTWSTLNKPRRTVTTLA >cds.KYUSt_chr4.35895 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220633287:220638390:1 gene:KYUSg_chr4.35895 transcript:KYUSt_chr4.35895 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSAAGARQQQQGEAVADRVHRYRGVLMVLLSPLLLVSFVLLMMPRSPAYATARGASGALVAAGGRRWGPQAVGGAGDGSNRYAVIFDAGSSGSRVHVYRFDGSLDLVPIGNEIELFKQKTPGLSAYAKDPQEAAESLVSLLEEAEKVVPVELRDQTPVRVGATAGLRALGAERSEEILQAVRDLLRDKSSFKSQPDWVTVLDGSQEGAFQWVTINYLLEKLGKPYSHTVGVVDLGGGSVQMAYAISEKDAAKAPLVPDGEDLYVKKLLLKGTTYYLYVHSYLHYGLLAARAEILKAGESNDYSNCMLDGYHGKYQYGDDTFEASGSPSGASFSKCRAVAVRALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVNPKAAVAKVKPSDFEEAARRVCKLNVKEAQATYPDVQEKNIPYLCMDLVYQHTLLVDGFGVDPYQDITLVKKVPYGNSFVEAAWPLGSAIEVASLS >cds.KYUSt_contig_534.96 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:711119:712701:1 gene:KYUSg_contig_534.96 transcript:KYUSt_contig_534.96 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGCYQNTASQAREQPRHWFRTVKSMPTAHRRVLLSFRPTPMPKLHLPKRPPAPPLDAEDSTYLLSTICNALYTTGAFALWFSHGGRRTPVEVAGAAIRSCLSLQYSVTFVSLASSNLSMSPPPSLGLQFSMTIESAQARVVETLDCGSSPYKETRPQRGCMNRISQEA >cds.KYUSt_scaffold_6468.781 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3779786:3780656:-1 gene:KYUSg_scaffold_6468.781 transcript:KYUSt_scaffold_6468.781 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLQRDMSTCMHESSKSSVTNLTTGATSANDGDENPVPIIDWSSLVIEPTNEGDENAGEEKGIPDEKPVDEHAMFALFGFKTEENVRADDVKPNIPIPNYGLHDCEGADMFVDDKAPNEPLIVWDERHPHMDVGTPYPNMVEFRKAIKQFAINGEFEYGTKKNEPERFRAFCKGCEASNTTYLQLNKWKSDKYDMPILGG >cds.KYUSt_chr2.41730 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259667274:259669061:1 gene:KYUSg_chr2.41730 transcript:KYUSt_chr2.41730 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSSAWCSSAIQVLQTGPKEDCSLALVEHPVVALEDDRRDLSQLERSDRSLVVDSSGYGGTQSQWKYDDLKSWIWLQKAIHPELDYDNKKKWLPRKMAAPWSGISLKKWVKERKQKRKEEARLQRAEVHAAVSVAGVAAVLAAIAAENSAPGRRGSASPSMRETSVASAAALVAAQCAKVAEAAGATRDQVAAAVRAAVAATDASNVVTLTAAAATSLRGAAALRGRRGGSGRHGQSERGDQAGSAPWQDDLDFDFNHARSKAALARGDEMFVAMPDGKWKLHTVSAAPDRNGKLVLRIKKMSLVMQAFSNARECIVHDVSPCAPEKASREEDATYPIEVATSRGKVELRADDYAVYKRWVTTVTHMITSSTAITMRN >cds.KYUSt_chr5.39935 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252409137:252409679:1 gene:KYUSg_chr5.39935 transcript:KYUSt_chr5.39935 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDKEKESQGASKKKKEDKAGCFRCKKQGHYIDDCPTPYCDICESIHHVTSACHLLNAPKPTAILHGYANEGLMFFELACGVFKAKAENPKLAKVTVEGSTLTIPEIIEQLKKIVPSEKFNWEVFHFKDNIYRVKLPSKLEVQRLKNFGTYICTDKEACLAFDSWSSVEEPLIMLPEV >cds.KYUSt_chr1.35127 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214100846:214103335:-1 gene:KYUSg_chr1.35127 transcript:KYUSt_chr1.35127 gene_biotype:protein_coding transcript_biotype:protein_coding MANTEILIILDVSTSLGLKDHGPLSVNALKLDLTALEEKLEGLQQAISVHAGGVVRLEGLEVSLLATFVVAPSVSAEDVVKYQMKLTCGEDYPAEPPSVDWQDCPVEPKPNLKHIPSTTNWNVKKSKPQLADVLTDLKNVIVEDHGTKKKASKGKKNSAKDSKAKKKSNSDGGE >cds.KYUSt_chr3.13282 pep primary_assembly:MPB_Lper_Kyuss_1697:3:79977281:79980599:1 gene:KYUSg_chr3.13282 transcript:KYUSt_chr3.13282 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAMTRRAAIAAAIVAALLAACTAAAAAAAITISRKHHQHQKSAPGSCDMFAGSWVADEAYPLYDSASCPFVRAEFDCRRFGRPDTGYLRYRWLPSPPCSPPRFDGLALLRMWSGKKVMFVGDSLALNQYESLLCMIHAAAPGATTTVSPRSGKISPSTTVTFEEYNVTLVYYLTHYLVDVVAGKAGRVLKLDQIDEARNWLGADVLVFDSWHWWPRSGPTQPWDYIEVDGKVVKDMDRTVAFTRALKTWAGWVDVNLLQTNTQVFFQGISPSHYKGQEWGASPKKTCMGETEPLNSTGPYPGGPIPQQEIIRTVLSGMAKPVYLLDFTFLSQLRKDAHPTKYDGGIFGQDCTHWCIAGLPDTWNILFYAALTGQDG >cds.KYUSt_chr2.41046 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255102029:255105840:-1 gene:KYUSg_chr2.41046 transcript:KYUSt_chr2.41046 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAAPVADQPRRRRPTPGRGGVVLPAGLSEEEARVRAIAEIVSEMGELSRRGEDVDLNALKSAACRRYGLARAPKLVEMIAAVPEADRAALLPRLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVCYSEHSAVKCIGMTIETRPDYCLGPHLRQMLIYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFSLAKDAGFKVVAHMMPDLPNVGVERDMESFREFFENPAFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPELLVDIVARILSMVPPWTRIYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHKIRPDEVELVRRDYAANEGWETFLSYEDTRQDILIGLLRLRKCGRNVTGPELVGRCSIVRELHVYGTAVPVHGRDAEKLQHQGYGTLLMEEAERIARKEHRSKKLAVISGVGTRHYYRKLGYELEGPYMVKCLA >cds.KYUSt_chr7.18762 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116250344:116252957:1 gene:KYUSg_chr7.18762 transcript:KYUSt_chr7.18762 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGRRFVLALMLVAVLAAAFIPHAALAASGGVMGGRSSSSSSSSSSSSKYRRSSSWGSEGNSYYSSRTTYVSVGTPAPPSVATVAGEGPGAVFWMVAIGLVVLVAAAAYRCRPRTTVVKLQVALLGWAKPFQQELNEIADRVRASNKPAYKLMLTETICSLSRHKDCCVFSSLSVDVKDGTDSWEEHFDEISIEERSKFDEETLYSLEGIKRTKEYSKKQDGSRNEYIVVTIVVAANGALEFPKITRPADLEAVVKKLNSIRAGDIWGIHVLWTPQEENDVLTEEKLLADYPHLRPHYDD >cds.KYUSt_chr2.43001 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267660295:267663923:-1 gene:KYUSg_chr2.43001 transcript:KYUSt_chr2.43001 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSPVPIEIEATRSLMAEEEAIMAEQEEEAMTSVAKAAPVTAQRPVRADLEKYIPKPYLARALVAPDVNHPEGTKDGHQHRQRSVLQQHVAFFDENGDGVIYPWETYRGLRRLGFNIIVSFIVAIGITLGLSYPTMHSWIPSPLFPIYIDRIHTLRERAEVPTARSCADGKISGPSAQDPFRPGQQVPTAAVGTGLCRRQDKLCRRLPAVGTLTGSRSDRAKHGSDSATIDTEGRFMSVNFESIFSKNARSRPDKLTLREIWTMTNDNRAPYDPFGWIASKGEWILLYMLAKDDEGYLPREAIRGCFDGSLFEFIADQRKKKAHAKQH >cds.KYUSt_chr3.23315 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144230157:144231788:-1 gene:KYUSg_chr3.23315 transcript:KYUSt_chr3.23315 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRRASPSPPPPAPTPPREESSSEEEEEEAAPATQKAPQNPKPASTAASDADSSDGDDEEESSDTEIGAQAYQLRQAARSPGKPSAAASKPRSGADEEDAARKPKAEAGKKKKRHAAESPPSGKAKKAKTDVEEKAAPEPALPGKAKKKARPEKASSEPNPSSSKGKKHKAPAEKDVPDHSPSSKSRQRWTTEDEIKVLEALASHIKSNGTEPSADDLIAAVGDSLDRKDCSKSDVYEKVRKLKRRHEAAAKKVASTGTLLGNEDELRKFNLSEVVWGERANNKVAAAPMSQNDGASSKSKKGRTNKEKADGHSKPDGGTAKETASAVNENVGTLTGSSKGQATKEKVDGDIKGSLSKKAATADTPVKSKKQGNHKEELKDHAKAGTTKEATSSAATQNGSTLVRSKSGKSDNKEKKNRDAESLRPEEATAVTQNGGTIAQLKTGVTHEEKMDTDPNVKSMRKGFEELQNLYPNLTSYVESIQAQHPCGETLKRAFGFIAEDKACALESKIKKQRVAEMKMENRRADTKKEVTNMLIRLLD >cds.KYUSt_chr5.29699 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188275667:188282765:-1 gene:KYUSg_chr5.29699 transcript:KYUSt_chr5.29699 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMRGGKFLQKFRLYETRSKFYLIGRDKSRTVWRVLKIDRLETTDLGIEEDPTSYTENECQELLWRIHEGNRLIGGLKFVTKCYGIVGFMKFLGPYYMVVITRRRKVGTICGHDIYSIGKSEMIAIPCPIVWPNVANSRDENRYKRLLNSVDLTKDFFFSYSYNIMRSLQKNITAKNTGQVVYETMFVWNEFLTRAMRNHLKNTDWTVALIHGFFKQSKLSVSGKDFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTPDDIPCQITSSVQHRGSIPLVWFQETSRLNIRPEITLKPDVDYKATRLHFENLVLRYGNPIVILNLIKTREKKPRESLLRAEFAKAIHYINKGLPDDKRLKFLHMDLSKLSRRKGTNVLGLLDKVASDVLELTDLLHCEITTSSKPSDASSEQGCVTNACDDKNNDDFCAPTMVPLSLQKGVLRTNCIDCLDRTNVAQFAYGLAALGRQLHVLKLTEAPKIELHAPLADDLMDFYERMGDILAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDPEKQDAINVFLGHFQPQQGKPALWKLDSDQHYSIGRQGTVNEETVRPLMKRSFSDGNILCENSASVSGCNTVENNTANSELLPMQQLDDIREPTDSAPEIYMCETNPCSSTIYSSMPGRLSISEERQSYLKRLGYPELHSSNFLDLDLLSSSGNSCDEEGFERSPLIYSPMDLISVESTTTYSEQGHNDEGRDDTDLSRSSSQLSDSRDYSDRFAQWVANGGMLCY >cds.KYUSt_chr1.37959 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231758734:231760070:-1 gene:KYUSg_chr1.37959 transcript:KYUSt_chr1.37959 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLMVALLLAAVAVSCTKAQLKEKFYSETCPSVEDVVRKEMAKALSAAPSLAGPLLRMHFHDCFVRGCDGSVLLDSANKTAEKDAKPNQTLRGFDFVERVKAAVEKACPDTVSCADVLALMARDAVWLSKGPFWEVPLGRRDGSVSISNETNQLPPPTANFTVLTQLFAAKNLDTKDLVVLSAGHTIGTSHCFSFSDRLYNFTGRVNPSDIDPTLEPQYMARLKNKCASLNDNTTLVEMDPGSFRTFDLDYFKNVVKRRGLFHSDGALLTNSFTRAYVQRHAGGGYKEEFFADFAASMIKMGNADVLTGSQGEIRKKCSVVNH >cds.KYUSt_chr1.28775 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174025687:174026010:-1 gene:KYUSg_chr1.28775 transcript:KYUSt_chr1.28775 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGLRELSLFPLPLVLFPDALQIFEFRYRIMMHSVLKTDLRFGVIFVGAGGASDVGCVREVAEHERLAEDRFILISGAVSCRPQQVLPHCHRGVAQRPRRLSATL >cds.KYUSt_chr1.28243 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170520653:170525818:1 gene:KYUSg_chr1.28243 transcript:KYUSt_chr1.28243 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGVAAVMKMAVEMAAVSMEKPSGGTSPSRQGAGTEFCPPNWSFATVAAPRSLSWSFVNCVEETDAAAAAQYEKWKAEMKAKREPAPKPVFSEKEKKWAKSFLSTPSQAEKNMPDDYGRELRRQALMLKEKKDLAEKEKKALEEEKKETVTGAREKAAEIGMTLRSVLGLEEAPMSEVAITYVPNGPLVKPAQEEDLPAQMQNLLRWYKGYIKNNAGKEYIYAEVRHEHHFKHYYVTVHLSELFQLFNLRELDKSIISCYVLMKIEECQKRNIHDVGFIDPQIVNGYVLEKHPRDVEQDLFDFLTKQQLKSEILFPYHFGFHWILLIIEFHTSRVLVMDSLNMDPKLWISRTTRYRAPRIYMETIAGDTHGYGWAGLWSAVASLIFLWSMVQQYVPAQLEEYLTTLSRRLHAAISPYVTITIDEHVPDSFGRSEAYLAAEAYLSATCASSARRLRADLAAGSDRMSVAVDDHEEVIDEFRGAKLWWRKTKTLPRTNVISWSAYEAERRTYCLTFHHRHRGLIDAVYLPHVLAEGRAATVRNRQRRLFTNNPSSDWSGWNGRVWSHVKLEHPSTFATLGMHPAKKQDIIDDLEMFRDGKDYYASVGKAWKRGYLLFGPPGTGKSTMIAAMANYLDYDVYDLELTAVKNNTELRRLFIETTGKSIIVIEDIDCSIDLTGKRKTKKKKKDKSSKKKKKMAPPVVAKDEENKVTLSGLLNFIDGLWSACGGERIIVFTTNHKDKLDPALIRRGRMDSHIEMSYCCFESFKVLAKNYLHIADHELFHEIQQLLGEVDMSPADVAENLMPKSKNKDADAYLGKLIKALKEVKEEALAKASIGAENEKEAEEDDEEYDSSSSSEEEKNGKNKND >cds.KYUSt_chr1.27844 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167901696:167904337:-1 gene:KYUSg_chr1.27844 transcript:KYUSt_chr1.27844 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAWPGARTDVKIQSDQLRDRFSAFGKMKVAFYTYKIRLLKPRNIHFFHWVSLLLVRFHHFSISTFHSHGHVGPQHEGRRAVPDADSPRPGDSGWGSPRRRRRQDGPVVLVPEAWPGGSGDASVPTGDSSDGLNEPPDESNSTEPINISNSNYWRDVRANLVRREQELLIDPSVPGESKASSEESVHQLPQKWAHPITMPEAGCVLVATEVLDDDSIFERTVILLLRLGTRGTFDGPFGVILNRPLYTKIKNVNPSSFRDQTTPFGDCALFFGGPVDMSMFLVRTKDSSRLKGFEEVIPGICFGFRTELEKAGVLMKSGAIRTEDLRFFVGHAAWDYEQLLSEIRAGYWAVASCSTELISDAVSTDPSCLWTEILQLMGGDYSELSQKPKQDSA >cds.KYUSt_chr7.33099 pep primary_assembly:MPB_Lper_Kyuss_1697:7:206590872:206591441:-1 gene:KYUSg_chr7.33099 transcript:KYUSt_chr7.33099 gene_biotype:protein_coding transcript_biotype:protein_coding MREGEGLHPGMPVTARSVAKLLPAAPSDPPRPMDAMSCLAPHPASFLPNTSSQAYYTDAAIARALNSSMPDHYSPGTASTSSPSSSSSLLADLPYSDGTNVRSGASAPTCRSRPTRTSTSSPSSPPAATRAWSRSSTPPRAARPPSPSCGARWPAPPPRSPRRPSPSPSLSHARAVFGPYVEQVVGGRG >cds.KYUSt_contig_2087.147 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:906442:907471:-1 gene:KYUSg_contig_2087.147 transcript:KYUSt_contig_2087.147 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSYASCRVLEDGATGASLFQDERGGCALAMAGIGNAMPSDVPRSDLTCNDNNHNYAFVPRKRARVVAAAAPGLMDEQPRGVRTAAAAQGFVPVGDMASRSMGSGVASTSGMSGNANAGLSQGLLSHLYNQGMEIDALVQVETERMRAGLDEAWRRHVRALVAAAERAAAVRLRAAEATLELARCRNAEMEERLRQIGAEGQAWIGVAQSHEAVAAELRATLDQLLQSRCAAGEGDAEDVQSCCFETPACGNASADDAASIATAAACRACGQGGACVLVLPCRHLSLCRACDASVDTCPVCAATKNASLHVLLC >cds.KYUSt_chr2.39907 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247772174:247773181:-1 gene:KYUSg_chr2.39907 transcript:KYUSt_chr2.39907 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRRLLLSLSLLLAAAATAPGATAAAARLSTTYYSRSCPRVEQIVSDVVTAKQQANPSTAAGTLRLFFHDCFVSGCDASVLVSPLSTDRSPERAAEINLSLPGDAFDAVARAKAALEAACPGTVSCADILALAARDLVGILGGPRFPVYLGRRDARRSEATDVEGNLPRTNMSARAMAVLFARKGITPREMVALAGAHTVGFSHCSEFAHRVYGDRSGPDGYDPALNPAFARALRSSCAGYERDPTLSIFNDIVTPSVFDELYFKNLPRGLGLLASDAALWEYAPTRVFVQRYADNRTAFFNDFAAAMQRLGTVGVKTGRQGVVRRQCDLLD >cds.KYUSt_chr4.13616 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83854816:83857276:-1 gene:KYUSg_chr4.13616 transcript:KYUSt_chr4.13616 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAAADLGLSPTNPSAPSDPSTMNAQHLPADLLRAVLHRLSPADVARAACVCRQWHAVASDRAVLEAAFRAPWGVRRVVGEPATRAFWRAASLGRFALSHTVRRSDSVPGIAVKYSVQVTDIKRFNNMMSDHGIYSRERLLIPISDPEILLGSTCYIEMDHNSKREVAVFYPEGHPTGNAESLANAAAAKKRSKRILESVRRSLHVDDGTAEYYLSVTDGDPRAAMMQFSEDLRWEQQQPGH >cds.KYUSt_chr5.33997 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215910334:215911857:-1 gene:KYUSg_chr5.33997 transcript:KYUSt_chr5.33997 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHSASLLLFVLLCLGSCLAQAQILFQGFNWESWKKQGGWYNFLEGHVSDIAASGATHVWLPPPSHSVAPQGYMPGRLYDLNSSKYGTAAELKSLIAAFNAKGVQCVADIVINHRCADYKDSRGIYCVFEGGTPDTRLDWGPDMVCSDDTQYSNGRGHRETGAGFAAAPDIDHLNARVQQELSDWLNWLKSDLGFAGWRLDFAKGYSADVAKVYVDNTKPTFVVAEIWSNLRYDGNGEPSRNQDVDRQELVNWAQTVGGPASAFDFTTKGELQAAVQGELWRMKDGSGKAPGMIGWMPERAVTFVDNHDTGSTQNSWPFPSDKVMQGYVYILTHPGVPCIFYDHVFDWNLKQEISALAAVRSRNGIHPGSKLNILAAEGDLYVAMVDDKVIMKIGSRYDLRNLIPSDFHAVAHGNNYCVWEKSGLRVPAGRHH >cds.KYUSt_chr2.10775 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68490587:68493528:-1 gene:KYUSg_chr2.10775 transcript:KYUSt_chr2.10775 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLCLGLGLGLALAVHQAGPATRVRPTELPLCSAGSEDCSLKSKWITMPLVVVVPSAAAPLRRPQPSRFVHPRRNLALTRTRCAPSPPAEAQSVKPPRPRRYPKQFPGEAVGVAEEMRFVAMRLRNPKKTTLKDNTGAAEDDGSEDDDQEVKEDNSELEEEEEEEEEEEDEEEEDNHQVAEEGDAEVEGEWMPSIEGFVRYLVDSKLVFDTVERVVAGSTDVAYVYFRKGGLERSASIEKDLEWFREQGFEIPEPSTHGSTYASYLSELAGSNAPAFLSHYYNIYFSHTTGGLAIGKKICDKIFEGRVLEFYKWDTDAELLLKDAREKLNELSKHWSRKDRNLCLKETAKCFQYMGRIVRLIIS >cds.KYUSt_chr7.12643 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77819011:77823301:-1 gene:KYUSg_chr7.12643 transcript:KYUSt_chr7.12643 gene_biotype:protein_coding transcript_biotype:protein_coding MPAELSHTGKDFAWPHAQEGSSFVAAAEALPSSSGVRSVRRRANPKGLGLPFNGEAEGVEVEIVVCRVTTVPVPPIPLGVPREMAITNHYYFELTPEQRRNPEWHPDYSPTWDSFFINRCERAVARYEEDGPPPSNFNEAGRRLWWHGRTLQSVMAYRGPRLRYPQSQPTRAHPPRFDNRDPDASDDDVGDYDDYSGDYYRARHDYD >cds.KYUSt_chr1.6469 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39832076:39834242:1 gene:KYUSg_chr1.6469 transcript:KYUSt_chr1.6469 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGLAAHPRPTGPAAPRPNVAPADTPILHWDDVCISDSDDDDDDNDDVSDLDDLVLDDDEVVTHDEETNCQGKKFPMEKARLIDRIWVAGDMKRKEEHQQLCKMLLAQGHTPPPFPMKVLPQATGLCVLRGDCYHRRYKTHDTSTMCLSTSASYPVSVYGIIAVRDELEPMRIFNRPCRDDAVTIDKDSLILPLCSPRRGMYLLDDALVEVDLWVKEEGDGSADKQIFSAYAEIEGRPGFDKIRHGQVRSGLFSLDISYILSTRSVEAVIQVFAEVDSPHHLRFAAFSSGFDHEIVLFDDKFSGTKVQFQHVVVVKAQEKLDVCLKLGESLFWWTFQDGYVGAVTNPDDFVSKYGQFDVRVFFAPKNWHPEE >cds.KYUSt_chr3.22014 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135600645:135605297:-1 gene:KYUSg_chr3.22014 transcript:KYUSt_chr3.22014 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGKQDMRRRRGKESAMEEEEAAPPTGCWIRLPRLGSGCMSSGSKVDSSASGACGNGAESKKVNHIHRGQSAPPAASGSTTSSNTGSISPSSIVGEEFKLAAQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPMKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSFPLPWAIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLVDPRLEGNFSIKGAQKTAQLAHACLSRDPKARPLMSQVVEVLKPLPNMKDMASSSYFFQSMRQERAASLGNSNGSQSMKAQSTFARNGVQPMRSLSYGPHASPFRQSPRPNGKQS >cds.KYUSt_chr3.24704 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153325259:153329580:1 gene:KYUSg_chr3.24704 transcript:KYUSt_chr3.24704 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDPTRLPSLKNQNQNPNAHIAPNPARLPPFLPQIRPMASFNAAGAGAANPNPNKSLEVNPAPGDAVSSLSFSPKANHLIATSWDNQVRCWEVQPGGQCQAKASISHDQPVLCSAWNGDGTTVFSGGCDKVVKMWPLLSGGQPTALSGHEAPIKELAWIQPMGLLVSGSWDKTLRYWDLRQAQPAHVQQLPERCYALSLSYPLLAVGTADRNVIIFNLQNPQAEFKRIQSPLKYQTRCLAAFPDQSGFLVGSIEGRVGVHHVDDANQSKNFTFKCHREGNDIYSVNSLNFHPVHHTFATAGSDGGFNFWDKDSKQRLKAFSKCPSPITCSTFNQDGSIFAYAITFNH >cds.KYUSt_chr6.12560 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78487727:78488050:1 gene:KYUSg_chr6.12560 transcript:KYUSt_chr6.12560 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSIVHRLPFFDGTLDIVHSMHVLSHWIPVAILEFALFDIYRVLRPGGLFWLDHFYCLGGQMNTTYVPMFDRIGFNKVRWNARPKLDRGIKFDEWYLSALLGKPNR >cds.KYUSt_chr5.39579 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250467425:250468273:-1 gene:KYUSg_chr5.39579 transcript:KYUSt_chr5.39579 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEDGDWMMDPVPGGGKKGGGAGMMKKRFSEEQIKSLESMFATQTKLEPRQKLQLARDLSLQPRQVAIWFQNKRARWKSKQLERQYAALRDDYDALLASYDQLKKDKLALLDQLEKLAEMLQEPGHRGRGDNANAGPGEDVRSAVAGMSMKEEFVLDAGAPKLYSASDGGGVGKLSLFGEDDDDAGLFLRPSSHLPPTHDGGGGFTASGPAEYHHQPQQQSSFPFHSTWPSSSTEQTCSSSQWWEFESLSE >cds.KYUSt_contig_1253.866 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5381242:5381442:-1 gene:KYUSg_contig_1253.866 transcript:KYUSt_contig_1253.866 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAHHNSLTTIVTDVWDKEKRACNADDELTRDIRCAKKASIRLEMARGGYVVVDYSDESSDYSDA >cds.KYUSt_chr6.27092 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171835751:171839381:-1 gene:KYUSg_chr6.27092 transcript:KYUSt_chr6.27092 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYSDGDPFEDASDGDGSCGEEEDDDGCLGLSRGPSDDDSNGEGMCEVPCCFDDDDDDDIEEEELCGEEGNGEELVDDPCDEDDYEGDVEAEDLCEDPSSNDGEHGEREVVTVSGFSIANDPHISESVLDDSPSSSYSSSSSYSSSSDDEDDVTGSERFMPIALPLRPPPSPWTTAHFLEAVAEHTDSDDDIGYDTDYDDDDEYEQHRQPASRAAVESLPEAALDEEEAARGCAVCKDVFAPGQRVVRLPCKHYFHGDCICPWLAIRSTCPMCRYQLPTDDAESRQAPQLGALVPAARHGGAQQSGDRDGGDGSQATTGGGDIDVASGHGTANSASSTPMPSSFLCSSEASSRSWALSRATRPCSAITRLSSTRSFWFAAQSRAARDESSSRRLCFLTRDRFADSRFDYDTDYDDDDEYEQHRLPASRAAVESLPEAALDEEEAARGCAVCNDVIFAPGQRVVRLPCKHYFHGDCIWPWLAIRSTCPMCRYQLPTDDAESPQAPQLGALVVPVARHGGAQQV >cds.KYUSt_chr3.30390 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190426709:190434088:-1 gene:KYUSg_chr3.30390 transcript:KYUSt_chr3.30390 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLKVAEDLLEVVDRRAKSVATELSDEQPGSQSSGSSGQEGQGKRGKPREKGPLKLAAGDAGNKTGAQKERKSRQPPRERIKIEKIKPSARADSSNVDATATATSNEPEVTSVDVKGANDEGASDKGEHASVDLKNDRGVDVVNKAVEVQSVEKKPEDTGSVTDGVTDSGRLESASESSVPSVSDEKNEPSSSNQSTEIGLAVSLEDKDTAVAIIQERNISELPDTQGSGKSQDMKKDDLADSPETTETQQEHKSDSVPLKDQDQLEEAQGLLKSAAKSGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEREKCSSHEAHIKQLQQELSVSRVQGSRVESNMVDALTAKNTEIESLAKSLDSWKKKAAASEEMLASLQEDMDGLKRNRELTETRIIQALREELSTVERRAEEERIAHNATKMAAVDREVELEHRAVEASNALARIQRAADQSSSRAMEFEHKVAVLEVECASLHQELQEMEARSRRAQKKPSEEANQVLQIQAWQEEVEKARQSQREAESKISSLEAELQKMRVEMAGMRRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASEKGALEFQLEKSLKQFHEVQVEAERSRVSRRSASSWEEDTDINVLEPLPLHHRHMATANHQLQKAAKFLDSGAVRATRFLWRHPVARVFVHLFLMYLMQRLQDFAAEETVKSSLDELANVKLP >cds.KYUSt_chr2.5143 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31903770:31904549:1 gene:KYUSg_chr2.5143 transcript:KYUSt_chr2.5143 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPGIGLIYTELVTGVPAIFSHFVTNLPAFHQVLVFVCVKSVPVPYVAAEERYLIGRIGPRQYRMYRCIVRYGYKDVQKDDENFENHLVMSIAKFIQMEAEESASSGSYESSNEGRMAVIHTTDAAGTGLIMRDSNDSAAGTSLTRSSKSETLQSLQSIYEQESGGISRRRVRFQIEQEEQINPQVRDELSDLLEAKEAGVAYIIGHSYVKARKNSNLFKSFAINYAYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >cds.KYUSt_contig_2323.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000299.1:101613:102140:-1 gene:KYUSg_contig_2323.17 transcript:KYUSt_contig_2323.17 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRDAQNLHTESLRQQYGVVKDRTSAHETCNLGSHVMQDGDLGLNKQSIYLFMGTDPANDNASFIGNSLPSLRGAAVSQRDADLLHFWHKYRRLEEGSTQKGEARKQLVDMMARRSHVDSSVELIGNLLFGFEEGPKVLNAVRLAGQPLFDDWDCLKYMVRTFEGRCGPLTQYG >cds.KYUSt_chr3.12535 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74956144:74959935:-1 gene:KYUSg_chr3.12535 transcript:KYUSt_chr3.12535 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLALSALLLLLAVFLAPTALLFLAFHHHPHHPRPTPSPEPAAANLDPPAPPEDEKRPRRRAKRKQQQQQQKGGDAAAAADDALLLLRRPQFPLASVAGPLQRRITARYDDLARASHDHCLTVHQLRSPAPPQPSQPLTALTGSTGKKRRKTRRKPEGLNGGDKIREFLNCLVDARNELLHKSEIARRSFTIKKALLSNSRNCRSSYDQHRLSKQVDKLESEHERLKKDAAVYNFLQEQLRMSDHYKLMMELNDAMEKKALEQALADEESQMSFEELLEEEKKDAAFWWRDGKLRSISDCK >cds.KYUSt_chr5.28182 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178387132:178388202:1 gene:KYUSg_chr5.28182 transcript:KYUSt_chr5.28182 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKVAGGGKGGAPTAPTDLLVCFPARQHLALMPKSICSPSRATLDRAVAARRRQLQLPAARSGPSGAGGGVAGGRARGSTSSPLFHGSKAKHTAEGDNEPQSPKVTCAGQIKVVRPRKPRPMASEKSGRCGGGGSGGRWVTVAEEIERLQEQRKKASWLDAFGIRRDALPFLGGALRSLRHKVRCFGPSLHAAVDSSTDDDDEDGDAGGHGRESATAASVFSKWLMVLEGSQEPHSQDCRDGNDELHQEDTRPNTNREAHDDDCSKAPSVPPPNALLLMRCRSAPAKGLARKGAEEPPAGEETAREKGGPEDGMDELVFMRTAPDFLKLSIDIAKETWVVGGVDPLARSRSWKR >cds.KYUSt_chr3.1575 pep primary_assembly:MPB_Lper_Kyuss_1697:3:8944612:8945436:-1 gene:KYUSg_chr3.1575 transcript:KYUSt_chr3.1575 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLRPWRHLLVLAALLAAAAAQTSPTAAPAPPVPVPVPTAPAQAPPAPTATPVAPVKPPPAVAPVKPPPAVAPVKPPPVVAPVSAPPPVVTPPPVTPPPVTPPPVVPPPVSAPPPVTPPPATLPPAAAPAHAPAVLPPVAATPPAAMAPAVLPPAEAPSKSKNKHKRKKSGKKKAPAPAPEPLSPPAPVAPEPTVEDVSGPAPSANDLSGSSRQYVQWGFVVQTAVVALLLSVAW >cds.KYUSt_chr5.36687 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231998653:231999303:1 gene:KYUSg_chr5.36687 transcript:KYUSt_chr5.36687 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSRSPASGSGRAVATAKVVGLDCSMTQYAAPVTARDVLVDDKHRKGASVFLCSSDELRFDAPPRALADEEALQPGWLYFVLPVSMLRLALSGQEMAALAVRASSALAVVSGVASPPRRKIVPGANGKTRKTARVAPLIVAPNNDEDADLADSGSSPHAYGKYGAAQKTVRGGADETAGKTRKRAGYRSRGARHLHRATDVQRLSAILEDGDF >cds.KYUSt_chr3.17313 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105979392:105983204:-1 gene:KYUSg_chr3.17313 transcript:KYUSt_chr3.17313 gene_biotype:protein_coding transcript_biotype:protein_coding MAREPSPEIDDELFNEVYGKAYSGPVAPAANSAIPKVNDEKRPLAVEKSDDEDEPRDPNAVPTDFTSREARVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRRNADFFERVPARDKQVRDLFTERTISQIEKDVGCKIRMDEKFLFVSGKDRLVLAKGVDAVHKLIQESKGKHSSSSPKRDRSRSPVRNSADFRPRTSESQWSHSPRNVPRSQSKGHYNERPLDGRLHDDIPKYPKGSPQAYANYGAKDRPVQSKSPCQPSYLDEPLRSHGGNNQYATSHIPNNSSTERHGTDSRLDLKFDLPSYPQTLEELEIEFKREAMELARARDQEEDEENYKHRESHREMRENHMKRVSATRSMQARKWDEFLEQSYKRQQQAQQTSYTQNGYPDFDQRATHYAPTGPPIDSKNTYPYASDNYSAPRRHAAYGEFQHDRHDDLGRTYGRY >cds.KYUSt_chr2.26873 pep primary_assembly:MPB_Lper_Kyuss_1697:2:164524404:164526165:-1 gene:KYUSg_chr2.26873 transcript:KYUSt_chr2.26873 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWILRGCRDECSATDQLKQARDVFVAKEAVLQKKISQEMERAKEFTKSGNKQAAMQCLKRKKYYESQMNQVGSVRLRINTKEKMIADNMGNK >cds.KYUSt_chr6.10091 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62210676:62211245:-1 gene:KYUSg_chr6.10091 transcript:KYUSt_chr6.10091 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTGVLGALSIASLVLSVPLIGVGSYLNDHAAGATECQRLLRLPVLALGGGILLLSLMALAGACCRPCNPLLFLYVIAMFFLVIGMFFVTVFTYAVTNKGAATAASGSGYGDYRIGDYSDWLKDKVGDYDTWRQIQSCMADSGICGDGHFSGRFGGVAAGIDATDFYRLNLPLLQVRTRACMDPFR >cds.KYUSt_chr1.6439 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39673045:39674040:1 gene:KYUSg_chr1.6439 transcript:KYUSt_chr1.6439 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPEARTTVVGSSVQFRVYYEQFKQLPIGNPILSDVVSAGGHLWRIEFFSDDDDVGISIFLRHMSKSRSARAIFEAFVMGRDGTPSKPAMQWLCQTFEASGDTKGSDNWGLLMVVPDLEKRFLIERHVTFVCTIMVTDHSPILVPPSDIGTHLGRLLDQTDGADVSFIIDDETFPAHRAVLAARSPVFKAELFGSMAESTMSSITLHDITPATFKTLIWFIYTDELPAEDEHQGSSTEMIQNLLVAADRYALDRLKIICAQKLWDKVSVDTVAAILGFAETYNCQELKNKCIDFFAVENNFKQVMFTDGYAMLLLKFPSIIAELKKRVGA >cds.KYUSt_chr4.8596 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51628652:51631785:-1 gene:KYUSg_chr4.8596 transcript:KYUSt_chr4.8596 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMSIAGGGSGWLGFSPSPHGTMDAVAGSGIVDVGGHHRVHHSGVYYHPEAIASSPTSFYFGGADNVAAAANTGYYNGISALPLKSDGSLCIVDAFRRSDQEHHGAEVSASPKLEDFLGASPAMRLSLTNSSYYYGGHGHAVDHGAGGGQQQHLPYAMMPGAGGHDVYYDANAYAHAALLDEQAAMVAAGWMARAGGGGGYDVHTGSGEDGAGAIVPAGQGDSGAHLHPLTLSMSSGSQSSCVTMQQAPAAASHAYGGECVVRTTVASKKRVAGQSKQPVNHRKCIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGASTHINFPVEDYTEELEVMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVSGNKDLYLGTFSAQEEAAEAYDIAAIKFRGLSAVTNFDINRYDVNKIMESSTLLPGEEVRRRPDVAVSSAMVATAAAALVQTADWRQAMAPATAYGDEHSRHHLDLLSTESLSLLHGVVSVNGGNTGQGNASSARMSGASSLATSLSSSREQTPDQGGALAMLFASHAHAAPKLQASSLPLGSWVTSASPLPARPGVSVQHIPMFAAWADA >cds.KYUSt_chr6.1465 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8983653:8989277:1 gene:KYUSg_chr6.1465 transcript:KYUSt_chr6.1465 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAQLVSMLGQLVGEEYRILRSVGGQVAELRDELATMNAVLRMQSDADAGSVDHFVREWMKQVRELAYDAEDCIHLYIFRVRCRPNDRFFVWSRRLLTTLFSRRRLADELRDLRARAVVISERHARYGLSREALSRSSSLAPAPRATKHALRAANDPDQFVGISEQAKLLAAKVEKMDDNKERKVFSVVGFGGLGKTTLAMEVCRQLEAMFDRQAQVSVSQTFGRKDLQGLLKRVLHQIVKVKPDKEKGIKEEYSLAPCDIDKMDLDGLTSTIEEKLMDKRYLIMIDDVWSIPAWEVIQSKFPRNNNGSRIIVTTQIETVAKTCSPGSDCIHQIEPLDEKDSEKLFLSRAFGFKDASCPKELKAEMGKILKKCAGLPMAIVSIASLLASYQSPDSKDIWERIFRSIGSEMENNPTLEGMRQIVTLSYNHLPHHLKLCMMYLSIFPEDYVVFKDRLLHRWIAEGLVEEKRGLTLLEVAEGYYKDLMSRNMIDPAPFVSNFRDGGVETCRVHDMILEVMVSKSLEANFVSLVGGQYGGMSYDKIRRLSIHGVEHGAKDLSTPHKKMAARRHGRRDVMEGMNLKHVRSFSMFELEGHKLLDRLDEFTLLRVLDLEGCSGLRNKHLRDICRMYLLKFLSIRGTDIRKIPSSVGDLEHLQMLDARDTYLRYLPKTVTNLEKLERLLFSTKDTWLSMWQPPSGISRMKALREVNSIVIRDNIQVAKEIGDLEGLQGIVVHVNGDSEIREELRKHLAASLCRTNALRWLNVGDTRVYGDNNLDYLMDLRSPPQLLRYLRFDGGVSRLPDWVGSLSYLVEFCMSYGRLKGDQPFAVLCKAPNLRIIRLHEHFYSGDKLVARTAHNFPALKEMRVSCHNEFPSVFSFQEGSMTKLETLELNFYDNQKSIEGVEHLKKLKEVQLIGWKNNSAINRALELLKEDSDRRSKDNNQYVVGVKYYD >cds.KYUSt_chr6.29874 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189273267:189273794:-1 gene:KYUSg_chr6.29874 transcript:KYUSt_chr6.29874 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRKQPPPPSPQQQQQAAVQKTNGRTGSEAVEPMSIDILEPFMDAITLSAFTGPALGHPTPFSTASMDWKETPTAHVFMADVPGLRKEEVKVEVEQERVLRISGQRARAAEEKGDRWRRVERSAERFVRTVRLPPDADTDGAGVHASIDNGVLTVTIPKDDARKAYGRIIPITN >cds.KYUSt_chr1.18379 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107542597:107548252:-1 gene:KYUSg_chr1.18379 transcript:KYUSt_chr1.18379 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMQHKVMIQFDHFVPWNKATPLTQLHLIKSLDALEQEPTSHWERTNLTSNKQFQQTTMESFNQEHVIGIPMSSLRYADERKPTCSTLACKKDKKNSIIYRLSKLSQKTDSYMQGFKEHLALGPKISETVKGKLSLGAKVLQAGSMDKVFRQYFPVEEEEKLLKAFQCYLSTTAGPIAGMLFISTEKIAFHSDRPLDLTSPKGRITRVPYKVMIPAKRIKSAAVRENLYNPDEKYIDVVTVDVLLSDDILRAPADYIPDPDFVPFLWEKRNDDNDKGGAPEAEDDDAMDTTEGRADPPVSATSQVHSAGLGGTSGTHTQLAIHFGLITYACYDPSYMLHEVHSKPADWRQ >cds.KYUSt_chr3.24257 pep primary_assembly:MPB_Lper_Kyuss_1697:3:150596435:150598305:-1 gene:KYUSg_chr3.24257 transcript:KYUSt_chr3.24257 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVQPRRMEHLNDWDLQAVVRSCGTLVPSSHPEVDRAGPPPPPSEAPATIKREPREMVRGPVAAKDASLYDLEYLDLDRKPFLMSAAPSSQPWATAADDRHEVMISFPSAASTSGSRPRLPPGRKPGMRTTTPRPKRSKKSQLKKVVCEVPVADGGVSSDLWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKLVERSPAKPGVLVITYMAEHCHPVPTQINALAGTTRHKSTPAEDHPTTSPNSQTKDGGNAHGAAVKCEDESNETSAMAVDCSADDAAADDDSEFWPAGLDLDEFLAPVDGDLDQVFEEDDVLGRRLSL >cds.KYUSt_contig_815.64 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:384586:385808:1 gene:KYUSg_contig_815.64 transcript:KYUSt_contig_815.64 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGTRVGAAGLARCDRVSPAAAADFAMLEDALARHRPTPVLCRTDVRSLGAHPQHTIIFVYYWYTDTGSSSLDEDIEQSYGCIKEVQKCLNGSFDTGMQELRKCLNGSSVTTKEAMDRDVKHLANTYVRRSMKSQRRSEEEQQKCRNEELDTSLEARYPIAQLAPDETDEHCMNSQADCPTSTMANSGQSSYFLTNGQSGLASFKKLKTSTEHASVQPPSPDTVCEALMDVEKVAHQIRRAEDLLHSIDDAPSSRALAPSWRFSR >cds.KYUSt_chr7.25653 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160050021:160051699:1 gene:KYUSg_chr7.25653 transcript:KYUSt_chr7.25653 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGLAITLAGVAGNIISFMVFLAPLATFRQVFTKKTTGGFSSVPYVVALFSCVLWIFYALIKTNSRLLLTINAFGCGIEFIYIAAYLVYAPRRARLRTLAYFFLLDVAAFGLVVALTLKAVGPQQRVKFLGSVCLAFSMAVFVAPLSVIFKVIKTKSVEFLPVGLSGCLVLSAVAWFCYGLFTHDPFVMYPNVGGLFFSCAQMGLYFWYRNPSNAAVLPTTTDAGDGGAAVHQVIELPVHTVAILSVGPVPVVGVHKIEVRAIEQHTDAVNPKVAEIDKPEVIEIVAAL >cds.KYUSt_chr2.47654 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298091861:298092701:1 gene:KYUSg_chr2.47654 transcript:KYUSt_chr2.47654 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRKSRGEGEPAGGFGFSVAGDRKRKRLAEYPCVSRLRQRRLILFLFRHDFVQTHDAFMGETAVLIDVMHLHRLVLHGQWSDAINYLSRFLPPGRPLGVHGRALFHFLRVHKAIDDIVAGGKEALSVTAAVALCSHRFVTRSPALAKLRAIFSSLVESKTLRQSIHIVSTFHVLEPQQYLYCVPECRDSMDIARMRWEASSIVDDLLDQTPEFRDHMRPCRRGSMNPQNVLPLGFGHARHATT >cds.KYUSt_chr4.19486 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122481902:122488289:1 gene:KYUSg_chr4.19486 transcript:KYUSt_chr4.19486 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLLLRPPALGSPAGLAGSTANWMSRTTTRPPSVVPALPTPASWRPVKMSAFAGHRRDLHNAPATFTPALFGGNAFRPSSSSTHGSWSRGRRRHVTRAMFERFTEKAIKVIMLAQEESRRLKHPTVGSEQILLGLIREGTGIGAKVLKSAGLNLTNTRVEVEKVVGRGSGFVPVEIPFTPSAKKVLESSAEESRQLGHNYIGSEHLLLGLICEDDGVAAIVLKNFQADLGNVRSEVIRMISEISESETVGAGVGGGSTATKMPTLEEYGTNLTKLAVEGKLDPVIGRQKQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIVTGDVPETIEGKTVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNGDIILFLDEVHTLVGAGAAEGAIDAANILKPALARGELQCLGATTIDEYRKHIEKDPALERRFQPVKVPEPTVDETIGILKGLRERYEIHHKLRYTEEALIAAAQLSYQYISDRFLPDKAIDLIDEAGSLVRLRHAKLPEEAKDLEKKLKDILKQKNDAIRCQQFEMAGDLRTEELELKAQIMSLVDKNKEMNKAEVESGASAGPVVTEADIQRIVSSWTSVPVEKVSVDESDRLLKMEETLHRRVIGQDEAVVAISRAIRRARVGLRNPDRPVASLIFAGPTGVGKSELAKALATCYYGSEEAMVRLDMSEFMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLLDEIEKAHPDVFNLMLQIMEDGRLTDSKGRTVDFKNTLIIMTSNVGSSVIEKGGKQLGFGDGEAGDSYGRIKSLVDEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIAVIMLAEVTGRMRGKGIELMVTESFKELVVEEGYDPSYGARPLRRAIMRLLEDTLADKLLAEEVKEGDWVIIDADSEGKVVVLNRHDAWPEAQPREFAA >cds.KYUSt_chr4.50825 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315006708:315008860:1 gene:KYUSg_chr4.50825 transcript:KYUSt_chr4.50825 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFWLLAFTGAALVVAAGGQQANVSSIITRETFDYVASGRNQSGCEGGSLYTYDAFVKAASKFPAFGTVGDEETRRRELAAFFGQTSHLTTAYCYVKQVNPTSAPYYGRGPIQLSTSQHTNAQFTIIHSEDNYRLAGKALGLDLLGNPDLVSTDAVVSFETAIWFWTTAQPPYPSCHAVMTGNWTPSSQDRDAGLLPGYGMTAYILNGGVECGTTSQAAQDSVRYYKRYCDMLGVGYGNNVFCKDSTSAQPPSSSPGGPAEPSSPPPSRRTGLLIGVSAGSVSLLIATGLLIWFLLRRRRQKQAKIREEATEQGSEEGNLFDVDQAMEDDFEKGTGPRRFHYKDLVLATNNFSDGNKLGEGGFGSVYRGFLNDLNLPVAIKRISKGSKQGRKEYASEVRVISRLRHKNLVQLIGWCHIGGDLLLVYELMPNGSLDTHLYGGNNNNVLPWSVRYEIVLGLGSALLYLHQDWEQCVIHRDIKPSNIMLDAAFNAKLGDFGLARFVERDRAMSWTTVAAGTMGYMDPECMITGRTNAVSDIYSFGVVLLEIACGRRPVVVAEKEEDTVHLAQWVWGSYGRGRILDAADARLSGEFDAREMERVMFVGLWCSQLDLKLRPSVRQAINVLRFEAPLPSLPATMPVANYMPPVGTSSCTSSTVTSGNSSSGGTSTSSLAATAQQPHNQD >cds.KYUSt_chr2.29300 pep primary_assembly:MPB_Lper_Kyuss_1697:2:180145729:180146689:1 gene:KYUSg_chr2.29300 transcript:KYUSt_chr2.29300 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCFLHQSTARLAASARPAPAVGRTQLLVCKAQKNDEAASDASAVVTSRRAALSLLAGAAAVAVKVSPAAAAYGEAANVFGKAKKNTDFKTYTGEGFKLLVPAKWNPSKEREFPGQVLRYEDNFDATSNLSVVIQPTTKKTITDYGSPEQFLSEVGFLLGQQSYGGNTDSEGGFESGAVATANVLESSAPVVDGKQYYSITVLTRTADGDEGGKHQLITATVADGKLYVCKAQAGDKRWFKGAKKFVENAAGSFSVA >cds.KYUSt_chr6.18556 pep primary_assembly:MPB_Lper_Kyuss_1697:6:116730020:116733936:-1 gene:KYUSg_chr6.18556 transcript:KYUSt_chr6.18556 gene_biotype:protein_coding transcript_biotype:protein_coding MECTACRSRLVVPSPRSVSRAYDKHHNKITSKFRALKFLLVVGDCMLVGLQPILVFMSKVDGKFQFSPISVNFLTEVAKVIFALVMLIIQSRKQKVGEKPLLSRSTFIQAARNNVLLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMKRRFSVIQWEALALLLIGISINQLRTSPAGSTAFGLPITAIAYIYTVIFVTVPSLASVYNEYAMKSQDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPENFNILQGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAVFLGHTLTINFLLGISVVFISMHQFFSPLAKVKDDKPGEPLELEVTQNHRSSESSFVNMTAGAADDASHRVGTDERQPLLPI >cds.KYUSt_chr1.28241 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170505619:170506719:1 gene:KYUSg_chr1.28241 transcript:KYUSt_chr1.28241 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLKPFFIVISICFFALSKCTSAGSGGKPLVTAITKDANTLLYTAPLKDGRPLVVDLSGPAITLACSSKTGTVTRLSASATDGANPLFPVSFSATASCASKAPAGAVGVAGLAPSSQSSFPAQVARTQKVAKKVALCLPSDGKTTSGNSVGVAIFGGGPLFFIPSDRGDFTTMLAGTAPLRGFNGSPGYFLSATGITVDQKKVPLSGPLVVGLSSTIPYTALRADVYAPFVKAFDQAAGSPNFSPFVSRVAAVAPFERCYNSTKLSVGLSRLGYPVPQIELLLEGGQTFSVLGANSMVQVKANTACLGFVQMKAAGGQVPAAIIGGFQLENHLLVLDEEKKQFGFTTFLGAIGLSCSSFNFTRAA >cds.KYUSt_chr4.51140 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317018575:317021064:-1 gene:KYUSg_chr4.51140 transcript:KYUSt_chr4.51140 gene_biotype:protein_coding transcript_biotype:protein_coding SDYTMGDINNAHGGGPAAAGATFPVAMYVLFLSYLALLLVPCSDLMHVLSLMCVARWSGMEASYVFAVCWQRLARLAKDTIHMPIEEQVAMFLHTVGHNVRNRVIGGNFGRSGEVVSRYFKRVLHAIGELRDELIRKPSMETSSKIEGNHRWDPYFKDCIGAIDGTHVRATVPPDMEPSFRGRKNYEVGSNEPLATEVTNLEEEVANNGDVPDVEVTQSHNGCESSASKQKKKAKTNSNAEEGLQATLLACSERLAVAIEKTASTNKNPLDGLWGGMKGLPDFGLDFLAHYYAYLVENPNLATAFQVLEDAQKMVWVATYVKNTFPEAHDL >cds.KYUSt_chr7.6901 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41625887:41626858:1 gene:KYUSg_chr7.6901 transcript:KYUSt_chr7.6901 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRATTAEDAIHAMIDDHAAGSCKKRRIGSTDDYELTRILGKGGFGVVVKARHRATGIDVALKSHLRRSPARAGCKKTSHHSHVLHRDLLREACYLAACRGHPSVVGFHGIARNPRTGECSLVLEHVGPSLAHILRRRGKPFTEEETRRVMRQLLSGAGGMHERRIVHRDIKPGNILLGLGVGEGRGDLVVKICDLGLAVSMNERRLSRGQAGTLWYMAPEVLLGKPDYDELVDAWSLGCVMAELLAGEPLFRGQKATDQLLRIFDVLGGAHGNPRLRELFPEERLSRDGFEVLDGLLTCDPAKRLPAAAALQRPWFAISG >cds.KYUSt_chr4.53145 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329200449:329202329:-1 gene:KYUSg_chr4.53145 transcript:KYUSt_chr4.53145 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSVAVAAALLLCILAAHGPGCSAKHSSKSHHTPPHAHAPPHAPHPTPSPVTDPPPYVQPPPLSPPPCAPATPPAPVVTNPPPYVAPTPPSPAANSSANGTNADTGGWLDARATWYGAPTGAGPDDDGGACGFKNVSLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVAQNHPACSGVPETVIITDMNYYPVSRYHFDLSGTAFGAMAVPGRNDQLRHAGIIDMQFKRVPCQYPGLTVTFHVQHGSNPYYLAILVEYENGDGDVDQVDIMESRPDPSAGTEDGMAPTGEWVPMKESWGSIWRMDTRRPLQGPFSLRITNESGKTLVADQVIPADWAPNEIYSSIIQFD >cds.KYUSt_chr6.5813 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34905174:34906431:1 gene:KYUSg_chr6.5813 transcript:KYUSt_chr6.5813 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQWQQRRHPLRTPMDPLLSLAGTFFSAFSPSSSTEQGTATSTILLLPLPAAAARALSVLRRLALLATQAFISVFFAVLSALSPPPPPPPPSLAPSLPPPPRADQQGSPAASTSVGRALGHVLWVASRLPVASRKYELVRGLAERLLDDNALAGGAAVGAVSRAALSAAFERTLRQLEASATGGGDWPAMELAARAVRTGMRLWRPAVAPLEGEAAFGGPAAEKLAAELLWLAQKMAACGAALEAAVQFGNAPRLGGRALVAEPTLQVALLRLAVFLFRHANSAEFEQDTGDREGDKAAVAEHRMAMLRSWLPLLCRGSTGTDAPVLSGRERAEMVTVLEELIEKLRWEQQEEALALWLHHFAACPDTDWPNLERCYTRWYAESRNLLA >cds.KYUSt_chr2.36150 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223233208:223234940:-1 gene:KYUSg_chr2.36150 transcript:KYUSt_chr2.36150 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKTAAVASVYRASSSPSFPRSSGDAGEAVEVVRPVSSVLASPAGRGGGGERPVVGGDPFLVLMVEVVFFFGVSALAGRGGVGSSGWRQLGAGGGWPRRRLVGWKMGGAREVVLWPCAFIAFRFRCEAADGSCGHLQHVAVLLPRRIEQIREGEAQKLMASNFVDATGEEGTFRSTTPTGAAASSPRVMRRSFSSASSGSHGGGAGKCVCAPATHAGSFKCRFHRTNSQGHGHLHPSPPVSPAGASASSPPESSPTSSQ >cds.KYUSt_chr6.28858 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182824828:182825961:-1 gene:KYUSg_chr6.28858 transcript:KYUSt_chr6.28858 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPELAFCFPRFVAREHRRGYPRRTFSLAATRRWNSVRRRWNSGRRRWNSGRRRPNRNGDLRHRRAPYGFHHRRYGPGGGFRRHQRGNRLVPRNNCHGNRWTERRHSTGDPGPSTAVRREHTPFMHPVAVAEPVVLDVPEIAEEVVVAGNEIEASASHVTADPEDLMPPLPAFVVPPMEWLLGGPSVGWLVDDPEREYSDDELETPPPMMRYFERHGNRPCLPSPTPPDEEPEHFAPPGYASVTEFLEPPAAAPVDALPPALTTNLQMEMEGNEAVATARARALVPDLNLPAAEETEEENEDAPPAPSLPLPTPSPEARVILRRFAAAMAARPGGIRRGTWSPEALGLTNGVAELRLNEAAPHLPSSSMEEPGRR >cds.KYUSt_chr1.27345 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164819231:164819821:1 gene:KYUSg_chr1.27345 transcript:KYUSt_chr1.27345 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPPTATTAAAGEDPDAAAANNQQQQQQQQQQQAAPEELDDADQLSELRQIFRSFDRNKDGSLTQLELGSLLRSLGLKPSADELDALIQRADVNSNGLVEFSEFVALVAPELIDDRPPYSEDQLRRLFEIFDRDGNGFITAAELAHSMAKLGHALTAKELTGMIKEADTDGDGRIDFKEFSRAITAAAFDNVFS >cds.KYUSt_chr1.41788 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256237260:256239848:1 gene:KYUSg_chr1.41788 transcript:KYUSt_chr1.41788 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAISLPPLPSPHHHRHHNARSSRAPSPSQLRAESPLSAALRAGDGSSSFRDARFLVSLLRQCGDLLQGEDDSAPHPSSNTDLLAARRLAPQLHSLAVRAGHATREPHVACALADLLARLGRAASSRRLLAEGDADAKDAVLWNKQVAMLAEAGDWGGAIAAFREMQARGVAADGYACARVLHACGRAAAGDPRRREGRAVHAHALKAGLVDAHPLVPGFLAGMYAEGADVAAATTVLLRSNIDSAVAWNAVIACCVRLGLVDDAMELAERMARTGTADPTLATWNTVLSGCARHGRDREALAVVRRMLEQGLSPDATTVSSLLKSVANAGALVHGTEVHGFFLRHDLVPDAYTGTALVDMYAKCGRLDCAQRVFDGLEHRNLATWNSLVAGYANAGEFDRALELVGTMKRNRLDPNVTTWNGLITGYAMNGLSSQAMLLLRQIKAAGLTPNAVSWTSLISGSCQNGEYDDAISLFAEMQSDGVQPSLVTTLVLLRACAGLALLKKGKELHCFALRRAYAGETVVGTALVDMYSKAGSLTSAKRVFGRIQSKNLVCCNAMLTGLAVHGQAHEAIALFHDLWRSGLKPDSITFTALLTACRSMGLIAEAWEYFDGMETKYGVTPTAENYACMVDLLARTGYLDEAMAFLEKSPVDPGASSWGAILTGCSIHGNLDLAEEAARNLFRLEPYNSANYLMMMSLYEHQQMYDEAESLKYAMKARGVNTRPGWSWIQVEQGIHVFEVDGSPHPETSEIYEEMSRLVSRIKAAGYSPDRSCIAYDVPEEEKEKLLLCHTEKLAITYGLIRSDTSRMPIRVIKNTRMCNDCHEVAKHVSALCDRQIILRDGARFHHFVDGKCSCNDYW >cds.KYUSt_chr3.6996 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40356583:40357116:1 gene:KYUSg_chr3.6996 transcript:KYUSt_chr3.6996 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLPLSLAILVSLLAAAAASDHGDYSHPNSGGEKKLVVQVEGMVYAQSCAHRNSWCLDGATPLPGAKVTVTCRDRKNRVMAWRSVKADGNGYYLADLGDGPPAAAYYKGDPTKACFVRLLSSPDRKCDDLTNINYGIQGAPIRDEGKGSPADGYALYAAGPLAFRPRHCAPRRHY >cds.KYUSt_chr7.38813 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241563405:241567619:-1 gene:KYUSg_chr7.38813 transcript:KYUSt_chr7.38813 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAAAEFPPVESYQRQVDDIASKTDVLEKRVNEVTRFFDGKKHGSGGRKAGGSSRYATNGARDCKGTPDLTRQLGGIIRQITSHEWADPFLQPVDVVGLQLDDYHKIITKPMDFSTIQNKMEGKDGTKYNNVREIYSDVRLVFDNAMTYNDENHDVHIMAKLLLEKFEDKWLQLLPKVENEERKKEEPNDVPTTNNTTPEATVGKLAKDTDDELNELYNQLDELRRMVVQRCRKMTTDEKRKLGAGLCHLSPEDLSKALELVAQDNPSFQTSAEEVELDMDAQSETTLWRLKYFVREALERQANLAASGKAEESAKRKRDDIYNALAKTASKRVKK >cds.KYUSt_chr2.18650 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117548997:117550166:-1 gene:KYUSg_chr2.18650 transcript:KYUSt_chr2.18650 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGRQVLNVLLDGGNKDSRIIRIKRGAENASASPNFVKEPLVSGGIEDLGKRINGEHKEERREGVPLPKTSAMLDGRARDAIEEHPRGGGVRPAVESKSSSMPASCPYASHFVRVPNPTPSPPVTIHHTTMHHPAILLLLLAASPLAASAAISDCFGGVYAANSTYEANLRRLAAILPAQTASSQRLEASRDLGYWPSRVRAFSRCYLDRHQGFTSSSCAACVAAAFREAETACPHNTRVIVFAGNCTLALADYPRSIPFFGQFFHLSSTTR >cds.KYUSt_chr3.37041 pep primary_assembly:MPB_Lper_Kyuss_1697:3:233047899:233052837:1 gene:KYUSg_chr3.37041 transcript:KYUSt_chr3.37041 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCFTGLRSKKKKSPLVSNKKQTYARDTSLRLPEPEAHVPSLQSAPSSFRNRAKICQSANKVSHSRARVLSAPSSLILVDQDGLPYAEFDDQDDCRGKGGAIKAHRFSNPLPLPLPSPEGNSLRNFGSFKAINASGPLETSGPLPLPPKRCDGLKNFSYDEISSACQWFSGAQCVSETLTSTSYKASFRDDFVVPKTMEAIVARLLPSNQSFKEFKMQVNTLASLQHPNLCKLIGFHAKEESSERMLVYELLHHGSLDRLLFGRPDGCFMDWATRLKVALGAAKGLAFLHDEGPFQAMYDEFSTSNIQIEKDFTAKLSGYGCVGFNSEEERSSASMAGKNLSVETLEKGVLTPKSNVWSFGVVLLELITGKKNLDVRSSMEERNIVKWSRPFLTDDSRLSLIMDPRIKGRFPTKAARTVADIILKCLDRDPSERPTMRAVVESLLSIQDIKVVKGTTTLEVDCDIVVVEVGVIYVAVVVRCVATSVQGTKYYELPCDAGPLVLVMGDPYCFVGGSLGEALSVELKNLVLLSHHEEATNLLRRRLLVGDLGDALEDGRIGLLED >cds.KYUSt_chr6.29452 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186676009:186677921:-1 gene:KYUSg_chr6.29452 transcript:KYUSt_chr6.29452 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCKSLLTTMYLLHMKMRAEIDHMLAEFTDPDKVQTRMRDLRAQPQHTTPFFSLDSYVDLSDQLSHKDPLTPNFVPHYPHVSASYESGYGRDDSRNLNCSESPIENSTGWRFGEPFGDEGEPITSTNSSSSLGYGALGDHLVKSLHQKTFLVEGYLQDMVGHDVVAVGHDVIGIHPTGTNVTIIWRAPRTPPRLPSPAARHPLPRLARLELHSFDFFPVAQDHRSAEKHHDRVCHNRLPSTVAFYRWYGTNSHAIRSVTGDHD >cds.KYUSt_chr2.32226 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198818462:198820241:1 gene:KYUSg_chr2.32226 transcript:KYUSt_chr2.32226 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTPNLSAAARSRRSMPPPPTPAAALSPPFSPTPLRARRGAVADSSSSSSPPVEHPCVRLLEWWLARVEGEERKLAVCGYTEKNEAFTSAPIAKRHEPLTIQDEDGVVVLLVGSLSYPRMHENGFSAQICERFMIGFPYWWETWDSHMENFPQFFIDPREGSAQFYLQKFQLGKFLETLGPSFIQNLLKDDKNNTFNGADTFAECSRFEEYTCGSDISTQEKSAESNDARPELVASEVDNVETDLIASSPSQQKDNADIHCNLVLGPTEACTSDGTCQETGNQNDTIHPAAAEREAGSHLVNSNLIFSRSPDCMPCGLEDENTSAGNSEGMVSKCLLDAVPPEKDNFCSDIAGTLQGVEPLSYESTPLASLKNQVCLEGTELITVTQKAVPNEDTSTPVHSDAQSLEKVSFKPHS >cds.KYUSt_chr5.9514 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60456065:60457267:1 gene:KYUSg_chr5.9514 transcript:KYUSt_chr5.9514 gene_biotype:protein_coding transcript_biotype:protein_coding MRISGSSAVLVALLALATVVVGGTAASVCDGVSSCGMGSCNEHLIPILSAYVCDCYPGWHRLLPIPTSAPCYIPFCPTDFTCYIPTPKFPPIGSNVTLNPCLFMDCGPEGTCVEEKDKPLRCQCKQGATNSLNDPSLPCIKGCVIGKDGCPVPTPPPPPPSPPSSSPSMAPPGNDRSSGPTAPSKTKGNSSPLGSMHPTNHHHIYTHPFINSTSLGVSRAQIEVEKDYELPRRNFALHCRLGSFGESAAAGLARGSSRRVMT >cds.KYUSt_chr1.10619 pep primary_assembly:MPB_Lper_Kyuss_1697:1:64967241:64967606:-1 gene:KYUSg_chr1.10619 transcript:KYUSt_chr1.10619 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGPALQRDPGRAVCQAAMERVGSGVAAVEEMLRLPQSFSTCAILLFATKIVEPWKKLSWRLLLRPLGPVVSSPSSLECEGGDARCEAAGELAVRNPPRRGLVSSPGPAAASPVIPQQVL >cds.KYUSt_chr3.25947 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161277947:161279167:1 gene:KYUSg_chr3.25947 transcript:KYUSt_chr3.25947 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLMEDDSSWEAHSFERIRVPAFNFQVVSEAINGEQELPCSLDIVVPDDILEKIFTFLPIASMIRSTAVCKRWHGIINSSRFLWTHMLPQRPWYFMFTCNETSSGYAYDPILRKWYDLELQCIDKSSCFVSSSCGLVCFMDNDTRNIISVCNPITKDWKRLLEPPGAKLPDYSTIAIMVDRVSHNYTVTLATSKQVAEDYVQWNFSIYKYDSWNSSWVIARKEVLIGWRGGDDSVICGGVLYCLIQSTGVLGNINPRHRLIMLDLVAGPSEASLMQSSIPVPCSLTCGRLLNLREKLVMVGGIAKHNRPDIIKGIGIWELDKKQWQEVARMPHKFFQGFGEFDDVFSSSGTDDLVYIQSYGATALLTFDMKQKQWKWSAKCPVSRKFPLQLFTGFCFEPRLDITT >cds.KYUSt_chr7.9824 pep primary_assembly:MPB_Lper_Kyuss_1697:7:60168977:60172468:1 gene:KYUSg_chr7.9824 transcript:KYUSt_chr7.9824 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDLHRAMGMLNLAALEEQGLKLGVEALLSELRISIRAVCDMEETSAGVIELMQSGVRLLSIRLCQVLEEVLTKQQRVHVFRQVSLNFPLMSVFVRPRLNRCLKRLRIKVTQLEFDSRSRPVSEQNLSALRNSSYSTVVGKVAENMVEKMVRILLVDRTGDDGPLFLPIAGGPGSGKTVLAHTLFNDDRVMGMFVLRAWVHVSPHFGLSAILLSILTVSSSCDNVDQYDQADLKRHVDKYDQADLKRHVRETLSGTRYLLVLDDVWSESEQEWRALMQALPSNGTVIVTSRVSAAVCCVTSVGTGEEATLEKRLHSRFVHLWTEKAAKIRCEAEIQMLRMEEPDEFILQSAHASYFHLPLDLRKCLLYCSMFPFEYKFDPEELTDLLAAEGLISPTVTHAQRRSYLQKLFDVCFHPVEKSGNATAKRTFRMYNIENIPSFVSKLQNLQTLVLSHCEKLQKLHGTISKLVLLQKLDLQGCCCLMELPSNMGEMKSLQYLNVQECSSLKRMPYNLGQLANLQTMLGYVIDGRAISELQSLENLKELSLECLENISDVANASDLRLEDKQQLDSLVFQWNKNSVDSSSRASEVLDCLRPNEHLRTLDIVAYEGIAFPTWMTSSNAHLISLVEIRLVNMKVCQTLPPLGLLPLLKTAEISGAEAISSISDSFYGQNGTFPSLKKLIFSNMPNLELWEQPHYGSVFPCLQEVTIIQCPKLALRVEPPSVTKLILWMNNQKLHSSTGALGNMAHILKHVSISFCHELRASSYCEGLQDLCSLKGLELCGCDEMTCLPRGLQQLSSLRSLTIVRCGTLESLPDWLENLPYLRLVRLSACPMLHSVPESLRLRHFTRICIEDCPNLKAQSSETDWEWYDSDDDLLVDVIPNNCT >cds.KYUSt_chr3.25698 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159562350:159566450:1 gene:KYUSg_chr3.25698 transcript:KYUSt_chr3.25698 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETASHAREQLLAGERRLVTVARTARRRRLELRRLGRTVSSAAEDEGAKRVRPAPDGGSEEDTTDSVRVAPGDGMGVACVSHGAVSVIGRRREMEDAVAVAPPFLAEKAAAEGSGDAENGQEGFFAVYDGHGGSRVAEACRERMHVVLAEEVRRLRRRVGSEWQGGASDDDGARWKEAMAACFARVDGEVGADDDDTDAASEQTVGSTAVVAVVGPRRIVVANCGDSRAVLSRGGAPVPLSSDHKPDRPDELERVEAAGGRVINWNGYRILGVLATSRSIGDYYLKPYVIAEPEVTIMDRTDKDEFLILASDGLWDVMSNDIACKIARNCLSGRAASKYPESVSGSTAADAAALLVELAISRGSKDNISVVVVELRRLKSRMGAVIKENRR >cds.KYUSt_chr1.1086 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5879267:5880070:1 gene:KYUSg_chr1.1086 transcript:KYUSt_chr1.1086 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCCLLLLFLAFLFSPAASSDTTPCHPDDLHALRAFAGNLTGGGVPLRAAWSGASCCGWEGVGCDAASGRVTALRLPGRGLAGLIPGAPLAELDHLSFLDLSGNSLVGEVPESLQIRLEGLATAARSFATPFTDMPLLYVEERSRRTLDEEPNTITGTNNTVVSGNTNVLSGNDNIVMCGSNNTVSGSNNTIVRGCDNVVAGSNHVVRGSNHVVSENNNVVSGHDNNVSGSFCTVSGNHNTVSGSNNTVSGSYHTVSGSNKVVTGG >cds.KYUSt_chr3.25930 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161175750:161176661:1 gene:KYUSg_chr3.25930 transcript:KYUSt_chr3.25930 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPDEEAGLGLPEDERLLEVTIISAQGLKPPSGLRRRLQAYAVAWVDTAHRLHTQPDRFGGLDPAWHERFLFRVHEAALAEDSRAAVTVEIYASPSGSWHIGGDSLVGSARFLLGDNRLLCRPVGSPAMFAVGVRRPSGRVHGLLNVATSLVAAPPSPAASHALSVSPAVSLSGLPPAVAISSLSTPPISGRVLRVLNRAHPTPPPSPKVLTPKKPQASLKPNSKGADDQQVAVKPNNKHEDDASDQENEEDTGYMGGVMFCGPCVLPFPRKIHSSPSDDNLQAFAGIFTGGVGMARPSPRH >cds.KYUSt_chr5.14167 pep primary_assembly:MPB_Lper_Kyuss_1697:5:91885438:91886539:-1 gene:KYUSg_chr5.14167 transcript:KYUSt_chr5.14167 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDSPTSSRQESAAGHSVAVFEMGPRVSSWRPRAGSSAGDQGVFRSSSEAARSPEGIWDRRLRHCCDALSKRGCSGSRSKSSGVFIDLYRLHGASVLGTQSVVMYVMMELLIFDPRYA >cds.KYUSt_chr4.27141 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170605768:170608290:-1 gene:KYUSg_chr4.27141 transcript:KYUSt_chr4.27141 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATAHTLLLVLTVLALPRVGRAGPQRDRDSLPRGSSIAVEDHASDVLVSPNGAFACGFHAVSPTVFTFSVWFARSAVADRAVVWSAAAGPTARRVVHSQGSRLALDARRGALVLTDYDGEVVWNSTAADGAARARLRDSGSLAVEDARGAVLWQSFDHPTDTLLPAQSVGANGLVSSGRLLAPGHYGFRFSDYAMLSLVYDGGGGQVSSIYWPNPYFSYWQNSRKIYNFSRQAGFDSSGHFLASDNATFDAADLGAAGVTRRLTLDTDGNLRMYSLDARGRTWSVSWMAFPNPCIIHGVCGANAVCLYTPSPSCVCAPGHDRADRSDWSRGCRPTFGSSTAVARDQVKFVELPHTDFWGFDLNNSDFLSLDACQAQCVGEPSCVVFQYKQGKGECYPKSLMFNGRTFPGLPGSAYIKVPADFDVPAVNVHQWQTDGISGLATQEGIARCQGGAGLPPEFLLNVSSTARASSSQRKSLWFYFYGFLSAFLVIEVFVIAFGCWLFSNKGILSRPSELLAVEEGYRMITSHFRAYSYSELQRATRKFRTEIGHGGSGIVYKGVLDDERMVAVKVLQDVSQSEEVFQAELSAIGRIYHMNLVRMWGFCSEGVHRILVYEYVDNGSLANMLFQSSGNSDKLLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDNEMEPKITDFGLAKLLNRDGSDSGLSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELMKGRRVSDWVVDGAQGLETDVRAVVKMIIDRSKLDDVGWVLDLVDERLDGQFNHVQAKMIAQLAISCLEEDRNKRPGMKNVVQMLISADDESRGHQYPDI >cds.KYUSt_chr2.37826 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234169049:234169711:-1 gene:KYUSg_chr2.37826 transcript:KYUSt_chr2.37826 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIKIEVVEQMKIIAKQLENLTCQREEDQVFLAGLEQRLMALEQRLPALPPPPVSMDATATHDTTSTSLTNNTSGAPFSSGGIATAIGILPTGQIGHLHHFAPPPYSSHPTPNPSPILPPTYQQLVQLTPSTKGMQLEAVEVQKLQGGAEVVPPPPAAGSELVKKYHVLLHPQREGVVFSNSQNTRSWRYTSLMWGYLGRQLWPPPEQLEDKLLVKG >cds.KYUSt_chr3.13594 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82012184:82017332:1 gene:KYUSg_chr3.13594 transcript:KYUSt_chr3.13594 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAAAGGGKGPGGVKVGGTGTGTGGGGPAFVGQVFTMLDPSGNGLMAVTKRFHLPHFIADRYYSQLLLLLLLLLNIASSAFRVSYVKRLNVPSGMVGACRLDVAYEHFKEKPHMFQFVPNEKQVKAANKLLKSIPQRSRRKKLDGVPVFSAQNLNIAVATNDGIRWYSPYFFDKNMLDNILEASMDQHFHSMIQNRHVQRRRDIGDDSLTSEILEESADSFFEPPEVKELMNEIGPAGIPLNIVTKAAEIQFLDVVDKLLLGNKWLRRATGIQPHFPYVVDSFEERTAVSADRIDITSNSSTTAKDADCCSINQQSQSMEPNLDSGSHRKHSSQDHGQSHFPFSNLLPNIWPGHDRAFKQRENDRRSRRYDDSMNNDLQPNPLLPKITMVGISMSEGGQMSKANLKKTMDDLTKELEQAGEKPVSNVEKDPLFVANVGDYSRITKISST >cds.KYUSt_chr5.7504 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47298542:47301103:1 gene:KYUSg_chr5.7504 transcript:KYUSt_chr5.7504 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALLAAAAVALIVCSPASAAGNGQNRRRRAVVLPAVYVFGDSLVDVGNNDFLPPPAPQAGFPCGVDLPRGNPGRRTGRFTNGYNLADFIGESATAQRVGFNMSPPAYLSLTPQVSLDLLSGRVGANYASGGSGILDATNRMSGNVWTLPNKMQGNGTITLREQIKFFANTKASMTKTDLGHNKVNRLLSRSLFIISTAGNDFSAFSYGRANMSDASSYIANMISTYLKHIKVLYDLGARRLGLLDAVPIGCLPGSRASSINDGPCNDASNSLAQHFNALLRLEMASATAASMPGMEYSIASVYNIISDMISNPPIDGLEEVSNACCGGGRLNAEVSCSATSNLCTDRSRYVFWDNVHETQAAYQRAVAAMFEGTAAAMYTEPITFQQLVTQKQVAPGAGIDLEHSSADLAAEI >cds.KYUSt_chr4.10615 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64495827:64496609:-1 gene:KYUSg_chr4.10615 transcript:KYUSt_chr4.10615 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSGATAASAPPAGMYGSYAAPPTSSGGYAKIPTYPTPPSSYPNPTHVPPASPSPSAPAPIQDPTAPPSSLAKAAELVTRFREQGYALVAARRPWGEVFRTPAFSRPPNLGEAVARMRRNAAYFRSNYALAILAAVAASLLWHPGTLFALLALCAAWFFLYFARPSEPGQPLRILGTEFDDGTVLAALSGVTVVALLFTDVGWNIVGSVMVGVALVAAHAALRSTDDLFLTEQEAAGNGIMAAGINAAGPILPTYVRIA >cds.KYUSt_chr4.37893 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233724273:233725049:1 gene:KYUSg_chr4.37893 transcript:KYUSt_chr4.37893 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPAEGRRVLVAVDEGDESAHALRWCLANFAARGDTVLLLYVRAPPPTYSVLDATGPVGYMFAEEATAAVEGYSKQVADAVVEKAQKLCALHGKENGEMKVEVKVSVGDARSVICEMVDKLGADVLVMGSHGYGLFKRALLGSVSDYCVRNANCPVLIVKS >cds.KYUSt_chr7.16888 pep primary_assembly:MPB_Lper_Kyuss_1697:7:104586906:104588091:-1 gene:KYUSg_chr7.16888 transcript:KYUSt_chr7.16888 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTRSAFLGLALVLSALAGTANAQLSPNFYSTSCPKLAGIVRSGMASAVQKEKRLGASILRLFFHDCFVNGCDGSILLDDSSTITGEKNAGPNANSARGFEVIDAIKTQVEASCKATVSCADILALAARDGVNLLGGPTWNVPLGRKDSRTASQSAANSNLPGPGSSLATLISMFGNKNLSPQDMTALSGAHTIGRSQCQFFRNRIYTERNINASFATLRQGTCPRSGGDSNLAPFDVRTADGFDNAYYQNLVEQRGLLHSDQELFSGGSQDALVRQYSNTPSRFSADFATAMVKMGNLLPTSGTQEIRLNCRKPN >cds.KYUSt_chr2.13560 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85956267:85957847:-1 gene:KYUSg_chr2.13560 transcript:KYUSt_chr2.13560 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGLFGTAAVAVVVSLAVHVALNSPIQPVPSPAPPAALYTPNNLLQGLEKLGEGLLREPEDVYVDAADGGTVYTATRDGWLQRMRPNESWEQWRFVGGTGLLGIAPSADGSMLVCDADKGLLRVEEGRVTILASTVQGSTIRFADAAIEASDGTVYFSDASTRFPFDRWFLGYLEARRTGRLLKYDPRTGKTSVALDNLAFANGVALPRDETFVIVCESAGYRCLKLWLKGDKTGQTETFVDLPGSPDNIRRAPDGSFWIALIQLRSPWLDLVSRWTFTKRVVAAFPVLLDRIKATAKGAMVTHVSEDGEIIRVLDDSEGKVINFITSVTEFDGDLYLGSLATNFVGRLSLAKLPQVQEAVPS >cds.KYUSt_chr1.28056 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169195461:169207265:1 gene:KYUSg_chr1.28056 transcript:KYUSt_chr1.28056 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSPVREPSPPAREPSPPAREPSPPPREPSPPAREPSPPPREPSPPPKSKRKIRRTATTQLSRNKSPRRKQEPLPRVPKVAPKRPYDYTVEENAKIVAEQHKQQMLKLKKPQLEPEPAISLEKKLKLLKNLHQPEPSLSSNYDRSIRKSNVLARERWEKSKVDGKPVPQLGAQKNSCPPLQVYPDVLACLDPTMVKLYKDEADAAGMSIPEYLSRIEFMYTDDVQLAYHYTYGEPLVRAAELPNLSTQLRRLHNWYLDACKNGQNWIMVAIRDEHYGRTDVMNIEFCELFQLFNQDALDKSLLSAYCLMKIRECRKGQIYDLGFVDPYTVNGYTVDNSPKDTENNLVHVLRKNSYKRAILFPYNFAFHYILLVIEPDTGIVEVMDSKSKPLEAWGDMADILHKAWKRFTNKAPGLKNKELRIKHVPCLRQEHGNNLCGFYVCEFIRQNTHHVRDILEQLDVRELQWFKLNFGVITLLPKKENAIQIQQYRPICLLNVSFKIFMKVATIRANTVAEKVISPTQSAFMPGRHILEGVVVLHETIHELHRKKMDGVIFKIDFEKAYDKVKWPFLQQVMRMKGFDPKWCHLIKQFVMGGSVGIKVNDDIGHYFQTRKGLRQGDPLSPMLFNIIADMLAILIARAKEDGQIGGLIPHLVDGGISILQYADDTILFLEHDFEKALNMKLILRFFEELSGLKINFHKKDIMEALNVKCMHNNEKTSSASCLHFSQQQSDDESLCNTEGYNKISDELAKGDVQAARVSGGGFVLEFFKFAGGQHHARSSTSSWSSSRCTDQDACMQSCCNADYNTVSYLDSVEFGLNSLPNEYPRILVWKGNRIRKYSEMDKTSRNSFGKRPLKRLVPASVYQVERSIGKDSCKKFSKTFSSPFNLNGKLKALFSSFLTNEVIDDIVQIIHTNNIGKPKDFEAWSHSLVCDVLSCLQNSQHAPEPSCSNNSIGNLFQFKFPHGMQNNNPTTRNLKPANNNDNDKATKVRNEVKIQMSGQSLCTV >cds.KYUSt_chr5.27497 pep primary_assembly:MPB_Lper_Kyuss_1697:5:174107376:174107975:-1 gene:KYUSg_chr5.27497 transcript:KYUSt_chr5.27497 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAQSAERVMPEQVVHDLHREDQILQERSMCGCGMQSTRQRTEEERDASIICHVHHALRHYNANHEGLDFVPVKPLMAAYVGFRGHIWAHVSFLARRSGKPVTHFFAELRYDYNFGTPTVETCTIVGKRPA >cds.KYUSt_chr6.31683 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200251179:200254364:-1 gene:KYUSg_chr6.31683 transcript:KYUSt_chr6.31683 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWPPLAPAAGDAAFPPLGPAAGDPAFPPPPPAPFPAAAGGAAPWAWGDAATARRETVAKESAAQAVSRIVSSCADSGGVAVAVVDANAVISGGAALATTAGRLVTVPEVLEEVRDAAARRRLALLPTPVETVEPAPEFVKKVVKFARETGDLQTLSDVDMKIIALAYMLEAEIHGTSHLREHPPPLHVVSVRSLKEAPLPGWGNNVPNLAEWEALDKMSEAQGDLSSRILPLKDLENQHVPTSETNSISETPGDEEFQPSKREACIPWEDDENNEGWFPAVSRTTHRKYLRRKARRDALKGSEQSFDTSSIAPSVDDDNDLSENGLDPVDDTSAVPEKTRSNTDILQSQEENKHQIAGDHFHSDQLSNGDNGVGNAAAVEGRDATDACTEQLGNLDIKSETEEGLEASFVDDESSEQSWALRSLSESTVACVTSDYAMQNVILQIGLRLLAPGGMQIRQLHRWVLRCHACYKVTQEIGKIFCPKCGNGGTLRKVSVTVGENGITMASRRPRVTLRGTKFSLPMPQGGRSAVINNPILREDQLPSKVLHPKSKKSNKLGDDFLGAEDIFTHSGEKKVELKPPVRKALAMFSGKRNPNDNHFSRKKG >cds.KYUSt_chr7.2026 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11594814:11595500:-1 gene:KYUSg_chr7.2026 transcript:KYUSt_chr7.2026 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLSKAGLTPAATYEYGLLFSENELEPADAPDFDHDLLKSMGVAVAKHRLEILKLARKDAAAAASANSLSSSVTARLARKAGKCIARCARRLAGGGGKASTSVVPRICSSGRASTTVVPRICSGSDDVVVRAGALRQRKRGVKKMVLMITDSGVATGAGGRVRFSSGSSQKASLMFHDCAYEEDEEEEDASGGEEEQSSDGGAAAATGRGEIKWDCMFQDLKPT >cds.KYUSt_chr3.3476 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19722510:19723410:1 gene:KYUSg_chr3.3476 transcript:KYUSt_chr3.3476 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKTAVATSVACVLVCAESLLNWMCFSPAIGRFEKAFNFLDDDGSDCCLWEGISCSSSLGDVTALELSGRGLYSPGLDPAIFELTSLQRLDLSINCFGQYSLPASGFERFSLLTYLNLSNLGFSGQIPIGIGRLASLISLNLSTYQYTTSGDSVYADVESVYASISVVKNSLHLYQPNFHILVASLNTLTELYLDGVDIWSNTEGGVVPLLNIFKISEFLA >cds.KYUSt_chr4.19616 pep primary_assembly:MPB_Lper_Kyuss_1697:4:123305357:123308510:-1 gene:KYUSg_chr4.19616 transcript:KYUSt_chr4.19616 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTVVGQLLMLLIASGAAQAIASSTLGNETDRISLLEFKKAILLDPQQALVSWNKSTHLCDWEGVSCRTKDPRRVVSLRLANRGLVGQISPWLGNLTFLRNLALPTNILTGKIPPSLGRLRRLQTLYLSNNTLQGIIPSFVNCSGLKVLWLNNNGLTGQIPSDFPPNIQQLQFSSNDISGTIPPSLTNITVLKKISFAFNRIHGSIPDELAKLDGLEILYVGSNNLVGRFPAAILNLTSLIGLGLNTNMLGGELPPDLGNSLPNLQILSFAQNFFHGKIPHSFVNMSNVQVFDISTNNFTGVVPSSIGRLSKLVEFSIQMNKLEASHKQEWEFMISLSNCTELQVLSLASNHLQGHVPSKAKQLMILLLSSNKLSGDIPSTLGDCRSLEDIELDNNIFNGSIPTSFGNLFSLKILNLSYNNLTGPIPDSLGKLELLEQLDFSFNHLEEYGGGGQVSTAADVYSFGVILLEIFIRKSPTDDTFKDGLSIAKFAEINFPDKMLQIVDPQLAQELYLRQEAPVADDSAVHCLRSVLDVGLCCTKSSPRERISMEEVAAKLHRITDASCIYGNLRGT >cds.KYUSt_chr4.17431 pep primary_assembly:MPB_Lper_Kyuss_1697:4:109054424:109058675:1 gene:KYUSg_chr4.17431 transcript:KYUSt_chr4.17431 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYIDHTMYKVGLGIHNLVSYVLYTDSTSASSCTPSLLGLQAAPFLLGLLLIACVVTAGGDGGARALPHLELPGTPDLARAHASDAPAPPQVLMDIMSTSGCGRFAALVAATPNVSDVFQQRLVAGGGGLTLFCPDDKAVDAFEPTFRALADGVQANVLLQHGAAARYVRAQLAPFEWVAVPTLAVADNTTVLVRDMGDSIRLWLGPTWPRVGQATVTKTISSSEAPLVLYVVDGVLVRRQEPDGRDEASACGDLLDFVRCFWLHCFVVPGGAVVLFRYITDTEKIMLGSPAEVPGEGPAKKRRGRPAKVGHFHEEAGLDHFLRIIFKPTFGRLMIPKAFVKWFREIPSNIIVTTNTGCNWRMTTRREANDAFIDHGWTAFTVAHQLKACQFLTFRKVSYFEYSVVIFDHTCTDVVSR >cds.KYUSt_chr2.45267 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282321495:282322484:-1 gene:KYUSg_chr2.45267 transcript:KYUSt_chr2.45267 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLRLQMKHCFSPPSLRSYLAEFISTFLFVFTAVGSTVSARMLTPDVTSNAASLVATAVAQSFGLFAAIFIAADVSGGHVNPAVTFAFAIGGHIGVPSAIFYWACQLLGSTLACLVIHFFSAGQAVPTTRIAVEMTGFGASLLEGVMTFMLVYTVHVAGDPRVARGRKGLATTALGALVVGLVLGACVLAAGSLTGASMNPARSFGPAIVSGDFKNQAVYWVGPMIGAAVAALVHQNLVFPSAPEQSLPPEPRHGSVETVVV >cds.KYUSt_chr7.36924 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230616737:230619172:1 gene:KYUSg_chr7.36924 transcript:KYUSt_chr7.36924 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGAYIFTTSISFLLMLMSIAATKDHGSSYLARGSSVSIDEGTSTTTPVLVSPNGEFACGFYRVATNAFTFSVWFTGSSGKTVAWTANRDAPVNGRGSRLAFRRDGGLDLLDYNGAAVWSTNTTATRASRAQLLDSGNLVIVDPDGQQLWRSFDSPTDTLLPSQPMTRNTKLVSASARGLLYSGLYTLYFDSDNQLKLIYNGPEVSSIYWPNPFNKPWENNRTTYNRSLHAILQPTGQFSSSDDFEFEASDFGDKVMRRLTLDYDGNLRLYSLNTISGNWSVSWMAFSLVCDMHGLCGKNSLCKYIPKLECSCLEGFEVVDGSNWSKGCRRKVNIRANRDKQIRRKANISTQDFSFRKLADTDFYGYDFGYAEHVPIQKCRHMCLNNTDCEAFGYCQGNGKCYLKVYLFNGKIFPNQHNDIYLKVPKGALSSSKLASSVTHACKFNEKEANVSSQMLKDGSSKFNFGYFLSSALTLFLTEITLIVAGCWVVYKWERRSEITDEGYKIISSQFRIFSYKELQKATNCFQEEIGSGRSGAVYKGVLDDERKVAVKKLNDVIQGEQEFRSELSVIGTIYHMNVVRIWGFCVKKTHKLLVSEFIENGSLATVLFDYQSLSPVLQWVQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDKDFDPKIADFGLVKLLKRGSSAQVLSKVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGIRVSSWMVEGEEVEMAVRCSAEILKEKLAGEDQSWLLDFVDYRLDGDFNYSEAIVMLQIAVSCLEEERSRRPSMSHVVETLLSLAE >cds.KYUSt_chr5.16931 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109013320:109019804:-1 gene:KYUSg_chr5.16931 transcript:KYUSt_chr5.16931 gene_biotype:protein_coding transcript_biotype:protein_coding MNATKSTDTSPDTGFPVSCISTGSCTSPVYCIYPTSSYISIGYCRGPVYCTCPADSYITTGSCRDPVYCTCPAGSCISTSSCTSLGSCTFPSQITEVWGHSNSHPCGDQSMEEMMSSNSKSVQAAVAAGERKPRPRPEQRVECPRCKSGNTKFCYYNNYSMSQPRYFCKACRRYWTQGGSLRNVPVGGGCRKSKRPAAASSSDGQNKQLGAASSSSSEPPPMGTAPACAAMMDFVPNVLPTYMSAGFEHPGSLSLATFVSASSSNLAAPGSAGTSSFLDVLRGGAGGVLDGGHRLNSGGYYFGGPAAGSGIGMLMTPPAPSFGIAGTMLPHGGLVFGGNGISGTAAAAFQGGDGDGSMMGLQWQPPFGNANVGGGVGSQQQLGTGNNDAATGNNNNTNGGGGGGDDDDDDDGSSGDCYWSNNGGSSNQWQSLINSGSLM >cds.KYUSt_chr4.16601 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103108648:103110528:-1 gene:KYUSg_chr4.16601 transcript:KYUSt_chr4.16601 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAIQAIGSHGSVLKSAVLKHISVAKPAMLPAVFPRFMSVSSAQIEESGFESGTIADILKSKGKSADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGQDKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPDTRVLQAMQLMTEKRIRHIPVIDSTGMVGMVSIGDIVRAVVSEHKEELNRLNAYIQGGY >cds.KYUSt_chr2.50085 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313270590:313273487:-1 gene:KYUSg_chr2.50085 transcript:KYUSt_chr2.50085 gene_biotype:protein_coding transcript_biotype:protein_coding MLECKACHLTYTWRIETRGDRPRSLATPADHPALLPHARKHRASLKGRKGLYKKPTLQVQPSFNHKLVTFFPAAQLSDKQASLIPSSGLATMQQQQQQLPTMAAPARRLLLAPATTPGPFIADDRDIIIILASLLCALICVLSIGLVARCACGRRLGVGPDAAAAAANRGVKKSVLRAIPTVAYVAAIPAADKGKSSSEEDAGAPECAICLAEFQEGEAMRVLPQCGHAFHALCVDKWLRGHSSCPSCRRILAVRLPPAQRCQRCGARPDPAAARWKPAAHYGEMPPFLP >cds.KYUSt_chr7.39744 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247080015:247081104:-1 gene:KYUSg_chr7.39744 transcript:KYUSt_chr7.39744 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGPPGAFRRITVHYSTAGDEANNDSFLEFVIGDVLEDQESLYQSIMGARTPGASESSHHCHEESCTSRGSRSGETSRTSDEQIVADFEYARQLQEEMGDLTIETHTDDDEQDDISCVPSPSDTDDDDNGDDEEEAARQDDDSDNDDVDPDNMTYEQRQELVESVGNESRGLSDELMRYLVPWKYKSGSGFFSRKTNHDE >cds.KYUSt_chr6.21446 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135377942:135379088:-1 gene:KYUSg_chr6.21446 transcript:KYUSt_chr6.21446 gene_biotype:protein_coding transcript_biotype:protein_coding MASALFPLHAKGPGSGVVGGQYRKKSKRLGTWKVEMDAGRRGEGSSSTARQTRRCSSRIHRVPNKVVSERSTRSACSLPFPPSSLDSIEESSSSIGTGHPHPPFPKSIKVCSGFASMASSPSTFPFLFPTTGPAYAPTAAFPLPPCSCGAPAYSSCSFPPAVVYPPPGFPSSYQPSPFPLHSYPPAFPSAAAYHHSSPFFTSPPAALPSEIHTQIGPNWSPAAPTPIHADLHHHHRSPPTHDHLPVQAAPQPNAAPTAPSRERLPAQSRHTLDSRPPQSKTSTR >cds.KYUSt_chr4.1419 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7461752:7462474:-1 gene:KYUSg_chr4.1419 transcript:KYUSt_chr4.1419 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVAYQGNTSAATADWLNKGDNAWQLTASTVVGLMSVPGLVVLYGGVVKKKWAVNSAFMSLYAFAAVWICWVGWAYKMSFGEELLPFWGRPGPGLPRRARRPARHRALPRRRHPRDGHGRAIPPHGHRGTVVYFQCVFAAITPILVAGSLLGRMSFLAWVLFVPLWLTFSYTVGAFSVWGGGFLFQWGVIDYCGGYVIHIPAGVAGFTAAYTSRKSLMRTGSRGAFVPVLQPVQIIRH >cds.KYUSt_chr4.4773 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27292510:27293597:1 gene:KYUSg_chr4.4773 transcript:KYUSt_chr4.4773 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPKTGLFVGINKGHVVTKRELPPRPSDRKGKITKRVHFVRNLIREVAGFAPYERRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRYVSICSSVIEDVANS >cds.KYUSt_chr3.28005 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174790138:174792536:-1 gene:KYUSg_chr3.28005 transcript:KYUSt_chr3.28005 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPAPALAGGGRTLANILSATEWMLPTAATQVHTVSVLPSHPSSPPGNLAFSNLTTAPKSSGGKGEEQGIPRFDVVRDDLLHPLANGNKARKLDALLPLLRRRGATDLVGNPPSTLLSSQCLSFCSDGVFTSSIADNMRGLPERSCGSRRSHLLLRGEQLDVPTGYNLISLMFGNVTYAARSVYAHRDEMLYEHARKVAGTNGTVLWADDIVRDDFAVDEENAHENDSKRVVIVKEGAGTVQALLGVMRLVEHLSNLSSFQKDEVHIVVDAGTGTTAVGLALGAVCLGLHWRVTAVMLADTLERFQEQEKSLISDFKALCHEDCRDVIGADGLVHWVDRSSPRKFGKVLSGEISSCRHVAQQTGILLDPVYTLAAWEQAVDLCRGEDRGAKVVMIHTGGTLGLFGLAQRYPQHFSAANGQS >cds.KYUSt_chr6.17564 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110556887:110559771:-1 gene:KYUSg_chr6.17564 transcript:KYUSt_chr6.17564 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGTVGGCTPALLAGLFRPGDLARGSHRDGAICGIETATPSGSSSPSTNGQEEEGEAIVDDGQDEEGYAIAEDDQDEGVDAVADDSQDEEEEKEEDEDARWRRLEAEEAAEEAMAAKKEARAGQGRRLCPRFCFAQDAVLSRSCYWGCKFAAIRFPCRETQRLSFEVISSF >cds.KYUSt_chr2.42313 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263557554:263559005:1 gene:KYUSg_chr2.42313 transcript:KYUSt_chr2.42313 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVLIVLALLLLSYGAGSSVCTVLLGNDTDMLSLLDFKRAITDDPKGALSSWNTSTHFCNWQGVKCSLTQPDRVEALDLPEQSLVGQISPSLGNMSYLASLNLSGSKFSGQIPYLGRLQELMFLDLSYNSLHGSIPVILTNCSSLRVLDLSRNFLVGEIPAEIALLSNLTRLWLPYNGLTGVIPPGLGNITSLEHIILMYNHLEGTIPDEFGKLSKMSNLLLGENKLSGRIPEAVFNLSLLNQIALELNMLVGSLPSNMGDALPNLQLLFLGGNMLDGLIPDSLGNASELQHISLAYNSGFRGQIPPSLGKLQKLNRLGLDGNSLEANDSRSWEFLDALSNCTLLEMLSIYGNRLQGVLPNSVGNLSSNLDNLVFARNMLYGLVPSSIGNLHRLTKLGLEDNNFTGPIDGWIANLASLQGLYLQQNNFTGQIPSSIGNNSRLSELVLANNQFHGPIPSSFENLQQLSLLDLSYNNLQNHIP >cds.KYUSt_chr4.41453 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256422435:256422923:1 gene:KYUSg_chr4.41453 transcript:KYUSt_chr4.41453 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPLSLDLFDPFDGFPFGSGSSSSGGGSLFPSFPRTSSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERNKEQEEKSDTWHRVERSSGRFLRRFRLPDNAKPEQIKASMENGVLTVTVPKEEAKKPEVKSIQISG >cds.KYUSt_chr3.3321 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19027571:19028347:1 gene:KYUSg_chr3.3321 transcript:KYUSt_chr3.3321 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGVIILLLPLTPFLVAADVFCDNVKAVAGTLSKNASSSPVHFTTTTYGQSPDIVYALALCRGDSSACGGCIDNWFNKALNLTNCDRVGSNYRDCIVVYSANDDILAAPSNTTGGYGDSTPPFEDWNIKNVSRDISLIVGLTRELLVATVEKAVSMGPTRYATGVMDMERVTTYPKVYSQVQCTPDLSTDDCSACLRRLLSMVNSTMALRMGGQMGVTRCYFRYEAYQFYDAKPLLSLPSPPAPAPTLTKHKSKLE >cds.KYUSt_contig_319.548 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3434895:3435731:1 gene:KYUSg_contig_319.548 transcript:KYUSt_contig_319.548 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPMRRGRAEVGTRTIRAARIVVVPVMRRRMPRYFQRVDELLDSDDVEVQWEEWEEGEGTVVWPHVLGSPDEQDDVEELLDLVRRLSLGRGGISDDELSQLFEIARRRIWEGSVALQPLAAGGDDDGRGGTRVATAAPAADDDDRCGRGRVVLLYHILRCTDGEEEGARRRGGGHRRGHGGGGPCDGDDPEPGPGGEPRSATTGGGHGEEGDGGPSDEDDPEQGPGTDPHWPTTTTGDSDGEEGDARVATMARVVMRLGFLAAVLACVQPPGDVSDE >cds.KYUSt_chr5.29478 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186833193:186835444:-1 gene:KYUSg_chr5.29478 transcript:KYUSt_chr5.29478 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAIASVAVALLLRAAWVTLSCYFLTPMRIRRAMAAQGVHGPSPRLLVGNLREVSALVAKATAGDMPSLSHDIVGRLMPHYVHWSGIYGKLFVYWYGSEPRLCLTDTDMIKEFLSSKYAHATGKSWLQRQGTRHFIGRGLLMANGARWSHQRHVVAPAFMPDKLKGRVGHMVECTKQTILSLRDAAARGRGEVEIGAHMTRLTGDIISRTEFDTSYETGKRIFHLLEDLQRLTARSSRYLWIPGSQYFPSKYRREISRLNGELEGVVLESIGRSREIADEGRTTSMYGRGLLAILLAEMEEKKKDGKGARDGGKFSYDTQLVVDECKTFFFAGHDTSALLLTWTLMLLATHPEWQDKARAEVAQVCGDGPPSADHLSKLTVLQMIIQETLRLYPPATLLPRMAFEDITLGDGRLHLPRGLSVWIPVLAIHHDESIWGADAHEFRPERFAAGSGRRSSFLPFAAGPRNCVGQAYALYEAKVVLAMLLANFRFTISDDYRHAPVNVLTLRPKYGVPVHLRPLRP >cds.KYUSt_chr4.2629 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14718337:14718984:1 gene:KYUSg_chr4.2629 transcript:KYUSt_chr4.2629 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAALLLLPALLALFSFSDVAAGQSPPSSPASFRTVYAFGDSFTDTGNTHSTTGPFSFGYVSHPPYGTTFFHRSTNRYSDGRLVVDFLAADALRLPSFLPPYLSSSSAAANASSGNSLNFAVAGATAIEHEWFVKNNLSFDVTPHSIMTELGWFDEHLKTRRSKEDVGEALFWVGEIGANDYAYSLIMAADKIPRKVIRTLAIDRVTTFLEVP >cds.KYUSt_chr7.22244 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137697898:137700418:1 gene:KYUSg_chr7.22244 transcript:KYUSt_chr7.22244 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPASDPGGCLEVRLFYLRLSPRITGAAAPPSLALAIHLAGGEASLSSLPLRLDRRDPVSGEATYVSTAAARLPPPEATFEVADHRGTALLRGSLRRRTDSKADSPAWAIDCVPSAGAAASASAFEVYVAGCCAGEPAVLTHALRLATPEEAAGGLAMGDEDDNDMNIGTRPYPEGWYSEDEDGQLTWFNAGVRVGVGIGLGVCVGVGIGVGLLMSSYQATARNLKRRFF >cds.KYUSt_scaffold_869.1221 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:7814381:7819833:1 gene:KYUSg_scaffold_869.1221 transcript:KYUSt_scaffold_869.1221 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPALRSFPTVYGVKRSTRLVRRHSRLGGGLRASSVAVNGEVGLGSRSTEKKEDAVKEVADEEDSGLEPLYDDGFGAVTVKDYFAAAKALSKDDGGPPRWFCPVESGHPAVEDAPLLLFLPGTDGVGMGLILHHKSLGKAFEVRCLHIPVNDRTPFEGLLQIVEQSIQHEQDLSPNRPIYLVGDSFGGCLALAVAARNPQTDLVLLLVNPATSFAKTPLQPILPLLEAMPSDLHVTVPYLLSFVMADPLKMAMVSIENNLSPPETLQKLAENLTSLLPLLSELADIIPRDALLWKLKILKSGAAYANSRLHAVQAEVLLLASGKDNLLPSGEEADRLFKTLKNCRVRYFKDNGHTLLLEDGINLLSVIKGANMYRRGRQRDFVTDYLPPTLNEFKKTFDEDNKLFHLALSPVMMSTLTNGKIVRGLAGVPDQGPVLFVGYHALMGIELSPLYEEFLKEKNTVVRGLGHPVLFGASNETSRQELSRFDTVSMYGGLPVTPINMYRLFERNQYVLLYPGGVREALHRKGEEYKLFWPDQPEFVRMAARFGVTVVPFGFVGEDDALELVLDYNDQKNIPYLREWIESINKDSQRVRDSVKGEEGNQDMYLPAIVPKVPGRFYYLFGKPIKMKGMNNVLRDRKSANEVYLHIKSEVDNALAYLKRKREEDPYRSISQRAVYQATWGFSAQVPTFEP >cds.KYUSt_chr6.7412 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45047534:45051138:1 gene:KYUSg_chr6.7412 transcript:KYUSt_chr6.7412 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDCMLTEEYKASLRQLIAATVEKSVRASFAAYDAKRAAEQPTVPESSAALHESADQMERRRRRRIELVEERARRDPVWAEKIARRHAAFRDVPTSTGAPLMATSGSPAPPSVLTACASWDGNLVARPPTTCSTQCPSRAISVSVPAHASTATSVSSRVTVGVLPERCWYDLVIYLARLHFLCMCGSDITLPVFVAHTVTSASSKATISVVPEHCYFDPKRATAASTATSSSTASTYILSACAAPDGDLEITSPPTTCSSLHPGSDTSVVTTTCTTHDSCIYPVAHPDDVGDCAPARDFIMTPQTIRTAVCQVVLTRAMLEIADSSSDTVSMSTPLPEPKDADTDVTHADEQQLACSSVELPLAFGTARNVASDRSFITSMSAISLTLAAIGFAEVRIASKDDVHLLLKLLEMSLPESKEPSVTSLPSQFTQVIVTCDTNANQLQAKCSGICSCLARTTVHSIFSLSWDPGEKIGVFRDYALSRDSARGTNLKQSNAYFKDSSDRHRQLGELLWYLFDSVCHFLISSATQQEFLDMYSVTAMVSRFLVLVMMHNGVVLWLLFQSALANTFLVLPWDPGLLLSGMDTKNLEEVGSKLSSAANSEMVTGVHSRGPSATDESDCVAPMNWVVSSTSTYPDFDKRSESSTMDNAFLVEVAESKVGRLGAFLWDLSLQDNPYRLQQDQGKASSSIPWDPGGAVWWRLEDKPPLKEGGMLTPTSTTTVGPKHQCACWYETGLGQRYKYKAENNCEDLAWNWTASALTAWSSSSFLLFLSPL >cds.KYUSt_contig_319.1455 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:9763547:9768393:1 gene:KYUSg_contig_319.1455 transcript:KYUSt_contig_319.1455 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDSGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALDGFSYRGFFVSKTYGLLNIFTAAAVLFPDSGDRGLDSDLPCRFLLVVDTSRVSSCMP >cds.KYUSt_chr3.6474 pep primary_assembly:MPB_Lper_Kyuss_1697:3:37180647:37181474:1 gene:KYUSg_chr3.6474 transcript:KYUSt_chr3.6474 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHLTALFDSHIQIADKAMASPSSTGNSAVSVVVAAPTTPGAGAPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELPPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDAAHTELLRYACGELGAIPTALPVVTAGAPTSARLAAAAMPCPSQLAASMYGGGGLRRLGLVDAMVSQPPPAAGCYYNMRNSNNVGGSIGADVAPVQIPYASMANWAVNAISATTTTSGSESIGMDHHKEGGDSSM >cds.KYUSt_chr3.8044 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46328576:46331683:1 gene:KYUSg_chr3.8044 transcript:KYUSt_chr3.8044 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDPDPQTLAAGELVWAKTKARHHWWPARLLSTSPLSAKNAPVSFFGDDDNDPRPATGLRRFADRAADKMARASAAPAFLGALELAHAAAVALLCTDLTCACASPPAPAPSAAVVTVGGVANLSPPEFLASLRRAALDAPAVGLVDRAKLKSWTRALARGWAPAGAGPPYQRRSLQDLADKVDLDDLTEPPEDADAAAADEDSDKEFEMPPPKTPARAKRKGRPRRKEAPPKEESDSDAGEEESDDHKNKNGTDVKTPSTGRTRKKSKHLADAGEEDADDQKNESGTTGTDAAPLSTGRMRKKSKYLSPPYTDLISSSALDEKLADSPKEPPPGSTKKEKKALPDNVDVGEVLELLRGLGVGFFHDTQLPEAAERFLGSLRTSKFAGVDVAGLVSDPAAAVKLGKSVLERSRKKDDVAAARSSARRKKKVEEASPTDTLDFPAEDAGAEDGAADVAALGSDSAAAPEQGKVVRKRGRKKKDQDESGASSVKTKKKVEETSPKATPELPVENVSADASAEGTGLVPHSCATAEQGKAVSKRGRKKKDQDGSGTSPIKRKRMKKTSPTAILDSGLVITPAIPIRQVRAGDLISQLASGSGAGMGVGVFDQSKLELKSPVPAAMSGGTKSGEEKDQADGGSVVKTPVTVEATQPGTYTNVDDVVADLAAKSVQGEETKAGMGIQVDMNVQSGIVDMPIASVQMEAMGVETNIPVDTNEQGVVTDLPVISGLLAEDGGISQTADGNTNDADVEVSTVQGVVADVAVRSGFLPIHGGLSQPAYGYTNNGNVEVRAVQVPYPSLEAMMPEMYRKVDDIITGTNVTATNHVFKAESQKGEQTSQQKTTGEAIANHAIAISTNGTGSDSPNSTPKRRNKKAPQYFSNPVEIVLQFSDGVILPSKEELLSAFSKFGILIEPLSGILEDIRGARVVFGKSAEADAVYARRETPGIFGLFGPPFATLKVLNYLPPFTPSVPAPAPPPALRPPIGVADMKKNVENMISALAGKPAPPFLLGEMQRLLSKINNKQAGPSSSAMPPQ >cds.KYUSt_chr2.4663 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28816074:28823149:-1 gene:KYUSg_chr2.4663 transcript:KYUSt_chr2.4663 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDAGHIFFFRKRHRLADDDHFPRPKHRRLHEPRFLFDAVPCCLLRRPPIPPEAGVSAFNMGNFLSNACPGCMPRDGGLEMYRDWTDASKDARDLTVATRDGVGLGHGVLNRRILDPRKAALQAVVPPWEKREPHYKDALKKARLPDKRLGELEAEARLQKEKLDELRKPKEDLSQFFIPLTPEDEEEVHDCLYGSGSRHNNVTKMLDCHFCQLACGKNGYDYKSVKRWTTHRKLGYELIECDKIFVPVHQHVHWCLAIINMKAKTLQYLDSLGGNDPRVPEMLARYIVEEVKDKSNKEIDINSWTKEVVDYVPLQQNGYDCGMFMLKYMDFLSRGASLSFGQHCVILEAVFGYLRFEVVQSGLVRFRQNPSGLEEVEPSSTSLLEQWVPDDCGPLMQRALLVEALDEDLEDDEQEEDPDAILRGAHHRWSDRNSSRGSLLPVMCSRTAASVRLGMPHQIRRATEFLFSGGGPFLSYRDSSKRRC >cds.KYUSt_chr1.3037 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18230571:18231856:1 gene:KYUSg_chr1.3037 transcript:KYUSt_chr1.3037 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQLDLSENSLFGEIPESLGNLKKLSALALYSNSLDGEIPPELGNCSMLDRLDLSENSLSGEIPESFGNLKKLSSLTLYSNSLDGEIPEGLFKNQFLQYVYLHQNNLSGHITSSLGEIASLRSLWLHENGLFGVLPDSIGNCTKLEELYLLYNQLSGSLPKTLSLISGLKNSLTGPIPSDIGNCRSLLWLELDANMLEGSVPKELANLRKLQKLFLFDNRLTGEFPEDIWSIRWLQSVLIYRNGFTGMLPPVLAELKLLQNITLFSNFFSGVIPAGLGKRLRVLHLGFNLLTGSIPSGVAECPSLEQIMLQNNFLTGPIP >cds.KYUSt_chr5.35393 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223619746:223624964:-1 gene:KYUSg_chr5.35393 transcript:KYUSt_chr5.35393 gene_biotype:protein_coding transcript_biotype:protein_coding MECRRVAAAATAEEDDSDISWSSNDPDAPTPLGEGSGAEGSCRLLRDAQEGRGGERDAKAVAAKGRNGAPSSSGRTSGGGEADEGRAQRRGRTVGQKGLLEETGEESSAAIMEETPQLHLSNSEIRSAGTDTDEVDDSPIEEVRLTVPITDDPTLPVLTFRTWFLGLISCALLAFCNQFFSYRQNPLYISSLSVQIVVLPLGRLMAACLPTKIVGFKGTRWSFSLNPGPFNLKEHVLITIFANTGSSYVYAVGIVTIVKAFYHRDINPLAAMLLTQTTQLMGYGWAGLFRKYLVDSPYMWWPCNLVQVSLFRALHEKEKRPKGGITRLQFFLLVLTSSFAYYVVPNFLFPTISTISVVCLVWRNSVTAQQIGSGVYGLGVGSFGLDWATVAGFLGTPLGTPAFAIMNVMAGFFLVVYVLLPVAYWSNAYDARRFPIISSNMFMANGSQYNVSQVLDPATFEFSQAGYDAAGQINLSIFFAFYYGLTFAALAATLSHVALFHGRSIWQQMKATVSGLQKGDVHTRLMKKNYAVVPQWWFHVMLVLVLGLSIFTCEGFRKELQLPYWGVLMAAGLAFLFTLPIGIITATTNQQPVNVVAELIIGYLYPGRPLANVVFKTYGYISMSQAIIFLMDFKLGHYMKIPPRSMFAVQLVGTVLASTVYFSTSWWLLESVSNICDPARLPAGSPWTCPGDDVFYNASIIWGVVGPQRIFGSLGRYTKMNYFFLAGALAPVPVWALSRAFPERGWIRLVNMPVLLSATGLMPPARSVNYIMWGAVGLTFNHFVYRRYKAWWARHNYVLSAALDAGVAFMGIASFVFLQSRGINGINWWGLQVDDHCDLASCPTAPGVSVPGCPVQYLGFKKTVLLDRKLIVKLVDQYKNDTLSWTNFTSSVKAT >cds.KYUSt_contig_7536.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001584.1:22251:26731:-1 gene:KYUSg_contig_7536.5 transcript:KYUSt_contig_7536.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDAKYSACIPKVSDNRWKSLSHLSAKAKLPFTVIGPFGPGILHNSPVRFPESSSKSDPEAVQNSGEGKMADWGPVIVATVLFVLLTPGLLCTLPGRGRVAEFGSMHTSGLSILVHAVLYFALVTIFLIAIGVHVYAG >cds.KYUSt_chr5.43128 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272029298:272033817:-1 gene:KYUSg_chr5.43128 transcript:KYUSt_chr5.43128 gene_biotype:protein_coding transcript_biotype:protein_coding METVSTNEGSGKGAMWELERNLDQPMDAEAGRLRNMYREKTYPTILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPQDIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINIIPNQHRTDEDLTTYSRQTYDEKSLAAKIKIWLEGHQFRKNVILILVLFGTCMAVGDGILTPAISVLSATGGIQVEVPGMRNDVVVIVSVVILIGLFSMQHYGTDKVSWLFAPIVFLWFILIGVLGAVNIYKYDKSVLKAFNPIYVYRYFKRGKTSWASLGGIMLSITGTEALFADLSFFPVQAIQIAFTTVVFPCLLLQYTGQAAYIATYKKKVNHSFYYSLPESILWPAFVVATAAAIVSSQATISATYSIIKQALAVGCFPRVKIIHTSKKYLGQIYSPDINWILMILCIAVTAGFKNQSQIANAYGTAVIIVMLVTTFLMIPIMLLVWRSHWSLVVLFTVLSLAVEIPYLTAVMQKIDQGGWVPLVFAVAILIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGMGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPPDERFLVKRIGPKSFHMFRCVARYGYKDVHRKDDDFEKMLFGSLLLFVRLESMMEEYTDSDEYSARDQQELIHEASSDADLSYASRDSIVPVRTPNHGSGGQTTMTMTPGFQTVGDEVAFLNSCRDAGVVHILGNTVIRARRDSGFVKKFAIDYLYAFLRKICRENSAIFNVPHESLLNVGQVFYV >cds.KYUSt_chr2.54094 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337636795:337637826:1 gene:KYUSg_chr2.54094 transcript:KYUSt_chr2.54094 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPTKYGRVDITVHTARTHADTHSHAHTYLEQQHRKMAPVKVFGLARSANVARVLVFLEEVGAEYELVNIDFKVKEHKSPEHLARNPFGQIPAFQDGDLLLFESRAISKYTLRKYKTDDVDLLREGNLKEAAMVDVWTEVDAHTYNPALSPVVYECIIKPMMYGIPTDEKVVAENLEKLRKVLEVYEARLSEHEYLAGDFVSFADLNHFPHTFYFMATPHAALFDSYPHVKAWWERIMARPSIKKIGASMVPSKA >cds.KYUSt_chr4.40027 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247172486:247173154:-1 gene:KYUSg_chr4.40027 transcript:KYUSt_chr4.40027 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRSPVDSIWAAVLLAPCISGRPLRLRCRLAGAPRGRDDAGRGGRGLAQRLLHDALRLDHDALRRNKEALLAEIKDLKGKLGDEDAAASFTSVKDEPAASDGPPPAGVGSSDSDSSGVLNDTDATDATPAAETAPAPDARTALLGGPGAAVAEHAQVHAVHQPEGQRDLASDGGGGRRGERWLAGAIVTTRVQPELSLSALPGFLRWLRRQQITASFSIF >cds.KYUSt_contig_319.1296 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8652820:8654247:1 gene:KYUSg_contig_319.1296 transcript:KYUSt_contig_319.1296 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSATATHMWLEEQVLRELQEADTDLVISVADVSALERQLKKLFTLCDGMLDQAVADVLMVFLYGEVVVSAMGD >cds.KYUSt_chr1.2239 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13132383:13134311:-1 gene:KYUSg_chr1.2239 transcript:KYUSt_chr1.2239 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGCVPNVISYNIVLKRLCEDRRSQQALDLLLTLAREGGGCSPDVVSYSTVIHGFFREGEIGKACNLFHEMVQQGVVPSVATYNSVIDALCKAKAMDKAELILRQMADNGVRPNNVTYNCIIHGYSTSRRWKEAAKMFKEMTSLGLVPNFITCNSFMVSLCKHGRSKEAAEIFNSMADKGHKPDIISYTILLHGYASEGSFADMINLFNSMKDNDIVPNCHVFSILIGAYARCGMMDEAMLIFAEMQEQGVTPNVVTYSTVIAALCRMGRLADAVDKFNEMIAVGIQPNNAVYQSLIQGCCIHGDLVKAKELVSQMMNKGIPRPNIVFFNSVINGLCKEGRVVDAQDIFDLVIHIGETPDVITFNSLIDGYGLVGKMDTALGILDAMVSAGVQPDVITYSTLVNGYFRNGRVDDALALFAEMLHKGVKPTTVTYGIILDGLFRAGRTDDAKKRFREMTQSGITVNISIYNIILGGFCRNNCAGEAITLFHKLGEMNVKFDIKTLNIMIDAMYKLQRREEAKKLFAAISNSGLVPNAFTYSIVIKNLLKEGSLEEADNIFSSMEKIGCAPSSCLINDIIRMLLENGKIAKAGDYLSKVDGKSISLEASTTSLMLSLFSTNGKYREDMKLLPAKYQIFDGLG >cds.KYUSt_chr5.42717 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269063887:269067027:-1 gene:KYUSg_chr5.42717 transcript:KYUSt_chr5.42717 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPAADAPPPENPRHVRNTCILAHVDHGKTTLADHLVASCGDGLLHPRLAGRLRFMDYLDEEQRRAITMKSAAVLLRYGGDGTAAAAHRVNLIDSPGHIDFCSEVSSAARLSDSALVLVDAVEGVHIQTHAALRQAFLERLRPCLVLNKLDRLVTELHLTPAEAYLRLYRILADVNSIYSALRSHSYFSVLASLEDQPSSSNHDLPEDADDDEDDEDAFQPQKGNVVFACALDGWGFRIQQFADLYATKLGASASALLRGFWGPRYLEKKTDKNGKKTFMIVGKKAMEGTDREPMFVEFVLKPLWKLYEGVLGQDSEIVRKVISNFKLNIPQRELQNKDPKLVLQSVMSRWLPLADAVMAMVVKCTPDPVVAQGARVARLMPKREFVPEDCPEIVLEAERVRRCVETCDVGADSPVVVYVSKMFAVPYKTLPPKGVNGELLTHQGANESEECFMAFARVFSGVLRAGHKVFVLSALYDPVKGDAMENHVQEVELHYLYEMLGQGLRPVASVSAGNVVAIQGLGQHILKSATLSSTKNCWPFSSMMFQVSPMLKVAIEPSNLKDMAALVKGIKLLNRADPFVEYTVSHRGEHILAAAGEIHLERCKKDLEERFAKVKLVVSDPLVSFKETIEGEGVGLLEGLKAPHAFIERTAPNGRCTVRVQVLRLPNALTKVLQESDQLIGQIIEGKTAKKNVMLNPQISQDDCDSVAMLRQRMVSAIDSELESISEQVDKEKLEKCRKTWLGYLQRIWSLGPWQVGPNFLLLPDAESSDGVINMEDGRQGILVRGRAHFSERLGFVSGPDADANNAFDNSKSAADAPDSLHLESVALMNSILSGFQFATNAGPLCDEPMWGLAFIIEPYIFADNSDAAHQSDQYNIFSGQVITAVKEACRAAVLQNNPRLVEGMYFCELTTPTEQLGSTYAVLGKKRAKILKEEMQEGTSVFTVHAYLPVAESIGFSNELRTVTSGAASALLVLSHWDAIPEDPFFIPKSQEEIEEFGDGASIGPNLAKKLMNSVRRRKGLHVEEKVVEHGSKQRTLAKKV >cds.KYUSt_chr2.6073 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37834228:37836336:-1 gene:KYUSg_chr2.6073 transcript:KYUSt_chr2.6073 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLSTRRPSKVEWENVLKKNLEWKEDGLHEELNFSVHLSYDDLTPELKQCFLYYSLFAKGSAPSFEQVVSMWISEGFIQGDGSTESDELDLQEIGEAYHRELVARNLLEADNTDWNTWCYSMHDVVRSFAQFVASEEALVVRKDQNDIRNLLLANQKIRRLSLNLDDSELEWSILENLESLRTLMINCSTMSSGSFASFVSLRVLNMGAAVSDLLLDSVCQLKHLRYLRLVFADISRLPEDIHKIKFLEFLGLVNCKGLNKIPDNITKLAGLRYLDLTGCNVDTVPRGFGGFKIIHSIFWFPAKMDGDWCSLEELGPLCHLRSLSIQYLENMPDYSFAARAMLSNKKDLTYLELGCYRDEDVEMEEGVEQIKEEEEKKVRDMQIEEDKGEGEEQIEEQVEEGEEQSAEKGEGDKEEEEEGEEQSANGEEGEGEEQCGEVEQQRIEGVFDELCPPPCLEDLILVRYFGRRLPNWMQAPAAAAFKSLKSIVLRSLTYCVQLPNGLCEMVNLEEMEINIAPAIKLVGPDFQSLASRDGGAIVTSPFPKLRILAMVDFSRWKKWDWEEEQGKAMAMPALEHLRIISCRLTHLPLGLSIHNRYNLRVLYLEDLTILASVENFPSVVTFDVLCCPKLKKIGGFSKLRKIFIDGCPKLKLLEGVPVLSTMVLDDETWEITGTPARCTPKLYQVGQQRQLPENFTVTR >cds.KYUSt_chr5.33405 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211878501:211884652:-1 gene:KYUSg_chr5.33405 transcript:KYUSt_chr5.33405 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVLRKLGELLLDEYNLGEKVKKGVKSLLTELELMHAALRKVGDVPSDQLDEQVVIWAGKVRDLSYEMEDVVDDFLVRVDQGSSGEPMTMKSRVKKFLKKTRKLFSKGRALHQISGAIQEAQGLAKELADLHTRYQIDMTSTSNGATTSNGATIDPRVIALHKDVEKLVGIDRARNDLIKILIGEDGSPKEQLMSISIVGVGGLGKTTLTKAVYEKIKAQFDCAAFVPVGHKPDIKKVFKDILYGFDKEKFKDVHNTTRDEKLLIEEIGEFLVDKRGMERIVHVHHVDKDAYLKGNREPDPEEVDLVFDRSPSFAGVVAQVRIELNWNEPNDGVELEGRHNVGFGMHTRWKTMRINSEQRWSVYKETVAESQDKALELFATKTVDAHIELDLNRCSSPVEARSPPPMSQEEATESPIVQSPIAQDPPSEKEYDEHDDGDNGFEMNYNNVGDLDAYLMQEDMDHSIPYSRCYASDSDDDGPDEEVYEDGFKAKEAERAEISKKVTGRDIRIPLFRDVSLAYGAVVDDGGKSLLLGARPISKRDVDARTAMIFKGLTFDTFLELKVWLKEFSIKHHRPYTVVHSDLKKRYMLKCVDKRCPWIIRARPFKIGPSWHITSCVATHMCRGPKLDGKDAQPDHRQLTSEFIAYKLSAEISSLPTMRIRSVQDMAKSRFDYDVKYGKAWKAKQAAFKMLYGDWEEAYN >cds.KYUSt_chr3.28365 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176948530:176949741:1 gene:KYUSg_chr3.28365 transcript:KYUSt_chr3.28365 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSLYEISCFAAGFAGNLFAFALFLSPVPTFKRIMKAKSTEQFDGLPYLLSLLNCFICLWYGLPWVSDGRLLVATVNGTGAAFQLAYISLFFIYADSRKTRLKITGLLVLMVCGFALVAFSSLTCFDQRMRQRFVGVVSMVSLISMFASPLAVMGVVIRTECVEFMPFYLSLSTLLMSASFAIYGFLLRDFFIYLPNGLGVVLGATQLGLYAYYSRKWRCKDSSAPLLG >cds.KYUSt_chr4.3175 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18107964:18115205:-1 gene:KYUSg_chr4.3175 transcript:KYUSt_chr4.3175 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGDVRGGVPRPTNPMVTPLLTDLYQFSMAYAYWKAGKHLDRAVFDLYFRKNPFAGEFTIFGGLEECIRFIANFKLKEEEIRFLRAALPTCEDGFFEYLSSIDCSDVEVYAIPEGSVVFPKVPLMIIEGPVAVVQLLETPFLTLVNYASLVTTNAARHRLVAGKENNLLEFGLRRAQGPDGGISASRYSYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFMGLDEIIDKVLSSSDGSSKCEDFVSLVQSWLIRIQDASSLHGTFGETNQSELAAFTSYALAFPKAFLALVDTYDVMRSGVPNFCAVALALNDMGYKAGGIRLDSGDLAYLSIETRKFFCAIEKEFGVVGFGKTNITASNDLNEETIDALNKQGHEVDSFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKRCYRLYGKEGYPLVDIMTGQDEPSPKVGERILCRHPFIESKRAHVVPQHVEELLKCYWPGNSCKCEHPAVRFHPLPVAQ >cds.KYUSt_chr3.36705 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230874777:230875224:-1 gene:KYUSg_chr3.36705 transcript:KYUSt_chr3.36705 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVELKVGMHCDRCIKSIKKAIKTIDDMESYQLEKETNKVTVTGNITPEEVVKALQKIGKTVSYWGEE >cds.KYUSt_chr4.16262 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100912605:100912928:1 gene:KYUSg_chr4.16262 transcript:KYUSt_chr4.16262 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALLSSPTNPAATQRHHPIRGHHIPLLGRGHPREAEAVVAELLLDHSASPADAAAIASRASAYAAMLVDAVRQLDKLGLWASWGAGAGPRAGAGMGALGFGRKA >cds.KYUSt_chr1.29894 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181063872:181066820:-1 gene:KYUSg_chr1.29894 transcript:KYUSt_chr1.29894 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVRGAIDPLLGYLSSAIRDEAQLLGGVRRDAQFIKDEMESMNGFLHHLAVADKDHEGDHEVRAWMKQVRDLTYDTQYCVDLYGRRVGAAPRARGVRGHAHRAIFFLRTIPARHRVGKQMKELMSRARDVGERRQRYGVQVPAKVANEISGGDAAMDEELHDLRRRLVDAEPLKDATVGLINWLWLHKDGHAQEPKETVHGDTMFCSLKKCVRFLVPPVFSLAIGCQVPKIPSTILVIAIVSPDEADGGELAKEVYNHSSVASLFECKAFVTIQRPLFLQGVLRDMIRQLRRPTGGAGEEEEEEQQLEDREELQKHVKGRRLLVVVENPDHPSPWNEMKEILDSSGCSPGSAIMVTTKDSVMAECFSPNETVTHSFVQYCFKKANRMVFVKWENRDIIRSVLEKCDPDLLCMKLLLGTLYADSYTSEKQLEDLCKSLEYSSALPDTYSTATSRRQHIMTKFCYDKLPMMDRCCLLYMSIFAKNSDVTRQSLERRWVSEGQVIQGDGREAMDRAQRCFDGLVARGFLAISKAGKLGNVIYCKVHPFVHDFITKVRKEDNFGNKSLPSNLAPHLSISSDIQWHQVSHQKQHGSGSSCNCFSPVVEGQGQGAADDITTFIESLPTFSRVARVKVMDLDCCEHLKNKHLKIICNNLFLLKYLSIRSTGISRLPREIKKLQQLETFDIRQTSVPSSATRGLLLPMLKHLLAGPVTYRSDAWPDKEISTVEMPSKIGEMTEMETLHYVEVVEDDKKLEHVKNLKRLRKLGVVIQGKQDDIDRLLRVITELSLCLRELSVWIRPSRSREGRDDVLNMEIQNKHSLYKLLEKLSIKGITKGLPNWIEDLSNLAEVTLRQTSLTDMGILGKLKGLRCLTLRHESYIGDDLILKTEEFENIKFLAIEGVSHIKRIVFEPGTAPKLEKIVWTFAKMNITKDTIIDLKNLLSLKELEFSGEFNPSHMQQVVAHHQNCPNFKYTMWRDVSVQE >cds.KYUSt_chr1.11683 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72017847:72027715:1 gene:KYUSg_chr1.11683 transcript:KYUSt_chr1.11683 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGGAFRGHFPVPAACRNRESCPPDLGFAMAAALDGFSYPLAQAGAPSPSSSCRAADAAAWDAVQNQKRQRCQGSSSSDKVGSCRENNSIQASEAELQNVDSSEIEDEDYYVDDDDEGCYDEDEGSDYEFDDTDFNQHLADKFDDLDLPPGVEATVPWLQKPDDGPGNFKTMEEIEDEIGKRYKFFKQFDTVEDFSDHKYADKPVGKTGKDWTKRIQHDWKLLENDLPGSIYVRVAENRIDLLRAVMVGPQGTPYHDGLFFFDAQFPATYPSTPPVVHYHSGGLRLNPNLYACGKVCLSLLGTWEGHGCEKWNSAHSTMLQVLVSIQALVLNEQPYFNEPGYEMYADKAVGQRSSLEYNDTTFEYSCRTMLYSLRRAPQHFEDLVAGHFRERGRAILAACKYYMEGNKVGCVVPEEDDEGKELESSNAEGSCSSSSSLVKPHSNKVELAAAAGRAVTFKAHMEVLFEELLMEFNVKGADTKKFCAEKLKKSQPTSS >cds.KYUSt_chr7.2815 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16708129:16710180:1 gene:KYUSg_chr7.2815 transcript:KYUSt_chr7.2815 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAGAACRRAVSYTLLGPPAESLRAAAAAVKVAAPASTGDQFLDLLDANFNKPPPAPPAKTRTENSSPTFATSGDPCLDFFFQVVPGTPAESVSSLLADAWDKEPTTALRLACNLRGVGGTGKSDKEGFYAAALWMHNCHPATLALNARSVAEFGYLKDLPELLHRIIHGGVSTRTRAKKVAQKGGMVAGFRFRFRNRNRNPPPPTPHRWKKSIHPASTMEARVAASNKRDQEMSAQAAVERHKKRADAAARAVERYARDPNYRLLHDMTADVFADLLAEDMKKLSEGNLDLSLASKWCPSVDTCYDYSTLLCEAIARRLFPKGSMAQLPEDLPDEHYTYRARELLRKEAYVPLRHALRLPEIFISAREWGKVVYTRVASIAMKNYQDLFVKHDHARFYQYLTDVKSGKVKIAAGGLLPHEILASAQFDNEVAELQWQRTVDDLLALGELNNCLAVCDVSGSMWGEPMDVCVALGLLLSELCDEPWRHRVITFSNRPQLHHIQGETLRDKCLFIQRMDWNMNTDFQAVFDKLLQVAVAGNLPPDRMVKKVFVFSDMEFDRASSRPWETDYEAITRKYSEAGYGDAVPQIVFWNLRDSHSVPVTAEQKGVALVSGFSKNMLKIFLGGEEEAIPEEEGQEAIPGEEEAIPGKKAAIPSILTPRDVMEKAISGPEYQKLVVFD >cds.KYUSt_contig_2638.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000430.1:19854:20269:-1 gene:KYUSg_contig_2638.3 transcript:KYUSt_contig_2638.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLADLTAWQVKADYRVISYSWHIGEFSQGASVHPPLWFSRRSPARQEADMLLHASHSSNDLPLLQTPAVPPPLPATPLWFQGTPDIDFQIMDDLASQGNFAF >cds.KYUSt_chr2.35466 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219002148:219005848:1 gene:KYUSg_chr2.35466 transcript:KYUSt_chr2.35466 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHCSAASPKPQASPNCYCSSLFLWLRLVESGDWRSPSEAEAIGCRLSSPTSVQRSSFQDLGRNLDQSISYQVEGFAESSGSSTSSCTAAALWSFTSDLFRAETLSSSGDVPSDTWKSVVVFLDLFSGNINMSLHIGGLSSAVHEQDLQHVFQKFGPCTVRRMKDRYGFAVFDSSGDAARALRQLHRAFVCGRRITVNWSKHQPNHSRSFRRSSRQIGSSNGRASRDGVDNLRLREPADQKNDSTSHGISLNPVDGVEEKNNHMSHDKSHNPDDIVEKDSDEIAEGMKEAGESIGEDPVEMKMDDGGTSDARETNGEDPVEMKMDDGGTSGANAIQHDRWGETGIGNHGGDDDDFDRFEPYHGYRKREEKKKIVKADHRRNSEKWQKHPAERFDQNHGKSRAFPTCYKTLGDGFSLREREELRLRKFRYPSTRRPESHVDPMTRAHHRVQDFRKPFSDRTGRAPKLSDVPRLDRTHIPQSENMAEAPKEAHNGSKLKRSREPSLSSERNSSYSRSRSPSPRSRAQSPSHSAHSSSKSSQPTQPGGLKQGPRSNFSHHGPLSVSVSPKCDSPPAAGNKDSGVLVNSPLESDLDIKARSELRHMDDCKQEAEGSRLNCEVPVVSSKLDAQSNGDVPVPGVDVKVSGHAETNLHKDMVDDIVGDALLGETTNPVDTLPVKSNMEHVVKKGRIISLKLTTSEVVSALKHYRVEAREVVLSNQTVENYFGAARLWPWEIIYYRRCKKGPISTENYGKRLEQNKEFGIVDQYVRSSSGWWERH >cds.KYUSt_chr6.18370 pep primary_assembly:MPB_Lper_Kyuss_1697:6:115518803:115524846:1 gene:KYUSg_chr6.18370 transcript:KYUSt_chr6.18370 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVAVALQARGSVLRCLPHKYGRVWRAARGCLLPSCGLSANPSCPPQPLSIPAHPRARAAPRRPRERQQPPRHLILVLATTTVEAGGVIPWGLQRSEPKAPLDSEEEEDPVACRHGAPPGGLDLLTGQHTTTTSCPPDMPTHTLTMFLLGGDAHDIVAGNWSTPWHMYPCRTNDDDKSVFAHEERPDSGGQSRHWDRHIRFADTDVPVHCTSSASPTFYFGRCAEHFIDAQHVLLRPPKICFPGTHRNSSPLADLHVTRKNAEHV >cds.KYUSt_chr7.39803 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247338730:247340075:1 gene:KYUSg_chr7.39803 transcript:KYUSt_chr7.39803 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQELAGAIGKPDVPVQYVVREHNDQQLEAAVTAPVPVIDFSRLFEQDGGEAVKLRSGLDSWGLILVSNHGVDAAVMHGMRAVSREFFRQPLEEKQRYTNLIDGEQFQFEGYGNDHVRSPDQILDWTDRIYLKVEPEDERSIALWPAHPETFRDALHEFTTKCGGVKDGLLRAMAKLLELDDDDYFVDQLGDRASTHARCSYYPECPRPELVFGLKPHCDGTVVTVLMVDDTVGGLQVLRDGVWWDVPVVPHTLLVIIGDQTQIMSNGIFKSPVHRVLTNAKKERISVALDYSVDPEREIEPSAQLVNEERPALYRKVKVKDYTASLYDHFSKGEMVINKIHT >cds.KYUSt_chr5.43336 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273124478:273125001:1 gene:KYUSg_chr5.43336 transcript:KYUSt_chr5.43336 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQHGHETNKVEEYGQPVAGHGGVTGGPTGTHGAAAAAGTGGRQQLQPMKDDHKTDGVLRRSGSSSSSSSEDDGEGGRRKKGMKEKIKEKLPGGGHKDTAAEQQQTAATTGAHGTGVEATGEKKGVMEKIKEKLPGGQH >cds.KYUSt_chr3.33640 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211253821:211256613:-1 gene:KYUSg_chr3.33640 transcript:KYUSt_chr3.33640 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGWVHPYGSRRAVVVVVGGGVAGALVANSLQDHADVILIDPKEYLEIPWTNMISKVEPSVAEKSLINHNDYLGGTQVITASSADVRGDAVITAEGRLVEYTYLVIATGHTNQCPRNRRDRLEQFQEDNMKIKYSGSILIIGGESSGVELATEIAMNYPDKKVTLVHDRPRLLESIGHKAGGKALRWLRSKNVEVHLEQSVDLESMSEGDRLFRTSSGIEITADCYFSCLDGPLGSSWIRDSELKDCLDSDGRLMVDANLRVKGQSNIFAAGDITDAPELNQGYFVQRHAMVVSKNIKLLIEGAKDSKLLKYKPSSTTPMVSLGKTDAVVQLSFAMVVGYLPAMWKSRDLYLNRTRTLLGLAR >cds.KYUSt_chr2.32787 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202231679:202238156:-1 gene:KYUSg_chr2.32787 transcript:KYUSt_chr2.32787 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRAASGIARVALRRNLARAPATPFAGPARRHLHSTVPRRYAAPEPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVSGHMKARLDPLGLEERPVPDVLDPAFYGFSDADLDREFFLGVWRMAGFLSENRPVQTLRSVLGRLEQAYCGTIGYEYMHIADRDKCNWLRERIETVNPREYAYDRRQVMLDRLIWSTQFENFLAQKWTTAKRFGLEGAETLIPGMKEMFDRAADMGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVNEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDLDRTKNLGVLLHGDGSFSGQGVVYETLHLSALPNYTTGGTIHLVVNNQVAFTTDPMSGRSSQYCTDVAKAVEVPIFHVNGDDLEAVVRTCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALEIYQNQLLESGKISREDIDNLHKKVSTILNEEFKKSKDDIPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPETFKPHRAVKKIFDLRRQMIESGEGIDWAVGEALAFATLIIEGNHVRLSGQDVERGTFSHRHSVIHDQETGEQYCPLDNLVMNQNEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARMERFLQMSDDNPYVIPEMDPTMRNQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSSLSEFDDLAGHPGFDKQGTRFKRLIKDRNDHKDLEEGIRRLVLCSGKVYYELDEERKKSDHNDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYTYINPRLLTAMRALGRGSIDDIKYVGRAPSAATATGFYTVHVQEQTELVKKALQQDPINSPF >cds.KYUSt_chr5.18277 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118073431:118074399:1 gene:KYUSg_chr5.18277 transcript:KYUSt_chr5.18277 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGQNRAASFFNFLKEGFLLPIRNPRLFTSVCVLIAASTTLITLGNDLAIHSIPSSRRSSSTSRRSINTTEYSSPDYAKLLQEIQNNTKELLLVGDGYVLFAVVVRAAVRIVVLFAAVSTYSSDDEQRATTFGALLGQAKAQIKGPLLTLAIVSVLEKVYAVILMAMIALVHILVVKRYFVTLLVASLLIIAASVYLVYFSFLCSFSVVVAVAESGCKGLAALAKAWRLANGKKWQVVLYLAVTGALSVAVSLVHMLARTFAGYSVALGLLLGFVYVALLGLVHLFSVCAITAFYYERRENIHSQLGATGYAKLSTEEANG >cds.KYUSt_chr1.1689 pep primary_assembly:MPB_Lper_Kyuss_1697:1:9540965:9546038:1 gene:KYUSg_chr1.1689 transcript:KYUSt_chr1.1689 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGHDAPPPAKDDDAMGFPAWARTVDECAKRYKVSVTHGLTTADAAARLHTHGANELHEHPGPTTLHLILDQFSDTLVRILLAAAAVSFLLALSASAGALTPSAFVEPLVIFLILVVNAAVGVWQETNASKALDALRRIQSDHAAVLRDSTWLPALPARDLVPGDVVQVRVGDKIPADMRVVRLVTSTLRVEQGSLTGETNSVNKTAHPLPPSAADADIQAKDCMVFAGTTVANGGAVCLVVHTGMATEIGKIHSQIHEASQEDDDTPLKKKLNEFGEALTKIIGLICVLVWLINAKYFLTFEFHQGSYLPTNVRFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVARLVAVGGAPGTVRSFKVDGTTYDPRDGKIHDWPAGAMDANLEMIAKVAAVCNDASVSHSANQYVSTGMPTEAALKVLVEKMGLPEGKNGLSVDPSETLACCQYWSNAAKRIATLEFDRMRKSMGIIVASKSGTNTLLVKGAVETLLERSTQIQLQDGSVVPLDEKSRKAILASLHELSTKALRCLGFAYKEDLGEFATYDGEFHPAHKLLLDPANYAAIETDLIFVGLAGLRDPPREEVFDAIEDCRAAGIRVMVITGDNKETAEAICHEIGVFSPNEDISLKSFTGKQFMALEDKKTLLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYLVIGLYVGIATVGIFVIWYTHGSFMGIDLTGDGHSLVSYSQLSNWGQCSTWDNFTVAPFTAGARTFTFDNPCEYFQAGKVKATTLSLSVLVAIEMFNSLNALSEDTSLLRMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLLVALPVVLIDEVLKFVGRCTTASGPKRRVKKQKGE >cds.KYUSt_contig_7409.37 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001509.1:168532:170094:-1 gene:KYUSg_contig_7409.37 transcript:KYUSt_contig_7409.37 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEDFLSAPCTETIEANDMEKAMVSLTKATRSFLTDQQRGSTISSLHLKLYLINTFLCELGLLVGDAIESGFLKDLDLTILDATDPLDCSVEDMLQRVEEIDGFFCAYPSVLHCLTRLSLDNLGFIKVDMHHILFDCCKQLKYLSLCQCDTGLGSWFKIDAPDSKLIVLELTKCRFERLEVVCLPKLEKLSWDTWVSRSVPLAFGFVPSLGQLELSCGAICDQREFKLSELLHGTSSIHTLTLDFQGEKLWMQPEMGQLRTAFKKLRKLYVRGIFVEFDILWITAFLVAAPSIEMLHVEVWEHPCDVDDEIRQQAFFDRKAPQWKMDFDGSKNWLLKQLEFIGFRSLEHQFTFIRSMLERCPNLHIIILKGDEQCYSCDALGIPSKFPKKDEEEMVVSRIRDGMFLPRIIFNESSNKGC >cds.KYUSt_chr5.17514 pep primary_assembly:MPB_Lper_Kyuss_1697:5:112989343:112990400:-1 gene:KYUSg_chr5.17514 transcript:KYUSt_chr5.17514 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGVTAANHVLVCWALVYKLGMGIKGAAVANAISFLVNVSILALYVRLSPSCKTTWTGFSCEAFHDIPAFLKLAVPSAMMVCKDQEVVAKKMFILAHGTLGPV >cds.KYUSt_chr6.30242 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191626889:191628404:-1 gene:KYUSg_chr6.30242 transcript:KYUSt_chr6.30242 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPSMEKVAAASAPASDDDLISHLPDAILGTIISLLPTKDGGRTQVLSRRWRPLWRSAPLNLDILTWIPHVPISVLASAISQILSQHPGPTRRFSFPCRHLGFSAEVESWFHSWFHSRALANLQELNIIYTDGVLPPFVLCSASTLLVAKISYCHFPHEIVSPMNFPLLKNLSLFNVSISEEVFYLLISSCHALEGLFIKGVKSTGCLRVSSPTLRSIGASSSPHKMEELVIEDAPCLERILLPYHLDRLTIRVVRAPKLEILGPYSLIQIFQGMSLVSSENSIHTLKVLALKSSGRELQTVLKFLRGFPCLERLYVIFHKHHGMDKKDEPQYDPLHPIECLETHLKKVVFKSFVGNDKQIDFARFFVLNAKVLNKIEFEGYCHYNNQSVAYQHKLLQVENRASRDARIEFKYTPDPEHYGRTNLDKHIHDLSVADPFRQWLTR >cds.KYUSt_chr7.30480 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189751147:189751856:-1 gene:KYUSg_chr7.30480 transcript:KYUSt_chr7.30480 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFLLSAVVAACAILACVSASSPRVLTVGGDARGWRQPAPGEETYNHWASRSRFHVGDLLYFRYAKNDSVLVVTLDDYKVCRGDRPALRLDGGEEARFRLERSGPLYFISGAPGHCDAGQRLTVRVMAQHDDSGDAASSPAEDPALSPSGTSTTPGAVPKTPPRGKAGNGKTSAAASSVRASASGYHALAVALGAAMMLVLA >cds.KYUSt_chr5.15520 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100241843:100242334:-1 gene:KYUSg_chr5.15520 transcript:KYUSt_chr5.15520 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIDINSTPPEEEDDAGRVLDLNFSPQAAQPGHIPDEQEQAAEQEQNPEEERNLQRRRKDVPERSKFASYIALKALGKDRPVVKADKENVAQLLGISLRSVEKTWKKAMDQEARNEVVDFSNNRKMCGRKRRELNLDERVPQVPLNQRGTLRLIANYMKKP >cds.KYUSt_chr5.37039 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234356678:234357130:1 gene:KYUSg_chr5.37039 transcript:KYUSt_chr5.37039 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYFGRRRISLAGDWYDFRSGEQASPRGRGRQPYRTCISLEQLAGKKIPKSKPPFVGSLPFPFQSRTRNGSRQKRTRSYLPNCRRVRRCKTSLLNHAGLELEALLANGGIPDPAVCRIWPLRPRSSPLQSHDQLIPVVLPGPARGTAAT >cds.KYUSt_chr2.54567 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340480120:340482108:1 gene:KYUSg_chr2.54567 transcript:KYUSt_chr2.54567 gene_biotype:protein_coding transcript_biotype:protein_coding MTASQDMSWCCIPRSKKQQNTYAHSVGGISAEKNIRLFSYSQLRSATDNFNRTNKVGRGGFGTVYKGTTRSMQDIAVKVLSAESRQGTREFLTEIDVISNVKHPNLVELIGCCVEGDHRILVYEYLENGSLDRALLSSNSEPASFTWSIRSGICLGVARGLAYLHEEIPSPIVHRDIKASNILIDKNYVPKIGDFGLAKLFPDNITHISTRVAGTTGYLAPEYAWHGQLTKKADTYSFGVLVIEIISGLSGSRILMAEDKLLLEKTWELYEEGKLEEMVDPSIGTYPQEEAIRYLKVALFCTQAAASRRPSMLQVVKMLSKPIRINESELTAPGYINEYKSSHSKATASTDSRLKNSTAEDSDMFSTVVPQTVTDVSPR >cds.KYUSt_chr6.7961 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48894335:48897367:1 gene:KYUSg_chr6.7961 transcript:KYUSt_chr6.7961 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSRSNSRANFSNEIHDIGAARSTTPSMYYNERSIADYFPPHLLKKMVSEVVSTFLLVFMTCGAAAISASDPTRISQLGQSVAGGLIVTVMIYSVGHISGAHMNPAVTLSFAVFRHFPWIQVPFYWASQFTGAICASFVLKAVLHPITVIGTTVPHGPHWHSLVIEVVVTFNMMFVTLAVATDTRAVGELAGLAVGSSVCITSIFAGGNYEETKTETRGKPIMECRAVSGGSMNPARTLGPALASNDYSGLWIYFLGPVLGTISGAWTYTFIRFEDPPKDAPQKLSSFKLRRLQSQSVAATDVDDELEHIPI >cds.KYUSt_chr3.31815 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199884546:199886555:1 gene:KYUSg_chr3.31815 transcript:KYUSt_chr3.31815 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLPSFPHALSKPHHLHHSHVAAASTRPEAPSAAPNPASSRLRRLIARDDLAEAARLVEHSTSRGEAPDVYLCTKLIRNLCRRGRTSDAARVLRTAEASGAAVDVFAYNTLVAGYCRHGRLDSARGLIASMPAGVPPDAYTYTPLIRGLCDRGRVGDALAMLDDMLRRGCEPSVVTYTVLLEAVCRSSGFGEAMNVLDEMRAKGCTPNIVTYNVIINGMCREGRVDEAMEILNRLSAYGFQPDTVSYTTVLKGLCAARRWDDVKLLFAEMVEKNCVPNEVTFDMLVRFFCRGGRVERAIEVLQQMSQHGCTPNTTLCNIVINAICKQGRVDDAFEFLNTMGLYGCSPDTISYTTVLKGLCRAGRWEHAKELLDEMARNNCPPNEVTFNTFICILCQKGLIEQAMTLIEQMPEYGCSVGIVTYNALVNGFCVQGRLDSAFEFFNNLPCEPNTITYTTLLTGLCHAERLDDAAELLAEMIQKDCPLNVVTFNVLVSFFCQKGFIEEAIELVYQMMEHGCTPNLITFNTLLDGITKDCNSEEALELLHGLVSKGVSLDTITYSSVVDVLSREDRIEEAIQILHAVQDMGMRPKALMYNKILSALCKRCETDQAIDFLAYMVSNGCMPNESTYITLIEGLAHEGLLKEAQYVLNDLYSREVLNKTSVEDQH >cds.KYUSt_chr3.18620 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114520517:114523587:-1 gene:KYUSg_chr3.18620 transcript:KYUSt_chr3.18620 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHNNIRNISVVANLRHGKSTLTNSLVASAGIGIKEEAVVVPITDTRADQAEPGISIRSTNFSLLYAMDDESVEAYNGKGDGNKYLINIIDSPGHVDFASEATAAIHITDGALVVIDCIEGICMQTETVLHQALSEMVRPILCLSKMDKLFPGHEVEGAKPEFTGEQVYQILSNIIQNANAILAANDAERLGDVQIYPENGTVAFSSCLHGWAFRLADFAEMYALKYKKADANEYMKFLWGDNFFDSATGKWTTKDNGSGTCIRGFVKFIYNPIKSIMDACMNDDKGELWKMCSRAGVSIKDDDKDLTGRALVKCVMQTWMPASTTLLKMMIFHLPSPLEAQKYRVENLYEGPLDDMYAEAIRNCDPDGPLMLYVSKMIPASDMGKFFAFGRVFSGRVAAGMKVRIMGRSYVPSIKNDLYPKSVEHTIIWTGNNQYDVEDIPCGSTVGLTGLDGYIIKSATLTNEKELDACPIRAMKFSVSPVMFVTVECKVSSDIPKLVEGLKRLANSDLVVLCSEEESGKYRVAAVGELHLEICLKDLQECLMDGAEVTVSSPVVSYRETVLGKTCNVEGKSLSTNNSLSIVARPLHKILVEAIDAGQLGPHTDRVVRSQILAKCGWDEDLADKIWSFGPDAVGPNMVVDMCKRVQNLELAKKFVVAGFELASKEGALAKEKMRGICFEIHNVAIHVNDDSRAKTAMVQTAIYESQLAAKPRLLEPVYIVAMEGPQSALHAIYGILEKKGGEVYQVFEREYTQQFHARAYISVNESFGLAKEIRAATAGQVYPQCVFGYWDSMASDPFQASSTAGQRVLDIRERKMLRRPSFQN >cds.KYUSt_chr6.7680 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47087562:47087936:-1 gene:KYUSg_chr6.7680 transcript:KYUSt_chr6.7680 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEPGSRTSKPSVLPCKDAGGASSLPLFTCAPSAYWISCCRHSDHRSVADADATWTTEPLPASPALDRPPFAREVVVRIGDALRPRRPFFSLPSSFSWRDTTDGEDPSVGGMEAGGRLGQAE >cds.KYUSt_chr5.513 pep primary_assembly:MPB_Lper_Kyuss_1697:5:3695844:3696878:-1 gene:KYUSg_chr5.513 transcript:KYUSt_chr5.513 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLKRPSLGRLLASLRPPPSRAGSPSNFPVQTGFPTSLADLVVKNHGRLRKPRKQRRPTAALVPPPSPPPVALTVAADEFSLSPPPQPLSPVAVQRLDSAPRSPEGGAVFRLRPELLVLGGTVALALLALWSEGTVAAFTVAALSLLWIESASRRRRRLPAAEADATLDSCGRGVASPIREVEEAPRSSSCSDSDKGAEDTERSALVAGGGDDSTTPKRKGKRSLRKLISKKLQKKPKSKDLSISYNCEAEQPDAAAGDDIEPATPEAPSPSGEQTPSESSIEWSSSSSEAAVTVVDGRGAGRFPLAAFVPVILTGLAVGKLPATALSVLCIVFSSAVQRLT >cds.KYUSt_chr5.28440 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180098935:180101289:1 gene:KYUSg_chr5.28440 transcript:KYUSt_chr5.28440 gene_biotype:protein_coding transcript_biotype:protein_coding MASMISLLSLYSLLVLVLVQSTHSAIIPEPKNSIAEPKPDRPWSSDHIYIVQTNHLAKPSKFATLERWYASMVDTYSPRATTATDRILYTYGTVMHGFTVRLTDGEARRMSTVPGVTHVYKDRVYHTQTTRSPWFMGLHDDFGAWPDSEFGDGIIIGFIDTGIWPERASFNDTGLGPVRSTWRGNCVDAAGFNATLSCNNKLVGAKSFTINMPDLEGYNDPSPRDIEGHGTHVSSTAAGAEVPRADLYGFSGGRASGVARNARIAMYKACVPDPLVGCPDSAVTAAIDAAVSDGVDLISISLGGRADDPFYNDALAVATFGAVRRGVFVVLAGGNSGPEASSVSNVAPWMTTVGATTTDRVFPATLRLGNGVELTGQSLYTLKSKGTSMLQLVYSSCGEDDLTLDKVMGKVVVCTRMAGPRTGFYVEKAGGAGMVSPDGTNRFSDAVLALTFTLPGVMISHTAEKKLNDYMASSPYPVASFAFACDTVTGENRAPMVAGFSSRGPSVLAPEILKPDLVAPGVNILAAWSGDAPPSSWDNDTRRVEFNILSGTSMACPHVAGAAALIKKVHGDWTPAMVRSALMTTAGPLDKNGRDIVDSGTVFGGAAMDATPLAAGAGLVLPRLAMDPGLVYDAGTQDYVDFLCTLNYTAKQMRQFAPEMSTGCGARSRIPGGVANVNYPSFVVVFSSSSADGGVRTLTRTVTKVSAKPETYNVTFAAPEGVAVTVTPTTLEFIRKNEKRSYTVEFSVQAQGKVRPAGTWDFGHISWENRKHRVRSPVAFNWQI >cds.KYUSt_chr1.28805 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174241493:174245747:1 gene:KYUSg_chr1.28805 transcript:KYUSt_chr1.28805 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGIRSLASGFLFLNLIMYIVVAIIAGWAINYSIEDSAHSLKGATPPVRLFPIYFPIGNLATGFFVIFALLASAVGIATSLTGLRDVTEGHPASMMSATASAIVAWTLTLLAMGLACKEISIGWRPPSLRALETFTIILAGTQLLCVGSLHAGANAAIVETPMGPPIPSRGIQEIASGTLPERGIISRRTLHRHGRLRSDEFHVGAGIPGVAPHYIPPPTTFNVLLGTS >cds.KYUSt_chr7.15930 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98883081:98883865:1 gene:KYUSg_chr7.15930 transcript:KYUSt_chr7.15930 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPDPKFDHHLENNIGFGVIQSPVGMPLKLALIVAAPVGITCAILYLAGVPWRINIRIATFLLVFVFVAGLCERARARARLRRLRHEQDPESDQSMAALPREPAVGLGRVAIAGLPVYKYEKLKRGGGEGDQCAVCLAEIRPKEVVKQLPACTHLFHEGCIDVWLWSHRTCPVCRCPVEVSAVPAVEVAARAL >cds.KYUSt_contig_815.347 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:2059507:2061619:1 gene:KYUSg_contig_815.347 transcript:KYUSt_contig_815.347 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGSLAGALVTPPRRHEELPVDEKRRRTESGAVASSTAPPRSKVVYGPDGFPVDGVTRAVLLHDCKHSDGSIYTVDYWSKVYCLGDTREIQLYGYMAVRDLLNPFRNYVFNRSRDDPFTVEQEDGFIQMSGPKRGIRMEGYVLLEYDMKIKMGGEERDDLQLIDGVAYFNNLTIMNASEHKQRIDGDCGAVDITLSLLRCAVEATVQVGISDLEHGSGLSLRLTASYISSRFALREGIRLADGVVDPETCELNKYVVAVPWKVKLGLKLQVSQIGGSDHSVIDKFFLCSPHKHGHQNLAFKLGLATVKVKVTWSTLDIPRSLLGPDCYMYDFEAARDLGLLNV >cds.KYUSt_chr2.36696 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226603877:226605705:1 gene:KYUSg_chr2.36696 transcript:KYUSt_chr2.36696 gene_biotype:protein_coding transcript_biotype:protein_coding MITYLTQELHLPLVDASNTLTNFNGTSSLTPILGALAADSFAGRFWIIIAGSVFYQLGMLGLVASALVSSLRPGPCSPPATPCRRASGLQLAVLYLSLLCTSLGSGGIRPCVVVFGADQFDQHKEQHGEAETEAVAGRKRQYFNVYFFTMGFAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSILVFVVGYPLYVRLRPGGSPFTRVAQVVAAAYKKRAAPLPEDPGMLYQDKELDALISTNGSLLHTNQLTFLDRAAIVTPADTAGSGRLDLWRLSTVHRVEELKSLVGMLPIWSAGILLVTAGSHNNSFAIMQARTMDRHVTQHFQIPPATTSIFNTVAMLLTLVLYDRAFVPLARRFTGLPSGITYFQRMAAGLAISILGVASAALVEAKRRGSAAERGLLDTPATVVPMSVFWLVPQYAIHGVAEGFSSVAHMEFLYDQAPESMRSTAAALFWLSTSLGSYMGTVLVTAVHRATRSSGEWLQDNINRGRLDAYYWLVTCLMLLNLGYYLICLRFYTMKPLELAEDGGHEKEFELSYVHKNGGGGAV >cds.KYUSt_chr5.19470 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126287210:126289926:-1 gene:KYUSg_chr5.19470 transcript:KYUSt_chr5.19470 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAREGDDVCIDQGWAAFAISHQLQVGQFLIFKRVSFFEYSMVIFDYTCTEIGLKVEDYKGLSVCKALVLEMSLCGSSGKLRDGAAIQGDLASFDLWTALMYVDE >cds.KYUSt_chr4.3549 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20204768:20205916:-1 gene:KYUSg_chr4.3549 transcript:KYUSt_chr4.3549 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAREPCSPWSDGLPPELLDTILRYLTCLADRVYFAAVCRVWRSAAQVHKSPQCHLPLLLLPSPAAPSFLSLHSGAIRRLHLSESVCAARLCGSHEGGWVVLASDQWRGYAAVNLCSGAMVPLPDRLRIPSARGRIDTTCEHHMVIRTVTFSGTPSAEGCLAAAHVSSASNIAFWRMGMERYWLASRRDVVDVIQDIIYYKEGFHVLSSTEDVVVYAPKGDLLGMSHISYLIQKRTDYKPDRLLPKGHSVSRYLVESRGKLLMVLRLFGPKQQQHFRIFEMNPSGGGGTYWVELHALPGRALLLGRGCSRAFEVSQFDTLPVGNIYYLDDASFNLSLAWSNGSRYPTTFMGVYDFHKTHNAMRHFPRESTSECSLPIWFIP >cds.KYUSt_chr2.54143 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337921823:337927121:1 gene:KYUSg_chr2.54143 transcript:KYUSt_chr2.54143 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLGTVVDAAIGWMVQSILGSFFTGQMEAWTREVGLAEDVEKLKFEMRKVEMVLAAAEGRRIDNKPLARSLDDLKELIYDAEDVMDELDYYRIQQQIEQGNGPSHPSGSNPEGSHASSSAPSSAFELVYNATSQITSWASCDRKRKRENEGPAHSTIMTFEVKDDISKRINIIVNHLCTIGDSVQRVLQLTIAHPIATPSQSQIIARNARMTTSVPIESKVYGRDAERDKIIELLINRGSNDLNVLPVVGIGGVGKTTLARYVYSDERVSDHFDLQMWVCVSTDFSERRITLEILEHVCKDRQEYENISNFNVLQNILLKYTRNKRFLLVLDDVWEERDKSGWDELLAPLRRSQVTGCMILATTRRKSVAKLLGTMTEVELNGLDEKEFWLLFKAFAFGNENYEGHPSLQSIGKQIAKALKGCPLAAKSVGALLNTSVSYKHWRIVQDKWKSLQEDADDILSILKLSYDYLPIHLQRCFSYCSLFPEDYKFNGGPLVRAWISQNFVQCEDPTMILEETGQQYLDRLVDLGFFQKVGSHYVMHDLMHELAGKVSLNECATIHGLKSEEIRPTVRHLSIITAAFIKDKDVHGSNEKFDKVIQKELKFKVQNVGGFGIGQLESMNELVLLEISQLENVKTEEEARRGSLIDKEYLKALSLSWDDSSMSLQPEAAKDVLEGLQPHQNLKTLKIIGYGGTSPTWLSSTFSVISLEILHLEKCWEWRILPTLEMPFLRKLTLIRIKWELLPLREMVALKELELMDLHVSPDLEFCGGKRDFLGFTSLTVLMLDGCPKLVSSLVGHIGERKDDGSVEAGLLPPSLEDLSISHPPENLRSFVPEGLLYLKNLSLVNSPYLKSVQLHPCIALEELWILGCEHLAVLDGLQFLTSLRFLHMEMNPELSCAWEHKLQEQEQTGNRIQLLPPSLEKIVIEKLTDGVQSGLLTCLPTITRLAIKESPTLTSLQLGCCRALKELEIGNCISIASIEGLQFCRNLTYLKVFSSLGVGSFLELVPHQQGASEIWSGLEALEISDASVLSVPLCKQLTSLRRLQFGPQLGEQPEIMVSLTEEQERALQLLTSLQELRFSTSFLSLPANLHSLTSLKMLHIRDCKSITRLPEMGLPPSLRNLGLCNCSEELSAHCRMAATKKLRVIIDNQIVD >cds.KYUSt_chr3.47160 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296009460:296012810:-1 gene:KYUSg_chr3.47160 transcript:KYUSt_chr3.47160 gene_biotype:protein_coding transcript_biotype:protein_coding MMWAGVRELLHCDWNPAGPGEFIAIAQGLYGPLRRLVWFTFAAQCWTLWTIRNKLTIEGKMIGNPADESVEWEHKSKVPGKMHGCGHDAHVAMLLGSAKILQEHRDEFQGTVVLLFQPAEEGGGGAKKMIEAGAVENIEVMFGIHILDTVPIGVLASRPGPVMAGSGFFDAVITGKGGHAALPHHTIDPILAASNVIASLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFSKESFGRLKQRIEEVIVAQASVQRCSAVVDFHDNDMPFAPPVINNPELHDFFVKVGGEMVGPGDVRDKQPMMGAEDFSMYAEAVPRTYYYFVGMLNETRGPQAPHHNPYFTVNEDALPYGAAMQAALAARYLLERQQHVAAAKVEPRDEL >cds.KYUSt_chr6.10469 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64651807:64654843:-1 gene:KYUSg_chr6.10469 transcript:KYUSt_chr6.10469 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRLGSPRQPAEPTRVNSTWRSVERPDPAPTPGSVVPTRPDKISQKFPAETSEFRRNSAEFKHALDENKIRCRGSEAPEGKESAAAMFPRMAAAPWISASLLVLLLSLHPAVDAFYLPGTFMHTYEPGEEISAKVNSLTSIETELPFSYYSLPYCQPPEGVKKSAENLGEVLMGDQIDNSPYRFRVNLNESVYLCTTDPLTKEQAELMKNRARNLYQVNMILDNLPVMRFTEQNGMTIQWTGYPVGYNPMGSSEDYVINHLKFRVLVHPYQAQGDVVVTSEDGVAMVESDRKSGFQVVGFEVVPCSVKRDPEAMAKLKMYEKVESVSCPLELEKSQVIREKERITFTYEVEYVKSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKQTSEGWKSVAWLTSCFFPGIVFIILTVLNSILWGKKSTGAIPISLFFTLLALWFCISVPLTLIGGLLGTRAASIEFPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >cds.KYUSt_chr4.34372 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211012422:211022411:1 gene:KYUSg_chr4.34372 transcript:KYUSt_chr4.34372 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVAADGWYEAADAAEEEPVNEEDLALANNNAAIEERLADLTRVTKEHEATCRAGRRRLDQARSMEIAIGNVFPGTTHQWCKWHVLRKAKECLGPITRKSGFRTEFHNLVDEMLTVKGFETNTQRSESANHMLKNYVPPVCPMIVFVKQYGKLQYDKEQEEGFQEKRGQMPSDTIIVFSLYDLPNKSMTYGTSYPWGPPVGGSHARSSASVRQRQWWLMEIWVLNLQLRKYLYYRETTEDRSASFYTSIAKVQIMSGEEEPKGARCGYRETASCGVPVFVVPSGGFDRSIMLVVGHCPFKPARCLLPQQLVDGEGRNSSTPPLFSPAKKKHQTAGEVLDLHAGKLVSISSNGLGHQLCALEYQEASQKLPVVILVTFQAEKHDENRIVPLEIQDSVAQMWSEWGVMMG >cds.KYUSt_chr5.20208 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131353900:131354697:-1 gene:KYUSg_chr5.20208 transcript:KYUSt_chr5.20208 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPDRHPPELAGDRRLYSAAPVSEDLEAVVAGDVDSANATVRDDSVANTLVACMPIATASYCRLRTEELALLSVTDGVLCRNRLVCRRLNRCIIIHEEAVVGAGVEHGEGSETHVLVDAIDGRALGIGALGEAAMTGFAGWTTLLLFSVRRTPPSRRVVLPTFVVELHAWVGKEEKSASGSSLRVLAHLTGDGDELTGEWRSIWVSLGGEGDLARRVRGGESRSSYELVSWTVQ >cds.KYUSt_chr5.25817 pep primary_assembly:MPB_Lper_Kyuss_1697:5:164055289:164057863:1 gene:KYUSg_chr5.25817 transcript:KYUSt_chr5.25817 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGTAGDISAASVAGGDDGPGAGGGTAGEKRDKSSLMLRERGRFNPARYFVEEVISGFDETDLYKTWVRTSAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLSKKRLEREKARRDAAADLSEDLSEGEKGENINGSSVHDESTRGRMPRIGSTDAIEVVQL >cds.KYUSt_chr4.4817 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27556290:27558112:-1 gene:KYUSg_chr4.4817 transcript:KYUSt_chr4.4817 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAREEEPVSLALSLSTESTASTTTSADSAGAVTQKSRARRRRMVATSGEGEFVCKTCGRAFASFQALGGHRTSHLRGRHGLELGVGVAKAIKRREAKQSHECHLCGLGFETGQALGHMRLLAYILICLDVKWMKGCTIKEFVEKNGDMRFRLQPEEIKEQMVQGSEKLMRMAAEFTKKIKTGPARLTAGNGQ >cds.KYUSt_chr5.11916 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77424143:77428947:1 gene:KYUSg_chr5.11916 transcript:KYUSt_chr5.11916 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGSVRDDPGCLRKSGGFHNRYRKLSPLPINHTYTATRRFLIRGLPFFGSPSKELGRRPASSAAAGDTTAELKSAREDVKQLLKDKSCHPILVRLGWHDSGTYDKSISEWPKCGGANGSLRFEIELKHAANAGLVNALKLIQTIKDKYAGVTYADLFQLASATAIEEAGGPKIPMIYGRVDVSAPEQCPPEGRLPAAGPPSPAEHLREVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTSQWLKFDNSYFKDVKERRDEDLLVLPTDAVLFEDPAFKIYAEKYAEDQDTFFEDYAEAHAKLSNLGSKFDPPKGVSLD >cds.KYUSt_chr2.40944 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254434766:254436076:1 gene:KYUSg_chr2.40944 transcript:KYUSt_chr2.40944 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTWLRGGFGADDASMACPGTRVRRDGAGRTRVVGAKSSPQPTFPSGEAMSTVITKFVVTSMLMWMVPVAIVYGFNHQIFPGVGQLSPSAQTLASGFLAVISVNLVIGFYIYMAMKETPHQEPQPDPTFLANAKASINQPTSSQVSDDSHGKGKVE >cds.KYUSt_chr1.26834 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161881046:161882153:1 gene:KYUSg_chr1.26834 transcript:KYUSt_chr1.26834 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLFWQSPGEQGDLSDVVRSSLHPLHHHQLPTTLGSPYLQPEEEYNSLLLQEGSGGGGLVVSHGDDEQLGMVAMMMMGGNTRPTSDHRVASTHPPTTTVYPQARQEPLPGMIRRPDIDREGDVVVAPEIGDRLQQMSIVHHPRVSAAMKPRKSHTKKVVCIPAPTAAPGVGGRPSTTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPNMLVITYTSDHNHPWPTQRNALAGSTRPVAKNNVPSSSSAAAAAGPTHNSITNVGRDSVHHQLKQEDDLDLFADMDALNIFSSITKIQEDDSKEQLFDPFSSSFSDYL >cds.KYUSt_chr3.26836 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167419853:167427263:1 gene:KYUSg_chr3.26836 transcript:KYUSt_chr3.26836 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAGCCALLLLALHCVVTGCSAINLEGSALLKFKSKVYEDPYGAMANWTPRDDDPCSWNGVRCTHGRVVMMNLKDLSLRGTLGPELGGLCHLQALVLSNNLFGGVIPKEIGGLDTLEILDLSNNNLTGEVPQEIAEMPSLKHLLLSNNRFQWPVIQNSYGNFDQEIDSETYDHHGRGNVNQRADNGFESGSSTEENKKDTSNLSARLPTQFAARNPAAQLSRRRLLQDSNLAAPSPANAPIPAVAPVPSTGSGSFSAFSPMKAPVPAVNPQISPPSSSDTPSEAGSKGRSIKWLYAILIPSIALLLIIIACMLLLCRNKSVTTIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPHYTVYKGTLSSGVEIAVVSTVLASSKDWSKHSEGRFRKKIDSLSRINHKNFINLLGYCEEEEPFMRMMVLEYAPNGTLYEHLHVEGFDPIDWNGRMRVIMGVAYCIQHMHELNPSIAHPDLQSSAILLSEDGAAKLHAEFACIGTMETVLVFYGDVVRDEFSGVDVSRCESMKVVVTYMINRDFLDVRRCIRAQFGRDIRGKKMTVEALIVVGGNDGTPARWGLREVKSDRNWGTYMRFVSTPGAAMYGEPMVYFQFISGDDGAGSSTGAGEEEMAIVTGPSTVQSEHLALTAAGMIGQSEHEALTAGHSTGPSEQMVAQVTAEPGYWSAFVDISERVEGLPEALDDDARSSSSESSSDEEGVGPSRRAVAAPMDPES >cds.KYUSt_chr4.44635 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276464018:276467003:1 gene:KYUSg_chr4.44635 transcript:KYUSt_chr4.44635 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVSLVVLLLLIAVQEAAPGVINYDAMRADHIPGKPELVHPGAAANKYTPGCEATSNPSSPPSSPRCSSSLRKDPSQGSPSPSTPAATCSASTPTPATSRSSSPLPAPVLQQPRLTCPPPIVQPTVRKSGRYALAEDVAGPTDEDDMQRAMRRKAEKNLDTAGVSLGCRSDEISVSANVLRQTELDRLTVVPNVSTGLETSTIDDDEDDDILDGHLLSAIG >cds.KYUSt_chr4.1715 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9129069:9139095:1 gene:KYUSg_chr4.1715 transcript:KYUSt_chr4.1715 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRWPRSPVAGCKQMLHDMITLAELVSENADTPMYTEEQAIRCLGSEMGTPEGRSVHDGDSWSSGVVPVAGGDEEGGVMVGLGNGRPSDQLCSADALGLTEASQALLRLSSRRGDGDAQPLLHALRLVGLEFSDHEGVLVIEATMPTDLADVFPGAAIVPDDLYPTPPEEKVDAGTSTVDTVLCPDIEVASWLGLRLHQADFGTGPPSALLKPDMHKEGLIILVPSPMAEGAVDFVVALPEDHVAAFTKICYSLDDTTIFPPSRM >cds.KYUSt_chr1.42923 pep primary_assembly:MPB_Lper_Kyuss_1697:1:262587489:262590427:1 gene:KYUSg_chr1.42923 transcript:KYUSt_chr1.42923 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYCYVVVVATAVLLLLLLVAVHGAYDEEVIDVEVLEEGSSCHFPMTPPVVPESPEERREHYRAMETKDLARNRQMAKGTRRQLASSTSNSEEEVELNLKPAPKLMSTSATDMTELMSSTSMFEMPMQSALTIRDVGMYLVTVLFGTPALPYSMALDTANDLTWLNCRLRGHRRHRGRRIRPPTSKTMSFDEASEDPVNVAPEKVKYVKNWYRPARSKSWRRYRCSQADSCGLFPHNTCLTPNRNESCTYNTRTVDGTTARGIYGSETATVVVSGGRMARLPGLVLGCSTYEAGGAVAMHDGVLTLGNQDVSFGTVAGGHFKGRFSFCLLPTVSSGNSSSYLTFGPNPAMAAGACETRLIYNPLIPAFGIHVTGLFINGKRLDDIPPEVWIHDGKGGGLNIDTGTSLTALVEPAYGAVTRAITRHLDHLKKEEIQGFEHCYRWTFTGDGVDPANNVTIPKLVIEYEGGGRLDPGAKGVVVPEVVPGVVCLAFRRSPLGPSIMGNVQMQEHIWEIDHYKQSPIKRESRWDGAIGGKELPRRATLAPRRQTARRMSQDEEGDAIADDGQDEEEEEDEDAKW >cds.KYUSt_scaffold_1854.112 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:560592:577945:-1 gene:KYUSg_scaffold_1854.112 transcript:KYUSt_scaffold_1854.112 gene_biotype:protein_coding transcript_biotype:protein_coding METLPSSPRHPPKYGSAAVQNALEQLASIDLIELSKEARIEHCRAARDLSSCGRYVQHVLNSCGHASLCAECSQRCDVCPICRSPIPDNGNRVRLRLYHKCLEAGLISKQHDERFQEREGHGDPVNMDVQRLHSLFDVALQNNLVSLICHYITDVCLDESAVSSDPLLAFLLDEVVIKDWCKRAVNALISEICTIYKSGLEMMKSKLPQLQKFAVQLAGISSVVEAMIASFREAAHVNDLHQLIENTMKAKQHLEAMIWCIRHEFLEKIPSRHASFATWSADVIKRKTSAEERQWPEVSGKTSGYDEANQGVLFIEQALQNLGNQQSYMDNDDEREITCLQNEQSSSMFRSTIDQSNVNSYPFKNLREAVDILFLHGGSDMVISKQATFLYYIFDRHWTRPDSEWRYLVDDFAATFGISSKTLLECLVFCLLDDHSSQALEEACSLLPKISSKETHPKIAQVLLERHKPDVALVVLKCTGGDSFSATANIEKDGLLCLTEAVTALRIRIEYGHVTEAFMFHRSYCSRVKEQRSADTAHVEDAHRNSWMYHVEVMMAEFCEICIDRNVVDKIIDLPWDSEEEKHLHKSLFDSARERPTGPCGSLLVVFYLQRYRYLEAYDVHCSLQSFEQNVLESAGEEVASKISTIAQWREGLVAKCLEMLPEVQREAARAISSGDQSQFAIRTMQMSSPVNPSVKSPKPAIGLSSSFIPALQNKPNPLHPKNIHASTDSGVLTSSIRSEFGRNVPSILQCRPVPPGTPTSNMRSTAGIMFPSVGQNSENPYLKGTKELGFMKGESGFKKGAKPAGHDSLPMYFNLGAGDTPMKRQGPSSSKTERSKTTFFQGKDSVRKGEFDFGLRAEKPFILSGTNAGHNGHTKMEEVSILRGSNCRAKGAAPGFKVAVLGASGGIGQPLSLLMKMNPLVSVLHLYDVVNTPGVTADISHMNTRAVVRGFVGQPQLENALTGMDLVIIPAGIPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPNAIVNIISNPVNSTVPIAAEVFKKAGTYNPKRLLGVTTLDVVRANTFVGEVLGLDPRDVNVPVVGGHAGVTILPLLSQVSPPCSFTPEEISYLTSRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLHGDAGIVECSYVDSQVTELPFFASKVRLGRSGVEEILPLGPLNEFERAGLEKAKKELSESIQKGVSFVNK >cds.KYUSt_chr7.23650 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147446144:147447526:1 gene:KYUSg_chr7.23650 transcript:KYUSt_chr7.23650 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGTASSRRPHVLVVPFPPMGHLLPLLDFAHLLSTRHHVHITVAVTPSSLPLLSAFLASTPLAAALPLPLPDPSAPEHAGQLAPGTHHALLAAPLSTLRGPLVSWARSHKHPPTAVLSDFFLGSAQLVADDLRVPRVAFYGVAAFTTAALDQLWNGTLPLDPNSPAVLSALPGSPSFPYGHVPSVVRSYVPGDPDWELVREGFLLNSRAWGAVVNTFDAMEGDFLEHLKRRLGHGRVWAVGPVADPGCRVGERPTAEAEELFSWLAGCPARSVLYVCFGSMYKPPPAQAAALGTALEASGVRFIWAVGADVAVLPEGLEERARGIGRVVRGWAPQMEFLRHAAVGAFVTHCGWNSTLEGVAAGVTLVAWPMKADQFIDARLMVDVHGAAVHAAEGEDDVPDSTALARVFAGVVNAAELGGLRDRVRTLAAAAGEAVEEGGSSWLDLERMAKDLDAASGP >cds.KYUSt_chr4.831 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4348727:4350101:-1 gene:KYUSg_chr4.831 transcript:KYUSt_chr4.831 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASTAWPSTSSSVLAPHTHHATPRTCHLRSGFLGRALAVAPRHVAGAAASAAAVPVRAAWDGPLSSARLIMQGRNVKLTEKLKEHIEDKVGRAVAKHSHLVREVDVRLSARGGDLGRGPKASRCEITLFTRRHGVVRAEEEEESSYASIDVAAGIIKRKLRKIKEKETDVRHLKGTKEWQAADAAAFSSDSDVEVDDDELVEVIGAEDEETVLTKVVRTKVFEMAPLTVDEALEQLENVDHDFYAFRNEQTVNRAVRTAGEVNILYKRKEGGFGLIIPKQDGHVDKETVAKANGKEQSIAG >cds.KYUSt_chr1.6004 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36886784:36887986:1 gene:KYUSg_chr1.6004 transcript:KYUSt_chr1.6004 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSRSPSPFPTTEMKKKQKQEDEQAVASLPEGPLVEILSRVPYRSLCRFKCVSKPWFALCSDPDIRKRCPQTLTGFFYNMRGFYNLSGTGPPMVDPFLRFLRKSYESVIIEHCCGGLVLCRCRKSRDSDEGNLVVCNPATENWIQLPPCPIESPYEVEEYLGFDPAPPSHFVVLVVQLVEFGEVAIYSSGRWTTVQSGWVNQPVPVGPSNSVFLNDTMHLMTHEPSIFTVDTQGKVWREIDIPGDVPQSCAGYSIGQSQGRLYAWFIDDPNVCQLSVWALEDYGSAKWTLKHTANILELFGNHCRKDGEVYEMFAVHPDRNLIFLTDGKKKTISYDMDNREVHVICTSKNLWDIQPYIPCFAEWLSDSH >cds.KYUSt_chr5.7876 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49685492:49687558:1 gene:KYUSg_chr5.7876 transcript:KYUSt_chr5.7876 gene_biotype:protein_coding transcript_biotype:protein_coding MQMASRRRLSLLLRRPGHLSTCSNPPRSHLSTTAGRGPAEPADPARAASILAEKDWFRRLNSEFAAALPRLGPRFVVRVLHAAVPLEPLLCVRLYVWAARFGTHFARDASVRRALEDALWRRGPVVLSAALVAEVRGCGCEVSEELLCTLIASWGRLGLAQYAHEVFVQMPRLGLRPSTAVYNALIAASVRAGAVDAAYLRFQQMPADGCQPDCFTYNTLVHGVCRRGIIDEALRLVRQMEGAGIRPNVFTYTMLVDGFCNAGRAEDAVQLFSTMNEKGVSPNEASYRALVHGVFRCLGRYKAYEMLSKWLGREPPLHPSAWHTMLYCLSKNEMAKEVVEIAKKMNTRGYLIDNATFGTVVSCAMKCLELSSLCQLLDDFVKKGGNPAFDVYIMVIKSLLVDCKSSSKANQYLECMVLDGLLSSVASYNMVIDCFVKASAVDRAVEIIEQMREKGFLPNLVTFNTLLDGYSKLGDVHNAKAVLEMLMEHGFMPDIITFTSLIDGLCHTHQLDDAFDCFKEMTEWGVRPNSHTYNVLMRGLCSAGHVNKAIDLLSKMKIDGITPDAYSFNAPILSFCKMQKVDKARIVFNAMLRLGVVPDSVTCNTLIKAFCDERRVDEAKEILLATESSGCSVTDHHSYWPIVSALTKRGRFSEAGQLMNKYHTRNVQLGCGSNRTTEPGIDVRVVNV >cds.KYUSt_chr1.8025 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49226864:49227211:-1 gene:KYUSg_chr1.8025 transcript:KYUSt_chr1.8025 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRASAPPRHTLPLQPEVPVWNRILPRAHNGEAGPSRVIVPAVRGPPSLRPSSSTSRRTTTRGSSATSPCPGKVPHDGRHRRLGEGQALPPRSTTVIVDYDNESDLPGDSDAFT >cds.KYUSt_contig_2431.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000336.1:143637:146696:1 gene:KYUSg_contig_2431.26 transcript:KYUSt_contig_2431.26 gene_biotype:protein_coding transcript_biotype:protein_coding MDRADIWIAAGHRSVTSSSSWQPARQWRKALNVIRTCHRLARLGILSTAGVLPRSTSYVAIKIHHGSDSDSADDADTSYGAAFSVAADDDSFKALVKDKRDDCFRRLGGGAGIAAALASGAERGIRGDDGDVRRRREAFGANTYPKPKPKSFLAHVWDALGDVFLIVLLVCAAVSLGFGIKEHGLKDGWYDGVSIFLAVFLVAAVSAVSNHGQAKRFDKLASESGNIAVTVVRAARRQEVSIFEIVVGDVVVLKIGDSVPADGVFLEGHGLQVDESSMTGEPHPVEIDAEKMPFLTAGVKIIDGYGRMLVTAVGTDTLWGEMMSSITKETTVPTPLQERLERLTSSIGKIGVAVAVLVFTVLTARHFTGSTKDDQGKPLFDKAHVTFDKVFSALVGIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVKENALVRRLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGTEQPTAATAIAGGIVSLLCQGAGINTTGSVYKPDNVSPPEISGSPTEKALLSWAVADLGMDANELKRSCKVLHVEAFNSDKKRSGVMIRDNATGSVIAHWKGAAEMVLANCSMYMDADGAAHDLGVEQRKNLENVINNMAVGSLRCIAFAYKQLDSEQAKIDDEGLTLLGFVGLKDPCRPEVKAAIEACTKAGVAVKMVTGDNVLTARAIAKECGIISSNDPNGIVLEGHEFRAMSQEQQLEIVDNIRVMARSLPMDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIIILNDNFDTVVTATRWGRCVFNNIQKFIQFQLTVNVAALVINFVSAITTGKMPLTTVQLLWVNLIMDTMGALALATDTPTKSLMDRPPIGRTAPLISNAMWRNLMAQALFQIAVLLALQYRGRDVFGTDDKTNGTMIFNAFVLCQVFNEFNAREIEKKNVFAGVLKNRMFLAIIAVTLALQVLMVEVLTRFAGTKRLGLGQWGVCLAIAAVSWPIGWAVKFIPVPDRTLHQIFTRQKSSS >cds.KYUSt_chr3.12001 pep primary_assembly:MPB_Lper_Kyuss_1697:3:71645472:71649683:-1 gene:KYUSg_chr3.12001 transcript:KYUSt_chr3.12001 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHLIELSFRDIASDALDMPLNQEALENPNLPDGLGTQPSEKPEASQHLRKEMENISRKRKLEKHNTKKVDTVKCIDSNKADKVRKPGDLGKHLPRQSILKIKRTSVKMVKEKHGNSKGKEVIELCRKSVKQVKFSEADDILGSDMQSCELPKQRSLSKLFSAAMASLSSSSSSSMSTEGDKCITTESSSFHMPKEAVTTNKEANLCSNHEDSPNEGEKCTTAESCSSHMLEEAVTKTKEANLNPNHEYSPKPSNTELSSHLLDLNQEALPETTDLNYTYNSNSEKRSGPVRLTPGAKHILVPSDSSTGDGNSVPVYSCVSFGSRSGNAAPSQNKGA >cds.KYUSt_chr3.33899 pep primary_assembly:MPB_Lper_Kyuss_1697:3:212726798:212728388:-1 gene:KYUSg_chr3.33899 transcript:KYUSt_chr3.33899 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKEQAGTAEPAAAAWSARPVGGTEYSWCRAVPGGTGTTLLALRLSPRAGAATTAEAAVRSLQTAHPVLRAHLRAASNSSPTLAFPASSVPPQLTLAPQPSALDFDSLLERELNRNPWVAADPDGAPVLFAALYDLPPPPAGGAALFVRIHTAACDRAASAALLRELLAHLGGAGAGAADPEAAAVEAGLEERIPKKDAWKPFWARGVDMVGYSINGLRTSTLPFVQTGTARSTQMVRLAFGRDDTARLLDACKENGVKLCSAMAAATLLAARQSKKLDSGQQETYSTATLINCRQFLEPPLDDHNVGFFYSAITNTHKIHGEESLWELAKRCHDSYIHAKNSNKHLTDTADLNFLMCRAIENPQLTTASALRTALVSVFEEPVTYDLSDLQSKAGVDDYACIATVHGIGPSVGVFDSIRDGRLDCACMYPSPLHSRKQMQDLFDTVKRILQQGSNASGDKF >cds.KYUSt_contig_60.424 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2530728:2532245:1 gene:KYUSg_contig_60.424 transcript:KYUSt_contig_60.424 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDSDARGRGRVQSLISGEEFQHILRVQNTNVDGKQKIMFALTAIKGIGRRYSNIACKKADIDMNKRAGELTAEELDRVMHVVQNPRSFKVPDWFLNRKKDYKDGKFSQVTSNAVDMKLRDDLERLKKIRNHRGLRHYWGVRVRGQHTKTTGRRGKTVGVSKKR >cds.KYUSt_contig_686-1.911 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5555741:5556778:1 gene:KYUSg_contig_686-1.911 transcript:KYUSt_contig_686-1.911 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNMSRLAKAVISLTIMVMLFMPAAMAATVASFDATRSQRIPLPDGSVRGPESVAFDGQGHGPYSGVSDGRVLKWNGDELGWTTYTSSPDYNSDACRLAKLRPATNPETLCGRPLGLQFHHKSGYLYIADAYKGLMRVGPGGGEATVLVSEIDDIPLRFTNGVDVDQITGEVYFTDSSMNYDRSQHELVTNTHDATGRLLVYDPETTQVRALQAGLAYPNGVAISTDRTHLVVASTGPCKLLKHWIRGSKAGTTEPFADLPGYPDNVRPDRKGGYWVALHGEKNEWPFGVDNHLLAVRVGANGKIAEEMRGPKRVKPSEIIERADGNLYIGTMDGPYVGVATRK >cds.KYUSt_chr7.14734 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91097576:91098282:-1 gene:KYUSg_chr7.14734 transcript:KYUSt_chr7.14734 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTASAHGVHAPCCNLLQGVNLVPCLSMAGSGGAVNISGACCASLNQALDAGRRCVCSLLLANGVLAGLVAALIPTLPLVLPLPGCYLYAPPLAACQVTLLQTNYESPAAASAAPAAVGDAAGGATDSPPPQAAAALLPKNGSAAGTTDGSAGNGSRVESLRRSDASRRPGVGEGRTYYVLIPVVVMAVFWFSYAAVHDFVGSN >cds.KYUSt_chr7.25050 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156273924:156275261:-1 gene:KYUSg_chr7.25050 transcript:KYUSt_chr7.25050 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEGTQQLAFVKETVLKKRKVNEDWAIKNRERKAAKRQRRRNDAKGAIKRPEDFVREFRNKELDLNRMRTRLKVRKLRPAEAVAANLIFAIRIPGTVDVHPDIRRILAKLRLTQVLTGVFLRATEANLKRLAAVELFVTYGFPNLKNVKELIYKKGRGFFDREPFPLTSNDLIEKALGEHGVICLEDVVHEISTVGPHFRETSSFLMPFKLKCPERRLQMKKKPFKAGGDTGNRGDKINELIEKLN >cds.KYUSt_contig_60.464 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2758358:2759743:1 gene:KYUSg_contig_60.464 transcript:KYUSt_contig_60.464 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGKGKRRRRAGRKVQALRAKALAAAQSAGLLVGGGAAVSASPSDASGSGLDGSGGAAVSASPLEASSSEPLLVQGLVKDQAVVVRESDLVGGGATVEATHMGAELRIGSIRILPAEDAAPLSLSRSGPPSIQQLVSGSAAETTTATSLPCAATRLGSSGCSPGTSSPRLQASLAQDAAEPQCLVAEAHAVTPQHSNVARENGVASPPVGVDVAREVATDSPVIQLAVVAIDEGADSTEAHKDEVDDSEEEQVDEEIVVDIPPARDDRDAVMVPPPSSVSPAMCRFASPPVVFQRSRQPPLPRTSPTTARPRTLGEFLTAAKSRSDALLQTPAVRRRLVELNFQPRRSSRIAGQPGGLNAEMKAVHNLMRKLGLLKGDEAPSEAALEAYHKMYELPLTDDMIEAIAEFYGWSLSTIRGCSPPPLGMTGGRLIEA >cds.KYUSt_chr5.34703 pep primary_assembly:MPB_Lper_Kyuss_1697:5:219905765:219906936:-1 gene:KYUSg_chr5.34703 transcript:KYUSt_chr5.34703 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVFGGVVFIYHVVVNDSQQCGAAGYRRRARIAGCGQDGEAVWCYGDIDDWPSTAARRSCGKRAGRPTGGAAAGRTRNEARRRLARGASPASASPEVRRHADAGTVEWCDRERLLPTKTLLLQIRRARELGTEVAVGGGLLQAGGARSGKRGGLGGGLLQAGSDVARAGRSSEVRTRLCLGDAVPEWEAFMAMRGLATT >cds.KYUSt_chr4.14751 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91040624:91041490:-1 gene:KYUSg_chr4.14751 transcript:KYUSt_chr4.14751 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRGGGGRDLRRQISISLGLLLALSLLRWSEREEARSGAVFINNFAATGSSLSLGSEDEVPLAGHGGEVGLLRGSARCVHALLLAGLGGEEELELGALILDRGRGSGPLCRCGGWMAVAKPQILLACHGGQERSSGDAEPGLLHRLLPTGCYSTEFFQAAGELAVAIQRREDGNSSTSGEEALVNPRRGCSKPLSCEVIGSPQDGGGPWQRILVGRGLPSSWPLLLGGDAWGAPAIGGGGTQGPDRVSSFCLRVLIVISGGPFFKLWFLCAIDAIGPPCKLYLPRVI >cds.KYUSt_chr7.9925 pep primary_assembly:MPB_Lper_Kyuss_1697:7:60779328:60779778:1 gene:KYUSg_chr7.9925 transcript:KYUSt_chr7.9925 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQILDYKQHETQMMHQMQQQQQMIWLMSQTNLSSPPGSLPLPPYSLPWMPPPSTQSLVTTPYTVNNTNIIRNLIPGRGQGNDDDAMGGNGGGQG >cds.KYUSt_chr4.47762 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295683189:295684245:1 gene:KYUSg_chr4.47762 transcript:KYUSt_chr4.47762 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDWSSILFEQDLGVLQRRTDAGGHRRLASASYGRKATLLSLSFPETKQSEGKALSSIHDAGPSGVFLKNVRSVSVNLKNKLQLAASAGLAWLAVPCPNSLARYKRISIDVNSSLELEVDGSSSISFDATKVDGSL >cds.KYUSt_chr5.43150 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272203588:272206469:-1 gene:KYUSg_chr5.43150 transcript:KYUSt_chr5.43150 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAGGGRDGRPRLCELGPGVGELGHGVGDLRPGRREAPFRALCRLRLRNLRRRRLQVQLLSLNAGGGYLLLLLRVELAAHVNNVLPTHVVGHGWMVTLELYKGALLGGAGIKFKLQTCLAQAQCSAPGVLAAEEVPVVLAEEMPAATAVLAGELPAVVVLVLLVLLPAVVVVVLLLPAVVVVVLVLVLLLPAAVVVLLFLVLAVVAMAPAVLLLVVPSVVVMVPAVVVMVPAVMVRVVLVAAPAVGELLSSVQALLLLLSTVQALLLLLLLLLLLLQALVLVLVLARVYAIRAHQDYERARQVGQEDAIFQAAKAAWQVSLLDLNVLKREYMEARDEATLHGVPQQMIDDAVHDARVALDAAQAKHDELLAACEAARPLEGVFRLGRLTRFLARASLASSAILSASLTVNAYYVVIIFTMFRFMCADEVNWARVLLVVVGGVILFIPIALFHFAIFQSALQAP >cds.KYUSt_chr6.32535 pep primary_assembly:MPB_Lper_Kyuss_1697:6:205215166:205216986:-1 gene:KYUSg_chr6.32535 transcript:KYUSt_chr6.32535 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHLFHSSPRTAVHDMGGAIISIWQEAEYFDFKIAESVQNRRKKWFYIKDEKAEEQKFGLALFDLMKPVKKLKSWDQPLTEAKLEETEPLMARVHTLQTDEGKELSGLQIMTHFLWLRVQPIQARVHSMWSYMGSKDPTRISKEDLPTAELEKIARQFTTLTGADTIPSSCRVTPFDKKHPSLAGHVFLSSLPPLPEAGDVPEDEKTKGKRKRTDDGDSESSNASKPQLTVPTNFAAPTSGFSVFDMALGLCSDEEEALEDLADDPAKNLLAYVKTPSKMLSSQEETVNLEELDRAKDIPWPIAREMMDMAIRHIGFWNEVESLKVSLKKSQHRADELEAKLEAAEKALEEARAKATTAEEKLAEEKSTMATREADIRLRLDTLNASFTNKIGHSYEMP >cds.KYUSt_chr2.28465 pep primary_assembly:MPB_Lper_Kyuss_1697:2:174748776:174749480:-1 gene:KYUSg_chr2.28465 transcript:KYUSt_chr2.28465 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKAALLVALLAASLVLEIQADSGYGGGSFPTPTPFTPSRKHHKRRPPPKGHKPPPRSHSPPGPIDPTPTPPAPMPPPPEPSPPAFTPSPEPSPATTESAADEEPGAEAEEIQAGSGYVGGYIYIPIPTPVTALNKHRNHRPPRRHKPPPGSHRPPAPTPPTPAPPTPPEPSPPTYTPSPEPSPSTTEPAADEQLVAEQDIVGEQVSHPSATPPAYEPELPSTPTGRAFKPNI >cds.KYUSt_chr6.115 pep primary_assembly:MPB_Lper_Kyuss_1697:6:712464:714305:-1 gene:KYUSg_chr6.115 transcript:KYUSt_chr6.115 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSFPIGLDTKPQFLHSESQVQPSIIDCPVRTVPVLRNNISGTSAAHDIHVVGSMGIERAAAGIEYRGDVYGTTVKINVWQPKDPKTKNWWVTDGKNIPLGYWPSGLFTFLYDKGNFAFWGGIVQGPTATSKAVEMGSGHFASEGYRRAAIIRNIQILNKNNTYVTPEDDLKLAHGTSNSTLYTIDKFRVDTDGLGMYYGGPGLVA >cds.KYUSt_chr7.26140 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163210343:163211128:1 gene:KYUSg_chr7.26140 transcript:KYUSt_chr7.26140 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRVLRRSVTLADQLAAVGPPAAGSCNLRDLLKLRDEDDGRRAAAAVTLASAMQADRRASSSPPPSAVAAAAAARTLLDIIRDDQPAPAPGSYGSAGAGDPFVRRAVSLPAPQPASPPAVTRAVAAAPTPPPEASPPPADQEEEEQGERVSLMALLEQTDRQWSAVAAAPSEQDPAAAASEQDAFWPEDDGDLLPEHAGRGVGAGAAGGGCCCVCMARAKGAAFIPCGHTFCRGCARELLAGRGRCPLCNAAIVDVLDIF >cds.KYUSt_chr4.33936 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208354138:208355390:-1 gene:KYUSg_chr4.33936 transcript:KYUSt_chr4.33936 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAKPSPFVCFKWPWGPSPSPSATPSPSPCGDLELPWLFKSIHTVAQGLLIAGDLPSPSPSTASAGHGARLWKRHPGPAAVEADRGDSEQRALAAALVSGKAATVLEFYSPRCRLCSSLQGLVHELEGGDDACASFVLADAEDDRWLPELLNSPGSRAVAAHAAASAGFRFLNNLTVVLLVDKLVIVSECIAAAMRCFLTPLSLTPSFCMDMPVLHLLSIDKAV >cds.KYUSt_chr6.21673 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136750460:136754463:-1 gene:KYUSg_chr6.21673 transcript:KYUSt_chr6.21673 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSGAEAAGGGRQQELAERRMLRSRYLAVKSLISDEKDDMAKEDSDKFAAIITQVECLHELVQKPREQIADAEALLDITTTLVKSVRSQSSEGITPSDFVTALLAKFGQQASLDSEPVSLRWADVGLSASHVFRAVPGCSTMLGPMDTEVKQRKVSVVNRKRTARPTENTRPEELADSSEVAKSDTDRNVSVVFDILRKKKSARLENLVLNRQSFAQTVENVFALSFLVKDGRVAINIDDNGHHIVNPRNAPAASAIASGEVSYSHFVFRFDYRDWKLMKEVVVDGDELMPHRTQSSLSGEDNEERQNEDNEEHSEEHNEELEACAQRTPIRKLCRNRGLVLQEQMVVAETPEGDKTSKRRRLFRGEE >cds.KYUSt_chr2.14049 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88889001:88902106:1 gene:KYUSg_chr2.14049 transcript:KYUSt_chr2.14049 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLFRRLLSTAVAAPAPVVSSAAAPRPTDPALLLRLCTILYQHQNAPDAALQRLRRLSALQLPSAPADLRELFLQTSARFPLSWRPVHRLLAHLTARHGFAHSPTTAARFLDVLAKSSNMDLLHSTLLSLPPELLSDAALRAAIRGFAPAREVSKVSALLTLFPEAHRPRVLAFITEVVCSVCKLPDVAEKVIRQAEHRYGLARNSRCCDLLVVAYCRAGMFAEACSVWNGMERRGIEPGAAAYEEIVVTLFKNNRFSDAMKVFDGMRKRGLSAGGGGACYHAVVSWLCKEGRTWCAFMVFAEMVKKGVEVDGEVLGDLVYGLLARRRVREGYNVFHGVKKKDISLYHGMMKGLVRIKRAQEATEVFREMVASGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLEATKFVERTMWGGVDVPRFDYNKFLHYFSNEEGVAMFEEVGTRLRETGHVDLGDIFLTYGGEERAKLGLLAPSAAPPSGLGRAVSVGPSSVGPTGLGPPTLSTGERLDLRHVPQGQIITGQIDAPDLGLRSSDPAPAPPVLKWLWLKSGTLDPSLGFPASRHDISRFHRRAKTLHSHTDPTSGAVLSRVLMDRNWRGGNSGKRPYEAISGGEARRRDQELRQRLDREQEVQRRQQREWDDNSQRSRDESRYARDQQLPPPPPLNQRGRDSNKGAGPRRNRPPRSLQGADLPPTGLSDSVAGESSGGDVTRIICYKCGQAGHMQADCTANPFCVNCKKEGHLSAMCSIFSKLQEPFWAGFAGEGRGFFCLEVAEEELQKPKANSALVCIETGDLTAEQVDAEFKDLVEEDWDWQVHKVSETDFSLVFPSKESLRMAIRGGGIKLPTSQCHALVMSNTADPTAAEQLVEVKIKLLGVPPPFRHSDRLLVGARELGRPLSVDDASLAVEDGPVRMSVGCRAPVQLPDSIMLFVNMQGFRVRVIREDAPAASGLPSPPPHHKPSDDEEEDDAADSDGDRWDGRRGRHASKDPQSTAPKQNQLSKNQGKAAGASRKSVPLTATSPCAEKDLPLTTLPKTVFSQYGSNLTKDGDIFPLVAQIVASASLPSSNPEEESIVDSEPLISVSVSELCTPGGGATSGQNYVTPFKAVSLSEEEKKEVGWQSPIRAAEEAESLREKERRSKSNNDRPSKAHNTALSEVATHLEFSDDNAPTSKLVGDQLQGGQVIPELAAPVARAPRSRASPVDASRKSARGQGLTEGPVLERAMRATAAKDPVRCSKATDKEKQKRSQKVVVRTARPRTRQARANGSGVLMRCSFRNIGGFGCRGRRTLLKDYLRAHHIDVVCLQETVKQDFTDQELRTLEVGEKFFWCWLPASGRSGGMLMGFRDSCFEIGRIDMGQFFLSATVLWRVGKIKLEIMGIYGPADHAYSREFLTEVTNKINGLNDPLIMGGDFNLIRSQQDKNNDRINWARLNMFNEAISDWEVREIPRTGSRFTWTNKQLNPVRSVLDRVFISPSLEPRFPLCSVVAETSLGSDHTPLILDTGEDIPIKSNRFFFETGWFEMEGFHHLLSQAWERLGLHTGGRDIVDWWQGMSGSLRQYLRGWSKNIGKEQRTLKLQLLGQIKQLDEEADLTGLEEEGWALRYHLEDQLVNIYKQEEEYWRQRGRVRWALQGDANTAYFHAVANGRRRKCLISSLTTETGPISDKRLIQEHVYAFYRELLGSEQPRLCSIAPDAWDAPSRVNAAENLHLALTFSEEELEAVVKDMKTDTAPGPDGFPVAFFQRCWPLVKHGVLHILNDFILGRIDVARLNFGVISLIPKVPGADKITQFRPIALINVIFKIVSKAFATKLDPIAHRIISQNQTAFIKGRFILDGALALQEIIHEMKSKKLGGILLKLDFEKAYDRVNWDFLTERGLRQGDPLSPLLFNFMAEALSVMLSKACEAGHIAGVVPHLIPGGVSHLQYADDTLILCQFEERQVINLKFILMCFEEMSGLKINYHKSEVIVMGQPLEDQHRVADMLNCKLGNFPFVYLGLPISDRKLTMDQWMFLVQRLGSRIESWLGRFLSSGGRLILSNSCLSSLPMFAMGLFLLQDGVHAKFDSHRSRFFWEGAGPKRKYHLVNWPAICRPKEAGGLGLLNTRKMNIALLLKWVWKLFQGDNALWAQIVRAKYATASDIFSGSGAGGSPFWKSLHKIKHFFRLGTKHLVRDGTRTMFWLDRWIGQRPLKDLHPILFSICDNQQISVASACNASSGLRFRRAFGPQAREEWASLLPKLQETRLAPGSDTITWILDPSGCYSVSSMYKKLSEGASVAHARDVWAAKLPLKIRIFTWQLILDRLPSSQLVASREMEHAVVSAGGGAINILLCKLGMMLIQEAQLLGGIRGELQYMKDELESMTAFLQDLAEGENHRKQVKIWMKQVREVAYDVEDCIDEFMHHLGSSRNGSGLAELLHRCIHFLQTARARRQIGKQIQELKSRATSISDRNSRYGGNHLILGAEGSTFAAQPAPPNIISLDIRTPALFPELTKLVGIEERHCDIVNWLVGEDIPQLLAISIVGFGGLGKTTLVMTAYQTASASFQCRAFVTVSQKFDVKAIIRDILRQIIQPVDRNSPTPTVDLLKGMEELNVGQLANMLRQHLQDKRYLIVLDDIWTISAWEGIRFSLPNSHTGSRIMVTTRMKPVAQACCLHEYDRVYEIKPLTGSESSELFFRRLFGNRENCPTVLKAISEKILGKCGGIPLAIVSIAGLLSSTYLHSYDRWEKIYNSLGVELETSPWLEKLKNILELSYNDLPYHLKTCFLYLSTYPEDHKVRTKSLLRRWIAERFVTAKRGLSALEVAEKYFNEFLNRSIVHPVEMSFDGKVKTFRVHDIMLEIIVSKSIEDNFITLIGNLKKLETLDVRDTGIKHLPPHITKLPNLSNLLGGRRAYNYSGLFPISNFWGMHIPKKLGNLKMLTTLAQIEITDSTSHYISELGKLSQLQKVGVMMFVDDDMNWMSLITAIAKLSSSLQSLLIWRPDGVMNFRILDTLSRPPMFLKSINFRGKLGQLPEWIGSLVNLTEVTLRATELESNDHMKVIALLPSLLYLRLHHSAYTGTKLTFSASEFPSLKLLTIHLGVYQALNLRFEEGTAPKLHSLELSFFEAASIRQPSGINFLPNLQEVLVHAHRDQDSAGMVQYLMDEASRNPNKPTVTFKAKQWKRTGARRDPPIDYRGNTWF >cds.KYUSt_chr1.31263 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189681028:189691387:1 gene:KYUSg_chr1.31263 transcript:KYUSt_chr1.31263 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHGRSDAAGAVEEDAVDGSQPLGRALVVLEAGKGEVEGREGLPSTAPGPRERRRAGGVEDAEAGEDFVEDVPGQGDQGVRLRPSPAYIAPVSGSRGGARPRPAVGDRPRPASASVLGSRGSVRPRFPRRRPSPVPAAALVPEMVRQLNCLNHLEEDYDSNEGAEIIGYEEPDLSGANMASSRPGRNVKVMDSTLNEYQGGLADILRAMLLEFGCDPRFQLNHHLIGAIDTMLEEFTEPKEESRGKEPMENVVHTPVYSAGDYISFDPLAREPTPVTPGNFLGSSYGGYEGGEESENNQRSETPIENSRGWRWGNDTGTHSTTEYYDGEMNDDATTRNRAILVMKEWMEDIRHEVESGMGFGNPYGGATGEYTRWVDYDALNDQFVNTDFSLGSSSDSDYKPTGRPYVPGSIGRTTRSIGWKPRNVPGVKID >cds.KYUSt_chr3.36275 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228139578:228148070:-1 gene:KYUSg_chr3.36275 transcript:KYUSt_chr3.36275 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTRRNGKTLSGTVELTSVSRDHVQGRDLEVDRPIYQPNPTPTRGPRSNTGFPHLHETTPISRTFLLASASTSASFLLPAPNPGNPPLPSPRGALFPPPKSPPDPPSSKKEQRTMPPKKAPAKADLAKKQKVVEDKTFGLKNKNKSKAVQKYVQQISQSGQVKPDAAKTAAKARDNSQIEAVDSPHIRLVRYTDFLCLFLDVDLDAQKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSILCEFFKAGQCVKGFKCKFSHDLNVQRKGEKIDIYSDKRDGEEDTMDDWDQETLEKVIQSKNAEYQQNKPTDIVCKHFLDAVEKKQYGWFWVCPNGGKECRYRHALPPGYVLKSQMKALLEEESQKVAIEDEIEDQRKKVQTTTPMTTELFMEWKRRKAEEKEAGLAALRADRAKNDRMSGRELFMADASVFIDDAEAYEVYERREEPQASQEQAKKSQDEGPSSSTSNGKEGDEELDDEDMDDDDDLDLDELNELEASLSRTSIQIREPGEGTSS >cds.KYUSt_chr5.8355 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52820876:52824950:-1 gene:KYUSg_chr5.8355 transcript:KYUSt_chr5.8355 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGAGGGGGDAGGEVAGDATGRGEWGRGERRWWVLSHAAVCLSVCRAGPDGLERVSFQPVTRSRFHVGPGLHKRKPLYHLLYGPQRSREAKHLRLIQKSACFPVSLAKEMDAMAALARTGPSPLAATGHRSSASLCPAASLSFPAASSRGRGRVGLSAAAWSGRAARVARGVPNNRIVASSEIEQSYIMIKPDGVQRGLVGEIISRFEKKGFLLKGLKLFQCPKELAQEHYKDLNERPFFPKLIEYITSGPVVCMAWEGAGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVIHGSDSPDNGKREIALWFKEGELAQWESVQSPWLIE >cds.KYUSt_chr2.46694 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291990431:291995451:-1 gene:KYUSg_chr2.46694 transcript:KYUSt_chr2.46694 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRQAVSNDDAGPAHRHTTSTRRKSSVWRDFDYVDAAGASAMATCKRCGSTFQASSKKHGTSALRRHAGSTCCAKRADQRASQRAGACLPAGAAANDDDKQAPCLPAAAAAPAPDDDLEWRAMVKSIDDLHKHPGYDAFLFSREEQQDGGLPTTDEHLNWEILVEPTRVDKEYWLRRGENDKLKRGSGVPSDLQFQHCFVEPTDVVVELKSFNLNHIDVLIKAMGNDEKTWRFTGDFNETMFASEHFSITDRPESQLQAFRECLEDCNLTDLAWSGTSGLPFTWDNRQPDASNVKARLDRGFGNDALYESFIVSRTQHLSMVEDPKLSSVQSCDMEMDSLAPKSSIECNAEDRSPQSMILREIKELLTNECSLK >cds.KYUSt_chr5.17986 pep primary_assembly:MPB_Lper_Kyuss_1697:5:116310517:116311371:1 gene:KYUSg_chr5.17986 transcript:KYUSt_chr5.17986 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTPAAAAPLAAAETTPVIRDQGNKAAKRSSTSCLAASNKKLKESAAPAAAADTALQPLAAIREEGNMAAKKRSRSSPAASSASNKNKKLKETIQVFTCEPCQRNFLTAPALKQHQTKTHTNRHVGQEPKEPRRLAPAVPQVSASSLIPLLGRPNHFYLEGKTFELTGSGLVPVFFGPAPAQPSTGGGGQVGSGDQHGSLREVNQGGIAHGIHNFPLLHLTSIMSISPGTGSGTSSLPGPSCAALAPVADGNGRTGDGDDLGSLREVDQQGIVDGIDFTLRL >cds.KYUSt_chr3.11827 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70505622:70506359:-1 gene:KYUSg_chr3.11827 transcript:KYUSt_chr3.11827 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPGGDAGGDVWPATGVDQRDRAALGRNRLEPCPLPFFAGDGDASGSGPMWPAGGDGGGDSRPEIGAAPSGRVDLVHDRLVPGLHHFFPVNGSSLGGPVGGCSGWPAAGTRTMESDDLEPFTRLDDEAWMGRSMMSLIAGTEQSVNFEDCVHDQVKGEQFMEVEEGSDATQFFYPYVGVPGLS >cds.KYUSt_chr3.35478 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222740237:222740599:1 gene:KYUSg_chr3.35478 transcript:KYUSt_chr3.35478 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGRGKLACFGSSSVLSGSKDRMTLATTGLGLGQGPPAAEAVASCQACETMVGHFMSHTERSARLVSRCFASSAMFADRWYSGERFFSESIVEGVCTADRVVCRESRCFPVLTRDEE >cds.KYUSt_chr2.9177 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57812838:57816364:-1 gene:KYUSg_chr2.9177 transcript:KYUSt_chr2.9177 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASPPAAKRSFFTGFTKLCKGLAVVLFLGHVLIQLFPSAATYLALIPARTIPFGWNLITAGYIEQTIPGVIVSIVGLLLFGKLLEPLWGANELLKFISIVNISTSFCVFVTAVILYYLTQQEIYLYTPLSGFYGVLSGFLVGIKQIIPDQELDLFLLKIKAKWIPSLVAFISVSVSFFLKESMFYLPIVLFGIYTSWIYLRYYQKRLEAGLKGDPSDEFSFSSFFPEFMRPILDPIASIFYKLLCGRSEAKDALDELLPGSDSIMANRRRERGQRALEQRLAEKLAAIKSSEVTPLHEDASTEV >cds.KYUSt_chr3.47763 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299310292:299311911:1 gene:KYUSg_chr3.47763 transcript:KYUSt_chr3.47763 gene_biotype:protein_coding transcript_biotype:protein_coding MFTARRKIQKAKGVDPTEFEDTVAQAFFDLENGNQELKSDLKDLYINTAIQLDVVGNRKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVVVVATRKIVRPPKKGSAVQRPRTRTLTSVHDGILEDVAYPAEIVGKRIRYRLDGAKVIKIYLDPKERNNTEYKLETFSAVYRRLCGKEVVFEYPVTETA >cds.KYUSt_chr7.32550 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202750344:202752391:-1 gene:KYUSg_chr7.32550 transcript:KYUSt_chr7.32550 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEDATVVVQHPGGRVERLYWATSAAEVMRANPGHYVALVTLRVAEDSRRPADPQQRGQRGGGTVRLTRVKLLKPRDTLQLGHAYRLIAIAEVAKAVQARKDEKTRREQRQQQQQLGGSKQAGGESASTGDDKALMDEGLDQVPHRILMIHPLQLNSSPALLFIDVSYSVISMLCMSSCSACMMVIRYFANSADGVSGETRVNDP >cds.KYUSt_chr1.26005 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156426336:156426686:1 gene:KYUSg_chr1.26005 transcript:KYUSt_chr1.26005 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLTPAMVRRLRQTSPKWSHPDLSLVPLKKNFYKSVLGYALPSDLFGGRLCAYDSATRPRGGFGIASTKDGEAVFVASAEHRRSGQLRLQDRGDPPSRPGAGTCNFATTSTSS >cds.KYUSt_chr3.38898 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245046372:245049435:1 gene:KYUSg_chr3.38898 transcript:KYUSt_chr3.38898 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMEVEECPFLANDAKLHAGMCRAFHPVVSKLLAIFPFIEASRPRSKSGIQALCSLHVALDKSKGLLQLCADCSRLYLAITAETVLLKFEKSRTQLQDSLRRVESIVTEDIGHKIVEIIGELEEVVFTLDQSEKEAGDEVINLLQRNSKTNSSNDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSGDDKRKEFVVLYLYNLMRKYSKFFRSETGDDTDSQGSTPCSPTVLGMDDMYGPCGNSRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRPSGPRSENMSIPPEELRCPISLQLMYDPVIVSSGQTYERVCIEKWFNDGHSTCPKTQQQLAHLSLTPNYCVKAMISSWCEQNDFPVPDGPPGTFDVNWRLALSDSQATACVSVDSFDTSNIKGVKVVPLENGRKEEPAYSESGTLDDSSCVEFDMNEGYRNLLLTLNDRNNILNQCRLVEQIRYLLKDDEEARIQMGSNGFAEALVQFLRYSVEDGNEKAQEIGAMALFNLAVNNNRNKGLLLSAGVVDLLEQMTSNPRLSAAATALYLNLSCLPDAKSVIGSSQAVTFLVDRLYNHDACDTKASSCKHDALYTLYNLSTHQASIPSLLSAGLVDALHCLFTESSVSEGLGWTEKALAVLISLAANQAGRKEIMSTPGLVSTLAMLLDTGEPTEQEQAVSCILAICSADDKCIAPVLQEGVVPSLVSISATGTGRGREKAQKLLKLFREQRQRDAPQQLQQQQSLTETGNGGAIVCHRESKPLCKSKSKKLGRTLSSLWKNRGFSLYQC >cds.KYUSt_contig_6320.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001280.1:18618:21703:-1 gene:KYUSg_contig_6320.4 transcript:KYUSt_contig_6320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVRAAAASCSSYPLFQRARVPGRLRRGPGLPPLPARRVAVAAAIAVDPDIKTEQNDALKTEVFACPVCYEPLIRKGPPGMNLSAIYRSGFKCLKCNKSYTSKDIFLDLTVTSGAKEYSELKPARTELFRSPLISFLYERGWRQNFNRSGFPGRDEEFQMAQDYFQSVAGGILVDVSCGSGLFARKFATSGAYSSVIALDFSENMLRQCYDYIKQDDTPLNTNLALVRADISRLPFASCSIDAIHAGAAIHCWPSPSNAVAEISRVLRPGGVFVGTTFLSSPTNSGPFSAGALRPLRQIVGPVNSSYNFFTEGELEDLCKSCGLVNYSSKVQRSFIMFSGQKP >cds.KYUSt_chr4.23088 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145321428:145323636:1 gene:KYUSg_chr4.23088 transcript:KYUSt_chr4.23088 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPMPPPSNGGKVTPNLAMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQQDCDAVANLFGRILNAYARVPPKAKVPSAISEFEELEAVPTSAAIDGPLEPPPASTLISDTPDESLANYFSVRFLQIFFEILLNNFLLFILLTK >cds.KYUSt_chr3.7705 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44295211:44296036:-1 gene:KYUSg_chr3.7705 transcript:KYUSt_chr3.7705 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTAATTRPALSMKLLIDTKAQRVVFAEVNKDVVDFLFSLLALPVATAVRLLGSGSMVGSVGNLYSSVVKIDGAYVLSEDDLDGLLNPTVSSHAAASNTSLLRLPDPSPKGFFRCDNCESPRYMTDERGTKCLECRTPMMVEMRCVRPDSGGSREAASVGTRGFVQGIVTYTVTDNLKVTPMSSISSMTLLISHGVMDFSALQEKTVRLGSTAGLAILKASLQSKTVLTDVFLGKKRKAY >cds.KYUSt_chr7.39766 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247156221:247157985:-1 gene:KYUSg_chr7.39766 transcript:KYUSt_chr7.39766 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKRLHARALRTGTRRLQPLLLRVLAAGDHRYAAILLASYPSPSPPAAALHDRILHALASSRSPLLLPSFARAHRLGLVTHLSFTFLLSASAAATSPSFARFALSSHALLVKSGHFAAGDPFLGSALVSFYAKNRLLGQARRVFDEMPRRDTAVYNALLSAYTRRGLLSKAEKLFGEMPERNVVSWTAMVSGYAQNGLHAEAVETFMEMWEGAGVQPNELTVTSVLPACAAVGAMGLGRKVEKYTKRKGHLGNVYVANAVVEMYAKCGNIRRAWRVFQRIGCRQDLCSWNTMIMAFAVHGLWREALALFHKLRMTGIKPDGITFVGVILACTHGGLVHEGKLLFDSMYADYNLAPRIEHYGCMVDLLGRAGLLTEAYSMICRMPMEPDAVIWGALLGACSFHGNIELAEIAVNNLVFLEPQNTGNLVILSNIYASSGKWDGVAQVWKLLKEKDHKKSAGYSFIESDGRMHKFLVEDKSHPRFEEVYRTLDSVTMLMKPVKSENMQELESCFCHL >cds.KYUSt_chr7.23657 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147487505:147492160:-1 gene:KYUSg_chr7.23657 transcript:KYUSt_chr7.23657 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHFPPSSLSTFYSNLSLNLLSRELLRLNSGGTAVWKRGGEAACCVYSRCSSGCLPVVGSLPSRSSDFWDLPSQISSLGFLLLLLLLWLEQDEAEADGHRVVRKICAVKILLAGHGGEGERWYGGVKGAQLLLLAGRGGEGGKQRGAFLSSLTRWWFLVVLPLDTVVPLRFGSRGGDQDWETAGSGGGGEDGEASLAEILKRRLSLPLLLPADGATPRLLSWYSQDIYSSSVGGLPTFSTALSTASTPSGFVPGVEKVAVAARSTMVSTEKDLITFPEVLRANVIGKGWSFRKTNNDREAETSHAGKETLLLNQRYVPMEYAEENVPDAGLLDGGWYLKSRRVPVPHVGRKCQYEINRCFIPPPDLHKELAFTLDSYNWSTSRTLEFHPCRHASYLGGVDFFNRERGVGLDEEDDDEDEIYDGLEEEAELQPADLTEEEAIEMAITQSARSMGWPHRPTRGVRAGPMEARYLRGLLPTLPRLLPHQHRRRRLSNTGCGLGRFMSSSTSSSTTMTSSAVLFWLVMLPALVMLQHSNIRDAMVLELFALSHKYMLRDNEGDDNRQEAVIRGICTGSDAQAFVPVRYELSYRFVLGTGTKGLRPEWQMSRQWMYGDRCHPEFITGMHYFLNVAEANRRSNGFMYCPCSSCKNMKDYATSKTLHVHLLENGFMPSYNCWTKHGERGVILEDNEEEEDSDNYPLFTEDGGSRMGEDEVEEELIFDEPIFDDPDDDLGRAILDAKMNCGNENERLKLEKMLEDHNKLLYPNCENGQKKLGTTLELLQWKAENGTSDKGFEKLLKIIKKMLPGENVLPSSTY >cds.KYUSt_chr4.52516 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325743032:325743451:-1 gene:KYUSg_chr4.52516 transcript:KYUSt_chr4.52516 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLDHGSKEHAADCLETLTPATDTFRFRLAVASDAACCSTSTQPAAPPRHLPRSTWDERSVPSLVQLLDPSPANTAKKYAVACLLALSSAKRCKRMMISHGAIGYLKKLTDMEVAGAGDLLDRLEDRGRLRSIFSKN >cds.KYUSt_chr4.5985 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35118026:35118826:-1 gene:KYUSg_chr4.5985 transcript:KYUSt_chr4.5985 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGDLFGVLPDELLNHVLSFLPSLEAVQSSLLSRRWRHLWRSTAAVRVRGEGDDFRLFVNGLIIHRKATPLRSFEIDADLGTPNEPPYDDPWINDDWRGEVDPHVDLWVTHALRSCHARSLTARFDVDIPWQPRRALTFASAHLTTVHLEAARLVDGLLDFSCCTALQSLALVRCLLDHEGDTLVSPSLECLAVVDCRSMDIYSCFDAGCPDDRLCVGISTPRLRFLEISDNYDKEQFLQMMPWMTDDNIRLTPWARDGRGRR >cds.KYUSt_chr4.41840 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258704990:258708116:-1 gene:KYUSg_chr4.41840 transcript:KYUSt_chr4.41840 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAATAQNEAIDRRERLRRLAMETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKVGPRKSVKIGRPGYTVTKQYDPDTKQHSFLFEIEYQEIEDNSKPRHRFMASYEQKIQSWDKNFQYLLFAADPYETIGFKIPSTEIDKSTDKFFSYWDPDKKSYIMQLYFKPRPPEANRQPAAPGTVPNGTEGPPGAPPRPPPHPQAPPPPPPHAPMGMPHRIPPPPMNGFQPPPPIANGPPRSIPPPPPSGGAMANFTPGAPPPRPPMQGFPGPQQ >cds.KYUSt_chr6.18600 pep primary_assembly:MPB_Lper_Kyuss_1697:6:117063763:117066082:1 gene:KYUSg_chr6.18600 transcript:KYUSt_chr6.18600 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEVKQYGATFKLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSVPAGQKGCIVYANDLNPDSVHYLKINAKINKVEDYIFTHNMDARVFMQNLMIAPDPEAKSQFAAANCSSGETVSANEHSMPNDNNNDVQEVCQESLDDSSLVNTAKRRQETSNEGDVACQEEDANQTKKRNNKKVKSSGPLPVKPWEHIDHVVMNLPASALQFLDCFSGLVQKRCWKGSLPWIHCYCFIRSSESEELILCEAQNKLNAKIAEPIFHRVRDVAPNKAMYCLSFRLPMECLVEETEDNVQSVDG >cds.KYUSt_chr7.7515 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45290162:45291009:-1 gene:KYUSg_chr7.7515 transcript:KYUSt_chr7.7515 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLFEDIFEVHRIDPDGKKFDRVNRIEAKSEQSDMYMQLDVATDIYPMSPGDKFTMVLAPTLNLDGTPDTGFYTQAGRKTLADKYDYVMHGKLYKISEETKAGQLPKVYDFSQL >cds.KYUSt_chr1.33081 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200766456:200777877:1 gene:KYUSg_chr1.33081 transcript:KYUSt_chr1.33081 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFWRPGSEKPTASLVDDEEGGVLFLPTNTASSSSGFGYASLERLRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLMEAGWAEGGRLIGCTQPRRLAVQTVASRVAEEVGVKLGEEVGYTIRFEDETNPGMTKIKFLTDGVLIREMMDDPLLTKYSIIMIDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIEARSMSTFFSIRRKNSLLESADGVPNPEPAILSVEGRGYTVETHYVEEPVTDYLQAAVNTVLIIHEKEPPGDILVFLTGQDDIEAALRLLNDEIQHLGKHYFDLVILPLYSGLPRGDQDLIFAPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDLESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQSDGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEILFSLGILDEDAKLTVPVGFQVAEMPLDPMISKMILSANDFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEGDHVTFLNIYKGFHHYGKSSQWCYKNFLNHQALKKVIEIRAQLVRVMKRFGIPLKSCEGDMKAVRKAIIAGSFANSCHLEEYGQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPDLH >cds.KYUSt_chr5.20829 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135250381:135251667:1 gene:KYUSg_chr5.20829 transcript:KYUSt_chr5.20829 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRTRSSRRRRRKIARRDTAPAATHRLPDELLELVFLRLPSSLQLIRAACTCKRWRRVVADGGFLRRFRSLHPHASVVGHYRVEEFSRLFPRPPGRRPVFFPSSASPSSDTVDARHFSLDFLPARGGAYWEWELADSLGGVLLFLKERTDAPSSFFAYPPDLVVCDPLTRRHSALAIPAKFHGCYCLGAFLLDGDADETGCRIGMSNFRVLYAIFCEGLAGTLVFSSRSSLNGDGWTLVQSTGHSGMPELPLLTSIHYAGHARESVYWMTAEDTVIVLDKATADFSFSSLPNDMPYVSDKATRIRVVGGEDGPVHIAVLTRNFLKIFVQAEGNSQWVMKTRIVLWRAIRDLFGDKKPQPHITMDKLKETVWVAERSVVLGTEEGEGIISMDLATMELKRVSEGIKYHGPAYEYQLPWPLTIRACLPL >cds.KYUSt_chr2.32 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242767:246093:-1 gene:KYUSg_chr2.32 transcript:KYUSt_chr2.32 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFVGRVLFASIFLLSAYQEFSEFGSDGGPAAKSLKPKLDLFIKQVSANIGMGVPHIDIKTVIASTMFLKAFGGLLFIISSSFGAFLLVERGLFWRSSLLPGDEELYPKEALEEKGRKGQDNLIEASSDL >cds.KYUSt_chr3.45006 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283528202:283532421:-1 gene:KYUSg_chr3.45006 transcript:KYUSt_chr3.45006 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVIILDLLIVWLYCTKSTFADLKTYLRTIAVVLQDYALLVTLNRKYMEIAIIPLTVFAFIYALASKVSKYPRRKVSLMKGLTKSARVLKEMAMVPYLLQLSLVFGNVCTNSDDSLTAHWSQSWRDSGATGDAQDVYCDGDDDSSLNGRRMARRGHDIEIKKSASLTRQMSMRMDLDVVDKKLEKLQLQNPVSMDISSFRAAVKRMRSASHGPSFRKLVEDIQDWLDLLDQRVEVVTVHSSNVINDWSEPSTQCSDNKKGNAAAGHAIDASEVIQDGYEFSAQCSHDYDAAAGHAIDASEVIQDWYEFSAQCFDDYDAAAATAEHFYELQSRVDEVTEDWNMAPPSVKPQLTGLYDKDQQATCLEKILADRGLNRPEVLCIFGVAGAGKADLALEVYKRIKFQFDYHLSVSAGQNPDMVKLIKNMIKQVDNEYIIAASAADDVKQLTQILRQLLQEQRYLVVLYDLWSNNDWRTMESCFPQDDYGSCIIITTRFEDAAKAAGDHFCWIPAPVEAEVESLFSGKISKSLSGVFASKPQEKIDAFERSGLKWMELEYMKQFITVRYNGLSADLRACLLYLSIFPEKHEVEIERLVRLCFYMQKNITPAGNYGADLSRVRSVAAFGQASDIPPLTELRKLRVLDLKDCKGPLCLDGLCELLFLRYLSLRGTDVSELPLQIGELIYLQTLDVRSTKVKSLPPSIVRLGNLMHLLAGNAELPLGIRMMKVLVTLSYAGTMKSSATANIKELGEIATLRELELFCAVTQRSWDIFLPSILKIEAPVAFTSDGFQSLKKLSIQCSFTYVIFETGALPKVHVLELKFDKGVPGESAAVSGIEHLLSLQHVLLEFSKHGRGNAATFAAVRTAADIHHKHPDVHVLGYKGE >cds.KYUSt_chr7.6173 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37092713:37093655:-1 gene:KYUSg_chr7.6173 transcript:KYUSt_chr7.6173 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYGLVGLLCFALVAAAASATQFRVGGDKGWSVPDGTTEPYNTWAGRMRFQIGDQLLFVYPKETDSVLVVDQAAYNACNTTTYLTKLEGGTTVFTLDRSGPFFFISGNQASCTANQKLLVVVLAADHTPPGPSPTPPAMPPMSAAPLPSPPSMSPPSPPSMAPVPSPSSPPSMLPPSVAPMPSPMSPPSGAPMPTPESAPSPTGSAPGAAPAATPGSSPGTPGSPPSSSPGTPGGAPQPPSDSTSPPGAAGANSTTPGNGAAPLTAGLISTLAAGFGFAMLAI >cds.KYUSt_chr7.33305 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207780347:207781939:-1 gene:KYUSg_chr7.33305 transcript:KYUSt_chr7.33305 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGYPRYLLYGLLILGSWLVSCTLHFQFVHIYLLSSEARSGPALVVLRPSVPITLGATFPPDPSAIGADEDGRPSSVVSSSASCEGRYVYMLDVPSRFDVLSGCVEGAPAFQDEYSMCSLLVNAGMGPVLPPATGDGSDGDTGVIPNTGWYNTYQYALEVIVHNRMRRYECLTDDPAAATAVYVPYYPALELQEHICDLNYTVRSGPSSEFLRWLSSRPQWAAFGGRDHFMVAAKTSWMFRKDATGTCGNDFLEHPESGNMTVLTYESNIWEPRNREFAVPYPSYFHPKSAGEVAAWQDRARAAERPWLFAFTGARRANGTLVIRDRIMDSCASSSRCRLVDCSHGIQGSKTCQSPRTVVSAFAASRFCLQPRGDSFMRRSSVDTIMAGCIPVFFHEGSTFQKQYRWHHPDPDGSDRRYSVLIDADEILEGKVDIEEVLASYTDEEVAAMREEVIKMIPRFLYEDPRARFHGDMRDAFDISFDAVMGRVRRIKNGEDLG >cds.KYUSt_chr4.25889 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162817182:162817535:-1 gene:KYUSg_chr4.25889 transcript:KYUSt_chr4.25889 gene_biotype:protein_coding transcript_biotype:protein_coding MSERRVMMEERRIAIHETMLKNKREQKLMFMNPTGFDQKEKQYLESSSTCVINVLFDGGYIYGGAGFGGGSGYGGGGVHGDDVGTIGDYGDGGAQYGACGGDDGTTEADEDNDGLVA >cds.KYUSt_chr5.40806 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257621998:257624179:-1 gene:KYUSg_chr5.40806 transcript:KYUSt_chr5.40806 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAEKKMLTLQSSDKQLFQVEEAVGMRSQTIKHMIEDDCASNVIPLLNVTGSILAKVIEYCTKHVAAEGPVGPDGDADPASKDDAADLKKFDAAFVDVEQTVLFDLILAANYLDIKDLLDLTCQTVADMIKGKSPEEIRQTFNIKNDFTKEEEEEIRRENQWAFE >cds.KYUSt_chr3.30773 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193101346:193102940:-1 gene:KYUSg_chr3.30773 transcript:KYUSt_chr3.30773 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPAAGDASPPAAVVDVAVGAAPNAGVVSAMISATIPSKRKRIPKTKAAGPRGVAPAKVRTKAINRIGLAPPPPSKATPSPPSVPSDAPPAPPPSTIDVDKVFDVESTTSYMDMLNDSVVNLDAGIDAFDGECNVEEIDEEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDTILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYVSFPCVDDVKHHELSILCVDDVETSPHTIVVQPKYAKQRYKDMAGSKNKDFQFQHCFAILQHLPKWKLRDNKPKCKKEALLTMDDESEDMSGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEAMTMKTLETKLIITDKKSVVKLAKVQARKELDMKMIAAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWKETKADIIARQKAARQARDQGESPASGGAGGDGSVDG >cds.KYUSt_chr2.11262 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71531857:71535056:-1 gene:KYUSg_chr2.11262 transcript:KYUSt_chr2.11262 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMAAPRPKSPPSSPDPCGRHRLQLAVDTLHREIGFLESPYVFELPVVDLLLRRVLHHPDQGTELLLLLRRAGKDVPLLQRRPVPRRVRGL >cds.KYUSt_chr6.5070 pep primary_assembly:MPB_Lper_Kyuss_1697:6:29992044:30002048:-1 gene:KYUSg_chr6.5070 transcript:KYUSt_chr6.5070 gene_biotype:protein_coding transcript_biotype:protein_coding MGANSCGGYWRMVAAMASEYSTRSEKMAFMVVGRDGGMSDAADGSELEEITGGITPSRKIGGTTPGLVPRGEVEGGAEAGTDEEDDAVVMAVESADEPALNMLCCWIEDPNSKEFKLHIPRIYDYLWVAEDGMKMQGYNGSQLWDTAFTVEAILATELTEEYGSTLKLANDYIKNSQVLYDCPGDLSYWYRHISKGSWTFSTADQGWPVSDCTALGLKASLLMSKISQKIVGIPLEANRLYDAVNCLLSWMNGNGGFAPYELTRSYAWLEFLNPSETFGDIMIDHPVAECTSAVIQALVSFRKDYPGHRREEIDKCIHKADGFIQSIQRSDGSWYGSWGVCFTHGTWYAVRGLVAAGRTFKNCLAIRKACDFLLSKELPSGGWGESYLSCRDKVYTELEGRHPHVVSTSWAMLALIDAGQAERDPAPVHQAAKVLINLQSEDGEFPQQEIIGVFARNCTITYSQYRNIFPMWALGEYRCRVLHTTRSPDEHGNAS >cds.KYUSt_chr3.17741 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108849901:108856074:1 gene:KYUSg_chr3.17741 transcript:KYUSt_chr3.17741 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASTWSRQADTSCYGKRALYCLILKHLLNWGLLPVIAGDDHVRCDVAADGYHKYKEDIKLMKETGLDAYRFSISWPRVIPNGRGEVNPKGVEYYNNLINELLNHGIQPHVTIFQYDLPQILEDEYDGWLSPQIIDDFTAYADVCFREFGDRVTYWTTLNEPNALVSLGYDAGIGPPGRCSKPFGLGDCSRGNSVNEPYIVAHNCLLAHSSAVSLYRRKYQTKKNGLIGMNIFINNIVPFTKSTEDIAAAKRAQAFFTGWFLNPLYYGDYPLVMKENTGSKLPVFSRNQSEQLINSMDFLGINYYTILHVKDHPHDAPSDERDFMADMSAKSIFTSNSTTGFYVPGYGLQQVLEHLKQSYGNPPIYIHENGYPMHQEVVFDDGPRLEFLSEHLRSLLISLRNGSNTRGYFVWSLMDLYELLSLGDTYGLYYVDFADKDLKRYPRRSAIWYADFLKGRKGLRRTERFSDH >cds.KYUSt_chr6.11139 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69076816:69079616:-1 gene:KYUSg_chr6.11139 transcript:KYUSt_chr6.11139 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDTRVRNIKDQLIKAKVYLGLGAIRANPQYLRDLRQRIREVQKVLGDVSKDSDLPKNANEKVKVLEQTLIKGTQTQDDCSVAVKKLRAMLHSAEEQLHAQKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFSLEPGQQQFPNREKLANPKLYHYALFSDNILATAVVVNSTVLNAKNPSHHVFHIVTDRLNYAPMRMWFLSNPPGKATIEVQNIDEFTWLNDSYSPVLKQLGSQSMIDYYFRAQRANSDSNLKYRNPKYLSMLNHLRFYLPEIYPKLDKMVFLDDDVVVKKDITGLWSIDMKGKVNGAVETCGESFHRFDRYLNFSNPVIVKNFDPHACGWAFGMNVFDLVEWRQQDITKIYHSWQKLNEDRLLWKLGTLPPGLITFWNKTFPLDRSWHVLGLGYNPHVSSRDLEHAAVIHYNGNMKPWLEIGLPKFRSYWSKYLDYDQHFLRECNINP >cds.KYUSt_chr6.29405 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186365667:186367317:-1 gene:KYUSg_chr6.29405 transcript:KYUSt_chr6.29405 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLVVPMPGQGHINPMVQFAKKLVLKGVAATLVTTRFIARTTRIDAGQVQVEAISDGHDDGGFSSAASPEEYLQKLEVAGSASLAELIKARAVSGRPFTCVVYDSFLRWAARTARVLDLPAVPFSTQSCAVSAVYHYVNEGKLPVPAAGGEKSVALAGLPEMERWEFPTFLFGDGPYASLTMPALTQFAGRDEDDWVLFNSFEELEAEVLAGLSSHFKARAIGPCVPLPTAESGHADRFTYGANLLDPAEEDTCIKWLDNKPPGSVAYVSFGSLASLGAAQTEELARGLLAAGMPFLWVVRATEEVQLPRHLLDPATASGTALIVRWCPQLDVLAHRAVGCFVTHCGWNSTLEALGFGVPMVALPIWTDQPINARLIEGACGAGVRARRDAVSGIFLRDEIESCVHAVMDDDGIAASAREAARRWSDAARAAVVPGGSSDRNLDEFVEFVRANADKNKKPLGLENSETRASRAQDVICM >cds.KYUSt_chr4.14849 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91595094:91597367:-1 gene:KYUSg_chr4.14849 transcript:KYUSt_chr4.14849 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITSFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLERTLVGHQRWVWDCVFSVDGAYLITASSDTTARLWTMSTGEAIRVYQGHHKATVCCALHDGAESAPS >cds.KYUSt_chr4.37294 pep primary_assembly:MPB_Lper_Kyuss_1697:4:229623102:229625048:-1 gene:KYUSg_chr4.37294 transcript:KYUSt_chr4.37294 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVSLLDAHLARCCSARHLLQIHAQFIASGLLADAFAASRLLLFTSATRFLQLPLHHSFRLLKLVHHPNAFSCNTLLKAALLAGMPHLCLPLYASLPAPPDAYTHPTLAAACAARRDAAEGRQVHSHAIMHGFGDNLYVRNALMHMYSACGCLRDARRVFDAGPTWDAVSWNTILAAYVHAGDVDQALGVFARMPARNATAVSSMVSLFGKRGMVDEAWRVFDGADCRDIFAWTAMVSCFERNNMFAEALHVFSCMRREPWPLDEALMVSVVAACAQSEVIHNGELCHGLVIRAGLCSLVNVQNVLIHMYSCCLDVAAARRLFDSSKCLDMFSWNSMMAGYLKNGHVKDAMALFSAMPHKDNVSWSTLISGCVQNNQSSDALSVFDSMRSQGIRPDEVTVVSVISACTNLSALEKGRSVHQYIRQNNYHITLVLGTSLIDMYMKCGCLEAALEVFNVMEEKGTPCWNAVIVGLAMNGLVTKALDMFSEMEASGTAIPNEITFTGVLSACRNAGIVEEGRHFFKLMRHKYQIAPNIRHYGCMVDLLGRAGYVKEAEDMIESMPLSPDAPAWGALLGACWKHGEKEVGERVGRKLVDMDPHHDGFHAMLSNIYAKEGMWQSVNDLRGSMKQRHVIKVSGHTVLEMSHSS >cds.KYUSt_chr5.23881 pep primary_assembly:MPB_Lper_Kyuss_1697:5:155393445:155409418:-1 gene:KYUSg_chr5.23881 transcript:KYUSt_chr5.23881 gene_biotype:protein_coding transcript_biotype:protein_coding FFLTDDSAITCKGFCTISWNLKADVLDGYIIFVTGDPVTLGCWEPDMAVQLDPSIKSKNEWRAEIKVPYGVHFKYNYFVREEKGSSDDIIWRPGPDCSLSIPSVSRKKHVILVKDLWMKTSVAGIHPPRGSWLMEADFHEDQIEESAKCESIVKSHSVIDVVDRASSVGAHIILRLGNGTTSGIQSPSISVHDDFTTAEKPNSVKVNVDQHERNQPVEEPWIIGSFVSAENSVSPVELKKDRRKFLNTEKDSSEVTENMPEQDQPVEEPWLYQSLLISNRPGVKTKSKIEAKDIIKNLRKIEKTPAALEDHIPATGEHSPRVILINSSICSMQRIAVLEDGKLVELLLEPVKNNVHCDSIYLGIVTKLVPHMGGAFVDIGILRPSLMSIKQNRDPFVYPQIVKDIKGGYANDSDYNDESHPTYDGDDDDHDDDDDIADEEFAHEENDDSSTFLPDNVSENGQSMDFVSSSKIKMIGGAEFGSVTGCDDEKDDEIDDHMEDEYNDDLLAGEQSEISNDIKKLSSIQHALRESNNDTNGCRWSQVRKGTKIMVQVVKEGLGTKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGVTKLLRPPGFTLTARTVAAGHSWEELQKDLDRLLSTWKGIIEHAQSAALAAEEGVEGAVPVILYRSKGQALSIVQDDFNEKVKRLVVDSPRTYHEVTSYLQEVAPELCNRVDLYEKRTPIFDEYKIEKEIDNILCKRVVLQNGGSLIIEQTEALVSVDVNGGHSMFGQGTSQEKAILDVNLEAAKQIARELRLRDIGGIIVVDFIDMIDDWNYNRAHYIKEITNKSLDIDRMDLQLETRNAIKSILLLGVHRVATGFGATWGGEDAMALSLGLQIEETWPPHGHEALRLRAAEKG >cds.KYUSt_chr1.26686 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160884877:160888307:-1 gene:KYUSg_chr1.26686 transcript:KYUSt_chr1.26686 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSPLAGAGDGDMESLPLAAASDYASVASTFDPLLSTSPPSPPAPAPAAFPLSRASSSFVDPPSYADVAAASSPRSASSSPATPRSARAAASSYSHIAVSDPETAAEPAATSLVSGSAPTYVSYLVTSAAAHPSARRHAVRRRFRDFVTLADRLADAFRGHFVPPRPDKNTVESQVMQRDEFVAQRRAALERYLCRLAEHPAIGPSDELRVFLQAEGRLPLPTSTDVASRMLDGAARLPRQLLGEDAVAPPQDVVQPAKGGRDLLRIFKELKQSVVTDWGGVKPPLVEEDKEFLEKKQKVQDWEQQLSSASQQAEALVKAQQDMGDTMGALGLAFVKLTKFETEEAMYDSQRIRAVDSKRIATAAVKASRTCRDLNTQTVKYLDTLHEHLGIMLSVHTAFSDRASALLTVQTLMSDLASLQSRIEKLEAASSKVFGGDRTRMRKVEELRETIRATEDAKCCALREYERIKVTGMHFLKDKLMDMLS >cds.KYUSt_chr1.10340 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63398850:63410295:-1 gene:KYUSg_chr1.10340 transcript:KYUSt_chr1.10340 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTPLDDENLLGEILLRLPPLPSSLPRASLVCKRWRRLVSDRRFLRRFRAHQHRKPPLLGFFFERHTGVAFVPTLDPPDRIPAKRFFLPHGRGGDDWLFCGCHHGLALLYEVVRQQAVVWEPLTRHRRTVAFPPAGFDHVGNAAALCASREAGHVHGDCRSSAFKLVLVRTTGTHALACVYDSGSAEWGNVITSPITDRAGGICWVKPSTLVGNTLYWLLSGRNILGFDSETHSLFEIQKPADAQYKGYDYSNIQVLRTEDGGLGLAILSGPSLRLWAMRSNSDGGFLGWAVQRTLQLDKLLSLLLPRRKDQGVMIWGYAEESNVLFVSAEPDIFMIQLDSMHFKNLRGTVHITRLYPYSSFFAAVLTRGAQVVLPLQVPLRYRQGLWEPRTPPRYHSGTRAELPYLELRAVLVTSTSSDSGTTALGTGTTGNPSKEIFSELDEINAQGPILPRSFQKTEESTKWGHEVPRWRRNPRCCAALHLAAINLHVLLDSYWTNVDPNNVPLASLVAQEEHVDVNYIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRNSPPGLEAMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVMPNEIHHNKIVTTENAIQVRINENIRLMAELRARWEREENEKEDNIAKVWTITTTSNANASHVAAPPTINGKIIGVGNVSTSNAKCEKLPETAKTR >cds.KYUSt_chr7.4952 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29717523:29722568:-1 gene:KYUSg_chr7.4952 transcript:KYUSt_chr7.4952 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSTAPNPLEAAAAVSVGGGGGDGGGGGGKKQKQEQLAAPMAVPTASAAAEATKVRKPYTITKSRESWTEPEHDKFLEALQLWKQQKNLDSAAVVYVPLVIGIRSHAQKYFLKVQKNGTGEHLPPPRPKPSQAAVSQQLPPPPPHHHQDQDAVMSMDASMVVPNNNANAVAPSWDNALVQPLSGSHTQGAAATNNCSSSIESQSGTWPTSEAVEQENAPPPLRAMPDFAQVYNFLGSVFDPDKSGHLQRLKAMDPIDVETVLLLMRNLSMNLTSPDFEAHVSFVFSFSILCISLMSDDWAARCMKLLLCVGSGEGSDHIDE >cds.KYUSt_chr3.18509 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113788434:113789165:-1 gene:KYUSg_chr3.18509 transcript:KYUSt_chr3.18509 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPLSYALAQTSVEIIYNVLHGILYTLVIYSMIDKFFYFLFFVISSFNYFTVVWHDVGGTDPIRDARKHTNILCDASLESVCWVHRPQNVWWRWYYWANPVSWTIYGVVASQFGDSTGHFAFVILFFFVFGYSIKVLNFQKR >cds.KYUSt_chr7.35762 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223411595:223412032:-1 gene:KYUSg_chr7.35762 transcript:KYUSt_chr7.35762 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVVLYPGLAVSHFAPMMQLAGALLERGYAVSVALIGHTTEHHAAFGAMVRRAASSMPSVRVHTLLPPVQDHPGAPPPLASFLLWYLRLVGSYNGRLREFLRTFPRVHAVGSPVTTCTPSTPTPSSPPSSYLPCSASGRASRS >cds.KYUSt_contig_319.1364 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:9087356:9089171:-1 gene:KYUSg_contig_319.1364 transcript:KYUSt_contig_319.1364 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALHKAAVQGSAASLKKLVAGRPDILGSKTPQKNTALHIAAELGHAGFAKEVLSVKGELLVNKNADGDTPLHLAARAGKVHVAELLIDRAKVWPAQQPSAAQKEKGKTGEPSTSSPEAESAQGPLLMANKAGDTPLHEAVKYGRSALALKLLAAEPSRGHALNVKKQSPLHIAAREGLADVVEKIMRQPWVHEKFVTSDSVSGTALHQAVLGGHTRVVEILLDATTPEEQIALTDSSENNALHYAAQKNNARVVKLLLNRKVDLGYKLNTDLKSPLHMAAHYGSTEAMVELLKQCPDVVEMVDSGGKNAFHVAVISGKVNALRCLLKHVRPEEIVNRVDHDGNTPLHLAARMSRIQSALLLLQDRRVNPCLLNRDNQSARSLIEKRAHAEEMDTYEMYLWKKLKKQEASRCKKELLPPVPSYQSLRSRRAGHDEYYELSVGTYTLVATLIATVSFAATFTMPGGYSQTEGTAIHGHTAAFKIFVISNTVAMCSSIVVVFCFIWAWRDPVKFKLDQLMWGHRLTVLACLAMVVSLMTAVYITVAPTARWPAYVVIAIGASTPVVVFLILGKEALYVPL >cds.KYUSt_chr2.5956 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37009474:37013191:1 gene:KYUSg_chr2.5956 transcript:KYUSt_chr2.5956 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHTVARAWEATVRKGQQQQPGGRRRVAPMLAADDSETASSSASSSAGVDDADHHPNNHAYVERGLPNGDFYTGQWRGGAPHGAGKYLWTDGCMYEGDWRHGKATGRGKFSWPSGATYEGEFRDGFMDGAGTYTGAAGDTYRGAWSMNLKHGAGRKSYANGDHYDGEWRSGLQDGNGRYVWRNGTEYDGEWRAGLIHGRGALAWSNGNRYDGGWEDGCPRGQGTFRWADGSVYVGFWTRDSPSGIVQQKGVYYPSAAASSPRARDPRDVFARELPGFMGSGGSESTPVLKPLNSSGNRTANRRSSSVSGLSNCSVGDRKYDKICIWESDGDITCDIVDGAALVDDARRSMRTEDGADALALMPPPSPAPRIAKWVAPPPREAKQQGETIVKGHKQYELMLNLQLGIRHAVGKQGPVTLDLKSSAFDPREKVWTKFPQEGSKHTPRHNSCDFKWKDYCPQVFRTLRKLFKVDAAEYMLSLCGNEALRELSSPGKSGSFFYLTHDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDNSIHRRFDLKGSSLGRTTGKPQTEIDQYTTLKDLDLNFIFRLKKQWFQEFQRQVDRDCDFLEQEKIMDYSLLVGVHFKHNGQKLLTDGSADNDIDTVSTPRLHRDQFLADPNGLSKIKLGTRIPARAELTSRKNDCEPQLLGEPTGECYDVILYFGIIDILQDYDISKKFEHAYKSFQHDPTSISAVDPKLYSRRFKDFIYKAFQEDS >cds.KYUSt_chr4.34849 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214056885:214059007:1 gene:KYUSg_chr4.34849 transcript:KYUSt_chr4.34849 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLILAFRLSTLLLILPLLAGGQDASAADSDASPPGTEAAALLRLKASFKDPANALEAWSPSSPPAPCNATNPWPGVQCYKGSLIGLRLAHLNLSGAFDFAALANLPGLHAINLKRNNFAGPLPASLATVRSLRALYLSHNGYTGPVPGDVFANMRWLKKLYLDNNELSGVLPAAAIAGAPRLQELHLEHNKIEGPVPERLPASLRLFNVSHNRLTGMLPRAVVTRFNESAFAGNHDLCGAPGSDAGACEAVAAPEPSQPPMSASDYFAVEEETSIVVVIGIILLVIALVSGAMVLMLQQDEQRNSATPPYYDTVSTGAGMAPKPAAMAAPRASDATMEMGGSSHAGGSGSGAGGGKRMDEFVLMNKSCGVFGLPDMMKASAEVLGNGTLGSAYKAAMRNGITVAVKRMRDMNRVGREEFENHIRTLCELRHPNVLSPLGYHYRKEEKLIVSEFMQCGSLLYVLHGDQSPSRVILDWPARLRIALGVARGMAYLHEKLGIPSMRFVSMDGADFDAPPPPPPHGNLKSGNILLDAKLEPHIVDYGFFPLVNAPQLPQSMFAYRSPEAASAQQRVPVSARSDVYCFGVVLLELVTGRFPSQYLLNARGGTDVVNWAASAVTAGSEREILDPVIAAAGGASAVQLVRIAVECTEAAPESRPNMAEAARMVEEVAGAS >cds.KYUSt_chr4.33090 pep primary_assembly:MPB_Lper_Kyuss_1697:4:202809667:202811892:-1 gene:KYUSg_chr4.33090 transcript:KYUSt_chr4.33090 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLRLAFFAVTVAQIDIAYVMRLADPPVDARSDAPPENFTGQRVIKKEEKMEEDHTSAALLETNMGRPPCCDNGGAGVKKGPWTPEEDIVLVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKVKRLQQQTHPADHSWKEQPTTASNATAATTSSNCYNPISNNLDEATQAAMAYPDTTCNAVPATMAVSKLFQSWMMKAPAPAVGDYRAMVAMQEFQDDRQTGAAVSANSGDRSSSSEMMAGHGEAPVATTFSLLENWLLDDMVPGQAAMDGLVEFSAGCYADPIMF >cds.KYUSt_chr6.27097 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171880276:171888808:1 gene:KYUSg_chr6.27097 transcript:KYUSt_chr6.27097 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDPAKTPARRLAELAHGGDLGGSGGRAASPTAGEWAMRDSRMARGRESSSCMPGGSPAARVSQQGEEAKCRGGDQQHVLRASPSSCAGRRRGEPRSRRRRRARFVALEGRVITAAHFGGAVLYIAVAVPVVKLLPASTSLTCCFCLELKGEKWVYRDALSVGNQEEEAREADVIGNIHECRGEALWLLRIDYEASVKSLVGIGKHRSSELSDSWCGVHARELTKRSEAKRSRVRKQRRLDELSSRAARLRAANQKLLVELNRVIAEHGRVARESAQLRQEASELQRKLDGMGVDEAELAAAECTRGETRDIALSNAVIGRQNPLRTAVATGHIHVPTTCGGLAAVASISVSALSKCKELSDPNIVYIRETIQAGFLDMADR >cds.KYUSt_chr1.26903 pep primary_assembly:MPB_Lper_Kyuss_1697:1:162363812:162366173:1 gene:KYUSg_chr1.26903 transcript:KYUSt_chr1.26903 gene_biotype:protein_coding transcript_biotype:protein_coding MIGISALRSLHPLPPTFSVSATAPPLATLRLPLPLQQPRSCTSPRCRCRRHSLAHSTPRGATAASSVPSGAAGSAAFMASAPDNAALRHRLIAPKATAGTGDGGASGGLLPSILGIAQLAVSLGLVLATDKYLKQAFVAASIKFPSALFGMFCIFSVLVVLDLAAPALAKGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAAAGLKICLITFAGWLASLTVAGYTALTVRKIVKTELIAAEPMGKPSPFATWEFWAWGAVFVASFATAIVNPTALGTTARTCLPFMLASTVLGYMVGSGLPSGIKTVLHPIISCALSANFSAVAYGYLSGSGMDAALGDYLTKVPSNPGAGDVLMGFLGSVILSFAFSMFKQRKLVKRHAAEIFTSIAVASTFSLYSTAILGRLIGLEPTLTISILPRCITVALALSIVSFFEGANTSVTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLICSSSAVRQSLVFIAG >cds.KYUSt_chr1.10026 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61405735:61410076:1 gene:KYUSg_chr1.10026 transcript:KYUSt_chr1.10026 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVGVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAANSTMNPKNSVSQVKRLLGRKFADPELQRDLQSFPFRVSEGPDGFPLVHAQYLGEERSFTPTQLLAMVLSNLKTIAEGNLNSAVIDCCIGIPVYFTDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKMLSANPEAPMNIECLMDEKDVRGFIKRDEFEQISAPVLERVKGPLEKALAEAGLTTESVHFVEVVGSGSRVPAIIRIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNEGFPFSIALSWKPDSQNNEPQQTVVFPKGNPIPSVKALTFYRSNTFAVDVLNVQTDDLQITEKISTYTIGPFQSSKGEKAKLRVKVRLNIHGIVSLESATMLEEEEVEVPVSATSEVAKDATKMDTDDGTDVNMQDSKVPSDTAEGTDGAQDSEEKSVPMDTDAKVCPSKKKVKKTNVPVAELVYGAMGPDELQKAVEKEFEMALQDRVMEETKEKKNAVEAYVYDMRNKLYEKYNDFVTSEDKESLMTKLQEVEDWLYEDGEDETKGVYLAKLEELKKVGGPIELRYKESEERGSALEQLGYCIRSFREAALSNDQKFDHIDIVEKQKVVNECSAAENWLLEKKQEQDALPKHANPAFLVSEVKKKAEALDRFCKPIMTKPKPAPKPQTPPPSETPAPEPQTPEQHPDGATEAGDPASEGGAQEQPAAEEMDTDKPDGSTDPSSA >cds.KYUSt_chr4.5741 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33469186:33472698:-1 gene:KYUSg_chr4.5741 transcript:KYUSt_chr4.5741 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRPSPPPPLSAQEWEHLLDDFSSPPPRRDRWLHLPLLDLALSSLPRRDLPSHLKPLLLSLIDDHLLPPPTSALPLLLSSLLSFPPDHPLHDHLLLTVTSAFASALSAPLASPDHEAPPLAGLVDALLAAANRPNHATDRAARALACDALRALDHALPGLLADVLGHAYALASAERSPAAQSYILLLASAARAVVRLGRLGSPASILAVSGPPTPFSVPAHLLSSSPPASTPARPSEQNVREIRKVLALIMERPQVLTPAAAMEVAAVVAEVASAVLKWAPAIAAQVKVQFGGMGYSSSPLLLHSLLMLFVQFPAAFGADDERKMARRLALAACEAHRPLLVRLLALHWLLGSERFRVSVPGLARWFYPGMFDPLALKAKKLDCLGFAAASVDTDKVGGGQQTTELIDDGLVSVSAFRWLPAWSTETGVAFRALHMVLVGAAPHSANDTGGAGAGELLNSTTFHHFQAMLVDMASEHRSLVPVIADFINRLLVCTTHRWVGEQLLRTIDESLLPRLEPGYQLASYYPLFEKIAQNEAVPQLRLIELLTKQMVSLAKTHDPDTELKSWSQGSKVVGICRIMLKHHHSSHIFLPLSHLLVRTIESFPDLEIRDHARICLRMLSCIPGKKLRNLMEIGEQPTPSHPGSMFDVPSPHPSQDPNSMPSLASYIHLERVVPLVVKQSWALTLPNFSVQSRASGHILSIQDVTATPPEQEKPPQPTMERIGYTQETLRVMDSRGAETLEILRRHFSCIPDYLHSSGFKIKIHCTFRFDSEPFNTAWGSDSAVSCPEGEDELPALYAVTITFSSSAQFGKIPSCHVPFLLGEPPSSGVDIVPVDNCHQEESSYRASVLIELEPREPSPGLIDVDIAANTENCQVVSGSLQPITVGIEDMFLKASLPPDTPEDGIAEYYQDLFHALWEACNSSSNTGRETFPLSGGKGLAAISGTRSVKLLEVTPKVLIGAVERYLAPFVVGVAGNSLITILRGNGVIKNVVWEESDSNGTVGADALVPYSMENNLQLQHIDDDEIGIGLARYAHISKRDMGVVRVLIFLPPRYHLLFLMEVGYSSTLVRIRTDHWPCLAYVDEYLEALL >cds.KYUSt_chr5.1626 pep primary_assembly:MPB_Lper_Kyuss_1697:5:11089621:11092308:-1 gene:KYUSg_chr5.1626 transcript:KYUSt_chr5.1626 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCACSYLQSARENTQDHLAHQRHPKLIPPAALPAFSAPPPDLRSAASPSGRPAGRPKIVYNIPQGAREYTLQTSTRIVRWKVKVRKVKVHLHYSSFADIEAAPPIELESTVVTDAMMWVDDGLKGQESEGYGVRAPCHQPTTASNRRIAASSCFQDRDAEPVKSSAPSSTTSDVEISCRKDIVLVPGKVKEPVISLDQGTIGTAIWDCVT >cds.KYUSt_chr7.35611 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222560189:222561272:1 gene:KYUSg_chr7.35611 transcript:KYUSt_chr7.35611 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASSYLGRPTYFLMALVLLLTVLCVTFPAYLRNPADAIGCFTGAVPPPAAADEEEARRPPELSILVGVHTMAKKHSRRHLIRMAYALQQTPALRGAARVDVRFALCARPMTPEQRAFVALESQAYGDVLLFNCTESAEGGKTYDYFAGLPGMMLGGGGDGDVEVRPYDYVMKVDDDTYLRLDALVETLRRAPREDMYYGVGLPFMNRKSPPFMLGMGYVLSWDLVQWIATSDMVRSEAKGVEDVTTGNWLNMGNKAKNRVNIFPRMYDYKSAQAKDFLEDTIGVHQLKEDIRWAHTLEHFNATQLDPSSKLQEPTAF >cds.KYUSt_chr3.28890 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180355189:180356718:-1 gene:KYUSg_chr3.28890 transcript:KYUSt_chr3.28890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Polyamine catabolis [Source: Projected from Oryza sativa (Os01g0710200)] MVATKPRIVIVGAGLAGLSAALHLCGAGQDKFDVVVAEAGSRAGGRILTSEFAGHRVEMGATWVHGVVGSPVHALARDAGALRYDAADLPYERMDGGFPDRVLTVAEGGNVVDADTVATPIEELYRGMMDAARAGVAGGAGGGVEEYLRRGLRAYQAARPGGNKELEEVEEALLAMHINGERTDTSADDLGDLDLAAEGEYRDFPGDHVTIPGGYTRVVEHLVAALPPGTLRLGIRLRGIDWSETPVRLHFADGATTLAADHVILTVSLGVLKASVGKDVSSPGAIAFDPPLPQFKREAVSRLGFGVMNKLFIEVEAVEPEGGHQEPTRAAAPPAFPFLHMAFLGHATKVPWWMRRTESICPVNAGSSVALAWFAGREAAHLESLPDDDVISAVHATLDSFLPAPPRSSSDGRVADARATSRWRVKRIKRSGWATDPLFLGSYSYVAVGSSGDDLDRMAEPLPPGAEADRTPPRVLFAGEATHRTHYSTTHAAYLSGVREANRLLEHYR >cds.KYUSt_chr7.40721 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252737354:252738511:-1 gene:KYUSg_chr7.40721 transcript:KYUSt_chr7.40721 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVDGRRGADTGLDDLPEDLVTDKILMLLPPKDVGRCRAVRASWRSATSTPEFMFAHHRRQPSFPMVKFAVRLGTFRASRRGRASYHPHLPPGHHVKLHASGDGFLIVSVSCRFYICNPTLHQLAPLPQPEFHHRNTILGLYRHDATGEYRVLWYSLVDGGCDVDGKMLHVITVGHSQSRKIRAASSPLEEKALLEALPGDSYSAYNPPVRHRGNLHWMSSGEIIVFDTATELFRRMRAATNSYSLFDVQGKLGLYTPDKRYTYMDVWVIEDYEAEMWEFKYRIDMSSIEACNSLHLTCPKQEKRKRTSVRVNPMSRMIHEISMLNEHELLFGYNDNHMLRCNIDGKLLGRANMAKRIYNLELTRHRLKECIIPIPSSKMQEEG >cds.KYUSt_chr2.52379 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326788135:326792736:-1 gene:KYUSg_chr2.52379 transcript:KYUSt_chr2.52379 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWEARVIAGRRTRLGHHDIPVVPSRLLPTAQYERPYSYVGAATHCADVDMQLKVTTHAPVNVPLQNGEFCEYAVQLDWFHGMMYFMRGGSVLTRNYTLVFTSMYDMVKGEILHSMNIIALDCMQDGIDLKEQTLCDLILFPVLHHVSDADDLSTTNSKKILGLSDNLKATLNQCEPDRNDHLQPTDFSHQENPSRQSRSGPKVILHQPDLSACLLIGKGCNGGSVEAAGELSEWQQRHAVLVLGRAKELAKIRYDLCPRHMKDKQFWTVYFLLARSYILPYELHAIQKEKVRKMETENGKPKDEITVEVEMQESKCSRGSPSLPDDSETQDS >cds.KYUSt_chr7.40665 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252365840:252368152:-1 gene:KYUSg_chr7.40665 transcript:KYUSt_chr7.40665 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPRLLLPPRPPAPAAARHNGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLNFPVVAIDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALIRHRSLQGLHLLCLSKPRSKLPLAFGSKTLTWVADALRRSASPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVRGEDMMSALDVQEMLGLPLLGVVPEDSEVIRSTNRGMPLVLTDPPTPAGLALERATWRLVERDAMTAVMVEEQERPKKKSGFFFSLFGG >cds.KYUSt_chr1.37082 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226492724:226494333:-1 gene:KYUSg_chr1.37082 transcript:KYUSt_chr1.37082 gene_biotype:protein_coding transcript_biotype:protein_coding MTANQQAGEALAAHISGLTRPDMYDLMSQTKAMIEHDQERARRMLVENPEVTRALFREKPSDMAQPTVAQIAPPAPVKAAVKDHVNMSQTQLPTNHKNLQPSGPFPPGASNLTSSLDLPAMPVNPAQAKGYPINHMISASAPQSSQHPNVTMPPHAPPQYSNVPSHMPIVHSQPQQPMQNPGMFNQQLQPPLPQLPRPPSMQAYTHQMHQQVPNSFGQHMLQQPMYHVRFYT >cds.KYUSt_contig_1467.192 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1269632:1270135:1 gene:KYUSg_contig_1467.192 transcript:KYUSt_contig_1467.192 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDLKKMIMLKSSDGEEFKVEEAVVMESQTIRHMIEDKCADNGILLPNVNSKILSKVIEYCNKHAQAAKPVADGAADGASALSPAEDLKNWDAEFIKVDHATLFDLSLAANYLDIKELLHLTCQTIADMIKGKTPEEIRRTFNIKNDFTAEEEEEIRREYQWAFE >cds.KYUSt_chr1.18054 pep primary_assembly:MPB_Lper_Kyuss_1697:1:105293386:105294261:-1 gene:KYUSg_chr1.18054 transcript:KYUSt_chr1.18054 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTSLLLVLAAVLSVLVCQAAAAEKTGQITVFWGRHEDEGTLGDACNFSRIYTTVNMAFLNVYGHGKYHLDLSGHPLDGVGDDIKHCQSLGIPVFLSIGGFGGDYGLPTSQSAVDLADHLWYSYLGGSRVGVPRPFGDAQLDGIDFFLEGGGVGERYDVLARELAKHDQQLRLTATPRRAFPDGRVFVERALATGVFERIHVRFYDYPNCTAWIEDAWGQWTAAYPTSKVFLGLTASEKDSCYLERKAVFEIVMPIVQKADNYGGVMLWDRYNDEQNFNQYSSYVKNWV >cds.KYUSt_chr2.31393 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193746930:193756193:-1 gene:KYUSg_chr2.31393 transcript:KYUSt_chr2.31393 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAARHALLPSLLPSNPGTFFLHSQPGRFLPHAHGLRPCRAASSLPAGEYLPPLFSVAPMMDWTDNNYRTLARLISRHAWLYTEMVVAETIVHQKDSLDKFLAFPAEQHPIVLQIGGSNLDNLAKATELASGYSYDEINLNCGCPSGKVAGHGCFGARLMYDPEFVGDAMSAIAANCDVPVSVKCRIGVDNHDSYQELCEFVDTVVSKSPTRHFIIHARKALLNGLSPAENRKIPPLKYEYYYALLRDFPEFIRITSF >cds.KYUSt_chr6.7701 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47250079:47251639:1 gene:KYUSg_chr6.7701 transcript:KYUSt_chr6.7701 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPLLQDLVTGDVHQVMELHLWSASRVRRNSEIRMVQVRQMRREVAQLLDGNQNKTTRIRVLQGDQGQVLLGVEVE >cds.KYUSt_chr4.21942 pep primary_assembly:MPB_Lper_Kyuss_1697:4:137996935:138000659:1 gene:KYUSg_chr4.21942 transcript:KYUSt_chr4.21942 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGQGMHGGAAGVVALPPQATPAPASSKMDCFLTSVCTPLNLQFIDVAYRVKVERTAAAAGKEPPGRISHSGGGGGVMGGVGAVEERTILKGITGEARPGEVLAVLGPSGSGKSTLLSILGGRISGRHTGTVLAGGRAPCRAVQRRTGFVAQDDVLHPHLTVRETLAFCAMLRLPTSAPTSAKLAAAEAVIAELGLGACADTIVGNAFVRGVSGGERKRVSIGHELLVNPSLLVLDEPTSGLDSTAASRLVATLTALARKGRTVVLSVHQPSSRVYRAFDSVLLLAEGSCMYYGPGRDAMDYFASVGFAPGFHVNPADFMLDLANGFAQAEYSDSPAAGGSVKQTLIASYSRVLAPKVKAAINAGALVPDNHASREHQQQPQPLESCSGCTTWTNQFTILLRRSLKERRHETFTSLRLFQIIAPALVAGSMWWRSTPLEVQDRMGLLFFVSIFWGVFASFNAVFAFPQERPVLARERASGMYSLSAYFMSRMAGDLPMELALPTAFTVIVYLMAGLNPAPTAFALTLLVILCYVLVAEGLGLAIGALMMDAKRASTLATVIMLAYLLTGGFYVHNVPGFMVWAKYTSFTYYCFRLLIAVQYGGHLARLLPPDSTHGEASTATCIAALVAMFFGYRLLAYLALRRVRT >cds.KYUSt_contig_2528.43 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000367.1:361215:363171:1 gene:KYUSg_contig_2528.43 transcript:KYUSt_contig_2528.43 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGAVVADSSSSKDGHAPARAPAPGRSFPAKLVARPQLLFAVLATGFLAAALLLFVLGGGGAVPYYRLPALAVQDVLLAAPCAEEKEEDQVVERWWARPPARSAWHNMSDEELLWAASFEPRRRRGRGRPSAPGKVAFMFLTRGPLPLAPLWERFFNGTGGRGLFSVYVHTTPGYRLDFPPSSPFHRRQVPSKATRWGETSVVDAERRLLANALLDLSNERFVLLSESCIPLHPLPAIHAYLTRSRHSFVGAFDDPGPHGRGRYRTALAPDVSVSQWRKGAQWFEMDRRLALFVVADGRYYPRFREVCRPPCYVDEHYLPTVLTIEAPMSIANRSVTWVDWSRGGSHPAMFGADDVSKDFLERLAGKKEKETERCMYNGQPAVVCSLFARKFAPGTLQPLLQLSTRILGY >cds.KYUSt_chr3.36360 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228752604:228753203:-1 gene:KYUSg_chr3.36360 transcript:KYUSt_chr3.36360 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSQSLDSYSSVQDVTYSCGYCGYALNLSSSARDTATIGYSKYGKQIRKGVVAFHAVDESRFTLADEVTCAPHLRPGRAWSWSWGLFRRRSRLLCRKCGGRIGAAYQQDEGDDGGSDDDLRTSSGDSGGGGGASRRRSYVIKISALQPSSDDFTAVPFSL >cds.KYUSt_chr5.4630 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29526174:29526607:1 gene:KYUSg_chr5.4630 transcript:KYUSt_chr5.4630 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVDGLTNDEVKSHLQKYRLHTRRTSDGHRQQQSASVWPPPEQYTTSQHSTSQSGSPQGPLRLMTTGSSRDVSATAGDSCDGGEEEEEDGKSASYSWEVQQTGTKAASSS >cds.KYUSt_chr2.18895 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118854403:118867673:1 gene:KYUSg_chr2.18895 transcript:KYUSt_chr2.18895 gene_biotype:protein_coding transcript_biotype:protein_coding MPHIAASQERPSLLPPSAPRLPHWSAATATMAAIPPPAPGASHAPSTPLPPPGPPILANSLAPSSILSLQSTSPKASDGKPRARPATAPSKLGLDGGEPPPGTDGVGRVGSQEIDGGEPERGKDGGGDAQMRIDVGGGKRPQSKKPNGSSLVPTIIKVRVGTLVPFAQGKSGPSNASLLSIPIFEGSNVVGRSNLVVVDKRVSRKHLSLRALADGSVEVVVEGPNPIVVRSDGQARKVCAQGRAKIIYDDVLELIPGDYLMKYVAMSDERKSSASVDSNDLKKGKRHSEEDSVVAKRNRQVMEDEALARTLQESFAEEGTSVPGMACGQALSSLDSAGSSERNNKRTHSAGPLKDVLPLTFRLMRVQGLPSWTNSSAVTIQDVIQGDVLFAVLSNYMVDIDWLLTACPSLRKVPHVLVLHGEDGASLEHLKKSKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRVVVHTANLIHVDWNNKSQGLWTQDFPWKDANDMNTNISFENDLVDYLRALKWPEFRLNLPVAGDVNINAAFFRKFDYSSSTVRLIGSVPGYHVGPNMKRWGHMKLRSVLEECVFEKQFCKSPLIYQFSSLGSLDEKWMSEFACSLSAGKAEDGSPLGIGKPLIVWPTVEDVRCSIEGYAAGSCIPSPQKNVEKDFLRKYWSRWKADHVGRCRAMPHIKTFTRYNGQNIAWFLLTSSNLSKAAWGALQKNNTQLMIRSYELGVLFLPKTLQCVPQFSCTEKNWSNQDKLALGKNIKTKLVTLCWQGDEETDPSTTEVVKLPVPYQLPPRLYGAEDVPWSWDRRYTKKDVYGSVWPRHG >cds.KYUSt_chr4.30232 pep primary_assembly:MPB_Lper_Kyuss_1697:4:189618790:189625620:-1 gene:KYUSg_chr4.30232 transcript:KYUSt_chr4.30232 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKGSPRYGLIVNKASQSRQLKATEVGSTKKANKTNPGELYDGQIAEGTYNVNSTQPPKYPDLTQADDGDELSHTESEFPRFEETWAYTVEQDADLTEHITIEDEDGSVARTEARTGESVARTEARTDESVARTNPRTNKRATTDRNKEEKETKKPKKQSSNEIAGSMDRYIEMREKQFAIESALLAGEKKGAQSGDYSGCFLLLASNIRRHFVSRSLYSTVCDQGKELELYVKQGYEKGASIARGGEEQLDKKLNMELDMELDMKISHGRAREEREACTKEEEVQAGARLVLELVIGANRGTVQEISQKLDNTNETVTKMQDQMTDIQRSLQVLTIAVDNLTQQQQQEDEDPELQNEARGVGRGVGRGNRGHGFVELGVRRVPPQQQDDGLGKPKFSIPKFEGGADVEEYLTWELKIEKLWRLHDYTEDRKRGRVRESLEMIMQRFLHGLKYDIKGIVRHHSYTTMNEMLHHAREAEAQLAEEAQIKGRATTKKFESAASTSGSSISTARNRDMACHTCGGNGHFKRDCPNRKVIFISEDNEYETGDYADPYSLEDDDYDSDGVYAYPSEARTIVVSQALSVLLMCCQVHSAAICSKQKL >cds.KYUSt_chr5.3164 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20434530:20436340:-1 gene:KYUSg_chr5.3164 transcript:KYUSt_chr5.3164 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDSQIKRFHGVMDPLEYLHVRFHYNGEFLRGDKTLTYAGGTVASSFIKRDSLSSLELTAHLKNHLPTYNEGQLLYWQYPERQLFDGLKTLVDDEGCKEISDYITDDGVAEIFVEPVMSVSEDTDGNKYKDETIVCTKDARTKEVSVIVNENANKKG >cds.KYUSt_chr6.23423 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147941450:147941680:-1 gene:KYUSg_chr6.23423 transcript:KYUSt_chr6.23423 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALTAAAVGGVGPPPGRIGGGWLALTICSPSAAAPTPGHLAKVSRFSKMTGRSFLPRHCRGNLLYVSDTPPPVC >cds.KYUSt_chr1.29451 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178262522:178268566:-1 gene:KYUSg_chr1.29451 transcript:KYUSt_chr1.29451 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPPAAGKRVLDTGWLAARSTEVALTGVELTTTHPPSSAAAAPWMHAAVPGTVLGTLLKNKLIPDPFYGLNNEAIIDIADSGREHYTFWFFTTFQCSPAGNQHVSLNFRAINYSAEVYINGHKEVLPKGMFRRHTLDITEVLHPDGTNLLAVLVHPPDHPGTIPPQGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSICVTGAVKITDPHLVSTFHDDFKRSYLHCALQLENKSSWLADCTLKIQVSAELEEDICLVEHLQSFAISIPPSSVLEYTIPPLFFYKPNLWWPNGMGKQSLYNVEISVDVKGLGESDAWSHYFGFRKIESTIDGSTGGRIFKVNGEPIFIRGGNWILSDGLLRLTKKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGDPVSNPDGPLDHALFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKALKNDLKLHPMFSSNPKTKDQGKYLSQDSTDPSKYLDGTRVYVQGSMWDGFADGEGDFSDGPYEIQYPESFFKDSFYKYGFNPEVGNVGVPVAATIRATMPPEGWSIPIFRKGIDGYIKEVPNPIWDYHKYIPYSKPGKVHDQIEQYGHPTDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAEPIHVQLNLASYYIEVVNTKSDELKDVAVEIQVWDLDGTSPYYKVAEKIVIPPKKVKKIMEMEYPKMKNAKPVYFLLLKLFRLSDKEVLSRNFYWLHLPGKDYKLLEEYRRKMIPLEIGSKISVSGSTYKVRMSVQNKSKKQATESATSVSTMELHDRNGFHSIGREATSEVQGIGSLWSKICRGTDVAKSDDSLRRVEVNGTDSGVAFFLQFSVHTSESSTQENYNYKDTRILPVHYSDNYFSLTPGEKTTVDISFEAPEGSKPMVILRGWNYHLNHTMTM >cds.KYUSt_chr2.45637 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284586597:284589215:1 gene:KYUSg_chr2.45637 transcript:KYUSt_chr2.45637 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRAGALLDSAPLLGAGGGGHRRGNALRRPSLRGAARLLRRSGRRAMREPSMLVREAAAEHLEERQADWAYSRPVVALDLLWNVSFITVAAVVLVLSRAEDPPMPLRTWVVGYALQCVVHMVCVAVEYRMRHARRGGGAAAVPADEGRASDGSSSSSDDDASEHNLRGRGTDYVSIAKHLESANTMFSFIWWIIGFYWISAGAEDVIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPKYKFRRVDEPEKDSVDTPESSGGIMTECGTNQPIEKALAAEDAECCICISAYDDGAELRELPCGHHFHCTCIDKWLHINATCPLCKFSIRKSGSSSGSEEV >cds.KYUSt_chr4.9021 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54226759:54243295:1 gene:KYUSg_chr4.9021 transcript:KYUSt_chr4.9021 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWKRSSAIHAHHHRHHSSSASTPASPARASTSRIPRRDGADLAGGPHDHHQPRLTRAPRLRHVDDIEVGASALRMDDSPTSAPSSSSYPARRDALWSGLATASSTPISRSPSNMEVAPARSASTPLMPRPLPLPHGEDPLCRGPGRPLPSPRMLDGDCNGSNDFLGLAEAGAERAATFPRFMSQTVQKIPEHNGFRSEGTNGVTFGQQRKAFKDKFKNSSAETLNFRLNIPAKSAPSSGFSSPVQSPRRLSNADFSSSGISIQGSNALPAQSAWSPDLLGSSSPSTSPENFVGGQERSPRSSPLRSPALRSRYPSAPPSPMHQNLFPENHVSRPEGNFHPLPLPPVSVSPKQTNFSHQSFPKVETPSMAGQWQKRKLIGSGTYGCVYEATNRHTGALCAMKEVNIIPDDAKSVESLKQLEQEIKFLSQFKHENIVQYYGSETTEDRFYIYLEYVHPGSINKYISQHCGAMTESVVRNFTRHILKGLAFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTATPNLSLKGTPYWMAPEVVQATLGKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLNKDPPIPDNLSSEGKDFLRGCFRRNPAERPTATKLLEHPFIQNSNHFSQNSSTHSLAGIKSPVAVTQYALADHLVSAVPLATDPEDWLRMDSTVLHWLYGSINTDIVDMVMTEAPNAFTILSRIIALFRDNQQARTGYFEQKFRNIEQGDKSVNDYCLEQKSVADALADNGASVTDNTLVWNTIKGPSDDFKEVGSMVPYMTPFPDFPQFRNLLLLHELKPSTKRSSTPGVFYSAPTPGGYRAPAPPAPPAYGAPPSYGAPLGQGAPAAPHRGRGKKRKTGYPSPAPVPTVQNPWTGAIHMYPMVGPPGVLGPRPFAPAPRPSYAPPPRPAAQGYLTYPTPPPPVTFSYPGQAPYGVPYGASPAPTWDPSALANYFNTMSLQDPTDWHMDTGASAHMSSDAAHRLTTARHRSDRRPRLGAHAPHARWAASLCRGASAHWASLSTSRLRADAFSLAYVGFHAGPLASVLTTGLTEPGLASSWVVSRGLPRLIVSRDTGLPRPGFTSTWPLTGWFVSCGFGRR >cds.KYUSt_chr5.1040 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7206316:7206864:1 gene:KYUSg_chr5.1040 transcript:KYUSt_chr5.1040 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVHSNRVAALAASPARTGQERRLRRSPAMVDARRRRERQPRCGEAAAGTAAGCAAVCCCIPFAVVELVVLAAVRAPAALCQRTIRSQRSRRRAARARRMKEMEDLILAADASPRTVAAVRAKHAALVAEEEQGWCHWPSKPAAAAAEKEVELAEEEKEVWARFNATGFWRSPSQREEAW >cds.KYUSt_chr6.25649 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162525464:162530108:1 gene:KYUSg_chr6.25649 transcript:KYUSt_chr6.25649 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLGEATKLLDNMMINYSEWHTERAPQGKKVNSVEETSSLSDKIDAIMSMLVNDRTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNDSSNNYRPYPYNNGNGYGNSYGNSYNNNRSSPPGLEAMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVLPNENHHNKIVTTANAIQVRINENIRLMAELHARPFLRTVGAVIDMKEGSTLAPESLVLRRTTSRRHQPSTCFLTPTGPIKPWFLTEGNLPLCASHLPLGVPNGRANHTHHLPRLLSSSSASPTLCLQRLLSVAAPAASPSSGFAVEEYLVAACGLTRAQALKASAKLSHLKSPANPDAVLAFFDGLGLSSADVAALVAKDPRLLCASVERTLAPIVVGLSGHGLSHAEIARLFSLGLSICRCRSAISNLPYYLSLLGSTENLVRFLKRSYSLLGSSLEKVVKPNVALLRKCGLRDCDISKLCLSSPWVLKSNTERVVACAEVLGVPRGSRMFRYVLEAVAFLGEEKIAAKVDYLKNTFRWSDAELAVAVSKLPAMLKRSNDMLQSRSEFLIFEVGLEPAYIAHRPSLLSYSLERRLRPRFYALKFLKKNGLLKGDRDYYEVVMVTEKVYMEKYISPHKEAAPHLAQDYANACRGEVPARFMFA >cds.KYUSt_chr7.6644 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40099053:40099793:1 gene:KYUSg_chr7.6644 transcript:KYUSt_chr7.6644 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPQHMQGLAVGVRLVVMDVSDDAGHNPLQLTTSAPTTDGGMRFLAKTSLRTGKKSMRASWTACVDARDAPTCGPGGDRRFLQVLARILRSLLAAGSCYGPRLTGCTARPAGAHCWTRVVCRSLCGLVSFLCTRYDDGLSEHNAVRRVLDADTDLHTASRDAERRGHRPPLLLPHGREACRAPPLLLPLGREACRADATAAHPRPGGYHHRCSSRSSRCHRLCSSRTGTKPSDAAPPLALSCPY >cds.KYUSt_chr3.17726 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108711273:108727245:1 gene:KYUSg_chr3.17726 transcript:KYUSt_chr3.17726 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVLFLVWRGYGRRRVREALLSFSLDGLTSFPSAGSGARRGSVRDGPHVNAEQQHALDASSSVSCDAMVKLPMRLMLLFPEMVPLEWISCIAVAIDAGSGDDGAAGPKVLPGTETLLQATCDSAAKDGQGYYERQVGVLPAVAGDAKSMLYFCACVELSVWVHAFSSRKYDWVEESSMMQPGDKTLQASVHANRVGIKVKNFKALLVCKVLVVDASLFGASRLSRASSKGWQQWTHYKIVDGPMDLLLFLQLCHGSEQKEESWGGDFDDLVGGDAVGGGRKGAGGVAAGEAELCRPLQVRKRMELGAAEGESLKGSEEEEKEDIKQGMLGSNGWRFIGFGSMEELPTYEDEKMRLRMYSLDTRQTRGRAASALPAALGKLSLGQADTVTSAGRRALEIPLEIDRIRPDFVVGRVVVFRNQNLFHVGAGITGVAPHYIPPPSTFNVLLGSSWPNVNSNNVPLASLVAQKEYVDVNFIKNNNHNDSRPYPANGNFYGRYASSNEEKMLEIERSTKSFMQSQYEQNKLFTKTMNEQSTLLKNIGNQLENLNREISGLQTKLASAETRISYMSASQSSLINKMAAKPEDLDDKITTTANAIQVRINENIRLMAELRARWDREENEKLAKEKNIAKVWTITTTSNANATHVAAPPTHTNKRIGVSNVSTSNAKREKLPETAKTAETACDKAAEIFSNIGDDDPIALDYNGLNFDDCHISEVIKFLQKLAKSPNASAINLAFTHHITNALIKAREEKLEREASIPKKLEDGWEPIIKMKIKDFDCNALCDLGASISVMPKKIYNMLDLPPLKNCYLDVNLADHSTKKPLGKVDNVRITVNNNLVPVDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEGNIKYQFPLKKGMEHFPRKRMKLPFDSIMRTNYDVDTSSLDNT >cds.KYUSt_chr3.7961 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45721924:45740826:-1 gene:KYUSg_chr3.7961 transcript:KYUSt_chr3.7961 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGGEVAYSMAAWCSPRRASSRSSEGSWKSLIARRRRPSRASHEALVGGGPPEPPAPRLLLLSSEWRQAAGERHRRGAMLDERAASAERISALESAFRGFAERKSTEVLSPKIGLSFDSLGEAYDYYNLYSWECGFGIRIENVPFTKRCLRTLCGKISRDQADEDVKKTMDIFSDLKEKDPEFDYSVRVDGDSRIRTLMWTNGNSKLQYHHFGDVITFDTTYKTNLYDMPFGLFGGVNNHFQSVIYAGVLMRDEKVESFNWVFKEFVKMMGGKNPITILTDQARAMEVAIEEVFPDVTHRWCKWHVMKKAKESLGVHYHKKSEFRIEFHKLVQDMITVQEFEDSWHALVKKHGLEKNTFLIQIFEKDIGLNETHTFRHSKMYIAALELVKMGDMNVAAYEAVMKCLIDAKLKLCLRSGGRHHVAADETTALRTRLAAVQDTLGLAESKATPEDRKDIPSSLLKKSPLFPNITCGLRVASSVLSRSASSPHSVETKHVQAVRHTLPRGTNERSLGSTAQPSSRPAAPTHPVPSTQIPTQKSNGGGRHQINGQTSIATSVRPQIQEQASEVLRMHSQATRFVTARLKDNHNRAGIEDKKGDICKNFRLYMVQEKNSSTYAEELLAKISRNHDDWTTPEPTPTPILKKRGLIKLNDEDMREAKKSLKEKGIKSEDVKNLPPIEDICEIIPPSSMIEYFYFDAETESGNEHDNDNDNDKNDTPDKEEVEEEPEKHAKNKKYTKEDFIAEKHDKLIPTDISLQMADKSTAIPVGIWAVIDCNKGKVTFNVDDREHTVYFPKRIEKACGVNTISNVRTIKVGTIDCPIYEPKEEYQTLVIGSISIQFKVGGKLSIPDLAFLAAALQNSRGDWSVTEGALDAHIERGGHHARDDIEVEEHLDSSSDAASSSHQHGGYVEPPRFSSAQELYYDYSMDYPPARNNDPRRG >cds.KYUSt_chr5.17246 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111446712:111451288:-1 gene:KYUSg_chr5.17246 transcript:KYUSt_chr5.17246 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGVLLHLWNEWAVQILVLFSFTSQAFLFAVAGNGRRNGSTKLKVLLWLAYLLADSTATYTLGHLSIASAPHVHQLVAFWAPFLLLHLGGQDTITAYALEDNRLWLRHLQTLVVQVLGAAYVLYMHLSGSGALVAAAILMFAVGALKYGERIWALKCADNDGLNSYLKKYCDDRKFPPRIGRGVRTSKLSFDDAKDDLESFGTEEEMALHGAHTLLGLCVRAFINHPQSATDYICGSVFYFVRHSKDNILLPREEHMPDQVLPRFHIKVKLLDLKLQVKWNLVIVYGAAQLEDKEDFLAELEEKIDDLDRESMDRPFSEDEIKNTIDQMENNKAAGPDGIPAEFYKYADGTILLIQDDVEQARNLKLLLYLFEAMSGLKINFDKSLHSSPDAENIRAGAASLLQLATSVPATSTDGRPGRILRIADTTMEDLAEDQMEMDE >cds.KYUSt_chr1.11464 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70297545:70300214:1 gene:KYUSg_chr1.11464 transcript:KYUSt_chr1.11464 gene_biotype:protein_coding transcript_biotype:protein_coding MAALESTVRFAGWVHDDQKRWRLLRIGEHCITSGRPAAIDDAVGEAREGVACGQATHARHGSTAAATTSLFQDAAALDNHLRKRHFVVLHAKPLAVTRRTLRRRQEYVVVSDRDKGSKVTIERLR >cds.KYUSt_chr3.27558 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172015097:172017216:-1 gene:KYUSg_chr3.27558 transcript:KYUSt_chr3.27558 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYEELNRKGEGARRFKQGLGFSSGEPQPAAFTSKPTTSSSFLSGFVRAGAAPAQLPKPTRPPPPPEAAPRQRRRSRSPSPSRSRRRRSRSRSRSREPRRRSRSREREDRRASRYRSRSRSPSRRSGRHDEARRDRHGDRRRDDDRRQEGHGGRGGGKVDYSRMIQGYDKMTPAERVKAKMKLQLSETASKDSTLGTATVGWGRFEFNKDAPLDEVDNDVEGVQINMGPTDTVPSVYFEAAGATIVEAKQAASLMVTYALAA >cds.KYUSt_chr2.6122 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38146487:38149010:1 gene:KYUSg_chr2.6122 transcript:KYUSt_chr2.6122 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLLHPSHHLRRGLQTLTTTTNAVAAPSPSSAPLPFRRLPARILSPRFLSTAGGGDDDTRNPWAFTAESGDPDPFADVDPVPTAPGEASSAAAEDTWAKDFGAEDGEKGGDVFEEIFKDAAAAAPAARNAAPAEDTEPWTIDLEDEKDDPFAAAVMDDEVAGIGGEAAEIEELVAGNDEDAERQREENKAREQQLMETLKGPDRAFGDLVSASGITEDMIDSLILLKDARGVPGLPPLSEIQDRAIQKMNATSSRAEVERQLQEEIAKARVRQVDEQGRAYGTGKRKCSIARVWIQPGDGKFVVNEKQFDAYFPILDHRADLLRPFTVTKTLGLWDVTCTVKGGGVSGQVGAVRLGISRALQNWEPGLRPYLKSAGYLTRDSRVVERKKPGKAKARKSFQWVKR >cds.KYUSt_chr2.55134 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343769878:343772614:-1 gene:KYUSg_chr2.55134 transcript:KYUSt_chr2.55134 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALAVTDELVLPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFDDASVGAVLSFIKKVESFGDQLVAEISRVLKAGGIVLVQSLTPSSDQKPNNYIERQLLMGGLVEVQASATSSQDSVQSVTIKAKKPSWSMGSSFQLKKKAVKTLPKIEIDDDDELIDEDSLLTEEDLKKPELPVVGDCEVGATKKACKNCSCGRAEAEQKVEKLGLTAEQIDNPVSACGSCGLGDAFRCGTCPYRGLAPFKMGEKVTLSNDFLSADI >cds.KYUSt_contig_1861.72 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:364205:365266:-1 gene:KYUSg_contig_1861.72 transcript:KYUSt_contig_1861.72 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVTLLLFFASCMGASVFCTGAPAAGFEDVPTVPFDEGFTPLFGESNLGLSGDGTVRVTLDRHTGSGFISKHYFHHGLFSADVRLPAGHTAGVVVAFYLSNGDVFDDTHDELDFEFLGNRAGHRWRMQTNVYGNGSTARGREERYLMPFDPSAAKHRFSVLWSSDAVVFFVDGTPVREVQRRAGAGMGGDYPSKPMAVYVTIWDGSTWATENGKYTVDYRHGPFTAEFSGLVLRGCPADATGDIRQLHRATSVHRCAARERELTTAEYAVMTARKRAAMRRWRWKQTLYTVCYDTDRYPAALPECDVNAVERQMFERWGESKVAFRSRQRRVETPLAPPLVSMNMMQAD >cds.KYUSt_contig_815.23 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:106901:107334:-1 gene:KYUSg_contig_815.23 transcript:KYUSt_contig_815.23 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNIIDEYGKGYVHFNFTVKSLDGTVHLFFADVHPDCREVEDVYHCTALNTIDSGHCIGCKDRASDLHHPNDGGYLGGHKDVGFPFMEYDDSDSAGEDEWRTMIGLSEGDK >cds.KYUSt_chr2.32101 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198024457:198038718:1 gene:KYUSg_chr2.32101 transcript:KYUSt_chr2.32101 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASLTLVLRSALSHSPEERKAAEASLNQIQYAQQHLVRLLQIIVDGNCDLAVRQVASIHFKNFVSKAWSPLDPEETQTIPEADKSMVRENILGFVTQLPPLLRAQLGESIKTLVLSDYPEQWPSLLHWVTHNLESQDQIFGALYVLRILSRKYEFKSDEERLPLYQIVEECFPRLLNIFNTLVQIANPPIEVADLIKLICKIFWSSIYLEIPKQLFEPNIFNAWILLFLNLLERPVPLEGQPSDPDARKAWGWWKVKKWITHILNRLYSRFADMKVHKPESKAFAQMFQKNYAGRILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSITKNSMYQLMQPQMDIILFEIIFPLMCFSDNDQMLWDEDPHEYIRKGYDIIEDLYSPRTAAMDFVSELVRKRGKANLQKFIQFIVGIFMRYDEASVEVKPYRQKDGALLAIGTLCDRLKQTDPYKAELERMLVQHVFPEFSSQVGHLRAKAAWVAGQYASINFSDQDNFRKAMHCVISGLRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMGEVENEDLVFTLETIVDRFGEEMAPYALGLCQSLAAAFWRCMASSEADDEVEDSGALAAVGCLRAISTILESISSLPHLFIQIEPTLLPILRRMLTSDGQDVYEEVLEIVSYMTFYSPTISLDMWSLWPLMMEALNDWAIDFFENILVPLDNYISRGTDHFVTCKEPDYQQSLWKGLSSVMTDQNMEDSDILPAPKLIEVFFQNCKGQVDHWVEPYLRLTIDRLRRAEKPYLKCLLVQVIANAFYYNPSFTLAILHKLGVATEIFNLWFVMLQQVKKSGQRANFKKEHGKKVCCLGLTSLIGLPANQIPAEALERVFKATLELLVAYKDQVAESKTQNEDTDVDEFDADEEEDEEDEDDKEMGADDEDQDEVNSLNIQRLVQARGFQLHDDDDDDDSDEDYSDNEELQTPIDEVDPFIFFIETIQAVQASDLARFQNLMQTLDFHYQALASGVAQHAEERKVEIEKEKLEKANAQ >cds.KYUSt_chr2.31588 pep primary_assembly:MPB_Lper_Kyuss_1697:2:194889316:194894116:1 gene:KYUSg_chr2.31588 transcript:KYUSt_chr2.31588 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSFPRLFSYSLDDKLSVRDFLLTPNETELFELPLSQQAFQELLALEDVLTHCQIEWEPAPTFEVIVAAAMRKFSKPFFSEVVIIALWHIWKQRNEAIFQGVIVLNYHDIHKGITVQFACILVDMHAKYCNEFLAVVFVRKRVGCVWIEMPSSKPLPVPLAPSLQPLPSSAVRQKSRIDLCEIKSKIAKTIGPDRAKKYFQHLERFLSSKLSKNEFDKLCLVALGRENLPLHNHLIRSILLNACAASGPPAISAPKIAGDISSSEHTLAPPVWSGDSLSKHVKDKHSLSKSVNASTQHSSLTSVETISRENGASNLIGLKRHTHIQQSEHVESLIKRSCVSKAPLDFHVSLHSNGPSAIDARETLGEETLRRAQVPLQAPIGIQFGAANLCQTRKPSALASVSSDDSSVSCYDHGELCDTLSLRKKMEKTAQDEGLEGVSIECADLLNNGVDVFLKQLIGSCVELVGARSQHGKLSHAALKQQLSRKLINGVSLQNHAHVQGGIISPGTTNSVTIQDLKAVSELNPRLLGVNSSGLLEKINSHD >cds.KYUSt_chr5.31574 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200094466:200096125:1 gene:KYUSg_chr5.31574 transcript:KYUSt_chr5.31574 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSATVTKTVQELAAAVEEPPSRYVRPEQDRQHGLLTADEMPEPIPLVDLSRLTDADEADKLRAALQTWGLFLATNHGIEDSLMDAMMSASREFFRQPIEEKKKCSNQVDASKPFEVEGYGNDKIGSQDQILDWNDRLHLRVEPEDDRNFAKWPTHPESFRDVLHEYALKTKRVRDLILRSIAKLVGIDEDYFVNQISDKASGFARFNYYPPCPRPDLVLGLKPHSDGGLVTILFVDQDVGGLQVQRDGKWYNVPAKPYTLVINLADCMEIMNNGIFRSPVHRVVTNAEKERLSLAVFYAVDKETMLEPAPGLLDEKRPSRYRKLKANDFVLGLFEHFSRGTRFIETLKI >cds.KYUSt_chr2.42587 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265274989:265276437:-1 gene:KYUSg_chr2.42587 transcript:KYUSt_chr2.42587 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLAFVSRRGEPELVAPAGPTPRELKRLSDLDDQESLRFYRSVIYFYRSHPSRSGLDPARVIRHGLAAALLHYYPIAGRLRELPGRKLVVDCTGEGVWFVEADADVALDEFGDTLCPPVPCAGELLCLPESNSAVVVNRPLLYVQVTRLRCGGFVFGIQVCHSLVDAPGITQFLQAVGELAGGMEAPSVRPVWARELLDARDPPCPNYQHPEYELVANAANDKLRPGDKLVHRAFLFGPEEIATLREQLPPRMRARCSRFLLLSAFAWRCRTAALGYEPDDEVRFMFVMNARGKRDRPLPEGFYGNALTFGVARTTAGELCSAPLGRTVELIVAARARIAADDYAQSVADALVLRGRPRFTTARTYLVTDLTKSNLHEVDLGWGMPVYGGPATTTLATFHIPATGGGITVPMCLPPWAMERFAANVCAGLSPASSRRGRSSSVESAIRSNL >cds.KYUSt_chr5.15707 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101367464:101369001:1 gene:KYUSg_chr5.15707 transcript:KYUSt_chr5.15707 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNRGAVGGGHGSGMPDAMQLRCRERELVAQLHELLFPSTAPSPAGGGASWPSSSASADLPVEHCGSPVKAPALCGKRRGRGSKRVREGQPQEEQKQRGGSSAATKAARGRRKKEGTTTTTIVTKVPDFDGYQWKKYGQKQIEAAQYPRSYFRCTNSADQACPAKRTVQRNDDGDGDAGPPKYTVVYIAEHSCKLTEAAAAPVILETTVRTKTPAAPDTAAVFSSSSSAFSNGTQSPASSDGTWSDGTVSEANPVLWERGDCSSLFDVNADCWEWDPSPTAPAAALLQDMDFAGPIMSPVHVAAADGSWINELFLNESPFVLNSCQLFGY >cds.KYUSt_contig_1358.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:53089:62014:-1 gene:KYUSg_contig_1358.11 transcript:KYUSt_contig_1358.11 gene_biotype:protein_coding transcript_biotype:protein_coding MELEASAELQGRRPPWRRTVAVQAALCLALYAAFSLGEPQLRPRGGDALGSGGPAGGGVSFITVAGGARPPADQARLLRQMESIAKVYGVKLVLDVAQLGDDRLWQNGSLYFQALNIPWYSTTSHGQIVDNFLKKVKMPYDQILEIIGVDTGPLQVTFEVAIHALLAGEDPAGWQEHAEVGADGAAHQGARVVEQVDLAQPRDASTPDFSSSTASSAGTCFGFKVFPKISEKLDAEGNIEQGRMAPSAFREPDCFKGGHRVLHIHSEKTMQLARMKFEFKRNGEEGLAAGASAGHGWNHRPALAGASRRFVRFDLLRPTPVLPRPGLRPPPTALFCFDAGLRPPPGRRPGLRSLQVDPRLRSATPWSSLALVPPDRRPPPAKPTSGILPHPGYWSLQIDALLTPSPRQASVFLIS >cds.KYUSt_chr3.40411 pep primary_assembly:MPB_Lper_Kyuss_1697:3:254678753:254681166:1 gene:KYUSg_chr3.40411 transcript:KYUSt_chr3.40411 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGVVCSDALCLDASEAGGVYVRAVAALREGDVVATIPRRACLTPRTSGAAAAIEAAQLGGTLALAVAVMYERARGAESPWKDYLRLIPDREPVPLVWPDDEADRLLAGTELDKTVKQDRGFLCEDWKECIEPLISSGELGVEPEDLSLEKYFAAKSLLSSRSFHIDRYHGSGMVPLADLFNHKTDGEHVHFTKSEESDSDEEEDGDGQSSADSDQEEDDDDQSNAVPDQEEDDDDQSNASAEQSTVENATAKPSGEGHNDEDLEMIIVRDADPGEEVYNTYGTMGNAALLHRYGFTEPDNPYDIVNIDLTLVTKWCSSKYSRRYAKERVALWRKLGYSGCTGEDADYFEISYDGEPQLELLILLYIISLKPDAYDKLVCIAHDLIGDDDQEDGEDTINSFVKVVRVTRPTKNSELNGVQKLTDVKKILHSESICSALASLADIRESLYGSNTLKDDKEQLRTCSPVSERNRYHSLVLRVCERKILGRLRKHVSIWSKTKKRKHL >cds.KYUSt_chr1.32558 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197712852:197717821:1 gene:KYUSg_chr1.32558 transcript:KYUSt_chr1.32558 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVKLMIKLIGADADSFGKKAELYFRSRPELISNVEEMFKSYQALADRYDRISSELHKANHTIATVFPDHVQFAMQEGDAEGMPKAITSIDLINYKFPALEGLPMGSRVTSRGSSPVPKRTQTHRRVASHMNKDRAMEEIDKLQKQILVLQTEKEFLKTSYDSALGKYLDIERHVAELQDEVCSLQDTFSTGSDIEDNEARALMAARAILSCEHTLVNLQHQQKRSSEEAKTEFQRFSEAKEKLKTFKDECGQPQTQKDGPDYLDTGLTQVLSPVEGDHSVQSEVKLDLQEICQKVKKVIELHPEASVAEIAEKVDRLVEKVINLELATTSQNAQIDRMKSEIDDFHKRLRALEEEKAALVADSSKLADRLKKVEGVLQIVQQIGRSIQNGSANISKELTEACSELAEFVETLHSPKHAGDSSEKGLEGPVVQERLVSTGSEGEDNILSEDYASVLQSYKDTEQQLSEIEKKNQKYHVEAMSELNELRSANTTKDEEIHSLRRMLSSLQRKVNAPVLEGVSDETSKISTTPTTEDKETAEIDDYIKQCQAEEPPAYAVGEEKFRAEIDKVLEQNMDFWLRFSTSYHQIRHFQTAFDRLKAEMVKLTDAHEQGGADGIPTNHQVAKLESAVLEKKFRDLNTDLQVWMEKNVLLKGEVENRFSSLCSIQEDISKITILDKSDDEHFTLIQAAKFQGEVLNMKQENNKIAKELEAGLDHVRGLQVEVGRVLLKLRENLELSIARSNRAQQNFRALSTKAGVPLRTFLFGTKPKKPSLFSCMGPGMHKQRKELARLCGKLAEKPLQHDTTRQPRGCAPHRSTTNQVDTTPQRNRRRRKDRSHRSNYHTDPEAASHLAEDEPASAEPLKHTTNPPDQWTSKERPQEAKRHKSAALARSREGSRVSPGEPGRRSRDTRFDDAFKKDAAPTGVTVVGPGRPPRQSFRPAKSPKNSRLPKKDRQRSQPASAGSRARSNAPVANGALPKNTVGAAGTPHRGDVDQHQHGARGRTPKPADKKLPDPAATPHTRPPQPPHRRGFRGRRPVAPLTQLLPGTSRVRPGLHTPATALHHRAARLQHTTTPPSQSTRSTLEANPKPPPRSHPGLGLWPAPRRHSAQGFEFRSIASITSVIELQFVLSSTPFYSFSTC >cds.KYUSt_chr4.13185 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81053114:81057481:1 gene:KYUSg_chr4.13185 transcript:KYUSt_chr4.13185 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEVQSTSKKQRIATHTHIKGLGLDANGTAIGMSAGFVGQAAAREASGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESSTGGYGKSISHVVIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDSFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKARKTEITEKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESSLSPIVILATNRGICTVRGTDMTSPHGIPVDLLDRLVIVRTETYGPIEMIQILAIRAQVEEIDIDEDSLAFLGEVGQQTSLRHAIQLLSPASVVAKANGREKISKADLEEVGGLYLDAKSSARLLQEQQERYIT >cds.KYUSt_chr5.31141 pep primary_assembly:MPB_Lper_Kyuss_1697:5:197234207:197236466:1 gene:KYUSg_chr5.31141 transcript:KYUSt_chr5.31141 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSAALHQRLYFLQQQSLESYHDPQPPSPESAWKAPSMKLPPAMKRPLLLAALAALVCSTVAASRIPVYACSGANYNSLRSYQQSLDFLSATLPQRISTVPTLFARDSVITDGQVTYALAQCRGDTDSARCRACIDDCLQSTLVACGLRKEALFAYEHCTIQLSGTEIRLSKVDASVAQVDSITVQSRAFEKGIDALIAGVTSLAANSSQRFATAVEEMDTDGTSYTAYGLAQCIAELTSAACAACLQDLSSINVITGWDCRGYLASKETLIPEEQEVIK >cds.KYUSt_chr3.6912 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39781368:39782579:-1 gene:KYUSg_chr3.6912 transcript:KYUSt_chr3.6912 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDMRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAKPRHHPDNYTAWNSYFLRQWERELASYDGPPPPPPRNNAAGRRRWWSAPERTLANVLAHIEGGNYPVLTMPPPSRASASRRRGNVWQPRRMAASSSSSGSAPRSSFAPVKREEATSPSTPVRVKKEPASPPPTRGRSSGALVIRDQPSQPGRKRKAPKKEDAAATAANRLAEEEAKRAEDAAVAEAIARSLNDLVPADNALPEDAALAWSRQDWEREEEEQQRRLLDPATARRLAARAARAAPTAADDAARYRRLATPPSGVVVPVVDLESSDDEWYKPSSGWGDAGQGSSRQAAPPKVPKVEDDGSDDGGDDYTVFYRRLGM >cds.KYUSt_chr6.28454 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180291664:180293393:1 gene:KYUSg_chr6.28454 transcript:KYUSt_chr6.28454 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNGMYHFFYQYNLHGATWGNGNLSWGHSVSVDLINWTALDTALDPDSPFDANGCWSGSATILPDGHPAMLYTGIDAAGNQVQNFAFPKNASDPLLREWLKPDYNPVIPLPKDVVHDSFRDPSTAWRGRDGLWRVAVAAKVNVSMGSTLIYRSKDFRRWERNAVPLYESSAAGMVECPDLFPVAEPGAQNGLNCAPSNAAARHVLKLSVMANPQDYYVVGRYDDTLDTFEAAGADNDSRTWRRLDYGHVYASKSFFDARKNRRVLWGWANESDTEADYVARGWSGVQTVPRKIWLDSSGKQLLQWPIKEIETLRKKKVGLQGTKVNSGGVKEIIGVAGSQADVEVVFKIPTLEGAENIEPNELLDPQKLCGKKGASIRGGIGPFGLLVLASGDLQEHTSIFFSVFKHDGKFKVLMCTDLTRSTTRADVYKPSYGGFVDMDIEETKSISLRTLIDHSVVESFGGGGRACITARVYPEHVEMSNSHMYMFNNGTTMVKVSKVEAWEMATATMNVAHDG >cds.KYUSt_chr2.3573 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21613764:21614993:1 gene:KYUSg_chr2.3573 transcript:KYUSt_chr2.3573 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHHSQAPPSSGPNQIFKIFCRASEDYVLAVRDGEVVLAPVNPKDDTQHWLKDMRFSTTVKDEEGMPAFALVNKGTGLAVKHSIGKSHPVKLVPFNPAYEDASVLWTESKDVGKGFRCIRMVNNYRLGFDALNGDKDHGGVHDGTTIVLWEWCKGDNQCWKILPWAEAHAAVDSGACAGNGGGPPVHAVRIFSKASEEYSLTARNGTVVLAPTNPRDEYQHWIKDMRHGSKIRDEEGYPAFAIVNKVTGECIKHSTGQGHPVKLVPYNPAYQDESVLWTESRDVGKGFRCVRMVNNIYLNFDAFHGDKAHGGVHDGTEIVLWKWCEGDNQRWKILPWCKWQRSC >cds.KYUSt_chr1.5170 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31802650:31803243:-1 gene:KYUSg_chr1.5170 transcript:KYUSt_chr1.5170 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHCRPSAGCLNIASFLRSSMCHRNNLAYHITFAGTLFLGGSPSTSPGSSFLRYYWSLPPPASPSPPPPTPPVRPPPAPPKPYPPARPNPPQPAPPAALPPRNPAPQGSNASPLLWATPLDVALAVSPIQCGEDDWVVDRPVVKTADIGLPNGPAEDGTRGLLKAHYPKNKKIRKPKILLRKARVVIGSIICNLAG >cds.KYUSt_chr4.48861 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302608011:302608259:1 gene:KYUSg_chr4.48861 transcript:KYUSt_chr4.48861 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVAEESEKRTKQVAPGAGEEASKGRGSFEGMAKAWGSWTESAAATASEVKVHVALLVKAEPKTPFSLAAFDGFFSA >cds.KYUSt_chr6.11859 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73726592:73727092:-1 gene:KYUSg_chr6.11859 transcript:KYUSt_chr6.11859 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQVVEVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHNGNISLDDVIEIAKIMAPRSMAKEMTGTVKEILGTCVSVGCTVDSKDPKELQTEIDDGEVEIPSA >cds.KYUSt_chr1.32926 pep primary_assembly:MPB_Lper_Kyuss_1697:1:199924484:199926089:-1 gene:KYUSg_chr1.32926 transcript:KYUSt_chr1.32926 gene_biotype:protein_coding transcript_biotype:protein_coding MRELTSLHLDAPPDRVYEQKDLASWMLEWMGKTNDRELALGLMTLYQMWLARNDARDAELIEDPMITARKTVFLVDEWQIANTSPPASRSNQEAEHWLAPPDGWHKVNADGAFLQVSGRSGGAELLACKEATQLALDQGINKLVLETDCLSAVSKLRGKELDRSLHGPLVQEIKVPPTCLSTTPRGFELIPCRMLFPSVDSSDQIQELLKKANGALSKLFSFIFLKLDQKKSLEELVNAFFVDTDITIEVLKCTLRPYGPLLAFQLLMGYGFEADMERLTKAMPNDKDGSAVDLGLYSDPACRCAR >cds.KYUSt_chr1.267 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1453629:1454156:1 gene:KYUSg_chr1.267 transcript:KYUSt_chr1.267 gene_biotype:protein_coding transcript_biotype:protein_coding MVECPQPGRLQVTAHDHAATASLLPAGRILAHHLRVSLLATRGSQSVVPASMLCRREHPRARLPARPLPLLDSATFPNVSPRLSAQALLGMSKEAIGIPDRGGDEKRCSSLGAPCCLKAASMGLFLDYMNSCPCYVATTPPSPHHSRRRRQHDASLKSCTVALQGPSQALQHAPP >cds.KYUSt_chr2.47102 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294662758:294664439:1 gene:KYUSg_chr2.47102 transcript:KYUSt_chr2.47102 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDGSRRKTACVTGGNGYIASALVKMLLEKGYAVKTTVRNPDDMEKNSHLKDLQALGPLEVFRADLQEEGSFDDAVAGCDYVFLVAAPVNLKTENPEKDMVEPAVGGTLNVMRSCVRAGTVKRIVLTSSVASVSARPLDGDGHVLDEESWSDVDFLRAKATGHWGYPVSKVLLEKAACAFAQESGISLVTVCPVVVVGAAPAVKVHTSVPDVLSPLSGDEAKIRILQQIETVSGSISLVHVDDLCRAEVFLAEKEAEAAGRYICCSLSTTAGVLARFLSVKYPQYKVRTDRFSGSPEKPRVCMSSAKLVAEGFQYKHKTLDEICDDVVEYGRVLGILPY >cds.KYUSt_chr7.13424 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82838675:82840023:1 gene:KYUSg_chr7.13424 transcript:KYUSt_chr7.13424 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLTEDLRKISGMSPKADILRSGRTYTQSPDICPLLPFFYPAAAPYPRHALTPLISSSHTCMHMAAMATTASSLLLKTSFAGARLPSATRAPSCVATPRAGTICNSISSSTPPYDLNAFKFSPIKESIVSREMTRRYMTDMITYADTDVVIVGAGSAGLSCAYELSKDPSISIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELDIEYDETEDYVVIKHAALFTSTVMSRLLARPNVKLFNAVAVEDLIVKDSRVAGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLQDIGMIETVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAIDGTIKNATPALHPEMILAAIDNGDIVDA >cds.KYUSt_chr4.54309 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335703418:335705370:1 gene:KYUSg_chr4.54309 transcript:KYUSt_chr4.54309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion transporter, Silicon efflux transporter, Arsenic species (As) uptak [Source: Projected from Oryza sativa (Os03g0107300)] MALASLPKVILGSLAFAVFWMMAVFPSVPFLPIGRTAGSLLSAVLMIVFHVISPDDAYASIDLPILGLLFSTMVVGGYLKNAGMFKHLGTLLAWKSQGGRDLLSRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSSATPIGNPQNLVIAFNSKISFPRFLLGILPAMLAGMAVNMVMLLCMYWKDLEGVSPDAVDAGKQMHAVEEGRSPSIASLKSPLKSPHTLNPLNGSAINDDGESMMEENLSTKHPWFMQCTEHHRKLFLKSFAYIVTVGMVVAYMVGLNMSWTAITTAIALVVVDFRDAEPCLVKVSYSLLVFFSGMFITVSGFNKTGLPSAIWNFMAPYSKVNSAGGISVLSIIILLLSNLASNVPTVLLMGNEVATAAALISPAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYELTFWNHLIFGVPSTLIVTAVGIPLIGKM >cds.KYUSt_chr5.17042 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109849100:109849477:1 gene:KYUSg_chr5.17042 transcript:KYUSt_chr5.17042 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDGRAEYVRNLDTWLGFEACRPYHLCALDLCEMDRGQPPTVEHGWEDSILTEKEDCPMFVRLVNLGGGRFCIAKAFNDYDDDIHFAVLTGIEMLRDQQDQSLRVVKHKRVRYTSRTDMIRWVL >cds.KYUSt_chr5.25763 pep primary_assembly:MPB_Lper_Kyuss_1697:5:163834843:163835112:1 gene:KYUSg_chr5.25763 transcript:KYUSt_chr5.25763 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSASSASTVACSAAATASPAALRTAAAKTFSAASCGDAGLARGPVLGGDGTLPRGSVLGGNGDLLLSLLSALLPIDVWSVVKRTRIR >cds.KYUSt_chr2.31834 pep primary_assembly:MPB_Lper_Kyuss_1697:2:196442686:196446799:-1 gene:KYUSg_chr2.31834 transcript:KYUSt_chr2.31834 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGVYTIHQSLTAEAAAVLKLALALATRRGHAQVTPLHVAFALLSSSCSPHQHHHPGGGGGAAYGLLRRACLRSHPAAAQHPFQCRALELCFNVALNRLSTSGPHSPPPHSSGPPFASSLIQPSPTLSNALVAALKRAQANQRRGCVDLQQPPPVTLQQQQQQQPLLAIKVELDQLIISILDDPSVSRVMREAGFSSATVKANLEEESAHMCINSSSSSPPPPVIPPHFFLDNNSSGIGGFGMWPAQFLAPPPGAAAPHCQEDVRAVLEVMVRKQGRRTNPVVVGDSVSMAEAVAGELLRRLERGEVPEELAGAHLLKLQLSYVHVRLMNRADVDAKAAELRRSVDAVQVQRAGLVVYVGDLRWALDEDPAAADHTASSYSPVEHMVAELGRLLDDLRASAPPRGRVWLLATASYQTYMRCQQRRRQPSLETAWALQAVAVPAGSGTGLALNNLHSSSAPTPSAAAMQQAMATTRQQLAQGPVAMAAEAKALGGEQEEKLLLCSECNRNYEREASVVKVEADEEGPRFGLPAWLVLENKPPVDHRMQHKESYLIELKRKWSRLCGKLHLWGNSQQHDPYSASVFGSGLPAASPSSWWPSPCLPPGSQSKPSIAGFLGLEGLMEHGRRWSPPSPLPCPGLMDPHCPDVKTTLALGTVLPLSDTATSDGRARGRDDGLAHELERRLRKNMPWQPADTVAEIVEAVAGGRSYGKKGVWLYLKGSDHAAQQRAAAVIAETCCGSADQIVAADPKRFSCAEDFCSDVVSRASRIGSTKFVLVIHDVERASRPVVECLVAASKRGCITDHFGQELYLSESVVIVTTSEFAHGASDVISLRLRTSSSPRDFKRKEENDSPSRECKRARHDAGGHGIDLNLNLCVGSDSDDDGVPSDITHESDTRERGQPHDLLESIATSVFTLDKGADADQRAAAAIRAVLVGALRREVQLDNQAAEALVAASGHFLDEVLERWAAEVFEPAAAAAAAAGNGGKVVILGIGPGGGARVSGYMGSALPSRVLVE >cds.KYUSt_chr4.40654 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250967684:250970092:-1 gene:KYUSg_chr4.40654 transcript:KYUSt_chr4.40654 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRIPRNAIEENSRCIGAKKGRELITEAGWEPKALKKIKNVVPTNMIESLFDVKLEEKSGLLSSVKPSRIVADKVVYAPRLNECTLGVGDNVVHVRGQASGEDFGHNLSEPMDEANGSKVGDVLRPIFLWKKDDVGGVKPMKILGMEVGETLHNRHDVVFSNFPTSLEEFAIEPIRACSGWLLLAAMALLPLAMGMTMDPIGSYCAGTSYKGSGKASINSVLTDLVVKGSTGGFATSSAGKDKNLVYGLAQCRGDVSASDCSACLADAANKLPATCSYQSDARIWYDYCFVRYSNTDFAGQTDTGAGVILVNVQAEDTDPKEFGKAVGMVMGKTAAQASAAGSAGLGRAKDQYTPFVTIYGLAQCTRDLAQLACAQCVSTALSKFGDFCGAQQGCQINYSTCRVRYEIYPFYFPLDGTGGQATTDMTKYTKIVVHP >cds.KYUSt_chr1.1289 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6973132:6977733:-1 gene:KYUSg_chr1.1289 transcript:KYUSt_chr1.1289 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKIKSLRKEDGTILTSQVELENEANGFFQNLFMAQDGTTPGLVTDWVASGEGANRLKEILERYRRGSGQMVNKQKSAIFFSANSVDDMKLAVHQGTEIPTEALVEKYLGLPTALGMRPIVLKPLSDVIMVQQLMDPISGEWMEDVLEAKFESIDVQAIMKNTDWSSRGGFMHGLGTWKSTEIRDVLSVKVLVLHPSSWAIDIIDSKKVDPRVAAVILYGGWAVWSERDARDHGESTRSIAQSVKWTADITRDLAESVRVKTIGQPKRRSKWSRPEEGVIKINVDVGFDLNNGEGSSSLIARDHDGVLIRGQAIWYCHGANSLTMEALAIRDGVKLAYDLGITRIEVETDASEVVKLWNERRHGRSEIWSILAEIEELSSNMESFHLGYIRREANEGAHLCAKQASGRRRSTMSCSDVNGAGAQLVGEDAKTSWPELVGKTIKEAREIILKDKPDVNIVVLPAGSPVTLDYRTDRVRIFVDTVADAPHIG >cds.KYUSt_chr3.35435 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222489038:222490174:1 gene:KYUSg_chr3.35435 transcript:KYUSt_chr3.35435 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLEQPAYHAIPADPHHDQPPVLGNAPPPATCKEEWAFPIAILVSLVLMVVMIGPMEYLVQTNMPDFSVALAGGYHGIDVARPASVVSLAFNLTLRMTKACADRAEVVLTYSGVALGWARVEPRGCVSREPWGRDVEVVSKAHGVGLSRSLRERIGGGVAPFRAGGKPIPR >cds.KYUSt_chr3.29422 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184163246:184170454:-1 gene:KYUSg_chr3.29422 transcript:KYUSt_chr3.29422 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIAFGIFEKENTKNWVWFMQQLKLCISDPEGLVVHTDAWKGLENAINEVYPTCEHRKCMMHVMLNFKKKFKGDILDNMWPAAWTYEAEKHESLMAEIEAASPEAIAYLRKQHNRPASLAPSDLPSQPEDSDEVVRATAMGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKGVMIREETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISANNIEIGVIRADREFKVLTPAEIKDFLEEVE >cds.KYUSt_chr2.39854 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247351441:247351767:-1 gene:KYUSg_chr2.39854 transcript:KYUSt_chr2.39854 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRSISSVDAFRSSPSVPGAPRRPPGTAEAIPVEPRVSELPPDEDLLRLPLSASMASLPATIWQRRHLHLVRRARPRRTRPVPRPLMPYFAGSVLDLGAMAMVTSG >cds.KYUSt_scaffold_1259.538 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3628262:3629320:-1 gene:KYUSg_scaffold_1259.538 transcript:KYUSt_scaffold_1259.538 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVASFLRPCHAAQQESDSTHLPRVSNLPCLALSGPHSQLSCPTTPPRPAFPSFFLSKPRTGEKNSNDIGAQFPVDLSTPVAPRRLESTTRTPSFPSISGCKKSSQGARISTPQTSFLCHGHRAPAKLATPFDLPTFSVSFLGVLVRFFIPGLLLLPPPCLVCSPDGDLRRCLADPHRRPSTGGRPPQARPASGSSSRGASSYVSSAPSRAPSSRGRQRPACLCVACASSARARPSPAFSGRTTTSASTSTPAAAREGQLAKISTCTSSSTPATFSFLVAGSILRRLHLVDDLLGEQDHYSFPLHVPCSLVSRGKRDPPEFTTAPCFPASFTGDTGHLRTNHLIYSMHITT >cds.KYUSt_chr1.25632 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153916504:153919279:-1 gene:KYUSg_chr1.25632 transcript:KYUSt_chr1.25632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os10g0564500)] MEERYEAMKELGSGNFGVARLVRDKRTKELVAVKYIERGKKIDENVQREIINHRALRHPNIIRFKEVCLTPTHLAIVMEYAAGGELFERICTAGRFSEDEARYFFQQLLSGVSYCHSMEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLIGSYPFEDPDDPRNFRKTISRILGVQYSIPDYVRVSSDCRNLLSQIFTADPSKRITIQEIKKLPWFLKSLPKEISERDRANFKEPEPEATVAAQAVEEIMRIIQEAKAPGDMSKSSADAALLAELAELQSDDDEDQGDEGETY >cds.KYUSt_chr4.18333 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115294148:115297324:-1 gene:KYUSg_chr4.18333 transcript:KYUSt_chr4.18333 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNKLGDSDLLVSEITLGTMTFGEQNTEKEAHEMLSYSLDQGINIIDTAEMYPVPTSKDTQGRTDLYIGSWMQSKPRDKIILATKVSGYSERSSYLRDNTEVLRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVPLFGGFSYDSTKWRPSVPFEDQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKLLGLPKIVSIQNSYSLIVRCRFEVDLVEVCHPNNCNVGLLAYSPLAGGVLSGKYLDGNSGDAKRSRLNLFPGYMERYNVSLAKEATGEYVKLAKKHGLTPVQLALGFVRDRPFTASSIIGATTMDQLKENIDAFTSAPRPLPPQVLDDIETLFKKYKDPAII >cds.KYUSt_chr7.11899 pep primary_assembly:MPB_Lper_Kyuss_1697:7:73070014:73070766:1 gene:KYUSg_chr7.11899 transcript:KYUSt_chr7.11899 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVVCLQAQRVTSLPASVHQVDLGCHLHHPHLHVDDAGDEPEGRASARVSGGGVADFQNQVLLLHCALASSVVVTVSLTLLSDDSLRHPRRQYLELDLGADQSPGVLSFQLAAGAMLTLALHRKLLPLPPTTAPCLPLPACCRRHRRHRRQGYDSADESSSGFIVIEKQYRRPPSDNLLTTDDDDDQDAMKLEIDRVEDEFLAMLELAETADARLDLDMLVRDAEAELLASKAHLLDADADAAAAASL >cds.KYUSt_chr4.4494 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25703262:25708467:1 gene:KYUSg_chr4.4494 transcript:KYUSt_chr4.4494 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEEGERPRWGGCGTAEPGGSSSAPLRPGEPKRQRVPALREVIAEVMRKSSIEKLFTSIEPLIRRVVKEEIELALANHTAMMTRSPADIVPSTSKNLQLQFTTRLSLPIFTGSKIEGQGTLSLSIALVDSLTREVVVTGKESQLKVELVVLEGDFQGGEDGDWTAQEFNNNILREREDNSSWTRSRKFRLGARTEDGYFNGVRVREAKTECFVVKDHRGELYKKHHPPVLEDEVWRLEKIGKEGAFHKRLNKEKIVTVKDFLTRLHLDAPRLRKILGGGMSTKIWEVAVEHAKTTCIKSDKVHIYYPDSPSKTAVVFNVVGEVRGLISEKFVSVDDFTEKEKAEAHAAVKQAYENWKNVSTCDSETLLKNPSQLCNMRSPSLHENEFYQLPAQVGTDDFDLSDLDIPSGDIFSAEPSCALDPRSLETAESSGSRYQSELPSLGGHGQTQEPHALDKFPNSLVYEDSTSHPSFSEDYYRCPDPSVSFDSQDLGAALKGFIATISKPKPYRGWRTLSYVIGWIFYTKRIAALKRNKPGK >cds.KYUSt_chr3.6025 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34402197:34408040:-1 gene:KYUSg_chr3.6025 transcript:KYUSt_chr3.6025 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVDQHLLPLFLRPVLLHGVGAAAHLLLALAVAGRLLFAAGSHRRKEPAAAAARGAGFRWFRLAVRATWVLAASEVFFAAYSLLSWFLDGGTGWGDPDAVTDQADALSRAVAWLMLAAYLQLQYGRRGEDRFPAPLRLWWALFLLLSVLAVAVHAATGLQYELPVPARSWARDAVSVLAGAVLLVAGFSAERGAAGGSASEEPLLNGASENHTVDASMFTGAGFLSVLTFSWMGPLFAVGHSKTLDLDDVPDLDRGDSVAGLLPPFKANLEALTGDGQKVTAFKLTRVLVRTVWWHIALTSLYALIYSLAIYVGPFLIDSLVQYLNGDERYASKGKLLVAAFIAAKVFECFSQRHWFFRLQQVGIRTRSALVSVVYQKGLSLSSSSRQSRSSGEMINIISVDADRVGLFSWFMHDFWLVPLQVSMALFILYSTLGIASLAALGATVVVMLATVLPMRMQEKFQEKLMDCKDIRMKATSEILRNMRILKLQGWEMKFLSKIIDLRKTEESWLKKYLYTSTASTFVFWGAPTFVAVVTFGACLLLGIPLDSGKVLSALATFRVLQEPIYTLPDMVSMLIQTKVSLDRIASFLCLEELPMDAVQRLPSGNSDVAIEVSNGCFAWDTSSEVPTLNDLNFQARQGMRVAVCGTVGSGKSSLLSCILGEMPKLSGEVKTCGTMAYVSQSAWIQSGKIKDNILFGKEMDGEKYERVLESCSLKKDLEILPFGDETVIGERGINLSGGQKQRIQIARAIYQDADIYLFDDPFSAVDAHTGSHLFKECLLGALGSKTVVYVTHQIEFLPAADLILVMKGGRIAQAGKYNEILGSGEELMELVGAHQDALTALDVIDVTNGGGEAFSSSDAASFSRSLSSAEEKDKQNGKELDKVQSGQLVQEEEREKGRVGFWVYWKYLTLAYGGALVPVVLIAQTLFQVLQIASNYWMAWASPVSKDVEPSVGMSTLIYVFVALAGASSLCILVRALFLVTAAYKTATLLFDKMHMSIFRAPMSFFDSTPSGRILNRASTDQSDVDTNIADQMGQVAFSIIQLVGIIAVMSQVAWQVFVVFVPLSVACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSATIRSFGKENEFVSTNSHLMDAFSRPKFYNAAAREWLCFRLDTLSCLTFAFSLIFLISLPTGFIDPAIAGLAVTYGLNLNTLQTWVVWSMCYLENKIISVERILQYISIPEEPPLSMSGDKLLCNWPSEGEIQLHNLHVRYAPQLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVDPTIGQILVDGVDICTIGLHDLRCRLSIIPQDPTMFEGTIRSNLDPLGEYNDNQIWEALDNCQLGDEVRRKDLKLDSPVIENGENWSVGQRQLVCLGRVILKRTKILVLDEATASVDTATDNLIQKTLRENFSEATVITIAHRITSVLDSDMVLLLDNGVAVERETPAKLLEDKSSLFSKLVAEYTMRATHT >cds.KYUSt_chr5.27555 pep primary_assembly:MPB_Lper_Kyuss_1697:5:174390542:174394293:1 gene:KYUSg_chr5.27555 transcript:KYUSt_chr5.27555 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAVTVAAGTLRPCSGVSPAASGNRRLAGWRQLAPAAPAKLRLSSPALRVPRAASPAAVEDGSSSNTDTVPTPKVIIDQDSDPDATIVEVTLGDRLGDLLDTMSALRNLGLNVVKASVCLDSSGKHNKFAITKSSTGRKIDDPELLEAVRLTIINNMLEYHPEASSQLAMGATFGIEPPTEVVDVDIATHIDIYDDGPERSLLVVESADRPGLLVDLVKIIADINITVQSGEFDTEGLLAKAKFHVSYRGKPLIKALQQVLANSLRYFLRRPTTEDASF >cds.KYUSt_chr6.28593 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181078617:181079078:-1 gene:KYUSg_chr6.28593 transcript:KYUSt_chr6.28593 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRRFPPLPLLPSAAPSTQTKDEAPRSSHYGGATGLLVDAACIDSATRAAPSITPVCVVRANEGQRRGAARSRGAADDREVELLVIDAACIDSATRAAPSITPVCVVRANEGQRRGAARSRGAADDREVELLVRETTPSRGLSASASKVAAL >cds.KYUSt_chr2.38584 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239186670:239190596:-1 gene:KYUSg_chr2.38584 transcript:KYUSt_chr2.38584 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMPLPPPSPPPSLSSKGPTLPFSPKKSPPMPVYKDLHFNRDLSATKKLQVGVDLVARLVGVTLGPKGRNVVLGNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDIAGDGCTTSIILAQGLIAEGMKVLAAGMNPVQIARGIGKTSDALVSELKLMSREIEDHEIVHVAAVSAGNDYTVGNMISDAFKRVGREGMVRIENGRGIENSLEIVEGMQFERGYLSPYFVTNHASMSTEFTDCKILLVDKIISDPRELLRVCFSAVKEDFPLLIIAEDVEEEALATLTRNKLSGMIKVAATKAPSFGEQKTQCLEDIAIITGGTVVREDMGYTLEEAGKEVLGFASKVVIKNDSTLIVTDGSTLHAVEKRVAQMKGQIENSKERYQKKILGERIARLCGAIAIIQVGAQTVIELKDKKLRIEDALNATMAAIEEGVVVGGGCSLLRLSKKIDIIKESLDNAEQKIGADIFKNALSYPTTLIANNAGMSGKFVVEKVLSNDNISYGYNAANGSYEDLMAAGILDPTKVVRCCIEHAAVVAKSFLTSDVVIVEAQESKPVRVRPPMPPRNLIPPIPASGIRV >cds.KYUSt_contig_319.375 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2466890:2469362:1 gene:KYUSg_contig_319.375 transcript:KYUSt_contig_319.375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat shock transcription factor 29 (Fragment) [Source: Projected from Oryza sativa (Os07g0178600)] MDPMLSLVKEEMGHAGGDSPADAPAAEAEALPKPMEGLHDAGPPPFLTKTYDMVDDRATDAVVSWSAGNNSFVVWDPHAFASVLLPRHFKHGNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKNIRRRKPTAHTASNQQSRGSYLEVGHFGYDGEIDRLKRDKQLLMAEVVKLRQEQQSTKARLKAMEDRLHGTEQKQQQMTAFLARVLRNPEFLKQLASQNRMRKELQDAISKKRRRRIDQGPEADDMMASSSMEQETPILFDPHESVEHLAEGSVELLSAGIPSDLEGSVELLVDGIPPDLGGSVELLVDGMTSDLNGSGIDANGVTEPHGFDLGAHEVQQNGAQGLPNTNFWEELLNEGLSDENDNLVDVGDMDVLAKKMDYLISNSPTRTT >cds.KYUSt_chr6.22284 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140812242:140812984:1 gene:KYUSg_chr6.22284 transcript:KYUSt_chr6.22284 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVQRSGTGDPAPAAVRDVRGGGSSGGSSSSTSNLSQILSAKLRKCCKAPSPSLTCLRLDTEKSHIGVWQKRAGARADSSWVMTVELNKRAAPSGDAVVVQSTVSAATASSPVSTMDDEERLTLQMIEELLSRSGPASPSHGEDEGSFVV >cds.KYUSt_chr6.14208 pep primary_assembly:MPB_Lper_Kyuss_1697:6:88746318:88759686:1 gene:KYUSg_chr6.14208 transcript:KYUSt_chr6.14208 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGDPDRKRRLSGSFAQGVDTVSPAKRPALPPCSDDKKLDFAVLKYRNKKLSEQLEVHKFEYQALEGKFDDLTQRQRAHHETQDLVNKSWEHLVRDLKALSVCKSGPQNSPAGTGPSNIPSDGACISKDQDFLSRITETGATESSGCNLENHIHSTDVLQNVLLSSTDSWHANKKSPLDLFAALPENECSRELRTTASELSVELNDAIQALSDLHLKHRQLTEKHHNQKYLNARRKAEQKRLKEELANAVAELEESNHKLAVLKAQGDTTHGTPIFFPTLGNKNMPGDNLRDKQKELQDLEACHKEFTDLTSQRLVEIRRLHEERIEILNKLATFQNTLTDLKTISSSKAFQLLKDQLKKSQAELDHYRTLLEKLQVDKDKLIWQEREINVKVDLAAIPHRLSVNCESSIAILEQNLRKVVDEKNMLAVKLEETLREPGRNQIISEFKALVSSLPREMGAMQTELSKYKDDASELHSLRAELHSLSDILTRKEHAINESLCRSARAGSEIRDLQSRVCELRQTNCELKLFVEMYKRESTDSRDVLESKDREYCEWAYVQSLKFSLDESRLEQRVKAANEAEAMSQQRLASGEAEIAELRGKMDSDRGDIGNLSELLKSKHEEGESYLSEIESIGQAYEDIQTQNQQLLQQIIERDDHNTKIFMEGVKAKQAQDTLHLEVCSLSRNLRQAKSLMDLYREKIAQLDEKLKVWSEMVGRLSEDGRRHSGSLGNAQRKLADVQGEAQQLRKSMDQVQDKVASSRLEMAGLLVELEKDRFSKRRIEDDLELMSRQACSLRAKAEASSVLDKVQQEVNEYRGILKCGVCRDRQKEVVITKCYHLFCNDCIQKLLRNRQRRCPSCGLCFGANDVKPIYI >cds.KYUSt_scaffold_2697.143 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1038853:1040235:1 gene:KYUSg_scaffold_2697.143 transcript:KYUSt_scaffold_2697.143 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYLSVASSTARSVDSDNASGSVSNKRAKITTYDCASYSRIIPTLPDELSFQILARIPRIHYLKVKIVSRNWKAAITSSELAQVRRELGLTEEWLYMLTKLEANKLDCYALDPSCQKWQRLPSMPSFVHEEESGGRARSSGFRMWNVVGSSSRIADFFKRWFWRRYGLDQMPFCGCSVGVADGCLYVLGGFSKAVALNCVWRYDPFLNLWQEVNPMMSGRAFSKASLLGSKLYVVGGVSRGRNGLLPLRSGEVLDPKTGLWSELPEMPFVKAQVLPTAFLADVLKPIATGMASYKGKLYVPQSLYSWPFFFDIGGEIYDSELNSWTTMPDGLGDGWPARQAGTKLGVVVNDELYTLEPSSSLDSGQIKKYDSEEDVWRTIVPQVPVHDFTDAESPYLLAGLQGKLHVITKDANNNLQIMQVALQNNTENNASEENALWNTVASRNFGAAELISCQVLDV >cds.KYUSt_chr2.13581 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86058546:86064078:1 gene:KYUSg_chr2.13581 transcript:KYUSt_chr2.13581 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSHSISSEKKNATMHRPPLQDVGSRPYIPSPSTTTRNSSVKCYIISWIRNLVDACVRKLFDEIAFCACREKFIRDRSSMDMDPAHYLLRETKKNRNGGQSASKLILVVSDPTATRELSCLLSWLESSQESSSLTATVAVTQYALADHLVSTVPLATDPKDWLRMDSAVLRWLYGSINTDIVDMVMTEAPNGFTILSCITALFRDNQQARTGYLEQKFRNIEQGDKSVNDYCLEQKSVADALADNGAPVTDNALVWNTIKGLSDDFKEVGNMAPYMTPFPDFLQFRNLLLLHELKPSTKRSSTPGVFYSAPAPGGYRTPAPPAPPAYSAPPGYGAPLGQGAPAAPHRGRGKKRKTGFHVGTGIPGVAPHYTPSPTTFT >cds.KYUSt_chr1.20289 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119508133:119510572:1 gene:KYUSg_chr1.20289 transcript:KYUSt_chr1.20289 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGKWRKVMPYLAMVFLQFGFAGLFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWKTRAKMTLSLFFKVLALALLEPVLDQNFFYMGANSTSASFSSALTNILPAVTFVNAILLRMERINIRERRSQAKIAGTLITVGGALLMILFSGPVVSFPWTKHSAGHAIADSASHSSGRWLMGIFMILLSCFCWSAFFILQSHTLRSYPSELSLTTLICGMGVMQSGAVALVMEHDMKAWAIGFDMRLFTAVYSGIMCSGVAYYVQGIVIQERGPVFVTAFSPLCMIIVTVLGSFILSEVITLGRIIGAMVIVVGVYALIWGKSNDHVNQVERDDDFEKHKAFELPFTATSITKESNLDRI >cds.KYUSt_chr2.33562 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207289050:207290504:1 gene:KYUSg_chr2.33562 transcript:KYUSt_chr2.33562 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMAPEKLVARKGRLRQRYDNEYRLVAGCVPYRVDKDGQLEVLMVSTPSRHDLVFPKGGWEDDEDVYEAACREALEEAGVRGTINRDSLGLWVFRSKSSQSEQSSDSPRGACKGHVFALEVTEELEQWPEQDTHGRRWVSSADSYGLCRYDWMREALTALLDRSSTWTSPVVAAVAVAESPAVPDQLNEHAGMCMNMILAADRAVALC >cds.KYUSt_chr4.10827 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65678189:65680402:-1 gene:KYUSg_chr4.10827 transcript:KYUSt_chr4.10827 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATGTAPSLNGELLPPHGSSSGDEDGGGDGSGVDGEAFRGHFPAPAGCRNRDSCPPDLGFAMTAALELFSYRDFLRYNVKIFRDNWLPRPGALKVDGRRGHSRKKWVADLIDHESRTWNEAIVRECVWPHDANTILNIKLPSRATDDFIAWSGESNGAFSVRSTYRIGMQDSWQRLSQGQSSSEPDGDRRIWDLVWKAKVPQKMRVFAWRTATDSLGVLTGLHRRISSVSPVCSICGQEEEDAHHALVRCTLARALRDELRAHWSLPAESVFMHNCKDWFFQLLGSATLEAQAKIIYLLWRTWHHRNNVVHGDGKASILSSVQFLVNYQQSYAAVTNGNNATLAVCSSWTPPGDGKIKANVDAGWDSVSKHAGLGIIIRDQLGQVILTEWKFIPFCNSAEEAEVLACLEGIRHLINLQRWPAILESDCHRAVMSISSESVEQSPSWALILEARELLKIFRDIVVSKADRVCNGVAHVLAQVGKSGVSAILCDSVPDCVRDLVFLDCKNTL >cds.KYUSt_chr6.31021 pep primary_assembly:MPB_Lper_Kyuss_1697:6:196520502:196528007:-1 gene:KYUSg_chr6.31021 transcript:KYUSt_chr6.31021 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDWRPMQGSNPPAGVDPDWRAQLQPETRTRIVRRILETHPPVSRPMGLNELQRNAVRFEEEIYTAATNQSDYWREICMKMLSMETMPEQAPGYSQVIPNQNNPGQAYADNTAQTGQAGVGDWQEEIYQMRMADNGWMYSGRASSTEMTNEWYERDRGEVMRHRIDGNKDDGIRYFLDDLRDAYMPESPPLEPEEPPEPEEPEEPEPTAKAFCDMMAAAKRPLYEGAKISQLDAIA >cds.KYUSt_chr1.11153 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68263892:68271915:-1 gene:KYUSg_chr1.11153 transcript:KYUSt_chr1.11153 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRPTGFSTSASVASTREPLHVCVVGSGPAGFYTAEKMLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANARCSFFGNVTLGSDVSLSELRKTYDVVVLAYGAESDRSFGIPGEDLRGIHSAREFVWWYNGHPDMCNLAPDLKNTDSAVVLGQGNVALDVARILLRCTTELASTDIAGYALDALRSSTIRKVFLVGRRGPVQAACTAKELREILGLKNVHVCIKEADLVTSPADKEEMRNSRIKRRVHELLSKAATVHQEKSNDDRKELHFVFFRKPTRFLPSEDGTTVGAVELEKTLLKDDGITGKQVAVGTGEFEDLKCGLVLKSIGYKSLPIEGLPFDKYRGVVPNLRGRILSNESETATVEAGLYVVGWLKRGPTGIVATNLHCAEETVASILEDEKKGVLVASSDSKRQGRRGLLEILEQKNTRYVPFHDWEKIDSKEKTAGQLKNKPREKITAWDELLKAAKEG >cds.KYUSt_chr5.21327 pep primary_assembly:MPB_Lper_Kyuss_1697:5:139109140:139115471:1 gene:KYUSg_chr5.21327 transcript:KYUSt_chr5.21327 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTFAAVASLVTLAKTPNLNLLLPPNPSPCLPRRRASLAVCCANSHASPPPLSPLPAASDDDEVATPRLRLRRISEEFRALPEADRAHRLLAYAAALPRLTQADRVAANRVMGCVAQVWLLASCDGAGHMLFAADSDSELSRGYCSCLVSALDGARPEEVLDVDTADLAPLGVAAGARSRANTWHNVLVGMKKLARAAIAAREGRPRGDPFPSLVIARDGAVRAQGSYAKAQAMFLSPDESKIAELVNTLMERKIGIVAHFYMDPEVQGVLTAAKSQWPHIHISDSLVMADRAVQMAEAGCKYIAVLGVDFMSENVRAILDQAGFNKVGVYRMSSEQIGCSLADAASSSAYTHFLKAASRSSPSLHVIYINTSLETKAHSHELVPTITCTSSNVVATILQAFSQIPDLNVWYGPDSYMGANIADLFKRMAIMSEEEIAEIHPDHNRKTISSLLPRLHYYQNGNCIVHDMFGHEVVEKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNKLKQALDRNVDDHLQFVLGTESGMITSIVAAVRKLFDSYESSEYGANIEVEIVFPVSRDSVSKTSVDDSHDFGSSVTNDFEQITIVPGVVSGEGCSIHGGCASCPYMKMNSLGSLLNVCQQLPDEDNILSVYQATRLNAKTSHGKLVAEVGCEPILHMRHFQVCHLIALYH >cds.KYUSt_chr5.18831 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121760961:121764359:1 gene:KYUSg_chr5.18831 transcript:KYUSt_chr5.18831 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCSASSRPPPSSPPLTLCAAFYLQDVPQQRLLAPTTELPCWLVAAVRGAYGACRTLVLRPRATPPRSRLAARPKTRSCLALWSHLAAPKARGMRNPATMALSAAWRRKNITIEAAVQSGRYFVLAGTGDGGRTPKGYVPIVLVGDGDGEVGRVGEEERVLVHVATLKEPCMEGLLEMAAQQFGCGQQGVLRIPCDARQFHQMMVSVHSKSR >cds.KYUSt_chr2.13783 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87345148:87350293:-1 gene:KYUSg_chr2.13783 transcript:KYUSt_chr2.13783 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCVPLGQPVGDALRDISSKEYDSSRSDIAKGFNSIYFNEGSSESAFLAAGSVIEMSLTKSLSSYDYTTSDEEFDMEEKEDIAMVLALHAPMAGHTMFDEEFDIKYALPRIYPNFNKLLSNVEHTASVLRNLF >cds.KYUSt_chr5.7237 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45271535:45275531:-1 gene:KYUSg_chr5.7237 transcript:KYUSt_chr5.7237 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGNSAINEIVYWESSVNMSLDVTQILLSAQSPDGAVRKHAEESLKQFQEQNLPSFLLSLSSELANNEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDAGVKAQIKALLLQTLSSPVASARSTSSQVIAKIAGIEIPQKLWPELIASLLSNIHQVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEGNSEVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSPEMKIRQASFECLVAISSTYYDKLATYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEDDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKSEWRQREAATYAFGSILEGPSADKLTPLVNVALNFMLSALVKDPNNHVKDTTAWTLGRIFEFLHGSALETAPVITSENCHQILTVLLQSMKDVPNVAEKACGALYFLAQGYVDAGSASPLTPFFQDIVQALLVTSHREDAGESRLRTAAYETLNEVVRCSTEETAPIVMQLVPVIMMELHQTLEAGKLSTDEKEKRSDLQGLLCGCLQVIIQKLGGMESTKFAFLQYADQMMDLFLRVFACRNATVHEEAMLSIGALAYAAGSNFAKYMAQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHANAADDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMPYAPHILQFLDALYNGKDMDDSVMKTAIGVLGDLADTLGVHAGPLINQSTSSKQFLDECLSSDDPLVKESADWARIAISRAVSG >cds.KYUSt_chr5.6526 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40509866:40510876:-1 gene:KYUSg_chr5.6526 transcript:KYUSt_chr5.6526 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGGLLVGYGASSSATAWRAGRVLRAAVVAFFDGYHCFSPVAALLALPFSAAVLASQAMAPSSSTLRGASSRLREMFHAAGFPPSSFFSLLNGKLSQTVFTFAATLPFALTFLLLAKACVAAVLAAPAPDAPRRRRMSLPRAAAVARAYPALVATHLFNSFVMLSANAAVFSLLFLAFGAADLLGFTSHASTLALSAAGAIAYSVAVGVATVVCNLALVVAAMERGVAGHGALLRACVLIRGSVPTALALALPTNLGMAAAEALFQLRVVSQRRKAGRLAPGVAGEAFSVAYIHALCVVLEIIVSCIFYRSCCKRSEADELRELEPEEKGDLKA >cds.KYUSt_chr1.7759 pep primary_assembly:MPB_Lper_Kyuss_1697:1:47769804:47770271:1 gene:KYUSg_chr1.7759 transcript:KYUSt_chr1.7759 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKQKHKHKKVKLALLQFYKVDDGTGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNQKA >cds.KYUSt_chr4.50137 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310652512:310656155:1 gene:KYUSg_chr4.50137 transcript:KYUSt_chr4.50137 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGGGGDGVSPGNVPVCYYGAGGRVSASMERRVRAAEVFLRCAACGLAVLAAALLGADRQSRTFFSIHKVARYTDMQSLVILVIASGMVACYSLLQSARCLVMAYIIIGAVAVAMEAALIGKYGQAEFQWMKTCHLYQRFCTQAGGAVACAIAASANMVGISLLSAFNLFRLYGHGKGGSK >cds.KYUSt_chr4.45786 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283359576:283361910:-1 gene:KYUSg_chr4.45786 transcript:KYUSt_chr4.45786 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKLSSFTIPRKAKQELTAIGGDISRISSTVEEKAKWVFEKLKGKPNKSLPDLLRDYNLPPGLFPKNIICYEYDQTSSRLVVHLAKPCEVSFKDSSMIRYSTRVKATLSRGKLSAIEGMKTKVVVWVKVTTVNLESFRSDKVCFIAGVKKLRQKDAYEMPRESVSVEEF >cds.KYUSt_chr1.4615 pep primary_assembly:MPB_Lper_Kyuss_1697:1:28261672:28270295:-1 gene:KYUSg_chr1.4615 transcript:KYUSt_chr1.4615 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAVVDRLLRRLASDARRSDLPSDIDQHVAHFRRTLSRLRDVLVSVERYFWVRTEVQDWMTKINQIVYDMDNLLDEFEDQNDTESERSGCITKNTKAIKLHREAFKLLKRMRVLNLSGSCIQEIPDSIGHLRHLRYLDISDLKIQILPSSMSTLTNLEALDLSNTSLKKLPRFIGTFLKLRYLNLQGCQILQHLSLTFGHLQRLEHLRLSCCYDVGELDDSLCNLQHLRLLDLSNCTELKQLPSSFGNLMNLEDLDLSGCFNLKHLPESFGNICFLRFLNISSCYELQHLPGSLTNLGKLEVLILRRCRKLQKLPPSFRNIQFLRVLDLAGCEALEVSTEIMTTNLEHLNLQRCRRLQTHPNCFQNFTKLKFLNLSECQPYADYHLNLSKCPPNIDYFQSLGYLFNLEYLNLSQTVLDIPVSFERLQKLHTLDLTGCVVVNPSSGVSHILSDMINKMTRLKFVLTKDPTIVASLPQHIRYSVGTDEHWHITSDELLISDLTGGSRGLSIAERVNLQSRVELRFLKLEWMPNSQPADVVDDVGEEVLEKLQPNQSLEHLELVGYAGPVFPRWMMGNMMTSLPNIVSLHLFHLENCKDLPPLGELRNLLYLHIKDVPKLTNLQRGLSGGPRPFKKLTRLNLESLFNLEALSILLASSSGDHFMFPCLEELSVVSCCKLMFEPSLPKCLKYEIRESDRVLSCGEPTGPSSSSPPVQIEIIGCKIPSRFLQWVRSLSTLEKAVIDACEGEDGQVLTSLGLLEIIGDEEQPSSYDSNVNESATVSSSEAVIPHELSSQIGAHTDTRYGRTMFQNHFPWFRVSPAHKELLTSISFSGSGSTASEDLSMISSDTFFLDSPYVTVFTFEELKIATRNFGPNNLLGEGGSGFIYKGQLRRKMNPSISSNSMVIAVKKFKPDSLCWPENWQ >cds.KYUSt_chr4.27062 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170006248:170006529:1 gene:KYUSg_chr4.27062 transcript:KYUSt_chr4.27062 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPEYCGKPTRRGRPQRRPSHPR >cds.KYUSt_chr5.9060 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57529049:57529465:-1 gene:KYUSg_chr5.9060 transcript:KYUSt_chr5.9060 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQNVSLSTDTDKCCMLDGSPFSTKVAYKALHDNNNDACSSVGIKGAKPCQNLRMDASSQQINTRANLHQKTIINSPHWPLGALARLKTDSTFSSPAHLLNKSGKQSDIPKRHASTTSGVLPTYISYLPMHEPQRH >cds.KYUSt_chr6.19460 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122438545:122444888:-1 gene:KYUSg_chr6.19460 transcript:KYUSt_chr6.19460 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQESTAAGRKRRRRGGSGKRQKASSSSQQAPPPSPPSDAPSQPEKRHRKAGEAAAIPKGRKPTSLLEKMRARLAGGHFRMLNEKLYTCSGQDAFDYFKSEPKLFDVYHAGYQEQMSQWPEKPVNVIINWLKSHNKSWTVADFGCGNAAVAKNVKNKVFSIDLVSDDPSVISCDMAHTPLDPSSVDVAIFCLSLMGTNFPSYLQEANRVLKPSGWLLIAEVRSRLDPNTGGADPDKFAEAISQLGFSLVSKTLGFYQVYGGGCMGGREGGEAGGADVGDSAPWLRLRRKAAARV >cds.KYUSt_chr3.29962 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187596305:187597901:-1 gene:KYUSg_chr3.29962 transcript:KYUSt_chr3.29962 gene_biotype:protein_coding transcript_biotype:protein_coding MQRESKTPRATLIGSRQRRYIHRAGVGCGKNRRGKQCRLQTTHFSHTRKRGRVKLAMKKTIVLYPGVGVGHLVPMAEVAKLFLKHGVAVIVALGDPGVESTDFSAAVARAKASNPSVHFHVLPPPPPASVDSSDSQVASPKHHVTKIFQFLTAMNGPLRDFLRSLPSVDALILDMFCVDAQDVAFELKLPVYYFYASGAAALAIFLNLPSMVAGNTARMKELGDSVITFPGVPPFKASDLPNEVTSDGEALPAIVGMFDRMPRADGILINSFDSLEPRAVRALRDGLCVPGRATPPVYCIGPMGTFSAKQLLEIAVGLEKSGERFLWVVRSPRSPDFTYGDALPEPDLDALLPEGFLERTKDRGFVVKSWAPQVEVLRHRATGAFVTHCGWNSTLEGVTAGLPLLCWPLYAEQRVNKVQIVEEMKLGVEMRGYNEEVVKAEEVEEKVRWVMASHGGRALRERAAAAKDGAAEALKEGGSSHLAFLQFLQNLDTPTLQQD >cds.KYUSt_chr4.4073 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23314902:23316414:1 gene:KYUSg_chr4.4073 transcript:KYUSt_chr4.4073 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNSKYAVACSLIGSIISILSGYDAETGVMAGASLFIQEDLKLTDTQVQLLIGILNVCAFIGCLTAGHVSNYVGRRRTITVGACFFVAGSTVMSQAHNFGLLMAGRCAAGIGVGYALMIAPVYATEIAPAATRGSLAATPDVCINLGALAGYTSTYFFGKLPLAQGWRAMLGLGGLPSAVLALGVLFMPESPRWLAMHGRVEESRAVLRQLSDTPEEAELLWSKIAPVAVASPQSSAGVWKEMFLHPTPPVRRMLVAGVGILFMQHLSGINGVQLYSPRVFKDAGITSRDHILATTVFMGLAKTVFILSAILLVDRVGRRPLYLSSLAGVIVSLTCLGTTLTIIRRAEPHHAAPWTLPVAITSVFTFVASFSIGLGPITGCYSSEVLPLRLRAHGVSLGVALNRLTNAAVALTFVSLSDAITMGGAFFLYAGLATCMAAFFYFNCPETKGRPLEEIEEMFTHGWRARHQLSSLEIPVRL >cds.KYUSt_chr1.6336 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39077532:39077756:-1 gene:KYUSg_chr1.6336 transcript:KYUSt_chr1.6336 gene_biotype:protein_coding transcript_biotype:protein_coding MRARIQSLHDTDGASPGVRAGAKTGGVTGAGGGAAGPSDGHRPKEGRTSKASTAATAAALNAAEPEDMAVQQTC >cds.KYUSt_chr5.37907 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239405692:239414502:1 gene:KYUSg_chr5.37907 transcript:KYUSt_chr5.37907 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSVEILTNQTTCPSEMPKKTTSECKCQNYHGGTLAKRHVAHAAIVGGGRTCRREWWRHVGRTPGGGTCGGDTLTWTDMPRQRAATAGGGMLPRQRAATAGGGRAHHPSSRTKLPNVPPPLTAASPAATGYGGMYDVSLGQRAATVVLTFALRGGPFWHFTGAGGLNCQNFSSVSPAQTLARPREGDWLGDGAPTPHARPTAAETSTLWLNRGRLGRLLHNLTRMHRWRDAAGVFSALLPSIQHLDSSEEAHNIFVAAMDIHRKLEEDSATQHGGKSRYYHRTDKIFNVCLIAKDGLQMEPTLNLIHGLISYGKWYSGLPKDMQFEELDVYNEACTTSEASNGYEESGLQDSSNDSIDILLLGDKLKEALCELEKVCHSSTTALPFRLRGRLVEYFDQNQVSTISSCYEEALRRDPTCSYSVKKLIEMHRKGDCKLLACKAACASHLFGPRFQYVKAAEGYLSKQEAKDEFGLLSRNMENSVKLLHSLEKLRSNSV >cds.KYUSt_chr4.45932 pep primary_assembly:MPB_Lper_Kyuss_1697:4:284070711:284074958:1 gene:KYUSg_chr4.45932 transcript:KYUSt_chr4.45932 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRAQIPTGSRCAQVSTAVTPTTANQSSSPASSGNSRLASPPVPVEHHGPTASHHPTAVASNLTTPAQPQEYPTQNPATPPAARRRAAAPGKEAARQPARAMAGSEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRIPNLAQIYLIGFYEEREFALYVSSISNELRIPVRYLREDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPEMLEAHKRYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFNAIEDVLKQKKDRANIRRVSSFEALQSAMKALPADYVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTSPHLLASGDGKKSATIIGDVYIHPSAKVHPTAKIGPNVSLSANARIGAGARLINCIILDDVEIMDNAVVIHSIVGWKSTIGKWSRVQGEGDHNAKLGITILGEAVDVEDEVVVTNSIVLPNKTLNVSVQEEIIL >cds.KYUSt_chr4.29984 pep primary_assembly:MPB_Lper_Kyuss_1697:4:188372819:188373194:-1 gene:KYUSg_chr4.29984 transcript:KYUSt_chr4.29984 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTKLNRVGTLEHWSPTRTVVLDADGFDGWECTTRSEWSQGMRLDALNLMVVVLGAGTTGMLPETGTCDPRTVFSDGRSKKRGNGMGKRLKRSSTSP >cds.KYUSt_chr5.42620 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268452890:268458379:1 gene:KYUSg_chr5.42620 transcript:KYUSt_chr5.42620 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYGVHPKDKLANVIYDHQRLLRATVSSWHQGLVVLEAAVCAIAEDLRKAPVEMSNPYECCNSKAKFILQMHGNFKDNMAVPDRLVDHFGGKISGTIKLEAPTSQIFDVGVAKKTNKMILQSGWEAFVDANQMQENYFLVFRHLGMSCFKVTVFDSHGEEKISSRAGTKNPTHDENPCAYCAKISSSSPDDASDSDGCCRKPGKKPAAKYPSSDELSADDSGSESESMESDDFQGLSNDYVLAGRCHLTEEQEAEINILVAELRPEIPPLVVMMKKTNVNNYLIISKDYARAHFPHKTQFISLKLPGKSKAWSCKFFTGPDGSGGRLSLREFVRDSRVKEGDLCLFQPMTEVESTRFTFMVHLLHKAGRTDISSMHGEDSPSEYGSIRSDDQETSSEVRYILPSRCQLDEEDEVGIDALVAKIQPEIPLLVVQMKKSNVNGPQATLVTPILHWVISKGYADAHFPSESQTITLRLAGGKKWHPHFHVRPDNRGYVLNGRWFEFVRDNHLHEEDICLLQPINKSEGRRFTVMVHLLPKARSTRRSKGGDAVPGSNKKASTSCVKDELVDGPSDDSNRSGYVVLGCLTTAQEKIVAEKVKAIQSPAPVYVVYMDKSNLSTALDLGTTGNAAADRHLPDGKQILTLRQAGRSKAWHVKMRHRRMIPEGGWHEFVGDNRLHAGDICLLEPVKNERLAMAFHIIRIEQHG >cds.KYUSt_chr2.14620 pep primary_assembly:MPB_Lper_Kyuss_1697:2:92365339:92365977:-1 gene:KYUSg_chr2.14620 transcript:KYUSt_chr2.14620 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDEASRKSPAPPASPPRMDSWARGGRRTKRRGGASFGGGAESDDEYVALCLVMMARGVRGDAEDDAVKGVPAPRKPHGYECSVCGKVYASYQALGGHKTSHRKPPAPPAQAAPADASSPAVAEARVHRCSLCDRTFPSGQALGGHKRLHYEGGAAAAEALGGGKDKEAAKAKAAALLRDFDLNLPAAASEAESGTPEAKRARTAMLLAAV >cds.KYUSt_chr4.49762 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308203022:308203399:-1 gene:KYUSg_chr4.49762 transcript:KYUSt_chr4.49762 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAVVAAIVALLAVSAAAQGPMPSPRMAPLPAPPARSPAPVATPPTATPPTAASPSPMASPPAPPMETPTEAPSAVTPSAMTPSAVSATPAGAPTDAPASSTVYTSTASFVAVAGAVAAAIVF >cds.KYUSt_chr1.18314 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107030891:107031148:1 gene:KYUSg_chr1.18314 transcript:KYUSt_chr1.18314 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARIEVDKLSVEQLKALKEQTDLEVNLLQDSLTKIRTAATRLENATAALHELSLRPHGTPHPTLTLSSSPHLRVLSTGLPFG >cds.KYUSt_chr3.2465 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14108063:14110631:1 gene:KYUSg_chr3.2465 transcript:KYUSt_chr3.2465 gene_biotype:protein_coding transcript_biotype:protein_coding MFITVNSPPDSRRRRLAVPHLPQSSSTGSGIVATTILHRHLLQCPAGVVQTSEYHLLELSKSFLHPVSSVLTFPTIITELRYDGLVPNQQWPDDLHVRNLKFPLNTCCAGLTTSDMSKTVPSFVNNLISEMSSSGNIIQLDGSRGTFVLRHRKTLQQAVVAN >cds.KYUSt_chr7.19275 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119487275:119488348:-1 gene:KYUSg_chr7.19275 transcript:KYUSt_chr7.19275 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTESSCTTQTVQGTHRFQICQFSYGNVGDNDYIRSGTFSVGGFDWVIVYCPDGDGVDGEEYISVYLELMSKYAEASALVDLRLINQVTGQACTICAENEVPNQFRSSSFEEATWGREKFISKRALKDSVYLKDNCLVIECVVTVVNELRVSGNKAFYEIEVPPPNALEHFGKMLKDASRADVTFKVRGETFPAHRAVLSARSPVFKEQLSEPMKENKMQHVTVDRMEPAVFEALLHFVYTDSLPTMDDLDRDEKDAVVQHLLVAAVQYGLERLKLMCERILCMSLDVKNVAVILRLADRHNCWKLKEACVDFLVPSERVDAVMKTHDYETMKLFSPSPLADVWEKSRRSRRSSP >cds.KYUSt_chr3.9131 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53211057:53214476:-1 gene:KYUSg_chr3.9131 transcript:KYUSt_chr3.9131 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIAIRSASTSVAQRSSGGGFLSWLTGAPSNALLPPDFALPGVTIPEPLPDLVKPSETKITALSNGVKIASETSPGSSCSVGVYVNSGSVYEAPETLGATQLLKKLAFATTRNRSQLRVVREICAIGGNAKASANRELTSYSYGALKTYMPEMVEVLVDCVRNPALLDWEVKEEIAKLKAELAQASTNPESFLLDALHSAGYSGALANPLIASEASISRLNTDVLEEFLAENYTSPRIVLAASGVDHDELVSIAEPLLSDIPNATGTVKPKSVYVGGEYRRAADSSNTDIALAFELPGGWLKEKDYVTASVLQTLLGGGGLFSWGRPGKGLHSRLNHLVNEFDQIKSISAFKDVHSTTGIFGIHTSTAAAFAPKAIDLAARELTSLATPGQVDQTQLDRAKAAAKSAILASLESKASATEDMGRQVLAFGERKPVEHLLKIVDGVTLKDVSTLAEKIISSPLTMASHGNVLNVPAYETVRGKFSSK >cds.KYUSt_chr3.25009 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155198275:155200688:1 gene:KYUSg_chr3.25009 transcript:KYUSt_chr3.25009 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQAPRGPPSAPGVVAPRPDALAGAVGRPAASPFGQPRPPYQQPAPHPGFGPPPPSQQFGGFAGRPPPYVPGQYNPQYQQQFFGGYAAPPMQHQQPQFVGAQGQQLQHFAAPAVQQQQQVASASGVQRQKKKKKKAAGAQGVPPVQQPHVVVSTGVAPPQGQFVPQGHQAGFDMQTSQLQAHSQAAIQPQIVAQVMAPLVSEHVVPIETVKPNKPIWCWKCSVDSHAVKDCKAQHYCYICDKKAHPTVRCPVLKYPRPSAFVAGVGTYETFFTTLPDSVVKDDLVPNSSPVARVVVTGEAVTTVVIQKQMARRCPAKPQWKWEAVPHGDNVFLISFPSFEDLDVVDGIQMAVPGFNSQMSVSVWKPTDVPHKFELEQDWLHVEGVPHNVRHFWGLWAVGSLMGKTLDVDLLSLRRRGVVRVLVAMFDTTKFGKKDAATFVKSDVVVKLKGYEFRFSRESPSYVPEADFVPFVWRKKDGDGDGGKGKEHEDAMDTSEFAQGTSDTVMHQAQGSSSSTVAPVGSSQVAAVLHAVTPFNSDPKTPGVLLLWRLCRLVVQDVASEALAKKVQVTPSTSRGRRNVLGRTRPSPPPGDAALASHPAHGPGGGQLLPAHAGVDGGEQQIPAVGEQQLTVVGEQRLKSVSEQKHTYVREQQLTCAGEQQLTSMCEHALKYVGEKQFTSAPLEHGQRVAGAAPAGRDGEQQLLLAADQTERHGPARGCVGEQQLPLTADQPVSRGPASGVSPARGRRR >cds.KYUSt_chr3.36220 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227765784:227766095:-1 gene:KYUSg_chr3.36220 transcript:KYUSt_chr3.36220 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr3.3043 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17456059:17458466:-1 gene:KYUSg_chr3.3043 transcript:KYUSt_chr3.3043 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHRVAGASIALEKPPAPYGAHRCEPIFSPVPQNAIGDAIGATGGDALTCASVACYGICELIALAVRLYVQIIFGHQAAAYGTIPGGGGQPGEEEADFIGDICKCRGEVRRCRDKDAELLLVAYTIRVEGLLKLLPVLTDPTWHNSDGLLLPMTCSWFKSYQRMRRRDSMALQEEVSTVAANQL >cds.KYUSt_chr2.26579 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162832001:162840953:-1 gene:KYUSg_chr2.26579 transcript:KYUSt_chr2.26579 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNCLYVAAASTAASAAALQWWAASLLDGDPAAAAGDSLHTLLRSHVTVALLANLAAHLFLLIVLALKTLFFVQLTSSETRKVLEHIINYVIYKGTFLPLVVPPNSQQIILWSTWLVLLCSLKMFQSLARERLERLNASPSATPSKYLRVYSALLFVLSTDLLWMKLCVGFCRACNSKLFWLMFFEPLSIAFESLQSIMVHGFQLVDIWQRHLMDSGVDYLDFQKTYKQAAGSFSEWRGKVIRNFGFVIDLMSLLMSLGHYSMIFWLRGMAFHLIDAVLILNLRALVISFLKRIKTYIKLRKALRSLDGALPDATYDEICTYDDECAICRGPMARAKKLSCNHLFHLPCLRSWLDQGLMEGYSCPTCRRPLFLSSQGHTRSATTEVANVQLIAEQLNMGLNQQRVPGHEHPVEQQNPSDAVWRGAGLDSSWAPPWSSPGMDDPSSSSAVRSVGLTGVQMMMRQFASVSDNYGRTDATWNLWPDAMAGPSIVPASSSRPDGASAAGLRFRGATAGTINGSTSQINSMVDRVREVLPHMPDELILEDLMRTNNVNATVNNLLLMQ >cds.KYUSt_chr7.34158 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213411289:213417562:-1 gene:KYUSg_chr7.34158 transcript:KYUSt_chr7.34158 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTPAAAAAAEVKNPRVFMDVTIGGEMEGRIVIELYASVVPRTAENFRALCTGEKGVGAASGKPLHFKGSFFHRIIKGFMVQGGDFTAGDGTGGESIYGSKFEDENFILKHERKGILSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSCEHIPVGEADRPTADAVIFDCGELPEGADDGVVNFFKDGDMYPDWPNDLEEKPTEVSWWMDAVEYAKAFGNGNFKKQDYKTALRKYRKALRYLDVCWEKEEIDEEKSTALRKTKSIILTNSSACKMKLGDLTGALLDADFALRETDGNAKAFFRQGQAHMALNDIDAAVESFQNALDLEPSDGGIKRELAAAKKRVANRLDKERKSFARMFQSSGKSDENNKEDN >cds.KYUSt_chr6.9877 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60838587:60844478:-1 gene:KYUSg_chr6.9877 transcript:KYUSt_chr6.9877 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGFWLWIQGNGQAGFLLRIESFDDNHFHVLASTAKTFVEVLHFEFDDLDDRSAPKSHFQRQAIEGISFYLNNVCYKALEVLRERAKVDFIHNQMTDLYQEAYGEFMNDRVPISSMTDLYEGAYGESLYDQVPLSSKHLLTRIKALYANTQKNHGEGTSSRQMHVQTSHMLLQDIKEEVYECQSPYRLVTLLDNLSLREKHHDAIMQQLSDVPRDERTLFVTFSNGYPLNKDELQDFFMRHYGDIEEISVEEPIEKRQPLRITTISGISSLGYTIVDAILPNTNSDVNLSGFVETHKNMNSWMGVWNHPSQWSCNQNLLNPLASHGIPNIFNTIPSPYCSIVIGQEDMVATAGSFHFGYDSFGHLDILVETACTKQSPAGDNIRPHWHFFNEFVCFL >cds.KYUSt_chr6.34161 pep primary_assembly:MPB_Lper_Kyuss_1697:6:213924506:213926971:1 gene:KYUSg_chr6.34161 transcript:KYUSt_chr6.34161 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMWMDYSPAAAYRGHAAYIQDYLAAPHEPPPPPPPPPHTALTLEFTYLGHAGSNPSAFSFPDTNNRGSELRQRRMINQRASAARSRARKHAYTKELELELEQLRRDNRMLIKRQQDLHFPLLLGHHGPFPEQICGEFVSKRTGLWFAAITGAANGIVKATAVYFVRNGAKVIIADIQDDLGCAVTAELG >cds.KYUSt_chr5.28799 pep primary_assembly:MPB_Lper_Kyuss_1697:5:182383427:182384850:-1 gene:KYUSg_chr5.28799 transcript:KYUSt_chr5.28799 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASAMRHARDALVCDLLCGCRVTEDVTDGGETWVCCSKMERGSLNPSRIRTGVAGVIAERAEHGSGGAGTLTDDMDAEGRDAGEQGLAGGRGAPKAGGAGQQGEQGRSPEAKEARRQPSPLLKTPRRLIEGQRRQQAPVEDPPWIWREAAGDQPPNVAPQHQAGKLPLPPPDQALAGIFNQSPSPPRPARSRRRGGRRSLMETTKALLRKLVEVEAP >cds.KYUSt_contig_915.385 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:2561975:2563111:1 gene:KYUSg_contig_915.385 transcript:KYUSt_contig_915.385 gene_biotype:protein_coding transcript_biotype:protein_coding MRANEDRGTDSGSEEECINRAELQRGVAAGGPKRYSYRDLAAATSNFAEEEKLGRGGFGSVYLGHMALVGGDQDRRPVAVKMLSAESSAQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRKGLLLVYELVAESSLDKHLHSKDRFLTWPQRDNIILGLGSALRYLHGESEQCIVHGDIKPSNIMIDSSLSTKLGDFGLARLVDHGTGLLQTTKAVLGTAGYIDPEFVNTRRPSTESDVYSFAVVLLEIVSGRRPVIETPERTFTLLRWVWSLYDRNAILEAADERLRGNEANDWWIERVLVVGLWCALPERSERPSVTQAMHILQSAEAKLPALPLHMYRTVHDTASSTGPYGDTSSGVRSSSINTVDPSLSSMDVTN >cds.KYUSt_chr6.23515 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148525600:148529232:-1 gene:KYUSg_chr6.23515 transcript:KYUSt_chr6.23515 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAKIALDYYNKRKKFKFELLEVGPVVSVSSSFYPYKHMNFSARSSKEGSGEHLFFAELQLCSRRKNPIGFSIICSGRCASWGMDNPQGTLSRRKNVDFTYCFGCGPNILHPEGIICVNVRSWMDKLSGKKVVHINGQEAEDVARVPAGGQG >cds.KYUSt_chr5.15161 pep primary_assembly:MPB_Lper_Kyuss_1697:5:97961455:97966114:1 gene:KYUSg_chr5.15161 transcript:KYUSt_chr5.15161 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRQEEEESKAPLLEPRPPQPAAPAAGEGSGSEAEQEAEEGLGRRLLDENRKLWKVAGPSICTRFSTFGVTVISQAFVGHIGPTELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMMGIYLQRSWIILTGCAILMLPIFIFTEPLLVFIGQDPKISAVAGVISVWYIPVTFASVVNFTLQMYLQAQSKNIIITYLAFANLGVHLFLSWLLTVKFQLGLTGVMTSMVIAMWVPALGQLIFVFCGACPLTWTGFSSEALTDLVPILKLSLSSGVMLCLELWYSTILVLLTGYMANPEVALDALSICLNINGWEMMISIGFLAATGVRVANELGAGSARRAKFAIINVVATSFSIGVVLFVVFLVFRGNLSYIFTTSEEVAVAVSDLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNITTYYLIGIPLGAILGYVLGYHVKGIWVGMLLGTLVQTIVLLCITIRTDWDKQVEVTQQRLSKWYREENRGKPASRGSA >cds.KYUSt_chr2.3050 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17899904:17905909:-1 gene:KYUSg_chr2.3050 transcript:KYUSt_chr2.3050 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLRRLLRRGLRSMLPSKRPSDAAEPEVDGGGGAADAKRPKLGEPESDRNGAAPPPPHQQEIDEDLHSRQLAVYGRETMRRLFASDVLVSGLNGLGAEIGSSNPSLRSVFIHSVAMTCRVDAELPWKIPICPNPGRVINMNFLEVFNDSCWESHDMPQPCLNETWGWGMNACAVCTKFSMFVLVGRFNMLAKNLALAGVKSVTIHDVKNVEMWDLSGNFFLSEDDIGKNRAAACVAKLQELNNAVLISALTEELTTEHLSKFQAVVFTDIGLDKAYEFNDYCHNHQPPISFIKSEVCGLFGSVFCDFGPNFTVLDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMAELNDGKPRKVKNARPFSFSIEEDTSSFGIYVKGGIVTQVKEPKVLCFKALRDAMRDPGEFLLSDFSKFDRPPVLHLAFEALDKFRKDYGRYPAAGCEEDAQSFLKTAAAINEASVDRKLDTIDEKLFQQFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYPLDPQDLKPSNSRYDAQVSIFGSKLQKKLEEANSFVVGSGALGCEFLKNLALMGVSCSSKGKLTITDDDIIEKSNLSRQFLFRDWNIGQAKSTVAATAASAINPSLHIDALQNRACPDTENVFHDTFWEGLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFLSNPAQYAAAMRKAGDAQARELLERVSECLDKERCNTFDDCISWARLKFEDYFSNRVKQLTFTFPEDAATSMGAPFWSAPKRFPRALHFSAADPSHLNFIMSASILRAESNGVAIPEWAKNTTKLADVVKKIAVPTFEPKKGVNIVTDEKASNLSSTSVDDVAVIDDLLAKLQEYAKRLPPGFQMKPIQFEKDDDTNFHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGGHPVEDYRNTFANLALPLFSMAEPVPPKVVKHKDMSWTVWDRWSIKGDLTVAELLQWFADKGLTAYSISCGASLLYNNMFARHKDRLTKKVADVAKEVAKVEIPEYRRHLDIGVACEDEDENDVDIPLVSVYFR >cds.KYUSt_chr6.14552 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91276920:91279448:-1 gene:KYUSg_chr6.14552 transcript:KYUSt_chr6.14552 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDSLLFILLATAAPLLSAAQPFDYPTANLSTLWTNNNVSLPHTVSYPDGSAVRAIVLRSPQTLFGPSFAAGFFCAAPCNAFLFAVFVVYTNSGAKITNPTNGIPQVVWSANRARPVKENATLEFSSNGNLVLRDADGSLVWSSRTTGWSVTGMAITEMGNLVLSDPKNATVWQSFEHPTDVLVAGQSILEGMSLVPNTSATNWTENQLYMMPVLHEGLFAYVRATPPQPYFSLLVTKNRTGNDPTKVTFTNNSLSAYAQSKQPNSDMFLPLQPATSIRYMRLESDGHLRMYEWSDAEVKWVVSDVIKMFPDNCAFPTVCGEYGLCTGGQCACPLQNNSSSSYFKPVDARKPNLGCAPLTPISCQEMQHHQLLTLTNVSYFDTSHTVVNAKNGQDCKQACLKNCSCSAVIFQYGQNDSDGTCLWVTKVFSLQPVQPEVVHFNSSAYVKVQLSPPTSDSNANRTKTNKKNVMLGATLGAITGIVFLVIAITLYLYVRRKRKYQELDEELDFDQLPGMTMRYSFEKLRECTEDFSKKLGEGGFGTVFEGKIGEERVAVKRLEGARQGKKEFFAEVETIGSIEHINLVRLIGFCAEKSERLLVYEYMPRGSLDRWIYYRHNNAPLDWCTRYRIILDIAKGLCYLHEECRRIIAHLDIKPQNILLDDNFNAKVADFGLSKLIDRDQSKVMTMMRGTPGYLAPEWLTSQITEKVDVYSFGVVVMEVVCGRKNIDISLPEESVQLIKLLREKAQNDQLIELIDKHSDDMALHEDEAVQMMKLAIWCLQNESSRRPSMSSVVKVLEGSMSIDSSIDCSFLEENLAMSVQDKQFTYSVPPQASILSGPR >cds.KYUSt_contig_973.276 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:2418840:2419751:-1 gene:KYUSg_contig_973.276 transcript:KYUSt_contig_973.276 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAVAVSSFFNHYRRSLQLKRAPLALRLTCTEAATAGELAANSTRASPRLHGGGRRWRAPAALLQGQAAPRPGPRRARREQGRSELPCGRRAPPHGGGRRWRAPPTLLQGQAAPRLGPCRARREQGRSVLAPGSAELGPGRRGARPRPPRSSAPAATHFPVAARVSTGAEPGPAAPPPPTLPWPPRASLAAEPGPVAPAAAHLAASDFIAVRLPAARPVLHGAPDGQLQDGRHGRRRTRRELGGWGRRACLPPLSRRWWRGHAHALASAVLRALVLYNARHGELIGGVVPTCCTRDDGAGQS >cds.KYUSt_chr5.20123 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130777317:130780946:1 gene:KYUSg_chr5.20123 transcript:KYUSt_chr5.20123 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR receptor kinase, Brassinosteroid (BR) perception in the roo [Source: Projected from Oryza sativa (Os09g0293500)] MAASSPTTAATFFLLVLLQLLAPAIADAGEAAALLAFRRASVADDPRGALTGWASGAGANSTAPCTWSGVSCSPPTDGRVVAVNLSGMGLAGELRLDALLALPALQGLDLRGNAFHGNLSHAPSTPCALVEVDISSNAFNATLPAAFLASCGALQSLNLSRNSLTGGGFPFAPSLRALDLSRNSLADAGLLNYSLAGCHGLRYLNLSDNLFTGRLPELAPCSQVTTLDVSSNLMSGALPAGLVATAPANLTYLSIAGNNFTGDISGYDFGRCGNLTVLDWSYNGLSSTRFPPGLANCSRLQVLDMSGNKLLSGSVPTFFTGFPSLRRLALAGNEFAGPIPEELSQLCGRIVDLDLSNNKLVGALPASFAKCNSLQVLDLGGNQLSGDFVTSVISTISSLRMLRLSFNNITGANPLPVLAAGCPLLEVIDLGSNEFDGEIMPDLCSSLPSLRKLFLPNNYLNGTVPASLGNCANLESIDLSFNYLVGQIPPEIITLPKLIDLVVWANGLSGVIPDILCSNGTTLETLVMSYNNFTGGIPPSITRCVNLIWVSLSGNRLTGSVPPGFAKLQKLAILQLNRNLLSGSVPAELGSCNNLIWLDLNSNSLTGTIPSDLTGQAGLVPGGMVSGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAEFPAVHLCPSTRIYTGTTVYTFKMNGSMIFLDLSYNGLNGAIPGSLGNMMYLQVLNLGHNELSGTIPEAFSGMKSIGALDLSNNQLSGGIPSGLGNLYSLADFDVSNNNLSGPIPTSGQLTTFPASRYDNNSALCGIPLPPCGHNPGREDGGRGSPDRNSKVIEASILVGVVLSVLILLLLLVTLCKLRRNQKTEEIRTGFIESLPTSAQTSWKLSGVQEPLSINVATFEKPLRKLTFAHLLEATNGFSTETLVGSGGFGEVYKAKLKDGTVVAIKKLIHYTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHDNDKAIVKLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDNNLDARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPTEFGDNNLVGWVKQMVKENRSSEVFDPTLTDTKSGEAEMYQYLKIASECLDDRPIRRPTMIQVMAMFKELQLDSDSDILDGLSINSSTIDEAAEKSS >cds.KYUSt_chr5.27422 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173609029:173614519:1 gene:KYUSg_chr5.27422 transcript:KYUSt_chr5.27422 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRCLSRSAVARFWRVCGGMGSSSPAAAGTGLCRERVLRVRGGTARLEGIFCTFLAAALLAGAHFLQKQHDEQTETLTNKPPCRRYPRCSSSSSRSASWPATPVTQQAEAHPHVHARDVLGAKRHGGQHRAVPPSPFGPNATFGQVAVYDDELRTGRSPTSPLVARYQGIIVATGQGSSQGHLTVATVLFVAGKFAGSALSVEGPVMGFKGTSERRIVGGSGKFRMARGYYQLKLLGLTSPLSSVAEVDFYVLTSDPSYM >cds.KYUSt_chr5.34656 pep primary_assembly:MPB_Lper_Kyuss_1697:5:219669648:219670538:-1 gene:KYUSg_chr5.34656 transcript:KYUSt_chr5.34656 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDHDDGDEEEMQEMPMPLSSSYETPPLSTGLAGGAGIAPYKPPGEAGGRAKAAGGARYRECLKNHAVGIGGHAVDGCGEFIAAGEEGSIDALRCAACGCHRNFHRRESEFPGAGGAEGSPFSPLPYGAIPPHHQFSPYYRTPAGYLPHSHHHHHPHMAAAAAAAGHPRPLALPSTSHSGRDDGDDMSGGMAAGPMSAVGPMSSMSLGAGAGPSGGYFSGSGSGGRKRFRTKFSQEQKERMLAFAERVGWRIQKHDEAAVQQFCDEVGVKRHVLKVWMHNNKHTLGKKPPPGSI >cds.KYUSt_chr1.8285 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51019463:51030568:-1 gene:KYUSg_chr1.8285 transcript:KYUSt_chr1.8285 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVVVVIVVVSVVDAMGERRAAVGVSVMRAAVMVALLGLVAAASSSGADVLTDNLSSADQVEALVSIRAALRDPDNVLGGWVVTSGGDPCGWKGVTCSDGLIHTLELENMNLSGRLSPAIGELRQLRNLFLDHNSISGPIPETIGGLPLLQRLDLSGNQFNGTIPRTLGDLRDLYFVKLSHNNLSGFIPDSLIASDNLFILDLSSNNLSGTVQEFRIKNVLLEGNPLLCYPSCGTRCDSIRAQEEVTVPVQNPETHSQRYATSTKTVLLWMSTAFVVVVFLAAVTAATRQWRRRHQIFADIDDKKESEVCLGHVKRYTLKDIKEATNNFNPNNILGQGGFGIVYKGILHDGTIGAVKRLKDFVSAGENQFHTEVEVISLVVHRNLLNLIGFCSEDNERILVYPYMLNGTVSSKLQAYVSGRPALDWPRRKKIALGAARGLAYLHEHCDPKIIHRDIKASNILLDEFLEAIVADFGLAKLLGEGESRVYSVIRGTFGRIAPEYLMKGESSEKTDVFAYGLLLIELITGRKTLEVHDEEHPNGGVADWARKLLEEDQLSSFVDKRLRNNYNNAELKEMVQIALLCTMFSPTHRPRMSEIIRMLEGYGSVAEIWEGLKNVPVPIPMPGTRNFAPSPANYSGEDECSSVELEAVELSGPR >cds.KYUSt_chr5.2957 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19363262:19364315:1 gene:KYUSg_chr5.2957 transcript:KYUSt_chr5.2957 gene_biotype:protein_coding transcript_biotype:protein_coding MACRKHRHPQREHLPVEGHRRVANSVNIGSWLVSDGFQIDVVVRIRAATTSVPMSLARFAMVKKVESCKLEAHHAAPSFWRRLGSGDDARLPADMLGTDSSSSRLVPLHGCRRRAARQQPRQGRHRNVSWCGEDGATLHAGSLGKDSSSSALLAGGGDGATLHAGSLGAGSSSSARLAGGGDGAALHAGRLVKDVTAASHGR >cds.KYUSt_chr5.20882 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135567225:135572526:-1 gene:KYUSg_chr5.20882 transcript:KYUSt_chr5.20882 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSPLTSPADGALPCPQSRRPANTSRSPAGAGASATAAVQDGDRRRRTGLTAVWTSGRIPSRRFAIRRSRCSPTGTTKVAAAARAPVPLSRRRIGGVTWGHGRGRVLRMEPFRDLQDPSPTSTPPSRSHPKVEIFASRARVDTTILYIYFISPGERAPTRAAPVTVGSWSCECRICLDEFKNAGRGMTIQLFIQKSARLRAVALLSMIIDLDHGDDLLHSQRC >cds.KYUSt_chr3.19275 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118738583:118739900:1 gene:KYUSg_chr3.19275 transcript:KYUSt_chr3.19275 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDARDTLMQPWQYLQNPPQYVGNDDRCFRAMVMWWTCPQYLKKHEEGKKKRAEMRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQCTSYVSKFKQKYGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRQIHRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEQRAQMEQQILQYQQQQTQMMQQMQQQQQMMQQQQAQMSWLMSQTALSSPPGSLPPPPYSLPWMPPPPTHTPGTPITVNNMNIIRSMNLDYVSGRNDDEAGASNGGGQG >cds.KYUSt_chr6.14588 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91488458:91490063:-1 gene:KYUSg_chr6.14588 transcript:KYUSt_chr6.14588 gene_biotype:protein_coding transcript_biotype:protein_coding MSEREPVLPNPAPRSFQAGAATQIPFPPTFSTPPRPATGCATPPSAGLGLVFGSISPEIAAAHVSGRLTRSVDLAAAAAFTAPTPAATAPTTQLVCATSGSPADLATSLEVLPPPPAIKAGERPVRAVAAARPEIARSAKAATKTKREDGKRCAPSSGGGRLLLPLWEGSVLVAGRAIQGSPQALQLSLPSKGVASPFHFVRTCSNQVHCIDCERPGHIARNCPSKRSLLAAAQPPPSTSPSPPGPLQANAAAWPALERTAPRMASAPGHPSNRPDEVYSLSISTPALERAATEMRRTHLAIIISDPRLNISTTSIAKALQDELDFDWNDIHVSASYPDDFLVKFAHPWQRDMALELGSVPLRRGKLALTTWSPTARGRPQTWRFYCLVALESLPLNAWEDEDTVKAVLGGGCELDRIEQRSVLQDNTAALFAWVWSLDPDLIPCVKAHSILNRPVVGRADLPEGTPAEEGRDGPLYRILIHLDTIIDYTPIDESRRKRGYNWPNKTRLD >cds.KYUSt_chr7.33512 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209030716:209031882:1 gene:KYUSg_chr7.33512 transcript:KYUSt_chr7.33512 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLRARILSFLLHSPSRHPASRFSPIFALHRNLSATTTSTAATPFAAEDYLVATCGVTRAQALKASKKISHLKSSSKPDAVLAFLSDLGVPRSDITTLVAVDPRFLCASVERTLAPRVTELSELGLSRSQIARLVPLALCSFRSSSLSRNLDFWLSVFGSYDKLLKALRMNSGLLAADLDKVAKPNLALLQQCGLNPSLFSEPFISRVLVRTPQQVQDALAHIDKFGVSQNSRMFLYALVAFTLQSPEKLTDKIQVLETLGWSHDDVLLAVKKMPGILTVSEERLQRNMHFLTKVAGLEISYIAQRPVLLKYSLERRLFPRYRLLKLLNEKGLLDLRFDYYAASLSEKKFLAKFVHPYKESLPGLADVYASTCAQKIPMELLPKKEN >cds.KYUSt_chr2.46542 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290924679:290926109:1 gene:KYUSg_chr2.46542 transcript:KYUSt_chr2.46542 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAATEVAPAAAVAIVAVPFPAQGHLNQMLHLSLLLASRGLDVHYAATAAHVCQVRARVQGWDARTVRSLHLHFTALGIPPYETPPPDPENPIAYPVHLQPLFDAFCDHAAAPLGRLLQELAATNRRVVVVHDGIMAFPASEASRLPNCEAYAFQCGAQSFAAGFKDPGHCLVRALGLPVPPPEFLFTKEFLELAQKQGGFGVPGAGLLLNSCRALDGDFIEELNDTLSKDGKKLFTIGPLNPLLDLDLDATRPAPSAQPRHECMDWLDKQPVSSVLYVSFGTMSSLPGKQIEELAAALQSSGQRFIWVLRDADRADIFAEAGGESGRHASILPEFRKRTEGTGLVITGWAPQLEILAHGATAAFVSHCGWNSLLEGLGHGKPILAWPMHSDQPWNAGYVCGYLKAGIVIRPWEKSRETLPAKDIQEVIRRAMDSDEGIAVRNAAKALAKDIRAAVSEGGSSWADMEDFIGHITK >cds.KYUSt_chr1.10506 pep primary_assembly:MPB_Lper_Kyuss_1697:1:64276415:64281878:-1 gene:KYUSg_chr1.10506 transcript:KYUSt_chr1.10506 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSLCFKQMTLIDLVNLLLLAVYILSLLIAACTRQFRLRASDLPLPCALASPCCALLGIACFFLGAWTSTPSPHGTELFVRGLVWVLLSVSLVFRPTRLSGALAMAWWALDAILITAYSLEKIVTGRNLRVLDVLSWALSLLLLVSAIGVCRARHGATASAGGGEESEPLLATGGRERRTFGDAGFFGRLTFTWMDSLLRLGHSKPLDLGDIPPLDADDEAAEACRTFLAEWHRRREPLKSTSNLVLLVLAECHKKELFLTALYTLLRTLSFAASPVMLYCFVSYSDRPVRDLGTGLALIAGLVVMKLVESLSQRHWFFGSRRLGMRMRSALMAAVFEKQLRLSNEGRGRHSAGEITNYIAVDAYRLGEFPFWLHLGWSMPVQLALAIAILFWTVGAGALPGLAPVAVCGVLNVPFAKVLQRYQSKFMQAQDERQRATAEMLNSMKVVKLQSWEEQFRATVQRLRDVEVRWLAETQTKKAYGSALYWVSPTVISAVILAGTAAFQSAPLDAGVVFTILATMRVVSEPMRMLPEVLSVMIQVKVSLDRIGKFLAEDEFREDAVDRTGMPASDTSLAVHKGIFSWEPSKGSATLRDINITAMRGQKIAVCGPVGAGKSSLLCATLGEIPRMSGSVRLHQNMLSNEEVITVTMKLIFFTIYLLQVAVSGSVAYVSQTSWIQSGTVRDNILFGKPMNGQDYGRAVKCCALDKDMDSFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAATLFNDCVMEALEDKTVILVTHQVEFLSKVDRILVMERGKITQEGNYEELLQSGTAFEQLVNAHKDSKTTLDSQDQGDAVKESGMIQYQLTMIQQASEAEISTGNLPSIQLTEEEKRELGEAGLKPYKDYVSVSKARFLLVLLILAQCVFVVLQCLATYWLALTVQNHRFSVAIVVGVYAVMATVSCIFAYVRSLLAAHFGLKASREFFSGFMDSVFKAPMLFFDSTPTGRIMTRASSDFCILDFDIPFTMSFVISGTIEVAGTLVIMVMVTWQVVMVAIPALIGVLCIQRYYIASARELVRINGTTKAPVMNYAAESMLGVITIRAFAATNRLIKTNLQLIDTDATLFFYTNAALEWVLLRVEALQILVIVTSSILLVILPEGAVAPGFLGLCLSYALTLSSAQVFLTRFYSNLENSIISVERIKQYMNLPSEPPAVISDKRPHPSWPSEGKIELENLRVKYRQNAPMVLRGITCTFAAGHKVGVVGRTGSGKTTLLSALFRLIDPSSGRILIDDVDICTIGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLGLYTDQDIWEALDKCQLKKTISVLPGLLESPVSDDGENWSAGQRQLFCLARVLLRRNRILVLDEATASIDSATDAILQRVIKHEFSGCTVITIAHRVPTVTDSDMVMVLSYGKLVEYDRPSRLMENEDSAFCKLVAEYWSNYK >cds.KYUSt_chr1.11619 pep primary_assembly:MPB_Lper_Kyuss_1697:1:71599082:71604502:1 gene:KYUSg_chr1.11619 transcript:KYUSt_chr1.11619 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGDLLDDSFPAKKRSKDLGQKPRKKRNNREDGNTGKGRAKDHHSDSAMNLRGTKDQNASQSADASTNVIRKKVEPETAKYFLEISNLFDNKEIDLEDRSTICANALEETKGKELELITDGVISHTLQILVQGCELEPLCMFLRSCIQSFPVIAMDKFGSHVAEAALKSLATHLEDGTSRVIVQEILSKICKVIAADATNVMCSCYGSHVLRTLLCLCKGVPLDSLKDFHTTKRSAVLAERLSCASSRSGDQNPVNFEHGFSDMFKTFVRQMLQKAENGISTLLTEKNSSLVLQTVLKLSAGDDHELNHIISVLLGYDEDDTAQKEDNSEQKNKIVALLEDTAYSHLLEVIIDVAPEELRSNMLIGTLKGALFAISSHHCGNYVVQALISSAKTADQMKQIWEELGPKIKELLELGKTGVVASILAACQRLETYRLESSEALSAAIAPDSESPDSIVAHLLFLENFLREKSYWKWPPGVKMSVLGCLMLQSIFQYPHQYIRQYVASLLALDDGQILQIAKDSGGSRVLEAFLCSSATAKRKFKVFAKLLGHYGEIAMNPSGSFLVEKCFAASNFSHKEAIVSELLAMQNELSRTRHAIYLLKKLDVDRYSRRPDQWRAAQTSKDATQREFQAEFGLNSKPIGHSIDQLLSPQSPTKKRKHKEKADKVTMDASTNKPDQFQKNTKRQKSAEAMFEGESSSKKLVSETTSTAFLNNSGKKKSSGFLSDKPSSKKQKHQRPNSGQSDGRRFVGDSVNTPFVRNNVKQKQSIAELAALAGKDKLTAGEVRKLLKPEISAKN >cds.KYUSt_chr4.5434 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31358657:31361562:1 gene:KYUSg_chr4.5434 transcript:KYUSt_chr4.5434 gene_biotype:protein_coding transcript_biotype:protein_coding MASAISAGPTPPSATALSVEWHQRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIVPKTAENFRQFCTGEHRKSGTPQGYKGCQFHRVIKDFMLQGGDFIKGDGTGCMSIYGTRFDDENFIAKHTGPGLLSMANSGLNTNGSQFFLTCAKCEWLDNKHVVFGRVLGDGLLVLRKIENVATGPNNRPKLACVISECGEM >cds.KYUSt_contig_605.579 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:2657092:2659260:-1 gene:KYUSg_contig_605.579 transcript:KYUSt_contig_605.579 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGSKNLEFKTGSRCSSFGLLNIVAARYYFSCRYESFTYMVSDDDEGHDASNFRIDSLIHIGLIQGGFGLVQSSCQLVARNDRSYQVSRSIGETRDRRYRERRVAADAPTPPVGPPRTKQEHRSRHPSDHQYRRGSSADLPSGGDHGWRTYHDGKEGQENDVKEGNSGKGNVMLPSWSSVLIEDGGDEAVAVSLAELRKGTVDFYIPRAPLDAGLHQEDEDDEALLLGLSVGSGVVGNGGARWLPAT >cds.KYUSt_chr4.43141 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267238568:267240948:-1 gene:KYUSg_chr4.43141 transcript:KYUSt_chr4.43141 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWQYLQNPPQYVREDKACFLAMVIWWTSPEYARKHEEGKQKRLEMGGGSHVLGSKNLALTLQQEEVKTGVTPNLFGLFQKSKTRREPHPETGSVLVNGLAEAQCGAYRSKFKAKHGEDADPTTEDFDVEVAVLAGQGKKGGRLWIADGLVDPKTIPSLRQIRRGRTSEQPRVETRPRASDLAVEKLRAKLNYRVYGISSGQDRSDHCQAEA >cds.KYUSt_chr4.1137 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5975477:5981092:1 gene:KYUSg_chr4.1137 transcript:KYUSt_chr4.1137 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAGDPLDSLPAAILADVLGRVADTGDLAACRLASRALLAASYHCPRVSLSAAARARRRRREGGGGNGGNAFRAAAANFASLIGPYLRSLAVDASDGHDFPDDAVWVEEGEFDEGDDLHLTSGESVASWAATAAGPALREVDIADFWPQSCWRKAEALPVISNFCHNLVKLKLKNAWLSVAGLKIMPNLTHLALEFIRLDDEDLSALNRCFPCLETLNLIGVGGLKDPKIDLPQLKTCCWEEEGWWGAKNPGASAPLAGRGGEERRSSPWVTLAFSGGLWPPGEVFFNKRILWVLLQAYLLRLPPPIRGRNGESEGGSLCIDGVGEESSAAWCFFSSSLRPLSCAEVVKDGGIDWVSRSVSQQWSWEAIFLSTTSAADGSRPTSKADPWPIQKPAKRSGEYSTSFVRPLLRSATAYYVPHTTSA >cds.KYUSt_chr4.53670 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332121128:332122231:1 gene:KYUSg_chr4.53670 transcript:KYUSt_chr4.53670 gene_biotype:protein_coding transcript_biotype:protein_coding MADQYKVSAAIVAESEKRSFVFKIHGYSKLKELLKNGECVASPHFSVEGHNWFLRFYPNGYLEYHGAFICLLLDAVDDKSYVNAEASDICVLGDDGLPAPSLVGTLFSSVHSTYLGYNILREELDQQSWDIMDEDGCLSIKCDLIIKKDIQSEETTGNQFVLVPPSDLHRHFGNLLESMVGADVTFHVGGEKFLAHKFVLAARSSVFNAELLGAMKESVGTPIVIHEMEPDVFKSLLHFIYTDSLPVLEMACNQGEARPDVAMASHLLVAADRYNVERLKLICEHKLCSRIDANMVATSLVLAQQHNCNGLKEACLQFLSSPSNLEAVVLTDGYEYLKLSCPSVLKELIARLLPVEMKAAKDIVMAI >cds.KYUSt_chr4.4025 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22996523:23000062:1 gene:KYUSg_chr4.4025 transcript:KYUSt_chr4.4025 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKAAPKGHGFFGLFDWGKSKKSKRRLFSGSGGYSPAQGSSVDGKETDGSVPSTPSHSVLGDAMSLKESSDQSCSSSVIDDEGCARRGPTVVARLMGLDSMPAASSSGPYPMSFSGQHAFQNNAHDELIGRSYVGMSSPHKMPSSPIDRFSMEALPPRFARRTLSVAQQKLFSPVKNPNHVSSRNAADIMEAASRIIGPGVDNSSSYRARDVGYSNDVRAFNPREIVRVQQMSQAAKKRDVSASSKPPSGKLSDGSSITSETTSFRVSQSNGGAPVAPRVKAVSRPSADFRATNGQGREGMSRSSRKLAIHTDPVHNMVERNGFNQQKKNRNNRVGMSSSSNVLVQNNRKQNAMGAENHSERQKLDSKSATLGQQQRNLHAPNVAPRKAEITRTRSENGTKGNRKGELQPANYTLRKQNSTSKTIPKPRRLPDEGMHSKKNQPGNKILADRSPRRVRHNIVIEEQSSFSTNKKKISTEIVSFTFTSPVDKSLSGSHLPNYSVQKRPAENWNSVSTSSNTSNTESDIIDGDCLRLLLEQKLRELTSGVRSPYSKPANGVRIYAPSPVLDDAASACDTSSIASTDYDRDSIQSFKDGKDEIPRSDLVSKNDQSSQSVKYDNDFIDQVELEHLHLSPHSTWEASLSTETCSSAESRTNANESRLFSSTEGAATSGSTHYGRVLEVEALSEYSDTASSITATTAEISPPESSSSCRMEMNFLREILKATSVRDYACSYLERFGSSDILDPHLFEELIGNFRISVGEEEGKYLRMIRRLLFDCTNEILSVKCAYYFSAGYSSWFMGMAVLQKLSPEEIYQEMNCLKVAEEWMVDELVYREMSGPLGSWVDFRTESYEAGKDITAELLESLVDEIVADLIYW >cds.KYUSt_chr4.28589 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179626163:179627388:-1 gene:KYUSg_chr4.28589 transcript:KYUSt_chr4.28589 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEKIDGVTFDYHVPSLVTAYLGLVHRGCIDQDDELDMLCSLQNATNLHLSRFPSIHKRKGQGIGLLPFNAQMGMGILMPRSPRPPLHQYGVPVYPASPSFPTSLLSSIVLLVVLRGTDPAG >cds.KYUSt_contig_686-1.578 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3517290:3519523:1 gene:KYUSg_contig_686-1.578 transcript:KYUSt_contig_686-1.578 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSALPPSSAAAAAAADPEPSCSEDGAREMDDEDLVEDLLATVNSARAYADFRRTQRKECHNLLRWLQLVLPLLEELRDSTPRLTEDAYRRLTLLGRAFAAARRLLRSCNDGSKIFLALESEAVLGRFRTVYEKMNSALDGMPYEELGISDEVMEQVELMNAQLMRCKKRTDTQDIELSMDLMVILQNNKDDERNADRAILDRLASKLELQTLPDLRAETVAIKKLIKERNGQQEESTKQIVELLNKFKAIAGIDEKNVLGGEVFVTKSLDKCPSLMIPDDFLCPITLEIMTDPVIVASGQTYERRSIQRWLDSGERTCPKTRQPLAHLSLAPNYALKNLILQWCDKHKVELQRREPEPVAEQDERPKEDIPSLVEALSSIHSDVQRKAAKKIRVLSKESPENRALIAGNGGIPALIGLLAYPDMKVQENTVTSLLNLSIDQSNKIFITKGGAIPLIIEILRNGSAVGQENSAATLFSLSMLDENKVTIGTLGGIAPLVELLRNGTVRGKKDAATAIFNLILNQQNKVRATHAGIVPVLLKVIDDKNLGMVDEALSIFLLLSSHAACLGEVGTTQFVEKLVQLIKEGTPKNQECALSVLLELGTKKQPLLVHALRFGLHEHLSKIAKTGTSRAQRKANSLIQLAKKCY >cds.KYUSt_chr3.32220 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202430922:202434753:1 gene:KYUSg_chr3.32220 transcript:KYUSt_chr3.32220 gene_biotype:protein_coding transcript_biotype:protein_coding MISISRAYGTQKMYIVYLGEKKHDDAALVTASHHDMLSTILGSKEEALASITYSYKHGFSGFAAMLTDDQAQDLADLPEVISVTPNQNHELMTTRSWDFLGMNLDQQPPNLDQQPPNKLLQRSKYGEDVIIGIFDTGIWPESRSFSDHGYGPIPSRWKGVCQLGQAWEGTNCSRKIIGARYYPAGLDKADQEANYMSPRDINGHGTHTASTAAGAVVEGVSLHGLGVGVARGGAPRARIAVYKVAWQTTKRVELSSIAVLAALDDAIHDGVDVLCLPIVLNDDSFGALHAVQKGITVVYGAGNSGPRPQVISNTAPWVITVAATKIDRSFPTAITMGNNQTLVGQSLYYLLKNESSSEFKPLVHGGSCSGEALNGTIINGKVVLCILENFGPTQDILPEIITNVKSGGAFGAIFALYTIDVLSSTDDCLGMACVIVDIDIGFQTATYIGSQRLPIVKIEPARNITGKQVPAPKVAFFSSRGPSVKYPTVLKPDIAAPGVNILAAIGDGYGFDSGNSMSTPHVAGIVALLKAVHPHWSHAALKSAIVTTASTKDEYGNPMLAEALPRKVADPFDYGGGSINPNAAVDPGLVYDIDPRDYNKFFACNMQKYKICNITTTLPGYHLNLPSIAIPELRRPIKVQRAVTNVGNVDAVYQSDIQSPIGVKIKVDPPTLVFNATQKVHSFKVSITPLWKVQGDYTFGSLTWHNEHHSVRIPIAVRITIQDFYADVA >cds.KYUSt_chr5.28468 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180279218:180279586:1 gene:KYUSg_chr5.28468 transcript:KYUSt_chr5.28468 gene_biotype:protein_coding transcript_biotype:protein_coding MEANRDEAVVASVPDLGQARLHLRVRRGRHRRRCLDLNLLLLHAASGAAATTLVPLLRTSTAAPPNEAGHRPNRGGTVPLAARSAGLLRGVLLQHLLSPGTGSSKLLLCCFGFDPGVGLHTL >cds.KYUSt_chr6.797 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5098627:5103570:-1 gene:KYUSg_chr6.797 transcript:KYUSt_chr6.797 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSFFSWLIGKYPNIVTPTIAAWKEDDDDEEEEEEDEEGEGGEEDEEEEEEEDKEGEEEDDDCIFDNLYLDMNEIIYKCFRMNNGLVYHWFFDYMDRLFLMVRPRKLLYLAVDGVAPMAKMNKLRQAYFKSAKHATDAEAEAILLTEIFRAKGKEVLPRDKYELEDHTVKMPGTEFMEKISVLLEYYIRKRLNTDPKWKDIKVILSDANVPGEAEHKIMSFIRAQRSMENYDPNTYHCLTYCARYNVKLRKRNGMKEALTVWCYPFYYSPLACDFKCLSQFKEDEMRRNNIRQERIFLSEIGGWFSPEEEFQTFASRRDQVNCSYIWPIDPDMSVSAMFFNPEAVKPISRLLEGVIVPDKTVTEADIRKRPLWHTYPGPRLPTVAHRPETLGQWKAMPREEHKSGGEGWLGRGRGGTAAVAEAQQIGSNSSYGRARGGGYGRGSRGVDMAQSPRLDSGGECVSRPGLAWAGGVGGPPALQRQQTEGRQVGLWARGGGNPMRRTAD >cds.KYUSt_chr2.13546 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85889712:85893034:1 gene:KYUSg_chr2.13546 transcript:KYUSt_chr2.13546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1-2 subunit of 20S proteasome [Source: Projected from Oryza sativa (Os07g0614100)] MASQGGVSESSSSGRGRAAETGPSYDRSITVFSPKGRLLQVEYALKSVKLAGITSVAVRGDDSVCVITQRSRAPVDPLLDTTDPAAFSHLFEITERLGMLATGMAAEGRSLAHEARNEAAGFRRKWGYEMPPRMLALCSVVFISSTVATIVGIDEEKRTPELFTCDPAGLVLGHKATSAGFKGLEAIKFLEEKMTGDRLPFESTIEMAVSAMRHVLEDNKEGHDIEVGVVRKSSPAFRTVLKRLHKYPQGAQSTLDQGGRRPLKSLLIHRPFIPLHLTEF >cds.KYUSt_chr4.22731 pep primary_assembly:MPB_Lper_Kyuss_1697:4:142990051:142993086:-1 gene:KYUSg_chr4.22731 transcript:KYUSt_chr4.22731 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPCSPLLSFPTHEENSFFPWSPQVALHENATIQVDHSTDQQQDNEFLDTMDQDYVGGWHHQDASDVGVFLDCDERILGQESGNLAAIQEELMEENSLTDLLLTGAEAVEAGDSALASAVFSRLDGLLPGIPDNASASSFDRLADHFAQGLRSRISSASVRCSLPEPLPSDRMSAQQIIQELSPFAKFAHFTANQAILDATKGDTDVHVVDLNIGEGIQWPSFMSDLARHGGISFHLTVVTTDADYRGDIHHESARRLSEFAHSLNLPFQYSSFCIHSDEDLHAFSKSCKGPVIFSCDTTSMPYKSMSKLQALLPACVKILRPKLVVIVEEELVRIGKEASVCNASFVDFFFEALHHFTTVFESLGSCFGGGNQGVCMRLVEREMVGPRIQDFVGQYGSVTVEASTPGVLERYGACELSGCNVAQARMLVGLFNRGFGVVLEKGRLELLVDDLWDWQVTKQSEFEFTVVFPSRATLRLATASGRLFLPLSEKETEIREAFLAPKPSLVLPSTWVRLSGVPEDLMTKERLMAAFVMVGRPIDVDELSILKHDREPIRMRFQGRFPDRMKGSIQVFVNGEGYTVTLQTEAEPRGGAGGSNGGPPPPPRRDHEDVDSDELSSDGEWNKHRKKSTQQEKEKGKDTVPGTGKGSGQAGARKVVSQSAPPASRVQGGSLDGLVQPIDQYGTNMGLAMGLDTPLPVGPVADKVPVMALGRGVLEATEGSLVSMETDSQVTDPIASWVAESPAMGGPPSKIVRMGSPAREPEADTEDWVVTDSEEEDGSRREGEHTPASKQDLVREAMVDAPLVQGKRSLAIPYARKKKAVVAAVRKSGRNGGAVAGATTLEKAQRLAAEKNLETVKDKAKGTDFSILDVLPDSHLSSVVRDSCLIFHPKTGVPGEALSLIRAKEAVQAALAETRHRLEAEEAARRAEAAAKAAEGSGDAGEGTSAPDISSAVATGEATCAGAPGVEYQGVDTPEVAGRVGRPRRKCTQSCRPVLTVRKGQTKRKGSK >cds.KYUSt_contig_2619.45 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000416.1:167990:174046:-1 gene:KYUSg_contig_2619.45 transcript:KYUSt_contig_2619.45 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Actin filament bundling protein P-115-ABP [Source: Projected from Oryza sativa (Os04g0604000)] GLEIWRIENFKPVSMPTSSYGKFYMGDSYIILKTTALKNGSFRHDLHYWLGKDTCQDEAGTAAILTVELDASLGGRAVQYREVQGNETEKLLTYFRPCIMPQPGGVASGFNHVEVNEQEHVTRLYVCRGSYVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGKCEVAAVDNFESAVEDGKLMADAEAGEFWALFGGFAPLPRKTASDETGEDMEAAVKLLCFNQGNLEPIGFESLAHELLETNKCYLLDCGAEMYVWMGRSTSLQQRKGASEAAEKLLVDDSRTKSHVMKVIEGYETIMFKSKFNKWPATPELKLSSEDGRGKVAALLKSQGLDVKGLMKSAPLKEEPEPYIDCTGHLQVWRVSGNKKTLLSSTEQSKLYTGDCYIFQYTYAGDDKEECLIGTWFGKKSIEEERASAISLASKMVQAAKFQATMARLYEGKEPIQFFVTFQSLQVFKGGLSSGYKNFIAENGLDDDSYSESGLALFRVQGSGSENMQAIQVDAVASSLNSSYCYILHDGNSVFTWIGNNTTSLDHDLVERQLDAIKSDLPSRSQKEGRETDKFWELLGGKSKYSNKKIEREQESDPHLFSCILPKEGTLKVKEIHHFNQDDLMAEDVFVLDCHSYIFVWVGQEVDAKVKTQTMDIGEKFLVRDFLMENLSRETTIFTVSEGSEPQFFTRFFTSWDPAKSSMHGSSYQRRLAILKGGAPPLHDKPKRRTAVVSGRTLGQDKSQRSRSMSTSPECHRLRGRSPAFAALTSSFEKPSTRNLSTPPPAVKKLFPKSAGPETSKQSAISDLTSSLQGPLKSTIPKSVKAGAEAEKAIQEEDGAGGNDEAEDDEGRTVYPYERLVTTSEDPAPDIDLTKREIYLSTDEFREKFGMTRAAFYKLPKWKQNKLKTALQLF >cds.KYUSt_chr7.9863 pep primary_assembly:MPB_Lper_Kyuss_1697:7:60366745:60392659:1 gene:KYUSg_chr7.9863 transcript:KYUSt_chr7.9863 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGRRPDFSSPGPSSAGGAGRRRLLRTQTVGNMGESIFDSEVLPSSLVEIAPILRVANEVEAANPRVAYLCRFYAFEKAHRLDPASRCHGVRQFKTALLQRLQRVTPPPQICHKLKLADHPIPQENDPTLKGRVHHSDAREMQRFYREYYKKYIQALQNAADKTDRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHYKIEEKRKLYVPYNILPLDPESTELAIMQYPEIQAAVYALRNTRGLQWPRDKENEKKPEEKKTDKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQILKPEQQSEQQSKLDDRALDTVMKKLFKSYKMWCKYLGRKSSLWLPTIQQEVQQRKLLYVGLYLLVWGEAANLRFMPECLCYIYHHMAFELYGMLCGHVSPLTGENIKPAYGGDDEAFLMKVVTPIYRVIEEEALKSKTMKSKHSHWRNYDDLNEYFWKNDCFRLGWPMRADADFFKTPKFAYPNRLNGAMIIIAWNGGTPSDIFDVGVFKKVLSIFITAAVLNLGQALDMAADSGGRDHDLNKRMGSDPYFSYAIRECYVSFKNIINTLVFGRREKVVIQEIFAVVDKHVNEGTLINDLYMRNLPALSKKLIELLELLQKNKEEDLGQVVILFQDMLEVVTRGIMEDQELGGVLDSINGGNSRKHETMTPLDQQDQLFTKAIKFPVVESNAWTEKIKRLHLLLTVKESAMDVPTNLDARSRISFFANSLFMEMPIAPKVRNMLPFSVLTPYYKEDVLFSSQNLEESNEDGVSILFYLQKIYPDEWKNFLERVDRKTEEEVREDETLEDELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDDLMKGYRATELMSEDSPLMTQCKAIADMKFTYVVSCQQYGIQKRSGDPCAHDILRLMTTYPSFRVAYIDEVEAPSQDRNEKIDKVYYSVLVKAAVTKSNDPGQSLDQVIYKIKLPGNATLGERKPENQNHAIIFTRGECLQTIDMNQDYYLEEALKMRNLLQEFLVKHDGARYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGISKASRIINLSEDIFAGFNSTLREGLVTHHEYMQVGKGRDVGLNQISLFEAKIATGNGEQTLSRDIYRLGQRFDFFRMLSCYYTTIGFYFSMMITVWTVYVFLYGRLYLVLSGLDNALATGKRFVHNTPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVFEIFGQPYRGAITYIFITVSMWFMVGAWLFAPFLFNPCGFEWQKIVDDWADWNKWISNRGGIGVAPEKSWESWWDREQGPLRHSGKCGTILEILLALRFFIYQYGLVYHLNITKQYKQSVLVYGFSWVVIMAMLLVMKV >cds.KYUSt_chr4.5293 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30500366:30503158:1 gene:KYUSg_chr4.5293 transcript:KYUSt_chr4.5293 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQASPPSSSWDWDAMTQEERSRIEQKLNMLVEMVKRLNGYLSTTPASPTDAEIVCHGEATTGALPTAFGGLTSAPDSSASLSSSSGIDASELVVPIDASDLTVLALTKCSTEGPSRNTCESGSPSVPEMVPTPPSATVDIISVLASTAASEEAVDGDAVTGVVSIPSVDGMHHGTHEEGLAVSLLFKTITLWQSSSTPGPQRRVMRILDEFIFELRPATMSCSVLGSSVSIKLSDDISLYGMLLDAFCMIREWVWLLQVPPRPPDASKSTGALFSVLLPFLPTPDPKPPWLLLGRALVGAYLRCELQKSTTYLNLDAAYTSFLCMVTQRTFRATILIDIPVHGKILRKKELEKSENYASDNLTNVLHVFRFQKWWTTPELDHVHCSEQLQWSSVLFVGESWIWLVAWDVDDLEHSTSCSQAPFQERRNVTNQDSFFGQVDVNTDYYLAAVNHVPFSNDLHLHKAYYFDPIYNNRKYNHEDYHGDLSELEEDHMLWDPGKSALQHLSETSAWGQTDFQEGGNVMTLACVSQTGPSTWAIGDGLGLIEPPGASVYT >cds.KYUSt_chr6.10552 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65332491:65335428:1 gene:KYUSg_chr6.10552 transcript:KYUSt_chr6.10552 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFGAVEDYWCGHGRDCSAIEEKVQDTCVGDNGCNLCLSPLSSNSSFYDQNEKNSGHKTVCKDNDIYVDNEEDTYPDKHYRHEYYHSTAARAGDADRIYKVPAAGSQKAEAACADPQVTPSSSSGATLQLSHRYGPCSPAPSTDEPTMAELLQRDQLRAEYVQRKFYSGDDALDQSKITVPTNLGTALETLQYVITVGIGSPAVTQKMIIDTGSDVSWVHCSSPNGSVLFDPVKSSTYSPFSCTSTPCTQLGEDGNGCSSSQCQYMVTYGDGSNTTGTYGSDTLALTTSETVANFNFGCSHKEEGFDDKTDGLMGLGGDAESLVSQTAATYGKAFSYCLPQTSRNPGFLTLGAPNSTSGFATTPMYKFENVSTYYGILLQDIAVGGDPLGISPAVFSAGSVMDSGTIITRLPARAYSALSSAFRAGMRGYPRAPTVSLFDTCFDFTGLDLANVSVPAVTLVFDGGVEVDLVYNGIMVAIGDDTMVTECLAFAATSSLSLIGNVQQRTFEVLHDVGQGVFGFRSDAC >cds.KYUSt_chr5.31203 pep primary_assembly:MPB_Lper_Kyuss_1697:5:197740501:197740997:-1 gene:KYUSg_chr5.31203 transcript:KYUSt_chr5.31203 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLALPASMLAGDSNGDGSDSATRFTAVVDSRRELLHENSRAAKLLRISLLEDAQFLNIVKWLNSEAGELATLPEDDGTASPLRLQGA >cds.KYUSt_contig_319.1278 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8514567:8515760:-1 gene:KYUSg_contig_319.1278 transcript:KYUSt_contig_319.1278 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPSKRSEQDPSDVSGAAALPTDGLYEILLRLPAKDLCRLRAVCRSWRSLASDPLFIKDHAARHTRPFLATSFVDPGEDVHCGVSIVDLCSGDVIKQIRTRDKDLRLQRTYLDRVCMVGGRHPLAVTALNPATGATVAPSADVSEEYADLLERRFVSMESCAFGKVPSTGEYKALRFLHVGSPVGSQHLCEVMTLDAGSNHVRWRPKPGPPLPVCSNNKITSVVIDGVVYFLFGFVDPCYRYLKTLFKPGTITPFNLETEEWMPTISGPESVTSYYMGANKITTSRSPEAVEHISITNLNGSLVIVHLVHRVSMDLWFLMDVERGLWVKKYSIRYRSHYRRAYPLVLLDDETIIFIMQSKDTLISYDTKTDTFTDMFNLQDYRSIAIYTGSLLS >cds.KYUSt_chr7.8987 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54648059:54651520:1 gene:KYUSg_chr7.8987 transcript:KYUSt_chr7.8987 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSMLLTVFFLLLLLSSTSVFSSASTETDDAGALLRFKASVTKDPRSVLSSWQHQQQQTTPGGGGGNATWCTWYGVTCDGEGRVARLDLAGCGLSGRTSFEALTPMDALRHVNLSGNAALRADAASDLPKLPRGIWTLDLSDGGLAGSFPDDMQLGHFYPNLTDVRLARNNLTGALPGRLLVPSTIRTFDVAGNNLSGDIAGVSFPETLVLLDLSGNHFAGAIAPSLSGCAGLQTLNLSYNALSGTIPESIGDIAALEVFDVSSNRLTGAIPGSLGACPSLRMLVVSSNNISGSIPESLSSCRALRLLDVSNNNVSGAIPAAVLGNLTSLETLLLSNNFISGPLPSTISACNNLRIADLSSNKITGALPTELCTPGAALEELRMPDNLLTGSIPPGLANCSRLRVIDFSINYLRGAIPPELGQLRALEKLMTWLNNLDGRIPAELGQCRSLRTLILNNNFIGGDIPVELFNCTGLEWISLTSNRISGTIRPEFGRLSRLAVLQLANNSLVGAIPKELGNCSSLMWLDLNSNRLTGEIPRRLGKQLGSTPLSGILSGNTLAFVRNAGNACKGVGGLLEFAGIRPERLLQVPTLSSCDFTRLYSGATVSGWTRYQTMEYLDLSYNALIGAIPEEFGDMVVLQVLDLARNNLTGEIPSSLGRLHNLGVFDVSHNRLQGGIPESFSNLSFLVQIDVSDNDLAGEIPQRGQLSTLPASQYADNPGLCGMPLDPCSDRLPRASMSGLTATAAPDSNNKWPLPRAAWANGVILAVLVTAGLACAVSIWAVVVRARRREMREARMLSSLQDGTRTATTWKLGKAEKEALSINVATFQRQLRKLTFTQLIEATNGFSAASLIGSGGFGEVFKATLKDGSIVAIKKLIPLSHQGDREFMAEMETLGKIKHRNLVPLLGYSKVGEERLLVYEYMTNGSLEDMLHLHHPAGDGGPLAAPLSWEQRKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDGDMEARVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSLGVVLLELLTGRRPTDKEDFGDTNLVGWVKMKVREGAGKEVIDPELLKAAIGDDEAEMMRFMEMTLQCVDDFPSKRPNMLQVVAVLRELHALPPLPLPATGACDGHGQDA >cds.KYUSt_chr6.10770 pep primary_assembly:MPB_Lper_Kyuss_1697:6:66723940:66726120:-1 gene:KYUSg_chr6.10770 transcript:KYUSt_chr6.10770 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVVDLAPYLQIAADGAAPADAAEEAVRALCATVSASLRDTGALLVKDPRCSAADNDRFLDVVERYFARSAESKRLQERPHLHYQVGVTPEGVEVPRSLVDGEMQERIKSMPDEFQPATPKGPDPKWRYMWRVGPRPSNTRFKELNSEPVIPDDLPEWKDTMDSWGAKMISAVEVVAEMAAIGFGLPKDAFSSLMKEGPHLLAPTGSDLERHGTEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMDVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTKRTLEAIALAKEQNRSLWRVSSTLFSHIASDATLKPLGHFAEAANAPNYPPTYAGEYVEQELSAINLKGKVAL >cds.KYUSt_chr2.16181 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101785725:101794215:1 gene:KYUSg_chr2.16181 transcript:KYUSt_chr2.16181 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEAVAGGAECCYYTTDDALSHVGFGRFQALVLAYAGVGWTAEAMEIMLLSFVGPSVKDEWGISAQQEGLITSVVFAGMIIGACLGGVISDSYGRRAGFLFTAVVTGIFGFLSALSPNYICLLTLRFVVGMGLGAGHVLGTWFFEFVPAAKRGTWVVVFHCTWTFGTIFQALIAWAIMPVLGWRWLIALSSSPCFVLLIFYGVTPESPRYLCSRGRTADAKLILERIARVNNMSLPSGILIQQKVSDNGVDVETILPLITSQDSDATDMSISTKSSFTNAFRTLVSRSLIRSTLLLWFVYFAFSFAYSGIVLLTSELSNGERRCAPVGMPLRQQNDVRLYRDVLVTSIAAAAECKMDSKRGRSHSPVELKDDRTKESGSYGRKDNSRELQNDSSHARPGRGHDFVRHSDRHSYGASRESRRHDDYRRYHDKRAEDNDRSYSRTSRYGGKSVKREQRSKNQEKQESPRDGDRDADFKRETYSARRYPEEKNKEKFKQEDGLKKRSGKEIEKSSNPTEPELETREKRRSLFSSVGPDVENAQHMEIDTSGGIRDGALGDLDAAKIAARKAAELVNKNIVGLGFGVGTGRLSTDQKKKLLWGNKKSNPPESSTHWDSNLFSDRERQEKFNKLMGVKSSASASVQESKAGNKEEAPVEGKKQEELDTDLEKLYVAGLRRRDGRTVGLGL >cds.KYUSt_chr7.6055 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36274805:36275296:-1 gene:KYUSg_chr7.6055 transcript:KYUSt_chr7.6055 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIERRALPEGGSGLVITYLRGRRSPSSVYRLFSTSWSPGVVLLHLPHETEPELAAPALPELAPASPSLSRSTPRPLTAAPARSRSGARSRHDGEIREAALPRTAVVAPPGTAPAWSPRRSVPSVRCRRAGEKEIAIGEERGGEDWGRPRLHADLGFHRLPW >cds.KYUSt_chr4.27742 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174250839:174259664:1 gene:KYUSg_chr4.27742 transcript:KYUSt_chr4.27742 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSSPPTFFPLSAPAPRLFPNSPATRRAKPKSNTRKPPSLCCAAATSPSPSPSPSPTTAAAAAKAGSWRDVCSLNAWVVGDYRRLVASVNALEPQLRRLSDEQLKGKTEEFRARLGRGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLTVGLIQAGMKSDERRANYMCDITYTNNSELGFDYLRDNLSRKKEQLVMRWPRPFHFSIVDEVDSVLIDEGRNPLLISGEDNREAARYPVAAKVADLLMEGAHYTVELKGNNIDLTEDGVTYAEMILGTNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKAFIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATLRGKWQYVREEVEFMFQLGRPVLVGTTSVESSEYLSDLLKSRNIPHNVLNARPKYAAREADIIAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIVEDNILPFLSHDTPDAETEGESTSHKGLSKIKLGPSSLALLAKAAIMAKYVHKSESNEWSFQKAKSTIMESIEMSNTIGMEKLQERVAEVTEMYPLCDAIALAYVTVLKDCEIHCFAEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVGLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHIYSLRQVILSGDSESCSEQIFQYLQAVVDEVILGNVDPQKPPKTWNLAKILDEFVSLGGNLLTETFKETQDEDLQSSLEQILRYGSLEVDNFALPNMPVPPNSFKGIRKKTSSVMRWFAICVDDTSKKGRYTNTVNLLRKYFGDFLIATYLEVLQESRYHDAYIRGIEREVLLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVEALLHYWSSPMESEEIFNTTDQ >cds.KYUSt_chr5.37856 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239135425:239140583:1 gene:KYUSg_chr5.37856 transcript:KYUSt_chr5.37856 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPVSWDLAELEHDAVPAIAAPAPVVAASSIVSAARGPPSRPECSVDLKLGGLGEFGAAPNGGAAMKEPAARGGPVVPVSAAAAAAVMPSASPLKRPRPGAGGGHCPSCAVDGCKADLSRCRDYHRRHKVCEAHSKTPLVVVAGREMRFCQQCSRFHLLVEFDEAKRSCRKRLDGHNRRRRKPQVDSMNSGSFMTTHQGTRFGSFPAPRPEPSWSGIIKTEDANPYYTHQAVSNRHNFTGSMSSSYSKEGRRFPFLHEGDQLSFSTGAAATLEIPVCQPLLKAAVAPLPPPESSSSNKMFSDGRLTHNMLDSDCALSLLSSSANSSSIVDVSRMVRPTEHVPSAVAAQQHLVPNLQHFGSSSSSWFACSQASNGSGFAFPSMGSEQLNNTTHLVPSSNDNEMNCHGIFHIGAEGSSDGTSPSLQFSWQ >cds.KYUSt_chr2.54021 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337227899:337228144:1 gene:KYUSg_chr2.54021 transcript:KYUSt_chr2.54021 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSVGAVETLKDQVGLCRWNYALRSIHRAAKAKASARAAVSQGKKQLPSSAAAVAEKRRVQKAEEGLRTVMYLSCWGPN >cds.KYUSt_chr7.23057 pep primary_assembly:MPB_Lper_Kyuss_1697:7:143235181:143238288:-1 gene:KYUSg_chr7.23057 transcript:KYUSt_chr7.23057 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDEERAAASKHHHRDKDKKRDHSSSSSSRRHRDKDRSSSRHHRDERDGERERDRDPDRHHGGKERDREERKAREKEEKKERERERRREERDREKEKEKSRRREAVDEEENEDRDRKRRRRSSRHHHRDAEPEAAPLTKAEEEDDGEEAQMRRQKKKEEDMEAEQQQLDDEMERRRRRVKEWQEKKRREQQLQDGSSGAAATADADGGGESGKKWTLDGEESDEEDASKLDEKKSEENAGSGAMDVDTPNQGNDGNSGADMEEDEIDPLDAFMNSMVLPEVAKLESAAPAMEIVLVANAGGMDDKDDADKKALVKGMGRIMQGDDSDPDYDDSDNNEAGSDDEDDAEFMKRVKKTKAEKLVIVDHSKIDYQPFRKNFYIEVKDITRMSAEEVAAYRKLLEIKVRGKDVPKPIKMWIQSGLTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGVAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVLQIYSDIKKFSKVLGINCVPIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVSFLVLDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEVLMGGRSVVNKDITQLVEVRPDSERFFRLLELLGEWFTKGKILVFVHSQEKCDSLLKELFQHGYPCLSLHGGKDQNDRESTLADFKSNVCNLLIATSVAARGLDVKDLEIVVNYDVTNHYEDYVHRVGRTGRAGRKGCAVTFISEEEERYAPDLVKALELSEQAIPEDLKALADRFMLKVRQGTEQAHATGYGGSGFKFNREEEEARQSARRAQGRAHGYEDNSDSGSEEEGGVHRQGDDVAAQSLAAAQAAALAVARAASIANQQATAAGSLLPLLVTPNQPNNDATERALDAARNLAQNLARIQGHAVPEHYDAELEINDFPQNARWKITHKDTLGPIQEWTGAAITTRGTFIPQGRIVGANERKLYLYIEGPNESSVKKAKAELKRVLEDCANHALNLPGSAQTGKYVV >cds.KYUSt_chr1.34424 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209601806:209606840:-1 gene:KYUSg_chr1.34424 transcript:KYUSt_chr1.34424 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQIGRGAFGAAILVNHKIEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIITGYCEGGDIDELMKKLNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDHDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLEQASEIMKNPYLQPYVDQCRALYDASNPVRTPQKSLSTSRSSQRSMSESQSSSISSSDIDSTLSSDRSTSGGAVSTDIKVADTRSIHDADRDDSEEKCTTPEDLRGNKDTSYVQLKRQDSSKSIDHHPRGESKQPKIIEKIMTTLREESRLRESSTPARTRDAKLSSAVSNKKEADQSSDTSRFHNNASSRSKSGDNSSPGLTNTNNGCVNSVQASPPLKQLLPIVEYRSNAKTARSSTPEPAKQAAENGSVVSGKPKIKTPPSASRRSSPQRRAGVGMTSLPLTLQKGAHTKVMAEGEKSQFQPAHSPGSIPVGLTPNARVSKNPSEGLNIMLDNSHARSAPRELFTVATKEDTSPSTSSTVGCVEKFDQPELSEPHIPACLISSPTGSVPGDTALENNDLTAIPCSKVYTDNVQKGVFTNDNSSLITALDPSIPSSEPDFVFKDDLQSSKQVQNLATLQSGEDKFTVQELLSSTPTCADSFVSTSEDTMLERGPNSTQSMKNQTGSQLNPLVDDIVQNIRHVNLNVSNEQPIPDNVQREAHNMDVSKLLNVVRKDLDVRSSSCSPNTLAATLPPSAASDLHVSDGNIACKIPAILDAAKLAAGVKEETSPAKEMLDVTSFRQRAEALEGLLELSADLLEDNRLEELAIVLKPFGKIKVSPRETAIWLARSFKGMMNDESASRMST >cds.KYUSt_chr7.22112 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136929944:136934421:-1 gene:KYUSg_chr7.22112 transcript:KYUSt_chr7.22112 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKHFIRGGIAQDLRADGRTRLQFRAVTVETGIIPQANGSARVRMGATEVIASVKAELGKPTILHPGKGKVSIYVDCSPTAAPMFEGRGSEELSAELSVALQRCLLGGKSGAGAAIDLSSLIVIEGKACWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLNAETDGEPEFDISDEDFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRLGQICGMTKRGGAGLDPSVIFDMISVAKHVSQRFISLLDSEIAAAEAADAE >cds.KYUSt_chr2.9122 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57318276:57318539:-1 gene:KYUSg_chr2.9122 transcript:KYUSt_chr2.9122 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSKRPSLRSTVSSASRGSSAQSLVTSSGAGGRSSRWDEEEEEEEEEEAMAIRLWRTTLRCAAVPTRRGNSRSIAEAPCQRHQVLL >cds.KYUSt_chr3.28317 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176675102:176679741:-1 gene:KYUSg_chr3.28317 transcript:KYUSt_chr3.28317 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVSGQWTRLRTLGCGSSGAVVSLAADGASGELFAVKSAATADAVPLSREHGIMTGLCSPDVVRCIGGGHRDGSYHLFLEFAPGGSLADEVARNGGSLEESAIRTYAADVLRGLAYVHGMSLVHGDIKSRNIVIGADGRAKIADFGCARTAGSDRPIGGTPAFMAPEVARGEEQGPAADVWALGCTVLEMATGRAPWSDVDNVLAAVHRIGYTDAVPEVPAWLSPEAKSFLAACFARNARDRGTAAELLEHPFLALQEGDAKAGRWVSPKSTLDAAFWESESDEEADEEEEISVNASERIKSLACSVSALPDLDSDEGWIEVLGGERCDEARDSPATKEPADVASTAPGKAIKSAAVPAEGVAVVGGLSSDEQMDAEDQPPFGGDILADDRSTDHLAMVKKKNPSAAASSTSGGAAARSSSIPSKEGAPSVPPPAPAPPAPPSSVARPGDWIASTVTKRDEKRSRSLGLISSDEGNVIFPGAVSRPNPPAGFTVMFLSFLYRGLSLPAHEFLLHLFQTYEIQLWQLTPNSILHVAVFITLCEAFLGIEPHFGLWKKIFYVKRYSSSNGSFVTGGVGFVARSYVNYFNFPMRESVQGWRLKWLYVKDSLSPESQLPCFVDVLEAKPKNSWKNILSPDEKVAADELFAKFLRIKEADGQTMVGTEVAAVFLKRRVQSVMARVHPMWSYSGPKDETRINAAELSEKELLDEVRRLTFFSQEDLIPLTSSYTPFDTEHPPPEVAIAFGDSHDSPNDISEGRGSSVPIDFQTVDHISPESDYNDPIDSETAHTNLPPTADGACDTEGSVRDDDADRDAFVNAAVEEARAPPAKRSIGGFADEDDLLDM >cds.KYUSt_chr5.27238 pep primary_assembly:MPB_Lper_Kyuss_1697:5:172408219:172411401:-1 gene:KYUSg_chr5.27238 transcript:KYUSt_chr5.27238 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSTYVSSESSWWGGKEEHGTPVVVKMDNPYSLVEIDGPGMDSAEKARRKNAKQFKWVLLLRAHRAVGCVAWIAGGFWGLMGAVNRRVRRSRDADAEPDAEASGRGRHMLRFLRAFLLLSLAMLAFETVAYLKGWHFPRNLPEEYLRQLPEHVLLNLPEHLRHLPENLRMPERKEIQGFLHGAYVAWLDFRIDYIAWAIQKLSGFCIVLFMVQSVDRIVMCLGCFWIKIRGLKPRLPAASTKALSKNADDLEDGDADDLDAYYPMVLVQMPMCNEKEVYETSISHVCQIDWPRDRMLIQVLDDSDDVTCQMLIKAEVTKWSQKGVNIIYRHRLSRTGYKAGNLKSAMACEYVKDYEFVALFDADFQPNPDFLKLTVPHFKGNPELALVQARWIFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLNFFGFNGTAGVWRIEALEDSGGWMDRTTVEDMDIAVRAHLQGWKFIYLNDVKVLCELPESYTAYRKQQHRWHSGPMQLFRVCLPAIFKSKIPFWKKANLVMLFFLLRKMILPFYSFTLFCVILPLTMFVPEAQLPVWVICYVPMIMSVLNILPAPKSVPFIIPYLLFENTMSVTKFNAMVSGLFQMGSSYEWVVTKKAGRTSSESDMFALAEEADTLARPAGAKLVRGVSEGGLEAWAKMHEHDKKDLQLQQADAQEEEAKSPPAKKMSKQPKAPNRIFKKELALASLLLIAATRSLLSAQGLHFYFLLFQGVTFLAVGLDLIGEQVS >cds.KYUSt_chr3.43646 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275382571:275383089:-1 gene:KYUSg_chr3.43646 transcript:KYUSt_chr3.43646 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSYLAMSSFSYQKLKKLPPPPPSPHHELADQAGAHYAVAASLDHYYRHAAAAIVARRTSWRRRRASSSSFSSGRRRPARRLRISSLARALRRKAAAVGGRVRAKVAKVVGRLREGGPLVGDLFAGNYMFLQVTPSAPMDRGSFLPYYLAVKGKGAVAGAATVQGLVRA >cds.KYUSt_chr4.22231 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139700144:139709944:-1 gene:KYUSg_chr4.22231 transcript:KYUSt_chr4.22231 gene_biotype:protein_coding transcript_biotype:protein_coding LMWLITICRPSRKYSSFPFWTSSFDEGWYSDPGCKWEAWASDHLASFGSHVHGKFLLLQFIVFAECLLTSIKSLDSDLWSVSWWLCRLSMSQQNILDELSSSLFDEVQVHKNKMLIHFGELETVSSYWGSFLIDGEGSSLVSAALLEAGLAENMYGLVDASRLHLDSAQEACGIHLSLTGILGFRTIHQVDAKSQMVLVPKTTKLAGDVVQSAELTRAQSDVVALKKTRSSVPDESDEFCDILRTPSLAQNVTDSNSESTQIQLTPVQQAVVLAQCLHVSRRSRGDEMSGWEMAPYIESIDSQDDSCFV >cds.KYUSt_chr6.31745 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200573550:200574437:1 gene:KYUSg_chr6.31745 transcript:KYUSt_chr6.31745 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGGVSDGYEGSKRPRMMESNPYFAVNAGSPLDVSKRARMMEPGAPYFGGAMGSNAGGSGAGFYPPYSANLAGAGVNTSLQSFPGVRLRGLPFDCEDLDVVKFFTGLDIVDILLVHKNGRFSGEAFVVFPSNLQAEFALHRNRQNMGRRYVEVFRCKKQEYYVAIASEVSQGGGFVDSEYRHSPPPPVRPRKPAEDKSSMEYTEVLKLRGLPYSATTEDIIKFFVEYELTEENVHIGYRSDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTLEEASRAKSRGRQ >cds.KYUSt_chr2.944 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5510967:5511615:1 gene:KYUSg_chr2.944 transcript:KYUSt_chr2.944 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIIVKVDLGCRRCHAKIKKVLDRIREKGEFVIDDIEYDEKKSRVIVSGPFDADKLADKLCCKACNIIKEIEIVEPDKKEELKPPGPEDKVKEPPPSPTKTKKHKPPPPPPPPPPTKVVEVPYPCPYPYPLPAWPSGCCCHHGHGGCHCCSCARPDPPPAPAPQYIMLPSYPCGGYMMVCDEDPSGPCTIM >cds.KYUSt_chr2.45559 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284176903:284180238:1 gene:KYUSg_chr2.45559 transcript:KYUSt_chr2.45559 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAAATKLHLSSFARRPTLHQLAAVAVLCSLSYLAGVWHHGGLTSTSTPAPSVSIATTAAVTCASPSPALPNRASSSPPLDFSAHHTAEGIEAASTPTPRAYQACPARYSEYTPCEDVERSLRFPRDRLVYRERHCPSGGERLRCLVPAPRGYRNPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPHGASAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLPYPARAFDMAHCSRCLIPWQLYDGLYLIEVDRILRPGGYWILSGPPINWKKHAKGWQRTREDLNAEQQAIEAVAKSLCWKKIKEVGDIAIWQKPTNHIHCKASRKLIKSPPFCSNKNPDAAWYDKMEACITPLPQASDIKEVAGGELKKWPQRLTAVPPRIASGSIEGVTDEMFKEDTELWKKRVGHYKSVISQFGQKGRYRNLLDMNARFGGFAAALVGDPMWVMNMVPTVGNSTTLGVIFERGLIGNYQDWCEGMSTYPRTYDLIHADSVFSLYKDRCEMDTILLEMDRILRPEGTVIIRDDVDLLVKIKSIADGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLGDEKQ >cds.KYUSt_chr5.15895 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102507758:102513789:-1 gene:KYUSg_chr5.15895 transcript:KYUSt_chr5.15895 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIDECSLALAPELEHAPANPDPSSISPDAWEPFEAAALGVMGRIQPTVLSEARRAAVVDYIQRLVRCSVGCEVFPFGSVPLKTYLPDGDIDLAAFGSACSDESLANEVRAVLESEERRKDAEFEVKDVQYINAEVKLVKCFVQNIVVDISFHQIGGLRTLCFLEQVDQRFEKNHLFKRSIMLIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHESLDGPLAVLYRFLDYYSKFDWDNKGISLYGPISLSSLPDLVSNFYRIRSAFDLGARKLGKILQAPVNSVVDEVNQFFRSTLKRNHSRVRPDVQDTAGNLNIERDNNACSTLYSSSFGDLSDQFNSISISDSSNHESVKQEEHKAEYQEKSASGLVTNPSISIMNGMSTKGCKEVDGDGDDHGIPSPLKISTPSSHAPRDNIHGSGFVQQPDSKLEFGTLGALPVRSASQDQANRTNSAADSKPSAPMSSRSPAHNPGAGYRSIRTRDTKPYHLKDNGDFPPLSS >cds.KYUSt_chr2.12979 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82244032:82247414:1 gene:KYUSg_chr2.12979 transcript:KYUSt_chr2.12979 gene_biotype:protein_coding transcript_biotype:protein_coding MALATNAAAAAAAAAALSSTAAQPRRAGFLPLKRRTISAVHAADPSRNTSPSSSSIPAAAKTSSPTLAPEAPAAPAAAWAVDSWKSRKALQLPEYPDQAALDAVLQTVETFPPIVFAGEARHLEDRLAEAAMGRAFVLQGGDCAESFKEFNANNIRDTFRVLLQMGAVLMFGGQVPVVKVGRMAGQFAKPRSGNFEEKDGVKLPSYRGDNINGDAFDVKSRTPDPERMIRAYAQSVATLNLLRAFATGGYAAMQRVIQWNLDFMDHNEQGDRYRELAHRVDEALGFMTAAGLGIDHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPAELVKLIDILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNSGQIVTWITDPMHGNTIKAPCGLKTRPFDSIMNEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLGDRYHTHCDPRLNASQSLELAFIIAERLRKRRMQSGLTNNLPLPPLAF >cds.KYUSt_chr5.15598 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100738557:100740328:1 gene:KYUSg_chr5.15598 transcript:KYUSt_chr5.15598 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPTSVTNVFGNWLHGIDPRLPTDVQVFSVYVYLFSEWRTENCLRRSRPRLRFEVSFWASKAEAMTSIVYCQEVVMSFLMKLAGGCPSLADQLNVDAFLEQARSYDKASSNPVGWYIRLDYDPMK >cds.KYUSt_chr2.8330 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52471473:52474929:-1 gene:KYUSg_chr2.8330 transcript:KYUSt_chr2.8330 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSFPCLCLGGRNKKTPPAPATESAIHGVAAFSFKELSAATRKFRKDYLIGKEEGLYKAYLKSVNQVVAIKIQVVVDNSRSTEQGNSREEFLSHVRMLSRLRHRNVVDFIGYCADDHHRILVHEFMPLCSLQDHLHDSDPSRDKAARLDWNSRMKIAVGVSKGLGYLHEHGVVYRGMQCSNILLGDGYHPKLSEPGMAELGRPQVDIENTQCLKRVAFGYLAPETTITGWLSMESDVYSFGVVLLVMITGRRAFDSSPAVKGEPRLAAWAQPLLEDSSKFPGMVDPALEGRYALAGLNRAMDLVSTCIREHPAMRPSIGTVIEALTHIADSPAEPLGTSTSLIGEK >cds.KYUSt_chr7.22105 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136880203:136883740:-1 gene:KYUSg_chr7.22105 transcript:KYUSt_chr7.22105 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDDEQEDAGMDGDNAEDEAEDVCAPEDLSLLEAFKAGIDLDADEPPPGFIDDYWFAEPDDDEETRVWNAPPDYEYVPALERLRPRDRRPYRRGRTQLPALKHWRYSHVVLVPYGRSSFTYEDPSQKPPRGYSNILGGLLRRYFPGIVNLPSGGCDVAWRWWHYSLAEDALGRGTLADCVVGKFWKYFKKAEGKENVCDDVLHQLASKRVTGMHYEARVQCVRDWHADRFIHMTKEDARDTLMQPWQYMQNPPQYVGADDRCFLAMVMWWTCPLYLKKHEEGKKKRAEMRGGSHIQGSIPISLHLQNEEVRTGAKPNVFAVLKKMKQRKTPHPKTGSVWVNPQSETQCTSYVSKFKQKYGEEANPEAEDFDPEVAVLAGEGLKHGRLWFGDGVVDPATVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLRAEMAEKEQAAQEHARNMERQILEYQQQQTQMMLQMQQQQAQMSWLMSQTALSSPPGSIPAPPPYSMSWMPPPHTQSPGTPLTVNNLNIIRSMNRAKGGARQRRSHGKDKRRTAKSRRTAAPLPHGKEIAHGSIDSARQRWQRTAKGFAVQSLHAHGKGGVAVDGFAVRSRTAKLLPCV >cds.KYUSt_chr7.3037 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18063485:18064432:-1 gene:KYUSg_chr7.3037 transcript:KYUSt_chr7.3037 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVAEILQILWQGGREKEVERGQTVQEDEFLDALGGEGVEPGREQVLELLHVATGEVDAAERARVRVEDAGDGRGDAPRVDDDMEDVGVDEDERGGAPDPALAAGERSGARGLQDGEAGYDVAEELVGEAPDAVYAIVGEEEESRASTEAGGSGRAQWASESTRAKSASTSSGVVL >cds.KYUSt_chr6.17078 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107610616:107616144:-1 gene:KYUSg_chr6.17078 transcript:KYUSt_chr6.17078 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLGRLASRALSVGGKWQHQQLRRLNIHEYQGADLMGKFGINVPKGAVVGSVQEVKEVLKNVFPSEKEIVVKSQILAGGRGLGTFKSGLQGGVHIVKAEEAESLAAKMLNQVLVTKQTGPQGKVVGKVYLCEKMSLVNEMYFAITLDRQTAGPLIIACGKGGTSIEDLAEKYPDMIIKVPVDVFKGITDEDAGKVVDGLAPKTADRQSSIEQIKKLYELFCKSDCTMLEINPLAETADKKLVAADAKLNFDDNAAFRQKEIFALRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGLTLITAEDLDDAAEKAVKAYAK >cds.KYUSt_chr1.41492 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254476222:254477328:1 gene:KYUSg_chr1.41492 transcript:KYUSt_chr1.41492 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLMVQAYKGYLKAFEGIIERSPGIIREISTEGDESLMPFTGQSKDMALIRNLDHHCYSLSKLIDSCGFQMMGQLRSMRDGFGPSEPL >cds.KYUSt_chr6.1185 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7460480:7461298:1 gene:KYUSg_chr6.1185 transcript:KYUSt_chr6.1185 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSLCADVDKTLAPVVAGLTGHGPPRAEVARLVSLGRPIFRNRSLPSNLPYYLSLFGSYENLLTLLNQSPHLLGYSLEKVVKPNVAFLRECGLGDCILSKVHRYTAQILGAKPERLPAMLACAQGLGVPRGSPMFKHVLYAVAMVGEDKIAAKVDYLKETFGWSDAEVGIVACKTPQLLQRSKDTLRRLSEFFISELGLEPTYIAHRYYAVKFLKKNGFVKCFPSYYTIFLRTDKVFVERYICPHMEAAPHLYEDYVAACKGEVSTRFLSA >cds.KYUSt_contig_815.50 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:307058:308148:-1 gene:KYUSg_contig_815.50 transcript:KYUSt_contig_815.50 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEVRMVPGVRMVPGVRMVPGVRMVPEVRMVPEVRMVPEIMMVLEVRMVPEEGMDLVLTGPYRMLEAYSTLGLEVFTADDDEGSSTGRIFKRWDVAWPEEVEEFTQTIYGGLGRKLEVTYLVIPEAIETHVEVRLNLKDLGSKSRAVYGSVKSIAIDYGSKSVHLFSCERGKSLSLPCGSTCILPLEPHMIALPYLGHFDLQIEVDLRVITTCDSQEEDKNLKFCLDCSRRIRSEERLEHRLRIRSQKREVDGDQVEVNVIWHLERTF >cds.KYUSt_chr5.22318 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145707801:145712578:-1 gene:KYUSg_chr5.22318 transcript:KYUSt_chr5.22318 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMAAVVSSLRALPLTVLVPLVASALAFVVTVLRRVLRRQRPVYLLNYSCHLPDAERQCNLEVCEYFGLRSGRYTDETADFMRLIFRKSGLGQETFAPPFIFSGKFEKTQAFAIQEAEEGLFTVVSQLLAKSDVHPRDVSVLVVACSMFSPKPSLASMIVRRFKMKDDVKSYSLAGMGCSAGTVGIDMAARALRVQRRPGYALVVVTENTSLNWYFGNNKHMLVTNCIFRVGSAAALITDVPARRADAKYELLRTLRTHHGADDAAYNAAVQMEDEDGMVGVALTKDLVRVAGAGLRQHIATLAPYVLPVSELLRYVYRVAWAYSGGNPKAAAALVPDFQRAFEHMCIHSGGKAVIDTVAKLMGFGPSVVEPARATLHRFGNTSSSLVFYELAYFEAKRRVRAGDRLWMLAFGTGFKACSNVWRALRDAAPDADNPWNGCAHRYPAALPVPTPRRANYQPQQHEQPPPPPPPQQQ >cds.KYUSt_chr6.540 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3338761:3340253:-1 gene:KYUSg_chr6.540 transcript:KYUSt_chr6.540 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMKLGDHMASRSPIVHLRTGAPPPVELLSSPSKPINHLTSAVAIAGILRRRRPSLNPADGRPAVGSIGRRSARIAYCGLFALSLLASRVLHEVVAPLLRSIPRINHYSKTPDREWLRTRRTRATRSTTRVDGQDLCWVLIVFLMFFVPNEVVSFYGASADTLVLAAEGKYFSNSFDQAWARKVPCHLHASMSEGFRGLLANLLALPCRPSRPSRATPPPGARRLSDSRRRVRAGLSASRRRCHSRC >cds.KYUSt_chr4.14824 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91452588:91456777:1 gene:KYUSg_chr4.14824 transcript:KYUSt_chr4.14824 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEDGRIFVGGLSFHTDERKLSDAFRRFGKVVDAQIMLERHTNRHRGFGFVTFSDPRAVEEAINDMHNKELDGRTISVNRAEPKMNTDDTRYTSGGGGGERGDYRAPKGDGPLPGNCFECGRSGHWARDCPNPGSGRSGRFPSKFSGGGGGGRGDRFSGADRFGDRYGDDRYDSGRYGYRDAVDSRDRYDGGRDRYASDRYPAGGDRFGADRYVAAPDRYQPSAGYGRERERSYERDPVRGSGAYDRSGPRGGASYDRDGPRGGISGGYDRDGPRGGGADYGSGGPARYDGGSYRERPGPYDRPSRGGGGRYEDRF >cds.KYUSt_chr1.33505 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203628823:203632130:1 gene:KYUSg_chr1.33505 transcript:KYUSt_chr1.33505 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVLLSSHLSSHAIVNTRFQQGSVSLNSVGFAVIRKGCLRLRCYAIGDEGSLNDPLKENKNGPILEELNGSAASFRTVGAEITQETGDFFVSDAEGDPDKPTEGFSSIEDALNSLRKGKFVIAVDDEKGDNEGELVMAADLVSPESIAFMIRNGSGIISVGMKEEDLERLMIPMMSPVTEIGDISAAASTVTVDARVGISNGVSAADRAKTILALASPDSKPTDLRRPGHIFPLKYRNGGVLKRAGNTEASVDLVTLAGLRPVSVLSTIIDPKDGSMAGTPMLKQMALEHDIPIVSIADLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHIAVAKGDIGDGEDVLVRVHSECLTGDILGSARCDCGEQLDLAMQLIEKAGRGVLVYLRGHEGRGIGLGQKLRAYNLQDQGSDTVEANVELGLAIDSREYGIGAQILRDIGVRTMRLMTNNPAKFVGLKGYGLAVVSRVPVISPITKENQKYLETKRTKMGHIYGSDLPGGLLKEFLNPTEDNTTS >cds.KYUSt_chr2.45860 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286210401:286216156:-1 gene:KYUSg_chr2.45860 transcript:KYUSt_chr2.45860 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDYEEISDDEWSNHAFKPSRVLKRPHPQPPAAQPPPPIDSFRYDPKASSAAGTSKTAAVVLSSSDDDDFDLRKDVSSRRVESSSRALKRPQQISPPRRATLPVKPSPRRNPKPSKAAGSSDDDFDPEDDDFDLPTSRKSRRRTAGRRLATATVDLSDEEEDLDLTFEDSDVADDDSDLPDDDSDRPAAPRPSRPRRTAGRRFVVEEDDDSDGSVPAGVVQVGDDGEDDGVNWSELENDDDEDGDYSGQKSGKAEEVEGDVVGKALRRCSRISFDLRRELYGSSTRNCESYAETDASTVRIVTQEDVDAACTSEDMDFEPVLKPYQLVGVNFLLLLHRKGIGGAILADEMGLGKTVQAVTYLNLLQHLYDDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGTGRTAYSKELSSLGKAGCPPPFNVLLVGYSLFERRSAQQKDDRKALKRWKWSCVLMDEAHVLKDKGSFRWKNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDVDLKKLLNAEDRELVSRIKSILGPFILRRLKSDVMQQLVAKTQHVKSVSMGSEQRKAYNDALNDYRAISLARSEKSSIPKRQISNYFMQLRKIANHPLLIRRIYSDKDVDRIGRLTYPKGAFGFECSLDRAIQELKNYNDFAIHQLLVTYGDTGTKGALKDEHVFGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWALELIGVTYRRLDGSTPVVERQTIVDTFNNDHSIAACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVAKDSVDEKIYEIAKRKLVLDAAILQSGADLDDRNDVPEQTMGEILASLLLV >cds.KYUSt_chr6.23232 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146754392:146756144:1 gene:KYUSg_chr6.23232 transcript:KYUSt_chr6.23232 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRAGPIRLRLAEIAGRRARRPLGPPAPTETFSASAPASSSLHYNLGTFRDSIGAPPSRSLSTGGGGGGRECWSCGAKGAFLSCGSCRSVQPVDPAVDYFRIFGLDRGYDIKDNSLEGKYKDWQKKLHPDLVHSKSEKERAFAAEQSALVIDAYRTLSKPLPRALYMLELEGIHVDEEKTINDPGLLMEMMEIREAVSEADDSQTLEKIQSQMKRKLETWSKSFQEAFDKRDFNRAVEATQRMRYYERAMEETVKKL >cds.KYUSt_chr2.44929 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279851426:279856591:-1 gene:KYUSg_chr2.44929 transcript:KYUSt_chr2.44929 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASQLEACLPSLYALDPYTAPPLLAPLPNQHKLIQMPLVQGQSGNHGVMFSSDHGGGLYPLLPGIPFCHSIPAAPASACEKPTGFASLGGAGEAGTSAARAGNEVASTTTTTPAATCHGASSWWKGAEKGKMKVRRKMREPRFCFQTRSEVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHTNCRVKKRVERLSEDCRMVITTYEGRHTHIPCSDDDDSGDHTGSCAFTSF >cds.KYUSt_chr5.10342 pep primary_assembly:MPB_Lper_Kyuss_1697:5:66397894:66406263:1 gene:KYUSg_chr5.10342 transcript:KYUSt_chr5.10342 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVLSLGKCVVNGALSYAKSAAAGEAASRLGVRRDQAFIADELEMMQAFLMAAHDEREFPIAGVTMSCTDEARRKWDKAKMDLLRLVNFKKDDDLRVIALWGENGETSIVRKAYEDLKMHNKFECFAWVKLARPVNPTECLQSVLRQFYVNSLQQTAMEAQDLRWMEMTKENDLADEFKRFVDSKRYLVVLKGIHAIEEWDIVKVFFSNNKKGSRIVVSTEKVEVASLCVRQWSAAAEHKQLHMDHNLYAFYEKGSEDRADATDAGSSFNATTTSINSSAESKSLTHTETSVASFKEYELIGRDKEKCDIIKIISNDSQQLEVISVWGMGGLGKTTLVRDVYQSEQLNVKFRCRACVTIMHPFDCGELIKSLARQLDAEDYENKEETGLTGGRTKPRVQRSLVDILEGKKYLIVLDDLSSASEWDSIIQHLPARETASRIIVTTRSENIARHCSKKHENIYKLQILGYEDGLNLFTEKVFQKITYLNDEHPELVEQANLLPKKCDGLPLAIVTIGGFLANQPKTALEWTKLNDHISAELEMNPELGRIAAVLNKSYDGLPYRLKSCMLYFSIFPQDSKVSRRRLVCRWTAEGYSREVRGKSAEEIAESYFMELISRSMILPSQESIHSRIGVDSCRVHDLIREIGISKSMQDNLVFTLEDGCGLNNRVTIRHLAISSSWEGDQCEFKSIVDMSHIRSLTVFGKWRPFFMSEKMRLLRVLDLEGTSGLVDHHLTDIGKLLHLRHPMYFDFVVNGILCGMLAHLGFRKSPNGVQVPTGIRRLKALDTMGVVNVSGSGGKAALSDIKRLTRLRKLRVTGINKKNSQELCSALSELSCLEALLVRSEGSPGLSGCLDDLSSPPKKLQSLKLYGNLVKLPEWAERLRNLVKMELRSSRILDVDATLHALGNLPNLAILRLLRHAFAGQKLRFNFDRKTAFLGLVVLELSCYVAARVWEGERGLRWRERAGRGPCPRPVARGKGFPS >cds.KYUSt_chr4.3912 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22433206:22433938:1 gene:KYUSg_chr4.3912 transcript:KYUSt_chr4.3912 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVEKGSGSLDPDGRAAASGNPKACTDCYATKTPLWRGGPEGPKVCERPRSALFFRLPCSVLPICAPLGLFPAPDLTDLVFSFLVVFEKQSLCNACGIRYRKKRREALGLDGPKRREPVAAADAACAEDAGGEEEEEDEEQQQQPETTKKSKRVGPWGKVTVELRMVGFGKDAVLKQRRRMRRKRRLGEEEKAAILLMALSSGVIYAS >cds.KYUSt_chr3.49126 pep primary_assembly:MPB_Lper_Kyuss_1697:3:306865857:306869726:1 gene:KYUSg_chr3.49126 transcript:KYUSt_chr3.49126 gene_biotype:protein_coding transcript_biotype:protein_coding MKWPIITWHPTRRSRSDAAQKTTPPPPPPPALLCFVCPKPTEPPPITAAVMAEDTETRPASAGTGREEREEGEIADDEDSSAPRGGALTHPLEHAWTFWFDNPQGKSRQQAWGSTIHPIHTFSTVEDFWSLYNNIHHPSKLGVGADLHCFKNKIEPKWEDPICANGGKWTISCGRGKSDTLWLHTLLAIIGEQFDFGDEICGVVVSVRGKQERVAIWTKNATNEAAQISIGKQWKEFLEYKDSIGFIVHEDAKKADKAPKNRYTA >cds.KYUSt_chr3.19714 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121342533:121348183:-1 gene:KYUSg_chr3.19714 transcript:KYUSt_chr3.19714 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPSTLLVHFDKGSAAMANEIKADLEGSDVAAKVEAMKRAVMLLLNGENLPTLFITVVRYVLPSEDHTIQKLLLLYLEIIDKRDSSGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLSEPEVLEPLVPSILENLEHRHHFIRRHALSAISAIYRLPHGDQLIPDAPELVERALASEQDASARRNAFLMLCLCGQERAVAYLFSNAERVTEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIISLLSSPSTAVVYECAGALVSLSSAPTAVRAAANTYCELLASQSDNNVRLILLDRLNELRTSHRDVMVDVVMDVLRALASPNLDVKRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQSGELEKGGEYRQMLVQAIHSCAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLSDTFYQIRASRVCSIALWILGEYSLSLAEVESAISTIKQCLGDVPFFTVSDEGETTDSSKPAQQMVNSVTVSSRRPVVLADGTYATQSAATEAISTPSVTPGSLSSTLNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKLEANKACTGALLVMTSILQLGLSSYLPQPIDNDSYDRIVLCVRLLCNTGDDVRKIWLQSCRQSFAKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDEVQDDLKAATGGFTKETDDANRLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQDEKEFLNHVIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGSS >cds.KYUSt_chr2.32987 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203471618:203476124:1 gene:KYUSg_chr2.32987 transcript:KYUSt_chr2.32987 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGASLPSPAGGDDGRRRRVSYFYEPTIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPFPASKADIGRFHSEDYVSFLASATGNPNILAPSTVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKSEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTVSFHKYGDFFPGTGHITDIGAGEGKHYAVNVPLCDGIDDATFRDLFQCIIKRVMEVYQPEVVVLQCGADSLAGDRLGCFNLSVKGHAECLRFLRSFNVPMMLLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYNLHIEPRTVEDLNKKNDLENIKNMILEHLSKLEHVPSVQFHDRPSDPEGPEEMEEDMDKRPAQRSRLWSGGAYDSDTEDPESMKSKTNELTAKSIVKVGLAQLGIAHLVRKIPLNPTR >cds.KYUSt_chr2.40213 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249726538:249728362:-1 gene:KYUSg_chr2.40213 transcript:KYUSt_chr2.40213 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRNLATSSAVRAPSASCPSEAARCHCSTAQDGRPRAAPSQYTDQQLPNARVLLGGLGSTTAAEADDDCLASGTTVADGDCIVSNTVAGRAGARQQPRSEYGGTVAQKIVIMVSMPCGKCRAKAMELAARTTGVISVAITGDYADRLEVIGDGVDLVCLVSCLRKKVGRAVILQVEPQVKDKKREEETKPAEKKSEEKTKPAEKSEEKTPQVPAHPLPQCYPGCYHCPPASQTMVVYDEPAACSIM >cds.KYUSt_chr1.35483 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216376245:216381997:1 gene:KYUSg_chr1.35483 transcript:KYUSt_chr1.35483 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTDSRTGSIRPGFGSIAPDINSIGTNTGTGSIAPGAAGTGSIASDTGSIASGSIAPNNGSIAPGTGSIASGNIAPGTGTISSDTGSIAFNTGSIASGNIALGTGSIASDTGSITFDTGSIASGTGSISSDTGSIASDSIAPNTGSIAPGTSNIAPNTGSIASGSIAPDTGSIASDTGSIAPDTGSIASDTGSIASGSITPGTGSITSGSIAPGTGSIASGSIAPSTGSIASDTGSIASGSIAPNTGSIASDTRSFTSTTGSIASGSIASDTGSFASGSIASDTGSIAPNTCSIAPGTGSIASDTRNITSDTGSIASGSIAPDTGSIASDTGSITTGSIATSTDSIATGSISSDTGSIATSNGSISPATGSITTSNGSISTAIGIIATTTGSISPAAGSISTSTCSISPAPGIIATSTGSISPATGSIATSSGSISTATGNIATSTCSIATTTGSISPTTGSISTSTCSIATSSGSISPATCSISTATVRIAIATATDNISTATVRIATTTFRIAPATSSIATSTGSISPATGSIATSTCSISPATGSISTTTVCIATTTFCIAPATGNITTSTDIISTSTCSIATSSGSISLATCSITTSTGSISTATVRIATATVCIATSTDSISTATVRIATATFRIAPATSGIATSTGSIATSTGSISPATGSITTSTCSISPATDSISTTTVCIATTTFCIAPATGNITTSTDIISTSTGSIATSTCNIATSTNSISPATGSIATSTGNNSPATCSITTSTSSISTTTVCIATATVRIATSTGRIATATGSITSSTGSITTSTGSISTATGSISTATVYIATATFRIAPTTCSIATSTDSISTSTDNVVASTCDIATSTGNISPATGSIATSTGSISPATFSIATSTGSISTATGSIATATGSIATSTGSISPATDSIATSIGTISPTTGSISTTTGSITTSTCSITTSTGSISPTTGSIATSTSSIATAMGNMATSTGSIATSTGRELCCRQIRGKPVVNVATCLCNTFLQFNARANIDVANKVFGKRSARLLKLFITGRLPSKSGGAAYRRLQRRLLRPVVPVINNVVINNVTAATSSATPPPPPPPDRQFPQLTMAGFADALRPNKFTGVHFKRWLVKATLWLTHLKVLEVSDGLPEGTISDQDQNKLKEDNTLFVGCVLSILADRLCDVYMHITDGRQLWDALNAKFGATDAGSELYIMESFHDIRMVNNRSVVEQAHEIQCIAKELELLKCALPDKFVAGCIITKLPPSWRNFATTLKHKRQEISVENLIASLDVEEKARAKDNTEKGEGQSSANMVQKKPYSKNKGNNKPSFNKPMKTTTIKKKMMINKADLSCFTCG >cds.KYUSt_chr5.4170 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26713180:26717523:1 gene:KYUSg_chr5.4170 transcript:KYUSt_chr5.4170 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASSIPAAAIIPSPLFLWRVKVILFLLWGLCCCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFEQSSVNYARVFDLSQTHLSYREIWRCATWLTLIVPTSMTAYLYLYSHGEVSLAASQPVLLYVILLMVLLSPFDMFYLSSRFFFLRTTWRIILPLQAITFPDFFMADIFTSMSKVFSDLERSGCRMLHRQVATIAWFEADSVCGSHSVAIPLVLVLPYLCRFFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPDLWISFYRPLWLLSSVVNSLYSFYWDIKRDWDLSILTRIFMFKNPGVLTNLLYGQSWVYYWVLGSNLVLRCTWTYKLSAHLRHNYLTVFAITALEMVRRFQWVFFRVENEWNKMTAKQNFEMSSDMLPSEADRLLDSNNHKV >cds.KYUSt_chr3.29734 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186064126:186065397:1 gene:KYUSg_chr3.29734 transcript:KYUSt_chr3.29734 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPEPRSPATLRPVRCIVKLGGAAITNKGELESIDEGSLRSSCAQLRQAMSGDATPGKVMGMDWSRRPGDPADPAVDTEGFRDMAGLGIDTNFIVVHGAGPNCNRVCPA >cds.KYUSt_chr1.1328 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7207306:7209033:-1 gene:KYUSg_chr1.1328 transcript:KYUSt_chr1.1328 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRRPSVDRRPGLGVVSREKNTEIPGSIPPALPPGCQRTEPERSDEAEKYEAKSARLRGIRSKDWAPRFLGPWAAAHPAHPLGPALDGPLPFSLETGYVEVEETHGVELFYYFIESERNPQEDPLILWISGGPGCSGLNALFFDIGPLKLDVAGYTEGLFPRLFYFEASWTKVSNVIFLDAPVGTGFSYAREAQGLNVSITGTGRQVRIFLERWFVQHPEFASNPLYIGGDSYSGITVPVTALEMANHNPNGELNLKGYMVGNGLTDKNCDDGGRFPFMHGMGLISDELYEGALDSCVGDLVSTPKTTECAQALQAISEATSDINPMHILEPLCEVEYLQHSTPNRIFATRLLVQEENDEPGSIFPRTASPSLPVDCRASGYYLSDIWANDAEVREMLGIREGSVGIWRLCPTLLHLRMDVHNTVPYHRNLTQRGYRALVYNGDHDLVITFVGTQAWIRTLGYPVVAPWRPWYSNRQPAGFTTEYAYNLTYATVKGGGHMAPESRPKECLHMIEKWTSPAGRL >cds.KYUSt_chr5.16299 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104829084:104829362:-1 gene:KYUSg_chr5.16299 transcript:KYUSt_chr5.16299 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTGLAQPSSAPAAEYGSRPPRMMDDERSYKVQGELMLLLLLLVFALLCISVFIRLWFTRRRRRDRRREGADPMPIQGSSSAGMWPENKD >cds.KYUSt_chr5.39997 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252759183:252762046:1 gene:KYUSg_chr5.39997 transcript:KYUSt_chr5.39997 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGIRCSLSPLVLLLLLFLAAAPVNGDADGGLRFRREGGTFKVLQVADMHYGDGPSTPCEDVLPAQQRSCSDLNTTAFLYRVLRAEDPDLVVFTGDNIFGADSTDAASSMDAAIAPAIAMKLPWAAILGNHDQEGTLSREGVMRHLVGMKNTLSCFNPEGVEIDGYGNYNLEVSGVEGTLLANKSVLNLYFLDSGDYSTVWWIPGYGWIKDSQQAWFRQTSANLQKKYTSEQPTQKEPAPSLAYFHIPLPEFNSFTASSFTGVKQEGISSPWINSGFFTTMVEAGDVKAAFIGHDHVNDFCGKLTGIQLCYSGGFGYHAYGKAGWSRRARVVSVQLEKTESGEWQGVKSIKTWKRLDDQNLTTIDSEVLWNRGSNEPELIRELPIDREAKEES >cds.KYUSt_chr4.34503 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211848521:211849697:1 gene:KYUSg_chr4.34503 transcript:KYUSt_chr4.34503 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRGGEAAEAFIIGEFDRWKKEGVGAGDEAVAAVMALVGLARSSMADTVAALEAEVNTAVEELKCLDKTYGSLSAACDMFVRYVLTRRRVEGDDQTAPFSVVKRRLVSRARRFGDICGGARRTIATLCQDFLLDGCTVLVHGHSAAVLDALKMAGASGKRLKVLCTEGRPDGSGMRMAGELAAAGIPAKVLLDLAVAYAMSEVDLVLVGADVVTETGGVVGAVGTYQVAIVARSMSRPIYVAAESYKFARLYPLGQKDIEHGPGRPVGFGGQLVPCGVDVETAVRDYTPPQHLELLITDLGVLPPPAVGDVLLQLSV >cds.KYUSt_chr3.6018 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34355951:34359313:-1 gene:KYUSg_chr3.6018 transcript:KYUSt_chr3.6018 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASTHGFIQNSVDRRTGELIEVACTLLPEHIIAVSGGPTRSLYNDPFYQEKSDRFLSHMFSMRKEAEGGEVTMFSLNYYYSACINHKLSTHWKKGKRKSVTFVLPDDSEVVAPRDTNSEDQNDIRADENLNILPQQTAQKHCLTEELSDDGEYTDKESQYHEGALSDHGVKEQPKLSAEKPDLSMKLRNRRKEVGVSEHITDDNFDEDYAEPSAAEQDNDSGDEYTAGEKQKPQRKSREKDPDKEPVRGSRRTSKNLAAEKPPKQSQQKNKSEGHSRGRKRALKDASTEQPEKKLTHRIRQKRTKVSEVQTLLAKPDHEIDRMKLSVMHLRLLQEARERIQSKTIPSGPSFSNQSSLYGDTDDFDPFGDNYVNDRTENDAAENAIKLNYHSYMNRQTRARWTKSDTDLFYQGVQQFGSDFAMIQQLFPDKSRDQVRQKFKSEEKRHPMQVHDAILHRSKDNVYLKQVIKQLNIEDLQRDINSTDKQEVASNEGDTGNENVSHVINEEEDNGPNWSDDELGTHQSEVKEGDQASGNADDDDLDVFDWY >cds.KYUSt_contig_686-1.455 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:2846102:2847286:-1 gene:KYUSg_contig_686-1.455 transcript:KYUSt_contig_686-1.455 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGGRGNGCSSFLVLILLVAAAADIAAAQGGSGRGPSYPQNFNPSMAVIIVVLVTAFFFLGFFSIYIRRCAGGPLGGPGEHLGAGTRPGGIMFLNASAAARSRRMRGLDPATLEAFPTMAYADVKAHKAGKGELECAVCLSEFEDDDILRLLTKCSHAFHADCVDAWLASHVTCPVCRANLLADAEAPAAANSAPAASTTPEQDLLAAMPLPPQETPTAPPEQVMVVIADVEETEEERIRREEAAELVRIGSVKRALRSKSGRTPAQFPRSHTTGHSLAPPAESSDRYTLRLPEHVLQEVVAAGKLRRTRSLTAFREGGRAGGRSVRLGQSGRWPNMSSFLARSLTFSAWGSRRRGEADAPGKGSTKVAGDGKAAEQACEGGACPLPLGGRV >cds.KYUSt_chr1.37080 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226479430:226481883:1 gene:KYUSg_chr1.37080 transcript:KYUSt_chr1.37080 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSHGHRRPPLPRRRGAPSRRSARGISASRTTSPRRAGCLLVVLVAFVVLAGAVLRRASSSSARSHHPIDEHDRPTAGRRITIFSAPLAAPDGSPARQELAVRSWLALPGDVSVVLLGSQPSAIAIATRLGRRVTVEAAVDFSFTGTPFFHSMVARAQSSDSEICILVDADVVLLPETVTLLSNFSRIDRDWLLISMARNNSSLSEEIPADNWAADSGDRGLIMAWNNPRNPLLEGVLPSFLYGRGAYSSWMAHEVLSSEMRLMFDASKLALGLYPEDFSAKRGSSDSGTLLDGSWEYGVNRHLAAVYGSYCYRSLRRHHSPMLYEVVKHSEDYLLRKVEEPTFSNFVIGKEHIVHAEGDSLRKKESICLPGHLLNYSSENPDAAGVPYSLGMLLQFVADQNRSVVLGVAGASYRDMLMSWVCRLRHLGVTNFVVCALDQETYEFSILQGLPVFRDPLPPKNVSFDDCHFGTQCFKRVTKVKSRIVLEILRMGYNVLLSDVDIYWFHNPLQFLHSLGPATFAAQTDEYNETGPINLPRRLNSGFYYARSDHATITAMEMVVKHATKSNSSEQPSFFDILCGKEGVNRLGDDRCLEPRTNLTIMFLNRDLFPNGAYRGLWERRDVRSACKELGCFVIHNNWVNGRKKKLLRQMASGLWDYDPGSRMCLHSWLMQVASE >cds.KYUSt_chr7.3006 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17854790:17860971:-1 gene:KYUSg_chr7.3006 transcript:KYUSt_chr7.3006 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSGGLDLSAVIQAALVGLVLLAAALAAVRRAASRYFLVDAAGFAAAYDDHLHPAEYAMPPQEGNQPRQLQQPVVAARQAPGTCAQCGGASSKICTGCKRARYWCVLCLPASPPLPSPSPAAAASRSCIAAGFLRNLVDSFVSGECQSKHWQSDHKLNCKQMKLLDTVDKSPCGVEANSKKSSVFGRISLVPGPRKLNKVIFPYDEFLKLYDWSDRNYDASSPCGLVNCGNSCFANVVLQCLSYTRPLVAYLLGMDHYRQCSIRRESWCFLCELQCHIERAVDSMHPFAPKNILSHLPNIGGNLGIGRQEDAHELMRFAIDKMQSACLDEFGGEKVVDLSTQETTVIQHIFGGRLQSQVQCTACGVVSNRYENMMDLTVEIHGDAESLEECLDQFTAVEWLDGDNKYRCDGCSDYVKARKHLTVHQAPNILTITLKRFQSGRFGKLNKKVTFPAKLDLTPYMSATDGTDQYDLYAVVVHLDMLNASFFGHYICYIKSRRGHWLKIDDCKVMFVDKEEVHAQGAYMLLYSRRTARPGPLLAVKEQIKQENQCEVPPSNGENHLIPEDVPVKCESFLNSSEDLRADSGSSNESLHRMDTLDQVDSGREALITNESLHQPASSALHVLKEDIRHRCSLLEGSTAMRSDQLGNSACESSSVSSSAEECEEPVRIDSVDYMDIDTEAGREVEGQNAQQQTVFGDSVGVIANESCMAAKAKPLLSVGFLDKPSRKKSSFGEESQNGCDMDVSPHKINGHWNEQLSRSKQGVFANSSGGQTSYANGNVHSNGDMFVNPSNGIVANGDAQFGNYALDASKRDVPLFHGFNPRPHTSPSSSNTNRNNTSNDKGDMSFLARGFLERPRSREKAVKGNDGLPFSNGNGKPSSSSVKVNSISNTNSSSQSSQGGMRMSPGFLTKRCRESAAMDDPQTSKTPKEPEHVGAAALPDQIQERWSSGCTTSGIAPQHRAAFVNNLSGHHVENGHSVLGTNNVFYGEENGSNGILDMHCSSSQMDDYLNGHRDGNMHAVLGTKNVFGEENGTSGALDAISCQIDGTPIVSENGMRCEDADQVVKSPVASVHDGLRRRLTSKYFDAQ >cds.KYUSt_chr2.36612 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226057183:226058475:1 gene:KYUSg_chr2.36612 transcript:KYUSt_chr2.36612 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIRRRSAPALHHHIITRILFTPPARIPQLLPVMAFVRRPCTVALLACAAAFLVAAVGAQPADPSGKPLDQNPILTDPDIQRVYMSPGGPLTTVSCYNRSNPAAKEPDCRITARQCPRGCRDLCYVHCPTCKLVCMCDLTGTECYDPRFVGGDGNKFLFHGRRDADFCLLSDSNLHINAHFIGKRNEQGARDFTWVQALGIRFGGHRLYLGVKRTVTWNSAVDRLAITFDGLPVELDAAPAASWSPVSAPALSVFRTGAANGVVVRLDGRFRIVANAVPVTEEDSRVHGYGLTADDSLAHLNVAFKFHSISADVHGVLGQTYRSDYVSAGVDMGAKIPVMGGAGKYQVSNIFGTDCEVARFAGEDLGEVGLIQEPADTMCGSGKGSAGLVCKK >cds.KYUSt_chr4.27332 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171692201:171694313:1 gene:KYUSg_chr4.27332 transcript:KYUSt_chr4.27332 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSKGAGHAGDDSKEPPAPPAAPKVEPVASSSTGSTVPAPPAAPNAKAGSKPPAPLGDVLGRAMEDVRATYSISKELGRGQFGVTHLCTHRSTGEKLACKTIAKRKLATREDVEDVRREVQIMYHLSGQPNIVDLRGAYEDKHNVHLVMELCAGGELFDRIIAKGHYTERAAAALLRAIVGIVHTCHSMGVMHRDLKPENFLLLSKGEDSPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRRYGPEADIWSIGVMLYIFLAGVPPFWAENENAIFTAVLRGQVDFNGDPWPTISSGAKDLVKKMLNINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRVIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKLSDNEIEQLMEAADADGNGLIDYDEFVTATVHMNKMDREEHLYTAFQYFDKDNSGYITKDELEQALKEKGLYDAKEIKDIISEADTDNDGRIDYSEFVAMMKKGTGTAEPTNPKKRRDLVIE >cds.KYUSt_chr5.27763 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175781774:175783411:1 gene:KYUSg_chr5.27763 transcript:KYUSt_chr5.27763 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALVMEILKRITRTSDLNSLSLVSKRLYTIEGNQRGAIHVGSGLCTDTETLTSLCARFPNLEKVEIDYSEGQAWRSGSSLCTCAKRSWVRRSLSALHFAGVRLASIILPKTPPSVGASSTGSALEIDYPGWVLGHGNQLDNKGLSVLSSNCSSLIDLTLSFCSYIDDSGLGCLAYCKTLTSLRLNSTPQITSTGLCLVAVGCTSLSALHLIDCEKIDSVEWLEYLGRGGSLEELVVKNCKGINHHDLLKLGSGWMRLKKFVFERKRGKYHLFPSNKIYDSLYNAHSTDIYDFCCESLQDLRLAHIKTWPEIGLRAVLGKCKALENLWLEYVIALNDNDIIALSRSCSNLKSISLGLNLQRYCSDVMYCETRASFTDNSLYALALNCRMLQIVDLKFTGCDRGWPSEIGFTQEGFLALIQSCPIRVLVLNNANFFDENGMKVLSSSPYLETVELILCHGLNDAGMRFIAHIPCLSNLTLRLCHKVTDVGVAELGRAHKLESLVIEYCGEISLQAVQGVAKSVQYAKDCSTATMKKLGFGRP >cds.KYUSt_chr4.51325 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318387999:318392990:1 gene:KYUSg_chr4.51325 transcript:KYUSt_chr4.51325 gene_biotype:protein_coding transcript_biotype:protein_coding MLATPTMASKVQSPVSCTGPSPAKPTPPTPGSADEMRAVARKFADQPLQNPEPGVWAVLTAISQNARHRPEGMNILLSADEHILGRTVENPRFRISALAVSGKHCRIYRDTALEELRRDEPVPVYFKDTSTNGTYVNWKKFTKKSPPVKLNHGDIISFSSAPHIEASYAFVYREVNAVSCVGNGTTALKRKSGEIGSENKRLKGLGIGSSDGPVSLDDVRRLEKSNAELREQLEAHVVTIETLRTERKIAEAEHEKELKEIKETTSSSYLDQTKSLQLALEEKQKQLDSFSTSNTELQNSVKDLNERLSASKQSRSDADEIINSQKTNICELEEQLSDERNMRIEERDKAAEDLKSAVHKVQAEAEDKMKKQTEVYLKQQREQKEFITKLQEAEKETRLLVETLRSKLEDSRESLVISEKKVRELETQLQDEQLVSANNQKKSDNLETELRKLKKELEHEKQAAREEAWAKVSSLELEVAATMRDLELEKRRYQGARERVILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYESTLMGLDLNEVPLANVTSDDARVKPVDYVKDSVEASGENTQASGHSSSDEDADMTEQQDGGTRVEGGTQDLECTTPERSVEKLGYDSHGDRAATATEQVPTDTEQFPETESQAGIAGCNDQNSINSVMAGDTMQLEDDVQVQENEESNLIPKDGGQPLVNEEQQSLTLKDGIGQCSEEKREGDCSESKREDTQTGTIRTADLLASELAGSWAVDTGPSVNGENESPCSSGGDPRGEQDDDVGERAAADALTSLVNSDGHSAGSQTNVADRRVINHMIGLLNPEKKLPGSGAGDDDSTSDDDDETQEGSETGDDSEAMVEDSVG >cds.KYUSt_chr2.54739 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341480703:341485718:1 gene:KYUSg_chr2.54739 transcript:KYUSt_chr2.54739 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRAESPHQHLMAGPAALDPRRAEAASKHVRALNTQFASWVQLQLQNHPAELWEDGIKDYLSHASEIMEKFKDVVSWLRQNKAGARAVSSPSPANVEKTTSPATVNSKFMVQPGSDNGQKSPTTGATSLAFQNSSSPNMFSFSSQKPAAVGGSKNAAEASGDADDDAEAEQPSSPSVKKAEEKGIVVVHEAKCKVYVKHEDATKGWKDIGLGQLSIRCKEGAEKATKESTPTVVIRNEVGKILLNALIYKGIKTTVQKNTVASIFHTSDAQSSEPDGGKVVARPYLFRLKNEEAAANLSAVIKENVPTD >cds.KYUSt_chr5.19674 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127669739:127674441:-1 gene:KYUSg_chr5.19674 transcript:KYUSt_chr5.19674 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRVSKKRGLSLEEKREQMLQIFYDSQDFYLLKELEKMGPKRGVISQSVKDVVQTLVDDDLVLRDKIGTSVYFWSLPSCAGNQLRTTYNKLESDLSNSKKRYLELVEQRDNLKTGREDSDEREDALQELKAIELRHEKLKEELAAYADSDPSALEAMKDATEVSHLAANRWTENIFTLQQWCSTTFPQAKEQLENMYRENRDEDTFGEDQRDLHCAGVTVMAWYLLMSTIGTLTVITQC >cds.KYUSt_chr1.32102 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194761876:194764531:-1 gene:KYUSg_chr1.32102 transcript:KYUSt_chr1.32102 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVNCYVVPQTTGSGRNIFQGGSPLSSSLPLLGVQLVLIVAVTRVLYFLLRPLKQPRVVSEIVGGIILGPSLLCRNDEFRQLVFPPRGEPVLNTVATFGLMYVIFLIGVRMDPRLAVRSGKKGVVIGLSGFLLALALTAMGSKGDALAEEQDVSKRFTFLFALSASLSVTSFAVLSPILSELNLLNSDLGRIAMSASMTTDGIAWLIMVGYVLTEAYLVSPATSLWAFMSVAALVAFILLVVRPIALMVIERTPPGHPVDETYVFFFLLIVLLVGLYSDIIGTNSFHGALMLGLAIPDGPPLGTALGEKIEAMVSGLILPLYYAMTGLSTDVWEIHWGRLQMVMILAWFGKLAGVMASSLYLEIPFLDAVSLSLFMNSKGIVEIITFTFFVTNKLIGKHTFSALVCSSVLNTALSVPLAGLLYDPARRYAVYKRRTVQHLKADADLRILACVHDESHVQGTLALLEASHATPQTPIGLYLLQLVEIAGRSAPVFIPHKLRRSASRIGPAPTSTDSDRIINAFFQYEQRQPEGAVSVQPFTTISPYSSMHDEVCRLAVDKRTSLILLHYHKRHMLAGGMRAAMGLRVVNRKVLEVAPCSVGVFVDRNAGNVGLSAFILQDHSTSSSSGRSSLSGMGFRAAVAALFFGGGDDREALAYVTRMARHPGSKAAVIRFLPTRGIKDDPADRRVDNRAIEEVKALSARSKYMNLQVQEELVGDMEKIVEVLRGLDKAGYDLVIVGMRHRWYPVMSANGLSDWSECPELGVIGDLLASSDFDTPYSVLIMKQQDQGGLNAAVPGAQDVWHGDDGGPAPPPERTMTTAGSSKFRQ >cds.KYUSt_chr2.6477 pep primary_assembly:MPB_Lper_Kyuss_1697:2:40352292:40365635:-1 gene:KYUSg_chr2.6477 transcript:KYUSt_chr2.6477 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRKKRTSSAAAAAAAAAAQWKVGDLVLAKLKGYPAWPAMITEPQEWGHSPMKKKLLVCFYGTKEIAFCNYADLEAFTEEKKKSLLVKRHGKGADFVRAVKEIVEIFDSMKKEDNDNSGLGPAKNSSNLDTGGPEDGSDLANDNKLDGNPASPMDHSMASTPGSNIAALESEHCVVNSAPDEPTSSFSKRRQNNAQQLDSFTHGNLASARRPRSSLGADHRTRDSCGLNGPNLPSVDMILEDKQEGSSRHKFIGDAKSNSDFLSAKKGVMLFSCSQGTSSQSGTSGNGNDEKNSSSANVENTLNVEVCQTVMDREDNLNEVQDIPTSTTVTFKRKRKPDTNDINNSVISMVPHMDEELQPKSSGNTPDSPNSGNEVNKSDGDDHLPLVKRARVRMGRPQLEDSIVDEPDISDNKTELAMPVDQYISLASGKDHSADKVPPSLDPSPKVDLSLASGEVQTACSNKECQSKVLTLDEEAALPPSKRLHRALEAMSANAAETITDLPEVNKPKDFILKPSTTSIARSPSNNSADAPLKSPKTAPTKSSEICATALDTPTSQKYDPLPVIVNNDSPLSVSLEFTNGDNHDLPKDEVCNRVDDVCGKSPTCSLESKEPAVVSELDQLPSGKASRNELADPITNSSQDFSKNIDGSSYPLGPAKTVVSGANEDCNTLPHDEPVLAEPTVCVVDRTSVSSLVTKVTCGQSVAGAQAFETHGSSAMSLKESDRRMNPKDTSLSPDSMPMKELIAAAHARRLSQPTSFIDSFLDSNVISPSANIPSAKEGSGGRCSPSNNNTIRSASDRVHTQQNSGTILFDDMEQKSLNKLPGHDEARSARRAFENFLGTLTRTKECIARATRLALDCAKHGIAGEVMDVIIERLEKESNLYKRVDLFFLVDSIIQCCRNQKGGVGDAYPSLIQAVLPRILYASAPPGNFAWENRRQCLKVLKLWLERKTLSEYIIRHHIKELEALNEAAFGTSRRPSGTERALNDPLRDNEGMLVDEYGSNTGFHLPNLIGTKLLDEEGSSSEDRSFEAVTPEHESAGANEQEGTSQVDGAKHRLVFEEVNGDLEMEDVAPSSEAKVRSAPDLTDARCTVTNRNVNSVPPLPDDKPPTPPPLPSSPPPLPRPPYPVFQGSQVQGASHLAADRVDPDNLRNVQDQHPHSIPNNRGNMDPCVVPLQPPVPYTSGCAGHTNQILPPPPLPPPPPPPPVAQFHPPGPHGNFSGPQYRTMEITFTIHHQHHCLIMHTICIHHHRIHQVLQISSHICHPNPIKEYNLGIVILPIPRDISIMDMTEDPIHSTGDITLMIDGITLTIEGGISMMEGIILMLEDITSMMDHITMMIEGITSMIEDKCTLKLWIEEGFLHILDQIPRIQTILIMGGPWTIRQVLVPGGQCRLGDRSFLLAPDIQWIHRFPMKEVGGGKEDTIMIDFIDD >cds.KYUSt_chr2.45403 pep primary_assembly:MPB_Lper_Kyuss_1697:2:283122064:283123284:-1 gene:KYUSg_chr2.45403 transcript:KYUSt_chr2.45403 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPATSSSSLASDPAVLVEGLGSLALSPVASGDLAVVPPSDDAPSAPSLLWVASLGSDEDDDDDELVPRSPLAGSVHVEEAHVEPCGGLSPIADAPGNDDEWVQVGQRGRSSREPSALLRKDGLERSLAFKRWARGRCFRCLERDHQVSSCRAPFKCIRCRRPGHRERFCRARFPAARSRSPDARACSPDAHATCQSGRSPRAQPRHPSASRSWAEVVCHSSSPATSPPKPSPRCCEEFNGNACFDSHLQCQFALLRLELAQLVANRVEEASRHLREEVASLKLLLTQVGVSLETMEVCSSGGQELAIVEAPFPLSSAEQKSSVVEITPELHELCGDSSVVPELLKLGGGKVMPPSIDEVRHVVPFGVGIAKSSLLATVPGGVVAREVCDFLATLAATYPGSAVD >cds.KYUSt_chr6.22980 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145229091:145230053:1 gene:KYUSg_chr6.22980 transcript:KYUSt_chr6.22980 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPRPPPHQGYIYPRNPAAKHPTRSSHSTAPSSLTMAATTKLVALGFVVLLSIGYTSASRMLASSSSASGGGSGGGGGGGGAGASGYGGGGGQGGATGYGETFIGSGYKSNYAQGAGGGGGAGGGGGSNGGAGSGSGTGGGIGSGASGSTGSGQANASGSGGGEGQGAGANGSTGQGAGVGGGEGSGESSVAPAPSAGGISYSDAAGGGTGGGGGNSGNGGGEGTGAGNAGNDGTSGSASGQGSGNGGGIVKGVAPGPSVGVGSGAGFGAAQTGSAGPSGSGYAAGSGAGGGGGAGGSENGGVGSGGGTGEGSGSGTYP >cds.KYUSt_chr6.2897 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17057511:17058384:-1 gene:KYUSg_chr6.2897 transcript:KYUSt_chr6.2897 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCTAAEEMIIIRQKMVVDAGCDYSLAVAETRKDFFGAMASCEWKTVLVVGLRLRAGEKEKEKEDGMELVTTTSGIGAGAAPLTNRGRRRLTEGASRSISEGPDSG >cds.KYUSt_chr4.1893 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10314037:10317046:-1 gene:KYUSg_chr4.1893 transcript:KYUSt_chr4.1893 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLRLLLAVLLLCLISLLPDFAAGGSPDPTPTPWPHQFHAKLMMEYKGNLSLADLWYDWPRGRNLHVIRYQLTADAPYYDAEWNNGTSFFYTPARRTCRSAAVGVGILRPDWLAPGAAYIGRASAGGFDCHVWTKADFITYYEDVASGRPVKWIFYTGRTAHVMSFEPGAVLEGEEWQAPAYCFTDGTTKNTLISEPGGFDDESFIPKIRLLRG >cds.KYUSt_chr5.36251 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229139928:229140431:-1 gene:KYUSg_chr5.36251 transcript:KYUSt_chr5.36251 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPQADPVASEAVAGAGDDADAYRIRPLELGDISKGFCDLLAQLSPSAPLTEDAYRTRFAELARLGADHLVLVAEHAATGRLAAAGAVLVERKFIRRCGTVGHVEDVVVDAAARGRGLGERVVRRLVEHARGRGCYKAILNCAPELKGFYAKVGFQEKNVQMGLYF >cds.KYUSt_chr4.6009 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35286159:35286743:1 gene:KYUSg_chr4.6009 transcript:KYUSt_chr4.6009 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGYLKAGRVDRARRLFDGMAEKNVVAGTSLVSAYGRAGRVAEARALFDAMPERNIVSWTAMLQGYVRAGMLGEAREVFDMMPGRNAVTWTVMVKAYADGGHVGEAMALFDRMPERSSYSWYATISGFLRAGRVDEAVSLFERMHHKNMATWTAMVSGLAQNSRVSMAREFFDKMPKNKDIMAWNVMINAYAQ >cds.KYUSt_chr5.7628 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48081752:48086004:1 gene:KYUSg_chr5.7628 transcript:KYUSt_chr5.7628 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEPDNGSEAGPTGDSAVLASPVKARARLPELQAGASAATASESEEARVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPSLSEKPSSKRNNKLRSDSSNSGSQHAASLVLEAIYLKAMSLQKLGKATEAAQQCKSVLDAVESIFQRGIPDVMVEQKLQETVSKSVELLPELWKQAGAYQEALASYRRALLSQWNLDDECCTRIQKRFSVFLLYGGVEASPPSLASQTEGSFVPKNNLEEAILLLMILLKKWYLGKTHWDPSVMEHLTFALSLCGQTTVLAKHLEEVLPGIYPRTERWYSLALCYSAASDNEAALNLLKKYLNKNESPNDINALLLAAKICSSDYYLASEGVEYAKRAITEDESFDGHLKSAALHFLGLCLVNKSKIASSDHQRSLLQAEALKSLSDAFSLDPHNPDLIFDMGVAYAEQRNMHAALKCAKQFIDTTGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETAKWEQGPLLRIRAKLKAAQSLPMEAVEAYRTLLALVQAQSKAYGSVKNGTEEGDNKVSEFEVWQGLANLYASLSYWRDAEICLQKAKALKTYSATTLHAEGDMHEIQAQTQHALAAYLNALSTEVDHVPSKVSIGALLSKQGPKYLPVARSFLSDALRLEPTNRAAWFYLGQVHKHDGRLADAADCFQAASMLEESDPIESLRPL >cds.KYUSt_chr7.39556 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245868814:245869164:-1 gene:KYUSg_chr7.39556 transcript:KYUSt_chr7.39556 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPQRPDPIHDAHARPSRGRGAPDITSHHITLLLLPFPSVFPCLHPDPATRPAIRRSPTSRGEHPATRPASQPAMGFLVTSGIFLLAGIIGCLFSLLCCNRGPSTNLYVLSPLPP >cds.KYUSt_chr2.44807 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279038125:279041676:-1 gene:KYUSg_chr2.44807 transcript:KYUSt_chr2.44807 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQRDAAGEGKEEPAELYGDGDGDGAEAGQPAHARGPVPKWSEQLTARGLVVAATVGIMYSVIVMKLNLTTGLDPTLNVSAALISFVILRVWTQATARLGFAVRPLTRQENTVIQTCAVACYGISSAGGFGSYLLGLSKKTYEAAGTDIEGNVGWKEPGIGWMTGYLFAVSFVGILALVPLRKVGDISHATDFAFQITPLLAKKNYLPESSMRSLQGYKAFICIALILGDGMYNFAKIIVSTIWSIVDKSEKKKTKKEEDILSLDDLHRNEVFTRESLPNWIAFLGYFGLSLVAVITIPLMFPEIKWYYAIIAYILAPALGFSNAYGSGLTDINMAFNYGKVALLILAATAGKEHGVVAGMVGCGMVKSIASISSDLMQDFKTGHLTLTSPRSMLIAQIVGTIMGCVIAPLAFFVFYNAFDIGNLNGPWKAPYALIYRNIAILGVEGFSALPKHCLQLCCGFFAFALVANLMRDFLPRKYGKWIPLPMAMGFPFLVGASFAIDMCVGTLIVYIWHKIDKSKAVHMVPAVASGFICGDGLWIFPASLLSLAKITPPMCMAFESTR >cds.KYUSt_chr3.8661 pep primary_assembly:MPB_Lper_Kyuss_1697:3:50361917:50363990:1 gene:KYUSg_chr3.8661 transcript:KYUSt_chr3.8661 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVPVRKEPAVLDLWSQGQGGAPIVAVLRSSNSSRRKSPPKISAKAVIRSTSAVSRAHSVVVSSYGDYATTSAETICSFGPAAGSGAAVLAGSVAELLRALLPERGAGRIWFELNYMQNTGGPNLLPSELPVGVVVAFKKLNHESIQGLLEPDAWTHESLQQRRSFSTDGEKRAPAAVTERGRTRWREAREVAGAKVSDSSRDADGARLPPHQLPSSALRRRCPPSSTRSHGVQMENQRPPSSPPV >cds.KYUSt_chr1.29404 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177937161:177940582:1 gene:KYUSg_chr1.29404 transcript:KYUSt_chr1.29404 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLNWYKGYIKHKNAKDYIYAEVRYEHHFKHYWVQIPLSELFQLFNLRDLDKSIIGCYVTCQPRPKPFFPPVLVLSPEEIAADVLAKLKGTAEAFLGMNITKALITVPAYYKDAQRQATKDAGVIAGLEVLRILNDPFAAAISYGLLDRRYDKKILVFDLGGGTLDVTVMIVEDGVLEVLSISGDSRLGGQDFDQRVADHFVEVIKQKHGRDITGDSRAMQRLRRECERAKRALSDEPDARVEIEELLDGVDFSEPLTRAQFEELNEDLLLKAMAQVEKAVADAELDKREIDEIILAGGSTRIPKVQQLVRDYFDGKEPLSGIDPDEAMAYGAAVQGSYLTRYEHAEPELNRYSPWYGALSIGIETEDGKMTRVIPRNPTLPTKKTHVLTTFWDKRSTVTIKVFEGERSETKDCRFLGQLELSGIPAARRDIEVTLEADEHGELRVEATDKRSGKSESAIIDRSGRLSPEDIDRMAREWEALLARDELQAYVRSVMDVVDGKMEPEEAAVTKARGWFDVNPVAGKDYEENLRELVDVCYPVVSAVHQRFPGGHDDDEL >cds.KYUSt_chr4.8485 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50859788:50868151:-1 gene:KYUSg_chr4.8485 transcript:KYUSt_chr4.8485 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPASRQTATLQVAVKCRPLTDNERRRSRHIIQVIDDKNVAVLDPDLSKGYLDLIQNRTKERRYSFDHVFAPGCSNTDVYKNISSTVLGVVQGLNVTVFAYGSTGSGKTYTMVGSHNDPGLMVLSFRTIFDQIKKDDSSDTFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPEHGITVAGLRRIKVHSADKILELLNIGNSRRKTESTEANATSSRSHAVLEITVRRKQKGQYGSQVLRGKLALVDLAGSERASETNNFGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTIMIATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGTIDTHVEDYKRMIENLQVEVSQLKKELADKEHQLTVKPAEKAADSELSWLNVLSQETGENVQERINLQKALFELEETNKHNRMELQHLDGAIARPQVNEKDYTVLQALTSRRQVILDNIRDNDEAGAGYRKDIELNESRRRQLQDMIEEATSNNGNKTYLQILSQYRLLGMSNAELQIEMAMRDQVIHNQRESLRSLWNILYGTGLNQKQILKLAAKQGFVL >cds.KYUSt_chr7.4653 pep primary_assembly:MPB_Lper_Kyuss_1697:7:28107972:28108469:1 gene:KYUSg_chr7.4653 transcript:KYUSt_chr7.4653 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRPRKPLVATIGEQVATGFLVGGVLGSAYHLAKGGFQAVCKNVPRGSCSTAVFFGVHAAIDYAVVSARRKEEPVLDGAVAMGGASGITSLHKGLSYAGQSALVGAAFGGAVMGGLSLLEDWTPDLSPPAQQADDPGHPAPAFRPTLPVEVPWTPPVGEEIRN >cds.KYUSt_chr3.1049 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5585263:5585802:1 gene:KYUSg_chr3.1049 transcript:KYUSt_chr3.1049 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHQHISLLLLTFLLPATGSNGLATTPLINSTCTAATNSTWFTPYDYCVRTLSAIPAAAAATDARGLGAAAANITAKNVTTTIHVLTNLVDALQHCITMYRMMNGSVAGALDDLRAGRTDAAWPKLKDAAYRPNFCELAMDQSKTGKDPVWEENFSNQLLSGMANDIIELIAKKKAR >cds.KYUSt_chr7.39079 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243189862:243196354:-1 gene:KYUSg_chr7.39079 transcript:KYUSt_chr7.39079 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVSTMDDPVHLGASNSVVDVCPKNIVKARFPPRGNPLVEVGKAFVALPIGDLSQAFVVVDAEDIDTARLFATQQTQPTPVSVDDFDDAPTQPIPTDIATKKKGKSLRTQGFVDAEDKCLCEAWLATTHDCINGAQQKGKVYWAKLSRALEKFRATHKKGFHMVHCWEVLKDNNKWMISFVAYQEAVKNGTAVTLDGEDDDQGRPVLPPRPRGHKATKADLVREAQAIAFTQSMEKIMADNRAAMDARDEKRRLEKEAAAAIYQNLAKEVVDVQRLDIEAKKADAEAKLRAEDTRIMLADLSGVDDDTRAWFMRSFRAPLYSLHTPLTSLSPVAPMAAAARELSSLLFLLLLLATVLAASARNEEEARTLAALRAALDPAGRVLGSWDPAVDPCGGSFVGVTCDGTGRVTGISLQGRGLSGTLPPAVAGLRRLRGLYLHYNGIKGPIPRELGGLSELADLYLDVNHLSGPVPVEIADMGNLQVLQLGYNQLTGSIPPQLGNLNKLAVLALQSNQLTGAIPATLGSLSQLTRLDLSFNSLFGSIPSKIADIPFLEVLDVRNNTLSGSVPAGLKRLNGGFQFVNNKGLCGTEFSLLDLCTSSEDGLKPSKPEPFGPDGTVKTGQVPQSANPNTTKSSKASAGVLIVGVVAVVAGAAFCGIFAFSYYRRHKQKIGSSLEVSDSRLSTDNYQQKEVCRRSASPLISVEYSNGWDTMSGGGCGSSGEVGDSFRFNLEEVECATQYFSEVNLLGKSGFAATYKGMLRDGSIVAVKSLNKTSCKQEESDFLRGLKTLTKLRHENLVGLRGFCCSRGRGECFLVYDFMVSGCLSQYLDVKDGSDATVLDWPTRVSIIRGIAKGIEYLHSKKSNKPSLVHQNISAEKILLDHHFAPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYANTGRFTEKSDVFAFGIVVLQVITGRRAVSQLKVGTAAGDLDGLIDPNLGGVFSRTEAAKLTAVAALCTNEAASQRPAMEAVVQQLSG >cds.KYUSt_contig_2073.73 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:434108:438813:1 gene:KYUSg_contig_2073.73 transcript:KYUSt_contig_2073.73 gene_biotype:protein_coding transcript_biotype:protein_coding MNCPSSASSCCGVSPPVLANPRGEFAASCSTRTSQKVHFLGSRQFPRVIHNPANRASLRLSRREVIAFAGKQSWDIGRFAKTLFFFNGPPNPLKVVESILSSLTASAPTEAPKKMETSDVVLVTGATGGVGRRVVDVLRKKGLPVRVLARNEEKARKMLGPDVDLIIGDVTEGDTLDPKYFKGIKKVINAVSVIVGPKEGDTPDRQKYSQGIKFFEPEIKGPSPEMVEYLGMQNLLSAIKNSVGLSEGKLLFGFKGNLSGKFVWGALDDVVMGGVSESAFQIQPTGSETGEATGLFKGTVSTSNNGGFTSIRTKNFTVPEDLSAYDGVELRVKGDGRRYKLIIRTSYEWDTIGYTASFDTTKGEWQSVKIPFSSLIPVFRARTKLDAPPFDASYITAIQLMFSKFEYDGKLNPTFVEGQFELPFSIIKAYLNEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRMNKELGSILTYKLKGEDLIRESGVPYTIVRPCALTEEPAGADLIFEQGDNITGKISREEVALICVAALESPSAVGKTFEVKSTVPFSEPFVIDPSNPPPEKDYEVYFKELKDGITGKEALEGTPALV >cds.KYUSt_chr3.6000 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34218201:34223170:1 gene:KYUSg_chr3.6000 transcript:KYUSt_chr3.6000 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLMRRLAGSYRGRAPLAATAAAAAGGAALFFASSTPTVAHMEEKGEEAAAKVALNPDKWLEFKLQEKATVSHDSQLFRFSFDPSTKLGLDVASCLVTRAPIGQEVEGRRKFVIRPYTPISDPDSKGHFDLLIKVYPDGKMSQHFANLKPGDVLEVKGPIEKLRYSPNMKRHIGMIAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKRELDRLASSYPNFKVFYTVDKPSSDWRAGVGYISKDMVLKGLPGPGEDSLILVCGPPGLMNHISGDKAKDRSQGEVTGLLKDLGYTADMVYKF >cds.KYUSt_contig_7346.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001481.1:26600:30647:-1 gene:KYUSg_contig_7346.7 transcript:KYUSt_contig_7346.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ATP synthase beta chain, mitochondrial precursor (EC 3.6.3.14) [Source: Projected from Oryza sativa (Os01g0685800)] MAMASRRAISSALRTAHRIRAASPSPCPRAPLPLHRPSPAGFLLNRAAAYASSAAAQAAPATPPPTSGKTTTGSKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDNSIRLVLEVAQHLGENVVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDHKGDIKTNHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLDDKQNESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGVEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKEGVQSFQGVLDGKYDDLSEQAFYMVGGIEEVIAKAEKIAKENAS >cds.KYUSt_chr5.30961 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196009365:196013527:-1 gene:KYUSg_chr5.30961 transcript:KYUSt_chr5.30961 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSDAKTSAARTTMPREMVFMCDIVVEELGWGFPPSPLQWRPCTFPAPALDPALEPALELAFVPAPEPALDPANDPALDPAEDPALDPAEDPALEPLLDPEPEDEAEPAPELELEPPPNPTPSPSPSPSPSPPPPEDEAEPDPEADADPEEPEADPEPEADAEPDPEDELEPPPPRPTPTPTPSPRPRPPEPEPEPDPDPDPDAEPEPDPDPEPEPEPEPPTPTPAPKPIPTPSPPSPRLRPRSFRA >cds.KYUSt_chr3.41278 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260445365:260445583:1 gene:KYUSg_chr3.41278 transcript:KYUSt_chr3.41278 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLQPPSPASPRVPSCISSPLPLTSPSQLRLWPRVALAVEERRGTKAGLRQQATAAKGCYVDGDSVTGYP >cds.KYUSt_chr3.33395 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209669200:209672424:1 gene:KYUSg_chr3.33395 transcript:KYUSt_chr3.33395 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHDPNAWFMEVHRQITAPRVHAGRPADMGHGPRAQVPAFFVQLESHNLLSLAVPPYMEQHLITKYKLKNNGPLVKVCLYMVPRSGKPGWPTDGDEACGPSGGPVAVDHEGRSPAQDHTMPPPPPPPLLDDVIAEILLRLPPDEPEHLVRAALVCKPWLGIICDPGFHRSYRDFKHKAQVPSFKLIKPQTAEFDTRC >cds.KYUSt_scaffold_719.448 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:3032000:3032395:1 gene:KYUSg_scaffold_719.448 transcript:KYUSt_scaffold_719.448 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAAPREALAATDGVHQWRQICAAVTYGHRGHSALRCCERRSLLNLLAGEPIWRPFCDSVKAFIVAPKSSGSVPDDGAGGHGVECIFICGGVGLDCFFQFLFEVLYVKLDGLIVFSFSLEAIHVKGYPTE >cds.KYUSt_chr2.9416 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59358000:59361063:1 gene:KYUSg_chr2.9416 transcript:KYUSt_chr2.9416 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSLDYWRGFFNGARASIFDTIDAAIRVAAADCPDALRARRDAIAHRLFTVLPPSEEPAAATTAVAGPPPTLPEGSGSVPSLCSSDGPAVPHRRDGQDPVAAEVFRVKAALSSNQQMSEDELLQLLLKLQQLKLTVDTIKITEIGKAVKHLRKHSSKQIRQLVRSLIEGWQTIVTEWMSNEAAIVDIKTDAKAGEQQCPANQESIKKELPVSQQYDPVQNWRLDQSAVRNSRLCGPSGGQTGQQSVTQAQDKPSNAAFGPGRPQMLHSEMVGSEMRPKQLQDISVPQTRRRPKPNTPNQPSTPHDQSSFRAKLELAKNAKLEATKRKLQEGHEVSNAKQQRTIQMVDPQNLPKQGAAHDVVRHANTYLICYKVAGLDANAISLIRLQMLFFVENRRRGTRWHLAHAVAPALQWYPMAPGPCRGTCGPPTRTRERRQSRLRGSRLPVLGSLIPL >cds.KYUSt_chr1.22113 pep primary_assembly:MPB_Lper_Kyuss_1697:1:130691001:130691705:1 gene:KYUSg_chr1.22113 transcript:KYUSt_chr1.22113 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSSYAAAAIITSRATASGQAYARTSKHQQGRPRPGKRGPTPLPRARSSWSAAPTPAARPSGCSLRVLAPPVRMLGVSGLLRCVLHGGGLTSRSVLDGVGLPSQTCSTATFPAALLAAATLDSVVGGLPAALHSGSVPVAAIRGGEGDGLPAALLSGGLARCSPWLSWDKVGLLQRSASRPWLHVAEPWSAAVNREAVRETQGQGGVGGTVCQWPGLGAPGYGSSGNFRWGKR >cds.KYUSt_chr6.27150 pep primary_assembly:MPB_Lper_Kyuss_1697:6:172216185:172216847:1 gene:KYUSg_chr6.27150 transcript:KYUSt_chr6.27150 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRNSILSHILSTSAASPVWPLHRHLSAAAAAVSPRPSFAVEKYLIDTCGLTRAQALKAATQISHVKCPSKPDAVLAFLAGLGLSSADVAAVVARDPKFLCASVERTLDPVVLGLNGLGISRSEVARLASLAPKRLRSRCIVSKLQYYLPLFGSTERLLRALNHTSNLLSSILEKVVKPNVAFLQECGLAILPRAAVRGGYLPSNQRTSGRWRRVLKL >cds.KYUSt_chr6.7451 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45314195:45319177:-1 gene:KYUSg_chr6.7451 transcript:KYUSt_chr6.7451 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGAATPPRFKRTSPRKKLQPHSRRLAINVAAGEAEAARASVAARATPLLPATLVVPAQSLGSIPLPREFFEVDALDLAPRLLGKLLRRDQVVLRITEVEAYRPNDSACHGRFGATARTAPMFGPGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPVSGLDIIQQRRGQQTEKPILLTGPGKVGQALGLSTDWSNHPLYTPGGLEVLDGPEPENILVGPRVGIDYASSEHVTAPWRKAIETATENREVAEAIGAPILRGPWYDASVVLGHRRRSVSCTFPVTGPQGSGLFQIEAIRNGGDGVLSFLRHHDWEIRSMDAHLEVASDDGELKKVTINLVSSSDDQSSGGQCEAEGLSC >cds.KYUSt_chr2.28096 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172366159:172367139:-1 gene:KYUSg_chr2.28096 transcript:KYUSt_chr2.28096 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEPPHVVEDCRGTLQLMSDGTVRRSAELPAVFLVDVPDGDLGVEWRDVTYNQEHDLNARLYQPRHLGAANDARLPVVAYFHGGGFCIGSGRWPCFHAWCLRLASELPAVVLSFDYRLAPEHRLPAAQEDGATAMSWLRSAAASDPWLAGAADFSRVFVAGDSAGGNIAHHVAARFGKTGLSPPVRLRGSVLLMPAMAGETRTRSELECRPDAFLTSKMSDTYIRLMLPDGATRDHPAINLTGPEAPGLEAVAMGPILVVAAEHDIFRDRNTQYAKRMKEWGKEVELVELAGAQHVFFVVNPWSERADELVRLVKKFVVDHMDTE >cds.KYUSt_chr1.29112 pep primary_assembly:MPB_Lper_Kyuss_1697:1:176169706:176173566:1 gene:KYUSg_chr1.29112 transcript:KYUSt_chr1.29112 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPSCKFEEEAKMTGDPLLVLDALVQVFPQVNLSTLIEVSISFKGDLEAAAGYVVHNVLPNIGPDDINADMNEEILGKHEEVSADTHSHLLAASVVNGTLSEPDQFDVAANEDSAETQDSPMGEHLTQSPSAALASDLAVLPEESNSDPVIAGAQNSVTEHENQQEVMHSSNHHTGGHGDEQVQCSSSETNQGMPVSEDNLALHDDGSHDRNMGSNYSICPESIDHIISAENYNKNALLSNVAAISEMLEEVELSEAETKNVVTEASQAGNDILVEAAKLKEMSTSVVEENNKVAAEVFAEKSVLAAEAQGLQSRLSDISDERNHFVTIIDEMHETLQRRRELAQADRAAAEREMVERETTAKEMLKEQEVLLEAAKEESKRLEQQAQENAKLRELLTDRGHVVDALHGEMLGIFDNIAQLQYRVDMGQLASSTMSSSVKSAANKFSAEEPLHFSAPSLPSPVNSAPSRLIYADEPPELAPSSLAGSVHSAPCKLVSVGEPLQLASPNLSSSVKSATSESSWSSATESTSSYNGDEEIAVASPHDKFALDDSWDVVEGEEQCIC >cds.KYUSt_chr6.26543 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168137838:168140772:-1 gene:KYUSg_chr6.26543 transcript:KYUSt_chr6.26543 gene_biotype:protein_coding transcript_biotype:protein_coding MRASPLPLLASSIFFFFFLLLPLLCSPVARADGGTTPTVASSPSSAVAAAAAVGDLASSNGGAAVPPAVAPTAPLVEVKRHHLRRELIIAIALASIAGVAIVLAALYAGIVWQRYRRAPDDFKDTQSTDTARIALVPILNNFNSFKSSKKCLVAMMEYTSLEKATGNFSDTNVLGVGGFGCVYKANFDGGFVAAVKRLGGEDQEYEKEFENELDLLQRIRHSNIVSLVGFCIHEDYRFIVYELMENGSLETQLHGPSHGSALSWHIRMKIALDTARGLEYLHEHCSPPIIHRDLKSSNILLDSDFNAKISDFGLAVSSGNRSKGNLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRRPIEKMAPSQCQSIVTWAMPQLIDRSKLPTIIDPVIKNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRATLESPRVSQHRSPC >cds.KYUSt_chr3.30220 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189226295:189231333:1 gene:KYUSg_chr3.30220 transcript:KYUSt_chr3.30220 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVSRALPFASRSHLHLPSPLRGAAPLLPHLPAASSSASLLSWRGFTPTPEPLRSVPPFAGFLAGIRGLRRGRRGQTAAKREETQDPAPPPSPPPPPKETEIELCARISVEEDLPDDIEVLNTIEILKLNVPMAMKIALDGLLEYNYNTRDTSISDVGKYEKVEVSVLLCNDNFIQNLNKEWTGEDSATDMLSASQYIPDLDVPALMLGDIVISVETAARQAEEKGHTLLDELRALVVRGLLRLLGFDRQSSDEAAMEMEKEELLILKSLRWKGKSHAKAAVDLSKTHTENLNGQEGTNSLKKAGSLRFYRPKFKYIFCDMDGTLLNSKSQVTARNAEALKEARSRGVNIVIATGKTRPAVIDALNMVDLSGRNGIASESLPGIFLQGLLVYGLGGREIYKRTLDQEVCREAFLYSMEHRIPLVGFSQDRCFSMFEDPLVDSLHDVYHEPKAEIVSSIDQLLGTAKIQKLVFIGTAEGMSSTLRPYWTNAVEERAGIVQAQPDMLELVPPATSKGSGVKILLDHLCISPDEIMAIGDGENDIEMLQLASLGVALANGAEKTKAVANVIGATNDEDGVAQAIYDYAF >cds.KYUSt_scaffold_1700.233 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1709453:1711373:1 gene:KYUSg_scaffold_1700.233 transcript:KYUSt_scaffold_1700.233 gene_biotype:protein_coding transcript_biotype:protein_coding MAILISCVTSKALGPYIPDVSRQQRDRGISVSAQRRQTSAGLTMKSWGINRVQTIRRATQIRAVGPLGPEELAAGGNFSLPDLFTNIPSWATFVVGAFFAAVPFYRQLRVMEDKVQQTAEAAIQMIDKVAEATEKIAEDVAETFPENKTLKKASTKIKAIADEIEEDVDKAEALLRKIDQLEEKMDKVVDSFTEKKPERKNP >cds.KYUSt_chr4.17871 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111895420:111900407:1 gene:KYUSg_chr4.17871 transcript:KYUSt_chr4.17871 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIGISKMAGKSWQEAKLMWHIAFPVILTILCNFSIGFVTSAFSGHVGDVELAAVTVSKNVIEGFAYGVLDCIGAIDGTHVQARVPANISAAFRGRKDGTTQNVMAAVDFDLKFTYVLAGWEGSAHDALILADAVERDDGLSLPPGKFYLVDAGYAVRPGFLPPYRKTRWQKIDKLRTLSGALWDDDAKMIVLADQHYLDHTLDHVKDAEFLNKPLVNYEYMEACFADKLATGKFAMGSNEPLGKPIVVECPGKTIDLESGETNGEGFVEAQAASRIGGQGSDATTPSPSSGSNKKRKRASILNDEGIDATQVSNMSDALRFVAGAINNTCHAETHPDLCKTIMALTGFEMDQKLAVLDYLTEHKGKGLNFMNMEAAVREAAFKRILAKNPDLV >cds.KYUSt_chr1.32110 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194825933:194848599:-1 gene:KYUSg_chr1.32110 transcript:KYUSt_chr1.32110 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRDPKVAILPSTTRKGTTLSTSATLDSPSVISQLVSPPQASLAGTSAESENSHNIDNVSAVLDDSGSLGSFLDATIARKRTPDEVEELLAKIGRNHDDWTTPEPTPTPILKKRGLIKLNDEDMREAKKSLKEKGIKSEDVKNLPPIEDICEIIPPSSMIEVNSLQRFTREDIPYSKPPAQCLDEFDNYIVKQENFNMRVENHLMENSQAISNLHDIVERTSNDFKMLVKHFQMIQTQIDQLTKVQNDLLKNNSKEKHAYEVTTRGGVSTQDPLYPEGHPKRIEQDSQRIEPRAPSKKKKKKHKNVVESSEPVNDPNSISISDAETESGNEHDNDNDNNKNDASIKKKLKMNPEKHDKNKKYTKEDFIAKKHGLLDDFFTLVNKAGLAAYMGDEREQYYMLTKIFVESFKFHNKHYGPTVAFKIYGNPITMTLKEFCIALDIAPVGTSRKIDDNPRVLLELYRGITNDDCRTIQRGKIRNIQLPAIKYFSYYIATSVLGRENTSNISSYHLAFLIAALTGQTPYHLGSLIARRLSNRGPIFGGIIASRILAHLELPLDPADVQLTPMRLDIAAMKRHQFVTADSTLDNLVYRMLFADGDEKEIPLPQPDLFSIDREPWSRSKEEVEEKMKIQGFHQQHDSEDAEPSYDYTVTYPGASSSTYPEYDPSSYYGGSSSYVIGASAISAADVDAVAVDQAGEIDNLTVSLGQSTLVVLCRFHVGAGIPGVAPHYIPPPSTFNVLLGSYWFHVGAGIPGVAPHYIPPPSTFNVLLGSYCANRTEQDPAKRLGIQTSLAASPRGKGSPENTHHRYRGVRQCKSGRWASEIREPNHGKRHWLGTFNTAVDAAIAYDKAAIAYFGSRAIVNIGSRVIPCTSRTKQAQTRSSATPAVRTPVVAPPGKGGLENRRHGYRGVWQRKSGRWAAEIREPNSGKRHWVGTFSTAIDAAIAYDRAAIAIIGSSAIVNFPSALPITTDAPVKCYPASWSPSAAATTVFSEHELKPVVTASVLGEHGVNQKVAAPFVFDEHEVKPMLTASVFDDDKREVKPMFTASVKPMVTASGFSEGELKPMVAASVLGEHGVEPMLAHSGSDTTGIAQHWDVSWARQEEVFTDYLNDIAMYIGVHPINEKLSFQPDIKSEDYLVDGVGTDFADSPLWALGD >cds.KYUSt_chr3.26229 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163128962:163134147:1 gene:KYUSg_chr3.26229 transcript:KYUSt_chr3.26229 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSYAPPHPRPNPRPSHKPKPLPRALPFPDALRAAAAAAAISLSLLAGNAAGAPSAWAAAQPPEETCRGEDGGRDAEVKAEAVTNEQLVEEAWEVVNEGFLPDAGSRPWSPELWMQRKQDILQGSVKSRSRSHEIITKMLASLGDPYTRFLSPSDFSKMSKYDMTGIGLNLREIPDDSGSLRLMVLGLILDGPAHSAGVRQGDELLSVNGIDVRGKSAFDVSSMLQGPKETFVTIKVKHDNCGLVESMKVQRQMAARTPIFYRLEKRDNDNSSVGYIRIKEFNAVAKKDLVSALKRLQNSGASYFVLDLRDNLGGLVQAGIEIAKLFLNKGDTVIYTTGRDRQVQNTIVADSGPLVTAPVMVLVNNRTASASEIVASALHDNCKAVLVGERTYGKGLIQSVFELHDGSGIVVTVGKYVTPDHKDINGDGIEPDYRRLPGAVHIHRISFLLLIVQRG >cds.KYUSt_chr6.21019 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132633305:132641790:-1 gene:KYUSg_chr6.21019 transcript:KYUSt_chr6.21019 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPGSSWQTTMAATAAHARARRRHAKPGHGGAIAPPHHGSTATVAPPRQELWEPRDHADPVTPAMAKRVEDERERRLLQIDADGTDRRRLAVRLRPMARAMAAGDRRNRAATVRATQIARERKGIRVRSRRREEEDYDNNEGAEIIGYEEPDLSGGNDTQGWHFIGTDKVAKSPNLEQSGVQMDGELPTLAADNHIEQVTGAVPTTNPRGVVMTGKVAKSNNSGKIAENPTNKRVIYIVAGDDGSHVPTLRQVKLHGEKEQSTEVIDEDAERISAVKFEKSTNSVDHSLQNTQEQNMVHDIMEINETSSSDTSSESDLETSSGSESEKETGKFFYPSPEVLDIAKQPEVGMKFPTLEYAHEFYNTYALQTGFVAVRGRNYKRQKFQLDCNRSGKGRLVDNPNRQRKRKRNIIQKTNCQAKVIVKLVNGQWELTAVQNEHNHPLCPSPSFAKFLMSKKHMSAEERSFSRVLQQCRVPPKKIMKIIKRMRGSFGKIPSEEKQAGNVQTAEQRRKANSDVEKTLNRFMELQLRDPCFFYTMQKDGDNIVRSIFWTNARSRMDYETFGDFISFDTTCTTNRHNMPFTPIIGINNYGRTIVLGCALLQDQRAETYTWMLHTFLQEMGGNMPRVIITNQDEGMAKAIAEVMPQVRHRFCKWDVMGKAQDKLAALAARGNIKGELDNLVDNSLIETEFEEGWSALIERYDASENEYLQFMWQTRKKWAPVYFREDFYPFIASAGGSQGTISLFKGNVLPKDRIEKFIEKYEEIQDMIIKMDEEDGLQSGTEPSCFSLQPIERHAAHIYTRQIFLKVQKELLHSTAFKVQEIQRDALYRLDKVFNYENPEI >cds.KYUSt_chr2.51185 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320053509:320053991:1 gene:KYUSg_chr2.51185 transcript:KYUSt_chr2.51185 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLGESLEEIPAAYVVVPENVPAEDIQVDPGDPAAVKLNAFLSSVFRPVPPPILASPPSRRPRAPKEVATTPRRSGRIEKQKQLRKDVTSQELLARVLGILKENAEFDDNALDAFINKFKTPLSPRSITMLGSLVKNVEKVKKAKGNKVGAKKKAVEIT >cds.KYUSt_chr6.11969 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74527810:74528967:1 gene:KYUSg_chr6.11969 transcript:KYUSt_chr6.11969 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVERSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGGTLAV >cds.KYUSt_chr1.41460 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254274585:254275996:1 gene:KYUSg_chr1.41460 transcript:KYUSt_chr1.41460 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRDVVTKDASTGAKTVEKKTRYKRAAKGELSPPKLKKIKVSQDVVRKYDKYVSHGRKFKRKKKNEVAKEFLKIGRFFCSYKSFIGALRPRQYLSNVVMAVWTEKFNHAAKASAEKNPRNHKRYAFSPYFAEKLAVETSTFDPASVMKEFKIACSKFKILKDDMFSNFVRTANESKVSWVDFGKFKETTPDHPQQDTLYDCGFYSILYMEHFNGKVMPNFENDVVPDFRRLLAASLIDNRDNQSDDVDVIMNEDLQQG >cds.KYUSt_chr2.54137 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337872075:337879885:-1 gene:KYUSg_chr2.54137 transcript:KYUSt_chr2.54137 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISGEPLGVTHGRFWVLHQISDDEDDSGKPSPASASRNSLSSSAYLSAVPSVQNRSLEQKSLSAILHREEKRRRQREAGITMRAADGLTVIQFQLVKEAAEALARQFVERQPDPVVLCNCCQSADHSSNACPLLTPPRPRMAMYGIGHADLAFWELSLSEGARPRVENTSCSVELWEIIVHGYREPQDPIRLTSTEFYNRQLNASARDKIRSGINRKLLDQVNDIESAKELWDRIVVLQEGTDLIQSALYETAKQEAHQFMIQEGESIADAYARLGALRVRVKGLGVEKYNDGFEMNEAFIKSKVIAMIAVKQEDTNLALNLQIMTKSADLNSDDLVSYVAANENMAKAGKRLMAMNRVDEVSHNHEASHNLALKARADNGREEDYEIGEDEEMTSTSDIATDFAFFAKKYKEKFPMLLNDKKKKKKITCYNCDEDSHFANECPYEKRVDKPKFIKGVKPRLKPNLINDRYKKNKGRAFVGAEYLSDEEEEDEEKETGVAGLAFSKPGSLFTYDYSKDYPTENDVVLGG >cds.KYUSt_chr5.37642 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237735963:237742030:1 gene:KYUSg_chr5.37642 transcript:KYUSt_chr5.37642 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPCRCCFSLVGAAPRLGYVNPRPLQSSFSSASSPCSFVSSCQVPRPAARHLVVCSTQRSGGLWCWLSLAVWFMSLLCSRPLKGQHKPKFYKDKGKTSCTTYQLLFTFRSQLLSPQISWAVFLFEAHFHRDRRRPAVLHRNFERRRSVVVTRETRWWKFFGERKRGVMAATPRDRRRRGRAPGAASAAAAEDDGEEHHLNPFLSDEAPSSSRIQFRNVASRARWVEDAGEAEVLDSKGKLWLTTGVTRGGKLYYNVEEIGFLAERGALVLLDDEDETIGLEEIYKKIAGGNYGCSWDAFQAYKHLKVLGYIVGRYGVPWTMKHSGACEVTDSPKSMADTERCSDRANGACNDITKFLKEMHVDGIYPSFEVHLPNSKFKKSCPGVPTFLLCLLRDKPPSRDELETVENKFEGIPLKFCQVDNGRISFLTFDNVTLPSLP >cds.KYUSt_chr3.25730 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159728826:159734117:-1 gene:KYUSg_chr3.25730 transcript:KYUSt_chr3.25730 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNLSFETIVQPCDPPKGALKLVKKTAIEGLAPSSISRSQAKPKHHPFPPPKKAPCFLAPDRRAPYLSEIGQEGRGYNLDIAFKKESGAVSVVAATVGQGFPPVQSPHPTTHTSHQRESGAGRCDEHRRKEQQCSDLVPPCPHPPPLHRPHGRRTRTAAPPPAARSTSPHPAPKAAALDPWPQPGRVDENVEHILRMIGEENQLAESEADDSGNSFKKSKLSSLVKGFHKDYQYLHKHCKQLISKLENTGHSSSGSDSSSSDSEADRSDNDVPKPKADTANEEDGWEQILVGEHESELQSLEEEIEKLKQKAEEKTKEISDLKKLLDKAITDKEATRVELSSDVANLSSDNEQLKLLVEGAEKEVAESLKRKTVMENEIKILSDEKQIIARERDGLKISIVDLENNREDMGNQLRDTVEKCKSLSSHLEKAQLAEKEVQTLLSEIQESKNENLMLSVECDNLKAKEKNLHTECSELRETLIETKTENDTLIGENNSLESKLQHLTIQIDSLTVEKEDLMNNLSKELGAAQEEKSILESEHSKCLNELEIAKASVKELEKEMESTKLALNDNIAELEKEKHSVALEQNQLEISLKKLENEFEQQLERISVMEKNNENLELVNSSLQNELAAVQRQKNEAVASTVDLESKLQQQNQQVSILREAIEDLRAAKNDMYNEVIVHLEEKNAALAQFDQSEAYLKNLQSEMEEKQNQISVFQQANDELQEKISSLDRQLEDAKTNMQEEIILLQGEKEQTLDNLQKSNASVKRLECELEQQRENNYNIQLANEDLQKGNSNLKKQNEEAMVSFHAEIVAIQDEKNKTLSELQQSVVSIENLRIELDQGREQISILHLANEDMKNSNASLNKQWEESRSSLLEEIVTLRGEKETALSELQESHASTRNLETEVEKQSASISALQQANDDLQNNICALTEQFEKTKVELQKEIKVTQEEKDKVITQLKQSEFSVKNLECEIARLKEDLSIQLENNSTLEKQLEESRTSLRMDILALREEKEKALSELQQSQASVRSFETDLEKQSQTISALQKANEGSQQNNCILTKQLEEVKVELQRKVEVAQEEKDTLLTQLKQSESSIKNLESEMVQLKEDLLVQLENNSSLEKQFEEAILKVSNLHEKLEKVQADAASQIDDMNSNAKDLEKIIDILSSQKTKVEGGLKNMIQACTGNMSVMSKFEDRVEQKITGHEAKLGGLQQSLRGILSAYQRHQHAYDEVCTKVSQLEVLKKNQIEEINILEEKSTEILDKYRHLEEEKLYANKENENLQKQVQEIENQLQLAKQKLKVTEADSKCKEDNYVEEVEKSQAEIRHLEQEAQQFSGRISMLEGTLAQIKESSELMISKLAGQLDNIESHSSKSSVHFITRLSACSEELSVLKNMLHNHLDEQEKLLKENDELSFSLRKKEKVMSEMVRNAAEADQKMVQLEKIIEEKDGELAARVQEKREAIKQLSDTIDYHKNNTDDLVRYIRSHNRPRLPFCL >cds.KYUSt_chr5.23683 pep primary_assembly:MPB_Lper_Kyuss_1697:5:154155857:154156321:1 gene:KYUSg_chr5.23683 transcript:KYUSt_chr5.23683 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAQEAEKRYGRTTGNSDGGSPRQGRKVGEDGDDGGAKRRSSACTAAHQVRLGGGGVLEQLRLRFSEEEEGTTAAPSPRSAQRAAANNDGKDGDLRQDFLAVLSDETEDFLSMSLSDFCGAAERSRGAAQRKRSRVCGLIWRKGRRIEELGR >cds.KYUSt_chr4.54377 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336038477:336040421:-1 gene:KYUSg_chr4.54377 transcript:KYUSt_chr4.54377 gene_biotype:protein_coding transcript_biotype:protein_coding MKSATSLLLGAALATAFFLLYTSVRRDLDQGPAKLAPPQWTRQDDSVRAAADRPNNQELVEQEKKDVVTSSDGGGRDGSSSHQQKQQQQIVMPAEKQQKTEKSQDLADLLRRAATADRTVLMTALNEAWAAPGSFLDLFLESFQHGEKTAYLVKHLLVVAMDKKAFDRCNTVHPFCYWFRVEGMDFAAEQKYMKGDYLEMMWNRNKFQQTILELGYTFLFTDVDILWFRDPFPRISPTAQLVMSSDFFVGDPNSPGNYPNGGLLYVKSCAGTIGFYKHWQSSRERFPGMHEQYVFDKIVKEGVPPHLATKVQFLDTNYFGGFCQHGNDLSKVCTMHANCCVGLENKLFDLKNVLQDWKTYKTRAAVGNTEYFSWRVPGRCIH >cds.KYUSt_chr6.6018 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36154069:36158288:1 gene:KYUSg_chr6.6018 transcript:KYUSt_chr6.6018 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIPNCLHQSIAEYRQQLFPSCSITSQTLSLSLRFTSCSLVKIQIGTRAIFSTIYQFGWAMGECGGSEYRCWEELLPDALGLVFRNLPLQEVLTVVPRVCKSWGRVVAGPYCWQQIDIEDWSQQRQSQPEQLVRMVEMLLRRSSGSCRRLSVSGLPCDPLFSFVGDHARALRTLEIPRSEISDAVVETVAPRLPNLTFLDISSCTKIGARALEAFGSHCRSLVGLRRVMHPIDVAGRNACHQHDEARAIARTMPRLRHLEVGYMLVTTEAILEVLARCRDLEFVDLRGCWAVDERFLQERRPGLSFLGPGVDDCFENSYWEECSDDEDDDDVYSWELMDDDEYYAVVGSDDDDDDEEAVWDDGQGIENLEMYLHIGWEKFARYHRLEAGFMLVFSYFGDRDVSVKVFDETRWRWNYHGDSADEDDD >cds.KYUSt_chr3.31867 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200163443:200167591:1 gene:KYUSg_chr3.31867 transcript:KYUSt_chr3.31867 gene_biotype:protein_coding transcript_biotype:protein_coding MGARALCLLLAIVVAASSFFSPSVATTDTIDLTTSITGNQTLVSDGGVFTLGFFSPPGGRTYLGIWYTSIPGQTVVWVANRNAPLVSVPGVLKLAPGGRLVIVDRRNSTVWSSPAPTTKNQIITTRASAKLRDDGNFLLSADGSGTPQSAAWQSFDYPTDTLLPGMKLGMDFKRRLSWNITSWRSATDPSPGPFTFKLVPGGLPEFFLFNGPKMIYASGPFNGAGLTGVPNLSSEDFVFTVVATADETYYSYAVTDAKLLSRFVVNGDAQRLQRYVWSPDAGTWSSFWYYPTDPCDNYAMCGPFGYCDTTASPLCSCLPGFEPRSEKQWGLRDTTAGCVRTTNLTTCPGTGDGFWPMNRMKLPQATNATVYPGMDLDQCRQLCLRNCSCTAYSAANVSGGVSRGCVIWAVDLLDMRQYPEVVQDVYIRLPQSEVDALTAAAAAAAHRRPSRKVLIAGVAAAAGVLLLLAIVCCCCWRNRALKKLQTKTAPTSRNDDVLPLRARKPPLGPSRNQRLEEDQMGNDKDLDLPFLDLDVILDATDNFSADCKIGQGGFGSVYMANLGEGQEVAIKRLSKKSVQGIGEFKNEVKLIAKLQHRNLVRLVGCCIDGDERMLVYEFMHNNSLDTFIFDEEKRKLLEWKNRFEIILGIARGLLYLHEDSRVRIIHRDMKASNVLLDKNMIPKISDFGIARMFGGDQTTQHTMKVIGTYGYMSPEYAMDGVFSMKSDIYSFGVLVIEIITGKRNRGFYDDELDLNLLGYAWTLWKEGRGVDLVDEAMGGTFDYNVVLSENATMPEPNEPGVNIGKSSSDTDSSLTNTGTNFTGTAIDAR >cds.KYUSt_chr4.53638 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331848718:331851559:-1 gene:KYUSg_chr4.53638 transcript:KYUSt_chr4.53638 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFYYVVFGALSAVVAGLELGKSGKDRVATPTAFNAFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCITKHSPQYRVLMIGRILGGVATSLLFSAFESWLVAEHNKRGYDPQWLSITFSKAIFLGNGLIAIIAGLFANLLADNLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDSSEGKDLIAQFKGAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLSSMLGSSIASRLLARKMKVEGYMQIVFSVSAVTLFLPVATSLLVPASLKGNGISFAGSLQLLGFCTFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVADLHKLSTKAQEMTGEDEPLNP >cds.KYUSt_chr6.22866 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144473795:144474916:-1 gene:KYUSg_chr6.22866 transcript:KYUSt_chr6.22866 gene_biotype:protein_coding transcript_biotype:protein_coding MGELIPGLPEDVARECLLRVGFRQLPAAHHTSRQWKAEVESPLYSRLRRANGLSRPLIALSQALPPLATSGPACKYAASAALSNSYRLVLHDPADGGWATLPPFPGGGGLPLFCQLAAVACGGRRKLVVLGGWDPETWTPTDTVHVYDFLAGSWRRGAPMPGPRRSFFACAAAGGRVFVAGGHDEQKNALRSAAAYDAEADAWAALPDMALERDEARGVCVDGRFVVVGGYPTQAQGRFSGTGEAFDTAAWAWGPVQERVVDEGTCPRTCCVAPSAAGPMYMLRDGHLAARDSGNPWRSVARVPEDGRAATTLVAIGDGRVVVLGAGRHGGGEQAVYVLSEETGANGAAPSWARAVAPPEFAGHVQAACCVEV >cds.KYUSt_chr5.3344 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21665097:21668243:1 gene:KYUSg_chr5.3344 transcript:KYUSt_chr5.3344 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSEEPPNPPPPLEPSGSEAPPDDPVPPPPALPTPVTEAAAPASVPLPPPAAVWTAVSPPAEANGNSDRKKKSAEGGRRRWVQDVQLQEVQVPQAVSAHSFSTVSLIMANVGVIMDSQSILATPAANERNGTELDSSDDDDDEDDYYMNRPLSPIPPSPVSRESSFQQDTLVGVEVHTMNGHLYPKPLTQVRPESWPLSRRPAEESRGEQWRFSRRPSEDGTSDIMDGHTNHSKFQRENNNKQPESHVDNRYSITRCIEVMNGMAELSPVEKSLAPDVFLDASSREIFLSLSVDIRTMWLKRKMRSLV >cds.KYUSt_contig_7513.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001572.1:17215:20813:-1 gene:KYUSg_contig_7513.2 transcript:KYUSt_contig_7513.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPPPFLILLIPLLAAAALTLTTAATIDPGDLAVLEDLRGTLTNAADVLAWPKHTDACAWPHVSCDRAGRVNNLDLKNANLAGTLPASFPTLTALQDLSLQNNALSGPLPSFAGMASLRHAYLNGNDFLSIPADFFSDLADLEEICLDDNPRLNASTGGWRIPPTLPASAPQLRVLRLDNCSLVGPIPPSLGAMTALQSLMLAYNNLTGAIPPTFSSSAIQTLWLNNQRGDADRLSGPLSFLAGMTRLQQAWLHGNHFSGPIPDAIANLTQLTQLWLNGNRLVGLVPPALLSLPLLRDLKLDDNLLVGPAPALLFPGANVTFSHNGFCGAACAPEVTALLGFLAGVGFPQRLAESWAGNDPCKDWLGVSCYQAKVTLLNLPGYGLNGSVSASLGNLSALSDVRLNANNLTGRVPDSLASLKSLRKLDLSANDLTGPLPAFSHDVSVNVDGNPSFNGPSAPAHRSPTTPSTPAGSPRGDNNTNTTSNNKKRSALVLAVTIPVAVTVLALVSLGAVLLLRKKNNNGSVQPQASSVVVHPRDGSGSGSDPDNLVKVAMASSDSFGGTSSATSSRDSDIHMIEARSFVIAVQVLRSATKNFAQDNVLGRGGFGVVYKGNKALDEFQAEIAILTKVRHRNLVSILGYAIEGNERLLVYEHMSNGALSKHLFQWKVHGLEPLSWKKRLNIALDVARGMEYLHTLAQQCYIHRDLKPANILLGDDFRAKVSDFGLLKSAPDGNFSVATRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELITGMTAIDERRIDEETRYLASWFCQIRKDEEKFRAAIDPALVLTDEILESISVIADLAGHCTSREPLQRPDMGHAVTVLVPMVEKWKPSNNEAEDYMGIDLHLPLLQMVKGWQESEASMTDGDSILSRLDDSKGSIPARPAGFAESFTSADGR >cds.KYUSt_chr7.38643 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240553111:240557365:1 gene:KYUSg_chr7.38643 transcript:KYUSt_chr7.38643 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGVSTVAIAVSGSKNSRHALKWALDKFVPGGQVLFRILHVRPPITMVPTPMGNYVPISRVRDDVASAYREEVEWQARNMLLPFKKMCAQRQVEAEAVLLESVDVPSAISEEIDKFNIGKLVLGSSSKSIFRRKVKVSKTASKISECIPRFCTAYIISKGKLSFVHTATSDTCETPRSISSSTVSSPSTRSLSSSARSDWIHRNGTENVAFHQASLSSQHDHTLPQVNKLSNRGATPSDSTGSEISYHDGTTLITNSHSVTSETQLSSSSSGNSIQKSFLRDTSLDGTDLRASVSDTATSLNHSVEQRDLKLEIENIKVKLQHLQKLHEVDQNESVDSPQKVCDTLGIRHNKEQIKHREIDMTEHMVRRLVRKMEREEHNVTKTESQTSLDSSEREAIQTNGDHQSAVEKKTGQEIAGRFFTEYNRYSWEQLQAATSSFSSDLVIGKGTYGTVYRAKFPHTVAAVKVLNSLEGFGSKQLQQELEVLGKIRHPHLLLLLGACPEHGCVVYEFMENGSLDDMLHHRRNGTPPLAWYDRIRIAWEVATAVAFLHSARPDPIIHRDLKPANILLDRNLASKVGDVGLSTALPLRATGEGQHTMVKNTAPVGTFCYIDPEYQRTGAVSAKSDVYALGVVVLQLLTGRTSPLGLAHAVETALEDGGSAFTDILDATGGKWPLEEAQELAVLALRCAEMRRRDRPGLHEHVLPALERIKDVAARAARLVRSASAATAPPGHFLCPILQEVMVDPVVASDGYTYDRKAIEMWLGMKDKSPMTNLRLQSRSLIPNHSLRSAIMDWRSSSR >cds.KYUSt_chr4.44926 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278143597:278144934:1 gene:KYUSg_chr4.44926 transcript:KYUSt_chr4.44926 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGGGGEGEHGCTAQDHPPATVRVDEDALPHSTPPLPAIAPVDPQEKRQRHPEASLPEGPLVEILARVPYSSLCRFRCVSKPWLALCSSRDIIKRSPQTLSGFFYYGSNALLSFRNLSGRGLPQVDSSLPFLRERYKCIFVKEFCSGLLLCKCWELCSMRGESHYVVCNPATEEWTVLPPVEFTAQDLSHAFHIKPVPMLYLGFDAAVPSRFVVFAPLTVGCHVSGKMAIFSSETGQWTYVQSKWSSGTAIDHACKRRVFLNGTLHLTTLDKSIVTVDMEGKVWREIKMPDGLPTASDIVSIGQSQGRLYVWQVDNTHDCQLYIWVLEDYGTGKWALKHTVNVLELFGRHRPEDADYYMMFAIHPDCNVVFLTNMKKETTVSYDLDKQKVHVICTEFMCGLPYIPCFAELPSAGH >cds.KYUSt_chr6.7354 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44697509:44700424:1 gene:KYUSg_chr6.7354 transcript:KYUSt_chr6.7354 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYGAPLGKQFLPLKLSGSMAFGSENSAHEQALLECPPTEVLCGSSKQFVPCTQPNADAVADVAMPGVNEFHTISLKFVEIASQGRRLGKHVVNQFLSSSNKHLKIYFPASTDASEFLPLVQLPVRSSKSDVECEAVLMSYGVWQQERGGQRQGEESFLSRRRHGARRSTSASSTLSSGLRLQEDRGAHLHQDHGTGNPSSFPLIIQKLGLAARLPPQYPSRRLAVPQPPQSSPAGSDKITFHTNIEIHNRLSKM >cds.KYUSt_chr2.49141 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307585034:307587783:-1 gene:KYUSg_chr2.49141 transcript:KYUSt_chr2.49141 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEHPAGRAADEDDVSPVEQVRLTVPTTDDPTLPVWTFRMWTMGFISCALLSFVNQFFAYRSEPIVISQITIQVAALPIGHLMARVLPEKKFRLFGRECSLNPGPFNVKEHVLISIFANAGAAFGGGNAYAIGIITIIKAFYKRNISFVTSLLLIITTQVLGYGWAGLMRKYVVEPAHMWWPTSLVQVSLMRAMHEKERRRMTRGKFFLIALICSFAWYIVPGPLVSPFFATANVFVGYIVFVYGMLPISYWVLNLYNASTFPLFSNDLYTGSGQLYNISAIVNDKFEIDMDAYAQQGKIHLSLFFAVSYGLGFATIAATLSHVILFYGKEMCQRYKESFKGKPDVHTRLMRRYEDIPNWWFYLLLAASMAVSLVLCTVFKEEIQLPWWGLLLACVMAFIFTLPISVITATTNTTPGLNIITEYCLGLIMPGKPIANVCFKVYGYMSMNQAVSFLTDFKLGHYMKIPPRSMFLVQFVGTIVASSVNTVVAWWLLTTVPHICEKDQLPESSPWTCPGDHVFFDASVIWGLVGPRRIFGPLGYYNALNWFFLVGLCGPVMVWLFARALPRHAGWISLINMPVILGATAMMPPASALNYTAWCFVGTVFNFFVFRYRKGWWKRYNYVLSAAMDGGVAIMGVLIYFALSSEGNQLDWWGSRGEYCDLATCPTAKGVLVDGCPVL >cds.KYUSt_chr4.33214 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203566992:203570163:-1 gene:KYUSg_chr4.33214 transcript:KYUSt_chr4.33214 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQTIATAEPAPAQQPQPRGLPPKAPLAEAEAPRSPSPVSPLTTVAQGYERQREDRIRKNRERMHKMGLLDLANTFNLSATPAGTGTGRGRWRRKPETPGSDSAAPRIKPAAPLPVRRSLRLTSAEPVSYAEIPTKKEKQERGSAFSIEHGCKEEIYTEEHEKLLGKCEAPWTLFVDGYDRDGKRIYDQVRGQTCHQCRYGENVLEAKKNPNWTCPVCRGICNCSICRTKRGWFPTGNAYRKVVRLGYKSVAHYLIATQRAGANSEDSSYADSNELPSTDEVSEHAQDAKQGLEDAADMSSKVMNVQTEGSRKAAVEGVTRANAPGKKITSDVCKDDSRSESGVTFDSLDGQANHDIGCVTPSKREPKRRKKLVERSPDCVASRLRSRSAKS >cds.KYUSt_chr2.7437 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46655376:46656746:-1 gene:KYUSg_chr2.7437 transcript:KYUSt_chr2.7437 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPHREEKNAQPSTLSIIAFIMWVSFLKAALLDNKMQGLALTASSSKIVLAMFLLGLAAGAAAADGRRRHVSRSPDEPCKKMTLYYHDILYNGVNNSANATAAPATKPTVLSTVINPNGTYFGMLVVFDDPVTEGKALPVSGEEPAARAQGFYFYNMKQTTSAWFAFTLVFNSTESKGTLNLMGADLMDEKTRDFSVVGGTGDFFMARGVATIRTDVIEGFYYFRLQMDIKLYECYV >cds.KYUSt_chr3.40382 pep primary_assembly:MPB_Lper_Kyuss_1697:3:254420208:254422610:-1 gene:KYUSg_chr3.40382 transcript:KYUSt_chr3.40382 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAFLTLLYTPSVYEHQLQSNSRFVNVGWIWDKTIPDPRYVSSMDVQWEDVYKTIENLNGGELKLKVGLLNFNSTEFGSWTQLLPESEFSIIRLEHAKESITWQTLYPEWIDEEEESDIPSCPSLPEPNFPRGTHFDVIAVKLPCTRVGGWSRDVARLHLQLSAAKLAVTAARGNRGVHVMFVTDCFPLPNLFSCKNLKKHEGNAWLYKPDLKALKEKLRLPIGSCELAVPLKAKSRLYSVDRRREAYATILHSASEYVCGAIAAAQSIRQAGSTRDFVILVDSTISDHHRKGLEAAGWKVRIIERIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMNHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEDVVKAKKTQLFGADPPLLYVLHYLGRKPWLCFRDYDCNWNVPILREFASDVAHTRWWKVHDKMPKKLQSYCLLRSRLKAGLEWERRQAEKANFIDGHFKRNITDKRLKICFEKFCFWESMLWHWGEAPNSTKKALTTQALPSTAKLSSS >cds.KYUSt_chr3.42039 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265421169:265421642:-1 gene:KYUSg_chr3.42039 transcript:KYUSt_chr3.42039 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPTTRKLAMNETTGHGGHGDHDARLIRDLCTLLVTIIAPVAAASAPPDTRRPVAPGRPLGGMSPAAAASMLLGASMALMLCGSVTFAIGFLLMPWVAGVALLFGFAGVVSTLSSGLLPSPTKLQPLAAPPPQTQGRIRPSPVSPRPATDKLVAWR >cds.KYUSt_chr4.27316 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171553852:171556657:1 gene:KYUSg_chr4.27316 transcript:KYUSt_chr4.27316 gene_biotype:protein_coding transcript_biotype:protein_coding MAETHGGGARRRWLVDIARWCPSPAQFQAAAALLPPHHHPAIARFVREEDRKRALLSRLLQYSLVHHVLGIPFHQIDIRRTVEGKPYLENGTAAFRNFNFNTSHQGDYVGIASELLCLVGLDIVCISKPQGETTVEFLKNFSSYLTDHEWNCIDRAAGSVEMLTEFYRYWCLKEAFVKAIGAGVGFGLNRLEFHHVQWSNISVYIDGIESRNWRFCLFNLDEMHLASIAKGHPEDAVSSFKRTLSNLVFEEEEFYAALEIPEEVFTLQTVEQLTQL >cds.KYUSt_chr4.8892 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53367132:53367365:-1 gene:KYUSg_chr4.8892 transcript:KYUSt_chr4.8892 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGVWFAKFARETAPAADAEVVGRPHQGCGDGMLEVESVKKGGAQIQERRRRNSGVLSNSEATVCLLMDRFAPA >cds.KYUSt_chr7.38267 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238629412:238630222:-1 gene:KYUSg_chr7.38267 transcript:KYUSt_chr7.38267 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQTRAYLDQRLAIAKRCSREAAMAGAKAAAVATVAAAVPTAIYFNRNNAQSHPVSSSVRFLLYSQLASVRMLPWAKAHLNPAGQALIISTVAGMAYFIVADKTILSMVRKHSFEDAPDHLKNTSFQ >cds.KYUSt_chr4.40180 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248054263:248061501:-1 gene:KYUSg_chr4.40180 transcript:KYUSt_chr4.40180 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAYYLCLLSALILPLLLLSLFSKRGRGDGLRLPPGPWRLPVIGSLHHLASSPLMHRVMADLARRLDAPLMYLQLGEVPVVVATSPQAAREIMRTHDTVFATRPWSATVKIIAAEGQGLVFGRYGPLYRQLRRICILELLSARRVQSFRHIREEEVGRLVATVVAASSSGEPVNISERISVMITDSMMRAMIGDRFKRTEEFMETLEVSIKLVSGFNLSDLFPSSRLASFISGTARLAEENHRKSFELMEYAIKQHQERRDRATVEGEDLVDVLLRIQKEGGLQVPLTMGVIKAVILDLFNGGSETSATTLQWAMSELMRYPNVMKKAQAEVRANLQGKPKVTEDDLVNLKYLKLVIKETMRLHPPGPLLLPREAMETCKILGYDVPKGTTVLVNAWAIGRDPKYWKDPEEFKPERFESGILDFKGTNFEYIPFGSGRRMCPGMAFAEVNMEIVLAALLYRFDWEIPGGRKPDELDMSEKMGIAVGRKNALYLHARVSVPLAMDDRYKTLFDALSKQQGGGRQETEVKEEMHPLQMPLIKLEGSESYASWAEHAETILVSRKLEGYILGTVEKPSEEESKEGQRWRMTNALVRAWLLSSLSPKIAKQVERIKEASEIWRLLKGTFSGVGNEMLACKIQKELQGLSQGENSVVDYASELKRLWSDLDFYDSVDLECGKCIEKVNKWTEKRRVRDFLNGLDSKFENRRAAIYGSATLPTLEQAISAIITEETRLKLETSGSAIQGIAQRRSALYAADGGNYERPATDFSEKRCFECGQPGHFRMACPLLTGGGRGRGLDWRGRGRGRGFDGRGRGRGIRPTGRANISAASGEASQSSVKVELSAEDWEKWCQFKGLCLGDKQTMATTSASTSTSSTNFGGNNSFTMDVPWLIDSGASRHMAGSRKSFVGYNLESKLQNVKLADGSSQNIMGSGTIICNNGMLLSSVLHVPSFPINLLSVSCITQELNCAAIFFPTWCLFQELGTGRSLGMGNMRDGLYYMDANMSSSVAAAAASLSSLEELLLHHRRLGHMSFANLGYLYPDLYRKVKKEDLVCDACQYGKQTRISYVLSDNRSEVPLQTIHSDVWGPSGVSSLNGYRYFVTFIDCCTRTTWVYVLKNKSDVFECFKDFHNLIMNQYNACVKFFRTDNGTEYVNHDFDEYLSGFGIIHQTTCPGTSEQNGLAERKNRHLLDIARCIMIDADCETGGDRDKDEENNGTTSTKLIIGAIPIGDEIEQEIPNEASRWPKPNEEREIQVYKRRHKIEEQHMQGEEITSSGHEPEAQEEPAIDSSSSLQIPQLSASDDEKEIQCLKKMLAKSFEVKDLGHLHYFLGIEVAYGMQDQAI >cds.KYUSt_chr2.54426 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339556886:339559396:1 gene:KYUSg_chr2.54426 transcript:KYUSt_chr2.54426 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDWQELAQSAVIGLIFAFLVAKLISVVLAFKEDNLRITRSAPAPPAAPSPSAPPDDAPGPSHAADPLLDGSGSSDSDWEGVESTELDEDFSAASAFVAASAASGTSVPDEAQLRLYGLYKIATEGPCTAPQPSALKLKARAKWNAWNKLGALPTEEAMEEYITIVDELFPNWADGSSAKKRDGDSTMSASGSKGPMGPVFSSLMYEEDQGTETELGDIHVSAREGAIDDVKKHLSAGVQVNIRDSEERTPLHWAVDRGHLNAVEVLVNSNADVNAQDNEGQTALHYAVLCEREDIAELLVKHQANLQIKDGDGNTPRDLCSSAWPFMKPAN >cds.KYUSt_chr3.36004 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226316212:226322768:1 gene:KYUSg_chr3.36004 transcript:KYUSt_chr3.36004 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCARVLKAKYFPNTDVLHVEPVDGMSYSWRSILKGIKLLKEGVIKRVGNGALSIFGSNLGFQGMEAPISSLTEDSSSGGWGFVIRISLGEVVASASGRIDHARNALQTEAMVCLHAIRTAQDLGITEVEFESDAPILVQPINSSEFDRADNGVLFREIKTLLSASFNLVVVVVKFSPRACNMVANALGSHGSKLLLSPHVTWTGDAPSFVRSVVTSDRAGLSRGAKRRKRQPEKALPAGVTAPMPPPDAADWWDSFSRRLAAGHNSKESQNFESVFRMSRRTFDYICALISGDFSRKTQGFRNFRFGDKTILGLEDQVAVALLRLTTGESLLSIGNRFGMNHSAISNITWKFIESLEDRAINHLKWPDPEEMATIKAKFEKLQGLPNCCGAIDTTHILMCSSAQPNSNVWLDGENRNSMVLQAIVDPDMRFRDIVSGWPGSLDDSCILRTSGFYKVCEKGTRLEGQMELPGDGEGAGSVVREYIIGDTSYPLLPWLMTPYQGRDLSPAKAEFNRRHSAARMVVHGAMARLKERWQVLKGELWRPDKHRLPRIIYACCLLTNIMIDREDSERNRTSAGHNHDDGYMQQFSDVADEGAVAQRDIICQHVSRLGSKLPE >cds.KYUSt_chr3.29402 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184063312:184068722:-1 gene:KYUSg_chr3.29402 transcript:KYUSt_chr3.29402 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRCTPMVGAPPPPPPPSPTAVVEAPASAVSEAPTAVLVAAVGLDGRLGLLVFEVVVEALELFVLFGRRRLVAWRSAAVAAMSRQGSVRTALWSLISICFSHVTLLARGQAPDTVGFISIDCGSPPSAAYVDTITGLPYVSDADFIDTGVSHNVSAEYVDASLPRPHYDLRSFPTGARNCYTVRPLTPGTKYLVRATFLHGNYDGLGDGGLAVFDLYLGVNLWRTVNISSVSSTFRAEIISVVPDDYVHVCLVGKKGLGTPFISGLELRPLADELYPIVANASMSMDVHGRHNLGPEDESLIIRYPDDPHDRIWKVLANPRSWIPTNTTAAVLNVAQDQFLVPSAVMQTAATVDDGFSLQFYWDADDSNKAFVYYVALHFAEVRALNSSEARICEIYLNNDLWYSKPISPVYLYSGAVFGTVTGKKQYNYRIEPTNNFTLPPIMNALEIFVMVHTAERATDGGDVSAIMAIKAKYEINKNWMGDPCGPKIYLWEGVSCNYAISSPPRIISLNLSSTELTGEITSAFRNLSALQTLDLSLNNLTGNIPEFLALLPSLSVLDLTGNKFNGSVPESLLKRSQEGSLKLRYIDNGPSKSDSQPRGTKRNNTAVIVPVVVVVVVVLLVVAVIVTVFFCRRRTENDPSVRPLNGSHSKEENEDAVSLQFDNRQFTYKELKTITNSFEKSIGKGGFGVVYLGYLEDGTPVAVKTRTESSSQSHNEFLGEALHLIRVHHRNLVNLVGHCKDGQHSALIYEYMSEGTLQEKLREKSPDFVPLSWRQRLRISLDSAQGLEYLHKACKPPLIHRDVKTANILLNGSNLEAKIADFGLSKAFNNDLQSHVSTRVVGTPGYLDPEYYTSFQLSEKSDVYSFGIVLLEVVTGQPPILPESVHIVQWARQRLAKGDIESVVDGNMQGRYDLNSVWKVADLALRCTEQASSQRPSMADVVVQLKESLELEEGCVKVQSSYAGSGDVYAESGDARSQSMHSGMALDLVGPAAR >cds.KYUSt_contig_686-1.619 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3718140:3725271:-1 gene:KYUSg_contig_686-1.619 transcript:KYUSt_contig_686-1.619 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKIRWGELEEDDGADLDFLLPPRVVIGPDENGFKKTIEYRFDDDGNKVKVTTTTRVRKLARARLSKAAVERRNWAKFGDAASGDDASARLTVVSTEEILLERPRPPGSKADEPSASGDPLASKGGAVLMVCRTCGKKGDHWTSKCPYKDLAPAPDSLDRPPTSEGPPTLGGGGAAKGSYVAPRLRAGAVQDAGHDMKRRNDENSVRVTNLSEDTREPDVLELFRTFGPVSRVYVAVDQRTGSSRGFGFVNFVHREDGEKAISKLNGYGYDNLILHVEWAAPRPS >cds.KYUSt_chr2.12533 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79539473:79541534:-1 gene:KYUSg_chr2.12533 transcript:KYUSt_chr2.12533 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLKIVTVQPAALLPGHEHGATGPRRRLAGALPRVGGLSPLPLARTSRVRSLTVAMALKEEEPESSRSRFAGGAASWDPGMEIGVPYEQRPVNEYSALKESSLYSWAELSPGSFFLRLGSLCLVTFTVLAAPISAASFSPAKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVSLFAFATPVQDYVHSLNASPTAVSSKSKPSMRREDLLRLPAEVRQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCTSDDLLN >cds.KYUSt_chr2.43784 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272521704:272523074:-1 gene:KYUSg_chr2.43784 transcript:KYUSt_chr2.43784 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTGDLPTRPGSRGLAMLAAGIARRLAEADAGGNLVFSPLSIYAALGLLAAGARGDTLDEILCVLGARSRGELEEFVSGVAEDVLKDHSGYGGPRVAFACGVWSDLTCPLKPAFREAVVGTYKAEASTVDFTNDPEAARDQINAWVAQVTQNLIGSVFGPEAIKPLTRVVLGNAMYFRGSWAESFDKRDTTNKLFHRLDGSTVDVPFMQSWDRQYIAVHDGFKVLKLQYQMQSDPFAGQSVLCTRDGAFLLSDHSQYDDTAHPAGHPYFSNLTPSGTPTPYYVPYRRNKRTQFSMCIFLPDAVDGLRSLVDTIASQPGFMHQHLPKNMLAVSQFRLPKFKLSFQGSIVAILKNLGLQLPFGDLADLSDMVEDNESGLPLEVSEVIHKAAIEVNEQGTEAAAASVMSIQYGCSAIPPPSPPRVDFVADHSFVYFIVEEDTGVVVFAGHVLDPSKEN >cds.KYUSt_chr2.48576 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303839790:303840026:1 gene:KYUSg_chr2.48576 transcript:KYUSt_chr2.48576 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGRVGGAVKRLCGAEEERVVGTSKAPGACPCCGGPVVATDVESERRILCLPLCLKNKRKYSCSRCLRRLVTLYS >cds.KYUSt_contig_2087.287 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:1705543:1716263:-1 gene:KYUSg_contig_2087.287 transcript:KYUSt_contig_2087.287 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSYSWISFGTWEFDARRRAGFLGDVDYFDHEIAAEEEDNNDEDVDEDEDVDDEDDYAVEAERGDNDHDDDEPAWDPETQPPDISEEEAIAMALAKSSTSSLCGTSSPSSFAGLHERDIFDLFLPEFDKPWVIHLRENLLLFYKPLLLEAQHCLQEKEGEVDIKHFSGAVAGEESDVISMNAITANAMEKSKLGEASFCDLFSFPSLGEKICSDNALSPICDNSNDASDILNPPAESISMDEVKKKLFSVSLSGQHKDYLDACSEGSFTSKEVEARWDLLDRIEDNAEGWENDKGYADEPPFKPLPPKEGNEEKEEKKKKGTKKKKKENKKKEVTAYPRVNEITLGNLKYVAPNDYCDNESEYDDLPMPFTYISNHDLNEHTTFDIANLWETNSENDDNNCHSVSAIHASSHNNIESCKLGEEVFENPFATDHYVFDTSPSNNNDGLHERDIFDLFLPELDKPWVIHLRENLLLFYKPLLLEAQHCLQEKEGEVDITPRIKRGLKGEFSFQTLLPFAAVPPPLRRAILSALTPLPPPPLHPQWAA >cds.KYUSt_chr3.48892 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305476266:305478564:1 gene:KYUSg_chr3.48892 transcript:KYUSt_chr3.48892 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQQVRAVPLARALRLRARASAAPAKSTETSRRALLGLTEPDLRQLAVDLGQQGYRGKQLHDLVYKNRAKQIEEFAYVPKVFREALVGAGWSVGRSPVHHAVTATDGTTKILLKLEDNRLVETVGIPVDDRGTPRLTACVSSQVGCPLRCSFCATGKGGFARNLKGHEIVEQVLAIEESFKQRVTNVVFMGMGEPMLNLKAVLEAHTCLNKELKIGQRMMTISTVGVPNTINKLATHKLQSTLAVSLHAPNQTLRETIVPSAKSYPLEALMDDCKNYFLETGRRVSFEYTLLAGINDDKVHAEELAALLHACGGGYHVNLIPYNPVEGSEYKRPYRKAIQAFVDGLESRKITVSVRQTRGLDANAACGQLRNEFQKNPLLEPSMPLEPNQLTESSTPSEPSLVAA >cds.KYUSt_chr1.11125 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68100295:68103309:1 gene:KYUSg_chr1.11125 transcript:KYUSt_chr1.11125 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGSNVAGDDAARTTTPSDSVNSLGPVFEDTPPRLRFTIGPCPHKFPPADAPGFISSIDDALARHAGADAQVESLEISLVFAAPYKIYLTSLGTYATEHPHAALIKAHHIRAWLRYGMARAAKSFVLEVPPPCPPLPKNEAIVLDLPASAAAVTMALTLGNATLNLPAPAEASFHALTDLLLSNARIADGDRLGELLSSPSFPCLKRLRLQYLPGLTEQELAFDELEELTIVTARDLQCLVVHAQCMRTLCVTDCPSLESANGELAIVAPALQALTCANICSFQPGLQFSARSVREIGELPLWTHGHQNEASRNQASIYILKHCGDALHRLHLHLHVPLSMRDYLDSGIVDFKSILVEEIPKLPNITALTITISTWSGHSYAASLARLIAQCVNLEELHIDVRRDSAKPACSDEACICNKEGGWENQDFSLERLRNLDIAGVQGLDYEDRLLQMMLAGAPAIKKFKLPPSLGQAYETFLPANSRGRWITCGDGVYRWTPNE >cds.KYUSt_chr4.35412 pep primary_assembly:MPB_Lper_Kyuss_1697:4:217387035:217387556:1 gene:KYUSg_chr4.35412 transcript:KYUSt_chr4.35412 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMTGASDKASHVAASCSNAVPSTVCEKAEAPAPASDWKAAAMAACDKSWAAAAKSRYKEVREAAARHYAEEVAAAGKKRATRKKRMSQESIDFLLARAKEPRPVLRVDHEFLDSLKTLTTERREEMRAAKTMVVVNLQALRDWEDDIVRRYHTDGYVEIEVDEEDSDDGKL >cds.KYUSt_chr4.43239 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267874929:267876728:1 gene:KYUSg_chr4.43239 transcript:KYUSt_chr4.43239 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDGHGGGGGGWQQQHSPGQGPLSEEMMMSGSHGTWPMPPPSPALSLGFGSQSSFTYEELGAATGGFSKANILGQGGFGYVYKGVLPGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSSQRLLVYEFVANDTLERHLHGKDVPVMDWPTRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFEAKVADFGLAKLTTDNNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMMLELITGRRPVDPNNYMEDSLVDWARPLLASALSQGCSFDEVVDPRLENKYDRQEMERMAASAAAAVRHSAKRRPKMKQIVRALEGDMSLDDLNEGMKPGQSMIYSSDESGNYAANINRLRQVAFESSGEYTNEYSGTGESGDATRRH >cds.KYUSt_chr4.22783 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143288237:143289193:-1 gene:KYUSg_chr4.22783 transcript:KYUSt_chr4.22783 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRTAASMRVGADMKMVTCLLAFTVVLLSQCPVASSRSLTSFSSHGADAQPEGGRKSRAVLQPPTAAADQPPRRGMGDDAVQSLAKSSGLLKKKSHAKAPPKHHGHGHHAPPADLSPPAPDTYGDQPPEAPAPTWPEPQPKPGNQWPPFRPPPLPAWPHPDSNWPPLPPFPFHPPPLPAWPQPEPGKQWPPLPPFPAWPQPEPGKQWPPLPAWPQPEPGKQWPPFPAWPQPEPGNNQWPPLPPFPEWPQPEPGNKQWPPFPQWPQPEPGNNQWPPLPPFPIHPPPMPTWQWPPEPSFHGEEERRTSSLPPPVPTAN >cds.KYUSt_chr7.9148 pep primary_assembly:MPB_Lper_Kyuss_1697:7:55647663:55650120:-1 gene:KYUSg_chr7.9148 transcript:KYUSt_chr7.9148 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSEMTEEVGRKREIQNGSEMAGERRTSRKITPIEYPSWMNASDKRKHESYMKLLVAKWNARNPNATPLVLEELTQEQRAWLKQEHLAREKEIGEAYVARMKEEDARVEAERDPNEDNDDRLYQHYREHWEWKTNKEFGSFEDRTRIPAMCFTVDPMPRISRTMRSMQIFSVKVKEVCGDLHWPLDVFGIIAVRDDLDYNRNVIFERNRDNCQTLNEQDPYLVLTGPVRAPVTMFGPMRLDVILKVRRTNESEDKVLSLIGERYECCESVNYQASNGQCAIRSCVSSEKYKSKLSTLEVTCGIVVKSIEATISVHIIEGSWPDGFSGQFTAFTASVSHMKVLLLKFGDGSVPVSAADGTLELSRRVVSVERFGELRICASAWKGSNKFEHEVSFQPSQSGRSSHPLTVGSCEMEATVCWSLFPVRYPTTICTSSSNGVAGKVA >cds.KYUSt_chr5.14234 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92346422:92354631:1 gene:KYUSg_chr5.14234 transcript:KYUSt_chr5.14234 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAAAEPRGVPDQAPLEDSPAAAPPTAVADDDEVMGEIAEDSAAAAAPPAVVAADDAAMEEIAEAGGAVAQETPSAPAPPPAAMASEVSPEMAAGDDEAMEEIAEASAAAVQSNIPAPAPPMAPAPVSVPTDANPEEDTVTQQDGFVLALETEGKSDEDQACTEDADHAAATPSPKIEVDVDERSVQAQEIVLALMSKGAPSPVEENMEVDDIPDAVASQVKMEDQRPTAPAATLQVKVKQEEEEGDCLVGRYVSRAADGKRISLGKVASYDSSARLYTVVFEDGEEEKLGIARLREMLMSEDNGASGMKVSCRKRKLDLVVSSGSAATVKGPPATRQKVDAPDASQKSGSGSDASEDLESSSNSSDSAKELPAEPSPPVQAPELPPSSGDIDVPEESVSHLLSVYNFLRSFSVQLFLSPFGLDDFVASINCSGQSTLLDAVHVSLLRALRRHLETKSSDGSELASNCLKHLDWALLDALTWPNLLLEYLYVMGCMKSLGGKSFGRNLLAIEYYKLPVAMKLRVLQILCDHAIDSEELKTELEAREAYNEEMDEIDSGIFSEVPTRPSRASAYKKIEGFQNLETAPVGANPEAAVANASLDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGLNKAFLPKEQWFCPECVVNKLGPTSSRIERGARGAQMFGIDMCGRLFLGSCNYLLVIGASSDADSYARYYNQYDVVKVIQVLAFSDAYTEICTRITEYWPHLLDVFQNERSKRGKDTGASHALQGNTLLSLTPRKAEDGRVGAALKEGGESKTPVLPQVNMQHEFVVNQFTVSSAEQVEEQKCMVTSVDAVSEKNSVQTPLAQNNVYTAPVNGAFGSSGPSSVSHQNGSMVTGFSNIMHAPPTHGLIRPDSSAFCSGIDNGTPKQDVGGSILVKADSLCPSYQSKHPFGNVTGGKPAKLSSFKPQAYMNLYNHGNIAASAAANLALLTSDEGKVSAAQIITNPRKKMAADCALQVKAFSSAAAQFVWPSTEKKVMEVPRDRCGWCLACKSSAIGNKKACFLNLATVNASKGSARIFSAMHVIRSSESHFPSIVAYLTNMEESLRGLLVGSLQDMQQRQRWHKQLQEASNCRTIIPLLLELENNIRGIAFSASWLKLMDDWPVKSPGVSAGPSRSAAYQKRGTGGKRGRKRSLAFESATATDDDKSWKEVNWWNGGILSKRILQRGALPISAIRKAARQGGKKKMEGLSYHEASNFPRRTRQFAWRACVGLSCSSSQLALQVRYLDAHIKWKEFILPDQISSDGKSSDADYSALRNAVVCDKKIVDGNIRYALKFTNQKHLPVRITKNILEAEDNEDENGKLWFSESHVPLYLVRDFEQGAGVSSSPSPGMIICNSSTYFYQRPVKAFIGDIFYYLFHKGDVYPCASCKKDVAFRDVVNCSSCQGHCHKECTSGSASSMICKLCLQKRNLILANKKKNANQVLLQQKSNGQLPMTAPKIIFRVGSSHSSEPAMNAKAHLDTKVQAQPVTKVEAQPITKVETQTIGKMETHPIPKVQAWPVTNVATQNIAEVQVQPKKTKTKKPKPEKPRKPKKVQVITYFGLVWKKHINDSGGEDFRANDVILKGKDGIGSSVKPDCCLCNKPYSPDFLYVRCERCQKWFHGDALQLKEEKITDVVQYRCCRCRRRAIPQCPHSDDYREPEPELSEQTAAMSSQSTMLSGEENFAVADQDPLLASYGIVEPIGDETMNSDLSMNMPSLTPGNNQKLSIRRAQVKNSEYLDQAGTPANGYYVQNQPPGNANIDFSHMNEFSLSETDGVDASELLGWDFAQGNGYAAAPDYGTNSQWNDSSGGSFVADEYEPQTYFSFTELLEAEDTQLDNTFGMSTSLQNDGNLTGSFDQQGASFDEFSFMVEDESSNMHFPANDASIVELACHKCKIPQPPPDLKCSSCGLHVHRHCSPWHDSEQPADSANWRCGTCRDWP >cds.KYUSt_chr5.29485 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186902635:186907535:-1 gene:KYUSg_chr5.29485 transcript:KYUSt_chr5.29485 gene_biotype:protein_coding transcript_biotype:protein_coding MESASDPEAEENPDVWELFRHYDGLYFRGALDDAAFAVEWTSPRTKTCSHKMNCGGTYVVPKRGQKMVPKGYAVGCKQGLLTETSKSQDAVEESYSDEVQENSTVPKPIVPAIPRRKASKSNMPEDFQKAIVPATPWRKLKQEFVASEKYELFSIGSCKNEKSLGSCSSKKPEDFQKKIVSSASPLSKLKRKQTSIASEKHEIRSVESFYNAKSSRSGTSTKASRWHKPEHVQKSNVQPAASQKKLKLEEDMTFSLGSYKNAKPPGGSTSRKANTWHKPEGVEKSSIALPSPSKKLKLEQDFVEKHEDTKPLGSSTRNKEGKLHKPEGIQKGSVLPATAPRKLKQNLVASEKNELSSCVGRSNANVLDNVFSKQAHKQREPEVTRKPITQRAAPQSIPKQQNITNSSDKAGKQHSLQDFQRAISQSKLKQSNHVAPERQKTRSVAPERQQTRSVARERKRRRSVGPGKKKEYACVSVWANIYESECSSGSAEPLVNKRTERRKRERERAVQITYSRSRKRSASGMGSVKAEPAEEEVSSEQAKPPPRSQCLEFILIGTAHKVVTQDPRDQSRRPAPRMGIVAPPADQVKTQTPRGQSQPPTQTLRDQSQRPAPSMDIVGVSPIGQMTTRIPRGQFQPPAQCMDIVIPPADLAATQAHADPSVPLSSTCMDIAAVPSADQVMTRAPVHLSQPPAASAIATDSPDVIDISDDD >cds.KYUSt_chr7.3409 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20330894:20332026:-1 gene:KYUSg_chr7.3409 transcript:KYUSt_chr7.3409 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGARSRRSAEPFAGAGAGDEGEARQPETRRHRQRQHQQMGTRVMAWWCARVVAPVRRACRLAVAAARARVRKAECGALNLHQDVQTCGYNDVQVMWDMLSSDRAAAVAAGAPPAKQKRPFWSLPPLWRCDRDAAVPC >cds.KYUSt_chr1.18536 pep primary_assembly:MPB_Lper_Kyuss_1697:1:108523323:108523688:1 gene:KYUSg_chr1.18536 transcript:KYUSt_chr1.18536 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPDLAHRTYIGPMTPPLVEGIITSERPDGLLPTMGGQTALNLAVSLADSGALDRLGVRLIGASLRPSAWTRTASSSSRPWIASGPPLRSGSTSPRTSASSLSSSHSEKERGSGQISGGR >cds.KYUSt_chr1.30259 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183206132:183208075:-1 gene:KYUSg_chr1.30259 transcript:KYUSt_chr1.30259 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPVLQYLKLFLLLGLGGVTAMHVPKQDVSASLRALSLDGHFSFHDLSAAAQDFGNFSCFPPVAVLHPGSVADIATTVRHVFLMGEHSTLTIAARGHGHSLYGQSQAAGGIVIRMESLQSDSMKVHPGVSPYVDASGGELWINVLNETLKYGLAPKSWTDYLHLTIGGTLSNAGVSGQTFRHGPQISNVKELEIVTGRGDVVTCSPQQNSNLFHAALGGLGQFGIIIRARIALEPAPRMVRWIRVLYLDFASFTEDQEMLISAQKTFDYIEGFVIINKTGILNNWRSSFNPQDPVQANQFKTDKKVLFCLEMTKNFNPEEADIMEQEVNALLSQLRYTPASLFHTDVTYMEFLDRVHSSELKLRAKGLWEVPHPWLNLIIPRSTIHKFATGVFEKILKDNNNGPILIYPVNKSRWDNRTSVVIPDGEVFYLVGFLPSALGPYSIEHTLNLNRQIIEFCNEASIGVKQYLPNYTTEAEWKAHYGARWDTFQQRKNTYDPLAILAPGQGIFQRASASLPLFL >cds.KYUSt_contig_3002.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000579.1:55666:64731:-1 gene:KYUSg_contig_3002.13 transcript:KYUSt_contig_3002.13 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRFLAPLDDCLRPDAPLDEALLLDQATSSGVAPDDGFIFDSGDYQIISTQNKVLAKITVKFIALDLMIYFNSSKSSETSVTVEATAFFTLHGACAVAEAWWARHDKWWRPPPLLATPLVLAFVMVTTFWLFFPPITRPGADKVVIAECEAAIAFLQDAGTWASGSVREDDDDDEEEEEEDESSSSIGYPPTKRFRSWADSEDDDDDEEDEAPAKGWGSSDEELPGSSADDIDDGDDEDSDD >cds.KYUSt_chr1.26431 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159318869:159324063:1 gene:KYUSg_chr1.26431 transcript:KYUSt_chr1.26431 gene_biotype:protein_coding transcript_biotype:protein_coding RRSFPVVCAADADAKETTKPKVPEKAPAAGSSFNQLLGIKGAKQETNIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMLMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWVLLLGGLGLGALLDIWAGHDFPIIFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYIGLPWWAGQALFGTLTPDIVVLTCLYSIAGLGIAIVNDFKSIEGDRTLGLQSLPVAFGMETAKWICVGAIDITQLSVAAYLLSTGKLYYALALLGLTIPQVILQFQYFLKDPVKYDVKYQASAQPFFVFGLLVTALATSH >cds.KYUSt_chr3.12843 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77019079:77020483:-1 gene:KYUSg_chr3.12843 transcript:KYUSt_chr3.12843 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSDGHGIVVNSTVRGARHPTRIVEPGVPASDDGDLNGAGQPTEIVEPGVPASDDGDLEGAGQPIGIVEPGVPTSGDGGLDGAWIVVPVCRQSTTTTSTTLGGRPGSWEPGVPASDDSNANGRWAADQDRGARRLKQAFHAVGLSAKDLVVLSGGHTLGFAHCSSFETRIRGFPGGGGGAGVADPALRPSFAAALRRACPANNTAKGAGAWMDPTSAAFDNAYFKMLQTGHGLLASDEALLTHPKTRRMVALYAASQGKFFQAFVSSMLRMSAQNQPGEIRANCRRHN >cds.KYUSt_contig_2984.91 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000578.1:415360:415638:-1 gene:KYUSg_contig_2984.91 transcript:KYUSt_contig_2984.91 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGGSGRGSRPTQDGRRDPNRFLNHLPYGLVHYHRMACRLATGVDGELHIASTSEGVLFVGASASCSVDKVVTTEPLLPTELLLIGLLM >cds.KYUSt_chr4.35337 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216965237:216969038:1 gene:KYUSg_chr4.35337 transcript:KYUSt_chr4.35337 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVDSDDDYFFKNFIDTSSDEDSDDEFFTDAVLIIHDHIVSQIPVHRGSLPGRAAALDRKRERGHDQLFTDYFQPKALYTPALFRRRFRMSRPLFRRIMDGVKLYDDYFHAKVDAIGKVEAIKKVYEYVMQLTRIGKGHGIRHFDGCSFSPEDRSTLKFCSTVPPYVHHFIYAYFDRDNVATKGFPKYSVMSVLVQR >cds.KYUSt_chr2.10328 pep primary_assembly:MPB_Lper_Kyuss_1697:2:65380885:65382516:1 gene:KYUSg_chr2.10328 transcript:KYUSt_chr2.10328 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVAAGSAVSPAYRIQLSGRRSGTAFFATQRAVATFPSVRLRTVAKRFQIACSAKQGTIDKVCGIVKKQLAVAEDTPVNGETKFADLGADSLDTVEIVMGLEEAFGITVDESSAQEIKTVEDAAMLIDKLVIDKEG >cds.KYUSt_chr4.26682 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167534726:167550743:-1 gene:KYUSg_chr4.26682 transcript:KYUSt_chr4.26682 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNAKFGATDAGSELYIMESFHDIRMVNNRSVVEQAHEIQCIAKELELLKCVLPDKFVAGCIIAKLPPSWRNFATTLKHKRHEISVENLIASLDVEEKARAKYNIEKGEGQSSANMVHKKPYNKNKGNNKSSFNKSMKTTTFKKKKMINKADLSCFTYGDAGHFSKDYSERADRKKKARQVNTVTASNADGYGNLFTVLSVSRDSSVLMGNGSHASVRGVGTVDPKFTSGKIVQLRNVQHVPTMNKNLVSGSLLCRDGFKVVLESNKVVVSKFGQFIGYAPRSVGYRFLVVQSEVPDMHVDTIMESRDATFFENMFPMKDIHSIARISTEIIPESSPSNEYFEQSHENVTEKDDTEAPKRSKRRRIEKSFGDDFNVYLVDDTPTSIAEAYTSPDADEWKEAAHNEMDSILSNGTWELSERPHGCKPVGCKWVFKKKLKPDVIHEVKSFLSKCFDMKDLGEADVILNIKLMKNESGITLKQSHYVEKILSRFGYIDSKSSPTPYDPSVTLRKNRRIAVDQLRYSQIVGSLMVMRYLCGTMSYGIHYSGHPAVLEGYSDSNWISDVADLYATSGSDLDMLTSLGIAPQFVTFTHVTMESDRYICVRETSPQNSVVIIDMAMPSQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKVKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPAKMFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFATIKVPGNENPSTLICFASKATNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLVYVITKLGLLFVYDLETASAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPLDYNTITDLFLQRNMIREATAFLLDVLKPNLEEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYLRALQHYAELPDIKRVIVNTHAIEPQALVEFFGTLSKEWALECMKDLLLVNLRGNLQIVVQAAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIESAARTGQIKEVERVTRESNFYDAEKTKNYLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELIDVTNKNSLFKLQARYVVERMDSDLWDKVLLPENEYRRQFIDQVVSTALPESKSPEQVSAAVKAFMEADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLENFDGPAVGEVAVDAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATHFLDVIRAAEEADVYHDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWEHMQFKDVAVKVANVEIYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWTNNMLDFAFPYLLQYIREYTSKVDDLVKDRIESQKEEKAKEQEQKDVVAQQNMYAQLLPLALPAPPGMGGPPPMGGMGMPPMGGMGMPPMGGMGMPPMGPGPMPAYGMPPMGSY >cds.KYUSt_scaffold_2697.151 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1065038:1067368:-1 gene:KYUSg_scaffold_2697.151 transcript:KYUSt_scaffold_2697.151 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAQDPESFFATAPPLRDADAIAARLQEFIARNSNNAGAGGGRPIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFLKAGYAVIFVHRRGSCQPYCRFMPDDSFLKFLDVNEESKVQVAVSHETVVKKSIGDYSKLLRMVGTSVSSVGLRGMFYLAAAVSDFYVPWDSMAKHKIQSAGGPLEMRLSQVPKMLSVLRNQWAPLAFCISFKLETDSDILVQKADMALNKYKMNIVVANLLATYKDEVIIVTNGEKNTIRRCNTDEDLEEQIIILLTQKHSKYIYGSQMGATRVQTEL >cds.KYUSt_chr2.1193 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7002253:7013091:1 gene:KYUSg_chr2.1193 transcript:KYUSt_chr2.1193 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSNSKAKGQPRAMAPVAEVDIAAVRYEPRPMQAPHLTGFGLRAFVWLLESRLLGPILLSALKKQNNMTQMLQNTVIPERPMYYPEFPPQEPEQGVVVVAEDRDPVDRVEEALQCLAPYDPSARFTSVDEKNPFLYWKIRDFAYAYRSGITTPSAVADHVIAGVEEWNNKKPPMPMLIYFNADDLRKQADASTKRFEQGNPISVLDGIFFAIKDDIDCFPYPSKSGTTFFDKIRAVEKDAVCVARLRKCGVIFIGKANMHEFGLGVTGNNANYGTARNPHSIDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSSLCGIVGLKTTYGRTDMTGVLCDAGTVEVASPLTASVEDSMLVYSALAGSRPMDKLTLRPLPLCVPNLVSSDNSNILQSVKVGKYTEWFHDVSDSEISNTCDDALNLLCSTFGCQIEEIILPELEEMRTAHVVSIGSEALCDLNAHYQEGRRTELTLDTRTSLALFGSFTSTDYVASQCIRRRIMYYHMEAFKKVDVIATPTTGMTAPKIPASALKVGESDYVVSANLMRFILAPNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAVEELCLKKRNRPSTFYDILKA >cds.KYUSt_chr2.50109 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313406671:313411616:-1 gene:KYUSg_chr2.50109 transcript:KYUSt_chr2.50109 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDPTASSRVSATMLKVLFKAAAEDDLSLFEGLVTVLDDGRGHPRESIELLRSQEVEGLEGLGVLHAAASTGSLEVCVYLIEHLLFDVNAIDHEANLWILAGDPEAVELLLEYTADVDAVGSCGTPLHCAATKNHAATIMILLNYNADAEEVSKGSDHTKKRLFEPGTDNNAALDSEIFSECCGEFPEAIFQRQRAFKRPRADLSELSPEAGGIVMVQGFVQSASKKGKKLALVVLRDGPRTLKCVISADTVGATDYMVSFAGKLERESYVEVHGELRLNDTSHEIELSVRNLYCITRALPKLPFPLEDAARGAHVQFDQKFVEIMAGSDFMMMHSPKITSEISSKGGSAVFKLLYPNGKTAALAQSPQLYKQMAINGGYKKVFEIGPVFRAEKSKTHRHLCEYIGLHAEMEIDENYLENSGFKIEEFDDLNNIAEKKLGKLVLDRFGTDFFILYEYPLALRPFYTMPCAEKVDIDPGHRYSNSFDAFIRGEEVLSGSQRIHNHDFLIQRMTQCKLDPDSFFWFTESFMCGAPPRGGFGAGLERLVMLYLGLPEIKMASMFPRDCQRFVP >cds.KYUSt_chr3.1013 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5407936:5408205:-1 gene:KYUSg_chr3.1013 transcript:KYUSt_chr3.1013 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGTSPTAGCNSQLAFVPLPGPSLLGCTSRRPLSSFIVPADGDAAAAAVVDRSVPLATRGGLLLVRLYPSDDDLEPDVVRLVSDSTEL >cds.KYUSt_chr3.20589 pep primary_assembly:MPB_Lper_Kyuss_1697:3:126399329:126400200:-1 gene:KYUSg_chr3.20589 transcript:KYUSt_chr3.20589 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAMGFLVVSYTAGSRLLPGLPTLVEALSPGFQPWCRRIWLKKTIPGFPRLGHMTLICGGNPKTTTSSLCWDMKNDRGPDRAFCLKGLLDQIPSGWVFLTDAGVRTVRTSTHTTISCSWSDAVHKRSEV >cds.KYUSt_chr5.18864 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121988555:121990096:1 gene:KYUSg_chr5.18864 transcript:KYUSt_chr5.18864 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYKFKCRLSSVESNRSASAHVHPAKKNPNLDLNFLTGGEIQSRRRGRSPSPQHERTHRRRHTGRGRRLWSEVKTEEGKKALSLANQSGLDAVEREKAVSLAVRSGLKAEVAVYLAVRGGLEAEQVNKLLNDVVQRGLEADEAEKILYLAVRSGLNPEETLSVAIRTGLKTEEEIYAVLLKKLLAALYEPEEDPELDEWVRPTRKKNSPKFPFFLFKMKASAKKAQVSWWRKKYDIFPPERPGYNYAGRVLRLVIGPDGFNLEGPGDGGQPGALSFHTDVRYMGWPRPKEGIFMEGSSVPLREVAVIKRLPAGDGIAIMSYVEGLGVKLGDILFVLHCWSVTVALSFTEKSFYVSDKFKEEPLYAREGLDFIDITVPVENLIRKLYMMYELEEQDKRKMQEKQNHVATAGNLMCQQEELRKLEQEKREVLKQKREETKKYKSMREEMKKMFRKPITEEELEALRQSKGRRRTIKRSIPYEAESDWVNLFEEEPRQGDGSCNGSLENSMEPIL >cds.KYUSt_chr4.21365 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134549089:134558839:-1 gene:KYUSg_chr4.21365 transcript:KYUSt_chr4.21365 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGQPVGAASLLRTNSSLLSGGQQGMGGGGMLQSQSPFSSLVSPRSQFGGNGLLGGASNVSSLLNRQSFGNGGSVPGPGQIPNGGFSMNTLQQRGGLDGAGDMIGAGGSDPLSFPSSSHVSLGNHISSDSLQQQQQQMDMPDLQQQQQQQRQLPMSYNQQHLPMQRPQPQATVKLENGGSMGGVKLEQQTGHPDQNGPAQMMHNSGNVKFEPQQLQALRGLGTVKMEQPNSDPSAFLQQQQQQHHLMQLTKQNPQAAAAAQLNLLQQQRIMHMQQQQQQQILKNMPLQRNQLQQQQQQVQQVQQQQQQQLQQQQHQQLLRQQSLNMRTPGKSPPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPTAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESKNVSGQIVLDYTKAIQESVFDQLRVVREGHLRIIFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQAAAQNPTSLSTQDLQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDRSRQTGSGPIESLHKFPRRTPSGINPLQSQQQPPEEQQSVPQSSNQSGQNSAPMAGVQVSASANADATSNNSLNCAPSTSAPSPTVVGLLQGSMDSRQDHPMCSANGQYNSGNNGAIPRVNSASSLQSNPSSPFPSQVPTSPNNNMMPTLNANQLSSPPAVSPNLPPMQPPSTRPQESEPSDAQSSVQRILQEMMSSQMNGVGHAGNDMKRPNGLTPGINGVNCLVGNAVTNHSGMGGMGFGAMGGFGSNPAASGLRMAMTNNAMAMNGRMGMHHSAHDLSQLGQQQQQQHQHDIGNQLLGGLRATNSFNNIQYDWKPSQ >cds.KYUSt_chr1.38044 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232291309:232291605:1 gene:KYUSg_chr1.38044 transcript:KYUSt_chr1.38044 gene_biotype:protein_coding transcript_biotype:protein_coding MRARARKQCALLLALLCLAALLQGASCRVGNGGAGFGHGGGRGDGMVVQSKRSSHHHHYRYYHHHNHSSAAAPRGRGLDWRVSGAAAATLAAAAAFIW >cds.KYUSt_chr1.25508 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153033750:153034427:-1 gene:KYUSg_chr1.25508 transcript:KYUSt_chr1.25508 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSFFARVTLPAPSHNTSPSWVRYGVARGSYRRVLAAAMPPRRTLGSALREEEAQIAVLPSVHVPVGCELQLAGPCAWPSYTLHFVLTEGEFGGAGHPA >cds.KYUSt_chr6.11288 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69879312:69880581:1 gene:KYUSg_chr6.11288 transcript:KYUSt_chr6.11288 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGCTSIVHSLRTAVGKEKRKRNVEKFNAIFLSEALVAERHELAQGAAASELRRSRVQRGLRRDLRPVKRPIFNLQDGGFSVLDSSELVGAPPPSGSSPEEEKRISIIAGSLLSSSLAAHLGVNVSRSPATSGGCTQGPDCFFSFCVRVFLANLEGLSSNSGFPMQKMPEDLLIKLYPPRVT >cds.KYUSt_chr7.18831 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116639337:116641349:1 gene:KYUSg_chr7.18831 transcript:KYUSt_chr7.18831 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVNIRFYSSPFSIPHGPPRLVASRHRPVLFTVTAMHSSLSEPRLLALPLLLLALYLARRAVFGKRRKYPPVAGTMIHQLLNLERLVDYQTELSHRYRTFRMLTPSRNKVYTVDPANVEYILRTNFSNYGKGTMHHDVLEDLLGDGIFNVDGDMWRHQRKVASFEFSTRVLREYSTGVFRDTAAELAGIVAAAGAAGERAVDMQDLFMRSTLDSIFKIGFGVSLGGLSGSSQEGAAFARAFDDASEQVLYRFFDLLWKVKRLLNVSSEAAMKRSVRTINDFVYAVIDKKMEHMGRDQHEFAKKEDILSRFLLEREKDPGCFDNKYLRDIILNFVIAGRDTSAGTLSWFLYVMCSNQHIQEKIAREVREATTGDRDVGVQEFTVCLTEGAINGMHYLHAALTEILRLYPAVPIDVKYCFSDDTLPDGYAVKKGDMVSYQPYPMGRMKFLWGDDAEEFRPERWLDHDGVFVPESPFKFTAFQAGPRMCLGKEFAYRQMKIFAAVLLYRFRFEMWERHSTVRYRPMLTLKIDGPLYVRALPRRALMSRNSENSTL >cds.KYUSt_chr2.41524 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258318045:258320509:1 gene:KYUSg_chr2.41524 transcript:KYUSt_chr2.41524 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTRCMALFLLIGVAAPAVLAVTDGLLPNGDFAQRPDKSQMKGVVITGRHAVPCWEISGFVEYIEPGHREGDMILALPEGASALRLGNDATIQQPINVTPKTYYSVSFMAARSCAQAEKLNVSVDPEFGVLPIQTVYTSTGWDTYSWAFKARHSTVSLRIHNTGVEEDPACGPLIIAVAIKTLSPPHRTKGTSYNLKLIESYLLLQKLYILINLEIIIGLGNLLRNGDFELGPYIFPGTPWGVLVPPILEDVHSPLPGWMIMSDTKVVKYVDAPHHAVPHGARAVELVAGRECALLQEVVTVPGWSYRLSFSVGDAANGCKGSLAVEAYAARERIKVPYESLGTGGSKPAVLEFTAIANMTRVVFQSSDHLMTSNATLCGPVLDDVLLVGVRKPAARRLRL >cds.KYUSt_chr4.33511 pep primary_assembly:MPB_Lper_Kyuss_1697:4:205501328:205506361:1 gene:KYUSg_chr4.33511 transcript:KYUSt_chr4.33511 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLTGGSSYGMQSMLKDGHKHLSGLDEAVLKNIGAARELSAITRTSLGPNGMNKMVINHLDKLFITNDAATIVNELEVQHPAAKLLVLAARAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYTKAINKTLEILEDLVEKGSENMDVRNMEEVVLRMKSAVASKQFGQEDVLCPLLADACIQVCPKNPANFNVDNVRVAKLVGGGLHNSSVVRGLVLKNDAVGSIKRVEKAKVAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGDMALHFCERYKLMVLKVSSKFELRRFCRTTGSIALLKLSRPNADELGYADSVSVEEIGGARVTVVKNEEGGNSVATVLLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELAQRLKEFSLKETGLDQYAIAKFGESFEMVPRTLSENAGLGAMEIISSLYAEHAAGNVKVGIDLEEGACKDVSIMKIWDLYVTKSFALKYSADAVCTVLRVDQIIMAKPAGGPKPPQQGAMDED >cds.KYUSt_chr3.42568 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269121419:269122228:1 gene:KYUSg_chr3.42568 transcript:KYUSt_chr3.42568 gene_biotype:protein_coding transcript_biotype:protein_coding MSREERWSLAGKTALVTGGTKGIGRAIVEELAGFGVRVHTCARSDADVRERLRGWDADTDAGRLRARVTASTCDVSVRADREALMATARAELGDKLDILVNNAGQTFFRPATESTAEDYARIMATNLESCFHLSQLAHPLLLLAGGGVVVNVSSLAGFIVYPQLSVYSATKGAMNQLSRSLAVEWARDGIRVNCIAPGGIRTDILRSSGITLDPEVMGMMVEAENARVPLGRMGDPEEVASLVSFLCMPAASYITGQVISVDGGRTIAA >cds.KYUSt_chr6.13760 pep primary_assembly:MPB_Lper_Kyuss_1697:6:86144934:86146256:1 gene:KYUSg_chr6.13760 transcript:KYUSt_chr6.13760 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCDECKLKFQELKAKRSFRFIVFKINEKVQQVVVDRVGQPNESYDDFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDIARVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKARAL >cds.KYUSt_chr2.30072 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185145394:185145870:1 gene:KYUSg_chr2.30072 transcript:KYUSt_chr2.30072 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMGSGGKNRVSWLWRAPGRALGRARDLYVRGLTSCAGQFPGDAAFGYPSFPGTPRSCSRSGDDFRSCSASPSSSSRSFAGDSDLRELMRAASERRAPPPEPPVVPRSQSVAMGKIDEDRPCDFGGLAGADVAFGRSRSYAVSRFGQRGRAATMQAA >cds.KYUSt_chr2.26824 pep primary_assembly:MPB_Lper_Kyuss_1697:2:164208246:164218614:-1 gene:KYUSg_chr2.26824 transcript:KYUSt_chr2.26824 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTVASPSRALTDTTAPSPASPPRRLASAPPGGVDAYAVSSPVSARSGDGDVSVGGACFGIGACRNLTALPPLLGKFFFFPNALCGLIAQSPMLASRSEEYRLMFRLPSDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIALQEVTDVRKAKTAAIFPNAIEIVAGAKRHFFGSFLARDDAYRVIVDGWEQHVSDARLLSERQDAKSASSSDENGYVLLDEGKESKQDEDLSPLNRSSSPTAVTSGNTDCGDSDINTSKRFLEVPEDGTEEIAASLNPFSLEPFDDNAPNVPESYTLIAESKFQVPVEVLFDLLFSDGALGFLDDLHKKCGDKEFSCSKWRLDEQGGIVRDVSFLHPIKIYLGAKFGSCQEVQKLRLYKNSHVVIQTSQEIGDAPYGDHFIVEGIWDVEQDSLDENSCCLRIYINVAFSKRTIFRGKIEQSTKDECREVFGLWIKLGHDLLKQDSSSRLKGASTSTNTDVQTEPTLNIENPMENTVTYMASAPQDSGLSTLVPPIERHHQSIERGSTSQELWGSIISYMRSSQYGPVLAVFLVAIIILMQVTIIVVLTRSPKVLMVSPEASASSFASYSKESMEWVQKRVSLLSEEMQMAEAHMEKMRHEFAWLKSHIERLERLRSRS >cds.KYUSt_chr1.19540 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114865073:114869900:-1 gene:KYUSg_chr1.19540 transcript:KYUSt_chr1.19540 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSSLTVVTLPSAAGPTTSRPRASATLRLTANSGHRVSGTVRCSAAPGGLGIPGKRELSALKLMAELWQAARAAPPGTVVAAVAAAAVVYKVASGLLAPPTPPPQRRVQVAEEALPPAPEPVQVGEITADELRQYDGSDPEKPLLMAIKGQIYDISQSRMFYGPGGPYALFAGRDASRALAKMSFEPQDLTGDVSGLGPFELSALQDWEYKFISKYVKVGSIKTTGPVEEASASTTSEIQEEAPAVKLNGEKAP >cds.KYUSt_chr2.54453 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339714876:339718959:-1 gene:KYUSg_chr2.54453 transcript:KYUSt_chr2.54453 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTPHPTLLPPYTLPSRISLPIRLLRTSHAPPRPRRFRTAAASRTLASPSPSETPGAAEELRLETESALEWGGVCARLADFAATAAGRAACAEGRVPVGRSREESERLLEQTAAAVALLSSLTPLDFGGVGDVSAVVAAAARGRLLAVREICGVGRSLRAARGVFEQLNGLAEEMPDGRYSPLLDILQDCDFLTELVQMIEFCLDSNLSMVLDQASDKLGTIRKERRMNIDVLESLLRDASTKIFQAGGVDSPLVTKRRSRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAVQLNNTEVKLAGDERAEELAVLGLLTSRIAASRLKIRHLMWKILELDLACARGSYALQINGVRPAFSDRDNSTQLDPSSACSVFIEGIQHPLLLEQFRGIVKESDDEVGNGQLSDEVCVSAMPVPLDMRVKNDTRIVVISGPNTGGKTATMKTLGLASLMSKAGMFFPAKGRPRLPWFDQVLADIGDHQSLENSLSTFSGNISRLRKIVQVVSKDSLVLIDEIGSGTDPSEGVSLSTSILKYLASRLNLAIVTTHYADLSRLKSVDGRFENAAMEFCVETLKPTYRILWGSTGNSNALSIAKSIGFDQKILDRAQEWVEKLLPDKQKERQGLLYDSLRDERNLLEAQANEAASVLSDVEGLYNEILSEADDLDNRVAALRAIESQKVQQELKFVKTQMDLIIKNFEVQLQNSKLEQYNSAMRRAEAATASLAAAHQPTEFTFSDDENKSSYVPQIGDKVYVEGLGGGSTATVVEILSEDGSCIVQYGKIKARTKNNKMKLAQRDTKETLASSVQRKGRLVKRSPAEATQGASISFAPAVQTSKNTVDLRGLRVAEASHELQMAIDGCRSYQVLFVVHGMGTGAVKECALGILRSHPRVAKIEDESPLNYGCTVAYIE >cds.KYUSt_chr7.24390 pep primary_assembly:MPB_Lper_Kyuss_1697:7:152028842:152029264:-1 gene:KYUSg_chr7.24390 transcript:KYUSt_chr7.24390 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALRGHKPVEAAGRRPSPPARFTALELAAAELLVHLSESSCSTGAAFTPHGSGTAASVCYSSSSSPRSVNAPPAAPALDDLVVHAMKEDHDDDDEQELGGRPRRKRRCRSVADIYDATQPCGARRRKAKVGADAGGTM >cds.KYUSt_chr3.21329 pep primary_assembly:MPB_Lper_Kyuss_1697:3:130789740:130790536:1 gene:KYUSg_chr3.21329 transcript:KYUSt_chr3.21329 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFWASLGAISYGPLAISSWVELLWNRQKWKLGFKVHDEVEVWKTAMATATAKTLARAGSSLLGRFLASPSPSLLRAGLPPPSLLARIQPHVPPPPASVDAHDAEVVARLTSLPGEISFPCGLPSLRFLIDDDFCSANLIKGLFVWAVAGWLCRESCCPVKAAV >cds.KYUSt_chr3.27109 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169250173:169251412:1 gene:KYUSg_chr3.27109 transcript:KYUSt_chr3.27109 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWERSGGCGVKGTKTSPAPPAPPVAALGDDLLGEILLCLPDMASLASAALVCKSWGRVARVPAIFRHFGSRRRPQLVGFILAEGSDMNVPYHCPNLCFVSAKSRNPDAASAAADGDFFLEDVPDIDPAGRRHRRYDDQWRLRGCDGGLLLLARGRAAVELAVYNPLSRTAIFFRVPSAWRECSHVARYAIVADDADATFRSDGMPAGRYVYWRSNTKKSQDYWNKEKIMVLDTEAMVWSVIRPPFPPGESYCIADMAEHGGLCIVSSKEQCVQLWVRSSSDGEWVLKKEVSLLKEFGYLKKQLRREDWMKRVRILAMKVSYVYMEFWSINKPHSYLLVLNLNTIKLEIFPNKSTEPYRGPAFPFFIPLAPLPAPDDDKTLPGA >cds.KYUSt_chr4.39179 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241831247:241831915:-1 gene:KYUSg_chr4.39179 transcript:KYUSt_chr4.39179 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAGMIPSMAEGVVATRQVRRVVRQHDGCSGGFSSGGGFSSGGGRGGPVGPDLAACGRVPDAVACCCGAPCGALAVARSGASGRLSRVWPAACVEEGARRTLVRCGSRMPEQRLRTVVADLFGEVIWFPWQAMGRLAVVWFWAPALSPAGGCGILVGRMGLAGVGHGWAQVDRLALLLVVVELQRRANALLPSRGRGRRRRRLWRHYLLEGVVEAVACSST >cds.KYUSt_chr7.16748 pep primary_assembly:MPB_Lper_Kyuss_1697:7:103770642:103770938:-1 gene:KYUSg_chr7.16748 transcript:KYUSt_chr7.16748 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRSCAEFMAKERSRRELISLRWSFLTLLTGASYLGRFLATTRRPFHGRELAEIRLASIPARPPLSLSRRPAPTDGRQIPRSRVHDESHGRRGRVS >cds.KYUSt_chr4.19569 pep primary_assembly:MPB_Lper_Kyuss_1697:4:123056545:123060075:-1 gene:KYUSg_chr4.19569 transcript:KYUSt_chr4.19569 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTKPRVWLGFRGRARRGVAELHGGAETGEEETTAPDVAKEGEEGEANVCLTLQTTQAAPASVLQLSIFTTVKSIPRPERYADFPVTVRLVAPGKEQTVRAPIDIVAAIDRSRSVNDDHRLEEEKAAVAFLIRMLFPTDRLAIVPFDDGVAHDEEELVFMSAEGKQKTRSTLNSLKIGNGTRLSKPLERAEKILMGRGDVDRPAFIILLSDGGDTTILEEKEWTRTSTSVLAHPAYPVHTFGFSGHNAQTMGYIATRTKGTYTPVDGAGGAGKFSRTVAGLVDKATSRLFSAVGVGAELAAVHPGVSLVRVDSGDRKATIGGDARSGAVDVGAMSAGETLEFTVYLDVPEGDADVEAMEVLSVGGAYTQGWDGDRVKLEPSVVSVERPVPPQPEPEPTPTPTPEPQPTPEPEPTPTPEPQPTPEPEPTPTPKPEPDCCKVLDLIDERLQYWCKVKSDLAAMYEKAEADAVGGICKCQCQVSAVLRESSLESVNRAMYQDIYTAVLHAIKLRECSTGTATEHGCDGADKAVTVSTTEAA >cds.KYUSt_chr1.1406 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7675485:7676602:1 gene:KYUSg_chr1.1406 transcript:KYUSt_chr1.1406 gene_biotype:protein_coding transcript_biotype:protein_coding MPATASHARIPTCLLHFHSHRFFLRQALPLGSGSVTTPTTAGALDQAEKIVRDAVEKATAGEKAGLIRLFFHDCFVQGCDGSVLLSGADTERTAFPNLSLRGFEVIDAAKAALETACPGVVSCADVVAFAGRDASYSLSSGRINYRVPAGRYDGKVSRAADTFQHLPPPFGDLNLTTAMFAAKGLSQDDMVVLSGAHSIGRSDCSSFPDRLPPAANSSTAMEPKLAQQLTGTCSAGGSVNVLQDAITPDKLDIQYYTNVLSRNVLFNSDVSLTTSTETEGLVEFYAGKRPLFRGKFLGPIQWNHDFEDAMVKMGYIGVKTSAEGEIRSTCAFINKP >cds.KYUSt_chr4.42793 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265076950:265083371:1 gene:KYUSg_chr4.42793 transcript:KYUSt_chr4.42793 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPAIRKPHLVHRREREEATPSPPPPAPAHSPSPGGFALSNRPATGTPAPWTSSSLLARISTSKQTDRAGDSDQIQPVRVAEFPQIVRNAQASLLQKNFSGKNTLVGGIDKETSLAWMICGNELYIWSYLSSVSKDCLVLDVPSSLMGNKDTESLCGNQWTLCIMRWGSSTATRKSEEMLHRRSSTGVILCNRRTQAIAYWPDIYDESSKSPALSLFDHSVTSPSDGISACYRFNSLIATSIPGGIRECIAIASEPTGALWLFQCSPAGILRREVHRDTLGDNGTDHHQRNDGGRSLAWLPSDISSEAADRKFFLLTNHGIQCWSISLLHGNNVKKLLSQEIVGTDGELGIKRDIAGQKNIWLLDMQIDEHGKEFSILVATLCKDRVSGSNYTQYSLLTMLYKSNQKFSSEDNVAKCERFLEKKAPSQVIIPKARVEDEDFLFSMRLKSGGKPSGSVIILSGDGTATVAIYWRGSTRLYQFDLPWDAGKVLDASIIPSAEDRDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRSQAFSASVVPRRVSSEAWSSGDRQRPAFTGIAQQVVVDEEAEMLLNRLFHDFILSGAVHEALQKLRVAGAFEKEGEMNVFVRVSKSIVNTLSKHWTTTREAEFLASTIVSSLSEKRQKHEKFLQFLVVSKCHEELSSKHRAAMLSVMEHGEKLSGVIQLRELQNALIQQRSSTHLSPQSKTPTAGALWNLIQLVGEKARRNTVLLMDRDNAEVFYSRVSDIEDLFYCLSHQLRYIITGEEHPSVQMQHALELSNACVTLVQAALYYREEHKDWYPSPEGLITWNSQPVVRSGIWTLASSVMELLREPGAADMPMKSNLWSQLEGLTDMLLEGYMGLLTAKFERGEEHGGLVQEYCERRDELLGSLYDLAKQIIEAKYQESREGNDNLDLKESIFREVTSPILATAKRHEGYQTLWQICYDISDTVLLRNLMHDSVGPHGGFSFYVFKQLINNGQHAKLLRLGEEFQEELASFLKDRKDLLWLHEIFLNQFSTASETLHTCALRLSSGEDADLTSNRKSMSFVERRRLLYLSKIAATAGKDVDYEMKVARIDADIRILKLQEEIVQHDPEYAQGKYTGKLLRPSELIEMCMKGDRELSLKAFEVFAWTSSSFRSSNRGLLENCWTNAANQDDWVQLSQASISEGWSDEVTQESLQGTALFNASRLCYSQDAVVFDGTFEEVLPVRKEDVYARGVESKCFSVEEVLMQHDVFPDAGKLMMTAVVMGKELSYTEPADEPVEMDS >cds.KYUSt_chr4.51473 pep primary_assembly:MPB_Lper_Kyuss_1697:4:319376958:319378082:-1 gene:KYUSg_chr4.51473 transcript:KYUSt_chr4.51473 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPFPTAGIVPSSHTKLPLAAAVVARFAQPLSDVLLHRRLSRPRERRLSWPLLAASNANGAIGVFTCTKSQQLEAKLRADKYYEAEMTVQDCELDNYGVVNNAIYASYIEKAREELLVRLGISTGWVISTGNAMALSEQNLKYFTPLRKFVVKVRLARIKGVRIFAEHLIETLPDRKLVLDATATIVCLNMNYRPTRVFPEMSAKLHRFFSSKDGY >cds.KYUSt_chr2.5720 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35443096:35444799:-1 gene:KYUSg_chr2.5720 transcript:KYUSt_chr2.5720 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDQTHTTDMDTTATEAHTEETDTDTTDTEESTTDTDGVPELTPEEMARLLEPDPEPTAEEKALQALHLVRCREFTEHDPKTNAYVCTRFCDFNIAFFDLDQESEAIHGLPLQELSNSQWRSIVGSSVNVVSLKVIESDVGYPINVFGTVIARDQVDYKCVYLFRRERDDSQCIESPEDILTLTGPSRGFVVSDRMFFEINLKIKGDATTDDQDFSKGVIEYSRLPLISSKRPVTELLTSWRSKVELVLAPVPYPVAATLKVNILNGSRDTPFNGKITAWTTGNVDDHIILYEYDGTNTSMGTGKLIEDSGSVVLTRNLVAVPVPIPPFDEDEEIVINVCFITGNDEDERTLVTIQYPQEEKVCNHGRYELQVKVSWTAIVRRPMSKGIHRRWCSVPRNPLAAFSVHQHKFC >cds.KYUSt_chr2.6692 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41713712:41715826:1 gene:KYUSg_chr2.6692 transcript:KYUSt_chr2.6692 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSSSMAVVAADREADERLWKNEEPQQVDQDQEQDKGMNPLDPRFSEYDPKKREYIYTRYSYRRGRDINLDLDEESPVGPMAYTDKVFPERFFLPNTMNVVSVKIVSSDYGYPLHVYGTIIVRDSIDRKCIYMFRRGKDNCQLISSKDDSLILTGPKRGLMFCDWIFFEIDLKVKDVHGMKVKDERLSKGLMEVDGVMRLPYPTERKVQMETLVSMHSILDLSFIFIRKAVEGTVEVRILDGPAGFHGKIYARTTNVPCDIMLHDSKVNGMLTASDKGVVQMVRSVVGVSVDEMLRLIVAAAALDGDELSVCTVEFTPRRNGYNIEEIACGSTLSEPVDLLWCQEMSISDYITCLFLVYINVLHSC >cds.KYUSt_chr2.24746 pep primary_assembly:MPB_Lper_Kyuss_1697:2:151347879:151351301:1 gene:KYUSg_chr2.24746 transcript:KYUSt_chr2.24746 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTPFDYLPCFSSRLARHGGSSDDGDDLAQVALKSIGFIFATTKSGSVLDYKQSIVAAAAAAPRPARHPLQPARHPLQQGSSAHAPLAALNLADFDRVMAANTRSTVAGIKQAARVMVPRRSRCILCTGSTTGTLGRVPALPYSLSKATVVGVVRLVPEELARPGMRMNASSPHTIATPLLVRPLARANPDVGDETLKRMVERGMSKLQGPCWSRRTWPEPPSTSRPTRLSLVSSGLWVMHCACCVMPGAWSSTPRSTAVDFKAHCCQ >cds.KYUSt_chr3.24684 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153205853:153207689:1 gene:KYUSg_chr3.24684 transcript:KYUSt_chr3.24684 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAIGGAAAAAGKVGGAAARKAEGATASGEYWSEALKSFLDHIPVSSVSGAAQSSSTSPALELNLDGSVLDAIGSMYRGDVGGAVIVDEVHSTLGKFVDRDIGFVDFQSLALWALEELDKVSTEREEKSSDFLSSLKCHPQIAETKIAWLAKLFLLEPFFPVRSHDTLFHAMLLFSKHQRLNVIPVVESVNSSVDGFVTQNAVIELLLQSSGLEWLDKIADKQLSEFRFVNASKPVLVYSDQTAADAFRVLSKEKTGVAVIDRNTQCLTGMIQCSDVYLLLDDNSLFSNRRTLSCEEFVKLKNKNDNGSAEHSSASDSQSILSLRSRAQQRAGLSVTNRKSDTLKQAMENLAASGSSCSFIVGEHGLVEGVVTTRDIISVFSPPCMDSRIDGGTFFSAALEQAGCRVENGQMIRNSN >cds.KYUSt_chr5.36248 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229111335:229111832:-1 gene:KYUSg_chr5.36248 transcript:KYUSt_chr5.36248 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPEAATVAPEAGAGDDADGYRIRPLELGDISKGFCDLLAQLSPSAPLTEDAYRARFAELARLGADHLVLVAEHAATGRLAAAGAVLVERKFIRRCGTVGHVEDVVVDAAARGRGLGERVVRRLVEHARGRGCYKAILNCTPELKGFYAKIGFEEKNVQMGLYF >cds.KYUSt_chr6.8263 pep primary_assembly:MPB_Lper_Kyuss_1697:6:50665955:50666342:-1 gene:KYUSg_chr6.8263 transcript:KYUSt_chr6.8263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atypical basic helix-loop-helix protein, Control of cell elongation, Regulator of grain size and leaf angl [Source: Projected from Oryza sativa (Os02g0747900)] MSSRRSSRGAISEEEINELISKLQSLLPNARRRGSGQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMSTMDHNSAGAEIIRSILRS >cds.KYUSt_chr1.6403 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39464189:39464428:-1 gene:KYUSg_chr1.6403 transcript:KYUSt_chr1.6403 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFTVSLSLRRWRPALLTCLEIAPARSVTPSPTYPQPQRGPALLRPSPFSPPIRARLVLTPQHCRQLELGSILAGDPA >cds.KYUSt_chr7.26027 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162532220:162534655:1 gene:KYUSg_chr7.26027 transcript:KYUSt_chr7.26027 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAWHAAVAVLILLLCSRLAAARVQLSHGGALAARRRDNVPATACVLTAVPFGYPCEEHQVTTDDGYILSLQRIPRGRRAGAGAGLGQPVLLQHGVLADGMTWLLSSPEESLAYILADRGFDVWIANTRGTRWSSRHVSLDSSSRDYWDWSWDDLVVKDMPNMVDYVITHTGQKPHFVGHSMGTLVALAALSEGRLADKMKSAALLSPVAYLAHMTTPIGILLAKTFVGEIISILGVAEFNPISSVVTNLVRELCRHPRAKCYDLVRDLTGKNYCLNNSAVDVLLQYEPQPTSIKTMVHLAQTFRDGALSKYDYVWPKVNMEKYGQPDPPSYNMSNIPATFPLFLTYGGQDDLADPADVGLLLRDLLGHDRPRMTVQYLEQFAHLDFVLGTCAKDYIYNDVISFLNRFN >cds.KYUSt_chr2.28146 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172683218:172689316:1 gene:KYUSg_chr2.28146 transcript:KYUSt_chr2.28146 gene_biotype:protein_coding transcript_biotype:protein_coding MFHEVPVSSDVTFGHDSGKVGRISDTGGVLSAQEIVKELEWIIPGNHQWDLVPTDDGAFKVTFPSKADLVRMTKIINVPVPDTTMFLLFEEWSAADLDKFYLTSVWVRVHGCCYKERCDYLSLFGVGSLIGKTKEVDMAYTRAHSEARMLVEVSRVQFIPTTTIDHTYGGQGYGLIFKVEEQKNKDKVDVVMQEANDDEDPKEPGEKEKKLTKKDDPPHPPPSTGQVKTPAVSNPAKEATGSKNQTLANSLPTIKVGSIICCSDVKCWSESKAIPPRRLWGDCESDDDDSLPSPLPRLETAGNDSEVDPLAGQTKVLSAKAGVAAAVSHETTSFSATAHPTEASFQALVIPEAARQPAPGLHDAKVSESNIGNTTMKNAKNNGTGVYLGGRYTSEDILAFGGISAREMDVRSSERIRCQPNADAPQMERAQLLTQARKEGSLTGEEQLGSEEHSAFFVKPKITKVIPMATHWIHMWSYLRPEEERLAMDIGCNRLATVARDFYSQSWGIPISKKIMGDSSGDEDGGGVDEEAFRGHFPVPAACRNRDSCPPDLGFAMALALEGFSYRGFFVSKI >cds.KYUSt_chr1.1450 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7863590:7874693:-1 gene:KYUSg_chr1.1450 transcript:KYUSt_chr1.1450 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSESEMQADLAAQRAACERQVAAGRDPSAWAAFRAGLHSARSGAHQTFSRKGTPPPLSLSSLGHLNRRSRMWVSPRRMKSFKFAVSPPIGEVSSPCRRGPRRAPKQLRDLETDLAQTLSLKIAKERKRERIMESISASAAASEQLRNTVTDQTNTRVQHAAVVSRALDAVEALEAKNSEDGQWREDIDKAVSWYQQFAGFQVVEEVQGVRFVFDKVDSQAPEKEFSMVLNFDKDSEELLKDLNLANDLPKFVRITRERIQAASMNGTLPVSTTVCPDASPLPISSPPMMSDDSSSRNDADQSHSQSRNKEALPAKRRASALSAASPGSIFAKTFERILLLHSFRSFSRAPLSSLQSLPVVKKWSHSLILPLLLSCSTVMEDEQLNPNGLDLNQSINWEEIDEEYDLDVLDLNYVYVFEESDDGSNHTGRGDEGCEGEVPGGDVGGSVVTNTIGNILKCSVEALRWLRID >cds.KYUSt_chr5.42320 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266816203:266827198:1 gene:KYUSg_chr5.42320 transcript:KYUSt_chr5.42320 gene_biotype:protein_coding transcript_biotype:protein_coding MDGANPRYHPRPLAAAADRSPPNPAPRPERPPPNSAATPSRTTPTPTPDRFLLNPNPWSSLSAGQTANAKPKPGPTTAPPLPHPPGGTHYSHPHPSSHVADLKALLHGTSASNAAGAATDLARPHSTAAPPPGYPRHGLSAGSSVGQQSPLGALFMSSKSSNAQGASPGEGSGSGVDGVSQGAVQFQDPGGEFFMGEAGLFGILCSCHQLRMSVAKFWGPAEKAGEIVLMENGMSIAHWFKYCVGVGAYVTDTKWDWPEWMCIDYSPVGYKLKTLRTGNSNPCPRQTIKVNRSIGEHTKLPLGTGINKQSIEVATGISCSEGGKLTDDAAKNSFISVFLSHLERNSEAIDDILNNSEHNLPKPLDGAYSSNHSKIASRQVEPRVNGVLCPTNCGSCNRVGISHQNAHVETGAPCLPDKLVHQACYGVLKVPRGQWFCRPCKAMAKANTQDIVCVICGYGGGAMTRALNAQKILRSSLKGLRVTTWSDKNVKHNSFYASKSRSLGSIPGVDKQKLIGSAHEDNTVRCSWTANHNSSLLGPKTMQWIHVVCGLWTPGAKCPNSTTMNAFDISGALPGKINNVIEYVGEIVGQRVADKRELEYHSGKRQQYKSVCYFFKIDKEHIIDATRKGGIARFINHSCLPNCVAKIISVRNEKKVVFFSERHINPGEEITYDYHFNQEDEGERIPCFCRSRSCRRYLN >cds.KYUSt_chr3.4917 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27921429:27922748:1 gene:KYUSg_chr3.4917 transcript:KYUSt_chr3.4917 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGQVQGGKNGRTKQNQSSATGRRRGEDIPPLPTPTFPASQVRSTHSLPILYSWKEIDGNLTDCLFHLQRRKKQRRKKKNQHQQVAASLPEGPLMEILSRVPYKSLCRFKCVSRSWLALCSNPDIRRRSPQTLSGFFHNHRYGNDLRFRNLSGRGAPMVDPALPFLRGYDGLKVEQCCGGLLLCRCWKSHAQEKDLVVCNPATEKWTVVPPIVFLDEEDTDPYPQPGLAFLGFDASTPSRFVVFAPLVGCLDDVAIYSSETGRWIRSGCDDMAVPVVTAECVFLNGFMHLTIDEPEIAAVDTEGELWTQIPLPEDMEPSNGNTSMGQSQGLLHAWYIDPDKENQLSVWVLEDYASDNWTLKHMVSVPELFDAEDEDGFYEMFAIHPERNLVFITNGEDMTLSYDMDNQEVHVLCTSGEFLGGLPYVPCFSEWLSDGH >cds.KYUSt_chr3.42450 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268468186:268469121:-1 gene:KYUSg_chr3.42450 transcript:KYUSt_chr3.42450 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVASTAGSSSCLSFVAESLILPTRNGSLFASLFALAFAHTFVSVAVAVVFVQPITASVLLQVKLLIRNDVRVRYAVESDGFLEHTEKLLLFYLAYLASKLATQVAVALAASATAYGRPCSLADLVRGKAATGRIRCALATSALVAVLELACTVLLTASLVAWWMYSATHAETGGMESCISGCLLLLFLLALVAHLCLAAVFTLAIVVSAAEEGGGGGSHFWRAWRLVTARARRKEAAVLVLVANLLPAAIYPAYAFAAYCGTAWALVFVPGYLLPSTGALFHSTVAATVFYQQCMEHHQAIKEQLPFH >cds.KYUSt_chr3.23196 pep primary_assembly:MPB_Lper_Kyuss_1697:3:143555561:143556478:-1 gene:KYUSg_chr3.23196 transcript:KYUSt_chr3.23196 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDKSARSAPRALPYKLRSGSRRSEESATVAAAAAAAAAAKQAAEWEEVRCPVCMDHPHNAVMLVCSSHEKGCRPFVCDTSYRHSNCLDQYRKASKESSKDSGAPECAECQQPVKLACPLCRGPVSHWTKDYDARKFMNSKARACTMEACEFKGAYSQLRKHAREDHPAIRPTEVDPDRQRDWRRMEQQRDMGDLLSMVRSGFSGREFGVFDGEEGGSERSSFRGASFTMVFVMRAPGYADAEIPATQGPRTFFVVTSRGGTSGSVDAEVTATDNEEAGDMAMSAEAPAGSQEDAGEDDGDPAQ >cds.KYUSt_chr5.3616 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23436743:23437662:1 gene:KYUSg_chr5.3616 transcript:KYUSt_chr5.3616 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTKSLWMGVGISLMLGFAVRQMKIHVKRMMTPPTCTLDVESSDTIGSVKAQIRDVPLHMQLLIFANQLLQDDGTLADYNIQDGDSIHFVIDLK >cds.KYUSt_chr2.11822 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75238306:75239211:1 gene:KYUSg_chr2.11822 transcript:KYUSt_chr2.11822 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGRDNAVWQVPVDEEAAAAAAEAAEAAEAAGVGGAVAVPELAAEAVAAAEAAAAAVRESMARARMRRAAVFLLAGVRRLSVLIASTEESCGMLESAIGLLHEAAQELHLPLSDAGWILPLPLQGAAAGVLQGPIPASMDAARRIVGRLCGTLPPDWYLQIYECSHHLSPIQAVYAKAYVLILACAEILDFADEGQPWRRWSGRMNEAFDQPSLVQKSLLDAHNWAKIAYSDLLNLVELWNAGAVARMDLPGTLSSAEVNLDAAAELMDQAVTAMQRMSDALELQAYELMILARNPEDQA >cds.KYUSt_chr2.867 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5145917:5147839:1 gene:KYUSg_chr2.867 transcript:KYUSt_chr2.867 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGGVDLEEPYQAVSGAADDEAAAPPFLGKAPPPAGIHLRLSLCEKVCMTLVVASLFLLAIAAPIWGMYLEKARAPTFTVLLSSLQGIDLARPARVVSPAFNLTLGMNRTCADRADVAVSYAGAALGWARVAPHDCAADERPGTAGSEVAVVAVGQGVGLSREVRERMAEEWQRTGTVELDVEVAVYDDGPHLLFSGPDVRGKVVLCRLLSLTTIIRDYCLSLDLFGTFDYSIVYAFNSSD >cds.KYUSt_chr6.31908 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201549687:201551547:1 gene:KYUSg_chr6.31908 transcript:KYUSt_chr6.31908 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAQLVPLATGYPWPECGGNKTFKANSKYQANLNHVAAMLPNKASASAKLFATAAAGVAPDRVWAMGLCRGDSDAASCFACLTQGFQDLPNDCSYDKEATIYYDACILHYSDARVLSAAADTGLSADTYAQPFLVNITSDQARFNGIVADLMNATAEYAARVQLHATVRHRGGRLRPGVSQGVQPGAVHAGPDAGAVPGVPRRTQFQYIRLNLVACLCFRRLQRPAAQAKQQYPMHSGKAEDIEMVESMQIDVSTLRAATVDFAESNKLGEGGFGTVYKGVLPNGDEIAVKRLSQNSAQGVEELKNLVRLVGVCLEQQERLLVYEFVPNRSLDLILFDAEQRELLDWGRRHKIINGIARGLQYLHEDSELKVVHRDLKASNILLDAEMRPKISDFGLARIFERDQTQAVTNRVIGTLSVLRTALVVMSNFRVSKIPLLITCMHVSVGTWRQSMRCGVTTTSAPVLHPKSKLKSMKHKDG >cds.KYUSt_chr5.35196 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222509899:222510468:-1 gene:KYUSg_chr5.35196 transcript:KYUSt_chr5.35196 gene_biotype:protein_coding transcript_biotype:protein_coding MMHHYHGEVASLHCLSPPNPLFHNTHYHHSSMLTTVTPPPPFHFSATTYDYNEPIEEALAAIGNSPASSDGADVIHSGVAVVAEEKERKRRRMVSNRESARRSRMRKQRQLSELWAQVVHLRSANRHLLDELNRAMRSCSDMLRDTDRLSSEKAELETKLEQLMQAQKNSNAFLSSSSEPYQNTTTATE >cds.KYUSt_chr3.23436 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144882480:144885956:1 gene:KYUSg_chr3.23436 transcript:KYUSt_chr3.23436 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSGSLAPDLLGKIRCVWWWRRRGKELRRSEMAALDLPPWISVNKPKIKATPWPIQLSAMDSGKSTSVARFLLRFAVAYYGCVEASGFVPASSHDGGVANLWLDGGEREGPDCILSSLSELSGLRWPPAEAQLMGEDGLHCDMMIGQRARQVGCVGSGSILNDGVGSREAMTPDSSSGRG >cds.KYUSt_chr4.13521 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83294408:83295785:-1 gene:KYUSg_chr4.13521 transcript:KYUSt_chr4.13521 gene_biotype:protein_coding transcript_biotype:protein_coding MRLREESEEVRRVAGGSARSPPPSAMRPVAGRQDPSNNYDREHLDGDFVAGSHRITAQFQEENCFMEASSRRHKPVAHLIAEATNILSVVEVAMYTRIAIEEDAAALLEEIIRRGCDRCVLQRQLHRAVKDDNIRLIREDY >cds.KYUSt_chr1.27681 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166803622:166805487:-1 gene:KYUSg_chr1.27681 transcript:KYUSt_chr1.27681 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGTVAKHYGQGAAMEVCSAEQGHTAASKCFDDDGRLKRTGTMWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFSLVTYYTSALLSDCYRSGDETTGKRNYTYMDAVNANLSGVKVQICGFLQYANIVGVAIGYTIAASISMLAIKRANCFHVEGHVNPCHISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAIMSFTYSTIGLGLGIVQVVANKGIKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATVVSVATTTLFYMLCGCMGYAAFGDNAPGNLLTGFGFYEPFWLLDIANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPDSSFITGEIQLPIISSGFKLNLFRLTWRSTFVVATTVVSMLLPFFNDVVGFLGAIGFWPLTVYFPVEMYIVQKKIPKWSSLWVCLELLSLGCLIITIASAAGSIAGIMSDLKVYKPFSTTD >cds.KYUSt_chr5.7858 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49543351:49549945:-1 gene:KYUSg_chr5.7858 transcript:KYUSt_chr5.7858 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDDDGAASNGFPRRSLHQWEGHLLHQAGYPCPPDTRPPGGGWRLSRGGVPIPPPPRGAALDAAIEEARMTMTDEERAEPPHHPDNCRAWNSYFLRRWEQELASYDGPPPPPPRNNSAGRKRWWSAPGRNLEAVLEHIEGGNFPVLTMPAPSRATVSRRRGNVWQPRRMAASSSSSGSAPRSSLAPVKREETTSPSMPVRVKKEPASPPPTRGRSSGALVIRDQPSAPQSGRKRTKKEAAAIQLAEEEAKRAEDAAMAEAIARRKYQIRKELNSDKTPNLVYEVAPDVHFGHELANREPWVKKLPHIFFFFRGGSNNILYPKEDRDQKALLFACRNCDHQEVADNNCVYRNIVHHSAGEFTQVLQDVAGDPTLPRTKEVRCAVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRE >cds.KYUSt_chr5.6449 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39887866:39890740:-1 gene:KYUSg_chr5.6449 transcript:KYUSt_chr5.6449 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWFLLLLIACLPTLILSEDYSDVTVIVRGSETIAATSDEFICATVDWWPPEKCNYDQCPWGKASVLNMDLTHPLLAKAIQAFSPLRIRVGGSLQDQVVYGTPNLGSPCRPFTKASSGLFGFSHGCITMERWDAMNDLFVNTGAVITFGLNALQGRKQIRKGVWGGAWNSSNAQEFMEYTVSMNYPIDSWEFGNELSGSGIGASVGAEQYGKDMVELQNIVNQLYGNSWKPLVLAPGGFYDRQWFAQLLDVSGPNVLQGMTHHIYNLGAGNDPRVANRILDPQYLNKVSDTFRDLQLTIQRHGPWSAPWVGEAGGAYNSGSRTVSNTFLNSFWYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTDTFVPNPDYYSALLWHRLMGNGVLSIDLGGSSYLRAYAHCGKQKGGVALLLINLSRNMGSMVSVRNDLNVGLAQGQGITRDSSLVRGIKRTVSWVGSKASDGMQKREEYHLTAQDGNPLARTMLLNGAPLELTEDGDIPPLYPVQVSVSSPIYVAPLSIAFVVFPDFEADACQQ >cds.KYUSt_chr5.19070 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123328180:123329484:1 gene:KYUSg_chr5.19070 transcript:KYUSt_chr5.19070 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKNRAKTKKKAKTKTKTPPFSTSVPPAPPVEPEASAPATNDVLAEDALRDILGRLSVADLLRAALACHRWRRAASRCLPRSAPLLGYFFHPTAPGLPSPQPFCKDFDPHAAFAPFDASSPRLSLDLAPDADRFLLMDSHQGLLLLHPAMQLPDGVLPRLLVLDPATRRRALLPAPPRDTLPDDRRWRSSRHYVGCALLSRAHPGRLCFEALCYALDGGHPRAWVATVNDGKCVWRALPRATDISVDFDPYWFEGRCVHAAGKMYWHICNSARLLALDPATLRFSDMLVPDFLADHFCTFRIGETPEDGRLCILAVANQQLQLWVRGEAGGMSDSDNGWVLERVIMDMDVVYDQVPDLPKHDDKHRFWSVWPSDLDAGRTGKAFIRTWGYGRYSLDLNTRKMERLATKRGKNYGHPMFAYTLAWPPAFLAPEH >cds.KYUSt_chr7.1651 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9359641:9364704:-1 gene:KYUSg_chr7.1651 transcript:KYUSt_chr7.1651 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHYFIPVEPPLATAPPPTPDSPPPLTPAETKPLDTLHAAIVDHSRANPSTTLPASPPFEPLPTFSSTLANLLPSPPAPHLPLHLLSRLLALRRGVPFPEALTFFHHALPSLPADSLPAFYAACSGCCCRRPRPRAATAGHVPELLLLPAATTSTCCSRQPHQPSAGSGAEPKQLAFSAALSLTVKKSSRSAVWGGVDCVSMM >cds.KYUSt_chr7.716 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3919526:3919933:-1 gene:KYUSg_chr7.716 transcript:KYUSt_chr7.716 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQPSLVVGDVDFVLLASCLVLSKDIQHTVGINVKADTALRNTPGCRWDAGELKLAKFVVVPSPCHLSLKHLDQPVGMIVRVCGKDLLLSIFASLGHFSTLLNRLHALPEEVHVELLKPGPGDGGVVIKSLKG >cds.KYUSt_chr6.25106 pep primary_assembly:MPB_Lper_Kyuss_1697:6:159081427:159083984:-1 gene:KYUSg_chr6.25106 transcript:KYUSt_chr6.25106 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLHLPYCRINSHLALRLNYPCHGPLTTHRYHLRIELSSWHLGIRDSVPNSAHQVVAAMDTFANADLKNLLEETLDSKFATKSEKVEMDIDELKKRNNSPSCNQALGASRCLQAHVKEDTTSECDALYEVVRGTKVTSLKNDILERIVESCLNARAEFVQNGMQRTWMVCAATAGGFFAGCFMRAWKIDKEKMNNEGERGRDRAEETYDIL >cds.KYUSt_chr6.28472 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180415121:180418545:-1 gene:KYUSg_chr6.28472 transcript:KYUSt_chr6.28472 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRACSPRDLLPDRAPPDHRHAGRGRRRGEALPPPPPPGSRVAFLDRWEGGADADADALPPPPPLGYSRLFVDPPRGSSPGADTQGTRVNVPLPPAPPPVTLRPERVVYRLESDSSPRSGSGRSSRASSPSASATLWSPPPSPFNKRRRSPPPRSPSPGPPKRLRRYWHAGGRVEFTGHRPSDGPASSNGQDITQRYQEYKTAYITTQKRAYFDLHKDETWLKEKYHPTNLLSVIERRNEFCKAAAKNFIIDLRSGTLDIGPEMTAGGASKSGNDNDGSYGNAEDYGNKRRKKGRGHLKVGPLSTAPKAHPVSSKYRRIQTDIDQTLALVQKLDAEKGIVGNILSSGGDHGKADLDRSNVGSTGPIVIVHGLTTVKGLDGVELLDTLLTYLWRIHGVDYYGMSEREDANGFRHVRADKKTASAFDISAADWEKQLDSFWHERLVNGDDPLVVLTANDKIYAATLETLELHVKKIMDENRGCKYGCGAKGCGKVFHAPEFVQKHLKLKHPDLVSVLTSGVQDDIYCQNYMKYVSV >cds.KYUSt_chr4.43905 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272140339:272141933:-1 gene:KYUSg_chr4.43905 transcript:KYUSt_chr4.43905 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGDASPPAAVVDVAVGAVPNAGAVSAMISATIPSKRKRIPKQFFEAPAAAAAAAPAAASPAIAPPTAKKGGRLKTKAARPRGVAPAKVRTKAISRIGLAPPPPSKATPSPPSVPSDAPPALPPSTMDVDKVFDVESTTSYMDMLNGSAVNLDAGIDAFDAECNVEEIDEEEEDEGDEEEVVEVDPAAAGSSSAPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPLVDACRKTIPMEVAGQRPKCKKEALLTMDDEADDMSGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARRELDMKMIAAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWKETKADIIARKKVARQARAQGESPASGGAGGDGSVDG >cds.KYUSt_contig_528.192 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1232961:1234919:-1 gene:KYUSg_contig_528.192 transcript:KYUSt_contig_528.192 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLPPLPTPCAPNSTSRPARGLLHAIPHRNLKLPPGRRGGRITISSSSSTLSAAQDLIADAPTPRAFSALFKAASSATSPSPSLGVQLHAQAVVRGFLGDGDNSNTILSTAILNFYASCREPDLARQVFDAMARTNAVTWNALIKGYAQAGRREEALELFRDMRRQGHVAPDKYTFPALLSGIGRERGGGCMQELGGSMHAQVIKSGLHKDPFVGASLVSMYAAIGALEDVKVVFDDVDTLDPVVWSSMISAYVNCKEEQGALLTFYKMLCRDIKPRQFVYSSLFAICGSMSLLEMGRQVHAHSLKNIADKDAAMTNALLTMYSDCGCIDDAWRVFSSNDVVDVVSYSSMILALGQHGHPKEAFELFRQMEFSGLVPDEVTLLNLLSAFNHAGLVHEGLHIFDSMLDIQGIKPTYQHYACVIDMLARSGEIGEAIKTINEMPFEAGTPLWRIVLGACSKHRDIATGIKIAEMLFEMEPYEAANYILLGNIYTRLGRWTEAEKVRSVMDKRGIGSYDAFSWIEMGKKTHRFGVADRSHPISEEIYRNLDRLIGSIKAAGYVPDISFAAHNLEKDGREESLHYHCEKLAFAFGDLASPSGNTLRIMKNLRACGDCHSAYKYFSLITGRKIILRDNHRFHHFNNGACSCGDYW >cds.KYUSt_chr2.33019 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203623044:203628271:-1 gene:KYUSg_chr2.33019 transcript:KYUSt_chr2.33019 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSACFHLGCSGARSVPLLQDCRLPFLHAGFGGRGKASAADLSSCTAPSWPHDPLLEQDFPNLMGLAPKVPDPPLPAKLEPVMYDNSEEVETGAYDESGSCGDFDFAEVEYEYGEADVQGYEE >cds.KYUSt_chr1.8707 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53402578:53405233:-1 gene:KYUSg_chr1.8707 transcript:KYUSt_chr1.8707 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLYLARGASKVLRRITTETSVELKILSEKWQLLLAGIVFQELGKERGYISETLFTFIFISFALASQFLRIVTFYSTQLPGPNYHCREGSPLARLPPPKNAAEVFLINFPRGVIYGCGDLIFSSHMIFTLVFIITYQKYGSVRFIKGLAWCVAVAQSLLIVASRKHYSVDVVVAWYTVNLVVFFLDKSLPELPDRSAGSASVLPMSVKDKDSKLKEENTRLLNLNSVEAADRRPWTQMNGKHLENGNHLDTETTIA >cds.KYUSt_chr4.14445 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89148281:89150074:1 gene:KYUSg_chr4.14445 transcript:KYUSt_chr4.14445 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLIPPSPPTPTAITCLSDDILREIFLRLPSLPSLVRAAFVCRAFRRAVRSSPAFRRSFRALHAPPLLALFLESNFEVAPAVPCPWRRCDPDLVATDFFEIGLSRHGDADAAGWEIESLSPTYDGYFILVKVGAEGSAAYNPLRQALDLYLGYTDLHLYTLSSEDGQAPSRVVCVIHEREQSDRVAVFSSDTMEWQIFPKNTLPPGDNAMTGRVMRGLIWWPNWMYNQIVVLDTTTFQFSLIDVPRHLMTGRGSSTYKLGETKDGKLCVVDIEDDALVSHLLTAGNDRWMLYKEFPLHPIVKSFTGCSMEEKDCHEESETEFTGENIVDDDPMGTAEASTVLVTAVQSLSQALMDDGGSNKEISAKLDALLRPNKDGNGSLMSKITNFDAQLITARDRILRISP >cds.KYUSt_chr2.25396 pep primary_assembly:MPB_Lper_Kyuss_1697:2:155278617:155284875:1 gene:KYUSg_chr2.25396 transcript:KYUSt_chr2.25396 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPARAPPPPFAVHLVTGGSSSLDLSHLLHSLAAARIVAFDAEWKPRRRRAPANGDDASPAPPNPPHLPTVTVLQLACRSQDGGNEVFVVDLLAVPLADLWAQLRDLFERPEVLKLGFRFKQDLVYLSATFTAALGCDYGFHKVEPFLDITNVYYYLKGHDRQKRLPKETKSLATICEELLNVSLSKELQCSDWSCRPLSEGQIRYAASDAYYLLDIFDLFEQKVTEEKCSPATDLNSDEFCSPTVVECSSSGYAICSSGYLASIIAKYSEGILLTESDTKARSSRRKEKQKLPTDAKYKEKFDCSIEWQGPPPWDQSIGGDGLPKFLCDVMIEGLAKHLRCVGIDAATPSCRKPQPRELLNQIYKEGRILLTRDMKLLKYQYLATNQVYKVKSLLKRDQLAEVIDTFQLKISEDRLMSRCTKCNGSFIQKPLTLDEAMEASKGFQVIPSCIFNRDLEFWKCTDCNQLYWE >cds.KYUSt_chr6.12317 pep primary_assembly:MPB_Lper_Kyuss_1697:6:76864342:76864914:1 gene:KYUSg_chr6.12317 transcript:KYUSt_chr6.12317 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLGNRHGEHRLQKLPTPSPAPCRHEALYFLSLAAKAGQEKAAHLQITVEEIAALVARARSAELLIILPPQEQIKEAPHRPPRAAPRQQGGIARRHSFINGDACAQLLVRRRRTAVHAGDEKQPQDAPDLAGKIRSSTPTRHKGQTSTRPSPTINTTSCSASPPPLSDGKAADEGFGPAWSRERRSQEL >cds.KYUSt_chr7.9625 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58903237:58907470:1 gene:KYUSg_chr7.9625 transcript:KYUSt_chr7.9625 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASVNEELAEIDGQIGDIFRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVMKDETANVDPNTARMLNDRKQSQIKELNSYVALKKQHASENKRVDLFDAPTEDGFGEENVLLASNMTNQQLMQQGDSLMDETDQALARSKQVLQCPSDDYSVSLQIVNPHNKDIPNIPGLAPPVGRRLLSIVEGK >cds.KYUSt_chr6.13355 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83567460:83572853:-1 gene:KYUSg_chr6.13355 transcript:KYUSt_chr6.13355 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIRGEGCVVSARNDGAAEPDLRGAGPEADPVVGEQAGLGDISGGGRPRRMPDAAAEVIGKRARRSPLSLRAMVLLMHVLFVGAVFMLDSTLDRRIHEEPWYIGVYGVLVLITLVQYFYTAGSSPGYVIDAMQAGGRMHATFVNTATLSKQSSSKHGSVKSPMNRPQLEKLSPVSSTSSWLHHIVDLYPPGSSNRDWTCAYCKIIQPPRTRHCHDCDKCVLQFDHHCVWLGTCIGKNNHCRFWWYIFQETILCTWTVALYIESLHLDIDDKAWWKDFIGVIMLAVLIFILLFLLLLLMFHSYIALTNQTTYEVARRKRIFYLRGIPDRVHPFSKGICRNIYDFCFSRQNGFVLEAVPPLEELEARAIPYTCRDIICCRCC >cds.KYUSt_chr5.39189 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248034842:248035645:-1 gene:KYUSg_chr5.39189 transcript:KYUSt_chr5.39189 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGLEVTSSPSSSSVSSSPEHAAGRASAAKRPAGRTKFRETRHPVYRGVRRRGGAGRWVCEVRVPGKRGARLWLGTYATAEAAARANDAAMLALGCRSAATCLNFADSAWLLVVPSHLSDLADIRAAAVESVAEFQRRQTTNSIPSTVTEEVSSSAPAQSSSGSDNAGSSETSEVSADGQLAVPVAMYSDLFRLDLLPETDLGAYYMSLAEALLRASPVASPKPSTKPRRIERLRNMCFVRAVFGGRRSPAASPKRRLQMNIGARK >cds.KYUSt_chr2.34695 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214215309:214216937:-1 gene:KYUSg_chr2.34695 transcript:KYUSt_chr2.34695 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTKRSKKRKPITTPPESEEPVYDATATMESGQETQEPEQQEVAGDDETVKTGDQDEEQEQGKGANAQDLELGYCIVPSEKRFLVLHAFLKRKLSKKVMVIFSSCSSVKFHAELLGSVQMECAGIHEKQKQKKRAATFSNFCNSEKGILLCTNVAARGLDIPDVDYIVQYDPLNKLQAANIRLTEYELHNKNVPSLQANFEKIVGENYFLHQLAQEAYRSYILAYNSNAMKKTFNVHGLNFKDVAASFSIRNPPKVNIDPESRDKKKVGGGGKRQRINAAA >cds.KYUSt_chr6.30652 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194306146:194313415:-1 gene:KYUSg_chr6.30652 transcript:KYUSt_chr6.30652 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIRKCGTRFKHFLAFIPPVAHGATILVVALAYISISRHVKEATSAAVSSNMYASLDHVAIGMQAILAANHSAFVVADNLAATSNMNRLSRVEQNLFMAFAMQPHVAEMSYTSIDGSSFTYYRGKDSRPRKMFISNRGKWYKQAVDPVTGVPVGSVTAMPPPKRLPNAARALADAKSSSLVALGAGLARPSIQMVVLSAPVGDAGVVFASVPIRDVLPIVDRAAVGFGSVDAYYSIIDTKHNTSTGYKPLVVGSDAKKKMEDLFSDIKCTASTFEAPKLELHNVRIGSHQREYRVACTSFNLSIGVHLGLRLVWQKEAMFPEIGVAVVSVVCLLALMVVVACFFMARALWRSGSREAALQGELMRQKEALQQAERKSMNKSNAFASAIHDIRSSLAAVAGLIDVSRTEARANPNLTYYLDQMKIGTNKLFDILNTILDMGKVESGKMQLEEVQFSMADVLEESMDMANVVGMSRGIEVIWDPCDFSVLRCDVVIGDCKRFKQILDNLLGNAIKFTHDGHVVLRAWANRPIARTCYHQNLTEPEVGRDQDGLEEYTWKNYVNRPVMLSLG >cds.KYUSt_chr2.4081 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24656806:24659172:-1 gene:KYUSg_chr2.4081 transcript:KYUSt_chr2.4081 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNRHVLSNSFKKECDDDIVFAQTGLRVSPGTRWGVKKGRDSTSATGNTGDLPGPGSSLAQLQAAFAKKNLNTVDMVALSGAHTIGRAQCQNFRSRIYGGDTNINAAFATSLKANCPQSGGNGNLAALDATTANAFDNAYYTNLLSQKGLLHSDQVLFNNATTDNTVRNFASSGAAFSSAFATAMVKMGNISPLTGTQGQIRLSCSKVNS >cds.KYUSt_chr1.12059 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74506787:74507866:-1 gene:KYUSg_chr1.12059 transcript:KYUSt_chr1.12059 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVPFLVHHVTPSPSLKLHFSPRNASLQFHARHSTTSVRCAVPTPTSHTPITNPTRSQGEDLFSFDWYMASKAVTVNDALDRALPLVQPERLSESMRYSLLAGGKRVRPMLAIAACEMVGGDEAAAMPVACAVEMVHTMSLIHDDLPCMDDDDVRRGCPTNHVAFGISTALLAGDALLSLSFEHIARGCVEHGVPADRVLRAVAELANAVGTGGLAAGEVVDLASEGADVGLARLEYIHIHKTARLLEAAAVCGAIVGGAGEEDIESIRRYARYVGLLFQVVDDVLDVTQTSEQLGKTAGKDLATDKATYPKLMGVDGARAYAAELVASAEAELDRFDHARTQPLRHLARFIAYRQN >cds.KYUSt_chr1.37142 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226920705:226921331:-1 gene:KYUSg_chr1.37142 transcript:KYUSt_chr1.37142 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEKEQGEGGGGKSKLGRWLGAPVRALSRACDSYVRKMSACAGAMPTQYGGAGGRAGYGGARMQQAATFSSRSTRRGGDDDDVNELVRAMSQRQQQRERGVPAATVQARSRSVAVGRIDEDAPLEFGTDAGSVGLPPLAVRRTRSAAVVGAGLPPRVGGGGGFGASAVTRKAAGGVGAGLPPRVGGGGFGASAVAKRAPGGGIVYGG >cds.KYUSt_chr6.31357 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198340646:198342193:-1 gene:KYUSg_chr6.31357 transcript:KYUSt_chr6.31357 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPHVEPPCDGGCRDRLSDLPDGVLGTILSFLPTKEAARAAALARRWRRTFAGVDTISFVQREAHDNENYTFMKEALERRSRNGDFIDEVNAALLCRRRCGGHAAPRVFCVNFGRYDCWDWPMLDRWLYYVLNRSARELHLDLRLQHALVGERYLGEPRYSDGADSDADFPASSECNAYGYDAHEYKLPRRLFSCAAIRTLCLGACSLQPPELIALPFLETLVLSNIRRLGTNIQRLVSSCPRLIDLTLERCGEEAKCFKTPAPDHSYTITVLDRHLRRFAIRCCHNLVRASIDASELREIDYRGAVPSESHLDLRGVDKKISSCSIGFCGTKVYKGEFPRFRKLLDKFTGTRHLHLDSTHLGSDIGSKSFAGFPSLPNLVRLELSGYLRIGSIEAMTRILDQAPSVEVLSLFLKPTYQERFETDWPNLHELRAEIVVQDISVSCLRNRVRRINLVNYQGHKAQRKVAKLLFCNALVLEQGCVVFPRGPHEVQLELKNEIEGWVVNKSAKIIF >cds.KYUSt_chr4.52381 pep primary_assembly:MPB_Lper_Kyuss_1697:4:324888125:324890365:1 gene:KYUSg_chr4.52381 transcript:KYUSt_chr4.52381 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFIGFLLLAQLLGACYAQERKNYVVHLEPRDDVGTDAVEVWHRSFLPEATLQSSTDGGPTIIYSYSHVLTGFAARLSDDEAEAMRNRPGCLRLYPEHFLPLATTHSPGFLGLHLGKDGFWSRSGFGRGVVIGLLDTGILPSHPSFGDAGMPPPPKKWKGTCEFKAIAGAGGCNNKVIGARAFGSASVNSTAPPVDDAGHGTHTASTAAGNFVENADVRGNAHGTASGMAPHAHLAIYKVCTRSRCSIMDIVAGLDAAVKDGVDVISFSIDASDGAQFNYDLVAIATYKAMERGIFVSAAAGNVGPTVGSVGNGAPWMLTVAAGTTDRAIRTVVKLGDGQELDGESLFQPRNNSAGRQLPLVFPGAGGDPDARGCSSLPDDVRGKVVLCESRGISEHVEQGQTVNAYSGSGMILMNKPEEGFTTFADAHVLPASHVSNAAGAKIAAYIKSTRNPTASIAFRGTVMGSSPAPAVAFFSSRGPSKASPGILKPDITGPGMNILAAWAPSEMHPEFVDDVSLAFFMESGTSMSTPHLSGIAAVIKSLHPGWSPAEIRSALMTSSDTADHAGVPIKDEQYRRASFYAMGAGYVNPSRAVDPGLVYDLAPNDYVPYLCGLGIGDEGVREITHRKIECGKMKAITEAELNYPSMVIKLLSQPITIRRTVKNVGKAESVYTAVVDMPKEVSVTVRPPMLRFNKVNQRQSYTVTVRWAGKQPAIAGVEGNLKWVSPEHVVRSPIVVPPAKAVV >cds.KYUSt_chr5.28402 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179871848:179873185:1 gene:KYUSg_chr5.28402 transcript:KYUSt_chr5.28402 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTPLQQSLTKKILTLSLYTIAPLALLVYLLSPSPITGLSYASTSKTQQGLSTAAGAAARKQQRAKASPAPAQRCDYSEGEWVPNAAGPRYNGTSCGATIKDGQNCAVNGRPDTGYLHWRWRPRGCALPPFSPAEFLEQVRGRHVAFVGDSLARNQCESLVCLLGSEFPAELVQDGGSERKFRRWAFRSHNATVSVFWSPFLVNGTEKSASPGAGGMDAHNRIYFDQPDERWAAEVPGVDVVVLSFGQWFMLPAVYYDRGAAIGCHHCPSETNRTETGFFGVFRLAAKNALREVIARSSAGRREKLAVLTTFSPAHFVGEWDSPDACARTQPYAPGENEMSYMHKEMWRTGAEEAAAAADDARLRGSGVTVEALEVTRLADMRADGHPGPYFHAFPFAGDGGKKRERVPNDCVHWCLPGPIDTWNEILLQIVKRWRKNASSSI >cds.KYUSt_chr5.15399 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99513436:99514285:1 gene:KYUSg_chr5.15399 transcript:KYUSt_chr5.15399 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLLSLLLLLAVSTVRGAAAAAGGSPSWCVCRPDASAEALQSTLDYACGNGADCAPLHAGAQCHSPDTLVAHCSYAANSYFHRISQADEDATCDFGGAATLSEIDPSSETCTYPATAAASTTADGTTAASPPAASWCVCKPGLSDAALQGTLDYACGHGADCNALRPGGQCHDPDTLLAHCSYAANSYFQSSKDAACDFAGTAALSSTDPSTPFLPSRALSIQQLKVLDLTWFYLLQAPEPANTPPGFRK >cds.KYUSt_chr5.29292 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185619469:185620750:1 gene:KYUSg_chr5.29292 transcript:KYUSt_chr5.29292 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLPALGWAARDVSGHLSPYSFSRSVPKDDDVTIKVLFCGICHTDLHIIKNDWGNALYPIVPGHEIVGVVTSVGSGVSNFKAGDTVGVGYFLDSCRTCYSCSKGYENFCPTLTLTSNGVDGGGATTQGGFSDVLVVNKDYVIRVPDGLPLAGAAPLLCAGVTVYSPMVEYGLNAPGKHLGVVGLGGLGHVAVKFGKAFGMTVTVISSSDRKRDEALGHLGADAFLVSSDPDQMKAAAGTMDGIIDTVSAGHPIVPLLDLLKPMGQMVVVGAPSKPLELPAFAIIGGGKRLAGSGTGSVAHCQAMLDFAGKHGITADVEVVKMDYVNTAIERLEKNDVRYRFVIDVAGSHLQGGAA >cds.KYUSt_chr1.21908 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129379507:129382905:-1 gene:KYUSg_chr1.21908 transcript:KYUSt_chr1.21908 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHLALAVLTTVLAAPRPAMAGDPYAFFDWDVSYVTAAPLGVKQQVIGINGKFPGPVVNVTTNWNVVVNVLNDLDEPLLITWNGIQHRKNCWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSTALQRAAGGFGGIVVNNRDVIAVPFARPDGDITILIGDWYNRNHTDLRKMLDEGKDLGMPDGVLINGKGPYRYNDSLVPAGIGHETIDVHPGRTYRIRVHNVGTSTSLNFRIQGHNMLLVETEGSYTTQQNYTSLDVHVGQSYSFLVTTDQNATSDYYVVASARMVNESLWSRVTGVAVLRYSNSGGHASGPLPDPPQDQYDKTLSMNQARSVRWNLSAGAARPNPQGSFRYSSINVTQAYLLRSEAPAEIGGRRRAALNGLSFSPPETPLRLADAYDVKDAYTLDFPDRPDAAARPRIARSVINGTYRGFMEIIFQNNDTRMQSYHMDGYAFFVVGMDYGEWTESSRGTYNKGDSVARSTVQVYPGAWTAVLVSLDNVGFWNLRSQNLDSWYLGQEVYVRVVNHEDGANKTEMAVPSNALYCGQLHKYQKEQTPHHKMAAASAAAPSQSAVGSQLVAAAMVLLVGALTLAS >cds.KYUSt_chr2.49070 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307096248:307098070:-1 gene:KYUSg_chr2.49070 transcript:KYUSt_chr2.49070 gene_biotype:protein_coding transcript_biotype:protein_coding MERGQSSPRLPKSRSSKIDDDEDLMVPLIQDKKTGSRAPAVVLAFECLESTAFNGIATNLVLYLHSVLHGTSLASASNVTTWIGTSYLTPVLGAVLADTFWGNYNTILVSLLVYLLGMMLVTFSAFLPTTALDCVAGPSSCYHPVLGSHTVAFAGLYLVAFGSGGVRAALLPFGAEQFDDDNAVDRERKMSFFSWFYVCVDFGMIVSGLFLVWVQQNVSWGLGFGIATACIALAFAGFVLATPMYTRRVPTGTPLKSLCQVVVAACRKAALRVPADAAVFYELSDKVDQPKIAHTHEFTFLDKAAMVVGSDLEEVATNVDADAGSWRLCTVTQVEELKILMRLLPIWATSIVLSAAYAQLNTTFVQQGSAMDMRVMSFTIPAASMVSFEVVCVLAWVVVYSSVIVPALRSFSPASGEPSQLRRMGAGRLLMACAMAVAALVEMRRLDAAGTGESISIAWQMPQYFVLAGAEVFCYIAQLEFFYSEAPESMKSMCTSFALLTVALGSYTSSLIYAVVNALTATGGRPGWISDDLDQGHLDYFFWTMAALCTLNFVVYSAFARNYQVKTVLS >cds.KYUSt_chr2.43248 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269050723:269052086:1 gene:KYUSg_chr2.43248 transcript:KYUSt_chr2.43248 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKRGPWTAEEDKILVAHITSFGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSDEEEQSIIQLHQLLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEPSEQEQHEQQPGAPKKRKPSGPPRRREAKAARKAVAAAPVSSPERSVSSTVTESTSVASAAAEHGGSSGNSAGSSVSVKEESFTSESEEFQIDESFWSETLSMPLDDINDVGLMEPQDAFGGGKSSDGDMDYWLKVFMEGEGDGGDADVLDLPQMI >cds.KYUSt_chr7.30439 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189540023:189540822:-1 gene:KYUSg_chr7.30439 transcript:KYUSt_chr7.30439 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGVPCPRGLTGTVECLVEAHHLARVVAVDESWRLPPPPPKITVEECRPHVHVVDLPLELGSDIDQEPDRVQWRNRGKDFIEVNPWPLDEESGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKAGNGQDTESPCKDARTERVESYATKANSKKWFCCVTPSPTQS >cds.KYUSt_chr1.22833 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135217618:135220896:-1 gene:KYUSg_chr1.22833 transcript:KYUSt_chr1.22833 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRGRPSGTDGSDFSYRMVVDSRYQRVADGRSRLARLILVQILHQVAGGALLLLSLSKGAEMNKFAVLSVAAGLLAILLGELGRRWTMAVLLRLYTSLSSIAVAFSVTCIIRSELFGKIMKHDTSAITSYELFDVVRVVL >cds.KYUSt_chr2.8102 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50985858:50986157:-1 gene:KYUSg_chr2.8102 transcript:KYUSt_chr2.8102 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSSTPLVSLLMEGLLILLLPLFTLMERRSMEGCPLFLAAAVVGRLVAADLPLDCRRVRAAVLRRPPPGGSGQRSDLRPTAEIQQAIGDGELGAEHE >cds.KYUSt_chr7.14052 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86865841:86866647:1 gene:KYUSg_chr7.14052 transcript:KYUSt_chr7.14052 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPGYGYGGYGGYGYGYGGGYGSGYDMAAYGNGGAYYANDHRYPAAAPPAPAAYEDPLAGRRQHDFPAPLTGLEFQPSDTCPKNYVIFDQTYDRSRVMYHPSLANNFGSSGGYDQHCNNNNGGYDQNYVGKSTYYGGGDDGGGECSIRQKEDTDEIDALMSSGDGEEEDDVLSTGRTPGCRGGGSPDSTCSSGYVVSVSPTGNNATGNGGGGERKKDRMKKMMKTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGVRGSRS >cds.KYUSt_chr3.40218 pep primary_assembly:MPB_Lper_Kyuss_1697:3:253543212:253546083:-1 gene:KYUSg_chr3.40218 transcript:KYUSt_chr3.40218 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVARFFFGVSGNVIALFLFLSPMVTFWRIIKMKSTQDFSGVPYNVALLNCLLLAWYGLPFVSPNNILLSTINVTGSVIEAIYVVIFLIFAERRSRLRLLGLLGGVVAIFTTVVLVSLLALHGNGRDVFCGVAGTVSCICMYAAPLSVMRLVIKTKSVEFMPLLLSLSVFLCGTSWFIYGLLGLDPFIYIPNGCGCFLGMVQLILYAMYRKNKGPATALAGKGDPAAVVEVEGAKKAAAPVEVAEDKIKVDNIVAVDKSAVKGEPSAAGEEVEDAKKAAAEESRWEDTNKAAAAVEMADVKIKVVVDESAVKGEDAKKVATAVEMAEAKIKVAGTVAVDEPAVAAQV >cds.KYUSt_chr5.4274 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27346000:27351500:1 gene:KYUSg_chr5.4274 transcript:KYUSt_chr5.4274 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAADDYTFAAEYDGPPLPYSLPRAIPLDLSRIPLAALSSDSSGPSDDPLPVVRPLTPSSLCSAIHAHQQQAAPGGPPPRSSSGPVADSPTSVIENHHAAAHHSAELPSSPSDAAPSDDEHDAGTPSVPHQPTASFAETSGSLLQSSSSSRSASSSDEAPSSPLPRPTATAAARSSGALSPAHLDNPNPRAGCYRCGKRGGIWGGTEACLACGARYCARCVLRAMGSMPEGRKCLPCIGRPVAEARRGALGRGSRVLRRLLSAAEVDLVMRSERQCKANQLRPEDVYVNGARLVPEELAALQGCPCPPARLRPGFYWYDKVSGFWGKEGHKPHCIISPNLSVGGNLDEKASNGNTGILVNGREITKSELQILKLAGVQCAGKPHFWLNADGTYQEEGQKTVKGRIWDKPIVKLLSPVLSLPTPNKAANQSGVEAASSGSQPEYLEQRTIQKLLLVGSGTSTILKQAKFSYKSKPFSMDECEDLKLIIQSNIYRYLGTLLEGRERFEEEVLADRRKLNKDDPSSSGYSGSEFCAEVTEYSIVPRLKAFSDWILKAMAIGNLEDIFPAASREYAPLVEELWKDPAIQATYRRRSELPFLPSAASYFLDRVVDISRTEYELCDMDILYADGITSSDGLASTDISFPQLALDVRVDESDPHDTMLRYQLIRISNKGLRENSKWLQMFDDVRLVIFCVAVSDYDEYHVDVNDTIVNKMVESRQLFESLALHPTFEQMDFLLLLTKFDLLEQKIGKSPLTACDWFSEFTPLVSRNLINGSSSRSTRSSSNTGATLAQMAAHYIGTKFKRLFHSLTERKLYVSYVNALDQESVCSAIRYGREIVKWEEEKPVFNSSETVYSGEEPSSYSH >cds.KYUSt_contig_60.436 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2589629:2592045:-1 gene:KYUSg_contig_60.436 transcript:KYUSt_contig_60.436 gene_biotype:protein_coding transcript_biotype:protein_coding MHCPLSLQEVCSKTKLRKSGLDQEPSSSSFAWLFEPPKGSGAIDGVMVYTVPNLTGIVSTAVQTIVYFRCRETLIYSVQTGTNLNSASTGLQMGKLFEASAV >cds.KYUSt_contig_686-1.837 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5133951:5137134:1 gene:KYUSg_contig_686-1.837 transcript:KYUSt_contig_686-1.837 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGMVVGYFRGKNILITGSTGFLGKVLVEKILRVQPDVKKIFLLVRASDVESAKLRIQMEVTGREIFQVLKEEHGMEFDDFIEEKICPLAGDIMYENFGLDTGTLRELYKDLDIIVNGAATTNFSERYDVAFDANVLGAKHVCAFANKCAKLKMLLHVSTAYVAGEQEGIILEKPFLLGDTLREGTNLDIESELNLIKETRRELKANCSSEKAERRTMKELGLKRARQFGWPNTYVFTKAMGEMLLGHLRGDLPVVILRPSIITSILKEPLPGWMEGIRTIDAVVIGYAKQTLSFFLVDLDLIMDVIPGDMVVNAMMVAMAAHSEEQSQTIYHVASSVRNPAPYAILADSGHRYFFDNPPRTGRNGQPARLNKMRFFSTVARLSLYMAIKYRLPLEMLRLVNIALCGVFSRRYNELSRKYRFVMQLIELYAPYSLFKGCFDDTNTERLRMAMKKEQDSNASDCYFDFDPKSIDWDDYFYSVHIPGVLKYMRD >cds.KYUSt_chr2.11917 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75774082:75776370:-1 gene:KYUSg_chr2.11917 transcript:KYUSt_chr2.11917 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGAATVLSGGMVVIATAMIVVGRMVMVVVGERTLARRWTVAAATAAPDGGIHLECAPVPPEQVEIIQSMNGWVAENMLPLLAPVDSSWQPHDFLPCSAPAPGESEAEALAAFTEGVAELREGVAGVPDEILVCLVGNMVTEEALPTYQTMGNRSEGGSDESGASSLPWAQWLRGWTAEENRHGDLLNRYLYLSGRVDMRQIEITVHHLLRNGMEMLVPNSPYHSMIYGAFQERATFISHGHTGRLAGQHGDKALAKICGAIAADERRHEAGYTRGSAKLFQVDPDGMVRALAYVMRGKVTMPGLLMSDGRDGGETLFERFSAVAERIGVYTARDYGDLVEHFVRRWRVAELTGLSGEGRRAQEYVCGLPPKIRRMAELAHQRAARSESRTVQFSWIFDRSVMLR >cds.KYUSt_chr4.2468 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13795104:13796772:1 gene:KYUSg_chr4.2468 transcript:KYUSt_chr4.2468 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPRHPDALSFHLESPASALRWCGDVAAAWAVVARLGWPLLSLNYFGQEHKQLQKLAPSVLAEETMTERAAMALHDGDDGHNDKRASITGAL >cds.KYUSt_chr4.52489 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325507860:325509383:1 gene:KYUSg_chr4.52489 transcript:KYUSt_chr4.52489 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEARARGETVASKFTLPVDSENKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLAAPTVFCMSLIDSPAGYITVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIEKCGATPFVAWRIAYFVPGMMHIVMGLLVLTLGQDLPDGNLGSLQKKGDVNKDKFSKVLWGAITNYRTWVFVLLYGYCMGVELTTDNVIAEYYFDHFHLDLRTAGTIAACFGMANIVARPTGGYLSDLGARYFGMRARLWNVWILQTAGGAFCLWLGRATALPASVTAMVLFSICAQAACGAIFGVAPFVSRRSLGIISGMTGAGGNVGAGLTQILFFTSSQYTTGKGLEYMGIMIMCCTLPIALVHFPQWGSMFFPANSDATEEEYYGSEWTDEEKKNGLHLAGQKFAENSRSERGRRNVILATSATPPNNTPQHV >cds.KYUSt_chr3.13342 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80379730:80383263:1 gene:KYUSg_chr3.13342 transcript:KYUSt_chr3.13342 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRKGGFKKKIKAVAELVGLSSGSSSHTRPPSAPPSPPPWRKNAQPRRLRTPSPSPPPAEDDDEEQWGGEDEEDGEEHGGQDEEDGGEDGGEDLGADEGLGGFPQELNPNLAWYPPEEEVYVAAEQRLEPRDKKSYKRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRRPTREYSNILGGLIRKHFPGIVNLPSGGRDVAWTWKHYSYAEDPSGKCTNMQERVVRHFWKYFKRAEGEEIACDVILHELCRVRVTGMHYEARVQCVRDWHAERKNPPQYVGEDKACFLAMVIWWTSPEYARKHEEGKLKRLEMGGGSHVLGSKNLALTLQQEEVKTGVTPNLFGLFQKSKTRREPHPETGSVWVNGLAEAQCGAYRSKFKAKHGEDADPTTEDFDVEVAVLAGQGKKGGRLWIADGLVDPKTIPSLRQIRRGRTSEQPWVETRPRALDLAVEKLREDKEMEDKEVEKDKEVEDKEVAKGLNGKSKKLEFFSTFAVHMHTAKTKSHGKGCLCRAHAHGKGCPAVHCAAQLPTCRSFAVWGSGEAHGKALSLPCGFVGRRAAKPAARQSLQAHGKVGRTAKEGGAHGKAASHGKAFAVRFSLDARQRWLCRAGRCRAFFAVQGRTAKALPSVFGPLPCILAARQSPVLP >cds.KYUSt_chr2.36742 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226920997:226921953:-1 gene:KYUSg_chr2.36742 transcript:KYUSt_chr2.36742 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVEPLIVGKVIGEVIDNFTPTEKMTVTYSSNKQVFNGHEFFPSAVVSKPRIEVQGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVNNIPGTTDASFGKEVVSYENPKPNIGIHRFTFVLFKQKQRQTMSPPSSRDYFNTRRFAAANDLGLPVAAVYFNAQRETAARRR >cds.KYUSt_chr2.34499 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213083055:213083627:-1 gene:KYUSg_chr2.34499 transcript:KYUSt_chr2.34499 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFTFPTVAAPAPATATAPAPAPEEQHNLEEVPLPQRFVGPITSPLWPFSSSPETKNTPEVDEADAPSTSTRTGGHAQAVRQHNDEDRMDLLWEDLNDDLKLPQRRRPDSSDTESEETSAGCAPTTMLRASSRAGGAGQFCGGSSRSGRGGRTTGWALLLRLFQRLFAVDKRPPSRSPRHLHHGIYAP >cds.KYUSt_chr5.37688 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237981786:237985082:-1 gene:KYUSg_chr5.37688 transcript:KYUSt_chr5.37688 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSLLVVALMPVVEVLLVVLLGAYLASGRCNLLTAAARADINRVVYAVFTPALMLASLARTVTLQDAISWWFMPVNIGIIFLTGGVLGWVVVLLLRPPQHLRGLVVASCSAANFGNLLLIVIPAVCREDGNPFGSGDVCTDRGLSYASFSMALGGLYIWTHTNSVMKRSSELYRKTMITHDVHDPKDYLVRSDEEPCRKQDAEEDDGDEEEGDVVSLPSESSSGVHEKLLAPLPTTGDHQRSGCSNNTSGNSMWDKLKHGAHQIVEELTAPPTVGAVLGFIVGVVPWLRSTFVGNDAPLRVVQDSLKLLGDAAVPCVTLILGGNLTKGVRKTTVSRWVIAAIICVRYVILPMVGVVVIKSARTLGFLPPDPLYQYVLMLQFALPPAMSIGTMAQLYDVAQEECSVIFLWTYLMAALALTAWSTVFMLILAA >cds.KYUSt_chr6.31526 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199221844:199223196:1 gene:KYUSg_chr6.31526 transcript:KYUSt_chr6.31526 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQSPAATLSPPPKKKKAPSLPPTTITGLDQDTLREIFVRLPSLPTLVRAAFTCRTFLDAVRSSPAFRRRFRDLHCSPVLGHFIGNEEVTLIPNFLPLRRRSDPDLGAVLRGADFFLTRLPVSDQEDAVPDPDPEEDDDAFPGWSIHDCRDGFLVLGNWSAEQMAVYNPLTRTMDLFPLPPREDSDSDPDDGLYFDFHILPSEQDHRSFRLVCVRHGETGARPLVLSSSDTSSKWQDFPWAHIDGLWPQNGTMVNGCIYWTIGMPDDARVLNTATMQFSRIHLPPRRVNTTWKAGETKDGRLCMASVPFEVQAQRPLDVWFWRADGDGVERWMLDKSLPMQELAEVVQCHIDDDGNARVQLNIAAIIHGFIYLSAYCGRWQHHHPTFWFLSLCLETGVLNKLGRVLRGASHPYIMPWPRSLVHNKVSLRLMVASLGGSNSISKDLYH >cds.KYUSt_chr1.31226 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189488226:189488993:1 gene:KYUSg_chr1.31226 transcript:KYUSt_chr1.31226 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHAGRHRGLQGVAAHRVHHQRQRRWLLHHRRLRRQAGLHHLRRAADNNCRVHAGKGGAPDFFDLSLVDGFNVPMRFHPLDGAPCRSTSCAVDITKECLPELRVAGGAPAPAASIDGLPRYALCVGRLPRCSEASPICGHRRQHSRRRASSWSPAAWRSPHCAAPPLGPGHGHRGRRTVLGERSSGRAFRFAPKLPRSSCFGGLQRLGWARRGLVQVASSIPALGVAMMGGHGSRIPVIELDWEAFLSNSSQR >cds.KYUSt_chr2.24521 pep primary_assembly:MPB_Lper_Kyuss_1697:2:149967899:149969515:-1 gene:KYUSg_chr2.24521 transcript:KYUSt_chr2.24521 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHTGRDKFARDLALEPGSLLTFLYEGEGEMIIKVFDDTACRRHYHTGESGSDTNIQHQDLQQTPAHGCDHALLLSPVPAHHRLGQCFQDDHYLEKFLFGQHRPDHCLQDNHYHEMALSGLLFEADCKEYQSDERVV >cds.KYUSt_chr6.3378 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19579782:19581723:1 gene:KYUSg_chr6.3378 transcript:KYUSt_chr6.3378 gene_biotype:protein_coding transcript_biotype:protein_coding MERLDTTDAVRTSILSTRWKQIAAMLSKINLSVGSFDTEHVRSELTCDVVQANQSMLEATRTMLESRTNQYTIHLLRMQFFLADGSVNIGQTVANTIATKKVGSVELTLLTEKDGSICTHDDLVTHGRQLSSFVDACPNTFSGLARLKLENMRLGEPDFSKIFSISKRLEFLCLFNCDIGYLSFLEVEHPQLRELEILKCDFEMVDLKWLPKLTTLTFTCWESKHYPLSFGFVPLLQTANISNTALSSHKMLKLSEFLGKVTLRELHLNFESEKIWVQPEGPKELWQVFHKLRIVKLSGISEECHLGWTMFVLQGAPSLQELHIEVWDHLCEMVEDEGHRKTLAYSKLKKDAYVEWEAPPSCFKHHNLSVLRVYGFQSDDKFVNYILGVMEVTVGLEDIYLYEKPGCEMCKHKMKKDGYPRTDELRIMLRNIFNRETCSLARVHFPSARM >cds.KYUSt_chr6.22130 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139762651:139765988:1 gene:KYUSg_chr6.22130 transcript:KYUSt_chr6.22130 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTRTDSVTVERHAETQTRRIFGPVLLVRVVIRNLAMEDNNDELLDEQNEAVEAQVSLPQDIQQTALAFLPGRVVFKLRAVCRFWRDCIQVPSFVDRHLNNALCFHQSIAFFTSVDDGLFCMYTFDPTTLNRKSLDFVLSFRFQMSDPCNGLVCAYDSRGAVEVLNPLTMKHVILPVSELQSRALSSEYFLGSVQSKNEYKVVCIRHRVRFLTFEVCTVGTQLWRAVRESANLLKTSKAVIVNDVMHWLLLDAASDFTRRILLFNLTDEIFSETAVPDTIKDHNLELFEGEGKLHLLAMPTKGSASEVSEIWVSNSTCTVWDHMCNITFLLPPGMRPHFLHKKKLFYGNQKRFYYIDLEGGGGSYINVPSDETIVSSGIFVDSLLLHSVTGLVDSRTLLMGSDYAGSSSHAAGSSSSDAGQSFKEAKSNRKMKWRLTRISAKKT >cds.KYUSt_chr3.2328 pep primary_assembly:MPB_Lper_Kyuss_1697:3:13315199:13315411:1 gene:KYUSg_chr3.2328 transcript:KYUSt_chr3.2328 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSHSKMPATRQRPTRTLIYNIAKDLRRSTLAGPEDPVVTLAPDGPNDQESDRLASLDLRWRGSCKGG >cds.KYUSt_chr7.29105 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181065110:181065412:1 gene:KYUSg_chr7.29105 transcript:KYUSt_chr7.29105 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPNYQVSGAAAHPRSVPGSVGPFFGVLAVVLLLTALSCFFGRVCAAHAQGSDERYDCTRLAAAGRRRCWWTRAPRRPVRPAEAEEETKEPAAPPLPEP >cds.KYUSt_chr6.28527 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180710052:180711023:-1 gene:KYUSg_chr6.28527 transcript:KYUSt_chr6.28527 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTDEERADPRHHPENYTRWNSYFLRRWEREPAAYDGPPPPPRAQQRRGPPTVVERAGKDVGERRRAHRGRQLPGAHDAPSIGIEGIGEPPSGKRPAATAHGRRLVVFRIGVKVILGAGEEGGGDVAFDAGARQEGAGVSAGDQRGAAAAPSSSENSLPRRRAAGRRRRKRPPQAASPREEAKRAEDAAMAEAIAVSPHDMEEEKRADDAAPDRARRDREREEAEQRRRLPDPAAARQLAARAAPTANDDVARYRRLATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQAAQPKANDDGSDDDGGDYTVFYRHFGM >cds.KYUSt_chr6.15773 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99260161:99260442:-1 gene:KYUSg_chr6.15773 transcript:KYUSt_chr6.15773 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHYYPPPRPATDPMEQLQADKMKQAGRKAGADERSDERQEPSSLNPTAARLVRESIVSKQPAEGDQKQVADDSSDILAFARTVDRVDSSLE >cds.KYUSt_chr3.32341 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203190124:203194069:-1 gene:KYUSg_chr3.32341 transcript:KYUSt_chr3.32341 gene_biotype:protein_coding transcript_biotype:protein_coding MADSATTYYPDEVPTLHNPVSRYNVDVPMNDPKLQLFQCPGGKAGKGQKYRLEREEKDCIMLYVLMNMKEVVGGTTMMAVTISLDEQWWRTTDPTGAELDTLLKEGAPGVKINFVSWFMSVEVDEESLVLSSGGLPHGRLAMMNKAVKHKLTTTFTRLKAGLTKDSPPLPPRRRARQPAYDPDFEAAYVAAHQEYQVAFNQQQQQFMEYMAYIHVSVDGGQSNWTDSGFRADASLSGAGAKHAIEGKFRCGVLWENSGNGMFRKPGWWEGDHTGSSWWSFSRCYSRYFSCKNLEKLHGYADGYPLGIGSTWTKWSSYTDGYPLGVARARAARLRHVAAYADGHPLGIASARGAQAAPRRSIRRPHYLRKAFEFVNPFKPAGERCYAMSQTPVAEPSDDPNGFTCGTLLACCLPGLSKKKPEESGSKKQDQPKEQEHPQVPSRAASLEKLECSSLYSGSNIVFDFLAVEPGEGDDRGVGAIHGYCPSPCFDLPVERIRTAERYGVDDAPATSAFVFDGGYRDGAALKKMASCLAPGTPDGTEARPTHLVRFLSASDSGMPVRPAATSVGPAKGR >cds.KYUSt_chr7.13941 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86115768:86116151:-1 gene:KYUSg_chr7.13941 transcript:KYUSt_chr7.13941 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRPPLAPMRQRPPLAPMRQRPPLAPVRQRQASNNMQPLPGPSRLRLAGTGTSVQNKEIIPVMNKAVVDKAERERISVCPDKRPMSRLSHIQMPRRVRATYNSIQQAAAEPAADATPDVRGKFKGL >cds.KYUSt_chr3.45881 pep primary_assembly:MPB_Lper_Kyuss_1697:3:288897462:288898787:-1 gene:KYUSg_chr3.45881 transcript:KYUSt_chr3.45881 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEEPRPSKKARASAGSGGLTVFALRLAKQLAKGDNTRDKNVAFSPLSVYTTLGLVAAGARGKTLDELLAALGASSPDDVAGFVRGLVSDSSGSAGPLVTYAYGVFHQENMKLAAAYRDTAAKSYKAEIRAVDFAKVRESTLTFSSRRWSLLPYVVPYQISRRASVQGDREKIREEINKWAAVATKNLISEILPAGSLNCFSRFVLTNAIYFKGVWENRFPETLTQNRKFHRHGGATVDVPFTTFGAGDRMLFLSYHKEFKVLKLPYKAGKGKASAAAQGQYSMCVFLPGKRDGLRAMVAALAARGSVLDHVPKQLSKVRTVLLPKFKLSFFCSLAKVLQGLGLVEAFSKEADLSGLVEKSTCDVRLDEVFHKAVVEVNEEGTKAAACTAVISHKKQCARKVTCPLEFIADHPFAFYIVEEVSGAVVFAGHVLDPSSSH >cds.KYUSt_chr4.54687 pep primary_assembly:MPB_Lper_Kyuss_1697:4:337765231:337768135:-1 gene:KYUSg_chr4.54687 transcript:KYUSt_chr4.54687 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVHSRHGETKAEKAPRPPRRRRWEPEPVEEPQVEDENLGPIPEQTEVCPPLPEMGGPPCAPRQINVESIKVTAPFDVGGCSSHALTHRYNKLMKGQMKRAKKIGPGVFCEKPITPHHNPSNAKGKKLFPVDEANPKGPQTLRLDPVSGHSYHAIELRNKSKTKMRVQLLVCDYNLYIVGFRRFKDNKWSSWYTCSDVHVPQEFNAISMGIDGDHRIKSKMGGGKCLNSMFFALANYPENTANKDKLRKAFLRAVVYFSEALRLFPVYDEIIKRIWNDANETLLPDHCWPSINGWGNLGEFALVTGKNKGKMNMYKFVKYVRSRGIVSFSDLVGTRKSVLMLLMREEDALLDRQLPLQLRGVSRPGHDVKDRGFESD >cds.KYUSt_chr2.7415 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46532227:46532829:1 gene:KYUSg_chr2.7415 transcript:KYUSt_chr2.7415 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLATSSRLSPTVLVVVFLLGMAGSAHGLERLVSSNSNEPCKKMTLYYHDILYDGGNNTANATSSGITKPTALSTSRSKNTTYFGMLAVFNDPMTAGKALPVAGEEPAAHAEGFYFYDKQEAQSAWFGFSIVFNSTAHKGTINLMGADLMSEKTRDISVVGGTGDFFMARGIATLSTDALEGLYYFRLRMDIKLYECYV >cds.KYUSt_chr2.42083 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262004523:262011905:1 gene:KYUSg_chr2.42083 transcript:KYUSt_chr2.42083 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGLSIESGSAIRVVVLPVGGAIPPQCLRDYAALVARHARVDLASLRPYYSEHQKSPFAHQPWDTGCLRLKFVLGGCVPSPWEDFQSSRKVLAVVGICHLPSSPDLAKVAADFLDAARTYPSALVTRCFAFCPTDAQLLEEKKDGIIMFPPSDQKSLELHMLTMIQDLSASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPADANAHYTTAIDLARLTGDVFWHAGALEGSVCALVVDKMGQSDPVLEDEVKYRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRREVAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGSLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTMTTNAYHVQSRKTSRHDHATVKEPGASNSNTDSGKAHPQCVVSLFESQWSTLQMVVLREILMSSIRAADPLTSWSAAARLLRSFYPLITPAGQSGLASSLSNSADRLPWGTRCADPCLPFIRLHSLPFHPSQREIVKRNPHKKEWWIGAGPSGPFIYTPFTKGGTSGSSKQEINWIVGEPVQVMIELANPCSFDLVVESIYLSVHSGNFDAFPVTVNLPANTSKLVLLSGIPTQVGQVSIPGCIVHSFGVITEHLFKEVDSLLLGAAQGLVLSDPFRCCGSSKFKSVNFPNITVVPPLPLLVANVVGGDGSILLYEGEIRDVLITLTNAGTVPVEEANIALSGKNQDSVISIAHSTWKSALPMKPGGEVTFKVTLRAWHLSLTDLESDGSRSPANPRRIAREGINPFLNIHYAGPSTNQGSGEVSLPPGRRLVVPLNICVVQGMRLVRARLLSMEIPARFSESHLKSASDKDNISNGSDMLHNDISLLKIDPYKGSWGLRLLELELFNPTDVVFDVDVSVQLDGTNEKQMLLPEDNTADAACHKTRIDRDYSARVLIPLEHFKLPVLDASFFIKENGSDEPMGSKAATIAEKNAKAELNASISNLISKIKVRWHSGRNSSGELNIKDAIQAALQASILDILLPDPLTFSFRLAKDGTMTGDDSGHSADENVGPSTGESVLRCKDPISAHEMTHMEVQIRNNTKEIIRMNLSISCKDVAGENCFDENSATVLWAGVLSDIQLEVPPLQEVVHPFSVYFLVPGDYSLQSSSVIIDATDVLRARAKAESPDEPILCRGSPFHIHVVGTE >cds.KYUSt_chr2.47337 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296173610:296174461:1 gene:KYUSg_chr2.47337 transcript:KYUSt_chr2.47337 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEALFSIHDKAAQALFLLFLVSLVILLIGRKRWSRRKSKQQLNLPLPPSPPGKLPVIGHLHLVGSLPHISFRDLAQKHGRDLMLLRLGAVNTVVVSSPRAAEAVLRTHDHALASRPRSAVADIILYGSSDVGFAPYGDPWRRARKVVTTHMLSARKVHSFRHDREEEVRITVSKIRASATAATAVDMSEHLDSYANDVVCRAVLGRHHGDHGRNKLLRELTNINMSLLGGFNLEDYFPGLAIVGVFTGLVVCHRATRVRKRWDELLDKLINEQGRHREHEK >cds.KYUSt_chr1.11023 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67484594:67486018:-1 gene:KYUSg_chr1.11023 transcript:KYUSt_chr1.11023 gene_biotype:protein_coding transcript_biotype:protein_coding METLMAAEVPQQKSWGLARRLTRLLRRKGSSAGSSVAYSVASDVYDESLDSSMNSLSKLKLSGNMAAAYSLDAFFKSAAGKNGPPRQPPVPVPAADAHAFVASLFAGVSAVKASYAQLQLAQHPYDADAIQQGDAALVAELGKLSDQKRRYVRDPAGAVRDAAAGPAAAALADERRHLIRTYEITARKLEAELRARDAAAERARRELAEELRSARALEERVRPGRTLAALDGLHLSGLSAAHFGAALRHAVKSVRSFARSMLDEMRLAGWDPAAAAAAVHPGVPLRRSGDAVFALESYVALKMFAGFHRRDLGLSCLENRGGYDRRRFFEEFAELQSAPAAARRGALGGFLRDRYSSVVHERMEAASFGRQRGAALTETAWFGEFAEMARRVWLLHCLFFAFDGGASVFQARPGERFSEVYMEGVSDTDGEDGGWTAPAPAGNRVVGFTVVPGFKVGRSVMQCRVYLSRPARHT >cds.KYUSt_chr3.27567 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172068665:172070068:-1 gene:KYUSg_chr3.27567 transcript:KYUSt_chr3.27567 gene_biotype:protein_coding transcript_biotype:protein_coding MAALATGLGVAQLVLWVGKIILKITQEADKVRRKKQDCAYLVRYLSVIHKMLPTLPEDPEVELPLTHLYSTLQEADKLVVACIHRLSFLRCRSDAFRDVNAKITSDLSLFPLAFHTAVTRRRAEESILPDRQDSGALTEGSSSSGSTSTHAHASLVSDYHPRPSKLTWAEVEEATENLAYLLGEGFSGKVYQGRLHRGGRAREVAVKVLNEHGRQGMEDAFVAELETLYPLHHSHIARLVAWCSEWERPIFVYDHMGNGTLRDQLSGLLEGSSSSPVASSWKARLEALLGVSHALLHLHRFAERPVIHRNVTSSNILLDASWKSHLSDFGVAVLRAAGDQGQPVEEVVGTFGYIDPEYSRTRRVSPASDVYSFGVVMLEVLTGRPPVSPGLVTLVSSTLPVIHNGDLRNVLDGRPALGTTPRQLKALQDVANTALLCLWPQREDRPPMSEVVHNLEQALVIIRSDET >cds.KYUSt_chr7.22721 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140949818:140954597:1 gene:KYUSg_chr7.22721 transcript:KYUSt_chr7.22721 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATRSSLGSVIVGSLLPCPDSPPRDDIVRYLLSLLPVRGPLPLPSLEKRHGVVKCNSPLGSVISYNCESIASKIISLRFIMQGMSRIPPSQGSPVTIRR >cds.KYUSt_chr4.2227 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12240092:12242695:-1 gene:KYUSg_chr4.2227 transcript:KYUSt_chr4.2227 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRCFIALTILEKHKEKKHKKDKKDKDKKEGKEKKDKDRSKDKHRDKKDRKEKHKDKKKDKSKDKSRESEGTERHGDAIPGQNLGESSRKSEEIQDHIFREEQVRKSQDEKGVENRTVDKFPVPNERSREGFGAAPALEKERTAVNRMRIHSIDTSGKNEGLGQQTISINQQRNGTSIRRVESFNSSSQGAADGFGTAPMVEKGRVKVTRPLSNSTDSAPRKEGTGQRISNISILVQKRTESPNKEIAKKEIGTSSPLLRSPANAMHKGNGKVGRPMDSAPTSTQRFGSPSTSSAATGMDRSLPRPTIPSPSITIRRPNGMVRPSESNSISINKPNAGGVSPAMGKEKGPGGRMLQNSVSNDQKPVGSKPPAVGREKELGGRMLHNDVSTGQKLAGSRPPSLVREKEPVGKMLQNDVSTDQKLVGSRPPSVVREKESGGRMLQNDVSTDQKLVGSKLPVVGREKELGGRMLQTDVSTDQKLVDSKPPAVEKVAVGRAERVEKVKDATLDDKKKEDKKRERHEKKKRKEKDKDRHKEKKKEKEAKKEKGEHNHKEHDKLREKSVNDQIDSILTDLLTSPLAPPADDTEAILADENLKKRKNHEMNGYQQNHHEMRPTKLPRPAPSSNHVENGTASHVAAPLSSMPEAIKIEKAERLPKKEEKVNGNKEAQRPPVDAGLWDPLAASRNGAPSRKLSPHPDFKYLGQIYSIPEAPQVMMECELEDQDWLFDQSSNHSEKHKTEAAADGVPQVWAEAVRIDQADVIALPYVIPF >cds.KYUSt_chr4.15788 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97592247:97594949:-1 gene:KYUSg_chr4.15788 transcript:KYUSt_chr4.15788 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGAGAAAAGEDDAAWERAINASVKNAPNSPFSAPKTLTLDGAVKSSTGRLPSPALFDRFPSLEELSIAGARLSSLAGLPRLPALRRLSLPDNRLSGAESLAAVAGSCGGTIRHLDLGNNRFAQVEELAPLAPLAVEALDLYQCPVTKVKGYREKVFALVPSLKYLDGVDAEGNDRLETDEDEDEEEEEEEEGEEGGEEEEGDEEDGEEEEGDEEDGEEEEEEGDEEEEGDEPEDGEDEAEDDEPDSGADEKSKETNGSKESTGPALPGKRKRDNEDDGNGGK >cds.KYUSt_chr4.12264 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74708506:74709297:-1 gene:KYUSg_chr4.12264 transcript:KYUSt_chr4.12264 gene_biotype:protein_coding transcript_biotype:protein_coding MGIILLLLLSSITPFQAAADVFCDNVKVAAATLVNKTSSSPTHFATTTFGHAPDVVYALALCRGDIVDDSTCADSVTNTFRKMQSLMPSGVECFRGFYFNSDCILIYSAHNMLADSPPTAGALADGDLPFERWNIKNVTSDVPLITGLIHDLRVETVEKAANATPKRFATGVMDSGTSFPKVYSLSQCTPDLSAGDCLACLRRLLGMINSTMALRMGGQMGVVRCYFRFEASKFYEGEPMLALGPPSPAPTPNEHKSKSSANK >cds.KYUSt_contig_1989.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:3555:8884:1 gene:KYUSg_contig_1989.2 transcript:KYUSt_contig_1989.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLNTSAYKRVSSRDAPMDPDVETQPKIPSDAAGPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISADLGFAGNTLAEGLVVSICLGGAFLGCLFSGSVADGIGRRRAFQLSALPMIIGAAVSALTYSLEGMLFGRFLVGIGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGILFSLLIGTPVKDIDRWWRVCFWVAVVPAALQAIGMEFCAESPQWLYKCGRTNEAEIQFEKLLGPLHVKSAMAELSRSERGDDGESVKYSELFYGRHFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPSSLANICMGIANLSGSVVAMLLMDRLGRKVLLAGSFFGMAFTMGLQAIGANRHHLGAASVYFSVGGILLFVLSFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWEFDDILSLIKSIPSSSELDHVLQTECSTLVSGKFPAMHL >cds.KYUSt_chr7.18155 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112652836:112655642:1 gene:KYUSg_chr7.18155 transcript:KYUSt_chr7.18155 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVPDHHQQHGGGGEVDGVQLQQHGEHVETVMPGFRFHPTEEELIEFYLRRKVDGKRFNIELIASVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTPSGYWKATGADRMVRVVEGNRSIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGETERYQKEISLCRVYKRPGIDDNFHLIGTTTRSSGSKATTTKHTTTGHRAAAAVAHRRQPPMFVDGGSHQSSSALKSYNTHATGGTNMSLSAAVAGATPPSVMFRSTASSLSSITSTEEDGTSIYHHLKGPNNPAAMHLPSSTHASLLNTSSSAMATIPIDELSRAIGTYTHAASPNQPTPPFQGPLLNFPSLEKIWDWNPLLESPKVSTSFK >cds.KYUSt_chr7.16662 pep primary_assembly:MPB_Lper_Kyuss_1697:7:103257955:103262869:1 gene:KYUSg_chr7.16662 transcript:KYUSt_chr7.16662 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSGKGNPDAPQQGMVAPASVTASVSAVDKDFSRPPQSHGPGHSVKLRQPRHPPTRATTVTQPPTPSHRDPLTKTDETERIPPEPRRAWTAATREGATSTASCGDETGRGLREQTEPSAPAEPAGLVKLPWPRLRSTRRRPSYPRRRSSTGRREREGCRIWPAQARDGAPRQARIRVGAAPPAPPLTTLLPRCQEAPPPPPDHPEPRHPRCAAAAGTLPTEQHHRWRREPRASQPSAWVHFHCSSAMPLHSLLVLLLLLLSASIPSDSASSSCPGDDAAIVAAAFRHVRNFQPQSVPACSPVQELRLPSRNLTGAVAWASLANLSALAALDLSGNALRGAIPGAFWRAPSLLSLDVSGNQLGGSLRVEPSPRLRSLNVSGNRFTGVAGLDGLAGLDALDLSANRIRAVPLGLRRLTRLSRLDLSRNAMQGRFPGDLPPLSGIRFLNVSYNNMSGAVNASAVKKFGASAFVHAGNASLVFSKDSAAPAPRRAPPPSPPPHRAGSTKKDPATKGKSTETEAKGKKHLGVVAVAVMCGVASVIVLLCLVGSVACGVVRCRRRKNKDNETGEKKSQWGGEKEEEEVVVPAAAVKGASAAPVVLFERPLMELTLADLAAATSGFGRESQLAERGGRSGAAYRAVLPGDLHVVVRVVEGGMAGVEEEDDDPAAAAAAFRELARFRHPNILPLLGYCIAGREKLLLYEYMEKGDLHRWLHELPAGQPDMDDAAGSGDIWETAEDKRSISDWPTRHRIALGVARGLAFLHQGWAGSGRAVVHGHLVPTNVLLGDDLEPRISDFGRLGGGEDATAEGDVYGFGVLVLELMTGQARWDEASVSWARGIVRDGKALDIVDPRVHGDAAAGPDAEREMVECLRVGYLCTAQAPDKRPTMQQVVGVLKDIRPAARAPHGGDHA >cds.KYUSt_chr4.22466 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141264764:141265462:-1 gene:KYUSg_chr4.22466 transcript:KYUSt_chr4.22466 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSPPITNGRPLEQCNPVHDRSTAISGKLSQLLAARNGKGQASYKDNENQGNNFDWEELGPISSVVEPHPGIDKVVRYQPPTHSDDEFSDDENHLSGQKAGKYPDAKFYAEENHTASQQAGQDSDAEFSDDENHLAKEQVGKYSDADFSEDENHTESQQAGKDPSRSSLFEILASWNTSKDDGSRRRDCDIDRLGGKPPRNTTVDCSRNDRGYRQCWRPTSKPVKSEVVL >cds.KYUSt_chr1.7524 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46118306:46119214:1 gene:KYUSg_chr1.7524 transcript:KYUSt_chr1.7524 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIRSNGHVEALVHLATSIKLGNGTKVLFESSGWIAGRVFPNLLQLSLLLFAPTLSAPDLLLEGLTNNSWIRDISGTLSVEGVLQFLLLVDLSEAQVLSPATEDEFIWNLSSSGLYSSKSAYNALFAGRTLSPHHLAIWDCWAPLKCKVFAWLAAADRCWTGERRLRHGLSTSDTCAVCDQESESISHLLLQCPFAKQVWFHSLSKLGLQACLPGPNETFSSWFETAATRVAPSLLKAARSIIILTLWRIWKSRNDVVFNNLSPCHMDLSLSILEEARLWILAGAKELRRLPLHARPPDSP >cds.KYUSt_chr4.21487 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135294035:135302723:1 gene:KYUSg_chr4.21487 transcript:KYUSt_chr4.21487 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNVFSSLAVNRYACLMVPTCAARQLFDRTPPRTKDEATWTPSGPDGISALPDDVIHHVLGFLPAHDALQTSALARRWRNHWKWMHSLRFAAVSGSVSVVVLKRLVDRLLLDIRAPLDECVIDIQGLLELTEEVGRLIRHAVSEFHVRVLKVSLERQVVSGHPLVSGNLVRLELHHVTVEGTILDFSSCTALEDVVMTRAHIHATKISSPSLKRLRMKKCYLFPSVYSATCISAPSLVLMELDDLSVTTPLLERMPMLETAFVRLGHSWTFRCELRPTWLCCGVCAGCIKFYLMELGSLSSARHLELTAPSVKFTVLRCPTFSKLTTLLLNEWCLAADFGALSCILQHSPILEKLTLLLNQAQQETYNTMEQLPTISKCLKVVEIKCSRFNKARRFELLLMDMIIPKLRSKVDGFEWSLIPVYGAAQEIHKPDFLAELVRVCSPYGYSLDPWSYLQSEEQRDVMEPGWRDPTLLSWFRISGGFLRSHWVPRSLDPIDAWQQISSGIHQYLRCWGANLGREGRDLKEGIISEPRALQNHMYTFYRNLMGAEGEARVLSLAATLWDQLGRVLEEDNDELMRSFTGEELEKVLASMKVDTAPGPDGWPVIFFKKFWSLSKPHILAILNGFALGRVDIARLNFGILPLIPKV >cds.KYUSt_chr7.5912 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35347717:35348193:1 gene:KYUSg_chr7.5912 transcript:KYUSt_chr7.5912 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSAWKTRWLRPEAYPIFAATGVAVGICAMQLVRNITTNPEVRVTKENRAAGILDNHDEGRRYSRHPFRRFIDGKSSEIMPSINNFFTDPSK >cds.KYUSt_chr7.31553 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196529950:196530885:-1 gene:KYUSg_chr7.31553 transcript:KYUSt_chr7.31553 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQIDSSAQQSATATPSYMLPLKRRKTTQSTSSQHTSQSSANPIDHNLSEPKPNYLTHADTPAPYEDSDSAAHAAISDMSISAASIQTQQWSNIAKADTPILTVQTVVTDTTAVHVYEDYKLTDDAILQADPEDLSLKQETEEMSPEGLLNSGYYCDPGSHVVQVPMQFVDHTINESYSIPSTPVLHFQVDDETEAMENGVEADYSYEQCPYPTDITAGAESEVQHIPSVEENDAANVIDHDLELALRDSIRTHGEEEAQMQMLEENRRINKNLDQWLAAVSNVDDPELNQPPNNGEQHHQSSPFDCSKN >cds.KYUSt_chr5.38823 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245785504:245786346:-1 gene:KYUSg_chr5.38823 transcript:KYUSt_chr5.38823 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELRSPCSIYFPPSPPSQFSPVDLESSHQFLEFDSCEVPEQWLLGDIMVAAKNEDGNLWPAGSLVSPDSELPELPPESLSVSTSSTPRAATKRRGRKPGPRPEGPLVSHVEAERQRRDKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRGRVARLEDESKQVTAARWETSAAYHGGGASFQNLHAGDEAVEVRMVGRDAAALRVTTAAGSAPHAPARLMGALRSLELPVQHACVSRVLGVTVQDVVVEVPAALQHDDGAALRSALLQRLHDSA >cds.KYUSt_chr3.9592 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56315625:56315945:1 gene:KYUSg_chr3.9592 transcript:KYUSt_chr3.9592 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLRCGRREWNEELTEMVLVESAMRSAAGDEGTNGDETPGRRKVEAASAVDEDEVVEGEAPGLRPWPESNEERLGNASPTLARCGEVHGDDKDINSSGITQFPNL >cds.KYUSt_chr7.11681 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71758442:71759140:-1 gene:KYUSg_chr7.11681 transcript:KYUSt_chr7.11681 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRSERTISILHPFSIPVGKKREIALVREIMAIDRQSEWIVLRRVHAFLKSRKLHRAAYALEKEARLKLDLPHLHTLFAKGRWRAADEYVTAFLGAAKEETGSTPAASATLFVVRFERFVRALKRGDEAWAMRYFGRAVETLLDSHPDKAAARAECIRALELDDESLRRSYPDDDRRRRERETEFIRCVYENESISRAFNDVFDGNLRFMSKTTSLGLRRHVRRGPPCDQR >cds.KYUSt_chr1.39853 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243944134:243947248:-1 gene:KYUSg_chr1.39853 transcript:KYUSt_chr1.39853 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLARVPLYGSLVPQLGNLSFLSFLQLTDTNLTGSIQDELGRLRRLKFLNLAFNALSGSIPPSIGNLTSLQLLVLQCNHLSGSIPLELQNLHNLARVGLYLNYLSGSIPTDLFNNTPLLTYLDIGNNSLSGPIPHCIASLPVLEYLDLQANHLVGMVPPPIFNMSRLYAIFLGGNNLIGYIPGNGSFSLPALQYFSIQQNNFTGQIPAAFSSCRHLRVLALPDNSFEGVVPTWLRKLNHLSFLSLGGNNLFGTIPAVLANLTLLNILDLYSSGLTGPIPAALGWLSQLSVLHLSQNKLTGHIPASLAIRSFDAECGVLRMARHRNLIRILNTCTNLDFRALVLPYMQNGNLEMLLHDSQGTNHLGFLERLRVMLDLSMAMEYLHHENHEVVLHCDLKPSNVLFDEDMTAHVADFGIARLLLGDDSFMINASMQGTVGYMAQEYGSFGKASRKSDVFSYGIMLLEVFTGRRPTDAFFVGDISLRQWVVEAFPSELVRVVDDQLLQGSTSINSEGFLVPLFELGLLCSSYSPDQRMTMSDVAVRLNKIMVACTEAKTAARSTAP >cds.KYUSt_chr3.22066 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135900213:135900741:1 gene:KYUSg_chr3.22066 transcript:KYUSt_chr3.22066 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVMLTRLDVADALVQLEWFKFGSGAAAVPMDSKPAMRVFVSRLALMPSLSMAGTSVEQVGGRRHVWLVDAYLLCGPGWQALTDPGLTVETIPDCADEPTASTTPAPGLKPNVEPLAGTAWSGLLV >cds.KYUSt_chr2.53869 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336208330:336211508:-1 gene:KYUSg_chr2.53869 transcript:KYUSt_chr2.53869 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIGLGHPHERQARTVNEPGRIGTAQFCCFFSLLASISSVTGGVSPPEYMTTASTQYAPAPLRMGMYDRHHQQQPPPPPAPPQQHQQQPVVGMWSTDPFKVDSGGQATSGSTIMEGDTKFDHTGLEDVPHMDELEEAGDADQEASKPRDKAQRRLAQNREAARKSRLRKKAYIQQLETSRIKLAQLEQELQRARQQQGVYGGPNPGGLQGGPNLGGFAGAPMDPGVAAFELQYGHWVDEQERHTTELRGALQAQATSELELRMLVETGLANYDHLFRIKSAAACADVFCVMSGLWRSPAERFFLWIGGFRPSEVLNILSPQLEPLSDQQVVAVYSLRQSSAQAEDALSQGMEKLQQTLSESLLATADPFAIPDAYNMGTSSAVVKLKGLVGFMQQADHLRQETLQNMHKILTTRQAARGLLALGDYFQRLRALSSLWAARPRESAIS >cds.KYUSt_chr4.8080 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48198422:48198790:-1 gene:KYUSg_chr4.8080 transcript:KYUSt_chr4.8080 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLIDSPEAIGGKRWQGQASLRECAGKRLKKQKHLYLVLDDWSKGFTIHKIDDDGNHSDLREPGILRVNTPVPGRCMTFDAIGSNILITTNPRCGQTPQPHLRHRGSRAGRWPSPPGTAAR >cds.KYUSt_chr7.11717 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71991842:71994256:-1 gene:KYUSg_chr7.11717 transcript:KYUSt_chr7.11717 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASTPGISDLHSLQHHYHAVLLTGKAIQFVLDKSHTPSAWEELEREDISSQQAEKGEGRMIEDAEEKGLISPGVTTLIEPTSGNMGIGLVFIAVQKGYRLIAVMPAKYSLDKQMLLRFLGAELILTDPATGFKGMIGKVEELMKTIPNSHCLNQVTNPANPDAHFKWTGPEIWKDTAGKVDTFVAAVGTGGTLTGVGRYLKMKNPSIKIVCVEPSESAVISGGSPGSHKIQGTGAGFIPEVLDTSVIDEVVTVSTEEAMTMARRLAKEEGLLVGISSGANVAACIKIAEREGNERKMIVTIFPSAGERYMNSDLFALVREECDCCE >cds.KYUSt_chr1.9099 pep primary_assembly:MPB_Lper_Kyuss_1697:1:55698497:55700677:1 gene:KYUSg_chr1.9099 transcript:KYUSt_chr1.9099 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVSMEWYTVRARLYTRSSCRDMTHESRERSSEHLNRLRRGLGLVTLAAVLWWQELSQAGVLGLGISANKVGSWSSASRSAAHAGEQPSRFFFVALPWRKVAEEAEASPRYKCVFLQHAELETWPLPLAGRGGEEVEQLYLEFFHAARRGNCDLLKLSCRSEAASLRRSYTEDVFAEVIQGHWRPLRAVWCILHRGSSSTSDLEAFVGALRRSSTTSSLQVVRPRRRRGGRRCWFLAGVEAVASSDPLFYFGVHRLEVAGELGGGDSEAPDCFSFFSARVFFVMLEALSSKSRFLRASVVKGLYANLYPPRVINAAS >cds.KYUSt_chr7.19279 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119499802:119502562:1 gene:KYUSg_chr7.19279 transcript:KYUSt_chr7.19279 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNSDGDLEEHSTELYQEAAFEAFMCPLTKQVMQDPVTIETGQTFEREAILKWFRECRDNGRKPTCPLTQRELRSTDITPSIALRNVIDEWRSRNDEKELEKACTALCVHPESGEEDTLHALAYISQICQRSSAKKNLVRNQGIIPALANMLKSSSRRVRLKSLQVLRAVVEDNDQNKEELGKGDTVRTIIKFLSNEHIQERELAVSLLYELSEYEPVCERIGAVYGAILLLVGMGSSKSENMMAVDKAEKTLKNLEKYDTNIKQMAENGRLQPLLTKLLEGGPEVQVTMAEYLGELALANDVKVVVAEQVGALLVSIIKTGGLPAREATLKALREMSSNESSAKILLQAGILPPLVKDLFSVGASSHFPMRLKEVSATILANLVASGASFRSIPLDDAGRQTLLSEDVVHSLLHLISNTGPAVECKLLNVLVGLTSSPDTTPDVVSAIKSSGATISLIQFLEAAHKEIRVESLKLLRNVSPYMGAELADALGGHLSSLLRVISEGGGSGVTEEQAAAVGLLGDLPERDSKLTRQLSELGAFGMLSSKLAELRRGAIRGNRYTTPLTDGMVKVMYRLTCALQEAPEYVEFAREAALAPLFVELLQVNGQDTVQLYSAMALEKLSLETRNLTTIPEPPPPAASGFLSCACLFGGTSSTAAAAAARQAGVCRVHGGFCSLRETFCLAEGGTGGKAVERLVACLDHLNPEVVEAALAALSTLVGDGVDAAEGVVVLGEAEGLRPVVDILVENRTEALRRRAVWVVERILRVEDIAKEVAVDQTVASALVEAYRNGDPRTRHTAERALRHLDRIPNFSSAFHAQARRP >cds.KYUSt_chr7.7853 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47499101:47499430:1 gene:KYUSg_chr7.7853 transcript:KYUSt_chr7.7853 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAGWVASPIISDLFKKASSYLGFDASEKLSELEPKILLLERVMGAVEGSPCRPRLEGLYSKLKSAFYDAEEILDDVEYYRLKKKIHDNKLKSEVTGSWGKTNLNRNL >cds.KYUSt_chr3.21420 pep primary_assembly:MPB_Lper_Kyuss_1697:3:131422972:131425664:-1 gene:KYUSg_chr3.21420 transcript:KYUSt_chr3.21420 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFLLCPRLALPLRRGSRLLVSCSASASASSSDAAGGVGFQGKVGFLGLGIMGAPMASNLIKAGYDVTVWNRTESKCDPLLSLGAKFESSPAGIASSCDVTFAMLADPESAAEVACGTNGAAEGMAPGKGYVDVSTVDDATSKLIGKYITSTGASFLEAPVSGSKKPAEDGLLIFLTAGDESLYKRVAPLLDVMGKSRFYLGDVGNGATMKLVVNMVMGSMMVSFAEGLLLSEKVGLDPNTVVEVISQGAINAPMFSLKGPSMVKAAYPTAFPLKHQQKDLRLALALAESVSQSIPTAAAANELYKVAKSLGLADHDFSAVIEALKAKVQSSQQ >cds.KYUSt_chr5.42229 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266099941:266100792:-1 gene:KYUSg_chr5.42229 transcript:KYUSt_chr5.42229 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLPGLAPMSWHALPRARGPASSSSSSLDHGGIDPWQSAYATPAPAAPLGQSTPPPTPRNGGTVLLSINYTEKLSFPRVQLRSGNSALMVAVDEVSVPMMTPGRSALLRHHLQDKVHAFYAQLSANDPRSLTVPLTMELVLSTKFKIKDGGLAVNTTILESKWCEYRVQLLEQAGRVVFLRGWSPHGSR >cds.KYUSt_chr3.46729 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293656078:293656905:1 gene:KYUSg_chr3.46729 transcript:KYUSt_chr3.46729 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDRLQRLATPSAPAEDDVDVDADGTTFRDIHPSPAPTPLRQPSWDVASQRSLSSYSDEQFMASMSREFTAMVDAGGNPGPGDSSNDLQLARIGEHEPERETNPLATVADPGCPTSEEVVEVRQVKKEEAEAKVAAWQAEEVAKINSKFKREEVVINGWESQQINKATTYLSKIERKLEEERAKATEKAQNEVARARRKAEEKRASAEAVRGTKTARVLELANFMKAVGRVPTKRSFFSFSS >cds.KYUSt_chr3.46860 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294457611:294461650:-1 gene:KYUSg_chr3.46860 transcript:KYUSt_chr3.46860 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIFLASAVTTWVLNKLLDSLKDATIKALLGTEGLTTEVKDLVHALDRANLIIGSLSAGAAAGVKLGNQQLGPQITEVQHLAVKLAKHIDKLQYYDLGEKVKKTNLKDCNQLTSKMMSLALTGQSKGKIKKSDLQDMGHTVNSLHKICDIVHNALLLEKLDKLYGATQNTSTDARETVENSTETKKFEREEKAGIVNTIIASASSDHDLLVLPIVGDGGVGKTTLARLVYLDPQVKAQFDIKIWIYVSANFDEIKLSHGILEHIAPDWEYTSTKNLNVLQLAIKKHLQRKRFLLVLDDMWEESQGRWDNLLAPLRCTDSKGNVILVTTRKLSVANITGKMENHIQLNGMDGAIFWRFFKTCIFGYEKCKGQGKLQNIGKKIAAKLNGNPLAAKSVAALLRRNLKEVYWNNILNRDEWKLQNAVDDIIPALKISYNHLPYHLQQLFSYCSLFPKGFKFDKEQLIRMWIALGFVIDERKELEDAGSDNFDDLVDRSFFHRDEQYFIVHDLMHDVAQEVALHECLNVDGSDPLNIFKSIRHVGIWTDWTESVYKEQIIERHIRFEQKLDAIVNKDILKTLESLMLVGVYDENFSEKFVGTLKKLSYVQILRLSVMPFNVDVLLSSVEKFIHLRYLELRYSSDKHKPLPDAICNLYHLMVLDITHWSGLNDLPKYVSNLLNLRHLLVPGSGSLHSKIARVGQLKFLQELKEFQVQQGSGFEISQLEELNEIKGSLSILGLENVKNYEQARSARIEDKTHLRTLSLSWGEYRDVQKEVMEGLKPNVDLAHLHVVNYASATPTWLGNVFSLSNLESLQLQDCTGMETLPPFEELQFLKKLSLIGMSSLKDVSIDFNYDDENTVAQSYEEDELELSEVEIIRCSSLTTIRLHSCTALTNLTIKDCGALSFLEGLPSSGGVEYNVKECPQLAADAISN >cds.KYUSt_chr2.38475 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238533134:238533601:-1 gene:KYUSg_chr2.38475 transcript:KYUSt_chr2.38475 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASHSLLLLPFLCLSFLLTSSTSTAPYNPPTVPELMDLFGLPRALLPTTARRYLLHNDGSFELFLDDVCEVEAGGYRVLYDIRLAGSLAAGSVTGLEGVRVRVLFVWVPVTGVEVGGGVVTLSVGPLKKSFPAVGFKTSPRCAVAGSAAADVA >cds.KYUSt_chr3.44972 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283288743:283294130:1 gene:KYUSg_chr3.44972 transcript:KYUSt_chr3.44972 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGGHRQAAALLASAGDAFALEVNRLVVSFSPVVDSVLDQLLRAWSFLAVPLLRGAVTLCMVMSVMVLAEKVFLGTVSGVANVFGRRRRRPGKGRSVRRGVDPAVLQDAEAGGGGSAAFPMVLVQIPMYNEREVYQLSIGAACRLTWPADRLIVQVLDDSTDAAIKELVRAECERWASCGVDVRYEARNDRAGHKAGNLTEGMRHGYARSCEFVAIFDADFQPAPEFLADTVPLLLRDPRLALVQTRWEFVNADECLLTRMQEMSMDYHFKVEQQAGSSLCSFFGYNGSAGVWRRQAIEESGGWDDRTTAEDMDLALRAALLGWEFVYVGAVKVKSELPSSLKAYRSQQHRWSCGPALLFKKMFWEILAAKEVSVWKKFYIIYDFFVARRIVSTFFTFFFFSMLLPAKLLFPRVGIPTWEMIYIPTATTLLNSVGIPQRSLHLVIPWMTFENAMALHRFKAILIGLFEAGRANEWIVTHKSGSVGQKVKSGKKSKRRFHVLELLMGVFLLVSGCYNFLYGQGYFYLFALPQSFMYFMIGFELLGVSVCS >cds.KYUSt_chr1.32566 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197792921:197794307:1 gene:KYUSg_chr1.32566 transcript:KYUSt_chr1.32566 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYMLGQAPQPPQGAPHGQVSLDKLSFSDVLQFADFGPRLALNHPSADHHDNARDDDGEDSYFFRFQSHELSAADDPDPRGASGIHHAAEQDGGSNTADGSGGARDQDHGGGISESTTLVQQSDGGGRVTGQKAGGDQAAKSGRRKRPRSTKTSEEVESQRMTHIAVERNRRRQMNDYLRVLRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGDTPRPVADGTAGAVPATTMHEPPPPQGHEAPPFYVSPSLPFPALATGDGGAAGKVMVDLDTCGSGLTEEEVAENKSSLADIEVRVLGEDAVIKVLSRRRPEQLIKTIAVLEEMHMSILHTNITTIEQTVLYSFNVKIAGEPRFTAEDIVGAVHQILTFIDVNYTL >cds.KYUSt_chr7.15235 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94509772:94514607:-1 gene:KYUSg_chr7.15235 transcript:KYUSt_chr7.15235 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGGGGGSWSIHGRPDVTSRYEVLGRAGSGAYADVYRGRRRSDGAAVALKEVHDAVSAQREADALLAVASESSPHVVALLDHFPGGDHDDDVLVLEWLPLDVAAVVRDGKRAGGLPAAQVKRWMLQVIEGVAACHRVGLVHRDLKPGNLLISEAGVLKVADFGQARFLQEQPTHEPEIPAAQEPERLTAADYLDEIDQLRAKSTYGDVDRMSLQDGNTSCFATCSTADIDDDPFRASYSYDAEEDMGDEESGAFTSCVGTRWFRAPELLYGSTNYGQEIDLWSLGCILAELLNLEPIFPGQSDIDQIGRIIGILGNITEESFPGCSNLPDYNKIFFNKVEKPVGLEVSLPNRSASEVSMIKRLLCYDPAKRASASDLLNDPYFTEEPLPVPISGLQVPASKGEDDDDSAEEWGNFRDGNSDSDIDEFGSMDVTKTDKGFSIRF >cds.KYUSt_chr3.27782 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173454129:173456077:1 gene:KYUSg_chr3.27782 transcript:KYUSt_chr3.27782 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFGESISGRKAEKNRGHALAQENLSSEMKQIAKSSMDKLNERKASVDNERAGAESELSRARAMAKELERQIDQTKAKVTSQRSELQAVRATRTRKSEAQEAPDAHDGEVAEELDRVKRELRKLRLEVKSAAEAKAKAESDVVTTVCKIQSSLQATDEMKRRVDEANEEHVLVELARIEAERERRELEAQRVAEAERFAKEIEATRAKVKAARREVSRVRELEAKLEATKADVEVLQGEMQLVRAMEKNHVADVDDGAAEATARQKKEEAQDRAVLQATEAELGAAKEELESIKAGGFQFMTSMDRTRTELMRVVEEIDRLRTQEKNADAQVQQLNTKLLKARAQLEAVTAADERSKAIVSNLTAAMQQLHAETEAASKEEELTMLEKRCVVAEAENVTREMATAEKRIRQAVKELEAAKASEASAMKKLKAAAESTMQARASRRQGTVTVSRFEYEYLSGRAALVRVVADKKVAAAQAWVQALKAGEKEAAMRAEAVERELREMGPREAQAASEAEKTTGEQKALEQELYDLNATAESVGLQCAYPRRRSSRVSMTSRRSKARRSSVSAANWNPKSPSFTIKRKKKVMPSLLKLIKEKRGGSDKSPN >cds.KYUSt_chr1.2364 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13949785:13950648:-1 gene:KYUSg_chr1.2364 transcript:KYUSt_chr1.2364 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVASPADWTVVRRRGRRRQGDATATASHPESPRPLPLTPVPWSPSDPSLDPARVARLLARARAAISRVEASRLYRRFLLPSSPLRRRLAHLAPARLSLLGVGSFESSPAARLQLALAALLRRDLLPGSATADLFDPVLSAVECAAAAALGFAVPSLDDGGRCRVAEPTLFYMPHCEASLYDALLDANWAQLRRLCVLGNSFRRYALQAEENRSGPAAKAKLVLQAEQLAWEERVDEAGDVDEDDGFARAFNQTSWHFFDVDDHVDQRKEISPEALSFEKLSL >cds.KYUSt_chr4.35455 pep primary_assembly:MPB_Lper_Kyuss_1697:4:217679688:217681028:1 gene:KYUSg_chr4.35455 transcript:KYUSt_chr4.35455 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKYPYLPDDLVDNILVRIRPDDAAGLAKACLGSKYWCRHICSDKFLRRNRTLHPAAASLGFLVATEARRKSTVFVPTSTFHLEPSFIPRRYRALDARHGRALFHAIPKDGKPSLVIWDLVTKKKHVAPMPNGDPTVEHWNAVLLCGDDRCKEFRCHDHESVIVFFGTNDNMITFAGKYSRELKRWDDGMHEYDEYMEEDDEDVAEDDEDVHEDDEEQDEDVHEGNEDVEEDVEEDVEEDDEDVHEDDEEDDEEEDEDDEEDREGPAYISHPDAVVGTGPTVVVGRKVYVPCTLSTRIIEYDYRADEIDVVDAPAFPYQRIRTTLLMTARDGVLGFACVAGSNLNLWSREATGPGGAWAWVWYRFLDIAKMSGIPRLVGSNDGFILAKTGTGVYTVNLESGNVEMVPKITKIIKAIPYTAFWTPSCRYYKARAYLQSLYRLWSYA >cds.KYUSt_chr5.5592 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34975900:34976532:-1 gene:KYUSg_chr5.5592 transcript:KYUSt_chr5.5592 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSMAKVFLHVCISSSSVQEPSTTASEPHEEAEDGAPGTEAPQLRRPTRSRGQRGIRRGREHVWPAGVDAPAQHQHQREEASNYQWTRRQQWMLTCGFEPREHGRQMGAASERAWTVCGRGRGSSCGFINVVSM >cds.KYUSt_chr7.35335 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220743000:220746451:-1 gene:KYUSg_chr7.35335 transcript:KYUSt_chr7.35335 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLSGAGVAAVAFNNNSLRLSQTSALRVGSSRRLSGSLVVKAATVVTPKYTSLKPLGDRVLVKLGVAEEKTMGGILLPSSAQSKPQGGEIVAVGGGRTIGDKKVEVSIPTGSQVVYSKYAGTEVEYNNSKHLIMKEDDIIGILESDDVKDMKPLNDRVLIKVAEASDKTDGGLILTETTKEKPSIGTVVAVGPGRLDDEGTRQPLLVSAGSTVLYSKYAGGEFKGADGTNYIVLKASDVIAELS >cds.KYUSt_chr4.11683 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71038455:71042769:1 gene:KYUSg_chr4.11683 transcript:KYUSt_chr4.11683 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHTMLHNDYIADGTTHADNFRRWYRMSKSLFMNMFHGVREFDPYFKLKHNVVGTTREEGSAQGQRRVRVPSILATIPAPRTGLHHQLKEPAPASEVEAAATMSAVMIGSRPPRCEGRCALCGRCEAVQVPVAPRDKHAVAAAAGSHFRLSRAFGGDGVDERSTNYKPLNWRCRCADRRVLNP >cds.KYUSt_chr6.22365 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141325755:141326447:1 gene:KYUSg_chr6.22365 transcript:KYUSt_chr6.22365 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTLGAAASWPEAVVQGLLFILAAGMLVALHSLPRRVAHRLRRRAGSSSAAQSRRHFALGAQLLARARAAAPKPPGPLARAAVAEADRAIALDPRDAAPLILKALALDLQGHRLPALRTLDAALAPPLARSLEPRERGDALAKRAELALALNRRRRLDQAAADLAEAVRLSPENPRAHALLGECYERKGLTAEARRSFKTAASLDPSLATARDGLRRTGGGSDGNESD >cds.KYUSt_chr6.6646 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40066681:40074483:-1 gene:KYUSg_chr6.6646 transcript:KYUSt_chr6.6646 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEGVFSPPTDKKTLVSLPKVRISPQGKCIATLDLNGSVNIFVLDGDMRSVSLHPHGSGAATPLFRVKDISWWTDSILMVVKEDGRISMYSIAENMVVSKDDPVLSTPLLEKAKAVEGYTFVLQSSRQMDSVPGDRLPTEMDKIFWSLLSFSKVTVLEMYSVLIRENRQKEALDFASEYNLDKDDVLKACWLHSAGDIHDIQSYLANIKDQAFVLSECVNKVAPTEVALKALFSFGLRITDRYKFSQSDKSSEGSAWDSRIIRLRLLWYNDLLETFLGINMGRFSAGEYNKFRLMPLVDTAIALAESGKIGALNLLMKRHPYTISSDILLVLSAIPETIAVQTYGQLLPGKYPPSIVILRDGDWVECEQMASYINTSPGQLVKTEILLKHSTGFLWPSVAELSEWYRSRARDIDCLSGQLENCIAMIELACQKGIVELQPFFDDMKYLYRVVYSDELNEFIMNLATWENLPDYQKFKIILKGAKDETVVQRLDDMAIPFMSMHMISSSNADKQEESYLTRWMKEVAAENELAVCLSVIENGCGESPIRGLFEDLDEMIETAIHCIYVCSATNQWNTMSSILSKLLHKTKREKSLMASEEDFNLKDAKQALGTSVVSYDDIQHVCADILSGLGDNARDSYCHDSRAYQFDNIKSLDMREKMLKVAEGHVEVGRLFAYYQVPKPTHFFLTAHLDEKNVKQLIRLILSKFGRRQPVRSDNEWANMWRDLKLFQEKAFPFLDSEYMLAEFIRGLLKAGKFSLARNYLGGTSAVSLSAEKAENLVIQAAREYFFSASTLSCNEIWKARECLNLLPNSKNVQVETDIIDALTVRLPSLGVTILPVQFRQVKDPMEIIRMVITSQTGAYLHFEEIIDVAKLLGLRSEEEIAAVEEAIAREAVVNGDLQLAFDLCLNLIKKGHGEVWDLCSAIARGPQLDNLDTSTREKLLGFSLSHCDGESVGELLNAWKELDVHGKFEQLMVSTGTNPPNFFLDGSTYTPLPVQSVQDILDLREDISHDRDHVGIAKEMLSKVCMDLTNDDTYSWESTFAENRKLLSFSALELPWLLKLSNGEVYDGNEHSSETNHSIRRYRFSSKTEATNSILYWLGVNSFAPSDDLIMFLAKSVMEPPVGEDDYVLSCSILLNLMDPFNGVKTIEEELKNRECYQEISSIMNVGMIYSSLNSSKKECSTPEQRRNLLLHKFHEKFTSIDSDDLDQIDMAHATYWGEWKSKLEEEKRMADQARALKNVLPDIDTSRFLSGDASYIKKVIFSFVDSVKLERKHILKEAVNIAENYGLKRTEVLLRFLGCALVSEYWDNDDILNEIAEFREDIVKSAKGVIDMIYSDVYPEIDGYNKQRLSYIFSILSACHSYLKRTSEIELTYQEHVHTHKLEPFQYYKVLEEECKKVCFIDGLNYKNIASLDNLNFEHFNEEVCQNIHASTVSALADMVQALVSMYVDVQAKGLVSRQGVYKHYVLGMLASLEGRNEARSNSTDCEKLQAFLCEIELNYDSCKEYIQTLPATDISYIIRRYCTLCFPSNLARSHPHEPSWKKPLCMLITLWVKLVDDIPRQSTVSSYERTGYLDPNRLSHCMSAFRQLLINDEITVHQGWDAISMFVQAGFNSEMILDTSHICRAMILSGCAFKTVVQVYYGGQEHLESMGADSRNPLDLLELYGASTDGCLSDLIKGSCESEVLLHKLLSSLSQSAGEDAGSLEMIRSSVWGKLITFSENMQLGSQLRVYALQLMQCITGSNLKSLPNEIVSQVEPWELWYEPGTSASIADEGTTPSSSITATLVALRSSQMITAVLPDASITPENLSSLESAVSCFLHLSERASSVESVAVLEAVLEEWEQLFSSKEEYVAPQESPKETSDWSDGWDDGWEALPEELENPTQKQDGVSLSVHPLHSCWMEIMRKLAGFGELQKVIELLDRASSKHSMLLDDEEAHRLLELLVSAPNCFMALKIILLLPYEAPQLQCMQMVEAKIREGAVSTSSNADGYELLALVLSSGALQKMAAEEGYSKLFSHICHLVGQLARSFQNDLCAQWEAESNTSETSKINRSLLFGKVLLPCFISELVLNGQYLLAGFVISRWMHTPTSLGLIDVVEPSVRRYLEGQVAQAQQQVGESGALFTENELSISRTLSSLRLKLVSLLQAALVALPNRES >cds.KYUSt_chr1.17840 pep primary_assembly:MPB_Lper_Kyuss_1697:1:103878180:103882432:1 gene:KYUSg_chr1.17840 transcript:KYUSt_chr1.17840 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMVVSRSATRGVIASGGLPAAEMKEERCLDPQLWHACAGGMVQMPAPRARVFYFPQGHAEHANGGGGGHAAAAELAAALGPRPLPPLVLCSVAGVRFLADPDTDEVFAKIRLVPVGAGDPGLRDPDPLGPDQPEAREKLASFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTEHGELCVGIRRAKRVTCGGMECISGWNAPGYGGFSAFLKDEENKMMSGPGGGGYVKGRGKVKIADVVEAASLAASGQPFEVVYYPRASTPEFVVKAAAMQAAMRIHWCPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWQNSPWRLLQVTWDEPDLLQNVKCVSPWLVELVSSIPPIHLGPFSPPRKKLRVPQHPDFPFDGHLFNPIFHGNPLGPSNSHLCCYPDNSPASIQGARHAQFGLPLTDHQLNKLHLGLFQGGGFNRFDALTPSSRIPKGFVISSAPAHDSVSCVLTIGTPQSTEKSDDRKTPHIMLFGKAILTEQQITSSGSRETLSSGATGNSSPNGNAMKAGNVSDGSGSSICIGFSSQGHEASDLGLEAGHCKVFMESEDVGRTIDLSVFGSYEELYGRLSDMFGIEKEEIISHLRYRDTAGAVMHTGELPFR >cds.KYUSt_chr4.4868 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27894925:27895449:1 gene:KYUSg_chr4.4868 transcript:KYUSt_chr4.4868 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGMKRAREEEPVSLALSLTTDSTSSTTSADSSGTASMSARKRARRGRVVATSGEGDFMCKTCGRAFTSFQALGGHRTSHLRGRHGLELGVGVAKAIRDRKRTEDKQQQHECHICGLGFEMGHALGGHMRRHHYEMALSGGAGADDQWIIKMPGQEVVGHGAHRPPVLLELFV >cds.KYUSt_chr2.39006 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241847644:241848651:-1 gene:KYUSg_chr2.39006 transcript:KYUSt_chr2.39006 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPGDRNRSSRRLRSSPTLPSYYKRAKKAAKQKDLQLTSEENDWKHATCPICLERPHDAVLLLCSSHNKGCRPYMCGTNYHQSNCLEQFKNAYVKEKPAHEVPIAVAAASKRPKDMELACPICRGEVKGWTLVETARQFLNRKKRTCIHEDCLFIGSYKKLCKHVEAKHPSSKPREVDPARLAEWKALESQKERQDAISIVTGLNPGFIIRGDYLIDPNSGSSDFDMDSSDWSDSSDSCIFSDDDDDDDDDDDIILSEGAGLRALRRAIRRAYSRRNAARARRNVRIVGAGNSGIARRGLTISRSSGRRRGVRNHRKVRVGSAHRRARSTNDS >cds.KYUSt_chr3.28238 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176207460:176208914:1 gene:KYUSg_chr3.28238 transcript:KYUSt_chr3.28238 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVSGQWTRLRTLGCGASGAVVSLAADGASGELFAVKSVAAADAAMLSREQGILSGLCSPDVVRCIGGGHRDGSYHLFLEFAPGGSLVDEVARNGGCLEERAIRAYAADVLRGLAYVHGESLVHGDIKSRNIVIGADGRAKIADFGCARTVGSARPIGGTPAFMAPEVARGEDQGPAADVWALGCTVVEMATGRAPWSDLDNVLAAVHRIGYTDAVPEVPAWLSPEAKDFLAACFARNPRDRRTAAELLEHPFLAFQAGAAKARRWVSPKSTLDAAFWESESDAEEEDEISENASERIKSLSCSVSASPDWDSDEGWIDVLGGEQCDEPCDSPATKEPADLASRAPGKVCWSAAVTAEDMAVVGGLSSDERLDAKEGLPFGGDILADDQSTDRQNKVCSKSDREIPCKRIDAIEKFRFPQKLLSRSDNFSSMFFTRSDKCVRTEFRCMFGGNNMSPFTRTLRCTWEHMLPVDEITFKQNLKC >cds.KYUSt_chr1.35595 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216959139:216964623:-1 gene:KYUSg_chr1.35595 transcript:KYUSt_chr1.35595 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRREAAAACQLRHTGSAALTVAVDEETKNHGQGFLRGSSGGAHVRGDGAGDGEVGLRPPTSTTLLIATTMALTTSKEEKGGRRGPMKAVERAKLVRSLRQESRRLRLLVLVIGFFLVTLTFVVVSKPDALLFNLNGRLSVDQAPRSLLIRQTVDDVRGQGSAAQDPKVVDDDDQGTGEEDDEKRVLTSDPEQAKTEEETTTSQLLGSGAKGEKKGKDEGHQHGHHKMTLPTVSNYTIRDTDDSDNAKQQDSKHEAETEFGAVADKTDANGRSRQQALNNAGWERKPLCDFSNFRANVCEMRGNIKIHPNASSVMYMEPASSKRNEQWKIKPYPRKGDELCLGKLRELTVKSSKVAPECTKYHNVPAVVFALTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAVWWTNKYHVVFEKLSKYPLIDFNKDGDVHCFKHAIVGLHAYMEFTIDPTKAPHNYSMVDFNRFMRRTYSLPRDTVSALGEIPKSKPRLLIISRMRTRMFLNLPEIIKMAEELGFEVVVEEANVSSDLSHFSKVVNSVDVMMGVHGAGLTNCVFLPDKATLIQIVPWGGIEGVCRIDFGNPSEQMGLRYKQYSIAVHESSLTDQYPLDHEIFKNPLAFHKGFEFIRQTFMDKQNVRLDCNRFRPVLLETLDQLNQ >cds.KYUSt_chr6.8202 pep primary_assembly:MPB_Lper_Kyuss_1697:6:50331057:50332238:1 gene:KYUSg_chr6.8202 transcript:KYUSt_chr6.8202 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGDAGGGRRPNFPLQLLEKKEEQPCSSSAAGGASAGGGNGAAAGGSAGGEMQVRKAVPKRTSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSIPAHLRGALPSPGVRFGSRADAWDRVVGLGFPPEGPASSSSTPSPLLLNFHSGSVGLDVQPSPSAAAAAADLSRKRRWEQEMQQQQHQQQQQQQYQQQMAGYTQSQMPGTVWMVPSNSTPGGGAPSGGGNGGGGSGESIWTFPQMGSAGAAAAVYRGSVPSGLHFMNFPTPMALLPGQQLGLGPVGGSSGGGGGGGEGHMGILAALNAYRTQAADAAAGQGGGGPSSQQQHGGGGGGERHESMSTSES >cds.KYUSt_chr4.17384 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108734927:108736093:1 gene:KYUSg_chr4.17384 transcript:KYUSt_chr4.17384 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSKPRSATRTLKRIIFLSLLLPCLPQPLPSPSPSPAPAPPSLPLSPFNERLDAAYIAFQAWKHVITEDPKNLTADWCGPFVCNYTGVFCAAAPDDPCILTVAGVDLNHGRLAGELTDHLGLLADLAVLHLNSNRFCGTLPPSMQHMRLLFELDVSNNLLSGAFPSFLTSLPSLKYLDLRFNDFDGQLPPAVFGRQLSLDALFANDNRFNVSLSSGGITNSTASVIVLANTALAGCLPPSIGDMADTLVELVLLNTSISSCIPPEIGKLKKLKVLDLSHNEFAGELPDSIGDMESLEVINVGYNMLSGAVPESVCLLPNLKNLTVVGNYFCDEPVSCLHIPRRDDRMNCIPDWPHQRSHDQCVAFDHRPPVHCAADGCILPPPKRL >cds.KYUSt_chr4.6053 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35500896:35502629:-1 gene:KYUSg_chr4.6053 transcript:KYUSt_chr4.6053 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPLLGKPAALACSTASAASAPAPSAASAAAAVLASRMADPAIGGRLARGRRPVRPVVAAAATPCLSAVPAAPTPSSSSPSVVAAASTPCPSAVAAAMAGGDLVPGAAGLPPLVQVLEMLKTPLLQPVAAASMALHLSGVVSPESWASLLVEEVGSDEEELAPMTLPATYSSSLASDPAVFVEGLGSLSLSPSPVDSGGPAEVPRADDALKVPSLLWVASLDSDEDDDEEELAPRSPLAGSVHVEQVAVEPCVGLASPDALGDDDDWVQVGRGGRPSRGSSPLLQKEGLERSLAFKRWARGRCFRCLERDHQVSSCRAPFRCIRCRRPGHRERFCRARFPAARSCSPDGRARSPDARAPCPRRRSPPAQPRRPSASRIWAEVVCHSSSPATTPPATSPPRPSPRRCEEFSGNACFDSHLQCQFALLRLELAQLVANRVEEASRPLREEVASFKLLLARVGVSLESTEACSSGGQDLAIMQASFPLSSAEQKSSVVEVTPELHELCVDSSVVPELLKLGNDEVMPPSVEEVKHVVPFGDGATKSEMLATGPGGVVAREVCDFLATLAATYHASAVD >cds.KYUSt_chr1.5785 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35636561:35636791:-1 gene:KYUSg_chr1.5785 transcript:KYUSt_chr1.5785 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALSTVWYEGGIRCQRMIRIRNDQTGRSVLARVVDECDSRHGCKDNIVDTSVAVWNALGLDTNIGEVSVTWSDS >cds.KYUSt_chr4.47009 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290863326:290867915:-1 gene:KYUSg_chr4.47009 transcript:KYUSt_chr4.47009 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGVVAAGAFDAIADVDERTGKEQMRIEIVPLCQDLGLVRILFSLFVLSMVAGSSVLRGGQVPRSEVLGFALVPPWETPSVLCCRVAMVAVQELRSPSTKYACVGWIAVACADPALAHLPLGPYAPRVASPPVHQRLYPHSRVVNELGSLDAGGDFELVICDKLLAAAVQHQVQFCAAGSFSCPGMSSDLGLGIHERSYFRCGLKPRNFLPATKIWSLSCIITWIEICNTILDIYLFNIWLEKKHCKITTQPSPSLTLCGVVLLIAQVKFCADGNGLVELVQLPACWNQNSSFLLQVVFLHIQKGAAGPVLGFFTRFEDDLHCLRWCGHVLENNEMENHLHHHPGVPPKLKKISSWGTQGRDKRELRNASTPERAQDLVFTHSNLRLLSRRSDAYKRGQSLMWDVGGDAFESLNGCSSV >cds.KYUSt_chr6.5676 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34089636:34091870:1 gene:KYUSg_chr6.5676 transcript:KYUSt_chr6.5676 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELARRPARAACVLSRTVEIDEAEDALSRALLAVIVGVRRAVTTDEVAMALEDIHRLPPGSFSVHCHRPEDFLIFFATKEDRDMVLRDEVIESPFFRLLLRPWARRTQAASGGLCVHAELEVEGVPANAWSMATAEAILAPSAWVERLHPLTRSRADMGTFRLSAWCLDPSLIPREMDLHIVEPDEPPSFEDMAAPAQAVVPPHINTLAYPLIIHVTSTVDFRRSATRRGAGDRSVNGDGGTPAWPTRRQYSYTRGVPDTLPGSAEGGSAAASSSSAGQGDGDRGGGVRSLASGVIVGGPVAPSRGSKRRRRGGRKIRELRARTAVEAAGGEATDVLAAVGAMGEQVPGACAAAMPALATEATLVLAVPALQCNADVRRPVLCAGVVAEESLAAMGAGDDQLAAASAIDAGESRCTPTHAQEGPLVHAAVHGSGDRVVPVQRPVVGAACVTVGSTVGRILEGSRSNLAAEGGGALRSFRVGACEIPFPALECDLAPEEPPSFQSATLDDVAGPFVPRPLLGLDAFASPPPSKASHSDGPDSAAGSDDQGGSGMGALTVQGQELIISTPSSPFGARTEDESDDEVLDKEIVADPPPSRDGVDNGAAAPPSAAPSSVCCFASPPLVFHRARQTPVPRAPVPLARPRTLGEFLEAAKSRSDALLQTPAVRRRLVELNFQPRRSSRIAKLPGGMNAEMKAVRNLMRKLGLLSGDEAPSEAALDTYHKMYELPLTDDMIEAIAEFYG >cds.KYUSt_chr2.50112 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313426679:313433821:1 gene:KYUSg_chr2.50112 transcript:KYUSt_chr2.50112 gene_biotype:protein_coding transcript_biotype:protein_coding MLTACPWLPTRGNAVGSASQAIQGDRPDLENSALRSLSSLVKFLLLCYSLVFPIPATACTAVRESRPPKPRPLRSCTGRREIRFLRSVSARLLAAVRALLRRSDCFIDRSDYTMGDINNSHGGAGAAAGATFPVAMAVLKMSMSTEQQQQQQTAVTKKHSVSREGRRAKSKKKTAQDPYDSLYGRVPLKMFHERPWTYAGWLDGSKVGNYVLLFGNVMRVRPLSKTSTIVVLLSRCRTVRCMVVASADEGVTTRMVRFAATLRRGTYLEVEGVVSPPGMETDMLLRTTQQVEIQVRKLYTIATNKDGSLVDGVTTTEEDDDGAVDGVTTMEDGNPVDGVVATMENSSLVHGVIIDGVTTMEDISLVGRATTAEDGSLVHGVATMEDDILVDSVATMEDDSQVDGASQSSSISIPTRPSIMLPENPVGREGETQVRKDHTIVKYGSPVDAVAAMENCNPVDELSQSSSISITTRPIMLPENMVGRKGAIQVRTVHTITTMKDGSPVDGVAMMSDDGSQVDGVSQSSISITTRPSIMLQDNLVGREAKLRNLHTIATMQDGSTIHGASNSSSISITTRPSIMLEENLVGREGEPRWVGQAGWGLAHADERPSLNYDYANPLPVCHAIFRIHSEVEYKIMEFLRSMHFVGIHTHGTFAGSVEGGLAVVNPEDITGQRTFLAQSPLLYKQMATCEVKRVFEFGPMFRHENSNCKMHTCDLAAEMEIKDHYLEVCDIVNALLVDLFKHLNKNCKKELEAISQRYPAEPPKEAGTEVKHMTDLTGKHQQELCRLVREKYGTDLFVLHQHPSAICPLYTMPCVGNPAYSNSFDVFFRGMVCPLMGDFVPAYLEWLCSSVGLTIFEQGHCPIFS >cds.KYUSt_contig_946.131 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000087.1:926196:937347:-1 gene:KYUSg_contig_946.131 transcript:KYUSt_contig_946.131 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASGLPVVLDEHVGLHGSAGGSDNNDVQGERGGGYYMISCIKDVPTLKGDNYTEWRKEGRFVLRWTGFPQPETGIVAQYSMPGEPQQNGVAERRNRTLMDMVRSMMSYSTLPLGLWIEALKPAIHILNRVPSKSVPKTPYELWTGRVPSLNHVKVWGSPAEAKLLSPPTPETSGSQRVPVFVCHLWLASCRRRRAISRALPPLPLPHRVPVFAKGFPGSSTEPNNVLAHKAEEKDRLTMLTDDVLLHILGRVDLATAARTSTLSKQWRNLPWLLPELNLHVTDFLCPDDQVELAQQMDQAMASLTKATKSFLAQPHVTYDTAARLSLKLYVTGNYNYKYGIGLLVSDAIDNGMVKELDLAILDKKEYCKNKDKLQQAQDVEGFFSEYPSMIGCLARLHLQNVRFAEWDISHLLFDCCKQLQHLSLDNCDAGKWSIWQINAPNSNIRILEFRVTWMKRLEVLCLPKLERLHFKNWLYHEPPLRFGSVSSLKELLLLCPATMDHRDFSLSQLWIQPEGKQLCTAFNMLRKLCIHGIYVEFDLLWTINLLEAATSVEIFDVEMAKHHARTVMQLSRRLPGQEACFQGTEMSKRQWPGN >cds.KYUSt_chr3.24039 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149161999:149167800:-1 gene:KYUSg_chr3.24039 transcript:KYUSt_chr3.24039 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKEMKYRRRVALEDRAQCSDPRAADWGLLKQDPVELLRKLDELRDQITRSCQVADQPPPREHRRTGRRAASLIPDYPEPLPPPPPMPGYHRSRYGARYGHGLPLPPSPCEQLYPDHGDRYARQSSGRYRQQYPGRQWENGGIGHSSSYNQYACASPQYLHGGHRAAQPEEHIPMARYFAGQHEGFRFERSPSVSSDYDQRSVASSLYSHRSVSKKRDEYFRKRANHLCRPVHGAAPFVVCGSCYHLLQVPMEKCMGWKQTRMQCGSCSEIISLKRMKGETIAFTQSSSFSLSKAEQSSHDQMRRDFEQQNHDDVASAFYNLNEHSSMQINIDFGDDNSASSSISHDRTDRECGSNRSIQSRADAISLSPGRSGDIESPKDILCERDAEYQVEHSVDRAVNPYSPVVEDKLVDPLCSQEQHSNSEDLGADNRSELNRKGQCNANDHDSLGTGSEQEVNEDEHERKRKEGHCCSLDDVSEMHRQNSTKDDTDSPENGNQKHEWASKKDVTSSPESESTCKKYNQNSKEDETSGLEVENTSREFDENNKDDSYSILQHANTAPEAALTSERYEENIMEQDNGKLHQPCVEDANAQTESGSSVNGRTNSGFSRGSSEAGLDEDQSSTGKSGDSSFFAGFLKKGFKFNQSMESVKVSINGHPISERALKKAEKKAGPVEPGSYWYDCRAGFWGIMGRECIGIIPSFIREFNYPMSRDCAGGDTDVIVNGRELHQRDLELLVGRGLPRISGKSYTIEISGNITDETGAKLRSLGKLAPTIEKLKRGFGMHVPEEFR >cds.KYUSt_chr4.5013 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28703984:28704880:1 gene:KYUSg_chr4.5013 transcript:KYUSt_chr4.5013 gene_biotype:protein_coding transcript_biotype:protein_coding MATNATTNPRFTAPFDVGSSDKYSSFIAGIRSRLANPKHFSHNRPVLPPVEDPKLPPRRWFHVQLRTPTSTLTLAIRADNLYLEGFRSRDGTWWELTRGLIPGATYVGFGGTYRDLLGDTDKLVGVLLGPQQMTEAVNALAARTRADLGSGAKQQQAREAVVALLLMVNEATRFQTVSAFVAGLMHPKAAKKSGTITNEMKAQVNGWQDLSEALLKTDRYEVDKNEGKGKGKEKSTVEKNGREKSPPIRPEAFKAFDKMGVKTADKAAETVGILLFVQVPGGMDETKALQMFRASVNY >cds.KYUSt_chr4.48950 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303269661:303271998:1 gene:KYUSg_chr4.48950 transcript:KYUSt_chr4.48950 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVLALQGSYNEHISALRRIGVKGVEVRKPEQLLGLDSLIIPGGESTTMAKLANYHNLFPALREFVGAGKPVWGTCAGLIFLANKAIGQKSGGQELIGGLDCTVHRNFFGSQVRSFETELSVPILAEKEGGSPTCRGVFIRAPAILDVGSDVEILADCPVPAGTPSITITSGEGVEEEVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRLFLDMDAKAFSALSLSTSSRDAEGRSKPRPLDLPIFE >cds.KYUSt_scaffold_1700.82 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:589724:593982:-1 gene:KYUSg_scaffold_1700.82 transcript:KYUSt_scaffold_1700.82 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRQPPKLRHASAEAAPFAASRVAMRLLLRSAVTKARCSISVSQSSYDMVAGLSFGAMVRIFGGAFVGAFAAFTGAFLFGGMARLRGFVGLVAGWEMERLAEEMSGGGDEGGVGSGAQHLCGSRVSLSHVRWFDAFEPGERAGALFGMRTGWCFWCSEFWLFSSESRLQFCSGQCLAVPPVGVLGVEQRRSALLQAYPRS >cds.KYUSt_chr3.32596 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204940924:204941712:1 gene:KYUSg_chr3.32596 transcript:KYUSt_chr3.32596 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMSAVLRQRMAEMGSNPVFDPSAAGVSAERGRQPSLGPRLIFGSNMPAHTSENSGVNIRVRGGRRIGADRPNFGGFLASPSIEAMFEQLLLQTSNRQGPPPAPQSAIDSMPVVKISRRHLSDDPHCPVCTDKFEAGSEVREMPCKHLYHGSCIIPWLLQHNSCPVCRHPLPPQRGSDDAPIRSPSVRTSEAPSRVVTGADIRPVVRDDEDGSNSFSFLWPFGSSSPSPSSYQYEGAVGGEPAVFDEDPSQITYCEWHYDP >cds.KYUSt_scaffold_869.1851 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:11732364:11732795:1 gene:KYUSg_scaffold_869.1851 transcript:KYUSt_scaffold_869.1851 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGAVSAVTSSSHGSGATRALTEERKRKRKESNRLSAQRSRARKQQQVDELEVQVAALRARNGAMAAAANEVARRYAAVEAENELLRARTLELTERWESLAQLIQYMDAAAASFNPFAGVNGAVLPQPPLLDTAMYGNYYY >cds.KYUSt_chr1.32472 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197218060:197220180:1 gene:KYUSg_chr1.32472 transcript:KYUSt_chr1.32472 gene_biotype:protein_coding transcript_biotype:protein_coding MCTAKSLAALPLLSPLCFVTGTSRGTMPLLLRRLAGAVSAPLRRPLSTARSRPPWAMVNIEAALDASGVPSPGGRARASFDLNAAPRASRLSVPARFVDPRGYNTDFLVGIVRGASCDGLLLLEYLDTRQCRRMHELDAGGGVERDPTLFVCNPLSGQLFRLPAPDTDAAKMVTPFGLLTQSPEGSHGGPPDRYVVAQLSLREGDNRIVVRRYLSETGQWEERPMVGVAGPQDAERRTLVDHEVLAFGDRLWWVDVTWGVCSVDPFSDRPECRFVELPEDCVLPAPHVGNLAVSLSMSRYRRMGFSEGKLRFVHLGKKDKKVIIGSFSLDAESWSWTLDHTIGFQIPETSPDEFTMPLDHHRPWIAAIDPFKANVLYVQHRAAVVALDMAKAEEIWRSPLPEKIACQMERHSSLFHPCVLPTWLASSYIPGTPASDKTNFKGKTLAEMLVRVDRG >cds.KYUSt_chr4.1749 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9370060:9374210:-1 gene:KYUSg_chr4.1749 transcript:KYUSt_chr4.1749 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVLRGGSRRVLAYPTLRAALISGPAALPDAAAPQPPPPPTAAGLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLSESGNAKSIAFEEIDKAPEEKARGITISTAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDVVEDEELLELVEMELRELLSFYKFPGDEIPIVRGSALHALNGTNDEIGKNAILKLMDEVDRYIPDPVRVLDKHFLMPIEDVFSIQGRGTVVTGRIEQGMIKTGEDVEILGLTETGPVKTTVTGVEMFKKMLDHGEAGDNVGLLLRGLKRGDVQRGQVVCKPGCLKTYKKFEAEIYVLTKDEGGRHTAFMSNYSPQFYFRTADITGKIELPEPVKMVLPGDNVTAIFELISPIPLEPGQRFALREGGRTVGAGVVAKVMS >cds.KYUSt_chr3.12560 pep primary_assembly:MPB_Lper_Kyuss_1697:3:75137493:75138293:1 gene:KYUSg_chr3.12560 transcript:KYUSt_chr3.12560 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGPIPLSRAEPTPLPHHISPDQRKTATAPISESRVLLFYSTFFRSKKRKRASQKRKGKRRERRGTGSAAAAAAAAAAMDLDALPDRHLLSLEQAVMLTDTDRAPRRVVVLSVEVRHAQPMLDIISSGIEKYNWITVDGNLGRLHQVSRLHLKLVIVNDVIIPLLVSDPTHQAASRLLLKMGWAVRHIARNVDFPHGDLYRAASSTGNLLRRHGAHIHKGTRQIDWLTGNIPVLRARIQELITMTVLIPADDEEAGGQEGGAGHE >cds.KYUSt_chr5.3660 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23686968:23688233:1 gene:KYUSg_chr5.3660 transcript:KYUSt_chr5.3660 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVIGSLVGKLQDLMVSEARRMAAVSDDVGTLLDKLVWIEAFLHDAEPRRRVQRDQHIKVWLQQTRRAFEDAEDAVDRYYVQVDLSRETHPLARHDAGDAQPNTNEVP >cds.KYUSt_chr3.38240 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240815684:240817108:-1 gene:KYUSg_chr3.38240 transcript:KYUSt_chr3.38240 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVSSIAGDLVSRFISFIIKKYNSQINLEEKMGRLQQLLLRVHMVVEEADCRHLTNSKMLLELKMLADAMYRGYHALDTAKSRTLRISRVEEDEVSSSDSIKSFRSTRRTAASTYHSLQRALDGLETMVSNMTEFVLLLGGCERMFRSPYDTYLYTDHFMFGRHIEKQQVINILLQENPTSAPTVLPIIGTGRVGKKTLVAHVCNNQKVLSHFSSILHLNGESFYKTENETFIQTGRTLVVVELTSDVDDETWQKFYSSTTAHIGRGSKIIIITKIQRITRFGTVRPVCLNSFSPEEYSYLFKVLAFGSTNPEEHPQLASIAEDIAAVLGGSMITANVYADVLRKNQSAKFWLSILKKYRYVVQSNFSVYGEHPKYLMDKDHPIDISNIASSPTPLRLMPPHREEDDSKRNLPKVMFGDLIAGSAILPREEFELVAWESRIPPYKKFLNVAKFFDEMSLPQPAVSPSKKRRR >cds.KYUSt_chr2.52410 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327024966:327025315:-1 gene:KYUSg_chr2.52410 transcript:KYUSt_chr2.52410 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRWNQKSPGLKILWIWTLGTAAIMIGNVVRTRVNDMEMMLREEDEAAAAAGGGGGTGGGMTSGERVMRDDDLSRYGCSLAHSDRIV >cds.KYUSt_chr4.23347 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147023496:147025886:1 gene:KYUSg_chr4.23347 transcript:KYUSt_chr4.23347 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWLVLPLILLWALSQLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRTHALELQKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLGPAGKVPAGWPCTQDWANAVGDPGYWLDLQCSTDNSYSGFSWRLFSCFCASMAWFWKKVFRFGSSGDGSSLSRDGRVLTKGGENGPNSEESRVDKAKRKAEEKRLARLEKEMLEEEEKKQREEMAKLVEERRKLRDEKAEAEERSKGASPVGEKDARKEAERRRQERKRKEDKGSSKSNSDCEDVDRRVSRDGEMKRGSGRRNETDRRDATRGWTEGYKPHNSEANSPGSKIVQNRTKYFGRMAGGFGGGSFFGRSAQAPAPQANKVTKPLVTATDQSNAVKRDTQSAATPAMVKSAPAGETKNSWTNLHQPVSTNMQGHPTGVKKSWHQLFSRSASVSPYPTVTASAREMNLLPEPNGAQINSAQNFLAQYPPLDSKLSQSMHSTGFPPVNGEPANIPLSHSPAGHLPFFKEAEAALLEEPEQFEDPCYDPDAIALLGPVSESLDNFPPDWDSRFVLNDIPKEPHVRNPMPSPIECPLSRLRTVEENPIKSSHFSTAKGHNGSVSTEATNEQGTWQMWSTPLVQDSLGLRGPQAQWFLPNTNQPNHGTNQLNHGTNQLNHGVNRLGGGTRSPLGAGLDDNGLWLQRSPFQQLPLDTESLFLSHNVSENAIHNDFGFGSPNKAARVHPFRSPDPGHSWSK >cds.KYUSt_chr4.12900 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79316253:79320730:-1 gene:KYUSg_chr4.12900 transcript:KYUSt_chr4.12900 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASFTWPFRRRSRSGDGPSKPVAPSAAEEEEELGMTPPLLDFLRTLSSDAFKSSALQLHQATPPDPVSESGLPQVNSAEAADGELSDWQQRHAVLVLARAKELAKIRYDLCPRQMKDEQFWTLYFLLARSYILPFTSLEPKEGRLISLATNVSNIAGMLNGIEQLNGSNYVTWKEKLEITMALLNIDYALLNDPPEVPKENNENYEALKKEYDIIKAKWDDSNRKCLMMIKGSITQSMRGALPDCETAKGYFAKIEHQFKGGDYLTDVCFPIGEQTLF >cds.KYUSt_chr5.34472 pep primary_assembly:MPB_Lper_Kyuss_1697:5:218514295:218515067:-1 gene:KYUSg_chr5.34472 transcript:KYUSt_chr5.34472 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGVKVFGMWASPMVIRVEWALRLKGVAYEYIDEDLGNKSDALLRCNPVTKKVPVLVHDGEPIAESAIIVEYIDEVWKDGYAIMPADPYERAQARFWAKYADEKCNAAIFPVFTATGEAQSKVVHEAQQCLKTLEKALEGKKFFGGDAFGYLDIVVGWYAHWLPIIEEVSGASVVTEGELPLMKAWFDQILAVDVVKETLPPRDKLLALNKARREQLLSA >cds.KYUSt_chr7.6050 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36231733:36240349:-1 gene:KYUSg_chr7.6050 transcript:KYUSt_chr7.6050 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSKSVRFPLRPGKGTSGDRCVVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMAELVKLYRRQPDAPQEALQVLDIVLRELPTARYSPVGRSFYSPNLGRCQKLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQLLCRDISVRPLSDSDRVKIKKALRGIKVEVTHRGNMRRKYRISGLTSQTTRELSFPVDDRGTVKTVVQYFLETYGFNIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREKDILQTVHHNAYYEDPYAQEFGIKIDERLASVDARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKDFAPEPVLPPLNARPEDVERALKARYQDAMNILRPQGRELDLLIVLPDNNGSLYGDLKSICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVLFPTIIVPLMTSLSREMVTEVSIPEVEAPEVESPGSGSSEVVDMKAVKPAKKHPRYAKMITEIIAYRCLKERKHVSSFVSIRNYLEDKYKYEDGYKLSRKLPTLLSERLNQLAVVGELIEHSSQQESPAAPAEESRSISKRTQELRSSWRTIKQLESFDPFALPENARFLCKVSLVKIDCTNGWCYRGCFDCKRWISQNGSEVWCSQCASVNKKRKRPVWLYKLDAVVEDATGTMNLMIFDEDAEGLIRVAAEDLVDEITDENRRILPDAISDLISSTHAFEVAINHRSLGCVVKWVLNDDQLMLLQHIGSSQMTVGDDSPSLVEEGSSSDSGCSSQLTEEKMVTVKRETELKAEDGALEKDAE >cds.KYUSt_chr2.5813 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36115858:36130286:1 gene:KYUSg_chr2.5813 transcript:KYUSt_chr2.5813 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSNSGSRADSGGYNLNEKADDEGAYESVADRDVDLNSGHLNLNEKADDAYHSEEEQYQPGPNSPEIKSGQNAQRLGGPSDPWGTGFLKDCRSTHTAMDEPSNSGRVMENGYVAGSHDDMDGSGEDDELNRGHGDVPAEEMLSDDYYEQDEEDQIDSLNRRGMSHPSCSNSTVAAKSVPSRQKKSTKRSAYGDDDDDDDDDENDDDDDDADEDDPDDVDFEPDSETDKAAVKDKLAESENSGEEEEDDDELELSDDDEDDFIESRRQSKRLKVGGAKAPQRRKPPMQAPRKRGVSLTDEEYSSGKDSDVPNNADFSHRSKKPVRLHLKTVGHNDVFSNVNSHNESRTSGRRRTLRNISYAESEESDDSEEKSAKQQKILKEDQDEEDTETIERVIWYQPKGVAEDALRNDRSTQPTVMSMPSDFDLQWDDVEFYIKWKGQSYLHCQWKTLSELRSVSGFKKVLNYMKRVSEEQRFKRSLSREEVEVHDVGKEMELDLIKQYSQVERVFADRATKVDGDDVVVPEYLVKWQGLPYAESTWEKDTDIEFAQEAIDEYKAREVATAILGKAVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPDMNVVIYVGNRASREMCQQHEFFTNKKGGRHVKFHTLITTYEVILKDKSVLSKIKWNYLMVDEAHRLKNCEASLYITLLEFSTKNKVLITGTPLQNSVEELWALLHFLDPVKFNSKDTFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRIEMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNVVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLLRLRETNHRVLIFSQMVRMLDILSEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKETKKGASMFDKNELSAILRFGAEELFKEEKTDEETKRKLESMDIDEILERAEKVETKAAEGEEGNELLSAFKVANFSSGEDDATFWSRLIQPDAEDMVQETLAPRAARNKKSYVEDHQLDKNSNRKRRAVDAQEKPRRRSSRTVDTIVSLPLIDGAVAQVREWSFGNMPKKDASRFVRAVKKFGNPSQIGLIVDDVGGAIAKAPYEAQIELYDLLIDGCQEAVKENTDVKGTVLDFFGVPVKAYELLARVEELQCLAKRIARYKDPVRQYRIQSPYKKPQWSASCGWIETDDARLLLGIHWYGYGNWEKIRLDPNLGLTTKIAPATLGERETFLPRAPNLDNRASALLQKEFANLSGKSSKAKGGSSQPVNSESNGGARSSRGRQKDAKPKDDIKSIKDDIKKRKVVEAEAREEGEISESEAETKYRLDKEEKWLEWCSEVLDDEQDILKRLDRLQNTSVNLPKEKVLSRIRRYLQIIGEKIGKVVVQHGESYKQSRMTTRLWNYVATFSNMSGDQLRDLYLKLSQDQMEAGVGPSHGGNFAPVPPNRGGASNQPHPPRNQRSTRSFQSTPESLNNGENTGNSEAWKRRRRADPENQFDTQPSYQPPPIMTNGNRVQESSSSAGILGWGPVEMRRYGMERPKRGVHPNRFPGGPGPLL >cds.KYUSt_chr2.46864 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293008140:293008691:1 gene:KYUSg_chr2.46864 transcript:KYUSt_chr2.46864 gene_biotype:protein_coding transcript_biotype:protein_coding MESYCAAKVPGDAGFEACTVQVRSLLLEHNPKWKLMEQHAPPPKHKLIELEDAEEEDDVLEKKKNKDKMPDGCKANKDKIKRQGEAASLSLKIDVMVKSKEAMMIKTLAAKKEMMEAKAREKEAKWATLREDAKRKADIEEKRAHAEEHRAMAELIAAKNATMLMNPTAMDEETLEWWKLTKT >cds.KYUSt_chr3.41462 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261529649:261530123:-1 gene:KYUSg_chr3.41462 transcript:KYUSt_chr3.41462 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMPTLLFLLLLFLSLAATSTCSHSQPQSQVPDAEPQQAVEMVPMATAAGSGYSGVVLNETRRRLGRFQLCAPCTCCGGPRGVCVLAPCCYAINCNIPNRPFGFCSFTPKACSCFGCNI >cds.KYUSt_chr2.39643 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245912264:245919732:1 gene:KYUSg_chr2.39643 transcript:KYUSt_chr2.39643 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLETSMHFFIDCPHARQIWARVATVAMAPSLDPLSWGVSPRSVDWLASLSAGLPAAEASRVRSWSLLVLWQIWLERNARTFRQLTSSVESTVAKITDEAAAWDLAGYTATEGSAIDTMEQHEEQDEQRAMELPPGFRFHPTDEELITHYLAKKVADAQFAALAVSVADLNKCEPWDLPSLARMGEKEWYFFVLKDRKYPTGLRTNRATEAGYWKATGKDRDIFRGKALVGMKKTLVFYTGRAPKGEKSGWVMHEYRLDGKIHPAAGTSRGSRTTPKNEWVLCRVFKKCLVDVVSSSESSAAKKGGVGTEQIGSSVAAVTPLPPLLDMSGAPFDPAAHVTCFSNSALEAGQYFNPTATDHHGGTSPFLASFTQYGGQLHHGAGLSLVQLLESTGYHHHGQLACKGERLSASQDTGLTSDMNPEISSSSGQKFDHEPALWGY >cds.KYUSt_chr7.20875 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129391842:129392369:1 gene:KYUSg_chr7.20875 transcript:KYUSt_chr7.20875 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRSPSVLVGLISDSVATPHPPSGVLDLARDRLDHPLAAAIRLIYAARPTWPSTARAFAGPMKRVIVQRAPLPIEHRAAAASPVGPQRRRPVVLTAAASTRAPPLRRPLRAIAPRRAVHAAARGRSRGCPDMCVAAASPLRAVAPRRAVHAAAPRSSPAVATTCASPLRRSFEP >cds.KYUSt_chr4.1702 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9030581:9033609:1 gene:KYUSg_chr4.1702 transcript:KYUSt_chr4.1702 gene_biotype:protein_coding transcript_biotype:protein_coding MYTEEQQSGASGFRKAENHRVLLFGREDQSMMGTRGAPSVVLVAGGDEEGGVMVGLGNGRCQCGAKTVVFPDLQLQPATGHVPDLQLQPATGHVPDLLRAFSFTTITLATTPGVARSVSSTPTKTATMTHRLSSCKVAWGGLRAFWSGQEATLSKISPLPAMLETTHTLCPPSEVGVDSAKDRGVELYGCFSPRFGDSPSSSLSSTLPSASSTAEGDAIAAVVTPVLQIMPDLQNILGSSTLPLSMEHMEVDSAPTLCSLGSSDVISAPHPPPSALNPDALFAKELCDVLSSLEAAIPGYGRAITCILT >cds.KYUSt_chr1.38448 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234996327:234998624:1 gene:KYUSg_chr1.38448 transcript:KYUSt_chr1.38448 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARTPGSVLRGFVALAAAVLYFSGAAAEARVLLTLDDFGAVGDGVANDTQAFLDAWTAACGSEEQAVLAVSAAKVYRIWPLQLTGPCKKKLKLLIAGTIVAPSSPDEWAGRDWMKWLYVYGVDNLSVSGGGTIDGAGQEWWARSCKRKKTKPCNTVAPPRVVHFEECRGVSVQGLTLQNGQHFHLSFTRCTDVKANFLRVVAPADSPNTDGIHLNDTSRVEIMDNLISVGDDCVSMVGNCSDIRVRDISCGPGHGISIGSLGKNRTTDRVENVRVDTCLLTNTTNGVRIKSWQGGMGFAHDLRFESIVMKNVSNPIIIDQYYCDQPTPCANQTEAVEVRKVEFVDVRGTSATAQAIKIACSDTAPCRELELKNVNLTLEGGGAASASCYKAFGKAVGTIVPASCLARHDS >cds.KYUSt_chr2.37089 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229555402:229556297:-1 gene:KYUSg_chr2.37089 transcript:KYUSt_chr2.37089 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGKEHHDWILRRCCGSIAACILTLAVVVGFVVLVIYLALHPSKPSFYLQDIQLRSIDLADPSISLDVQVTIASRNPNDRVGIYYKTLHAFTTYRDEPVTIPVSLPAIYQGHKDQSVWSPVMSGDSVPVAPYVADAMKQDIGAGYVLLHVKVDGRVKWKVGSWVSGGYHLFVNCPALLSATGGNAGGAFSMSAATAGGGRNGTMVSLKFTQPSYCTVDV >cds.KYUSt_chr4.41007 pep primary_assembly:MPB_Lper_Kyuss_1697:4:253515070:253516391:-1 gene:KYUSg_chr4.41007 transcript:KYUSt_chr4.41007 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAWRHAALAEEGDGDGDDHGGARRYRSEERGEARGGLHSEKDADDLRAGADALLRLASTSNIGGATQDGSRQQQRPLQIRDARDSAADGDDMEVEDQEDANA >cds.KYUSt_chr7.14676 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90651554:90652327:1 gene:KYUSg_chr7.14676 transcript:KYUSt_chr7.14676 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIVETTDRVAGRKRPQAIALPGQHAKRATKKINLSASTGALVPFMAPPYPCIDAVPLAAVAPGRAEPSWIRERLLRELGFRADMEVRFIAQKAVTNTDLDTQQSRFRIPNDGVQHRLRGMLSDAELEAANLLHLKAPWPRPRKQPPAMMIQARQGEQGGKRTRQKGRDHVGLPMVLVDARGRTKEMKLTQWDSSRSTVIHGDGYLEFMRQCGYEPEDVVEIWAFREKPFRLFGVNMCAKSPLHVVIAYKEKQFAT >cds.KYUSt_chr2.54408 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339476357:339479555:1 gene:KYUSg_chr2.54408 transcript:KYUSt_chr2.54408 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPQRGAAPPSDSQSPSPSPHCGDAAVASSSSSSAPEQRQNSGPKQCKATLLSSVLAVFDPDQASSSSSAGSRLPAHASVSHAWSRVLRRIVGDGSMWRLLGCARALTDADVWLLGKCYKLSSSSSEEPSGDPDHESGGHAAFLEDFSSRIWVTYRKGFDAISDPKSKLTTDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPSQNPCNPEYIRILHLFGDSEACAFSIHNLLEAGKSYGLAAGSWVGPYAMCRAWQTLVRTSRERLEAADRNGNFPMALYVVSGDEDGERGGAPVVCIDAAAQLCYDFNKDQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGASTYIAGVQDDRALYLDPHETQLAVNIASDNLEADTSSYHCSTVRDMALDLLDPSLAIGFYCRNKDDFDDFCSRASELAEKANGAPLFTVVQSVQPLKQMYDQHDGSGCSGEAMADNIDVEDLDGSGETGEDEWQIL >cds.KYUSt_contig_605.750 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:3255455:3269205:-1 gene:KYUSg_contig_605.750 transcript:KYUSt_contig_605.750 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSAPALRRDPYEVLSVSRDSSDQEIKSAYRKLALKYHPDKNASNPEASDLFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSPTVLEEAMNGTVTVRPLPVGTSATGKVEKQSAHFFGITISEEQAHLGIVIRVASVAQSKFKLLFFEHEVSGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSTLKPGTHIFAVYGDNFFKPASYTIEAMCAKSYEETTERLKEIESKILEKRNDLRQFETEYRKCTRQTRLQQREGNVQRQPQRGARIRHFLFAAMEAASEQWQGRAQGWRPPCACLRQGRRLPGTRTTPVGTLGQLQQEEHDAWRMRTTPTQQEAPPTADALQAGNAGKRTNKCGRVQQLVRGSRRCVRRTPMSLRRVPSMAMDTSIAAVVSSRLSDALRKRADCEQHRLRQLHPPWAARAWLEPAFQLSSRGSVC >cds.KYUSt_contig_1130.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000106.1:98578:99786:-1 gene:KYUSg_contig_1130.13 transcript:KYUSt_contig_1130.13 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPSPSTAAAAAEAAGNDSVVASAKPAELLEVAANCDGVQGHALFFDALVQLIPPRFYLSVDDEDRPYYQGLSKSAKAAMKAQSRANIKAARRARLDPTGPPSSTLYLLKKSVADQEAEDQEEEEQDKSQDEIQETEDEATTEADDDGNDDDEEEEEDDDEKEEMLMAPAAVVSEDRSVTYEELRERLHRRITELRGNRCTRPEFLNKPQKEKSKKVKGSKVKNGKDEGTKRKREDADDAEGKDGKKHKKEADGKAPDIVYGNVLVDPKDARRRKKRKIKNKKKELEQAKRMQRAKEDPKKATKMAWDLATRRAAGEKVHDNPTLIKQSMKKDKKRQQKAAEQWKDRKKTVDSKRKEKQNTRTENIRERAQEKKARKIEKREKKLMRPGFEGRKEGYVNK >cds.KYUSt_chr1.24655 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147577243:147578439:-1 gene:KYUSg_chr1.24655 transcript:KYUSt_chr1.24655 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPSSDPNHRTDQVHANAGAPAAQPVRPSALHCTRSLARATAALVHPFSLQIPPPPHGSIHHYDTHSKTTYDMGSLSYVLAVAVLAALVTGGACISKVPPGPNITTKYDTRWLPAKATWYGKPTGAGPKDNGGACGIKDVNLPPYLGMTACGNIPIFKDGKGCGSCYEVKCQKPVPCADKPITIFVTDKNYEPIAPYHFDLSGHAFGLMALPGKDQALRSVGELELQFRRVRCKYPPGTKITFHVEKGSNPNYLAILVKFVSDDGDIVQVDIQEKQSPAWKPMTQSWGAVWRWDGAIPIKGPFSLRLTSESGKKLVAQDVIPAAWKADTVYPSNIQF >cds.KYUSt_chr7.4439 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26858413:26859441:1 gene:KYUSg_chr7.4439 transcript:KYUSt_chr7.4439 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPPEEAALGALGLAGETLRVIRTRPPGFPFLFGVVITLSLSLLAHVAASRALFFDAVASNMTGAGFVRLAANWAPFILAEAAILSALVLQSLVSTTFSVLSVAAVYSGFAADAERDARAVARDLRQVPRFFSRFLVSVFRGDSRLAARLIRTGPSDAARVAVTSCVALPLLLGYAAILAAVAALVRLPRPALLLVGGTAFLAGAAHVGAVWRVACVLSVLEDGARGFHAIHGSDELLGSAGKFWAAAAVFTTLDGCAAAAQLAFGALVVDDAMGLGVWGRVALGVALAAVLWAAVMAGLVAQVVVYFACKRCCENPDGTAEKGLADVGRKGPTSRTGKRR >cds.KYUSt_chr6.3905 pep primary_assembly:MPB_Lper_Kyuss_1697:6:22550040:22551244:1 gene:KYUSg_chr6.3905 transcript:KYUSt_chr6.3905 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSNSKAKGQPRAMAPVAEVDIAAVRYEPRPMQAPHLTGFGLRAFVWLLESRLLGPLVLSALKKQNNMTQMLQNTVIPERPMYYPEFPPQGGHAWFAHWRFCNVQVVAVALEDEEEEEEE >cds.KYUSt_chr6.21535 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135908602:135911976:1 gene:KYUSg_chr6.21535 transcript:KYUSt_chr6.21535 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAPVPPATASTAPVPPPPQAPYKAPPFSQPPSTAGTVKAEIPPAAASTAPHTAVAAAAAGPATQDSPYIINVPSYSAWFSYGAVSDTERRLLPEFFEGEAGTRGPEAYTYYRDTLVRRFRARPARRLTLTEARRGLIGDVGSVRRVFDFLEEWGLINYGASAPGVKQGRDKREEAAAASQSSLPAGAAMSRKLCVGCSSVCGSAYFTCEKAEINICCRCYVRANYRPGLTPADFKKVEISEDAKSDWTDKETLHLLEAVLHYGENWKKVSEHVGSRSEKDCIARLIRLPFGEQFMGSKDQKLQFETDDDVADESRAEISKRLRLTPLADASNPIMAQVAFLSAIVGSGVAAAAAQAAISAQSQVDMSDSLVDSSISSTKEEESPYTNGFSANDLLKEASANAQVQLEKEQKDIEQSLSDIVDVQMKEIQDKINRFEQKELLMERERQQLHHLRELLFIDQLAVVQHQSRPHLVIAENNEEEKPKPVTITS >cds.KYUSt_chr1.8706 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53396677:53397426:1 gene:KYUSg_chr1.8706 transcript:KYUSt_chr1.8706 gene_biotype:protein_coding transcript_biotype:protein_coding METPIQRRKIEEHRAGEVAVVAASASGRFLHTAAGEAAAVTAHASGRRLHASTRPQGRPPPLPRTHRDASTRARGARGGRGDAKAEAERQPFELAAKDHALSASIDLTDDLNAKLAEKERAFRHLCAAHEDLKASLRERTSGWEAERRGLVAALPPPGARRAVRFPPPLQAAPATSITAAEKGREPREPRRPNLRLLPSFTTVQHQLDGGRLDSAPWPAPPPPDPAGSTPPCSTSTDSAPSPNAPAMPL >cds.KYUSt_chr1.1302 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7049069:7051607:1 gene:KYUSg_chr1.1302 transcript:KYUSt_chr1.1302 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAHNVLYVLCVAQGAVFLYIFVLFRWEKTIVNQVSQAYGFQDGDRVVMNYCEETRWECNTKPSSADKRNLITYAIELVESKSSSSCLSGTLILDRLLTRQYSDKIKAPTLMEQEEEKQRQRQQQLQKQKRLDLEGKAEAQLIEKEEAKEEGRKSMEERKKREERRKKRQQREEAIIAQQRTVIKRLIGSASSTHILQKLLRTLDSRRSYDKKIREAAARIVEHVASGIRLEQFPGGINNISSLIDNFEEYRRLQPDESASLTSNTDEATTATVPPSSNTDENNGDEEEQGSYSSSESESEPEPEPESESEPASEYSESEPESESYNGISRPLSSNKPLNGYKDLVLTGLSILWRLAGSEDNCIIINNTKHLVSKIMAPVSYDLVHRTHHSAWSTSVVEASMKVMLRLIATAKNTNGDTAADLCRQTSNKGAITTMEKIVTCEECKGGELQMTAMQILVQLGRCSFTKMLVDLFIKGNCSDVSIRKTAGKELVLLFLDSKSVAALLPKEENDEFVDGLAELVSQVGNDNECRKSAAEILEHMCIHYTENDKYLRCQKEENAEKLRERKENDDYLSTIKNAMASAMPKVLREIIFDHGLTGEEGKPGYIRPDTDIESQVATDGKIDENKNNNVSSSHWQNQYNKLYVALMSLYVTACEKLELDIDAISPGEGDEGEGVAFRFAMQMVQLSRDRVSADSLTVIKLSTRIVIATMMKLQGTDCRAVKSAELERLIDSLTSVSDAMLDLEGSMIFTNGMMAIPATDGTLDSLVKEAQKLHHKIKSQDSGNNVS >cds.KYUSt_chr5.7684 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48380387:48383383:1 gene:KYUSg_chr5.7684 transcript:KYUSt_chr5.7684 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGANSTPAKEETVEVPTILDVASGEGRVLTMVEVDPATENPAILDAIRKKNSAALTSILDPRHDPSAKDSSNVADTVWYLGINGCHVTGNLNLLTDLMPVYDRWVKSLFGTGPPMQVCARGSVNCNGIKLDDVWYVPGVTSNVVAVAHLSDQELKISMGGGACSIERPDGTVVGKGRRKDRLYELDFLDITSATPWYIVSNAAEHMTGNLHLLTNFTATRPGRPVRTHTGEMLQVCGKGSLSSTQLAVPGVSYVPGLTENIISVTQLTDSGFSVAFSPHGCTVTRNRSGAKVGYAYHAGSQLYRLDYLRDASSK >cds.KYUSt_chr3.32599 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204953502:204954407:1 gene:KYUSg_chr3.32599 transcript:KYUSt_chr3.32599 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGWTRNTRQFRGESSGGGGIGSASYRGGGSGGGIGSPRYSGVNTGILDEQVLSLVFRSINFDPQALCTAASVSRRLRAVAERVLWRELCISRAPRMVAALTASAATGLGPPPGRIGGGWPALAKMLSFCCGAAAGTPVPVPGHITKVSRFSKTSGRSFLPRRCRGDLLYVSDPCEHAVAGAAGDDLGAYRGVFRWFMRSRTRACLLGRQAALDPRVRCPYCGARVWNMVVARLVPRGAARRMGSDEGRLEYYVCVSGHVHGTCWLAHLTSSDGDHHAHGSDDDASSSGDDGDEDGHAAP >cds.KYUSt_scaffold_869.290 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1786868:1791958:-1 gene:KYUSg_scaffold_869.290 transcript:KYUSt_scaffold_869.290 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALSAALAATLLLAAATAGADEAPCTRRPVVFAFGDSNTDTGGAAAALGNYFPLPEGRAHFRRSTGRLCDGRLVIDYLCESLNMSYLSPYLEALGSDFSNGANFAISGSTTMPRDRPFALHVQVQQFLHFKQRSLDLITHGENVPVDAVGFRNALYVIDIGQNDLSAAFSSRLQYDDVVRKRIPAILSEIKDAIMTLYYNGAKNFWVHGTGPLGCLPQKLAEARADDGDLDDSGCLRTLNNGSYEFNSQLCSVCDRLTTQLKGATIVYTDILSIKYDLVANHSGYGFEEPLMACCGHGGPPYNYDLNVSCLGPGYRVCEDGSKFLSWDGVHYTDAANAVVAAKILSAEFSTPRVPFGYFCKA >cds.KYUSt_chr4.10760 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65342329:65342814:-1 gene:KYUSg_chr4.10760 transcript:KYUSt_chr4.10760 gene_biotype:protein_coding transcript_biotype:protein_coding MGACNSCEATAVAAVTGGEATAARVVLADGELQRFPGGTRASHALKAAAAAAAGGAAGACFLCSADGLELGGAVAALAPDEELQPGQLYFVLPAAMRRRPLQAEEMAALAIRASAALAGDHDGPLVFPDSVPGAVKGSRRRSRRTASLGRDFVPDLGSIME >cds.KYUSt_chr6.3479 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20092799:20098321:1 gene:KYUSg_chr6.3479 transcript:KYUSt_chr6.3479 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPLPSLRPALLLPNPEENEQPWRSSAGTSRRSKRSQGRGRGHHGRPPNPTPGKLTKEDARRSLAASHGLAAVGLVRRAVRTTDRKEQQWLLRCDISGTAGPFRSWCSLALQLQRMATVHPGRSDRWMETEADMACEQSWFGPARGADDRQEGATMAASLRHLWNSWSIQILVLLSLKLQIALFLFAGIRRRGAHYLPRFLLWFAYQLADYTATYALGHLSIRGARPIVAFWASFLLLHLGGPDNITAYSLEDSKLWKRHLLSAFLQILGAVYVLYEHVASTAASLQLASLLMFAVGAVKYGERTRALMRGNLDSIRGSVKKQPPAIHRHFHPQDKVFKGGELDEESLVRRAHSLFHICKRAIVDYPVIEDD >cds.KYUSt_chr1.37259 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227735478:227738936:-1 gene:KYUSg_chr1.37259 transcript:KYUSt_chr1.37259 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALAQMSNATVIDEKVLGECLNEISRALLQSDVQFKMVRDMQTNIRKIVNLETLAAGTNKRRIIQQAVFSELCNMLDPGKAAFIPKKGKSSVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPSLVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGLERFRKENSDLIIIDTSGRHKQEAALFEEMRQVAEATKPDLVIFVMDGSIGQAAFDQAQAFKQSAAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDIGGLMDKIQDVMPADQQPELLAKLAEGTFTLRLLYEQFQNLLKMGPIGQVFSMLPGFSSELMPKGHEKEGQAKIKRYMTIMDSMTDAELDSTNPKLMTESRVIRIARGSGRQVRDVMDMLEEYKRLAKMWSKMKGLKMPKNGKMSDLSQNLNIQQMTKALPPQVLKQMGGMGGLQALMKQMGGKDMSKMLSGMGMGGD >cds.KYUSt_chr2.9207 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57952959:57957919:-1 gene:KYUSg_chr2.9207 transcript:KYUSt_chr2.9207 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPCERSKEKAEDYCCDHAEEQDKYFFKVMIGDFRERIELATLVSQYADNVIIFCHLDEPELCTSIQDIAPELFALIPRCPMKRWTVREALVYGSWITDIEVLEAYLEVVGAPKVKLFIWHLATMLDGGAVGAPWTAATDELPSTIPDAFAKNFSGKLAKTIKLKSRNGCTFDAQITNNFKDLILQSGWNAFASTHDLKVGDFLMFKYNGISQLEVLIFDPSGCEKVPSCLVIKHTGQELIDISSSFDDIPINSPLSERPNQGNENVNISSSRPPPEASEDDLEAQSVPPYILPRGTRLTDVQTKKLGKRVEAIQSKNPIYGCILTRNSLYGKPSALYLSRKYADVYLPFDDETLILERHGKNWGVRCCAASNKRKVLLKGWTQFARDNSLKVGDLCLFEPLKKKNKQYIMRVHIIRKK >cds.KYUSt_chr7.34257 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214058316:214059554:1 gene:KYUSg_chr7.34257 transcript:KYUSt_chr7.34257 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEAMPLLTPHKLGQFDLSHRVVLAPLTRSRSYGSVPQPHAALYYSQRATKGGLLISEATDVSFTAKGYPETPGIWTQEQVEAWKPIVDAVHRKGAFFFCQIWHVGRVSTNDYQPDGQAPISSTDKQITPEPDGRHDAEGGVVYSKPRRLQIEEIPAIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSCNDRTDEYGGCLENRCRFAVEVIDAVVREVGAERVGIRLSPFVDYMDCYDSDPHALGAYMVQQLNKHQGFLYCHMVEPRMAIVDGRRQILHGLLPFRKAFNGTFIAAGGYDREEGNKVIADGYADLVAYGRLFLANPDLPKRFELGVPLNKYDRSTFYTQDPVVGYTDYPFHDSSTAE >cds.KYUSt_chr2.33589 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207478415:207486194:-1 gene:KYUSg_chr2.33589 transcript:KYUSt_chr2.33589 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRRFAWPFGGQRASLCGSFTGWREFPMGLAGAEFQVVFDLPPGVYQYRFLVDGAWRCDDTKPIVRDEYGMISNEVLVTLENNTHPVVQLESSSNRRMNLDEGTILTTMPTEPPSQNSGVQIAVFRQRVSEILLHNTVYDVVPVSSKIAILDARLPVKQAFNIMHDEGLALVPLWDDGQGTITGMLTASDFVLILRKRNIRVLGHEELEMHSVAAWKEAKLQYYGGSDVATMQRRPLVHVKDSDNLRAVALSIIQNEISSVPIFKSSMDVSGMPILNLATLPGILKFLCSKLQEQPEGYPILQNHISSIPIGTWSQHTGRASKRQLRTSRLNVPLITCLDFLLEDRVSSVPIVDDNGSLLDVYALSDIMALAKNDIYTRIELEQVTVEHGCGGLSSLNR >cds.KYUSt_chr3.49341 pep primary_assembly:MPB_Lper_Kyuss_1697:3:308353684:308357037:1 gene:KYUSg_chr3.49341 transcript:KYUSt_chr3.49341 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSLAPGAAAAAAAAAAEVTAAASVEASQLAPDASSSANAEDERFALLMSIGEECIQTDELRLLLQNKEKPVPICYDGFEPSGRMHIAQGIVKTINVNKMIRAGCRVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFVWSSEEINKRADEYWTLVMDIARRNKAKRITRCCTIMGRADNEELTAAQIFYPCMQCADIFFLEADICQLGMDQRKVNMLAREYCDDIKRKDKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNLKIKQAFCPPNIVECNPCLEYIKYIVFPWFERFEVVRKEKNGGNTTFLSIDDLTADYASGALHPDDVKPSLAKAINEILKPVREHFNTNKEAKDLLNTVKPFIVLSKSVTACEEFNPDIHSFAEVQSLRR >cds.KYUSt_chr2.39405 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244339633:244340262:-1 gene:KYUSg_chr2.39405 transcript:KYUSt_chr2.39405 gene_biotype:protein_coding transcript_biotype:protein_coding MAILWRQPSLEFDVKVVRVTGIEARLEGSLFVRYYVPAGDGRRRIRVDTREVPCGGPEDDVLWGELVRFQLAGNGQGAAVAAPGKVAFELRWRPRPSTIGLAALLGTGRPSSRVLARTELAVATKSASTESWLRLSSVGRELGGGYKAPKLLVEVKVVHGAVPAAGHLTVKARKSSGGVNQCCRGGESCRSCGWVGTEKDMFLAATFPH >cds.KYUSt_chr4.46504 pep primary_assembly:MPB_Lper_Kyuss_1697:4:287473601:287474523:-1 gene:KYUSg_chr4.46504 transcript:KYUSt_chr4.46504 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEEPSGALPRSDGVREQRLLSPGSWRRDGGGSGRSEVRAWKLERESKRAGLTMFQFRLKKAGPKPSGPGLELSFMVKREVRISSREKGRARVEA >cds.KYUSt_chr2.10895 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69193216:69195798:-1 gene:KYUSg_chr2.10895 transcript:KYUSt_chr2.10895 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTEGCACLVVLHAQLSSGTCIAQAVINASVGLNASLLVRLVNMSANVSESSGTGDASSSSTTLDPLSSSSPVRLPAVRLACCLPTVAMASSQLLPAASSLGDLPRDALVEILLRIPAKDLCRLRAVCPSWRALTSSPLFVAEHKSRHTAPLLVVAYRDQDIANGVDVVDLSGNIVKRIPSIESDIVVEDESGHVFAVFPVSHDSISVLRTRLDRICFTRELYPLGLWVLNPATGVTLVLPQCHSEELAHDDEIRANYGRGQVESCALGQVSSTGEYKVIRIATIGDRQLCEVITLDGAYCGKWRGKQSPPALVFASRGEHMRCAVVDGVVYFLMDFYTNYFSTGVLTIEPGSIASFNLETEEWMGTLQGPGPVRTFVEDHENFCYAHLNLQLSLAELDFSLVTVHNNHNISMDLWFLTDFENAIWEKKYYFLPPIAHLGVHPFLVLHDGRIVFSHAGGLLKSYDPKTGTYADALEVRDSRSIGIYTGSLLSL >cds.KYUSt_chr1.33569 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203978348:203981426:-1 gene:KYUSg_chr1.33569 transcript:KYUSt_chr1.33569 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAAAAGASSASSLQSVRVVLRVRPPLPSEASSAAAPCVSLVGTRPGGEVTVQLKDQHTSRNECYKVDSYFGKEDRVADIFDQEVSALIPAIFEGTNATVFAYGATGSGKTYTMQGSEDLPGLIPLSVSTVLARCTGTWCSVEISYYEVYLERCYDLLEPKAKEIMALDDKDGNLQLKGLAWVPVRSMEEFQEVYSIGVQRRKVAHTGLNDVSSRSHAVLSIRISNDTVKGKLNLIDLAGNEDNRRTYNEGIRLQESSKINSSLFALSNVISALKRNEPRVPYRESKLTRILQDSLGGNSRAVMVACLNPVEYQEAVQTLSLAARSGHMLTNMSSASKEQTPKVKVDMEAKLQVWLESKGKTRSIQRTNGLFSPTGCKTPSSMSHMKLPKSALVSSRTKAMDRDGGKIKKVLFDLGVHTPAKNTPRPSPRDEVKTIKKVAPPSLAPCFEDRSESPIRKVLSPISSNVMALKQQTADDVTCPPSVEPKTPIGEYHMVEKIPGGTPLDKFNALGSNLKESLIQQYLELLNVANKEELQQLKGIGEKRAEYILELREDSPRPFKSLSDLGNIGLSSKQIQDILRKTANGIFK >cds.KYUSt_chr4.2941 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16834791:16836226:-1 gene:KYUSg_chr4.2941 transcript:KYUSt_chr4.2941 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTIERYKTSTKDNIRSQTVQQDIEKIKADAEGLSKKLEALDAYKRKLLGCNLEECAIEELQSLEVKIEKSLVSIRAIKARLFEEQLAKLKQKEVTLRKENEDLQGQRKNEEQLAAAAAAAPVAVAAAEQSHPQPEQEKDEMEVETELFIGLPGRGRS >cds.KYUSt_chr7.169 pep primary_assembly:MPB_Lper_Kyuss_1697:7:916555:917901:1 gene:KYUSg_chr7.169 transcript:KYUSt_chr7.169 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARRMTDDIDSQFERPARMNALFFFDEYTTNTLDHSQLVKLLDVELGIIMYDDLYTKAPLLRKRSGIILRCISQVSAIVALVLFSITSKKQGDRYGRADIVITYILFTGSLLLEVCAMITMLASPWTWAWLEAEGYQRLASISRFLLSSKVFGLPETRLLWSGIMGQYSLLRYIGFNEQVNLSQRVMSMIRKMAGALGIGEAKNLFWLSKLLDTTSEVVDDKITEFLVEEIRHFTHGGQRQWPHLGPFLKETVTLRTDFVTTISLLHLMTEIYLSEVSASTSGDIGGDSAALANVCRKLSNYMFYLVVAHPASASLLLVAAGSPESAIEKVRENFLAVLSSSKDDTLHAASVEIRKLVELPLIERYEETLEELKNMWLRLLIYAAGKSRPEEHAAQLAKGGELITFVWLLMAHYKLGDCGSQRIDLTQARGNDPDIPPLALRAFNL >cds.KYUSt_chr6.27889 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176883852:176888470:-1 gene:KYUSg_chr6.27889 transcript:KYUSt_chr6.27889 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLCVAPLEGVAHNEDNVLIPAATNITYALDQTYVIFPGDNVDCYRSSSSASCRGVKLVMGVQNIFCGDSRVADTWVEDLQHIRLSIKIRPRPTLHHHPLATNHPRVKLTGEEKENSPEMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr5.13616 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88460017:88464091:-1 gene:KYUSg_chr5.13616 transcript:KYUSt_chr5.13616 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECILNIQSLPGEYFCPVCRTLIYPNEAVQTQCTHLYCKPCLTYVAATTQACPYDGYLVTEADSKPLVDINKSLAETIGKVAVHCLYNKSGCQWQGNLSESITHGTACAYGNSPVVCNRCGTQIVHRQVQEHAQLCPGVQPQTQQADSSLTQSSAAITQAVTQDPSAVSSVAPAAVPSATTAGAVTAPAVATSSAGVTTASTMAAASFAGAPATSQGQAVAPQTQTAEQYQQQLQYEQYYQQHYPGYNPYTQQYQQYGQYQQYTQPQTQVAMQNVAQVPAQPAPYAQPQFLQPSQPQHMVPNQSQNQSHYPQVQAPVVQPQPQQNPPLNPAPQNPQMHPQVDAQPIAHTQVGTQPFAMPTTQAIASQVQPHVQPHPPQHLQAVTQHQHSQMQFLPQQQHLQSQIQHQHPQVQQQSYPQPQVYHQPHPVAQPQNPPVHAAAGHQSYSQPQPTNQMSHGAPLQRPAHASHQQLVGPQHAALVHPPQGQFPLQGQQPAMQAPQGAQHASQHQQHVGYHVQRPPMHPSIPSQAPPQGFPVNTSVPSQTGHLYQQGMYSSQQHMHSQSFQPHGPPFIQQQHVPTSTSRSMSNAATPHQFQESGKSENASNATGNTEVGDSTNGGGEFSGIKPESLGDKNVKGEQNDFGSTRKNVAQTGITLGGADGSDKGKGKDELVGQNSNSQPEASHVSNDLEKGGSLQQVSHKDLGALGSYVLPGMGRQRLSGPDARPPHHMLTEGQTNQMRPPSHSFSENIRPPMQQHPFGLYQSEMAPRMLAPNLPLPAPIRSDDGMIRPPMGGGPLPGHHDTTMPPFAPENVGRPHPAGMTKGNGVGGGPLGNSRAFHEEGFNSSREHFRSLAPSYPGRYNVDPKDIEENMKQFPGPTHLDGEGFQRGPRPFDGFDSLPGRPPFPNKPGPYPTGFPEDLSRKPHSVVGHPDFVSPGAEYGLHRIDGMPRNPGPFLQGMPAGPGGLRKDQLGPGNLPGNIHHDFGNPGFPPTHFHPGDTFLPRNLHGAEPLGHGPLHGIEPSGHRFHGHVHPDDPNLDDYPRHGFPQESGRFSSGGFFSNGDVGLCRICMFNCGSAEDLGLHVHTREHQQHAMDIVLKMKHDVAKRQKMIPGGPKSLNKKVAVKGNFRGNRR >cds.KYUSt_chr7.30129 pep primary_assembly:MPB_Lper_Kyuss_1697:7:187606083:187606496:1 gene:KYUSg_chr7.30129 transcript:KYUSt_chr7.30129 gene_biotype:protein_coding transcript_biotype:protein_coding MARATAAQLVVVALVAAMLLASPRPANAILCGPVTGDFVIFCINYARRPPVDDPPSSRCCRSIKGIADAARTAKEKLTACDCLQSMLKGIRGINPETAASIPSKCGVSFPFAISTSVPCPDFSLMINRSPAHAVDVA >cds.KYUSt_chr5.42020 pep primary_assembly:MPB_Lper_Kyuss_1697:5:264948770:264951389:-1 gene:KYUSg_chr5.42020 transcript:KYUSt_chr5.42020 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFVARVFFFSVTVSDGAAPTGSGRFQLLSWNRTASAWATLASWPSVACSAYGSCGAYGYCDVTVSPAPACRCPDGFEPASAADWSAGRFGQGCRRKEALPPCGSGEDGFLPMRSMRVPDKFVVQGGNRSAEECAASCVASCSCVAYAYASLQSSSAKGDVSRCLVWVGELVDAMMIGAQWRSTAETLYLRVRVAPASTGKKASKNAVKIAVPVIADEMLTSHDINFTEKKKKTKSQKKQMPVSVNTSTEIVEGDHTEDLEFPLIQFADIIAATGNFSKTFMIGRGGFGKVYKVVAVKRLSKDSNQGAEEFKNEAILIAKLQHRNLVRLLGCCTEGAEKLLIYEYLPNKGLDDILFDSARKSVLDWPTRLGIIKGVARGLLYLHQDSRFTVIHRDLKVSNVLLDADMRPKIADFGMAKIFYEDQVNANTRRVVGTYGYIAPEYSAEGLFSIKSDVYSLGVLILEIVSGVRINSPDGIKDFPSLIVYAWHLWREGKAVELLDPSLAESCSPDEALLCIHVGLLCVQDDPNRRPIMSSVVSILENGSASATASLWLPMPTQPAYLGMMGETAELENSRNTMAMTVIQGR >cds.KYUSt_chr5.39766 pep primary_assembly:MPB_Lper_Kyuss_1697:5:251532493:251534723:-1 gene:KYUSg_chr5.39766 transcript:KYUSt_chr5.39766 gene_biotype:protein_coding transcript_biotype:protein_coding MIITGDDPEYIAFVKARLRDQFLMTDRGPLCYFLGIEVSSTSDGFSISQEKYIQDLLARLSMLGAIQGRRNMLATCFLWQRRGGQEGNPPSRRASRNRNRSVEFPWEMFTLKELVQATNNFNDSNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAIEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPASQQHPPLDWPRRVAIALGAAQGLAYLHHEATPHIIHRDIKASNVLLDADLVPKVADFGFAKLIPDGVTHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRKWERLADPRLAGKFDVAQLRVVVETAMLCSQSEGESRPTMAEVVEMLRFGGGGERRNREIVPVADAASEDVTMTTDREDDVTGSTEPLDRRSWKLTTLR >cds.KYUSt_chr6.8778 pep primary_assembly:MPB_Lper_Kyuss_1697:6:53951155:53959084:1 gene:KYUSg_chr6.8778 transcript:KYUSt_chr6.8778 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTRGYRNLTQEIFSELDETKAQGLIFHGAFQKTEGDTEWGHEVATPPGGVAKEGSAPPYGSTLAPESLVLRRTTLLQQQPSRGLHLLLVLENTTPLAASRGKVKKTTTRKRDGTATGSIAAPPAKRPKASGSKPPRQDKNISPKESPMSSGLALNISRSASGRTRESAPETPPVTTVIPPPPCGIPVPSFRPVIPGDSPERTSDPSAEPKTTKGPAAGPDKLTSSKPMTPPADTGKGPTSSPTSSKATATDAPKPKSKKFTARPKVDTEPGSGSSDQQLTLHASRATVITVRQMAPCFGNVLAQTRSGYSLGSLEHYAQDWNNADMSDVTSGLNEAREPIIAPTGPISFPLGSLAMVLLGNALRLGLRVDGILAPDAMGKKKSASASDAAKVSRDWSASAISNHDVNKLRALGLISSSDDDIRLPGPVSRPKPPKGFTVMFVAFLFQGLSLPTHEFLRSLLFFYGIQLW >cds.KYUSt_contig_3940.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000765.1:53060:53581:1 gene:KYUSg_contig_3940.4 transcript:KYUSt_contig_3940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIEPEPSKSPATRRFRLQVRRATFTPATPVTLGVGSATSCFPKSGRTFDALYSSRFAPSRQVHLRPASSAMAFIPELRLVYLKGRRASFRTSSEQQRGGLPSTSRSRHPGFKPHCQLNPNFFFSFVQISWAEDTTYRLEPYPVQHHHPAELVSSVDSDPTDPELKSWGNLI >cds.KYUSt_chr7.8868 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53899886:53900209:-1 gene:KYUSg_chr7.8868 transcript:KYUSt_chr7.8868 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPQRSPAVMGGGGGGGGGGGGTPALHYLSGPYGDTTYTKVFVGGLAWETRSEGLRAHFEVYGDILEAVVITDRATGRSKGYGFVSTLLLISLLRLLFRDHGEGRV >cds.KYUSt_chr6.10755 pep primary_assembly:MPB_Lper_Kyuss_1697:6:66632903:66633406:1 gene:KYUSg_chr6.10755 transcript:KYUSt_chr6.10755 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTLHPMMPTVAAAASTATTAYRASRSGSATLRRRRQPVTCKAEPSGGNSTVELAAGAAGLASSATVAWSLYTLKATGCGLPPGPGGALGALEGVSYLVIAGLVGWSITTKVRTGSGLPAGPYGLLGAAEGVSYLTIAAIAVVFGLQFFQQGSIPGPLPSEQCFG >cds.KYUSt_chr7.39601 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246151603:246156527:-1 gene:KYUSg_chr7.39601 transcript:KYUSt_chr7.39601 gene_biotype:protein_coding transcript_biotype:protein_coding YDVDKSYGRESYMAYVAEGLGKLVDWNEVMKFQRKNGSLFNSPSTTAAALIYSYDDKAQQYLNLLVSKFGSAVPTVFPINIYCQLWMVDSLEKIGISRHFSSEIMSILDRIYRDDEIMLDIKTCAMAFRILRMNGYDVSADELSHMSEASTFYNSLQGYSDDTESLLELYKASRVNVSKDEIKYEYIANAYVSYGLAPIVPPSLYFVGQELLESAEKDQEYNELFRLLSTCGRLLNDLQGLERESIQGKLNSVSLLVLHSGGSMSVEAAKKKVQDHIASCRTELIRLVLREGTVVPKPCKELYLRMYQVNHLFYSNTDGFSSPTEMLGEVNAVIYEPLKLQTNNPL >cds.KYUSt_chr2.49475 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309637641:309640029:1 gene:KYUSg_chr2.49475 transcript:KYUSt_chr2.49475 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFYSGYGYRGSNFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNGATDRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDIVRVKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVEAKPASAVSIIETDCEVDFAPPLDYKEPEKPIVPASKAPAEDQDAVVEDEPKFKPFTGSGKRLDGKGPKQQAPEVSSAAVPARSAPSDSNKRASQQTAAPSGASTSTRQKTGKLVFGSSASNKKEAQKEPVKDSEPSKKEEPKFNAFSGKSYSLKR >cds.KYUSt_chr4.25210 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158468156:158471151:-1 gene:KYUSg_chr4.25210 transcript:KYUSt_chr4.25210 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLTLKRMKCLVESIVDESEPYLAIPGDDRRKGKSKLALHRASLLDSFRQESPRRQAHPMNYPKDSGMLSIDGAMEADHIEPKARRRFEYTSLMCMSYAEDFFNAARTDWDPDTL >cds.KYUSt_chr6.7138 pep primary_assembly:MPB_Lper_Kyuss_1697:6:43281186:43283764:-1 gene:KYUSg_chr6.7138 transcript:KYUSt_chr6.7138 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRGLLLAAALSLLLLAGADAGTVGVNWGRVANDLPTPSAVVQLLKQHGIAQVKLYDTEPTVLRALANSGVKVVVALPNEQLAAAAKRPAYALAWVRRNVAAYYPSTQIQAIAVGNEVFATAPNATAQLVPAMRNIHAALARLSIDRAVKVSSPIALTALANSYPPSAGVFREELAQAVMKPMLEFLQQSGSYLMVNAYPFFAYADNAGVVSLDYALFRPNAGVLDPATGLKYYSLLDAQLDAVFSAVGKVGGNYNGVRVVVSETGWPSKGDPKEVGAGAGNAAAYNGNLVRRVLSGNSGTPRRPDADVDVYLFALFNENQKPGPSSERNYGVFYPNQKQVYDVQFVLGGKAVGNGGGGLGWQDNGAAPSGGGGVKAMTSPGSAWCVANAMAGEGRLKAALDYACGPGGADCRGIQPGAACFEPNTMVDHASYAFNDYYQRKGRSIGTCDFAGAAYVVNQAPSEFMFPACNLISRTSTTSVASRNTIACITKQNVGARDGQVRAPLHCLNRNTAFFSGMENQRETQDQTICIWVNPKYLWQASAGKEGEKRDVGISARFLTFFTRIA >cds.KYUSt_chr2.42076 pep primary_assembly:MPB_Lper_Kyuss_1697:2:261969685:261975124:1 gene:KYUSg_chr2.42076 transcript:KYUSt_chr2.42076 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPATPRWNLERPYLTGRFHQVRTPFLFQATPSPPTFPPRPHTPPRLPWQEAKVSLAAQAPGSKPFSLDSFSRGAGGGAGSVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVHGKDGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVSQFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLIEKAMSNDTSGSATLNLLQSQAKAMAGDSAVRSLLEKMTECASAAYLRMLERWVYEGIIDDPYGEFFIAENKSLQKESLTQDYDAQYWKQRYSLKDGVPSFLNNVAATILTTGKYLNVMRECGHNVQVSLSENSKLMSFSSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEDLTCCVERSSLLKKLTTLKDLGCAYSSDKFAATDLDQPMTLSMTGLETFCLGYKIPWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCQAWQIQQGFRSVKILGTPILRSSILCRSMLKFVNSLLHYLTFEVLEPNWHSMHDSLQKAKSIDEVIQIHDFFLQKCLKECLLLLPELLVKVEKLKSLCLQYATSIQLLIPSIEVAAPERRSKSVMSKSSRIKKAQERDQQLKLASENVVMSESILKFEAEFNLELQSLVPTLSNSSQAEPYLTHLAQCILGVGIDQ >cds.KYUSt_chr4.11418 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69464300:69472383:1 gene:KYUSg_chr4.11418 transcript:KYUSt_chr4.11418 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRGASGFRGVRVRPSGRFYAEIRAGGFRLTLGTYNMPELAARAYDAAAWRFRRPRRDMNFPDVESLEEAEFLAPPPCLVDDEDRRQHRRNDSLTHGCYLVIYPILKSINSVMIREMLPSTSILPLLSPQVVHRRTSTTANGVAFAVPSAAAHIGGFLSKKPYTAPSWASELSLAPSHNFTLGQFPTPIHKWNLPNLPKDTEVWIKRDDLAGMELSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAAKYVNLDCHMILVTSRLIVDEDPGLVGNLLVERLLGAHIDLVSKEEFTRIGSVALTNLLKKRLLEKGRKPYVIPGGGSNSLGNWGYIEAIREIEQQIQLSGDVQFDDIVVACGSGGTVAGLALGSRLSSLKTKVHGFSVCYGPQYFYDNVQGHIDGLQSGLKSHDIVRIEDAKGLGYAMNTPEELKFVKDIAAATGIVLDPVYSGKSAYGMLKDMSNNPTKWEGRKVLFVHTGGLLGLYDKVDQLSSLAGSWRRMDLEELVAAKQWHL >cds.KYUSt_chr4.49470 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306340744:306350959:-1 gene:KYUSg_chr4.49470 transcript:KYUSt_chr4.49470 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGAPPPPPMAAVPPPPGMAGAAPPPPPPAAALPQAGQPLEPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRNVKILYHITGAITFVNEIPWVVEPIYLAQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDPEEDGAVYQWFYDHNPLVKTKLINGPSYKKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVKLCIYHTPMIMYIKTEDPDLPAFYYDPLINPITSTSKVDRREKKASEAEDEDDFSLPEHVEPLLKGTPLYTDTTAAGISLLFAPRPFNMRSGRTRRAEDIPLVSEWFKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGMNNLQDIWDTSDGQCVVMLQTKFEKFFDKIDLTLLNRLLRLVLDHNIADYVTAKNNIVLSYKDMSHTNSYGLIRGLQFASFIVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFLTYTDTKVETRHPIRLYSRYIDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMSGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSYTAFSRLVLILRALHVNNEKAKMLLKPDKTIVTEAHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQAAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQVAGFLYGLSPQDNPQVKEIRCISIPPQHGTHQMVTLPANLPEHEFLADLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDNGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNAPWNYNFMGVKHDPMMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEAAEGDREDTFS >cds.KYUSt_chr6.12099 pep primary_assembly:MPB_Lper_Kyuss_1697:6:75307190:75307722:1 gene:KYUSg_chr6.12099 transcript:KYUSt_chr6.12099 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTLELESKVAASRKFRACVMDWHNLAPKLAPHIFDSAHHAEGDGGIGSVRHYNCNSAMPFNVMKKKVEFLDVDKCECKYTIECDGTETATFNVKVKPTANGGSVAKVECTYKGGEGKDKMLKAKDSVAEMFNTAEAYLIANPDAYN >cds.KYUSt_chr6.25395 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161019825:161023622:-1 gene:KYUSg_chr6.25395 transcript:KYUSt_chr6.25395 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPTTGGPVGEDTTMPPTMLHGLAPEPRSTEDEARLTFLYAFLPKPPVSTSPSLCCAAAADDDKNDRVSRLPDDILLRVVSLLPAKDGARTTVLSSRWRHLWRSAPLVLVDTHLLPGGDRERRPARAGAASRAVTSAVSAALESHPGPFPFASLTCSFMNTADRRLLARWFQLLATKGVDELVIVNRPWSVRGLRLPSSLFSCASLCRLYIGAWAFPDTTALPRGVAFPNLHQLALGCVVMEDKDLDFVLAVSPVLEILSLFGSLTPLRARLTNHSLRCAQFCLSSLEEVAVLDSPSLERFFLWRNWNERHVKISIKIGHVPKLRVLGYLEPGVHMLQIGNTIIKAETKASTHTTVSSVQMLAVQLQFGVRSEVKMLPSFLKCFPRVETLVVESLVLRDPTSSNLSPKIWRKTSSIECVQSHLKTLAFHEVQGDHNEFDFLMFIAENAPKLERMFIVMKNGLNDTERQVVVARVGALYSTNWASKDCKVQLKMSCYPIGGGSWSLQAGSDLSVDDPFEAFPED >cds.KYUSt_chr2.15081 pep primary_assembly:MPB_Lper_Kyuss_1697:2:95052673:95056333:1 gene:KYUSg_chr2.15081 transcript:KYUSt_chr2.15081 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRSYRISFLLLPSSASPQGKGGAPAFPPTASAAATAAPSCTSERSCPGSSDDCFAGVRTDTVQDKVKVVFLLLHRLPRQSAAPHRYGGTFSSRQPTSREFVFVASYSKVVNMVKLTAEELRRIMDKKNNIRNMSVIAHVDHGKSTLTGSLVAAAGIIAQEAAADVRMTDTCADGAELGCTTKSSCISLFHEMSDESLRLYKGERDGNEYFINLIDSPGHVDFSCEVRAALRITDGALVVVDCIEGVCVQTEIVLQQALGERIMPVLAVNKMDRCFLELQLEGEEAYQTFSSVIQNANVIMATYEDKILGDVMVCPGKGSVAFSSGLHGWAFTLASFAKMYAPKFGFDEAMMMKKLWGDNFFNPETREWTSEHDGSRSCVRGFVLFCYKPIKKIINMCMSDQKDKLWPVLQKLGLTMTDEEKMLTGKALVKHVMQTWLPASRALLDMIVYHLPSPSKAQKYRVENLYDGPLNDVYATAIRNCDPEGPLMLYVSKMIPVSDEGRFFAFGRVFSGKVATGQKVRIMGPNYASDHKKDLCVKSVQRTVIWMADKLEAVEEVPCGNIVAMAGLDESITKNVTLTNERSVDACPIRAMKFSMSPVVRVSVECKVPSDLPNLVKGLKSLEKSDLMVRWAMEESGEHVIAGTGELHLEVCLEDLKKNFVGGAEIIVSPFEVDFRETVLAVSERDVKCKFKNNHNYLCMRALPLENEVLEAINCGHFDPGDTSAASSKFLSEKLNWGEGDMENVWCFGPETTGANILIDKCNGLTNEIKTSVFSGFRLASKSGVLADEDMHGISFMVSNVVLHADVNQRGLDRVASAAQRASLASQLLAKPRLLEPVYLVKIQVPEQALNAVHGVLNERGLEILKEKQIQRFGNILYIIEGRLPIQDSFGFSKAIRDATSKQAVSLLMFNNRWEMMYSDPLNIRSPAAELVNRIRRRKGLPLHISTASDLEAKF >cds.KYUSt_chr4.46375 pep primary_assembly:MPB_Lper_Kyuss_1697:4:286792112:286796370:-1 gene:KYUSg_chr4.46375 transcript:KYUSt_chr4.46375 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGSQVSIVDSELVSDITVRLGTTKFHLHKFPLLSKCARFQKLIPTTGDENIDIHIHDIPGGPKAFELCAKFCYGMVVTLNAYNVIAARCAAEYLEMNETVDKGNLIYKIDVFLSSCIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASADTSKVEWSYTYNRKKLPTENDLDSQWNGVKKQQSVPKDWWVEDLTDLDIDSYKQVITAIKTMGMVPKEAIGEAIKAYTYKKLPSLSKVSMIHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLRATNLLKCGEMCRKELVKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEYVRQDCKNAQKHNAELNGHVQAPSASMITVAKVVDGYLTEVAKDPNTPVLKFIHLAEAVSGNSRPFHDGLYRAIDTYLKEHPSLSKSDKKKLCCLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAATVRADSICIGSYESSRSGATTNTEDEWDGVIAVEELSLSKTTKLDKCDSAEKSHGSSKSTTNGKVKGGATPKKVLGKMLSSKGLTGERSSSDSSDSAILRSQDHPKRTPSRSTKPAAA >cds.KYUSt_chr2.13535 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85814335:85816124:-1 gene:KYUSg_chr2.13535 transcript:KYUSt_chr2.13535 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSAVVAALCCALLCADASVHDYTGERFAALGNAFVLDGGSEGVYASPAADSFIRFEKVAFKRTPESAAAAEEDGNRTATITAVVSEAADRDAVGGSDVTATGVRALCCTPDMASRGACTEGSLVHRAPNGTASSGSWPKVLSASFLPGALEAVFPDETVAVSRTGMYSLRFVHCDASLDVAAEGKTIWKNSRGYGYLPGRMAPLLAFYGAMSLAFAALAAFWFLRYTRFWREVTPLQNLVTVVIALGMVEVTTWYLDLAEFSESGVRPAGTTFWAATAGAVRRTVSRVLVLLVAMGYGVVRPTFPGGGGAGARVAALGAAFFAASEALEVGDHVGAVSDHDHSRTRRLFLVLTVAALDAAFICWIFAALSRTIGRLKARRMTAKVETYRRLATSLTIGVAVSLGWITFEVHFKSTDGYHSERWRVAWVIPAVWQLISFALLCAICLVWAPSHDSTRFACSDECGDDDGEDGARPVMIRAGPLSYVDNWACYVTQDAKIILRTDSGVYAKAGEEDKRV >cds.KYUSt_chr5.99 pep primary_assembly:MPB_Lper_Kyuss_1697:5:676586:677371:1 gene:KYUSg_chr5.99 transcript:KYUSt_chr5.99 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSTFAGKAVKNVASSALFGEARVTMRKTAAKAKQVASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVNFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >cds.KYUSt_chr5.1498 pep primary_assembly:MPB_Lper_Kyuss_1697:5:10176474:10176680:-1 gene:KYUSg_chr5.1498 transcript:KYUSt_chr5.1498 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFIPESAVSGSSGTGRNNQREGHQVNSPSKYHRVEPFPEFAECAYLANIDPSRVLHQDRVITFHD >cds.KYUSt_chr7.32188 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200454501:200459336:-1 gene:KYUSg_chr7.32188 transcript:KYUSt_chr7.32188 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAAAAAAPEAPQPPQPQPYQPLRLLLEGAGGSAGAAAKACRHHAYSRKQKSLGLLCSNFVALYDRDDVDTVGLDDAARRLGVERRRIYDIVNVLESVGILVRRAKNRYTWIGFEGVPAALNELKEKALGEMSGLVSPLLEEPSAANVSDDEDEDDRLGDADGDADSEKLSQSQSMELSQSQSMELSQSQSMETPSDKPDTPRCQLRSDHRKEKSLGLLTQNFVKLFLTMELETISLDEAARLLLGERHAESNMRTKVRRLYDIANVLSSLNLIEKTHQVDSRKPAFRWLGQAKRKEGNSVTVALPPASKIVSSKRAFGTDITNIDNKRGKFVSETENKGKLMQGDGSMLKTFESQLGQGKSSGFVYGPFHPAGARKHEIDDRTREKESKNIQDWESLATSFRPQYQNQALDRKGKAKVDYKTSGLAWIQNSFCDEFSQALVCGGESQIPSQVPDSQPTYESKFTPLHVSDLVAQVATEVAARLATSKKNKNRMEVERALKIG >cds.KYUSt_chr3.21508 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132032604:132037070:-1 gene:KYUSg_chr3.21508 transcript:KYUSt_chr3.21508 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSRLVALAALAVLCRSLLGQASAADGGEARALLALKAALDPTGRLLPSWARGRDPCRFEGVACDGRGAVANVSLQGKGLAGTLPPAVAGLRSLTGLYLHYNALHGALPRELAGLTRLTDVYLNVNNFSGPVPPEIGAMASLQVLQLCYNQLTGSIPTQLGLLTKLTVLALQSNHLNGAIPASLGDLPELMRLDLSFNHLFGSIPVRLARLPRLAALDVRNNSLTGSVPSELAKLEGGFQYGNNADLCGTGLPDLRPCTSSDLIDPDRPQPFSAGIPPRTSADGGHGHCTGTHCPPSTKALAAVVVLAVILLAATAAGLLAFSWHRWRKQRTAAGLPPLTAVGGRCSTEATTTMKEPSFRKSASSTLVSLEYSSGWDPLADGRSGVGFSQEVSPSLRFNMEEVESATQYFSELNLLGNKKSSNSKGSFAATYRGTLRDGTPVVVTRLGQTCCKQEEAEFLKGLKLLAELRHDNVVGLRGFCCSRARGECFVVHDFVPNGSLSQFLDVDGGGAGHAHGGHVLEWSTRVSIIKGIAKGIGYLHSSRANKPPLVHQSISADKVLVDYTYKPLISGSGLHKLLVDDLVFSTLKASAAMGYLAPEYTTVGRFSEKSDVYAFGVIVFQILTGKRKTMQLPFDSGSVDELIDGNLKGCYSATEVAKLAKIALVCTSENPDQRPTMEELLQELDTL >cds.KYUSt_chr7.28865 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179714530:179720591:-1 gene:KYUSg_chr7.28865 transcript:KYUSt_chr7.28865 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCIGTADTKLEELLFLAARLRSGLAAADSDSAPEVQVSIVDVSTTKTAPTQDSKDIPVIARETVLSCYPDANQQDLPEDRGEAIVLMSKALQSFLKNRYEGGTLVGAVGLGGSGGTALIAPALRSLPLGVPKLIVCTVASGNTAPYVGTSDLVLFPSVVDICGINSVSRAILSNAASAAAGMVHGVLMASGESDETDTKLTVGITMFGVTTPCANAVKDRLSKEGYETLVFHATGVGGKAMEELVRSGFIQGVLDITTTEVADHIVGGIMACDETRFDAAIDKNIPLVLSVGALDMVNFGAHDTIPSAFAERKIHVHNEQISLMRTTVEENKKCAQFIADKLNKSFSRVTVCLPQKGVSAIDAPGMPFYDPEATSALLDELNTRITKTENRQVKLLPYHINDPEFADALVDAFLSMDIKASGDITRKNNMVIPKQDANKKESCAGERTSDSSIIWRPPVDYPDARPETLQKTKSILHRLKQQIGDGIPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKEVPVLAGVCATDPFRRMDYFLKQLEAIGFCGVQNFPTVGLYDGNFRQNLEETGMGYSMEVEMISRAHNMGFLTTPYAFNPAEGAAMAKAGAHIIVAHMGLTTAGSIGAKTAATLDDSVVRVQAIADAALAVNPDIIVLCHGGPISGPREAEFVLKNTSSVHGFYGASSMERLPVEQAITNTMREGCAAWVDDTDTFAEAHTAALSLAAQGTKEIAGTPRRLATLEGANGKDDMHTVRASQEQALPSMAVLVVGRLSLMIARLTTNTPSSSSSTAARISADRITVHA >cds.KYUSt_chr2.3583 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21657718:21658204:1 gene:KYUSg_chr2.3583 transcript:KYUSt_chr2.3583 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVRFRLGFKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHAVRLVPYNPDFLDESVLWTESRDVGNGFRCVRMVNNIYLNFDALNGDKYHGGVRDGTEVVLWKWCEGDNQRWKIQPYY >cds.KYUSt_chr5.21966 pep primary_assembly:MPB_Lper_Kyuss_1697:5:143399631:143400068:-1 gene:KYUSg_chr5.21966 transcript:KYUSt_chr5.21966 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVAARRAALHLPEACRCNLETYFATPGRPGTNWRHVSPKPRRTSAPVSSWSIRRRRSSVRRKEPSHILGLIKNAATTEADRLRKEKAALKEQAARLHEEAVALGAGRRRTVKPPPLGTRRRVAGRTAPGRRKIFHLAANKYEP >cds.KYUSt_chr2.7019 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43888099:43889238:-1 gene:KYUSg_chr2.7019 transcript:KYUSt_chr2.7019 gene_biotype:protein_coding transcript_biotype:protein_coding MASHKLATLALLLALVGCVAHTCQASYGHPYPLSAPRKSTPPSAPALNYAYYYKTCKGAEKIVRDVVQAEIKRNRGIGAGLIRLFFHDCFVQGCDASVLLDKTQSSEQTEKFGLPNIGSLRGFEVIDKIKAKLEAKCKGVVSCADIVAYAGRDATYFLSNKKVYFEMPAGRYDGRISSANETLFNLPPPFADVAVLEAMFAAKGLSLDEMVTLSGAHTIGISHCSSFGDRLPRNASDPMAMNSRFAKSVTRKCKSGSSTVDQDIYTPNTLDNRYYKNVLNHEVLFTSDAALESSKTKYLVKQNLKPYVWEAKFKQAMRKMGGIGVKTKANGEIRKNCRLIN >cds.KYUSt_chr4.25495 pep primary_assembly:MPB_Lper_Kyuss_1697:4:160260561:160265635:1 gene:KYUSg_chr4.25495 transcript:KYUSt_chr4.25495 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNGGGGGDDHVIEGARAEGDTHPREEGLSKSRDRDREKDKDKERHRDRDRDRGRDRDRGRDRDLDKDRDRGKDQDRHQRHHREKREHRDRPDDHDRHRSRDSERRRDRERDGHRRHRSRSRSRSRGRDDHRSRSQSKSKRVSGFDLGPSSAQSVVPQFPTIPTPSQLPGSSIPGMFPNMLPFAVGQFNPLVMQPQAMTQQATRHARRVYVGGLPPSANEQSVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSGNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGSAQPRPEQENILLQAQQQVQLQKLVYQVGALPTKVICLTQVVTADELKDDEEYEDIMEDMRLEAGKYGNLVKVVIPRPHPSGEPIAGVGKVFLEYADIDGSTKAKTAMHGRKFGGNPVVASFYPENKFADEEYDE >cds.KYUSt_chr7.23920 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149110669:149118029:-1 gene:KYUSg_chr7.23920 transcript:KYUSt_chr7.23920 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAGGALQVAQELADCISLPDGTVAQLSVARSLTRADSVSIEPFSEDDWEILESRADLAEETILQQVGIVYEGMKFPLWLDGHNIVKFVVVSSSPKKSVVQLVPGTEVAVAPKTRKGKGQDVQKESSLKEQGKTKALLRVQAADRKYAHKFKSKGIELGVVLSFAVLIHPDTAARTSFGNLQLVTISSKSPPKGLTEKAKEAAQKKGVSVPKRTREVAVYILFSDTVAKGHVMLPHSVRHFISADTHSWVYVKKCSSNVKKDEHVMKMCPLHFNLHDNGDLGSHENDTWRNTGIPSENGNSFQKAHDNGHLMSADVNSISESMSEQKVLIKHWLIGQLKEMALHAENSEISSVILPANVLIHFEVVDGKLSRGGDFIYLLTIAFENPGYNNSQGNIEITWNTPTDDPENLELNFGRLELGEAISIDSVLDDGFSDAFKLTRSSLGWMDNAMSDVTKRLSVLLSSTALRLFNRLKFPFPGHVLVHGPRGSGKTALTRAAAKYFEDHKEILAHIIYMDCSKLAIGKAKETRQTIEDCISEALLHSPSVIIFDDLDNIISVSSDPQVSQSSSSSDSLVRYLTDILDEYKDKSRNACGYGPIALMASVQSLQSLPQELTSSGRFDFHVELRALAIPEREAILKHQVEEHELQCSEEVISEIASKCDGYDAYDLGILVDRAVHAAASRFVLPYTYLNSVNPTLVMEDFLTALHDFLPVAMRDLRKYAPDGNDGGWEDVGGLNEAVTIIKETLELPSKYPNVFTKAPVRLRSNILLYGPPGCGKTHIVRAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFDSIAPQRGTHSAGVSDRVVNQFLTELDGVETLTGVFVFAATSKPQLIDAALLRPGRFDRLVFCDFPRWDERLEILKVHSRTVTLASDASLEDVASLTEGFTGADLAAILTDAGLAAIHEVLDSREDGIPEGEPCISKELLMSVAMKARPSTPVDDKRGYDKEFGEFVSSRKSIATKVLLRTQFHSIQSAYFTIEYSSVPIRSIFTCNSCLSSVGFLGRLLKEMLCRLGNRKERRSHWLDYLLQC >cds.KYUSt_chr3.28785 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179660192:179663630:1 gene:KYUSg_chr3.28785 transcript:KYUSt_chr3.28785 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDDSRRPNPLPSALVSNLQSVLAARRPPPTEDATATAAETSAPAPATAVADDGPAKPVVLLTCAGGIQSPGLAALVDALVKGGRCDVHVCAPESDKPVCGHSITIRETVSATSVDFAGAKAFEISGTPVDCISLGLSGRLFAWSAPALVISGINAGPNCGYEMFHSSAVAAAREALMCGVPSIAISLNWKKDETKDSDFKDAAGLCLPLIHAALADIEKGTFLSGCLLNIGVPSSPSANKGFKLTKQSICSPAQSWQAVSSSRPTPASHFMGMHQSLGIQLAQLGKDASAAGAARRGNTQRKTVEVESVAAAGKPATREVVKKSFRAEFVEKLHEGLDEDIDLRALENGFISVTPLSIHGRVEPELETPASDWLSAALAVDKEKEASAVIAEEQDATDVAEEKESPAT >cds.KYUSt_chr6.29529 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187148431:187148955:1 gene:KYUSg_chr6.29529 transcript:KYUSt_chr6.29529 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGSTGSLSAMAAMAAAAGGTEEEVRALMEQRRAKRMLSNRESARRSRMRKQRHLDDLAAQAAHLRRENAHVSAALGLTARGLLAVDAENAVLRTQAAELTARLSSLEEIVACMNATANTNNATAAAVALTVAAAAATAATDPLLGGFDSAAFDDMFRSSNEMFMFQPC >cds.KYUSt_chr2.53544 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334033703:334035852:-1 gene:KYUSg_chr2.53544 transcript:KYUSt_chr2.53544 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAEAEETEVEDDDEFEWSDDDGPHPDETADRQRALVESFESEKKLRDDARAREEAQIRRAVELSLRAARAGRAEEDARRERHRPATAERKERRRAQEELRRRGGDDGAGLSNAPSGEHIPESQLDGARVPDQPPPPAPLSGRDCAGSRPPHAASSRPWRSPPRPSSRASSSDLTTCSDTSTDLAPTSAAVPDLATGMTCWYSSLVLEAFMINDSDEENEFGDRESGQFRKNLFPILEQLAVASQKTVLPKKTWGPVVPAPRMATSNHGNKNIIDKAKEYQKRKKNLEIPPCFRGRNLQFARDNPISVMPESIDVDVTHLTEHAAGLYLKIN >cds.KYUSt_contig_195.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:66954:67724:1 gene:KYUSg_contig_195.4 transcript:KYUSt_contig_195.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSLQFLDGRRDSASNPVPFSSDVYLLQFPDERCETSSTSQPGRRRGPARQIWVIGELWERRGGAPPDLLCLELSSSSSPASSPFQFWAASVSLDGIQRTTAGLESIAAQPQLTSAYSPARPRIRALRERADGEVWSLYSDYSRLDLERRPPSACHGRHFERLLTRFGPPLGDGRSMASMCSVVWALWEEDMLHELNDAVMASISRSGSTGARWEAMVFQFQSMGA >cds.KYUSt_contig_319.494 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3114801:3118803:-1 gene:KYUSg_contig_319.494 transcript:KYUSt_contig_319.494 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPPTPTHPLSPSPSPEASPRGRSDRWRRGRGSDSEDTPRSYRDALAGERAAARAEVPESSRAREMRSMVCREEESLAFDELDDADDPLEEEDDDAPWEEPSHVTRKRARGRRGGRKVAARAAHTGRVAVAYDDFQGLCLLCTRPGHRAAACPLDAARRLTSSAEEEATTDHRPGDETSVAFPKPEEGRHREPPGRRGAEDSPATTRHDHVAEDAGSTAVRVTPAASRIPKHQLAATGSAKRDMLDPARSDEHHPSERELQSTP >cds.KYUSt_chr6.11531 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71615328:71618060:1 gene:KYUSg_chr6.11531 transcript:KYUSt_chr6.11531 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITHYRVFLETGSLPNNLHKAAAPKPPPAAPLAPRRSPEKAIPVPPAPARTHTLPALYTTPETTSLPDSPSSFPGTWSPYLINHKRRGPGLVKTPSQGDVGSDLPKLPATLPALPTKKAEAVEAQGSELTLAQETSGATVEALDGHDEVMQNGKLALFGQEEQDQPEFEFRHESPDVFVRPVNVGRLVSNGTPRNLESDAFFELQDSLSVASNSEAEDTGGPGWWKPTSGSVGTPGAEFYDAFEEISSDGATRSSRALDDEFREMRLSMLTEIEGRKQAEETAEIWQKEWKKLSHHLSLIALSLPSPTVAEDSDDTSMDPGAELCQQITVSQLVAAAIARGFARVEVESEMESVISAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRNKRKMRQKWFWGSVGIAVTLGTAAIAWSYVPAGQPHAQDSNSTNSD >cds.KYUSt_chr4.20312 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127749631:127750542:1 gene:KYUSg_chr4.20312 transcript:KYUSt_chr4.20312 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELDELHADPLTPCTSAAWLGRAIGLAVAAQRRLVAESELATTAGIDRKTIDECVEDTAELLDACAGLRCRLDLIRSYASSMRIALHWLEGRGGCAATARRRAAAAFAECEAVERRCGAELAKCGSNLRKLGERALQTKPHSGGRAQCEESLAGARAAALLAVGALGVALAFRPRRSVPSIVHSAGSVKDGAAAPWECELQEVQKHVKEVYERRRKEGVPCMAELEAAAADGRAVKSALTTGGRHLPEAAVAAARRRCDELEENVFVLEESIGELHRELIGVRMVLLEWSQTERGHEVLRL >cds.KYUSt_chr6.10259 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63176473:63177012:-1 gene:KYUSg_chr6.10259 transcript:KYUSt_chr6.10259 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIQSQVAAPRIFCACVTDCHTLAPKLAPHIIESAHHVEGDGGVGSIGHYNCGSAVPFNVVKKKIQFLDVDKYECKYTIECDGIDMATWNIKIKPTENGGSVAKVECTYNCVEGKDMMLKAKDSGIDMFKTVEAYLIANPDA >cds.KYUSt_chr5.35337 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223281164:223283440:-1 gene:KYUSg_chr5.35337 transcript:KYUSt_chr5.35337 gene_biotype:protein_coding transcript_biotype:protein_coding MAHASSREISPDLASSQEDPEDITQRRLVSERCKELQQTGELLAQVTIKEGKGVERKQQGNMAGQTKHKEKEDSPFSLPRRHHPSDDELDLSAIMRSLQITIPEDIEIGYQGEEIQRPEESSPFRLLMKVASSSGTPRNIPLQALNNAMSRAWGEKYWIIDQIKSAMYVAYFRDDDAMDFVLKRQPWSVDGDNLLLEWINPREPDRNFDDYQFSKDRQFVSQVPQHHSKTRANEIEPSQASIAETSQPPQANVEVYNPSTHADINKQQTLNASIPQKRLKRQAETEAIPFEELRREHDRTRLKSTPPASTKFSDTAASPSIASEQHDVYHPPQLHYRQDTGPRVVSQQADHSLEQENQFPYKFREGTSQSPSAARKKVRPNKRNHCNQRRVENRENVALNLEHKGGVPDNYIAGVEQQTQLIDANHLISNIHANTTSASISPQVQGFQNSEQYKLWLQQMAVSSYGPPSEGNQHRGLLPPNLVGQACLVVDDTNQLHIHDNGDPLDNVETVNMDRTKENSQNDKQAAAPAFKAPRAQ >cds.KYUSt_contig_2087.38 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:230321:231218:1 gene:KYUSg_contig_2087.38 transcript:KYUSt_contig_2087.38 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSDVVPAAAMKLVGKVAVITGGASGIGERTARLFVKHGASVVIADIQDELGASLCAELGPEVSSYVRCDVTSEEDVAGAVDHAVARFGKLDIMFNNAGIGGAPCHNIRENTKEDFERVLAVNLVGPFLGTKHAARVMVPARRGCIIGTSSLASTVGGVASHAYTCAKRGLVGLTQNAATELGRHGIRVNCLSPAAAATPLATAYVGVDGEVFEAVMETVANLKGVGLRVDDIVAAVLFLASDDARYISGHNLVIDGGISIANTSFGIFN >cds.KYUSt_chr2.26562 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162713481:162714161:1 gene:KYUSg_chr2.26562 transcript:KYUSt_chr2.26562 gene_biotype:protein_coding transcript_biotype:protein_coding MEDILPELPHDILMDIFALLEIPDLARAGSVCPSWCSAYTSLCNLGQYKQSQTPCLLYTCESDARLYSLVEKRSYKLTLSGPPIHSRYLIGSSNGWLVTADDRSEMHLLNPITMEQIALPSVITLQSVAPILDETGAVYKYNFWNRATRPPRTFALDELRRYLHRKAFVFYDTSAKRYIVVLIHSPRGQLSFAWLGDSKWTCLPPDRYFHDCVYKDDLLYAVAGQG >cds.KYUSt_chr1.12272 pep primary_assembly:MPB_Lper_Kyuss_1697:1:75752357:75755978:1 gene:KYUSg_chr1.12272 transcript:KYUSt_chr1.12272 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSREQRAGEQRVHRLSRVPVEYRHEELDESQLGVEPDKSNAAVQAGEVRNELVRVHGQASAKAPTRTCPRREREPGGGADLKLSELSFKLKKTVGHGWQERRQPCLLFRVLQQRRQFSKDIRKLEDAITDAKGEV >cds.KYUSt_chr4.4856 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27819562:27820005:-1 gene:KYUSg_chr4.4856 transcript:KYUSt_chr4.4856 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMNHYRDQAPLSLSLSLGAVAERKKKLRRAGAAGGAEGAAGGEFTCKTCGRSFPTFQALGGHRTSHLRGRHGLALALAGDHYSLKPKKTTSSDDQKPAAVHQCHVCGLTFEMGQALGGHMRRHREEAGVGVVAQAPPVLLQLFV >cds.KYUSt_chr2.7077 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44318770:44320486:1 gene:KYUSg_chr2.7077 transcript:KYUSt_chr2.7077 gene_biotype:protein_coding transcript_biotype:protein_coding MLISAHNMTSSPDPTSTTLVFLPVDSAAAAAATHRRPPFACACLRWFGSPEEQFLGAGNPPTPTVSLQPQSPTELTVRAFDLPRRGASTAAPRGLGQFSPRRTAFQGARMENAAGGRGALIVLEGLDRSGKSSQCARLLSFLQGKGCAAEGWRFPDRETSVGKMISAYLANESQLDDRTIHLLFSANRWEKRGLMESKLLGGTTLVVDRYSYSGVAFSAAKGLDIEWCKAPEVGLLAPDLVIYLDVQPEKAAERGGYGGERYERVEFQKSVAEHYHSLRGSTWKVVDGSLPMETVEEQLRELAMNCISECQEKQLTNLAW >cds.KYUSt_chr3.48070 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300982881:300987663:1 gene:KYUSg_chr3.48070 transcript:KYUSt_chr3.48070 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAHSCLGETLLLLLYSFMFLSSVSLAQMPGFVSIDCGGTANYTDELGLRWTSDASLIPSGTPISLNTTSSSEKRTQYTTLRAFPADDKKYCYTLNVTIRTRYLLRATFLYSDFDHNSNVFPEFDLYLGATRWSTIVIYDDSRIVTREAIVLAPTNTISLCLSNATTGQPFISTLELRQLNGSLYYTADEASYFLSLAARINFGAPTTDPVRYPDDPYDRIWESDMVRRANYLVDAAPGTINVSTDKPVFVSTNERPPQKVMQTAVVGSLGELTYRLNLNGFPGNGWAFSYFAEIEEFVVPETRKFKLFIPGLPDVSKPTVDIGENAPGKYRLYEPGYFNASLPFVLSFAFKKTNDSSKGPILNAFEIYKYVQIELGSPDAPAMASLVSRYTFFADWAREGGDPCQPSPWSWVKCSAEPQSRVVSINLSGKNLTGSIPPELAALPCLAEIRLDNNMLTGPISDLTASSNLSVIHFENNQLTGSVPSYLSSLPKLTELYLQNNKLSGDIPKALISRGIILNYAGNSLQVGKQEKHHLIIIISSLLGVSLLLVVSLCCYVLTRKAIKKNSPEDDLTKVLPEQKLQKSSALSCEIATETAHPFRLCDLEAATKNFANRIGSGGFGIVYYGKLLDGREIAVKVPTNDSYQGKKQFTNEVSLLSRIHHRNLVAFLGYCHEDGRNILVYEFMMNGTLKEHLHGRDKHISWIQRLEIAEDSAKGIEYLHSGCTPSIIHRDIKTSNILLDKQMRAKVSDFGLSKFVADESHASTNVRGTLGYLDPQYYTSQQLTEKSDIYSFGIILLELISGRPPISTMTFGEHFRNIGPWAKFYYESGDIEAIVDPAIAGEYHDVHSIWKIAETAVRCIDADARRRPCMGEVVKEVQEAIALERPRSEAASDRRTPPSFPFSPAGARSGTVRSHDMVMDNLLHDDSSFSETLKYPELR >cds.KYUSt_chr1.27835 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167840701:167849727:-1 gene:KYUSg_chr1.27835 transcript:KYUSt_chr1.27835 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVSSGDDGGDDGGDDDDGDGDDVQLDDGDDGVDFPLPEGISRRIPRPPESSFLSGVLRLAEAVSNSSRGFGSQNCNCNELDVQYTMATEVNQNCFAWPREESPVQDSSQGTTQVFDHGSISFGRFDLESLEWEKWSVFTNDRRTEEFVKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELNLEYSGDGSDSSQTGEDEPVAKHASPAGSGTHVDDCMGQIAAESTSEHGLGCYNDHNKRLSNGISSATHSSSAGGLQMIGEETGENASVENCSDRMDMLQQNAKCSQDDLVMPHETKVNLKRTIEKCSPISQASKIIPRTVKMTSSCVPDQAFINKGTQSSNSTVINQKTKPGNVQSLRKPRAATSNVGGTTVRSKLVTKEDPGVIALRRPSSAASQRPSSRERRPVTRDGSRGSASMASPCRPSTAQRRLATRDLAENQTSIASPRRPSTADKRPITKELAPKDANIATPRRPSTADRRPITKESAPKNANTATPCRPSTPDRRLTTKELTPKHANVATPQRPSTAERRPITRESAPKLGGAANPCWPSSAQRRPVTRGIVHTNAEVVSLHRHSTAERRPLTRETAPKHGDVIPLRRPSTAERRPVSRETAPKPADAITLRRPSTAERRPVARDSVLKHANVGGPGCPSTPERRLGRESAPKHAAVAITPCRPSTGEKRPTAKGSSLKLDPKTPIRLRGLPDNSNGAMVTAATPKKAVTPNLVKARKPEMKSYVQERLELQVGGKQKSSSVNLPPRKIFSSDVRANRVVEKARKPNKQGIQDIVGSRVSASKNATSLQTGSGKTRAPNGFYSTLALTQLQVSPTRILENKIALNPRS >cds.KYUSt_contig_2792.77 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000505.1:332099:336344:-1 gene:KYUSg_contig_2792.77 transcript:KYUSt_contig_2792.77 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGLCIAVCWMGEAAATMIPEEVSDSSSDELSSTSLTKKSIDYVRIAILQEGTSLIQKTKYEATKSEMNLFMIKNGETLFDAYSRLDALSMKIKGIGCDEYQDGFDVNDETIKSKTISIIAMDDKQLALNLTLLHAQNKFSPDNLVSYFVSTKNMAKEGKRVEELNHVMDSTHSIAFNAKVVQANEDPEDIEEDDDMTSTGDIHVDLAFFATNWNKNFEEIKDKPKYERGAKPRLKPNPINERYKKKRREGKTFVGYECASDEDSEDEQKMVVVDTLALAGPGSLFTYEYTKDYSDNSDIPKKSDTCLMAR >cds.KYUSt_chr3.11113 pep primary_assembly:MPB_Lper_Kyuss_1697:3:66138101:66139894:-1 gene:KYUSg_chr3.11113 transcript:KYUSt_chr3.11113 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVHCFLFLLLAITSYPVVLASPHKLRLSASEVAAIEARAPPPPDQPTTFFEVDRPHRPPPGSFGPCSTVLLSHSFAYTYTKPPVTAAYSPPPCLAAAGGHASLISLAVLEWRAACRGVQYDRIFGVWLGGAELLRGCTAEPRANGIVWSVSKDITKYNSLLAARSPSTLAVYLGNVVNEQYTGVYHANLTLHLYFRHPPQPPQPGLGPADVIVPISQSLPLNDGLWFQIQNGQDVGSASLAVPTNAYRAVLEVYVSYHADDEFWYTNTADSHGPFREVTVSIDGDLVGAVWPFPVIYTGGINPLLWRPITGIGSFSLPSYDIEITPFLSKLLDGKAHEFAVSVTNAQDVWFVDANLHLWLDPRGAPTTAGLTSYEAPPLDTSIAFRPDGPGDEFYYTTAFRRISATGWVQTSSYGKITATWTQRLGYENTNEVQGDSQQVVNQTTEAYSGVHVTDRAGVAYSQEAQQSFRLDIFVGVVNESFNGSYTVARDVRLGLAEERVAAGRGFFWSRSLGNAQDSAVNIDVDDEGDAVGVSWGTRQRYGYEATDGCYSRDVTSSGYAIVSDHSDEACLKGSFSSRADAVAPPALARMSS >cds.KYUSt_chr3.18125 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111305775:111307454:-1 gene:KYUSg_chr3.18125 transcript:KYUSt_chr3.18125 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGCLLVLCLVSPLLLAGTVRGNPWYGGGGLFPQFYDHSCPKAKEIVQSIVAQAVARETRMAASLVRLHFHDCFVKGCDASVLLDNSTTIVSEKDSNPNKNSIRGFEVVDQIKAALEAACPSTVSCADILALAARDSTILVGGPYWDVPLGRRDSLGASIQGSNNGIPAPNNTLPTIITKFKRLGLNVVDVVALSGGHTIGLSRCTSFRQRLYNQSGNGLADNTLDVSYAAQLRQGCPRSGGDNNLFPLDVVTSTKFDNYYFKNILAGRGLLSSDEVLLTKSAETAALVKAYADDVHLFFQHFAQSMVNMGNITPLTGSQGEIRKNCRRLNNFH >cds.KYUSt_chr4.52530 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325835258:325836895:-1 gene:KYUSg_chr4.52530 transcript:KYUSt_chr4.52530 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMLVYLTTVYNMQSVHAATLLNVFSGTSNLATVAGAFVSDTYLGRYTTLAAATISSFVGMVILTLTAALHYLHPQTCNAEAGEQCQGPSGGQLAVLLASFFFLVVGAGGIRPCNLAFGADQFDPRTAAGRRGIASFFNWYYFTFTVAMMLSATVIIYLQSDVNWALGLAVPAALMGLSCAVFFMGTRIYVRVRPEGSPFTSFAQVLVAAFRKRRLLLSDTTSSLFDPPHRSKLISKLSYTDQFTFLDRAAARTPKDALCADGKSPANPWRLCTVQQVEEVKCLARIIPVWSSGIVYFVVLTQLGTYTVFQAAQTDLRISHASNFQIPQGSFVVFNMLALTLWIPVYDRLLVPALQRITGREGGISLLQRIGVGLALSVATMVVSAVVEDRRRRISPSSPMMSCFWLVPQQALAGLSEAFAGIGQIEFYYRQFPENMRSVAGALYFLGFAVASYASGFMVTVVHRSTSWLAQDLNEGRVDLFYLVTAAIAAVNLVYFVACARWYRFKKSDNDDASAGDIGLDDNIGSKKVSTNAAPAPAPVELV >cds.KYUSt_chr3.34165 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214286641:214287906:1 gene:KYUSg_chr3.34165 transcript:KYUSt_chr3.34165 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDDILANYGCDHDRRSLDWEVVECRRDAVGCEPADKHILDGISLCVLRGDDDPELYPDMSVRLTDDAFNQVGMMIDDDTADISGRHTSVSCSVCAVEGDAMVMRLAFRAHHVTGPLRAYYLVYDSGNASLFMLPAQAPQFRSTGRSCPLPLRREDGGYTVALLGNRQCDGSAALCLWSLPPVPSDISQNADMDQWVVKGSHHLNDRSFDAHMAFSCNGNAVWGDLTQGIMYCSYSDLLNGGDDSVNFEYMRLPEKHRIPYHQAMMMGEMRFHRNIGLVGNSIWFVSITQSDGGTGDTMVEVWTLNLTQPLSKEEKMEWETLIVFRMQDIWELDTFDKKGLPKSLPMFPILRQQDDGVLYVLLPNVSTGCEGYLVGMIGIDVGRSGGKRPQIVSSRYLAVPWMRRPVVMPLDFFGPRDMV >cds.KYUSt_chr4.52436 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325260394:325260717:-1 gene:KYUSg_chr4.52436 transcript:KYUSt_chr4.52436 gene_biotype:protein_coding transcript_biotype:protein_coding MARQHPRSRLETAADAASWCLALSALAAVLLVCATGEEGETVRGASLSARWRPCEEMYVVAEGETLHGISDRCGDPYILERNPHVHDPDDVFPGLVLRIAPSIPKPR >cds.KYUSt_chr5.23238 pep primary_assembly:MPB_Lper_Kyuss_1697:5:151455607:151455870:1 gene:KYUSg_chr5.23238 transcript:KYUSt_chr5.23238 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPKGAFTATTYSSEAAANSAEERRQKPARTVLGSSEDEHGLPVKRLEDKVEDATGKGGPVFGAGKEEGKPDLGVTGTGGG >cds.KYUSt_chr7.36828 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230123817:230124377:-1 gene:KYUSg_chr7.36828 transcript:KYUSt_chr7.36828 gene_biotype:protein_coding transcript_biotype:protein_coding METDATAFYPPPPAHQRHPTAAQPLSAKPPPAGGVAGRAAAGRQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYATAVAAARAYDTAVFYLRGRSARLNFPDQLLDGAGVTVAAGPGDLKEGGGGGLSAAAIRKKAAEVGARVDALQSCGGGSPVSPPPPSPSCRRGRAKHPDLNREPTPDTDDDE >cds.KYUSt_chr1.39576 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242110404:242111483:-1 gene:KYUSg_chr1.39576 transcript:KYUSt_chr1.39576 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAHQQPGGAGGAAKLKPTPRALFSCGIFSTCTHPALSPTATPNNNLAVGNGGALKSGVGGSATPCTDGTASPLAEAVAAAALPSPPQWYQKQKAVQGVNSNGNGAGPSSSSSSSSSSASQSFTQWRLPVHHPPHASASAAGKVPVGADHALMVSAEEKFAAGEVVAALRAVEREMEVAASAKAVPPGVVAGVVAAVREPATARLAAKVLLVVLLEEGNREAALDAGAASAAVEAVAASGPAGATAERALASLELLCTAAGGAAAVRREALAAPVLARAVEGMSGRGRECAIGVLAAIYGGNGTEQGASPPPPEVVKAVVGAMQGECSARGRRKGAQLLRALQENGCLGLAWDGVGGS >cds.KYUSt_contig_1993.499 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:2851135:2855152:-1 gene:KYUSg_contig_1993.499 transcript:KYUSt_contig_1993.499 gene_biotype:protein_coding transcript_biotype:protein_coding GAYRDDNGKPVVLDCVRQAESRIAGNLNMEYLPMGGSIKMIEESLKLVYGEESEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWSNHHNIWRDAQVPQRTYSYYHPESRGLDFAGLMDDIKNAPNGSFFLLHACAHNPTGVDPTEEQWREISYQFKLKNHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSVLCEDELQAVAVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLREVKGMADRIIGMRKALRENLENLGSPLSWEHVTNQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVAYLANAIHDVTK >cds.KYUSt_contig_915.279 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:1835604:1836563:-1 gene:KYUSg_contig_915.279 transcript:KYUSt_contig_915.279 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEGTLPFEVLPLEGEQDWRFGRPAGPGSTEDDLELGAPGLPRVTEVGLSGSEVLRFGGASSEKPGISEGFHGLDLTVGELPLEGAGAVVVAADAEVLGIVGPGLEIEEDRTGLGKLLCWVAGAGAEDRLVGVDDLDAALLWAGKEGLDKGVVDLVAGIVDLEVGVDDLLAGADALPAGIDVLVALPEPAVLDGVVDLAGGCVDLAGGCVDLAGGCADLAGGCVDLAAGSVDLEVGVDDLGAVGPVLPGRVARELGVDGLEPLDVAVSAARPVGVEGLEELDVSPPDDDGRRIVAAEFKLFDDAVLNGSVALGGGSA >cds.KYUSt_chr7.37886 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236247144:236250755:-1 gene:KYUSg_chr7.37886 transcript:KYUSt_chr7.37886 gene_biotype:protein_coding transcript_biotype:protein_coding MAATESARRRGQRKAKEAAVGAAARALFYPTLLYNVVRSKVQAEFRWWDEVDQFILLGAVPFRKDVPRLQKLGVHGVITLNEPFETLVPSSMYQSRGIDHLVIPTRDYMFAPSLVDINQAIDFIHRNTSCGRMTYIHCKAGRGRSTTIVLCYLVKYKNMTPTAAFEYVRSKRARVLLTRSQWKVVQEFSTKVVGAAPSKTQDVVLSTEDGSGSPGVIKENASLSSHKTTPSRPMMKMLSCLLPSPVRSGNSLPRDDLHT >cds.KYUSt_scaffold_1700.179 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1237166:1244391:1 gene:KYUSg_scaffold_1700.179 transcript:KYUSt_scaffold_1700.179 gene_biotype:protein_coding transcript_biotype:protein_coding MNPILLMPGTTLLGPIRSLWHLAMAFTAKQDCLPFDCSTGGIGKNFKVQAQGEMATLTSLTSNANPNKSFEVLPNPGDSLSSLSFSPKSNLLVATSWDNQVRCWEIANGTSQAKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQAQTVAMHDAPVKDIAWISQMNLLVSGSWDKTLRYWDTRQANPAHVQQLPDRCYSLAVNYPLMIVGTADRHIVIFNLQSPQTEFKRIQSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHIDDAQSSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPQPISCSTFNNDGSIFAYGVCYDWSRGAENHNPATAKTSIYLHSPQEAEVKGKPRIATGRK >cds.KYUSt_chr5.39999 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252785387:252786668:-1 gene:KYUSg_chr5.39999 transcript:KYUSt_chr5.39999 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAVWLASGWALPSIDCTRGAAAPPRHQARQLRAASKVSAACEHRHPAVRCLDGVLAGSPEGRDGVDEVVRACGTSRTDDQVLNIYDGHIKL >cds.KYUSt_contig_988.530 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:3093101:3095606:1 gene:KYUSg_contig_988.530 transcript:KYUSt_contig_988.530 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLLGLLALAVLLAAADAGVTSAYRRKLEATADMPLDADVFRVPPGYNAPQQVHITLGDQTGTAMAVSWVTPDEPGNGTVAYGRSPEKMALSAQATLTRYSYYNYTSGFIHHCNLTGLKHGVKYYYAMGFGHTVRTFSFTAPPKPGPDVPFKFGLIGDLGQTFDSNSTVSHYEANTGDAVLFVGDLSYADNYPLHDNNRWDTWARFVERSVAYQPWLWAAGNHELDYAPDIGETTLFKPFTHRYPTPYRAAGSTEPFWYSVKIASAHIIVLASYSAYGKYTPQWLWLSQELGRVDRKTTPWLILLLHSPWYNSNNYHYMEGETMRVQFERWLVNAKVDVVLAGHVHSYERSRRFSNIEYDIVNGKSTPAHNLDAPVYINIGDGGNIEGIADNFTKPMPAYSAFREASFGHATLEIKNRTHAYYEWHRNHDGAKVTADSVWLTNRYWMPTDDSN >cds.KYUSt_chr2.46590 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291261866:291263075:-1 gene:KYUSg_chr2.46590 transcript:KYUSt_chr2.46590 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTHSTTGCTRYAAFAGSTLQMYSIKVTEIKDALGLQWPLHVYGMVAARDTVDDNRNTIFLRQRGSCQILTKEDSCLHLTGPSRAIVATGHVDFDIELKVRGTTKSKDRVLMSRTFKYNSIYSCPRTTLCGEFCQIELQFEKLENTVQATIVGVRVTVPRRTWPFKNGGLVTCVAKGFSKPGELEGRVAKPDVPKDKHELSKEVVLQDQVMANHSDGYVDLSRHVVSVELGGKLEVIITEWSQSHAFIKHKVHVFFEAQRSKISRKTCYIGHSTVEVTVAWSLLLQDEGEAKRWDEASPRISISKLETEEAKEMGSEAKGMVRSELYSFLDLISKNVMKLKAESKLRINSYSRNEIQIHVDDMHR >cds.KYUSt_chr3.6988 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40292628:40303584:1 gene:KYUSg_chr3.6988 transcript:KYUSt_chr3.6988 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRWQVWDGPDGRLVWIPAPDSDSDAPPTAAANPPLPLPAPRAKGTAAAAAAASSDDAPVQGPGAADGTRVESMSDLLVQARSELLEGDGVIGPTGDAGKGELFCTGSGRSVSVSESAIRRARALVGEEEASVKKRKQPFGDAADVEGEMDDSFRGALHNGSMTPVFQTGSGKAVSLGKDSIHRARAILEDVDSAAGAVQPMFRTGMGRPVPVSRTSIDKATAVLEGKTIAEQGVDGMEQFPLFQTGSGRVVSVSVASLQKAKSVFKDNNTCGENAESFGRPDQPMMFQTDSRRPVVISGRSIERSRGAAKEGDMEKSGHWDTDCQFPMFQTGLGKPVAVSRSSVEKARAVLEKEKNNKTDSGHGGVSATNFQNETPRSVLMSSSSIMSDRTVTPNGDSVMQEKNHESGNHLPLFQTGLGRSIAVSKSSVKRASAVLEPRNIAKELEDEAHLDGDHDTPVLKTGLGRSILASESSGKKVPVILEAEEAVKGVNNDNGEAFGEDTSFQAGIQMFVPQRRSSSRKASILLEQQNFAEKGYGDCGSELPMFQTGSGKSVLISESSVQKARAVLEEEGNINKDNHKLLNMDQKFTVFTSPLKTSCARTVNISSVGVSRAASLLGLEENTLSTQFFGHVGDKLGTKITVERENPDQRLDLASRTTENQVHKEPHLPFELSNNTVFDSGEHSIRFSTAGGRSMAISSDALQRAKSLLGESDVVSTNKPTDYSLAAGCKDEITNSTVAPKGGGSDLSKISRASGKPEIATFSRQGMSDRKHTRSFGHAVPDTPATNENANSFHVGSFSISEFSKIPKPSSRVLSEADNVDDTKDKTQRLHMPAGVLVDITNFMGTHSGNIDHVANEKRRIGGRNSPSPFKRPRSSRFIAPIITNKKSSAGIPKLPSSQTTSCRTKLSASYPFQHKRKTWKEYFGGPPCFSFLTEHPTDEVKLMDAKGAEKYKFHDTDTGAEEFQRMLLACGASLTYATKEWVNNHHKWIVWKLASLERCYPTKAAGKFLTVANVFDELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAVYSHPDVSKSKGEAGRRDENENSIDNKSLLAAKINAPAQIELTDGWYALEASLDAALSEQLQKRKLFLGQKLRIWGASLCGWTGPVSFHEISGTVKLMLHVNGSYRARWDDPLGFCKHVGPPLAFKCIKASGGRVPRTLVGVARIYPVLYKERLSDGRSVVRSERMERKALQLYHQRVSKIAEDIMSEQDENCGNSDGSEEGAKICKLLERAAEPEVMMAGMTSEQRISFSSYQAKQKEARQNEVAKKVENALEVAGLSSRDVTPFVKVRVTSIIQKISASETINKEGLITIWNPTEKQKADLVEGQIYFVTGLVPSAYCTGSLYLHARGSSTTWKPLASAQAADFEPFFTPRKAVELSLFGEIPLASEFDIAGVVLHVGDVYLCSSQKRQWLFLTDGSKFTSAQHSAEQDDCLLAVSFSCRIAGDDSVFFSHALAGNTVGFSNMVKRQKDQMNRIWVAEATESSTYTVSHEISKKSHLKEAAICAEKWASSSHAKIQQLKERVLCIIGDSGG >cds.KYUSt_chr1.40610 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248990992:248992630:-1 gene:KYUSg_chr1.40610 transcript:KYUSt_chr1.40610 gene_biotype:protein_coding transcript_biotype:protein_coding MSNADRDPQLHLQPQRQPPIKSLRRALELVPDPLALPSAPTPVTAAMSSPPPLLSDEGMNRVRRKKDFSHMDRVNGRPVNILQGLELHTAVFSPEEQRAIVAAVLDLQDRGRRGLLRERTYSEPRKWMRGKGRATIQFGCCYNYAVDRDGNPPGIVRDSAVDPLPPLLAAMVRRLVLWRVLPRACVPDSCIVNIYDVDDCIPPHVDSHDFLRPFCTASFLADCDILFGRSLRVLGPGEFGGAGSTAINLPAGSVLVLGGNGADVAKHCVPAVPAKRISITFRKMDAGKVPFGFTPHAMLQNLSAAAPPAVLRPGMATTPPKNTGAAEEQSPGGVPFSLSTDEFPSLGASSPAVRPAMASTPLYYRPQSTGAAHQESQSAAARAATPKQQQQAATPLNKHQAAPVTAGQSPGGVPSFGLSTDEFPALGASLASGRRRPGRR >cds.KYUSt_chr4.26223 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164885430:164887565:1 gene:KYUSg_chr4.26223 transcript:KYUSt_chr4.26223 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRCWLIKPTFWNVKFRWFQQLATVRLVASHGTDGGQDGVYQSQSKIFTLNITKHPIMAATPEELLGLIEPAPPSPSIFLDHPSMTHDGSEPPQDDQTLAYISRMLMEEDIVDKFFYQYPDHSKLLQAEQPFAQILSGAATNSSNAQESLAPDAIASTMLHSKGRNTDIMVSRFFSGEVQDPTFFVNGTCVVEPNSMVFPSEGSASIDMVSSIAFFKGIEEANMFLPRDNGVADDRGRKHRFDMSDETEADLGRRSKQIVVLVHTDAEEEATALEKLDRLILNGYDMYPNEMQEVVRLTLDKENKAAQLSIYRRGRRGVRQTAVTDLETLLIRCAEAVASNDQRSGRDLLERIKWHSSPTGDARQRLAHYFAQALEARMAGTGSQLYHALMGKRTSVVELIKGYHLYMAACSFLKVALLFSNKTIYNAVAGRRKLHIVDYGINTGFQWPELLRMLADREGGPPELRITGINYPQPGLHPAEQIEEAGRRLSNCASQFGVPFKFRAIASNPEAVRVEDLHIDPDEVLVVNSVFFFRIVMDESITFDKVSPRDMVLNTITMMKPSVFIQSVVNGSYSAAFFMTRFRETLYYFTSSFDVMETTIPRDNEKRLLVERDIFGRSAMNMIACEGTDRVERPQNYREWQVRNQRAGLRQLPLDADIVLMLKDIVKKDYHKHFTINEDHQWLLQGWKGRVLCAISTWAADDASGFEVT >cds.KYUSt_chr1.31691 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192243485:192244518:-1 gene:KYUSg_chr1.31691 transcript:KYUSt_chr1.31691 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDSGRSGSFVLRFGGVSLGKSGISSGRTGARGRGPGELGRDDGVDEGISCERTGARGRGPGELGRDDGADEGISCDRTGARGRGPGELGRDDGAEDGAEFLPLLAAGRFIDVVKLVLLEFRPKEGKRPRAGADERAAAWRVGVDDRELERLIEGTVPEDNEVDKPLLEEAPGRVVAGIIPVDALGRLIEGIEPEDELETIRDREFGVDGLELWVDVVLAAAASVVELDVG >cds.KYUSt_chr3.12146 pep primary_assembly:MPB_Lper_Kyuss_1697:3:72522755:72524368:1 gene:KYUSg_chr3.12146 transcript:KYUSt_chr3.12146 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEARVVCCADEAALCARCDREVHAANRLAGKHHRLPLLSAASSQSNSPAAVSTPNCDICQEGHAYFFCVEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGLQPDDHDQEFEPEPEIEPQPEPPNTISSAPLQPPPPCNKKRSPAPLYGDGDDIDWPAGPDVGITGTLPDWSVIDDQFGSCSPAMRPAEPAVIKAPPKKIHRGPVTAASAALFGGSMPDWPLDEFFGFAEFNSGFGFADNGTSKADSGKLGSPNRRSISSSSSGAATQNAQEFFGQVPDVHWSSVPELPSPPTASGLHWQGDPHYGSSDTAAVFVPDICSPENPFRCFSAAGDSQLKRRRRC >cds.KYUSt_chr3.26316 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163769239:163775571:-1 gene:KYUSg_chr3.26316 transcript:KYUSt_chr3.26316 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYKEGWLGRGGRHLCAWGNDAASEWSVLTGYLLNEYQQTAWEALYAVTTTQTRNSESTDLKCHCRMSIVLRNLALSRNKDTNRVLRSHAYDWLALLLLVAVEVLLNAIEPFHRFVGAGMMADLRYPMKSNTVPIIAVIAPMIIFTVVYFQRRNVYDLHHAILGILFSVLITGVLTDAIKDAVGRPRPDFFWRCFPDGIAVYDNVTTGVICNGIASVIKEGQKSFPSGHTSWSFAGLGFLSWYLAGKITIFDRRGHVAKLCIVILPLLVAVMVAVSRVDDYWHHWTDVCTGGILGTVVASVCYLQFFPPPSDEKGRLFLSLQCMPAITWIHDRVLASRALQAHHREPRREQNAVCYRNE >cds.KYUSt_chr5.6863 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42489480:42490014:1 gene:KYUSg_chr5.6863 transcript:KYUSt_chr5.6863 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPSPRPPSPNQAGGSGGKTSSSEEPTYEYWQRAGFGMEQQFPMPNFGWRPRMDEPEGEGHMSTGSGSRSFWSSAHDQDETQQSYQDWISSQQTNSTSGSHARCGQHEQGYMLPPRHRQPPVRMYSPSPFQARPPPRRGGGRGRDQ >cds.KYUSt_chr4.3794 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21679903:21680358:-1 gene:KYUSg_chr4.3794 transcript:KYUSt_chr4.3794 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAEPATGIPVGGAPAAGTWSSGLFDCFDDCGLCCLTCWCPCITFGKVAEIVDRGATSCGTAGALYALLATLTGCQCIYSCTYRAKMRAQYALPDGPCGDCCVHFCCEPCSLVQQYKELKARGYDPDIGWQLNAERGNGNAPAVQMMGR >cds.KYUSt_chr7.7291 pep primary_assembly:MPB_Lper_Kyuss_1697:7:43824572:43827172:-1 gene:KYUSg_chr7.7291 transcript:KYUSt_chr7.7291 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHEMAAVGRYAELLAGCRGDAPDRADPGGADHVGPAPAQRGVPRRAHPGARQFRPPARRAAALRTPPPRGPPPPPPHTLPSLLKSLALSPAVPGVRRLALAVHAHALRLSLTGFLLVNNALIRVHAGLLGRIPDAHLLLRTSASVDASTFNTLITAHARAGRLADARSLFDEMPTGNVVSWSAMVNAYVQARDGREALLVFSRMQDLQEDIHAAARCIDLLKFCVNTILLKRIPGRWRLGDSACPRQRAAPLYLSIFARYMDIAFFGWSEIQVWEHHIDLIVVGSLRSARCQSSTTWARSCLGWENNPEEIVLQELQVEEV >cds.KYUSt_chr7.25204 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157223383:157231171:-1 gene:KYUSg_chr7.25204 transcript:KYUSt_chr7.25204 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQPKDDIDIFEPTVTLDQTHYQEGYKNGYDDGLVSGKEDGRQVGLKMGFQVGEELGFYKGCLDVWMSAIRLDQDAFSARVRKNMEQLAALLSNYPLSDPENNQVQEMMQNIRMKFRVIMASLGAKLDYEGNGFTQIGDHGKAPPSIEVPELSFDELKEKTDDFGSTALVGEGSYGRVYYAVLENGTHVAVKKLDTSADPEPDDEFIAQVAVISRLKHEHFVDMLGYCLEGDQRLLAYEFATMGSLHDILHGRKGVAGSQPGPALDWMQRIKIVVDAAKGLEYLHEKVQPSVVHRDIRSSNVLLFEDYKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVILELLTGRKPVDHTMPRGQQSLVTWATPRLGEDKVKQCVDPRLNGDYPPKGVAKIGSCGVMDHRRHRKTGIGSAAAATAYRAKFARSLGSIA >cds.KYUSt_chr5.26270 pep primary_assembly:MPB_Lper_Kyuss_1697:5:166370933:166374450:1 gene:KYUSg_chr5.26270 transcript:KYUSt_chr5.26270 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDRSVAAAAARILLTLRTRTLTEWPDWILPPSKELAAPAEREAELPPLPEGWPKRPRDPVPVSLRPNGWSPSWVGLLARLWQPVPSRSGASSSEEERAWLHPRVRQARRRSASGSAPSPSVKVGARKEALMAASSPETPFDYAIAARSGASSSGDEGAKRKASRSSGDEGCSSPAKRSRRDAHAHGQDAATAVQVAVPKIEDNSRDEKGHLLFDLNEDISIACPLLVCRADQSVTTIDVSCQFSLMPTTCLMECPSSEATVDVFPKLAASGESDGDHDTVGVAALTPATCSTGGLAHAHNGDNLAAVSLVLTTTTPSPKPLQRPQQPGHGNHFLSSNWTPWCRQGVRQDGLIMALLC >cds.KYUSt_chr1.16317 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94812097:94813651:-1 gene:KYUSg_chr1.16317 transcript:KYUSt_chr1.16317 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARGFRKKGYIPLTTYLRTYKVGEHVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIKKRIHVRVEHVQPSRCAEEFRLRIAQNDKLKAEAKARGEVISTKRQPLGPKPGFMVEGTTIETVTPIPYDVVNDLKGGY >cds.KYUSt_chr4.25920 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163034126:163035538:1 gene:KYUSg_chr4.25920 transcript:KYUSt_chr4.25920 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPMVRVVSSRTVKPPPRPRERIELTSWDVSMLSVNYIQKGLLFAPPADPALPVVDHLAAALADVFAEYFPVAGRFITDKHRDDTGNVVGCSVSIDCDGQGVEIIHAVADGVTIADVIPPDADVPRVVRSFFPLDDAVNYDGHDAPLFVAQVTELADGVFVGFAYNHALSDGTAFWDFLNAWAEIARSSKLLAPADRPRLRRSPLLERWSPDGGAAAPIVLPCADVSELVERLSPPPLRERMLHFSAESLAALKERARQELLAAGDTAGAAAVTRFQALTSLLWRSITRARGLAPEQDTVCRAAVNNRGRLRPALPAEYFGNSIYAIGTEAVRAAELLERGHGWAAGAVGRAVAAHTDAAIRARVAAWMAKPMVYTLAWFDPYGTMMGSSPRFDMYGCDFGWGKAVAARSGKANKFDGKTSLYPGREGDGSMDAELTLTPEHMAALEQDEEFWAAVSPDAPTPVGKA >cds.KYUSt_chr5.11192 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72523792:72524982:1 gene:KYUSg_chr5.11192 transcript:KYUSt_chr5.11192 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQNGAPQNTEDPSVHPPDFQPYTKLCSRSRVLVTQPGPSSQSHPPASINQSSSSPHLQASYIHRRPPINLSTTTPRRTGEIEQLCFLGLSVRPSFMSSSVHVRKALHLVSMKANKLQSFVGLRLILVAALAGFLVLFSARTLFSPSTSTTSHLRGGVGDGTSCSKLPSPIAAALVHYATSNVTPQQTAAEIGVSLRVLQSRSPCNFLVFGLGHDSPMWAALNHGGRTVFLEEDATWIASVRSKHPGLESYHVTYDTRLTEADELIALRDHPGCTAQPDLAAATEADCRLALRGLPAAFHETEWDLIMVDAPTGWTPEAPGRMGAIYTAGMAARARRPGDGATDVFVHDVDRTVEDTFSKAFLCDAYLTEQVGRIRHFVIPSHREKPGTPFCPLN >cds.KYUSt_chr3.14196 pep primary_assembly:MPB_Lper_Kyuss_1697:3:86089507:86092738:1 gene:KYUSg_chr3.14196 transcript:KYUSt_chr3.14196 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRQKLLAGAVVLVSVALMATAAEGYISGKTWTAINRANRHGPFVGLVVPNTFEMVPVLNSPSFVASKTIPNLDVQGRRFRFGAIGGQNVVMVMTGLSMLNAGLATQMLLSLFRVKGIVHWGIAGNANEDLQIGDVTIPEYWAHLSLWNWQRYGDGKDNELPLESAGDYTREYGFLNFSDYTVGQGNPALSANELNSIWYQPEEIFPASGVPEERQHAFWVRASKRYYALAAKLEGMELPACVNGTTTCLPRAPRVVRVSRGCSGNVFLDNARYRQFLRTKFECTPIEMESAAVALVAYQQGVPFLTIRSLSDLAGGGSALGNEASTFISIAAQNAVDVMLKFVPLLKEQ >cds.KYUSt_chr1.23587 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140321505:140323549:-1 gene:KYUSg_chr1.23587 transcript:KYUSt_chr1.23587 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTNEMMHRHVQAAVPLPAHFASASGGRVMAPAQNGSRQRAGLPPTPPTGAGSHPLHVVADACMQMQDDSAARGRKAHRRSRSDVPFGYFPPPSPKTESSAWGLPSAANGGDDLFNAFMSMEAAGMDGMNSSDGDSRGSSMPAAADSSENESEDYGGGGESQAFLWGDGAAGGGGGRKRSAAGGEPPAGVAGRHARSLSMDSLMGKLSFSAGGEPSKFSLEFGSGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEHKVQILQTEATTLSAQLTHLQRDSSGLATHNNELKFRLQAMEQQAQLRDALNEALTGEVQRLKHSATSSELAGDAGSSSNLAQQMQLRCQNQMLELHKQQQQQIPFYQLEQPEQNGGASRTHESK >cds.KYUSt_chr1.25451 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152695827:152699042:-1 gene:KYUSg_chr1.25451 transcript:KYUSt_chr1.25451 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVQLDELLSLNHHEEASRLLRGRLLAQDLEGVLEPVACTEFVLAGGRLSANARETTVQILQQKPCTHRSSIARETAGSSTPATTSSPPSSSAPFADLGHLHHARVVAAAVEPAGRPLTSALTEVFDMDCLQPPPCSSTPPSIAKLGARVRPLAASIPGPSACLEYWASRNAPDGLRQHEIGKDSIGSA >cds.KYUSt_chr2.33855 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209207600:209208723:1 gene:KYUSg_chr2.33855 transcript:KYUSt_chr2.33855 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTVSDLLSDLAVDGGDDHLDGGGGDASVPSSPLAAQQVEEADPSHLQRLFEEDYDNLMKSLQENDPSWPSLMLKTSDKLLGCANAKAQQLLEKVEALEQVLEKGDHTVGAILEGLQNAQLKGDSRTSKSNAPSK >cds.KYUSt_chr4.9099 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54721543:54724877:1 gene:KYUSg_chr4.9099 transcript:KYUSt_chr4.9099 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNPDPGPVDKSVLVEQEHHKSEAIFAGKACKPIRFIEHGTRLNQWEVRHEGMLTLLRRAGFYHLSFLKRVQLDHALLNALVERWRRETQTFHLRFGEMAVLLKDVAILTGLRVHGTPVTGATGCRWEQLCLELLGQEPPQIKGGSVNIAWLHDTFASLPDGAGKLQIEHATRAYIMYQFGCSLFPDPSGTRVHLRYLALLRDFDASGEMAWGAAALAHLYRELGKASMKGKANCCAFLTLLQLWAWEHIQIGCPERLENKDLTIDQPLGCRWDVPFKNRENVRSMDHEFYRHGLDTIADCQISWDPYKPSLIGGLPALCTLGSAVWRSKTPLICFQIVEMHVPDRVLLQFGMIQHIPDPVEAVERVTMQGKTDEDWSTYHEKYIKQWDNRLLSVADQKNNVNSDPTHARNCYLEWYWRITRRWISTPVECPVISDQLSGHSEKALVDLVSTVQVRIRTLLSGEMDGEKVKESLGDIDMYITAEMKKVQFAVTPCTNEPTHLNYKQQHMVMSSGQLQVMQIVLPTEIGNESLKSAMACSTSVDSIQANVGKVEQVTDGYMEGNTILQLEGMRGIQPEEGTLVRPPPRGNGTSNWNGDLHQQEDPIDVSMTEVNMQYIISTPVEDAMTGVISTSAENAMLEETSDAEMKNGESPLRTSSPLSEIEEMQEATELMYNNKIHNKVNNESQEFADAPVINNSTAEEATRVHLKDNYMEATHIYPNNISGQETASRPSDGPESYMILGVSSHNGQLQKNANVSGKGDKVEHILVMRTDCSIAEGAIGEALSATGPGETLSASVNKLSSTRGDSEPPSGSKQEEPPAAVPGSSELQDSVCKLPKDNAVQDRVHAVQNLMLQENVNDCIENGSLEPVVLQKLVVTPAHITIVGEKGIGPFSGEATDTLVEENSTGNGYPDQEEITKRRKVTVSSHENAEALPTTAVIKSEKQNGSGQFIAFTRRKRKKEVEQSSL >cds.KYUSt_chr6.10022 pep primary_assembly:MPB_Lper_Kyuss_1697:6:61832536:61834212:1 gene:KYUSg_chr6.10022 transcript:KYUSt_chr6.10022 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVEKFMGWFPGGRHAVAGAAPLSAAVDRISALPDDLLRCIVSRLPVKDAARTAAVASRWRHLWRSTPLALRDADLLPSAVGRILADHPGPFRVIDIARCSFASHKRELAEWLRLLASKGVEDLVLLNNVDDIELNNIRLPTDILRCASLQRLFLAFFSFPDTIALVRRECTTHEEASSDSFPDTGGLSQGAYVLPNLQELGMFTTGISTWDLDYVLACCPVLEKLVFVLNSTPDPVRIRSRSLRCVLLWMSAVEEVAVVDAPLLERLFLLEAPRLGDESIVTIKIASAPNLRALGYLEPRFHQLQIGRNVIKPGTMPSPSTVLPGVKILAFKVNFGVLKEIKMLVTLLRCFPNIETLHIESLTEPTGRNRAKFWCEVSTVECIESHVKKMVIHEYRGEQCELEFIKFISRNAQELQTLHVLLNRESLTSVAKATEMTNKLVALSRVPWKCECKMMVLGESYQNDWSIQKASDLTVDDPFHW >cds.KYUSt_chr3.15460 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94661848:94662783:1 gene:KYUSg_chr3.15460 transcript:KYUSt_chr3.15460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III chitinase RCB4 (EC 3.2.1.14) [Source: Projected from Oryza sativa (Os10g0416100)] MGSSKLIAAALLPALLALHLQAPTMATAAGNSNLFRDYIGALYNGVTFMDVPVDPRVRFDYILAFVIDYTTATEPPTPTNGRFNVFWQDTVLTPAAIAEVKRRNPNVRVGVSLGGATVSGSPVFFNVTCGIDAWVRNAVESLTGIIQRYGLDGIDIDYEEFQVDPATFAECAGRLVAALKSSGVIRFASIAPYGKADVQRYYRTLWAAHGHAIDYVNFQFYAYGASTTAEKYVSLFDEQMFNYPGANILASFSTAATNTTVPVGTALSACQTLQSQGKLYGIFLWAADHSRNQGFKYETQAQALLANATGY >cds.KYUSt_chr6.33896 pep primary_assembly:MPB_Lper_Kyuss_1697:6:212396837:212398937:1 gene:KYUSg_chr6.33896 transcript:KYUSt_chr6.33896 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSMGLGKEEEEEEEEEDGLADRIDGLTWAIAGLGYKEKAIIFSFFCSLSMARVRTAGDDADDRGWNQLHVAARKGNLKEVRRLLKEGMDVNAPAWGPKSPGATPLHLAAQGGHVKVMDELLERGANIDARTKGACGWTPLHIAAKERNKRVVRFLIENGAFLPPDLNDHRFNPPLHYCSGLEWAYEMKRMQDESDSSGEASFSSEN >cds.KYUSt_chr3.31657 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199006734:199008056:-1 gene:KYUSg_chr3.31657 transcript:KYUSt_chr3.31657 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSWVPPSLPESSAGAAAASGLVLLDRWCYIADLPNNTTAESTTNRGLPITVTFRAACPPLLSHFCVHCPGLDFRRIGPKIVATDADLVLLCVPVNPNSTTGASDWDYFVYSPRAQWLDLLPSPDPRRLNDSATALISRQGGAWYAVAALGACKPIFSGHALTRWDFDLHLYRSSSSPKRWRSKRLSLNEFVRDNLIPLPVAVNRHKLYHVTEKTITIGGDHGTVAWVDLWRGIFFCDVLKKRPLIQDVPLPVPARANWNHLLINPEPSFLRDVTISRNKGSIKYVELEFRSPQELAATTTPAESYTDWVRNNYSRKSQVTPHGWKSTIWNMAIPVGSSEGWHRDCVVDVEDVSLEPCLSDVMAMLSSKTLQQLPVGYPILSMDDDVVYLFSQTMDKLRVIFAIDVRKATLRGLAELDDQKHLFFSNLCFTSEICRGT >cds.KYUSt_chr4.11801 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71677521:71677814:-1 gene:KYUSg_chr4.11801 transcript:KYUSt_chr4.11801 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSYHQLHHGPAAAEAEAAPREGGAGAGAGAGGFRFSFRNVFSPAVLTPPPRRDVAPAGTEGKQRGCHAGGDKEAAAAALGSRFEEAVELSCWSS >cds.KYUSt_chr3.43523 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274646608:274647885:-1 gene:KYUSg_chr3.43523 transcript:KYUSt_chr3.43523 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRRLLLAAAVSTLCVLAWTVAGDGGKPLVTAITKDAATFLYSAPVIRNGQPGHHLVLDLSGPIIWSTCATDHRTLECNSVACMRAHRFHPPGCPHTGYGKPDDDNPYRCKCTAHPHNPVCGATVSGDVTRTALSANATDGRNPLRSVSFVAVTSCAPESLLAKLPVGAVGVAGLARSGLSFPAQVAGTQKVAKTFALCLPRSGTGVAIFGGGPLFLIPAERPAITEMLAGDTPLRAYRGSPGYFISANKGIAVNQAQVPILDHPSLTIGLSSTIRYTELRRDVYRPLIRAFDQAMGQSARVTPPAAAAPFELCYDSSKLSSTRLGYFVPQVDLMLDGGKNWTVFGGNSMAQVDRHTACFAFVEMKEGKTGYGGRAAPAVVIGGFQMEDNLVVFDEEKQRLGFSGLLTGRGFSCSNFNFTMPA >cds.KYUSt_chr3.33687 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211546540:211548209:1 gene:KYUSg_chr3.33687 transcript:KYUSt_chr3.33687 gene_biotype:protein_coding transcript_biotype:protein_coding MREKRWSDLPPDLLCEIAGRLHVATDFVTFHAVCSPWRSSRDLLSGTAAVPQLLPWFHALTEKHGQSSPFKFICIFSKSTYRVLPQQRRNWVCSADGATLGYLTIKQLRPTLHDPITGAVTDLPRFSHCPWEEDPRGIVYRDGSTFLYHISRDAIRRSTIRFRAAALRPGDAEWTLVERTFETNGSQTEFCAAYRRGMFLVSLESSLWHVVTPGHSFEVSVPRPCVDPDEPDYPERSNYILESGGELLWASVQLQDSKTFLVTVHALEEATIPVRWVEKQGHCLADRVLFLGSPNSFAVKASLLGGQGGCAYFVYHNSENETPEESGLFRYSFIDGKAERIQRLPQEWEDQKCTWLVPQPTIAPIQQIIRRRLQTQKPKKQRKSGPITTPSRIFHIERHYEHCFRVLVHSLPLKVKKSELQLYLSKHGKVSSADIIYHKNTKTSKGIIKLATMHAHEEDALAALRGLDFRRCGLEVILVLNERQRRLRRKAA >cds.KYUSt_chr1.25525 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153185850:153195634:-1 gene:KYUSg_chr1.25525 transcript:KYUSt_chr1.25525 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRVRRRTCREKGKGKEVVEEGRLVEAGSSPPLGWVAAEDGDGDAGRAAAGGEDVDWTLLPDDTVLQLFGRLSYRDRGSLGSTCRTWRALGSSPCLWTALDLRAHRCDAEVAASLASRCAGLRRLRLRGHEAAMAVASSLRASGLREVVADGCRGLTDATLAVLAARHEALESLQIGPEPLERVSSDALRHVALCCSSLRRLRLSGLREADADAIGALARYCPLLEDVAFLDCGTVDEAAIGDIRSLRFLSVAGCYNLKWATASASWEQLPSLVAVDVSRTDVSPSAVSRLISHSKTLELICALNCKFVEEEQAHSPTAFSNSKGKLVLTITSDIFESVAELFPGKVVKEQGVFNECNWRGKSKALGEMMIWLEWILSQSLLRIAESNPYGMDNFWLQQGTSMLLSLVKSSQEDVQERAATTLATFVVIDDETANVDAARSEAVMRDGGIPLLLDLARSSRVSAQSEAAKAIANLSVNAKVAKVVADEGGITIFTNLAKSMNRSVAEEAAGGLWNLSVGEEHKTAIAGAGGIKALVDLIFRWPAGTDGVLERAAGALANLAADDKCSLEVAKAGGVHALVTLARSCKLEGVLEQAARALANLAAHGDNNTNNAAVGQEAGALEALVQLTCSQNEGVRQEVAGALWNLSFDDKNREAIAAAGGVEALVSLAQQCLNASDGLQERAAGALWGLSVSEANSIAIGQEGGVTPLLTMACSEVEDVHETAAGALWNLAFYSSNALRIVEEGGVPILVHLCSSSGSKMARFMSALALAYMFDGRMNEAAILGTSSEGSFKGVNVEGARRMALKHIEIFVLTFSDPQVFSMAAASSAPAALSQVAEAVFIQEAGHLRCSLQSQEVDMRSTMPAFCRKQGQLGSCVQRRQRHQLRLKPKCSPELSLGIWNTIKQGHQPESTMAKCG >cds.KYUSt_chr6.2761 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16161256:16173986:-1 gene:KYUSg_chr6.2761 transcript:KYUSt_chr6.2761 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAPAAPPRLAPGLSLSAAAVHHSSHFRPRRRPSLALRPSAIAIAAPLRCSYRRAVSPRLRKRAQGLGEERDGCLSCFPRTRRRGRPGLASFAPCALPHASGLSLHGRWGRPKVRSVSTRKSGKLFPLSMDCATAGKKNSSFLLPCSDFARHSHILRAAGPDEPHVASPTWSEAALDKPYLDEAIGSEELEAVLDTPLPSHPKLIRGQLKNGLRYLILPNKVPADRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEALLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSNRFPIGLEEQILKWDPDKIRKFHERWYYPANATLYLVGEIDDIPRAVREIEAVFEHTLTGNEAAPMSTAGPFGAISSLFAPKLPGSLAASLSGDRSPATDKIKPVKRERQAVRPPVEHKWSLPDVHQDAKPPVIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDFGKPNAPLPAAIVACVPRKVHIDGVGESDFEIHPEEITESMKAGLEEPIYPEPELEVPKELITQSELEDLKLQHQPSFAPFGKEQDVVKVFDSETGITQRRLSNGISVNYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRAAQLYLSYYRSIPKSLERATAHKLMVAMLNHDERFVEPSPHSLQKLTLQSVKEAVMNQFVGSNMEVSVVGDFTEEEVESCVLDYLGTVRAANSSNTKEHIEKISFLPFPSDLHSQQVYIKDTDERACAYIAGPAPNRWGFATEGKDLFNVIRSSSANEISEPANSDLMEKTHINVRNHPLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLELGWYVIAVTSTPSKVHKAVDACKGVLRGLHSNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKDISCIKEMTTLYESATIEDLYLAYEHLKVDDSSLFSCIGIAGAESGEDMNDDEPNMGVPGMVPIGGRGLSTMTRPTT >cds.KYUSt_chr3.45289 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285407434:285409565:1 gene:KYUSg_chr3.45289 transcript:KYUSt_chr3.45289 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRRSPNGIAGAYLEAAGGMVLDVSRRCAALSSSSPPTDSQEEYWEADDGHEKERKVIADDGHENEEEEEEEEEEVEEDDEGDTSSSDVSNDTGSSEEVTSRKRHRMAENNGSSSLTLHGRVAIVTGGAGGIGSAVSKHLASLGARVAVAYFGDPAPVRELVVGINATHGADPPRALAVHADVSDAAQVRALFDVAAAAFGGELHILVTTAAVLDFSYPTLAETTEESYDAMFGTNARGTFLCCREAANRLARDGRGRIVTFSSSGVGSLRPGYAAYAASKAAVEVMTRILARELRGTGITANAVAPGSTATPMFYNGKTPEEADRYIAEAPLGRLGMPEDIAPLVGFLVSDAGGWVNAQVLRCNGGTI >cds.KYUSt_chr6.27482 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174475232:174481209:1 gene:KYUSg_chr6.27482 transcript:KYUSt_chr6.27482 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAVSRLAVLAPGTSPLASGRRRPAVPFPAASRPRVLSAASRGRVLCLAASAPASSTDAGQDRLQKVPITNIRNFCIIAHIDHGKSTLADKLLEMTGTVQKRDMKQQFLDNMDLERERGITIKLQAARMRYVMNNEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALESNLEIIPVLNKIDLPGAEPDRIAQEIEEIIGLDCSNAIRCSAKEGIGITEILDAIVTKVPPPQDTLKNPLRALIFDSYYDAYRGVIVYFRVIDGSIKKGDKICFMANKKEYVADEIGVLSPNQMEADELHAGEVGYLSASVRSVADARVGDTITHFAKRAESALPGYSEATPMVFCGLFPLEADQFEELREALGKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYRVNCANNETVECSNPSLLPEAGKRRSIEEPYVKIELLTPKDYIGPIMELGQERRGEFKEMNYITENRAKLIYMLPLAEV >cds.KYUSt_chr5.34769 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220250697:220254229:-1 gene:KYUSg_chr5.34769 transcript:KYUSt_chr5.34769 gene_biotype:protein_coding transcript_biotype:protein_coding MWDQSHRDEKPVGSLRHFIKIPDDDEILNQSISRGKKQAPRSAYQLKPMGKAPNRYTPEDYVNRGKKVVIEEDEAPPRRSSLSRMRNDEPLSSEEEEQQQQEPRQQTKMMAVRKQPKQMWKCEMNKENQMWKCQINKENQMWKCKNLEQQLQARYNKMKCHWMNHNEPGDVDSENKPTTSHPNSSTLPSADTGDGTSQRLSSEESASNDEVQSTQSNQNPPKTHYPGMIFDFWEAAKLHYNKYAKHMGFPLRPAPRGIIPWTSKRRNASLFETRVARMRI >cds.KYUSt_chr2.2415 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14602722:14610745:-1 gene:KYUSg_chr2.2415 transcript:KYUSt_chr2.2415 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPARASPPPRPTRCDSAARTLLPGQFHRWRLLRGEAAMLHALLQDIAVPPGSSYVLMKESMHAQIVVLEHSPQPTLVAIRALMRSDDREEMGATEQEVKMASPMSIEAASALPFRRLSTSPPKDFLLEHRENPRSGLLDRAAAASQHCSPLDGPANVEVEYLLLQLDVGDACLQHRLLQHLPGTSPMIATPVPARPCLPLDDSVGTRWVRGTLAWAVLELQLSLEATYRSCGTCVKALPRSFDSAKAMRTEFQGDALLELLCCLPLDTRRKMVAAAGSMGAMVAAGL >cds.KYUSt_chr5.38681 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244811427:244819263:-1 gene:KYUSg_chr5.38681 transcript:KYUSt_chr5.38681 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQTASAALGFLLPTCWEIEVTCAAAMILVALYAAFELLAPRPSSPPTADHLTLARDLDAADKYKGGSSGPSAYVVKLELLAAKNLIAANLNGTSDPYALITCGEEKRFSSMVPGSRNPMWGEEFNFVVESLPVKIKVKIYDWDIVWKSTTLGSVTVPVESEGQSGPVWHTLDSSSGQVCLHIKAIKVHESSSRVLNNSAEADARRRISMDKQGPTVVHQKPGHLQTIFGLPPDEVVEHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQIKVVLPLRDIDEIRRSQHAVINPAITIFLRMGAGGHGVPPLGYPDGRVRYKFASFWNRNHTIRALQRAVKNFHTMIEAEKQERAQSALRALSSSRKNSRKEINVPEDFADLTGQLQPFVKEGVLVSVFDGTFPCTAEQFFNNLLSDDSSYTTEYRTARKDKDINLGQWHVADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHMVLSADKTDLVFETVQQVHDVPFGSFFEIHCRWTVKTVNSSSCSLKISA >cds.KYUSt_chr6.11728 pep primary_assembly:MPB_Lper_Kyuss_1697:6:72973775:72977079:1 gene:KYUSg_chr6.11728 transcript:KYUSt_chr6.11728 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPYASLSPAADHRASPAATASLLPFCRSAPFSSSSAGGNGVAEDAGMYARWMARPLPFTAAQHEELQQQALIYKHLVAGVPVPPELVLPIRRGLEALAARFYHNPIAAGYGSYTCLGKKVDPEPGRCRRTDGKKWRCAREAASDSKYCERHMHRGRSRSRKPVETPQPAPHPQSPRTAVANGNSFQSHSLYPAIGGNNNGGGGGGNSSFSSAMGTAQLHMGGSASPYAALAGGGGTCKDLRYTAYGIRSFADEHSQLITEAVNTAMEHPWRQQPPTTTAFPISSYSQLGGATNTVKAEQRQQQPISFLGCGGDFDTGKEEEESQTLRPFFDEWPKARDSWSGEKSNLTSFSSTHLSISAGEPFTMVNTEDFSSEMTITNSPSTNGYY >cds.KYUSt_chr3.48400 pep primary_assembly:MPB_Lper_Kyuss_1697:3:302792647:302794714:-1 gene:KYUSg_chr3.48400 transcript:KYUSt_chr3.48400 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVRATCIVVSFRSHCGTKDVVDEEVAHSASDVGEVPELDLEEVLKVAQSVEEVKQRLAAATAELQAAREEERHKEQDMKALAELIRHTAQERDLLREQHQLLLARELEAVMSSSSDSGRSPLASSSPAALFVPSMVPQAAAINEHPSAAAPAQLATKMPVALGNSHSAQPSSVGHAAAMVNRRGAVAAQLDLLAAKRPLPPRGRLVQAVMEAGPLLQNLMVAGPLPRWRNPPQAQALTNAMISAPSGSGASMGLPWSTH >cds.KYUSt_chr5.2218 pep primary_assembly:MPB_Lper_Kyuss_1697:5:15105713:15111335:1 gene:KYUSg_chr5.2218 transcript:KYUSt_chr5.2218 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLWSQGWAWVLSQKHLVAWAGCGRDLVDRHWPAVARACASSSRLLLEALRQWRGCAARGVLALASLGPAAVFVVLWSCFVCITSPACALYALLALGAVGAVIHYMGYTPGLLIVGLFGIMIMWMYGYFWITGMLLVAGGCMCSLKHARFVIPVLAMYALYSVAVRVGWLGVFSTLNLSFITNDLLNKLLLGYEGSTEERTFEEMKDSDPATDAFFGSPEYPPTPDSEPETVSSAKPFRSEPTQDVLHVQKEPSPSKIVESDTTSLDEIKRIMDGSTYYEVMGVPRNLSIDLKELRNGYKRMARLVHPDKNMGNSLACESFKKLQSAYEVLSDLAKKNSYDEQLRKEESRQMNQRSRVVSQPSGVEFISEESRRIQCTKCGNFHLWICTKRSKAKARWCQDCSQYHVAKDGDGWVENGYSTSLKIQIPQAFVCAESKIFDVSEWATCQGMECKPNTHGPTFMVNMVGADRMPQRSYSSRYPFSLDAEMIPEDEFEMWLQQALATGVFTDSPKRRKSWSPFKLPQKGMRSWRRSSSGNDIK >cds.KYUSt_chr5.18705 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120985482:120994753:-1 gene:KYUSg_chr5.18705 transcript:KYUSt_chr5.18705 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSVTTLAAALGAPPSQLLTRNNALIWKALVIPALRGAHVLDLVEGTEKEPEKFIETEDIDHKKVTIPNPEHAAWISHDQQVLRWILNALSPDVLVHVVGMASSAEAWAAINDHVSSSSKSRVQQLRSALNDTRKNDLSAEKYFAKMKCIASELAAVGKPLDEGDLVWYILRGLGSHYNSLRTAVNANPGTTFSELLSQVQAYDSMHKPGDVGFSSSANVARRGNAAPQRVPDRPRQQPYDDRGRGDDRGRGDYRDRRDDRGRRDDWERRDNRGRREDWGRRDGGGYQGRRYNDDRPRQRDDGYRRDDRRDNRRRDRQPTPYVDTTCQICSIHGHPARDCWRRYDDDRGKKDANFAAHGVDSNWYYDTGATDHITGELNKLTTHDQYRGEDRVRTAEGTVYRFTLDNHVFIEFHPFFFLIKDQATRRILFKGPCYGGLYPLMPITTESSKHAFLTIKPSSSTWHRRLGHPSSFIVQQVLRRNKIDYTPDSTPYVRPQIRSAGRGIAEDCALLLLSVSTDTKKNPNGRVSTWDPPPRGPEAGYVVCLISIPESPSSLSRNPSLQYVGIAELEQKGSGPGARSSHAITLVGGTAYSFGGEFTPRVPVDSTMYAFDLKAQSWSALDATGEVPPPRVGVTMAAVGGTVFVFGGRDRDHKELNELYSFDTATSTWTLLSSGDTGPPHRSYHSMVADGEGSRVYVFGGCGNAGRLNDLWAYDAAAGRWEELPSPGPACPPRGGPGLAFAGGKVWVVHGFSGDAELDDVHSYDPATGEWAKVETTGDRPAPRSVLCAAGVGKYVVVFGGEVDPSDLGHLGAGKFSAEAFVLDTETGSWAKLDDASDHHPGPRGWCAFSAGALDGRKGMLVYGGNSPTNDRLDDMFLFTPLLA >cds.KYUSt_chr2.33553 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207240704:207240934:-1 gene:KYUSg_chr2.33553 transcript:KYUSt_chr2.33553 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGSAPVKECLHCRIERQRDGQEETILGQRRPEGCWSSPPKPATIFFSPETYVEVEVGPLTGGRRRKMQQDGVS >cds.KYUSt_chr2.54330 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338920193:338921920:-1 gene:KYUSg_chr2.54330 transcript:KYUSt_chr2.54330 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLSRDVMHYCLPASPVSAAASLSAAFSDGGGGEDRISALPDGLLRNVVSRLPVKDGARTDALSRRWRGLWRATPLVLDDAHLLTDGPGADWRAPAAAVVSRVLASHPGPFRWAHLLSNFIDETNQDALAGWLRLLADKGVENLILVNRPWFDKVPVQLPQSLLCCGASLRRLYLGVWLFPFTSNGPPRSPDVFPHLRELGICQGIMQDHDLDYMLACSPKLEIFALISNYCLPDRVRIGSHTLRCVLLWHSLVDEVAIIAAPQMQRLILYCTHAPEPGMTIKVKIGYAPQLTVLGYLDTAKHVLEIGNTIIKARVTKVSPNTEVPSIKVLAVKVRFRVPGEVRTLLSFLRCFPEVETLHIMASDNDTDYYDDPGEVKARDKLNSTFWERVGPIKCVQSRVKKLVFDQFSGGPNQVEFLKLVLARAVLLQNVIVLLAGPESMMMNEVTGKLQPLASKRMWANKSLRKHSLEVRGRAAGHIWSYSDASDLSISDPFIS >cds.KYUSt_contig_2278.138 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:1097801:1100676:1 gene:KYUSg_contig_2278.138 transcript:KYUSt_contig_2278.138 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDIIAAVVCTATVLLISNGCLSMGMVEDKISVLPGQPPVSFAQYSGYVAVDAVKKRSLFYYFAEAELDPATKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNALVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYGGVGDSMTARDNLKFLQGWFDKFPQYKGRDLYITGESYAGHYVPQLAQRMVEFNKKEKLFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNIFTTVCNYSRYVSEYYHGSISQVCDRVMNQVTRETSRFVDKYDVTLDVCISSVLSQSKILSPKPSQQVNRELDVCVEDETVNYLNRKDVQKAMHAQLSGVPKWTVCSSILEYKQLDLKIPTINIVGALVKAGIPVLVYSGDQDSVIPLTGSRTLVHGLAKRLRLKATVPYRVWFEGKQVGGWTQVFGDALSFATIRGASHEAPFSQPARSLVLFRAFLASRPLPEAFE >cds.KYUSt_chr4.48375 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299603934:299605889:1 gene:KYUSg_chr4.48375 transcript:KYUSt_chr4.48375 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAQVWSDWEIQIYVLLSFVLQIFLFFVGSLRRRSGHKALVRFPIWLAYLLADLVAVYALGYLSRHVATTTMNRDERRHELTFFWAPFLLIHLGGQDSVTAFSIEDNELWLRHLLNLLAQVWLALYVFWQSTPGDRLVIPAAFVFVAGIIKYGERTWALKSGSESALRSSCASNEAAVKQVVRIGAEADLQYSEIIRCALCSATGVRDVFAGRKLHQIDSQASEKLTNNVAFEGLEDGEVHFKIVEIELGLMYDELYTKAKVVQTLPGTILRCVSLVSMIVALVVFITMISGGSYYSRADVAITYVLFIGAFCLEVWAVFSVMMSPRAWAFLEGRRCHRLARVVCARLQARQWWSNSMGQYNFLSSCIPGKTGLCFTLLTKIMGLLGAKELWSNILHSKHAEVNKGLKDTISTFLGELQPESRVPFHAPPTSLSSCPSFRKIIRNDFTQALLFLHVFTDLFLHKVGTPVITEETRGLMEVCKGMSNYMFYLLAVHPAMLPVGSNVHDLVGEAMESVKASSAASSKEQFLSALADGSETEAFQLTSKLFQEAQGVLLQQGQDLGAALKEVVSMWVSLLVYAAGKSSSKEHARRLSMGGDMLTFVWMLMAHRMLGDIGSELDLWARDPAEPIILRPDTVSIRGFLFEFPADD >cds.KYUSt_chr5.5437 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33984079:33984717:-1 gene:KYUSg_chr5.5437 transcript:KYUSt_chr5.5437 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRRHATSSINKWHLGLVYSSHHHQNHFDNMKALFFLAFLALVASTSFAQYAEAPSGDVEGPSAGGYEKWAAEAPSGDVEGPSAGGYEKWVAKAPTMSTSEQCEHEHMKLDSCRDYLMDWCTPKGTWITWPWEWMKSSCEEIRKRCCQQLGQMASQCRCKAIFRTIQGELGGFGSQEGQKARVMAMAQRLPSKCNVVPRSCNIPITSGYYW >cds.KYUSt_chr4.13254 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81562184:81566440:1 gene:KYUSg_chr4.13254 transcript:KYUSt_chr4.13254 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAQQQYGQESKGSPAPGVVASPASSFRQLDDAFLQICQILGLAGIDLFTPSDVVDKRNVPDFDIVTRTIAMPNYIVGGIRKSLEQPQRSSSWSSGHSPRANSESIFGEQNGDTQYDSDEAESKIFPLEPQNSVGEDNAAELLQLGKAHKEAREGYGDNGHDIPEEKSLAESVGSLDFGGMDMDSVDSAPSQNDQLRRCSAESSTNGHLVFDSSKIDLDAPTAEVSEMIRDGHIEPSHYSVEGSGERFADNLQKEGDNDLQKDTSTTDQQCDAPACDRESVCSSCEEPKLGLNGEPTESRLSSESGLTPGVTMGGQLPAASEDDAAGQGLKHEISIGDHMDSTKSEDKTVKPQDTVENKNTDGHKTGNSARKPGNNGVLKSVAGGITLVGVVFFIAHLRRTKGASFTAILPSLSKKSVQSDSRAKNVDNGKTTEVYPGGWLKV >cds.KYUSt_contig_319.981 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:6545971:6549666:1 gene:KYUSg_contig_319.981 transcript:KYUSt_contig_319.981 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEYSVAAAGGGGKVACAAWIRRREEKTTRVFAVYGRPSPPALEVLGFDSVRCSLSEEPLARIVLGDDSDEAPRGIAVHPTGDELVCATANGCRLFKLIFEELTVRFIESKAPALESIGPQKCLAFSTDGAKFAIGGEDGHLRILHWPSMKVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGTARIWKIDEGAPLVNLTRSSDEKIECCRFSRDGMKPFLFCTVAKGTKVVTVVWNISDWARIGYKRLLGKPVSTLSVSMDGKFLALGSHDGDFCVVDVKEMEISHWSKKLHLGSPVYGIEFCPTERVVISTSPQWGAEVTILNVPADWKEWQVWLILLALFLTSAILFYVFYQNSGSFFGAPHQTTKPWSVLNEAPPSPENQNPW >cds.KYUSt_contig_988.518 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:3022445:3022750:1 gene:KYUSg_contig_988.518 transcript:KYUSt_contig_988.518 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGPMVENGDLQSDLLPTADSMEEAPMAGKGGLQGYLLSICLGRSQSSSGRPPTPWRRRLWWGRAACRGTCSRSARGRARAPAAGRRCRGGGSYGGEVGR >cds.KYUSt_chr4.21691 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136537477:136545480:-1 gene:KYUSg_chr4.21691 transcript:KYUSt_chr4.21691 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGGDGGDDDGDDDDGDGDDVQLDDGDDGVDFPPPGGNFPADLSSPESSFLSGVLRPAEAARDSSRLIPRSLAPKLTFVWDGSSWSGKTETSETPNPRPPSARPDLAATADDGMLLLRALLLAALLAVARPPPASASESDHKYKAEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPGHKWGGLGEVLGGNELIDSQLDIKFLKNVDKGPICTIELDAKKVQQFTDAIESSYWFELFIDDLPLWGFVGETDKNNENKHYLYTHKNIVVKYNGNRVIHVNLTQESPKLLEAGRKLDMTYSVKWIQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRNLMLLSAVIGIGTQMSALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKSMILTASLFPFLCFSIGLVLNTIAIFYHSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYAIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYLGSTLFVRRIYRNIKCD >cds.KYUSt_chr3.44425 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280344751:280348220:-1 gene:KYUSg_chr3.44425 transcript:KYUSt_chr3.44425 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAGWGVMEGMKPVAGMVVVQFAFAGVNIFYKLAVCDGMDMRILVAYRYLFASAVLAPLAYFVERKKRTKLTWRVILLSFVCGLCGGSLAQNLYISGMKLTSATFASAMTNLIPAITFVLAVLFRYEGLAIRTVPGQAKLAGTLLGVGGAMLLTFYKGAQVTLWPPTHVNLAAQLAARHHSSSQPRLAASEEPNRAMGSLLCTGGCFFYALWLILQARLCREYPFHYSTTALMCLMSALQSALFALCFDRDPAQWRLAFDVRLLAAVYTGVLASGVMLVVLAWCVKRRGPLFASVFNPMMLVVVAVLSSLLLGEDLHLGSVLGAVLIVMGLYAVLWGKGREAAASADHGKVTAAGEVPHIDVIVHRHLPPPPPHPLRSSNISSLLQHQPWRGERLGQDGPAIDRRDELVPGRLGQDGDGLFVIRMQTVPMELVMDG >cds.KYUSt_chr5.16919 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108946797:108949691:-1 gene:KYUSg_chr5.16919 transcript:KYUSt_chr5.16919 gene_biotype:protein_coding transcript_biotype:protein_coding MADDYELAALHEQVALASSAAVSASDLDLAFQLQVSEAIEASLRATNSNPSSSTAAAAAAISPSFQPAPALESSDVAYALAVHAADLARAEEDRRDAQGCRAAHAQAAAAVRVAAHDAVFARELAAIPEGQWARDGDNFERPLDPSRPLFRVFFKGLSSKGVVGPRDRDPGVAALAVALCNQQGHVVLRIQKPVEASVGGRMTLEVMALTEGLQEALGLGIRSIKIVTDYRVLHNHLLGMWRPTQKKLADMVDQVLSLRKKFEQCEILLVEPRQLDYVMKLARESVESQLAKAITVNAGMDMRENCAICLEDTDVSKIHAVEGCAHRFCFSCMKEHVKVKLLHGMLPACPQDGCTKQLTVEGSKVFLSPRLLGIMVQRIREGQIPPAQKIYCPYPKCSALMALSEVIHPMQESSSKHTVADAATLRKCVKCRGSFCISCKVPWHDRMTCLDYNRMHPHAHSGDAKLESLAEHRRWRKCTKCKHIIELAEGCYHMTCVCGYEFCYTCGKEWKNKKQTCSCLLWDERNLIRNDIRGNVVRDDVRGNIDVDEDEDDYDEEDDYYVQEGLHYNQGFR >cds.KYUSt_chr7.25404 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158512735:158515770:-1 gene:KYUSg_chr7.25404 transcript:KYUSt_chr7.25404 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGGEMEAVRREKRMEERNKRLVAREERRKANAEKKKEKEEMAARVRKLYAKTVEAIRLKGRGESKEPWEQFRDHWMEEWGKGGHFGKFEDNTAIPPMRLTFSDFDSGYAMDTLQFFSVKVARIDDNLRWPLDVYGFVAVRDILDRKRNMIFCRDRDNCQTINEQVLPVLLLCRVSYFEVKLKLKGTVEPEDKDFSLFASTYVSHSIKRVFTSKLSTLEMSVQELFYSVEATISVEVIDGSWPDDFIGEFSASTDSLPDMKVNLLKCGDDKLPIDDDGKIQLTRKVVSVELEGFLRVSIMAHRVNGERLKSCEAVFAPKRSGTSSNSMIRVGSCRMEVTISWSLLAFGP >cds.KYUSt_chr6.2637 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15435445:15437262:1 gene:KYUSg_chr6.2637 transcript:KYUSt_chr6.2637 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPGFRFYPSDEELVCHYLHNKVANHRFAGGAAAAAAGGTMVEVDLHVHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKTGYWKATGKDRVIRNHRSASSSSGRAAIVGMRKTLVFYRGRAPNGTKSCWVMHEFRIENPHSPPKEDWVLCRVFYKKKADTEYAMDREQEIIAGGATVKGYDYGSASSCQDPDYHSPLAPFPSLGVGGHHYQLPLSSQDHHRLSIDAFSSMPPLLSYDSILDFNQQLDAGSAATVSRDGSGDQCGGVLTMDLGLQEEYNYNSLM >cds.KYUSt_chr2.35766 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221070585:221070818:1 gene:KYUSg_chr2.35766 transcript:KYUSt_chr2.35766 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLIDFATGEKLCFSWEYLHASDRLAGILHGQMAATPSSGIGPAVLVGHLQPPGLDADTEALWLQRSWLRPHRPK >cds.KYUSt_chr3.35960 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225953157:225956846:1 gene:KYUSg_chr3.35960 transcript:KYUSt_chr3.35960 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWWGQEARVPGGGGGGTPVVVKMQTPDWAISEVPPSPGSPAAGGKDGRGKNARQITWVLLLKAHRAAGKLTGAASAALSVAAAARRRVAAGRTDSDADAPPAPGDESPEMRTRFYGFLRGFLLLSVLLLAADVAAHARGWHLVVGLDDVGGLFAAGYGAWMRARAAYLGPALQFLTNACVVLFMIQSADRLVLCLGCFWIKLRGIKPVPLSSAAAAGKDADDVETGMEEFPMVLVQIPMCNEKEVYQQSIGAVCNLDWPRSNFLVQVLDDSDDVVTSALIKEEVEKWQREGVQILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQEDFLKLTVPHFKGKEDVGLVQARWSFVNKDENLLTRLQYINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFMDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFAPEAELPAWVVCYIPAIMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVENEKQSKHQRVGSAPNLDSLAKEESRPKVDPQKKKHNRLYRKELALSFLLLTAAARSLLSVQGIHFYFLLFQGVSFLLVGLDLIGEQVE >cds.KYUSt_chr7.12906 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79631983:79637866:1 gene:KYUSg_chr7.12906 transcript:KYUSt_chr7.12906 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLEMAPPPPPPRQPAAASRGRGKGHAGQPRKQPLQASVAQPKAEPAAAAAVPPPEAAKKCGGRRRGGRGRATRPVDPRPAPGPAAVAPATATTRAVVIGPPVSSKGLAFCRRPGFGTVGARCVVKANHFLAQVPDKDLTQYDVKITPEVSSRYVNRAIVAELVRLYRDSDLGARLPAYDGRSSLYTAGALPFDAREFVVRLADEDTGTGVPPREREYRVAIKFAARADLHHLREFIAGRQATAPQEAVQVLDIVLRELANQRYVPIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEALPVIEFVAQILGKDVMSRPLSDANRIKIKKALRGVKVEVTHRENVRRKYRITGVTAQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQQSHLPCLMVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPREKEMDILQTVHQNGYDQDPYAKEFGINISEKLTSVEARVLPAPWLKYNDAGKEKECLPQVGQWNMVNKKVINGGKVSHWACINFSRSVQETTARGFCQELAQMCQISGMEFNSEPVLPIYSARPDQVAKALKHVYNVALHKLKGKELELVLAILPDNNGALYGDIKRICETDLGLITQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLVDALSWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMVRELLISFRKATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSMDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYLEPELSENHTSKSSSGTNGTSVKPLPAVKEKVKRVMFYC >cds.KYUSt_chr7.14987 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92799217:92807160:1 gene:KYUSg_chr7.14987 transcript:KYUSt_chr7.14987 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWFTPAYSKKTQASKLGDAQGIPFFIDNIIRFHVGVGILGVAPHYIPPPSTFNVLLGSYWAPWLPSGLSPVLWKLPGILRSSVLISSDSENISLLGFLKPKTAENSNWPFGISSIERRARRRIHGIVGTSLLDILPLQDTPGGPWKVYALSRRPLPPWSPPSSPAVTNLHLDLADSAAVADALEPLKDISRWGSRSPVKDASPASSSPASAPGEDDPTTISDMDFAANYVSWSVAVACH >cds.KYUSt_chr5.17044 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109855023:109864826:-1 gene:KYUSg_chr5.17044 transcript:KYUSt_chr5.17044 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLQYPTSAGGGGHDEPQRPKQQLPRDSRGSLEVFNPSSSTVEPPSAFRPAAAARSASPFIEEAAGSIEDVGKATQRAAEWGLVLQTNEQTGRPQGVSARSSGGGGSARSSSDDKAVAGAIPRVSEELRAALSAFQQTFVVSDASRPGHPIMYASAGFFNMTGYTSKEVVGRNCRFLQGSGTDPAEIAKIRKALADGSNYCGRVLNYKKDGTAFWNLLTIAPIKDEEGNVLKFIGMQVEVSKYTEGNKDTVVRPNDLPESLIKYDARQKDQARSSVSELLLAIKNPRSLSESSNSTFKRKSQESVGALTGDRPGKRSSESGSRRNSKTGARSSLQKISEVPERGNRSRKSGLFSLMGLLGMGQGNEEKNMLKPRDEDPLLDSDDERPESFDDELRKKEMRRGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYCREEILGRNCRFLQGPETDRATVRKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTEHVRDAAEREGVMLIKKTAENIDEAAKELPDANLRPEDLWANHSKVVLPKPHMKDSASWRAIQKVLEGGENIDLKHFRPVKPLGSGDTGSVHLVELLNTGEYFAMKAMDKSVMLNRNKVHRATAERQILDMLDHPFLPTLYASFQTKTHICLITDYYPGGELFLLLDRQPLKVLREDAVRFYAAEVVIALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCRPQVFLPEEANKKSRRKSRSSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASISVSLPARQLIYRLLHRDPTNRLGSYEGSNEIKEHPFFRGINWALVRGTAPPKLDAPLFPDDMDKGMGDATTAAADNHTDMF >cds.KYUSt_chr1.3309 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19969451:19970210:-1 gene:KYUSg_chr1.3309 transcript:KYUSt_chr1.3309 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKANALSAKYVPQQQTNPGSRRPNVATQDPPKHASSSSAMSTKQSSLDNRRHKEASSTGKAPDPSKL >cds.KYUSt_chr2.55648 pep primary_assembly:MPB_Lper_Kyuss_1697:2:347120629:347125149:-1 gene:KYUSg_chr2.55648 transcript:KYUSt_chr2.55648 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLATLANGDSQNSLQRNYQVVVAATRDMGIGKDGVLPWRLPGDLKFFKELTLTTSDPAKKNAVIMGRKTWESIPLKARPLPGRLNVILTRSGSFDFATAENVVICGSMNSALELLASTPYCLSIEKVFVIGGGHILRDSLNGPACEAIHLTDIQSNIECDTFIPPVDFSVFQPWCSSLPVVESNIRHSFVTFVRVRTSVSESHDSNGKESTEQDTKSSKFEIENFSFLPKMIFERHEEYYYLNLVDDIIRCGAQKGDRTGTGTLSKFGCQMRFNLRKSFPLLTTKRVFWRGVLEELLWFISGSTSAKVLQEKGIHIWDGNASREYLDSVGLANREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLIDVIDKIKNNPDDRRIIMSAWNPSDLKRMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCGLSPGDFIHVIGDAHVYRTHVRALEEQIQKLPKPFPILKINPLKKDIDSFVASDFKLDGYDPHKKIEMEMAI >cds.KYUSt_contig_680.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000061.1:59407:60109:-1 gene:KYUSg_contig_680.11 transcript:KYUSt_contig_680.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDNIATPGDERQDPPPPNPSEEAAGGEEEAGEEKTLERAEELFHKGSKAIKEEDFVDCLSRALEIRATVSGLSTWTCLRLLPRLQLQRVHLPHPHHHSELHLQHLAKLQLQHVSMLQLQHINMLQQQHLDMLQLQHNLDMLKLMHNLDMLKLLHNLDMLKMHQGRSSLLCGDN >cds.KYUSt_contig_3162.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000611.1:47723:48999:-1 gene:KYUSg_contig_3162.9 transcript:KYUSt_contig_3162.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAMSTESEQCHGGGGGTDDDSLPEDQMFELLTRVSLDDLAACRQVSAQWRRLTYEPAFGPQHCRCRADAVVSGYFVQGMARNRYSATFVSMTRPSSSSPPVPPVSLDFLPCAHVRVEAVSAHRGLACCVDADARVGGKASSARCYYACKPATRQWLALPNPRLRFPTAATAMVARPAGAGAAADFKILRLSVATLRDRLRCEIFDSRRGAWRRSAEVMLWPESLVAAGPATRVHGAMHWLRWPDSLTGASDIFAFDMKSETWRLIGLPPEVGEDRGERWATKKLMTVEGKLCLAVIEDEEAAVWVIDGYGRQQERWEKKMAVSLKGLAVNQGRDLVLRDLCSSEVAFFNSVYRVIWYDFWKGKVVEVPVHHKCIHEVFKYESDLDPWDIDENKI >cds.KYUSt_chr4.14699 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90758596:90761061:-1 gene:KYUSg_chr4.14699 transcript:KYUSt_chr4.14699 gene_biotype:protein_coding transcript_biotype:protein_coding MRALCLFLRRTRLLCCKCGAHLGYGYYGHDTTADSKAPRKINFICNKSLLLRRLTMPINHDLVVFLSWDLTMKFAKEYQKHMTGMEEDLPALGLERLKEMIKKCQATPCSPQGLGDERDVAVPGVMLAKVNCSTADFLLASDLANSCSNYAWLLPFWLTDSYGGFFFVCCRNWFQKIDKIKDSNRQSKQLEELTGKMRECKRLIADLAGVGDALARPRPRPFIQEHHARSVEWRPVGEAERAARELLDAGAGRPAGGGARLCSMEAPPPLLLVLEAPPPLVLERSRMESVRLQLRRGARRGQSSGAGRGRRDQD >cds.KYUSt_scaffold_2697.227 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1515078:1516280:1 gene:KYUSg_scaffold_2697.227 transcript:KYUSt_scaffold_2697.227 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPHGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRRWERELASYDGPPPPPPRNNAAGRRRWWSAPGRTLEAVLDDIEGGNFPVLTMPPPSRASASRRRGNVWQPRRMAASSSSSGSAPRSSLAPVKREEATSPSTPVRVKKEPASPPPTRGRSSGALVIRDQPSQPGRKRKAAKKEDAAAAAANRLAEEEASARRTPPWRRRSPVAQRPGARRQRLSDDAAPAWSEREWEREEAEQQRRPMDPAAARRLAARAAPTAAAADAARYRRPATPPSGIVAPSSTSSPRTTNGTSHPRGGETPARATSRRAAPPKVPKVEDDGSDDGGDDYTVFYRRLGM >cds.KYUSt_chr3.43979 pep primary_assembly:MPB_Lper_Kyuss_1697:3:277657076:277657411:1 gene:KYUSg_chr3.43979 transcript:KYUSt_chr3.43979 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGAAGGRRELHPVPPPDERLVADGSSPSPPPEEWLLAGRRIAATPPTHVVPSPEEQLVELSPSYPSPICFARPPSLLHLFIVTKEAGQQQNNGPPLAGETIIQKIRP >cds.KYUSt_chr7.27757 pep primary_assembly:MPB_Lper_Kyuss_1697:7:173224885:173228259:1 gene:KYUSg_chr7.27757 transcript:KYUSt_chr7.27757 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSGGCGGGTGGGGGGDDQPLHGLQFGKKIYFEDATAPSGGSGSGTSANASSSSRAPAGAGRKGKAAAVPAVPAPPRCQVEGCDVDLSGYKTYYCRHKVCSMHSKAPRVVVAGLEQRFCQQCSRFHQLPEFDNGKRSCRRRLAGHNERRRKPPPGPLASRYGRLAASFEEPGRYRSFLLDFSYPRVPSSVRDAWPAVRPGYRMPSEIQWQGNLDLRPHTGYGPHAYGSHGFPSPELPPGGCLTGVAADSSCALSLLSSTQPWDTTTHGASHDHRSAAMSAAAGFDGNPVAVSPSIMASNYMPPPSNPWNSSRGHEGGRTVLHQQLPHNVQLHEVHPAAGSSQHGHFSGELELALQGNRPAPGPRGDHGSGGNTFDHPGSSSNWSL >cds.KYUSt_chr3.46577 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292951480:292959828:1 gene:KYUSg_chr3.46577 transcript:KYUSt_chr3.46577 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCALSIIFSKLGQSYLILGYQFSFKVVVLLVHRLHLNVIDLVLTRTYTMGDQEDSRPSKKPRASSPAELGSGGLTATLGLVAAGARGKTLDELLALLGASSPDEVNEFVRGLAAAADTFGSGGPLVTFAYGVFHQKRMELTPAYLHTAAESYKAEIRAVDFVEGDREKIREEINGWVAAATSNLIPEILPEGSLLEQTRFVLANAIYFKGTWENRFPEGLTEHLEFHRLGGAAPVDVPFMTLGSGERKVFLSYDDGFKVLKLPYKATDDGARYSMCVFLPHERDGLRAMVDALAAAGGSLLDHVPKSRSSVREVLLPKFKLSFFCSLVEELKGLGLAEAFTEDADLSGLVDQRVSNEFKAHGSEGLKAHEDEDVRLKEA >cds.KYUSt_chr2.35436 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218803562:218811083:1 gene:KYUSg_chr2.35436 transcript:KYUSt_chr2.35436 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGVWSRRSLSGSRWPVVAWLLAVVLQWVEPEVDDGGASSPPSIKLAGLSLDLGIAALLLPPPSHHGGGQDEKRLEGAVVGDSMEGQSGADVLPCVLHGEQGQYNLDLKEMGSPKKAKAEPGCSDRISSLPKEIKECILSNLNVQEAVRASILSSAWRNVWTTMPDILLYDWSFASSVSHTTARSKFITLVDLALALHKGSLDTFILEAHRSFHDVIDRWISMLSKKVPKAITIKFASGPKFKIHSSLFSISDLKHLRVKYCIITLPRKFEGFKRLVVLNLKSFFCTDSDISYLISSCPMLNTLRLKYFEGINCLNIQAPVLEVLEVEGQFEDLHLNAPSLLHAYLTLDKTEAHKYVPVAHDGKRYLMQVFGSLADVKTLIVSGSFLTSYPREEVYARNGVWDQDETAIQKPPLDHLSMVTINEFRGLRCEVSFLGMLLSWAPALEELKIHRVNDEDDDSEEICMCKALMRLLALPRVSPKAKVIVT >cds.KYUSt_chr3.39754 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250510693:250510983:-1 gene:KYUSg_chr3.39754 transcript:KYUSt_chr3.39754 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAPAPAVGQKQKQQRREGMSCQRTPPPPGCFTIQLVIVFLWAAASLAILPLVLPPLPPPPLSLLLVPVCLLAVLAALAFVPLDAHSNVVGSTCL >cds.KYUSt_chr2.55547 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346483123:346490479:-1 gene:KYUSg_chr2.55547 transcript:KYUSt_chr2.55547 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKKAAAASKARKPKQRDAVKKLGKKADMSEFRAQLDSIGVKIVEVTADGNCFFRAMGDQLEGDEEKHMKYREMVVHYIVEHREEFEPFIEDEVPFDEYCDSMMKDGTWAGNMELQAGSLVTNRNICIHMLNSPRWYINNFSGREASNMVHLSYHHGEHYNSVRLTEDPCQGPAMPVVIKTDANVASTSNSAQTKAKDLKKSSNRSTYDDRSVKRVMDGTGCSDAAVAEHVLGEFDGDVDAAVEYMIAELVAVCSDNVDEDLYMDYACKEDELSTSQNGNQINYHKEEESCSSKDETVQKSKSSHAKKDKSNSKECSCGSAKKHKASCSLATAVASKAPPRTKGGQGKAQKGKKQKKKEPEAAPVKERKSTRDASHGDNAAPDAFVVGHGDRRGRCHTRVGHRQGKGSVGRAGSVTPARSPSPAEPECKKDKYFEFVIHITKDPFDRKRLPHKLAKILAGRYGPAGS >cds.KYUSt_chr4.17168 pep primary_assembly:MPB_Lper_Kyuss_1697:4:107169120:107173137:1 gene:KYUSg_chr4.17168 transcript:KYUSt_chr4.17168 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAMAASVKLGSKPDAFRRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSAILEQLIEESSDQVESIIKLNDIPGGAKSFEMVARFCYGVKIELSSANVVCLRCASEYLQMTEDLSDDNLIAQTEMFLNQVVLRNWKDSLKALETCDDLLPHAEDLHIVKRCIESLASKATTDPNLFGWPIREHGIMQSPGGSVLWNGISTGARPRNFSSDWWFEDASSLSFPMYKRLISGMESRRIRPEIVAGSLTYYARKYLPGLNRRNSMGIMPPAATLSDIEQKNLLQEVDRLLPVQKGLVPTKVLLGMLRVSMILKASSTCVSNLEKRVGMQLDQASLEDLLLPNYSYTMETLYNVECMRRILEHFLAMDQANGGASPCMDDMMASPSIIPITAVARLLDGYLAEIAPDVNLKPPKFQALASAVPEYARPLDDGLYRAIDVYLKAHSWLSEAEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSGMVMSGEAGGWSTAVRENQVLKVGMDNMRMRLAELEKECSDMRQEISKLGRGGKTGGGWASHVPRKFNLKMKPQMCSAQEGSVSEQQKSMSAKLDKLQAKLSKQKKQLSADA >cds.KYUSt_chr3.6961 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40143557:40145300:-1 gene:KYUSg_chr3.6961 transcript:KYUSt_chr3.6961 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTEALWRVRGEDPQDLEALVGRILGYIHFVLPDPPVSRRATLRAFLPNDDVDRVSALPYALLRNIVSRLPDKDAARTAVLATRWRGLWRSAPLVLVDSHFLTPAAASGGQVARAEARRVTAAVSRVLAAHPGPIRCAHLTCSYMAEFPSLLARWLQTLAVKGVQDLVLVNRPFPLALDLPGALFGMPALTRLYLGFFKFPDTAGLQSTVSFPNLRELGLCTVVIFGPDMDFILARSPALEILCIQANLFTERLRIVSRSLRCVQVVVATDLDILLAYAPHLERLIVWSVMAKERSNMRIKIAPAPALSILGYLELEFHTLEIGNTVIKAETRASPTTMVPTVKILGLRVRFGIGDDVKLLPAFLRCFPSVERLHIESKKTAEPTGKLNLEFWKDAGAIECVQSHIKLMMFHNFHGYQSELSFLQFILENAPMLTKLVIVYPKRTFTSVTEANSKLEPLFSAKWASTCCSLLLSEGAYAEGEEPLELQSFKRGSNFSVRDPFAFIVRA >cds.KYUSt_chr2.47820 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299056238:299058568:1 gene:KYUSg_chr2.47820 transcript:KYUSt_chr2.47820 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRAGLPHLRRRAAALPRSLAPRRLSSDPPPGAEWTDTVDYLDETGEVLSSAPGARPAVPGAEPTILSGTSAHPLPRPAAAARLAALALRLRSGPSLTAALSALPSPPDPALLLLAASSLPASDPVPLLSLVAWARTQPWFAPSDDLSALVAARLPPPTHSSDILSLFDDALAHPDPALFPRTLNAVVSALATHGLLEPAFYCFKRLRDAGFRGLATPACNALLSLLLTRGLAFKAFEVLDEMSVCGCALDKATYELAVPALARAGRIDASRKLFDEMRQRDGVGPASPAVYSTMVDVLAKSGRLDAAMGMYREMVAVGHRVSMSVSTAMVEGLVKAGKLDAGMELWEEMRRGGLRPSFGLYTMVVEANARSGRLDVAAKLFGDMEKSGFFPTPATYACLVEMHASAGQVDIAMRMYHSMANAGTRPGLSTFTALLTMLANKRLLDLAAKVLLEMKASGFPIEVTASDMLMIYIKDGSTELALKWLRFMGSAGIRTNNFIIRQLFESCMKMGLYDSARPLLETYVGAAAKVDMILYTSILAHLVRCQDEDSERAIMNILSVSRHKAHDFMCGLFTGPEQRKKPVLSFVREFFQGIDYENEESAARYFVNVLLNYLVLMGQMNRARCVWKVAYENKLFPKAIVFDQHIAWSLDIRNLSVGAALIATVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKSDVLMHKLNVMFPSSAPEVRSLSLPRSLGMPR >cds.KYUSt_chr1.19287 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113209471:113210770:1 gene:KYUSg_chr1.19287 transcript:KYUSt_chr1.19287 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEIEHTHLPIRGLNLHVAQVGKDELGTVVFLHGFPEIWYTWRHQMLAVAAAGYRAIAPDSRGYGLSDQPPEDEVATWENLVADVLGILDALSIPKAFMVGKDFGAMPAYDFALRHPDRTRGVMCLGIPFSPVPITIDTMPEGFYILRWREPGRAEADFGRHDVRRVVRTIYILFSRSEVPVADEGQEIMDLADLSTPLPPWFTEEDLDAYAALYEKSGFRYPLQIPYRGLHRMTKHGDAKFQVPVFMVMGEKDYCFKFPGFEDAMRSGIMNTFAPDLKITYIPEGSHFVQEQFPEQVNDLLLGFLKDHP >cds.KYUSt_chr3.18285 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112384718:112393274:1 gene:KYUSg_chr3.18285 transcript:KYUSt_chr3.18285 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAEAPMSLLEDINMWMRAFFWAGKDEVQGGQCLVAWRSICKPKEFGGLGVKDLRLQGLALRLRWMWLRRTDPERPWQGLPGLNDPLVAGVFQSLTRFSVGDGRLTYFWRDRWISGYTVEELAPEVFAKIFAWLALRYRLWTSDRKARHELQEHPDAWYTCLQEEDNVDHVLVLWPYARQVWCRVLHSAGLRIADPGSMGNLHRWWTEARKRVRRIDRKRFDSMVISTTWTLWKQRNARAFGNEREQKMVDQMVVHIRDDFHLWERAKRGGRLDIAREIENVPFTKRCLPTLCGKISCDRAYEDVNKTMDIFSDRKEKDPEFDYSDFLSQTLVPFEVSHIPSFVDDSQAVNVEVTQTCEKSQHAQLESMSQSSVYSLANSVASKVALSLAKARKTREPHDARQAREAHNAQIKGTMKWLPFQSSFVLEKICEIIRIDIHADKGFKEVHLTIVSKALFEHCGAEVTSTLVCNHLRKWRTRGGIHECTVLRGSVRLRSMVSLTTTTEDMRLESRHMRSSTNSWLMRQWTFVVSVQALPAEGVALEGPVTIADREAEEAMTSVILKSFPTHAIFGEENGWRCVEKSADYVWVLDPIDGTKSFITGKPLFGTLIALLHNGKPVMGIIDQPILRERWVGVDGKKTTLNGQEISVRPCNALAQAYLYTTSPHLFEGDAEDAFIRVREKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWEGNKLHWPVTSESRPTSFNVVAAGDARVHGQALEALRWH >cds.KYUSt_contig_1253.690 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:4231748:4235237:-1 gene:KYUSg_contig_1253.690 transcript:KYUSt_contig_1253.690 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTQHREDWIAAYDGNGPPPKNYKSEGGGGGGAPLGGPSPTGITPAEDATAAAAPMSTLPSSGREVGRAPPSSVFFLALLVLTLASSSALYLPYSTLSPRYLVPVKDEQEERPGRHTDDIMVWCAIDALNLWGELKAQLPVKAQNPEPNAMVGGEQEQRLVQESAVPVISSGGDPSCPAVRLAHFLHPRAGGAHRPALPSPPRDTGPVLGDGLQVEFKGWAEPPILWKRWVDKLRPRYELQWRTVGILDAILATTYRVRRDDGAMLQLAAIWSAETNTFLFPWGEATVTLEDVSVLGGLPLLGRAVRAPLEGVPRGDVEALQAVRRALYKRKGQKPDHPSWVRRFLEPPPPPREGAAAAAHDNEAAELLEHGAFLAMWLSLFVLPEPPFDLIRTEVLPIAARLARGGCVALAPAVLASIYIDLSMLNHYINLDERHQPFVGWAPLHILQLWVFARFPELRPEMATTLDPLARHQPWAARWHEVRKEIDPMYLHAVFLSPSEFEWRPYGSSSFAPPREKACSWVYGRDIARSKQLQSFAQCLRACELVGMRCIEQYNPHRVARQLGFDQDVPGSVARADSNWETAWGTYMMEPKNFAFIVPQYMLAVTIEYAKWWEPYSLAYATAVANSVKLKESRALVSPRGENMDVLRDDNASKEKAPPDLVIKQNSSSEHGEVSHHHLVKGAVSTTSSQATGLAHLQSSLEDIMVVSDGESDELVGMEHEVGATQIEGNEKANKDASASKKQSGPLLEDCPVVNRKSSGKNKMSSSNPVDANLELPKGALSTTSGKSTGSATVAHVQSSLENIVVISDDESHELVGKEHEVGAAPIEGNEKANKDASASNKQSGPLLEDCESAGNNKMSSSNLIDANPKLPRRIISTNTLYYLKPFGRVKDAHDRDAAGTNTNQGAYLPRREVGTREMIEEASAAREAEKVVLQKTIDSLQEEIAEAQARLRDSNP >cds.KYUSt_chr5.2831 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18645702:18646016:1 gene:KYUSg_chr5.2831 transcript:KYUSt_chr5.2831 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPADSIATGRSRGFEVPSPSPFPKRVHVFFVDAEEAEPRGRQRLLEVINIDVKAVSTSPLSTWTVPPNPKRIVLARRLVAKDSVRRRFRGVRRRSWGKYVA >cds.KYUSt_chr3.6294 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36081579:36082085:-1 gene:KYUSg_chr3.6294 transcript:KYUSt_chr3.6294 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAAAAAAATSAGDEHHHQEHHQRRTARRAAADFAMGGAAAVVAKTGAAPVERVKLLLQNQAEMLRRGSLTRPYAGIADAFARVLRDEGAAALWRGNQANVIRYFPTQVSLPIQYHLAPSVHLSFCILDWLHQLLRQPIDRCLKSELDLVQTIRQASVDLVSAAA >cds.KYUSt_chr7.19885 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123264346:123267815:1 gene:KYUSg_chr7.19885 transcript:KYUSt_chr7.19885 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGTASGPPPPMAEGGGGAEGSAGSSSSSGAAAIRSLLPTRRRLRLDPPSKLYFPYEPGKQVRSAIRIKNVSKSHVAFKFQTTAPKSCFMRPPGGILAPGETIIATVFKFVEHPENNEKPLDQKCKVKFKIVSLKVKGPVEYVPELFDEQKEQVAVEQILRVVFLDAERPSAQLDRLKRQLAEAEAALEARKKPPEDTSPRIVGEGLVIDEWDQNALNKSLTDKLRKLKNYKDTPLSSGFVVLIEQAATPAKPAATPPNAWTGRIPFTTRKSTLLGAQAPPPCATDAAAILFNTTRTFKIFKSRSLHHTAPGTGTRRTTPPTRRERSKDGRSAAGLHRSTDREGEDHRLQKPLRPRHQLPSAAG >cds.KYUSt_chr6.19563 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123135471:123139480:1 gene:KYUSg_chr6.19563 transcript:KYUSt_chr6.19563 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLVPLLVLLLVVPSLGARAPPNATAVVSRIAFGSCANQSAPQPVWEAILGFDPQVFIWLGDNVYGDNKRPSRVFGRERTVGPWRNLPRFYPATEEELRRKYELGKAVPGYAKLRETAQVIGTWDDHDYGLNDAGKEYSGKVFSQRLMLDFLDEAEDSSRRKQAGVYASYMYGPEGKRVKVIMLDTRYHRDPLLSDGTILGDPQWQWLERELHGPPSEITIIGSSIQVVSNLSATTGPLFYVESWARFPRERERLFRLIDSSKRNGVLFISGDVHFGEIARFDCGAQYPLYDITSSGLTQSVENSVPAIFQSVMRLLAWLTPTPMRVFNPSCRHKSCSYGQPNFGAIEIDWGAVPPKIKVELKDLQGNSVAGVEFPISELKRSDGHLNKKQGHSFERHCNLETELPWLVRRRLALLFIGTIAVFVVAVVLVGVACFSATNMVTKYKVA >cds.KYUSt_contig_973.371 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:2938857:2939471:-1 gene:KYUSg_contig_973.371 transcript:KYUSt_contig_973.371 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGHGYYGGGSPPQGYGYGGHGHEAGYYSNIYPSVPDDPLAGQKAHEFTAARTNELQFQPTETCPKNYFIFDQTYAESRVMFHPSLAHKFDAGKSTYRDKDYDDSCSVRRREDTDEIDALLSSEEDDVVSTGRTPGYRDGSSPDSCSSGKKKKERMKKMVRTLKGIIPGGNQMDTPAVLDEAVKYLKSLKVEVRKLGVRGSDS >cds.KYUSt_chr1.1104 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6014170:6016990:-1 gene:KYUSg_chr1.1104 transcript:KYUSt_chr1.1104 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVIGAVLGFYIGISFPTVSITKLHFPSSFVSYRDETSSDLTTQALLNHAWTSARNTREENSSEQNSNSNATLKIYVPTNPRGAERLAPDIVVPDTDFHLRRLWGDPSEDLPFKPKYLVTFTVGYAQKENINRAVKKFSDNFAILLFHYDGRVSEWEEFEWSKRAIHVSVSKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVDHFDAEEYIRLVKKYGLDISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCSDPHLPPCAAFVEIMAPVFSRHAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWITHLVVPSLGNQGMAEHGRPAWEGVRARCRKEWGTFQTRLADAEKAYYRMMGITPPNSTLV >cds.KYUSt_chr3.33446 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209960206:209962022:-1 gene:KYUSg_chr3.33446 transcript:KYUSt_chr3.33446 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRPLPLSPEVVHPLDVDDLLHEIMLRLPPQPPYLLRASIVSKRWRSLATDPKFLRRFPIHHQKPPLLGVFSCTRGGISFSSTLDPPYRIPPERFSMRPRIRSSQMCLDVRHGRVLINDDMRSRVIVWDPITDDRRVVAFPPQFSHMGIHSGAVLCAAGDLGHVHGACHSSPFKVVAIISNEHDDDDLSDEDDDYEPEVLASVYSSETDMWSDLISTGILGRGIDISLRSTLVGNTLYWLLESTFMLKFDLEAQRAAVTGRFPGAPRGGNLQIIQAEDGTVGFAALCGFHYHRCLQIWDRKIDSYGFPTGVLRKTVELQKILGLESTIDGKSYILHYMEDVKAILLQVHSSVYMIQLESLQPKKLFEITDNCIYRPFTSFYAEGGLRIRQLLKGSFVCVRK >cds.KYUSt_chr6.21523 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135837313:135838326:1 gene:KYUSg_chr6.21523 transcript:KYUSt_chr6.21523 gene_biotype:protein_coding transcript_biotype:protein_coding MRASHSQALLILLLSSLIAGAANAEPSRDPTNKDCHPGDKAALLAIMAALGQPEPPYPDNYCCDWYYVICDDLGRVVGLELFQHAELTGTIPDAVGDLAHLKNLLLHKLPALTGPIPPAIGKLTDLTMLRIFWTGVSGPVPSFLGALKKLTFLDLSFNSLSGAIPASLGSIPNLSGINLSRNRLTGSIPSFISKTADQVYLWLSHNNLTGPIPAEFAAVNFAHLDLSRNALTGDASGLFGGGKELDYIDLSRNSLDFDLSGVVFPAGVESVDLSHNAIRGRIPAQVAYVDDLRYFNVSYNRLCGELPTGGKMPEFDLYDYQHNRCLCGAPLFRPCKK >cds.KYUSt_chr1.797 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4264875:4267464:1 gene:KYUSg_chr1.797 transcript:KYUSt_chr1.797 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSPLVPAKVPVLGTRTPISRAACGETMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESSGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >cds.KYUSt_chr2.7051 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44145357:44147215:-1 gene:KYUSg_chr2.7051 transcript:KYUSt_chr2.7051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Isoform 2 of Heat stress transcription factor B-4b [Source: Projected from Oryza sativa (Os07g0640900)] MAFLVERCGEMVVSMEMSSAAAAHGGGGKPVPAPFLTKTYQLVDDPCTDHIVSWGEDDATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLAEIHRRKSSQPPPQPLVPHNAAYHHHHYHLGNTFSPPPPPATHRHHHQPVLYHQHFQEEPAATAAMAHGGVSSNVGGGGDFMAALSEDNRELRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTSPSLSAAASQRHMLLPGAGAASNSCRLLELDLDRPDSPAAREDDGDTVKLFGVALHHGKKKRAHQEERGDAVVHDMGREV >cds.KYUSt_chr1.36400 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222076527:222082817:-1 gene:KYUSg_chr1.36400 transcript:KYUSt_chr1.36400 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWMVLLLLGLAAGGGVIQAHGQVDSLGFINIDCGLHVETGYVDNTTKLSYVPDAAFTDAGTNHNISAEYLRPTQDKIWRNVRSFGGGDGTRSCYTLRSLVIGLKYLIRAMFLYANYDGLNRSPTFDVYIGVNYWQTVNISEGDMPVIAEIITVISGDSLQVCLVNTGSGTPFISSLELRPLQNKLYPQADASRALVLAGRANAGASTDNFVRYPDDPHDRIWIPLTMDEWSAISTTNKVQNGVTDIFEAPSAVMQTAATPTNSSRPIVVAWEQKPSAKDKPPGFVCMLYMAELQHIPSNAIRQFHVKLNGKLWNQTTLGLRYLETIVLYNPQPDYASQQYIISLEATANSTLPPILNAFEVFSVIPTTGIATVPQEVSAITTVRNKYHVERNWMGDPCAPESFVWNGLRCSYAVSSPPTITGFIADSASLSTTPLHPKEKIGPQNEAIIANTQNRNGHTSLQIENRRFTYRELEAITNGFQRVIGRGGFGNVYDGYLEDGTQVAVKLRSESSQQGVQEFLTEAETLAKIHHKNIVSLIGYCKDREYMALVYEYMSEGSLHEHLRGREHNTRSLTWRQRLHIALESAKGLEYLHKGCNPPLIHRDVKTANILLNANLEAKIADFGLLKACNSDGDTHASTARLVGTPGYLDPE >cds.KYUSt_chr7.39544 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245813165:245814271:1 gene:KYUSg_chr7.39544 transcript:KYUSt_chr7.39544 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVLVRRARSRSPPGRFDPSRRTRPRVEEEPAVARVDMASALPDDMLLEVFKRLLPPTGVVRCAAVCRSWRRVVSRAGSGTFPSPPRHLGFFRNYGPSALPPFIHTAGVALDLGFLPVSRVILIDSRGHRLLLRELCAGFKTELKLLVCNPLQKTFARLPPLPIGGHLVACCAVVPGQGAEFRVVVVLVGATSPNFYVFIYSSASSAWEVATGTLKRRLTPHQGPSVVIGDFVYQLDCEEKYIMAVNTTKMTISVLSIPGAGMRLYTGNNWIGKTEDSRLCFFAIREPLIMVRWVLEAPRKWTPQEPVALRPLMNPATVGDLHGLKLSARIADQLVHGCKLVSVGGFCESSGTLFLIMADRVVSLA >cds.KYUSt_chr1.17980 pep primary_assembly:MPB_Lper_Kyuss_1697:1:104856794:104863520:-1 gene:KYUSg_chr1.17980 transcript:KYUSt_chr1.17980 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAGSETGPGTDHELPMQQPPPQQQQHEVVLAVAELQAPAPASVVVAAAEVPAPVSVVTIVISQPDEVAPEPKAVAQASRAPLEAGDDVAMAALAAAKEAELARSDSFDEQCRVCQQKSEEPLVDLGCRCRGDLSKAHRTCIDVWFRTRGSNKCEICQQVAVNIPPPETQASTSYWVWRVDSAYGRGRGGRERGWFSPLWVAFAILIGGLLLDVLISVSLGVSTLPVNIIIEVRTGQPGFPGPAWLSARSGLTAMRERLGVMTVGCRGSSLGWRWSVCFLVLEAGGPPGYGGEEEELRRAAVWTTRSAGPIRRVVWMVFVNTDETPARLLSVPAATAPAGGVPLLGGVVECVSTFPSPL >cds.KYUSt_chr5.36601 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231412784:231416410:1 gene:KYUSg_chr5.36601 transcript:KYUSt_chr5.36601 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVRRRVPHAAPRGRGTGEKGRVQAGDALPLPIRHTNLIFSALFAASLAYLMRRWREKIRTSTPLHVVGLTEIFAICGLVASLIYLLSFFGIAFVQSVVSNSDDEDEDFLIASAQAPPPKPQQCALLQSAGAAPEKMPEEDEEIVAGVVAGKIPSYVLETRLGDCRRAAGIRREALRRITGREIHGLPLDGFDYASILGQCCEMPVGYVQLPVGVAGPLVLDGRRLYVPMATTEGCLIASTNRGCKAIAESGGASSVVYRDGMTRAPVARFPSARRAAELKGFLEDPANFDTLNVVFNRSSRFARLQGVKCAMAGRNLYMRFTCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVVSISGNFCSDKKSAAVNWIEGRGKSVVCEAVIREEVVRKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAVNDGRDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLATVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSSRDMSKVA >cds.KYUSt_chr3.20264 pep primary_assembly:MPB_Lper_Kyuss_1697:3:124885458:124894965:1 gene:KYUSg_chr3.20264 transcript:KYUSt_chr3.20264 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASLEGHHKDYLDACLEGSFTSKEVEARWDLLGRIQSNTEDWENNKGYVEKLPFKPLPPKGEKKKKKKKKGTKKKKKKKKKKKKKKRGNKKKEVTAYPRVYEITIGQRMTTTATRRWWKRRDGSDDADDLVPMDTQEQEELVRSLEQKQAHHSRRWRVRATANSRSIRLRRVFAGFLLGYAAFLVYSSFHHAWSPWELRYHAYFMEDLPSPMVIFSDWIAALGCLLAVKGLLQESNSSKRWMWYSLYVGMAVSIFWTYYLLKLPRIRWDVVWLPLGPLIASVLSLYVDHTLVKSMQDISTLRSYMYNFKSL >cds.KYUSt_chr4.1754 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9400004:9401762:-1 gene:KYUSg_chr4.1754 transcript:KYUSt_chr4.1754 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVVGTSFRLYPREPLHPPGAGGRDGGHSGGRVRAGGSPWGRRASAGGRQWGWMLTGEKEEGEMRREGGGDEGNPPRHQSTKFQSPLDSNILEKRDTDVPGNRKPATMAQKYSTASVRLMLTLLVLLVFVGSILANGGPSECDESTVQQDCPPIHGGS >cds.KYUSt_chr6.3847 pep primary_assembly:MPB_Lper_Kyuss_1697:6:22097675:22098946:1 gene:KYUSg_chr6.3847 transcript:KYUSt_chr6.3847 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAAAAAAAVSKVLADDDLLAEILLRVGFPTTLVRAAAVCKRWLHHASHKAFLRRFRKLNPPRILGFYTQVFQGDPRFVPMLPQPPELAAAVRIVQGYSFGTPNDGHSLVRHQVLVQDCRNGSVSTALRSTRDHAMVEEWLGFSVGMHSPLCPEKAMAIDPPLSPHHHHGPGCFLILSREENDGGLSYFFVLLENPKNLDITSATNFTAHMFMLKDGAWCMLASATTQIVHWRADIRAVLVDNRIYIMATYIEITVLDLTTSSFSTVKLPQGVGRSMLSRADDASSVYLIGVKEHQLGIWLHKGDSLSIMDTICLREMCANLMMSDCRVKDVLTFPLWIIYVGDNAEFVILQMGRFVFYLDTRCRTLRTLYETPEGEPYQVDFNSQPFMMIWPPTFPTLKCDPTRFVFWPLDTLYSDLIDV >cds.KYUSt_chr5.4141 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26559839:26561339:-1 gene:KYUSg_chr5.4141 transcript:KYUSt_chr5.4141 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEKEALFLLTFADGTEGSADARDAAAVLRGYAVPAPAIRSGRVFDMVVEYVEKHNAHRSGQAVDRDIDDWDRRFIARAAGDTDALHDLFLASEELLEYELMDLCAQTTADMIRGGTVEEIKTLLGIVGITPEQDLLAQHDHDRLLRIIR >cds.KYUSt_chr6.4129 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23968264:23968854:1 gene:KYUSg_chr6.4129 transcript:KYUSt_chr6.4129 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSTPSEVLEAGSLQHDLLPHGGTCASRTPTSRNGSVRNRQETPARSRYPALCDAPACCAPTGQRRRGLHVLARCSLPRPNQLRAGRPPPVALLLPVPREPSLPAQCCSPRHPDLSHGERARPGGVDQDHEPRELVHQPVELALRRVGDVDGLEKAAPMARPISTGSMHPSLTEPSAGPPSRASTAANTACLTA >cds.KYUSt_chr4.40672 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251089701:251093448:1 gene:KYUSg_chr4.40672 transcript:KYUSt_chr4.40672 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAARRLRDLQAQPGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEPQLRKMEAGGNDRLNAFLAARGVPKDTPHVPKYNSNAAAAYRDRVVALAEGRPWTDPPVVRETPGSGAPAPARKPPLPAGGGGGGGGGGWDDWDDEVRPDMRRNQSAGSFGNGGADSGRQPTRSRSTQDMYTKQELEASAASKEDFFARRMAENESKPQGIPPSQGGKYVGFGSTPPPSANRNNGAAQGGDVMQAVSQGLGRLSLVAACAAQSAASVVQVGTKEIQSKMSEGGYDQKLNETVNVVTNKTAEIGSRTWGIMRGVMALASQKVEEYAKEGGVGGGWGDDWQQQRTQNSSEPYRRYEHETSNGGNSWNSPQDGSSKNQNSNSWDDWDEQDKKAEPAKPSQSSDSWAGWDDAKDDGFDSYNSNSPANKGSNQNGISGGSYWDGGFR >cds.KYUSt_chr4.53213 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329536819:329538029:1 gene:KYUSg_chr4.53213 transcript:KYUSt_chr4.53213 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRAKPWSVHRPCPEDTRGTGIVILLHTPTARRLRVRLPEVRPFQIVGFSDGHLVLLHKRAAAVCARAPPLHPRRRPLPVARARVPPARALSSPCEPRYALPPPPPPASSSGSGSRPSPLPYKEVVVTAKAGSDHWDMTSMSWSSHQCSASAREFFSSLQISGNGPAPDALSGAKLQHDLHLKRGSTRSSHADCQELAAKWIDPQMVCR >cds.KYUSt_chr1.42222 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258548686:258549051:-1 gene:KYUSg_chr1.42222 transcript:KYUSt_chr1.42222 gene_biotype:protein_coding transcript_biotype:protein_coding MQATPKSPLVQIAETKEELYRLMADNAELIGRGNLGLVKQLALHVEPKPHDPTWRFYRRAKMVNTTLFYSCFLFGGVISAYHRSEE >cds.KYUSt_chr3.26375 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164250103:164251470:1 gene:KYUSg_chr3.26375 transcript:KYUSt_chr3.26375 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSVAAEWDLLSDRFYRRITIYSPLPWSSPPTTTASSSSSSAAATGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLFSSSGHPLASSPWTPHLPRLHSLAFSSSLNLLALLSDGSLLRFRLPDLKPIPTSTPLPLLPPASGGVADAVFWGGGVAILTEDNRVVVTADIEADDPHPRELADPGVAEDEQVLCMAVVEPQFVMSGSPEVLLAVGDRVVAVDEDGVQLLGEALEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSRIIFEYECDSALPPDQIAWCGLDSVLLYWPEVLLMVGPNGDPVQYNYDEPIMLIPECDGVRILSNSSMEFLHRVPDCTTSIFGIGSMSPAALLYDARDHYDKQSAKVQFNNSLSYVVDNLCH >cds.KYUSt_chr3.24664 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153076099:153079822:1 gene:KYUSg_chr3.24664 transcript:KYUSt_chr3.24664 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSAGDDGLFRIALKKRPVPKGLYGELWPKSTSENEATLGYASVRLNRAAGRKVDPVREAVNQVRVNEQRMFVEVAAAEQRRKSYRSRRWARENHSLRDGQQAVIELKNYANVQYVGQIGVGNPPQNFTVVFDTGSSNLWVPSADCYFSLACYFHARYVSGRSSAYKENGTPVALHYGPAGAIFGFYSEDEVTVGGLVVKNQEFVEATYEHGFTFLAAKFDGILGLGFKEISVEGSVPVCAGIESVAGEAGTSSVDGMSDALCNACEMAVTWMQSEFVQNRTKEGTLEILGEVFMGAYHTIFDYGKMKVGFADSA >cds.KYUSt_chr2.8023 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50412522:50412887:1 gene:KYUSg_chr2.8023 transcript:KYUSt_chr2.8023 gene_biotype:protein_coding transcript_biotype:protein_coding MARADKHLLVYRRRTLEEREAAAARRREEEEAAAKLKEEQQADVTLKQLGECFRTTQVIQLPTDRPKPTPKPRRYHVSPNLEEKVKAGVMLCWLKKGMKKMKEALKIGRGKDKGQVYHRKG >cds.KYUSt_contig_1253.48 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:254547:259243:1 gene:KYUSg_contig_1253.48 transcript:KYUSt_contig_1253.48 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLEFILQSIQELIDDQGDDNPFGEDNLMPDVSAQDVANGKDVQGIPWEKMLFPRDQYREMKMRSYKNYQNLSYAREDAVEHCKHVEKDSPYYDFQYNTRRARPSIVHFQLRNLVWATSKHDVYTVHNQSLTHWSSLDQISTELINGDDCIIPKQRGHGSQSVSMVQFTTMAVDKDLLVLGGFQGELICKRLEDDGIVFSTRVTEDENAITNSLEIYEDPNGSRRLVAANNDCSVRIFDTEYFDLLNHYVFPWSVNSVSVNPDGTLFAVLGDHEDGLVFDPKCGKAIGKLTGHLDYSFSSAWHPDGNILATGSQDTTCRLWDIRNLSQSLAVLGGRLGSIRCIKFSSDGRFLATAEPIDFVHIYDCFADYGKSHEINFFGEIAGLSFSPDTEAFYIGVADQTYGGLMEFSRRHQHHYLNCLW >cds.KYUSt_chr3.5580 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31541492:31543438:-1 gene:KYUSg_chr3.5580 transcript:KYUSt_chr3.5580 gene_biotype:protein_coding transcript_biotype:protein_coding MGATDRSLDESYVRLLDIHYGDRSVVVFHANLILGGVECRPLPVCHARLFNVSTSLAFTVSATNWELFFAANCSQAPPAVTCSSKRSKKKLLIVISSEEVVMVWFSKEDYMMAVWLL >cds.KYUSt_chr2.51894 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324032601:324036253:1 gene:KYUSg_chr2.51894 transcript:KYUSt_chr2.51894 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRLAACAVLLCVLLSPSSALRWLADPAPETAAAAAGHDSYRTAYHFQPANNWQNGPMYYKGVYHFFYQHNPYQATWGNGNLSWGHSVSVDLINWSALDNAMDPDSSFDINGCWSGSATFLADGTPVFLYTGIDANNNQVQNVAYPKNASDPLLREWVKPSYNPVIALPDDVVHDNFRDPSTAWIGRDGLWRVAVSAGLKDGTGSTLVYRSKDFQSWERNAEPLYSSSDAGMVECPDLFPVAGPGDQNGLDYTPSNGAAASYVLKQSVMVTLSDYYVLGRYDDTADTFSPVEADNDCRTWHRFDYGHVYASKSFYDAGKKRRVLWSWANESDPEADYLARGWAGVQTVPRRIWLSDDGKQLLQWPIEEIETLRKTRVGLLGAEMNAGGMNEIIGVAGMQADVEVVFEVPSLEGAENLDPNQLLDPQRLCGEKGASVLGGVGPFGLIVLASGDLQEHTSVFFRVFRHDGKYKVLMCTDLRRSTTRADVYKPPYGGFVDIDIEKERSISLRTLVDHSVVESYGGGGRTVITARAYPEHVATANSRLFMFNNGTGAVKVSKLDAWELAPAKVNLPGDGLITAASSMHLREAY >cds.KYUSt_chr3.32174 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202187472:202188161:1 gene:KYUSg_chr3.32174 transcript:KYUSt_chr3.32174 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLEQAAGSAYHAIPADPHDVDEAPVLGNAPPAAPKEDWRLFPVLILFSLVVMAVMFAPVEYLVQTNLASFSVGLAGYDGIDVARPGSVVSPAFNLTLRMSKECADRAEVVLTYSGVALGWARVEPRGCVSREPWGRDVQVSTRVDGVGLSRSLRERMAADWRRSGRVELDADVVIYKDRDSLSYLADDTRDKVMRCKVVMAADGLQPEPEPCPWYYLRPYNYDKFE >cds.KYUSt_chr3.35069 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220085334:220087618:1 gene:KYUSg_chr3.35069 transcript:KYUSt_chr3.35069 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWYFLLVAVIVALSTNLAEGATVEYQFDVATMNVTRLCSSKSIVTVNGQFPGPTVFAREGDLVVVRVVNNAQYNMSIHWHGIRQIRSGWADGPAYITQCPIQPGQSYVYKYTISGQRGTLWWHAHISWLRATVYGPIVILPKLGVPYPFAAPYKEVPLIFGEWWKADTEAVISQALQTGGGPNVSDAFTINGLPGPLYNCSAKDLQRAPHRQAVLPGGHLLHDGRAAAPYSTAASGTFDNTTVAGILEYENPSSGSSAAFNKNLPVFRPTLPKINDTSFVSNYTAKLRSLASEEYPAQVPQEVDRRFFFTVGLGTHPCAVNGTCQGPNNSSRFAAAVNNVSFVLPTTALLQSHYTGMSNGVYSSNFPIVPAKPFNYTGTPPNNTNVSNGTRLVVLQYGDTVELVMQGTSILGAESHPFHLHGFNFFVVGQGFGNFDPVKDQAKYNLVDPVERNTVGVPAAGWVAIRFRADNPGVWFMHCHLEVHVSWGLKMAWLVQDGNLPNQKLLPPPSDLPKC >cds.KYUSt_contig_786.491 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2736873:2739153:1 gene:KYUSg_contig_786.491 transcript:KYUSt_contig_786.491 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKFCPEQWDSAIQHMKKSCCSDTNEDPLKMEEEVFKKIMFSYDNLKSDRLKNCLLTCSLWPEDQAIHRKELAQCWIGLGYVNEEDIQSSYTKAYSLMSDLTCACLLEDCEEWNDHVKLHDVIRDMSLWISCGCGENNGNWFVRAGVGPDEKFSIPWSSAEYISLMFNGMKKFSSIGDPLKLRVLMLQDNMLDETIIGEFTQPRRILQVCTPDGSCEGRKERLVGRGIPQQSASSGRCKQPGSSGYGHSAMIEVSEFEAPTGVAGLPMAPSRSGGEKGVPREEEDGNWDDDDGTEEAGFRQGAGTMRKGTVDREDDVYLEFEEEDEVKPAPSERKTWDLLARYMASFKPNTKAMFNYFIEEVWHPRTGIEYSEKGKNYYMITLFSKGDYDFVKRGGPWIFNRNALIVTDMVPAKQPSETVLNSVPIWVKIYDVPWGKQDKTWGMRYGDGLGEAMEVDVPASEQHKKEYLRVRVLLPYDRRLQTHITTGVKGKPQEKKVFKLKYERLPYYCTHCGFMGHKTDVCEKNLRGTPSLNYDAHELRCSPQKKFEHRPRYVPPPHVKRGLSFASFGSAEFLSPSFPFHGRRSIDPRLHMFVDRRRPTRLAFILPRPRLSSGGLRRR >cds.KYUSt_chr4.40439 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249509312:249510924:-1 gene:KYUSg_chr4.40439 transcript:KYUSt_chr4.40439 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPVLSDSEVTKRRLALLGAGALSTALLNSRSAYAEEVPKNYRSYVDANDGYSYLYPSDWRDFDFLGHDSAFKDRNVQLQSVRVAFIPTTKTDIRDLGPMDEAIFNLVNNVYAAPNQVPAIYDMQERTVDGRNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNKLKVVADSFKISELKA >cds.KYUSt_chr5.41224 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260204505:260204834:1 gene:KYUSg_chr5.41224 transcript:KYUSt_chr5.41224 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQSKTAAVALFLIAAVVAAASVPAASAFGCYDDCYERCANGKQDPACVKMCNEACGGIGNTVLGGAAAVAGAGAAVVGAGANVVGAGASAVGAGASAVAGGAAAPTA >cds.KYUSt_chr4.10144 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61377682:61379801:-1 gene:KYUSg_chr4.10144 transcript:KYUSt_chr4.10144 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGIWLLLSLLVVVMPVKGSCEKIVRTGTGNLIQCYDPAPALTDATNGTAFSARLHQLLRALPSAAATTGFASLHSAGNDTAFVRGLCFGDATVPSDCLACLTVAATNLTSGCGSTTRRAGIWTDGCFVAYADTDASSRSEDAFRSRVLLQGHVAAPVPDTDATNYSDYQLRRHAYVVAMAKHGAVDAASDISGPRMLATADKTSYDGWPVVMRSRVRVLAQCPRDSTEDECILCLYDSVHAVDWDVDAASGDGGVAAAVVAFNCYLRFEVSTSLLPQKNFSSFLIDKRAHIGSFLTQCYDPEPALTNDTEFRATLLRLLHALPSAAAPTGFASLNSTTGRGDRAFVRGLCFDFETPAPSDCLRCLTVAARNLTSGCGATTRRAGVWTDGCFVAYADTDDSSSSEDDFRSRVLLRGHVAVPVPVGGLAYYPGDVHAKVVVSAQLRAKIAVLDIKGPRLLVTADETCDDGWPVTVTSTVRVLAQCPRDRPEVDCSLCLYESAQAMDWDLDATRGDGGVAAAVVGFNCYLRFEVSTSVPPHSDSVAVSAGGFVAAVALSVSSLLILGTWG >cds.KYUSt_chr4.6388 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37580509:37582029:-1 gene:KYUSg_chr4.6388 transcript:KYUSt_chr4.6388 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVGGLLGGLNSGVVLSLIAVLWTVVWQNLQHLQLQHFFKRHIGRHARRLSALVDPYLSVTVAEYDGGGRMRRAEAYEEVKAYLADTTSRDARHLRAEGAKDADRLVLSMVDGEEVADVLLPEEGGGTVFWWAYSKPPPGQQQDRRWGGGGGGGDQDNHRFYRLFFLDRHRDVVLNTYLPRVRREGRAVMVKNRRRKLSTNISTHQFTDAGFMRTAWTHVPFEHPKTFATLAMDPARKKEIVDDLDTFKNGKDYYARVGKAWKRGYLLHGPPGTGKSAMIAAMANHLDYDIYDIELTSVSSNTDLRRLFIETTSKSIIVIEDIDCSLDLTGTRTKKTDDKPPKVDGDGRKGAAADTSSKVTLSGLLNFIDGLWSACGGERIIVFTTNYLEKLDPALIRRGRMDKHIEMSYCGAPAFEFLARTYLGVEDHELFADVGALLQEVDMTPADVAENLTPKSADDDADSCLRGLVAALEKAKEDKANGRGKDKQPDEDEGQVVIAQDQE >cds.KYUSt_chr7.40219 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249710528:249711917:1 gene:KYUSg_chr7.40219 transcript:KYUSt_chr7.40219 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSALPVVESCLVAPSDETPRRGLWLSPLDLVLATRGHTPLVHVYGASDVAGFFDVARLKESMAKALVPFYPLAGRLGADSDGRVQIDCNAEGALFVVARSEHTVDDFSDPSPSPELTKLFAPRVQPPSVMLAIQVTFLRCGGVVFGTAVHHAIVDGSSMFHFTRTWASYCRYGDGAAVAEAPPCHDRALLRARSPPFIHPETIPMFCSNLTMHDSPATSVLGTEVFTISGEQLHALKRHCDGASTFCSVSAMVCRCVCVARELDLDATTRMNFPVDIRRRLTPPLPDGYFGNGVVNVFATASVKDVVSGTLASVAFRVKATTERLNDDDVLRSAVDYFETTAEKGMRAAEDRGNLPETELRMNSWFHMPMYDTDFGWGKPRMTTRAEAVRGGWVYLLAAGGGGARVLLSLEAATLRKFDVVLRRVLASERARL >cds.KYUSt_chr4.53406 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330570628:330572158:-1 gene:KYUSg_chr4.53406 transcript:KYUSt_chr4.53406 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAAKYLLGSPGASGFGSKSTADEVTASCPDLGSLTAIITGATSGIGAETARVLAKRGARVVIPARNVKAAEDMRARILGECPGADVLVFHLDLSSLASVRAFANRFLALGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAATGVQGRIVNVSSSVHSWFAGDWADYLSQVTRRKIAYDATQAYAVSKLANVLHTKELAVRLREMGADITVNCVHPGIVRTRLNRDREGIVTDLVFVLLSKLLKTIPQAAATTCYAAAHPRLAGVSGHYFADCNEALPSPAAASRRQAARLWEASEAMISGGGIPVLQLQPDRNI >cds.KYUSt_chr7.35793 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223608944:223612143:1 gene:KYUSg_chr7.35793 transcript:KYUSt_chr7.35793 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLAIPIIVIIHVGLIVPIAVSAAMAAGAAPVADDRFALLAFLSDVSADPGGALTDWGHSPGFCNWTGVACGRGPAGRRRVTQLVLSGHGIGGVISPALGRMSFLTVLDLSSNGFAGEIPLELAALSRLTQLSLTNNLLEGAIPAGIGLLRELYYFDLSGNRLSGGIPETLFCNCSALQYMDLANNSLTGHIPYAGECRLPSLRFLLLWSNDLSGPIPPALSKSPKLEWVDFESNYLAGELPSQVFDRLPRLQYLYLSYNNLSSHDGNTNLDPFFRSLSNCTRLQELELAGNGLGGRLPPFIGDLSRRFRQIHLEDNSISGSIPPNISGLVNLTYLNLSNNLLNGSIPPDLSRMRRLERLYLSNNLLSGEIPGSIGELPHLGLLDLSGNRLSGAIPDTFSNLTQLRRLMLHHNRLAGAIPPSLGDCQNLEIVDLSYNVLQGEIPAHVAAMDSLKIYLNLSNNHLEGPLPIELSKMDMILALDLSFNELAGAIPSQLGGCVALEYLNLSSNKLRGALPTAVAALPFLEVIDVSRNGLSGALPESLQVSTSLRDADFSYNNFSGVVPQAGVLANLSAAAFRGNPRLCGAGNVVGIAVCGARRADRRRVMLPAVVGIIAAVCVMLLCAAWCRSMAKEKATRQSTWLVSGQEQAEREHPRISYRELSEATGGFAESNVIGAGRFGRVYDGTLRGGVRVAVKVLIEPKGSGDGEVSVSFRRECEALRRTRHKNLIRVITTCSTANFNALVLPLMPHGSLEAHLYPHDDVGGRLGFDQLVSIVSDVAEGMAYLHHYAAARVVHCDLKPSNVLLDDGMRAVVSDFGISRLVAGVDGDASSTSSISTGLLQGSVGYMAPDHFVGSEYGLGGRPSVRGDVYSFGVMLLELLTGKRPTDVIFSEGLTLHDWVRRHYPHDLAGAVAHAPWRRDVVDDTVQVADMAVVQLIELGLACTQYSPALRPSMADACHEITLIKEGLAKHGGGADAADDDGGRSFSTTKDSLFSNSS >cds.KYUSt_chr7.41189 pep primary_assembly:MPB_Lper_Kyuss_1697:7:255208579:255209568:1 gene:KYUSg_chr7.41189 transcript:KYUSt_chr7.41189 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSALPRPPPPQRYYCHQCDCAVPIPAPASPDDDVFCPLCAGGFVEELLDENPSSPPPPPPPSQSPFFPLSSFLDLRHPSDLAGVLGPPSPSAPRASASASAAQFDVTDFLHGHLGGILSGGATIQIVLEGSSFPAGAVFGGGGAGGLNLGDYFMGSGLEQLIQQLAENDPNRYGTPPTAKAAVAALPDVAVSADMMAADGGAQCAVCMDDFELGAAAKQLPCNHVFHKDCILPWLELHSSCPVCRHELPTDDPDHDNRQASAAPAAAALASPGAPSPRVMERRFRISLPWPLRAAFGAHAAESGEPDADGSGDNNDAHRSYDDLD >cds.KYUSt_scaffold_1259.82 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:601328:606356:-1 gene:KYUSg_scaffold_1259.82 transcript:KYUSt_scaffold_1259.82 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLGAQQQRQQHHQPPRTPTRPHLQHIPSNRFRDHHHPQPHAGLRILRITITPPFFLLLLAAVYLLASFTILSAPAASLRPASNPNRLIVPMPPPSPGLFDLDNGRILARISNVGATVTSLLVPDKKGVLADVVLGFDSLDPYLNGTSPYFGCIVGRVANRIKDGKFTLNGVQHSLSINSPPNTLHGGFKGFDKVIWEVTEYNKGKTPSITLKYYSKDGEEGFPGNVSVTARYSLVSSMALKLEMEAVPLNKATPISLAQHTYWNLAGHSSGDVLAHSLQIQGSQITPVDETSIPTGEFMPVSGTAFDFLTENEIGGRIDQVPGGYDHNYVLDSGEVRSGLQHVAKVTDPSSLRVLNIWSDAPGVQFYTGNFVNGVVGKGGAVYGKHAGLCLETQGFPNAVNQPNFPSVVVHPGEKYIHTMMFDFSTK >cds.KYUSt_chr4.1595 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8427505:8443374:-1 gene:KYUSg_chr4.1595 transcript:KYUSt_chr4.1595 gene_biotype:protein_coding transcript_biotype:protein_coding MILTAMSLVLMFSAGAAGGILHIPSNDSLAHCIPRCGDVDISYPFGIGSGCFRQGFELTCDHSTKPPKLLLGNSTIVLTDVYYDEAFTPIFFNLTTRPGTNTYNMSWEAPTEGITLSSDNTLFVAGCDFDVTLFEYGTGDIVGSCMSRCAGKKAPTGVPCNGIGCCLIPLPRDLPGFRAKLVSTNATATQSDWLHPGIMAFVTYTDYPYRSNTTAVFSTWSNNASDYFVYGAEISVTIMDQPSCQSAQKNNAIYACSNGSSCQDSSSGGYQCSCSSNAQGNPYILDGCGKADYNPKPKGHCPASCGSIVVPFPFGLQEGCSANEKFLLNCTSGNLTLSILEEIQYHVANISVDDGTVTVTNMVSGSNAKEVILIEQTRNVDGRAAMNSAIFMEDQFDLSMEYNIVIKWAVANLTCQPAKQKETTYACRSSHSDCLNVTHGEIFMGYRCKCSSGFQGNPYVQDGCTDINECALPLYCNGTCQNFPGGYTCRSCPHRKEFDPIKRKCVMSAKQHNLLL >cds.KYUSt_chr4.15852 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97925952:97926254:1 gene:KYUSg_chr4.15852 transcript:KYUSt_chr4.15852 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRCLLRPPPISLGTPSAAGGRFGAPDGVRTLGAPFSERGRLLRFYSSKEEGVGNAETAARSGGNSNQQEHFLTPKEEGVGNAETAAGRRTSRSG >cds.KYUSt_contig_1181.29 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:231073:232555:1 gene:KYUSg_contig_1181.29 transcript:KYUSt_contig_1181.29 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSSLCLLLLVALSSLACCNLVRAQTQILFQGFNWESWKKQGGWYNFLKGQVSDIAKSGVTHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTAAELKSLIAAFHSAGVQCVADVVINHRCAEKQDARGVYCVFEGGTPDDRLDWGPDAICSDDTKFSDGTGHRDTGDGFDAAPDIDHLNPRVQRELSDWLTWLKTDVGFDGWRLDFAKGYSPAVVRSYIESARPGFVVAEVWSSLRYDGDGKPSHNQDQCRQGMVDWARAAGGPAMAFDFATKGMLQAGVQGELWRLRDGAGKAAGMIGWLPEKAVTFVDNHDTASTQGLWPFPADKVMQGYAYILTHPGIPCIFYDHMFDWNLKQELTQLAAIRARNGIRATSTLRILAAEADLYLAVVDEKVLVKIGTRYDVGDALPSDFHPVAHGKDYCVWEKGSLRVPAGRHL >cds.KYUSt_chr1.39627 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242486107:242488699:1 gene:KYUSg_chr1.39627 transcript:KYUSt_chr1.39627 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSMTSSVLSANYNTILFEFGVTLVTSKILYALLRNVYQPRVFSDLLLGIILAQFRVLSITNAINLVFGKIGSFIFAPYLFALGVEMDPFALLDGPTGDAVVAYAGIISTCVLVTLFHTPVMQDKGGIVHELSLRAFLGLAIALSNTASPVLTRLATDLKIAKTNVGRLAVGAGITSDLVTTLLIALGSIIWRDSDADGTEGPGLFRQPALTAAVLVVVVMSGFVSRVMADWVDGRNPEGRRMRGFDLSLVALVAATLCWFSSVLRLDVNMAAFLVGLAFPCDGRVSRLLVSKINFVLSSFVLPLYVAHVCLSLRQTTDDIEASGLTKNEGLRVYVMNLPFPWWKVFFVTAMGTLGKLVGCAGVGLLQGLGWLEALALGMLLNVKGYFHIYCALAAFESGIITDKSFMAIIFMVALNVAVTPMVGMGIASWARRSVQWRLMGLQHHDPSTELRLVVGLHGPQDVPTLAFLMETLRWGAGNGELACYAVDMVQLTDQTAATIVKGGGFDGVTVVDEEVSEMRKLIGEALDAYQADCGGDSDKVKVRRLLALSSFPDMHSDICICAEDAMAALILLPFHKTQCLDGTMDGGHFGFRLVNQKVLQLAPCSVGIMVDRGFGKQRSPTMTMPDAPQSAVVVVFIGGADDREALTLASFMCKHPGMRLTALRIVQNATAQARAKARTSLFESKSRRNIQQPASVLGQEELQAQADDKYFAEFYRKHVAGNKAVGYLEKHVADGAELVSVLRGMQGEYRLFVVGRGRDRNSVLTEGLEEWAECLELGPVGDILASSDFSTTASVLIVQQYDAKKHYKVIDEEFMPL >cds.KYUSt_chr7.11617 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71401734:71402336:1 gene:KYUSg_chr7.11617 transcript:KYUSt_chr7.11617 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRQSEWIVLRRVHAFLKSRKLHHAAYALEKEARLKLDLPHLHKLFAKGRWRAADEYVTAFLGAAKEATSTPAASATLFVVRFERFVRALKRGDEAWAMRYFGRAIEPLLDSHPDKAAARAECIRALELDDESLRRSYPDDDRHRRERETEFIRCVYENESISRAFNDVFDGNLRFMRRTTSLGLRRHVRRGPPCDQR >cds.KYUSt_chr2.8094 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50912440:50913234:-1 gene:KYUSg_chr2.8094 transcript:KYUSt_chr2.8094 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLEDIVMLRRVYGDDAATATMVRNILAPVAGLQHDASDLHRRCVLVEHIRNYEDVLASFAPFGPIQAVATWFVLHVGVVVFEDAAAAAATIALQGPAKLRIGLCKAVPSLDLAVAYHFIPPADIDVSGSAIAPAGTPPELDEKARALAFREMCERKPMIEYLDPTKNVCGQVRLGITDPPGTKDFMPSMDSLVHGPTRGSDGHLWMHGDLTTSSVWGIGLYSVSVRVRQEQVGATKIRVTPLEPIVYVRQPRETWVDQFNSL >cds.KYUSt_chr4.40905 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252769883:252772421:1 gene:KYUSg_chr4.40905 transcript:KYUSt_chr4.40905 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGASCGRPSEEVDFFGAAQSGDLARLAAAVTSRPSLLRRTTLFDRLSALHIAAAHGHLQVVSMALDLCVQPDVVNRHKQTALMLAAMHGRTECVRRLLDAGANILMFDSSHGRTCLHYAAYYGHSDCLRAILSAARTSPVSQSWGYARFVNVRDDTGATPLHLAARQGWRRCVHVLLESGAIVSASSGAFGFPGSTPLHLAARGGSLDCVRQLLSWGADRLQRDSVGRIPYEVAVKRGHAACAALLNPSSAEPLVWPSPLKFITELEPDAKALLEAALMEANREREKRILKGAKNSSPSPSRSDDSATISEGGGAEEVCSICFEQACTIEVQECGHQMCAACTLALCCHTKPNPATHSQPLPTCPFCRGSITRLAVATRATAVEDDDEEGEDRLQSSPMHRRSRRSLNLSGDGGSTSSLMGSIASSIGKMGRRKADSTELLQVDDDKP >cds.KYUSt_chr3.42065 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265648274:265669408:-1 gene:KYUSg_chr3.42065 transcript:KYUSt_chr3.42065 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRVELRRIEDRTSRQVRFSKRRSGLFKKAFELSLLCDAEVALLVFSPAGKLYEYSSSSIEDTYDRYQQFSGAGRNLNEGDAGSNNNEDPSSIQSRLRDIFSWSIQNNADESDANKLEKLEKLLTAALRNTKSKKVHFTGFVDTCDELLSQ >cds.KYUSt_chr1.5341 pep primary_assembly:MPB_Lper_Kyuss_1697:1:32891035:32892625:1 gene:KYUSg_chr1.5341 transcript:KYUSt_chr1.5341 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYWHWIDGVARYGGVLRGHKMAVMCLAVAKNVVVSGSADRTLCVWRRDGAEHVSLAVLAGHTGPVKCVAMDEEEAAGSSADWRFVVYSGSLDGSVKVWRLSEADARERALATERTAVAPPQPSDDWKSRPSPALYAVFSADHDAAVTHSIVEATDGRLLLHPEPGGNPGSVHAMHKLAASSPWLCRREFKQYLKGILPRPIKLKTSVGCAWYVHIDEYQGELVLKDGWFGFAEAHELQLDDILVFECF >cds.KYUSt_chr2.40646 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252528000:252532852:1 gene:KYUSg_chr2.40646 transcript:KYUSt_chr2.40646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OSIGBa0116M22.9 protein [Source: Projected from Oryza sativa (Os04g0479000)] MSAPMEISFDPFPPPPPPPPAPAPTPAVPPFPASPPLEPAVDAAAAAVPSPPQPTAVVVAAPTPPDDKVLVSVEVLLHAASTARAEEVRAAVERMLETRSLSYVDGPVSIPADNHFLLKHVKRIQICDTDEWIENHKVLLFWQVKPVVHVFQLSEDGPGEEPSEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTERGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKAWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCIQELFRVGILASPQGGDLPSILSYSTLKEKLHCPEAAEPHTLHLSELLHQGAEQCEGLSGRSLRKLPFLAHASVPNPSCCDATSFMHTLVQTARRELLESRG >cds.KYUSt_contig_2640.48 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000431.1:283886:286941:1 gene:KYUSg_contig_2640.48 transcript:KYUSt_contig_2640.48 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIPLGLLLHIWFLLLFLLHIRLHLDPHLVLIASASAATKVGRSMVEGALLKMGFHHKWVKLVMECVTSVSFCIRINGELTDRFKASRGLRQGDPLSPYLFLFVAEGDSLLFFQANAQQAGVIKDALKLYGRCIGQMISEDGKSSIEASAVFLQNYFDTLNGSGFDMTSKGSARMVTCANQVQLSDHDTPKARWTAPVQGKLKMNVDASFIQETNEGFLGAVIRNQKGTVVCAMAMQLQSCEDAEEAEASAMLQALRICVQQDIRPEEVETDSSTVWSACKEANQNLSKR >cds.KYUSt_chr2.32340 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199391009:199392736:1 gene:KYUSg_chr2.32340 transcript:KYUSt_chr2.32340 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAGSRSPLPPRRTLPATVVDDTVAAAAALLDKWHPEDSSSGRSLFLDSTVPDEADAFLRAAKDLHRAMLFYASGLTSKGLHGGGHGLIHAQELLDTAMRRLELELQILLSCLPDVIQFSQYDDDEDEDVDDDQSLTETCGHLRAVAEAMLAAGYGKECVAVFKEHRRASVAAALQRLHGFSPSQQLPTISKLAWEQIDARLQSWLTGARTAFASVFAGERDLCDRAFAGENASVGDAVFSAIADDQAMSILAFAEAAVAKAKRAPERLFRMLDVHDALTETIIPAIVAAFGEKSEVMFRAAELAVAKVGDTVRGMVAGFEAAIEKEPTKATAPGGAVHPLTRYVMNYLVVLADYENALANIYSAEQYTDTTDIGLGSGTAGSSSSDLSMASTSSFSSSSTQRTLSLWSNPIGWLVSVLLRKLDAKAGNYREAALSYLFLANNTHYVAKKVGGGTKLEAVLGEDWTKAQRAKARGYVDVYVRSAWGSKVLRGGAVDKAVIEAVAMQETWVAADEEMGEVLRAVARAAVVPTYRTFYRRQGATARLTPGDVIGMIDRLFGGQTSRSHSNSLSSTY >cds.KYUSt_chr3.39300 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247563478:247568019:-1 gene:KYUSg_chr3.39300 transcript:KYUSt_chr3.39300 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIVLSVAVDRRWLLPLAAGSALSLFLLVLLTTVPFPFPSASPSRTLFVEHKLAPTPPSAAGDSLPRIAYLISGSARDASALRRVLLALYHPRNLYILHLDAEAPDADRRDLAAGLAAHPVIAAAGNVRVVERANLVTYRGPTMVASTLHAAAALLWGHAGAGGSDWDWFINLSASDYPLVTQDGGCRVLNLIDHTRMHLTFLSFFAADLLHVFSKLPRDLNFIDHTSNIGWKEFQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSRSLVEYSIWGWDNLPRTVLMYYSNFISSPEGYFHTVVCNAEEFRNTTVNHDLHYISWDNPPKQHPHYLTLEDLDRMVASDAPFARKFHADDPVLDKIDAEILSRTTPEMPTPGGWCAGNGSDLCSVIGNASVLRPGRGAVRLQRLVSALLSEEKFHPRQCK >cds.KYUSt_chr2.24931 pep primary_assembly:MPB_Lper_Kyuss_1697:2:152431984:152436145:1 gene:KYUSg_chr2.24931 transcript:KYUSt_chr2.24931 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDAARYAQSPAHHAVSMRDHAALRRVLDALPRARKPEEIRTEADSIAEEARAEAVSAVIDRRDVPGRETPLHLAVRLGDAVAAEMLMAAGADWSLQNEHGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSEDGKVPPGSLCMINHKDKEVMNALEGAGAPASEAEVQQEVIAMSQTNIFRPGIDVTQAVLLPQVTWRRQERTEAVGSWKAKVYDMHHVMVSVKSRRVPGAMTDEEFFSACNENDTESEGFDDVLTEDERKQLESALKMDSPDAPGESQSDAFVGPRHSCFEPREREIPIEDLSISGNGEIKHDKKGWFSNWGKKGQTGISKQEGTKRMVPPRSSLCVDEKVSDLHVESPSNVQTKPGRHSVDVVRGDENRRGKERDYRKPATSENAHRRKESGKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPVNCKSPAAQASSGSWVQWIKAPYRQNFSTAQGPSNRVEDIQDPFVIPADYVWTTPEEKKKKTQENKNKSKKGRTAS >cds.KYUSt_chr2.54304 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338773058:338776197:-1 gene:KYUSg_chr2.54304 transcript:KYUSt_chr2.54304 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAPTLTYQQVEELCASNYPCPPGYRVPADWSLSAGGVPVPPVPQGTAHRAAITNHYYLDLTPEQRMNPRWHPDNQHTWDAFFINRRDRALARYEEDGLPPGNFHEAGRRLWWYGRTLQSVMDYITAGDIPRLRYPQFEPRAPPDDSSDDDNLEGDDYQYNGGGYEDYDFAASPCISNGPTKIINGLITCLFFTFPFTFPFTFIILHESITEMSKIAFIDEIIPFFIFFHYNPSFSMLGPTIIAWHETVTKQMVTAAKKTELFLGQPYRAGDALDPGAGSVDNVPHGPVHFWMGDPRQPNAEDMGNFYSAARDPIFYAHHGNLDRLWHVWRGLRPGVNTDFADPDWLDASFLFYDEEARLVRVRVRDCLDTNVLRYTYQDVGLPWLNDRPAKASAGMPAPAAGSFPATLDKTVRVTVTRPRVSRTWKEKDEEEEVVVVEGIEIADHFNTFIKFDVLVNEPESGASAGDIASAAAAGYCAGSVALTPHMIRLDKEKKKGLVKTVARFGICDHMDDIGADGDKTVVVSLVPRCGCELVTVSGVSIGYVK >cds.KYUSt_chr1.12082 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74666768:74672619:1 gene:KYUSg_chr1.12082 transcript:KYUSt_chr1.12082 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNKMSLKIDKEIVLSNDFVLGQIAGVPNRLRGRKRLPRDALDAWKYTLMRQKDIFSKPLIHGMCNDLQNTYKVEFPRMSDYGKLKMRLVNLDLNSSPAYDGVLDLNSSPAHDEAQDMVADQPDEAQDMVADQPDEAQDMVADQPDEAQDKVADQPDEAQDMVADQPNEAQDMVADQPDEAQDHHRGLDLNLSPVHDGILDLNLAPDETDTNEKRKERFLNGLHDEMQIVLVNIPFADLEALVDSAIQMEGKLHQANENRKRRMMNQHGSSNTQKYRNNSSGGFTPKYNKPPAQNYRPNYTNNPGGTPKPGGNNNNNHTSNNNRNNNRNNNNTPEEPRSPEATTTTILALGLEATPSPSPTKTRPPSLVMSVEWLGITPKSAPRDSPRLPPTPLHLLRTNAALQQERTRTTTAASTT >cds.KYUSt_chr2.35737 pep primary_assembly:MPB_Lper_Kyuss_1697:2:220885089:220885592:1 gene:KYUSg_chr2.35737 transcript:KYUSt_chr2.35737 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRMWASLTFLEGDTAVEVLSGYEGNLRLVLTVAALGVVFLVRGTVLELISPAGSRRRGGVGPPRSLHEGSSAPRETSEPCLTDRTMPVEVSFSLMGPSFLEMMPARGALRRGGVHLPLRCCHVSAAWRNGVSVMDEVNLLDSRRMAVLYGGMTASMASLSRSVR >cds.KYUSt_chr1.4627 pep primary_assembly:MPB_Lper_Kyuss_1697:1:28332496:28334534:-1 gene:KYUSg_chr1.4627 transcript:KYUSt_chr1.4627 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNRMGKEANSEWTWTGYLISASALPDSRRRAGLRAVTAALAPVSHRRRACEPPHLPLTLFHVGAGITGVAPHYISPPSTFNVLLDSYWSD >cds.KYUSt_chr7.31149 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193977708:193986973:-1 gene:KYUSg_chr7.31149 transcript:KYUSt_chr7.31149 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVEVQLLVDFLACPAPPCKLDAWLISGDWGIGETALAVHLFLVFLSCSCYLVTYPAALSMNELGFTVEKDQDDNSGSSTSLSIHLAPLILWVHFHTVYTVLKFISKVKSDLHGEHKLHRDGDEKNSKLANTSSSESLKVQIAPSHARIILCFPYEPSWDLSRPSILDKFLVLDHTLSQKAPSPLQNERSNDGHPSTPSTSLHLATGNFDIYFIKPVGVLDGRIGSLSRQTFSALKILSVTRSEYHDSSIRMCRKSHPVTCPEMVNKVWSLPNLHDQKITQKENNKWVGVASSTTSQDLVESSFTIRQELIQSTEFLLHVQLPCVSVHLNKKDCGQLNQLLDLIVDGLSDVATGSSENGKDKNSEVAIQTSVIFECSMLDICTELDEAVEVSPSLQTELEGSWNRLKLSVSKLSLCSFSNVGGVNNSSFLLVNHGEGELWGSVSGTDDQTCEESKDFQLVICKDSASQRGDGEGNNVLSFGTAGCSVTHIRNPKLQENYTSVNVRSGTLVAPGGRMDWISAISLLFSSGSSGSEQSSNSSSTNSSEAGEPFWSSFFLELADVALSYEPHRKNSTFGAEAPDCKSFSCLLATSSFKLHSKSASDSAATDFDIQLRDLGLLICGSSGSKNVTCGYDVDYLRQMGYAKIGHNTFVEAALRIDTSFWKLEISESQFDIGTCRDSTYGLVHLVSQLQKLYAPDMRDALVHLQSRWNIVQQANTQNMASDASDMSENSTDSFADSAESKSDGLLDDIIDNAFYSDQANTTYNFWDRNCHNSFSNSEVNVEYEMSMINPEATDACVSHISLGSSLVTPADSTAQIPQKQNSCPDHIIDSYYMPDLLNSSSSSRKVNHQCTSGDDACKVVDCDDGGWYSNTPLTIVENHVSKRNNPHGEHVFQQEGDHAVRNLNSDESCNLKGQILIHDIDVKWRMYAGDDWSLAENDLTSRMCSNGRDRSSSLEFIVSGLSMQFDMYPDGDVSVSKLAISAQDLNLCDQNTHAPWKMVLGCYDSKGYPRESCSPAFKLELESVRPEPEAPLEDYRLCLEILPLQLHLDQGQLNFHISFFQIESSNSNPHLSYENEIVGMDSTTYGSAAIVDEALLPFFQKFDVKPLVLHINYIPRQFDPIALGKGNYAELLNILPWKGIDLKLKNVSAMGVYGWNSICETVAAEWLEDISKNQVHKLLKGLPPIKSLVAVGSGTKKLVSLPFKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAGGAHDLLLKTERALTAVPPPSTSREARKPKDNIRANQPEGAHQGLKQAYESLTDGLGRTASALIGNPIKVYNRGGGPGSVLATAICGAPAAAVAPVSASARAVHYALLGLRNSLDPAHKKESAYKYSGPSQS >cds.KYUSt_chr1.20536 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121189508:121192160:1 gene:KYUSg_chr1.20536 transcript:KYUSt_chr1.20536 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPPTGGRRWWKHRLSPTLARDRCYARSFRSAGLRQAAVPLPDGAVVHFWVPRPDPALRPVLLLHGFGANATWQWAPFLRPLLAAGLAPFVPDLVFFGDSASPTADRSPAYQASSVAAAMAALPGAPQRYTVVGVSYGGFVAYHLAHAFPAVVERLVLVAAGVCLEETDLAAGLFAVEDIKEAASLLLPQRPEDLRRLVGLTFCKPPKFMPSCFIRDYITVGLHSSDRTIRDLNCRILVERRWQRLMCASKVRRQQRLVCGGRPRVGRGCELDFGHGCKVGPQSDRGLGLRCGHVLPSANVVGLNPGVWRLGRK >cds.KYUSt_chr1.9410 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57410398:57411156:-1 gene:KYUSg_chr1.9410 transcript:KYUSt_chr1.9410 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSRFRLSDLMPNSWFYKLRDMKRPRPPRQQRSSAATKTSKRSSSSHYYYHGTTTPRPLPLSTHQSYPYLQARQSLPEDLDLSPLHLNSKARNIQLRKDQLAKPRSAALVINEEFQGLKLRPIRTRPVLIDSGSVHHNKTPSSTSPSSPRLRSRRLHVLSSGCRVSTRRTGRRRSAAKRSFAVVVASTDPHRDFWESMVEMIVENDMRGSEALGDLLECYLSLNSREHHGVITEVFRGIRLQVADARVEV >cds.KYUSt_chr2.54664 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341046694:341049565:1 gene:KYUSg_chr2.54664 transcript:KYUSt_chr2.54664 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTRWPDLAPDALRHIAARLHDAGDLVRFHAVCRPWRDSRDATTASTLTLRPWLLAAQDKDSTPLRFRCIFSKSSYHAPSPSMNPRNWVCRADGTAVRYLTVQNLRPSLHDPLTGEEVTHLPPFLDGQWDEEEENPHGILYGDGALLLYTKHDSRNAVTCRFRAMLLRPGDAEWTVVERTLELPWYGSFCAAYHDGKILVTMGASLWRVIAPNVDDVAEDVLIQKKWPIWTSEEGGGEHLEHYNYVLESRGELLWASVWVHMYYHYRYGSRRKLQVLVYALEEKTRWVRREGFSLNDRVLFLGSPNSFAMDASVFGADAGCIYFTYGNTKNLPYLQHGVFRYNLIDDSAKFRYYIDDDKAELVERLPQGWGDVKCTWLVPQPNIASFQEISRKRSLETKHHQTALVTGPMHINHISRHYEPCLRLMVRNLPVTVNSFQLQLFFSKHGKVSDAKILYYKKTKRSQGIGLLNLSTVHAHQKDALDALTGLILEGCKLEVILIKPNEGDELSARAETSGMLFWAGLTACIEEKPHPQLLECLQLGIDALWLTQQRDLRAWPMHSPRDVASQLYLGSGGPN >cds.KYUSt_chr5.41072 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259186980:259187300:1 gene:KYUSg_chr5.41072 transcript:KYUSt_chr5.41072 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEVLALKARSFRAFQIDHMTIARPVCQTWVAVPWGGGKSQKEKDPAGMGVPIRPHTSEARGQSAKKRCLPVSGRGHATHTSEGAQLLEKTLARVLSLKDRDVS >cds.KYUSt_chr2.7860 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49182611:49192020:-1 gene:KYUSg_chr2.7860 transcript:KYUSt_chr2.7860 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPEEARRYWLPIVLSAAGFLFQLFVLPKSFPPSHYDALGIRRFAPVERVAEAYGALSKEWLAETNDLSTVDILKIRYAYELLTNPILKRDYDLFGLDYHMDVLERVKDQYQKEHFLKIDLPLLKDSLVHSTDHAFNVLTYESFMSAIAEDYPMLILLYSKGSPRCAQFIEHWKQIATRLDGLANTAMVEVGDGQLAGYFAEQRFSQQPFFRNGIPAVVAYPANCRSPSCYMRFPGELTVDSVVDWVASSIVGLPRILYYSKEALGPQFIGKSGHHKVKVIFFSSTGERATPFLRQAAQEYSSYASFAFVMWKEDESQIWWNSLGVESAPALVFLKGPGAKPVVYHGTFSKSEFTEIMEEHKHQELRQLRSDTSLELGCDARGHSRARKDMSIWYCVVVAGRPGVELSKKRQILRKAQDQLLSAVDSSTTGNVENIVEVASAATALKDDRLTFVWLDGEVQKKICAFYLATDYNGACGPRGFEDDNDKPEVFIVRFQRNATYEALKADKKHNLMDTLQGQDTPDASQLVARYNGLDEILEINKWVSQVIKDGDTREIPYFDEAPKEKTENRRRPKLSTTLFGQPTTEGAADPEPKDARQWEMEGSDSD >cds.KYUSt_chr2.39388 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244237535:244241157:-1 gene:KYUSg_chr2.39388 transcript:KYUSt_chr2.39388 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGAFLLNGRDMPDYGGALTVPVVVTCLMAASGGLIFGYDIGISGGVSEMESFLKKFFPDLVKAKPRAGKDVYCMYNNQALTAFTSSLYAFGMVGTLVASRVTRRVGRQAIMLIGGSMFLVGALVNAGAANLAMLIVGRMLLGLGLGFSGQATPVYLAEMSPPRWRGRFISAFPLFISIGYLVATVINTGTSKIPVWGWRLSLGLAAVPAAVMVVGAALITDSPSSLVLRGKNDHARAALQRVRGKGVDIDAEFSDILAAVEHDTRNEEGAFRRILRREYRPYLVMAVAFPVFLNLTGVTVTAFFSPILFRTMGFGSDAALMGAVILGLMNIGGVVASGFAMDRYGRKLLFMIGGAVMFTCQVAMASIVGSQLGNGSKMAKGYAVAVLVLTCFFSASFSWSWGALFWTVPGEIYPVEVRSAGQGTAVALNLCLNFVQAQCFLAMLCCFKYGIFIFYACWLVVMTAFAMALVPETKGVPLDSMGHVFARHWYWGRFLKDHKFGNEQST >cds.KYUSt_chr3.8339 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48130621:48130920:-1 gene:KYUSg_chr3.8339 transcript:KYUSt_chr3.8339 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKQIKAPASPEQIKVADSTKQIKASASTEKNLGHDKVATGGGDGQKQPEILRTLDPEYFPPLVSSNLDLYRPYEEMEGCVILPGEEGDEVIYYGEEE >cds.KYUSt_chr2.8679 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54524902:54525120:1 gene:KYUSg_chr2.8679 transcript:KYUSt_chr2.8679 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALLLTIRSQAQGGSTGNAAADTRAGKTTDRHGVVPWWLLRVRRAKGGSFDQGRIFPRIKVLQIFVVAEE >cds.KYUSt_chr6.8833 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54280689:54280901:1 gene:KYUSg_chr6.8833 transcript:KYUSt_chr6.8833 gene_biotype:protein_coding transcript_biotype:protein_coding MAELITGAMGTLLPKLGDLRTKEYKLQKGVRGEIMFLKAEMESMQAALLKVSEAPIDQPPDNQVRLWAKP >cds.KYUSt_chr1.27347 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164826650:164828108:1 gene:KYUSg_chr1.27347 transcript:KYUSt_chr1.27347 gene_biotype:protein_coding transcript_biotype:protein_coding MALWKKGGVGGGFELMVVHRSDAGACVGWIMQLFNKVGRAVVFGARSIQKIKLEATLLNLQRDKGGDNSPTHINKKPNAFPGRPIADEKHIQSSRLSAYANAHAIEVATAVFLDSIFKRDHRIDHARPAIDAATAPDDSTILREAITLHPSRDTTAPCRSDRTTPTQQKHTAPPQHHRHPLSAPKTMPQQGERRCAPPSSDPGDPGLGFPLEQPRRRTQTAETMPSTRLTPSPPSRAATLGAVVPDLEEEQYEIVPITACPAMPRRGRKGRTVPPGPHHHPPD >cds.KYUSt_chr1.30124 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182320433:182321026:1 gene:KYUSg_chr1.30124 transcript:KYUSt_chr1.30124 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMRSLFSRNGSTGRRSSSSRSSTPPSPTHNPTTSSSPPRTPTTPSTSEMERVFRKFDANGDGRISRAELAALFASVGHAATDDEVTRMMEEADADGDGFISLAEFAAINAAPDAAVVEEDLRHAFGVFDADGNGVISPAELARVLRGLGEAATVAQCRRMIQGVDRNGDGLVSFDEFKIMMASGAGFGCNSNIRA >cds.KYUSt_chr7.38968 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242539767:242552775:1 gene:KYUSg_chr7.38968 transcript:KYUSt_chr7.38968 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSRRRLLSLLRSARLAPAGRLAPAPSSRSFSLLPAAAASTSGSGSSDGSFTSKGSKYGAILIGQAAVFLGLSSNAVLAQDDSVAPADSSEQADANATGLRRVEDGSGKLAEAEKLFKTALQEAKQGFGLRDPHVASALNNLAEFYRLRKEYEKAEPLYMEAVEILEQSFGPDDIRVGTALRNLGQYYHIQRRFDQAQTCYERALKIEGRVMGLGHPDYANTMYLLAKVLRQQRKGNDAEALIRESIRILEDLVRSKRLVEAENLQRKILHNLELSKGWDSLDTTMAAETLSVTLQTIGELKESEELLERCLAVRRKILSENHFEVAGILVHLARLTLLKIISDIKMSNDLSRSHLVKAKQLVSDSIRITEGILNPLRENQKKLKSTIGIEREKIGATAVLSDYEHAEEALRKCISLYNEPYTRNVVSKYLRQQYERCLSSLVHIIQLDPDILNAPGMQDLLDESQRIMKELGEEKNTK >cds.KYUSt_chr2.3904 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23543080:23546003:1 gene:KYUSg_chr2.3904 transcript:KYUSt_chr2.3904 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRSQRIANRVAKRKAEQEWSDLAALPSELVEEVAKRVLDNDVADLVCFRVTCKLLKNTFMLEPSALGACIHHRFHPHQWIMLPEQNDDYARRMFLNTVTARIISVNLPVLHNHAVVAGSAASPEGMLVLRDEASLVIRVLNPLTGHIINLPSVKTLHRGCQRRFREEHKVTAAEFTGDNNVVIYFGEINRMSVAKPGDARWTPVLGLRSPILATITFQRRFYCIDDENLLVLDMAGGLPRLVSVVALDNTLYCVNMLDNCGKLMVQCRRMQWDSRVSYYRCVIRMYEVNLEEGTLMLVNDLAGQAVFAGDLGAVLLPNVTHWPGVEPNTVYFKFGLSQMFGVHRMGRPYPDYRDVVGTLAEYLAMYVTR >cds.KYUSt_chr2.39921 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247883020:247885939:1 gene:KYUSg_chr2.39921 transcript:KYUSt_chr2.39921 gene_biotype:protein_coding transcript_biotype:protein_coding MANESESITPPNLYGTNTIRKPRQILPGGRTTLAVILLSTMVFVLVFSNYYPEQIQIVYGSAHTSHQTAITGHEDNGNCKLFNGTWIRDLRGPIYNNMTCPTMPDSKNCGKYGKQMDYVNWRWMPHGCDMARFEPQLFLNIVQGKTLAFAGDSMGRNQMESLLCLLSQVEVPIKVHSDTEDKFITWHFRSHNFTLMALWTKFLVEESQREINGTLLQSHDMHLDKLDARLAANLHEINILVVSGSRWFFRKNYLYEEGKLIGCIYCSEDNITNFSVISVIQRAFRTALSNLDNHQESRLQLTVVRTATTAHFENGDWNTGGTCNRTEPVGEGTAMTGTGELEIRNVQVEEANRAQKENNRKRGMNIEILDITKAMSMRPDGHPGLHWDNQWMKGYSDCSHWCLPGPIDTWNELLLAVLTKYRKNLEEQ >cds.KYUSt_chr3.25086 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155742881:155743336:-1 gene:KYUSg_chr3.25086 transcript:KYUSt_chr3.25086 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPQSALAWPPPPSCCYGALAWSPNRGPDPDGPAALCGASSRTPPWPSPTARLLAARRHATRDAAPTPLRPGLAAAASCCYGALAWSPNRGPDPDGPAALLRRLLSHAAMAVPYGSACSPLDEVDLGANLLSRLHRLVEWERGGGGEFG >cds.KYUSt_chr6.22059 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139387179:139395271:1 gene:KYUSg_chr6.22059 transcript:KYUSt_chr6.22059 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYRPWPSRRNGNAFPSRIDGRGTTYELPLNNAPQLVAAYRRVELVGEFATPGPNARFDTRRLAFASSPPQAAANRKGPLFPQPRRRTSIPTGGAEMKLTVMTADEQILSLDVDPDESVENLKALLEVETRVPLQQQVLHFNGREMTNNAEKLSAIGVHDGDLVMMVASNNRTSEDFMRVNPDGSAVNPQAFQQHIRGNSQLMAQLLQNDPSLAQAILGDDITELQNILRSHHQQRLQHKRKQEEELALLYADPFDVEAQKKIEAAIRQKGIDENWEAAIEHNPEAFGRVVMLYVDMEVNGVPLKAFVDSGAQSTIISKDCAERCGLLRLLDQRYRGVAIGVGQSEILGRIHVAPIKIGDIFYPCSFTVLDAQNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLQEKDIPSHARDDEKLSKLASPSQGAAGESSKAREKTPDVPLRSSPAGAPAVVPPQGGDFEAKVTKLVELGFDRASVIQALKLCNGNEDQAAEGLASSSSRTRLLLVTSSEEVLPVDAADELESPSDDWSLGSSSSSVNERRASVCARACTLARALASFPAAVASSAASSASSRAHLASESSSSSWPSRPMAPPPPRPPSAGS >cds.KYUSt_chr6.5191 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30783751:30787676:1 gene:KYUSg_chr6.5191 transcript:KYUSt_chr6.5191 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISRLRKLNIHYNMHYLLDAWLGGLTKGVKVLSTGRDAHQESMMKTVSNQLNLIQVDVSHNKEQERRADCYQYWECRTLNLMSVLTILLTVEEVYPMLPVIVAWVNSIL >cds.KYUSt_contig_1861.136 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:669160:675090:-1 gene:KYUSg_contig_1861.136 transcript:KYUSt_contig_1861.136 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDPRFPLPPAWWWVLLLAAAARLAGADDPYRYFDWTVTYGPINPLGTTQQGILINGQFPGPRIDCVTNDNLIVNVVNNLDEPFLITWNGIKQRKNSWQDGVAGTNCPIPPGANYTYKFQAKDQIGTFTYFPSVALHRSAGGFGALNVYQRPAIPVPYPAPDGDFTLLVGDWYLAGHDQLRQTLDSGAPLPFPDALLVNGMPSDIFVGDQGRTYLFRVSNVGMKTSINFRIQGHSLRLAEVEGTHPVQNVYDSLDVHVGQSVAFLVTLDQPPMDYAVVASTRFNPDSTSALPSVGTLHYSSATSRAPGPLPEGPPETADWSMNQARSFRWNLTASAARPNPQGSFHYGTIQTSRTLVFASSPPVVVAGQNRYAVNGISFVVPDTPLKLVDTYNIANVIGWDSVPPRPDGGAPQLGTPVVRLNLHEFVEVVFQNTESEMQSWHLDGYDFWAVGYGNGQWTENERPNYNLVDAQARHTVQVYPNGWSAILVSLDNQGMWNLRSANWDRQYLGQQLYLRVWTPEQSFSNEYSIPTNAILCGKAADISLPYVWPVDKTSGSLGHGQCIASGVLPHSFILVRVVQIRFGFHQGLQDKDERSTSALIQALKPKQKLAPTVGPAAALAGDYIRAGLLTLYGERAVSGLVQRLGSLDFIDDNAGCFANGERFPQNGRIIEFGSQRICLGTVKERRYPSQVLVAADPPRSSAARGPRSDRAAGSVEVMMAGARRVM >cds.KYUSt_chr4.4596 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26395599:26396645:-1 gene:KYUSg_chr4.4596 transcript:KYUSt_chr4.4596 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGQRQRLRALVMVHDSTEGYLVYRLNLKHLFSGKDDDEELATQLRSFPSPVARFPAPSLNLLALAPSGTDVIVAASGDRRTTFHNTDSNSASSGPDMHGEKCRPVLLPVGDDMFFAMSEHTWSFDHPGIHYEALVRTTGPRRWAWRALREPPVRTGPRRAPCCTATGYFVAGTRVWVSLHSEGTFSLDTARRLWRKEGAWKLPVKGRAILVPDLLGGGGRQLLFGFCSNEFRFCAVDVEARPPVILREWEQDHRKDMRTGYMLYHDPAELAYFGGGRFCISRLTLVENTNPPRFALTLMAVEVTPELQLLKRNVRSYLMSPSGVLGCLLPAPAGAAEPPDEMEVV >cds.KYUSt_chr1.17219 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100003486:100005000:1 gene:KYUSg_chr1.17219 transcript:KYUSt_chr1.17219 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRTLLRPHRLLLLPDPRRRRLSATAAASANAVTTTVRRSVVDVLRERGLLEATTSETLGSSATGEHQLLKAYCGFDPTAESLHLGNLIGLVALSWFRRCGHTAVALVGGATGRVGDPSGKSAERPDLDLAAVIANSDAIKALVAQILGRAPVSSHHAQSGKSAILDQNEHALASSGQGLSDNLDNVGQNIGSFVILDNYDWWKDITLLDFLREVGKYARVGTMIAKESVKKRLNSEEGMSYTEFTYQLLQGYDFLYMFKNMGVNVQIGGSDQWGNITAGTDLIRKILQVEGAHGLTFPLLLKSDGTKFGKSEDGAIWLSSKMLSPYKFYQYFYSVPDVDVVRFMKILTFVSLDEIQELEESMKKPSYVPNTVQRRLAEEVTRFVHGEEGLQEALKATEALRPGAQTELDSQTIEGIADGVPSCSLPYDQVLKSPLVDLAASTGLLASKSAVKRLIKQGGLYLNNTKIDSEDKLIEESDIVDGKVLLLSAGKKNKMVVRIS >cds.KYUSt_chr3.1072 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5767526:5772011:-1 gene:KYUSg_chr3.1072 transcript:KYUSt_chr3.1072 gene_biotype:protein_coding transcript_biotype:protein_coding MPAACATPAAARPPLPVSRRLPPPSAAHVLSRRAVSSSTTACRCTTASASPSAWDWTRWSRHFAEVDQAESYASVLSFQLEEAVDTEDFAEAATLKRAILEASADDAVAHVMAELKSAIEEQRYQDASRLTKLAGTSLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSYSPRQLVTASSGSPLFEIFLVRDEDETYTMKVVHLRPTKGTSSTSSISSTATEDPVKVESGSSLESSALPEGITEEANTDTTLKGTEDVEEKVQDVGRTKESGVEGLKSVLNFFKSRIPEFKVQVINVDVPEETELVADSSEELVQDDVKSTSESSLEEPSTEEFQEEDASDEDSDSNDDKSPEVKLFISGVVHNKDDAGAKSYVRVPAEINNLERDSFELYIPGKGSDRDLAETKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLKLTLSKARVKLTENTIFNRITTDSNGSDPFNGLYVGAFSPYGPEVVQLRRKFGHWNSTDEVGFFEYVEAVKLTGDLSVPAGQITFRAKVGKGSRLENRGAYPEEFGVTASYKGQGRIAQPGFKNPRWVDGELLVLNGKSAIPHLGGAELGFLYSVPEQSFLVLFDRLTLPE >cds.KYUSt_chr1.24584 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147001285:147001893:1 gene:KYUSg_chr1.24584 transcript:KYUSt_chr1.24584 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHARRLATSRDDPSASSTSGRSQFDLSSGAATTVVFLSILLCFILLCTYCRCARQRAMSGARGGRATRYGFPSILLRPADGTALPVVRYAGAKKGQQPEGDCPVCLEAFGDDDGVKVVPACGHVFHAPCIDRWLDERNSCPVCRCAVVCYCAGRPGHNVDVGGDGDDQEVVLERVVAMIEAIREEEAAARRMPATERAGG >cds.KYUSt_chr2.47663 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298148784:298149951:-1 gene:KYUSg_chr2.47663 transcript:KYUSt_chr2.47663 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVSNGPAARAAHMYSAVVYKYEATTIDQPASSTTHSATDQSTSLLSSCAIVIIAMAKEEELKRVDLKVNVSCCDGCRRKVMKAMSLKGVLRTEIHPSLDRVTVVGNVDTKVLVKKLAKVGKIAEVLVAAASGAEEKQKRREGDGGKDRAGDGGDSRMAPAGEKSKRKEEAKDKTGEKAAAASDKNKDCHKCAAHQQSARGDDAADHGKAPSSKAAARDDESAFEQEGDRFSGAKPSSPDHAAATHQHYYRAEPPTMAVPMHMPYYAPANMTAPSYYAPVNAPAPPYYAPANVAAPSYYGGGYYAMPPPVPPMPMPMPQQQMLMRPQPSRFDVDYFNEENTVGCRVM >cds.KYUSt_chr3.3199 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18371648:18372854:1 gene:KYUSg_chr3.3199 transcript:KYUSt_chr3.3199 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNERLNRFKLQQERCQASLSSIAAARAPSSAPTKPQQYAPRPATARPSAPAPAVRFSDDTARLQKMHAVRNSAVGLQIKAVIDLLYRTRRALTAKQINEATYVDIAGNSAVFESLRSNPKVHFDGRGLFSYKPTHGVTGKDELLALIRRFCDGITVKEVEDAYPSVLDDLQALKSSGDIYLLSGEQDIVFPNDPRSRLELDNELKKLFYEIKLPKDMLDIEKDLRRNGEKPVTDTAKRRAAAEIFGKPSKPKKARKKQRGITSRTRITNVHLPGLLELPMDTKDFM >cds.KYUSt_chr5.20269 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131801847:131807082:1 gene:KYUSg_chr5.20269 transcript:KYUSt_chr5.20269 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGLIHHRTDGPGPGEVPRSGGAPSLVLTADPKPRLRWTADLHERFVDAVAQLGGAEKATPKTILRTMGVKGLTLFHLKSHLQKYRMGKQPGKETPEQSKDGSYLLDGQGGMSLSPRVSTQDAKESQEVKEALRAQMEMQRSLHEQVEVQKHVDIRMDAYTTYINTLLEKACKIVTEQFSSSSFGVSDNNLPELSSGGFMCGTATDALSSSVFHQLSVSSNNLHSPGGKPSPSGIEGQLLLQRSTEFKRKSSC >cds.KYUSt_chr1.27444 pep primary_assembly:MPB_Lper_Kyuss_1697:1:165447529:165449316:1 gene:KYUSg_chr1.27444 transcript:KYUSt_chr1.27444 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTCLPPGFRFHPTDVELVSYYLKRKIMGKKLFVEAISVVELYKFAPWDLPDKSCLQSKDLEWFFFCPRDKKYPKGSRTNRATPNGYWKTSGKDREIVLNSRIVGLKKTLIFHEGKAPKGNRTDWVMYEYKMEDESLASAGFSKIFKKSGLGPRIGEQYGAPFDENEWDNLDAGTSLFAIAPSSGIEDPQAESSALATAIVIQEPQATAQQSVPSSDININSDEVNEAPPQIDGILLDELSMFLDDSPNHDLPFAENPGLPPISDLEAQALETNTSDLYNELAGLAWAGSAPNVDFCAINTGVTENNLQNMYPGDEFIELDDLFAPGETFSSDLANDQFLLYPLDQSTYNGHYDYAAPLAFDASGSLPEMTSSFYDMPPAPNNLASSSYLNPAMKDPFS >cds.KYUSt_chr3.21871 pep primary_assembly:MPB_Lper_Kyuss_1697:3:134808458:134814201:-1 gene:KYUSg_chr3.21871 transcript:KYUSt_chr3.21871 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHGSGLLLRRYGSGLLILGRTSSFMINSNWAARWATCLITVCGPPGLAGSRHCRWYTHEVYPQHYRRLEHLLVCTYFDPNKAYQQSTKENRRAQIAQAIASEVSVVPPVRLVTLITQALKWQQHQGLLPPGTQFNSFRGTAAMKQDEEEAYPTTLSHQIKVWCIQTGECLQRLENAHERGVTSVTFSRDESQILSTSFDTTARIHCLQSGKMLKEFSGHTSFVNNGIFSTDGTRVITSSSDRTVKVWDLKTADLLQSFKPPPPLRGGDAVVNSVHLFPKNSDHIVVCNKSSSIFIMTLQGQVVKSFSSEREGDFVAASVSPKGEWIYCVGEDMKLYCFSQQSGKLENLMKVHEEDVIGIAHHPHRNLVATYAVDCIIRCLDVLVFQPASFPIYPVLKELALSCICANDCQNGCIPAAEFLALREVGALAPCNSIETGNIPLPWETDGCQMTTESGKGFLRSIFNGVWQVAKTGRYFVSLSMKNVFVSQSGKVRSTEPKSVIKEMLSTAPIM >cds.KYUSt_chr3.29413 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184128964:184132675:1 gene:KYUSg_chr3.29413 transcript:KYUSt_chr3.29413 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAEVQSPWASAGRRPHFFKVLIGDYEKRMKIPPNFCKHIPWEASRKAKGLKEASMAATVEGPGGRTWRVVIRRTAEGTFFTSGWPQFVQDQALRALEFLVFRHDGGTRFAAMVFDKSACEREDLLLAGEGRPSKKRGRPKKASRARDDSGGRELVPYSAPSGQHPRVQCSNWTPELDQSTGSAGQCNTVKTEADANGLPLCLIATPPSPQLSPAPAKINNGCAVKTRSIQDDLAAADIPPSVRRYNGYVSRRRPVASAERQRAMELANAFRSPLPFCVIRMSTMHVYYSFMMRFPTGFSRQHLPRERTEVTLRDPDGKAWSALYIPNTRDRLSRGWCAFARGNCLEEGDCCVFELAGAAEFRVHIFRVVEPAVPAVRLRIA >cds.KYUSt_chr3.8491 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49108336:49111865:1 gene:KYUSg_chr3.8491 transcript:KYUSt_chr3.8491 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSSSSDLSLDHHLLQDDLPWPPSSSTLLPPLAPAVHHAHQWIHPLMLEQLSSDELEALLSAQGHLHNHSQHQHQQLLAAPLTTIPHHQLSSLLMMQELGFQWSSSYGGTVTEPATAPSQDSVVKEEVSRIAYDETMVVDGAVVLPSVNVSQLQAAHASGCSGEAFEMAAASARLCKSLQAIRSNGGTAPLVGYSEEHVAYDPPPVHHRLQGLSSILHTYKMGVPAALVSANGNVLQAGRSGGHGHHDEQQLQVVAISSKKKPRLLQSRPTNNNNIIPSFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYMRSSKHKKARTTQQRCPSNARDQKEEAKVDLRSRGLCLVPLSCTSYVTNENGVWAPPNFREN >cds.KYUSt_chr6.27625 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175293940:175295685:1 gene:KYUSg_chr6.27625 transcript:KYUSt_chr6.27625 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRRRTKKQSRPEERIEFRGVRRRPSGKYSAQISDCKSTGKSQRWLGTFDTAEEAARAFDAAAVELRGAAAATNFKRPAAAAADDGVFVQRPSKKRAWPDAGTEFRGVYRRSGGKHGAHVRDSKSPGEADERLGALDSGAVRLPAAAVETNVEQTPTSSADDAGVTVHLPSSPLKKKARPDAGTEFRGVYLKSSGRYGAQIWDSKSQGKPQTWLGTFDTAEEAARAYGAAAVRLSGAAAATNFEQTPTSSADNAGVTVHFPSSPLKKKARPDAGTEFRGVYLKSSGRYGAQIWDSKSQGKPQRWLGTFDTAEEAARAFDTAAVRLRGAAAATNFEQHAAADDGASPFWSAPAKPSGKEAGSVTRRAPEARTVLRGVSPTSAAVDVGFALLRRSSRLKKAVASRQPDALAVFHGAHQKRSGKYGPRIRVRPSEGKAQVWLGTFDTAEEAAGAYDAAAVEMDGASAVTNFEQPTTSDSVPFDDGDATAEPCSQSAAVVKLQNAAAKTNFKQSPKAVPADYSEQWRTDILIDLLELSALDIRSDNIIPDVQQDDLKADLTPAKWQQVDEFVKDMECTNVSC >cds.KYUSt_chr5.32400 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205585597:205586609:-1 gene:KYUSg_chr5.32400 transcript:KYUSt_chr5.32400 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSAPPSLLLSLRALPCGGSSRRSIRLLSKQRLQQRLRSPGAAVVSKLATRRPEAGRLGWTDGLACRCSYDPGNGLPGPPPPPSPPGESVDEWPVLGRWDVPWQWPTVSLTMVACAVSSLVTGKVGQSVLEYVGYQAGEATIDEKAGVLFLEQLNVTTVAIAVIFGITNTFRPFSDDILRYGQRLIVLFPFQ >cds.KYUSt_chr7.2837 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16824690:16825832:1 gene:KYUSg_chr7.2837 transcript:KYUSt_chr7.2837 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGPYAKLLASLSKYHKQNCPQIPTQPRPTTTTTKKAPATTPSTKSDTGDLHIYQPPPSRRGWASNRDLKAPLRYLGLLDVARLKLPTGVPRPRLVSQLISSYDRDKGCGYISGTRVRVNVDRKSFLSAVSLHPTPKRGRDLLPNVPLSAVTRAARQFISMCLRVQSRGDMLPILSAAVQEVEAGSAQKVDWGKLMWDLVENEILELPKRDDKVSYFGVYLQRLIWVQQPTVFHFYQMSDDIKVTGARPSLVGMRPIEVQSSQLGAMLNDGSDLESDDDILSSTPNVGKMHIGGHKDHAEAQQRLQQGNQQKRAPKDKNCGVPTGSAIAIANSSHDIAEIERELKHATSNELREAETLVQVVKLLQEELSAEAIMHMFD >cds.KYUSt_chr5.19094 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123543556:123545150:1 gene:KYUSg_chr5.19094 transcript:KYUSt_chr5.19094 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLEEITNGFSRERKLGGGTYGDVYLGKHKDGENIAVKVLKDGLDLDDVQFEKEYHNLASLHHKNVVRGYVPPEYIDAGLISIKFDIFSLGVMIIKIMTGQDGYFRSAEMSSEQFVELVHVDWMNRLQATLINAYSIQIRRCIEIALSCVKANRDQRPSIGVIVNTLTKTEALIQIPDALRNDSASSINQVQPPMI >cds.KYUSt_chr2.14291 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90471466:90476514:1 gene:KYUSg_chr2.14291 transcript:KYUSt_chr2.14291 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGWQLPYHPLQVSSRLFLAVVAVSVFLALAFAFYVFFAPFVGRKVFQDAAVGLYTPLVLCVFFLYIWCAAADPADPGVFKSKKYLRLYASCKHRHLKESRQGVSDVVLQLDETGEKKEREVAEASEKLMTQQKSSSCWGATFSALLLIFYPLSFVFSCCQSREWSSEQQDSEEGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYRRFFLLMTTALFLLILQSATGVLVLVLCFVERKEFSTQIVSKLGSSFSIVPFIIVVASCTILAMVALLPIAQLLFFHIILIQKGISTYDYIIALREQEQEEISGQQSPQMSHVSSYTGGLSSTSSFGALRRGSWCTPPRLFLEDQFDVIPSEAGSSHNSATKRKEDEVRRKKTSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPIRKDDYSLGHETDSSYGGMGSRIDLGPDDTRRTNRRGRPHGDLSLKPVAKISTDVIDSNGSDMGPEALSSLAPLQLEARSAFHPSRAASSANIDGSSPDSSLDSPDLHLYRFSAVSSSATEDLQLAAPTAPGSTPYQGILLSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILSTDQNTSSSGILVPKNRSLTSDQVHAQHRN >cds.KYUSt_chr2.55461 pep primary_assembly:MPB_Lper_Kyuss_1697:2:345878647:345890007:1 gene:KYUSg_chr2.55461 transcript:KYUSt_chr2.55461 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPPPPMAMGLWARSMATFTRTFGFGGRYRLSENGNAKSIAFEEITWFRRRSPEESPSQRRMWSMRLLKDIMLMWTAQPLIMSRGTSMQALVFMRIPFALLLCSGSNIVSSPRQEYAASDHVHFMDEHEETVAKSSHCNDIVTEHEKAPADANVTGDKRNCEAGTSSPQADSHCDIVMNQNEPVLDKNKDVDASSYIEPSDADADAQADHPSILVMKTHNEHVLDKDKSKYDISASIDIRDAEPVHSLDPKQSPCPNIPSVAESPCPNIPSVADFSHPHYDESLTSRDSLMKAKTLLDDATDSPKHLHFEDSAPLSSSLNVEAHSDTIKSTAKASSAEVQSDDVSNTGPSTIDKRKKKKRYAKKPCNSEERLTLIVDHSAEMFYDNYVEKVQKPNHYFASSRDRTCLKSKLNVPPEKFNAKSGIRELGKMNKKFNLAKCDLLHFPLVTDNHWTVTSINLLFKKINFLDSLQDSDKEKKAIMASNMVTNFHKACTESKCFNFTLDNYVPEIPHNLPQQTTTFDCGVFSFLHMEHWEGHEFKMLEPALISKVRKVVEHALVSSEMNDMTTEVESFKKQRLS >cds.KYUSt_chr4.12740 pep primary_assembly:MPB_Lper_Kyuss_1697:4:78450175:78452296:1 gene:KYUSg_chr4.12740 transcript:KYUSt_chr4.12740 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVHGAAKRPRAVAPLLQPQPPAAVPLPRPQPPAAAPTLTHQLAAAAPVDQAHCRSRWSQCFLPISKAVLASPPVDEK >cds.KYUSt_chr3.12932 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77652073:77653125:1 gene:KYUSg_chr3.12932 transcript:KYUSt_chr3.12932 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAILVLVAMVQVSYCGGSAIDYSKALSKSILFLEAQRSGVLPGNQRISWRANSGLLDGKANGVDLTGGYYDAGDNVKFGLPMAFTVTMMSWSVLEYGEQMGAAGELGHAMEAIKWGTDYFVKAHPEPNVLYGEVGDGETDHNCWQRPEDMTTSRQAYRIDTHHPGSDLAGETAAAMAAASLVFRRSNPGYASQLLQHSKQLFDFADKYRGRYDASIPVAKNYYASVSGYGTPYFAPYFQK >cds.KYUSt_chr2.27639 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169779026:169784586:-1 gene:KYUSg_chr2.27639 transcript:KYUSt_chr2.27639 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNIGKLRWRRPKRKIASWKVLFRFGGIKAVLDRHEPGKDTYRLLPRAVLEAHHSISMNFAGNLPQGLGLEIGDLMFEVAATGSPMPDGLPALRPGAKDLASSLLGLCDGASGPRPFGDGALWGFMVVYLLLWRSRIGGSAVCAIPLPDLVWRWIWGVKNKKVVVCADLVIGEDGAWRRLCGAE >cds.KYUSt_chr7.39801 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247323543:247325465:1 gene:KYUSg_chr7.39801 transcript:KYUSt_chr7.39801 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKAVDLRSDTVTKPSEAMRAAMAAADVDDDVLGSDPTAHRFETEMARMMGKEAALFVPSGTMANLVSALVHCDTRGSEVILGDNSHMHIYENGGMSTIGGVHPRTVRNNPDGTMDIDRIVAAIRHPNGLCYPTTRLICLENTQGNCGGKCLSVEYTDKVGEVAKTHGLKLHIDGARIFNASVALGVPVDRLVRAADSVSVCLSKGLGAPVGSVIVGSKAFIEKAKILRKTLGGGMRQVGVLCAAAHVGVRDTVGKLADDHRRAKVLADGLKKIKQLTVDLTSVETNMVYFDITDPLISPGKLCQVLEQRNVLAMAVTSKSVRLVTHYQISDSDVQYALTCIEEAVEEILLGNAKFGHLTNGVTANSYGN >cds.KYUSt_chr1.26103 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156997826:157001947:-1 gene:KYUSg_chr1.26103 transcript:KYUSt_chr1.26103 gene_biotype:protein_coding transcript_biotype:protein_coding MARISKTTPAAAASRTNVTTPAAPPATRSRQKKTKTPPAAAQPAPAPAPAKPMAPPAAPKSRTKKTPAAATPPAPAPAPAKKTVPPGAEVIEIPDYPTPARSGKKGDRKRAASSPSSPIDVDEIEMWTPRQKIRVDEDCCILSADPLAADKPRPVVVPAAAGDDDLAVLAERGQVACRDFPHARHACAKYPFARTPHHSHCEQPVTHSPEQRMLEAPAGKTMVKIVEIAEEDDDCCEIDLAEFAKKVTLKAADDDVVVVAAQGPIKGKGIRKTKIKVKVEAGQPGDCLVTNGDLRERAAGDCLENPYDIEEDETTAHQKESAETKTGFKFDIMSRVKCESEPFEEVGVTVKFEPEEPFEEFAAPMKREHEEGNSADELFEQVIKMSPPVKCESEGFGQVVPDTLPVKNEHEDGSGANKHFEETISGMSLLKFGSICFEEVIPEAKSAPEDGNGADEHFEEVSPDMLLLKCKAECFEELNAGMSPEKGNSADELFEQVIPDISPVNCESKSFEEVVADVLPAKSEHKDGRSSDENFEEVIPDMLLLKCESECFEEVIPNISPVKLGREDGNGADEYVEEDAYDHLPEMDIGGRVFDEEDNDDFVVVGRESL >cds.KYUSt_chr7.23880 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148767427:148768623:-1 gene:KYUSg_chr7.23880 transcript:KYUSt_chr7.23880 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTQASTHLTAQAYAPSLISIAKVSNKQSMARAPVLAAIMAAAALLIVLLAAAAPASAMDFGEHDLASEDSLWALYERWRSRHTVARDLDDKARRFNVFRENVRLIHEFNRGDAPYKLRLNRFGDMTADEFRRAYASSRVAHHRMFRGGRKETAAFTHGAATAVPPSVDWRQKGAVTDVKDQGQCGSCWAFSTIAAVEGINAIKTKNLTALSEQQLVDCDTKTNAGCNGGLMDYAFQYIAKHGGVAAEDAYPYKARQANSCNKKNPAVVTIDGYEDVPPNDEKALQKALANQPVSVAIEASGSHFQFYSEGVFSGKCGTELDHGVAAVGYGATADGTKYWIVKNSWGPEWGEKGYIRMKRDVADKEGLCGIAMEASYPVKTSPNPKHSAGDLHDEL >cds.KYUSt_chr4.45289 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280447609:280448007:-1 gene:KYUSg_chr4.45289 transcript:KYUSt_chr4.45289 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMSCTMEELLGPQQQEQTLPVVVEEEDFDWDSLDRESEVHLLLKPWDDDDWESEEQTPPVEAEKNNIQQVDTHQPAPSASWELPEDLRNLLADHNDQEALLYMGCSYNTVAAACLHAPSLQGFFSFGAVN >cds.KYUSt_chr6.7572 pep primary_assembly:MPB_Lper_Kyuss_1697:6:46120604:46121870:-1 gene:KYUSg_chr6.7572 transcript:KYUSt_chr6.7572 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAAVVQHPGGRVERLYWATSAAEVMRANPGHYVALVTLRVAEERHENADGTGARRTVRLTRVKLLKPKETLLLGHVYRLITSHEVTKAVQARKEEKLTKARQQLQQLESSLSRQSKARPAADDDVDQDEDDDEASLDASLEQLARQDVDAHRSSGARHRQWRPSLHSIDEAAS >cds.KYUSt_chr3.21807 pep primary_assembly:MPB_Lper_Kyuss_1697:3:134263044:134286887:-1 gene:KYUSg_chr3.21807 transcript:KYUSt_chr3.21807 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVELLVLVVVVEVVAEEVLPVGAMFAQWAHMLVLDVELSVAVMELPVLRIMRSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAINPFQRLPHLYDTHMMEQYKGADFGELSPHVFAIADVAYREMINEGKNNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINAPERNYHCFYFLCAAPPEDTQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAVLHIGNINFAKGTEVDSSVIKDDNSRFHLNTAAELLECDCNNLEKALITRVIVTPEEIITRTLDPESALASRDALAKTVYSRLFDWIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFVKPKLSRTDFTVVHYAGDVTYQADHFLDKNKDYVVAEHQDLLNASSCPFVAGLFPSLPEESSKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLGPELLEGSNDDKIACQKILEKMGLENYQIGKTKVFLRAGQMADLDARRTEVLGKAARIIQRLMRTYIARKQFVLVKRSATRLQSFVRGTLVRNLYECMRREAAAVKIQKNVRRHKARESYLLLQSAAVTLQTGLRAMSARKEFRFRKETKAAIHIQARWRCHRDYSHYRNLQGAALTYQCAWRQRIARRELRNLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLDEAKAQEIAKLQETVRDIQLQVDEAKAMVVKEREAARKAIEEAPPVIKETPVLIEDTEKINSLTAEVDELKALLQTERQATETAKKEHAEAERRNEELMKKFEAAEKKIEQLQDTAQRLEEKATNMESENKVLRQQAVAISPTAKALAAYPKSPFQLRTPENGNAPNVEVKSSPDVTPIPLSLKELEAEEKPQKSLNEKQQENQDLLIKCVSQDLGFSSGRAIAACVIYRCLLHWRSFEVERTGVFDRIIQTIGTAIEVQDNNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSTAASFGRVFSGIRASPQSAARPFLGSRLIGGLGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNILKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSRLFSGPEDFVQRYGWLARWDAAGRPAFLLLFAFLVQRHMHRSYLSQQKSRLQRQVTAAVTVQAACRAMAARREFSLRRQTRAAVCIQAQWRAHKELWSYLTMKRASVICQCAWRQSIARRHLGKLRLDNVERQRLDEISRLHEMVDVLQQAVEDAEVRVIAEREAAIKAIAEAPPVIKETVVWIEDTEKVNSWNAEVGRLKALLGAEMQATFDAKKALSKAELRNEKLARLLGVQEIKNKTLQDSLKRMEERASDLDAENRMLRQAVASAPAINSPASENHKAHEPQATPENGKTTNGAMKPMIVDRDCDIPDNNAELPTSNDSEAEKQQQELLIKCISEDLGFSTGRPIAAYLIYRCLVHWKSFEEDRTTVFDRIIQKFSAAIEARDSNETLAYWLSNSCTLLMLLQRTLKNNGSAALARQRRRTSSLNSPKENQAPGHPERSVSDGRLVGALTDISQVEAKYPALAFKQQLTALLEKVYGVIRQNLKKELSPLLGLCIQAPRTFVVSPRGSGSQGSDMAQQASMAHWQSIIKILTNSLNFLKSNYVPPFLICKLYTQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCHWLTEEYAGSSWDELKHIRQAVTLLILEEKHSKSLKEITEDFCAALSMQQLYRISTMYCDDKYGTMGIPSEVVSSMRAKMIGGSSSPSVQDDINSFLLDDDFSIPFSVDDISRLMVHVDTADMDLPPLIQEKNGSPFEL >cds.KYUSt_chr4.22448 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141141371:141143542:-1 gene:KYUSg_chr4.22448 transcript:KYUSt_chr4.22448 gene_biotype:protein_coding transcript_biotype:protein_coding MERIPIPIAMLPQKSSSFSQATVREEKLGRNLSLGAIKLTEHIERVKKDAEDAAAADKNKDKDKDVAAGSGEGDGADGAGDGETPAPPPHEEPSLAELSAEVDAFLASRDGDAPLSISEVTLDRFASAVEVEIAQSEGDEDKWAPGEDGEQPPLLAAIRRIATLASALTASGGNNGAEGAVRYTIGVHRVTGVLHRAMTFVEDEFHALLEDPLVAKISHAADPGSASAKAMRRPPSFGHAAGAEQDRCVMPSSEGSGGGGAEASQPFPAETVERLRAMVDAMVAVGYETECTQVFLVARRNALDTTLLSLGYEKASIDDVVKMAWEGLETEFGTWIKAFRHIVNVGLSAEHDLCARVFAGSNTPLGRDIFADLARCAMLQMLNFTEAVAMTKRAAEKLFKVLDMYEAIRDGAPIVDAYLSSYETPENGGDGKSSSGAEALADLKSELVSVRARLGESAAAIFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLKYVCEYKNTLEQVFREHHRPDTDDAPGHDSESSNPFAAQLMEVMELLHDNLEAKSRLYKDLALSSIFLMNNGRYMLQKIRGSPEINAVVGEAWARKRSTDLRQYHKNYQRETWGRVLNVLRDDGGITVKGHVQKTVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVIVPAYRSFLGRFAQHFTAGRQTEKYIKLSGEDLEGIIEELFEGNAVSMTRRRN >cds.KYUSt_chr3.41860 pep primary_assembly:MPB_Lper_Kyuss_1697:3:264112400:264113739:1 gene:KYUSg_chr3.41860 transcript:KYUSt_chr3.41860 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTAQGAHALPCARLLGDVTLSLPMSPVLSCLRQASRAEWPDFASLFLLLVAVAFFATSMHVDGLKEELLEVPCMRDAKVRSHSPVSAN >cds.KYUSt_chr1.2711 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16233156:16237875:1 gene:KYUSg_chr1.2711 transcript:KYUSt_chr1.2711 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVLGLSKTVVEGTLVKVKAAIDEEAKLKLSVQSDLVFITGEFEMMQAFLNGADAERIKNSAVKTWVRQLRDLAYDTEDCIELVVHLDPKPTWWRRLLVLPCLPSVPLPIDVAAAEIKELKDRVEYVSQRNTRYNLITDIGDGSTRSALEQQLDRAAGRTLDIPIESATMKGRSLVDLTELIPNKEDRPELGVISVWGTGGDLGVASIIRKAYDDPEIHKNFQCRGWATLTRPFDPRKILRILLIQFCTNTSAPRKHGEALDVDSLFRMEKMVVGEEELVKEFLSHVKTHRYLVVLEHLSTMAEWDALRMYLPDMGNGSQIIVSTKHFDIASLCTGQPHKVSEFRKFTADHSVCVFFKEVSEGGGDPANTVPKEDDKTKLVGRDTEMKELKDQIDEVSRVISVWGIAGVGKSALVRSAYNQYLDNLAIFTGLSMTYLHGWVNVPHPFNLREFCRTLVLNLTSKPPKIQEDATAELANMTNPVDVCHRLLSDDEEHKRCLIVIDDLQTIEEWDTIKQELSFGKFYCVILVTNESSVAKHCSGQDQDQLVFNVKGLADDDAFQLFDKVYKGQLNHVARDEDMTKQILNKCGGLPKVISAMGCFLAKNLNWEATNNNFINQLENNPELVASVHSIFRWLDSYFHNCPDELKPCIFYLSIFPRRHCIRRRRLVRRWIAEGYARDTDDNLAEVNGEDYFSRLVNLSMLTDDERGVDVTGSSSGRRMAKCNVNDFFHEYILSRRMEENHVFALEGRCSQPTRRTGRHLVIDKSWKGDQNVFNKIEFSRLRSLTVFGPWKPFFASDKMRVLRVLDLEDTEGLTDYEVEGIVTRLPRLKFLSLRRCKHIFRLPESLGRLRQLETLDVRHTDISCLPATVAELKKLQYVRAGNSPLQCVSSNTSRYRTWISTCLACSSKPANGIVGVEVACGIEELINLHTLGVIKASAAGLKGLKMLTQLRKLGVSGVNRGNHNKLRDAVSGHDHLESLSIWLDKDTQGIDPSVQDGDFETPEKLRRLKLHGHGHVDELPGWIRRLRQLDLEVSTFTPRDPILLRQSSCLQDDGDLQEFEEASSESQASSQETS >cds.KYUSt_chr4.15172 pep primary_assembly:MPB_Lper_Kyuss_1697:4:93725307:93728950:-1 gene:KYUSg_chr4.15172 transcript:KYUSt_chr4.15172 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAWGAREDALAAQLEAQMEEEEEDEAEEDEAEQEEDDDDFEWSGDDGPHSDEAADQQRALVESFESDKKLQDDAVLAKTRRFAVSSSSPSRRRNMGGHAMTRCWSSGVWSPPYAWSGFARNRSCGEGEATTGGAVERPSGGFHSEASLGSPVYQSSVTQMAIKLDPADAMLYSNRSLCNLKCGEAHDALLDANACISLRPEWNKGYYRKGASLMLVLDTFEPYDVLWNGIVGTLCYLDVGQKCLDEDRLSP >cds.KYUSt_chr4.23636 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148915235:148916188:-1 gene:KYUSg_chr4.23636 transcript:KYUSt_chr4.23636 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLICLCLLQLLLLCPSRAAAQPPPSARTLDAMLQDYAYRAFLRPRTGIVYNATLPADLAAGVAVSGVRLRSGSLRRKGFPGYFEFAIPAGVIVQPYVERVVLVYHSLGLGGGGLPQRYYPLPGYTYLAPVLGLLFYDAANLSAVGLPELSVVASGSPISVSFSNVRAVPPGSPAPQCVWFDLDGVPQFRDLEANNVCSTYRRGHFSIVVNSSELAPAPAPSGAIAPPIPSDGGNAKGRKDAWKIAVGVVGGVIALGLLATLLVCCVRYKREKRMAAMERNAEVGETLRMAQVGRSQAPVAYGTRTKPVIESEYVA >cds.KYUSt_chr4.37947 pep primary_assembly:MPB_Lper_Kyuss_1697:4:234012262:234018356:1 gene:KYUSg_chr4.37947 transcript:KYUSt_chr4.37947 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPGRGFGSGAEAERDIDDLPRNHANFTALTPLWFLERAALAQPDRASLVHGPVRYTWADTYRRCRRLASALARRSVGHGSTVAVIAPNVPAVYEAHFGVPMSGAVVNCVNIRLNAETIAFLLDHSVAEVVMVDQEFFTLAQESLRIVAEKKKQNFRPPILIVIGDQTCDPKSLKYALGQGATEYEEFLKTGDPEFNWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMALSVAMVWGMPEGAVYLWTLPMFHCNGWCYTWALAAFCGTSICLRQVSTKAIYSGIIKQGVTHFCCAPIVMNNLINAPASETFLPLPRVVNVMLAGAAPTPSLLSALSIRGFRVTHTYGLSETYGPSTVCAWKPEWDNLPLEERSRLHCRQGIRYTALEGLDVVDPKAMDPVPADGKSYGEIVMRGNAVMKGYLKNPKANAEAFAHGWYHSGDLGVKHPDGYIEVKDRMKDIIISGGENISTLEVEKVVYMHPAVLDASVVARADERWGESPCAFVTLKEGSNTSDEAALASDIMKFCRERMPGYWVPKSVIFGPLPKTATGKIKKHELRAKAKELGPVKKSRIRFAFRFFWIVAVPTRTWAASHDIPEPHYISADVNPSLNQTHMQLPVSSLLRRRLRLPHPVRRRAPTAGTVGLRNPASRELVRVRGNQVFGERSGATTGITTSSSDDEFLHTDNFFPDLSDFFDNLNMGDNDAAANVAAATRPPLFDGMHYKRWRTKAVLWFTNLGCFSATDARPEGPLSAEEQEKFEKVDAMFKAALFSILGDNIVDPLIRLKLFGFRNPSKEIFSELDEINAHHLIIARSFQNTGEAPEGSPGGPTRVGGAAKEPGAPPYCVGPSWPLRLRLVAYKKLPDLNLRYGKATVRETFQSRRHREAKILGTGVSVPARRRDGEVPPEDISIDTTAIFITAAVSHEEGVVLHRG >cds.KYUSt_chr2.53352 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332888322:332897592:1 gene:KYUSg_chr2.53352 transcript:KYUSt_chr2.53352 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPPPAPPSRSSNPEAPPRVVRPPPRRPPRAPGPPPWAERRPSVSVDYDRGRRTARVEVDGVGADALPSRHRLRLEGSRWQRDWKVSQVAARVLALPPADAHAVDAVLNCWAGRFARRNFPLLIREITFTGSLQHAVHVFRWMKNQENYCARNDIYGMMIRLHARHNLVDQARGLFFEMQEWRCTPDADIFNSLIHVHARAGQWRWAINIMDDMLRAAIPPSRTTYNNVINACGAAGNWKKSLELCKKMTRNGVGPDLITHNIVLSALKNGFQYSKAIAYFEMMKGANITPDTFTLNIVIHCLVKVGQYGEAIEILNSMRDKRTQCPPDVVTYTSIMHSYYVCGQVDNCKAVFDMMIAEGVKPNTVSYNALLGAYASHGMHTEALRVFKLLKQNGLRPDVVSYTTLLNAYGRSGQPEKAREVFNEMRKNSCKPNKISYNALIDAYGSAGMLKEGISLLHEMEKDGIPPDVVSVSTLLTACGRCRQITKIGTVLEAAKCRGIELNIVAYNSGIGSYLSFGDYEKALGLYASMMASNVNPDSVTYNILISGLCKLGKYAESLKFFEDMVDLRIPLTKEVYSSLICSYVKQGKLTEAESTFSSMKESGCFPDVLTYTAMIQAYSDDEEEQKGVRFDWSSGKKKAELEAQAMGKVLSEKPVHTEGMEAALGQIWCPLKGIQCKRMGSNIFLITFLQALGKRKAVYEGPWKMNNDLVVLEEFDPMKTIDEYAIDSIPIWIRVFLLPLGMMNRSTGESIGNKVGALMDVEVGDDDWAMGEYLRIHVKLMSEYSPASKYLTIAATSVKLSSRLLLS >cds.KYUSt_chr4.28050 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176208721:176209050:1 gene:KYUSg_chr4.28050 transcript:KYUSt_chr4.28050 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSNHASLGVNDDRQCVTGNAVLALSQWRHRHLAGALTNGGVGVWTVEHLRGDAVRHGWLVGRDGTVRQQQARHAFVAAPSYFRRSCGLSPMARKLTPFPWPWSENP >cds.KYUSt_scaffold_1854.177 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:952127:952345:1 gene:KYUSg_scaffold_1854.177 transcript:KYUSt_scaffold_1854.177 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRAYGREDAKGLSAAVRATPTMSSAGLRKTEPPHGRAPNKELEVGEAGADAAAGRVTELSAAEIHEFRF >cds.KYUSt_chr1.26680 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160862334:160865925:-1 gene:KYUSg_chr1.26680 transcript:KYUSt_chr1.26680 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKRASKEIDSIGDDLNSVSSSIGGGAKWMVNKIKGKLQKALPDLLKEYDMPAGLFPRDTTNYEFNEETKKLIVYIPSACDVGYKDSSVVRFFTCVTGYLEKGKLSDIEGMKTKVLVWTKVTSIKTEGSKVHFTAGMKKTRSRDAYEVVRDGIIIDKF >cds.KYUSt_chr6.32098 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202754109:202755751:-1 gene:KYUSg_chr6.32098 transcript:KYUSt_chr6.32098 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSHKAQSSPSVAIGFPQHFSASSFPSHSKKNPRQIPISDQRRGRRRDDQPPPPPLGAGGTAGRRRHPLSDPRLNPPAALFAPARRRHLQALGARGGRPRLPPPVLRPPPEAARPGRLREARPGSGILPHPRPPDRIPRARFSLCPEHEASFTHWTLLGCRHDRVLAIGIFSSHATLLVFDPVSRGRSYVPVPMDFRFNLCNVRGTVLCAASNGEGHVHGDCHSCPFKVVLLGTRSRQEPAMACVYSSETGVWGPLVSTAEPCGAPVLNDSEDAMLEFDLDVQRLAVVRRPMFAGIGSSCIRIIRAEGGGVGFAVLVYPSFQLWGRKVSSDGVATWMLQRTVNMHEVIGLPSGIETRNEAIVGYSEDADVVLISVSTKQEHSTKHQHSAFIVQLDSMQSRELSRSFLEHSYHPFAYFYTADICLPLHHKIHLFFVHGCMNALLCLSFC >cds.KYUSt_chr2.53973 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336909998:336910588:1 gene:KYUSg_chr2.53973 transcript:KYUSt_chr2.53973 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRQATIDDLLAMQACNLMCLPENYQMKYYFYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQASMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDIEAKYYADGEDAYDMRKMLRQPQPKKHHHHHHHGAGGCCSHDAPPAAAGSSPTSASPEKKAET >cds.KYUSt_chr6.19260 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121168147:121169914:1 gene:KYUSg_chr6.19260 transcript:KYUSt_chr6.19260 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVNTRNGVAHLCKAWLRALSDPDFGRLLRERNVAPLPVGFLHDLDDDDDDDDGLPTFVPTITSPFFPNSVPDRRDWKVMDYRHGRGLFISTRDGGLGRKELLIWDSITGVQRRFPVAPQASESTYPGAAVVCAVPGCNHHPVCNGGDFKVALLFADPPFVDYFEDDPDIAEMKCFVYSSQTDTWIQESSLHGIS >cds.KYUSt_contig_2528.47 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000367.1:412997:415899:1 gene:KYUSg_contig_2528.47 transcript:KYUSt_contig_2528.47 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDGHLVFDFEKFLDADAAAPAPAGSGSAASALPPSDAPGPAAAGPHRGQGRRSGTVRQTVCRHWLRGLCMKGDSCDFLHQYDLKRMPVCHFFSAFGYCREEDCSYKHITEDLPPECSMYNMGFCPNGPSCKHRHVRKPGPPPPPEEVVKKLLQMESLHYGSSSGTNLPRDNKNSQQEKPQVQPGSVLKSRKLAAKATPVVKQPAAHPVQTANPQHVPPPNTLQQQQQNVQVQVVLNGSSDQATVTASPPPQGQSRLVGGL >cds.KYUSt_chr5.22232 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145172143:145172982:-1 gene:KYUSg_chr5.22232 transcript:KYUSt_chr5.22232 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTAVAQRCWREGRLVGSGDDRGTASQGLDLKQCEPLLEVEVNVLCLMAMEILVEERNVHRIEPRPVKVVKPKLNTAPYMKKGLMMRFRKMAQ >cds.KYUSt_chr3.17879 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109738765:109740547:1 gene:KYUSg_chr3.17879 transcript:KYUSt_chr3.17879 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNYGSLVCRLGSEDRIIDGNAVRETEQKLEIWLPNKALCVRTLSADPAAPSAKDARGFSTLAANITAGNVTSTVVVLTKLIDSLQNCLTMYKMMNGLVAGAVEDLCAGCVDGAWPKINDAFYHPNFCELALMEGGANKDPPVREENVDSYDILLGVETRCRALKKILRPHGAMAGLDEDNVDEWRHACGWKMLDRPPC >cds.KYUSt_chr1.11039 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67564814:67566205:1 gene:KYUSg_chr1.11039 transcript:KYUSt_chr1.11039 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVAMAGNKFSSYHLAAALRREHDPAAALRLFLSPPNAASTPFRYSLLCYDLIISKLAAARLFPAMESLLSSLRASSLQPREPLLCCVISAYGRARLPAAARRAFAHPAFPAPRTARAFNTLLHALAVCHTPLPELLSVCRDAAFFPDACTYNILMRAVAATDGSVDHARLLFDEMLQRRITPTLITFGTLIAAFCNCNRLEEAFDLKEAMVKQYNVRPNAHVYASLMKGLCQRGDVDKAVRLKEEMALRIELGLDSAIYATLVRALFRVGRKGEVVSLLEEMKGRGIPANRVVHNAMIAGFCEDERDLDAASAALDDMLKSGCKADAVSYNTLVAGLCKLGRWRDANELVEDMPRRGCPPDVVTYRMLFDGMCAAGEFHEADQVLDEMVFKGFSPSKEGARKFVQGIEREGDVVLLESVVCRLAKLNALESSGWEKALSSVLRDPAELMINKPLDCLRSPK >cds.KYUSt_chr3.19803 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121911326:121912989:-1 gene:KYUSg_chr3.19803 transcript:KYUSt_chr3.19803 gene_biotype:protein_coding transcript_biotype:protein_coding MATATITTALPLRLRVPARSRRGHTRCAVASDATEAPAAPSARLSADCVIVGGGISGLCTAQALATKYGVTDLLVTEARARAGGNITTVERPDEGYLWEEGPNSFQPSDPVLTMAVRILLAFSFFSDSWGRTIQIRSLHGLVAQVDSGLKDDLVFGDPNAPRFVLWQGKLRPVPSKPGELPFFDLMSIPGKLRAGLGALGIRPPPPGREESVEEFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMRAAFGKVWRLEENGGSIIGGTIKAIQDRGKNPKPQRDPRLPTPKGQTVASFRKGLAMLPNAIASRLGSKVKLSWKLTGITKSDNQGYVLAYETPEGVVSVQAKSVIMTIPSYIASEILRPLSYASTLFLNRLHYDTGCL >cds.KYUSt_chr4.2430 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13543315:13544676:1 gene:KYUSg_chr4.2430 transcript:KYUSt_chr4.2430 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLGCPISSFPQPYLGLPLSPHKLPAAAFDPLLRSFDRRLSGWRANLLSAGGRLILCNSVLNNLATYYMCSYLLPRGVIDRIDKRRRAFFWTGKDTYSGARCLIAWDKVVLPVQEGGFGIKDLHRQNRCLLLNFIHALHTPNPLPWKRWFFSVTGRDLGETSKSPSFLERIVDECLPLYRDITRVEVADGRTTSFWLDKWQPGPPLAVRFPALFSHSTRRHATVATVTADGLDLQARLSSAAEGELLEVLRIISASSPRSGHDRRFIDSPSSPRFTSREAYIMLSPARPRDQSACVAWSLRLPRKLKIFTYLADIDRLSTRANLFHKSCAPSALCASCPDIETGRHLFFDCLVAAEVWSRLDVPIPTGRFSVWAIRGPTDFSPDSWHMGVAALLWSIWKARNSLVFRGERSPARLILLRAGDELSLWRWRLSVRDRADIDALRSFFMTRAR >cds.KYUSt_chr1.29645 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179538341:179538766:-1 gene:KYUSg_chr1.29645 transcript:KYUSt_chr1.29645 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRIPTKSYIFEMDGTLGIYSHDNAMQTVDIWVLQNYEGEVWEKKYRVELPVAEIDGQLGGEEYELDVSVVSADGDVLLLLACGRWLFHVDTHGKLVDSFLHDGQQVYACQFRLKQTLVRHSFFTALEGYAVNASPPFI >cds.KYUSt_chr5.13341 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86954473:86954772:1 gene:KYUSg_chr5.13341 transcript:KYUSt_chr5.13341 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPYLCSACGSRTHRRNHCAASPCSRCGGDDHFEVFCSTPAGFDPRSTEARCGVCGGAGHGDDDCATIETAHIRCETCGKMGHEPMDCPTRTGGADDP >cds.KYUSt_chr6.22966 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145164557:145166441:1 gene:KYUSg_chr6.22966 transcript:KYUSt_chr6.22966 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRLGGGAPSLFSREGRPAVDLYRPLRQYIASAYSDRDAATTDDDLCAVRDLRAAADEAPALPDLSSLDQRHAALLAYARVLTLVEPRFPVSPDRAHIQSLSFTWHDAFNTSKKASLPSLHLERATVLFNLAAVHSQIALIADRVTDVGIRTCGERARRGGKGGERSSDRR >cds.KYUSt_contig_1546.83 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000163.1:505201:506355:-1 gene:KYUSg_contig_1546.83 transcript:KYUSt_contig_1546.83 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSWSALETDLGDIILRRLQHHADRVRFGAVCRQWRSLARRNDPPSQLFPWLALPNRTFYSLPDSAFQPLPLYLERHRQLPHAQSSCGEWLVFERMDGAYTLVNPFSMSTTMVLPRLSTEPHFIGDPPPFMSKLVVCSPNLVAAVVGAGWPHRLALCRPGAASWSWADDQDQVKSLQDMIWHRGKLYALDGNHGRLLSVSIGEESDTGEPTVSRVDVLVEAPRWQFIESPLQYLLESDGALLMVRREDPNIQNSPMVDDFGALNYAGVGLDRATEFKVFQADLAQSRWTELSSVGEDRVLFVQGCCSRAVPVPDRCKDYVTGDRIFFLSDAAARPYYRKNVSFCCSIYDMRKRRSHTYLRTKVRPLKGFPVVWLFRGSDVGV >cds.KYUSt_chr4.13914 pep primary_assembly:MPB_Lper_Kyuss_1697:4:85629117:85633335:1 gene:KYUSg_chr4.13914 transcript:KYUSt_chr4.13914 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLASAGDAAASRVIPVSSEVLVLPLPAVSRQAAPSLSPARSSWSSAPHLVVFNISDMHIRPWWTGPVAPEWVEEEDAGKVSSNELGPTISDASKFKILSLNESPPALDSTDRSEEQSEAYRSLNVPTFDSVDDAFEYFCKSEKAAQAARDKTLTLSQRAALEKFEAQLAVTRDKARTVPTQESSAVATQGGYEGSKENIAANGDKWMCEEVWVAFQKYHKKDLKESEYEFEKLEHQCFHVENYCKIFHHFNFTVKMKKPGSSEWSSILYFAEVKEILQRKIYFCCPLEPDENGDCYACKNQRMVDLKHPMIGAFDRGSPDTVFPFMYGDDSSSDDDDGPVYDEAWRTRMRAAGIRVG >cds.KYUSt_contig_1467.247 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1560572:1570116:-1 gene:KYUSg_contig_1467.247 transcript:KYUSt_contig_1467.247 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTVVYEGWMVRHGRRKIGRSFIHMRYFVLETRLLSYFKRKPQHKAPKLPIKSLHIDGNCRVEDRGLKMHHGHVRTHATQSPPLLLLLLAPPSSSLGATLNSSYSLCSPQMLYVLSVYNKREKHHRITVTIPCSSHRPLLYSHEEEEEEEDNHRALMRRTTIGNGPPESLHDWTRGNDTGISDQGSPAQVFSRGHWRLVRCQNGLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSGFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAHIESGGFNISPLKSRNGRVRTQVQHLMQIDLKGWGVGYLPSFQQHCLLHMLNSVAGLREWFSQSDESQILPRIPVMDNMALSVSSKKGTKAQDNTVQTSLPVDQSRHSTKLEEESDEDDDEDFQLPESEQEPSTRGLDEDGKLPGLDEEGSDEIDFSVFSGNLRRDDRDNSRDCWRISDGNNFRVRSKNFIYDKSKVPAGKPLMELVAVDWFKDVKRMDHVSRRKGCAVQVAAEKGLFSLAINLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGANYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNAYEDLPERLIGAVRMSHIELSSAVVPVLED >cds.KYUSt_chr3.2584 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14821431:14823499:-1 gene:KYUSg_chr3.2584 transcript:KYUSt_chr3.2584 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSPRLHPQTQASEEGAGVTPRRSPRLHPQIQGNANGAGVIRRRRRRRRGASLPDDDGMLWEILLRLPPQPSSLPRASAVCKRWRGIVGDPKFHRQFYAHHRKPPLLGVFVWGEKHEGIVFKPVLDPPNRIPARRFSLGLGNNREDHELLNCRHGLVLVKDCVSREVIVCDPITGKQRRVALPPVFHSAYFRGVVLCAAAGNQGHVHGDCPFKVVLSSAFRQDNQPLACVYSSETGEWGDPIIAEDPCYVEYVGRHATLVGNALYWPFNNDQMLEFDLDRQKLAVIAGPPVTNAFLFAHRQIIQAEDGAVVLAILADSRFQMWQRNVSCQGVATWALWKTIQMHNILGISLQIGEYMAWTGYDEDNDVMLLDVDHDVYSVQLKSMESKKLYIPNCTSQIHLFTSFYTPGTSIDGGSDPAEMLHGTSDACLV >cds.KYUSt_chr3.17898 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109880398:109880928:1 gene:KYUSg_chr3.17898 transcript:KYUSt_chr3.17898 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAASLLTAAPSLLSSASRRRRPFLAAPTPSLRLRVLASSPLARESRAACTVALARGGGEATEEERDQAPDWRAPAARAGAGRAHRLRGAARLRRGLAAAAEDSIGASGFGLRVGASLRRLGWPDDAVVFTLATLPVLELRGAIPAGYWMRLHPVRLTVLAVLGFVFASLIASFG >cds.KYUSt_chr6.2377 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13847458:13855605:1 gene:KYUSg_chr6.2377 transcript:KYUSt_chr6.2377 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLIQQRHAQMQRTNSGHPSLNGPINGLNSDGILGSTASVLAAKMYEERLKHPHSMDSEGSQLIDASRMALLKSAATNHTGQLVPGTAGNVSTTLQQIQARNQQSIDIKSEANMGVAQRSMPMDPSSLYGQGIMQQKPGLGGAGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQLLAQAQVQGSLGNSSHYGDMDPRRFTTLARGVGMNGKDGQPVGTDGCISSPMQSSSPKIRPDQEYLMKMQQTSSQQPQEQLQQQQQQNQQQQQQQNQQQQMQQNNRKRKQTTSSGPANSTGTGNTVGPSANSPPSTPSTHTPEGLGMAGNMRHVPKNLMMYGADGAGLASSSNQMDDLEPFGDVGSLDDNVESFLSNDDGDARDIFAALKGGPTEPNPAASKGFTFSEVNCWRTSNSKIVCCNFSYDGKILASAGHEKKAVLWNMDTFQTHYLPEEHTGIITDVRFRPISMQMATSSFDRTIKLWNAADPGYSQHTFTGHNGHVTSLDFHPKKTDLLCSCDGNGEIRYWNVTQLACVRVIKGGTAQVRFQPNTGQFLAAASENVVSIFDVDTHTKKYILQGHNTDVQSVCWDNTGEYLASVSQDLVKVWSISSGECIHEVSSNGNKFHSCVFHPSYTNLLVIGGYQSMELWNMVKNQSMTVQAHEGLIAALAQSPVTGMVASASHDNSVKLWK >cds.KYUSt_contig_97-2.20 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:96209:98455:-1 gene:KYUSg_contig_97-2.20 transcript:KYUSt_contig_97-2.20 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAHGEPAQALLHHLRRSSSTSLLTHRPPHVALAAATARVRSGTLNPEDAHNLFDELLLQATPVPECSLNGFLAALVRAPASAACSDGLSLAVALFSGMSRGHGPPVLSPTVHTYGILLDCCCRAQQPHLALAFFGRFLRAGLKPNNIIVNTLLKVLCQAKRTDEAADVLLHRMPHLGCVPNAISYNMVFKGLCDDSRSQHALDLLRMMAKQEAGCSPNVVSYTTVIHGFLKEGKFSTASNLFREMVQQGVFPNVVTYNSMIDALCKRGRSREARQILDCGILKGLKPNIVTYSTMLHGYAKEGRLVDMNNLYNLMIGQGIVPNQYVFSILISAHAKCGLVDEAFLIFQDMQKQGVKPNVVTYSAMIDAFCRKGRMNDAIEQFNQMIDMGVRPDVQTYGCLIQGYCTHGDLVRAKELVHEMKEKGIRYPAIMFFNSIINSLCKEGRVTDAQDIFDFMKHIGEKPDVITFSSLIDGYCLVGEMQKARRVHDDMVSVAIQPDAITYNTLIYGYFKAGMVDAALTLFQEMSGMAAKPDTLTHDIVLDGLFKVGRTVAAKEMFHEMIKNEVRFSIGTYNVILGGLCKNGCADEAIMLFDKLRAMNLKFDIRTLTIIIDAMFKVGRIEQAQNLFAAIPAKGLVPTVITYTTMMSNLIEKGLVEEADNIFSSMERSGCAPNSRMLNIIIRKLLKKGKIVRAINYMSRVDGKSMSLEASTISLLISLFSRKGIYHKHKDLLPERYQFLEGDIRS >cds.KYUSt_chr3.878 pep primary_assembly:MPB_Lper_Kyuss_1697:3:4586207:4586695:1 gene:KYUSg_chr3.878 transcript:KYUSt_chr3.878 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQLGVLILVVITGVATATVTANRHQEEEATIMMAGAANGVCDYKFKVNGRYPTVGKDVIFGVYDKFGGGWTRTVALTPAEAAGDYLPFVVAREPCLVDPCRLTLKTAVFGSTILLFNVSIQTYDYSQYSPVPTPPSYDTTFRVYWFLTSDKLFQVNKCGQ >cds.KYUSt_chr2.37025 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229012394:229019938:-1 gene:KYUSg_chr2.37025 transcript:KYUSt_chr2.37025 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVALMEMASGGTSPSGEVERGFIGEEAAQGGTWGRLTLGWRGPRLGRAALGCGGPLAPLRLFFGVLDASGKNRRFHVGAGIPGVAPHYISPPSTFNVLLGSYWTAKKRKDKRDQRAIDENKRLTVKMQAKARDKRARNRGKLAKEKQVSGDSSPGTLHINQMVELNRLLMKRTQDFCEKYGHPDPVDLDRSFVEKPFGGDIMNSDISLASNIVSLALVDEEQILFACSGLAVKCMAMTRREIQVLFPRERNIKIYGSLGLYDKDIALVMCFYYSNPYAVHDIPSDIQPAGIDKVRALGRAFSSGTLMSATGKPIYDRTPNLPEHALMLDLEVHLRLCGGYGKFILGLIVDSDDTKTNRTSILPLKLLQERLRPNSTNYREYTTGICQDADGLQPSAYHRLALGVHYADGAPRRSSSGKVGLGACHLALGVATPVGVDEVARRLAPPLGVVAVGAGYWSTVKHLTSMGYHKPPPFALELGGQLCDKFEDDFGDLYGYKGLDYNLWCRGTEELVFSKLRTEVVTKLCRRVVLLTSYDGDKRSFACTGYLIKWHKKGTPVILTSASLIRSSDNESKINEKFKIDVFLPPNQHATGTLELYHSDYNIAVISVQPRLRCIHPENIFHGEKGRKKVVAIGREVEDGVLMGTIGFVPDKPYDKPRNLDCKDLKLSTCKIKKAGIGGPLVNFIDGSYAGMNFYDGTRKTPYLPRRIIAEVLKE >cds.KYUSt_contig_1181.458 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:3177160:3179465:1 gene:KYUSg_contig_1181.458 transcript:KYUSt_contig_1181.458 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGEGDARWIVQERPDGANVHNWHWAERDCLEWSRARLSALLAGLPVLSGEGGLTLRTTTLDKLDGEAYVNIRKGKVIPGYELSLTLSWEAEATSESGAAKVTGAAEVPYLADENADEDPELRITVRGDDSPLARRAKDAFIARGKPLVLEKIREYVATMSKGGPAKDELDAKKIPTKAAAAATGGAAAAPASAVKEVAAPAPAPAAKEKKAKGKEKDGFKTIELTEKFNCRSKDIYEILMDENRWKGFTQSNTRISKEVGGQFSLFDGSITGVNEELQEGKLIVQKWRFGSWADGVHSTVRMVFDEPESGVTIIKLKQTDVPVEDRYGNSTVVENTERGWTELIFQRIRAVFGFGM >cds.KYUSt_chr1.25069 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150302222:150303341:-1 gene:KYUSg_chr1.25069 transcript:KYUSt_chr1.25069 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTTNAVAVAIVALLSVLVTSVRSAENYDTSLAKSYSSGWLAAKATWYGPPTGAGPEDNGGACGFKNANKYPFSSMTSCGNEPLFQDGAGCGSCYQIRCLKNNNPACSGEPRTVVITDMNYYPVARYHFDLSGTAFGAMAFGGQNDQLRHAGIIDMQFRRVPCNFPGMKVTFFVLPGANPNYLPVVPAYANGDGAVVKMDVMRSRNGRPTGSWESMYRSWGTVFRLDTREPLQGPLSLRITSDTGKSRVANNIIPYGWAGGRSYWSDVQF >cds.KYUSt_chr7.19159 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118710752:118711000:-1 gene:KYUSg_chr7.19159 transcript:KYUSt_chr7.19159 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGHHRSFVPVYVDELYSQPKGLSVVREEESQPNTSKLARDTDRTGAASTRHTCMAMAPTLRPLPLLVVAARRVLGVGAPA >cds.KYUSt_chr6.25408 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161124556:161125675:1 gene:KYUSg_chr6.25408 transcript:KYUSt_chr6.25408 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRASLLAIIGCLCFFSSVLAARELNDDLSMLARHESWMAQYGRVYKDAAEKSQRFEIFKANVRYIESFNAAGHKFWLNTNQFADLSNDEFRATKTNKGFIANNKVKVPTTFRYENMSLDALPTIVDWRTKGAVTPVKDQGQCGCCWAFSAVAATEGIVKISTGTLISLSEQELVDCDIHGEDQGCEGGLMDDAFKFIIKNGGLTKESSYPYSAADGKCKSGSSSAATIKNYEDVPTNDEGALMKAVASQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTTSDDTKYWLMKNSWGTTWGENGFLRMEKDISDKKGMCGLAMEPSYPTA >cds.KYUSt_chr2.4882 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30156256:30159075:1 gene:KYUSg_chr2.4882 transcript:KYUSt_chr2.4882 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGSTCSPRGRGNPVPVATTKRNIGEGWIRPYLVQSTSLQGHAAVVAGMHGDGCRGLEGVRKKSEQGNLPSEEASLSRDEVCLDPASPGGPQMVVVAYDPPGGPIAVDQDERSPAQEQGAGSSPTCARSRIRQGPLRKKTSTQKNLQPRPSDRYCTATIVNRPGRHHPPLACSHVPSARPPINPSLSLPQRLPLRALHIGLPRPTHRRAHQMSDDDGDGAGEQLTDDQKREIAVWFLSNAPAGEIRYVAKGNRPAPI >cds.KYUSt_chr6.22290 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140854351:140854791:-1 gene:KYUSg_chr6.22290 transcript:KYUSt_chr6.22290 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCVRSCGGVDVPYPFGIGTDARCYLPGFNLTCDISAGRLLLDIDDTLQVLDIGDIDVPLLEFILTGCNVQATVKSGSVTVASYTLLCEDAEADDYENGSVIDRCSGSSTGY >cds.KYUSt_chr6.19057 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119867728:119868876:1 gene:KYUSg_chr6.19057 transcript:KYUSt_chr6.19057 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLPMGEAGTDGEFDEMRSRSGIGTAAGDGDAIGGAGVYIAVVVGPGQAARGAGRPRFFGTAEWVGALDDRWGRRWGAAMGSRRFRWVRRYNFFCAAAIGAPAVEEDCRSYCGSSSSTLCEDGASAEAAPLPSTSLPFDLNLEAAAADDDDDMDWRCDTLRRL >cds.KYUSt_chr3.8658 pep primary_assembly:MPB_Lper_Kyuss_1697:3:50353966:50354692:1 gene:KYUSg_chr3.8658 transcript:KYUSt_chr3.8658 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKIDIWAVAVGVDSHGLTVKDAINNILFVLGFNAFGGFSVFLPFLVLEIGKPDAAGLRTRLRDEVRAALQETGGEVGFAAVAKGRMPLVRSTVYEVLRTRPPVPLQFGRARQDFVLRSHGGEGFAVSAGEMLCGYQPLAMRDPAVFDRPEEFVPDRFVGEEGEKLLSYVYWSNGPETDDPALGNKRCAAKDAVIATACMLVAELFRRISSNT >cds.KYUSt_chr6.855 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5388379:5392817:1 gene:KYUSg_chr6.855 transcript:KYUSt_chr6.855 gene_biotype:protein_coding transcript_biotype:protein_coding MALPARLVRVALLLLVALSFCAGTHHAPREFHKAVGSGSDKFGLVVRRSIAEAPADINVTTNSSFILAEHRTFRKDPLNSFDRYTGGWNISEVHYWASVGYTAYPLFVVALLWFVLFFLLMIGITCHHCCCPHHSYSYSKVAYALSLILLILFTCAAIAGSVVLYDGQGKFNSSTTTTLDFVVSQANFTVENLNNLSDSLSAAKKVDIGQFLLPPNTLGQINEIQGKLNSSATDLATRTSDNSEKIRKLLNRVRIALIVIAAVMLFLAFVGFLASIFGLKFLVNILVVFGWILVTGTFILCGVFLLLHNVVGDTCVSMDEWVAHPTEHTALDDIIPCVDPTTANETLTRTREVTSQLVNLVNQVLTNVSNRNFPAAAAPFYYNQSGPLIPLLCNPFMADLSNRTCTRGEVTLDNATQVFRSFECQTRTVSGTEICTTVGRLTPRIFGQMAAGVNVSLGLYQYGPFLVQLQDCTFVRDTFTNISQNYCPGLEMHSKWVYIGLALVSTAVMLSLIFWVIYARERRHRVYNKQHKHDPMGGNA >cds.KYUSt_contig_1181.617 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:4342795:4343520:1 gene:KYUSg_contig_1181.617 transcript:KYUSt_contig_1181.617 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMPVSDVLPPRRSYPACMSCRSRLPVSSGAALPPLAKSEDDYLALMLAEFGPLMPLLSQEEIQATIITDDDAEIQAPPLLPEDDDLKLCRPIPPCPADNKKRKNPPPPQPSAEEDALDEPSPRPSKRPKLPSPRRTNKMMANCILERSHSAPEPWESGLWCHCNELPRPCALHQNAPGWRWMKEHDQKPPVGSEDGITVPKSRTDGKRLALRYMRWRCRVWMPSRFYAEHAQLIKMKKL >cds.KYUSt_chr1.39536 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241849329:241854669:-1 gene:KYUSg_chr1.39536 transcript:KYUSt_chr1.39536 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGFVNMDLFVIDSDSESCTGTSDSEDQEECEFTYSDHAQSILSSLDESISKIDDFLTFERGFLHGDIVRPVSDPSGQMGRVVGVEMFVDLETNSGDIIKDVNSKQLSRVRSFVSGDCVVMGPWIGRVIRAFDLVTVAFTDGAKCEMLLRDSEVLTPIPPIRFEDAPYFYYPSQRVRIAHPPVSKSGTWLSGSWRASRDEGVVSHVDVGLVHVNWITSVTNVWGDRSSTPPNFQDPKNLTLLSCFPYANWQLGDWCMLSAGHDGSLGAMDSVKSCFPSEDRSQYSQTYVVGKTKSTFDVLWQNGSISLGLEPQNLAPVSTPGDHDFWPGQFVLEKLAVEEASERQRIGIVRNVDALERTVNVKWIVPVDDDIARYGSGPTEETVSAYELVEHPDFSFCTGEVVIRSALNIDKSEADLTIGTMTVSRKSLDTSSGFLSCIGNVLRYKDEGIEVQWASGVVSKAQHFEIIGLERLLDNSLESVNEVHISVDDDAEQEINRYESTKNALEESAEDCTVSLRNAFIFSKTAFGFLTNVVSSFFGAQDSTSSSSVTADPRYQIVKTTELHSSAEDFPEEKHIMDLATQIEKPQLPSENDIKRFDVVVDCSDHHFVKEGGHENVKRGWLKKIQQEWTILQNDLPDDIHVRVYEERMDLLRACIVGAAGTPYHDNIFFFDIFFPPTYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWAGTGNEVWNPDGSTVLQLLLSLQALVLNEKPYFNEAGYDKFVGKADGEKNSITYNENTFLLSCKSMMYILHKPPKHFENFVKEHFTSRAPHILEACDAYLSGDLVGHARDTAYVSDDGCKNCSTGFKIMLGKLLPKLVAAFSEAGIASGQ >cds.KYUSt_chr2.47581 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297679436:297681170:-1 gene:KYUSg_chr2.47581 transcript:KYUSt_chr2.47581 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPWLDDIMHACLPSSPVSAAAFLSAAISDDGGGEDRISALSDDLLCNVVSRLPVKDAARTDALSQRWRGLWRGTHLVLDDSHLLPDGRPMDWSAPAAAVSRILASHPGPFRCVRLANNLIDASKEDALAEWLRLLADKGVEDLTLVNRPLSFDVPVQLPPSLFSCGASLRRLYLGVWLFPFTTGLPRSPDVFPHLQELGICHGITEERDLEYVLACSPKLETLALISNYCYPDRVRIGSHSLRCLLLWHSLADEVAVMAAARLQRLIIYCTHPAEAGWKINVKIGYAPELAVLGYLDTATHVLQIGNTIIKVAFFLSYITLFHNAGVTNVSPNAVVPSVKVLALKVRFRVSEEVRTLLTFLRCFPEVETLHVMASDNDTDYYDDPGEVKAGDKINSTFWQGVGPIKCVESHVKKVVFDQFNGGTNQVGFLKLVLGRAVLLQKVIVVLAGPDPIMVSEVKRKLQPLASKRMWATEVLDTTSLEVRGRASGHVWRYSRASDLSISDPFLS >cds.KYUSt_chr7.3899 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23224366:23227179:-1 gene:KYUSg_chr7.3899 transcript:KYUSt_chr7.3899 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRPSSCSFPPTAQFLLLPRKSGSRFLLAMTYAADSSSSASFRPLRGAVAVPSLGAEETTAAADEAFRRHTSPGLRRAGSGVAVVWFRNDLRVLDNEALARAWAASEAVLPVYCVDPRVLGGATHRFGFPKTGALRAQFLIECLGDLKRNLQKRGLDLLIRHGKPEDVLPSIVKTVSAHTVYAHKETCSEELLVELLVRRGLEQVVIPQVGTPSNQNKPLNPKLQLIWGATMYHIDDLPFPVSNLPDVYTQFRKAVESKSSGRSCSKLPPSLGPAPSSGVAEIGGWGSVPTLESLGLSVTKAEKGMYFIGGESAALGRVHEYFWKNDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYVCEEVKRYEKQRVANDSTYWVLFELIWRDYFRFLSEKYGNSIFHLGGPRKVVSKWSQDQTLFESWRDGRTGYPLIDANMKELLATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGSGVGNDPREDRYFSIPKQVSIKTK >cds.KYUSt_chr3.3960 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22506072:22509126:-1 gene:KYUSg_chr3.3960 transcript:KYUSt_chr3.3960 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGGRRRRRKSEGAGDAGASGPGGQWSLFIDLPVLEAATAGFSDRNLLGRGGFGPGVLESGQEIAVKRLSLESRQGAREFLNEVRLLLKVQHRNLVSLLGCCASSAAGAGAGHKMLVYPYFPNGSLDHFLFDREKRMQLDWPKRYQIILGLAKGLLYLHEESPVKIIHRDIKASNVLLDDKLNPKISDFGMARLFLEDATHVNTFRISGTYGYMAPEYAMNGYLSTKTDVFSFGMLVLEIVSGRKNIDRHLDADKVDLLNHTWKLWEQERSVEIMDPDLSGAWEADEAALCVQLGLLCCQALVSDRPDMYSVHLMLSSDSFTLPKPGKPAIHGRVGRWMSTVASGSVSGSGTNTNSTFATDTTKASTLELENIAEDHSRNSISVSFTTEGR >cds.KYUSt_chr2.31326 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193398754:193400178:-1 gene:KYUSg_chr2.31326 transcript:KYUSt_chr2.31326 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSGNDLKPLLEKLLQAFDDEDDRDLLHRTELDHIFRILRANKDKITSRPPDVEKKEELPELLRKIDEALQQCKARSKQPQQSDNAKSKKMTLPSVSDCNPFKSRSPDFSVEPLLQQTITILGDAPSTSAPASNHDAAGEDTVLYEWTTSYVDEDRIYGWADEADKVVDALVGPQEEEGKEDQLLFRAAGITGIHGSGKTALAQKVFVHDRIKDAFPLRLWVCVGPPDHEDRFNLLYRMLDNLGLDTAKVEAIVDNADVVKAAAGDKDKNKIGVLLFILYVTLYKTGYLIVFDTIRAYDGSDGWYSNLTLQPPKMGEWYERLAYGLPKARKSAVLVTCRSEDDARTMVRTGRVFRPPGLGVAEGWKLFEREYKEAKKKSKKEKEEKEKDKDEKEEKKEEDEIYKELKQIKEQIVGKCLGLPVAIVQAAKGFALMEHKPDDPPKAEDKALPDETVPSKTEPATRATEANQPVH >cds.KYUSt_chr1.37247 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227667094:227667423:1 gene:KYUSg_chr1.37247 transcript:KYUSt_chr1.37247 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSSTKVTLAATARPPLWVARAGKFRCAAYSKDGVKACSAMNLSLALLKHMEAMELRSLGPSWGPGVVEERVDTEDTRVRRLSRAMNPESQWVVACVVRYIFFNCRR >cds.KYUSt_chr7.7848 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47482365:47490257:1 gene:KYUSg_chr7.7848 transcript:KYUSt_chr7.7848 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVTTAVAIGWGMRAAGWVASPIISDLFKKASSYLGFDASEKLSELEPKILLLERVIGAVEESPYRPRLEGLYSNLKSAFYDAEEILDDVEYYRLEKKIQNDRLKSELLHTTSDLLCILSFVKACPESGMSKVKLKKKLDIIEEVINDACKVLERMNLPSISGANQSHVVAANSRGSVTTSRPLSKIIGRDEDCEMIVAMLREKEDYGQPDINSVTCYSVVGIHGIGGSGKSTLAQLVCACEKRDVHFDLVMWVHVSRDFCVDAIYMKMFEAATGTLCPQLENRDTLQDMLEEKLHGKRFLLVLDDVWYNIRDVTQAENLQQILSPLKAGEAGSKILVTSRTEDALLALGAAKQRCIPISVLDENVFCNLLMHYALHGVRVDDHARRTLEGIGKEIAKKLKRSPLAARIVGGQLRLRQNVEFWRSVRNRDLLNETMGALWWSYHHLRGQVKRCFAFCGIFPRRHLLKCHELVKLWVAEGFARCTSEEEEMEDVCREYFDELVSASFLQLKAKEYPHEKDYYLVHDLLHDLAEKAAGSDCFRIENSWKLQGKCPAVEVPPNIRHIFIETYNEELVIKKICQLDNLRTLIIGGENIPGAVGEQGLKCMFKKLRKLRVLTISARYSKEEDLVSLDVRVPACIGQLTHLRYLALRARLFHIRLQRIILPATFTKLYHMQILDFDCFEIVVFSSSEDICGLINLRHVLLSEDVDIPSIGKLTSLLSMKVFNVRKQQGHELEQLENLNKLRGQLWIKGLENVESKAEAFKANLAGKEGLSALKMSWQSGEASSEAQAEVLEGLYPPKDLKSLIFEGYQGPRYPSWMHDGGLKHVNHLYLFECIPQPGPELVAFCARLRELVIEHCRWGALPDYVEYLTSLQSLKISYCQNIRSLPTLPPSLEKFTLKGCNVSMSSCCLEHLTSLHTLEISDCGSLPALPQSLEHIDLYCLYGSSPMEHLTSLQSLGIYYCDSVFAGVTALPQSIKEFTLNTCDKVLASSCITVGGSGWQKIKHIPYASIKVVEIGGSPDVEIWEEEPPDFLSFGAHQQEVVHIFIVRTGETSGSVAELVPKTPLIGGETLLPGQPAENFALERGSAPPKLLRSFLDS >cds.KYUSt_chr5.22413 pep primary_assembly:MPB_Lper_Kyuss_1697:5:146217168:146227910:-1 gene:KYUSg_chr5.22413 transcript:KYUSt_chr5.22413 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPRGGDQLAKRFAATVVIHDSPPPRGKMKGKSAGVPVGKDDEDDDDDDCVILDGDPHRPVAVSGAGYGGGSDEVEIVAVRGEIACKDFPHSRHVCSEFPFGTTSHVKHCSMCYCFVCDALAPCKYWGKGVSNNDHCHATDKEAKWKTQRQAFKSKILPATYPEKHQNVVYPSTPSPRRQDYYNDYTSEEYRVEDEEEYLDQDKEEYSDQDKEEYSDQDEETTVCVGNLPYGIDSQYLGQLFWYAGVVVFSEVIYDRETGLSCGYGYVTMSTVQEADDVVKNYHLRELYGRLVTVSKAAASASPRGAEAEETPSPCPSTSSLFKLYVANLPWDVDGSELKQLFSEYGEVVHAKVLYKGRGARRRSQGFGFVTMATQQESEDAIWDLNKQVSVWFGFTEMIDIAPSLSVG >cds.KYUSt_chr6.12368 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77246187:77246673:1 gene:KYUSg_chr6.12368 transcript:KYUSt_chr6.12368 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYYYFPTTLEATPEISTSFRIVHSSRKRCSPSPRPRRTSSSRDNDAGELRPHYLDTCFRCARILAANRDIFMYRGDTPFCSEECRQQQINTDEVAEKRSNQSAAATREQQKNTHRVPVWAR >cds.KYUSt_chr2.54665 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341051279:341052870:-1 gene:KYUSg_chr2.54665 transcript:KYUSt_chr2.54665 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLSYLSADFIAVFALGYLSRNLPTTSTTDENHYKPTHQLMLLWAPFLLIHLGGQDTVTAFSLEDNELWLRHLLNLLCQACLVVYVLWKLVALAHYQLVIMAVFLFVAGIIKYGERIWALKLGSKKGLRNNTSAEVMRMSPEVEETETHWTYQEFVWYGALHTEEGAYDVEGDEGGHTQLDFKKVEIELSIMYDNLFTKSWVIRTRPGIILRCVSIASTLVAFVLYTKMMMSSSTDVEQTAAVYNNRYRRSRVDATVTYILFFGAFCLEACSLFIVMMSPWAWPLLGTRPGRCSRVLFTRVVWPMFVWIQPDTKPWWSNSMGQYNFLSTSSSMAAHNDFMHIRRRSIVIARISAKMACIFVIQELWKKIHSTKHAEMTREIKELIHKLLHHEWGHPKRMRVPTSYRSVLCLPFEELLLSLHVWTDVVLHKADKSMNSSNLTSADKEGRQRHMDTCQKLSDYMLYLMVEHPAMLPVSTNVQDVLVRAAASSWANGASDKEYYLEH >cds.KYUSt_chr3.29195 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182618293:182625361:1 gene:KYUSg_chr3.29195 transcript:KYUSt_chr3.29195 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGSSELRTIDFPSAEGCLPFQGLRGGGAAARRRNVFVVFYDIKIQKDSVVILCFVLDYSCKPRDRLSLSGMPDMVPQTQHPEDALRAGLHSAVCDPNIVIRTNQKNIYNIYDPVWEITWNYRYWQMFLVVLVAYTAWSSPFELALVRAASRAHLIADLAVDAFFCLDIVVSFFVAYRDTSTDLLVHDRSKIAARYLTRPGFVMDVASTIPLQIIYQLMGGKRNGPCGFLILLRLWRLRRVSKLFARLEKDIRFGYFWTRFIKLICVTLFALHCASCIYVWLAFHYRVKEHTWIGSLQSDFKERSVWFVYTYAVYWSMTTMATVGYGDLHAANTGEELFSICFMLCNMGLACYVIGNMTNLVVHGATNTFLMRDMVDRVVSYGKRNGLPVWMLEKMVEHVQLRFQMAELLQEEVLSELPKAVRSAINQHLYKATAESCYLFRGVSQNLLVQLVSEMKAEFFPPKVDIVLENEIPTDCYIIVYGQVFVMKIGPHSMAGEIGVMFNIPQPFTIRSRTLTQVIRVSHSHLLHTVRQAGTADVETMFSNLIQYLGSLKVQMEDLTFTTDIRVVIHEQSNMASSHAAGKLVYLPGSLEELMKVGQEKFGKAATRVLTADGAEVDDVRALRDGDHLFLS >cds.KYUSt_chr5.31012 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196380444:196383784:1 gene:KYUSg_chr5.31012 transcript:KYUSt_chr5.31012 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKSGVQKAALVEETQRPPLVPSEKHNASSVSRARDVASRYKSGQAVTSTRRCTSPSLARTSTADGTPVPKRAQSADRRRPTTPSTPSSRVSTPSTPISRSVTPVRDTIKEVNKSSRCIANERSPHGLWPAMRNLSPSYQLGSVAAPGNKKDKVVSSPSLDQTKEHASVPTERKRSPLRRKKVAEQCENARPSEDLPKRATEQNRWPAMISKRGHTNLMSNSELSEKSSRSVPLSNTSRGLSPRKNPASEDTGKRLNQSLDDVARRLAIHANRRDGHLDSVSDVHSQTTERSKCASRPSRTITLPVPVLHRSSSPNRVLSAAPSTSRAFQSPSRTRPSTPCRSQSAGTIQPGVISPVVSYMVDPRKGRKNSSQTENIHQLRLLHNRYLQLLFVNARAEDVLSFQKSTVENILYNVWRNTSNLRDAVNLRRVMVQRHQQELKLYGILQEQIAYLEQWPALEKENSLSLFRASEALKASTLRLPVTSGARADTVSLKNAMSSAVDVMQGLGSSVRCMLSKVEDKTYLVSELSVVSEQEKVMLDECRELLAMAAKLEVQESSLRTHLMQVKELSR >cds.KYUSt_chr5.42079 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265237199:265238551:-1 gene:KYUSg_chr5.42079 transcript:KYUSt_chr5.42079 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLALACCTTALIILFLPLGTSDDRLVPGKLLFPGSTIVSDGGAFVLGFFNLSNSTPTKLYLGIWYNNIPELTVVWVANRENPAINTTSSTPMLSLANNSNLVLSQGDGHVLWTTTNVATVLGLSTPTAVLLDTGNLIIRSSNGTTLWESFEHRADTFLPGMKLRMKYNTRNIDERIVSWKGPSDPSRGRFSYGSDPDMPFQSFLWDGERSVARMTPWTGNLVTSQRQNKPTPGTNISDIIMYQAIVNNGDEVYFTYSFSDGAPPSRFVLIYSGEYQLQSWNNSASAWAVLWKWPSAECNYYSYCGLYGYCDETVSPVPTCKCLDGFEPANMEEWTSGKFSGGCRRKEQIHGCGGNFLALPMMKSPDKFSLVGGGQSTMEECAGECHRNCSCVGYVYRNVSGAMSRGDSTACLVWVEELVDTSKLRVDQGGETFYLRLAGMDAAGGNKY >cds.KYUSt_chr2.47697 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298365395:298374733:1 gene:KYUSg_chr2.47697 transcript:KYUSt_chr2.47697 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLQQTKQALTSEDFSHILEYCAEAPDPLFVMDILEIMEDKAIDISKGNYRSVTRALTKGGYSKEALKLLTLLGEKESTHGVLPIFNIFLSACRTNLNDVGSCLEKMEGHLVGKSEITYCELLKVAVLQGNLSAVHDLWKDCTRYYSPSIIALRKFVKAFSTLGDLQSAYHILQRMVVLAGEHTDHLMVSSKRRCQSTRLDIPVPALNLVEDLKLVSDYDLPSPFQGKMGAEGYLVDAQPELFQVETQSSKHKQLKSYVSIISADSGRMTKTLRFAPVAVKKILRWSFNDIIHMCVQLDNCQLAEQLFLEMHKIGLRPSRFTYDGFIKCVLAGKGVAHARKVIEVMERRGIKPYDGTLVALSVGHSKSLQLDLAEDFLGRISDIKSEYIHGFNAFLSGCDIMNEPERALRVLARMKHLDLKPNIRTYEILFSLFGNVNVPYEEGNMLSHADMSKRISIIEMDMLNHEIQHSFVSMKNLIRAFGAEGMIEEMLRYLNVAESVLSNMDPYQKSDLYGIVLHALVKAKETHKAIRTFKIMRACGLPANTAIYTVMIECCKLLPCFKSASTLLSLMLRDGCCPTVVTYTSLLKVLLAKDDFEGALDLLDLCLTEGIQPDIGIFNTLLSDANARGNIHVIECILECIHRAKIQPNESTLWYTFCAYVDQKLYSTAVEALQVLSMRMISEDASVLKEKGAALEDLILSEEPDAELSIIKAFGATEESRPKEKKEMASLGRAAASAARAALRPAPLAGRSLGSSLLSASPARAAHLLRSSRSAVAGLETLLPLHTAVASARLKSCIAVDSTCWRSLSQGTTGYRPNQTSIDLQEQEPRIDPAIMASSFEEGEVPFDKFAAAT >cds.KYUSt_chr1.42197 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258416740:258419088:1 gene:KYUSg_chr1.42197 transcript:KYUSt_chr1.42197 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAQVGGDPRGRATADITTDARASSRKPRRRHRKSAAATAAAAALVAASPTPSPPRTPTPMQRLFDTSREVFSASAPGFVPPPEAVARLAAILNDLKPRDVGIDPSLPCFRHTESKGPPSVTYLHFYDCSKFSFGIFCLPKSAVIPLHNHPGMTVFSKMLFGSMHLKSYDWATSSPENDSNTLTTSSDGARLAKINTDAVVDASAETIVLYPENGGNLHCFTALTPCAVLDVMGPPYSSADGRDCAYYDESAYSDTGGEIRYSWLKEIPSTFEMKGVQMRQRFTV >cds.KYUSt_chr3.24620 pep primary_assembly:MPB_Lper_Kyuss_1697:3:152814183:152818568:1 gene:KYUSg_chr3.24620 transcript:KYUSt_chr3.24620 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSAEMLRKVLGLTLWVWIAIGVVALLVAILLMICIWAASRRRTKRTMDTLSQTQIPIYSKEIPVDRVGGGGRSLAQTMHERDHPSFPPQDSKHASREPGKTPGHVALSKSSDHDNVSQGSSVCNVDRVGSVHSGEDGSSGHARKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLEFATNRFSKENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFTWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDNEFNGKVSDFGLAKMLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGRDPVDYSRSANEVNLVEWLKMMIANRRAEEVVDPILEIRPTIRALKRALLIALRCVDPDSEKRPKMGQVARMLESEEVPYREDRRNRRSRTGSMDIESITEGSNSAEFANKSEQLCSSPRQLLPWISVGNISRLRFVWVPEKIMLVYHAVPSLRDSGPFFIFSCWFLHCYAVSF >cds.KYUSt_chr6.30076 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190630281:190630748:-1 gene:KYUSg_chr6.30076 transcript:KYUSt_chr6.30076 gene_biotype:protein_coding transcript_biotype:protein_coding MATASATSLSAAHLLSPVPSRPRPLFRALATSGSGKQKTSKSKSKNKGKGKGKSLEPPPDVVVRRAPAGSASVFEQQRTEAGFNPGGGGKGPSDEEVRQRQITESAFLFAWLGLGAIILVQGLALAASGNPFTDFDSDLRLLVELKGVNCASNPI >cds.KYUSt_chr1.41991 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257410259:257411919:1 gene:KYUSg_chr1.41991 transcript:KYUSt_chr1.41991 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKTIATAASLAASLMLVRSLASELLPSEAREALSAALNSLRSRLTWKHTIVVEEIDGWSYNHVYPAVKAYLATRVVDAGANIGMQHLRVSSANSGSEKLVVSMGAGEELADVYGGAEFRWCLVTREVKADPNGGGGAREVRSYRVSFHRKHKEKALKEYLPFIVATAKAIKQGERSLNIYMNEDSDDWAPMDLQHPSTFSTLAMDQKQKQSIIDDLDRFIKRKDYYRRIGKAWKRGYLLYGPPGTGKSSLIAAIANHLRFDIYDLELTGVESNSDLRRLLVGMTNRSILVVEDIDCTIELMQREEQEEDKEKSKSKSNSKEKKKTEDKVTLSGLLNFVDGLWSTSGEERIVVFTTNYKERLDPALLRPGRMDMHIHMGYCTPEAFRILVNNYHAIEYHAKYPEIEELIKEVTVTPAEVAEVLMRNDDTDVALDDLVELLNTKKKDANEIKIDNKQVDEKKDASEIKTESMQVDEKKDCDGIKTECVQVEEKIDDKEVVLKNDSFTEDGSS >cds.KYUSt_chr3.3694 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20966895:20978564:-1 gene:KYUSg_chr3.3694 transcript:KYUSt_chr3.3694 gene_biotype:protein_coding transcript_biotype:protein_coding MGTARAARPWLSPLTAAGRNNGRGVDGGRPARAAAASDHLPTPATSLDLLLRAPSASPSRCCLPALPVAAASGSASTSVVDGSSLPLVSRTEVDQWWYHLTAGEGRCWCHQRGLRYPSVKLLDINYGFITNLLQLPVAAAAGSASTSAVDGSSLPLVSDTELDEWWSHLAAGEGHCWCRQRGHSHPRVKLLDINCGEEKVDHPERIDWQKVKCALKNLRIKAAPGNSEFKTVGLSDENATKKTTGLPKLSLLAAWRPLGADAVGVLPLLPVTSPMCFSLIVLHPDTMKRLHLFRGDAQDRYCRKGGPGAAVETHRL >cds.KYUSt_chr7.9603 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58733275:58739632:1 gene:KYUSg_chr7.9603 transcript:KYUSt_chr7.9603 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSADAAAPASTSGPPLAPLISAQLKFLLSNPSLPVKLGQIWSGCRNARYADRFTLAIPFCLDYVYWDFLYNALYPKVAPDVVFGPGDEDFQPLVDYDDAGNGDKSCLARWDIRDPRGLLNLVEELRLLYIEYQKKRVAEVDDTRLKFEVDTVLAKEGIEVCMVPLTDRPDEVKFAVPLLDLDLKQLVPGCPWKFPQKIHLQAIFPVSGSYSSVSSAPRLKLISTPEMKSFFSVDAVKLPAWVDGMCMAEYILALEDDLNNQVVEASASIGSRRRFIEALAPAFGRPLEADPVHFIIPLQFPRQQPILTLQSSQHCNSQGIPITSSQINDYPWSPRWDPTEMVERIYDFLADECQNFKKFCSDGVPPTK >cds.KYUSt_chr3.31831 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199937039:199938274:-1 gene:KYUSg_chr3.31831 transcript:KYUSt_chr3.31831 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLFSVDKFTGGSQVYFLTHLHQDHTRGLGAAGGWRHGPLYCSPVTARLLPTRFPGVDASLLRPIASGDSASLTISSPTSGRIFSLHVTAIPALHCPGRYPFLPNHCYFARLHSLNSADVYVGSLMYLIRGDLGCMLFTGDYRWELGCEEARYAKKALLQALAGDSVDVLYMDNTYCHPSLNFPPRRVVAEQVCCASIDCANFRSVYAGLSVQPSLVHATKQNQMPFLPSFVPFHRAAHPGPWTLRGDFNMIYRDEDKNNGNLNRRMMGRFRRFLNDCELKEIYLHGRRYTWLNERQTPLDEGGVTAAWEELHNSCSLRCLATVLADHCPLLLDCTTQSTGRKRFQFERFWLKLDGFSEVVQSAWEVIDGDPDPFRWLR >cds.KYUSt_chr1.3449 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20840453:20845344:1 gene:KYUSg_chr1.3449 transcript:KYUSt_chr1.3449 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDQKFYLLRFNVEGVDQVEGDDGFDDPADNTVGDEGFDELEDQEESFQHMMSSDNQNTLQSNSAPADIGNDKYRSCSAIPESWEEMDLDDERLVLTSVCKSVQDEKLVEEWIVSPVSFRSLPTNMNHQLVDDIVWDFEPTDYGQIDGEVLSELETSASAIYCSQVHEDYKISDIDTDVDEMSSDDSTGEMDFLPNELISKIGSARKNLFPVLEQLAESEEKKKSMVKRKNLEVPPSFNGVTVNIRGVSKPGQQNQLDASDKMRMEEIKAELDEIWSQEETKAWQRSRDRQVVEGTELARCQRATKKNCCVDQKLAPVVFGQTLVPSGCKPDAVGLSSWGALADSLDMKCLLLGMSGKDLSSLDRQESRSMLALGDSL >cds.KYUSt_chr4.50917 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315714082:315715512:1 gene:KYUSg_chr4.50917 transcript:KYUSt_chr4.50917 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTVVLLPVWGVGHLMSMLDAGKRLLARSGGALSLTVLVMQAPTESYRSDVAGHIRREEASGLDIRFHHLPAVEPPTDYVGAEDFISRFVELHAAHVKAAISRLTCPVAALVIDFFCTTLLDESRELAVPSYVYFTASAAMYALFLRLPALQEEVTSEFEEMEGMVDVPGLPPVPPSALPTPLMDKKKPGYTWFVYHGRRFMEARGVIINTASELEQSVLAAIADGRCTHGVPAPTVYPIGPVVSLNPPAEQPHECVRWLDAQPPASVVLLCFGGGGFATATQAHEIAHGLERSGHRFLWVLRGPPAAGTRQPSDANLVELLPEGFLERTKEKGMVWPTRAPQKEILAHAAVGGFVTHGGWNSILESLWFGVPMVPWPLYAEQHLNAFTLVAYMGVAVAMEVDRKRNNFVVGSELERAVKALMDGDSDEGRKAREKCTEMKGACRKAVEEGGSSYSALCRLSQEMREGAVRTNM >cds.KYUSt_chr1.36023 pep primary_assembly:MPB_Lper_Kyuss_1697:1:219495203:219496383:-1 gene:KYUSg_chr1.36023 transcript:KYUSt_chr1.36023 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNKTAAVPTVVIDATNIEAITYNIASTAQIQHSMSEAVDVALPLPSSDPLLMKDNKTAAVPTVVIDATNIEAIAYNIASTAQIQHSMSEAIDVSPSVSRVRIDANTTRKRPIYSWRYASPAPTATSAVRRPSSLSRCSHPCLHLHLHRVDEEVHLSIDGADKIYPDLNLVKGLRGGSLLRGKMIEGDGGV >cds.KYUSt_chr5.40019 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252917787:252919525:-1 gene:KYUSg_chr5.40019 transcript:KYUSt_chr5.40019 gene_biotype:protein_coding transcript_biotype:protein_coding MTILQTYHIILSKVFVCQVVGTHGYAAPDYIETGHLTAKSDVWSFGVVLYEILTGRRSLDRNQPQGEQKLLEWVGQFPPDSRDFPMIMDPKLRGEYSSKATWEIAKLAQRCLLKNLKERPAMSEVVEVLRRAVQVELACGDKAPITGNGKRIDVTPPSKRNPSKEIFSELDEINAQGPIFTRSFQKTERERKWGHEAPTQ >cds.KYUSt_chr4.11682 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71032492:71036901:1 gene:KYUSg_chr4.11682 transcript:KYUSt_chr4.11682 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEGGERRRGRRRWLPAACVPRPGCFTVSAADEGPSGSGAEEEGSRPAPTHLVVTVNGIVGSADDWVYAAKHFIKKHPEDVVVHCSGCNSATRTFHGVDVMGRRLADEVISVVKCRPELKKISFVAHSLGGLIARYAIALLYENETATQTDCNEECEKDVNDARRNQPTGRGKIAGLEPMNFITFATPHLGTRSHKQMPLLRGSYRLEKMAFRMSWLAGRSGKHLFLKDIEEEKPPLLLQMVTDYGDLHFISALRSFKRRVAYSNVCGDFIVGLRTSSIRRQHELPKKQDFVHDGKYPHVVHVEKPKSQDVDFSDAMIYQAKTTSEMEEVMLKSLNRIPWERVDVSFKKSRQRFFAHSTIQVKTYFLNSDGADVIFHMIDHFIY >cds.KYUSt_chr2.41222 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256275892:256281476:1 gene:KYUSg_chr2.41222 transcript:KYUSt_chr2.41222 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSKKMLSKKGPLGTVWVAGVCGVAALTRDQVLRTNVVASVDKILPDVETTYRILGLLLLGVVRIYSKKVEYLYSECDQLLEASAEGKKRVPKRAKKGACARRLVIDEEDPVIAKKPARSGRTSRAENGVMSQTLVQIPEGHEPLDLPPIFTIPKRFELDSFDLQIPENREDEDDDHHQLPREDTLLEDEQHRTSCMYESYQRMPHADLDSACFMPACIPLPTEVIGVIDEMSDLLYSSNKGDEPGSDNQNTDPACFTPVKDVLPTEVVNTMAEGSGLPESRKVKKPRREVNGKENDDSACSIPLPESQEVQRSLNVVENAERADLDENRHVPEEPEDGLLLAKSNTAASVEIPDIGSQDSLEPSTPEPMREGASGLLEKFMVATPAMNEKCQVLRKRRRGLYSKDYICNPIDRKDRRPVKRRAALVLFDETIVLPNVVVKEIIADAKDLVCKRRKAPHTYLDAWKVAKIGSLQDTFMDPLIQYSTSVHLRHSTTEDAPEIPCTESIKAKKCLSYEPAEKDELPKTPVGCYTESEQIHDGYECNGDTPYGNHAQVDGAESALPEEYRSKNHAALRNEPLMADIGNIVDEDIPMDETRDEDFPLSTRTRAVARCLHQLFEDQKCQQQETIPITLGQALEGSKRKTTARFFYETLILKSRGLIEVNQENPYENIIIAATPQLEAVFQSPE >cds.KYUSt_chr4.21025 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132466885:132467476:1 gene:KYUSg_chr4.21025 transcript:KYUSt_chr4.21025 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHMRSLVGVVVTMLLLLACCEASSSQQLQQRQHHPRKMLDVGGAPSPSDIVIVHGCSDPEELMHLSQSRAGSTGGGMPEYTVEITNTCLDCNVCNVHLSCGDFASTELVDPATFRRLAVNDCLVNNGGPIGPGELITFHYANSFIYDMKVKSASCKCA >cds.KYUSt_contig_1358.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:32521:33108:-1 gene:KYUSg_contig_1358.6 transcript:KYUSt_contig_1358.6 gene_biotype:protein_coding transcript_biotype:protein_coding MELEASAELQARRPPWRRTVAVQAALCLALYAAFSLGEPQLRPRGGDALGSGGRAGGGVSFITVAGGARPPADQARLLRQI >cds.KYUSt_chr6.8817 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54196656:54197273:-1 gene:KYUSg_chr6.8817 transcript:KYUSt_chr6.8817 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRAAGSLVARWFSPRAAPRTAPPARSLQPSSDRHYRIGEWLLDAYFYAAAASAGAGMALVARHYREDRGFDQSAAGKVTGPRKEVTVKYKKPDMEERFERWIYKNNKKYRDEEEKAMRFQRFKATVEWIESQPLDVQEGYFPEISYFADNTEEENRLMLGRPHGFDRDNAEEEKEMVKAFLAKQRGRRLGMKQGDTRAAQVSA >cds.KYUSt_chr7.33333 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207990051:207993508:-1 gene:KYUSg_chr7.33333 transcript:KYUSt_chr7.33333 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSDARRLGFDRVDFGWGEPVYAGPADTYFGVSFFITGKDPDGEDVVVVPVVLPQLAMDRLTASPGHDLQAEPPPVQGGRESCLLDRIGLQLSGSNPRSKGRVAVAADLLRIAALRLVAGMSASLKMEAHAARGAFPMLALAVAAEVHLYIDGAGEVAPDLNVVKGRACSLLREKVIEAGRRRPLRLHRRRV >cds.KYUSt_chr4.5674 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32995554:32999748:1 gene:KYUSg_chr4.5674 transcript:KYUSt_chr4.5674 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAEDVDFAEYGRVDDDEDDDGMDEDDEAAARALPVPHIATPASARARGRFLGRSASVLASSRDRFDSIPSSPHGGPQRSIEGWIILVSGVKEDAEESDIYDAFCDFGHVKDLHLNLERRTGYGKGYVLVEYESFEEAQTAIRAMDGKQLLTKTIHVDWAFNRGPIQKVTSQYADALGLHLAGSLR >cds.KYUSt_contig_528.207 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1313903:1319957:1 gene:KYUSg_contig_528.207 transcript:KYUSt_contig_528.207 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRARCTLSPAQAARAFGFPSTTTVGGAGGGGGEDSPAVPTLQMDRFRPSYNVSPGAYLPVGAVRARAPGDDGDGGGGAEEHVPVIQCMKWGLVPSFTGKNEKLDHFRMFNARSESIKEKASFRRLIPKNRCLVAVEGRKPSHIYHFDYSFINFIGMASCFLNVFPVELGFTVITITDK >cds.KYUSt_chr4.9852 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59570576:59571058:1 gene:KYUSg_chr4.9852 transcript:KYUSt_chr4.9852 gene_biotype:protein_coding transcript_biotype:protein_coding MICRPQRLGKSSPSRRCRSLFPNSSATLLGLSSSATFLTIATEELALLVPKSGMRSASWSSSPWTRPTTTAPCLDGPGAGGALPHGLRHPRAHPADVVAIPVRRPLPQYCLGSGGLGPPPRGSKIDGIVLVARQGGQAHHALPGEVPCCHHGAPPVSSRR >cds.KYUSt_chr5.34362 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217907881:217916502:-1 gene:KYUSg_chr5.34362 transcript:KYUSt_chr5.34362 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQLVDVRVEEASRHLREEVVALKLLLARFGVSLEPAGTCPSVGLGLANAQASVALDSSVQMSVVQVVEEEHLYGCFSPRGQSLLPDVSATSEREGMDMIVAETLDLEKGGDVDSPVSLSPGSDRQVVPIGEGVAKSGVLTHVPRAFVDCILSLVLSISDNFGGVIMAGVEGLVHVWREWGIQVLVLLSFALQVILLITAEIRRLNHSGLLKAIVWSAYVMADSTAIYALGHMFVTRRSPEHQLMAFWAPFLLLHLGGQDNITAYAIEDNRLWLRHLQAFGVQTAAAAFVLYEFFILGGDSMILCAAILMIVTGFLKYGERVWALKCASASNLLNKNYRSGLTRMRPYLVVPAELKDTEDLLRIAHFLLNIPKKFFERPLGKTLQDRVQWHGPVAREKLFSLSEMQLALMHDVLYSKAEVMHTWYGLSIRIISWASTAVAFVLFHWSGHRHGYSRADVAITYALLVGAIVLETISLLRFIFSSWALADMRRFRRMVLCEERRYWSNSMGQHNLFEVYGAIKTSRRSRIARRMGYEDWWNTRTYSRRIPVSKQMKAYLGDQFFKLYVGHQRGRLHFIMKQFIYNQAINYSKEAPAARYDECIVVWHIATNVYLRWYKKLAEAREQVKKELVETIEVLSNYMLFILVARPSMLPKFDSPSHYAQVCYDIAENKFDSVSALRDATSTEDFLGKLQVYGDSMFQQTEIYTLWRGSRLGRWLIGNPSDTVDTLASNRLRETDDAVVLNNSVTASVTIGTLVEDRISRIEVLMRDHARNLDFFEEGDVLNKINHESMKIDFLAEDELRLRAGPPGSLGDGADVLKQIFVASATINRLATDRLSIIDGLMKHPAHSPNLEDEAGVLGKIVVASATIDELMDFNGIFDDASVLDMIAVVWAKILFDASGADGLAYSHAKQLSNGGELLTIAAIMMTYMQQLVLDYLRHFAYVRVKIGSQDLALVPNSRIGEIKKGFYEFQYSKELFDPSSNTGNKTAVPADAQGGEGDQGTPKRQRTGMQDSDAGSQSAPPKVSGNYKGSHRQTAMHESPIPRKDTGKRKLFELELPCAPEKNLVPTSCVPDKSPPLSEVHKEVVGALASLPSQSSESSSSQRAADSYKQFLTSLARSNSDKAFTIQKEYKNLLDPIAENVNEESDPVDELVDYDSSDNSQDSDTPYLTQGQGILALAAPSLISERTAVVIPVDGPQPEPDSQEEPLSQVDNPIIDVPGAGNSSSSDGNQQHPAPRMSSRVGARGTHTSRTGSSVMENIETSNIPGTNLNTHNSFALLDDEEILARALEMGVCPTSFSLENVNYLKDLEIARHNMGVVQNSVVNSNDVDSNPILLLGLGEEQSESDRDIEEEAFTPVLSRRKRRNKKSACLHGEQDASDLRAGADGLLRLAEVAGVRSSGSDGRGDRLRLEDNRNGEDANDDAVA >cds.KYUSt_chr2.9579 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60458828:60468083:1 gene:KYUSg_chr2.9579 transcript:KYUSt_chr2.9579 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEELARWGGMRQTGVSLRYMTEFGARPTHRNLLLSAQFLHKELPIRIARRALDLDSLPFGLSKKPAVLKVRDWYLDSFRDIRYFPEVRSQEDELAFTQMIKMIRVRHANVVPTMALGVQQLKKDMGGTKAFPSGIEEIHQFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTRLSPMQVARLASEDARAICMREYGSAPDVDIYGDPDFTFPYVITHLHLMMFELVKNSLRAVQERFMDSDKHAPPVRIIVADGAEDVTIKISDEGGGIPRSGLPRIFTYLYSTAEHPPDLDGHNEGVTMAGADIPINDKSTRLHTAGEALNHRPPRPPDWPAAAADAMAGSTTAATEAKTSVWWDINWCAVPAGCGDPHRLADNIIAALASAGCNGPVSVFAYGDASRVAPGVLEALSSTGISLSHVAAGVKDGVDKKMLVDMVFWAYDNPPPGNYLLISGDQDFSDLLHKLKMKKYEILLAHPPNVSSRGLFSAAKIVWLWESLAAGEPLLAKSPHSHTVPDRNRNSDNLDASENFPALYNKGDSNVKAAAKPIRICIKKSNITSTSASNEGQVEHVDGVSEDSAGSTASELDRSSVSSSSSSSESIEGAKIDQSSLLGTPTLSQSPAQKPAVQSRLHQMEISQRFITGGETSISTKCASRSGTLDGVSNGQYPQVRRQSNSSKAYVGDNNLEEGKECKVKPLQKYVKKTNIASSSSNQVESYVGSTRSESTVLSSSSYKSAKGAKVDYATPQSTSTLFQSSAQKPLASIRLHQVIAPQKSILGKKPITSTGHTSRDANHGPGVSLVHYHSTYQQRSQSSHAQNKLHSNTNVGDNNVKSANLYKAKQHQEYVRKTDISSSSASNEIHLGFPSNSKGSTLGLSSQSISSLFCSESLDGTKVNPLPPLSSAQKPATPTHSHQDGAEFIFGKKPSTSVEFTPTSGTFCFGASSGQYCPTDQQTQCSMLLKQDNSVPPNPHSAFDQSYSTNPEVGSSVLPSAGHNGAPSSQILTWPSGSTFQDLADICSDMSRLAISECPTGLPSPGTPASMPMVSGHPRAFGLHVVKSSFHAGSDISLHPNHSNAPQFVQSPASDSRPPHPPNLSYSIQSPENHGETQESPPNSPEQDVAIRSLLHALGILKTEKLSPTESNIADCIRYGEMNLAGFDTKKALEYESLWKCVDVTNSNAKRPKALVTLRKFISSAAGYSALKDSQSRYQAATILKKLCFQQHALGDVLQILQIVIVRKKWLVPHSSGWQPLSFNRVAVDVTGDAIGEVTS >cds.KYUSt_chr2.52218 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325766045:325766416:1 gene:KYUSg_chr2.52218 transcript:KYUSt_chr2.52218 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVVSTAHSLVWLRRAVRRWRSRTGRTDKDTSAAAVPAGHVAVRVEGGRFLVPLAHLSHPAFQELLRQAEEEYGFPSGASGPLALPCDEQRLRDVLRRVASEGRRPSRGDSRPLLQGMAVQS >cds.KYUSt_chr4.10564 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64227549:64228290:-1 gene:KYUSg_chr4.10564 transcript:KYUSt_chr4.10564 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRIRVLLVEDEEIHRVVARAVLRAAGVEVEEAENGAEAVRRVRESGAGGGSGAYNLIFTDKQMPVMDGHEATRQIRAMGVATPIVAVSSDSLPPDVQAFITAGANDFTPKPLTKEKLGHILAKFGLA >cds.KYUSt_chr6.23817 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150511894:150513156:1 gene:KYUSg_chr6.23817 transcript:KYUSt_chr6.23817 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQAQRLFLAPSTSSPSTSAARPRPRRSSVATCRAALHVPSGIHTTQENLNLQVNWIETPRVPASPSAVVSLEKLRAIADAAADRAEMHDIIGRQRDNWNHLLLHSTNSLTLAASAMAALAPAAPTMVALKASAGVLLATAAVTMAAVNKIQPSQLAEEQRNATRLWRELEREVRAAIALSVPTTKADVQEAMDRVLALDAAYPLPLLPGMLEKFPKAVEPTRWWPSRRSAQPKKSKSFGRRGSAPIASGNGWTQDLEDDMRGLLRVIKAKDENEFLTVGKLVLNLNKGLAVAGPALAGTAALASVFIGTGEAGAWATGAAVIGGALAASVNTVEHGGQMGMLFELLRNCAGFYRKIQEDIEANLNEPDVERREGGELFATKVALKLGRSLSDLKQFRKMASPSVRDEDIKEFAGKLF >cds.KYUSt_chr7.29207 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181756830:181759365:1 gene:KYUSg_chr7.29207 transcript:KYUSt_chr7.29207 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLEETLPPRSPGRKDEQSSAVSEEVKRQLWLAGPLIVGFLMRNLIQMISVMFLGHLGELSLAGATMATSFAGFTGFSLLNGLASGLETLCGQAFGARQYHLVCVHKQRGMLILTLLSVPLAVVWFYAGSILLLFGLKDEDIAMEAGTYARWMIPALFAYGLLQCQVRYLQMQNIVFPVMLSAAATVLFHLAVCWVLVHGLGLGSKGVAIGIAISYWINVLILAVYVRVSSTCKNTWTGFSIEAFHDPLVFFRVAVPSALMVCSEWWLFETIILLSGLLPNARLETSVLSITLSTADCLYMIPSGLGAAISTRVSNELGTARPRAARLAVRVAMFFAISEGLVMAMILISVRHVWGHVYSDQEEVVTYVAKMVLLIAVSSLLDGIQSILSGVARGCGWQKIGACINLGAFYIVGIPAAYLFAFVLHIGGMGLWMGIICGILVQDLLLLAITLCTDWEKEATKVKDRVLCSALPTDLTT >cds.KYUSt_chr7.40358 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250576636:250579388:-1 gene:KYUSg_chr7.40358 transcript:KYUSt_chr7.40358 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQCSITRKRTSPTTTTISDPIPPPPPPRVLSPAMAPPSSDQPADAAEAAAAGAISKVLVVIAMQTEALPLVTKFQLVEAPAEESIFPKGAPWTRFHGDYKGLHIDLVWPGKDPLLGVDSVGTVSAALVTYASIQLLKPDLIINAGTAGGFKARGAGIGDVYLASDVAFHDRRIPIPVFDSYGIGARKTFATPNIVKGLNLKVGKLSTGDSLDMSPHDESAILSNDATVKDMEGAAVAYVAEMFSTPAIFVKAVTDIVDGEKPTAEEFLQNLISVTMALDKAVTEVVDFISGKCISDL >cds.KYUSt_chr4.22343 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140363653:140366896:-1 gene:KYUSg_chr4.22343 transcript:KYUSt_chr4.22343 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVHRRLSLLGNGGKHVDYDDGFEPTTDEKLATIVGQQSKAVDDCDDPDCKDEFVPLRGEKVDAAVGQQAKAVDDCDDPNDKRKEWQLGSKSSSNKEEEKPTLVDSRSSSIQLVRPTRFKVCTSPENRFATTGGASHHPSFDTSTPSNTLEEESSDEDEDSEVTPSSSNTFSDNTDFDALKSFADFNIILDDLVIDRELLPGHLRRKSNLTDGRHRSGHQDLLCLFLFPRGPCVLEDDPGGLEALEGLGMDIAFLRKRVDDLQVVVLERDRELEKIRALRSELSSLNDALKGLGMDIAFLRKPVDDLQVAVLERDLDLETIRALRSELSSLNDALKGVGMELDEIEELASKIEESVAKMKVALGMP >cds.KYUSt_chr3.39970 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251903142:251907678:1 gene:KYUSg_chr3.39970 transcript:KYUSt_chr3.39970 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCKQVADDIAEEFCFVCKDGGEVRVCGFKNCLKAYHPRCVGKEDDFLSSNDQFTCDCHKCAHCKGNALYECFCCPTSSTCQDCLGKVDFVPLKEGKGFCSSCLSMAIVTEKDAGDEQPADAPEPEDYELLFKDYWEVIKGKECLTLLDLQAASILLKRQNCKESRDSDEHHNTDGQSLGNDDAGQTFLLEPMDKPSEVQAPPKRKKSNKKTYVGWASKELTEFLSCIGKDSTKPLDHYGVTRVVREYIQQNLQENTTTSKPFVPSKSGQKENKKKKSVDCDVNLHSLFGKNKVKLNMIHSLLETHLAVNAISEDESDGSGDDYGLTVKKKPRNCLEPKVLNKVSGIDKRCVAALNQNNLNLIYLRRTLVVKLLSELDTFHQKVVGCFVRVKNDVKSYTYMMTKKHFQLGLVTGIKKSSEEYKINKDNKCTDILLCVSSMLDDVKISSLSDEDIEEAELEEKEASVHADIVNHVSAFW >cds.KYUSt_chr4.16271 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100979989:100980561:1 gene:KYUSg_chr4.16271 transcript:KYUSt_chr4.16271 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAEMTNGVEKEIKLTNKEAALIHSNQNFEEFMRNSCYHLELDAPRKKNDGKEIKRFSDRKPKTYSKREPLKSYLKLTPGNFPAELLDGSLES >cds.KYUSt_chr3.19610 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120682386:120682802:-1 gene:KYUSg_chr3.19610 transcript:KYUSt_chr3.19610 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIEVDDGMDSQQLLGLLQLRVGHRANIDGGNLGEVSTATAPISSAAPGRSPPQQWKKYRIGKRRRPSSTRAATSVVVPRPLAGSHSSWDLVCRISLGVNTYPDVLHSEQMNCWATRANAGVDGSMVASVFIRYVEC >cds.KYUSt_chr7.28726 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179089878:179094368:1 gene:KYUSg_chr7.28726 transcript:KYUSt_chr7.28726 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKNDFEAFGSGNKKGTQRVPLPVTLGRLMHEKWMPCKAWWACNCLAAGGSAAAPKRAQYNDDAYDYIEALAYHNEEVKDDNDDYVAAVFHEWQQAMAEGRNFEFPENMTDDEMAKLGVLVSENDPPVQPPLPRYATGLMPQGLSKDEALRQALQDSAAPQPPSYNPWAPPPQPHPWAPPPPPQPHPWAPPSSPHPHPWAPPPPPQPQPWAPPPPAPPARSAYAPPDGNWPWAVPELIVLDSDDEAHAFSSHHRESSPIPSSGAFFHPVQECFLNQHPQSGYIMALLDFIELSDDEEIVVSEKNDEEIVDLSSDDETADDYRNDFSCGSPGQHPTTLYDGQAVFVAEGEGEATESNAEEATPSSSVMEKGSLDIASSQNCPHTSTAVSFPSPSISEKALTFEACDANLPRMKVKRHRKIFHADTLWRSPRLEDKNKGHSKSMEELAVDLKRSRMLEEQHTSAASQNCSHTPAAETSPSTTLISTKALTFEACDADLVRVKVKRRRRKPVHTDTHWRSPRLEHKNKDCSKSVVELAVDRKRSRMLEEQNTPARTQKKTKLTLCTRCRMYEEYEKKTKLTEHDPFEAV >cds.KYUSt_chr5.4654 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29664198:29667982:1 gene:KYUSg_chr5.4654 transcript:KYUSt_chr5.4654 gene_biotype:protein_coding transcript_biotype:protein_coding MREVVTVQVGGHANFVGSHFWNFQDELLGLADDPAADAAFRAAPLDMDVLYRAGETLQGVPTYCPRLVSVGSRGSLGSLSSSGAPGLSTAASEQLNITTWSGNVTRSVAKPHGRNLFLQSLAEDQIASTSNGASNSQKNVEDKDLVESLENSVNFWTDYTKVQYHPQSLYEMNGSWTDFEKFDNFGTAREVVSEWSQMEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLETIADDYTNTPVLLYCVRDPVTHGSARNQRETITRSLHDAVSFSKLSSFCSLVVPIGLPTLNQSYLSPFLSIQDAKPFHSSAISAAAIHTITVPFRLQNAGPASNLAHSSGNIDIRELAHIISDQGRQNKVTALDVAMPAPSLKDGNDLWSIKSLHSLTPEISDEEEDPYSVESLVVHGVLRAGGQRASISQVKDSVCAAYECRATKPKFSHLSVSPCPLPIPLPFPSFFRSNIGRHGEIVGSHSEGTPPKGSLDVESIPMAARLRSSNAVLPFIERRSLSLQKLGVARGALGTQILRDWGFGKEEIEDLSEHLLKMVRMFHPEDGLTSDSDYD >cds.KYUSt_chr6.33414 pep primary_assembly:MPB_Lper_Kyuss_1697:6:209815955:209819735:-1 gene:KYUSg_chr6.33414 transcript:KYUSt_chr6.33414 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETRAAAEGGEGAQQIVAVMESMDMETAVAAAAVEKKKKKKTTTGGRRGRREMRRIEDATSRQVTFSKRRSGLMKKAFELGVLCDAEVALIVFSPRGRLYEYASAPECAELCWIGWSDKRRAVMGAAQHGGSGGRVDEASPNSIPGERKVSLEDEKTESK >cds.KYUSt_chr4.54565 pep primary_assembly:MPB_Lper_Kyuss_1697:4:337123493:337125603:1 gene:KYUSg_chr4.54565 transcript:KYUSt_chr4.54565 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLVGKLRFPAYFQRVAGSGRVASQDKMSSTAFARTTDSTADRVESYDEMLRSFRERLEKEARRQRRSVWKAILGAEAAALVVAFGGLCYIADNNRV >cds.KYUSt_contig_1539.58 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:387701:392090:1 gene:KYUSg_contig_1539.58 transcript:KYUSt_contig_1539.58 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLSAPPPMDEDGITPHVRSTPTPPPPPPGRALLRGRPPIRVTSEFDSERQLFSHRLSCRILDGLAKLRLRVHHGAAGGALPPEVALMARNFSVVVDTASRGAVLRGSTDLSGSLRLRAAHNTKPRATFVFPNGEVSFKEKKLDEGDRILSVNGLVKSHILNGVCTALYNDNVMNIKYRYKDDELSFIPSLTLPSNALSFAFKRQLTPSDKFSYRYNFDTNYWSAVYKGKASKHVKWKAGYESDERLGWASLWIGDAGGKTKEAPLKSKIQLMLKVPQDNIHNSSVMFRVKKRWDF >cds.KYUSt_chr5.4923 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31138505:31143423:1 gene:KYUSg_chr5.4923 transcript:KYUSt_chr5.4923 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCSIPLGVDNCAGNDRRSVRGGAGSICANENNEQLLEQFKAAVRNKGELGGWTRGDGACRFPGARCVGTRLSSLSLAGVPLNVDFRVVAGTLLRLAIVEAISLRGANISGSLADASGRGWRKLNLSRNTLVVGTKGDRSGAGFARLDVLDLSNNRIAGDLGWMLVLAVVGGVRWLGLTGNMMSGSVPAFSNCSRMQSLDLSDNAISGEVAAGVFSGCSALVSLDLSMNHLLGAFPPDILGLASLSYPNLSFNNFSGALPAGDAPAAGLPRLATLSLSSNYFDGSLPDFIGALAELRTLDLSSNALTGAIPALLCPSMGASKLQVLDLQNNYLNGGIPLAISNCASLESLDLSLNYINGSIPTSLGDLRRLRDLIMWENELEGDIPASLAGARGLENLMLDYNKLTGGIPPELVNCEDLKWLSLGSNKLSGPVPAWLGRLDDLAILKLSNNSFSGPIPLELGDCKGLVWLDLDDNQLNGPIPLVLARQCGKMSVGLLTGQPYTYLRNDEGLGGQCRGKGSLLDISAVRPLDLNRKAIKKVCNFTVIHMVASTEYTSNRNGSMIFLDLSFNQLHSAIPKEIGNMYYLMILNLGHNLLFGAIPDELGGAKKLAVLDLSHNKLDGPIPESLSTLALSDIDLSCNRLSPESQYGKNSGLCGIPNSTIEGVYGSDDKFFIFELTILLVGVTITLGTIAFCLFAVIVKKMEKVGDTASADDSVDQVGHQLISHLELVRATDNFNEDYMLGSGGFGKVFKGQLSSGLVVAVKVLDMQSKYTIRSFVAECGVLRMARHQNLIRIISTCSNMDFKALVLQYMPNGSLDTLLHHSQVKKVQIGFGERLGVLLDISVGMEYLHHGYHEVVLHCDLKPSNVLFDEDMIAHVADFGIARLLQSDDSSMLSSNMPGSIGYKSPEYGSYGKASRKSDVFSYGVMLLEVLTGRKPTDAMFVGELTLRRWVHQLFPSELIHVMDTRLLHGSSSTRDLHDSFLVPMIEIGLQCTNEIPSERITMSDVVLRLKKIQIEYAKWITRTSYLVQGND >cds.KYUSt_chr1.19936 pep primary_assembly:MPB_Lper_Kyuss_1697:1:117512168:117512576:-1 gene:KYUSg_chr1.19936 transcript:KYUSt_chr1.19936 gene_biotype:protein_coding transcript_biotype:protein_coding MMWGVAAAVASAAAVAVASGAELLACDCAPTVPAATVVVGRCDSFLFRKQKSSPSTGEDRAEEKKFAPRFDGLRFIETLVTAHR >cds.KYUSt_chr3.26024 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161741375:161742600:1 gene:KYUSg_chr3.26024 transcript:KYUSt_chr3.26024 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRRPHRPWADLQPDLLAIILLNLTCLADRVYFSAVCRPWRSAAVDRDAPARQLPWLLLPSPAAPSFFSLHSGATRRLYLPQNVRGARLCGSHEGGWVALALEQWRGYAAVNLMSGAMVPLPDRLRTNQPNLHGNNACEHHMVIRSVTFSDAPSKAGCLAAAHVSSAFNIAFWRPGMDRYWIAYGLPMDVIQDMIYYRNELKEGFHVLSSTEDVVVYTPNGGPTTAPLVMSRSSYRVKKRADYKPDNLLHKSLKLSRYLVESRGKLLMVLRQLKWRRTFRFRIFEMNLEIAPGGGSEASWVEIHTLPGRLLLLGRGCSRAFEMSHFNRLDVGNIYYLDDTRSNISFALNSGVYFRVLSSNLVCTLNWYTGLRDFSDYG >cds.KYUSt_chr1.33677 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204572565:204573881:1 gene:KYUSg_chr1.33677 transcript:KYUSt_chr1.33677 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTGLSARVAGASSSSAATNAGDGDDESKTRKAHPAFFLAAARLHCSYRAAASLLLLLAVASAAFLAGRARPRADCPPLGLDARFLALPGAAAASDFGSLGVPWCRSKSGKAVEWTSKDLLSGLEEFVPIYERRPIKNNMYGMGFDHSFGLWFMARWLKPDLMIESGAHKGHSTWVLRQAMPNTRIISLSPRHPERYLKKGPAYVDGNCTYLAGKKFIDFGSVDWEKLLRKHGVSDLSRVLVFFDDHQSELKRVKQAHKARFRHLVFEDNYDTGTGDHYSLRQICDQPHIRGGGHSCFWDSDEARLRSKRNKFWEKAVETDQLCGKDDAWWGVRGYARDNFNKSSEAISYKEHFRNSRLVESVLDLYWELPPVAGPSLTHQTRYDPARASDPIIEDGRHGLFQRIGLARFDASVFNGYTQMAYVQIAGPMLLGREDD >cds.KYUSt_chr4.47496 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294019344:294020540:-1 gene:KYUSg_chr4.47496 transcript:KYUSt_chr4.47496 gene_biotype:protein_coding transcript_biotype:protein_coding MESYTSSGTGSAAATERPHVVLLASPGAGHLIPLAELARRLVEHHGFAATLVTFTNLSFPAHVLASCQLPASVATATLPAVDISDLPADSDIDMYVQVTRRSLPNLRALVRSISSTGARPLAALVPDFLCSEALLVAAELGVPGYVFVPTNLAWLALRRQLVERHHGLAPGEYRDFPEVVELGGGVSMRRADLPVLYRDPKRLAFPLLLEGGRRFIRADGFLVNTFYEMEPGLVEAFKLATEGGAFPPVFATGPLVRSQLDVNASPCLALEWLDRQPTGSVVYVSLGSGRALSLEQTTELAAGIEDSGQRFLWVVRMPDLTTSELETAAAGGNKDDPLAWLPEGFLERTAGRGLAITAWSPQVRALSHPATAAFVSHCAGGTRRWRACVVECRWSRCR >cds.KYUSt_chr2.47928 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299617859:299619525:1 gene:KYUSg_chr2.47928 transcript:KYUSt_chr2.47928 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAATLLPVALASLAILVSVLRRSKSASSSDKRRPPGPPCLPFIGSLLHLVTSKAPVVLRDLARKYGPVMYLRLGRVDHVVISSPAAAQEVLRDNNLNFSSRPNLLVTETICYGGLDIAFAPYGAYWRTLRKLCTMELLSARKVRQFAHIRDTETLSMIQNVRAASTSGKPVHLASLLLACANSITAKAAFGEVCDAELREQFLTAMEVGVSAGGGFCVGDLFPSLWFVDVITGLKGRLWKARREIDAVFDTIIGECEARREKKKTSTTATATTEEDDILSVILQIKDEGEIKFPVGNTNVKAIILDLFIGGTETTATSVEWIMSELMRNPDVMQKVQLEVRRTFDNKKPQDHEAHIEELHYMKMIIKETLRMHPPLPLMIPHECRQTCDIGGFKVLEGSRILINAWAIGRNPDSWEDAEVFRPERFEDSNLDYKGTQYEYLPFGSGRRMCPGSNFGLAALDLILARLLYYFNWSLLGGMRPDELDTEMIAGATAKKKNPLNLVATPYNVPMQTQS >cds.KYUSt_contig_2278.66 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:473814:479010:-1 gene:KYUSg_contig_2278.66 transcript:KYUSt_contig_2278.66 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPELRFAATTGLGALSRPSRLVPSPLAALASPRRRRRGPSPSPSPSPSDSTPSTASAGDAEGPEWKKVSAKRFGFKESMIPDEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKIPKDFDIITTADLRQVKDTFSGSAVIVGRRFPIVHVHDRNSIVEVSSFNTYARGSSGNQMHTSKSPHCSKNDYIRWKNCQGRDFTINGLMFNPYSEKIYDYLGGIEDIKKAKVRTVIPAGTSFHEDCARILRAIRIAARLGFSFPKETAYYVRTLACSVARLDKGRLLMEVNYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTRFKRKDDGTNMLLVLFSKLDSFLAPNRPCHNSLWISILAFHEALAREPRDPLVVATFALAVYLGGDLPLAVDIGQSINRQHDAGFPELLEPQVWSNKQLVAEVKGLATSMRQALTEMTDEYFVANAMAKIPQAPSSDLTFSNAGFGTCLKSQHGKGKPEACRKLQIPDFSVVSPIIFS >cds.KYUSt_chr4.10621 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64532811:64536490:-1 gene:KYUSg_chr4.10621 transcript:KYUSt_chr4.10621 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHLRAPRRLSRLSTPRGWAVGVSSRSVNVDGAPPRYADGINAEGILHRRHRARTPTATATPRASRATPRDLDANELTWLVTAMDELFLLSVLLAAGAVALLQVLKVALNPISERAPPGPWKLPVIGSMHHLVNVLPHRALKDLADAYGPLMMLQLGQTPLVVASSKETARLVLKTHDTNFATRPKLLAGEIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVGMLVEQIHATGLSMPVNLSVMFHSTTNNIVARAAFGKKRKNAGEFMSAIKSGVSLASGFNIPDLFPTWTTVLAAVTGMRRSLQGIHKTVDAILEEIIDERNIARANKIKAGATENVDENLTDVLIGLQGKGGFGFHLDNSKIKAIILDMFAGGTGTSASAMEWGMSELMRNPAVMAKLQGQIREAFKGKSMVTEADLQASDLRYLKLVIKEALRLHPPAPLLVPRESIDVCELEGFTIPAKSRVVINAWAIGRDHRYWDAAEEFRPERFEDGAMDFTGSSYEFLPFGAGRRMCPGFNYGLASMELALVALLYHFNWSLPEGVVEVDMEEAPGLGVRRSTPLMLLATPFVPVVDVA >cds.KYUSt_chr4.14055 pep primary_assembly:MPB_Lper_Kyuss_1697:4:86492192:86492863:1 gene:KYUSg_chr4.14055 transcript:KYUSt_chr4.14055 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSKNLAVLFTMLLLAPSILATDPDPLQDFCVADLNGKAISVNGHPCKPMSEAGDDFLFSSKLAMAGNTSTPNGSAVTELDVAEWPGTNTLGVSMNRVDFAPGGTNPPHIHPRATEIGIVMKGELLVGIIGSLDSGNKLYSKVLRAGETFLIPRGLMHFQFNVGKTEASMVVSFNSQNPGIVFVPLTVFGSNPPIPTPVLTKALRVDAGVVELLKSKFAAGF >cds.KYUSt_chr4.10519 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63859326:63864812:1 gene:KYUSg_chr4.10519 transcript:KYUSt_chr4.10519 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAVGEAAAAKKRGASRSWILIDASGDERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIVTSEEVLLRDPSDENVIPVVEELRRRLAPSGASHLDGKDNLSGQHDGEGAEDDESPFEFRALEVTLEAICSFLDARTTELETDAYPALDELTSKISSRNLDRVRKLKSGMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPISGSGGPNWFPASPTIGSKISRASRASAATMHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGIFGMNIPYTWNDDHGYVFKWVVLVSGLFCAFMFVSIVAYARHKGLVGS >cds.KYUSt_chr3.11981 pep primary_assembly:MPB_Lper_Kyuss_1697:3:71472087:71479062:-1 gene:KYUSg_chr3.11981 transcript:KYUSt_chr3.11981 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCEAEVALIVFSGRGRLYEYSNNSVKATIERYKKANSDTSNSGTVAEVNAQYYQQESSKLRQQISSLQNSNRSLVRDSVSTMTPRDLKQLEGRLEKGIAKIRARKNELMYAEVEYMQKREMELHNDNIYLRSKVTENERGQQPMNMMGSASTSSEYDHMAPYDSRNFLQNMMQQQHQQQHYSHQMQPTALQLGQQSFN >cds.KYUSt_scaffold_1854.239 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1309125:1310248:1 gene:KYUSg_scaffold_1854.239 transcript:KYUSt_scaffold_1854.239 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEKLVPAVIAAVAVACLLFAGRAHGLEGYVPAAASQQQVEEPLAINKNDEFLPQPEVMPVPTQLFRRPGFAVYAPQREEATTQPGADANLAGSLDGYFRQPTMTEPEPAAEITTEPEAEPAPETTKPEPEDEPAAPAMATKREPVTPTAPGQGDFYRTGETPTSPEPPAKPSSPSSDDKSSYSGGGGGGDNKQVDDDGEPVDGLSPKAIDNILKEHNAFRAQEGERPLTWNTTVAKYAQQYAEQRKGDCALKHSTGPYGENLMYGDGKSWTWRHTVDEWSEEKKNYHYTTNTCDAGKMCGHYTAVVWKDTTSVGCGRVTCTSGNTLMVCSYYPPGNYDGEKPY >cds.KYUSt_chr3.23899 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148219472:148221043:1 gene:KYUSg_chr3.23899 transcript:KYUSt_chr3.23899 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGKAAASLAMILLAFVLFASAAAAAAPDMSIISYNSAHGVRGLQRTEAEVRAMYDHWLARHRRSYNALGEFERRFRAFWANLKIVDAHNANAEAHGFRLGMNRFADLTNAEFRAAYLGGTVPRSHRLHLHAVGERYLHDGVEALPEHVDWREKGAVAPVKNQGQCGSCWAFSAVGAVEGINKIVTGDLVTLSEQELVECARNGQNSGCNGGMMEDAFDFIARNGGIDTEEDYPYTAKDGRCDHAKMIRTVVSIDDFESVPENDELSLKKAVAHQPVSVGIEAGGPEFQLYESGVFSGRCGTELDHGVVAVGYGTTDDGKDYWTVRNSWGPNWGEGGYIRMERNVTARTGKCGIAMMASYPVKTGPNPTPKPNPPEPTKPVACDRHNKCPAGSTCCCNYGVRKTCFVWGCCPAKAATCCKDGTTCCPSEYPVCNQENRTCSKSKNSPYTVEALIRTPAKRRMATPLTDLIDSMFS >cds.KYUSt_chr4.51128 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316954910:316955837:1 gene:KYUSg_chr4.51128 transcript:KYUSt_chr4.51128 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFHPATSPPLFHHLDGADPEVTDALLGFVYDPALNAGIDSFLDLSPALPDDHDDNLRCAKRPRAGCGDHDDPWLDFVPVDGQPWSNGGCVQQQAPVLPDLLSEFVLPLPPPPPQMPSPALVRAAGAKNADGNGRGQSAPSAVARERRRRISEKTAELSRLVPGAHRLNTAEMLQEAGRHVKLLQAQVGVLALMRAVEQDKTAPSTAQEEIMHALLGCGGVQERLAAEGKCVVPRKLVDAMAKDEDVKANALLSRDLTRFMGSLPEQ >cds.KYUSt_chr3.40761 pep primary_assembly:MPB_Lper_Kyuss_1697:3:257313154:257315576:1 gene:KYUSg_chr3.40761 transcript:KYUSt_chr3.40761 gene_biotype:protein_coding transcript_biotype:protein_coding VSQIESAISDIQGCSLQLCQLANSLLPSLTGCACICIQKLEDINYEHVFDLAKEVAMELTGRGTQSPANLPIISSALSLSTNLELYMEAVSLENLRTRAMRSDNRNELDLVEKMIPMVNYMHERLLKETQLLNINGVPIPADFCCPLSLELMSDPVILASGQTYERVYIKLWLDEGFTICPKTRQRLAHSNLIPNYTVKALISNWCESHDIKLPDPVKSLKLNFPSVAPFIQDLSATGNSPLHPSVVGRGNIPGSPEADLYMRSLNRASPPHSVAHQSSDVHVNRPGHEASTNQPSDYANGSAPDIARLSLASSEARESSLEERHAGSNVQISDHSTEDASHLNGDTHDHLGSSSVNGSLPNSGQLDGDGDIDNGMMRVSSDRTNYSSDASGEVADGGPSASSIPQRENVILPRLGMRGQFVRRQASDRGFPRIISSSSMDARGDLSAIENQVRKLIDDLRSDSVEVQRSATSEIRLLAKHNMENRIVIANCGAINLLVGLLPSPDAKIQENAVTALLNLSINDNNKIAIASAEAVDPLIHVLETGNPEAKENAAATLFSLSVIEENKIRIGRSGAVKPLVDLLGNGTPRGKKDAATALFNLSILHENKGRIVQADAVRHLVDLMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSNRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGSSARR >cds.KYUSt_chr4.18080 pep primary_assembly:MPB_Lper_Kyuss_1697:4:113427596:113431660:1 gene:KYUSg_chr4.18080 transcript:KYUSt_chr4.18080 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARCWRTGLDKRMCTPCQRCKLWDEHLYKNLDDEKKYFLVLMMGDFQDGMIIPEELVRRLKGKIPTKIKLHTQNDQNHIIAVAKNQEKLVLTVGWSQFVESYDLQMGDSLIFNYNGDSQFNVILFDKLGREKALSAVVDPFMPQVQDRRSDTHETGYNGNSEFSVIIFDELGYEKALSAVVDPFLPPVQEKHTSATETVKSSDFHPQLTEMQPLTTVNRLPMESPRTERLRLRKHKSCQNNWTTMYSYSSQASEDSFSSEDGHGLEDLPGSNYTVRKKKMRLSSIQKEHLKDGYIITRKTKLTSDQMEEVKRKIHSIHSEIPIFVAVMGKSNLDSKCFLTFPSTYAEKYLPEETQLYLQLLDKEWEVSVIDNSARNEKKLGSGWQQFVKDNNLKMGDICLFELLNNSSRCTMEVYIIRVNDGN >cds.KYUSt_chr1.25948 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156115700:156116283:1 gene:KYUSg_chr1.25948 transcript:KYUSt_chr1.25948 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLAPPRWHHPPPPPSTGGSPGRLLHLSRSAVPARRIQLRRASTVSPRAFFGNADLDGLLRRAWRGANEGAERLAFEARQTAKRLDVQYSISRRVAEATRAARERAAEIDAELGVGRRWRSFSVDFSRNFPRYRRELSDLLSTPIGRALSVSSLVACEINLLY >cds.KYUSt_chr1.26731 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161131810:161143766:-1 gene:KYUSg_chr1.26731 transcript:KYUSt_chr1.26731 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPERERERDGRGDEWSDGGVPLGFRVKACSRESAGQKAASVLEADLRSHWSTATNTKEWILLELNEPCLVSHIRVYNKSVLEWEVTAGLRFKPEAFVKVRPRGEAPKRDMVYPANHTPCRYVRISCLRGSPIAIYFIQLTGIPVPGLEPEFQPLVNYLSPLISSSQKQSHTSHNMHLQLLKDIASRLPPFLPQIEADLNSFADTPESSVRFLALLAGPFYPILQLINERDATKTLISSGDSDILKTSLASTPAISSNFEAQPRRSRSPSSVQSASCMLAFRSETAILLLRKAHKDKTLGIVCHRASRVLQKLLEPEPFIDEPIPNGGILSSQVNDEIPKSDASSLLLCTNYASLLGEEFSLSEDHFDVSFLNILDISAVEEGILHLLYAAASQPQLGCKLAEVTSDMWSVLPLVQALLPALRPPFSSGPTEHIDDCFSQWNHPDVHSALSLIVTMSVSTSAFHPLLRGCAGYLSSCMSSQAKAACVLLDLCRGPLSPWVPMITTKVDLAVELLEDLLDVIQGVGQSLARSRAALKYVVLALSGNMDDVLTEYREFKHKLLFILEMVDPYIDHAMSVMKDTLSFGGVSAAYLDKQEKSCDIALNIIRTAAKSPAVLPSLELEWRRGDVAPSVLLSILDPHMPLPPDVDLCKSSMSEVDQSALTVSNSPATHSCNPEDVDGRDASDTVRVECFEQCNSLFAPEELKQSELRNTLRQGHNKVTTILDQNIPEGRKSNIKLPPCLLQLDNTVASDYNDVQADYLQLLNHQECELRALEFRRLAQNLCMQQEPTIEGHNAGIDALILAAECYVNPFFLLDLRLNSEPLDRIELAHSELRQGNASFDLKGLRVKDLDIVAANSLENKRDRAVLDLLLQAARFDSEYQKKIPDGEIYPNDAEDDNHAIEISPEATYLVDAVTLVRKNQALLCHFIMKQFQRKGHSSNEILLDSLLFLLHSATDLFCPPDNVIDIILKSAENLNRQLTCLYSSVNAGDKKLDKVKLHGLQRRWALLQKLVLASSGSDNTRELVSIRKDGFRFRSLVPPSAWMHKISEFSKLSSPLARFLGWMAVSRYAKEYLNEKLFLASDFSQLTSLLSIFTDELCLMDGVATQKVKSANIEQSVCNKYLLLKQETSFSDQPSANKLFQILLPELHFFFPSMSRLFHAFGESILEAVGLQLKCLPKSAVQDILCWFSEMCLWPYLESIKEHLILANEVSCLRGNIAANVKAVVFYLLESVVAEHLEAIVPEMPRVVHILVSLCRASYTDVAFLDSVLCLMKPLIYYFLRKGTGDEKVMGQITDCSDFELICFEELFEIIQCCKHSEDAAGDKIQVPLLIFILGSLIPDLSFKRRIEILGSLLVLVDCISSDPPSLLCSYLQGFHTLIDGCVTILVQNIELLGISILSVRNKSGGSAHSLSGDAMMQLEKNVQDSAEQVLVTSADDAENSKGVDAPPAGCIIDFCDALEKLISHLIPSIEGSWKCHHQLACRLSLSLAKCLLYAKCLRSVTQGNAISSSTRQEVEIAQKHWESALEGLAEIILENQEKQCWQVASTMLDYMIKLPNVLAWGTVLSATCSAIEHLCSHAPRISWRLQTEKWLSLLVSDGIEDLKNSETSLINLFCTMLSHAEPEQRSIALQQLGRIINLASTAEVTLQYPSFDQHMLASGSTVTSHLVTHTWNRITALALYDSSMILRKHAMALLTEYVPFVDRDHLRSFLASSNSILNGVGQLSYVLSSLSSVESYFEFFSISSDQEYQELEEAEIELELIEKEKSVPKFVGYPQDIVVPDVSSNYKDGSEVNKRLQQIRADIQSLERSRLREEIIARRQKKLLIRRTREKYLEETSSREMELMQALDRERTIEMERDIERQRQLDLERAKSRELQYNLEMEREKQTQRELQRELEQVELGRSSRREFSANPNSRTRERYRERDNVRSPQHDGSRSQGHEGQPTVVVGASRPSFPTILQSRDRGSDGYEDNAEGSRDSGGDTSSMGDPEFDGPGSGMRHGTRSGSSRSSRPVVERRERDGRREGKWERKQ >cds.KYUSt_chr4.41454 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256423912:256424205:1 gene:KYUSg_chr4.41454 transcript:KYUSt_chr4.41454 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAPYRNPIHNVVRFSTSRGGIGLASRGTEVQATTGGHHVALKPPRWSAATTSSFDTGPCRWCTTGATPIPCPCDELLLCGSPPLPLTLLTDMAAG >cds.KYUSt_chr4.43468 pep primary_assembly:MPB_Lper_Kyuss_1697:4:269559727:269563058:-1 gene:KYUSg_chr4.43468 transcript:KYUSt_chr4.43468 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPQADPRLRVGVAPSDSFRDGLPPTSSQCFADIDEEIRIEKPEELVVALAHEKADAILTKMVKNGMMQDIVESQETTLLITADKVVIHDGVIRKKPTTPEGARKFIQGYSQSHASTIGSVVVTNVKSCVRMEGWDKSEVYFHKIPDEVIDSLIEEGSVFYVAGGLLVEHPLTSPLVESIVGTMDSVMGLPKELTEKLIKASLQES >cds.KYUSt_chr3.33906 pep primary_assembly:MPB_Lper_Kyuss_1697:3:212756284:212757304:1 gene:KYUSg_chr3.33906 transcript:KYUSt_chr3.33906 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRCKELREKADQPKFCLGGISLSIRFSSPQVDPVHSLTVNSESPFCLTFDLQNPRMAAAAARQLLVHRVSSGTLPKHTPNSHGLSASQCWNKAEKLPHFRARVATKPPHAVPGKGGIVPADDDGISLGTVKLPGNIDVARFESLLFQWGNSLCQGAMLPLPVPIKVDKVEGGIRLGFIGIDNGATSLLAYIDCLVSPAPDGSGLVFQAIRNGAMKDMEPPGEPRIMRSLLQALQTSIRLSQV >cds.KYUSt_chr1.29655 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179571308:179576352:-1 gene:KYUSg_chr1.29655 transcript:KYUSt_chr1.29655 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGPAGADAGALHKLEAGGSEGSPVFRAQEAAAVVAAAPRRPLAPRKDRACTAKERISRMTPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYTRDLEEMQMISKEDYLVSLRRKSSAFSRGLPKYHGLPRQLHNSRWDGSLGQLLGNDYLNLSCGKDIALDGKFVGSLGLERKIDLTNYIRWWVPRKARQSDTSKAEEVADEIRAIESSMQLTEPYKLPSLGPSSHSNPPSPGLSACSILSQSVAFKSFLEKSTKLSEELTFSKEIDEAKIVAPLPVTGHDTSAVGINMNGLLVQRAPYTLAPAMPTTMRSTWNSADPSSDHLFWSNFILPSSQPVTMATITSTTFAKNEVSSSDPFKNQE >cds.KYUSt_chr6.15890 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99915932:99916177:1 gene:KYUSg_chr6.15890 transcript:KYUSt_chr6.15890 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAVEAGVVAVASGRSSKLVRGLYWRLRAAVRRLQSERRRWRGGGARRERFSSFHYDALSYALNFDDGRPAADLVLV >cds.KYUSt_chr1.16341 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94959860:94972655:1 gene:KYUSg_chr1.16341 transcript:KYUSt_chr1.16341 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSLQFLFGKSTTTATVVDEAPGIAMDEVRKKLFSLSLSGKAAHWYKLLDNGDSLEWNDIVPRFYSKFYPPSEIHKDRNCIYNFWPHDGESIAQAWGRLKSLMLKCPIHELPGNVIIDNFYARLSFQDKTLLDTSCSGSFTRNKEEFKRDLLDRIQENIEGWENDKDRESAFASHYELPKKNFDKYHEPYKDKIDSSINKCVVVEYADHVIPEAYIEKTTFPAKMKEYSVINSAVHKSEKKPVEPEEQIKVEPAVAIVKDLVTENVEDGHIIFCEDASNIVSHPNKPKQASVPMLSVRIGDHCYYGLCDIGASVSAIPYELYTEIMHEIDSCELEDIDVVIQLANRETISPIGIVRDVEVLCGKIKYPADFLVLGSAASDYCPIIFGRPFLNTCGAIIDCKKEKILTKFAGESYEFNFSKFTKTPYKADLPSNDFKMEQCASIVLVPNNPLQQHLEDSESEVFRKERDELEEIFLRQPILKHDLPVEDLGTTPPPKEDPVFDLKPLLDNLKYAHIDDKKIYPVIISSKLSEIEEERLLEILKKHRGAIGYTLDDLKGISPSICQHAINMEDDAKLVVEHQRRLIPKMKEVVRNEVLRLLEAEVFMDDFSVYGNSFDSCLRNLDKVLQRCEETNLVLNWEKCHFMANEGIVLGHKISERGIEVDRAKVEAIEKMPYPRDVKGIRSVLGHAGFYRRFIKDFSKISKPLTNLLQKDVPFVFDDDCKEAFETLKKALTTAPIVEPPDWNLPFEIMCDASDFAVGAVIGQRVDKKLNVIHYASKTLDAAQRNYATTEKELLAVVFACDKFRSYIVDSKVTIHTDHAAIRYLMTKKDAKPRLIRWVLLLQEFDLHIIDRKGADNPVADNLSRLENIAYDPVPVNDSFPNEQLAVIKVSSRDSPCTAGRRAPIHHAEKLLEDDELDQEVWFRTFFMVVISTYFFPGSSIMMPLEYLGSLGESTLVREYDWADKIFSHTMSEIKTFQDKRRKALKDGNMKPLWVGGCLPWIAIVYMDHLEFPVSTPSTHRISYDLPRSSHVSDADFKFVMKHDKSKLTLQPHTYGARPFRPFHLTPYATVNVILGNEAAQEHILPHIAVSNSNHLGQDASRHSIAQENNFPEELPDYIRIVHEKHSNIWRKDVEKAVTRLTKIHVQRMAEYASDLFAACKNNTTTPHGPLPPSASTPIFSPPRASTSIAGAPRDEEPVLVGEDVHGSQFWEEATRIAAEIEQSAGKKTHMTDPSSTANARTEHQDITEPTTELRPINDIECPTFNLLPEGETWTQHFAANNHPSPRGVANIATSTGGTSKDTSGAATIETHLSDVAKPNAPEPATEEQLIAHTVSSQADATDNTASEAPCVDVPKFTSPGTQDSNQAPNAECGQSPSTVECTIGTQTQDKKNRKKRAFLDRNNEAQQKKLKNLKVTDKSKDAYDTYILRRCIRKPVDNEKRPPFVDFGEYHVTYEEFREAFKPRGCIDKNVMELFIRDFNLVTNNHTTSEPLCTKFAFSQSLTVNNFIKLASFANAFPKTNFGQFVQNNPQELRQQTTTFDCGVFVMMFMTQWDGKIMKPFDQDLIQLRMLISYKILTSSLNKVDPTWVLKKKK >cds.KYUSt_chr7.38456 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239649473:239655608:-1 gene:KYUSg_chr7.38456 transcript:KYUSt_chr7.38456 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALRRAARRAVRTLANSSAPPRTISLPERLLSSQASPEHRPRPGVSGSELGQYPPERIRNFSIIAHVDHGKSTLADRLLELTGTIQKGHGQPQYLDKLQVERERGITVKAQTATMFYKNSVETSESLGTNTPSYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLSQVLPAVIERIPCPPGSCDSPVRMLLLDSYYDEYKGVICHVAIVDGAMRKGDKISSAATGRTYEVFDVGIMHPELTPTGVLYTGQVGYVITGMRSTKEARIGDTLHQAKSIVEPLPGFKPVRHMVFSGVYPADGSDFEALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEYGAQVISTIPTVPYIFEYGDESKVQIENPAALSLNTGKRVTACWEPTVIATIIIPSEYVGPVIMLCSERRGEQQEYTFIDAHRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQKSDLVKMDILLNGQPVDAMATIIHNQKAQKVGRELVDKLKKFIERQMFEITIQAAIGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSSSK >cds.KYUSt_chr4.29512 pep primary_assembly:MPB_Lper_Kyuss_1697:4:185189053:185189555:1 gene:KYUSg_chr4.29512 transcript:KYUSt_chr4.29512 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTWRFIFLASLGGMTRSWICSAKKMHFALAKILCAGCCRVEQSHAFRCQSPYPTLPSAMSPLAVRSLLFFMIDVLLGLLED >cds.KYUSt_chr3.37828 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237944587:237945378:1 gene:KYUSg_chr3.37828 transcript:KYUSt_chr3.37828 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNASGAAPRYLLEQPLLALRLLLPLRLRQGECRVERHLEIGGDKVVLRPCEHRLEDGVILGVEGGCRLLLRLPHVPDGVAFFSLSLDADESAAGGDGRLSRITIPPPRLRCVEMGSGLTARSCVVGIGGGAVPAERERNANLDDDVVDAIRRAIQEEFPRRQEKNAGVRGGICSSPPGCGRGRWRGGVQGQHLPLELFLTGDGGGSSYDASSRWYLSRKYASQAGRFSGYLSGRARTSSLITARASWISSCSAAVPTGGGM >cds.KYUSt_chr2.47474 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296970596:296972919:1 gene:KYUSg_chr2.47474 transcript:KYUSt_chr2.47474 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLTSIAEHQNFIVNVEDRNLPLMLLQRVPAPEEAIKLYDDACVLLEDDGKEQMAFDLYRAAASLYVKLEKYSDAAAFHLRLGSAADKSNAVNSQCKAYLSAIIIYLYAHDFQQAQKCYNDCSEVQGFLNSEQNRCAMKLLAAYEEGDAEEIKRAAQSSTINHLDHVVIRLARKLPTGDLQAIKRDLPGGDGDDLDEDDLT >cds.KYUSt_chr2.47398 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296569374:296570717:1 gene:KYUSg_chr2.47398 transcript:KYUSt_chr2.47398 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQDCNSKSLVAVPGSLVLHLFRLFSQQDNSWQKYALAYFLLVRNEYFSREPRKHSAGNVQQVRCCDSSVVGSKELELKEQTAAVKTQSGGGSSANGSNDCLLPGLHDDLAQDCLAWTSRSDYPSLSGLNKKFNMLINSGYLYKLRRQYGIVEHWVYLACSLMPWEAFDPSRNRWMKLPRLPCDECFSCADKESLAVGTQLLVFGREYAGLAIWMYNLVTRRWSRSTPMNLPRCLFASGSSGEIAIVAGGCDRNGQVLRSAELYNSEAGHWETLPDMHLARRLSSGFFMDGKFYVIGGVTSERVSLTCGEEYDLGTRTWRRIHDMYPGGTSASQSPPLIAIVNNQLYAADQSTNVVKKYDKANNTWNIVKPLPVRADSSNGWGLAFRACGDRLLVIGGHRGPRGEVILLHSWCPEDGNGGGADWEVLSVKERAGVFVHNCAIMGC >cds.KYUSt_chr4.44840 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277506523:277506774:1 gene:KYUSg_chr4.44840 transcript:KYUSt_chr4.44840 gene_biotype:protein_coding transcript_biotype:protein_coding MWHRRPSLAAGLASLLAAGRRPDYSNGRRRLQQPPPPTASPFAREDAIVVAEEDVDTSSPEKTSSHVYHLQKRWCQYVAAPVA >cds.KYUSt_chr3.37056 pep primary_assembly:MPB_Lper_Kyuss_1697:3:233097907:233106495:1 gene:KYUSg_chr3.37056 transcript:KYUSt_chr3.37056 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEENRNWHFDTSSIAQEGLPLPYCSAGPPRHHPNPLRANGQSSGVIPLPPRFPNCFPPPQMAAAASSPPALRLAALLLLLLLFLVAPTTTTALTDDVLALVVFKTGVADPQGRLAAWTEDDDRPCSWPGVGCDARTGRVTSLSLPAASLSGRLPRALLRLDALLTLSLPRNNLSGPVLPTLLGSLPRLRALDLSSNRLAAAIPADLFAQCRDIRTISLADNDLSGYIPPAVASCSSLLSLNLSSNRLAGPIPYGLWSLPALRSLDLSGNALSGSVPGGFPRSSALRALDLSRNMFAGEIPADLGEAALLKSLDLGRNFFTGALPDSLRRLSSLRFLGAAGNALAGEVPAWIGEMWALERLDLSGNLLSGSLPDDIAKCKNLLEADLSRNALTGELPWWVFGLPLQRVSVAGNKLHGWVKVPGDAALALRVLDLSSNAFSGGIPPQITAFAGLQFLNLSSNSMSGQLPAGIGGMRLLEVLDVSANALDGSLPPEIGGAVALRELRMGTNSFTGRIPAQIGSCSSLVALDLSHNSITGPIPSTLGNLTSLHMVDLSQNKLNGTLPVELSNLPSLHTFDVSHNLLSGDLPNSRFFDNIPGYFIADNSGLCNSRKNNSCSSAVMPKPLVLNPNSSSNPSSQAAASSPSSKHHKKIILSVSTLIAIAGGAAIAIGVITVTVLNRRVRAASSSSKPAIALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSRDDFERQVKLLSKVRHHNIVTLRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEENSLSWMERFDIIIGVARGLMHLHQRGVVHYNLKSSNVLLDSNGEPRVGDYGLASLLPMLDRYVLSSKIQSALGYMAPEFACNTVKITEKCDVYGFGVLALEILTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEAMPIIKLGLVCTSQVPSKRPDMGEVVSILEVVRSPQDSAGDELV >cds.KYUSt_chr4.2047 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11209625:11210152:1 gene:KYUSg_chr4.2047 transcript:KYUSt_chr4.2047 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTHVSTAVKGSFGYLDPEYFRYQRLTNKSDVYSFGVVLFEVLCARPVINTSLPEEQVSLRDWALSFQRKGVLDEIIDPYLVGKITPRCFRKFAETAEQCVAERSTDRPSMGDVLWNLQVALQLQRGATDLTDDWYHSEETPLLTNWVRPSSGSVMSMSGQKAVFSELMHLDGR >cds.KYUSt_chr4.44531 pep primary_assembly:MPB_Lper_Kyuss_1697:4:275823589:275825802:1 gene:KYUSg_chr4.44531 transcript:KYUSt_chr4.44531 gene_biotype:protein_coding transcript_biotype:protein_coding MERYHPSEVYELFVRHMNTPRVVVDNGACETATLVQVHSARKHGVLLEAVAALSDHAVCVRKGYISSDDGRWFMDVFHVTDAAGRKVADTDHLLARLESALSASTDASPTCSSPQPARLCLLELLGVDRPGLLSEVFAVLHDLRCGTVDARAWTHGGRVAALVFVRDEDTGAPIAEPARIRRIESRLRHVLRGGARGARTVLVDAAAVGSLDRRLHQLLNEDGEAGRRAPATATATAVAVQEWGERGYSVVTVSCRDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIKRLDGRAISSEAERQRVIQCLQAAIERRTSEGVRLELRITDRRGLLAYVTRVFRENSLSVTHAEITTRDDMALNVFHVTDVAGRPADPKTIDEVIHGIGTDSLRVDEDRWPRLCSTEGDGAGAGLFSLGSLVKKNLLKVGLIRSCS >cds.KYUSt_chr2.54297 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338749075:338749956:-1 gene:KYUSg_chr2.54297 transcript:KYUSt_chr2.54297 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAKKTELFLGQPYRAGDAPDPGAGSVENVPHGPVHLWTGDPRQPNLEDMGNFYSAARDPIFFAHHGNVDRLWHVWRGLRPGVNTDFVDPDWLDASFFFYDEEARLVRVRVRDCLDTAALRYTYQDVGLPWLNDRPATASAGTPAPATGSFPATLDKTMRVTVTRPRVSRTRQEKDEEEEVVVVEGIEIADHFTTFIKFDVLVNEPESVAGDVEAAVASAYCAGSVALTPHVIRLDKEKTKGPVKTVARFGVCDLMDDIGADGDNTVVVSLVPRSGGELVTVGGVSIGYVK >cds.KYUSt_chr6.17667 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111171788:111177688:1 gene:KYUSg_chr6.17667 transcript:KYUSt_chr6.17667 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVPNTELEEQLKGIGTRLQEAPDDSQGLLKLLDEVEILLLKVEQSPPKSTFVAIRPVMTALVRGDLLAHPDADVRLAIASCISEVTRITAPEAPYEDAVMKNVFSVIVESFRTLNDIESPSFGKRASILETVAKVRSCVLMLDLELDEMIREMFRDLFTTMSLDLPENVMSSMATTLKLIVEESEEIQANLASFLLQKARKEEKEISPASFELAERVLSICDKEKMKPVFLKLLQDQGTALDEYSNNLTLVCQYVKVEVDNADEVDNADEVDNADQVDNADKVDNADQVDNADQVDNADQVDNADPPVKDTVDDGKLSERTISDELPQESSKLEEDVSRPEQDGTPLDNGEANQGPPSPKEKPEQSCNTENTKDVEQLKSGSNEDTESPDAKPKESSDVDSDEDLKLKPCKSVATLHSNVDVDKELSADKKAVNGVAVNAAKPDESTPDVVKPKRGRPPGLKSLEKKAARNNQASGLASKKTEEATDSAGKLNKRSAKSNVKSSARKAGEGESSKKQQKLSLKQPKDETLSEEDTAKDLTLKEMVSPKSLTKGSGRTKVQSGENSELKRKREHDTEETPRARKNKGLDASLVGARIRVWWPDDEMYYKGVVDSFDTNSKRHKVAYDDGDIEVLLLRDEKWDFISETEEQSKTPVVPSETRRGRKGRGTSVQPVKEEKTETPKSEGGELPKKRGRPKGWRPNNAGTPSSATASKSKGKTAIKEAKGTPKTGGDLKQQGEKGAKDKATRSADKPKGDLAKDSSNQSGSKPKEVGSKGKDSKDESKSADAPVRGRPGRKPKSPATPVAGGGSSVKEKRKEKEEEAPEMEQEASTGKKRRRKA >cds.KYUSt_chr3.22561 pep primary_assembly:MPB_Lper_Kyuss_1697:3:139482499:139483353:-1 gene:KYUSg_chr3.22561 transcript:KYUSt_chr3.22561 gene_biotype:protein_coding transcript_biotype:protein_coding MRARHYRSRVLGMIRTLKYLDKLETDENEGPESDDDDDGDGEGEGDDEGEEDDEGEEDDEGDPGSGKVANGGVWHSWGRVAAESVPATTAMKPYARWLGKEWRRWTSSFFFPNEKNICAVSSPTFGEATPIPPRLLRAPPLIPTRCRSSVAGSRPAAPSLAARRPAPHLAIPNHWDVRLDDCDNVAEDIDVASREDHLDRETGS >cds.KYUSt_chr2.11662 pep primary_assembly:MPB_Lper_Kyuss_1697:2:74175216:74175914:1 gene:KYUSg_chr2.11662 transcript:KYUSt_chr2.11662 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPETSREPCPHRIIDDAGGAFGMGVVGGSIFHYMKGMYNSPNGYRMSGGVQAMRMNAPRVGGSFAAWGCLFSTFDCAMVYARQKEDPWNSIAAGAAAGGFLAMRGGLFASARSAMIGGALLALIEGAGIMLNRVLVEIPTPPPPPGMDPAEVPGQGQGPAPMGFTFPGMPQPRPVVVDEVPVTGSGGSGGWLGGLFAKKKDDKVAGDRKSEVLESFDTPSPPMPSFDYK >cds.KYUSt_chr1.10963 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67116361:67134678:-1 gene:KYUSg_chr1.10963 transcript:KYUSt_chr1.10963 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRLIALVVQMQDLGATFVDDNCIKRKFYNALLPYVEVKLTDIRQNASFRAMTSDEVLSEVISLDISKKNAEDLIARAHNVRKPNLALKIKDHEASESDEDPIEQWWEARPKDKSKTFSKGFSKFSSEPSDNKVSFIKKPKAFIIRDEYSSDEGGEHDDKCSEREDEGVAAIAISTPSNSLFDSPHENLVTNHSRCLMAKVSSVVASPSKPTSSSNALTIGDATSLAIKHEVVGLDDFLTNMQGDTKTRVEAFISQLGATQDLLEDKERLEREAANEIASLAQAHEEEQNLRMSLEASVINLEEKLSLDVDHTKLLEKLETLTKDYKSLESNIAILSKSSDEVVVLRRQNSTLLEVKSLQEEALDEYYRLTKEKVSCCNHDEEIASLEKPKAKLLELNGMQNESLMECIRLSKEKVTCCDHEEEITVLKRNKAKLMERHKRFLLKMNSLQEDALKEHFRVNKEKEVQVFDITHPFPEHEDEVNRLTAKIESLQVQAIYLEGVLEAKDGAKEGYRNERGVAIKPNRKRRRRTKKKKNTKNMGIARDPIVKTHLKPRFGPDAPKDKEDEEADIDDSDYVPQSIKTKGWFAKLISCLKKSFCFKEDLQDRMYDAHVQNKKIHKLQKAMMVHMNIPVSDGSEDIITPPEEWKSKHKWTSSKDSIPETTLLHLMAKDKLKMMRKMRMKTKEKSGEAFNGDRDGDLGEDGDEDNHIYVDEVKESVSPGSGSGSGSAEAAEKMGRGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIERYKKTTSDTSNAGTVAEINAQHYQQESAKLRQQITNLQNSNRTLIGDSMATMSHRDLKQLEGRLDKGLGKIRARKNELLCAEIEYMQRREMELQNDNLYLRNKVAENERGQQQTLNMMGAASTSTEYEQNIRTFLQFNIMQQPQYYQQQEDRKAFNTGLETGKKKQNSSY >cds.KYUSt_chr4.7944 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47345957:47346622:1 gene:KYUSg_chr4.7944 transcript:KYUSt_chr4.7944 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTAGSGTLGRFGRDGIGGSATGSLGTSGMDGIGRLGTPGTVGRGNVGTPGTVGNVGVAAPGTEGRGTVGTVGTVGTTAPGTDGSGTVGTPGTVGTTAPGAGSGTVGTVGRAGTVGTVGTTVPGSVSTTGTVGTVGTTVPGTVGRVGTVGTTAPGTAGIPGTVGKVGSVGSGRAGMSARRRAARHLPPPPFRSMNAMTSTTVTKLDVEAMVVRARPYVTC >cds.KYUSt_contig_1861.199 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:1225966:1228883:-1 gene:KYUSg_contig_1861.199 transcript:KYUSt_contig_1861.199 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLEGETAAEHAELSAGGGCSEDCLSALPDDVLLHILRVLGSTTTAARTSVLSRRWRRLWALLPDLYFVLRANPDSLRGALAALEAMSDDEAPPLRKLYFFVFSEHASPDSLAAWLPIAARRLAGLLHVCILGNAGKDGRGAFELPCFERATKMKLRLGFLSLNLPPPGIFARLTNLSLEKLHLHGPCRIGEAVSSPRCPSLQRLKVVNAQGLGNFAIHSESLLKIRLEKSCGLQQLTIVAPALKELIVLYCFAHDSDPSQPVADIAAPQLVSLHWRDAYHPSSVQFGEMAQLELLGATFFLVDEADGYEHNRDCVRLLRHFQDVSTLTLELAYMPEDIEEDLGEHHYLMEDMARLPYVTFLTLLITAKGHSFGASSFHVLRTCTSIRKLMLIFSSSVDLEAEPACASGCFCDHPPNWKTEELVLNRLQEVEISYLKGTEHDFALVKRLFSWATTLKTMSISFHLAITESMAKEFCQRILGFSKLDICLKFYVYPDFFEKVLYVPED >cds.KYUSt_chr1.32193 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195471704:195474577:-1 gene:KYUSg_chr1.32193 transcript:KYUSt_chr1.32193 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGMAKKGFGESHDVLRFGVNDSVRGDLAPPHPLQATIQSESKFWDDKKKFGTESIYGSAFNIRKDLDAQILSRFQRPPGALPSSLLGYEAMTGSLDDFGFEDYLNMPQDSDSLRIPDMHHGMEVRLGLSKGPVCPSFN >cds.KYUSt_chr3.39926 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251627322:251629466:-1 gene:KYUSg_chr3.39926 transcript:KYUSt_chr3.39926 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKPASITCDNFVAEAKFPAVVGLLEKTTRQQAEKEEEWRQIANEQPYIHLGSESPRHRRRRRHGIGSEVAIRHRAEPVRRPAAAMVVPPGVAYNAAISRCSRAGLHPRALALLHEMRDRGHHADEYTLPPILNSAAILRVPAGADALHSLLLRAGLAAHLHVANALVDAYAKLSRHAAARVVFDEMPHRDVVTWTSLLTGLARSRSHDPALRVYRDMVASGIRPDEFVVAAALSSCAGATAIELGVSVHATAVRLALDPFLSVGNSLVSMYAKTGSLGEAKKVFDAMRVRRDPITWTALIVGYAQNGRGKESLEVYADMVRSGCRPDYVTFIGLLFACSHAGLVDAGRAHFRSMQAEHGVAPGPDHYACMVDLLGRAGRLDEAMDLLNRSTTRLDATVWKALLGACRTHGNAELAEHAAEMVWRLDPVDAVPYVMLSNLYSRARRWADVARIRMLMKSRGITKEPGCSWVGVNGVTHLFYVEDRGHPRTEEIYRKVEEMMGRIRAEGYVADTDWALQDEGPEGREKGLAYHSERLAVAFGLLAVPAGAPIRVFKNLRVCGDCHAAIKMIAKAYGREIILRDANCFHHMRDGVCSCGDYW >cds.KYUSt_chr3.41368 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260969331:260970350:1 gene:KYUSg_chr3.41368 transcript:KYUSt_chr3.41368 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGFITADRRRRRITALDTAKAVASAEACLTLGGGLNSISSSPSSPGYYAGGAFRFPDLNNSPDLRRTQGHVTDGTGLPGNLFPGDGRRTQQVFGSGSGVSMSQDEIAQEEILNGIIRVHAYEPPVDDYEEEADENEGEEVVQEELIDAETGVSVRRTRRCSTGTRGPRWRSLEDECLIEAWKQVSFCSITGANQTGGKYYKRILDCFNEKNYGDYATIEMSRNEGALSHRWNLIKGSCSKFHRYYEKIKNRKESGTTMVDWV >cds.KYUSt_chr1.40770 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250009107:250012184:-1 gene:KYUSg_chr1.40770 transcript:KYUSt_chr1.40770 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAATACFLSPPPPPRPRHFLRHLARAAATPPRPLALPASPPRLRDLADPAEAARRLLASAAGSLVVALASAALILGDPGGASAFVVATPRKLQPDELATVRLFKDNTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKIGHIVTNFHVIRGASDLRVTLADQTVYEAQVVGFDQDKDVAVLSIQAPKDKLRPLPVGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSAGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIQFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDAYGRLILGDIITSVNGTKVGNGSDLYRILDQCKVGETVTVEVLRGDQKEKIAVVLEPKPDET >cds.KYUSt_chr2.53661 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334714958:334715308:1 gene:KYUSg_chr2.53661 transcript:KYUSt_chr2.53661 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRTYGRDDAKGLSAAVRATPTMSSAGLRKTEPPHGLAPNKELEVEEAGADAAAGRATELSAAEIHEFRFWALDDAGARRCLGGDFFDIDLSREAWNNLYSYAVYPIKIRQQLK >cds.KYUSt_chr6.31329 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198222797:198225611:-1 gene:KYUSg_chr6.31329 transcript:KYUSt_chr6.31329 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPESDLLDPPRWDRRWRRLRKVPRWRRNPWCCAALHSFTNNLHVAFISYWFDKPWFLTEGKLAAVRITPSSWGSQRTSALPSQGSYFLAPLQSPSHVSNDAYNGSSAEVRLREVTGWVEDGGLFHPGHFDTLGSSGPASGHSSPRGDQHRPRLSDIDEGSVRGPLLRHLPRPTLLWRFGASSALVTVVRHPGNSCDTACPALGGAADEGGMEPALGDSPSEFSSLAFASEVHEDAARKQRVRRKRAVDSAFKARRSSCLASKEPDNFISMLSKAKAIKASRFDLSGDSPRLRAAVVAAGLTDGVPGPIPLPRL >cds.KYUSt_chr4.44031 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272954026:272955117:1 gene:KYUSg_chr4.44031 transcript:KYUSt_chr4.44031 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGWTSLPSDLIRRVADLFLATSDVDYYMSVRAVCRNWRAATDDPTGLDPRFTPRGWVLVASLAGDDQTGRRCLFLHANTGRFLWKGPLRNYTCVASTEDGHLVLEPASRNSRICLLNPMTGSLVSLPVTTAQFLGDNEFGLSHDRNMFATGSSSMVLYSFFDSSSGGCIDLTWDAVYNRESLFKAMTGMFASMVPFKGRAYAVNENGSVAVVEHNCRLDEKPEVTRVITGSWNWMDGRNTFLVDNAGELLVVSLLVSRREVQVFRVDLKNGLLEQIKGIGNRAIFLGKRRCLSVDAYKFQAIESNCIYYIGNRFGFDCGIFMHCLKDGSHVKLFESCCVPEGPKSLARVIMEYACYGHNA >cds.KYUSt_chr4.53617 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331700054:331700905:1 gene:KYUSg_chr4.53617 transcript:KYUSt_chr4.53617 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNTTSSVDKPTSETSSRCLIECVTGAHNFEVTRFSLLQGMGAGKFVSSSTFSVSGYDWNIRVYPDGLKEDNPVYMSAFLRLCSGTTDVNVKCTFSLLEKDGRATNLESLTHTFQAVGGSWGWSKFIEKSKLQELLDRNDDRVTIRCVLTVIKEPRTEDVSMILVPVPQSDLHTHFANMLKDGEGVDVTFSVADQLFSAHRYVLAARSPVFKAELFGEMKETTIKCIKIDDMEPAIFEALLNFIYTDSLPSNFDVDKNVALQHLLVAADRYGLDRLKAICKG >cds.KYUSt_chr5.15698 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101289628:101291741:1 gene:KYUSg_chr5.15698 transcript:KYUSt_chr5.15698 gene_biotype:protein_coding transcript_biotype:protein_coding MMMCPLTTPAWSWSTTTPRITLLRYVILGLASATPMTTEPQPEQEWAAVERRGAHLVTAGRPFIIHGFNTYWLMSFAADEATRPRVTAVLAEAADAGLNVCRTWAFNDGGYRALQTAPFRYDEEVFQALDFVVSEARRHKMRLIFALCNNWGDYGGKAQYVSWGKEAGVDLNSDDDFFSDPTLKSYYKAFVEAVLTRINTITNVSYKDDPTILAWELINEPRCPSDPSGDTLQAWIGEMASHVKSIDPLHLVEIGVEGYYGPSTPQLLPVNPDDYSGRVGTDFIRNHQAMGIDLASVHIYSDTWLPESIEENHLQFVNTWMQQHIDDAANLLGMPIVIGEFGLSLKDGKFDSEFRETFIKTVYNNFLGSWERGMIGGGCLLWQLFPEGAKHMDDGYAVSFAKSPSTFNLLANHSRKLEC >cds.KYUSt_chr4.6154 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36112823:36118464:-1 gene:KYUSg_chr4.6154 transcript:KYUSt_chr4.6154 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSRPEEVVALVKLRVAAGQIKRQIPPQEHWAFCYDMLQKVSRSFALVIQQLGPELRNAVCIFYLVLRALDTVEDDTSVLNDVKLPILVDFYQHIYNPAWHFSCGTNHYKVLMDNFRLLSTAFLELGQGYQKAIEEITRRMGAGMAKFICQEVETVEDYNEYCHYVAGLVGYGLSRLFHAAGTEDLASDQLSNSMGLFLQKTNIIRDYLEDINEIPKCRMFWPREIWSKYADKLEDLKYKENSEKAVQCLNDMVTNALIHAEDCLQYMSALKDNTNFRFCAIPQIMAIGTCAICYNNVNVFRGVVKMRRGLTARIIDETKSMSDVYSAFYEFSSLIESKVPRCCTCCMQMDMVS >cds.KYUSt_chr7.19795 pep primary_assembly:MPB_Lper_Kyuss_1697:7:122770300:122770747:1 gene:KYUSg_chr7.19795 transcript:KYUSt_chr7.19795 gene_biotype:protein_coding transcript_biotype:protein_coding FQPLDGERPVSYTTLRAVGMLYKKRGAFFVHIPGAGHLFPIHFPNNLFSLKNGQLHYVSHEQEDEPYLEEAEEEEEEEVEGGGPEQEVPPPHLYTTNNNIYLLGGYINSMSNCVDSLRDTTLNMSQKFNQWSQDWGPTNYPDVDVLSS >cds.KYUSt_chr6.2546 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14773579:14775798:1 gene:KYUSg_chr6.2546 transcript:KYUSt_chr6.2546 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMCCHCSSKASSRRKHPSPSLESVRLNNGPLLRRLFLRVWLVAPTLQVVVVPLLLIAFAAGISASSVASITISAAPSSSASAKKCACISASSSSRSSSSVVYSLNPGSSNSGSRGGTGSSAKVGGAQVLKEVEVPACRGRRGRAWRRLRQGGVAFFVQDDGEELRQRLWYRQRGTASFYRLRRRGKSRANGGKRRGKGSSPELGLVRRFPVVVLFFVVLQWLETEAERGGTAGISMNKAAGAAGRLVVDSLLLLFNHRGVPQRGTCDGGVRLAFASYGRLAALLSHDLVAAQPPGCRPLRRMINSGRRFHRGAASSVLPLELHCAANKAHGREGGCTRAVLLSCYHLQVQATTPVYYWQLGNDSGSSAEPRPTSQAL >cds.KYUSt_chr6.27310 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173379245:173379804:-1 gene:KYUSg_chr6.27310 transcript:KYUSt_chr6.27310 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRRRGPGKLGTGPATGTGRRRRGVGDGRRGRAASSWPELGDEEDAEERGEVVLQLPHPGHDLVGTAAAAQVPGGGAVPAAAQDEGVLVLEFAANGNLHEKLHGGASPGRRRWRGCDGEMGEDHTCILLWHMYSTLQQWTPTYIFWTNEMLT >cds.KYUSt_chr4.9685 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58481517:58482444:-1 gene:KYUSg_chr4.9685 transcript:KYUSt_chr4.9685 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGVTIVADGELCSCSEWTVADYAGTECSGGYHLLVVQGYTAIKRERCTGRKISSRPFTVGGHQWCVEYYPNGENATCDDFISLYVCLVGGGANKQARGGANPRWGHERFMGRDALERSPNLRRDCVTIRCDIMVICNDYDGSADAALLPDDMGQQFHRLLQTQVGADVRFEVCGETFVAHRCVLAARSNVFMAQLFGPMKEASATTSGIQIKDMEPRVFRALLGFIYTDCLPKMEIDGMEEEEAEDVMWVQHLLVAADRYDLQRLKFLCEKHLSEP >cds.KYUSt_chr7.4878 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29333018:29334064:-1 gene:KYUSg_chr7.4878 transcript:KYUSt_chr7.4878 gene_biotype:protein_coding transcript_biotype:protein_coding MEHACINFTAVARSVRLLKVDGFSLTESMGNDDCVKSRWSFDGYEWEVRIYPATNCSVAVEVAFLSRPRKGSVRAAIGFRMVDPRGMIKPSNELCVSWVFTNPHECSPKKIVAGRRELGASGFVRADSFTMQCTITVLKELPDTAPDTVQEVPVPSSNLHRHLADLLQSETGADVTFLVSGETFAAHKSILAARSPVFMAQFFGDMKEKCSQSVEIRDMDPVVFRALLHFIYTDALAELDEKDEAATVIAQHLLAAADRYGLDRLKLICEGKLSGGIDVDTVATTLALAEQHNCPQLKAKCVGFIVTSPAVLDAVLATDGYKHLEACCPSVLADLLKSSLRMGGRTDA >cds.KYUSt_contig_1539.132 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:953368:960082:1 gene:KYUSg_contig_1539.132 transcript:KYUSt_contig_1539.132 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQINPSLLPPASPVDQVSTPPPAVTTAYQVPRATSNDSATQIASINPVVPSSASAAAAAPTSATTQDAAGLEAPSSMFSTSNLSSWAKNLKIPQPSSGQESPTGKNTLSRLTSGLGLRSSPKNPQQDESAVGSMTSTTAQTGVFGSLTKGIVDSSKNAVKAVQIKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGFFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLVISFCQSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLVYLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTHYNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGVLFTTKKHPRTKELMPEDFWFSAPKKGIMVFALPGEPGLAEVAADFKIEFHDRQGDFYCWLNTTMMENRVTLNPTDFDDFDKRKLPSPGFQVEVVLVDYDGSESPKQQVADQAADNKSDADSSTSTSAKENYAVHVESNKEAGGDDKDDVFSDNEAEDGSSKGKKEKVSSSGQGTSNAAKGSETCVAQKEASAASSCIEKVTISIEQGNARAPDAGSLKTEASSKNNSTTTQPTAVESSSMSEFKAIAADASVFSFGDEDEYESE >cds.KYUSt_chr4.4692 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26881130:26883369:1 gene:KYUSg_chr4.4692 transcript:KYUSt_chr4.4692 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTMPSLLAFSAVLLAFLSFPAAEARIPGVYTGGDWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNSGQSCGACFEIRCVNQPGWAYCLPGTPSILVTATNFCPPNYALPSDDGGWCNPPRPHFDLAMPMFLHMAQYRAGIVPVTYRRVPCRKSGGVRFTVNGFRYFNLVLITNVAGAGDVVRASVKGSSTGWMPMSRNWGQNWQSNSILVGQALSFRVTTSDRRTSTSWNAAPQNWRFGQTFEGKNFRV >cds.KYUSt_chr6.7905 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48474782:48477743:-1 gene:KYUSg_chr6.7905 transcript:KYUSt_chr6.7905 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSCARNATVHTSLRLRDRFTYSSSLFPNISGMRCLVALPAVAVPGRRSHPEPRPRRRGTLVALLGRCLCGRRHLLGASSAAGLLHLANPPCLAFPVPHPSTPTSCLSGCTQRGRAATFDDDDGSSEECKISRTPNSVAKTKRPIGRKAAKEKAKKGGDDDTKASLTAIILARKEMAADRRMLKKQEIDELRVAEERKAAAEERRVVAEVSEKQKQFIEMCRDQLMAKKQMGSYMATFGGAMAGGATVGIGGGMPGSYGGGIGGGMVGSYGGGIGGGMPTMGAYMAGSYGGGMGGDIGRGMPTMGSYMGGYGGGMASGMGNYMSGIFGGSMDGAMAGGMSGGISSNTTNVDEDGGNGGNGGMTGGEGMGANADTTVINEP >cds.KYUSt_chr7.16515 pep primary_assembly:MPB_Lper_Kyuss_1697:7:102305086:102305364:1 gene:KYUSg_chr7.16515 transcript:KYUSt_chr7.16515 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPAASVSKINDGAAAVGGQHQQKKMECGVFSCSFRMPLHYPRYKKADYEAMLDWRVDCLLREYGLPVAGDVNDRRRFAMGAFLWPGQY >cds.KYUSt_chr7.24216 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150994731:150995441:-1 gene:KYUSg_chr7.24216 transcript:KYUSt_chr7.24216 gene_biotype:protein_coding transcript_biotype:protein_coding MSFALRSISLPSRHHTREAEVQEDLDSLETSISSSITIKTMCDGLRRLGDIYCDVEEIIQLPSNQVCSSQHRKMLDGEMECSLQLLDLCNTMQQIFVELKAIIQELQLALRKGEDATIQARILSYIQLLKKAGKHFKKSTTKKTSDKMDCGMVSLLTKAREMALSLHESTVHLLSKKIEAPKQSLISKAFHKKKVVVCEEQLQDLECSMGDLESGAGHLFRRLIQSRVSLLNILSS >cds.KYUSt_contig_605.2115 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:8316803:8326332:-1 gene:KYUSg_contig_605.2115 transcript:KYUSt_contig_605.2115 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRITSHVETEPHSSGPQPDVDPETNDNGNPEDEENHQSDIEEDPHNNDPPPPPSPMRRSHRERRKVMLDDYITCMSEDVCMSEDVNDVGKVEGPTSYKEAIKSLNSSKWQVVMEELKSMGSNDVWDLVEDRVVPARGKNLEPALQLASRPRGVDLDAGACEMQLARPSGSLPRGGDLDAGASEIQLPRPCGSRPDRAVEPAASFLVYMANIGTNVWKMRCVVSLQQPTGRPTAAVLPTKDVRRSVKDLGWRANPAGSVVSVRARTTPDPRHHPHSPGAAISCGGWRVGEEEGPEEGGDYKEFEGMEQLHLPTWTAGAPSAASLVSAHMNCLRAATSGHGDAAMALKWIRDQLVLALLRRLASGRQQRRSTRRIVALMEAPVRTTASEVEEGATAAVDGDGVEAGWHRGWWTGGGVRC >cds.KYUSt_chr6.26741 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169514679:169518997:-1 gene:KYUSg_chr6.26741 transcript:KYUSt_chr6.26741 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRGASCGGLKLFERLLLNYEDAMCDGCRCVEKGVGVGLKVMFRQKYSFMVALQAQHSKYAPNLIRSVVKSIRSDTTDGDNGTTEPARELLERLFVRTQSLDSSASHDSELSLSIEVLKSEFEGVLSILRKKERDIRDAEKRVSDDRVRLSQTKQDLDQREKEISKAHVRQQGIEKALKKASKNLALRVKQINNLKLLVEEQDKKIASSQSLLSQKVVEVESLKQDMFKKNEEANLLRSEIKSKEQLLLATNQAVVQQEATVRELRSEIKRKTMDITRSNELRKANEEKLNVAEQELEKQNLGWLAAQQELKELAQLASKDTDDLKGTITDFKRVRSLLDAVRAELISSKEAFASSRRQIEDQAVQLQKQVQELKDQRVLLMSYTRDLEAAQLEIQGKTKELNNAHSRCHELESQLIQEMEKVESLETELTKEKESLEQKTEEVDFLQKELVHKENECSKSQELVKRKESELLEARHEVQDMKLKVESIQSAVQEKDSELSYTQSRLTEVSSEVIDLQQLLNSKDDQLVQVRSELHDKGQHIKTMESELDSIRFRCTQAESVVQRMAELTGDLASSVKVGEMDIYALLDDEISSTGTALESNMHKHNQLEADIEMLIDAKDQGLKAALRKWDVKGVELDELEELPEDPSATIEVTGLSSDTTVGSVVGEMDLQKLQIEAAEAEALAATAALKKFADMTTKSFKRGKADSDIDSVASESANIGKYDSKMEVEKRTDVILEAEKEIVRLFSLTKQLVTDDVIYDVEEQ >cds.KYUSt_chr4.54255 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335420452:335421243:1 gene:KYUSg_chr4.54255 transcript:KYUSt_chr4.54255 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVLLVVALFAVFLGSAHGIAKVPPGPNITAEYGDKWLDAKSTWYGKPTGAGPKDNGGACGYKDVDKAPFNGMTGCGNTPIFKDGRGCGSCFEIKCTKPESCSGEAVTVTITDDNEEPIAPYHFDLSGHAFGSMAKKGEEQKLRSAGELELQFRRVKCKYPDGTKPTFHVEKGSNPNYLAILVKYVDGDGDVVAVDIKEKGKDKWIELKESWGAVWRIDTPDKLTGPFTVRYTTEGGTKSEVEDVIPEGWKADTSYSAK >cds.KYUSt_chr1.2403 pep primary_assembly:MPB_Lper_Kyuss_1697:1:14174451:14177550:1 gene:KYUSg_chr1.2403 transcript:KYUSt_chr1.2403 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDSDGGGVGASTASAAASSSEPVRDIRRYKCEFCGVVRSKKRLIRDHVLEHHEGEVDEYTRGGGGAPRASHECEECGAGFKKPAHLKQHMQSHSPERPFACHVDGCPLSYSRKDHLNRHLLTHQGKLFICPMEGCNRKFSTKGNMQRHVQEIHEDSFQCGSKKEFICPEDDCGKTFKYASKLQKHEESHVKLDYSEVICCEPGCMKTFTNVECLKAHNQSCHRYVQCDVCGTKQLKKNFKRHQRMHEGSRVTERIKCLFDDCKCSFSKKSNLDKHIKAVHEQSRPFICGFSGCGKRFSYKHVRDNHEKSSVHVHVEGMFTAFLSIKSQQGDFVEADEQLRPHPGGRKRKPISVETLMRKRVAAPGDASAYDDGTAYLRWLQLG >cds.KYUSt_chr5.18917 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122371082:122371519:-1 gene:KYUSg_chr5.18917 transcript:KYUSt_chr5.18917 gene_biotype:protein_coding transcript_biotype:protein_coding MYWHICNSSRVLELDLATLRFSYLLPPAELPGHHFKYRMGETPDGRLCVVTVENELMQRWVRGGGMRSDNGWIVEEEMGISKVYDTVPGLPKDRIRRKQSIMVMDVDVGQKWKLFIEMLGYGTFSFDSKTRKLDRLATKVSHLPP >cds.KYUSt_chr5.32198 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204262801:204263694:-1 gene:KYUSg_chr5.32198 transcript:KYUSt_chr5.32198 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPPPTTTPTPSNPSKPADVEIREVYAHNLESEFAVIRDAVDTHRYVAMDTEFPGIVCRPVGAFRTNDALNYATLKANVDMLKLIQLGLTLADSTGALPLLGTDNRPCAWQFNFRGFDPRSDPANADSIDLLRASGIDFDRFAADGADAGRFAELLMSSGVLLNEDLHWVTFHSGYDFGYLVKLLTGRNLPDTIPGFFDLVRVYFPVLYDIKHLMRYCNSLHGGLSKLGELLGVDRVGTCHQAGSDSLLTLRCFTKLREVYFRGSTETYAGVLYGLLDDGAGGEQASSSTSSSAN >cds.KYUSt_chr6.26068 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165126122:165126691:-1 gene:KYUSg_chr6.26068 transcript:KYUSt_chr6.26068 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAGEASSSSSACAAAPETPHPHPEQAAKPRCRPSRPAAGAWARVVSMGVQGCVMAAALALFLLFAFAACLLMMALVFSARAFRRQGSRYRPFSPDHDFPPPPPPPPRPVGLAPAQIARLPCFDSSPFDGPSTCVVCLEPSRAGQRWRKLPPCGHAFHAACVDPWLRLSPACPVCRAAVAVPPEKS >cds.KYUSt_chr3.28585 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178288233:178294691:1 gene:KYUSg_chr3.28585 transcript:KYUSt_chr3.28585 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAATPCSQRLLLASSAYPPPPRLLRAAVRPLLPGSRRGGVRLRVRCGAEDEEAEARRGEQLKASVEEMAPGLDLVTLAACLVGLLTGVSVVLFNLSVHEIRDLLWDGIPLRGASWLREEPTGEIWQRVILVPVSGGIIVGGLNTLRSSIKTNSDGTVSNIKGVFRPFLKAVAASFTLGTGNSLGPEGPSVEIGSAIAKGFGNVFGWEGGKKLSLVAAGSAAGIASGFNAAVAGCFFAVESVLWPSSEDSSSLSNSTPMVILSAVIASVVSEIGLGSDPAFTVPEYDFRSPTELPLYLLLGIFCGLVSITLSRCTALTMDTVESLQKATGLPRAASPALGGLIVGLLALMYPEVLYWGFENVDILLESRPFTSGLSASVLVQLIGVKILATSLCRAFGLVGGYYAPSLFIGAATGMAYGKFMRFTFTGSEALFHVPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGLSSWIASPQRFSTSSKAKLDFSEEKTRTLLEGKIVSSQTQQATSADSSDSTADLCKLESSLCVYDARDSDMLENLNVAEAMKTKCISVSVKTSVVEALNLMLAEKQPFVMITESNKSLVGLLTLKDFQDFCRTSKTTRMQTELQDCLVSHVCGDVRCKMWSVTPQMPLTTAEKIMDSHGVDQVPVVSEHSDHQDGGLLIGFVDRECITIAR >cds.KYUSt_chr4.17451 pep primary_assembly:MPB_Lper_Kyuss_1697:4:109163135:109164118:1 gene:KYUSg_chr4.17451 transcript:KYUSt_chr4.17451 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVLFSILLIVSGSAAAGDDGARQLPHLQLPRTPDSGRDHGSVSDAPPPQEQLMDGAWELLPHLQLPSTPDSCRDHGSDSDAPPPQGQLKDGAWELLPHRQLPGTPDSGRCPRPDSDAPPPQWQLMDIMSTSGCGRFAALVAATPNVGDIFLQHLVAGGGGLTLFCPDDEAVDAFEPTFRALADGVRAKVLLRHGAMTRYDRAQFAPFDLVAVPTLAVDDAATNDDETVLVRDRGDSVRLGLGPTWPRDGQATVTRTISSEEHPLVLYVVDAVLLRQKLDGGDEAAACGVLDFLRLCWPCICIGIGAEVLPAIITVYRRPIRRRA >cds.KYUSt_chr7.29164 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181534429:181536945:-1 gene:KYUSg_chr7.29164 transcript:KYUSt_chr7.29164 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWFLKIGNQKGSSFTRMKACSKRCSSSLCFILLWMLVLPNLWITCSGSIQKNVLLPGFSASEMGYIDNNGIFLLSNGSVFGFGFVTSSVSESTSYLLAVVHLGSTTVVWTANANSPVSHSDSFEFDKDGNAYLQSAGSTVWTANVSGKGTSMQLLDSGNLVVLGNDNSSPLWQSFNYPTNTLLSGQSFTEGMTLVSQSTRQNMSYTLQIKSGDMMLYAGFQNPQQYWSAWQDSQLIVNKNGDIYSASLNSTSWHFYDQSGSLLSQLLMPQQGVANTTFAAVLRDDGSIAFYMLQSGSGKTTLPKAIPQDSCDMPTQCKPYSICNSGTGCQCPSALGSFSNCDPGLISPCKSKETFQLAQLDSGVGYVGTSFTSPVAKTNITGCKNSCMGNCSCIAMFFDQKSGNCFLFSQIGSLQQSGNKTDFASFIKVSSSGSGRSGTGSGIHTIIIVVIIVGTLAVIGVLVYAGFFIYRRRRYPSFAQDEAGSSEDDGYLQTISGAPVRFTYRELQDATNNFSNKLGQGGFGSVYLGTLPDGGRIAVKKLESIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCAEGSYRLLAYEYMAKGSLERWIFRTKEADPLLDWDTRFNIALGAAKGLAYLHQDCESKIIHCDIKPENFLLDDNFLVKVSDFGLAKLMSREQSLVFTTMRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIISGRKNFDPVEGSEKAHFPSFAFKKLEEGDLSEIFDAKLKYSDKDERLEIAIKVALWCIQEDFYQRPSMSKVVQMLECVCDVPQPPVSSQIGYRLYANAFKSSSEEGMSSGMSDYNSEALLSAVRLSGPR >cds.KYUSt_chr1.27930 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168396578:168398570:1 gene:KYUSg_chr1.27930 transcript:KYUSt_chr1.27930 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDIDEGSASAAAGGEGGGGAGDEAAVDSHENDLVMPGFRFHPTEEELIEFYLRRKVEGRRFNVELITFLDLYRFDPWELPAMAVIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENSRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPTTDADLFYKSEISLCRVYKRSGIDDGHGRPSSSNAQAASARPGTSRAIMEPAGRLVSSPSSAPLSPTQQSSFHGLLLGQGDCSTPLPPIMDQVAGRNASQLHPPPLPPPPPPRPCGYATAMSSTAVVAPAQNACTYSLMAMAEATMMGSSSMPGDELSRLVGHSQAYANHPDPGGHFFPTPPQPQMAPLGQLPLSPATVSDKLWDWIHPDTSASRDYDSSSFK >cds.KYUSt_chr5.42068 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265167969:265174333:-1 gene:KYUSg_chr5.42068 transcript:KYUSt_chr5.42068 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSLACCTAALVLVLLQLSACDDRLLPGELLSPGVTIVSDDGSFTLGFFDPTNASTPARLYLGIWYSDIPELTVVTWVANRESPATNSTTPVLFLTNSSNLVLSDSDGGPVRWTTDIDAATNSSQTTAVLLNTGNLVIRSENGTMLWQSFDHLTDTFLPEMKLRVRALLLRRRPGDDPPGIPLGRGAPGGTHRPVDRYLVKAEQQYQQESSLIIYLAVVAGAEEIYTTYTVSLGAPPTRYVVTYSGEYQLQSWSSNRSAWVVLSKWPSLECSRYGYCGPFGYCDETSTPLQTCKCLDGFEPTNMEGWDKGSFSEGCRRKEALQGCDDGFLALSGMKSPDKFVFLANRTPEECVAECVHNCSCVAYSYANLVNGSSGDNVTRCLVWSGELVDTGKNLVGSDTLYLRLAGLDAPSGKGTKSNRLKMVLPILGSSAVALICISLVWFKLKDEDMETSRFLPGHQPSNAEDMGIQADENSAEEVWVKDENGNSLKLAVDLKLYKFSSEVII >cds.KYUSt_chr3.29371 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183884869:183885795:-1 gene:KYUSg_chr3.29371 transcript:KYUSt_chr3.29371 gene_biotype:protein_coding transcript_biotype:protein_coding MESQLHSLFKLQYRSLLHTTSQHGLQLTSITEVTESPSYPVLSSAMAASTMALSSPALAGKPSSTFNVFGEGRIMMRKAASKSKPAVSGSSWYGPDRALYLGPLSGQPPSYLTGEFAGDYGWDTAGLSADPETFGKNRELEVIHCGWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNSSLVHAQSILAIWACQVMLMGAVEGYRVAGGPFGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGRGPLQNLADHIVDPVNNNAWAFATNFAPGK >cds.KYUSt_chr1.6942 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42652885:42653214:-1 gene:KYUSg_chr1.6942 transcript:KYUSt_chr1.6942 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAISGAGFFAIEVDPIKGKSTGESFTAVIKFKGTPLSPLQISEEFKDLVDEQWDWQECRLSETEFSVCFPSQATLRMGTRHGKLFLPINKVEVEIREASTEGGGGVD >cds.KYUSt_chr4.10414 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63162711:63163946:1 gene:KYUSg_chr4.10414 transcript:KYUSt_chr4.10414 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEEFYESLFDSAKGGSGKARGQMIEKKIETLEDMATRQGGDARLHQAVAVKSEDMALPLRRAAVERRRDASASQPLVRPRRRREARRAPAGRCVKREERVPPLHVVTTDDERQHQHGRTAEHAAVKTRDVELNWVEQA >cds.KYUSt_scaffold_1854.513 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2746918:2747703:-1 gene:KYUSg_scaffold_1854.513 transcript:KYUSt_scaffold_1854.513 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIHHRRQEPRTTADPALSSGDDESTDGEFEFPCFLGANHGSPALADDLFADGRIRPATFYPVFGRRSSVTHGLAPAPAPATERRTRGQLGRLFLEESRAWNSSSSSSSAGSSASTSSSAATDDLEGAAPESYCVWAPGASAASSPRPRKSGSTGSMARWRRISDLVVGRSHSDGKEKFLFLPANPPTPPIKNPATKPSAKKKTEIGTVAAAQRMSAQYGAAKAVGAATPRRTFLPYREELVGFFANVHGISRSHPHPY >cds.KYUSt_chr2.28920 pep primary_assembly:MPB_Lper_Kyuss_1697:2:177761462:177764038:1 gene:KYUSg_chr2.28920 transcript:KYUSt_chr2.28920 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFCMSVQQASRSKVAIKPLELENAKEPPLNLYKPKGPYTASIVSVERIVGPKAPGETCHVVIDHGGNVPYWEGQSYGVIPPGENPKKPGAPNTVRLYSIASTRYGDSFDGRTASLCVRRAVYYDPVTGKEDPSKKGICSNFLCDSKPGDKIQITGPAGKIMLLPEDDPNASHIMIGTGTGVAPFRGYLRRMFMEDVPAFKFGGLAWLFLGVANTDSLLYDEEFTNYLQQYPENFRYDKALSREQQNKSGGKMYVQDKIEEYSDEIFKLLDSGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWDQKLSQLKKNKQWHVEVY >cds.KYUSt_chr2.1323 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7838299:7839314:-1 gene:KYUSg_chr2.1323 transcript:KYUSt_chr2.1323 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSQHMRAMGRVVRDYMYFVGELSPRFDGSMLSKLVNWRAFLRISALFDRQAELCRPLFAARRQSRSKVRGGMVHPYVDSLLDLRVADGVVRRALRESEMVGLVFEFLGAGTGTIAACVEWTLAHLINQPEIQARLRREIHDETTPGAMLSSKSLRGMPYLNAVERARAKEILVGATAMPPDGLRVLFNLGDIGRDKATWTDPDEFRPERFLAGGEGEDVGPLPVPKEIRMMPFGAGHRHCPGVGMGMMLIKCFLAKLVGEFEWAPAAQDCSGGVDVTELNGFLKLMKKPLSGRFLLPKSFTSGDMAFSSGRKGL >cds.KYUSt_chr2.55515 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346288495:346293493:-1 gene:KYUSg_chr2.55515 transcript:KYUSt_chr2.55515 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSGSVIQPWLFVGLGNPGEKYQSTRHNVGFDMIDAFAESQGISMTALHFKALFGEGMIDGVPVLLAKPQTYINLSGESAGPLAAYYKLPLHRVLVAYDDTDLPCGVLRLQPKGGYGRHNGLKSVIYNFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIKEGADILKMVVTKGLTEAARLANVEQNHPPAIAPFVVLTSAQRTVSSAPYGPLWRSLRRNLVSGVLHPNRVARVFAPARRWAISLLASDLDAESARSEGGVVTVVECLQFAMFSLLTYMCFGRRLPAGRVRVRDRGRAAGALLVLHRLPGVRVLPGAHHAVVLPAVAQGAVHPAQAGGAVLATDRSKKRTNQKCGAPGLRDEIDAAIADDHKEAVAEEDLSRMPYLKAVVLEGLRRHPPAHFLLSHAATSASEDASLDGLRVPAATSVNFSVADVSLDEEVWSAPEEFRPERFLDGGEGAGVDLTGSREIRMMLFGAGRRICPGMALALLHLE >cds.KYUSt_chr7.20662 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128085015:128085738:-1 gene:KYUSg_chr7.20662 transcript:KYUSt_chr7.20662 gene_biotype:protein_coding transcript_biotype:protein_coding MLERFPLVCHTLPSRKEKKAQRAEARRAAVLVEAVAALSDHGVCVRKCYISSDDGLRRLCVLELVGDDRPGLQSEVFAVLHDLRCGTVDARAWTHGGRVAALVFVREEDTGAPIHEPSRIRRIESRLRHVLRGGARGVRTVLVDAAAVRNLDRRLHQLLNEDVEPGRRAPAEEPASIAAVSCRDRPKLLFTVHQEEVGH >cds.KYUSt_chr4.42750 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264682190:264683634:1 gene:KYUSg_chr4.42750 transcript:KYUSt_chr4.42750 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAEIAASADEEACLYALQLGSSSILPMTLKNTIELGLLETLMAAGGKSLTPTEVAAKLPCAAKNPEAPDMVDRMLRLLASYNVVSCLVEEGTDGRLSRRYGAAPVCKFLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMSAFEYHGTDPRFNRVFNEAMKNNSIIITKKLLQLYDGFQGLGTLVDVGGGVGATVAAITAHYPTIKGINFDLPHVISEAPPFPGVTHVGGDMFKKVPSGDAILMKWILHDWSDQHCGTLLKNCYDALPVHGKVVLVECILPVNPEAKPSSQGVFHVDMIMLAHNPGGRERYEREYEALARGAGFAGFKSTYIYANAWAIEFTK >cds.KYUSt_chr1.37880 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231361571:231362834:1 gene:KYUSg_chr1.37880 transcript:KYUSt_chr1.37880 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLLAAASASCATAQLQEKFYSETCPSVEDVVRKEMVRALSLAPSLAAPLLRMHFHDCFVRGCDGSVLLDWANKTAEKDALPNITLRGFDFVEKVKAAVEKACPDTVSCADVLALIARDAVWLSKGPFWEVPLGRRDGSVSIANDTNALPPPTANITELTQLFAAVNLDIKDLVVLSAAHTIGTSHCFSFSDRLYNFTGRVNPSDIDPTLEPFYMAKLKSKCASLNDNTTLVEMDPGSFKTFDLDYFKLVSKRRGLFHSDGALLTNAFTRAYILRHATGAFKDEFFADFGVSMVKMGNANVLTGSQGEIRKKCSVVNH >cds.KYUSt_chr3.43866 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276900645:276901601:-1 gene:KYUSg_chr3.43866 transcript:KYUSt_chr3.43866 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTTTAVESIGVCYGVNGDRLPSASEVVQLYKSNGITGMRVYNVKDDTLKALSGSNLGLILDTGNTQLNALASSASNADAWVKANVQSQQGLTIKYIAVGNEVPNQGGRTQDVLPAMKNIQNALVRAGLGGIKVSTAVHSGVTKGFPPSQGTFSDDGAHMPPIAQYLASIGSPLLANIYPYFSFKGTPSIDIKYALFTAPGTVVHDDGNGKDYQNLFDALVDTMYSALESAGSGSVPIVVSESGWPSAGDPDATAANARTYNQNLINHVGKGTPKRPGAIEAYIFAMFNENLKGGLETEKHFGLFNSDKSPAYSINF >cds.KYUSt_chr2.27833 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170853637:170854395:1 gene:KYUSg_chr2.27833 transcript:KYUSt_chr2.27833 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASSPATLPASLIGATPAPSSCLRARTCRPRTTTRSCAPSSSNRARLRHTLLSNTRRAPLPPVPALAGPRLQLRRCNAPCTPAPAAPCCLLHLSVSPPAVRPHALARPLPAGSARPRLPLALAPLRLRPGPPCRVLFRLCASIRPRAAPPTIASCCLLRRPKLPKPGSTMADSPRASAVPGSTMADSQRASAVADSPRAGSLPRLPARPAVRWHAPTGYAQRSHLPLPSGCARPAHLHVDGLLSSSILLR >cds.KYUSt_chr1.30738 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186120032:186124592:1 gene:KYUSg_chr1.30738 transcript:KYUSt_chr1.30738 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGLAAYRAVLRAARRTFTGDQLMLTESAVEIRRRFEEHRSLAPGSEDAVRALSDAREAAHFITNMIVQAQRAPSGSFVVKPEKAHAGATLEIPSEEILSQLK >cds.KYUSt_chr6.31607 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199764404:199764832:-1 gene:KYUSg_chr6.31607 transcript:KYUSt_chr6.31607 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGLGLAPVREEQLWPPPGGGLAATPGMRAVRLYLREVRDSQAKVGCVPPTIQLRLAPSRSVQGVVLLECSSSAALRPSVPPLVLSKRDPPLRRDCRQPHRVTALDSGGARHQHQPHHRKRPRLHPCGLLRFLSLPVRAQ >cds.KYUSt_chr5.14002 pep primary_assembly:MPB_Lper_Kyuss_1697:5:90974949:90981973:-1 gene:KYUSg_chr5.14002 transcript:KYUSt_chr5.14002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCRTRSPIPALVLELLHDGALGDHSQEERLGLQEALQMRLHDIHVEGQLMEPEEAVLQLPCGVVPVLDLVGYASSRPATRAEAVVAVPAAERRGSGGPEKPHRILDFDSSPIRFQSAVRRLDPLDCHWSHRLNQRRWCWMGGHVTSIKRPNPGERPHAGKQLAGGGDAGDGVSALLLGRHPGSGGPFPSPFPKGVLVIFAARLLPASVQRAPSQESASSAARGGNDHVSRLRLSSSSSGEVSVDMAPRPQHGVEAAGAAAGVSRSWTDWSGCSRSRPKRPSGKAARSDAQENHICAILPGSPLTTPQSVR >cds.KYUSt_chr2.49386 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309097181:309098653:1 gene:KYUSg_chr2.49386 transcript:KYUSt_chr2.49386 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMHRPEPLNPAARRQRLVVILLGAFFVFQLVLLVAYRSAPPSVRVPTAAASSVSVQAPPRPASASSVSVKEPPRPAADDSGCDGGLVYVYDLPAVFNEDLLSMCETLMPMYSICPYLANDGRGLPAEGTSLSSILPPELLGSWYSSDQFALELIVHRRLLSHRCRTADPARAAAFFVPFYAGLAVGRHLWSANATDADRDSDCVALLSWLHAQPYYKRSNGWDHFLALGRITWDFRRGPSGGWGGSFLAMPGVANVTRLVIEREPWDDMDVGIPYPTGFHPRTAADARAWQRHVASVARPRLFAFAGAPRSAIKGDFRGLLLEECQAAGPACEALDCADGKCIKNNALVLELFMGARFCLQPRGDSFTRRSLFDCMVAGAVPVLFWQRSAYIQYKWYLPVDHGQVGEWSVFIDRDELRAGNVTLRGVLAAIPEARVRRMRERVVKMIPRLVYSAADKDGLGGGMKDALDVMVDGMLRRVAERQRSRRT >cds.KYUSt_chr4.33531 pep primary_assembly:MPB_Lper_Kyuss_1697:4:205639670:205641022:1 gene:KYUSg_chr4.33531 transcript:KYUSt_chr4.33531 gene_biotype:protein_coding transcript_biotype:protein_coding METCSVARIFGLWHPWNLCSLLLRVLRKLLGLPPSLMKKSLERKHIHPAHLEIEVGKLPELPQDVLMDIFALLEIPDLMRASSICSSWRSIHTSLCSLGLYKRPQTPCLFYTSKSAGESVAFLYSLAEKRSYKLTLPEPPIRTRYVIGSSNGWLVTADERSEMHLLNPITCEQIALPSVITIEHVTPIFNEAGALCMYHYSPHTAEYFNDEPRSLALATLRNYLHNKAFVFYDASAGGHIVALIHSPYWQLSFARLGDNKWTWLPPHSDFQDCIYKDGLLYAVTLKGKIYAFDLRGPMVTTELILDMVEYCSNKYIVQAPCGDLLQIWRTREGSEDAGPPSYVINTTNIKIYKVDTRAKKLVGINSLDDHVFLLGHNQTLCLSAQEYLQLKANCVYFTDDDEIYLYGCKDNHRDIGIFDLANSTCEELVSPQLWSNWPTPVWITPSLTRL >cds.KYUSt_chr5.34386 pep primary_assembly:MPB_Lper_Kyuss_1697:5:218004662:218008446:1 gene:KYUSg_chr5.34386 transcript:KYUSt_chr5.34386 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLRSLSGPSYDGKYLHEVVKKKNTMDALLSDICISTSAAPTYLPAHYFKTEDYHGNIKEFNLIDGGVAANNPALVAIGEVTKQIFKANPDFFPIKPMDYGRFLVISLGTGSSKFEEKYNSQKAKSWGVLGWLLSSGSTPLIDIFTRASADMVDIHIAAVFKALHSEQNYLRIQDDTLRGTLSSVDVATKDNLEKLVSIGEMLLKKPVSRANLETGQMVAACSDTDVTNEEALKRFAKLLSDERRIRQARLAMQRDISNKEIAGAAAWNKYLVRFPFTLYVEIVKPISALSIKQDEMPFHVDSFALWYFCKILLLEMGKRPIREYLLACNEGSKQVSSCTCIFLILIVSSHLVPCCEARRLMAAITSNGEEACTSAGCPGVQGSASLIASAKISTTDGRGTAPGHSPGIGNKLHASGNDRR >cds.KYUSt_chr6.10352 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63829692:63832072:-1 gene:KYUSg_chr6.10352 transcript:KYUSt_chr6.10352 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTESEQAAVVVNGNPPQLTKSARKKLLKQERQAARKAERKVAEKARRRADIERRRREWEDSLAAAPSAEAREEMLAARRETRLERVGKHVEERGARAERLRRAAEGAGQKVVLDLEFADLMRPNEIHSLTQQIMYCYAVNGRSATPAHLWLTGCSGEMGTQVQRIPGFDKWIIEKESKSYLEAFADCKENLVYLTADAETVLDDLDRSKIYIIGGLVDRNRCKGITQKKAVDQGIQSAKLPIGNYLKMSSSQVLTVNQVFEIMQKFVETKDWKTAFFHVIPPRKRGEAGAADDEAEASLGDGAALEGTTNRDHPEGDLEKSSDEEADDDDAGDEEDGVAKKRHCVRSENGKC >cds.KYUSt_chr5.11056 pep primary_assembly:MPB_Lper_Kyuss_1697:5:71677000:71681834:1 gene:KYUSg_chr5.11056 transcript:KYUSt_chr5.11056 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPARLLIFLLPTPNLTLTPPVRRPRRAWAGTARCAAPEAAASGGFVVIEDDLSELLQILPRDLRDNLQNEPRRDQLLEVILDLGRRPEARFLGNSGGQYLRDSEISQLELEEAQRAVGEFGGDNRAGIEGTLHRISAIRSRKGLVVGLTCRVGRAVNGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVPEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGERLANIIKNPVLSDLIGGVETVTLGDEEARARRTQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLHGKKPLVEVRKRDNEFQVIIERWATYDGDGL >cds.KYUSt_chr4.47910 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296642202:296643004:-1 gene:KYUSg_chr4.47910 transcript:KYUSt_chr4.47910 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVDFAATTAMCSAFDILVAVAKSSAGTNAIAMASTGMEVATMALAAVALVVVVEVPLPAWILAGPAVPNLYADEEMEPADDDVDVDDSALAAPPPPLPCPVHGWACPRLAQGIHVQEEAEPVVPEAASPDLPSPTPAHEPGPSSVESATPSAGLAPMAVRDAVLDNDAGGSAAAAQPPQRRLRFIVPRAVLQASRAGRRPGEWSPARLSNGHSNGVAPGTQLPGGSSDEDEGGWSVNRRR >cds.KYUSt_chr7.4852 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29250657:29251838:-1 gene:KYUSg_chr7.4852 transcript:KYUSt_chr7.4852 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAGANLTEVVRSLRLLKIEGYSMIHDMSSDDYFKYKWIFDGYEWEIRVYPSVLNSTGLDWFVGVRLIFLSEPRGRAVRTTLRCGLVGPRRVLCNERHDTIIFRKPQDSSAKIALTGEGYIDDDDDSFSVQCIIEVFKELPDIPPVPFKELHLPSSNLHQHFAQLLQSETGADVTFLVDGESFAAHKLILAARSPVFMAEFFGDMKEKCSPSVEIQDMEAAVFRALLQFIYTDTVSEFEQQDAADEKAIYADTEFGIKRISLGMVDGYIQAQKERKDRKDIIRLGCFREKEAAVTVMAQHLLAAADRYGLDRLKLICVGELSGGINVFTAATTLALAEQHNCPELKERCVQFIIRTSATLDMVLRTEEYKHLEASCPLVVIELFKAARERRS >cds.KYUSt_chr2.49191 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307891132:307891679:-1 gene:KYUSg_chr2.49191 transcript:KYUSt_chr2.49191 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRCAATKLVGLRATVSPASQAQQRRLLPRLLHSSPALEIQKELRTKKEELYNLLAKLELNPYLRDNCEFGMIDRYQNKRLLRQLSVQIEPRWNDLDWRWFQLAQKISFYWTCGASYVTVCTFWHYALGGQNDSQDPKGASQK >cds.KYUSt_chr6.23270 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146959126:146962802:-1 gene:KYUSg_chr6.23270 transcript:KYUSt_chr6.23270 gene_biotype:protein_coding transcript_biotype:protein_coding MAFARREHGPPRPALLFLLSIPPLLVLFTFSSAAAPPSAASPAALHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNNYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRILTGRHESSVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDNEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSKKGENSYSHHLDSDIGVSVVDRFTFHTLAFFEKLNMYSNASLNSLFNSYDPSMLLSTAYYRMDLYERALNEVPVTNFFGSVMKTIHTDSAYTGFLAAREVETPLSMRNNILGGAVLQNEASTRRLNIEKMKEAQLRSDGWIQILLEGKNSDTVVMYGLGTMGVLLAVSTWLSM >cds.KYUSt_chr1.16211 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94169886:94180775:1 gene:KYUSg_chr1.16211 transcript:KYUSt_chr1.16211 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGPAPLPEVPSAAVEGDHQKGPPALMLFDTRTKKTEVFRPLVEGKVGMYVCGVTPYDFSHVGHARAYVSFDVLYRFKLCNNRNVFFAIQEKTSKDIIRTRQYSSEYENKESPSRLGGVEDTAEHALEELDAVHLGGWKVQLRYLKFLGYEVEYVRNFTDIDDKIIRRANEAGETASSLSNRFINEFLHDIVELQCLPPTREPRVTQHIKQIIDLITKIMEEDKAYTIEGEGVYFSIDNFPEYLSLSGRKLEDNLPGSRVAVDPRKRNPFDFALWKSAKEGEPFWESPWGHGRPGWHIECSAMSKQYLGPVFDIHGGGRDLIFPHHENELAQSRAAYPESEVKCWMHNGYVMNNGKKMAKADKNFFTIRDIIARYHPMALRLFLMRTHYRSDMNHSDTALEFASGRVYYIYQALQECEEVISLYRGDKLDVPVPACDQKVVDDSHENFLKHMSNDLHTTAALDELMKPVRAINSNLSDLKKLLQKLEQQKKAQSGKKQQQPKQPEKKEQQQKKNQAEEKQQGQYVQALVALHGEVTSKLSLLGLMPSSSSLAEVLKELKQKALKRAQMTEEELQQVIEQRRVARKNKDFAESDRIRRELSARGIALMDEPTETLWKPAEPELVEEPLASLTLQAG >cds.KYUSt_chr5.2088 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14176702:14179556:1 gene:KYUSg_chr5.2088 transcript:KYUSt_chr5.2088 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGISKHGTGKWRTILKDPEFSSILRYRSNVDLKDKWRNMNVTVNASGSREKVRATPTTPAVKKLRCAPKQESTSAAAAAIMPVVSDGDEEMAEAAPLLTISAPGKSLSRLENIILEAVKTLNEPTGSYKTAIANYIEEQYWPPADFDHVLSAKLNELTSSGKLIKVNRKYRLAPSSSLLEGRSSKMLLLEDIQREPVKVERVERDEFAARTKSQVDAELARMRNMTAEEAAAAAARAVAEAEAIMAEAEAAVREAEAAEADAVAAQAFAEAAMSTLKSRSSTKLIIFSCIATLHHLLDASKNIHFASVKSFEVETSHEAVVGSAFL >cds.KYUSt_chr2.16490 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103746440:103746769:-1 gene:KYUSg_chr2.16490 transcript:KYUSt_chr2.16490 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVGRPPHGRTRAGLPLSWVLVWGDFEAEGEDGHRSEKHRVASLSQQRRRTWRSQDGRVLPDRTVDGRKQQEAGYTDQPAGRGTRWASRSGAMLGEVVLDAGPQARR >cds.KYUSt_chr4.49953 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309466358:309469124:-1 gene:KYUSg_chr4.49953 transcript:KYUSt_chr4.49953 gene_biotype:protein_coding transcript_biotype:protein_coding METALGEGRKLPHHVSGRMTAEASPERGRPVYPPARSAPARPMRRVQIIYYLCRNGQLEHPHFMELAQHPHQPLRLKDVMDKLTVLRGKGMPALFSWSCKRNYKNGYVWNDLSDNDVIYPSDGVEYVLKGSEIFPGCSSVAADRFQHLRVTDRSPTKPPLALPAHSHKQYVENGYRDDAGEEDPEDEEQLGYPYHRRAVAAARLARHDNKPVSVRTSRGHPVELPVEETSPPSSTSSDKPPVPRQASRCEGEEGEPNRAGSMLLQLIACGSATAGSAKCGGGRAEPRRSCGLVSRLSSRAGAEEDEEDEEGEGGELRRRLGQMRVDEKYFSGSIVESGGRGTPLPASSLKRSNSYNEERSSRLGAGAIGAEAADERTIPEDGMMRGRCIPGRKRQQQK >cds.KYUSt_chr7.38737 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241052805:241054243:-1 gene:KYUSg_chr7.38737 transcript:KYUSt_chr7.38737 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNAHATMTTSPPPSMGQLITVLTIDGGGIRGLIPSTILAFLESKLQELDGRDARIADYFDVIAGTSTGALVATMLAAPDENKRPLFAAKDINKFYLDNGPMIFPQKSYGLLNPVANLLGEVTGPKYNGKFLHDKIKNLTNDVTIADTVTNMIVPTFDIKALQPVLFNTYEAKNEPLKNAHLSDICISTSAAPTYFPAHYFKTYDPLGKIPEREYNLVDGGVAANNPTMAAMSMITKEVIRRNPDFNLGNPADCRNYLIISIGTGSAKQAEMYTAPDCAKWGLLQWLHNGSFTPIIDIFSHASADMVDIHATVLFKALRVEKNYLRIQDDSLHGNLASVDIATKENMEALIDIGDKLLKKKVARVNIDNGKYEFVDDEGTNEEALGRFASKLSEERKLRMRQATLG >cds.KYUSt_chr7.6905 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41644285:41651103:1 gene:KYUSg_chr7.6905 transcript:KYUSt_chr7.6905 gene_biotype:protein_coding transcript_biotype:protein_coding MRVARAIGWADVADALREHLQVCCISLGLLLMAAVCPHVAPLSAVGRLPAALIAVAFPLVGVSAALDALVDIADGKINIHVLMALAAFASIFMGNSLEGGLLLAMFNLAHIAEEYFTSKSMYDVRELKENHPEFALLLETRGDDSVHFSNLSYTKVPVHDLEVDSHILVRAGEAVPVDGEVYQGSSTITIEHLTGETKPVERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEHYSKVVVALSLAVALLGPFLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSSCQSIAFDKTGTLTTGKLMCKAIEPIHGHLDVSHGVNDPSCCTPNCESEALAVAAAMEKGTTHPIGRAVLNHSVGRDLPVVAVESFESLPGRGVVATLSGIKAKNSENELAKASIGSVEYISSLYRSNGESEQIKQAVKCSAFGPEFVQAALSVDKKVTLFHFEDEPRSGVCEVIYTLREKAKLRIMMLTGDHKSSAMRVAKAVCIDEVHFSLKPEDKLNKVKAVSREGGGGLIMVGDGINDAPALAAATVGIVLAQRASATAVAVADILLLQDNLCVVPFCIAKARQTTSLVKQSVALALTCIVFAALPSVLGFLPLWLTELACIGFGGHLYLMSLDSQIFCDLFMVFLYFSMKEEPF >cds.KYUSt_chr4.33386 pep primary_assembly:MPB_Lper_Kyuss_1697:4:204760640:204761549:1 gene:KYUSg_chr4.33386 transcript:KYUSt_chr4.33386 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPTARTHVEGGPASPSSATVNRGRGEGDSKVLGLPFASGGRFGAARWRGAPDLAVRVYSPRVLGGWSRCGGSGAGGCAGDDDQFVELLSRLHLRDGKDGSILAVESKSPVQELALSEASGLGLWELVVALVFCSWCGGRWRWRGCGGSGKFPADVPSSSSIPAVAGCFLRFFKAASLRTCPVWLRLGGALVVFVFGGFRVVPLFVDNKLCGGSSFCFLCFSACVRFNLLQL >cds.KYUSt_chr4.17416 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108969000:108969743:1 gene:KYUSg_chr4.17416 transcript:KYUSt_chr4.17416 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFLFGLLLIACVVTAGGDGGARALPHLELPGTLDSARAHVSDAPAPPQVLMDIMSTTGCGRFAALVAATPNVSDVFQQRLVAGGGGLTLFCPNDKAVDAFEPTFRLLPDGVQANVLLQHGAAARYVRAQLTPFEWVAVPTLAVADNATVLVRDRGDSIRLWLGPTWPRVGQATVTKTISSSEAPLVLYVVDGVLVRRQEPDGRDEASACGDLLGFVVPGGAVVLFRYITDVLVPSYRRRRSIRA >cds.KYUSt_chr7.10983 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67516823:67518462:1 gene:KYUSg_chr7.10983 transcript:KYUSt_chr7.10983 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAAAAGHGGKDRLNGLHDDLLHQIISHLPFTDAARTSVLASRWRHLWRSTPLAIDDAHLPVPARATSAVARFLEEHPGPFRTVRLRHCRFASLDPTWPRLLAGKGTLQELALHNKVGKVQPYPARLPAEILRCASLRCLSLALWEFPGDLSGGADIILPQLRVLELINIYISKEDLDTLLAFSPVLETLTVRGPWAFRSGAFWNYHKGKRFHLRSQSLRSVVLGLSGVEQFEVTDAPVLESLILKEQSAAGGDDGGSISIAFAPNLRVLGYLEPRVHTLQIAGNVIRSDTVASPSVVVPGLKILALRVNFRVLREVKMLASFLRCFPNIDTLRIESALHDPSAIHYGVTREQHAELWQEASALKCSISHLKRMVFHKFRGHPNEIEFLKFIATDAHELESLLLVPLKGSFTSAAQVNEIIDKLDCPQFLAWASKVLIVSPKMDIARNLHKASDLSINDPFCY >cds.KYUSt_scaffold_2697.929 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:5907923:5911036:-1 gene:KYUSg_scaffold_2697.929 transcript:KYUSt_scaffold_2697.929 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAPAAAADSPGEAPPAAKKTGQVKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKEMKGGFIHKLLVDKPWLGLVVLFFAMPIVVLVLLGGSPVLTDFSITPEQRKAFSQGLGLLEQQEQQRFGNDLSGGLVGSPGPTYNDSRSQKTATRDDGKWIADEKRPLYSSYECKRWIPKKYNCGAMGRTDLSFESYRWQPHGCEMPELSGPNFLDRMRNKTLAFIGDSLGKQQFMSMMCIATKGKHSPKVEDVGWKYGLVKAPPARRIDGWAYRFPGTNTTVLFYWSPSLCELEALMNTTRSAKSYALHLDRPARFLKKYLHSFDTLVLNTGHHWNKVRFSINRWKLYADGKPLGNGTLPEDLGPFRNLKLHNIVRWLDSELIRRPYMKVFLRTNSPRHFLHGDWNTGGSCNNTTPLSAGSEVLEDHSSDLPAEHAVTGTRVKLLDITSISQLRSEGHIADHTLKSLSVKYDCSHWCLPGIPDMWNEILFAQI >cds.KYUSt_chr2.34394 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212411396:212412318:1 gene:KYUSg_chr2.34394 transcript:KYUSt_chr2.34394 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASSCVFLRSSKPQQSTVLRGGGINGASISSSSSRLLSVSCNASSSPPPPPQDDSECNDVECAPEKEIGSLSVEWLAEERTQVVGTFPPKKKGWTGLVEKDTAGQTNIYSVEPAVYVAESAISSGTAGTSSEGSENTAALVAGLALIVVAGASSILIQVSKNQPPVQTPYSGPPLSYYVAKFQPAAAAFSVQPSPPVVEAAAPEETPSDSPTLEASAEPSTENAEQLSS >cds.KYUSt_chr4.26975 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169405854:169406373:-1 gene:KYUSg_chr4.26975 transcript:KYUSt_chr4.26975 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLGQKGSFVPLCHQYEAYCTLGMVATNCCIGEEGSSKRTRERLPTVGHFHEDVSPTRFCKVLMTPRISMLSLLEASRLYLGPVLGKMIVKTTTGCQWEMNIKKVPHPHSMKRPDEDEK >cds.KYUSt_chr5.37134 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234878783:234881728:1 gene:KYUSg_chr5.37134 transcript:KYUSt_chr5.37134 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRLAAAAAAALLVLLLVVAGAAAVAGDLGPEEIAAKARANEEEAVLAAEVGKLRAKVSALEASLVAITLECTETLEKATGAILQNIATLQNEHGKPDVNSLLQKAKEWAKPHVETAKTKWIPVIKENWATAKTNTEPYVQMVPAKSADVYQASRDAISAHVLKAHEFADPYFQEAKKLSKPYIDQVAKASKPHVEKLKTILKPYTDKAGGAYEKFLETANLYHQQNLEFQK >cds.KYUSt_chr3.2788 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16076273:16080058:1 gene:KYUSg_chr3.2788 transcript:KYUSt_chr3.2788 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCCSPSSLREISRAAWRHAVAGIPSLTGGSHHQQQHDLRYLPLRPPSPPNAPGLAERLFSSSSTKRSTKKSAAKKDTPVNSASGGDPFYVVRKGDVIGIYKNLADCQAQVSNSVCDPSVSVFKGYSLRKETEEYLAARGLKNALYSFNAADARDELFDDLALCPFQHPDGNATSTLERPQEMETKPSKKHPKVAEQEPLPDSHHPDGNATSTLERPQEMETKPSKKHPKVAEQKPLPDSHEFNSDADAQANLAVELPGTESFSETFM >cds.KYUSt_chr1.7223 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44269558:44273031:-1 gene:KYUSg_chr1.7223 transcript:KYUSt_chr1.7223 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWQADDLLVKYQYISDFFIAIAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFSTYSKTIAVVMTVSKAATAVVSCITALMLVHIIPDLLSVKLRERYLKDKNEELDKEMGIIKTQEETGRHVHMLTHEIRSTLDRHTILRTTLVELGRTLGLAECALWMPSRSGTALHLSHTIHNTAPLGLVVPTNLPVVSKVFNSNHAESIPHTSPLASIKADTSRYVPPEVVAVRVPLLHLRNFEINDWPELSAKSFAVMVLMLPPDSARKWRTHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDAARREAEMAICARNDFLAVMNHETRTPMKAIVSLSSLLLETVLTAEQRLMIETILKSSDFLATLTNDVLDISKLGNGSLELEIAPFNLHATFTDVVNLIKPVAACKKLSVMVSLAPDLPACAIGDRKRLMQILLNIAGNSVKFTKEGHISITASIARPDSLRDPYAPNVHPVPSDGSFYLVVQVKDTGRGIIPEDMAHNFRKFAHSENATAKRHTGNGLGLALSRRFVSLMQGDIWLESEGKGKGCTATFFVRLGTPSRKPNANPRRMMPSPLQPNQGGRGPGADAHSISIMDGDTRVPRARYQSIA >cds.KYUSt_chr6.4609 pep primary_assembly:MPB_Lper_Kyuss_1697:6:26953590:26956738:1 gene:KYUSg_chr6.4609 transcript:KYUSt_chr6.4609 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPTPSADLDMVNSSSSSSGGSTASARTPGSSGSARPGNSGGSAASSRTPGSSGNSGGSARTESSSTSGGCGSAPTTIPAVEWSLAQPLGSSASAPPVLSRTIPAVDWSLPQPLGRASAPPVLSRNIQPSLPSTGTGGATPTALEESTLHLASGLESMNLTGGGGGGGGGGGEPPAVERPSTSTTVPRKRTPGRWRRIPRMWYHPPAHTPEMQAFIDKGNLVTLENIEFIKNMQEKDCHLETEEDIREFHAFKEKMVRSLTLIVQAKPENIFLSYKRFSDTREEHLTAEGMEAHILQQFPESKPCQQAKHFAELALKHYNKKRMESRKFKLATTLLSNCFSESSGTTYGHVNFTAILEEKTATQPTSKTKRLFFAELMLIPKLQADPEAEPMRVVHVYVIDDDYCYGGCKKIFRKIDHKMRREMDYERCHACSDLIKHPKGQLFDGGHNSSRMPYFSAV >cds.KYUSt_chr7.36807 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230004982:230007240:-1 gene:KYUSg_chr7.36807 transcript:KYUSt_chr7.36807 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARSINAACFAVAAATLFAFSFASLVWQLAFSTKRRWMSNAHSALVIPSVCSLALDVCATLFFAGAGLAARVSGADVRGETYCLVFIVGRWSKAKACVGEIAGIGAHGEGKRAPRSVQASRQLHLHLHPIVLVPGYASNELDARLTQLYRPSSLRCGERKGQGWFRLFLNQSALRDPAGVRCFGEQMSTVYDAASDDYYNVPGVETRVPFFGSTKAFRYPDPDDKNFSYMEKLVERLEKMGYRDGETMFGAPYDFRYAVAPVGRPSRVGSAFFRALKSLVERASQLNGGRPVIIFTHSYGGTLAQQFLVRRPLPWRRRFVRRFVPVAAPWGGLVLGMQVLLSGNNLALPFVDPLALRQEYRSLQSSLWPLPSPAVFGTAQPLVTTKSRNYSAGDVADFLGAIGLGEAVEPYESRVLPLFGELPAPLRVPVSCVVGVGVGTPERMVYPGDDFDVTPGVVVGDGDGLVNLASLVAVETAWRRAGHFRMVKVPNVSHTGILLEDRALDIVILEIKLANLISPKI >cds.KYUSt_chr4.4095 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23447905:23448117:1 gene:KYUSg_chr4.4095 transcript:KYUSt_chr4.4095 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRYSYEEEQRRSLRSAQPEVGAVIDGRLKVAARELDYPCAWRGGQRQVVTLLAADADLFQTGNGRVSG >cds.KYUSt_chr5.41075 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259207337:259208112:-1 gene:KYUSg_chr5.41075 transcript:KYUSt_chr5.41075 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAAATAAGGVARSLVAAAVGAAGTVIGAAVGLLTGFFNENGLLRAALIGAVTGALVSVDLADALLRIWTSCDDCSMDTRIKRTRLVLRTFAAGRHLRGCVFPTISGALDAQMDALPHQSAHHYFGSSLADGDDLFEPSSAVMAARRAVVESLPSTTLTKETAAAGLHTTCPICLYVSTHTCLSCYLPSDRY >cds.KYUSt_chr4.18041 pep primary_assembly:MPB_Lper_Kyuss_1697:4:113110594:113110920:-1 gene:KYUSg_chr4.18041 transcript:KYUSt_chr4.18041 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLCFQFQAISSSLAMSSSSFSLPSEPPSPNMVGVWLCQISSRLHGGRTELEASSKNGAQAKKEKAADAAAIGAKDGLPVVATCRGGAGAVMPEATVCLLLDRFAPS >cds.KYUSt_chr1.28585 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172781385:172782890:1 gene:KYUSg_chr1.28585 transcript:KYUSt_chr1.28585 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPAHHLLPLLHRRHLHRSVHLPYTSVPIPPDATPSTSIPSPPPLHPAPWPPSYSPTSTPLPPFTASHLRAAVSSLAAALLALPDPDPDPAPALHAHSFPTLLAVSPLASTELLSLLRPKPFLGLAVFSFRRALSPPPTLPEFSLAISLASRARDPTTAASIFADASTAYCPDLPLYNALMSAYMHSGLVNRCIEAFRALQRDPRCGPPSADSYNILIALFGRSLLVDHMEATLQSLDASGQCRTVGTYNAIIAGYLTAWMWDRMEAVFSEMMSGGQVSPDTTTHLLMLRGYAHAGKIYKMEQAYQRAREHAGKVDVVHIRAMLCAYCKFEHVDRIQKIEKLLQKLRPDDYRPWLNVLLIRVYAKEGLVEGMERRITEALERNTTVCTTQVMRSIITSYFQSDAVDRLAHFVRQAEEAGWKLCRSLYHCKMVMYGKHHRLAEMHGVLDEMEHFRFDRTKKTFWIMHKAYRNCGMDTEADTVLGMMWKHGFGAPSDLFVQ >cds.KYUSt_chr7.3956 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23651026:23651484:-1 gene:KYUSg_chr7.3956 transcript:KYUSt_chr7.3956 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDGRGGAEVRCRESRQDRIRRSRMSQRVKCVHVYTCGHLRVSESSLFPSARLREDLLQLKRVEVEGLSGEGYHHGRRRNKRIAGSCQRASTKWSMCLDERIKVKYEGGGKPVKGSLFNEDLSLHEAGVDGVVHEVVGMYCARVDGVFHGC >cds.KYUSt_chr3.48616 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303969879:303972434:1 gene:KYUSg_chr3.48616 transcript:KYUSt_chr3.48616 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSISMTLLLPALLVVAMSAEALTVRPGCVDRCGNVSIPYPFGIGKDCFRGDGFEISCGKDSVPLLLGTGQNMDMRVLNLSLSPYPVARVMLPVAWQCFNSADTVINLKPDGVHRISRDHNELVALGCNTVGDGACWGVGCCRADLPLGLTNIIVTLSISNSTKLDDCPCNYAFIVDKSHYSFQKADLHMNGSQTSMPLSLDWAIRDNGNLSWTCAEAATRPGYACKSVRSECVNSNNGPGYTCNCIKGYEGNAYVDNGCIDIDECARPEEYSCHGTCENIDGHYKCDCRTGYDSKDAYTQSCNPKFPLPAQISIGVIGGILLLAFLSFIIIIRKERRMRHELYRRNGGPILEKASIIKLFKKEDLTPILKPSNIIGKGGFGEVYKGLIDGVWVAVKKPISGNQMESNQFANEVIIQSKVIHKNIVRLIGCCLEVDTPMLVYEFISQGSMDDILHGGAKKPLGLDARINIAAASAHGLAYMHSQANTIILHGDVKPANILLDDNFMPKISDFGISRLIARDNEHAALVIGDMTYMDPVYMQSGLLTEKSDVYSFGVVILELISRKKATQPGNSSLVNRFLENHKKGKKSTELFDMEIAEGAEELLHSLTELAIKCLDLEVDQRPTMSEVAEQLVALTRSHQV >cds.KYUSt_chr7.11886 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72982793:72986856:-1 gene:KYUSg_chr7.11886 transcript:KYUSt_chr7.11886 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLTAIPGGGGGAVAAAAAAVGTVAAAAALAEKAGIVEMGHKGRSNAPPAVPGLPIIGNLHQLKERKPHKTFSNWSETYGPIYTIKTGSSSVAVLNSREVAKEAMVTKFSSISTRKLPTAISVLSHDKSMVAVSDYNDFHKMVKRLIMAGMLGVSAQSIGEDVSSVYVEEFGREVSKEEIYQATVVDMMMCAIEVDWRDFFPYFSWVPNKSFEERVFTAESRRTAMVLALVRQQKKRIEHGEAKISYLDLMLSENGDLTDDQLTMLVWEALIESTDTTLEIQQVCGDKTVTEDDLRRLPFLNAVFNETLRYYSPVPLVPPRFVHETTELGGYEVPAGTQMFINLYGCNMNKKDWEEPEQWRPERFLDGSFSDVDLFKTMAFGGGKRVCSGAMQATNISCTAIARLVQEFAWRLKEGDENNVETISLTSFKLHPLHVHVSPRATK >cds.KYUSt_chr7.36110 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225684667:225685452:1 gene:KYUSg_chr7.36110 transcript:KYUSt_chr7.36110 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGDLKLLGMVVSPFVVRVRMALHLKGVSYEYIEQDLFNKGELLLKYNPVHKKVPVLIHNGKPICESLAIVQYVDEALAASSPSILPADPHDRAVGRFWAAYVDDKFFPAWIGIMRAETEEDRAKKMSETAAVVEQLEAALAQCSNGKAFFSGDSVGYLDIAVGCNLFWLDAMRKMFGVVVIDAARTPVLAAWADRFKESDVGKEVLPDGDIAVEYAKKIQAYRAAAAASK >cds.KYUSt_chr7.38723 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240998688:240999869:1 gene:KYUSg_chr7.38723 transcript:KYUSt_chr7.38723 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWQLSAGGVPIPPPPRGHALDVAIEEARMTMTDEERADPRHHPDNYTRWNSYFLRRWERELAAYDGPPPPPARNNAAGHRRWWSAPERSLANVIAHIEAGNSPVLTMPPPSASRASASRRRGSAWQPRRMAASSSSSGSASRSSLAPVKREEATSPSTPVRVKKEPASLPTTRGRSSGALVIREQPSAPQSGRKKTKKEAAASQLAEEEAKRAEDAAMAEAIAGSPHDMEEEKRADDAAPDRAERDRERQRAEEQARSAGPGRRTPTRRRAAPTANDDVARYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQAAQPKANDDGSDDDGGDYTVFYRHFGM >cds.KYUSt_chr3.38255 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240932711:240933089:-1 gene:KYUSg_chr3.38255 transcript:KYUSt_chr3.38255 gene_biotype:protein_coding transcript_biotype:protein_coding RRPNQIKGRFFASPPDPPLFAGGCTLQLADGAVAGTRGYIAPEYSAGREATRRTDVFAFGTLVLEVVTGRHALLDDPACPLLSDWVWRLHGRSTVLGAVEQGLGIAEFDEYEARRLLLLGSTMIL >cds.KYUSt_scaffold_869.1578 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:10184895:10188847:1 gene:KYUSg_scaffold_869.1578 transcript:KYUSt_scaffold_869.1578 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLWKTLMNKFNIKLLFSSSSHPQTDGQTKVVNRSLSTLLRVIVKKNLKSWEDCIPYAEFSYNRAKHSTTIRSPFMVVYGFEPPTAIDLLPLPLHEQVNMNIDKRAQYMKKLHEDTRATIEKQVLRQATRLNMKKKTRIFNEGDLVWIHLRTDCFPQERNSKLKSRGDGPFKVLKRINNNVYVIDIPHPSTWYIDQDASHGDQAKEEGAEDEEDGAPAPLPEVPPPGLELPPKCLPDVVRPPVKRIQPELPPRATGTSAHRNFRPSSEIKLRAPGSNAKPNAYRQIRPCASIRNPVRHDLRLPKTRRCSSSERGGQHRSNAAVRVNGAAHRTPLQVGSALETSINRSLAELSAPLLTTVDGQERSRQNIPTEKQAEDPFRQAQIVEGGVRYQQTVVVRSYEVGPDKTATLETLLNLLQETALNHVWMSGLLGDGFGATHGMIKNNLIWVVSRMQVQVEHYPIWGEVLEIDTWVGSSGKNGMRRDWLIRGRGSGNVFVRATSTWVMMNKNTRRLSKMPDEVRAEISPWFIDRHAIQDEATEKIIKLDSTAKHVESNLKPKRSDLDMNQHVNNVKYVRWMLETIPDQFLQHHQLRSIILEYRKECGSSDVVQSICQPDEGSISPGENVNMVRGPLLLPEIINGHHSLAGALHQWPTKYTHLLQLKASDGYEEIVRGRTTWKKKL >cds.KYUSt_chr3.39974 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251940441:251940701:1 gene:KYUSg_chr3.39974 transcript:KYUSt_chr3.39974 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSLLDGRRLLIQDNCRELAVALALLFKASRGRHSCMLSDFKCRTAAGEAHAANWFMSEWFTSRKLGAASAPRRWTRRTAAPAGT >cds.KYUSt_chr5.40044 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253010271:253010741:-1 gene:KYUSg_chr5.40044 transcript:KYUSt_chr5.40044 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAAMMMSEEDDFRDMAEEGVDRSFDENLRIFRSETTRLLGEMERQMESPPADRDLPYVFSQLGWAQGLEDKTVNVIEYLAADRDCCHRGAAHFAAKEPALAHTLRRKAAWSDATRAEVVEIAAGARVLRGTCLKVVAEAEEDDNFPNVISMGR >cds.KYUSt_chr7.11373 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69880809:69889508:-1 gene:KYUSg_chr7.11373 transcript:KYUSt_chr7.11373 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLLVLVLAAAAAVANAGAESVLRSVTDAPDADALFCDSWMLSVETGNAGPWRQVPARCGASVRAYMEGDRYASDSAVAAAESLAFASQAFASGEGGAKPAWVFDVDETLLSNAPYYAQSGWGLVEFNETSFDAWVDVAKAPALPSSLKLYNELQGLGFHIILLTGRSESQRNATEENLLFAGYHSWEKLILSGGDGGDDDGDDGDDDGDDVQLDDGDDGVDFPLREGISPADFSLPESSFLSGVFRPAEAAVTLRDYPLELRFSGRRSMRRRGGQRGLWAKWSWPSLENVVQLAAMVAKHVASLPPPLPPHAPPKVVWHSQMVPPPSQSARH >cds.KYUSt_chr5.12504 pep primary_assembly:MPB_Lper_Kyuss_1697:5:81468853:81469812:1 gene:KYUSg_chr5.12504 transcript:KYUSt_chr5.12504 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSHADGFRAVPTAPPSPGAPQQPVFHIPPMDWLLGGPVAPFLGEEDDFDAALVPPPPGIFLDNGMDSGVAFCPEHGYGPCPARRGIAFCPMQGYGASPPPLATIFDPPTPTPSAELEEYEFLPGLGPDAYMDLPTPTPEHFMPPGYNPVPELDSPPLDKETSAPVAVAPLAFDLNVQPEDEETSAPITAAPLAFDLNVQPEDEETSAPVAAASLAFDLNVEPEDEETRAPVAAAPFALDLKAEAEPKDEETGAQAPQPAGPATPPPEARRLLRRFVVAMASRQPGFRAGSWNPASLGFSNEPEHGESSAGRCLAERR >cds.KYUSt_chr6.25223 pep primary_assembly:MPB_Lper_Kyuss_1697:6:159813274:159816433:1 gene:KYUSg_chr6.25223 transcript:KYUSt_chr6.25223 gene_biotype:protein_coding transcript_biotype:protein_coding MILLSTDQTVTLCLLNDRRHHSEEEDDDDARPIGYDGHLDVDENALKSDEAMWAFYEYWCKYHGISRDRREMERRFKRFSNIARIVHKFNSTSDWSAEASMTIFSDMTKEERFLEFFLTSPSTDLFVGRRLTISPANPFFCNFAASDSGVAQIYMSSEESLPAATSSNQGSSTSDGLTEDLARMDLESSKDQEAGTSSRAPGKDLSGKTRGAWRGSDVTQYEIDWLYRSRRIPEGVTCRLPGDEIEPVLEPGEVVVFLAHFERGFGLPASDFFRQFLDFYRLQPHHLPGNAVFLSFLFCGLHGGLHRH >cds.KYUSt_chr7.12655 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77895618:77897343:1 gene:KYUSg_chr7.12655 transcript:KYUSt_chr7.12655 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEIRGRPSACSSIYTPQTHQDTKPAKLSLDMGSFAKAARFLVLLQIAMFVVSAVIMNSSVCHGARDISGGIGYPSLNPNHPPCARKPCPSPGEGKLERMNRTVSNPAIASALLAFGQKWIKIRIKRISSQANILTPS >cds.KYUSt_chr4.22276 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139935484:139937589:-1 gene:KYUSg_chr4.22276 transcript:KYUSt_chr4.22276 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKMLADLLRASARSAAIRGGVQLHGALLKLGFGSDTMLSNNLVDMYAKCGKLDMAREVFDRMPERNVVSWTALMVGFLQQGDARECLRLFGAMWRLSEAAPNEFTLSATLKACGVVGDTGAGVIVHGGCVRMGFEGHGVIANSLVLLYSKGGRVGDARRVFDGAASRNLVAWNAMISGYAHAGHGRDALLVFREMQRRRDEEGGQPDEFTFASLLKACSTLGAAREGSQVHAAMAIRGVSTASNAILAGALLDVYVKCRCLPAAMQMFDRLGKKNAIQWTTVIIGHAQEGQVKEALELFGRFWCSGVRADGHIMSSVVGVFADFALVEQGRQVHCYTVKDPTGQDVSVANSLVDMYLKCGLSDEAERRFRETLARNVVSWTTMINGLGKHGHGREAIDMFEEMRAEGVEPDEVAYLALLSACSHSGLVEECRQYFSIIRQDRRLRLRAEHYACMVDLLGRAGELGEAKDLIATMPMAPTVGVWQTLLGACRVHKNVAVGREVGDTLLAMDGDNPANYVMLSNIFAEAREWRECQRVRETMRRRGLKKQGGCSWVEVGKEAHFFYGGGDDMHPRITDLRLMLCDVERKMMEQLGYIPGAITGDGTQLHDVDEESRAESLRAHSERLAVGLWLLHHHEHDHGEVEGKEEVIRVYKNLRVCSDCHEFFKGLSSVVGRTLVVRDANRFHRFQDGACSCKDYW >cds.KYUSt_chr7.10459 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64167801:64168409:1 gene:KYUSg_chr7.10459 transcript:KYUSt_chr7.10459 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHAYSHSSMSPSTPAAQQKESSGHHAMVPSRTTATRPAIKIIHIVAPEIIKTDAASFRDLVQRLTGRQHKQPPTAVEDNNSKARTMCVAPTPSSPVEEKPQKKRLPATAALAEDFVVQEENRRRKKIKCEVVKLEEGEFGFGSDLDLGELWMDLNPGGFLSFLEDEVFQGTMAPEFLQPPPGLGSSRMDLIGEMCAPYLA >cds.KYUSt_chr2.46224 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288647102:288650436:1 gene:KYUSg_chr2.46224 transcript:KYUSt_chr2.46224 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHGGAFALVALCLLELALLGAGAGDGEPLVPAMFVFGDSTVDVGNNNFLPGCSDDCRANYARYGVDYPSHAPTGRFSNGYNLADHIARFLGFAESPPAYGSLPPEGIIEQMRSGINFASGGSGLQDVTGKALCGQVHSMSDQLDSFASVVQMLGNCSSDLVSRSLVFISVGSNDLFEYVGGNATSCPNRNDTAFLQGLVAAYRSYLQELYAAGARKFSIVSPSLVGCCPSQRSAGWLKDDLDGYYCFGTANRLSRQLYRMLLSMLQDLSAGLAGMNFSICDSAAMADSVFNSTGSSSNAMNVVDTGCCGGAGILGLAKCDKSATLCPDRAAYLFWDGFHPTETASAVAALALFADSGRYVHPINITRLAAL >cds.KYUSt_chr3.45920 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289096366:289099740:1 gene:KYUSg_chr3.45920 transcript:KYUSt_chr3.45920 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIEKTPGTVSLAEGPDGEGGIETEVGKLQWEHDDTMDCLHEALFDCKDEWIQGWEVDRHNWRYNHPIGLGRRCRDRCVAAAAAAAATTAGAASMGATVDAAASSPAAASSDDAASSGGAANTVASAHAAAFSTAAASSDGAASGAATSAVGYAAQRRLDIGASLVQSGRDGGGGEGNQEERCCSRPATLA >cds.KYUSt_chr4.1515 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7989876:7996747:-1 gene:KYUSg_chr4.1515 transcript:KYUSt_chr4.1515 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEERKSLDPAPSTQPQAPRKISGDPTGLLKEMSAVKLFSASVSNHMSSTEKRVTIRSRRDLVSQGRLRHDPYQETVASELDTLLQKLERYEMEMEDYHAKLSTWDSSREKERRRLLLEEAEGKQHDGVWIDEKRGFLDKLISRKRRANIEPGVGRWVSYLNREKKLDTLVGQKPVAPVAPKGLYLYGNVGSGKTMLMDMFYGATEGVIKHRRRFHFHEAMLEINDHMHDVWKRRDDDKSIHSTSFSWISGLPFDAKIKEWLIGEEKYKQDTQQKHILLAVADKFLVDRQANKCGASILCFDEIQTIDVFAVVALSGILNRLLSTGTVLVATSNKAPEDLNQDGMQREIFLEFLSKLDETCNKILAGTETDYRRLIPTDGSTQIHYFWPITSDTRSMYEAMWHDITNQTGGNITAVTIPVMFGRSLEIPQSCSGVARFDFEYLCGRPVGAADYIAVAKNYHTVFITDLPAMSMKIRDKARRFITLIDELYNHHCRLICLAISSIDDLFQGTEEGPLFDLESFQFETEAEGTKLRRDVFAEGKVGVGPSAKGLVSMLSGQEEMFAFRRAISRLIEMQTPLYLERVQQFRYSALHQQQCTPVLAKDRTVSQPAPV >cds.KYUSt_chr6.28285 pep primary_assembly:MPB_Lper_Kyuss_1697:6:179355439:179356512:1 gene:KYUSg_chr6.28285 transcript:KYUSt_chr6.28285 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSAVVHFELECSPIDEDQLPFDRAINSDIVSAGGHDWRMACTPEDVDGFVSVYLELISEDRNVVTVIFDAAITGSDQVVGPSCFSRRRTVLVYINHRPGDYERSGFGRFIHRADLGEYAGSNGRATVMCAVSVLDDYTLTSIADAPPLQEKKGIAALLSEVGDHLGCLLDSAALSDVSFVVGGDDEAPPLRAHRAILAARSPVFKAQFCGHMLEANTDAPSLTITVPDMDSETFKTMLRFMYTDNLPAGLGHYDEGEDEALLSLLAAADRYALDRLKLLCAQKLLSNMTVDTVATLLDCAETYNCPDLKTMCIEFVLDDENFDKVVLTDSFIDLVVTRSPLLLAEMRNISFFSKK >cds.KYUSt_chr2.19526 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122852881:122853752:1 gene:KYUSg_chr2.19526 transcript:KYUSt_chr2.19526 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDTGSFILFGSLAEQRTALPTRIPPPALASTIASEERRARPCTPPYCRYPTSSPSPTTAPVRDAGKVSLGLPPSTRWMQLEARIWTEVAEQSHGRHDLDPATQRAAHMILHGCTTGSRLLHERLSPVLPPASPGYSASDRFLCIPLRRCPCISRILRQVTVHLHPRGPAAAFLSPGQGHSFLAEDGELRSSSSSSLNSGRRSIFFGPPRAVFSKDEERMAEAFSVCSHRVEQLGGRQDVSLSPISSACVVCLDVKTLNYFSMIDV >cds.KYUSt_scaffold_1854.290 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1546551:1547093:1 gene:KYUSg_scaffold_1854.290 transcript:KYUSt_scaffold_1854.290 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEEALLSATVAFSWEHEQGMLTPVLAPAMGAPDMSDSPTKPPAPATVVLPREHEPGATKPYSTPAVKPRGVPESARNAQAPTRRLSVPPPPGRPSPRGHWRAVRPEDDPFLAAYLACTKSSNGSGEAGNKRTTGAPEPKDRHQRRLSWGRLSCKRGDGAVVQSMVRISKLPELHPRDA >cds.KYUSt_chr6.16045 pep primary_assembly:MPB_Lper_Kyuss_1697:6:100936586:100938265:-1 gene:KYUSg_chr6.16045 transcript:KYUSt_chr6.16045 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDWFLVSVFAGLPADDLVRWRAVSTQWRDVITSPELFRERRRLLRPVEPLLVFHLDLLLESYAGALARYFPSQDVDAAPPQAEQPVAMLAAAPPQAEQPVANVAASPPQAEQPVAIVAADPPQAEQPVAIVAADPPQAEQPVGILAADPPQAEQPVAIVAADPPQAEQPVGILAAAPPQAEQPVAIVAAVGILAAELDQELTSTILLLCRASDLVEWRRVCKLWRTIISSNIFMVAHRDLHRHEPAAMLMLTPNPARWVAAAFRGRPLPAPRTRDALYLETLQNIGTDPRSEPLLLVDGDHRMLNVHACLDSVLLMSYNGHLIAVNPVTRRGILLPPIHTGASILALFRGAHHQDFQPDYRILYCNTERPVGAYGSFSIATRQSMSLGCPATSRAVEYILRERMPNELGPPLVVDQTIHWLAGTMILCFDTILSQFGLLAPPPTDVHSPPNQLLEIQGVLAVATFGYPEPILEVWVQRPEWHRLHHIRLPVNEVTGIQPFDFYDYYVVSADGQVLVDMDGYVLHFGSNGVLLGRYERRDYHVTAVPFMLKKSLLPL >cds.KYUSt_chr5.31510 pep primary_assembly:MPB_Lper_Kyuss_1697:5:199665370:199666629:-1 gene:KYUSg_chr5.31510 transcript:KYUSt_chr5.31510 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAIPAFFRASPGGAAAASGSGAGPSLATSVYETRLGLAALSWSRAAFGLSLRAVLRVGALVSSSSASDYGCYDDGPELDEEAAIAVRVRPWLFWRRRGSKRFHAHDRRVDIAWDLTHARFASPGSPEPSSGYYVAVVVDGEMAVVAGDMPEEAYRKTKAQRPAAGPGHVLVSRREHVSMRDGGHGRGHKTCVTVRGKERQISLDLVSRGHGRDKETDRDKDKGAEVGLSVSVDGDRVLHIRRLRWKFRGSEKVDLGGGDRVLVSWDLHNWLFSAREPPLADAASVLAAAAPPPAQAVFVFRFELGDAAAGEERESAEAKEKELLDKARRGGGAGILSGYLGRWGRGDWSETSSNGENRRKRGQARRLAKGSSSSSASIASSSASWASSSTVMDWASQEEAELHRGDGFSLLVYAWKS >cds.KYUSt_chr4.46552 pep primary_assembly:MPB_Lper_Kyuss_1697:4:287759170:287759670:-1 gene:KYUSg_chr4.46552 transcript:KYUSt_chr4.46552 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSQTAEVDPRSGYCAATRAFRSKRADVPLPADPDLDVVTFLATRRHSGVVALVDAATGRTTTFAELGARWPAPPPRSPRRPSPSARATSRSSSPPTPSTSPSPRSPPCPSAPSSPPPTRSTPPPRSPSLCVITSNRWWAAAVEGVAARATARSRVRSSVGAA >cds.KYUSt_chr2.45252 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282185269:282185992:1 gene:KYUSg_chr2.45252 transcript:KYUSt_chr2.45252 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPELQASVIRDLVLLSCVGLRPILVHGGGPGINSWLLRVGVDPQFRNGLRVTDALTMEVVEMVLVGKVNKNLVFLINVAGGLCGKDARLITARPSPNVAALGFVGEVARGLHDVSGVLADRNDPGSLVKEMVSRRIGWAGIWWNEKVECCVRALAQGVHTASIIDGRVPRSLLLEILTDEGTGTMITG >cds.KYUSt_chr4.3873 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22218919:22219224:-1 gene:KYUSg_chr4.3873 transcript:KYUSt_chr4.3873 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLAGGLFGGTSGDALTTDAPLNEINVHLHQNTILPLQRGGTISRDARATPFTLVVAFPLGAADADAEGAVYVDDDERPAMVLYVDDDDAFPRTPSARA >cds.KYUSt_chr6.12208 pep primary_assembly:MPB_Lper_Kyuss_1697:6:76174793:76176586:-1 gene:KYUSg_chr6.12208 transcript:KYUSt_chr6.12208 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDGNNGGGGGQEEVQIQIAGSSKGATSSTGEAPPQSSPVKHWHWWLMVILNMFFLIAGQTASTLLGRFYYNQGGNSKWMSTFIQTAGFPVLLIARVLFRSRSEAATSSPEASTAKITLIYIVLGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYVLNAQKFTPMIFNSVTLLTFSSALLGVDEDSEGSGGVSQGNHVLGFVLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATLASLIGLFASGEWKTLEGEMHAFSSGKVSYVMTLLWTAISWQIASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGIKIIAMLMAIWGFISYGYQLYVDDKKSRKTSASAEEIS >cds.KYUSt_chr3.13888 pep primary_assembly:MPB_Lper_Kyuss_1697:3:83993050:83993673:1 gene:KYUSg_chr3.13888 transcript:KYUSt_chr3.13888 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAATNTTYTTLVWAMAELINQPAEMRKLQDEIRAAVVNNGGGSHVNEDHLAKLQYLRPVIKETLRLHSPLPLLLPRETLEDTELLGYRVPARTRVVINAWAIGRDPETWERAEEFVPERFVGGPVEYGVRHDDFSSVPFGGGRRGCPGVGFATPTMELALASLLYHFDWEQPGAAGVSKLDMSELYGLSVRLKANLCLVAKPWSP >cds.KYUSt_chr7.35227 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220089819:220091435:1 gene:KYUSg_chr7.35227 transcript:KYUSt_chr7.35227 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPAAVIERERLTAEMSFRVDAAQGTERSPSIIVRIRRRLPDFSRSVNLKYVKLGIRHAGSLKLYLPIMSVPVLASAAYSLVRLDVIHYSMDMLTCVAWLGSAMVLLTVYYLKRPRPVYLVEFACYKPEDEHKISKKAFLEMTKSTGCFNDETLAFQTRITSRSALGDETYLPTGVRARPPRLNMLQARLEAEAVMFGCLDALFASTGINPRRDVGILVVNCSLFNPTPSLASMIVNYYKMREDIKSFNLGGMGCSAGLIAIDLAKDMLQAHPNSYAVVLSTENITLNWYFGNDKSMLLSNCIFRMGGAAALLSNRRADAGRAKYRLLHTVRTHKGGTDEGFNCVYQREDEGGKVGVSLAKGLMAVAEDALTTNMTTLGPLVLPITELLKFLKALVMRRVFRARGVRPYIPDFRRAFEHFCVHAGGRAVLEQVQRSLSLEDEDMEPSRCALHRFGNTSSSSLWYELAYAEAKGRVKRGDRVWQIGFGSGFKCNSAVWRALRDVPAVSPAAAAPGPENRSCNPWVDCVDMYPPNTYV >cds.KYUSt_chr6.4288 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24807120:24807515:1 gene:KYUSg_chr6.4288 transcript:KYUSt_chr6.4288 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAPVLLVLAFAVVTAHGCSKTDLCANNECCSQWGFCGTGGSYCGTGCQSGPCYRTILRAVLGDPTCGREAGGRQCPGGDCCSQYGYCGTGGAYCGFRCQSGPCYGAKFPAQVAGAVTEALIDQVVPAV >cds.KYUSt_chr2.11969 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76031691:76033244:1 gene:KYUSg_chr2.11969 transcript:KYUSt_chr2.11969 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAASTALTVKDVSPHDFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYIRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGALSRNILQQLKNMGIIDLDPKGGRLITSQGRRDLDQVAGRVVAVVAE >cds.KYUSt_chr3.367 pep primary_assembly:MPB_Lper_Kyuss_1697:3:2014685:2017584:-1 gene:KYUSg_chr3.367 transcript:KYUSt_chr3.367 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPGPGQAGRGNLANVADLIEPVFILSRRHSSASVLHILVSVCVRCRKTRARHDLVDVVALALLRPSARSPSVKLRFLPPDAHVLRRLHASAADVLDTAWFSPYLVIGTTRRLPERPCSSSARSSPPSTTSNRVASTTTGVSFSVLAPCFYLACTSLLQAAFILLLQQGLHLLELVHASSVVYPGLPFVLVAGSPSFSSPTTPG >cds.KYUSt_chr1.5068 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31226997:31228369:1 gene:KYUSg_chr1.5068 transcript:KYUSt_chr1.5068 gene_biotype:protein_coding transcript_biotype:protein_coding MGWALLNPGGLLNPGWTDPGGMVPSAGSSEGTGGGMVEDPSTGSTETAGSGMVAGAGGAAPRIEVAVEEITTGRVEDDSEEDAIAAEESRARRAPAAPEDDGGGADLGKRRINQLAKMEMKKIAFAALMVAASATAVVASEEAHASDAVAAAPAAALAAVSFLAYFLY >cds.KYUSt_chr2.17975 pep primary_assembly:MPB_Lper_Kyuss_1697:2:113205418:113206020:-1 gene:KYUSg_chr2.17975 transcript:KYUSt_chr2.17975 gene_biotype:protein_coding transcript_biotype:protein_coding MEENIRNMRRRLSPASLFTDDLVFHILSRVPYISLCSFKCVSKSWLALCSDPEIRKKSPQTLSGFFYRSITTGSHHCEFRRQFTNVSGRGQPMVDPSLSFMPSYYKIIFIDSSNGLLLCRCATSFPKREYFHVVCNPATQNWIRLPETETEKMARSHVVRLAFDPAASSHFRVFLLVSHRPGLNTDVTGLTGRAGGVAIV >cds.KYUSt_chr3.40413 pep primary_assembly:MPB_Lper_Kyuss_1697:3:254698622:254699776:1 gene:KYUSg_chr3.40413 transcript:KYUSt_chr3.40413 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFLSHGAVTLSVDETIPARAFYKSWLPAAIAGKQAPRAILVVSAHWDTEATPTVNVVHGTNDTIYDFHGFPEAMYKLKYPAPGAPDVAERTKKLLEDAGFGPVSEDHGRGLDHGTWVPLMLMYPDADIPVCQLSVQSGRDGTYHYNLGKALAPLRDEGVLVIGTGTATHNLSKMGPHDAPVPQWASEFDTWLKDSLLDGRYDDVKQYEEKAPYAKVAHPTPDHFYPLHVALGAAGDESKAELIHHSWTNASISYASYRFTAKN >cds.KYUSt_chr2.5366 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33280492:33281349:-1 gene:KYUSg_chr2.5366 transcript:KYUSt_chr2.5366 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRVSLRYSSTVACRRVADRPLRLRRVVDAMRSSTRAVAMFNSLSTLAGRLRVCRGRCCPDESPTLVGVDAGDTHGCRNLLEGGVLGTSSSSLVGLCISPGETLDLFDPAMAALHVAYLGGGIVLELPLCARWLALLGDMWLFGLAGAWMRLWLTFSQGCSCLRCSLGDCCPSMAYEVLVASCCAQACSFGDGGGKRKCGVRLGGTLPFGDVGGFRCRDVTSAAGCPSTAVATFLVRGQEDVQRLSWWNSALRWCLRLSVSRHDLGSGMPFDNGCDAPSSFKV >cds.KYUSt_chr4.30381 pep primary_assembly:MPB_Lper_Kyuss_1697:4:190549392:190554065:-1 gene:KYUSg_chr4.30381 transcript:KYUSt_chr4.30381 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPLALDTRLADLCQELGVDKGVASEAAALLEEGKGALLTPSSFGSKSPQDVESLCFAFVLYCAARLKGMKEESSRVRLWKILEGCNLNYDDFFKESQQFRSKIDQILRSRYGSDWEDQLELKQLQSLVNLLADASRFYRTAFTELFSSASTSKEPGSTTNNPEYFYFGWQLFLALRSKSSELFKDLVSCIHGLVAILAILLIHMPARFRSFTIEGSSHLIKQTVRGVDLLASLCHNYHTSEDRLKEMMGKSHKAIEEFFSKKAVWASECKTETLDKIDTDGLMYFKDLLDKECFQSNLAKLEKLSTTTSWEGELDLKMFLFNNDNIVSAKNASGGPINTSYPKRVFETLASPTKTIKNMLTVPSSPASPINGGSVKIMQMTPVTSAMTTAKWFREVISSLPEKPSSKLEQFLLSCDTDLTSDITKRVSIVLEAIFPTKSSGDWGGSIGLNCTNAFDIAWAEARKLEASKLYYRVLEAICTSESLNTNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLGKIIENFVRHEESLPRELKRHLNSLEEQLLESMVWEKGSSLYNSLVVARPSLAMEINRLGLLAEPMPSLDDLVTHQNIHPVDLPTTPSKKRAAGPDDNGDAKSPKRLCNESRNTSVNQNLQTPPPKQNQMLKAKWHPLQSTFASPTVNNPVGGNAKCAELGVNIFFSKILKLAAIRIRNLCERLRHVEQTERVYNIFKQILDQQTSLFFNRHIDQIILCSLYGVAKVSQLTLTFKEIVSNYKREQQCKPEVFRRVFVGSTNRNGALGSRHVDIIVFYNEVFVPAVKPFLVALIPSVACPDDKKSPNSICSTLQYY >cds.KYUSt_chr3.37805 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237786400:237806197:1 gene:KYUSg_chr3.37805 transcript:KYUSt_chr3.37805 gene_biotype:protein_coding transcript_biotype:protein_coding MLECYYRKEKGHWKRNCSKYPADPKSGLVKKKKEDNNDEDPATYEEAMMSPDSNKWQEAMKSEMGSMYDNKVWTLVDLPDSRKAVENKWIFKRKTDADGNITVYKARLVAKGFRQIQGVDYDETFSPVAKLKSVRILLAIAAFFDYEIWQMDVKTAFLNGDIEEELYMVQPKGFVDPKNADKVGKLEAMHGGEVFNRIEYIAASEASSEAVWMKRFIVELGVVPSALDPLIIYCDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEDGEVKICKVHTDLNVADPLTKALPRAKHDQHQNAMGVRHCKNKRTPHGDQLELLGVHKAEMDLCTASQPGHGFVNIDCGWTNSTSNYTDSITGLQYYSDGDLVGGGFNHEMSPEFMAGAGNEQQKTLRSFPHGSRNCYTLKSNVNNKYLLRATFTYGNYDRLNKTLDGSLFLFGLHIGVNFWEAVNMTNWDPSLTVWKEVLTVAPDNSVSVCLINYGSGTPFISSLELRPLDDTMYTFVNTSVSISYFQRFRFGNVTDLFTRYPTDPYDRFWKRWDLTSYPWINLHTRHTVRPPPDSNNGFNVPSAILQKASTLDTNYSFISINVVQGPNLADARSLQLLPIFHFAEISESKLNRMFDIYSDGDMLFPDYTPSKYPMEMYQAGQFLQNASANFLLNKTTNSSLPPSINAFEVYSLVRMENRTTDSDDVNKIKEIKVQYNLGRISWNGDPCSPRNYIWEGLTCDYSKSLPNPRIVTLNLSSCGLKGVLAISFMNMVSLENVDLSHNNLTGAIPDYQLKSLKILNLSNNQLDGPIPDSILQRVRAGLLDLRLEGNPICSKFKDTYCSNKKKKTSIQILLIAVIVPVVLISLLVVMCILWTLCWKGKSGDNEDYAMYEEETPLHIDIRRFTYAELKLITDEFKTIVGKGGFGIVYYGTLENGDEVAVKVLMETSIAESKDFLPEVQTLSKVHHKNLVALKGYCQNKKCLALVYDFMSRGNLQQLIRGGDDYSLNWEQRLHIALDSAQGLEYLHESCTPSIVHRDVKTPNILLDKNLVGIISDFGLSRAFNDAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIITGQPPVVIEPPHTFHLPNWVRQKISKGSIHDIVDKRLLDLYDASSLQSVVDLAMNCVENAAIDSSK >cds.KYUSt_chr7.33743 pep primary_assembly:MPB_Lper_Kyuss_1697:7:210625839:210628173:-1 gene:KYUSg_chr7.33743 transcript:KYUSt_chr7.33743 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASAYEEQRRRIMEANQRKMDELRLHHLSAAVKDAIPKPSPVRSPSSFPLRLDSVRFVFLSLTRLVSLVGVPQVKSVKRKRAAPRDDAPVAVRRSDRNASNPDKPNYRYEEVYSVLDDRKKPRGRRTTSTRKDLANRVYASDEAREYATSKGEELQEKLGSDYPTFVKPLTQSHVSGGFWLGLPTDFCRKYLPKRDEFLTLVDEVDDEVESLYLALKRGLSAGWRKFSIDHELVDGDCLVFELIERTTFRCILCDINDADLYHQAKFLLQEVEGLQEIEGVRFRYALSAGALVNPVLPEGTGQEFANDD >cds.KYUSt_chr3.16321 pep primary_assembly:MPB_Lper_Kyuss_1697:3:100152100:100161430:-1 gene:KYUSg_chr3.16321 transcript:KYUSt_chr3.16321 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVTWVKVIRGGEWRWRRGGDLLRVTHAVTAVDYLQWIGTAAAFFFVTILVVAFLPGSLVLDIPAMPLPSRRASAGAGRTHPLPPPGLDSGEGLAFEPTRLRERWARERREEAESLADLGMPVKRAGARKPRLALDYEGGVCAENSGSQMGGYGSFLYPQRSLSVISQSRSPAVPPNHGNASRSPYVPVESAQKSHFVKTELDSRGKDDYCRRTSNGINGNPHQQILNRAVNGPEQKAPKIRIKVNSSRSLARNTAAVYSGLGLDISPSSSMDDSLGGSAGDPEPKNLPDASPHTILQIMTCHPIPGGLLLSPLADNIMALRKKTASVTKEHEAPEFDYDKAELNRDWCPTTSAAGDNKNKVSNKNKYVEKKDHLPSIKNSQCRHNDSTIVNKGTMPQLLDMSDDAGSVLLPRSMKTEQHSVEESEKLVADIPNHLKETKNGPLKARGHVLYSHIFMTGSAAGARDEPFVLANDVVQVFYVKDMSSRPKKTKHKETSSSDEPKRNIVFSGKRTIVGVEDKTDMSKDYNKFAEIPPFTVNIDPTIALNVEDAP >cds.KYUSt_chr2.34644 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213925362:213928053:-1 gene:KYUSg_chr2.34644 transcript:KYUSt_chr2.34644 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPDLEDGLTDYERLREENIRRNGAMLASVRRKADELSAAIRSANPKRGRGRPPGQTGKKPGIKPEPLASSIVLRSSGPSPAYLLPEPHGGGTHLSSSLASSILGAASSSPAEAKTRADDFDAGKELVLKPAHVRRVVTSRVLSMRVLPLVDRTVVAAGDKFGNIGFWDVDGVSEDQDGDGTGVLYRYLPHKSRVAAIVAHQAAPQKDNIGQWVKMEDGRSNCHAYIDFVILEQPITSSHSLHGTVRVFSMDDFDKSHEHNQAGSLLHKFKVIWGWNDTDLYVGNMSKAIDIISVDVNDSGLSARKNTCLWSEYMTSVPCQLSAHPYKVGHLACANCCGKVFLWTSA >cds.KYUSt_chr5.36809 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232712297:232715613:1 gene:KYUSg_chr5.36809 transcript:KYUSt_chr5.36809 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPTRIGKYYYKIPGTVKLLFETPSGFAIFSFDEKYLKKSIEIVWLHEFRAFEDKPNVFNLTAQTIDVSLVKMLGTHCGLDETLVVGSRGYKDIIEKRMGLKCLFDDAVKEVMWGLQNLMHTLVPQEQSKITKDDRLPMSLGLNMVLNRYKINVTQEMLNEYIIKKTSKVYATDLREKAHLKFLHRMFDEDFKEFSKVDSTYWTLSKFATALKIMFDPDGALKFGNPHKMFSHDELSMIKRDAHLYQQKLNKDLILAVYHNTVIFREGRAELLYELRCLVEQAKAALETEEVQEKPATVQQKQVDRTCVTVDLAVPNRSSLDEARRPVSAILLCGSACRPEILRSQAHLPLDVQQDPVYTFDSPM >cds.KYUSt_chr3.5681 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32134649:32135231:1 gene:KYUSg_chr3.5681 transcript:KYUSt_chr3.5681 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLEGYHWIVLKWPGTPVNIAGKTERGTGHAGRRKRGAVVAHPGVLDDEGEEAEELAGDEDEGEAGVDEGELDALELLPALASLKKTRMERRRRGGSVSQLGWARR >cds.KYUSt_chr3.30241 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189349509:189352098:1 gene:KYUSg_chr3.30241 transcript:KYUSt_chr3.30241 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCAFVRLTVDQLLLKLPAVPRPSSGAAGVHPSTSPCFCTLHLHDQPSSLARTAPVPLANACFPHGAGGPVVLSLDAAAVQRLSGRPAELVVTLHAGKSTGSAGCGAVGARRALGRVRVPVDVGRAAAGETVVARDGWVDVGKPASAARAQIHMLVRAEPDPRYVFQFGGEPECGPVVYQVPGGGAGGGQRQPVFTCRFSAGRRAAMTRSLTSESSMARSPSRKLRSWLNNLHGDGDARARRDQRKGWMVTIHDLSGSPVAAASMVTPFVPSPGSGRVSRANPGAWLILQPTAAGASSWKPWARLEAWRERGAVDALGYRLELVFDSGPVECAVPIAESSISAKRGGQFVIDPATFPEGATGGAWPFAGGFVMGSTVEGEGKASRPTVQVGVQHVACMGDVAVFVALSAAVDLCMDACKLFSHRLRKELCQDQDD >cds.KYUSt_chr2.2511 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15142389:15150583:-1 gene:KYUSg_chr2.2511 transcript:KYUSt_chr2.2511 gene_biotype:protein_coding transcript_biotype:protein_coding MRESIWRHQRGQHVKTKELIEKKGKSNAARMNKKRKEMLFKPGDMVWTLERRGRRLLKGGDDEDIPTTLLHPSLQDEDNAAVKLKSNEGANIARGEEEQLDVKLDMELDMKTFHARAREERETCAREEEEEAVQPSARPSLTGHHADRPGTRPGPTGPHAREKDDLQPPVVVLTEAEVNHQNEAEERNKKGTEIVVAEVPEEVDENAEALKEAPFWFPDGWIIHVRHDDDGSTYRFFVHLPTGMRFFSKEDVLRYVNEGAISGCDVNGLCDTTSEDNILAQVEFNPDGLPKGWVKEMIFRKCNDGVKKHPYYTDPVSHLVFRTLKSVMSYLETGEISKHACVPRRSVTDIYSFDRSQAGTSASMIPVSEPKEKEANTMEAKCKEPVSSETTKRPRGRPRKIPKQTNETDSGCAKSSDKETSHIAVKKEFDTGTGEQLSKENALRYNQMDNTAMVTLEVDNQNGLVRSPSPKSRCRPGRATDPDMHEHENDNSSEARAKSTSSTVPKVYMRRNSNQIPAFKKENSAIEDHY >cds.KYUSt_chr3.28283 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176460839:176462933:1 gene:KYUSg_chr3.28283 transcript:KYUSt_chr3.28283 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCLRNLRCLQRFPSGREVLPPLSSAKTMAQPCSRLVSWLCSDLGGKIWGVGLFFLLLYLLWLEKGGNEGATVSTNKSAAADLLLLRSCKAEPPLAGHGGEGRGDACGGLRRSFFWPAVEARRRGDDVGFLWRKGDPAIGVVIINISDLRALCLSWPAKGARERMTKIVRRLRFGGGAEGF >cds.KYUSt_chr2.33865 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209313340:209313660:1 gene:KYUSg_chr2.33865 transcript:KYUSt_chr2.33865 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTGSSQRRRPCCWKGNGPRAPSSPRPALLQPLGRHRDRDAGAIRAAGDGLADQTTVYGPWSVDDADVCEVLLYHAGLVTVAASFLVASSGAFLPAANPAGDAVL >cds.KYUSt_chr6.2172 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12818395:12819135:1 gene:KYUSg_chr6.2172 transcript:KYUSt_chr6.2172 gene_biotype:protein_coding transcript_biotype:protein_coding MILTPLVQQDDNDPDSLFEVVTRDGFIALRNLGNNKFCRSIGEENVLGASDDSISKWAKLKLEVPVKSREVSDVKFNLDEAKIYNKKIMNVVTVTRRNDTSGEIKVTFSFTKKVEMVSTWVTSGSFNIGVKAKFTLGPLVLVITGGHVRTSAEATVSLSRPTSQNNTDEAMVTEDYFIPPKTTAAGTFHAMRASCDVPYSYKQTDVLTTGEEVTTIHNDGIYTVANNYNFHFCVTDDREEIINLGG >cds.KYUSt_chr6.18140 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114175577:114176986:1 gene:KYUSg_chr6.18140 transcript:KYUSt_chr6.18140 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHDGFKHNVVRQTGTPKVSILPLVFLIFYEVSGGPFGIEDSVKAAGPLLAIVGVLFFAVLWGVPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWISGVIDNALYPVLFLDYMKSSIPAFGSGLPRTMALLILTIALTYMNYRGLTIVGWVAVLLGVFSLLPFFLMGLIAVPNIEPSRWLEMDLGNTNWGLYLNTLFWKINNWDSVSTLAGEVYNPEKTIPRALSYALIVVVGGHLYPLITCTAAAPVVREFWSDGYFAEVGTILGGFWLHSWIQAGAALSNMGNFLTEMSTDSYQLLGMAERGMLPEFFAKRSRYGTPLIGILFSALGVILLSWMSFHEIMAADNYIYCFGMILEFIAFVKHRVTHPNAPRPYKVPLGTVGAILLIVPPLMLIAMVMVLASFKVMVVSFLAVLIGLVLQPFLVYADKKQWLRFSVSKDLPDLPDSSVFRQDEMTPLLF >cds.KYUSt_chr3.27697 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173029257:173030274:1 gene:KYUSg_chr3.27697 transcript:KYUSt_chr3.27697 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLRLLVFVFLVVHASPLPLSTYDDSMCSESFRCGAVDIRYPFFLSNATQVTPDYTSNYSCGYTDLKIFCDGEGDAMAPILRLGGDSYTILNISYDKHTFILGDTDVLRGNGCPRVSHDVSFSQDWLNYTDSFDSLAFYFDCYKPGENLPSDLKDHQITCKGFRGLGFSFVFVAGSEERNVSQYYQLAAHCNNSFVVPVHEDPLLGSGQQLMLPAEYGDVLKKGFELEWKQGTEKVCNLCEQSDGRCSYSENKQFLGCLCTGGKVGVQDCNGGGATPASAEPPSIPGYLVQPSFQYTYYVLPLTDIRF >cds.KYUSt_chr6.16908 pep primary_assembly:MPB_Lper_Kyuss_1697:6:106469194:106471779:-1 gene:KYUSg_chr6.16908 transcript:KYUSt_chr6.16908 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASREMLLALLTVLAWAWPATASVASQPIGSTCQRRCGGVDIPYPFGIGHGCYLYTGENDLTFGLTCNLTATGSYAPFCGGLEVLSVSLPRGKARVRNNISAWCYNATSRSMDDQSGNLWTDVSDSSFTLSDEENRLTVVGCNSLAYVSSSSVSQFATGSDYMTGCMATCPGGAVAVRQLENGSCAGMGCCQAAIPKEINSYGVVFEEKFNTSQIKNFSRCSYAVLVEASAFDFRTTYVTTGDFMESTGGTVPLVLDWVVGKETCQEARRNATAYACVSGHSVCVDSRNGPGYLCNCSRGYEGNPYLQGGCRDINECEGGEYPCSVPGTCINTPGGSRCTCPDETTGNAYTGTCEAEKSQLGVHIAIGVSVSVVVLVISMSCAYMIHEKRSLATIKKRYFKQHGGLLLFEEMKAKQGVSFTLFTKEELEEATGKFDERNVLGNGGNGTVYKGTLKDSRTVAIKKCKLIDERQKKEFGKEMLILSQINHRNIVKLYGCCLEVEVPMLVYEFIPNGTLYQLIHGGDRHHHQGPRVSFATRLKIAHESAEALAYLHSWALPPIIHGDVKSPNILIDDNYTVKVSDFGASTLAPTDEAQFVTFVQGTCGYLDPEYMQTSKLTDRSDVYSFGVVLLELLTSRKALNLQATEGEVKNLSSHFLLAANARRLDEIVDVQIVNEESIEQIEQVAGLAKQCLEMNSEKRPSMREVAEELGRLRKLLKHPWGQMSSEEEMRGLLVGSPTPSTYSDVELSNAYVSLDDSAYLGVQSPR >cds.KYUSt_chr4.38626 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238234996:238236690:1 gene:KYUSg_chr4.38626 transcript:KYUSt_chr4.38626 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDDGSHGSSERHEIVVDAPEPTRLMDFIPIYIPTVEKGALSRSLRKRRFLDFLRARPSKDWFLRSTFVGRLRRRSQITSREEEEDHDDSDENYGECRLRRRGRFRVPFVRKIKWGKLWSSAARWCKRPANFAMIIWLAFVAAGLLLLFLLMTGMLDGAIPQDARRKDWTEVINQILNALFTIMCLYQHPTIFHHLVLLLRWRPDGDRDEVRKVYCKDGAPAEPHDRAHMLVVVALLHITCLAQYFCCALFWSYSRTDRPDWPLNIGYGVGTTFPVIAVIYMAYSPLGRKQHDTESSSAEEAHGHNGDVEIRVYNRSVVVGSPEWSGGVFDCCDDATVCTLSATCTFCVFGWNMERLGFGNMCVHTFTFILLCIAPFLVFSATALNIHDHDIRLIVVVVGVLLGFFGFLYGGYWRMQMRKRYKLPASGGRPGWAAVGDCAKWLFCWSCALAQEVRTANFYDVEDDRFVAHGARNEDGRAVLMPLPREASATYFRSISCPPKIDAMVALSDGGLSSPVVQMGDIAMERSATYNGYGANLDRQHAMRPPLPTLIQMDREECSSN >cds.KYUSt_chr1.3441 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20811712:20812948:-1 gene:KYUSg_chr1.3441 transcript:KYUSt_chr1.3441 gene_biotype:protein_coding transcript_biotype:protein_coding MRREREGAQIPCSADGRTVGRLPLRSLRRRESGGTFDLCPRLVDSRVGLPVGAGRVVVVAAVWNKVAGVSSPLRRCLVWRSREASGGRCPQIRRSGGSWCSGHGLRGGGGGHPFELLSLGLVFVTAWWLLALMRRLWGCGGLLAGVAVRRYCLCRSGLRSCGSSPAITSKKTVPSSSTRRACVAPVLEDFRLLALAKLPGAMKTAACLQCAVWAAAAVFSQVFHRTVPMLDICVVASHGRLLLARTRTRSVDGTGIRLCAYDIRDEKSRCMLPHDDVEAVHGVWFLEDAEASRPSWRRVHEAVLEDLSVWYFNSMCDMEKPVDFPGASGDFIVLEQDWRRLMRYDLDTGRKVELFNLYRDTTRLGALYRRFHAFPFFG >cds.KYUSt_chr2.41275 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256626796:256627320:-1 gene:KYUSg_chr2.41275 transcript:KYUSt_chr2.41275 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRKPLLPCRGPSPSAQLLLPIRRLLLLPRRRSSPPPSPLDRRRPLAMAGAGQPVAPAAAAAPVSATSFRVGMVRVVSFLVGGLNCAVMLLGLYLMDAVLPPGCGGGLAVAAAPVMAGVRVLAMLGTAWAQHATADAIARRHLDEEAASVAEDAVARHEIRVRCISGLRLLVR >cds.KYUSt_chr5.29837 pep primary_assembly:MPB_Lper_Kyuss_1697:5:189178199:189178937:-1 gene:KYUSg_chr5.29837 transcript:KYUSt_chr5.29837 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQGSSRGAAMATMGLMPGADGVEAAHVTPEERIKNTMELLLFPQRPGTLMENLHQRILEPERAQLTIFYDGRTFVLDDFPAEKADQLMQLAGSFAAPAASDDELVCPSMPAQHFLGGIPALQNLCVCSGMPRKASLQRFLEQRKGRIAAADPYLVAPARAAKETAKPVAVGDGGAPWLSVNCGLNLN >cds.KYUSt_chr5.2955 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19353861:19356197:1 gene:KYUSg_chr5.2955 transcript:KYUSt_chr5.2955 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTFLWIPTPKHLAIPPGWPSSMAFRLVILVLALVASLGDAATVGQEVKVMTPLNPSCSTTGNYTDGSQFKKNLDELLAALPAAAGGNGWFYDNTVGDPGSLDQVFGLIMCYADRDAAQCLECLAGASAGITTVCPGSRVVRAAYDACVLGYSPAPPFTSTANLDVPFYVTLDVPFTVDPTTMAKAWLRLMADLTWRAAGTPSRVASGSTPYDESSQVYGLVQCTRDLNASECSRCLSSLVGQLPELFQNESVGAVKAYSCYVHYELDPFDITLPPLPEAPPPSQPMSPQLGAPQLRDSSSSSRSSSRTGLLIGIAVGTVSFFVILVGFLVCLLLRRRPKEPKVHVTGEARRQKQEDVSLSNDDPAMEDVFEKGTGPKRFRYSELAIATDNFSDEMKLGEGGFGSVYRGLLKESNLEVAIKRVSKGSKQGKKEYASEVTIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDAHLYYGGKNGPVLPWQVRHEIVLGVGSALLYLHQEWEQCVLHRDIKPSNVMLDASFTAKLGDFGLARLVDHGRGAHTTVLAGTMGYMDPECMSTGRTSAESDVYSFGVMLLEIACGKRALALAGEYMVHLAQRVWQRTAMEGTLRPSIRQAVSVLRCEQPLPILPSRMPVATFVYVPLQVDASSWGSYGVTGAGGSSRMDTTTGKSVSMRSKTLVEGQVTGR >cds.KYUSt_chr2.23382 pep primary_assembly:MPB_Lper_Kyuss_1697:2:142825853:142826260:1 gene:KYUSg_chr2.23382 transcript:KYUSt_chr2.23382 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSVLGLDLRVGDALSIPSPSGLEPWSLGLENSWFPASPGGAHTHTAQTAESRQRGLCRLPSGERSFSRRDAFSNPPFPGPRLCMSYSSPSASEMLCLSPCAPCPHRPSARASTSVADNAHRVTLRLGTPTPQ >cds.KYUSt_chr2.35266 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217648329:217649075:-1 gene:KYUSg_chr2.35266 transcript:KYUSt_chr2.35266 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSDRQSPRSRQLQLQGPRPPRLSVSKDSHKVRKPPVVPLPHGAQQPRNRQQPQQQQQPRAPVIIYDASPKVIHTQPSEFMALVQRLTGPGVGAAANSIEPQEVPPQFQPQEFLLSPTAGLSPAARYAAIETSVRPLPPGPAPYAGSWVDLDGLAEVLGPGRPGILSPLPSTLPPAASAGLFSPLPFDTSAASLAWLNDLSPFLPSAAARDAPFASSPGAGMLLATPTMPSPGMMMRFFTDFPDL >cds.KYUSt_chr2.3137 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18407421:18409502:-1 gene:KYUSg_chr2.3137 transcript:KYUSt_chr2.3137 gene_biotype:protein_coding transcript_biotype:protein_coding MEESACVCLQAVQDWMRLDGGCSERKARIRVSPRSFRDAADAYTHPVLLLATLCGVPVALFCATGGAGAPRLMWDSEDGVSSRDNDSFIVCFLQIIERIEAGAGQEMAAITEVKSSVSMDVEEMDLNIESTNVDDFDNRPLKKAKSSKTCVSDDPLSSFTISTASIAPKCSDSVSLELNVSLELMNEDPLPPSPNQLALSPVSAEDDNHITNNLTYEYLP >cds.KYUSt_chr4.36923 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227057646:227068045:1 gene:KYUSg_chr4.36923 transcript:KYUSt_chr4.36923 gene_biotype:protein_coding transcript_biotype:protein_coding MELWNKLRSLDAYPKVNEDFYSRTLSGGLITIFSSIAILLLFFSEIRLYLYSATESKLTVDTSRGERLHINFDVTFPALPCSLVAIDTMDVSGEQHYDIRHDIIKKRIDQLGNVIESRKDGVGSHKIERPLQNHGGRLDHNEAYCGSCYGSEESDNQCCNTCDEVRDAYRKKGWALTNVESIDQCKREGFVQRLKDEHGEGCNIHGFVDVNKVAGNFHFAPGKGLDHAFNFLQDMLNFQPENYNISHKINKLSFGKDFPGVINPLDGVEWKQEQTTGLTGMYQYFVKVVPTIYTDIRGRKIHSNQFSVTEHFREAIGLPRPPPGVYFFYEFSPIQVDFTEENTSLLHFLTNICAIIGGCNKKKLMKDIKCQVCLEDEDEVSPQVTSRHQHNEVQVQDELTRRDHKLEACHEEMFEPYVGFKVARRRN >cds.KYUSt_chr4.52466 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325417937:325418560:1 gene:KYUSg_chr4.52466 transcript:KYUSt_chr4.52466 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRSTTGPRRGARDEPLGEPERAPRVRVSDKSPLSRSLPRPANARQAAQRAGQHVRVHVLYRSAAGGVDDQPAQLWNNGGELLAVHGLDGGELWNNGEEHVFVSTTRESRHIHRNRKYELLREEADLVGGVCARERDASAKTRRGTRVGPRQSARTTCAGPRRAHGAPLSPVGQLVAASERPTVAAACRPARPLSSPFCHITLLP >cds.KYUSt_chr4.41151 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254424792:254428011:1 gene:KYUSg_chr4.41151 transcript:KYUSt_chr4.41151 gene_biotype:protein_coding transcript_biotype:protein_coding MECRDGRGRDAKTTMVGISEGDDLVAPARFEGSYNDPITARSSDPPPPQSTASWLAGLRSRLGGTSASEDGLGILAFEAAAAMSRLVSLHRSLSDEEVRRLRGDVLRAEGVARLTSTDQSLLLRLACGELLADLDRAAATAARLGARCRGPDAPFLHSFDLVYADAKRGGGGLARLDAAVGFSRGAGKRLRKMERHVAATAKLYAEMDALTELEASERRMEQWMQHSGPIPAQSSKTKRDEPSEKLLRELRLQRQKVRRLREGSLWSVPVHKATKLMARSVLAVLARVSLAFAAVVPGLPPPLAAGRRTRPPGHSSGPMHQPTTMAPDAAIRHSAPIFLQNDAASTTTSLSQSIKPPATTVGGSGMELRYANVILSAEMLLSALRPAIRDEDVQDGMMELSMRDELYKMLPVTIRSAVKASLMERLRGQQVDDEAAAAAMDAVERVLRWLGPVAHDTLRWHDERGMERKQRFSMSPRVPMVQTLHFADRRKAEAAIVEVLVELSCVCWYQDQRRRPADWDD >cds.KYUSt_chr4.39767 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245587244:245587808:-1 gene:KYUSg_chr4.39767 transcript:KYUSt_chr4.39767 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTQKVDPVQPAAKITQQASQFKRWGRKHPFVRYGLPLISLTVFGAVGLGHLIQGGKEVTKEKEDIEWEVVETTKALSRTGPVEGAYKPKNLSLEDELKALQQKVDINSYDYKRIPKPNENK >cds.KYUSt_chr4.45901 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283892306:283899651:-1 gene:KYUSg_chr4.45901 transcript:KYUSt_chr4.45901 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAFLCAPASIGATARRLGFASPPLRPLASSPHRRGRALCCASTSSSSDAPASTPPPYVLTTPLYYVNAPPHMGSAYTTIAADAVARFQASLRTRLLEKKVIFITGTDEHGEKIATSAEASGRNPKEHCDIISNSYKALWADLDIQYDKFIRTTDPKHEAVVNDFYSRVLSSGDIYRADYEGLYCVSCEEYKDEKELAENNCCPVHLKPCAPRKEDNYFFALSKYQHKLEELLTTNPNFVRPSHRLHEVEGWIKSGLRDFSISRASVEWGIPVPNDTKQTIYVWFDALLGYLSASLDDGEQASLQQAVDRGWPASLHLIGKDILRFHAVYWPAMLMSAGISVPHAVFGHGFLTKDGMKMGKSLGNTLEPKDLVDRFGVDAVRYFFLREVEFGNDGDYSEERFINTVNAHLANTIGNLLNRTLGLLKKNCNSTLAFDSIAAADGNSFKNNVENLVDKAKGHYENLSLTSACETILEIGNLGNLYIDEQAPWSCFKQGGESAEKAAKDLVIILETMRIIAIALSPITPSLSLRIYTQLGFTEDQFSTLRWDDTKWGALKAGQAMMEPMPIFAKIETEIEEKGQASPKEGKGGKKKARSKGLVEA >cds.KYUSt_chr5.8924 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56729474:56731250:1 gene:KYUSg_chr5.8924 transcript:KYUSt_chr5.8924 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPPPRDDAAAAGSSEGGARGGFKKGRGMWGGKKRNEQRLGGKGGALSLAAFANAKSRNTGYNPALIKKQKEFYRNAKLISKYKKSSKQQDQSNRPPSFPIHEEAGDDAKDAPRPHDKMKKRTSQSLNVEYEKKRVEDEKAKKERDAMIQAKKEEREKSEAKRKELREKMFRRTRSGQPVMKYRIEHLLETAIGSSSK >cds.KYUSt_chr3.35917 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225582596:225589998:-1 gene:KYUSg_chr3.35917 transcript:KYUSt_chr3.35917 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSSSITFASSSSYLSNGSSPCSLPPGALAPPPPAADGWVSGGVEGASLARLSNNLERLLLDPDLDCSDADVDMADGGPPVPVHRCILAARSPFFYDLVRGTRRGGGGDGLLLSAAISGGGGGDGAGTGRRPRYKMEDLVPGRRVGREAFLEFTRYLYTGKLRPAPRDVVSCADHACPHDSCPPAIRFAVELMYAAWTFKISDLVAVFQRRLLNFVDKTLAEDVLPILQVAFHCELSEVLNKCVQRIARSDLDSVSLDKELPPEVADEIKKIREKSPPDDGDPIIPDPVHEKRVRRIHRALDSDDVELVKLLLNESADITLDDANALHYAAAYCDSKVVSELLEMELASLNLKNRRGYTSLHLAAMRREPAIIMRLLGKGAVASQLTNDGRLASNICRRLTRLKDYNTKMEQGQESNKDRMCIEILEREMMRNPMIVEDSVTSPLLADDLQMKLAYLENRVSLARFFFPAEAKIAMHIAHADIIPEVGGVSAASTSGKLKEVDLNETPVIQNKRLRSRADALMKTVELGRRYFPNCSQVLDKILEDHMSDGFAVLHQQNGTPDEQKVKKMRLGELKEDVRKAYNKDTADNSVYSVLSSNSSSSPPQMAAKKRSKLMETGEASGWLPPISELDSDVSFKMNFHKNAVHDYAGISNALQCVIQEKQKMQQERDARLQLTAAMDELTKRNEEKAAEIQSLKIKLQTSKASYTPGLQGSGCEHNQFGKGFSDNWLTWIKKSVAGGTLSVKVNDKVGPYFTSHKGVRQGDPFAPFLFNMAANSLAKMVHLAQSNGLITGLADNLVQKGTAILQYADDVG >cds.KYUSt_chr4.42247 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261278976:261280673:-1 gene:KYUSg_chr4.42247 transcript:KYUSt_chr4.42247 gene_biotype:protein_coding transcript_biotype:protein_coding MKLALLTHDLVLLLVLACAGIAPATAAIGGGGRWELLQRSIGVSAMHMQLLHNDRVIIFDRTDFGRSNLSLPDGRCRRNPRERVLRVDCTAHSAEYDVASNTFRPLSVFTDTWCSSGTVAPDGTLIQTGGWNDGYRNVRTMRACDKVGDEGDGSCDWNETQDALAENRWYATNQILPDGRAFIVGGRRQFNYEFFPKAEGSDTSAISLPFLVQTRDPEENNLYPFVHLNIDGNLFIFAKNRAVLLDYKRNKIVRTYPELAGGDPRNYPSSGSSVLLPLKPSPTEAEVLVCGGAPVGSYNSTKDKNFFPALATCGRIKITDVSPSWVIEAMPSPRVMGDMILLPNGAEVAIINGATDGTAGWESASTPAYAPVFYRPDHAPGDRFEEQSATDIPRLYHSSAILLRDGRVLVGGSNPHIYYNFSGVQYPTELSLEAFSPEYLDSANDVLRPTITGAPASVAYGESVTLRFEVPAAATRRRRGRGGGIGLVSVTMVAPSFTTHSFAMNQRVLLLDVARTTTGASHRAGEYEATVVMPATAVLAPPGYYMVFVVNGHIPSSEGVWVHIQ >cds.KYUSt_chr2.25434 pep primary_assembly:MPB_Lper_Kyuss_1697:2:155603752:155609998:1 gene:KYUSg_chr2.25434 transcript:KYUSt_chr2.25434 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPTPPPRRPLFDLNIAMDEFGEEEEEEPHEVLEEEVLVEEEQVLVEEEEEEEDPQEMIMEEDEEDAIVEKEVVEVAGGGGEDEGRRKRSKEYEVFVFGLPREATEDQVAGALAEAGEVDQVRLVRDPADPRHNKGFAFVRFREVWQARWAANDLRTAMIKGKTCGICKNNDNETLHLRNICFDWSKDDLAEKLKTFELENLEDINLIENPDRRGKNRGYAFLDFSSHVDAVAGFLKLQKKDLYLGTDVRAQISFSNTISQDDKIMEKVKSVFLDGLPPHWDEDDVREKFGKFGEIDSIQLARNMFTAKRKDFGFISFTTRQAALDCIDMVNKGRFGEGSAKVRMKATLQRPKPTFKKHSWQGDSHMLGVRRGFIGKNYGDREPHPNRFRHFSPERRAYSNNHAHSNYRHQPMVGRLPPMAVHDGERPVSVREYRSYYRRDSTVPDPGHKYGRMHPGTRTRDGYVESRYAHKYPKHKHAAYEASMQGDEYSRSKYRHSYVERTHSETCPECIRGDHNSSAYQNGHYSSGDKAGNRYQSQNGEEFSATSGSEKAYYKTDHELAPSTSQVASQRKEPYREVEPELLPSSPPAMCDCNECYKEQKSAAPSSSQSEIARTHLNPQVPPHRRIAKPFHDQRSFVPDEYDEVEYTIRERRGRYLSSRDESSTHPRKYPRQGR >cds.KYUSt_chr5.15255 pep primary_assembly:MPB_Lper_Kyuss_1697:5:98528042:98531404:1 gene:KYUSg_chr5.15255 transcript:KYUSt_chr5.15255 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVEDPHKSTDEGLDARMLGRTEGSSSSAQPMEIMGTHMDSVDASKRPADSTQPNEIANRKHMDSVDVMRLPMDICPEAMLEHTENLSDVTPLKDEKRRKTNLQHNKPKKANKMVIPQDYVCTSEDIDVVKLIMSAPKNTKFVDIGDALLSNNDLRCLTQDGMFLHDGVINAYIYCISDRGHLRDRAGGRVHLESTFVSSRLKRHGECEIDPSDHRRIVERMDKYLKNDMVFLPINVTASHWYVIVVNAKKRVIQVLDSLGAVVKRNAVTLTLRGLEKHLKIASQKKDFNIGEKWNDLNVTKWPVIEQFPEPMQTDGQEWVRSSKPYPIGLNLKKIQEILRVDRPMDNDCFNLGVRIVACDEILQMVETDVHYMDLRFCVLLPYASRGHFNLFSIDKQESSVSILDPLSVFPSLESKMDGYELRKNFLIHVLRCHENEAEENIPAIVHQYLRSIKE >cds.KYUSt_chr2.38095 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235866721:235867347:1 gene:KYUSg_chr2.38095 transcript:KYUSt_chr2.38095 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTFPATATAAEPADTLCLHHHQRRLPFPHFASSPLWFPDAGVRVAPTPVAVVSRRTVDDDMEEQEATSSDAGRRSDAERGGGEEDDDDEVVDVLRGAVGGAGEEKMDMLWENFNEELEALRHTGPYSKAAWGLHELSDTESEAAERGRGCAPMLRASSRAGATGQYYRRTGGSWVLLMRIFKRLFVVEKTVSAASRHRQAKAAAQ >cds.KYUSt_chr4.40561 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250381672:250382397:-1 gene:KYUSg_chr4.40561 transcript:KYUSt_chr4.40561 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPQPPSAAAAAASPAPVDALFLQNLMSRVQLRPPFLDTNSFLTQDLDDFLLNEFAALSAAAGDDHDDDDEEEEGLSDGEGSGEARRRRTLSREETKLEKEIVRMVLAGDADDALKPNSGQSVAVGDHHVCVGFHDDSGGEYRVWEWHGHVMLFDDEDGYSAEYIYGNHFEPLAAATARAKQKDKEKREKDLTSGLRDLIVGDGDTTASNNGLKLSGKGGGHRVVRRNVVNSPAAPAR >cds.KYUSt_chr4.15587 pep primary_assembly:MPB_Lper_Kyuss_1697:4:96311272:96314119:1 gene:KYUSg_chr4.15587 transcript:KYUSt_chr4.15587 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAARSPSPGQARPCSAMRRSADSSPFKPADCPHRTASISKGGAVCGCHASPRRRSFGEKENDQQRDAAAARTTPKPARASGPKNFMSPTISAASKAVAAASSPSPRKRVLGERNEEAHLFAPFSPANLAHKPKATPPPEPGSARRLRLSFDGPAPPAAAASFGEEDAGMEKENHHHAIAAADSVDAAEPSRAALYDPKTNYTSPRPRFLHYRPNPRIDMYRHGGSAARRLDEGLASSESSEESLATTTDDDITEEEQEQAQQQVPEEQSALAAAPSEAAAVPAAVSCVLPQEPAPGAPTLASEPVMMSPPARVLASEQPRASPPRTAVVTLEPAAATSPPARVLATEPRATSPPARALTPEPEPGVSSALTPEKKKKRSMLRFMFPLALILFVAAAFASVSLPPDSPIMANPAVSKVSSFLSVQELHPVELAAWLKQWSSSSLDSVTSYWEEAFTSTTQEQEYFGPHFAANFSAASADADHGADFYYNFAEAMPSDDEPIGASVLEEQLKIQDGVSASDLTALLPEGDVVLEEESLDDDAVEEEADASEYYEVVEELGVELSEEAPGSNGASFLEEQLNIQDADLMSAQTEGNAVMDEESIDAAVAEELDLEMSEEAPDNYGEEMASFSQNSDIPSEPTGEPEQDVETDESQDDHTNGKEGEEVYRGLKPDSSMWPSYMDKISKPAAGVALLVVILSAGIAVFSMRKKQTQVVSTSSAAVEEPEQPASAPAREPEQAEHQSVEDHHLVKPSQSVSGSSEGHVVRPSQFQSSMVEETERFGDSVSQYSSSLSSGQGRRRKTIEEENLTLEPASRRESTAHSTSSYGSFTTFEKINAKKKNKEDEAMTPVRRSSRLRTVKSPEA >cds.KYUSt_chr2.41761 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259877840:259878292:-1 gene:KYUSg_chr2.41761 transcript:KYUSt_chr2.41761 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVLLLLNNVSRVAFDFRRYDGPDTAQRGQIGGSSVVVKAFMGDTARSIGDPGETHHPGTVCAGGAITLEHIRVDHAHLQLRAHNYQQLGLVFLFYARLDMVLLVLCKKDELYDYKNIIQLPRESYLRVNRVRRFAAHPTLEAEHLHLE >cds.KYUSt_chr6.11327 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70172613:70183228:-1 gene:KYUSg_chr6.11327 transcript:KYUSt_chr6.11327 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDPPVMQADCQLQNDVEKMPSIAYDRKHTMPHDNYGWQGPGAHLTDDAHNPVEVNNSSSRKGANEKSDGSSENSSVSLDGLPQVNYFVPHKEKNGEDAYFNDVRFQLNINMEDNGSPSEDTNCNKEDLHHSQEEMHAPTGRVTGLRACQSNGDAKQNANVYGESKGVGSAMARKEVKTDMVGSHAVQKESHCTVEDISELANDIGLVYKKSQEENETSVSPKNGMDLFIHNNSSNGNTAGGEMDIEDHAGALWVKWRGKWQTGIRCCRVDCPLSTLKAKPTIDRKSYIVVFFPRTRTYSWVDMLLVLPIDDNPVPLVNGTHRKWRKLVKDLSVPRRFIMQKLAISMLNVGDELHTEAIIENARKATAWKEFAQEASCCGDYTDLGKMLVKLQNMILPDYTSSHWLQSSFDLWVRKCNVAHDAETVEILVEELRQAVLWDKVDELWNAPMQPELLPEWKTWKQEVMKQFFSSHSVGNTGNFEQSNSYDDPGMDQQTRRKRPKLEVRRGETHFSRMDDANCSTPSENPNGNNLPSRPVADGNIEAPVSMNQNNTLTFLSNSEPREIAESGSIIPALQNARHEFDSFKNSRQCSAYIETKGRQCGRWANDGDIYCCVHQSMHFVDHSSWEDRALTSDTAVCTGMTNLGRQCKHRAQHGSVFCKKHRTQTNLDTVSSDNLFSSAEGLHKNEESPNKGTEKDCNSNAICVVGSETASGSQVSVQVKLIPAISEEISGDKAPGLESTDLLYPISASMATANLDTHLCIGILSHDNSVECQDYAKRHTLYCDKHLPKFLKRARNGKSRLISKDIFINLLKCCASRKEKLCLHRACEFLYSFLRNNISRQHSGLDSDYMPQILAEVSKDLDVGEFLLKLISSEREKLSNLWGFGSNESKQILLDNTEGPMMVLQEEITNPSAGLKCKICFQDFSDGQCLGLHWTEVHRKETRWLFRGYSCAVCMDPFTNRKVLEKHVQERHGAQFLQYSILFRCMSCNSNFLNMDLLWQHIVSDHIHEFKLLDAPQRPKGPSVKRTEGTSIKALYDNHNPGKDDGSQNLTCRLCGLKFDLLADLGRHHQVAHMDQGTVGLVPPGRGKYQLNRGRHYYSAFKKSLRPSSSLKKRSSSGIEKHFKISSSDLSMITSQIVDSETANLGKLLDFQCSDLAQTLFSKIQKTRPHPSNLDILSVARSVCCKTSLLAALDVKYGTMPENIFVKAAKLCSDIGTQINWHQEEFICPMGCKSEYSTNTLPPLQPTQVDIPVVPSVMNPPDNDGTWGMEEYHYVLHSGDFRWKLKNERVVLCEDVSFGGEKFPIVCAIDVDAKVSLPMKPEELLQHFSSVPWQGFQYVTVRLMDSSLIDSEDCLVSCACSHAHCSPGKCDHVNLFDSVHENPVDVYGIPMHGRFAYDENSKIILQEGYPIYECNSLCACDASCPNKVLQQGLLIKMEIFRTENKGWAVRAAEPIPRGTFVCEYVGEVVKNDDAMRNIESEAKGGCSYLFDIASHIDRERVKTLGAFPYMIDATRYGNVSRFINHRLLLERNCPMTIDKSWYLAMAALAIVELRIAEAVFIDIAG >cds.KYUSt_chr5.33226 pep primary_assembly:MPB_Lper_Kyuss_1697:5:210755628:210756581:1 gene:KYUSg_chr5.33226 transcript:KYUSt_chr5.33226 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTPRSSIGHILPGAGFFAVGLWHLFSHVRLFSLRPDSYVAPVWFPVTGARYLEPALVIAGSAVELVMEMFVDHSTFLPFDADGSIPSDRLHNHEHAIICLALIVYAGAAVHLDRARAPGRRALCLLLVSVVFAQELLVFHFHSTTHAGVEGQFHWILQVVVAACLGTTLLGIGFPRSIAVSLARSACIMFHGVWLAVIGAMVWVPSMAPKGCSLVREDGRDTVRCRDKASLHRARALVNLQFGWYLSFMTIFVLAIYLYVCNKYPAEQAYARLVHKAAGDEEEQDHLELEAYKCSVHDMGGDIHVRGLSPLEIEV >cds.KYUSt_chr1.37233 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227567208:227567558:1 gene:KYUSg_chr1.37233 transcript:KYUSt_chr1.37233 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRFSATTVVIAARLPPRVLVRVARAETFRCAYSKEGLKACSAMNLSPAVLNGLGLRRMSRPWGSELVEEQMDMENTRLRRLSRALNPEWVLACVLGYIFFNPGRLQRRYNDEQ >cds.KYUSt_contig_402.366 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2257572:2258597:1 gene:KYUSg_contig_402.366 transcript:KYUSt_contig_402.366 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDPPPPAPAKPPLPWRARLLLGAVSILHSASLRADGTANRLLLSLFDRTVPPSLAPDAAGVSSSDHAVSDHLRVRLFFPAHSDGGTQLPVVVYFHGGGFVFHSAATAQFDALCRRLAASIPAVVASVDYRLAPEHRCPAAYDDGEAALRWILAGGGGALPSPPTAVFVAGDSAGGNVAHHVAARMQRGVAGLVLLQPFFGGEAPTASELRLRDAPFGEPGRMAWVWRAFLPPGATRDHEAANVPAAIRRGGEGEWRAFPPTLVCVGGWDVHQDRQRAYADALRAAGAVEATVVEFPDAIHAFYVFEDHADGERLLRDVAEFVNRRAAEHLKCARSPSE >cds.KYUSt_chr6.19279 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121300418:121301120:1 gene:KYUSg_chr6.19279 transcript:KYUSt_chr6.19279 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALLVVVLAAGCWESASAAAMLSQLKPTLAVTASPTHNQVLHGGEDVITVTWSLNATAGTDAEYKKVKVSLCYAPVSQKGREWRKTHDDLKKDKTCQFKVTEQAYAATGTVEYRVALDIPTATYFVRAYALDASGAKVAYGQTLPGATFDVISITGVTTSIKVAAGVFSTFSIASLAFFFFIEKRKKNN >cds.KYUSt_chr3.39114 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246474720:246475066:1 gene:KYUSg_chr3.39114 transcript:KYUSt_chr3.39114 gene_biotype:protein_coding transcript_biotype:protein_coding MWVDLHPLTNTTPYTVVETMSVAKAVVLFRSVALRHMLIMPKYQGPEISPIVGILTRQDRRGHNILGAFPNLANKKTAH >cds.KYUSt_chr3.22777 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140852290:140853504:1 gene:KYUSg_chr3.22777 transcript:KYUSt_chr3.22777 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSPSSTRRQDVGPRDSPPSELGGSGNGAKADVAWKTKELEHMLANLEEEGVEIDDKIASIIDDEIARIKAKAEREKNINGLKDNGVLVLYTITTVAIGFLLGADFVAKSLPAQLAKIILFGGK >cds.KYUSt_chr2.44462 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276639097:276642075:-1 gene:KYUSg_chr2.44462 transcript:KYUSt_chr2.44462 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTKELLGIEPLELCFPFETKKQISCSMQLANRTDGYIAFKVKTTSPKKYCVRPNSGIVPPRSTSDVIVTMQAQKEVPPGMQCKDKFLVQSAVVTEGLAVKDITGEMFKKESGNVVDEMKLKVLYVEPPRPPSPVREGSEEGSSPRPSFSDGVYRDEMRAHKEPEEVNFETSALISRLTEERNSAIQQNNKLREELDMVRREISKQDGGFPFVFVVAVALLGILLGFVMKR >cds.KYUSt_chr2.40473 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251505925:251514004:-1 gene:KYUSg_chr2.40473 transcript:KYUSt_chr2.40473 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKKSIDDKFSKFHPSLPVDTRIGIVGAGPSGLSAAYALAKLGYCNVTVFEKCHTVSGMCESIDIEGRTYDLGGQVIAANSAPAITHLAKEVGAEFEEMDSHKLALIDSQTGNIRDLEVAEDYVSMLSLTLKLQDEANKSGRVGIHALSGLASDPTLQFLKQHGINSVPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSMWEKLSQSLPFEVLCGTEVLRVKRNNGGANVTIKTNNGDEQIIEFEKIIFSGALGFKNGNTYRSSNLTDGENEVVELNDLEKELFSKVQTVDYYTTVVKINGFEHMPKGFYYFGEFMEDPATIGKPVAMQKFFDDTDIFLFWSYGNSADIKGSFVAKCVTDIVSSMGGTPQKVLLQRRFKYFPHVSSEDMKDGFYEKFESQLQGFQNTYYVGGLLAFELTERNASYSIETVCKHFAVDVEQTVTPYVKRLFPLSHNSNPSPPRDLGELDGVQFPDLPSLDGYLQYWGTHRVTKKNAIYTWINEEGKVMNQRTYQELHENASHIAHKLLTSTKPFIKPGDRIILIHLPGLEFIDAFFGCIRAGVIPVPVIPPDPMQRGGQALLKVENVSKVCSAVAILSTSSYHAAVRAGYVKNMVTLAKSQKCSAQWPDLPWVHTDSWIKNYRRCPDTFNSDNVLPMMTKPQPSDLCFLQFTSGSTGDAKGVMITHGALIHNVKMMKKVYRSTSKTVLISWLPQYHDMGLIGGIFTALVSGGTSILFSPMTFIRNPLLWLQTINDYHGTHSAGPNFAFELVIRRLEAEKSKVYDLSSMIFLMIAAEPVRQKTVKRFIELTQPFGFLEGALAPGYGMAENCVYICCAFGECNPVFIDWQGRVCCGYVKPDDTDIDIRIVDADSLAEHQVDGSEGEIWISSPSAGVGYWGNQELSQKTFCNQLKNHPNKMFTRTGDLGRIIDRKLFITGRIKDLIIVAGRNIYSADVEKTVENSSEVLRPGCCAVVGVPEEVLTQKGISVPDSSDQVGLVVIAEVRDGKTVSEEVIDNIKARVAEEHGVTVASVKLIKPRTISKTTSGKIRRFECMKQFVDNNLSLANGNRPSRKSLFRSLTTGTGTERRRSFLKQTVHSTIHPRHESKVKSSKEITDFLTQLVSDQSGIPKDKISPTASLPSYGFDSIAVVRAAQKLSDYLGTPVGAIDIFAASCISELASFLENLLNKSQPKMAPRPKSNGKNSEEIIEFLTQIVSDQSGIPKDKISPTGSLPSYGFDSIAVVRAAQKLSDFLGTPVGAIDIFAASCISELASFLENLLPKSQPLLASDVSFCTEDESSLAPVGVTADFSVLATGVLQLLSLTYVCFMLLLPAYLASSAYMSTLFAVSLVESSLMNYLSSLVMAPVAWMCYVFLTSLSLSILGKSFLQPNYALTPDVSIWSADFVKWWALNKAQGLAAKMLAVHLKGTIFLNYWFKMQGARIGSSVVIDTVDITDPSLLVVADGTVIAEGVLIQGHEVCNEVLSFRRIRIGREASIGPYAVLQKGTIVDDGAVILPLQKTEPEKSTYQTKRTSGGMKEETRKAAMFLEHLVCIYAVAFLGALSSATVYMLYTHFSGTEASFQHFSFACIAGSFHWLPAAMAAYPVIVQETPTSALKFALSVAFSYLSYGVILILLTSIVNTALATSTGKKYVTTSLIQRRINIAVHLRFAKMLSGTEAFCMYLRLLGAKIGRHCSIRSINPVANPELISISDGVHLGDFCNIVPGFYSSKGFTGAEIKVQENTIVGSGSLLLPGSVLQGNVILGALSVAPENSLLQRGGIYVGAQYLTLVKNTLLAEDERIEMMDPMYKKIVGNLSANLAITTMNVKSRYFHRIGVSGRGVLKMYQDIPSLPKHKLFGARKSFPVIVRHSNSLSADDDARLDARGAAVRILSDDGEVPLLDLTLKSGKAFYARTIADFATWLVCGLPAREEHVKRAPHIRDAVWTSLRDTNSYTTLHYYSNICRLLRFDEGKEMYAKFKLRPIDQDIPEESGQVEPRGILPPETGAIPRDESDTRPLLFLADDFRRKVEVPDGVRYVFQLQLREVPSNDATCDIALDCTRPWDEEEFPYMDIGEITIDSNLPAEETEKLEFNPFLRCQEVDVIPATSCKQSASIDHGRSLVYEICQRLRNGEPLPASWAAFLEQSDTKINLSGCPVAAAMHTGSNASDASETKVTLARTWYKSLWATLCQPLLQTLVPYFVLGLVIFLPFRGLLAITMATGTPLYWLLPVFWVVSGLVAMVTCAAAKWVLVGVRADGDAVHIWAPQVFLDTVWQAIRTATAEYFAELTCGSVLFAAWMRMMGSSVAVAEGVYVDSMGALLNPEMLHLEQGASVGHDALLFGHVYEGEAGKVKFGRVHVGEDGFVGSRAVAMPGVKVEDGGYLGALSLAMKEEIVRHKP >cds.KYUSt_chr2.48497 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303369044:303371255:1 gene:KYUSg_chr2.48497 transcript:KYUSt_chr2.48497 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRKEQPKEKAPTIGSNVMPYTGGDIKKSGELGKMFDLHAEKSRKSGPLGNAPSRNPSFGGAASNSGPVSNAGGRSNYSGSLSSSVPGAGGSARAKSNSGPLNKHGEPAKRSSGPQSGGVTPMSRQNSGPLPPMLPATGLITSGPISSGPLNSSGAPKRKVSGSLDSAASMKLRATSFAHNPAVTTVTTEAMLGAVAALLSWNVWRGKRGVIGYVNRYPDADLRTTKDGEYVKVTGVVTCGNFPLESSYQRIPRCVYTSTSLYEYRGWDSKTANVKHRHFSWGLRTAERHAVDFYISDFQSGLRALVKTGYGARVTPYVDDSVVIDINPENKDMSPESLRWLRERKLSSDGQTMRLKEGYIKEGSTVSVMGVVQKNDSVLMIVPPPEPASTGCQWGKCFFPSKLDGLVLRCEDTSNTDVIPV >cds.KYUSt_chr7.25883 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161618393:161623441:-1 gene:KYUSg_chr7.25883 transcript:KYUSt_chr7.25883 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPPGAWDLAELEHDAAAAPAGGPASAGGIGNAAGGRPECSVDLKLGGLGEFGAAADSRGKVPAPAAASASSSPGASKRPRAMSSGAGQQQQCPSCAVDGCRADLSKCRDYHRRHKVCEAHSKTPVVTVAGREMRFCQQCSRFHLLTEFDEAKRSCRKRLDGHNRRRRKPQPDTMNSASFMTSQQGTRFSSFPTPRSEQNWSGIIKTEESPYYAHQIPLGIGNRQQFVGSTSTFAKEGRRFPFLQEGEINFATGVVHEPSVCQPLLKTVAPPESSSSSTKMFSNGLTPVLDSDCALSLLSAPANSSSIDVGQMVQQTDHIPIAQPLFSNLQFGSSSWFSRSQASTGAVSATGFSCPVAGNEQQLNTVLSSDNNDMSYNGIFHVGGEGSSEGAPPSLPFPWQ >cds.KYUSt_chr3.33481 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210167213:210167683:-1 gene:KYUSg_chr3.33481 transcript:KYUSt_chr3.33481 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRRRPRPSSPSPVSAHPLEDDNLLHEIMLRLPPQPPYLLRTSIVSKRWRLLATDPKFLRGFRIHHRKPPLLGVFSCSCGHISFRSTLDPPYRIPPERFSLSPPIRSIQMCLDVRHGRVLIDDGMCSRVIVWDPITDDRRVVAFPPQQWTLSS >cds.KYUSt_chr4.4122 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23615900:23618371:-1 gene:KYUSg_chr4.4122 transcript:KYUSt_chr4.4122 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQDDGTSPLLPHDVVTEIFAYMPAKSVGRFRCVSRSWDATLSSALFVRLHLRQANNGDKPRFFFSPTFEPESTSKYQFYAWQPAGTPAVRKLAPNNFSRPAPLTKPLHGIVLLRCVNDGGYFLCNPSTGEVHPLPDSRAPFKMNPGCNPIDLPFYLQVAYGFGYCSANHRYKAVRIFSQEHKGAAPSCEVFVLNGDTAAYWRPAASQPPMCVVEEENPAVFMHGRLHFLCRDDGVILTFNVGDETFATLLQPPCPPGKAPLRMTELDGCLCVFRSDKDGPCHVWLLRDYVARRWEQLCCIDPTAWPEPERTQLRSDWIAPLGMCSCSGGNGSHKKIMLGTGTCKVFTVDLSGEGVPEILLKADEVIAGDFDDTFNYPAIGLFEESLVTLGNTIEDMVFSSPVTKAWSDVLKWLPARSVSQLSLVCREWRAMVTTERFVRSHAAVHATRPRIKLVSDPFLGRFTDLEDHINYPHWQCAVPFDNPGMLQCSQPCHGLNLVSCNGRDLLCNPTTGHNESVYIEEIEREDTSFAGRIALGYDSDYDDHILVSLAYEEKNMETRDYKLECNVRYLRQGWFLADPPPRPVAMDVPPVYADGKIYWVVEPKLGPCSAVCELVAFDIWDREFEVLQGPPTHGSGGRVSILELYGTICMACSDQDKNAIDVWKRNDDDSDCDDDDSNGEDGDNGGGDDENNNDDNSNGDDGDNGGSDDENNNDSHCNDDDINGEDSNGRGHDNGDWCLECRIELDKFSSEYSSQRTTLLCVDPTDGRMLLNSGTSLGYYDPKTAELETIYTIVEPEEFTKRFCPVVVHDSLVFPFMKQQ >cds.KYUSt_chr5.686 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4818297:4819745:1 gene:KYUSg_chr5.686 transcript:KYUSt_chr5.686 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPFTSSFPTTTSVFGSFLDPAYAPYSLPSAAPYHHPYPSSIPTQIDPNWSLLARPPIHGKPHLTSPPTFRYGGFSTSPSACSYPALAPSPPYSFPTPASGFPSFPAPDCGCSTSSAVCMWRSTSATIPLFCSTPNEVVPVPTPTSHADPSDSKAACVHVANEVRTTLATYGNDPASPTITNRMQPDCVSAGLNDFALQLKLAQKSLLDISQRLDEYHAQSKRSFASLRSGISKLVDMVPSSKSNTMTNRKQSVGFTPSSASIAMAESTSVLSNIGAAEISPASSSSASFGGSFDVPKSASALTVPTAPAALQVLDEMPECYSLHSLNCHSLFRADGTEHKYFTLPADYPLERDVPKPVFAVFDDDELDGGSNESVHVFTDTPEHQIYEEENQETFEALKCCSKTTFSARKPIVGTVGIFSLENDARACNFSVLKASNSVSTRSNQLSIEHCKRESRLLWDPRASTFLVTPLKNDSSTDI >cds.KYUSt_contig_1989.119 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:670604:672392:-1 gene:KYUSg_contig_1989.119 transcript:KYUSt_contig_1989.119 gene_biotype:protein_coding transcript_biotype:protein_coding MRALYMAVGRGAVACATPAAAVPRRSLLLSTAAAGAALQSEPIRLSGRSTSGTAKLRASADAAQAAATSFVSKEEAFAWAKKDNRRLLHVVYRVGDIDRTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEEAQFVVELTYNYGVDKYDIGAGFGHFGIATDDVSKTVELIRAKGGKVTREPGPVKGGKTVIAFVEDPDGYKFEILERPGTPEPLCQVMLRVGDLDRAISFYEKACGMELLRKRDNPEYKYTVAMMGYGPEDKNAVLELTYNYGITEYDKGNAYGQIAIGTDDVYKTAEVVKLSGGQVVREAGPLPGINTKITAILDPDGWKSVFVDNIDFAKELE >cds.KYUSt_contig_402.389 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2417830:2419063:1 gene:KYUSg_contig_402.389 transcript:KYUSt_contig_402.389 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRAPSPAPLPRIQLGRHPRDAFFVSRCQKGADRNPEPKPEPELEQPDVVDNFFKKLDKARDDYIVEKEGALRSSAGGYYHGARSRFVVLAENDMEAEI >cds.KYUSt_chr2.48100 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300660239:300661765:1 gene:KYUSg_chr2.48100 transcript:KYUSt_chr2.48100 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPCDYDQLAFADWKRGPMETTAWVPDIPLEMTIAAPASHGDLVVSSRGQQQLKLVESTDDHQYDWSSPIEVFEQATQAFEDEVGEMETKIHLFPASMKDLSAQYAAPKVVSIGPYHHGKSPDFPQMESAKYAAACHFIKDSGRSVEEVYGAVFAVADEARSHYDKDKVRRFGDDDFKPMMFYDGCFLLQYMLSWCGHSGDDDDGTAVDVDPLLNSVFSSNDRRIFSDIVLLENQLPWVVVEKLMGFMPKPLDMETFLGRVKPSLRSRQDLLFDPPKLDSSYKPPHLLGLLRHYIVGSNHISATQVSEAETEISHKAKKVSLSVSVIELAEIGIELTATKTKAELQDMGIKKGIFSGELILAPLSLDDANAGFLVNMAAFELCMTPDFSEADDTLSTVCSYLCLLGMVTDSEEDVQQLRKKHILQGGAGLTNKNVLDLFTSLEKHLRPGNSYFNTIVGIENYKVERRWWIIVYKFVYKNLKTIITVVSAMAGFAGFLGAIKSLKGSR >cds.KYUSt_chr1.37007 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225876849:225878327:-1 gene:KYUSg_chr1.37007 transcript:KYUSt_chr1.37007 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAGTAYAKKASKFEDSDSIHTSRPRAMSELPHRPAPRVATRPKTTAAGSRVAELEAKLGKANGQLAEMREQLAAAEKARKDARAAFVHAKNRFAVKQGDVTSPPAQDNGDDHAPAEHRKLAEVATEDHVTNGGNDETRSISLPAISVLEPILPESENKRDQVDEQVNKASDDRDEVNKNVALVADGDRKRENPEADRLRNKLEVMDMEVYEVRAKLMVRDMEADELRVELKAKDTNISELTTKLVAKDTEIAALRASNAALAKTVSEATRESTESRAREAENALRESAAREAQLAERLAASERAREQLEAEARRSSVQSEQWRKAAEEAAAVLGGAPGGKDMRRHGSVGSTNGKMDMQDDVDGEGSGRKRKSGGAVRLLADLWKKKTQK >cds.KYUSt_contig_786.557 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:3202314:3210247:1 gene:KYUSg_contig_786.557 transcript:KYUSt_contig_786.557 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVFDTDQTGKRFEAVIQFVGLNPLLYYDGTLIGTITLLQARIKSRLNFPHVFEAVIHFAGKSMAARAQQPPHVGGPVWVGPAVPAPTTTASLVGRLRGVRYLLLLPAEGGLVRVHVWVWWWYKQPKAVVVMARMDQEGAHSVCAGDRNDNYPQGMITGHVYYFLEDVNPLVTGQHPVKTPLFIKTDVC >cds.KYUSt_chr6.22394 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141461284:141464757:1 gene:KYUSg_chr6.22394 transcript:KYUSt_chr6.22394 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVQTLASAVQLVSAMVSAVGALEQAAADAAEAPRRLQVLEDFVSDLELLMQQAKQKHAHKMHGPQLERQFQSLSRLMDQLRGNIIKARRALKKGKGKGLARVVWSSVVGDPLMKYIQLIRDDLNWWLELQKLSQSVGNAIASTAKGTPSLLRVKSEHGYPVSTKCNYVRELLEKDDGHRVVLIVGLSGIGKSCLARQIASEPPDNFVDGAIEISFGRWCSRAACSGSRSEYHKRLVRKICKFLVQIGSMTVNEDTAKDLEDVCCLLQTSLVGRSMLILLDDVWEQDIVDRFTKLYDNDCRYLVTTRDEAVYEIAEAEKVEISKDDIKRISKGILLYHSLLSAEELPPVADGLLDRCGHHPLTVAVLGKALRKETRVEKWEKAISNLSTYATCAPGPVSYVNEKEVETTLTIFGSFEFSLEAMPANSRRFFMVLAAISWEEPVPEACLESIWSALMQDSLFPIVVSKLVEGSLIIKMEDQSMYHMHDMVSLYLEDKANDALHTVLTDSFPEYAALVAPWLFIFGKEGVKGSAEQKMRSFFSLLEFLEIGILLGSTTQALMACKSISEFEASRIGFRKILGPQIAELISVGSPALIVAVTKAITVIFFNADYANLAQSLETAGSVDKLICVLHGYEDSSTLANVSAVLAKVSEHVSATIADEILASIPMDRIAELLSPENEEWHEIVFTTLASLIKVGNLKAVERMIEAGVDKKLLVLLGCGSEISQHHAIITLKTFCELGAPLQECMGPGLLIHLPWHARLTLERFVLSDQNVAPSPKPQYFEVLLHRILRTDNKDIIEAIQGLIPLAERANDPRVQDLLLGSNLSDRLAFLLQRREIENNQVRSQTAFLVMKLACTGGEPYVRRFLELDIVDELIVMMQSSTDDLQDSAYHALHQIVYAKGGSVVLQRFLKRGTIEKLVNLLDRKCVKTKDLVVQLLVDIAAVGTKPCIERMLSSKVIEKLVALEEAGEPFSGAVSRYIHGLNMCENIQSAERSVMKQHIMRKVRSAARGHNLEMGLVASVEACISEGTKGASSSRRKR >cds.KYUSt_chr2.47553 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297521291:297522265:1 gene:KYUSg_chr2.47553 transcript:KYUSt_chr2.47553 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRWPHKIQPGNAVARGSAREDGSNHLAMIANHELMMGAESSDGLGSSVHKFVRGKGSFLHSNLVGSLKQPKNDATRNYFLAKEGEKATVGLQAQPTWDEIIAFGGIPKASNGVRSDARLENRPDVDMPQMEKAMRNAQMRDTSCSSGVSEEDVAKSIKGIKLLEEGRILTILQKTLSENLNEDEGLSNLVMSKVSTLYEDLVEVYDITLGWMSMWSF >cds.KYUSt_chr1.9680 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59147599:59149107:-1 gene:KYUSg_chr1.9680 transcript:KYUSt_chr1.9680 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPLHFVLVPLLAQGHVIPMMDMARLIAGRGSARVTVVLTPVHATRSRAVLDHAKRAGLAVDFAVLQFPGPALGLPEGCESHDMIREYSHFKLFCDAMSLLAEPLEAYLRALPRRPDCIVADSCSPYPADVARRLGIPRLVFHGPSAFFVLAVHNLAKNGVYDSVADDFEPFEVPDFPVHAVVNRATSLGFFQTPGLERHRRDILDAEATADGLVLNTCAAFEGSFVERYGEALGKKVWAIGPLCLLDSDAETTAVRGNPAAVDASLVVSWLDARPAQSVLYVSFGSVVHLFPPQVAELAAGLEASNRPFVWVAKEADGLDSGFDARVEGRGLVIRGWAPQMTILSHPSVGGFLTHCGWNSALESLSHGVPLLTWPMFADQFLTEMLVVDVLGAGVRSGVKVPFTYVVRNPEMSKVQVGREEVERGVAALMDEGMAIRARAKELAVKAKEAMAEDGSSDSDLTSVVRYVAELVQRDKDVVEVLEAPDLGSGNKKTADRSG >cds.KYUSt_chr2.49723 pep primary_assembly:MPB_Lper_Kyuss_1697:2:311111836:311116799:1 gene:KYUSg_chr2.49723 transcript:KYUSt_chr2.49723 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLLSTVAYHDSLPEGYARPEHDRPRLADVRTDTNIPLIDLASPDRHRVIAEIDQACRTYGFFQVINHGISEELLEKVMVVGLEFFRLPPEEKAKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFVPDWPSHPETFKEIISVYCREVRLLGLRLLGAISLGLGLEEVYVEKVLGEQEQHMAVNYYPRCPEPDLTYGLPKHTDPNALTILLMDPHVSGLQVLRDGAKWIAVHPRPNALVINLGDQLQALSNGAYKSVWHRAVVNAEQERLSVASFLCPCNSAVICPAPRLVGDGEDPVYRSYTYDEYYKRFWSRNLDQEHCLELFRSQH >cds.KYUSt_chr1.33269 pep primary_assembly:MPB_Lper_Kyuss_1697:1:202033656:202034294:-1 gene:KYUSg_chr1.33269 transcript:KYUSt_chr1.33269 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPPPSSDPYGRQQYSYSGRILLTTAVILFALTVVFVVLRILVHALQLRAGGGRARRGGGLAAGILRSISGIGSSRRGLDASALSALPVTSYRKEVVAAGAGGADCAVCLSELADGDKVRELPNCGHAFHVECVDAWLRAKSTCPLCRADVEMQQGNGKAEAQSSSSSSSSAAATEPLPQPALFGAGGTLMVTVHGGSDSRRDVRGSTSG >cds.KYUSt_chr4.15387 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95040981:95041325:-1 gene:KYUSg_chr4.15387 transcript:KYUSt_chr4.15387 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTAFLAGTAAPAAAPRSARPSAGFFGVGGGCALSVECSSRPQKKGTKHHMKTRPKKTQQWDIKRRPTQYPPLPALPEDWTLVSAGETEDAAPEDAAAAVEVEVVAAPAAAD >cds.KYUSt_chr6.27564 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174908969:174911164:1 gene:KYUSg_chr6.27564 transcript:KYUSt_chr6.27564 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAESAQERGMSETAAAHGEAVESARREKKARRRPGAVTEFCGVHRTQGGEYGAQIWDGLRRAHVWLGTFGTAEEAAGAFDAAAVKLRGLAQSNLNIPVAVAVQPGASVTLVKAEEHGDGVDAVERSADAMWERAKRKRTSEGDAAAGGKITRFFFEGLTKQVTERQEAIQHRFLEVVEKREQDRVIREEAWRRREMARLAHEHAMAATHNAALLSYIQKFTGQCIPMPSIAAVPVRFMPPPSSRTHAAPIFYAAAPPPSTRTHATPIFYAAGPPPSSQPPSPRPQNPPTRLPAAPQQQAPLVHQSSTDMMTHAATPHGASGHDGSGGGGRTASSSLWSEEEVHALIELRTNLGMRLKGMPLWEEISAGMRGMGYHRSSQRCTEKWENMNYYFKKMKDTNKTSCPSYFHQLEAFHRNKAALGSPETGGGGGAASSSSLWSEAEVHALIQLQTNLDDMRRKGPKAPFWEEVSAGMRRMGYSRSSKRCMQKWGNINYYYKKAKDIKKKRPDDSKTGPSYFHQLEAFHHNKEPLGSPAGAGTGGAANAALRNGVGWSNLDMLWAGISAGEGWSTKECMEKWGNMSYHSNKVNESNKKRPEDSKACPSHFHQLEALYHNKAAIGCSAGDGGAAAEHGNAAPQDRIEAFAVRAPIPQTAPQPYTLQPPVAKNGVTITNNKGNGHDVGGVSGGTQMQASTGAGNRFVLPKLPVRRSTISVKAEVEEFLKGFTDVMA >cds.KYUSt_chr1.19013 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111657620:111658762:-1 gene:KYUSg_chr1.19013 transcript:KYUSt_chr1.19013 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPFRRGAAAPMTMLSLPVKTPMDCMSCEKQSTTAFEKDVDLGPLVRCRHLLVLSYSDKKTAPSVRAERLRAYLRELDAVAPRFDAAIARTGRKHLCLAWRDAGPFSLRRTYTSLSSERAVALFALAVEETRAAAEEDRREPDGIRRACAALCDAAGFLRASASEGSSSGGDRASGGGDRLKHVSGACTAAFEVLVLAQALECYFELAVAGGKPLALCAKVAQQVGLDYDRVQGYMATAPDMDKAWMVHAQAKAAYFRGDACLRSAKVLREKGDGDGVGEAIARLRQGLESLDVAKGALWKVAGPVRDATKRLRKEIETDLAAAERDNCRIYYARVPSAAALAELPGLPQPLVRPTAVEKILPQGAETIAAAAGEQKAS >cds.KYUSt_chr4.49360 pep primary_assembly:MPB_Lper_Kyuss_1697:4:305692260:305693186:-1 gene:KYUSg_chr4.49360 transcript:KYUSt_chr4.49360 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELVPTAPARRVTAGHLWPQRKQQRGADDFEAAFRKFDEDSEEEQDVMVVQDEEEVADSTPLAFRAASEKPSVRCRKPAQYRGVRRRPWGKWAAEIRDPVKGVRVWLGTFPSAEAAALAYDHAAQAIRRAGARLNFPSATPAAPSSRKRARAEAAPAAKAATTPVVILVDDEEEEDAAAHAPSFIKHEAEATESSQSSDALPDFSWQGMSAFDDDAAHPAIPAPELEIEQPTKRTRTEPHDTDARFDALLFSDQFPFFDGAAAYDSLDSLFSADAMQSDEPALGLWSFDDTCLLDGCSYSF >cds.KYUSt_scaffold_6468.405 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1991253:1991489:-1 gene:KYUSg_scaffold_6468.405 transcript:KYUSt_scaffold_6468.405 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDQHICTHSTMYGNRPAGVLLLLTALLVVAFAYPAFISHDAEAETRARLCTASRLDDDVGQELNLGSITYPVYNPN >cds.KYUSt_chr3.14610 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88809468:88814175:-1 gene:KYUSg_chr3.14610 transcript:KYUSt_chr3.14610 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHGTVRAKAPGAWLDPFLPPCPGKKMKDDKKKKGKSIDPLSQESIYVRVYEDRIDLIRAAIVGSAGTPYHDGLFFFDVHFPPEYPRSPPKVHYHSGGLRLNPNLYASGKVCLSLLNTWPGSRSEKWGKSKSTMLQVLVSIQGLVLNAKPYFNEPAILIRREKHSLAYNQTTFVLSCKTMLYSLQKPPKHFETIIMRHFHERERAILEACGAYASGKIVGSSVKDGRTYTPNKCFGRFKESLEAQTELLAKELAANRIRALEVKRDSTDEIVSTS >cds.KYUSt_chr4.52388 pep primary_assembly:MPB_Lper_Kyuss_1697:4:324932106:324934065:1 gene:KYUSg_chr4.52388 transcript:KYUSt_chr4.52388 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPAFLLLNASVSDHRSTASGKRRTRTARPTTAFPTGRSTRGRATFSTRRGTPARRIRGLPAAGGGWRLSAGGLPIPPPPQGHALDVAIEERAEPRYHPDNYTAWNSYFLRRWEQEFASYDGPPPPRNITAGRKRWWSVPGRTLDAVIEHIEGGNAPVLTMPPPSRASASRRRGSWQPRRMAASSSSSGSASRSLPSSRSAPTLAPVKLEPLSPSRNRDRSGGGIVIRGSSTPRGHLCLVRPKREPGISDERKRKPVKEEWEKEEAERQARLLEQAARYRRPATPSSGVPVPVIDLKESDDEWYKPSPSPLRNSGRWGDPGQGSIQAAAAPPHFDDDGSDDDGGDGDKDYTVFYRHFGM >cds.KYUSt_chr5.37899 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239371246:239373056:-1 gene:KYUSg_chr5.37899 transcript:KYUSt_chr5.37899 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGPKPLVVAAAVLCFSLCTSLLLLGAEAKVRHYDWEISYQHKSPDCFEKLAVTVNGEAPGPTIYATQGDTVVVTVHNKLETENTAIHWHGIRQIGTPWADGVGGVTQCPILPGETFTYKFVVDRPGTYLYHAHYGMQRVAGLNGMIVVTVPEGVWEPFRYDDEHTVLLEDWWHKSVYDQATGLSAKPFVFVGEPQSLLINGRGTFNCPKLANSTESCNNSHPDCTLPTQFTFVPGKNYLLRIGSLTSLSSLSFEIEGHLMTVVEADGHYVRPFVVRNLFIYSGETYSVLVKANQDPRRNYWATSHIVGRNASSTPSAKAIVSYAGNNPRKTPPTVPTTGPSWDNTVIRVEQSRAIVAHPGYAVLAPARADRTLLLLNTQNYINGHTKWTINGVSLHFPATPYLVSMKHGLTDAYDQHPPLDTYDHRGHDISAPAQTNGTIGSPVYRLAFDSVVDVVLQNSNMLNDKSETHPWHLHGHDFWVLGHGEGKFDPAADAWRLLNVKDPIMKNTVPLHPDGWTAIRFHANNPGVWLFHCHVEAHVFMGMGVVFEEGVERVGRLPSSIMGCGHSKGLH >cds.KYUSt_chr2.4206 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25573252:25574923:-1 gene:KYUSg_chr2.4206 transcript:KYUSt_chr2.4206 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQATTTNRRPTLSGEEEATSSVKPKAAVPGALVSRKKPEIASTHRQEAGVDGMALTEGLAAAHHQPLPECCGKPTGGGKPQRRPSQPHRPVRQGTADGRRAAADVAHPTRACSALHRGGWIRPPFFTQATRKGPRRRHAPRDFAPAALPAAAAAEGGVRERTIPGVTVVQTKVQQLLAAAKQEVIHIPFSKANIM >cds.KYUSt_chr3.29327 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183532438:183540066:1 gene:KYUSg_chr3.29327 transcript:KYUSt_chr3.29327 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSPRRARRDTSIEGLARPVAVDHRISLPYYFRIADNLLRQANIYREEKNLVDLYIILLRYSSLLSETIPKHRDYHAFKSREKEFLKKGPHNSDKLLNVISELESLKPIVKEQIAQLAPSPPMVQVYPSFDCFPSWRFYTTFWRRGLYTANPAFGSATGPLQKTFSKGGHQEASPQGVEPDRQLVKSSASLPYLKDETLSRHSILGPNGLHGQWTGPVTGVKIQYPSHPELTQSDIPSLVPAILNQNGSYGSSTTSLDGSTNINGDMQSVLSLDDGRWSLPVKGPASVSYAAFEEEFSQLNIRQPSPPPVLAQVHPKHRPISPSRVADPRPGLATCDTGRFQNLHVPVALMESFLRLAEANTANNLETCGILAGYLKKRTFYVTTLIIPKQKSTSDSCQATNEEEIFEVQDKASLFTLGWIHTHPTQTCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTTRKHGIFHLTDPGGMGVIHDCQESGFHPHEEPLDGTSIYEHCSHVYMNPNVKFDMVDLRSV >cds.KYUSt_chr2.30763 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189458276:189462847:-1 gene:KYUSg_chr2.30763 transcript:KYUSt_chr2.30763 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAESKKPKTHTPPTADEDDPDLPPREAPAGVAGPLHDWNRAGAVERLSDFRANLRLLPPPAAVAPSAVHRAGLRALGLLDFVRLDHSSSSAAPRHDLVAALIANYLPVREWSYVQGGSLQVSPGTLADALCLPAPGRTASIDHGPLPGVDPSAVAYAATKFIETYILTPLQATNEGKLPSYVVSAAQRVEDGMAHTVDWTFLIWRLVVDEMFDLRKGTRADWACHYGAYLQRLILVKRPDLFLPPPVADALPEQATLQSRKTRRLQVDDNQKLRSELESKLQELEARLKLNDEISKQIDARSEQLEARYMEVVAKSKQIDALVAQCDYDRRNLDQEKEKWHSEMQAIESRSQALLSKESESRDELQRSRTQKPQKVLMGGSKQLAQSQEEMHAAQSKMHGSQRISKLVDGRSMLLDVRSKFLDTRLYQLEARSLEVEEKSKLLDTRLNQLEARSSEVQEKSKELDALAAQFDHDRRNFEQDKEKLRDEMHAVELGNKAILSKEAKSNDELHRVRKELVDAIDRLSQLQDEMRMMELLNHALASNETKCNELHQRQKEMIDVGKQLAYLREEMHAMESLNQALVTKESEGNRKLQKAQDELIDVSKQLANLLAEMHVVESFNQVLVAQERKSRDELQRVQKEMADVSEESEELSSLNQVLFIKELSSNNELQAVRKRLKDGLQTLTNGRRANIGIKRMGELDLKAFANACRRNLLLDDAEVALLCSKWQDEITNPKWHPFRVVTINGQATEIFSEDDEKLQELKEHGEEIYTLVSKALLEVNECNASGRFVESELWNFKEDRKATLEEAIQFILKQWQSHKRKRM >cds.KYUSt_chr1.30598 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185216016:185216483:-1 gene:KYUSg_chr1.30598 transcript:KYUSt_chr1.30598 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTASVKQETQPACDGASTTSSLPEVRPAASSKLAVAGGAAEESGDGVGEDEQVERFYALLANIRALRDVYGAGWSSRKRPRVAEPPWRPRFRMEDFREVDDAVPTKKERRDDCVERQRPESDDDEDADHEGEVVEQNDRVSASQSTRARTDSA >cds.KYUSt_chr2.45613 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284449448:284451488:-1 gene:KYUSg_chr2.45613 transcript:KYUSt_chr2.45613 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKTIRWRRVPESRGFSTCDGELLPQESLGARFTSWRVGTMKRHVPSSASEKGLGVSRTLGDVMITSLRSNATQFEVVTCSTDGMPPGLKSSSATCRSIARTLHRINLALAPSMSVAPLSD >cds.KYUSt_chr6.19313 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121508271:121509680:-1 gene:KYUSg_chr6.19313 transcript:KYUSt_chr6.19313 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAASASTALLFPPSTSSSKPRLPLSASLGFSTPARFRRAASSSSPTGRRPGLLVVRAARGKFERTKPHVNIGTIGHVDHGKTTLTAALTMVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVCSGADGPMPQTKEHILLAKQVGVPSIVVFLNKKDQVDDEELLELVDLEIRELLTAYEYDGDNVPIVSGSALKALEALMATPGLKRGENEWVDGIFSLIDSVDAHIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGDPVDLVGIRETRNATVTGVEMFQKTMDDAIAGDNVGLLLRGMTKEDIERGMVLAKPGSITPHTKFEAVVYVLKKEEGGRHSPFFPGYRPQFYMRTTDVTGNVTNIMNDKDEEAKMCMPGDRIKMVVELIQPVACEQGMRFAIREGGKTVGAGVINNIIQ >cds.KYUSt_scaffold_1259.102 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:741627:744431:1 gene:KYUSg_scaffold_1259.102 transcript:KYUSt_scaffold_1259.102 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDNRDSSGASSSTSGLCTSECSTPFKFNVHAPEFVPMSSPLTAAGYFSPFVQLSGAGGGIALAADWSFFGEPEPTSFFMAPGLAHVDIGGVASGSAQPKGASLADIAHKIVKQVEYQFSDTNLIANDFLMKIMNKDPEGYVPMSVISSWKKIKAMGVTSQLLVKALRTSEKLVVSDDGKKVRRAQPFTERHKEELQSRMIIAENLPEDSTRNSLEKIFGMIGSVKNIRICHPQEPSTARSSKSDTLVRNKLHALIEYESAHQAEKAVGKLNDERNWRKGLRVRPVLRRSVTETHQRSDTTGPWGRGRVRPHATTAAPPHAGAAVAHLESLMSTRPQGPRMPDGTRGFTMGRGRPSLLLV >cds.KYUSt_chr5.5335 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33453528:33460210:-1 gene:KYUSg_chr5.5335 transcript:KYUSt_chr5.5335 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQRQPAGRGLTLTEEMEQMGEVRDWAPPGWHWEVLASGSRTLVRNPGPVVDPDILWWRSYGPRSFREEPAPPEENEEAGIQKRQAPTAGVHRPKHEFMKLRFHFILLIIDLHLGVVNVMDSKRKEYAEWADMAAILRRAWKRFINTVPGEWKPELTFRDYPHGNLPSFYYNKLYFDKLCPAPVMEVRPRCRGSQAPPTAAPEQTHYLTRRTGASKVVSKLAYTDQFTCLDKAAMLTPEEDALCADGKTPTDPWRLCTMQQVEEVKCLARIIPGVVVGDRLLRGGHPAKHLRRPPGGADRPPYHRQQQLPDPAGLLRRLRDARSNSLDPGVRPSTRAAAPPDHQARGWHHTAPADRHRNGALSGHHAGGGGGGAPPPSDRSGIADDVVLLAGAAAGAGGPVGGVRRHRADRVLLPAVPREHASVAGALYFLGWALASYASGLMVTILHRTTGWLAQDLDEGRVDLFYLVTGVIAAVNLVYFMACARWYRFKKSDDDHTGSGAGDAGLDESPKKAANAAPV >cds.KYUSt_chr6.6510 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39306843:39307366:-1 gene:KYUSg_chr6.6510 transcript:KYUSt_chr6.6510 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPRRDFPAFPFAPYQIQSEFMSFLYAALSSGPRALALLESPTGTGKTLSIICSALQWLLDHRDAAVGGHPDRADGSTAAATGGEDEEPDWMRDFTPLLPKKDTTKKRKPRPARRQEPRKAAGAEDNGENEFLSKPTKCSAQ >cds.KYUSt_chr7.33645 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209866187:209866408:1 gene:KYUSg_chr7.33645 transcript:KYUSt_chr7.33645 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVAISPRESLARKNGGHGDVTGFAALPASLSLLAIVPFLRPNKWRRAVHPSPWPLMVLGCLHYLAGYLPH >cds.KYUSt_chr6.25557 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161981975:161982289:1 gene:KYUSg_chr6.25557 transcript:KYUSt_chr6.25557 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRCRSRATETATPAQPPRISRLDLEHAAGLLRDEARDALHIAAPRKAQDGRLADALDVVADHLPVPLRAALAQPLAVLAAAQHRLLSSPARLTNCVVVVGW >cds.KYUSt_chr7.25521 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159234521:159236486:-1 gene:KYUSg_chr7.25521 transcript:KYUSt_chr7.25521 gene_biotype:protein_coding transcript_biotype:protein_coding MIPALQGLYSLQVSFGSVNDIYRPAADFVLGKHWADQEAQGEHCDQQADGHTDKNLGGTPKKGKIQDAGHGHASQRQVEVVYKRNKKGIQVGAKPTPVTVCSGGDGGDDDDDDDDDDDDDDDDGDDVQLDDGDDGVDFPLREGNSPADLSLPESSFLSGVLRPAEAAVTLRDVPSGA >cds.KYUSt_chr2.17456 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110046987:110053218:1 gene:KYUSg_chr2.17456 transcript:KYUSt_chr2.17456 gene_biotype:protein_coding transcript_biotype:protein_coding MLASAERGRQERMRRRQENHEARCPARVRSGGAHRCGTRRPQSLRYAGGGWFRHGRHVCLRVSSGVLWSAFSWEMEAFLRGTKYHPTRVLTLVYQPFAFGLTCIFTYYEAKLNTRRRNLAGFALFFLSSLALIMLDIGTKGHGGIPPYIGICIISALFGTSDALVQGGIVGDISLMCPEFIQSFLAGLAASGVLTSALRLITKAAFEDSQNGLRNGAMLFFSVTCLFELLCFLLYAYVFPKLPIVKYYRTKAASEGSMTVGSDLAAAGVKTEQDRQVEEDPLKHERLSTKQLLMQNIDYAVDIYLIYVLTLSIFPGFLSEDTGAHSLGTWYALTLISMYNVLDLIGRYVPLIKCMKITNRKVLMGAILARFLFIPAFYFTAKYGDQGYMIFLTSLLGLTNGYLTVCVLAEAPNGYKASLLLPGRCKYHVWKRNVLPRGVDHSLSHGYGWVWVVLYAEHLFLLVQGPEQNALGNVLVVCLLAGLFSGVVLDWMWLIGKGW >cds.KYUSt_chr5.40176 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253742952:253744274:1 gene:KYUSg_chr5.40176 transcript:KYUSt_chr5.40176 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYPAVVLLLLLRFSCGAVAVSSSYISRTTEQQIIASAAPAAFADADADDQSDLEGLPFLASPSGSFAAYLRRATTVDNVRDVCYVEVVQQQGGGGGTSSVWESECTPVSGADTCDLAFSPVGLELFAGGHSLWDTAVDSDPEMLSLDGAGDMRIVSRDGVTVWRTRDEPWTGQRCGAPLPVSSSASTVDVLPPPKATTGAKLLTPPAVTSALASPWGSDFSFGDQTAPPFDTEPDQMLPPPPPAADDEGSTDLPDLPLPPPPPPADPSTYWPDLPLPPPPADTYPIPIPETPEVPLYSSPPPAPPTAFVPPQTPLPALDTPPFVPPPGAGIATPPASSGGDSGMAFMPPPAGTPHPHHLPLGASPPLVPGAVAPISGGHGRLPFGQGQGVFGQQQNQLLNGGGQPLEDSAGGWSGRERGEAAVNLAFVGVVALFFGL >cds.KYUSt_chr1.15451 pep primary_assembly:MPB_Lper_Kyuss_1697:1:89983172:89984584:-1 gene:KYUSg_chr1.15451 transcript:KYUSt_chr1.15451 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPHPHPASTGPRHRKPLATTHHHRRRCTFTIAALILPGGGGTPRGSPPNGGKLILPGSSGGGGGGGRGGGLLPRTPPPTAPAQLYQPFHPPISPLPANFRNLDLTERLAVLRDRMGRWYEYAPLVSSLFREGFTPASIEEATGMSGVEQNRLIVASQVRDSLISDDFPQDLLHHFDSYGGPDLLYELRFLNARQRLKATKHAIARNLEAKGVRELARAMKDFPQRRGDEGWDAFDRHSAGDCLAYARFRLSREAIAKENRIPVLERSLDVVETESARARVELELERAIKKAAGVEEEEPEEDVNARPAVPVVRLMYGEISEASIVLLLPVVKETDGVQAVDLAPRRSKTDADLGIVEVDKGWARWAVLPGWAPVMAVADEAVVIELADGRVLPWRSADKERVLVVANRKRKEVVDEGIYVLEKGGKLVVERGNKLLEQGISQAAAEVVTVVRPPKDEQDVISGDEWD >cds.KYUSt_chr3.48926 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305682102:305683628:1 gene:KYUSg_chr3.48926 transcript:KYUSt_chr3.48926 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLGRQESWMEKVKLKINQEADGVSLSIPPYFRRFDRINNEECPSIFMWPRRQVPVAAAAPMPMTAAQAPSQQPLDHTSSSSGSTGGNVIVATVGPYNHHQSESSTSSESAPLLITYAKKCAIVKFLSRREFGLDTVAFLRWALTNNARVRLCYERDSFTMSPEKLAEVLLLDGCLVLFAVFLLRSSVREDRRPAELGRDTELGAEFLYLSADISLHMKQTRVDLLLLGNQIPFFVLAKLHSLLAQTLFSGINHSIQKLALSCFDDIRPGRSGSGSAVPPPRFQVHHLLHLFHWSRVPPGKHAVDTSTILLHEPESNLPCATWFEDSLTSFSKHAAGPGTLDIVFQKRMLGVRGVLRVPALHIHGYSELLFRNLVAFEQRHLRCGLGVTAYCICMARLLQGEADAKLLRMCGILAHTRETDKEVVDLFRGLADEYRDTFYSSDLLGLCEDVAAHHRSAASRAVKWVVLQCFPRQTVTFFVILGALISIATLVNTVYSVYRFYHPVKH >cds.KYUSt_chr4.53626 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331764431:331765627:1 gene:KYUSg_chr4.53626 transcript:KYUSt_chr4.53626 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDQTNAGVDAGVKLSPDMVANIHSRLGILDRLAFASVFRESCEALEAPWIILPGKTPEKATLFSLVDQRSVVVHAPDPALRGHAIIGSSHGWLATADGRGRMSLVNPVSGEQRALPAITTIPGLEEHHGVFAFPLKPFVRGPPYAGGREPCGSFTMKAEHMRGYLYRKVVLSDSPGGGGYGTAMLITGQQFGVAAFATAKEAAWRLAPSRDGVEDAIHHDGRFYSVTYSGAVEAWELDADTGEFMSKAVTPRLDNNADGGDPTCHRKYLVAAPGGQLMVVLKHWKETKDECDSSRWTCSFKVQVLEGGQWKERDDIGEGALFIGANESMYVSTRVHLDLKDGHVYYTEDDLSFWGNCRCDKDRRVVRVLCLKDGTEGNVEGLGQHWSWPPPAWFTP >cds.KYUSt_contig_2431.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000336.1:62899:67253:1 gene:KYUSg_contig_2431.7 transcript:KYUSt_contig_2431.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKVCSLCLQDIVGADEILGIGQSSSASDGLSSRATENELKTLLLLQLLALLPPHKSSFAHDCIRTNYPGLGIAQEFSVGLRNYAENKQSLLGSNFYPRRNLAGRRIRGAPSMSPDFATAASFGGEAQLSLAVSEPPVTPPNKHLHDKAAQKHRRVPPPASPSEKRHKYIKLVLTVVLPTAAFSFIAAFLIFYCCGCNKSKVSVGEPRDDHPLLHMQLANTPGSSPNVRVPTIPPHKDDQGARPSKAGVSLSQCFSCCFKTSTDATIPAQGAGGTQNNNTTSGAPEPMPPPPPPPPPPPPPPPIKKSGPPPPGPPKGSLARFPQLSPVESSHSEGSSTSEQASESSEAEVSAPRAKLRPFYWDKVRANPNQSMAWHDIKFGSFHVNEDMIEALFGYGAGNRSNAKDKELAMPDPSPQHVSLLDFKKSCNLAVVFKAMNVRVEDIQNALTEGNELPRLLLETILRMKPTDEEEQKLRLYDGDYSQLGLAEQVMKALTEIPFAYKRISALLFMTSLQEDASSLRDSFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFHGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGIREARLAMESGRTPPSGDDSNGSIQEDDEYYSNLGLKIVSGLSSELVNAKNIAALDADALSASVLNLRHEVVKAKEFLNSDMATIEEKSGFHHSLVSFVEHADNETNFLFKEEKRLRSLVKKTIRYFHGNDAKDDGFGLFVIVRDFLVMLDKACKEVGASQKKAASKSLSSNSCNPASQVNPQEKQFPAVLDHRSDSSDSND >cds.KYUSt_chr6.33023 pep primary_assembly:MPB_Lper_Kyuss_1697:6:207959204:207968717:-1 gene:KYUSg_chr6.33023 transcript:KYUSt_chr6.33023 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSGRMADDGASGAGGSESATIEINIKTLESQVHKLRVQKSINLRIVSIAHGHNFVTCILDNLKTWKMDIHCIWLLDVQLKANLLLELLKKIPRIMYAGTGPDEPFYIFVVGAVNVVENGAMLGDYMSRVIPDSMMTLLEYINRMDQVLQNNGVPSADSNAQQPPRSDDAYLNQRFPSPEVLVSVLERAQQVLGGSASSALSHLAQRIQRDAATADTSIRSQIQNESAQLGVAMQHLGAMLLELGRTMMMLRMGASSANAFVNAGSAVYINPTGPNPIMVQPSYQSAPHFGVSSMPVLSGVSGPFGIVDPSRTSGGASATSAPSVGSTTASTTTTGGEHQNVERTQGGNLPANSTHGLPPRTAVAAIPSLLARSSGPNNVLGVILPVQMRGQVAVPNQPTVSQGSQTTVGIGSQPNSTYVVPQASSGGAANISSIVAQITAQVANALAANPPGMVSSSVPNTAGQGPHPTTHNGAGTVSPATSGNAQLQSELPASHHGQTLPSVQSHVTGAGTSHSNTSDPSLTLQDRSTVNVSTGDVDNIRTQSRQLAAASLAGQLTDTYTGDVPLSTSAGSSELNNKPSDGVTGESTKPSASGSSGPLGLGGGLQPKRRTRVAKPSGTSGDSGEAPDTSSVTRSQEAVLMGQQALQALVSRSANASSGSAMNSQSASSTPQVAAGMPPRRAGGEGQVDIGSMLSSVLNSPVFGNLMSNVAAQTGLESPSDVRNIMEDLTQNSAVMDTLSSMVQSVDGPRRGQGGGFDLSRMMQQMMPVVNQVLGGVAAHPAGTETGNSRLQPRQNDREVSDAVDGRSSQIDLHEACERIEQCDPPEDILGAVLETAAQAYGEDESIQFMLEELASDPELADDYLKLLLEQVGERVESNSDNKKQS >cds.KYUSt_chr4.10625 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64565539:64566029:-1 gene:KYUSg_chr4.10625 transcript:KYUSt_chr4.10625 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEADLQASDLRYLKLVIKEALRLHPPAPLLVPRESIDLCELQQLRVSPVWCRPQMCPGFNYGLASMELALVALLYLFDWSLPEGVVEVDMEEAPGLGVRRRTPLMLLATPFVPVVA >cds.KYUSt_chr3.11399 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67858566:67866612:1 gene:KYUSg_chr3.11399 transcript:KYUSt_chr3.11399 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGHRWVSRLLRLRTLQLRRQRPRLFPDYVTCYSTPTPVVETRLFPDYTTCSSSPTPVVETSSTSLLRHGAHFVMFPTLACPVLATPEVPSSPTRSRVWQTRAGRLVFIGFDNVFFGIASTTASTASPNSSMCTRFWQNRSMPSSPTEELGLASADLDKEVTINGAIAARDYVFFVVTPMAHMIPRWFLLAEKAVCPKKYQPRRRVPYALRGTKTLMKVHNRILLDLSLLGSRIDPMADDAGNADAATAGLAVPAIDPNTGAPVAINPATATALGAPLAVPPAMALPQVPHAPAPISFYMRGVQIRNILPFTLDLSTGSYNQWRTHMELAVEEYGVLDHLTAPAPADPDAEWRTVDLILKRWIYGSISRELTGMILDTTKMLFILQLQNRCTIFTSIPYCHQ >cds.KYUSt_chr2.50507 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315809674:315811047:1 gene:KYUSg_chr2.50507 transcript:KYUSt_chr2.50507 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLHTSLVAPSPAGTALQERSLPLTYLDAIWLLAPPVERVFFYANATGNDVLSNLKDSLSRTLRDFYPFAGRLRLTPGTPNRHELHYKPGDGVNFTVAEYHHAGFDELAKDDPTEVAKILPLVPTLQAGGTLLAVQATMLSGGLALGVTFHHGACDGVSSTHFLRTWAWAAAAYAGANAPQPPVIDRTFIRDRDDLYDTFAPHRQSSGDEDDGGKPLGVISPDVQQLLATFTLSKEHLQRIKEAVAREAEHRGVPTPRSTSIVAAFGFIWHCHVRAKQGSEGEAAASSGGGGDRAHLLFPADHRARLDPPVAEKYLGNCVGPCFVSALRKEVAAASTDGLFTACAAVAASIDTVMRAEPGYWDGIMERLIEVFSAAELPLTVAGSPRFRVYDTDFGFGRPVKVDVVSVVRTGAISVAEARGGGGGVEVGISLPADGMERFRECFADAIAWLPSSP >cds.KYUSt_chr7.5261 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31376153:31376557:-1 gene:KYUSg_chr7.5261 transcript:KYUSt_chr7.5261 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRPLHSLYVHPEHRGRRDLLLSAPTSSNAPLSPPDFPCTPPISPWQREPVSLSTAHARRRAPPWNDDVDVGLLRRSFSSFYLPFLHPSDALTQPFLSRNVVEASVVVFVWGAVDPGAVRVDVWERAGASQKT >cds.KYUSt_chr2.3045 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17871930:17874032:-1 gene:KYUSg_chr2.3045 transcript:KYUSt_chr2.3045 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGIGAVDGPKAAPSGALACPATFAASSSHASSAEATMGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRLIGCCNELNAGYAADGYARAKGVGACAVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFTQELRAFQTVTCYQAVVNNLDDAHEQIDKAISTALKESKPVYISVSCNLPGVSHPTFSRDPVPYFLSPRMSNQMGLEAAVEATVQFLDKSVKPVMVAGPKLRVAKAGAAFAELADASGYAVATMPSAKGMVAETLPRFLGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKEKAVIVQPDRVTVGNGPAFGCIMMKDFLSALAQRVTKNTTAYENYKRIFVPDGEPPESEPGEPLRVNVLFKHVQKMLTGDSAVIAETGDSWFNCQKLKLPDGCGYEFQMQYGSIGWSVGALLGYAQGSKDKRVIACIGDGSFQVTAQDVSTMLRCEQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTAKVTCEEELTAAIETATGEKQDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >cds.KYUSt_chr7.1897 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10894609:10895445:-1 gene:KYUSg_chr7.1897 transcript:KYUSt_chr7.1897 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTKKLGPLLLTFFLLISTTFAAPATVACDCDKPKHPKPSHPAPSTRPSYPKPPTKGPKPAPKGPTHPRPAPKVPVHPPIVCPPKGHVPRPRPPVYVPPKGPVTAPPVIVGPPVVYPTPPVTHPTPPVTAPPVTYPAPPVTAPPVTYPTPPVIAPPVTYPSPPVTAPPVTYPSPPVDTPCPPPPPATPASQTCPVDSLKIGACVDLLGGLVHVGLGDPVVNKCCPLLQGLVELEAAVCLCTTIRLKLLNINLVLPLALQLLLTCGKTPPPGYTCSI >cds.KYUSt_chr2.53892 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336392516:336396424:-1 gene:KYUSg_chr2.53892 transcript:KYUSt_chr2.53892 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVGGRLELARSAACSVGSGWRGEWWMRCLAGLEGEREVNQILSFAWICLTELASDVVVEVGEVSFNLHKFPLINRSSTLQKLITESSSTATTTSDDGKPCTLHLDDLPGGPIAFELAAKFCYDVRFELNAANVVPLRCAAEHLGMAGEGNLVDHAESYFHRDVLSSWDATVRALQACDGADGEVLPLAEDLLLVPRCIESLASKACTDPTLFGWPMVENYTARSSVDGAAAPPVMWNGISTSGKPRSPGAGWWYRQASSLRLPVYKRLISEMRSRGMSPEGIAGSLTHYARRHLSGLNRRDAVSGDASDTAAAPSDDVVGEQRVLLEEIVALLPAEKGVTATRFLLGMLRTATVLHAGPACRDVLEARAGEQLEEATLEDLLIPNTGYSSETLYDVDCVLRMLEQFLLSNTTAYGADGRSPEITADEVPSGELMPASTVARLVDGYLAEVGTDASLKSTKFQQIAALVPDYARSLDDGLYRAIDIFLKAHPWLTESEKEQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTTMARWFFVADNNAVVDQGSPSSRQKSCDELDFTAASEDNNYDDDEVLVYTPGNSDQQESAMSVEEIRQRVVELEDECSGMRQEMHRLGKPKGALGRLFRKLGIGGGGRPSQQQPRLPSSGAEKRSRFLDLGC >cds.KYUSt_chr5.22918 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149686277:149690907:-1 gene:KYUSg_chr5.22918 transcript:KYUSt_chr5.22918 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPPSSVAPATAANTKRTPKRKPKKKSAGPSALNPNWAQLQSKLPASTFLGKRKRRPAPSPPPQPSPDPDAAEVSVKLEPTSDDTSLTKALALDCEMVGVGAGGSKSALARVTLVNSFGNVVYDEYVRPMERIVDYRTHISGIRPKHMNKAKDFSVVQKDVAELITGRVLVGHALHHDLKVLLLGHPKKDIRDTSEYEVFRREGKRRSLKDLAAQELSVKIQQQEHCPIEDARAAMFIYKKHKKGWEKNRKDQFRFKNKLKKRGNKKPAEGNEKDPNVPTVLL >cds.KYUSt_chr2.25602 pep primary_assembly:MPB_Lper_Kyuss_1697:2:156613981:156621595:1 gene:KYUSg_chr2.25602 transcript:KYUSt_chr2.25602 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYIAATNRVVSVVVVVEREEEGKTVQRPVYYLSEVLSLSKQNYPHFQKMTYGVYMAATKLKHYFEEHPMKVVSEAPISDIMCNKDASGRIAKWAIQISPYVPVYERRDAIKSQALADFLVDWAEMQYKPPEQRIEYWKMHFDGSKLKEGLGAGVVLTSPKGDHLREAVALEEVQNCVVKLRSNPQRHRNKVYVGCGAGFGGDRPMAALKLLERVEELNYIVLECLAERTLADRYRVMMSGGKGFDPRVKEWLSMLLPLALDRGVCIITNMGAIDPPGAQKEILNLASNLGLEITVAIAYESSFSHSGNSVLSNESSGVGQGRSTYLGAAPIVHCLENYKPHVVITSRVADAALFLAPMVYELGWDWNDFEELAQGTLASHLLECGCQLTGGYFMHPGDTYRDFSFEQLLDLSLPYAEVSYNGEVCVGKAKDSGGLLSYSTCAEQLLYEVGDPANYITPDLVVDFSDVQFHQISKDKVHCKGAKPSNPCRPEKLLQLFPNESGWKGWGEISYGGQECLRRAQAAEYLVRSWMDERYPGTEEKIVAYIMGYDSLKAIGGNKDSCSAKEVIDARLRMDGLFDQEEHADGFVQEFIALYTNGPAGGGGISTGQKKEVILQKLLIDRENIFWQVNVKNSIIPSSENQSANIEKGQVRIIQKKKYAGSYATGIQRLTTNLKEPPSPVSAPSGSEIALYHVAHSRAGDKGNDLNLSIIPHFPNDIGRLRNVITPHWVKNAVSPLLEFSSFPNDQATQCPNNLLEHVLVEIYDVPGISSLNIVVRNILDGGVNCSRRIDRHGKTLSDLILSQKVILPL >cds.KYUSt_chr4.3293 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18700916:18702987:-1 gene:KYUSg_chr4.3293 transcript:KYUSt_chr4.3293 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFGVPLSVLVAIAAACGMLLGLRASRRPTDDRMRGIPGTMGWPVVGETFAFIAAFSSPNGILSFMRDRQKRYGKVFKTYVLGRTTVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGDEHKRLRRLIAVPLSVDALKKHFDFINHLAIQTLSSWAGRRVLVLNEASSFTLKVIANMLVSLEPEGKEQEEFRANFKAISSSFASLPLKLPGTAFHNGLKGRNRMYEMLDAVIARRRHGGEVREDFLQTLLMKHARGEGEAATKEEEEKLTDAQLKDNILTLLVAGHDTTTAGLTWLVKFLGENPAVLHKLREEHLAIREGLNGEPHLRWSDVNNMPYTTKVMNETLRRATILPWYSRKAAQDFTIDGYEVAKGASVNLDVVSIHHDAAVFSDPEKFDPDRFDSTMKPYSFLGFGSGPRMCPGMSLAKLEIQIFVHHLVCTYDWKALENDDSVQPTLVRMPKNKYPVHATTI >cds.KYUSt_chr3.48518 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303492265:303500468:1 gene:KYUSg_chr3.48518 transcript:KYUSt_chr3.48518 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPWQAGSADVYFRYLPGNRSGTPIPSALSSSLLPPPSRHCPVAFLQFLQFPSTSTLFYQKKSTVAGCSDDAARAEVVSAIIDEEEDRGYDASLMTAAAGDGHRDALYSLAVIQFNGRGCSKNDRDLHADVARRLARPRRRAPRVGTACRMAMACAARCSTGAASSSRPTPGARGRVGLALQGLSRAPLVPAQRLLMPRRRRPRTPPTGSCLSVSIWQSPFMESLLTAPPCKLQEQVAPRLGRRPHGAKQPTQGSAELSSRPDNHSFAVLVVHPRDYKRVIQRTSLETSDFLKDDCLKI >cds.KYUSt_chr5.43472 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273863440:273863691:1 gene:KYUSg_chr5.43472 transcript:KYUSt_chr5.43472 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPTRRSRTRASGYLSLAKWTSSLSAPSPSSASPCPAALILQVAVYWWCGDAAGHVSTTVSTPVERPLVCNPNVRVLVVLR >cds.KYUSt_chr7.10780 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66205753:66216446:1 gene:KYUSg_chr7.10780 transcript:KYUSt_chr7.10780 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGVAAVMKMAVEMAAVSMEKPSGALPRPGRVPEQSSVPRIGVSRRWRRPRSLSRSFVNWYRSFRMVWLLDEEYDMVHRVVHMTEKGTDLQPLKIRYHGTSDIPYDERYTEFIRPTGLLPFISLVSRGGPLMNPSALTALVDRWRPETHTFHLRAGEMAPTLQDVSMILGLPIQGEPLCMNTASMGGASRCRLDEACRRTGSRTRSGGIGGCMLLLSVWSWDRLSVGRPRVLNERPWPHYPHSLDREPTWAYLWDNVSEMSGDPKIMYMQYTAELDTLTAEQVEWEPYGSYYRIGASMTDLNHKCTEEARFWRMRCPLICMWLVEHHQPQRVMRQFGLYRSAHQMWQDTDKALHRLDRQRQRKITNWPVHHSGHIASFQQCLEAARNAGPEQIVPHNFDAFNNYLEWFHENTRIELVKHAYPEEILDDPIQFDEVGQSQHDTFARRGRSTSIASELNFVRKEIEKTAEECEVMWEQSGTDDKPVGPLRYFIKNTARKMRRLADLLGCSEAEIATSSSSEEREIPEDELILSQGILPKRTSKQASRSAYQLKPRGKGPNRYTPEDYVNRGKKVVTEEDEGPRRRSALSKMRNDEPFSSEEEEEEEEEQQEQQQEQQEQPRQRTKRMAIRKQPGDVPLLSLEALHQLHIFIFVLGFVHVVFCATTILLGGAKIRKWKHWETRIHREIQQKFAVQQTEHPGKVTPPHVLLHREYQGEFVTDRTKGFWTKLAVVSWIIAFLKQFHDSVSKSDYVALRSAFVLIHYPRRPDFDFHKYMTRALEHDFKRVVGISWFLWLFVILFLLLNINGWHTYFWLAFLPLFLLLVVGAKLQHIITRLAQEAAASLADETNQVPKIKPSKEHFWFGKPSIVLHIIHFILFQNAFEIGFFFWVLVTYGFNSCIMEQKAYAISRLVIGLIIQVVCSYITLPLYAIVTHMGGDIKLEALGSGLHESVANWATGARKKGRSDTSLRNSLTAKKTDPAAALPGAGAGGNEVAIARAPNERFGSSRNMLALAAVSDGDEIVSVVVHDGADTRR >cds.KYUSt_chr1.39491 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241637320:241639523:1 gene:KYUSg_chr1.39491 transcript:KYUSt_chr1.39491 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVFDSHVREWMCDMQFNSTGPDGQPHLSSRDHGGDGRVRAAAALISLLCLPCPGPLQIGSPHSVLSPSCPPARAAVAGAAGAVPLLPGAQARVFRLFGQARRGLRFVPVARPPPRRVARYGLATVAVLAAWRDGCPAPAPLHVPPPPGSLPPSSFEPTVFSSAQRLLLCSSRSTPAPATDSQMLEFSCAPPRAPPALAASPREDGGWQQVGSSRRSGRTFPSPSPSTRKEALERSLAFKRWARGRCFRCLERGHHVGTCQEPFRCIRCRRPGHRERNCRRRSPAGRSPTPRSCSPSVVSPRPAEARSWAEVVRHSPPCASVPPSSPPGVGGDASANAVRDSDLKAQFASLRMELLQLGADRIEEVTRPLRDEAAAIKLWLARVVGSWERAEEAATCGVGRAAVGASDARLRDAGLLEFFGPFSPVRRPCDSSPLGFDVFRLPLEGCPDLAPLLPDVVDDKVVSPECLQSPIPDDVEGFGLAEFFVEASSVERSRLEASAFEHDDVVDVLATPLVPFIADPEVADSTKLCDFLANLASKKRALMSPLCEPLEENPAASVVVSETVPAEDIHVDPGDPAADKLNAFLSSVFRPVPPPILASPPSRRARAPKEVATTPRRSGRIEKQKQLRKDVTSQELLARVLGILKENAEFDDNALDAFIDKFKTPLPPRSITMLGSLVKNVEKVKKPKGNKVGAKKKAAEIT >cds.KYUSt_chr4.12914 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79409782:79413118:1 gene:KYUSg_chr4.12914 transcript:KYUSt_chr4.12914 gene_biotype:protein_coding transcript_biotype:protein_coding MVASEPVSAGGASPSPAAATRRRSSRLARVLAHALLEWILIALLLANGVFSYLIAKFAALCGLPPPCALCSRLGVDGLFSTSARRRGGGAEPLRRVVCDAHAAEMSRLGYCRAHGRLADAADMCEDCGAAAAAAGKELLSWMRRSELGERDLACACCGVALESGFYSPPFLLPTPAERDLGCGHKQDDTVACLHGDVVFVAEDGPVIELFDEKPLVDDHDSAGVMPAHCAGIAANAEPPVPLESTDPLATCVAAVPSESSKEALDHETLEPDYAAPENEVNANEEKSVVASDDDDMVADTVDRSIDGEIAALVVSVASLENDLNYETNAGDSAESLADHHSPQGNNGVEVKYQGISIVDEMSEDDEQVEQGAAKHELFSMPSDTRGQGFIDDKSDGNTEAVPAQQADIKNEWDAMPVECGSFDSEVSNENTEAKHVDVEFEDEITAQAESQQELDSAALDSWEQVTETSGKDDIEVDPTEAFLPSLHQLSDGHSISSDKSSPDCSDVEDKRVPDRPTDVEGISYLQELPDPPKAVISVTKSVDSSVATMSTDLGSVELVTVDQLKSALEAAHKSLGTLYAELENERSAAAIAADETMAMINRLQEQKAAMQMEAMQYQRLMEEQSEYDQEALQRLNGLVVKRDKERQDMERELELYRHKIHLYEAKERRKMSRHKADDQNGSSSASSSAEDSDDLSQSFYEGDESTHGLNGSNGSADVLHETASHIVTIDGSLADCEEERLSILEQLKVLEERLFDLEDEESDNMKMGKLFSEDNQLNDASNGFSDDDINLKLHESRRSASYSRKKLLPLFDDATVQNGNGILTKQYTEADPSAEAVLELAKEQDKLAIASEINQVHERLHALESDKEFIKQCVRSLNKGGKGFILLQEILQHLRDLRRIEQRARNSGELSPHYVHPYMD >cds.KYUSt_chr4.50096 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310375105:310380645:1 gene:KYUSg_chr4.50096 transcript:KYUSt_chr4.50096 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRIGSCWSVNVWQDAWIPGTISMRPAAHIGREEIVFVSDLIDDENGMWKVDKIRRNFIVPDADSILNIPLRRGGEEDFWAWNHEKTGVYSVKSAYRALVTRNEQPTLEEGTITETSEAEKRMWKSLWKLNVVPIVRVFWWRIVAAHSSRSSPEKEAAPDDATASRSFLIYSNIAAHNSDDRRSADDLSDPLPPPPRVMKSVNAESPRVPALRYCELMKIRLVGFSKSMSMKFPVLEAVGNRKDDEGGHDEVEVFFTDVCTADLLHSDTYERV >cds.KYUSt_chr2.38783 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240432833:240447273:-1 gene:KYUSg_chr2.38783 transcript:KYUSt_chr2.38783 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASTPRPSLTASISASMAPSSEKNTTIFRSEAWQSVDADAGYPDWVLLNMTARISKDANETTAKYCAILEGRTEALEVTFCLVHPPDVSRFSVHSPGLRDSDPPPFILCAEGPFVLFCVTLNKYQHFFVYTASGEPSLHRLPEPDRKALETQQFGLLPCSSSLHYQVVFLDTEWTESTDHDIQYYAYVYSSKTTAWRRSKPPLLHLSGSDQAYFEIYGHASCKQITVGADSVGWVDLQFGIILARHLSSDRPLVEFIALPRSRVCITDKDNDPYYAPEFFCDVICCGHNMIRFVELDFDRPDCRINGNRWKAITWDRHISWDDWHKGYTVDVDDIYVDQSYSSLLPELLNEETQLLELRELEILIPTLSMHEESLLYMMAKLKDEDSTAWILTIDLKHAALKGIVQVLAKPFYTITFCCPCDFPKYLSGTTTTPRKELVELDASHNKQKKKNDRSHKHEALDQGKYCKKGGTTTTPRKELVELDASHNKQKKKNDRSHKHEALDQGKYCKKGGSIVHGRSLEFKMEYTCKSYQLGHEGNLMFEKDLKQLVEYLGRPYPEFFGIPLNHPSGGQPRWEVTADLRGKLGAPIWDTIWFSVTGTTWKEGIARAMQEAIARLCGQNVNKIKNTRFVYYPRHDPMGRPITMPPHPEMNHYVAYLDFMMYKTCKELDNALAFHQAHYP >cds.KYUSt_chr3.25022 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155302195:155302419:-1 gene:KYUSg_chr3.25022 transcript:KYUSt_chr3.25022 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPICVQCGTRSNPCRCKVIGPTLGFVALVVAGVVEWPLGAAVFLFRRRKGRRIMGHPAGVVYPRVNGAIPI >cds.KYUSt_chr5.15545 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100448698:100449878:1 gene:KYUSg_chr5.15545 transcript:KYUSt_chr5.15545 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAEWAFDIQWRAMENVSHRSREVKLEANRVVVAAAKEAKLMERAAAMAAPHTPAHSFVITAWSGSQGSVSSPSPSTASPSPFFHDAQGNPPHLSCFSPSCHDFDPLGGFNPNTFAAPNTFTAGDLHMLAGSPELRLTLYSGGGLPPHPGPHPSYQQFAASTSAQAPFGAMPGRAIMEDMIIDGTSGQPSFTQQEAEAYTDDEEEDDDVGWENDVYTYAEAPEEITWASVMERQDIKLNLIKANIIAKKRKEDLAILLVDTSGMDDDVKAWCAAQLAMILAESRAPPAPQPSTATPAMATTPPVTDASPSTASQPSPIATPTTSTIIPDSLVEEIA >cds.KYUSt_chr6.11831 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73539048:73543484:1 gene:KYUSg_chr6.11831 transcript:KYUSt_chr6.11831 gene_biotype:protein_coding transcript_biotype:protein_coding MALEMYCFRSSIVMHFTLIQSKQASSSSNQYKIKDLENDGIARYWVKVAEANMIAEGGYFDGSEDAILMAGSLIHDSLESICDNTEIEQGNFHGASFIEDVCNPTNLTSEPAQTINHIQQRAGLAMQQNLHGHMIQEETQVETSNWVPSIFSIPNHILSHQSMEQQMNDCDAASYPNGAHTAAPDLLNLLQIPRYSMTPAFPSTKCIFGDPGQDAGNHLDIQNEVLGGGIHDIGMMLSDSTLPLHYNGNQPQLFKDLYHSIPQSYGLFPSDDERDGTMEVVGGAGNIFQEMDGRQFGSQLLGRKSKKGGFGKGKTNFATERERREQINVKYGALRLLLPSPTKNDRASIVGDAIEYINELNRTLKELKSLVEEDTKYRIKRLKLDDTTPDDGDSSSLQPVRDDQENQLNGATRSSWIQRRSKECHVDVRIVGNEINIKFTEKKKTNSLLCAAKVLDEFRLELIHVGGGVIGDQRIFMFNTKIPESSSVYASALAMKLLRAVEMEHLAADIFS >cds.KYUSt_chr4.48180 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298364587:298370326:1 gene:KYUSg_chr4.48180 transcript:KYUSt_chr4.48180 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLKLAALCFLLLVSSLPLLAHAECECETSEEEHDKAGALKLKIIAIFCILVASAAGCGIPSLGRRFPALRPDTDLFFAVKAFAAGVILATSFVHILPEAFERLGSPCLVDGPWQKFPFAGFVAMLAAIATLVVDTIATGYFQRAHAKKASAAAGYLEASDADHTQGGHFHGASAAIASSSFAGESDDGAQLIRHRVISQVLELGIIVHSVIIGMSLGASESASAIKPLVVALTFHQFFEGIGLGGCIVQAASHPGCRYPEQPSPDGCIQNPYAHAEPPVVVLITMESKRFLLWVYFMGVSSTVPRSGKPGWPTDGDEACGPSGGPVAVDPDGRCPAQEQGAGSNRH >cds.KYUSt_chr3.47740 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299229159:299229362:-1 gene:KYUSg_chr3.47740 transcript:KYUSt_chr3.47740 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRNAALEPLLRIPSSGGNEHREATMRLGDGRGRREERARQKLDAAHDWDGDGPRPPASGTTRTCG >cds.KYUSt_chr6.22211 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140338369:140339277:1 gene:KYUSg_chr6.22211 transcript:KYUSt_chr6.22211 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIWDAHYVRFFCDICMEEVNANNRDGGCLSRKGYKNLEDKFAEKTGKRLTKKQFKNKWDSLKKEYTGWMELLNATGLGWDSETKTMDADNDWWQTHLQYRPEHVKFRYGPPANLTQLDVMFNKAHVTGESSAIPGEEEMGADKEAAIPVDDDDDASKKTPNFVKRLKFSGGEKEKESPFYRDYKTALTSLVSFGRGDAGGSSGMGDSVPTMKEFLSMVRECGIKEGTDLMFTASKLAVKREHRELLAAFETSEGRLDYLQRMHNEMNK >cds.KYUSt_chr5.15879 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102420315:102426507:-1 gene:KYUSg_chr5.15879 transcript:KYUSt_chr5.15879 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRWPERSPAARSNDNGYVETDPTGRYGRFDELLGKGAMKSVYKGFDEVRGVEVAWNQANLADVLRTPDALQRMYSEVHLLSTLRHDSIIAFHASWVSVSSTSPRGTGGAGCGRTFNFITELFSSGTLRAYRLRYPRVSLRAVRGWARQILRGLAYLHAHDPPVIHRDLKCDNVFVNGHQGTVKIGDLGLAAVLRDTQTAHSVIGTPEFMAPEMYDEEYDELVDVYSFGMCMLEMLTVEFPYAECSNPAQIYRKVTSGKLPDAFYRVEDADARRFVGRCLVPASHRPSAQELLLDPFLSTQDTTMTMSTPPSLPALSTRSDRKDEKAAAGRTDMTITGKLNSDSDTIFLKVQITDESGHTRNIYFPFDIAGDTAIEVATEMVKELDIENRDPSEIAAMIEQEILRLVPDWAGGNCGDQQEYYTYADDDDNEEQPPFYYLSSSPTSSHGSHCGVGPTASGLQGGHGDWLQDYGVSSDDDDTSSTRSGLHYSSEEAQPEENPSGVSKTGPVKATRFGPGDSSSAGQNVSPHAGRPRRRGGSPDDSGGDDGGRRGRQQQQQQGRLTRNRSMVDVRSQLLHRTLVEELNKRMFFNTVGAVENIGFRRIPGYGGGPSSSSGTRTSSRGGGEQRGRRSGKENKHQFFMV >cds.KYUSt_chr3.47521 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298045331:298052698:-1 gene:KYUSg_chr3.47521 transcript:KYUSt_chr3.47521 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGGDGGDDDEDEDDGDGDDVQLDVGDDGVDFPLREGISPADFSLPESSFFSGVFRPAEAAVTLRDYPLELRDLNEVKLSWNSGDVNISSEAYGALGPHEKRQTSRKILDRREAMASMAEDPAANGKKEEEEFSTGPLSVLMLSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >cds.KYUSt_chr2.33970 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209987737:209991078:-1 gene:KYUSg_chr2.33970 transcript:KYUSt_chr2.33970 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSSVVPALVICWLSLVLLLHRCRICGAVDRERTLAMIKPDGLSGNYTDKIKEAILESGFDIVQEAVVLLDAERASLFYAEHAERSFFDSLVKYMTSGPVHAMVLERHDAVSHWRALIGPTDARKAKASHPNRGTIMVKHDRSSMLVEEHNQPSILVAKKERPEVEAC >cds.KYUSt_chr2.6617 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41275199:41279859:1 gene:KYUSg_chr2.6617 transcript:KYUSt_chr2.6617 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKHGLALLLLLLLFLLLLILIIIMMQSEKQQKQNTTVVSHLPGYQGPLPFSLQTGYVEVDESNGVRFFYYFIQSERNPAEDPLLLWLAGGPGCSGFSALVYENGPLSFKSHSYVDGLPELVYRPDSWTKAKDGYNSSDTKTIDQIAIFLTKGYILGNPLTDRKTDFAARIPYAHRMGLLSDGQYKIYRESCGADTDAAQNVECTNCLESIDKCLKGINMHHILEPECPEYDGNSVGGRILLGHNNAELPLSDICSECREAGYTMSNIWANDKGGTVRSWLRCNHGMPFTGDISSSVEYHRSVTTSGYRSLIYSGDHDMTWPFIGTEAWIRSLGFPIVDEWRPWYVTGQVAGFATVYSNNFTFATVKPSRSVALDTFTQFVAVADVLHSALGGFARTFVSASDDDAYLRHSPSSRRRRKTLPPLVPQALLGGRPVVEFSVDCSLGAVEVCWCGHGRDCSSAAEDKAPSS >cds.KYUSt_chr4.8919 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53582012:53584810:-1 gene:KYUSg_chr4.8919 transcript:KYUSt_chr4.8919 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSIWKPLSHCASLLMDKKHRPPRSPASGAGAGSGGGRRLQESKLREALEEASEDGCLTKSRDAALLDAAGDDEGGASVGRSRSLARLNAQREFLRATAVAAERAFLTPDALPALAEALATFLSMYPKYASSEDVDRLRAGEYPHLDKVCLDYCGFGLFSYLQSCNAADSSVSFTLSEITANLSNHALYGGAEKGTAEHDIKNRIMDYLNIPESEYCLVFTVSRGSAFRLLAECYPFATNKRLLTMFDHESQSVNWMAQSARDKGAKAYSAWFKWPTLKICSTELRKQIATKKRRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMSCLQSPNGGTGAGMVRILPVFPQYLSDSVDGFDGVLDGLEDDTIIPVEEESATSNSHHASQLPAFSGAYSSAQVREVIESEMDQDSSDKDGASTIYEENESVSVGEVMKSPVFSEDELSESSFWVDLGHSPLGSDHSEQSGKGKLGSPLPASWFSGTKNARKTSPKVSSKLARSPIHDNHVSFDAAVRSVSHEQDHVKEIPEEDCSYNGKVSEIEEYQDGHENKRYVKFSCTNGATEGSSASVFGGYAANGNGSTSEICSENQVEAKDSAIRRETEGDFRLLGRREVPNSRFNGGRLFGAEEAERVSSMGRKVSFTMEDSRLSRNADAGETSGYAVAEEEDDDDAYSDYDEIQDGRREPEIICRHLDHVNMLGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGDGVSLVYIYGPKIKYERGAAVAFNIKDCNTGTSLINPETVQKMAEKEGISLGVGFLSHIRLMDNQKHGVVDVGLSSSLCRPTSNSRQEKKNSKNAIVGIEVVTASLGFLTNFDDVYRLWAFVAKFLDSSFLEQERLSSIPEDAER >cds.KYUSt_chr4.54172 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335037587:335037862:1 gene:KYUSg_chr4.54172 transcript:KYUSt_chr4.54172 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAGKQMIRDDGDDRQQQQQRSLWPSRQQAILVVLLLLFDAVAGMALYRFYKLMAAPAPQLRVCELGPLVFNRLNSTMGELTLNIALCRR >cds.KYUSt_scaffold_6468.444 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2128099:2131340:1 gene:KYUSg_scaffold_6468.444 transcript:KYUSt_scaffold_6468.444 gene_biotype:protein_coding transcript_biotype:protein_coding MWHCLHGLIDLELKVIVVQGCFEGGPSSVWQLDREKKSDAAVEYFALADLWEHYAESSANGLAVPVRHLGHAGESTVVTQHFVPYLSAVQLYTATRPTASIAIPRSTGSETDSWSDDSAGGGERFARSSWDAASEDDDDSAYYGAASSKRSGYLTFQYREWDSPYDRVPLADKVSELAQEYPCLMSLRSAELSPSSWMSVAWYPIYHIPAHGNMKGISACFLTYHSISSVFQDNIHRSGPERDGGETTALSPFGLATYRMEGDLWRRPGSSDPRRLSELHWAASSWLKQVGAHHPDFAFFTSYH >cds.KYUSt_chr5.31411 pep primary_assembly:MPB_Lper_Kyuss_1697:5:199081981:199084820:1 gene:KYUSg_chr5.31411 transcript:KYUSt_chr5.31411 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTTREMQAMAAGAGQISLDDLRNGHVGAVNGGGVHDDFLDQMFGSLPPSAWHDLATGTKPNDGAQGEGMQQFGGPFDESVMLASRLRQHQISGAGGAAATKQMVLQQLADLRQGHQHHMMLQGMGRSPSVGGGGDGGMLLPLTLGNGGSGGDVQALLKAAAANSAGGDAGVYGGFAGSLQQQQHFQPHPQQQTAPMPSPTFGAGGGAAPGGGAQPQAGSGGGAPAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKADKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEGRGGGPAAGSEGLTVTEQQVAKLMEDDMGAAMQYLQGKGLCLMPISLASAISSATCQLRPPSAAAGPPFTAAQHQLNAAMRGAMNGASDGAAHMPPSPSMSVLTAQSAMANGACTADGNPKDAASVSKP >cds.KYUSt_contig_7589.30 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001601.1:231307:232465:-1 gene:KYUSg_contig_7589.30 transcript:KYUSt_contig_7589.30 gene_biotype:protein_coding transcript_biotype:protein_coding MERLREWAVVTTSCRCVPSSICSRCGSSAEPSFMYASTTHSKITCNISSAAHGNGTPNGGRVLGARLATEEEEEALVNFMTVWARPAADSRPWLEQGDLRDFLVGAERGRAPGHGVMQLHARIQRSIESWVAGEEANTCPLSGAVSKLDAPSPTNKELPVPASESSPTTYES >cds.KYUSt_chr1.28163 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169889826:169890729:1 gene:KYUSg_chr1.28163 transcript:KYUSt_chr1.28163 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKAAAENAEKAAPLKLLGSWASSYTHRVQLALRLKGLEFEYAEEDLGSKSEALLRNNPVYKKVPVLVLPDGRALAESAIILHYLDDAFPSARQLLPADPFDRAVARFWCHFSDDKLGPAVGAVFASTGEEQEAAVRQVHENLALLEAELREGAFKGRRFFGGDEVGFLDVVLGCGSYWLAVFEEVTGVQLVDADAFPLFHAWLRDFEAQEEVRETIPSVDRLLDYARGLRQMLLAMAAGAGAPADAPTAAPPSAAPPAPATADIAVDI >cds.KYUSt_chr2.17571 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110694763:110695217:-1 gene:KYUSg_chr2.17571 transcript:KYUSt_chr2.17571 gene_biotype:protein_coding transcript_biotype:protein_coding MCHALPPPPSEFLLPNLPILLHYTAGGSSCVFVIRSQRYALDVNVERVEDMVTHQRLLEEARYLQHRLSLEVGVVQIAEILSKLQIKEGAKRDCDVGWLQNKKVYNVLQQQ >cds.KYUSt_chr6.24720 pep primary_assembly:MPB_Lper_Kyuss_1697:6:156335885:156337147:-1 gene:KYUSg_chr6.24720 transcript:KYUSt_chr6.24720 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVATSSPAAMSYGWLGPRLSFGRDSASPAASSTAAADHGLATACKAEQAAAVSKEFIDFEFSFGGSGTMLPADELFADGKLLPLRPQPVAEAAPDAEREPQSAPAAAEIPATPELVRTLRPSMPEAFDPYVFSPKAPTCSSRWRELLRLRKVQTPQKPPASPSTSPSPSQVPATPSRASNSSAARSLKLLLLQRNGGGRASDLSAAPLLRDSSDSETSLSLASSRFSMSSSSSSSAHDHDDFPRHSVDSVDLAPKPRIRLVRSQPQQRHCHPPAPAPASAPPRASHSSSAPPRAMHSPARRRPATPPPPSVASVDSPRMNSSGKIVFQGLERSSSSPAGSAHSSLRSRSRVMDRSYSTPVVLNVPVCSRPSFGFFKDKKDVAAKDAAAARLRSSLGRKTAHPAGNSSVSCRDLVSSK >cds.KYUSt_chr4.45609 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282222365:282229596:-1 gene:KYUSg_chr4.45609 transcript:KYUSt_chr4.45609 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEEDKRKTCAEEDHRHRATLQVIVGSMAARLLLFLALTWLHAPRWASAQQPEQEATVIVKGSAKIAETDPSYVCATMDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIKAFDNLRIRLGGSLQDRVVYEMGTNSPCSPFTNVSNGLFGFSAGCLSMDRWDKLNDLFQQTGAIITFGLNALDGRHNVQRSFWAGKWNSTNAYDFVNYTVSKGYPVDSWEFGNELSGHGTGARVDAELYGKDVTELRSILRKLYRAPLSQPLLLAPGGFFDQQWYTRLLQTSGHGVVSALTHHIYNLGGGDDVHLMRKIVDPKYLDRAEDTYRDMQLTLQRHGTWASAWVSESGGVFNNGRHLVSNTFMNSIWYLDQLGMASKYNTKVFCRQTLIGGNYGLLDTETFLPNPDYYSALLWHRLMGNGVLSVDMNAPRKLRAYAHCSKRHQGITLLLINLSNSTGYNVTLQNDLNVSVDRTSGHRRRHSSFTKRAVSWLGGKAASETTKRDEYHLTAKDGDHQSRTMLLNGVPLELEDDGNVPALNPVPGAVDSPVYLAPTSIAFVVLPRFEAKACS >cds.KYUSt_chr4.51124 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316926922:316928658:1 gene:KYUSg_chr4.51124 transcript:KYUSt_chr4.51124 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQKRPANYVPLSPITFLPRANAVYGDRTSVVYHRVKFTWRQTHERCRRLASSLVRTLGLRRNDVVSVLAPNVPAMYEMHFAVPMAGAVLNTVNTRLDAKAVAAILRHAEAKLFFVDWEYVRLASDALQLLADSGAPVPLVAVIDDLDRPTGVRLGELEYEALVAHGDPNVELPQLEDEWDAVTLNYTSGTTSAPKGVVYSHRGAYLSTTSLLMAWEMGAEPVYLWTLPMFHCNGWTFTWGVAARGGVNVCIRENRPAEVYRAIARHNVTHMCCAPVVFNILLEGGGDTARLGAPVHVLTGGAPPPAALLERVERIGFHITHAYGLTEATGPALACEWRAQWDKLPLSDRARLKARQGVSVLSLADADVVTDDDKMARVPHDGKSLGEIVLRGSSVMKGYLNNPEANEAAFRGGWFMTGDVGVVHPDGYIEIKDRSKDVIISGGENICSKEVEEVLFRHPDIADAAVVAMPHPHWGETPCAFVVARNKAAGVCEDDVVAFCRKHMAHFMVPKKVVVYGVLPRNALGKVEKVKLRDEARKLAPPAQKTKATKATKTTVSGGRRGEQPVAHVMAISRL >cds.KYUSt_chr4.17584 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110095886:110097172:-1 gene:KYUSg_chr4.17584 transcript:KYUSt_chr4.17584 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDGVSSRGAAGKGGRGKGPAVEEESIEELVGRMKLTEVESKKLFIDDREDVQADPVWALAGKILVPYPKVYHIQMIADVLRPAWGNPRGLVFRDGGPNLFVAELPSERERDRIWERSPWNVNKHAVVLENFKRSQRPSELRFDRLPIWIRVMNLPFNLHDHWGERIAKDLGDFISIDTTNKMLVSGKYLRARVSINVHEPLRRWIAIDSSLREACDWYDIKYENLPYFCFSCGLLGHSDLMCPEPGERDEKGRLPYWPSLRALDDRKKKSGPNVWGNFSGDYRAPDGSQAFNEHNNFSGAASGKTQENVNMDILSQNHPPQVPGGGRGRGRDGTGGGGRFQGGRGNSKVYRKLDVSAPGEPSEIDRALVIFDPKVSGEKRDERPAKDVKSPAPSPDPKKARVATDVLAPNSEIMAVTDDQSRQKQ >cds.KYUSt_chr5.35224 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222678655:222680664:1 gene:KYUSg_chr5.35224 transcript:KYUSt_chr5.35224 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTVPFFLTSTTLATAAKPQRQQLPPQPQPPPCDAQTPAASYTARMRLNPHLALRLFDHLLRSGADPDPIAYALALARCARERAHPAAAQLHGHATKRGAGSHRRVRNGLIHAYSVCGALHDARKVFDYGPEADMVAWNSLLRGHAQGRDAGALQEFFTGMPARDSVSWNTVIAWCVANGEYEEAVAVFREMLACRECQPDRVTLVSVISAIAYMGALAQGLWAHAYVCRKGIEVDEKLSSALITMYSKCGFIEGAVYVFENSCALRSVDTWNAMLAGFTTNGYSERALELFTRMESTGFVPNKITFNSVLNACSHGGFVEEGIACFERMTSSRGIAPDIAHYGCMVDLFCRAGMFEKAEEMIQMMPMEPDAAVWKALVGACRTYKNFELGKKAGHRLIEAAPNDHAGYVLLSNIYALDGNWKGVHKVRKLMVDCGVQKVPGSSSIELDGVIHEFISGDKSHSRKRDIYEMLSEICLQLEIAGYAPDTSQVLLDIDDEDVKESSLTLHSEKLAIAFGLISTAPGTPIRVVKNLRVCGDCHNAIKLLSKIYGSLHDSLNMNLR >cds.KYUSt_chr4.8788 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52719498:52720037:-1 gene:KYUSg_chr4.8788 transcript:KYUSt_chr4.8788 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSYDAAADGVATARVVLPSGELREYSHPATAAMALEEVGHGKQGWFLCDADAMGFEGSVAAVAGGEELRPGQIYFVLPGEMLRRRLTLEEVSALAVKASAALVKAATASSGAGRRRRGSVAPLVFEPSEEDYSDDAVMTSIAAKPVVARKRVVAYRAGRSPPRFSPDLTAIPESE >cds.KYUSt_chr4.46846 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289638815:289651146:1 gene:KYUSg_chr4.46846 transcript:KYUSt_chr4.46846 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPHSPSRPKGREGGVVSQSRVQGSSGSGARLADLGLQGGSQMVPNGVVAWVAHRLGVAVARWRSFALEAYADKSLVGEDTNLRNKEREAIIKKQLQDPELSPSPYDTAWVAMVPLRGFPQTPCFPQCVDWILQNQRDDGSWSISELVLPTSKSVLLSTLACVVALKKWDVGAEHIRREFFYKTGLQFIGKHFSIAMDERTYAPIGFSITFPGMVSLAMGMGLEFPVRQNDLDVILHIQQMELKSFSEGKSYGREAYMAYVAEGLGNLLDWNEVMKFQRKNGSLFNSPSTTAAALIYYYDDKALQYLKSLLSTFGCAAPTGYPINISCQLLMVDSLEKTGISHHFSNEIKSILDMTHSLWLQRDEEIMLDVATCAMAFRILRMNGYDVSSGELYHVAEAANFHNSLQGYLHDTKSLLELYKASNASISKTETILDNIGAWSGSLLMEQLRSYGVQKVPIFEEVEYALKFPFYATMERLEHKRNIETFDIQGYQMLKTEYSWVKGNRLDHLRFARQRQTYCYLAAAATIFPPELSDTRISWVKNAILTTIVDDFFDIGGSKEELENLITLVEMWDEHQRLKFHSDRVQIVFHAIYATVNQLAAMASAAQNRDVRKHLIEVVSFIYDILILHSIVLRQELSVCVVEDQEYDELFSLMSTCCRLLNDIQGFEREGNQGKVNSVSLYVLDSGGSLSTEAAKKAIHDSIALCRRDLLRLVLMEDSVVPRPLKYQNQILQHVMQKTVSRTVIHLLIQRSEVKLDVDWWSLVIRSVCDSEDSFPRAQKRDSEDFASFSPRLVFRKLDVDWSPPFAAQKYRVTLERIESELPPTRLAAASDLYLVSEPVAPKVFGCTCFVRDHRPSASKLDPKAVKCIFIGYPPGQQGYKCWNPTERRTFVSMDVTFRESEPFYGEQTDLSILFEGLDHLSQLTADQEGENTNGTVTPAENSDATTKGQPSPLVIGSDLISSESEADGAHVTAPQQGAHVTALQQGQEKQLQVYTRRRRENVEQGENAEQWEEDNLVQGSQIQEEEQSAEDRQSAEDSSSSAPLELDVKNAFLHGDLQEEVYMEVPPGMVSYENGGKVCKLKRLSMVRNNLQEPGLIGLEGPCVPWDIDNGNGDHTRVLLAFKPKDYYSGCLCG >cds.KYUSt_chr5.12335 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80474027:80477611:-1 gene:KYUSg_chr5.12335 transcript:KYUSt_chr5.12335 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPTPGEDNCQHKRSWFPLAADWLLHPIALSLKPSASSSREEAPASLGSRACRHCKSRPVAAAEQETGTKIPVELSFMEAVQGCRKTVTYETDVLCGTCNGSGVPPGTVPQTCKACRGAGVIFYQKGILSLESTCSRCGGSGKVAKNFCKTCKGEQLVKGKKSVKLDIMAGIDDNEIMKVRGQGGADVERNKPGDLFLTIKVREDPIFRREGNHVHVDAILSMAQAVLGGTVTIPTLTGNVSVKVRQGTQPGEKVVLRGKGIKAKNSPSYGNHYVHFNIRVPTELTPRQRELMEEFDKEESNDGVRVAAASG >cds.KYUSt_chr4.23247 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146420529:146422151:1 gene:KYUSg_chr4.23247 transcript:KYUSt_chr4.23247 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRATAIVFSLVLALAIAGDASAQLSTGFYSNSCPNMLTAVRSALRPAIARERRVGASIVRLFFHDCFVQGCDASLLLDDAPGIQGEKNAVPNKNSARGFEVIDAIKAAVEKACPGVVSCADVLAVAAEESVVTLGGPSWEVKVGRRDSTTASFNGANNDIPPPTSGLANLTSLFAAKGLSQKDMVALSGAHTIGLARCTNFRGHIYNDTDIDAGFARSRQSNCPRTTGSGDNNLAPLDLQTPTVFENAYYKNLVQKRALLHSDQELFNGGAADAQVRSYVSSQEAFFKDFVVGMIKMGDVAPLTGSNGQIRKNCRRIN >cds.KYUSt_chr7.25312 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157817876:157819804:-1 gene:KYUSg_chr7.25312 transcript:KYUSt_chr7.25312 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTAADVASRHVLELLRQCRSVHHLDQLHAHLILHGFSAVASVASQLVASYCALSGGAGHGGLCHARKVFDRTPYADRFMYNSLIRAYSNSGFPQKALCLHRDILRRRILPNEFTLPFVLKACTRARAAEHALATHGVVVKIGFVRQIFVANALLHSYASAGSPLRDARRFFDEMADRNVVSWNTVIGGYAQDGDTGEACSLFREMRRQGLLADEFTLVSLLLVCSSQGNLQVGRFLHCHMLASGSRVDLILGNALVDMYGKCGDVGMARRCFDMMRVKNVVSWTSMLCALANHGSVDAARNWFDQMPERNVVSWNAMISCYVQSGRCCETLDLYNRMKSLGLTPDEVTLAAVLSACGQNGDLASGKMIHCYVRDSFSDPGITLLNSLLDMYARCGQVDTAIGLFSEMPNKNVVSWNVIIGALAMHGRAQETVMFFRTMVSDAYAPDEITFVGLLSACSHGGLLEAGQYYFKAMLHVYNVKPEVEHYACMVDLLGRRGHLAEAIDLIKDMPMKPDVVVWGAILGACRIHGNVAIGKFVIKQLLELEGISGGLFVLISNLFYETHQLEDMKRLRKLMRERGTKKDMGVSSIEVSNGIHEFGVEDIRHESSNEIYAAVDQLSYHLVSLHDLPVQPVELIVEG >cds.KYUSt_chr2.23840 pep primary_assembly:MPB_Lper_Kyuss_1697:2:145436159:145445752:1 gene:KYUSg_chr2.23840 transcript:KYUSt_chr2.23840 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKSAPGGNLFYRRKQSWRADEFVNKSTLQLVSTFFIISHQLQSVSTFESKSLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAFKMMKLGLRLWSYVREEASYGRKAPIDPFTRDSSKPSASQGVPLGGMGTGSISRGFRGEFKNWQITPGSCEMSPVMENQFSIFITREGGSKKYSSVLSPGQHDGLKKSSDDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKISCRQISPFIPHNYEESSLPTSVFVYTLVNTGKERAKVSLVMTWANSIGGLSHQSGGHVNEPFIGENGISGVLLHHKTANNNPPVTFAVAACENQNVDVTVLPVFGLSGESSITAREMWGTVVQEIYKVLWNFSKVGYKPGARCIDELSLSYVWLSSCSILLLCQFLCILRLLGDVLSGSIGTDVWSLLWENSEPLMLDADKSSKSSLPEDSDLPLHNSTCNSTVPLIGSSPLDFDDKENVGKFLYLEGVEYFMWCTYDVHFYASFALLDLFPKIELSIQRDFARAVLREDRSRVRFLADGTWGTRKVIGSVPHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFTATDDMSFGKDVWPAVCTAMEYMEQFDRDDDSMIENDGFPDQTYDAWTVLGVSAYCGCLWLASLQAAAAMARSLGHADYAERCMVKFAKAKHVFEAKLWNGSYFNYDSGTSYSSRSIQADQLAGQWYTASSSLPPLFDEDKIKRTLQKIFDYNVMRVKGGRMGAVNASVNLYSTAYKGKKENFVGLHMRGTKEIEPDIHSTASVDRETATPESGIIAAHLAEELQRRAGRAVDSGIPLPVESKGRGHLRRNRNRGGHLDEVQVVDVCIARDQDVEQSPEWSDHGAVEGHMAHSLEPCVAERTSDIVDGEDGLAEEDVARVHPRLRQQPSEKLHLRRRTADPDEVRRRGGNAPNRKSL >cds.KYUSt_contig_4233.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000834.1:191981:193234:1 gene:KYUSg_contig_4233.17 transcript:KYUSt_contig_4233.17 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARPLLVPKPDPDAPPAIPLNPDIFAASPADTTPLTAEICALLRRELEPSPDDHTEFAQRLRLTQQRLDDISARLCSTPPPPPPPPPPPPPPVPSSPPPSPPPPPPPPRTYPTRSTTSANPAQASSSTASARSKKRPRGTPGAEMVRATVTTAADLLLVRNVSRRARLTFEALRGHYTRRGSTRPDMAALSTMLSRNLCLYRDKRIVGPVPGVFVGDVFNYRAELIVVGLHNHTQAGIGYVPASLVSEGHPVATSIVSSGGYLDDSDGGDVLVYTGSGGRPRNGGEHHADQAFERGNLALAYSCKYGVEVRVIRCHDCDASPSAKLYVYDGLYKVESTAYGPGKSGREVCKFNLVRIPGQEELGSKIWHASRKLASMLDTNTRPARLHHARPVQGQGGGPYPRLQHGGSEHLSH >cds.KYUSt_chr1.32683 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198462123:198465270:-1 gene:KYUSg_chr1.32683 transcript:KYUSt_chr1.32683 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGLSPTLIGGLVAVIISAVMESLRLGCASVDHSSMWATTKEAAMRLSSSLQDLPTFSRIDALERGSSIGGDLSSGRAKPPVRTLQREGPVASFSKERTPPSSPTNRKKCMRTVGCAIALFLLVCSIYASLRYFHVFLSEGSSEYYVILDCGSTGTRVYVYEWSINHNDGNTLPIALKPLGTAPKKKLGKLTGRAYQRMETEPGLSKLVHNEAGMKKAIEPLLQMAEQQIPRRAHKHTPVFLYATAGVRKLPSADSEWLLDNAWDVLKNSSFSCSRDRVKIISGMDEAYYGWIALNHHLNVLGTSSSEMTYGSLDLGGSSLQVTFETEKTVQDDTSIHLRIGSVNHQLSAYSLSGYGLNDAFDKSVAHLVKMVGGTAGNGKVQVKHPCLQTGYKEDYVCSYCHPLTQDGSPSVSGKTTGKEKQGTAVELVGAPQWDECSALAKVTVNLSEWSNTSSVVDCNIQPCALASTLPQPHGQFYAMSGFYVVFKFFNLTPDATLVDVLKRGQEYCEKPWDVAKSSVPPQPFIEQYCFRAPYITSLLREGLQIKDNQVIIGSGDGRTKTPLSPTVAGSEPHPFSMSHGLGGSSVQLMESSRQSLGSYHSYSVGSLGQMQFSSGSRNPGRGQTTLQSRRSQSREDLTSSLADLVQKV >cds.KYUSt_chr2.13072 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82956363:82960265:1 gene:KYUSg_chr2.13072 transcript:KYUSt_chr2.13072 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSLMLSGNGNGNGKVDACVGEASGISEEEVCVGDDDASCKGEASVVLSGKTRYAVKRRGTAEYPCVKRLRERRLLSFLQDQGFHGAFQALVDKTPVIFRVGHIRLLVEQGRWADALSYLNAYLPPLVKNHTRSRRAQLFHNFLWMHYRFANAVAGNRENQEYLERRYGKKSRSSTLAELRFRRIAYTILASEPHQLVATYDWNQVRQHASFLVDYLANTTPELRRSMPLPSRYMMPQHVLPIGSGRLYRDPRAESNNDALEMLVDLLDQTFQAGLRRGCNLSYASQPSVKEGGPDAPDVESMLGSSTDNPEGHATSSVLFSVAPFFQIRSSGALEVRSQNPGISSVTDAGLRKVNVKCTAPLDVYDTC >cds.KYUSt_chr7.12447 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76575831:76580255:1 gene:KYUSg_chr7.12447 transcript:KYUSt_chr7.12447 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKHLAPLLALLLLLPCISTPATAQPLASSEAKALYRVRRLLFAPPALAPLTTSPDLCALRPTPSLTITCSGGHVTSLSILGDRQPDPKWRGALPSTFSADALFTTLTRLPSLSALSLVALGVWGPLPGAKLLRLASLRSLNLTANYLYGAVPPHLARMHSLQTLVLSRNWLNGTVPPLSPLASLAELDLGSNRLDGAFPEVPASLSTLILANNNFTGKIPTSIASLAHLRFLDASRNRLAGWIPPAVFALPALRHLDLSNNQLSGQLPPTTACAAALDFVDLSANLLVGPRPACLRSRAVLVAGNCFADAAQQRPSAYCSPAAIAASLPPTQGSGGGAGRGGGGKGRGVGVVLGIVGAVVGGALLVALVLVVVLRRARRRHRHQHPEVMYLPKSPLVMPAKKADDGKSPAKVAQHKIATTADKRHASQAARVNTLEVPAYRAYTMEELQEVTDNFASPNLIKNSPLTQLYNGQLQDGSRVLVRCLRLKPKYSPQSLSQYMEIISKFRHRHLVSIIGHCIVNDEENPTIASSVYLISECITNGSLRSHLTEWRKREMLKWPQRVSATIGIARGIQFLHNVTAPDVVQNDINIENILLDKTLTSKISDFSLPMISISKNGKICSENPFIVQEENDHGSAQPTEKGDKDDIYQFGLILLEVITGKSTESRRDLESLKAQLSEALAEDPELLKDMADLTIRGTFAVDSLSKVTEIALNCTATDPSDRPSIDDVLWNLQYSMQVQDGWASSESLSLSVKSQS >cds.KYUSt_chr1.6488 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39930649:39933320:-1 gene:KYUSg_chr1.6488 transcript:KYUSt_chr1.6488 gene_biotype:protein_coding transcript_biotype:protein_coding MATPWTRPVPASVTLESFDALDCGVCCCPLRPPIFQCSVGHVVCSNCRDKMTSAGSCHVCRAPIADGYRRNHDMEKLVESIRVLCPNTAFGCTAKPAYYDYHLHLPSCLHPPCHCPAKACCFVGSTADLTDHFSTVHQWPHFKVRGSQLAEYILLDGFNVLDVIDGDRKHLLLLLVSHEHVGRAIAVIFVCPSPRVGKDHAFCHLQVEVSRYHIDGDESDTHLQESEFKLAPSDLSNGLPNPDGCFQLIVPKYALPDEEETVKVSVSIRIVTTIDQSASRS >cds.KYUSt_chr4.20049 pep primary_assembly:MPB_Lper_Kyuss_1697:4:126003527:126007616:1 gene:KYUSg_chr4.20049 transcript:KYUSt_chr4.20049 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLAQGWSGLWSSPTFSGLWPEMRDQRRFPRLPRWSLSSGEVRYSDVERSSSGRRSGDFREERVKALVPLIISKMQKDIMDSIQPVVVNNVMSILSMTSVSSPMLNTDEKRKVPGDDILDRLTEEAMKDAQVPDINSIISAMQSLHSPSSLGELTCNFELLEQMNPAKPDFTQFYDSASSGVDMFQYVKNQAAEERKQLDAREMQSQVLFEKVHFSVDLDSVTSFRRPNGAVLAIGKKLPSSVAMSSSSSSSASSGLSFQSSSSSEPIPEYDLMAQYEKEAPEHWDDEEWDFTVRVNDAPLAVVGSDDDLSLTDGEADLRFLVDGELEAESEDDLFSWARFSSYDEEEEEEDDASSDEPPVKRFRAGWEDDDDDDDEEDEASAEGYGSSDEDAAGSSADGSYDGDDEGSDGP >cds.KYUSt_chr7.35547 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222143480:222146747:-1 gene:KYUSg_chr7.35547 transcript:KYUSt_chr7.35547 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRGAVRSLLDRLRAPPRGRSPAMAPPAAASHACFCSLSHSNAHGESGRVQRKAALDLGVGRRFAPGSALSLKSCLDWQDGSRFRRVDGEGEAVEIKARVLSPQRQFVCDSEVQLSEEVSVKSVNGNGACRPGKRFGFPEQAVPTKMVVAVDVDEVLGSFLAALNRFIAERYSWNHSVSEYHVYEFFKVLIDDNPRYAQECAEDGMRVLLFDYDNSYPWCKTGVDQSHPLVTKNCEQHIRAQFLKEHPGSLLTSQSMNMSRVKYNTPDQALVALAIALVGAAAAVLLVGEQIHAVAATARPAVLAGLVAAAAVLGASDDVHALTAAARRPGAPRHEACFRRLLRAIVVGRTTVPPTSRKRLLLAGSGSAGLALMAVAVAVASTVRRSRKAAFAIAK >cds.KYUSt_chr4.4288 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24553033:24557496:1 gene:KYUSg_chr4.4288 transcript:KYUSt_chr4.4288 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIALSLCPAPSPSPPPSTRGPTRRCLAPSPSPLPHGRLAVRGRLACRAATAFQKLDAVAVREEEATFREATAAGHNLLPLRRCIFSDHLTPVLAYRCLVREDDREAPSFLFESVEQGSQGTNVGRYSVVGAQPAMEIVAKANQVTVMDHEKKSKKEHDVSDPMTIAREVMEQWSPQITDDLPDAFCGGWVGNFSYDTVRYVETKKLPFSKAPEDDRNLPDIHLGLYNDIVVFDHVEKKTHVIHWVRVDCYHSIDEAYEDGKNRLETLLSRLHSLNVPTLSAGSIKLNVEDFGSTLQKSSISAENYKKSVVQAKEHILAGDIFQVVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVEKRTIVNRPLAGTIRRGKTKAEDKVLEQLLLSDEKQCAEHIMLVDLGRNDVGKVSKPGTVKVEKLMNVERYSHVMHISSTVTGELLDDLTCWDALRAALPVGTVSGAPKVRAMELIDELEGKMRGPYGGGFGNISYRGDMDIALALRTIVFPTASRFDTMYSYDSDNSARQEWVAHLQAGAGIVADSKPDDEHQECQNKAAGLARAIDLAESTFLDFSDV >cds.KYUSt_chr7.28688 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178924959:178925306:1 gene:KYUSg_chr7.28688 transcript:KYUSt_chr7.28688 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGSDTQPCSVSDIEVQTVNARSRGSGPNGDTLFKVRVKNLCPCSVRNVRIDGRGFSSTLDVDPSLFRAEGGGVYLVKGGRRIASMATVTFFYSWDHYFDLSPKSLEVEEDRCY >cds.KYUSt_chr2.49305 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308501364:308502421:1 gene:KYUSg_chr2.49305 transcript:KYUSt_chr2.49305 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVGATLSRALETHSDPSPESLSKAPSSSSSRAAEAEASPVSTDGGAEEDVLDLDSPWVAAADAESRLEEAAMAAAAAALHLRAGNEADADEIRDNQQRQDDELMALEAIYGDDLAVLENKGGLRYFQIYIHYELHDGIEVCAKISSANRNREPEGCPNGIPQS >cds.KYUSt_chr3.15746 pep primary_assembly:MPB_Lper_Kyuss_1697:3:96671096:96674275:1 gene:KYUSg_chr3.15746 transcript:KYUSt_chr3.15746 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPKSSSARRSWHTLFPDDAPTPTAPSSPPPALRTLPQSQSATNLISSPQLINKSPGQKMDEAKASQQPRAGDPSANEVVQEVEASANDRVQEEKEAPKTDLPASGPESTPLDVAASDKKKAVAKGKVAGIRVWSVEDEFGILESLAAFVKAYGKPPGRSQLCEVVRGHVVDKKEFTKTQIYEKVRGLRNKYYTMRTTAAAAGAPPPGDADDLRKYDLCSKIWGDSLMLPKREIKENSSGQPLLRREFEELRDMYPHLTLMVEEIAGGDRCFLKRAFEFIDDGTARQLDAKLKKQQILKMKIHQDREMWAAARLVGGGMRMVESLRLRGRLLVERVASKAVKEHAD >cds.KYUSt_chr6.7524 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45752002:45753453:1 gene:KYUSg_chr6.7524 transcript:KYUSt_chr6.7524 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDGVSPAAVAAPGLVVSFGEMLIDFVPNVAGVSLAESGGFVKAPGGAPANVACAVSKLGGSSAFVGKFGDDEFGHMLVGILKQNGVNAEGCLFDQDARTALAFVTLKSNGEREFMFYRNPSADMLLTEAELNLDLIRRARIFHYGSISLITEPCRSAHVAAMRAAKAGGILCSYDPNVRLPLWPSAQAARDGIMSIWKEADFIKVSDDEVAFLTQGDANDEKNVLSLWFEGLKLLVVTDGEKGCRYFTKDFKGSLPGYAVNTVDTTGAGDAFVGSLLDNVAKDDSIFYNEEKLREVLQFSNACGAICTTQKGAIPALPTTAAALELISKGSN >cds.KYUSt_chr1.23196 pep primary_assembly:MPB_Lper_Kyuss_1697:1:137596133:137596567:-1 gene:KYUSg_chr1.23196 transcript:KYUSt_chr1.23196 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATSPSGRAGRRWCARGDLLTLAVAAMLCSATYCFSIWHNGRNAPDKIVIIGQASFVAAGAARCVGDADTVELDFEAHHTAERAGLSVSSSTAAPATVAGRRALRSAAEPVDTVHHGAVNGDGWARLDGGKLRFTYDGAVRV >cds.KYUSt_chr3.43098 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272417586:272420144:-1 gene:KYUSg_chr3.43098 transcript:KYUSt_chr3.43098 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGRTLAAASVAAVIGNGDLLREILLRLGFPHYLVRAALVSKRWLLHASDPAFLRRFRERHPPRLLGFYIGYVGSRAASSCDNALARRRQYIHCRNGRLISIFLDDTGWKYALVSPLLAGDSELVLPPIPTLLPHNDHAHLNWWIYLPEDGGRDGITFVRLWRAGRKVSAEVYVLGSGGWGVPSTAATEIELPCPVTGLKHMVCPVHGKIFTAIGVGYTLGLDLAAARLFILEHPHGVVGSNFMLSHADSGIYLVNVQGFQVSIWLHKLRGDDYGAGGWLLVDTFCVHEAWKNAVGERRVGGSLDIIAVGDNAEFAFLNHAASGAVLYVDLRTRVVQKVYDQRDSGHLHWSPEFVGWFRCGLGLVLSSVIFWSLEVEQILGISDNKAVSWSFAMLVVSHATGWSSTPSPFKLSWWKAMEEADGTGSLNKNLDAVNGCDSPMALKPLIYSYGRHLAAPTRRRLSRRVHLQETGASGFHPAPAFHGWLATLFDFLQKLSNLRLGRHLSDFTTALHVFFSPSGLFPGGEEDGRRWSLFIGGDQGLDCFFSNFSRVGKGKGALCSWLSPGEGMRPGKFWALELEVSYEAEELTEEGEESESG >cds.KYUSt_chr4.24466 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154032181:154035880:1 gene:KYUSg_chr4.24466 transcript:KYUSt_chr4.24466 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASRRSRCGGVICSSLWATAISSALLAILLASTTYLPASPSDPPVVGGRRRRRQCDEEAKWAAGIASQHNATLVLTVDHLGCGNFSSVQKAVDAVPDHGAAGGRTLVAVGAGIFREKVVVWANKTGLTVHGRGNLNSTVVWNDTAAGSSNCTPCSATFTVLAAGFVAYNISFQNTAPPADPGASGGQAVALRLAGDQAAFHWCGFYGAQDTLLDEQGRHLFHGCYVEGSIDFIFGNGRSLYLGCTISSVANSNNNGVTGSITAQGRGSATERTGFAFVGCSVVGTGKVWLGRAWGPYATVVFARTNLAAGVVAPEGWNDWNDPARRRTVFFGEYDSTGPGASIDERVAYARQLDQRQAAPFMDVSYIDGDQWALPPLPVALELPQQQLLNFEPIPIPVQAAAVVPVPDAPVVKQKKVGRCWKCAVNTHTTKDCLWAVGSLIGSTLDVDLYSLRSQGIVRVLVAMRDPAALERDKGYLDVVTLLDLNGYRFRFRREAVGYKTDPRFRPFFWKDGGDDDGSHGFGEERFDDSTADAAPEAANMDVDGHPPTHTSGVTTVPVAQVDLTPFNHSPMTDRRREIVARARSESPHLVASPPASSRATSPSRVRTFMQGRTRPASSPSSRSTSPNTQQGALIPSSTSTQTESVHGPDTSLPMTQPPPHVFLTAGGAAGCVDTTSAAAHVGTGAGAAPADGDHGGGGAAAPAPCGGGRGAASPDGPASTGRGTGGARESARLPWGGWRCRWPDDAHLFALGRDFAAASYGAGGYTAIYPCISGFFPRLDGVFTALEFFITCLYLAAASSH >cds.KYUSt_chr1.36651 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223651459:223662646:1 gene:KYUSg_chr1.36651 transcript:KYUSt_chr1.36651 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIHDTENLPETPPPIPSRGIQEIASGTLPERGIISRRTLRRHGRLRSDVFHVGAGIPGVAPHYIPPPSTFNVLLGSSCDDEMHALLLEDEQAFDDDLREHLLIIASLQDMLDAEAEKRKRPCRGGSRPGRKKSKPRQFSEITTMMHNDYFADDATHADNFRRRYMMSKGLLMNILHDVREFDPLLQAQARRSCIFASHQVLFLLFLSSPPHDLPTPRRPRSLSRCGAAMAGRGYQGGGGGVTATMAVVSTPSQELALTNCAYVSTADIRRFPNALALVGDAKVSAGDSITVSSFAPPDGFKLALLTLELEYTKARSNRAEDLDAVLLAQQLRKRFMDQVMTTGQRVPFEFYGTNYIFTVNQALLEGQESSTPLDRGFLSSDTYIIFEAGPNSGIKVINQKEAASSKLFKHKEFNLEKLGIGGLSNEFTDIFRRAFASRVFPPHVVNKLGIKHVKGMLLYGPPGTGKTLMARQIGKLLNGKDPKIVNGPEVLSKFVGETEKNVRDLFLDAENEQKAQGDHSDLHVIIFDEIDAICKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTSKMKESSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQISMDDLTKPLDEESIKVTMDDFVNGLHEITPAFGASTDDLERCRLRGIVDCGKAHKHIFQRAMLLVEQVKVSKGSPLVTCLLEGPAGSGKSALSATVGIDSDFAYVKIISAETMIGFSESSKCAQICKVFEDAYKSQLSIIILDDIERLLEYVAIGPRFSNLISQTLMVLLKRVPPKGKNLLVIGTTSEVGFLESVGMCDVFSVTYHVPKLKKEDAAKVLRHLNVFEEGDIESAAEALDDMPIKKLYMLVEMAAQGPSGGSAEAVYSGEEKIDLNHFFSILSDIIRY >cds.KYUSt_chr2.42579 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265205704:265209022:-1 gene:KYUSg_chr2.42579 transcript:KYUSt_chr2.42579 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVSLSKGVMEPLLGKLTNLMGAEYANMSVVRQRVSFLKHELCAMNAVLDKMDLVDDLDPSAKDWMGQVREMAYDIDDCVGDFMAQIGDISAEQGFVLKVTRYLRTLWARREIAVQIKELKARAIEVSERRLRYMVSDDKGSSSSLVSDNIQLAIDPRVMAHYQGAALLVGIDAQRAELTNLLMDSEDKLKVVSIVGLGGVGKTTLAKIVYDDIKGDFDCKVFVSVSQQPDLRSLLSGIHVQLGIEENSHSSEINVLIDCIKKKLTNKRYIILIDDLWDQPAWDIIRCAFPENNKRCRVMITTRLKDVACWACCNCYHNIYSMQPLNYAEARILFFNRVFGSENDHTSHFELEKVTTEILKKCGGLPLAITAVANILATCPARLSDWMNINNSMSLQFATNPSLEGMKQILGMSYKHLPRHLRPCLLFLGLYPEDWVVERDVLIHEWIAEGLVSDIHLGQLCLENVAKKYFNELVNRNLLQPVRTEHGEVLSCKVHDIMLDLILTKCAENDFITVAHNYEDIERLHQYKVRRLSLRLRDGGTTLERIPETISESLAHVRSLAWFKISAYTPNLSLFKYLRVLIIYAGTGDTVDLTAISVLFQLRYLRVSTPEDARVGFPNKIRELVNLETFHIHGDKTSVIPSDIFLLPRLLHLMVPHEARLPKGIGKMKALHTLCGFKFSLKDIESLAELTNLRILKLCYIANFDIGTAGVDALVSSLEKLINLKYLAVDGRTGRNGPLWIKDEDNRLHALSDPPTHIEVLKLEYWMLYRIPNWIGVLMCLRCLTLHVNMMPAEDVYLLGRLPSLVELHLFVVHIPVDKIVEVGAGLFPVLEILQIENEHGNAMTFLRSETGSMPKLQQLFLLLPRGEWRGTTPVGMEHLLSLKGMYAIWNRSSGQCLREARAAFSKAIDLHPGHPSFTILYCPLVSNGYLFATFGSPLV >cds.KYUSt_chr5.40864 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257914441:257918673:-1 gene:KYUSg_chr5.40864 transcript:KYUSt_chr5.40864 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPLLRAFPVDDDADSRLDQWPSIEQIHLWMELMWIRRQFSRQSRGMEMQDQQVLVSDQQALNAFEDELPIQLGRTAVDGADQPVDEDPVAAVAPQQQAGKKERNRHSLSFFLPAHLKKEASPTQTTMANQHHNNYQQQYLHIHIPNRISARNTNVVRIIGTREYTLLFSKAYSFLRAGYGGSHPFSLMLGPGRVLYAALNTARDAEMLDRRIYLVDGAVMGFVAVDAGAPLEPPTVHCYIRLY >cds.KYUSt_chr1.23397 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138970300:138975733:1 gene:KYUSg_chr1.23397 transcript:KYUSt_chr1.23397 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIVELGVVPSALDPLVIYCDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEDGEVKICKVHTDLNVADPLTKALPRAKHDQHQNAMGVRSLMEQASLCGRRPRAETRHPVYRGVRFRAGKWVSEIRELRKPTRIWLGTYPTPEMAAAAYDAAALALRGAGTALNFPDAAGSRPAPASMSPDDVRAAAAAAAASATASSWTTTYRSDQYCHHDVQLRGGEEDRRSDHIMGVVDEDDVFEMPRLMVSMAEGLMINPPMLGPAAADGGTATSYYTEVEVEDENCVSLWDHS >cds.KYUSt_chr4.45768 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283263378:283270923:1 gene:KYUSg_chr4.45768 transcript:KYUSt_chr4.45768 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNGLLPNASAGVTRRLDPDRWAVAEARTAHLIACVQPNASSEARRLAVYNYVQRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSDELKDTWANLVRDALEHEEKNENAEFCVKEVQYIQAEVKLIKCLVDNIVVDISYNQVGGLCTLCFLEQVDNLINQNHLFKRSIILVKAWCFYESRILGAHHGLISTYALETLVLYIFHVFNNCFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPISSLPDMTADPPRTDTDELLLSKSFLDSCNHFYGVMPRTQENQGQPFVSKHFNVIDPLRINNNLGRSVSKGNYFRIRSAFSFGAKRLAKLLECPNEDLIAEVNQFFTNTLTRHGGGIRPDAPTPNLFPENALKIVPAEASNSYGSATITKNKIEKAKLRVNQDNLTEGSHSYPEAISQPPQRSDLRSRNSSRTANPSASHAQNQKVYATKPSTKVSEQLERNRSGGSMPSERGGRMPNALVVNGRSGQNVSPFARTQSSPELTDPSVEGFSRGRRTRVVEMEKSVKNDYNSRRNNLVPEVPSNHSTKSSQDESISSMNSSSHPSAKAASDSNSVSSSYHEDNGFMINEELPSVSEASEMQHEENVLANLMASAKLHGFNGQVQLPMQLHPHMLLPPTPFPQKTFPGIPPTNLIGNQWLHNMQFLQGFVPPPMTHYTYNPNFAPNTEDGNESEKSTASDSNLDSANSQYEHDFRSSRNINHEGRDPHVHRFDGKNHSSSPNGVSGALLERQMEHTVEDSGAVEENYTNMFQNQISREAGRNVPMSSGNLRTASQPSSSKSKALSESSWDEIAVKSSRPSRDKWEKKPTFPTPTTTTLSISKTGWQTGNTTDHMPTEVDEGARNGGVLPIIRHEASDIVIGSVSSEPSRTGQVRNELNTSWMHNPMFAPFLIGSPQQRQADNSGLTFVPTGPPVPFLVFPYVPGSRDGSVPQFEGNEGIDQFPVNVAMHNFSSLSDVHQPDNNATSAASSSTVANQSYEHKPDILNSDIDGHWKNLQYGRFCQDARPLSPLLYPFAVPPGYMQGQFPWDGPGRPPSPNVNWAPMVGPGQRPFPVMPLQSASERITGVPPHYGEHASRYRGGTGTYLPNPVSS >cds.KYUSt_chr4.49799 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308449212:308453788:-1 gene:KYUSg_chr4.49799 transcript:KYUSt_chr4.49799 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKCPEPIRCKAAVCRAAGEPLTVEEVVVDPPRAYELRIKIVCTSLCHSDVTFWRMKDFPGVFPRIFGHEAFGVVESVGEHVEGFAAGDSVVPTFLSQCSECTDCKSSRSNVCSKNRFAVRAGMPRDDTTRFRDADGNPLHHFLGVSSFSEYTVVDVTQVVKVDPALPPATACLLSCGASTGVGAAWKLAKVEPGSSVAIFGLGAVGLAVAEGARICGASKIIGVDLNPEKDELGKKFGVTHFINPKELGEKTVSQVIVEMTDGGADYCFECIGLAAVMSDAFQSSRAGWGKTIILGVEMHGAPLSIPSREILHGKSVMGSLFGGVKPKQDIPILAEKYLNKELELDKFITHEVAFKDINTAFDLLLQGKSLRCTIWMDK >cds.KYUSt_chr7.33301 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207762503:207764293:1 gene:KYUSg_chr7.33301 transcript:KYUSt_chr7.33301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-DYW protein, RNA editing in mitochondri [Source: Projected from Oryza sativa (Os12g0270200)] MPPPPAAAAAAAAQHLESFLPRLTTLSQYKQFHARLLTSGRLHSDTFLRARFLDRLALSAHPEALPHALLLLRSLPSPATNDFNAALRGLAASPHPARSLLLLAGRLLPSPSPPPPRLDALSLSFALKASARCSDGTATLQLHALVVRLGCTPDVRLMTTLVDSYAKCGDLGSARKVFDEMIVRDVASWNSLLAGLAQGTEPTLALALFHRLAGSFHELPPREAPNELTIVAALSACAQIGSLQDGLYVHEFARKIGVSGNVRVCNALIDMYSKCCSLGPALQVFHSIRREDRTLVSYNTAIQAVSMHGHGADALRLFDEMPTSIEPDEVTYIAVLGGCNHAGLVDDGLRVFHGMRVPPNVRHYGAVVDLLGRAGRLAEAYDTIKSMPFPPDIVLWQTLLGASKKHGDVELAELAATKLAELGSNVDGDYVLLSNVYASKARWADVDRVRDTMRSNDVQKVPGFSYTEIGGVMHKFINGDRDHPRWQEIYKALEGIVSRIGELGYEPETDNVLHDIGEEEKQNALCYHSEKLAIAFGLIATPPGETLRVIKNLRICGDCHVVAKLISKAYGRVIVIRDRARFHQFEDGHCSCRDYW >cds.KYUSt_chr7.25722 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160483269:160485638:-1 gene:KYUSg_chr7.25722 transcript:KYUSt_chr7.25722 gene_biotype:protein_coding transcript_biotype:protein_coding MMACGSRSSEMVDEFEKLVIRMNPPRVTVDNASDMTATLVKVDSANKYGTLLEVVQVLTDLKLTIKRAYISSDGEWFMDVFHVVDQEGNKLYDGQVIDRIEQSLGAGSLSFRGTDRCVGVEAEAEAAQTVIELVGRDRPGLLSEVFAVLTNLRCNIAASEVWTHDGRMAALVYVTDADNDGGGIEDPDRLDKVKRLLRHVLRGSSRDKKSARAAISSRAVAAPHAQRRLHQMMRADDGNNNNNNNGEGCDGGGGRSLPVVAVEDCAERGYTLVNVRCQDRPKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQEFYIRHLYDGTAASDEDREQLRRGLEAAIQRRNTEGLGLELCCEDRVGLLSDVTRIFREHGLSVTHAEVETRGEQAANVFYVTTSSGLPVQAQAVEAVRAEIGDEVLFVREDAAAPKSPPGRDGGGRSLGNMIRSRSEKFLFNLGLIRSCS >cds.KYUSt_chr4.48705 pep primary_assembly:MPB_Lper_Kyuss_1697:4:301636382:301639255:-1 gene:KYUSg_chr4.48705 transcript:KYUSt_chr4.48705 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMKATSRVDHSMNTGAAYPSKVTSKTSLSSATSTSKTNSTRSTFTLPSIRDRSEHSTPRTECEILSSSNLKAFLFNDLKNATKNFRPDSLLGEGGFGHVFKGWIDEYTLAPSKPGVGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCTDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAAKGLSFLHHAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRAVDKSKPGLEQNLVDWAKPHLRDKRKLYRVMDTKLGGQYPKKGAHAIANLALQCICNDAKMRPQMSEVLEELQLLQEPKCNSESPQVAIRRTSNTVPKSPMRTQPSPRRSLGKVASPLPAYRTSQVH >cds.KYUSt_chr2.40804 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253495370:253495705:-1 gene:KYUSg_chr2.40804 transcript:KYUSt_chr2.40804 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPDKVEAEGHADVLLAGVFRDEESSEVDGCGPPTACSSLRRGSWPGTAEERRRKGGELEEEERRRDERRGAGVDWAGRLACGEEPEDERDWGKEDTLGLGREGRARIGG >cds.KYUSt_chr3.37615 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236695236:236696703:-1 gene:KYUSg_chr3.37615 transcript:KYUSt_chr3.37615 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKWAAALAVLAVAVGTASAAHSSAPAPGAAAPAAHHSAPAPPMAATPPAHHSPAPPMAATPAPAPAPGATISPECMDAVLNMSDCLPYVTSGSTDKHPDKACCPELDGLLQSNPVCLCQLLAGGGESYGVSVDYKRAMALPGVCRLNAPPLSACAENPPSLSPSKSASSSRFTARGLAALAALPLVITAAAMF >cds.KYUSt_chr7.21164 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131123957:131125143:1 gene:KYUSg_chr7.21164 transcript:KYUSt_chr7.21164 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHCFSEFRFPEVAQLVGCTGLDIYGRLPVSGLTPATDYAAYLVFGVADEGHHGLSFPDQETMVVFGGRAASSHAVCLCLNEEEARKFRGVVDADGVRRPERCDDGWSEMKMGRLRVDETVVAEVVVSFEVLGWYPKRGLVVEAVEFRPL >cds.KYUSt_contig_817-2.211 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000077.1:1493294:1494433:1 gene:KYUSg_contig_817-2.211 transcript:KYUSt_contig_817-2.211 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGMELKGCVCRIKNCAGQLLSMEEDLVTDLDDDSWDLVLRDIRLKATFLYIDLSRVISRSENDERRKALTLLANKFFYCMDELGDAVTSRSISVMKMCYNDTAEALREVVAALAPPQ >cds.KYUSt_chr1.36469 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222424500:222424910:1 gene:KYUSg_chr1.36469 transcript:KYUSt_chr1.36469 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr3.3500 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19843642:19844682:-1 gene:KYUSg_chr3.3500 transcript:KYUSt_chr3.3500 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSLRDNQFEGVLPNNIKDQCVLHTLDLNNNKIEGQLPRTLTKCLQLEFLDFGNNDMEGTFPSWLGNLPTLRVLVLRSNRFYGSIGGDLHIYEKSREYFSSLQILDLASNNFFGSLTPDLFRGLKSMMTQMNTTGLIISDDNVVYHNPYQDTVTIAYKSIYRTFDKILTTLTVIDLSNNSFDGTIPASLGKLISLLILNMSGNAFTGVIPPEFGGMTLLESLDLSQNQLSGDIPEALTNLSFLGILKLSNNQLAGRIPRSGQFSTFQNSSFEGNLALCGQPLSNPCGISPGPPSVAHVEKSSHVDVVLFLFVGLGFGVGFTAAILVRWGRIVEWFVKFARGWRT >cds.KYUSt_chr1.26372 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158866311:158866776:-1 gene:KYUSg_chr1.26372 transcript:KYUSt_chr1.26372 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPNTLVRLQIAVQKSSLSIVLTIPKQSKSKPFIQSSELTAKVRMSCNCGSSCGCGSNCNCGKMYPDLEEKRGATMQATVVVLGAGRAKAQFEEAAESVEAGHGCSCGANCKCNPCNC >cds.KYUSt_chr7.38809 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241553603:241555450:1 gene:KYUSg_chr7.38809 transcript:KYUSt_chr7.38809 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRRFRSPRLRLGASSPYHSAAGESNHVQDPNRRIADLAAAGRLSDARSLFDRTADRDVVSWTALVAAYARHGMLQDARALFDRPDARRNVVTWTALLSGYARARCIDEARALFERMPERNAVSWNTMLEAYTSAGRLVDACALFDSMPVRDAGSWNILLAALVRSGIMDKARKLFERMSERNIMSWTTMVSGIARSGSVDEARAIFDAMPERNVVSWNAMISGYARNCRINEALDLFMEMPVRDVASWNIMITGFIQNKDLNRAKELFDEMPKRNVVTWTTMMNGCLQGNESETALQLFNGMLIDGIRPNQVTFLGAVDACSNLAGLSEGQQVHQMICKTSFQFDTFIESTLMKLYAKCGEIILARKVFDLSREKDVVSWNGMIAAYAHHGRGVEAIALYAKMQENGYKPNDVTYVGLLSACSHSGLVDQGLRIFEYVAKDISIAVRDEHYTCLIDLCSRAGRLDDAKRLIHGLKLKPKSSTVWNALLGGCNAHGNESIGDLAARHLLEAEPDNAGTYTLLCNIYASAGKWKEAAKIRSEMNDRGLKKQPGCSWIELANKVHIFVARDKSHSESDTINSMLQDIHHMMRMGGTVQRDHMQLTNEELVDLQV >cds.KYUSt_chr5.36554 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231037190:231039405:-1 gene:KYUSg_chr5.36554 transcript:KYUSt_chr5.36554 gene_biotype:protein_coding transcript_biotype:protein_coding METSSPPLPRVCVTGGGGFIGSCLVKLLLTRGYAVNATVRDPRDAKNAFLMQLDGAPENLQLIKADMLDYDTVAAAFAGCEGVFHVATPVPEQKMVDPQKEMMDPAVKGTTNVLKACSAKKVQKLILVSSIAASCFTLDWPQDKIKDESCWSDKELCRENENWYSLAKTMAEEMALEYGLKNGLHVATVLPGLVLGPLLQHVAINTTSKVLIYILKGGPDTMNNKFYPIVDVRDVADALLLLYDKAGRSERYICSLDEMDLKDLLGILKSMYPNYSYADKMVDVDYKVEVTSDKLKNLGWKPRKLEETLADSIKSYEKTGLLHGSDGEHCRLPYLYCMPPILE >cds.KYUSt_contig_2785.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000500.1:157602:157856:-1 gene:KYUSg_contig_2785.25 transcript:KYUSt_contig_2785.25 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELAVVLSLLTDSGAEKELDALLSLDLHLHRSPMADVFLHGCISSNASGQWSPAHHHRMGEPREEADDSDLGEAPRRRKPAQ >cds.KYUSt_chr1.32743 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198881890:198883266:-1 gene:KYUSg_chr1.32743 transcript:KYUSt_chr1.32743 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLAMATAGARLLLLLAVAAALALPSAAEIRSESFHEDPRHTILFEKFGFSKTGAVRIILSGAAVSSSFARADPKQIGFFLLADESLLEAVAESRDKKPKAEPEDPSGADEPDLSGCVLSSPYVKTLFTFHDMEGGHYNKSFPVTHPDEYSLYFANCAPQSLVSMAVRTEMYNAKPDGSKDYLPVGQAPVPAIYGFAAFCYAAFLAAWLYLTLSRDRVSANQIHHLMSALLVARLLYCLSAAEDQHYIRVTGTPHGWDVAFYLFQLVKGVILFAVIVLVGTGWSFLRPFLQDREKKVLMVVIPLQVIANIAAAVIGETGPFWQGWVTWNQILMLVDVACCCAVLFPVVWSMRALRETSKTDGKAARNLSKLTLFRQFYTVVIGYLYFTRIVVYMLSTIASYQYRWVSVLSEEVAAMAFYLFMFYTFRPAERSHYFSLHDEDEEEAAEMVLREEEFEL >cds.KYUSt_chr4.11460 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69681349:69689278:1 gene:KYUSg_chr4.11460 transcript:KYUSt_chr4.11460 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSGAEHGRTKLLSGVIKPQRTLTQIRSTLTTGDPPAHFEAAYAAAYEKYLTVVAEWDLKRAAWEGYQEATSRVSSNLLWTFFLIGERIALPVEEPPRPGPTPVCPSREAFAATYYARTPVSMEDEETECSGDTSWSTTVNPNSYTFYSWSLFSKIRGRCKSEFRRDRASKVHHHQSHHREQLAFQKDLRQRCEVWPRITANSWSSSGSRTTVRGLADAEEYPGKAETAEIDSMAGRVRGSSMAARREESGGCRCEDQPKGSVETFNTPPGHQ >cds.KYUSt_chr5.34007 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215959883:215961064:1 gene:KYUSg_chr5.34007 transcript:KYUSt_chr5.34007 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRLYDLNSSKYGTAAELKSIIAAFNAKGVQCVADIVINHRCADYKDSRGIYCVFEGGTPDTRLDWVPDMVCSDDTQYSNGRGHRDTGAGFAAAPDIDHLNARVQQELSDWLNWLKSDLGFAGWRLDFAKGYSADVAKVYVDNTKPTFVVAEIWSNLRFDGNGEPSRNQDVDRQELVNWAQTVGGPASAFDFTTKGELQAAVQGELWRMKDGSGKAPGMIGWMPERAVTFVDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISALAAVRSRNGIHPGSKLNILAAEGDVYVAMVDDKIITKIGSRNDLGSLIPSDFHVVAHGNNYCVWEKSGLRVPAGRHR >cds.KYUSt_chr7.26663 pep primary_assembly:MPB_Lper_Kyuss_1697:7:166432554:166432787:-1 gene:KYUSg_chr7.26663 transcript:KYUSt_chr7.26663 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQVTPGHRHGSASDSDHLESTDHGSSVTAALNASSARPSAVSATAAGGANRRSGRRRRIGIGIGIVLISRSTPLL >cds.KYUSt_chr7.2382 pep primary_assembly:MPB_Lper_Kyuss_1697:7:13843408:13844514:1 gene:KYUSg_chr7.2382 transcript:KYUSt_chr7.2382 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRCNQRWEGEANHHLTHCRKRRPRGGAPRRCGTAAAAVGTEQLRGEWQHGQRPLVVASVLEHWGSASLRCLPVCRSLPPSAPKPEAVELDLAPPALNGGGLGRGRLNPAAQRRRRFGSSHWQSQIRKWHGSHCDSGKPLVDLTDDGEAGPSGLVKDEPVDEPVHEHVKQEVVTDDMYNFQQYYDASGRRKYF >cds.KYUSt_chr2.30827 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189784633:189787302:-1 gene:KYUSg_chr2.30827 transcript:KYUSt_chr2.30827 gene_biotype:protein_coding transcript_biotype:protein_coding MEERHMGRLLAVYSGGSSEMSDTDEHHFESKADSGASKTYPQQAGTIRKSGHIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNSKKLEDIVPSSHNCDIPHVDRKDYQLIDIAEDGYLSLLTESGDTKDDLKLPADEDLVKQIKSGFEEGKDLILSVMCAMGEEQICAVKEVGGGK >cds.KYUSt_chr1.1183 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6479951:6480883:1 gene:KYUSg_chr1.1183 transcript:KYUSt_chr1.1183 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRVSGEQAAAPLPCEIAVDDMPVASGGHYKPGKAVTASVYRAKIAGHSRVVTVSWSRDLLSHAFAVTVTGADGASAECRVDLRPWQFWRRAGSRRVELSGGASAGTTVRVLWDLRRARWHGAAAGLPDPRGGYYVAVEAAGEVVLVLGDLRKAALRRAYPSAPPALAAVPVARREHVFGRRRFAAKARFHDQGAVHDVAIECGGDGEDVEMAIGIDGEEAVAVKHLQWKFRGNQSVTFGRAKVEVYWDVHDWLFAGAAGGARPALFIFRPIVLSSASAGASAPLLSGVDGAAGATGFCLYLYAWKLD >cds.KYUSt_contig_2528.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000367.1:14082:16991:1 gene:KYUSg_contig_2528.3 transcript:KYUSt_contig_2528.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSAPAGDPGAPAPPQPPAAATHTSSPRISHPTLPEPPLLLGGAASNSLVPAATTLESCPPSGHAPAQGFLSMRTPRALALDPLALPPPFSTPGDAFLQLVLENFCTLVCTPVAPCSPLFAPTPVHIAARQDDHKPVSPSLVRNMLQFWLGNPFESLVVLEVDRNVFRLVVASPKLASFIASLDGIRHGKLLVLFSLPRAPPSDALPHPGPLLGPSSGSLSLLSSVCPSAATGPPVPLTLPGPMALPAHAGDAASLSLSVDLDSLTSTRPAKTKRRRFRPPPCLFLLSPARTRASPYHSSSAPLPRPPVPQVPLSEPPRRCLPRSCVLPAVPPLRPQGTSLSAMDAAGGPDDGHQGLAPLFCCLCFGNDHSRAICRLVVPAALVQADAPEADEAEAMEMVGDAVDAPPADADDEEEEEDPEELVFEEDIDDDAAAPPAAASNAASQAGLEDAGSEGGDGFIPITDAADYLPAPGSDSGAPDEEDLAASERPNSVTVYMPFADLRLFDNLAYAFVNLPMENPDSFILQAADLGCGPDRVSLFPSSEALAPPPIFHSSGPYANPHAIDPICLAGVDFEAVLVTVKAESISDIPFSLIVKNHCSTGSFADVTIVGFEDLAPGSDGSSGPDLHPIPEAFSSDEDEELIQLEGGAGYAESMELLGIPPPLVPHGQPSSAAPAASIVPRALSNAPPLPIISGKPILSKPTKVDIKLRLGFFDVLVVGSDGAQLSFRLPLRRASSDPGCKGLLVANFATWPVRSIHCFVGAPPRPPSPQGAGTGAGLHRPQCLLLLLKPLFLGPSARTRPKPDLRSSSAASPRRWLYTIPSR >cds.KYUSt_chr1.33633 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204307771:204308082:-1 gene:KYUSg_chr1.33633 transcript:KYUSt_chr1.33633 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSEPEPPFRPREKILQKQRYFQSVHRPTYLKGRYDIVTSVAIPLALAASSVFLVGRGIYNMSHGIGKKE >cds.KYUSt_chr7.17274 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107028528:107028881:1 gene:KYUSg_chr7.17274 transcript:KYUSt_chr7.17274 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGPHAIRRALEKACASRPSPGCRALPSAFFPASDAEPAALISGWSSPPSASMPTLEDIDVVAAAEDLLPSSGRPRALARPLMVLVSNLRSGMVRAPESRRGRHLRPSVAGAAAD >cds.KYUSt_chr7.26172 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163390631:163392571:-1 gene:KYUSg_chr7.26172 transcript:KYUSt_chr7.26172 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPVLTLAVEKGPRAGETRQCRAGAALRVGRVISGNDLAVRDVGASQRHLAVEFLPPPAARWAVSDLGSSNGTFLNGARLAPSVPAPLSDGDLIVLGESTKLAVSIAPDSEAKPAPRRSSRRAAPTAKAVEEEPTPAVIRRSTRKKAEPSEAEKEGLDAAAVVVVEEEKPRMVTRQSRRNKAAAPEALEAGKEEIEEAAVETRRGRKKKAVEPPEPEKAEEKAAVATRRTRRKHPEPSESEKGEEGNDAITVAPPPVPRTTRSRRARGRVTAPCAMETVPEEEEEEREEVVAPAEEKGDKVAAGGEVEGTAKALEEMPVAPRGRPRRAPKAMTNAHCAASDNVDKETKGGGEEEEDGKREAIVSGGKVGDVENAEERARRSSLETMPVAPRGRARRAPKGMTNVQCASSDNVGKDINGAGEKEDDGKMEAVGNGGDVEDVENTEELAGRSILETMPVAGREQAQRAPKGMTNAQCASSDNVGKEINGAGEEEDDSKREAVVSGGKVGDVENAEECAGRNSLVIMPVAGREQAQRAPKGMANARCAASDNNRGEEIKDGGEEEQGDKKEAAGNGGDVEDVDKAEKRAGRSSLETMTLGEWFDRMEKYLPRTINEAADEMIATMEEKHRRIIEYISTIGNSSNPS >cds.KYUSt_chr4.42090 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260283350:260284420:1 gene:KYUSg_chr4.42090 transcript:KYUSt_chr4.42090 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAFETAEQRLPCHVDQTAAADNSKAVAAAAAEQAVPLQEADHGGAGADQRPADRDDIWNMIQSQSQKPAAAPRQAPYVHAAPVRRGSSSLLTQKSLEVCTESLGSETGSDGFSDADRSCPGSDDDADCEDGGATGVAAAGAAPARAFPPPLPSLARRTVGSLQMRQHRRDGRLVVEAVPVLSNTLFRAQRRGGRLLLSFADTAAPAADEEKNTVDQEPDQQEEAEEETEEEEVQVVDRGTVVEVKVSTQPQAHNSGPRVHRSSLVINKFVGAEPVNGPEINDAGAAPPNKPSPLSATAPLPEDYGTTAPPGEGKVLMTTRQRRSKQEVLNHMRRCGQLSGRLFIWEPRVATSS >cds.KYUSt_chr5.14516 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94148266:94149387:-1 gene:KYUSg_chr5.14516 transcript:KYUSt_chr5.14516 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGSAKTPAATMAVESSPSSSTAQPTSVLASVLLSYAYVALWISLSFTVIVYNKYILDPKMYDWPFPISLTMIHMAFCATLAAVLVRVLRLVDLPADPPMTSSLYVATVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDSYRRASMLNMLAISAGVAVAALGEARFDVFGVTLQLAAVAAEATRLVLIQMLLTSRGIKLNPITSLYYIAPCCLLFLAVPWTVVELPRLRAAGNTVSPDLLVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTAVNLAGYAIAFLGVAYYNHAKLQGLKAKEAERKAASISPAMEEDAEAGKRLLTQDKAIARKN >cds.KYUSt_chr6.7068 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42857574:42859143:-1 gene:KYUSg_chr6.7068 transcript:KYUSt_chr6.7068 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDASPRQRSQEAEQKQGIVAIEVELWKNHPKGLTCSVGCEEESPLVPPLNFAMVDDGIFRSGFPGAANFRFLGSLKLRSIVYLCPEPYPEENARFLGRSGIKLHQFGIQGRKEPFAGIPEETIREALKVILDVRNHPVLIHCKRGKHRTGCLVGCLRKLQRWRLSSVFDEYLHFAAAKARKTDQRFMELFDTSSLVHLST >cds.KYUSt_chr2.33383 pep primary_assembly:MPB_Lper_Kyuss_1697:2:206070844:206071626:1 gene:KYUSg_chr2.33383 transcript:KYUSt_chr2.33383 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSTATSAHHQAHGKARRQPICNHDDVAVAFWVDRLERRMTDLEQYWNGYKISRSAPRHRRSLSDSTICATPSSAVVTGAGPGTPELLFTLFPGRSPTTPKKLLSSLQQPDSSGASGSDAGFTIDGGDKIRARRYEEDASSVCSVDAAPFAAMPALATASSCSCSCECTIPHCPCGFCGGYSSSSSYSGSTAATSLFSLGEDAVTRETRKKEAGRRAAGRAWRFAMVGIVGLSVLGAMAAVVMEFVMDDGYVQFLVPT >cds.KYUSt_chr4.12959 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79621659:79628430:-1 gene:KYUSg_chr4.12959 transcript:KYUSt_chr4.12959 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLALTALVTVGYQLVFFIITALLRIDKVTDFAGSTNFVIIAVLTAALKGTWHFRQVVLTVLVIVWGLRLAVFLLMRILNWGEDKRFDEMRSNLGKLAVFWIFQAVWVWTVSLPVTIVNASDRNPSIEARDIIGWIMWVVGLSVEAIADQQKLTFKNSPSNKGKWCNVGLWSYTRHPNYFGEMLLWWGVFVASAPVLSGAEWLVILGPIFLTLLLLFVSGIPLLESSADKRFGRSEEYRTYKNTTSPLIPLPPVVYGALPDWFKVAFLLELPLYNPGPERDPYALSASDSEYNAASGSTRITYASGANTLPQPLYTAVRSCALVDRSISIETGAEGMSIAGANMWALLLDKDPGHSVAATDLAVDVVEQKLKKAIAVTAVKVEEAPLAPNVVKPAKRANKAKTNTKNVAKDSKQAEAASPAIGKVQINGAAGEYTQTAAADDGEELTDMWIRRYLSQETSKEVEEEAMFTVVAAGGGSRRKVATRKRKPTVDEGITVTGANMWALLLDKDPGHSAAATNLAVDVVEQKLKKAAAITAVKVEEAPSACNVAKPAKRVNKAKMNTKSAAGCSKQAEPASAAIVDGGGKVQINVAAGENKQTAAAADEEELTNMWLRRYYLSEEASKEKEEEAMFSVVAARGGRRRTVAPRTGKPTVAEDVMVTGANMWALLSDEETAEVEEAPPADNIDGANVGVRSGPGNGAKPAKRRKKTKTAKTKKTETPAASPTELDEEMSEEEEEEEMGGEIATGSSRCRTVVSRVCRAVVAVALLGLYCRFASAPPV >cds.KYUSt_chr6.20795 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131225516:131229737:1 gene:KYUSg_chr6.20795 transcript:KYUSt_chr6.20795 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVRSRAAATAAISAARRIHAGRAASEQQSQSPGYRRPWAYFRRRQQQSLPRVAPAFQDDRGTGASDGGGEPPEIWRQPGEARAGTVGRIDVVRVASGGGGDDKDGDGSGAGWGGSNLGSQFPTPKEICRGLDKFVIGQERAKKVLSVAVYNHYKRVSCESFSSRSAGDSSESDTDMVELEKSNILVMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAPYRRRCAQTGASSRAETLPKNLIACLPVQDLNERSFGGLLSPTAVHAVAGMGNTRE >cds.KYUSt_chr6.512 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3185531:3185752:1 gene:KYUSg_chr6.512 transcript:KYUSt_chr6.512 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHFPDDMDVKRSAYFKHVKMYDSGGHAWDPHTTRVIDFVDKRDCYRATGLVMDFNKGYTFYYGGPSGCVG >cds.KYUSt_chr5.18148 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117215732:117216370:-1 gene:KYUSg_chr5.18148 transcript:KYUSt_chr5.18148 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPVFLGPLDGPADARRSDDSLDGTTARLELLQLGMGNEAWHDPTDAPEEETLAISPADPQVRPHPPVSLATNCGNNYASSDLVDFLGDIGVLIQPPLATTPPTKKKGRLAKPMPSPRRSGRLAIKRKTRLAPDGTLAVQELIARVVGILAPSASFDDASWDAYQQVFQHALLASLAIQAMEALVKHIKKLKKKGPAAAVPPTVTSVPDV >cds.KYUSt_chr4.10929 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66377786:66381624:-1 gene:KYUSg_chr4.10929 transcript:KYUSt_chr4.10929 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAEPWTWENAAAGATAGFATVAAFHPLDVVRTRFQVSGGRGLSDLPPYRNTGHAVYTIARSEGLRGLYAGFYPAVLGSTASWGLYFFFYNRAKQRYSQGKDVQLRPFDHLVSAAEAGALVCLFTNPIWLVKTRMQLQTPGHASPYSGFSDALRTILKEEGWLALYRGIGPGLMLVTHGAIQFTAYEELRKAMIFAKSKQTSTDNRGSEDLLNSVDYAALGAGSKLSAILLTYPYQVIRARLQQRPGSDGTPKYSDSWHVVKETARYEGVRGFYRGITSNLLKNLPAASLTFVVYENVIKLLRASKDKA >cds.KYUSt_chr3.17284 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105811653:105812330:-1 gene:KYUSg_chr3.17284 transcript:KYUSt_chr3.17284 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTAAVSARVIRSSPKHRIYEVRVAGYATVNTTVTANPGVARRWVYSTLWRKTRRLRSGAGFTVGMGMQWTPSFRRLGAEPRPGTLQLCCGRRCLVFQIAQAGGAVPAILRRFLDDARVGFVAYNIGSDCRKLRDYHGLEVARPQELRLVTGMGNASMERMADQVLGWCGVRKMNWVGISKWHRRTLSKNQVIYASIDACISHYIGVRLGLKPDTRCGQGLCF >cds.KYUSt_chr7.14173 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87670738:87676095:1 gene:KYUSg_chr7.14173 transcript:KYUSt_chr7.14173 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVACCFCLVLGGGSAYMYLSRRLILAEKKARQKEKEVKKLEEELKTTREQLKDLQQQPPQAAARQQPQAVLLLQVLHQPAAAVIDQVRGLVVTEQMEGMEKERVEVRTRPRPPLPASQQRAVDQFWMERQEEIEATVDFKERMLPMARLKKVIVRATEDDEDGMMISADTPAFLSRLCELFVQELAVRAWACARSHNRRIILGADIAEAVAATESYDFLLPVLLDHLHVVAHGGRTAPATARLVTRKRHMPDPVDPRRAARRAPPRVRPVVSPAPPSSARYAPYPFPCVLRESAPPLEDELMLPAPPMNYTTRGCVFFRNGDTFEAENSAAEMVRAPPPLAASAGGELQTSTVPPAPCYFYSYPVIDDNLDAFALGNTEPSGNTENPAGNADGNVNGAIGVAHGGQQQELSGNLGNTDPSGTGNESPMHYMLLEEVLLDQDLLFPPDAADMFPVVSALPDPEDFIIDQDVLHDVFADPSSSSSTCSN >cds.KYUSt_chr2.38671 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239684106:239688197:1 gene:KYUSg_chr2.38671 transcript:KYUSt_chr2.38671 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEWEPLLQNGGAGNATAPALLPSLARSVLKFLMWAVFLSWTAGIFLYPTKPAQAAFSEWAALTKQSIFGVTGTVFLVFSAPILIVAALAYVYICAFPRDHVEKKKLRSLGFRLWTFPLLVDGPFGVVSAVEFIGIVLFIVYVVFSMAYYVVESVSLVSKSDLPPTISSCHVTSETDDTAETIGLFCMIFLFLPVSRGSVLLRLIDIPFEHATRYHVWLGHLTMSLFTLHGLCYLIKWFLEGRLLKQIIQWKEIGVAHLAGVISLVAGLLMWATSLHLVRKRFFELFFYTHQLYVVFIVFLVLHVGDFVFSIAAGAIFLFMLDRFLRFWQSRAKVDIVSADCRPCGTVELVFSKPASLRYSALSFIFVQVRELSFLQWHPFSVSSSPMDGRYHMSILIKVLGTWTDKLKSIITDVEENKTRSDTDQSHTGRITASIEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEQGMPCAPKNVLVLWSVKKSTELSLLSAVDAQSISSSVSEKLHLDIQAFVTQESEPPLEDGILECDQKIPSIFVKNGAAMSGLVGTGDNFWAAMYFAASTLGSVLAYALVQVYYVKRFNVNAWWQLGLLLLLCMAAGVALPGGLVVLLWHLSEKWRVQDDRWDTDASTVKDGAEGEQTMNGAAGADTALATSVAARRTTRYGCRPKFQAEFMAFAERAGGAAADVGVLVCGPPGLQASVARECRSQNLCRGGGKSSVVFHFNSHSFDL >cds.KYUSt_chr3.44048 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278039055:278040478:-1 gene:KYUSg_chr3.44048 transcript:KYUSt_chr3.44048 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLQYRLAAILDALLAGVLAFGAAGHRSPAPLRFAPEGRFKVALFADLHYGENAWTDWGPAQDAGSDRVMAAVLDAEKPDFVVYLGDLVTANNVPIANATLYWERATSPTRRRRIPWATVFGNHDDMAFEWPMEWFSPDGVPPVHCPPSVSDCSSRGTPRVDLMTTEIDRDGGEQGLSRSSVGPEKLWPGVSNYVLQVLSREKEQDPALLMYFLDSGGGSYPEVISDAQVRWFQTQAQFLNPDGRLHFLLGNECRIPELVFWHIPSTAYAKVAPKAKSKITRPCVGSLNMEDVAPQQAEWGMMESLAKRPSVKAIFVGHNHGLDWCCPYGKLWLCFARHTGHGGYGGWPRGSRIVEMSENPFSVESWIRMENGTTHSHIVLG >cds.KYUSt_chr4.46140 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285113733:285115658:-1 gene:KYUSg_chr4.46140 transcript:KYUSt_chr4.46140 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNTRSLQSSNGKSKSKTMAKILEEEAEDEAEDLSSSCGCFFCTMKQPDARLRRASVAAFFRELPYREDDDGGAVAAVWRAAMEAPDDPELPSLGAIRCMSLLLARAVDDAAWRRRGQNACVPYYAAHVLGSYTIRSSAHAELAVAAGAVRPLLALLGDAMTWVERRAATRALGHLASYDATYPAVARHAAEAVPLAVRAASTCVSDVYTNYVALAPSKRPKYQRELLTRGLHGGVGAEDRKAEEWASQLQCWSLYFLSCLASRDPSSHVLICQDTVFSRELCRMWGGLANGDSPAGVGLLRLLCRSAVGRDAIAACPDALSGLCDLARSSDDWQYMAIDCLLLLLGDRDSWHAVADATAPYLVDLAELRRLGPRRRLGDAITSALLLGDDDHASLGELRSEAREAIASLRGAKIERKEMEGSMSRDELLQRKLLAKEKKRQGNDMFWHGEVERAIELYTEALELCPLSGRRERLVLHSNRAQCWLARREVDAAASDATRALSLARPANAHARSLWRRAQAYDMKGGMARESLLDCLAFAGAWLDGRKQGRQRAAARGANLKKLPYCVARMIGKQMSVTGLFAGVSEDGGKVGRDDRMPRCSDGDDGGDEDEKDADGDDGDHDESEEEFYETELRFCRSG >cds.KYUSt_chr3.46956 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294971334:294974585:1 gene:KYUSg_chr3.46956 transcript:KYUSt_chr3.46956 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASPQDPFYIVREEIQGSIGKLQSNFHRWEQAASNTGEYVHLTKELLTSCESIEWQVDELEKTITVASRDPAYYGLDEVELSRRRNWIGSARNQVGTVRTSVEKGKSNSAMARHQDLTGTSRTNHYSSQDNDDYIDSESDRQLLLMRQQDDELDELSESVIRIGSVGLTIHEELSGQERIINDLSLEMETTSNRLDFVQKKVGAVMKKAGIKGQIMLILFLVVLFIVLFVLVFLT >cds.KYUSt_chr4.18967 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119119613:119125519:-1 gene:KYUSg_chr4.18967 transcript:KYUSt_chr4.18967 gene_biotype:protein_coding transcript_biotype:protein_coding MNERLCKDYSDDEIGNALFQIGPLKAPGKDGFPARFFERHWGVFKNDIFAVVKDFFRTGVMPEGINDTKSSDGKVKFYGYKLDLAKVYDRVDWKYLEEILKKPGFAEQWIIWSYLATLQKLKKGELVVDRKGKGKIDQKQIIGDKVQNAMQVQGKIWGKPPDGWIKCNVDASFLKEERNGAWDVLLRDRMDNILLSAWDVITPCQSAAMGEAIACLEGLRLVIANCTSNLIIETDCASIVEYFREDSSDRTENVVFFGALLFFLGMKNSIPKRQLKKKTTNSKMN >cds.KYUSt_chr6.24981 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158277495:158278637:-1 gene:KYUSg_chr6.24981 transcript:KYUSt_chr6.24981 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRSIFTRLLSSPSASPISHLHRLLSAVSPSPGLNVEEYLVDRCGLTRAQAIKASAKISHLKSPTNPDAVLSFLAGLGLSTGDVSAVVAKDPKLLCSAVDKTLAPVVTGLAGLGLSRDEIARLVLVARDRIRCRSIVSYLNYYLPIFGSFHNLLRALKFNNSLLGYNLERTVKPNVTFLRECGLHDCDIAKLSIAAPSMLTNNLDRVRATVACAERLGVPRASPMFRHALHALAFDTEDTVAAKLDYLKKTFNWSDAEVAIAVSRAPLVLTRSKATLQGRSDFLMSEVGLEPAYIAHRPAILSYSLEGRLRPRYYVLKFLKENGLLQRERSYFSAVIVTEKVFVDRYICPHKEAAPHLAQDYATACGGQVPTRFRFA >cds.KYUSt_chr1.1468 pep primary_assembly:MPB_Lper_Kyuss_1697:1:8030336:8033915:-1 gene:KYUSg_chr1.1468 transcript:KYUSt_chr1.1468 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPLAAGAVKEIAELPNGPGTTQPVLQAADVRPVTAKGAAAGVQQSERFRMLVSDGVHSLQSMLSTDLNHLVRDGTLRPGSIVQLMDIMCNTIQGRRIIIVCKLDVLRSECAIIGKPKLYETKTVREGQEPNLQANAVAPRVEQFPNNLPYGGPSNGVHSTGGSSIGRTIEHGPNNVLSGGSYGTMSAQNTMNANVVQPNAQRPLLNPQQNTMNANVVQQNSQRPLLNSHQNQRFAGSGTGGYSGPPGNIYMRPAQPSYQQPPPVGTGGYSGPPGNTYMRPVQPSYQQPPPVYRNSGPAAKNEAAPRVVPISALNPYQGIWTIKARVTAKTHVHHYVNGRGPGKLFTFDLLDAHGGEIRAKCFNEVVDKFCDLIEVDKVYLLSRGGLKPAQKQYNHLNNDYEISLDATTSIEVCSSDDSSIPRQQFNFQQISEIANMDKGATVDLLAVVTSVSPSFPVMRKNGMETQKRMVELKDMSGCSVETTFWGNFCDAEGQQLQLLCDSGSNPILALKSGLVGDFNGKSVGTISSSSLKINPDFPDAEKLRQWYMTEGKNAAFSSLSGRMSGTGRTDVRKTVAQIKDEGLGRSEKPDWITVMGAISHIKTDSFCYPACTVEVNGTRCNKKVTNNGDGMWQGEKCDHSSQDCEYRYVMQCRIQDHTGTTYATAFQEAGEEILGLTAQDLFRIKNEDEVQFAGIIQGVSFQQYLFKLKVKEETFNDEARLKANIVKAQKLDDTSKNSCSLLGAIESLLVEEDGSGSTPGANGAAAINPGTTSNNNHAMNAQTCAVCGSNEHSVQNCPAVAMDMQQPAASGFTASSYGSSAGYANASSGLCFKCNQPGHYSSNCPGQAISYGYSGGNANARSDLCFKCHQPGHFSSNCPGQAAISYGSSGGNTNARSDLCYKCNQPGHFAKDCVAQTAAPQRQSYGNSTTSGGYNRQSYVGSF >cds.KYUSt_chr7.37681 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235031499:235031804:-1 gene:KYUSg_chr7.37681 transcript:KYUSt_chr7.37681 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSTRAKSAPKKKVEKLETLFCCPFCNHAGSVECTIDLKNCIAEAACFVCKESYSTKAHALTEPIDVYGEWIDECEKANEGVEQAPRDSYYDDDGEYA >cds.KYUSt_chr6.28295 pep primary_assembly:MPB_Lper_Kyuss_1697:6:179380366:179380836:1 gene:KYUSg_chr6.28295 transcript:KYUSt_chr6.28295 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQPPTDDNSRIGTVGCVAIDSSGNLATATSTGGLVNKMAGRIGDTPIIGAGTYANHLCAVSATGKGESIIRHTVARDVAALMEHRGLPLKEAAARVVAGVPRGDVGLVAVSATGEVSMVYNTSGMFRACATEDGYSEVGIWPQADSDANANGGN >cds.KYUSt_chr3.41034 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258979478:258981076:-1 gene:KYUSg_chr3.41034 transcript:KYUSt_chr3.41034 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVKRGAYGYGGYGYGQSKPVMNYHTTNSDSVTTVVTEISRLSVNEKPPCTGAMVQKHATLEEVCEEKVGGYSAHHGGAAEKHGYGEQKAYQYGSGVGGYGSPHHDSTGAAKHGYGEQKTSYSYQHGVDAGGYGSPHHDSTGAAQKHGYGEQKASHSYQHGVDVGGYGAPHHGSTGAVQKHGYGEHQAYQYGGDAKGYTAVHHESTVEKHGYGGQKAYQHGSNVVGGYGHDSAAQKHGYGGEKAYQHGSNVVGGYGHDSAVQKHGYGDQKHGSNAGGYGAVPYDTTVQMHGYGEKAYQHGGDAGAYGALHNDTTAQKHGYGEQKAYQHGGAAGGYGAHHHDSATQKHGAYGRHGMYQHAGDGYGEHKAYQQGCVDGAAGYDGLLQKTPAQKQYGYGGGHKTTYNDVGSFDALVQKNAMQKQKHGHGAYQPGCDGVAGFDALVMNKEMQKQASLPRPCESDEDSDCSEDESDCEEQAYGGKHAGGGNKLGTTHQYSAYQQHGGGGHYESYQSTTTQGYSGGGYGGLAKPGYF >cds.KYUSt_chr7.24751 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154308076:154310726:1 gene:KYUSg_chr7.24751 transcript:KYUSt_chr7.24751 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPNPSCAVRTDEKDSSNDAARSSTCKDAPTFLEFVSPEGEIFVCATDVESGSLRRQDDVVTLGCSCKNELALAHYACALKWFVSHGSTVCEICGNVAANMRPEDFNKVLASLKDYEALKERTSTGELSYLHYRADAVVDPIALAAIRRQRLCVPTDPVRINAMLGNPVCILVPRLWSVGFTDTQITLFMIMECIPGHYEESGLRSEISIHGSVSCPQGRISQITMTTCLRHLPKTIGPPWPLPPRGTAVEKAAVPIRRLRRRSAAAPPGLCPVTFPAAAGGKVEVEDG >cds.KYUSt_chr5.17299 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111748417:111749192:-1 gene:KYUSg_chr5.17299 transcript:KYUSt_chr5.17299 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGRRRAPFGPLPSFSVMSALRHAYLNDNDFTAILADFFTGLTDLEEICLVPPALTSLQLLHDLRFDDNSLVGPAAALHARGANDSFSHNGFCGAACAPEVTALLSFLAGIGLPQRLAESWAGNDPCKDWLCVSCYQGKVTLLNLPGLNDNNLTGSVPDSLAGLKSLRKLDLSTNDLTWLLPTFSHDVSVNVDGNPSFNGPARSSDDTHIATRGKSICLFYSLHEAGPTRMHSVAG >cds.KYUSt_chr7.38399 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239290105:239294502:-1 gene:KYUSg_chr7.38399 transcript:KYUSt_chr7.38399 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNNKVDTLTYDVEAGQPRVSGAAAPPPHQRSTFVVEQHKVSAPERRSTARALGQRLAEIFFPDDPLHQFKNQSFARKLVLALQYFFPIFDWGSHYSLKLLRSDAIAGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYALLGSSRDLAVGPVSIASLVMGSMLREAVAPEQQPILYLQLAFSATFFAGLFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIVHFTTHMGFVDVMASVVRRHREWEWQTIVMGLAFLAILLGTRQISARNPRLFWVSAAAPLTCVIASTVISYFCRGNAISIIGDLPRGVNPPSMNMLHFSGSYVALSIKTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGVMNMAGSCASCYVTTGSFSRSAVNYSAGCRTAVSNIIMAAAVLITLLFLMPLFHYTPNVILSAIIITAVAGLIDFRGAAKLWKVDKLDFMACLAAFLGVLLVSVQVGLAVAVGISLFKILLQVTRPNTVVKGVVPGTQSYRSIAQYREAVRVPPFLVIGVESAIYFANSMYLVERIMRYLREEEERAAKANICSVRCVVLDMSAVSAIDTSGLDALAELKRVLDKRNIELVLANPVGSVTERMYNSVVGEMFGSDRLFFSVAEAIAAAPYKAVQP >cds.KYUSt_chr4.27253 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171188227:171193201:1 gene:KYUSg_chr4.27253 transcript:KYUSt_chr4.27253 gene_biotype:protein_coding transcript_biotype:protein_coding MDKENANPIVHGAVGSKRDASLFDAIPSTDVAAVPSTDVAAASAGASEAAAAGRGQIPPGWDPYEPVPYVPPPNRYDTSIEDPWNELSGSDVGSDDEDHDVKTRQVLRRLQVGRDVSYLDVAKGVYRCPFCTRRLGGTDFNCVLTHAENIGHTNPKVGASVNPNSFRAKHLALGMHLRKHPAGGDLRRAHASAQAQGSQGEQQVEAESTSEAMEQSPVIPRVRLGTQGLEVSKLGFGCMGLTGSYNSPLDDAAGIAIITHAFRRGVTFFDTSDVYGPQTNEILVGKALKHLPREQVQVATKFGMRPGADGTTGVCGQPEYVRASCEASLRRLDVDYIDLYYQHRVDTTIPIEDTIGELKKLVEEGKIRYIGLSEASPDTISRAHAVHPITAVQMEWSLWARDIEPEIVPLCRELGIGIVPYSPIGRGFFGGRGVTEQVSAQSSLQRHPRFLAENLEKNKHLYLKIEHLSKKHQCSPAQLALAWVLHQGDDTVPIPGTTKIKNLEANIDSFKVKLTYDDLEEISNQIREEDVAGGRPYNSLAHTTWKDANTPKK >cds.KYUSt_chr5.35420 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223788437:223789613:1 gene:KYUSg_chr5.35420 transcript:KYUSt_chr5.35420 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGSRGGHGGSRVGGGGSAGERKLTAAEIERQRRTSDAASIRGTYRWLHQGLRAVARLHEDIAAIAVRLEKEAQDALKPGNFVPEAAPGTVTKLVEEKSACDAKKEQEWHRERVAQDELLTATLPLSGEAYVKDDSALEDDADSAATESAAGSIVAASVADSITPVSNPVDYEDSSILPLFNSDSEPDSVEAPRYQYPTAVLMATGDDPPNEPFTTPLPATTTATVIEAHRASLEAQRNEEGEMGRSDSHYVTN >cds.KYUSt_contig_554.227 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:1366855:1371858:-1 gene:KYUSg_contig_554.227 transcript:KYUSt_contig_554.227 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLAAAGPLALPILLLLLLSSPYWFSPSPAATAVGAMFPCLPGCPLDFSWANFTPAAAACSDPSQRASCCRYINAYVAISVARYANATGRLGVPPAFAEICLSAVSDTFRLRGIATAAAVFCGLGPKIRVSYQCAGRDTVLEMMQSPSFSDVLGSCRGPLSLDIACKTCLNYGIVYLRRLIGSDDNVALSVCRSAVFVALATQQGVLSYDDILTCFFGVQGITTFPGPVSVTSTPASLPNATVDDSQAPKNKSVPLPQGHQQQQQQPYRISVLPGIGIGVILIAILLQIVLVVLIRRKNRELKNADLPPQSPENAFHQGQSWRCPEGQSPMFQRYSYKETTRATNNFSTVIGKGGFGTVYKAQFSDGSIAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVNLKGFCIERKERFLVYEYMENGSLKDHLHSSGIKALSWQTRLQIAMDVANALEYLHFFCNPPLCHRDIKSSNILLDENFVAKVADFGLAHASRTGAIKFEAVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVLLLELVTGRRAIQDKRNLVEWAQEYLSSSGAIPPELVDPAIRGSVDADQLHLAVGVVRWCTQREGRQRPSIRQVLRMFSERLDPGNGSFGEGMDDDTEGGFYPSRNSKCGGHRNELVPCGGDLRSLHSSSSTTRSYCSRSMLLESGHANSPPETL >cds.KYUSt_chr6.33029 pep primary_assembly:MPB_Lper_Kyuss_1697:6:208014236:208022780:1 gene:KYUSg_chr6.33029 transcript:KYUSt_chr6.33029 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAQGVVVLVQHPHAILLERGQLLRMRLPQHRGTCCCSCSAVFKRNSWLLISSPAPGAYQGQLEAALRRLGRGVVGAAGVIKEIVWKRRSPSPEVVQRRRSQSREGDAEEEAVAVAGVGAEEEAVTIVGGGAEEAIAGGGAYEEAEQGAGCEWRRRSPSSEVVLVFLGRRRRSGRGGEEKVEKWKRRREAAVTWSSFFLDAHARSAHEASASCIERERDALLAFKHGLYDIDDYLVSWQHESQNCCQWEGITCRSTTGHVIQLDLHGRYYLDGQISPSLLSLEQIEYLNLNHTCLTGPNGGVPKFLGSLKNLRHLDLSFMVFNGTVPPQLGNLSKLEYLDLSGSAMYSTDISWLTHLPLVVYLDMSGMNLSSIAAGWSLVLSGEIPAKIGLMKSIESLDLSMNNLSGEIPTSLSDLTYLSSLDLSYNNLEGRIPPGSQLDTLYMENPSIYTGNIGLCGHPLQRNCSGDNTLEHVNQHKREKVSESVLFFYFGLGSGFFAGMWIVFCALLFKKAWRVAYFRLFDKVYDNAYVFVVVTLGRMKGKATG >cds.KYUSt_chr4.40668 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251038500:251039547:1 gene:KYUSg_chr4.40668 transcript:KYUSt_chr4.40668 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSSSLRRRLLGSTPLPSSASSALRAAFCSSSSSGPSPTLPPASSIFGDDTEVTNVPPLTTPKLFVSGLSRLTTDDKLKSAFAPFGQLLEAKVITDRVSGRSKGFGFVRYASLEEAETARQGMNAKFLDGWVIFVDPAKPREQKPAPQPDSVSHTGFRTNKTVGWCG >cds.KYUSt_chr3.42240 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266923568:266929780:-1 gene:KYUSg_chr3.42240 transcript:KYUSt_chr3.42240 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEHDVDLFGEAYDARDGAEAEAHGGGGASSGSSSPSTSSSSSAAASSSSSAAASSSSSSGRSSSGIADGGDDEGHGGEHHSLGHGGEEGEETDLFGPDNEQYVKTPARSRYPVPVLPPLPDTDSVSHDGVQGHGRGVEVCVSHMNLNKTHETLSRKAVAFQEPCEFACFSRVEGGDVYFDDRSLRLFKRNICDYVGEDLNRGFESFTEKRDLGSQGFGDLLACIRNSNLPLRNIHFVTYRNNLNKILATAYIKEPWKMGVHKRNGVVYLDVHKLPERPQSKIDRRRCFWGYSFENLATENSIGEDGRGIDANVEYCSVIKTKLGAHRIIMGAEMDCCDATNDGRRFYVELKTSRKLDHHTLKKYEKEKLLRFWIQSFLAGVSYVVVGFRNDAGVLVRTERLRTRDITQKVKAKNYWQGGVCLAFANEVLCWLDVYPCHLLLISIISSSLGSLG >cds.KYUSt_chr5.29484 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186895806:186901276:1 gene:KYUSg_chr5.29484 transcript:KYUSt_chr5.29484 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVKTLKGSSFQIEVNPADKISDVKKLIESSQGQNVYPADQQMLVHQGKVLKDETTLEENKVLENNFLVIMLRQNKGSSSAAPAKSKEPSNQAPPTQTVPATPASQAPVTPAPQAVTAPAPIVPVSAPGPAATASPAPAVAVSTEAEPYGQAASNLVAGSNLEATIQSILEMGGGTWDRDTVLRALRAAFNNPERAIEYLYSGIPVPMDIPAPPPSAQPADPAQALQATQAAVASSGPNASPLDLFPQALPNASANAAGEGNLDVLRNNAQFRSLLSLVQANPQILQPLLQELGKQNPQILQLIQDNQAEFLRLINEPAEGDEDENLLDQFAEGVVPQTIAVTPEENEAILRLEGMGFDRALVLEVYFACNKDETLAANYLLDHINEFDDGGPQ >cds.KYUSt_chr6.11197 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69417704:69419866:-1 gene:KYUSg_chr6.11197 transcript:KYUSt_chr6.11197 gene_biotype:protein_coding transcript_biotype:protein_coding MVESPYRLRHRRLMDTAPAAAISSDSGHSGSNGGMPIMVSILVVVIICTLCYCVYCWRWRKRNAVKRTEIERLRPLSNSDLPVMDLSLIQAATNNFSKDNKLGEGGFGPVYRGVLDGGSEIAVKRLSARSRQGAEEFRNEVELIAKLQHRNLVRLLGCCVENDEKMLVYEYLPNRSLDAFLFGTARTAHLDWRMRQSIILGIARGLLYLHEDSYLKIVHRDLKASNVLLDNKMNPKISDFGMAKIFEDEVIEVNTGHVVGTYGYMAPEYAMEGVFSVKSDVFSFGVLVLEILSGQRNGAMYMQEHQHTLIQDAWKFWNEDRAAEFMDASLEDGAYSKDEAWRCYHAGLLCVQESPELRPTMSSALLMLISDQTTSLPSPQRPPLFARTKKAVSPSGYSFGTETTSKTQSVNDVSITMIQPR >cds.KYUSt_chr6.12830 pep primary_assembly:MPB_Lper_Kyuss_1697:6:80062551:80065273:-1 gene:KYUSg_chr6.12830 transcript:KYUSt_chr6.12830 gene_biotype:protein_coding transcript_biotype:protein_coding MTISTNGATAATPAPALKFLIYGRTGWIGGLLGKLCAAQGIPYAYGAGRLEHRAQLEADLDEARPTHVFNAAGVTGRPNVDWCETHKADTIRANVCGTLTLADVCRARGLVLINYATGCIFEYDAGHPLGSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLTNPRNFITKITRYDKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPSFSWKNFNLEEQAKVIVAPRSNNELDTVKLKTEFPELLSIKESLIKNVFKPNQKTSKA >cds.KYUSt_contig_319.140 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:1006623:1012276:-1 gene:KYUSg_contig_319.140 transcript:KYUSt_contig_319.140 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSRRKEIEKTVEECEVMWEQSGRDDKPVRPLRYFIKNTARKMRRLADLLGCSGSRNRYIFLFEEREIPEDELILSQAILPKRTSKQAARLAYQLKPRGKGPNRYTPEDYVSRGKKVVTEEDEGPRRRSDMSRMRNDEPFSSEEEEEDEEEEEEEQEQEQRERAAGASSRSSHGSGRRGWPSGSSPRGRHVEDATRMCPRVVVNSIFISRDPSCLLQRSYETMREDSNKKSKLSWSKSLVRKWFNIRNKANDFHADLDASSKDRPLKRSADRVRRGRNDFDVARMTEVQDYRIFTATWNVGGKSPLRGLNLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNIPAKKWVSLIRRTLNKNPGTSGYAGYHTPSPVLDPVVELDADFEGSARRQDNFSLFQRRSFHNLSRSLRMDDCMQQPRLERRFSVCDPVNLGGRPSDFDGNLRWTGSPDYENNDEELTIGAQFSPFPYIYNMPGPTDGNDEQSNNSRYCLVASKQMVGIFLTVWVCNEIREDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDEVRRNSDVLEILRKTRFPRVRGAGDSKSPETILDHDRIIWLGDLNYRIALSYCSARALVEMHNWKQLLEKDQLRIQRRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGMHSKEKRRTPAWCDRILWYGNGLSQLSYVRGESRFSDHRPVYSIFMAEVEIARQRKKNMGYFSSRIEVEELLPHSRSYRDINFY >cds.KYUSt_chr3.12794 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76725876:76727051:-1 gene:KYUSg_chr3.12794 transcript:KYUSt_chr3.12794 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWPGQERRRRPRHPRRLAQSGRLEWRRKDQPQGPTTTRADVATTVATTAADPRPVEHPGTPAGTRPLADPPLTQPNPAQEGGTVDPHATGSPTAANPASPAAPPDSQEWATPDNTNACSRRDPSFSLDSLVPDSLLVDPAPNADSMSGQSEAATYQEPANDPMPNSCMEISKEIQVAATVHQDTTPADATPVEAASSGPSSSGPSSPARDAEAQQAHQSQTQLPQRPTTPTPPTDDRAALRSAPSRFASPPITLRRSRNNVAAPPQQWTLGDFLKAATKHLDAAMPTPGRKSRRQPLNFTPRRGRSATAAYNGAPPTAERRAQTQVLRTLGIVGVDQAISAAEMAAYDHVFAAPIPLAVLTAIATLVDQQIPATTAPSPMSMAVDVACE >cds.KYUSt_chr1.39341 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240724816:240733055:-1 gene:KYUSg_chr1.39341 transcript:KYUSt_chr1.39341 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGDESALPTLWDSLPSIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGTREYAEFLHQPRKRYTDFAEVRKEIADETDRETGRSKGISSVPIHLSIFSPNVVNLTLIDLPGLTKIAIDGQSDNIVQEIENMVRAFIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQFPWIGVVNRSQQDINKSVDMIAARRRERDYFANTPEYKHLAHRMGSEHLAKSLSKHLESVIKSRIPGLQSLITKTIAELETELNRLGKPIANDAGGKLYTIMEICRMFDGIYKEHLDGVRPGGEKIYHVFDNQFPVAIKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDTVHGILKELVHKAMAETHELKQFPTLRVEVGNAAFESLERMRDESKKNTLKLVDMETSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGSTVLAYVNMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGARERRPSLHVRQAPLVSPFAGTGRMDG >cds.KYUSt_chr5.13561 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88157136:88158598:-1 gene:KYUSg_chr5.13561 transcript:KYUSt_chr5.13561 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNREKCAPLEFLREAVDAHSRRVARSSSGLLLLVVAAAMTIAASARAASTQGIGSGLAKGAEGAGSGAATAAEGAGSGASKAAEGMGSGASETAEGVGNGASSAAEGAGSGAGKAADGLGSGAGKAAEGVGSGAAKAAEGAGSSASSAAEGAGNGAASASEGAGSGAGEASEAFHN >cds.KYUSt_chr4.23011 pep primary_assembly:MPB_Lper_Kyuss_1697:4:144907431:144908459:-1 gene:KYUSg_chr4.23011 transcript:KYUSt_chr4.23011 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSFSSIFSKPPASADESSPPWPWPSCRNPHTSSFRDEDRSRPCSATAAAVGRNPAAAARLIRGGAGEMYKTVNSVYQLDYLSADGSCFGDEEEHGVLELELDDDDDGFSTTTASEEWSEAVIRSLGRTSTDRFFVDPGPASNSIMALSPGKSLAAAATSEEMAAPSEPSSLVEESVAVAVDSEDPYGDFRASMEEMVAAHGLRGWDALEELLVWYLRINGKHNHALIVGAFVDLLVGLAGTPSAQPSSSAAPTATTTATTMTTSATSRSGGSTTSTSTSSSTCGDTTTRTATAPTEEQCGCRSDGASPASSDQEGLHHKEEEDLVGDKAGDDRSISITQ >cds.KYUSt_chr4.45631 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282380480:282381813:1 gene:KYUSg_chr4.45631 transcript:KYUSt_chr4.45631 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARAGNGSDGIATNLPEADDYCLTARDIRCVVLDIEGTTTPIPFVADVLFPYARSNVRAYLVDTYRTRQTMDDIAFLRAQIDKDLADGVPSAVLVPPPSAPKEEVIDALVANVEAMIDADRKLPALKQLQGRIWRLGFDGGEIQGVVYDDVAEALARWHGTGAVRSYIYSSGSREAQRLIFGNTEAHGDLRRYLSAFFDTSVGGKREPRSYYEIWQTLGVDSPSQILFLTDVYQEATAARDAGFEVLISIRPGNAPLPDDHGFQTITSFAQISV >cds.KYUSt_chr6.29261 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185349171:185364726:1 gene:KYUSg_chr6.29261 transcript:KYUSt_chr6.29261 gene_biotype:protein_coding transcript_biotype:protein_coding MADLYFIKIDNLFEELIFAPTYDPVAKNAARMDQLYLSVKQVSASDKRKLHTVGNPKRKVVAAAAMLSLSRALGRRLFSSAAAAAEGGAAASTSVVRKVQNPLEEFFEVERSAADDQARPHYGSSDGSFGNKGSKFGAILIRQAAVFIGLSSNALLAQDDSIAPADSSEQPDANAAGLRRIEDGSVISNEHTIKWRMCTDNARDYFLKASFGLPVTTLTMRGKLAEAEKLFKAALQEAKEGFGLRDPHVASALNNLAEFYRLRKEYEKAEPLYMEAVEILEQSFGPDDIRVGTALRNLGQYYHIQRRFDQAQTCYERALKIEGRVMGLGHPDYANTMYLLAKVLRQQRKGNDAEALIRESIRILEDLVRSKRLVEAENLQRKILHNLELSKGWDSLDTTMAAETLSVTLQTIGELKESEELLERCLAVRRKILSENHFEVAGILVQLARLTLLKIISDIKVSNDLSRSHLVKAKQLVSDSIRITEGILNRLRENQKKLKSTIGIEREKIGATAVLSDYEHAEQALRKCISLYNEPYTRNVVSKYLRQQYERCLRSLMHIIQHDPDILNAPGMHDLLGESQRIMKELDEEKNTK >cds.KYUSt_chr7.37990 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236825709:236827850:-1 gene:KYUSg_chr7.37990 transcript:KYUSt_chr7.37990 gene_biotype:protein_coding transcript_biotype:protein_coding MALDQAAIASLPYAAAASLRRPHPSCSFPPRRPPPIYLTCAAFPYSPPPCATTSSSTSDDARGQHLFRGLPQPRCSNVEAVGDVMAAPDDYTEDSPSSSGYANGHMSEERPGGSVGEANHMAAGNQKMVKISDKLIGVFMVDKPTPTDWRKLLAFSREWDNIRPHFFRRCRERADAESNPEMKHGLLRLGRKLREIDEDVQRHNELFEVVKSTPPAKIGAVIAKRRKDFTVEFFNHLYYVAESYKDDPDKQKELAKLGNDCVDALQAHDDKCGSLEALNVAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSDAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERVSALSDAFTPGPELQGENVDTLYTSPEVLHTWASAIIDAYYSSREGTLLGQARDLMNPKIIKRVEEIVKTIKDNYL >cds.KYUSt_contig_1253.1031 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:6532049:6534109:-1 gene:KYUSg_contig_1253.1031 transcript:KYUSt_contig_1253.1031 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLRALVLLQLAVALLAALPPRATSDIAGDRAALLALRSAVGNHLKWDQTVSPCQGWLGVNCSGPVGNERVVELRLVGKSLSGQIPVGTVGNLTALQTLSLRFNAISGAIPADIGGCAQLRWLYLVGNRFDGGIPESFFSLALLKKADLSGNRLTGGVSPDFNKLTNLATLNLEGNDFTGELPSGLNLPKLTQFNVSYNGKLDGPVPASLDGAPATAFLGTALCDGPLAACANPSGDDKRDGLSTGAIIGIIIAAVVLLLIMLSVWFLICFRRRRREAAGGATEAAANVHEGTEPITVTVAMTNTDAVKRSHSPTPSPTPTAAALTGEGKKLVFLGSAPERPYDLETMLRASAEVLGKGVHGTTYRATLDGGDPVLAIKRLRDVHLPEREFRDRVVALSALRHENLPSLRAYFYSKEEKLLVYDFVGAGSLSSLLHGNGAEGRARLDFTARARIALAAARGVAFIHSGGAKSSHGSIKSSNLVVNGTRDSAYVADYGLAQLVGAAALPRRGTGYRAPEVTDARAVSQKADVFSFGVVVLELLTGRAPTYALPDDGGAGGVDLARWVRSVVQEEWTSEVFDSVIGNEPRVEDEMMRLLQLGMECTEQHPDRRPSMAGVEARIERIVEDACRRADFSSTDGSRSVSA >cds.KYUSt_chr6.25987 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164654525:164655175:1 gene:KYUSg_chr6.25987 transcript:KYUSt_chr6.25987 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQQARPHFEAVANGICSSSGRPLQLPALPFAARAAAHCSSRRGSLQLSTLPLQLMPSRRGSLQLPTLPLQLMRGPLQLPVLPFAARAGDLCSLCGRPLQLTAAFCSCRRDPLQLVRLPFPAPGDTVCSSCSTAEKACSSGGDGASTWSGGRAGTWSDGEDRAHYGDGEQRQPPVRAAPTVVAPLMIIAGENREESREELVKTSGGERTMSNRIR >cds.KYUSt_contig_1993.388 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:2127200:2134713:-1 gene:KYUSg_contig_1993.388 transcript:KYUSt_contig_1993.388 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAEAAEAAEETSPCLRRPAKPAAMKAVAVKPCPERAAMPVALKAAAVMMMRKGPVRTNSGRRHCEPHGGRQMSWYRHGICYGVAKEGGSCMGVDGIRMRDWYTARGDVPRFRALTVEVPRWRRNPWCCAALHSATNNLHVLLDSYCPISFPTAATFSSSLNKNPTEPNRRRLLHAAVAVDRRTPSWAAEKDCLDLLVALVCFTEPGTPRAARDLPRLHRLRPGHLDVLDAGDLLCLPFLVVEHPRILAGTGHPSTRIWYHYKHGNILFLLDLESPKTEQ >cds.KYUSt_chr7.11860 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72831583:72832951:1 gene:KYUSg_chr7.11860 transcript:KYUSt_chr7.11860 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVFGESAAEPAVTVEGKTVEGDPQLIDDSEFYHILLKDFLESCDGASESAFYALRKKQHKKRKLIDRRASKSRKIRYNVHEKLANLMAPVPITVPRPDGFEIVQESVWNGQPEPEGNRIMI >cds.KYUSt_chr4.50778 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314736712:314737095:-1 gene:KYUSg_chr4.50778 transcript:KYUSt_chr4.50778 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHPKLAPNATKAMEDKERKLQVPASDPDDDGSLPDLVGRQQPQLRREEQQQHQAPNISEMKPVTREAYGGGMYANEEGKREPGKPRASATQSADGPEEPVGRPRHPPPPSTGDRDLDITGMSYIQ >cds.KYUSt_chr4.50048 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310013023:310014372:1 gene:KYUSg_chr4.50048 transcript:KYUSt_chr4.50048 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAPLVLSCKVFKVDHGSHLGYSLISIEAANPEPPPLRPLSIVSRRTFGVCPSNHADKVVDTLHFETHLADAPALSHLTVRGEGAEYMAIHAVDHNLIVFDWCLPLYKSPVYLVYDAVEQSLAMIPSCPWRLTPDQPGVTRCSAISVLVARLPVPGVDDDHNYALVRMAETSIYNDEDYKTVEKQDVLYVWRPSSRSLGWDLIRAEFPSLFKGPNGPRGYKAVLAFTCGGYAFWANLFRGVMYCSLDALLSAPTGHDGPKLMFEFITLPVERLDEPPYHISCAMEMYSYMYRTIGRSGESSIKLVTIDGFIQLLDFDKCTVEVWSLSPDDDMTKWTKRVLCLGSLTIQAEFKKASLPTDMVPMCPSLSVEEDDIVYFMLGEYKKCCGAHKGSKNRCNGYIPRAKNPRYHLRVDMRRGLLLASARLPDRICTSVSIATTSLVPDGGAL >cds.KYUSt_chr6.29859 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189192349:189193470:1 gene:KYUSg_chr6.29859 transcript:KYUSt_chr6.29859 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSHLINDHKVDLHEGCTFNHRYVKSNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMSPVYMAFLRFMGEESEARNFCYSLEVGGNGRKLTWQGTPRSIRDGHKKVRDSFDGLIIHRNMALFFSSGTRQELKLRVTGRIWKEQ >cds.KYUSt_chr4.13410 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82686479:82688874:1 gene:KYUSg_chr4.13410 transcript:KYUSt_chr4.13410 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVAAAAHKIPLEVAHTLVEIAEVARYAYEHRAGHNPAHDDGAATPPAVSADEAEAARLRTENAILRARLADDLVLLRELQGAPFVSQECPPDLHNRLVATVNNASFLAHLEKVRDEPMHPHTALSGGMTEVEVGDTPDTKGEGKNGSWVLVSCDTAGGNMEEISGIDNENYVMVNEDDIVDALATFVARCILEDPKSKKLSPKELQKAVATALSSMTDRKKWISFWEAAKVIYILATWGITIAGLYRSRAVLKMAAKGAVVSTKFIMKAM >cds.KYUSt_contig_1467.59 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:414034:441382:1 gene:KYUSg_contig_1467.59 transcript:KYUSt_contig_1467.59 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNAGVTPSSAGWKTPLSMVLVQLFNTGMILLSKVSIGGGMFIFSLLAYRSFFGTVFILPFALVYERGKWRDMSWRAFGWIFFNAFIGYSVPLSLYYYGLQDTTASYAVIFLNIIPLVTFMISLILRMETLKISSASGSLKIVGVFLSVGGTMLISLYKGKTLHLWSPIFEYHKDKQLEVASNQLRGTIILVASSFAFACWYIIQSKVLKVYPHKYWSSMVTCLVGGFQTAIVGIILRRDTAAWKLGWDLNLVTVLYSGALATAARYSLNSWAVAKRGPAYPPMFSPLSVVFTVVLSSVFIGDHITIGSILGTTMVISGLYVFLWAKSNEIGKELLKGADVRQPWRAAAAAGLWRGRLDHLLLCLQLVTWGLLA >cds.KYUSt_chr1.8792 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54098013:54098222:-1 gene:KYUSg_chr1.8792 transcript:KYUSt_chr1.8792 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPAKSSWPELVGLKAVVAKHKIMRDRPDVEVIVVPADSMVTADVNTKRVRVFINPSNKKVVKVPKIG >cds.KYUSt_chr2.44161 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274653060:274653326:-1 gene:KYUSg_chr2.44161 transcript:KYUSt_chr2.44161 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDTGTGALQLLAMLLLLLASELATFSCGHRIPRADVASWKKREPTAPTGRMASTKTATTKASDGAAAGALGDSKRVVPGGPNPLHN >cds.KYUSt_chr3.39911 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251554386:251557534:1 gene:KYUSg_chr3.39911 transcript:KYUSt_chr3.39911 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAEAPPGNAASGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTPGYSYSTANKSMAVIWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKSATA >cds.KYUSt_chr6.27587 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175072264:175073916:1 gene:KYUSg_chr6.27587 transcript:KYUSt_chr6.27587 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVQDQGLRAGADVMAAEDGEAAQAVVYTRSKKPETELCGVRRKYRGERVASKEQCAGTVSTDDEATGKLDGARTAVEKKKKAAVKKKGERAGNFSAAEEAAEKLGGAGRAVKRKKQTAALKKGECAGTFSTTDEVAGKLGGGRAGRAVRKKLAARRPEARTEFRGVSRVLNGKYVAQIRRSTGIVWWLGTFDTSEEAARAYDGAAVELHGSRAVTNFEPSGESTDQATGSVKTNVRKPAAPRPDARTEFRGVSRTVNGTYGAQIWDSKGKKCSLSLGTFGTAVDAARAYDAAAVQLHGASAVTNFMASDERSGQAAGSVKKQVKKRAPAKPEAWTEFRGVRRQPSGKYSARIWDQKMKCSLSLGSFGTAVDAARAYDAAAVELHGPTAVTNFNASGELSSQAAGSVRMKLEKPAAGSVKMQVEKPAEARLDAWTEFRGVSRQPSGKYSARIWDQKMKCAVSLGRFGTVEEAARAYDAAAVKLNGAAARTNFEQQPVDLIDDFTELPEPDFSESLIPGPQMGDLRTELPPAEWELVHEFLEDFTDVMA >cds.KYUSt_chr6.12178 pep primary_assembly:MPB_Lper_Kyuss_1697:6:75923717:75924274:-1 gene:KYUSg_chr6.12178 transcript:KYUSt_chr6.12178 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVYPTTGALPTRHSPPSFLRPSSLSWSASITQHRAPLSPRLALSPPPAANRRSFVVRAAWTRRSRGELDKSPNRKSWKQRTDMYMRPFLLNVFFSKRFVHAKVMHRGTSKVIAVATTNAKDLRTTLPSLIDDNACRTIGRLIAERSMDADVFAMAYEPRKNERIEGKLGIVIDTIKEYGIIFA >cds.KYUSt_chr7.32178 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200416458:200417472:1 gene:KYUSg_chr7.32178 transcript:KYUSt_chr7.32178 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKWVRPEVYPLFAATGVAVGICFFSLFRNITGNPEVRVSKVGRAAGVLENHEEGRRYAEHGLRAFVQNKTPEIMPGINKFFTDPK >cds.KYUSt_contig_1948.72 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:444279:444686:1 gene:KYUSg_contig_1948.72 transcript:KYUSt_contig_1948.72 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQDVALPVLGASCSSAAEPAARPAGARGPVAPAAALLRALGCSLADDSSPDLAVKATGLGLPAALRRGEALRRLPRAAPGAVLPCWEVVLLLRPRRFWSWWLGSPRVRPALGSCVFRPPPSSRPGCPAVDPGP >cds.KYUSt_chr6.2303 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13464289:13465200:1 gene:KYUSg_chr6.2303 transcript:KYUSt_chr6.2303 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGHKVSLNVYDLSNGLARQLSTSFLGKPIEAIWSALPSLPNPLSILPNASDSDPARLLRRHTGVVVYGKEYFFGGGIQSTAAGATQYGRPVRVVDLGVTHLPREVFEDYLRDIAPRYTAETYRLMTHNCNNFTNEAAQFLVGAGIPEYILSLPNEVMSSPMGPLIMPMIQNLEASLRTNTPPQTQQFVPTPDSVSVPPPPAVPADTKAPASTTTAAAAATSDVAVDSSSSKQEEAKKETREKAAAAAVDPLGNMRGKVQEEVMKEFAAIMASGTFRASEAAALAMRRVMERHGDATSMQQA >cds.KYUSt_chr3.21085 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129357427:129357876:-1 gene:KYUSg_chr3.21085 transcript:KYUSt_chr3.21085 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPGNGHLPASTGLPDHASASDSEAEVDAEYLPISGAASDTDTDTEPDPDDLASHQRLDAIDNGISTLDLASEEEESDGEDKTVTEAAARASSEDERRRRAQLPAGAAARIVDAMRGVAFPGAPPPWAGSVPDEQWLDRLRSLRGGSS >cds.KYUSt_chr3.16454 pep primary_assembly:MPB_Lper_Kyuss_1697:3:100929793:100934509:1 gene:KYUSg_chr3.16454 transcript:KYUSt_chr3.16454 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGDASPPAAVVDVPVGGAPNAGVVSAMISATIPSKRKRFPKQFFEAPAAAAASPAAASPGEAPPAAKKADRMKTKAAGPRGAAPAKVRTKAISRIGLAPPPASKATPSPPSVPSDAPPAPPAPTMDVDKVFDLESTTSYMDMLNGSAVNLDTGIDAFDGECNVEEIDDEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDAILIRAWSKVGMDACTGVDQDGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSVAMDQVADNPPSGCVPEDYWKLWDNEPKCKKEALLTMDYEAEDMSGRNTGKPEGNKKAKEKVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAKLDMKMIAAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWNETKADIIARKKAARQARAQVLRGGDTGSSSSSEFSAGENWIQGPLLAFYGAKKYGPVLFEFVLVLKGDPRGIQTLPDSFADFVVGDDRPGTLHLREDSCGYYQWIVDVMMAGPGGRRGGRGRGPGRPRGRGRGRRGGAATAPRSLSPAPSSSSHDERRFEFLLRIDDDPLGIKRLPDKFAEFVDGVEPAQLQLREASCNFYRWPVEVLFDWQGKMYLHTGWDKFARDLALEPGCQLTFLYEGDGEMIVKVFDDTACRVHFHTGESGSDTDS >cds.KYUSt_chr2.18537 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116749190:116752080:1 gene:KYUSg_chr2.18537 transcript:KYUSt_chr2.18537 gene_biotype:protein_coding transcript_biotype:protein_coding MTQYTELFGCGQGHFPIRSSTDVQVFSVHGYLFSGRRTETYLRSGYMAPEYAMRGQYSIKSDVFSFGVLVLEIVTGTRNSGSCNTEQDVDLLNLVWEHWTRGNVIELIDPSLSNRPPVDQILKCIHLGLLCMQRKPASRPAMSSVNIMFSSHTVRLPFLSRPAFWIQEVSVNQSSTAYSEAYPLTEKSTVMSSNEVSITELSPR >cds.KYUSt_chr4.25401 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159549675:159549920:1 gene:KYUSg_chr4.25401 transcript:KYUSt_chr4.25401 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGTPAALAQMPSLRLVGGGDGAALRAGSPNTEVVAASCGDKDVAGLHAGSPATMTPSPWISLAEMRMSPGYIPAAPPP >cds.KYUSt_chr2.42685 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265840587:265846058:1 gene:KYUSg_chr2.42685 transcript:KYUSt_chr2.42685 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSILDVLNINLAGRGGEEEDEDGVGDVVFCGPYQRWEAIFLSRSKATPWPIQLPATNSGESTFVVRSLLRFAAAYYGCVEASGFVPASSHDGGVADLWLDGGKEKGLIAFLHLSVRGDQDHQRPPPTSIIQDHHIVAKQARNIGVNQVVLCIFRDLIALSVLFPSPSSATAAQLACPGAAASPPSSFSGSQAQRTTTKRKQVIIFDHGAIATTLLPPSLTPASRRHRHQSNTPAAAVAIFGFEYREKCPFRKEGNSSQPTGPTPRPGHPPGQTRNSLQHQRRGKRRTVATNHTDKEEEGSFSRPSGRFWRHHVGPPPPLATKAGRKKLQNVTAASHQKNTNPKKEDNGAISVLSLATTAGQGEGNNISTHPTPE >cds.KYUSt_chr3.35499 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222922081:222928297:1 gene:KYUSg_chr3.35499 transcript:KYUSt_chr3.35499 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQSRLKARKVEDLDVEDPAANLAGTAAPTVAGRRGRGRGGRGAGRGAGRGRAGGRGRAVPVIDLDPDQPFEVLPGPAVAGGVVGGPQRINEFADKVGKMDGASPDKVGGGEDDASPVPEKVQVGHSPQYKVERKLGKGGFGQVYVGRRISGGTERTGPNAYEVALKLEHRNSKGCNYGPPYEWQVYSSLNGCYGIPSVHYKGRQGDFYVMVMDILGPSLWDVWNSVGQAMTPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASRWKETSSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLMCVFCPPPFKLFLETVTNMKFDEEPNYPKLISVFDELIEPQHLRPIRIDGALKTGQKRARGNHDEDEQPKKKVRLGSPANQWISVYNARKPMKQRYHYNVAEARLHQHIDKGNEDGLFISSVASSTNLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKNPLSVSSH >cds.KYUSt_chr6.25667 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162599173:162603906:1 gene:KYUSg_chr6.25667 transcript:KYUSt_chr6.25667 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKPPTNVDSATAADVWRGCCNPDGDTEHLRTYIRTELLPAFPAVEAARFASLPARDGVDRISLLPDALLRNIVSRLFVTEAAATALLASRWRRVWLSAPLVLSDAYISPSGGSPPITDSVSYILEAHPGPFRCVHLVSSKMGARQAQLARWLDLLAAKGVQELVLLNRPLPLDVPLPATLFSVTTLTRLYIGLWKFPDVARIPRGTSFPYLRELGICSVVMEDGDIESFVARSPVLEVLSIQGNNKGLRLRIVSQSIRCVQICGSVLESITVVKAPLLDRLILEGPRGNTGGFSTRVLIGDAPKLHALGILEPGNHILETRDVVAGIKPTSRTMVTTVKTLSLKVRFGNHNDVKMLPTFLRCFPNLEKLHITSGKCDFEAGSTRLNLKFWELAKPTENVKSCIKVLSFREFRGEIGEVAFIKFFFRNARVLENASIGMANPSVTPFCTKEAFSKASKAYKASSKSEINMVILGSTGPEGGNLWSFKTGADYTFDDPFSAVEMHVTKDEE >cds.KYUSt_chr1.31385 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190353441:190356279:1 gene:KYUSg_chr1.31385 transcript:KYUSt_chr1.31385 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHPAARNTRQHPKKQLQFDRHPGRTAAEQETGAPAQQRVKKPHRFKPGTVALREIRRYQKSTELLIPFAPFVRLVRELTQDASLEVNRWTPQALLALQEAAEYHLVDLFEKANLCAIHAKRVTLTSALGLS >cds.KYUSt_chr6.32804 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206701950:206703476:-1 gene:KYUSg_chr6.32804 transcript:KYUSt_chr6.32804 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAWIPFDIQPSNWTMEYAGWAPGYPVELESTETFGNSWLQTSSGGRMVEYAAATDDDSIDKAAQEFEAYFHNMGMKIPINPIHVFEDAAREFKVDIDMMKMKIHMYPPSIQVFDDKFFTIPKLVAIGPYHHGQNQLKQAEKAKCVAAYHCIMESGHSVQDMYDAVVSAAYDARSLYDKDVMAGISEEDFLHMMFYDACFLVQYFACRTDGSGTMDPSLRGFIDFNRKAIRHDIVLLENQIPWRVVEAVLRFRPVDLEPFVAFWKQYLQDRKVLGEQPLVLDDSFEPPHLLGLLRFYIVGKGNTKPPTQVKTNMISFSVSAIELAENGIKLKANKETTELIHMGVNKRGIFPELSMAPLSLDDERASFLINMAALELCTTSNFQEAEPEDSAVCSYLLLLSLLVHREEDVHELRTKHLLQGGAGLINKDALTFFTRLQSLPLRGSNCYVRIMMEIEKYKVKRQMQIKVYAFFYKNKRTIFAIFSVVGVLVSILGTLVSLKKQSMV >cds.KYUSt_chr4.43292 pep primary_assembly:MPB_Lper_Kyuss_1697:4:268282323:268282853:-1 gene:KYUSg_chr4.43292 transcript:KYUSt_chr4.43292 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSGYIGEGGDSIMSWPCSTSPTSSEVQLARQADDPWYIAYQDESTQWCSQRMDLEEKVANLGDELARKNLMIFELKRIVDEEKKNSELIRKEKLRVETDLAVFDQVVENLEHELKVMGEEKSRFIWAVLLGVIPVLAVMWFW >cds.KYUSt_chr7.11159 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68544855:68545166:1 gene:KYUSg_chr7.11159 transcript:KYUSt_chr7.11159 gene_biotype:protein_coding transcript_biotype:protein_coding MVATESRVCKVVAKRKWRRSSSEAIFLSNTSAAFLPFVNHAGEVRLYFNLHRRPLLRSSVASIAGFEASGDIGIPNGPAEDSTRGLLKAQYPKNKKIREPKIY >cds.KYUSt_chr7.14421 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89121834:89123477:-1 gene:KYUSg_chr7.14421 transcript:KYUSt_chr7.14421 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDSIVFYGDREVKRGSDVPAKPEISPPRCILARKDSGREDQRSDFSVTVLQIGDECVDSEPIKVDLRAKFQAKGHEREYFYSLGGSVFSIHLYSAARLCFHSSLHSPMATSSKVNLGEKGGSARALVIAGAPATTVEQQSTRREGKAIMPAPSEVEMAVIVNMDAAFRAVKGWLVVGRLISAYRANPKAIIDGLKPVWRLHGEAEGDAVLFAEFDGKGKAADVKLDAMRIWVQIRDLPYPLMKVDMGWLLGANLGKVLAVSNRDGVIVDEHLRVWVEHPVDKPMMRWVKVQLEGSAEEIQVLELVRVASYSTRIRAPRYKQEKRYGSPSSSSLGRPSGFIKPQDVPTIQGDVEDDAVEDGALKAPVEVIEVVSSAVIKLAVSVEPPPPTEAAPASSTTPRGASQPLVLVAPTAMDGDRSPSTNPAKLVLHHQPINNQKETADFTKPLAKTEQRAAVGAPEEMLAMKRWRRLFREQAAELDVVRYGGVKHFIAGIH >cds.KYUSt_chr5.22147 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144507407:144508986:-1 gene:KYUSg_chr5.22147 transcript:KYUSt_chr5.22147 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAARGFRALAPDLRGYGDSSAPTDPAAYTILHLVGDAVALLDHLRLPKVFVVGHDWGAQVAWHLCLLRPDRVRAVVGLGVPYFPRAPRPMAELFAARGDGFYITQFQEPGRAEKAFARYDVVTVLKKFYSIELDDLAAPPGVEIIDFFQESSSPLPWMTDKELGQYAEKFQKSGFTGPLNYYRMMDTNWRLTAPWHDAKITVPAKFIVGEKDIGMESFGTKHYIESGGFKSNVPDLEVAIIEGHHFLQQEQAERVNAEILSFLDKFTEN >cds.KYUSt_chr5.35129 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222159378:222167590:1 gene:KYUSg_chr5.35129 transcript:KYUSt_chr5.35129 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQLLPAVPRWKPSPPRRQSQPDDAAGDEAASDLGGSLRSTDGASFPFGSGSSSFPPPSFVPVLPTSSSLEISVENGADGPGRGAAVAREPSLRRVDQGVVLSWEDLWVSADGGKAGRVPILCGVNGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSNVSQKGDILINGRRQKLSYGTSAYVTQDDVLMTTLTVREAVLYSAQLQLPSSMSTAAKRDRAEETLREMGLEGAADTRIGGWMHKGISGGQRRRVSICIEILTRPALLFLDEPTSGLDSAASYHVVSRIARLARHEGMTVVAAVHQPSTEVYGLFHGLCLLAYGRTVFFGPASDTNQFFALSGFPCPSLMNPSDHFLRTINKDFDNDIEEGLGGKKTTTAQAIDTLATAYKSSVHMEKVTRQIVDIRSTGGEVVKMEGQQPSFLTQSFVLTKRSFVNMYRDLGYYWLRFGIYVALCLCCGTIFYDIGHSYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVSSFVIANTVSSTPYLLLISVVPGALAYYLVGLQRSFDHFAYFALVLFTTMMLVEGLMMIVASAVPDFLMGIITGAGIQGVMMLNGGFFRLPHDLPKPVWRYPMYYVAFHKYANQGFYKNEFLGLTFPNNQAGSAATITGGEILRDYWQVEMGYSKWVDLAILFGMVILYRVLFLAIMKTTEKVKPMVKGLRFRSTQPSVHVAQNGSGSP >cds.KYUSt_chr6.11084 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68770836:68773670:-1 gene:KYUSg_chr6.11084 transcript:KYUSt_chr6.11084 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYDDVRDHVTSLFIMLNKKTVILFRIEFLVVLVTLLFFAMFAMDFFRRIIHNSFMRAVFSVFDAVSDSIVLYLLGAMQSAPFKNQLFPVWALVLVNFRYSADYISGYGVPDRGGRRFTEWRNVFKLLGSAFLNWTRGSSFTGPLWSVWCLQIMRSAYRFRSHNLASVSVWHGGSSELVAEHMRAMYDRLKDASKKKESLKDGSKEKEYLKEDLKPVAMKDYSYLVYGETKRRFKLKKPQYALSTDNTGPLITLDKIWDFPIDQGKDGKDIPLAFALSRLLRCRLENVSLQRCIFGINRELIKNIIGGKIGTSDALRIMELQLAFVHDYFNTRYPMVFWCGLRSLFFSLVPSVLTIGALIFLAVDIRKVYKPPTGDLANLVKGINVDMIITWVFISLMIVKEIWEMLTYLLSDWTTLIMGCEYVQRKFKRTKKCIDSWVDWVLLYFSRTKISGRRWHGYIDQYVFVQSYDYRPTFWNLIHNLTTGIIPKKDDGAKLSSAIKVPDYVRVAVLEKVTKIMEEELGCSPAKKGCNLPRFIKALCNSNLSKQLQNYQAYTARPMSTQIVLETSPQIILPTPTGTHFVLPSSTNTVLSANSHNVVPRSSDIVLPTSSHIILMWHIATSLCEMELATEYRVNLSNPGFPCSLLSWFTSCCSSQPYLLDVGEKKDCILSWFTNCCSSKSNIDDKKKMNAKLPDELRETYIIANSLSRYCAYLLLSKPDLIPDSFLVPKIVFQKTVESASDGILKDCDSLLKRYINLKEEAEKPIEDSENEDALKQGAVLARELLNLETDEVRWKILAEVWTELLIHIAPTWNAQAHKKCLSGGEFITHIWALLWHYGIEKSSLWPKDIGPENNDPQEVGLGNDSDQAGAATRNDQIEINVAEIVIVKEHEAGNSRGVLRTVDGTWSSEIEEIIQNVTVKTTNVQRGKAGQAQNESKMKKI >cds.KYUSt_chr1.29704 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179829423:179832989:-1 gene:KYUSg_chr1.29704 transcript:KYUSt_chr1.29704 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILDALVPYVKNMITGMAEEEVRMLLGVSGEIKKLEANLVYLQGYLADAERRRITDKSVKVWVGRLKDAMYEATDILELCQLEAMDQRPEERSRDASNSSRFRSLVGQLKKKLQGFLEPFLFCLQNPAFAHKIGGRIKKLNGDLDSIRKDAVAFNFVNLGSYEEQRRLTDSANCGRIRKTTPGFDESAIVGDKIEKDTEELVQKLISHGHNRGIAKVKVVSIVGPGGMGKSTLAKKIFAQEAIKEEFMTRIWLGVTQHFDKAELLRAAITHAGGKHGEEKDESMLEKSLTHTLSANKFLLVLDDVWSDRAWKEVFQVPVVNAGCRQPGSCVLVTTRNEDVVLRMGASSSDQLHVSKLDHEDAWSLLKKQLPQPQDGSESDFDHLKDVGMKTIEHCDGLPLAIKVMGGLLSTRRPSEREWEIVLNKNLEWEEYGSQEELNYSVHLSYDDLSPELKQCFLYYSLFPKGSDFIEDRAISMWISEGFVQPYERSEPAQLNLKEIGAEYHRDLVSRNLLEPIESCESGRAYVMHDVVHSFAQFVSREEALVVLKEQTDIAILLSHNQKIRRLSILSDSVLEWNILEKLESLRTLVVSCNLKSGGGSSVASFASLRALDISFADCDWLVDYLCDLRHLRYLSFTDTDICRLPHDIHKMKFLEHIGVFRCAKLNKLPDSITKLGRLRYLHLDGTHVDVVPKGFGGLSNLCSVYEFPAKMVGDWCTLEELEPLSNLRTLRIQGLENVPDGSVAARAMISNKKNLIFLTLICHKNDDADDEVEEEGEHIALQGQEQIEAVFDELCPPLYLENLLMSGYFGRRLPNWLWAPTATTFKSLIGITLTRMQHCTVLPNGLCRILSLEQLVIDYAPSIELVGPEFQTLASGDDGGVIVTRPFPKLKILELRGMSGWKKWEWEKEQGKVMAMPALDNLSITGCMLRHLPSGLASSDRYNLRTLYLSELRMLASLENFPSVVELKVLYCPKLKKISGFSMLRKVTISGCPELEVLEGVMVLRSMLLDDEPWEIVGTPARCTPKGHQAGPPRQFPQNLTIIR >cds.KYUSt_chr5.30962 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196013764:196014339:1 gene:KYUSg_chr5.30962 transcript:KYUSt_chr5.30962 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVQAQVQVGPPAQVLVQAQVEAPVVVVVQARVEAQVLVQAQAQVEAPVTVKGREKAKARAKGLVMGKVLAQAMDKVQALVQVMVRGMVKAMDKVPGLAMDKVQVMARGMVKAMVKEMARGQDMVRAMAKVMVKETASDKDLGMVKVTGKALVLDRAMVKALEVDMEMVLVRATERVMALGMALDMVND >cds.KYUSt_chr6.23569 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148920336:148920938:1 gene:KYUSg_chr6.23569 transcript:KYUSt_chr6.23569 gene_biotype:protein_coding transcript_biotype:protein_coding MERSMSCAERSTSVTAPANDLRCHSASYAASFAPAAHKKMQRAKSTLSSSSWSRAVAPAVQRSGSTKTVSSPAPGLNLRCYSASYSASYNPLSGGSTPQAKGPSTAAAPVWCNAGRRSLNLRSYTPSFAALVDDEAPAKVPAKKAAVAAAPGDDAEAELQRKKRLVAYKVYDVEGKVKGSVRRSVKWIKGKCSRAVYGGM >cds.KYUSt_chr7.23654 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147469284:147469716:1 gene:KYUSg_chr7.23654 transcript:KYUSt_chr7.23654 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEAGTMVFGRMAAPSMEAAEPKTLTLEELNYARQAALHVLRTMTAEEAIRIFTDGLKPVLGSSSTSATTDSSSSDDDVDLDSSEDYSTQRGGRHGRRRPVKRDIATAPF >cds.KYUSt_chr2.3854 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23218832:23221894:1 gene:KYUSg_chr2.3854 transcript:KYUSt_chr2.3854 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHLTGLHTLLHCIYSPVRCATLPPASPTAAAPSPPTMRGPHHPHCTRAHQAGALLLVAVTFLLTRLLSAPPPPFPTSRSATQGPWPDLAPHHDHLRIYVYNEDEIDGLRALLRGRDNTVSAATCLKGQWGTQVKIHQLLLKSRFRTLDKDEAHLFFVPSYVKCVRMTGALTDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKPGARAVQPIPLTRRKYLANFLGRAQGKVGRLKLIELAKQYPDKLESPQLKLSGPDKLGRLDYFKHLGNAKFCLAPRGESSWTLRFYESFFVECVPVLLSDEVELPFQNVIDYTEISIKWPSSKIGPELFQYLESIPEERVEEMIARGREMRCMWVYGPDTEPCSAMTAIMWELQRKVRRFHQSAQTFWLHNMSIMNRDLVEFHQWRTPVPLP >cds.KYUSt_chr3.9792 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57564406:57564930:-1 gene:KYUSg_chr3.9792 transcript:KYUSt_chr3.9792 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAATSFPGEKDPPQPPTKAAHLSEGQGPAHGGWARWAFPTILILLAIAFGWGLCQGYDLVFVLSTFYLAVWCFCLWMLQLDRRDHGGDPAAAARERQRFRLAAWAISLHWSSMAAILVVKAASVLALKIFLWVFAGLAMVLALYLMVAVRRSDSRTDDDGRWPEKDLDELSP >cds.KYUSt_chr5.42177 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265811224:265811976:-1 gene:KYUSg_chr5.42177 transcript:KYUSt_chr5.42177 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRCDFCVAISTLSATQGHTVGEEFDSDTGLVVATIYKLDRPVSSTPVPDDRANPIRKTTHPAQRQCPPPIAGASMAGRPFVFEKNWAENPPLRRPATDMEFPPGLSPADPPQVRVSDRRAHQQVHHRCSPEPHQVDGATRVVRLAKVLSLDQLQDDQVYQQVLDELTQEARKFGDLLKVVLPRPAGHGAAHPVASQDGKVFLEYACLEDSIQCRIRLDGQWFDGTKIIAGYFPEDKFAAGDYDYEYDG >cds.KYUSt_chr3.21292 pep primary_assembly:MPB_Lper_Kyuss_1697:3:130561362:130563458:1 gene:KYUSg_chr3.21292 transcript:KYUSt_chr3.21292 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQESTFSSASTAAQVNALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTQGFQSLGIIRSLRKLWQYEGTRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVAQPGNPLGNSGQQPVYNGIKDVFKTVYKEGGARSLYRGIGPTLIGILPYAGLKFYIYEDLKSRVPEDYKRSVILKLSCGALAGLFGQTLTYPLDVVRRQMQVQNKQPLDANDAFRIRGTFQGLFLIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDMMKNLLGVPPRERAHPLTGNNNK >cds.KYUSt_chr7.32059 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199582527:199595893:1 gene:KYUSg_chr7.32059 transcript:KYUSt_chr7.32059 gene_biotype:protein_coding transcript_biotype:protein_coding MWLYGCHNLQIQDLLGSLCGTDDGLPPPTNEGQPTAPSTIDPAVARAIFRWQSSWSKNCTLLLAPRRPSAHLACSSSSWTPTLTDALRPRATTSAIAVTRELSPIPSLSCSWCSVRKSYNFGAGLQAELAVQGLNPPPGGNVRHQAASPGLMVDYNSDSDDSYNSDDWDNDGEAESSSAPALRSPDTPGPSTLVPQILIRDNSDTDEFDWDDDDDDDDDDEAGLSSSTAPRNPDAPGPSTLVRQGAGGSTNGAASLSYSIDDYVAMGFSKELVLKGIKNIFKTSGNSDGDPNQLLELLLALKELGDDSLVENLPTSGCTPKIVENEVDSEGWDDENDFFERDLSSHGSKVEDFLQGMSPRDKKIRYLLQMGFPEDEAKMAITRCGLDSAMSVLVDSIHASQAIGDEQETRNNNCIDSSMERKKGKLMEDCKKRKLFERGGESRPSWYQNHDDLVRVPSHMKRFNLPNAVNRGIPKEAIGPPFFYYEDVGFAPKAIWNKISTSLDNIVVEFFDSKCMSAAARERGFAHNLPIDNRSTLLPLPPKTIFGVFPHTKACWPSWDKRTQLNSLQSCKARPKLLKHIQHLLARYDDIPPLGVQQFVMEECRKWNLIWVGKNRVAPLEPHDMEHLLGFPRNYTRGVTTTDRYKSLGDVVQIDTVAYHFSVLKDMFPTGINVLSMYNGIGGSVVALDRLGIQLKTVVSVQTSEVSRNIFRSWWTATQSGTLVQINKMQDLSDDAVLSLIRKYGDFDLVVGGNACNNLLGSGEYDPKQFSLFLEYVRILKAIRIRDIRTSDSKINKTPNKMAIACGIEKRITISRTKLNIKLHRSLNSALIHKSSTSKEIVNILFLGDIKTIRSGGNLNPKKIAKRTKIGHKKLIAKTSLDKGNILRIITSNDHIINIEKEKSASTRRSVNK >cds.KYUSt_chr4.1433 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7552753:7553079:1 gene:KYUSg_chr4.1433 transcript:KYUSt_chr4.1433 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGHGAGEKKGVMENIKEKLPGGHTDHQQTTGTYGQQGHTGVTGTGTHGTGEKKGVMEKLPGGHSDHQQTTGITAGTTGTGTHATDGTGEKKGIMDKIKDKLPGQH >cds.KYUSt_chr5.29645 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187962230:187966762:-1 gene:KYUSg_chr5.29645 transcript:KYUSt_chr5.29645 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGKAKDAKGKGKQAASSSDDAGGGKGGKGKGKGGKGGDDLGTCTYVKGTITDPYSQLVCARHVLCEKQGKINEAYKKLQEGWLDNGDKVPPAEFAKARVPLHPVRREEELIGKYASGSGCKHLRGCGARGIHVKLAFLGAMVVLNESPESCWWLLCSTWLRLGRGLAPPWPGLCRRAVVARLQRYCLQVHAAYLLSLLLFISYLRSYWPGFPLWDSGHARLLLVAVAMLLVDWCLLGLL >cds.KYUSt_chr5.41019 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258813494:258819802:1 gene:KYUSg_chr5.41019 transcript:KYUSt_chr5.41019 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGVHDLEVKNSDLLGYLQMYRYSPFVVCAAKDGESRPLYGALVTVVYSYVAMIMADSTVPSRTLHCQLLLSPVLLLILLLTSAQAEGRATPHRGTSLSAQAAALLHWKSSVRYSSKHQLGTWSADGTMTPCNWTGITCGNTRSRGGTTVKVVTGISLAGAGITGRLDALKFQSLPYLVNLDISDNNLLSGAIPPGIGSLSMLSNLNLSVSQFGGHIPASICNLGRLTQMDLSMNNLTGQIPPALGNLSRLDILYLGGNRLSGDIPWQLGQLRNLREMDLAFNVLSGKIPSTLANLTSLSYLGLADNRLSGPIPEELGQVQTLQGLYLENNTLDGVIPPALGNLTMLMFLYTYKNQITGTIPEELGMLSSLTKLDFSENRLTGSIPSSVAGNLTSLSFFSLFDNHITGSIPQEFGNLVNLEALDISFNFLVGSVPPSIGNMSSLSTISVYSNNISGVLPAELGNLENLEALRFHLNQLSGIIPRSFGKLVRMTEMRLFSNQLSGPLPSALSNLTDLVLIAVNDNHLIGRLPDFCQSQKLQLLHVFNNNLDGPVPKGLRDCRSLKSLAITNNQIEGDIAEAFGVYPHLTDINLSSNRLTGQLSPSWGSCQNLTRIAFANNLIDGSIPSELGKLQNLNTLKLSFNRLTGEIPIELGKLTSLYWMDLRNNQLSGQIPKQIGQLSNLEIIRFSSNLLSGKIPEEIGSCLKLQSLDLNSNNLSGSLPRSLGHLASLQSMLDLSMNSLSGLIPSELSQLQMLISANFSHNQFTGAIPASIASMQSLSIFDVSYNFLEGSVPKGIHNASAEWFLHNKGLCGDLVDMSPCNFPSADHSQKHQKIILSVTLAIFVAIISIACVIALFISRKNVSPKNDFESKRDILSVWGFDGRMAFEDIINATDNFDEKHCIGEGSYGSVYKAALQDEQVVAVKKLHAGDEEAHDEEIFLHEIEMLTKIRQRSIVKLYGYCSHPRYRFLVCQFIERGNLASILSNEEQAIQFHWQRRTALIRDVAQAITYLHHDVHPPIIHRDITSRNILLDAEYRAFVSDFGIARMLKPDSSNWSALAGTYGYIAPEFSYTSVVTEKCDVYSFGVVVLEVLMGKHPGDVQDFLSLLGDKFLPEEILDKQLPQPKTEEAEDVKRCIYVAFDCLVPSPKERPTMLKVYRDLVI >cds.KYUSt_chr2.17505 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110306390:110313726:-1 gene:KYUSg_chr2.17505 transcript:KYUSt_chr2.17505 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGDRGEGHARRPARSTSFGQGHRGGGVGGAASKGGGGPSGGQPPLSSNRSFGKPRNGHGGHQRVVNQPDTTGFQPAPAPGPLQTPPTQRPPVPQSAPVHVPAAAPRPQHHDSSSAAQAAPMPPATENPTYIPIPKNIPRAAPKAPPKNIPAPQGPPKGESSKGFNLQFGSINMNMNAVPQFPARTSSAPPNLDEQKRNQVLPEVLKAAPSIPPPVKQPHPPPQQQHPPQPQHPPQPRQQHPPQPQQQHPPQPQQQHPPQPQQQQPLSQQQQTRKDALGPSQPNAVNTHLPSQVKRDVHVSPSVPNVAPPRPTVQPMPGMPISSMHFHHQQPQVPVQFGGHNQGVVPSSIQMSMGMPGGNASQVQQHMYVQNMQQHQMHQQMLHQGQPMMFPSVGGHQLTPQLGNVSLNMAPQYPQQHQKQLVAPRKNVIKIIDPITNKEVNLGQMASSNVAPQTQQVSGYAAQPMAYFPQQQTSYNQSGMYYPGTTGVGQVPAGSQARYSYQTTQAGQTIPFANPSMSNAAPASHKDNISGHAASIHPQVAGKSQIGLHMEKPVAPVKITVPPAKSDPPKLRVTEHVVPHQQKDNIVLSGTMGSNAPVSEESKAQSVTEKLSQESKAPSVTEKHTRESKAPSVSEKHSKESKAPSVTEKHPTVVTQPSPILATKLENDTVTYPTTNLPSVLSGADGKSKEAIQKTDSIKDNKKNTSRKDTKILPQQPQSASSAEEFKGQTSVKVGDDGVDHTETKSSSSKELDLTSTASGLTAATSEISVSQVLGLSEADNPSVNVASVPPTDISSAKLSSVITGEPQAVESLGVAAVESEESGITPQISPEVSDDKILSDSTENESHECTADLAEQASAVPKPDNSDAASCVPDSQELAKESTASILDEHSLTNSSLKDTETSSAFVDVSDVSGANSVTSSESTIPSGNDKDNSSIPETIVSSNTPGTLPVNQSVASEEEGKHADGVMDQPSADQSSAAPTGSVRPLSREKPTAELTRTKSAFGKKKKRKEMLSKADAAGTSDLYNAYKGPEEQLEGIAAAEGADRSSMVDGTHVPPEGSEREGNVCDDDGKKKVEPDDWEDAADISTPKLQGSGTGNQASAPQVPESDTNEINGRKKYTRDFLLTFANQIYGLPVGIRMDAATSALFKDLAGKSYVIDREPHQGPGRGSDRPTSRGDRRGPAMDDDKWTTKSGVPFSPGRDAHMDVNGPAMNYRGAPGVNHGVLRNPRGVLVGPMQSIAPQAARSGSDADRWQQRGLIPSPVTPMQIMHKAEKKYVVGNVSDEEQAKQRQLKSILNKLTPQNFDKLFEQVKEVNIDNVSTLTGVISQIFDKALMEPTFCEMYANFCSHLAVVLPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEVKQTKEEREEKRVKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGNYESPDEENIEALCKLMSTIGEMIDHPKAKEHIDAYFDRMRSLSTSQLISSRVRFLLRDSIDLRKNKWQQRRKVDGPKKIDEVHRDAAQEKHAQSSRLARGPSMNSAPRRGGMEYSSRGSAAPLVSPGPQQRGRGFGNQDIRFDQDRHHDNRTVPLPQRTVKDETITLGPQGGLARGMSLRGQPPVSNAEPPSVLDHRRMVTSPNGYNSVALTGREDTSSRIPDRTSGRIAPATPSAGSSNRPASQDGRSGNKSYSEEDLREKSIAAIREYYSAKDEKEVALCIEELNAPSFYPSVVSLWVNDSFERKDVERELLAKLFVGLFNGGYNLLSKPQLIEGLSSVLASLEDALSDSPRASEYLGRLFARFVLENILLLQDVGRLIEEGGEEPGYLVREGIAAEVLGAVLESIRIEKGDSFVKEAKIGSNLKLENFRPQHLKRSKLDAFMQT >cds.KYUSt_chr2.43375 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269950595:269952822:-1 gene:KYUSg_chr2.43375 transcript:KYUSt_chr2.43375 gene_biotype:protein_coding transcript_biotype:protein_coding MECQNGHVAATSDGLCVAQPARADPLNWGKAAEELSGSHLDALKRMVEEYRRPVVTMEGASLTIAMVAAVAAGAETRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNTGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVATAPDGSKVNAAEAFKIAGIHHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFMVALCQAIDLRHIEENVKNAIKNCVKTVARKTLSTNDSGHLHNARFCEKDLLLTIDREAVFTYADDPCSANYPLMQKMRAVLVEHALANGEAEQDVQTSVFAKLATFEQELRAVLPREVESARCAVENGTATQQNRISECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNGEPLPIC >cds.KYUSt_chr7.6861 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41387309:41389200:1 gene:KYUSg_chr7.6861 transcript:KYUSt_chr7.6861 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLGCNEKLQHLLRDRSSATKFDMGSGNLVMKKVVRPSSFDLDIQLDKSWKEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANVKISSIAVAPLDSIHIVSSQVNNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDQCSFAGNFNELQKHTQQKHPDSRPSEIDPARQVDWDNFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEDYEVLRRVRRRWWSFICCKAFCRYPRRRRRGRSRDSRGSGRRNSNQAHLENFNLEVPTQAVDLRELRFDEIDDEYIVTGALPSMGTPGRMASFHYRDTRYGR >cds.KYUSt_chr4.42887 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265619941:265622089:1 gene:KYUSg_chr4.42887 transcript:KYUSt_chr4.42887 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGIVTRDLDGSLLAACGERYDEVVVPETAEAIAVRRAVSFALEENFSKIIIASDCLSVIQRIRSGEVDRSLCGPGIEDIKLMSRDFVSSEMDDDGSLGIRNWGFYETMKGNLGLQLMSSVTGDHRDTKPLLPNGTFLQHHGHHNVQHHPQHSHHPRGYGGCEPSGGMPTEQPAIHMDFVRNEAWVHPSQHQHQHQHPREQKVLHSVPVGPAGHNGHPGHAVHHHPASFGMLQDARGMQDGRGAHTLQMMQPQEPPPEEEHVTPPLIEEHSVAGNKPPAKKRQQGRQPKSPKPKKPRKVATPQEDGAPKSRTPRSRGPIKPLGMVINGIDFDISRIATPVCSCTGTPQQCYRWGAGGWQSACCTTSISTYPLPMNTKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIR >cds.KYUSt_chr7.18038 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111947320:111948744:1 gene:KYUSg_chr7.18038 transcript:KYUSt_chr7.18038 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLHPSSDRWSDGLPFDLLGQFIALLPHPADRARFRAVCRSWRSAVREHVPPPEQQLPWIVRADGSFVTLPDRRLHRLPLPDNTSSIGATGGWLAIDRTVGAGHKRTYLLHNPFSRTTLTLPGMDSVVKPSRWFKVRKVLMRSNNPDDVVAVLTNNWNYPVILCRAGKPDVWVPKPQEMPYARIIDVAFLGDNLYGITSYEGLVVMELGEDDDGWPMILDTKHVIGHPPIDEDNDQELGNDDEAVEALENVEPEYNDELSDEEDDEDFEHSETSCDKEELDDDEEATTDENGMLKQDDDDDDDDDDDDDDDDDEEEEEEEEEDKQVETNETSSGDNGCEMVPGNEDLIFIEEPTGFICNYWHLIESNGKLFMVKRTKHILTRGRPFNTKVEVLEADMDAGVWAPAAGGGRRCENQALFISNSFSKSVPLARDAGENFTYYFADEHDGVRTPELVHKYIHAKPTWVFPQKLVV >cds.KYUSt_chr1.8895 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54599724:54602977:-1 gene:KYUSg_chr1.8895 transcript:KYUSt_chr1.8895 gene_biotype:protein_coding transcript_biotype:protein_coding MPARSAAKLHPINDISIPLGKKTKSRVSKPNHRFHLQIEIFQVFDFAKMDDAKSSWPELLGAPSNVAKQKILSDRPDVRVFVVPVGNSVTTEIDNKRVRIFIDSSGDVAEIRGVKRYFLDAATTKCVGLDCEFTDPRAGDQRAAVLQISVASETFVFQICYADEVTQVLNEFLQDGNIRFGVAAISNDAKMLRPYGVHITYAYDLVKILPNPTNKPTPSLYDLANYTIETNLEKKRKYKKMDIAAQEKEDKLIFGWANFSLSYEQVHYAPLDARMGFEIARSHWMLVGYNNHVDRLNI >cds.KYUSt_chr2.31615 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195062345:195072787:1 gene:KYUSg_chr2.31615 transcript:KYUSt_chr2.31615 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPIPMASAATAEEAPQSSSTIKDGTVSGTLPEAEAFAVHYPGYPSSPARAAHTLGGLPAITKVHLKPPPLSLPRNDPPHSFFSYVPQVRNYKPTPASTPAPASAPAPPRLELRFRPEDPYCHPACAEHRASSGLLLRLSRPKGGSAPPRAQVVARVHGGYHFEGMSDFQHVVPVHAAEVRKRKRVDRPNAKDDLGSDKAGDLETEDGDVMMLVPPLFSIKDTPTNIALLPSSNALSKSMQRGVVQHRWEMDIEPTIALPFNIQDILYLALFHQVCKHLSLACSATFSSGVTWSEKINWEDHIPKNSSEWDWQMAVCKLFDERPVWPRQSLYERLLDDGVQVSTGQFKSLLFKAGYYFATGPFGKFWIRKEYDPRKDPESRIYQRIDFRMPHELRNLQKKNNYGSEKWSDMCKLERMPSKSFISLQLFELKDDFIQAEIRKPSHQSTCSQSTGWFSQPMIEMLRLQLSIRFLSLCPNEDAKNILRTNHERIERTKKQEVFCRSGKLKERKDVDEEAPGIHAGSEDQVDTNNSDSEDDDDDEEEDKEESDGYDSPSMPSDDEDSDG >cds.KYUSt_scaffold_1854.125 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:655474:658482:1 gene:KYUSg_scaffold_1854.125 transcript:KYUSt_scaffold_1854.125 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARPFHLTLLLLAAVLHAASAASSTELSAIMAFKASLTIPPTSTAFFSTWDAAAASPCTFTGVTCRGGSSVTGLSIPGKNLSSTSIPFDTLCSSLTSLTTLSLPANALEGGIAGVAACAGLEQLDLAMNAFSGAIPDLSLLTRLRVLNLTQNAFSGAFPWAALRAMPGLAGLSAGDNPYLTPTSSFPPEVVALANLTTLYLSAANIGGEIPSAIGRLTKLVDLELADNRLTGEIPAAIAQLVNLQSLELYNNSLTGTLPRGFGKLTNLRFFDASMNALTGDLSELRTLTRLVSLQLFFNNFSGEVPAEFGDFKELVNLSLYSNNLTGELPGTLGSWSEFNFIDVSTNALTGAIPPDMCKQGKMLKLLMLENKFSGEIPASYATCTTLLRFRVSKNQLSGEVPEGIWALPNAEIIDLEANQLSGGIGDGIGSAASLTNLVIAGNRFSGAIPSSIGNAGKLQIIDLSSNELSGEIPASIGRLVHLDNINVEANGISGAIPASIGACSALSTAYFAGNKLSGAIPAELGDLPRLNSLDISRNQLSGTVPASLAELKLSYLNLSDNQLEGPLPAALAISAYDESFQGNPGMCATNGAGFLRRCSPGNHSSTSARTLVTCLLAGMAVVLAVLGMTIFIKKRRLHRAQAAEADAMAARGKLFAKKGSWNVKSFRMLAFDEREIVGGVRDENLIGSGGSSNVYRVKLGNGAVVAVKHITRTRAAASGATAAMLRRSASSVRCREFDAEVGTLSSIRHVNVVKLLCSVTSEDGAASLLVYEHLPNGSLYERLHGPAARKLEGGGLGWADRYEVAVGAARGLEYLHHGCGDRPILHRDVKSSNILLDEAFKPRIADFGLAKILDDVAANNDASSGGMVAGTVGYMAPEHAYTRKVTEKSDVYSFGVVLLELVTGRAAIVDGNDIVEWVARRLDGREKAMMVLDGKAIAEEWEKDEAMRVFRVAVLCTSRTPAMRPSMRSVVQMLEDAVVGGRDYTSSGKAMEVKIAIP >cds.KYUSt_chr2.48765 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305047168:305052175:-1 gene:KYUSg_chr2.48765 transcript:KYUSt_chr2.48765 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLPAPRQASTPTAQALTHSASSGLQIPALPMAARAQAWQFAAALVFFHGSEYVLAAAFHGHRNVTATSLLISKQYVLAMSFAMLEHLTEGFLFPELKGYQFVSNIGLLMVLVGEIIRKIAVITAGRAFTHVIRIHYEDQHQLITHGVYRHDFFTIDMSKQSTLQCGFKR >cds.KYUSt_chr1.26164 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157401464:157403930:-1 gene:KYUSg_chr1.26164 transcript:KYUSt_chr1.26164 gene_biotype:protein_coding transcript_biotype:protein_coding MYVWADKYRPSVLGEFICNKAVADNLHRMVTERQCSHFIFEGAQAVGKRSMVLALLRDAFGPDELKIEEQTKRIEMKGEIVKHIDLKVRISDHHVEVNLADSHGYEKYVITTLLNESLPPPDFICTHANCKVIVVHDADRISSDLQHYIGWFLGRYAGCNKIIFCCSSSKNLEAVEHLCKVITLQPPSFDEIIKVLEFIATQEGIDLPDGIASRIAASASNNLRQAIRSFEATWTANYSFTKDQPILTGWEEEINNVAKKIMEEPSPKQLYLIRGKIRKMIEHNVSPYFIFCHLVTELKRDRDEDFQNSIDELASDLNRTEQCKEYKSQDTALVKRAINIEGFTVEGPDQGEAIQCFIKIEEFTVRFMSFYRSLIAKNSIRGSVS >cds.KYUSt_chr3.15015 pep primary_assembly:MPB_Lper_Kyuss_1697:3:91502303:91505248:1 gene:KYUSg_chr3.15015 transcript:KYUSt_chr3.15015 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRSCATGDFPTLSPTLLDEMMESFTGLQENFPEAQLPKMPYSSTIDDVCVQDGGAVWRHAGIDDGSSKVNTMTSSEDGVEEDGDRIIMGSIVQAEDQVPVYESGAEALQKLQEKWKSTAAPFPAMYSSVLGGIVLDPAMMVLPIDDHMVHRGHGVFDTAMLLDGHLYELDPHLDRFLRSAAKAKVGIPFPRDTLRSILVQMTAASGCRKGSIRYWLSSGPGDFLLSSSALPGPAFYAVVIPSDYDQCRHGVKAVTTSVPMKPPMFATSKNVNYLPNVLSIMDAEDRGAFASVWVDEQGFVAEGPMVNVAFVNPAGELVLPAFDKILSGCTAKRLLALAPKLVDAGMLTGVTTKYITVDEAKTSVEMAFVGSGLPVLPIVEWDGQPIGDGKVGKLMLALSDLLWEDMKSGPDRVAVHYK >cds.KYUSt_chr7.20964 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129896387:129900229:1 gene:KYUSg_chr7.20964 transcript:KYUSt_chr7.20964 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSAAPAVVAPAAVVPKGKAGKKREAEDEIEKAVTAKKQKAAPAKAAPVTKEDAKKAKKQPPPKKAESSSSEEDSSESEEEVKVQPKKTAKPVKQESSDESSDESSDEEPAKKPSAKPVASAASNGSKKGKQESSSSSSEDESDEDEKPAPVKKAPAKEESDSSESDSDDESEEAVPAKPKAPAAAAKKAVSSDSSESESESESESEDEDKSKKAAPAAKVTPAAKRKDDSSDSSDSDSEEEPPQKKQKDAVKVAPKSAKKDSSSDDDSSEESSDDEPKKAAKAAESSGSEEDSSDDSDEDDKSAKTPKKEVPTATKSQKAEPKTPASNQGQASGGSKTLFMGNIPFGADFEQVKQFFADIAEVVDVRLGVHEDGHPKGFGHVEFATAEGAEKALNEMNGQDFNGRAVRLDIAAERGASAPRTRDGGSFGKPSGGPSLSVFVKGFDTSQQRDDINFALKEHFSKCGEVTRVSVPVDYETGECRGIAYMDFGDESSFNKALELSGSDLGGYNLYVAEAKPKGDFGGGGGRSGGRDGGRSGGRFGRDGGRSGGRFGRDGGRSSGRFGGRSGGRDGGRRGGRGFGRQSAGTASAGKKTTFDD >cds.KYUSt_chr4.4063 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23221813:23226620:1 gene:KYUSg_chr4.4063 transcript:KYUSt_chr4.4063 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFKLVEPKQSTVSLTHTPTDTTMTAPPQPRDSPPALPVELVEETLIRLPPDEPACILRASAACKALSSLISHPSFRRRTHEHHQTPPVLGFLHNCPKEHIPRFIPTTASSFSLAAPDYTTWRALDCRHGRALFLSKGQDTQEFLVWEPITGSKQLVPVPALCKSRSGTAAVFCASDVCDHRDCRGAPFQLVLIFNVHDLRDDQFDLLAFVYSSEAGSWGEPASFHGEFDMTFKDYYSVLVGRSLLYFLSDDGFVVEYDLARRSLAVFDALDVEGHWEQPDPENTKDINLVLAEDGGMRASQVVNSYLKFWTKPGASDGPDAPWWVLTRVIDLGNIFLQVGVVLEEGTEVRVVGFAEEANVIFVDTAGGIFTIDLKSEKVRKVCDDNGFCNIIPVVGFYIPEHRWDSGPSEEACGEKGGEEEKALDQPPQLFDKGSNAIEEGDFINAFERVSCDLKIRDYDEITMEEGGAATLNKCECALLPKDQEVVNLSGDPPKSAPNEELVKRTSSKDDARNSKTSDTNDVCSPTLEKDLAREYSQQNEVVKLKEKNNKKKEEQSSQNELEESTLMLLP >cds.KYUSt_chr4.20752 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130646905:130648757:1 gene:KYUSg_chr4.20752 transcript:KYUSt_chr4.20752 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSGARPPPSLPPSCYNNGGDVGLHDGRHGAAERGLLLPSSLARCTSHGGALTCFLRLQVTVRERTRREELSAAQVRHRGGKDDEMVVAFPELLLPVIYDPLPEQLALFSTCACRPPFSTAAARHIPNLLLPLQGGTSSTNVSSESGVKEGKLLLTKDSLDKMLKDITQPLAKCQMLQDSKKSIKNAETQASGANIDQDTYVCSGLNGGSDAGSMIEAIVDLSMSDELQGARGLVVIAELEALSKLTVKVSKID >cds.KYUSt_chr5.37062 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234513213:234514034:1 gene:KYUSg_chr5.37062 transcript:KYUSt_chr5.37062 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVIPRPSRGCADGPLHGCSALMAAAALLMLLASLATTDRYDQETRRVFAEWKAKNGQTYEDAGEEACREEIPRGKGVRTGEASYEEETRLVFAGWKARYGKAYRDAGEEECRYRLFKGNRRVVVQLNAAAAGDGEAAYGLNQLGDRTNDEVRACCDLEMEGELGARCLAAAADHPDTYLRLVRSQSLEVAPFLEMEHTCGSEHHFCLL >cds.KYUSt_chr7.37446 pep primary_assembly:MPB_Lper_Kyuss_1697:7:233665037:233667299:1 gene:KYUSg_chr7.37446 transcript:KYUSt_chr7.37446 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSARTRVYRHPSIRCNATTTMTPTCYLPALAAVAALLFLAGRWQEAEAGPENNDCAVAQTAFGDCIAYVSGFEDKFSPRCCRGLADIKDMAPTADRRRALCACIHSEMVAVGKVIPDRVNSLPAKCGVRITFLPSSYYFKCSRSVSTTEQDGTHSTHGLEAGSRKKKKTRLCEALVIMLLLSYFEEYYCS >cds.KYUSt_chr4.47410 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293403180:293415215:1 gene:KYUSg_chr4.47410 transcript:KYUSt_chr4.47410 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGMVPNELARAYVEELADVYDDAKLKAQEVRPKPREVPREELQPASAGAENTREKRALRRAEIRRGNSLREGEIDAIVTVIELDIISITIIIISTIITAVSTAGHRHRRSNLDCECHADGSIRLWDAETGACETTLHGHRSAASALRFSPQAPVARIAMPFSGTLLRRPASSDFVATVIRFRHHHCLQIVGGHHSPQRDMADGCTGKTADIYRILDEAEATHKAKRRLQLHRKKEKASAKAVVAEGDGDCLRTIDSEYGLCSAFVPGNRYGLVGTKTGTLEIIDINSGNSADVIEAHAGSIRSIVLIPNEDGTVNARGFVTGSADNDIKFQEYQLARRSDSDARHLSVTNVRTLKMNDDVLALSIGPTGKHIDVALLDCTVKAFFLDTLKFCLYLYGHKLPVLCMDISSDGAFIVTGSADKNLTIWEKRLEETFEADLDNAVEDRYGKKDDAPEEGYVGVPVSNVRPNDLEQALLSLPFSDTLKEWSLIPLKVELICRVCVVLLQTHHNQLTTTPVARSVLTELKEILYGMVKDCKDTIGFNLAAMDHTKELLAMRSDAPFRDAKAKLMEIRQEQSKRSDRYCWEYSCGVQGRSD >cds.KYUSt_chr3.20971 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128501808:128502161:1 gene:KYUSg_chr3.20971 transcript:KYUSt_chr3.20971 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGGGQELKLLGAWPSPFVQRVRLALHLKGITNYEYVEEDLNNKSDLLLASNPVHKKIPVLLHGGRPVCESLVILQYLEDAFPKAGNALLPADPYDRVVARFWVAYADDIVRLL >cds.KYUSt_chr4.19101 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120031219:120032172:-1 gene:KYUSg_chr4.19101 transcript:KYUSt_chr4.19101 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDNVNNNDCDGGLMDYAFQFIKKNGGITAESNYQYQEQQNSCDQSKENSHDDSIDGYEDVPANDESALQKAVASQPVSVAIDAGGNDFQFYSEGVFTTDGGTDLDHGVAAVGYGTTRDGTKYWIVKNSWGESWGEKGYIRMQRGVKQAEGLCGIAMEASYPTKSAPHSTIKEGSLTDEL >cds.KYUSt_contig_257.255 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2023348:2028023:1 gene:KYUSg_contig_257.255 transcript:KYUSt_contig_257.255 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLPFDRNLRVPDEHRRRVQSFGQAAASSDWSGSSTRSDSRAHSMGLSAESKVEQEVTSPMKDKGSGQEAHICLSVARSLFPGKDKTLTGSGRKRKTTKTATTAKSLSGTVSAKVQALEKANTPQVLSITGPLVDHGGADGSLAGLGGVSSSEAPPRSMMILGLNCRGCGQPEAVHELRVLAERHRPEVLFLSETKMTAERAECLKWRLGFENAFGVDSEGQSGGLVLLWRSGNVVRLKSKNKSHIDVLVSNDNLGGGEWRFTGFYGEPRRENRKDSWYLMHFLRSVSDMPWLCMGDFNEVLAQDEHFGRHEREDWQMAGFREAVEYCDFTDLGYSGLPYTWDNKQVGRDNVKARLDRVFGDDRFLRRFGATHVKHLQTSESDHCALLVEIAGRPRQRPNKVLKPFRYENMWHRDPSYVEQVNSAWAKCGPGLRGVQTSLRNMQSSLQLWDKEVFGSVRNNLKKLQFQLEAVRRRNWRSGPSREEQALLGRISELLAREEVMLRQRSRIQWLAAGDRNTGYFHAKCRARVRQNWIHSLTLEDGSTCSDQNVLKSQAKSFYKNLFKAQDDCNLNAILQFVPGKVSNEMNNFLCRPFDPGEVETSLFMMKPNKSPGPDGFTAGFFQRHWAVIKDTVCEAVLDFLNGGEMPGDLNSTILVLIPKIKHPQDLTQFRPIALCNVLYKICSKAIANRLRSVLDEIIAEEQSAFVPGRLISDNILTAYECIHYLKRKKGKSPDCAIKLDMAKAYDRIEWTYLEEIMVKLGFARPFISLIMKCVISVSFRVKLNGRLSDSFLPSRGLRQGDPISPYLFLLCAEGFSCLLKYSGPMFLARGIRVAVHSPWISHLLFADDCIIFTQATERGAIRIHEILDTYLAGSGQLVNKSKSAVFFSKNCDMASRNVVLQSLGIDKEALEEKYLGLPTALGRNITGPFEKIWTRVRDFMGGWCEKNLGAPGREVLIKSIVQAIPSYAMSCFALSKTTCKKIAAAEASFWWGGNSQARRMHWRNWPALTQPKCHGGMGFRDLQLFNTAMLGKQGWRLISNPDSLCARVLKGKYYHGEDFMRARKKKNCSHTWRAILRGRSALELGLIKRIGNGSSTNIWLDRWIPGVPSLKPMCMKPGATASSVAELIEVESGAWDDDALHANLLLPDALAVKRIPRGFLDEDTWAWSEEKNGLYSVRSAYRILASSDRTLSQYESDFSCPSFGEANPIWKILWKLTIPPKIKCFWWRVLNGFIPVREVLYNRHMEVHSTCLECGAKDETIFHALTECTLARQFWEVFKDLCHIKLPNLHPLTWASDILIKEICPANEVTAILCGMWSIWTARNNRRHGKTPLNIGKACKWALDTASDLLLLTKPPLGAKTRQRPARWIPPPASFLKINTDAAFDAINLVGASGCVVRNADGVFLRAASSWLESVPDALTAEAIACCEGVKLCLGGTDMKIILESDCMTLVELWKTRKKNRAAIWPILNHIEALSKEFISFDFRFVSRKANMAAHLTAKNMSSAMPECIWVSQVPAFLANCIQHDCANADE >cds.KYUSt_contig_662.214 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1291552:1294948:-1 gene:KYUSg_contig_662.214 transcript:KYUSt_contig_662.214 gene_biotype:protein_coding transcript_biotype:protein_coding MSARIPMIIFLLLLLSAVSYSSPSPTNGSDTDLAALLAFKAQLADPLRVLATNWTPGTSFCHWFGVSCSQRRQRVTALSLPDVPLVGSIAPHVGNLSFLSSLNLTYANLTGSIPVQLGRLHRLRYLDLRGNLLSNGIPAALGNLTRLESLVLASNQLSGQIPPEMLVHMHNLRKISLIGNDLSGQIPPGSIPGPLKKLKDLASLDLSYNNLTGTIPMFLANFTYMKILNLSYNRLEGPIPEGGVFSNLTLQSLIGNAGLCGAPRLRILPCLESSHPNYRHLLKFILPTLTLAFGSIAIYMYIWFRKKIKSGEDKAYVDPTDVVGHQRVSYHELVRATNSFSEENILGSGSFGKVFKGQISSGLLVAIKVIDMQLEHAIQSFDAECRVLRMARHRNLIRILNTCSNLDFRALVLQYMPNGSLEMLLHGSESTRMRLGFLERLGIMLDVSMAMDYLHHEHYELVLHCDLKPSNVLFDEEMTAHVADFGIARLLLDDNSMTSSSMPGTIGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGRRPTDSMFGAQLTLRQWVQWAFPTDLVQIVGGIQLLQGSSLSSCSLDDGFLVSVFELGLLCSSESPDERITMRDVVVTLKKIKAEYIKRITTMTSRSTHTD >cds.KYUSt_chr1.2307 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13540758:13547139:1 gene:KYUSg_chr1.2307 transcript:KYUSt_chr1.2307 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLIHCPFAKQVWHEALAWLRLSCRTPRDMDDSIFTWLVEAKQVTPKPLRKGLGSAALLLPWMIWKHRNDCVFEGTRPSVHDLPSKVKEEASLWARAGAMGLRVALPATWDVGIGIAVVVFGLVFTLGDFLPYGSDSPSKESTVVNKQLSAEEKTNFKRALEGFEETLTKSPNDPTALEGAAVSLVELGEYEKASSLLEKLVKVIPDKAEAYRLLGEVKFELKDYEGSSSSYRNSLSASDGIDFEVLRGLTNSLIAAKKPDQAVEVILSCRRKLNEKSQIPSADLKAANDKGAQKSQDIDPIQIDLLLGKAYSDWGHISDAVTVYDKLITEHPEDFRGYLAKGIILKQNGKAGDAERMFIQAKFFAPEAAKALVDIYAQR >cds.KYUSt_scaffold_3611.73 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:331293:331532:-1 gene:KYUSg_scaffold_3611.73 transcript:KYUSt_scaffold_3611.73 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTWRLPLLASLGSRHLSIPHKIARPSLLDAGGFDPIAEEPHPPHVANGRDPTALACAISAEASVVLAIMRCSLSRCR >cds.KYUSt_chr2.47205 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295266564:295278584:1 gene:KYUSg_chr2.47205 transcript:KYUSt_chr2.47205 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLIVGGIVGDIVDYFDASARLRVLYSNREITNGSELRPSQVANQPTVQITGRPGSLYTLVMVDPDVPTPSDPSQREYLHWFVTDIPEGRDVSRGTEVVAYERPQPTAGIHRVAFVAFRQSVQQTIYAPGWRSNFITRDLAECYNLGVPVAAAYFYCQREGTCGGRSGGDGGDDDGDDGDDDGDDVQLDDDDDGVDFPLREGISPADFSLPESSFLSGVFRPAEAAVTLRDYPPEIRFSGRRSKDEDYDEIDMSIEEDDGLCLIDKLKMEDQDMQESLDKLDRCIKRIEEKAPGSAEHVRLLRRYLDQMDENIIKGLEANLDMCLCSLDTQKKTVEVRKNYIALLEKLAKERSPASSTSKPSLLRCRPRSIRLKGNPLRNRRTNYVCFFIPFALALQKPSCATMSSSSSASSGLSTQSSSSREPTPEWNPEEAHAANIRRAIEAGEESSHDFSVWSEDDKSSTDGESDLRFLTDGETEEESDDNRFSCDDFTSPEEEEEEKEEDDDTSSDEPPAKRFYPWPGNLSDFDSDDDDADEEDEDNEGPAGGRCSSDDEPAGSSADSGDDDDEGSDGP >cds.KYUSt_chr2.5077 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31498694:31499593:1 gene:KYUSg_chr2.5077 transcript:KYUSt_chr2.5077 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSTELEAAERVVMRWDSSPASTAAAGRDDEPMLFDGAGDRAEAERFLRAVDDIRRLAPPSGLGGGGGVRARRLRRRAGGHGAARGRVPARALLPRLRPRDRGARGPHLALHHLRRPLQLALLRRPPRRRRGRPRRLPRRPPQQLPLAAQHPRDRPPPDDAVADLRAIASRMAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWDALEAKIRRWIRAARAAVRRHCTTIGCVAGMVDAGRTPSRHPHERRVWGDDWKSVAPHAKNRSNPDQFLSGLCPGGLQRVGML >cds.KYUSt_chr7.1019 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5505740:5507095:1 gene:KYUSg_chr7.1019 transcript:KYUSt_chr7.1019 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGFRQGAEAQIGGIVDMEDDVYLEFDEEEEVKKDPDEAVTWQLMARYMATFKPNTKALFTKLTEEAWHLRTGIDYAEKGKNYYMITLFSKGDYDFVKRGGPWIFKQHALIVKDFDNSVQPSAIKLDAVPVWVCIYDVPFGKQDETWGMRYGGGLGEALEVDVPDSELKMHEFLRVRVNLPYDQRLQTQLTTGVKGKPREVKVFKLKYERVPYYCSHCGFMGHKKDDCEKRRIGIPSLDYDAHELRCSHFKKFEHRSHSIPLAGHPSARRGISFSSYGSAESHKRFGQEHVHEARRNSLTPDPIQSRSGSVDHDMPPLMDDIVPGVIDGFDRIIGQVTSAPVPVVDDDGFEGKEVAAPPEEELNLVAKVDAMQVEASQMRKGHEGHAQKVLENRDASQPIVQFPEEDNPSEGRTCGLARDNELYRLELSRGWRDLNDSRASFSLPIFAS >cds.KYUSt_chr6.3615 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20856434:20856775:1 gene:KYUSg_chr6.3615 transcript:KYUSt_chr6.3615 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEDVPCLERLIRLSPDNGPATIRVIRAPKLKILGLLSEGISTLSIGTTVFQKMIALSLTTKVHAMKILFLDSIGPNLDAVLLD >cds.KYUSt_chr7.22532 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139725888:139726217:1 gene:KYUSg_chr7.22532 transcript:KYUSt_chr7.22532 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDMYQSDSEDGGGDTVRGWDAGGESGVTEVHNGSDATSGKARCQSAGDWDSTEETTAADEAMPCSLIRLVAARCGCLLLGFLAGTIAAAAWLFLKSPGRPEQFIVPT >cds.KYUSt_chr5.22908 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149643860:149645002:1 gene:KYUSg_chr5.22908 transcript:KYUSt_chr5.22908 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAIVDAVHHAVVAAAAAAFVALAVALYLLWRKKRMGATTGADGLGSSQVPLPVLPLADVERATDGFHSSRVIGQGRHFTVYAATPGLAAKRMRPHLILGDPGGRRFPTALRSLTVPPHPNLAALVGLSEGPGERVLLVERASAGSVSLEALLHGASAACTQKQLPVLTWPLRAAVAAGAARGLAHLHEHGIAHGRVRPCNVLVDAAATTSRRRPRQTARVSDYGLSSFLDHEDDPGLLDVRAESDVYMFGALLLEMMTGRRWDSGRLADWALPLIRGGTIEEVLDSRAGAPADKVESRLLARTARVALACVGNDGRSRPGMPEVSAILNDVEAAYQARDGAEVAKVREKEDGEGRLSGCLLGPGRSVHKKETLLGLPV >cds.KYUSt_chr6.5767 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34645744:34646983:-1 gene:KYUSg_chr6.5767 transcript:KYUSt_chr6.5767 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTTEEDQKLTEYIVKNGHGSWRALPKLAGLNRCGKSCRLRWTNYLRSDIKRGKFTPEEEQTILQLHSVLGNKWSAIAKHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDFFAALPQLIALANLRQLVEQRPWEDNTSSQVQADAVQAAKLEYLQCLLQSAAAIATSPSSSSINTIPTDLEQIGLLSPSQMSSLSSLSSPRILEGTNGQDFITGQLPDIQIPSSSFFEQPIINGSTQNSDYTANNCAGENSAHKPLFLSENSLPPLADFPISNLGDACSTSSCDADGNSAQLPIWSESFYDQFMSEFA >cds.KYUSt_chr6.21599 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136275524:136278403:1 gene:KYUSg_chr6.21599 transcript:KYUSt_chr6.21599 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALRAKALAERKMLEKDFVAARKMINKAQQLYSEVDNVSQMLTVCDVHCAAGTKVNGETDWYGILQLPVFTTDDTLIKKQYRKLALLLHPDKNKFAGAEAAFKLVGEANMTLTDASKRSAYDMKRRASVRVSAARPSPYQQARRAAPVRPVNLQQPANPAGPATFWTICSNCGMRYQYYTTLLKKAIRCQSCMKPFIAHDLNNQPVPPVANQQPAGVNRGAGAPSGVNQQSAGVNRSAGARQNFPGPQINVPGQQARNYATQGDRANYGSRNATANTKRGGDGNRASAAGEPKENTRFARTSKGPSTSGLKRSRRSMVESSDSESTSDSDEEITVNGAAANNANPSEYSRRSVRQKQEVKYKEESDDEYAADDDDGNDNDTVESSSFKRLRKGGMSNGDDQSNETKMNEDGTGHNGSANGLNHSVSSNGLNPNLDASDEDKFSCVDPEFFNFDQLRDVSQFKANQIWAVYDSQGCMPRFYARIKTVKMAPKFVVHFVWLEFEPANKAEEAWSNGELPVACGHFKYGDSGTAKETNMFSHTMYCVKSKTASTFEMYPRKGEVWALFKGWDIGWSSDADNHADYEYEVVQVVSDLTAGTGIVAMPLVKIKGFICLFMQSKEATPYVIPQDNTLRFSHLVPHHIMCGTEREGIPDGALELDPAALPLNLEEAFASVVPEISSVKGKEFGTKCAGKGSMRAGEAAKEKNIEHSIPPAVEDTDDEEADDLVQGEFQCPDSEFYEFSELRLLHKFEPGQVWAIYSDVDKFPNFYALIKNVDPKNNIVKARWLDVCPLGEEEKRLVKEDRTVGCGTFKVAVGRDGNITYTDTESFSHPVLARPTSRRNEYEIIPGPGEIWAIYKNWRAGWTAQDFKNCEYELVEILAHTGKSIQVQLLRKVDGHTAVFRRDDAVKTISKDEYPKFSHQVPCFHLTNEKGGKLRGHLELDPYSLPDAFLYT >cds.KYUSt_chr3.1266 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7081733:7082755:1 gene:KYUSg_chr3.1266 transcript:KYUSt_chr3.1266 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTPPSRLLPDTKRVEEAAAAMKGRVAKKDLGGILVHAKCAAKNIRAQRERLLHFHLQLQLQPNQADAPPEEVVSGLIRVYCEGLEAGARYLTACLTMTAQSGERPSLPLDFAVISDEQLFARLLALRLPRRPVSQAQAFARLEAAFYAVTLNLRYCLTRCIDHLGHPKCADTDEEELDDEAFQVSPPDTDDEYSQDGGEFGPPDTVAAATKDIAKIALSDPGAAATDTEPSQHGSLTDPDAAATKDCAKIGPTDQDAVATDHTYDSAKTNLSNPATGETPQAPIIDMNQARTYLNHACTLADLALKHVDLAVTAISSCLDPAQVASISAFADNVAYTK >cds.KYUSt_chr1.4643 pep primary_assembly:MPB_Lper_Kyuss_1697:1:28461564:28468468:1 gene:KYUSg_chr1.4643 transcript:KYUSt_chr1.4643 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFDFPRADERQICSIQCCGEGKLIVSPPSGSSRDRLAALRSYPGPVIVVFVDRDGKYLTDYGSVGIHLRHMHRLLLQVVKRHREQDLVSQENKCTLEMAIWRHIHWPDGTRKSHLRTLPLDERRCSMLQLVKALMDKYNDDHNLSGDLAYELTCVGRYRYFHDADIKGIYYHINFTANTKGADDSGLDNLLFFAELVERGRNELVVSCICRVNPSEARGCYACLSDVYHPKADSYSGGRFEDCRSRGMFCCDGPEENLGYTPSDLEAEEMSARSMYEHSKSPPATMSSSSSAPSGPSSQSSTSREPTPEYNPAEVHAANIRRAIAAGEESDHDFSIWSEDDQSSTDGESDLRFLAVGATEEESDDDSFSCDFTSSGEEEEQEEEEEDDDESSSDEPPAKRFCPWPGNLSDFDSDEDDADEEDEDNEGPVGGHWSDDEPAGSSADSGDDGDDEGSDGP >cds.KYUSt_chr1.37797 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230767468:230768149:-1 gene:KYUSg_chr1.37797 transcript:KYUSt_chr1.37797 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEGWFANNIVAGGQLTTATDVENFPGFLDGILGIDLMDHCCAQSVRFGTNFFSETITSVDFSARPFRVSFDDTVIHANSVIIATGAVAHRLHFASSDAFRNRGISACAVGNGAYLPEQAHRRRRRRGLGHGGGQLSHKVVWYSEVVEAYGGSDGGPLAGVKVKNLVSGEVSDFQVAGLFFFIGHEPATKFLGP >cds.KYUSt_chr3.1039 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5552057:5552878:1 gene:KYUSg_chr3.1039 transcript:KYUSt_chr3.1039 gene_biotype:protein_coding transcript_biotype:protein_coding MHALYAKRILSASYGRSPGVVRFNQAEHASSSVFTREKRSRSRCCVESFIMSCCGGNCGCGSGCKCGNGCGGCKMYPEMDEGVTTCQTLIMGVAPSSKASFEDAAAATGAENGGCKCNPCTCNPCTCK >cds.KYUSt_chr4.43281 pep primary_assembly:MPB_Lper_Kyuss_1697:4:268161356:268166909:1 gene:KYUSg_chr4.43281 transcript:KYUSt_chr4.43281 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGCRYGKIYRSSLFGDRTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDPHREMRAISLNFLSSVRLRAVLLPEVERHTLLVLRDWLVPSSSSSSAVFSAQHEAKKFTFNLMAKNIMSMDPGEEETERLRREYITFMKGVVSAPLNFPGTPYWKALKSRATILGAIEKKMEERLEKMNKEDSSTEEDDLLGWAMKQSNLSNEQILDLLLSLLFAGHETSSMALALSIFFLEGCPKAVQELREEHLEIARRQKLRGECKLSWEDYKEMVFTQCVINETLRLGNVVRFLHRKVIRDVHYNGYDIPSGWKILPVLAAVHLDSSLYEDPSHFNPWRWKGSASGVAQNSNFMPYGGGTRLCAGSELAKLEMAIFLHHLVLNFRWELAEPDQAFVYPFVDFPKGLPIRVHRIDPQDGE >cds.KYUSt_chr7.16822 pep primary_assembly:MPB_Lper_Kyuss_1697:7:104203304:104204384:-1 gene:KYUSg_chr7.16822 transcript:KYUSt_chr7.16822 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAARCQLLLVAVALATLLAGVAGVQLSSRFYDRRCPKLQSIVRSAVAQAVATEPRMGASILRLFFHDCFVNGCDASILLDDTASFTGEKNAGPNANSVRGYDVIDAIKTQVEAACSATVSCADIVALAARDSVNLLGGPTWTVQLGRRDALNASQSAANSNLPGPGSSLDTLITMFGNKGLSPRDMTALSGAHTIGQARCTTFRDRIYSDANVNATFAALRQQTCPQTGGDGTLAPIDVTTPDTFDTAYYENLASRQGLFHSDQELYNGGSQDVLVTGEDVQAQT >cds.KYUSt_contig_815.320 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1890500:1891791:1 gene:KYUSg_contig_815.320 transcript:KYUSt_contig_815.320 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGGAKSKERRHRRRKRSPAQSPNARARHHSPSKADLHAEAVSAAAPLLSTLLELRDSADDLCLAVVKKKVTFDSNVTAYEAPAIPEAEEQEEEADPASAAGGDEEAWMLGPDCAKSEAFPLNHRYGNCAGAADDDSDYEDCYDSDDDDEYEDEDDEGGEDGIDAIDDDEEHGGLLGIARGEEEACESLFLLPVTRTTKESAGPLAAAEARAPVLGSLENFTEWQDAKPRTTATATAAAPKNSDKENAVAPGQDNWSSLDKLSDPAPVPARKKDNKPAASPDYTPSTPSKQEASVDASLSTWLGSAGTPESHSVRSYSPISREDRPILGALTVEDIKISSANSSPSRRSRSPSPSPDDMPILGTVGAYWNCSDDSVTRGGFMKTRSRFGQVS >cds.KYUSt_chr1.30842 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186772603:186776357:1 gene:KYUSg_chr1.30842 transcript:KYUSt_chr1.30842 gene_biotype:protein_coding transcript_biotype:protein_coding MALYCESALCAVLLLSLLVLSLSDDAPPSTPVSPSTACNATTDPTFCRTVLPPHGTSDLYTYGRFSVAMSLASANKFVGLVDRYLSRHRHLSRSAVGALQDCKLLAGFNVDFLSATGAALKSTERLLDPLADDVHTLLSAILTNQETCLDGLQAASGAWSDRGGGLASPIANGTKLYSLSLSLFTRAWVPTAKAPRIPRKTPHHGHKKPPAAAAHARRGLFDASDEEMVRRMAIEGPQGTVTVNRAVTVDQGGAGNYPSVGDALAAAPTNLNGSTGYYVIYVLGGVYEENVVVSKKMKYVMMIGDGIGQTVITGNRSVVDGWTTFHSATVAVQGQGFVAMNMTFRNTAGPAKHQAVALRSSADLSTFYSCSFEAYQDTLYTHSLRQFYRGCEVHGTVDYVFGNAAVVFQDCTFYSRLPMQGQSNTITAQGRTNPEQNTGTSIQGCTLLPSPELAANTVYDTRTYLGRPWKNYSRTVIMESYLGGLVDGTGWMPWSGDFALDTLYYAEFNNSGPGADTGRRVSWPGYHVLGDVADAGNFTVNNMVLGDNWLPQTGVPFTSGFKY >cds.KYUSt_chr4.42388 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262292423:262295490:1 gene:KYUSg_chr4.42388 transcript:KYUSt_chr4.42388 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLLASSFLLPDVDAAAATATSSPPSYPAWVLLDKKAYFEDAENSTAASAISSTGHIVKVTFCLADPPAVSRFCYYGNPDHFVGEPRVVSSEKDLVLLSFVFTGGLRSTEPDRHHGEYFIYRAGRVNGMPSLRPIGATPPGITNTLCVSIVPCDDGDKGEFFLADLSVTTTLGQYDLAIYSSKTENWNARRLELHSCSDHIRAVDLVIVPHKVISLGGGLVGWVDLWRGIVACNVLEEDPFIYFIPLPKPDFNLPRIGNPKPVRDVICYNGVIKFVETDHYFRQEVVRRTKRFKVTEDLDGVDKLYDSEILLLPHEDFLRAPGEQFIRVPDGWKIRTCYRRISWDHWRKGHSVHVDDILANNPEHSVMLPQILDGSGKSTLRNLTTAYPTLGLNGDDAVYLMSKVDCNDNVVWMVGVDLGNNTVELLEPYCAERASYFIPDCVPWAFSEFLNPTPRHVFNQTSFVFQLSCLEEAAFASNEAPKRVVNDHLSSGCSGYPDREAPGYIYSNDQHQPPRLSSWPQPLHRDFTLSGSGGTGYPDSEAPGYICSNTQHQPSPLSKWPPPLHLDLTSSGSVLCPDAHGQLWLSVPLGENFLSQLLQLAGRPFTPPMLQKSVAEVQRIVGPAANATTTTKADAVLGKGRSESEAT >cds.KYUSt_chr3.39498 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248876041:248878607:-1 gene:KYUSg_chr3.39498 transcript:KYUSt_chr3.39498 gene_biotype:protein_coding transcript_biotype:protein_coding MENGMRVALQRQVSSGSLKHGPAGELRRQSSMESSPRTGRAASRFLFGRQSSMDPNRRRGRSQSPVSAAAADQQELTVPDNLDATMQLLFLACHGDASGVEALLRGGVDVNSINLDGRTALHIAACEGQRDVVDVLLDWQANIDARDRWGSTAVADAKCYGHMDIYDILKSHGAKIPRNRRTPMMASTPGEIPEYELNPGELQFRKGDEVLKGTYQVAKWNGTKVSVKIVDRESYCDQEAVNSFRHELTVFEKVRHPNVVQFMGAVTQNIPMMIVSEYHPNGDLAAYIQRKGRLHGQKVLRYALDIARGMAYLHQCKPDPIIHCDLKPKNIFLDNGGLMKVGGFGLTRLSKMAPDKVKLMNHEALVDTFSYYTAPELYRNQVFDMSVDAYAFGFILYEMVEGLPNMDSTHVTRYEGIRPSLKNRLKGYPSDFKALIEECWETHTMARPTFSEIIIRLDKIYEHCAKQGSWKESLKIWSVSRRLNRFRLKIKRKERVHSY >cds.KYUSt_chr5.16911 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108887321:108891859:1 gene:KYUSg_chr5.16911 transcript:KYUSt_chr5.16911 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQHPLDSFLAAARGALANLHLPIHIPGSDPTKQRQQQQQPDCLLHLHVVLTNFLHKPLRSFSRCFGNDDKPKRGRSKQFPLVDTGKKHHHQQQLELLLCIAFDALAHNLQVLEGACKQKGEEFGSAALQMDQFQVVRKVIVGKKADFDGFISNLGFARVGAPPASFVDDSPASSPPQEGPASVTGEREGVDSAGYSAPQPPQKFAGRLLNIPLSNVERLRSTLSTVSLTELIELVPQLVGRLSTSGDSHPDKKKLFSVQDFFRYTEFEGKRFFEELDRDGDGQVTLEDLEVAMRKRRLPRRYARDLLRRTRSNFFSKSIGWKQFLSLMEQKEATILRAYTTLCLSKSGTLQKNQILESLKGAGLPANEDHASAMLRYLNADSEGSISYSHFRSFMLLLPSERLEDDPRNIWFEAATLVAIPPPVEISTGNVLKSALAGGLASALSTSMLHPIDTMKTRVQASTLSFPELISKLPQIGIQGLYRGSIPAILGQFSSHGLRTGIFEASKLILVRVAPTLPEIQVQSLASFCSTILGTVVRIPCEVLKQRLQAGIFDNVGEAIVGTMQKDGIRGFFRGTGATLCREVPFYVAGMCLYGEAKKAAQHVLSRDLEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVLSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIEAESEPTDSLHEKKTMVGARG >cds.KYUSt_chr1.5609 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34597055:34599547:1 gene:KYUSg_chr1.5609 transcript:KYUSt_chr1.5609 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGIIMNFRFMHNQGGSNGPAKIIISEAHPVPLDLLLHCLHCFLRYHRIREIGITVIILFLFVIVFHHNPSFSVLGPTIVIGHETGSQKVAVNETRLPARPCSKIWRQQHCWSSSAHPADQSCWVSPSWLGSASEHLRELESLGDGCEPE >cds.KYUSt_chr3.3991 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22734296:22735275:-1 gene:KYUSg_chr3.3991 transcript:KYUSt_chr3.3991 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMKMQRCLSANILLMLSLEGALLVAGNPSAAATIRLPSDTQVAPAPARPWKCCDLAPCTRSIPPICHCADEVEQCDAACKSCVPSTAHPSLFVCNDSRSRRLPSTSSRPNARSTTKMPPTQYLDHQLHSPTNSSESRRPNLLYKASPRRRMHRRSAVTDSFRIPEPGHQGRTEEEKKPSETEIYIIWKPI >cds.KYUSt_chr3.11389 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67818826:67820269:-1 gene:KYUSg_chr3.11389 transcript:KYUSt_chr3.11389 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVGPYRFQMIRQHQDPQAASPGVYHRFRALIPPAHLPDSWHHPDPCRQVMRVGTIPGVSALLGPEIRPIWTLGLICFAEESGSDVRAIGRIGLVTLAGQCNIFQAMDHVMVVVARKKAVKAMQEERDLRKFASVSSSGL >cds.KYUSt_chr6.8375 pep primary_assembly:MPB_Lper_Kyuss_1697:6:51415956:51427372:-1 gene:KYUSg_chr6.8375 transcript:KYUSt_chr6.8375 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGIEAKEAAVREVAKLLPLPDLLASIASIKSDYLARQQTNDTQLSTMVAEQVEQAHAGINALAVSQETINSLRENFIDIDKLCQECQTLIENHDRIKLLSNARNNLNTTLKDVQGMMSISVEAAAARDSLSDDKELIHTYERLTALDGKRRFALAAAGSHKEEVGRLREYFEDVDRSWETFEKALWAHITNFFRLSKESPQTLVRALRVVEMQEIIDQQVAEEAAEAEGAGAMAAITNQRRTTKKGAGAASTPRNGTQEKSKSQGKGYKDKCYECIGKAVEDRFSKLLNELVFSEDFMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLLVNLYTERFVQMLRLLSERANDIQNINILKVTGWIVQYQDNLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYTNILDADKTQPPKSTEDGKLYTPAAVDLFRILTEQVQIVRENSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALINNNLRCYELSSELSSSTLESLPENYAEQVNFEDTCKGFLEVAKEAVLQTVGVIFEDPGVQDLLAKVYQKDWMDGMVTEYLVATFADYFGDVKLYIEERSFRRFVESCLEETIVIYVDHLLSQKSYIKEETVERMRLDEEVLMDFFREYTNVTKVENRVRILADLRELASAESLDTFTLIYTNILEHQPDCPSEVVEKLVALREGIPRKEAKEVVQECKEIYENSLVDGNPPKSGFVFGKLKCLTVRKGIWGKLGQ >cds.KYUSt_contig_319.1350 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:9016829:9017299:-1 gene:KYUSg_contig_319.1350 transcript:KYUSt_contig_319.1350 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLEGGKLLSSRILSLRDSSSSTVANASFRVYYSVGAGTVPFVWETKPGTPKSTVVPAATDYDAPPPPIISPPPSYLSRTRKTYTRRAPSASRSSRWGWLTKWLDIRRWMSPPKGGWHAPDGAADEVERQRRLRRDAPIFLCSCASDQPGRHG >cds.KYUSt_chr2.10055 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63606767:63614486:1 gene:KYUSg_chr2.10055 transcript:KYUSt_chr2.10055 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFTESKTRTAVFVLLVFGLCSFFYLLGVWQRSGFGRGDNIAAVVNEQTKCMKLPNLNFESHHSASDLPNDTFSSKVKTFEPCDAGYTDYTPCEEQKRAMTFPRDHMIYRERHCPPEKEKLQCLIPAPKGYVAPFPWPKSRDYVSYANVPHKSLTVEKAIQNWVHYEGNVFRFPGGGTQFPLGADKYIDQLSSVIPIDEGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPKDNHESQVQFALERGVPAYIGVLGSMQLSFPSRVFDMAHCSRCLIPWSESNGMYMMEVDRVLRPGGYWVLSGPPIGWKMFYKGWKRTKDDLRSEQRKIEKFAELLCWKKISEKDGVAIWRKRLNDKSCPRKQDNSGVAKCESTSGNDVWYKKMEVCITPLPEVKSVSEVAGGQLEPFPQRLNTVPPRIAHGFVPGFSAQTYEEDNKLWQKHVNAYKKTNGLLDTGRYRNIMDMNAGLGSFAAVLESPKLWVMNVVPTITDTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLVHANGVFTLYENKCNFEDILLEMDRILRPEGAVIIRDKVDVIVKVEKIAKAMRWPTNVDAIIVCNRKDSLMLKMAVGLQSLVRKQVSLQWELDARKQDQNHSTCASVKAMYGHIFIRSIRLCKCSSNYSYLVTQDKTKTTFQVAMKKIEFDVEDGVEDEGGRRRCRRDKYGDVRRRWMKTVTCMLEEDGGMYNDEEGEDGACRLEWNAQGKGLCLIG >cds.KYUSt_chr1.9715 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59412386:59418678:1 gene:KYUSg_chr1.9715 transcript:KYUSt_chr1.9715 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGRRRLGFYAVINGIVSRAPGLKKSVGIVIAITYTTDTSAPIPHDRASPHHHGKEEERLRGTLCDDWRDVHLPSGVWWLSHLWVPVPPVPPREPDRSADIRCRRWYLPPDLRADPTFAVNSNTWRKTEKCPRRKAYFLGDKDFPFDLPPPPHHQSRQPPTPPQDDADDYSDGLAYHNEEAKDDSDAYVACIFHFGSLPASTAGATPSSAAPTAGASLASPALTTALSMAGLSPHVVTAPPTNSSSILSVLPQEELVAAAASSSSSSTAAAKTIDNDHISKYIHFKLGLAGSNYSMWKKLFHSVLSKYDAQGHVDVYTPPLEQDARWRHDDITILHWIYGTISDELYHVIATPENTAFQAWNLLNSFFGDHQAEFRSVVQGDMKISGLSLDDVDHPGHPSGDTHRPGLPEPEHMDELPDFEMEAAGGSGMTLSANLELRERIKPYFLHRIKSEVSLETGLTDNKRLPKKNELIIWLQLTDRQRQLYKAFLNNELVHLAASQGNPLAAIMVCVLKKICDHPQILTKRAAEHILEGMDGMLKNQEMGMAEKMAMNLADMAHDDDDDDVVEVGPEVSCKLFFILALLRNLLEEGHHVVIFSQTRKMLNLIQEAIQLEGYKFLRMDGSTEVSERERIVKNFQEGLGAQIFLLTTEAGGLGLTLTKAARVIVVDPAWNPSTDNQSVGRVYRIGQTKDVIVYRLMTSATIEEKIYQLQVLKGALFRAEKNEQARYFSQSEIQDLLSLPEQGFDVSLTQKQLLEEHGHPLEMDESLREHIEFLEQQGIAGVSHHSLLFSKTEMLPMLSDNDALGRGADAKKTDEFFAARTNTNSDIPEEINCLTETLASAVRLLELCNDEHTFALSYNKLRTMYIVAVRLWSLRLRECGDEIHALDNEN >cds.KYUSt_chr1.31183 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189182378:189187126:-1 gene:KYUSg_chr1.31183 transcript:KYUSt_chr1.31183 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFPRLHSIAINKLAFVKNILKLDDPIDAFHLPLSAQAFEEFHEFNHLIHQTRSTRNADGKDLWFYSWGTHFSANKPATLLVAPSLLRNNGTDLGALLAFKAQLSDPLGILGRNWTTNTSFCHWTGVSCSRRRQRVTALALPDMPLQGVISPYLGNLSFLLVLNLTGTNLSGSIPSDIGRLSRLAILDLSFNSLSGTICHTIGNLTKLQALVLRANELSGQIPDGIGSLLMLQVLDLRRNQLTGPVPPNIFNMSRLVDMVLGFNNLTGPIPSNESFSLPMLRVISLSRNKFTGRIPSALAACQHLETLDVGGNLFVDVMPIWLAKLPQLTQLSIAKNGIAGPIIPVLGNLTKLSVLELSFSNLSGQIPVELAKLRQLTYLHLSYNQLTGPFPTFIGNMSQLYFLALESNMLTGSVPSTLGNIKNLSRLDIRMNHLHGDLEFLAGLCNCRQLQILAISTNSFTGVLPNYVGNLSKNLLEFTGINNKLTGGLPATLSNLSDLRAISFANNQLTKEIPESIMMLENLQALDLSRNSMSGSIPARIGMLRKIVMLLLNDNKFSGCIPDGLANLTMLEYIYISCNLLSSTIPSSLFYLNNLVGLNLSHNSLTGELPSDLVHMKAIDKIDLSSNLLIGSLPSSFGQLAMLTYLNLSHNSFENSIPASFRSLTSLATLDLSSNKLSGTIPNYFPNFTYLINLNLSFNELQGQIPTGGVFSNLTLQCLMGNVGLCGAPRLGFSPCLDKSDPTHGRHIVKFVVPAVTIVVGAFAACLYLMFRKKNKKQPDAMASSDMADVIGHRLVSYHEIARATENFSESNLVGVGTFAKVFKGQLDNGSVIAIKVLNMQVEQAVRSFDAECQVLRMARHRNLIRILNTCSNMDFRALLLQYMPNGSLAARLHTEYREPLGFIKRLDIMIGVSEAMEYLHHHHYQVVLHCDLKPSNVLFDEEMTVHVADFGIAKLLLGNDNSVVSVSMPGTIGYMAPEYALVGKASRKSDVFSFGIMLLEVFCGKKPSDPMFTGELSLRQWVSQAFPVTLIDVVDDKLLQDEEINLCFDHQTDISLGTSPTSMTNNLLVSIFELGLMCSSESPEQRLAMNDVATKLKNMKNGLLSLCIGDAKSTT >cds.KYUSt_chr6.5694 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34169622:34170212:1 gene:KYUSg_chr6.5694 transcript:KYUSt_chr6.5694 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCRSDRDRTGEAERALAFSAQYQPCNTATTTWAPVHMQAAGQVDPSDAQQLPPRRPRRRHSTSASRRSSTTVVATDVSNFRAKVQELTGFPPAAIFRPQPRRAHATAASHSLLAAAHGCGAGVGPAPIAEFFPTLTKTIRRDVTCTRTANKPKQQQSTYDQLAPTHAHGKTMYRHRPVSSLLFFVLILDVVLQA >cds.KYUSt_chr6.10970 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67965588:67972467:1 gene:KYUSg_chr6.10970 transcript:KYUSt_chr6.10970 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKDGTEGCALSKITAFRCFQIHHAVSMMIDEVPLRSRRGVVRTACDHHSAKSPSEGGPEVDRIHERTSNQIVLEKEHPVRRMKGNGDQPVDVALCEPEILQAVQYKEETEFVASSRRSVVTASMGGEKECCLLTWVSTEREDADRSGSRQEYRWPRLALLTLVVSVMLTYAMCMLQLIPFLDHCISSRFGRLQGIQCALAGRNIYMRFTCSTGDAMGMNMVSKGVENVLGYLLNNFPDMDVISISGNYCSDKKPTAVNWIEGRGKSVVCEATIKGSVVQSVLKTTVEKLVELNIVKNLAGSAVAGALGGFNAHASNIVTALFIATGQDPAQNVGTIGGGTCLASQSACLNLLGVKGSNHESPGANAKLLATIVAGSVLAGELSLLAALAAGQLVKNHMKYNRSSKDVAKAAS >cds.KYUSt_chr2.26776 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163930055:163930976:-1 gene:KYUSg_chr2.26776 transcript:KYUSt_chr2.26776 gene_biotype:protein_coding transcript_biotype:protein_coding MTALHRSTCACAGALVKICFGLVLSSYPGLLLPVAGEGLVVGSHVFRRNFSLHFSGELPAPTDWGFCANGRHDLLSLTGEKSWPLYEENWLKNRYNTTSRYHTLAPRLWIDDQPGRHHTSTQQAEAKDRSHRSNYHAGSKAAPRLAEDEPASTEPPKHSTKPHVWWTSKARPQEEKRHESAAHARSREGSRVSPGDPERRTRNTRFDDAFKKYVAPTGVTVVGPARSPRQSFRPAKSFKTSRPPNRDRHKPPPATAEHPPGQPVAADAQGPHSLMPME >cds.KYUSt_chr7.24947 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155698603:155709627:-1 gene:KYUSg_chr7.24947 transcript:KYUSt_chr7.24947 gene_biotype:protein_coding transcript_biotype:protein_coding FSVTRMTQLCVGEEDQARSGLSAFEFPVILGVEKMPPQTVSALQQYYFDIDPISIVHILNARSFYVGMQDPVVKGTPPWRTSTPSSWVQETGGCSGPAAGWKVQGGGVLEYIVVEDSIVLEHGIDVKAAEGDQGADHDGSMGTPKMIQICLKNKHTAHVGEPYEVPSGHPEKNLAGKGRSPNHVAAIPSVTGVLLCNLVVSVPTARDSARPSYDFRSPPPRPRHDSVTLSTPGVREERVRGALGLDLVRVRFGSSKLPAFIFSFGILRI >cds.KYUSt_chr3.42390 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268031768:268034427:-1 gene:KYUSg_chr3.42390 transcript:KYUSt_chr3.42390 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPTEKVDEMAGGVGGGADWAYIPSDTMASAGFPASFPFPCGRDVMSAPTSASLLMSMEHAALFDFHAAFPSSSSSAVAGAPTLPAFHDFASGGGNNPFDVDAPPFMLGAPAAAGGQKGGFLAPPPLTFAGGMGWDDEDEDELDQQSVDASSLGLSASLENAAAVVAAPGGGGGGGGGGGNGRGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESAPSSALAAGPGVASFHPSTPTLQPFPGRIKEERCPPSFPSPSGQQATVEVRMREGQAVNIHMFCARRPGILLSTMRALDSLGLDIEQAVISCFNGFAMDVFRAEQSRHGPGLLPEEIKAVLLHCAGLQSAM >cds.KYUSt_chr7.5606 pep primary_assembly:MPB_Lper_Kyuss_1697:7:33550185:33554620:1 gene:KYUSg_chr7.5606 transcript:KYUSt_chr7.5606 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSAPASCLLLLLLLAVGSLAVSRGDDCCGYNVVSVAGSGSALSARLELAGETPALAELGPDVQRLSLTARQEIAGAKDVNFTHGYGYAGVKDGNFTHGLETDTRLRVRITDADHPRWEVPQDIIPRPAPEEVYLNMPLPGNGDSSSPPRTRVLSTAGSDLVFTIHASPFRFTVSHRSTGDVLFDTSPNLVFKDRYLELTSALPADRASLYGLGEQTKRTFRLRHNDTFTLWTADIVASNVDVNLYGSHPFYMDVIGGVLDFYFFAGPSPLAVVDQYTQLVGRPAPMPYWSFGFHQCRYGYLNVDDLKGVVAGYAKAKIPLEVMWTDIDYMNKFQDFTLNPANFSFAELRPFVDRLHQNGQKYVLILDPGISIDPTYGTFVRGMKQDIFLKRNGTNFLGNVWPGDVYFPDFMNPRADEFWANEISLFRRTIPVDGLWIDMNEITTFFNPEPMNALDDPPYRINNSGVHRPINSKTTPASAMHYGGVSEYDAHNLFGLLESRATNHALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAAKWDDLRYSINTMLSFGLFGVPMIGADICGFGGVTTEELCGRWIQLGAFYPFARDHSAIDTVRREPYLWASVAASARKSLGLRYRLLPYMYTLMHEAHTTGAPIARPLFFSYPEDVATYGVDRQFLLGRGVLVSPVLEQGATTVDAYFPAGRWFCIYNHSLAVDTRSGERVTLPALPDSPYVHVAGGSILPLQQSAMTTAQARRTPFHLLVALAEDGTAAGDLFLDDGESPEMGGARSEFSLVKFSCATWSDGKIRLRSQVVHNSYAPSRTLVISKVVIMGLQSTEPPRNFAVYVNGAAVQFNRAVSTSYSSRGGLGAAHVGGLSLVVGEEFELKVAMSY >cds.KYUSt_contig_1504.63 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000157.1:395454:396686:-1 gene:KYUSg_contig_1504.63 transcript:KYUSt_contig_1504.63 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVVTKSVPVVVRPSEPAGVGNINLSSVDECHAGLPVTSLLVFDNPIVDPVETIKRALSEALVHYRPIAGRLADEGGALRIACTGEGVSFVGASASGKLPPTSATLQIKDVALQYPADLCRHSDPLLLMQVTEFLCGGFTVAATWNHAVADGKGMAQFLQAVGELGRGMPTPSVLPVRSCEEAGSLPVVPASAVAMHRAVMNLANKDLVALDVTVPWSLIRRIKVEYGCTVFEAVAAVLWRCRTRAVITDPEAAAPLSFACNLRKHLGTKDGYYGNCFTQPLVYAAAGTVANCEIGDLVNLIKCAKEKVLLDTSNGESESERQGSTPTVDQIKNLLYNILAVGSWRNLGFDGADFGHGSPSRVVWHMEQVAGPCCIACPPCKGKDGVNVVSLCVKPEHVDAFLAELASM >cds.KYUSt_chr1.24580 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146971583:146972131:1 gene:KYUSg_chr1.24580 transcript:KYUSt_chr1.24580 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAFASAFAASPPWSPRPPPRRSLRLPPPRSSGNNSGGGGGDKPTTSWVSPDWLTSLSRSVLGRGNDDSGIPVASAKLDDVQDLLGGALFLPLFKWFREEGPVYRLAAGPRDFVIVSDPAVAKHVLRGYGTRYEKGLVAEVSEFLFGSGFAIAEGALWTVSSLFLSRFNFTETTLSHTMQ >cds.KYUSt_chr2.35690 pep primary_assembly:MPB_Lper_Kyuss_1697:2:220619128:220621321:1 gene:KYUSg_chr2.35690 transcript:KYUSt_chr2.35690 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALEPLVVGKVIGEVLDSFNPTVKMTATYSSNKQVFNGHEFFPSAIAAKPRVEVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGKEVVHYESPKPNIGIHRFILVLFQQTHRGSVKNTPSSRDRFRTREFAKDNELGLPVAAVYFNAQRETAARRR >cds.KYUSt_chr7.22681 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140716870:140718902:1 gene:KYUSg_chr7.22681 transcript:KYUSt_chr7.22681 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAAGGGGHGGGHGLGGEAQIKGTLTQGGRYVQYNVYGNLFEVSAKYVPPIRPVGRGACGIICAAVNAQTREEVAIKKIGNAFDNQIDAKRTLREVKLLRHMNHENVISIKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTDDHCQYFLYQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIATREPLFPGKDYVHQLRLITELIGSPDDTSLGFLRSDNARRYVRSLPQYPKQQFGSRFPNMSSGAMDLLERMLVFDPSKRITGNIAASDDLA >cds.KYUSt_chr2.40374 pep primary_assembly:MPB_Lper_Kyuss_1697:2:250806087:250821340:-1 gene:KYUSg_chr2.40374 transcript:KYUSt_chr2.40374 gene_biotype:protein_coding transcript_biotype:protein_coding MATGIRCEAFNARAEVEGEVTPDTGATVNNAHVTDRRGAREILDFAEERVNARDTGEKHIGRNLAKREKKSIPGEFPLSNNQDSTLDVTSVTRCSGGDGGDDDGDDGDDDGDDVQLDDGDDGVDFPLREGISPTDFSLPESSFLSGVFRPAEAAVTLRDYPLELRDYAFDSRSKGIKRSWPFRPQSLELCLKRGVKDLLPPFEPPDLLRSKSFYTCTDFEQSAACSEADAFVGLVKITEDVLSNVNTTGINFQSGQLADESLGPSEYTPPEDRKTATNRGGNTNEPGHSNEVIQADKEDNICTKAIRQIEVARPPCRLKNLGSSCETSEKKGKLLVKSGSMKNIRQTKDVLSNSSSVLDPNASKTCPVCRVFSSTSNTTLNAHIDQCLYAVSNTELVVETVSVKPKVKQMKKQLMVDIYKTALPYTLEDLDKRNGTNWAVELSVPTVNKEVSKKNRSPKVVPSEARDAERDQDVYVDSNGIKIRILSKSIGAPVVLRDDISLKKVAKHETGKSMNKKFKVHGKKRNRLNHLKSQVGDIHDDTSEEELAMHTRKPTESTSCGGSETIRRWVCSKRSDITKSSSTMLNSKASDGMKPVKKLARSCLLGFDDSRITESYTKVFSSRSTEEIGTTSEINDDECGNGSSRLLGSIPRWSSENPSSSSAFPKVPRSAATLAKRKIKEIGRREASKSSKYDTVRRISTMAKSSEACLSVSIKGLSNEPKRTTSTSKVLRKHRSLLRTRKREFSPSLSGLVPGFGQEHELVHRHLNNKLSVTNNGTFKKLTKHTQEDTTDETDVPALRQGDGQYDMAKQTAITHATQVQCTSVSRNTQEDCCSAICSGPLSPENSKTAGEVLAKCGLSVEDPCSTEQSTHHNHSSKIVAINAMEEWQIDPASAKKSRICFTSNQDMGLATPQDNSSVTSTREDSNQDHGFSAFDRDSSNSPVSVASTISSPIALKDSRIEESGPGPSAISVRTVEESMSGSSNQETKTMPPAREGEQLPNEKLYCCSCRESISREPHLDHESSTARSDTFTRKQVPQLHIGLRTSSSFSTYQRTDTKADPCLDTHDRPLTGKVSTESAMNFYATDCIRPSVQTPLPSPTSPMLRLMGKNLMVMNSQESRHPQAPSSDYMLRGNYMAPVGFVPPSYQHSDSAFIGGTPSNSMQKQNFSQLKFHHDN >cds.KYUSt_contig_815.124 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:708763:711144:1 gene:KYUSg_contig_815.124 transcript:KYUSt_contig_815.124 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAPAPEALEPEAWYGNIQYLINISAVGASSCVLLFLLVKLRFDHRRAIPGPSALAAKLLAVYHATAPQIALHCGADAAQFLLFERAAFLVLAAVSLAALAAALPLNLLAGDAAIADQFAATTIAHIPRASPLLWLHLLLVAAVVAIAHLGISRMEDALRITRFRDGNGNPSDPNSSSVAVFTVMIQGIPRSLAADRSPLKDYFDHKYPGKVYRVIVPFDLCTLEYLAEEWGNVRNKISWLEARMDARSLFHEFVHDQTTGQLEAHWFVRRCKELWAMAAQRFGFTDDERLRKLQTSKLVIGSKLSDYKEGRAPGAGIAFVVFKDVYTANKAVRDFRMERKKTPIGRFFPVMELQLERSRWRVERAPPASDIYWNHLGMSKTSLALRRIAVNTCLILMLLFFSSPLSILSGMQNAARIINVEAMDQAKSWIVWLQGSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFEFHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGRCYLDGADCKQIEHYLSPTFLSRSSLSSLAFLITSAFLGISFDLLAPIPWIKHIMRKFRKNDMIQLVPEENEESQYMQNGEETNGLISPLMSERSDSDFLNGIAGHDLSMYPINRSFHMPKQKFDFAQYYAFDITIFALTMIYSLFAPLVVPVGAVYFGYRYLVDKYNFLFVYRVRGFPAGNDGKLMDRVLCIMQFCVIFFLAAMLLFFAVQGDSMKLQAICTLGLLVFYKLLPSGSDRFQPSLLEGMQTVNSFVDGPTDYEVFSQPELDWNLYQS >cds.KYUSt_chr3.36694 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230761510:230763650:-1 gene:KYUSg_chr3.36694 transcript:KYUSt_chr3.36694 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGSVETSANSRPGSFSFSNANASFTDMLGSSAAAAGGGSGASGYKSLTPPSLPLSPSLMSPSSYFNMPAGMNLADFLDSPVLLTSSIFPSPTTGAFGSQQFNWRPEAPPASAAEQGVKEEQRQPYSDFSFQTAAPANNEATTTATTFQPPAPQVRSGTETYRGQQQPWGYPAGGMEVGANPASFSAPAPVQATSSELAPSGGGAYRQQTHSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVETSIEGQITEIVYKGTHNHAKPVNTRRGSGGGGGAAAQVLQSGGDASEHSFGAMSGAPVSTPENSSASFGDDEVGAGSPRAGGDDLDDDEPDSKKWRKDGDSEGINNIAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAALYRPAPRAMDSAMSTSHQYMANQQPSAMTYQAGAAAAGTQQYAPRPDGFGGQNQGSFGFNGSFGFSAGFDNPTGSYMSQHQQQQRQNDAMHASGAKEEPREDMFFQNSQF >cds.KYUSt_chr7.7575 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45723340:45727692:-1 gene:KYUSg_chr7.7575 transcript:KYUSt_chr7.7575 gene_biotype:protein_coding transcript_biotype:protein_coding SRKRKADRQKDELARLASEFSEMRKTVDVLVKERDAAWTQHEDHLPDVGSQQRRSSVASTEAPPAGAHTPTIEITASEPPTIKITAPEPPRYPVDNVKEMKECHLYFPIGNMSMKVAIGSALPCEPGALHHNKPIQDGYARVTVEDIVQGFEDLEIDIATPEGERRLGDVKRHFILWQKKFIKFPGEAPRPTSPPPYGGGGGGGGGGGGGASPTPPSTSADAAPNSPPGGQALMLKEKKELMEKQEKKALEEAEKELTAAAEQGMTVTGAREQAALIGMTLRELLGLDEAPVKELFNLRELDKSIIGCYVTMKIKECEVRNIHDVGFIDPHIVNGYVLEHHPADVEEDLWRFLTKQELKSDILFPYHFGFHWILLVIKFDTSTCLVHDSLNLDAKLWGDMRRMMQKRKLPSQPIAKVQRLPQFAQGHPDRTRPDPLRAHPRPASMSSTTPQRRKQDESAGGNWRDEAVSAGSLRQVDLDRGTNGWASPPGDLFHLRARGYFSGGGGRRAKAPSSPDWLLRPAGVDWLRSHARLDHVLARDDIPVAAAFRRARLRKDPNAHFLLAVNLQVPGRPDAYSSVFYFAAEAPIPPDSLLGRFVYGDDAYRNARFKIVNRIVKGPWLVRATVGNYGACLLGRALTCRYHKGDDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPEKLFGAVRIAQMEMGSAKYVETASDEAASETAGKAAPGFRVGSARVANDSRQQERAGAKRSMSCQERQSGGEASNSS >cds.KYUSt_chr3.49158 pep primary_assembly:MPB_Lper_Kyuss_1697:3:307315820:307319304:1 gene:KYUSg_chr3.49158 transcript:KYUSt_chr3.49158 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLCLNRERLCLNRERRCPAPLLLEARLGMEESSDRYDSYADVSGSPPASETEEGLVKILKKYDKRTRDPYLSPLHLKCAAPAFLQH >cds.KYUSt_chr4.35457 pep primary_assembly:MPB_Lper_Kyuss_1697:4:217690383:217692838:-1 gene:KYUSg_chr4.35457 transcript:KYUSt_chr4.35457 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPSQRAVPTVLGTQPIHFEAHTAILVNENMILVIVSKGAPLVDSIWFLEVNTSFVKQQSKITGTEVVAWSKGVLGKGQKPVVISGPSGVGKGTLIAKLMQDSPSRFGFSVSHTTRAPREKEIDGTHYHFAERSKMEKEINDGKFLEFAHVHGNLYGTSIEAVEAVTDEGKRCILDIDVQGARSVRASSLEALFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNAREELDQSNTPGLFDHFLVNGDLETCYENLKRLVPLDDGSAVSDDYYSTMDSKATPSYTVLSKQDSEVLLQLEIREVEKGIASMLALDLSSLSGGAPGCTRGLKVKSVNKD >cds.KYUSt_chr2.42166 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262567045:262573808:1 gene:KYUSg_chr2.42166 transcript:KYUSt_chr2.42166 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDRCGGIGDYPSSQRDPVVFDDDEQVGPAAAASADDRRPSSTSHNGAMVVKTHTDYPAVAETHEASGLGFVTGFHFVNWLGSFQSGMEGLDQPDLLSAQKNPCAICLGGIGAGGGQAIFTAECSHTFHFHCISASVAHGHQLCPLCNAHWRELPFVRPADPMPPVDVVQPLQQHRRLPIQPADPVVFDDDEQRLVSPQLRRARAPQGSRRRRGRRRFGATRAARPRGSCRTSASGMHGRKAGAAERAMLFVIDILGPDDRLRIVSFSSGARRVTRLARMSDAGKALCARAVESLTARPGTNIAEGLRTAARVLDERRYRNDGVSSVVLLSDGQDNYTPMRQAFGRGPPKYAALIPPYFARTDTAAGDRTAPIHTFGFGSDHDAAAMHVVAEASGGTFSFIENEAVIRDAFAQVRRRASSVVVREARLEVACVHPGVRVCSVKSGLYESRVDEDGRAASIVAGELYADEERRFLLFLVIPRAEETDGDATTLLKVTCAYRDAAAGGDINVTAEDTVVARPEHAADVARSMEVDREHVRVEATDDIAAARAAAERGAHQEAVEILENRRRAVAQSDTARGGDAMIAALEMELRDMRRRVSSRQSYARSGRAYMLAGMSAHMQQRGSSSQLQLPSVIGFDSGAVRSSPTGWPFLLNSNTEIKPPNLDKTPNMNAP >cds.KYUSt_chr3.6751 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38807378:38811677:-1 gene:KYUSg_chr3.6751 transcript:KYUSt_chr3.6751 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEEQEYGGSMEYSYHRTHYPNACTDDDHAGLCYPEDPADLLDRLEIAEPHEQPARIVADPCEIAWRALDLMFPREDDEWSSWSAREGSSDDDDDVDPVQMIDGDEETDCPGGNVVDDCSTEEGGDYEDGGGDEQVVDDDEETNCPGKLYPESEAEEIERKWAKSTLQQFIEHTKICDEILALGDEDETPLPPHPMQVFPDATSACVLGLDCHHRVYRTHDTSTTPSTLGYRKPQAMLQIFSLRLSSFEPSYTTSVYGIFAIRDNFDPRRNYVFNHSRDDAVTVQKQESFVLPLCSPCRGMYYELDKALLEVDLWEKKEGDESADKQQISGYVEIDIVFEHDFLFCGRITVDKCNLDITYTILSRSVETVIQVYAKVDHPHHVRFTAFSTGYCKDGVMLLDDKLFGSEKLFQHIVAVKANEELDVVLEVDNSLFKWTFQDEYVGAVISPNDSIFDYGLFFVRVMFAPKDSQ >cds.KYUSt_chr7.9961 pep primary_assembly:MPB_Lper_Kyuss_1697:7:61019708:61021317:1 gene:KYUSg_chr7.9961 transcript:KYUSt_chr7.9961 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPGRQECNWMEEVKLRINEEAEGVSLSIPPYFRRFDRINNEECPSIFMRPRRMANHQAGVDVAPTPMIAAQTPSSQQPPHHTSRGSTGGSVIVAAVGPYHHQSESALVTHGKKCAIVKFLASQDFGLDTTAFLGWVLEKDARVRGCYERDSFSMCPEKLAEVLLIDGCLVLFAVFLLRSSVREDGRPAELARSAVHEKEFSYLSADISLHMKQTRLDLLLLSNQIPFFVLHELHSRLAGTFFRGIVHNIEKLALSCFDDIRPSPSPSASGDGEALLFPPTVHHLLHLFHWSRVPRGKHAVDTYSILLDDPETNLPCATWFDDSLTSFSKHAAPPGILDIVFQKKIFGFRGVLRVPALHIHGYSDLVFRNLIAFEQSHLRCGLGVTAYSFCMARLLQSEADAKLLRKSGILAHTHKTDKQIVDLFSGLADEYRGTFYSKDMLHLCKEVAAHQRSPAARAVRGAVLQCFPRQTVTFFVILGAFISIATLINTVFAVYRFYHPVNN >cds.KYUSt_chr7.4187 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24967667:24968257:-1 gene:KYUSg_chr7.4187 transcript:KYUSt_chr7.4187 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRAEPRERGTVLGGVEMEAGAGWEGRRWRQGKGKEAWTEIRCLALSKARSALRHRISRSNPIQRAEAKVSGQQPMSLHLTRAQAPREKERARAALPGQISAAARRCPPPPPSPHLPLLAPHQLALRPIQLALPFRRPLARDEPAAGERKSPPALQLTSPPALQLTSPGREDPIWMLASARRGEGRGSGPASPPW >cds.KYUSt_chr2.12035 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76407834:76410406:1 gene:KYUSg_chr2.12035 transcript:KYUSt_chr2.12035 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCSQVPAEAKQDPWEYILRKYVLMLATLVATVTYGLGFNPPGGVWQDSIDGHLAGEPIVRITNKIRYLVFFYCNAVALALSVVVICHFLILSMETVVKMNVRTRLKPLRVVMVLDLLSFMGAYAAGNCHDRFTTVYTSVLMVATVSTYLAVQMVLASRPECRWSSHDRQLEERLRKVLLLLATFVVSVTYVSGLSSPPGGFWDNAEAGHRPGDAILKDHYKARLVTFVVCNSTAFTASLLIIMLLLSRKLRERTVRSRQMFGSVVAALVGLVGAYAAGSCRETAATVYLVLMVAAACLLCILLQTCLQKDEDSELSPSAKDGKPGFNKAEMVIMEMQTGQSRSLLLLLATFATAITYQAGLDPPGGVWQGNTDEHLAGDPILFTTNARRYRTFLYCNSFAFVSSLFTIVLFLRRVLLRRNVVSLVIMLELLALIGSYAAGSCRDIATSMAVLALAGAILFYMVIHAIFFTLDPVETFEVLVHLEKKRKLLYLLATLCTAMSYQAGLNPPGGFWLQNDDEFRHHAGDPVLLYNHPRSYNAFFYCNSISFMMSITTMILLLSPVFYRGFIRSYALTLGTVVGLSCFMGAYAAGSTQHLTRSIIPFFLLVALVFCTVVILFLKCLCDLRPKRQKELVISSSTTPEEDRDRDQDQQQEIQDQAIKELYSRRKHLILLTILVAGVTYQAGLDQPGGVWQNGDGHDEGDPVMQDNRRRRYIAFFYSNSTSFVVSIITLLLLVQRFQVARTRLPGWAMSAVIEIDLLALLVAYATGSSRDWKTSLFVAGLGIPRLTYVAIKVSRGVLSLLCRRREAQFETHQPHRLESFI >cds.KYUSt_chr6.32061 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202541484:202542743:1 gene:KYUSg_chr6.32061 transcript:KYUSt_chr6.32061 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPPPATGGMGFLGLLSFRRSPIAVASFDPAQDDELLILDALQAHVADRLAALSAAASSSPGAPLLSLAFLSKLLDAVVSSDAAFRDALAVGPVGAALARAPADRLAADLLDRAVKALDVLNAVSLALASLRGSHRAALTAASCLLLADPMLHRAQLARARRAIARLFPGTAAPTTPSSCSRTARALSFSVSSKNWSSGRHAHAMAAPPPPQGLAPAAGCGLGLALYTMSSVLAFATWALAAAVPCTLATAAVAPPKQAQWAAPMAALQERIAEESRRREKKGSSSGNSSSTLSPSSGLLAEMQAVERAARELSSLLDEIAEEDAAADADADSEPSPSPASVSEDRARDVVERAEALAGACRALEDGLAPLERQVRAVFHRVVASRAEVVRCIEHSARSGAGSASTGAPPTQPHSF >cds.KYUSt_contig_1861.44 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:233262:234003:1 gene:KYUSg_contig_1861.44 transcript:KYUSt_contig_1861.44 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLAVPLVRAVSTSASGSLKVGDALRSDRRRFTEGDVAAYAAVSGDRNPVHLDDAVARGAGGFSRGRVVHGMLAASLFPALIASRFPGAVYASQSLRFAAPVHVGDEAVAEVKALNIKTSGGRHIVKFSTKCFTIGGDEDDDEEETLAIDGEAMAFLPTLQLSSQGIAD >cds.KYUSt_chr5.13299 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86773641:86779689:1 gene:KYUSg_chr5.13299 transcript:KYUSt_chr5.13299 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQAEAPCTSWDLSEDMEIHRFVCNPVSGQMLRLPDIAGSRSILLQNHMGLLTQADGGRGHGPPGRFAVAELVFDGRALERFLSDEGEWKTVLNSVPSRSLLPRRMQVNQETVAFGGRLWWVDLTLGVVSVDPFGDPPEICGIQLPSGSVLPARAHAESGDFSKAEENVKFMLEVAKYHRVGVSQGRLRYDEITPGGPFLLSSFALDDEASAWKLEQQVELRQVLADGGYQLQHNSPAPQIAVLDPLNAGAVHLKVAEHVVVVDMHNGKVIGASPLQDDYFSLVPCVLPPWLGASRIPTTDGLVEVDVAGVRKKKKKKASGTRGPKWTVLEDQCLCESWSTMSHDSIIDANQKYEEYWARIKAKFDERKLINVNYRKFDKAMELYRKNSEGHKSFALIDEDIPTTLLPPLLQDEDEVAVKFKSNEVRIEPMTRARAKLLKQHVNLFPSDTFIDENFILPKSYYLCMIRYEEGASITRGEEKQLDVKLDMEMDMKLDMKAFHGSAREEREACAREGNEFHAGSGPAATEKTQASITQCLTEVSSTLLSRDKNTDERWAALRKRQEEKMELKKRKEDMSLLTTSIEGMSPRTWASHNFFKGQILGDIKAKIAAAKAAAATPTPEQESAPADASAIAYAHALASASAAAFASAPATEHAHRADHNEVVVIDGPTSNQDAPSMSPNPFF >cds.KYUSt_chr6.1965 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11702844:11704331:-1 gene:KYUSg_chr6.1965 transcript:KYUSt_chr6.1965 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNLAVKMRIPASAAPRLLSAPRVLPAAGSRALPFSSSSKSPIKVARSWYLLQREHYDDLTKELRNLSRLVLWTEKFDRFLRRVYLVGTPLTAGCLVLEWNDYRKASEAARKEWLSTTNADVC >cds.KYUSt_chr7.19897 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123326909:123327445:-1 gene:KYUSg_chr7.19897 transcript:KYUSt_chr7.19897 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSATTLVLALTTLLLAGLYEACDNVPTMYMDDMCHKACPHLYELCRTTLEHGAAEAEVTVYALITAKYAKLSYQSALAAADQLLQNSSLAGDERTALSRCKESYYEAVSSMAYIVNDLFNCSFSSTKQRYVNATAAVAACRDDLRAFQSSPLYAVNAADQDKTMLAFNLGGLIVGK >cds.KYUSt_chr2.37527 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232362585:232363370:-1 gene:KYUSg_chr2.37527 transcript:KYUSt_chr2.37527 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAPLASALLLCSLFLHPSQAFGGPGAYISRLLGEGYSEEKLPMTIVVPSVPDNSPRPAPSSAAVAAPAPAPTPTPAPAPATVPGSEDYMPTLPSEGRGPGAPSSGGSAGAPAPLDGASTAFISSNPAVPLPAGVTDSATVLPMPTPGQEQRQVVGAGTLLQARTAPLVLPLLMVVSFLAFLFG >cds.KYUSt_chr2.9625 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60855070:60855402:1 gene:KYUSg_chr2.9625 transcript:KYUSt_chr2.9625 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEATDRKKRQRAATAVQTVGTHDHDEEAHAEDAARPSTSAPRALRGVLRRVRVVDAATAEVAHRHAEDVAVVGSSVALSSPSPGPTPPRVVDADTSWSTAVRSSSPR >cds.KYUSt_chr2.46283 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289101163:289102504:-1 gene:KYUSg_chr2.46283 transcript:KYUSt_chr2.46283 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRSTFVDLNDIETLKAVLDQGDVTLFYTDSPTNPHLKCIDIKLVAELCHLKGTLVCIDSTLASPINQKPLTLGADIVVHSATKYIAGHHDVIAGCISGSQELIKTIRAWHQDLGGAISPDAAYMIIRGLKTMALRVETQNRTSLRMARLLENHPKIEHVYYPGLKSSPWHHIAESQMTGFGGVVSFEVASDLHGVMRFIDALEIPFIATSLGGCESLVQQPAVMSFWGQSDEEKAKNGITDNLVRFSFGIEKFEDLRDDILQALEKM >cds.KYUSt_chr6.9746 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60132816:60133850:1 gene:KYUSg_chr6.9746 transcript:KYUSt_chr6.9746 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDDSLKSSDAAQVSDIKTKRLFVTGLSFYTSEKTLREAFEPFGELVEVKIIMDRISKRSKGYAFIEYTTEEAGGAALKAMNGEIINGWMIVVDVAKTKSRDRHTPSSASSFRPHFHTR >cds.KYUSt_chr2.10990 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69767004:69768911:1 gene:KYUSg_chr2.10990 transcript:KYUSt_chr2.10990 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRSSSAEDDENTPRTKLLVGGISAFLLLAMIVGTTAFVLSEKVEDEGDKEKRAMASTMRTVDLFCTPTDYQATCKETLTKTLERSSNPADHPHAAAAAAITAVGHELVSAFNRSSLLDAVRESNDTLVQEALRDCKMLLDDCAADIERALNSVAYRGVDGPAQDLQAWLSAVITFQGSCVDMFPKGDIKVQMKETMERAREISSNAIAIIQQGAALAAMLEIDAGASVSLPNEAEDDDGDDNDNDKDNAQQQESDGGERRLQEESASSEVPSWVPHEDRKLLDEAEEERNGGLTPNVTVAKDGTGDFKNISAALDAMPRNYSGRYVIYIKEGVYDETVNVTNGMSNITMYGDGAKKSIITGSKNVADGVRMWRTASLGEHTSFLTAHRCNKFLAKHRSMLAAVDGDRFMAVKLGIQNTAGDEKQQALALRVKGDRAIFFNCRVDGHQDTLFAQAYRQYYRSCIISGTVDFVFGDAAAVFQRCVMLVRDPLPGKPGVVTAHGRRDRQQTTGFVLHRTRIVADDSLASKSATVKTFLGRPWKEYSRVIVIESIIDGFVHQQGYMPWEGKNNLGTAFYGEFGNVGNGSNITAREEMKGFHVLNKEKAKQFTVEHFLNGGEWIPETGTPVRLGLFT >cds.KYUSt_chr5.13215 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86228396:86230849:-1 gene:KYUSg_chr5.13215 transcript:KYUSt_chr5.13215 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFCPILTRDNYTVCAIKVEANLYVQGICEAVVLANPKAAVDPEKNKRATAYLLGMLSVDIPLHVSSKKTAAVVWESLKVRFVGADRVKAARLSMLRGEFDRLIMADGEELDVYAGKIGCMAAKFASLGGTPSDAKMEWTDRRKAAVGGERRGDQLLLTAAQWEQRRHARGGGHDHDDGGSSVDSGMRGRRGKCWNCGIRGHFSRDCPEPRKEKALLVDVDDEPALLPAYNKFHGRACYIYNKLKIPRPNGTITVWCNYKKAQECDLGEAGFAEFVLKTEELRDMRSQVDPAEMPALKKSISNHNPSFKAIEKPRRWSLLMEIPLRPKPLEHPWIRNRKRRSSSSSAKYRYLRMADL >cds.KYUSt_chr5.39959 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252537362:252543043:1 gene:KYUSg_chr5.39959 transcript:KYUSt_chr5.39959 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALRLGHHHLLHAPPAQRRLRLRSCSSQRLVVSCSAAQVTGGGAAAAAVVWFKHDLRVDDHPGLVAACADRRRPVLPLYVFDRRILAGYSDTMLELLLFALKDLKSTLKLQQSDLLIALGNAEDVVLKLANEVQAGIIYTEEEVEHSVCSVLADVESSLSNGSFTWVNPPEIEVWSAPLYDYKSLRELSASRDQFLKEKLPMPTPLSFPTFPALNVGLDTGSLPTLEELKIFLKESRMPQDDWVPTKSTSARSILKATLRQRKIKSNVELSVSDGQNTEDITTNAGASGRRIKDSFFASGSSLEVRGGTDITLDALDAYLKYLEGTGKASWQELHDKVRFAETRDGASFYTLFGPAIQLGVISRRRVYHETIQYERDRNAGFLSPFGYSTPTVTSGVDAICSLEWSWLLALKSKVCIERKYPLKFWRWKGYLIQYTSVGCEGPAVLLVHGFGASLQHFRDNISSMADRGHRVWAITLLGFGRSEKPNVDYSELLWSELLRDFIVDVVKEPVHLVGNSIGGYICAIAAGLWPSLANSLVLLNSAGSVVPSYSFIPLNKEGRTSWLSGLQARLLLLFLRSRAGGILKKYYPTRTERVDKPLVEEIIRASYDPGATTVIESIFNFNLSIPLNFLFDSFGGNILVIQGMKDPLIKSESFVSMLREHCSKVQIRELNAGHAPHDEVPDEVNSLLSEWMKVNETELEPALEKSKAI >cds.KYUSt_chr6.25073 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158870047:158872763:1 gene:KYUSg_chr6.25073 transcript:KYUSt_chr6.25073 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRCTNHTLLGSPTCVARPRRRCPAVVRAAVAVQAEAGPKVSLIRIGTRGSPLALAQAHETREKLKAAHTELAEDGAVEIIIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTAKTLADLPAGSVVGSASLRRQSQILYKYPSLKVVNFRGNVQTRLRKLKEGDVHATLLALAGLKRLNMAETATSVLSVDEMLPAVAQGAIGIACRSSDDKMMEYLSSLNHEDTRLAVACEREFLSVLDGNCRTPIAAYAYRDSDGNCSFRGLLASPDGSIVYETSRSGTYSFDDMVAIGQDAGHELKAKAGPGFFDGLQ >cds.KYUSt_chr3.21767 pep primary_assembly:MPB_Lper_Kyuss_1697:3:133911204:133912823:1 gene:KYUSg_chr3.21767 transcript:KYUSt_chr3.21767 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSTARPTTGLGGPAGLATDDDFAFYYSFFQDAAAASPLALDETLAAAMPNTRRRKRGAADDAAAGATNNQDDGPERKRSIAKIITSLAALDAEGHADSAGAADASRRELALLESNADSKSQAMMDYYAKMEGSFHADADSEAAARSRLSASAAATAAVAAVEEAAAAATNTTASASPPRAGHHQRRLWVKDRSQAWWDRCNSPDFPEPEFRRAFRMGRETFDMICDALGAAVAKEDTMLRAAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCGAIKSVLMPRFLQWPDEAAAATFKDGFERSFGVPGVIGAMYTTHIPIIAPKISVAAYFNRRHTERNQKTSYSITLQGVVGPDRAFTDVCIGWPGSMPDDQVLEKSMLHQRAAAGMMHDACLVGGASYPLNDWVLVPYTHQNLTWTQHAFNEKVGDIRNVAVDAFARLKTRWACLQKRTEVKLQDLPVVLGACCVLHNICEMRREELEPETPFPLVDDDTTPENPVRSETAKQARDSIAHNLLHRGFAGTTFF >cds.KYUSt_chr7.28129 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175717803:175720594:1 gene:KYUSg_chr7.28129 transcript:KYUSt_chr7.28129 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVAVAAVLFLAGGITVASSPSIALPGCKSKCSDLDIPYPFGTTPGCYRPGFMVTCNETYHPPKLFLDNGASPGPGPEVVEISLANSTVRVESWVSHFIIGNTSHVQLAISRSSPFVLSAKANSLVIVGCGFRVVLDIVDGWTYGSCASFCPIDNSTGEPFLPDVVCNGIGCCQPSIPVGLLSFLINLSPLDGASRCPITPSLAPEPAFNASVHMVEQEWWTDGSNVYGLQQYFIDLPSYPDMSPFFVPAIAAWALGSFPCEEAAQRPDFGCRSKNSMCLNSTNGADGYVCRCSDGYHGNPYMTNGCQGGRGRLAAGIIFSIGVGSGIIILLLVLAVIFATKKVKDQKAKRMKEYFFKQNRGLLLQQLVDRDIAERMIFSLEELEKATNKFDGARILGGGGHGTVYKGILSDQHVVAIKKSKTTIKREIDEFINEVAILSQINQRNVVKLFGCCLETEVPLLVYEFISNGTLYAHLHTDDPQSLSWKDRLRIASEVASSLAYLHSSALISIIHRDVKTSNILLDDRLTAKVSDFGASRGIAIDQSGVTTAIQGTYGYLDPEYYYAGRLTEKSDVYSFGVMLVELLTRKKPTASMPSEGVSLVAHFMFLLNKDRLSEILDAQVSEEAGDEASEVAAMAAMCLRMKGEDRPTMRYVETKLHGLQSVENTTQGDPEMQEYRVKLRHTTFQRRSDDAADADKEGQSNSRRYSLEEAMLQSASLRR >cds.KYUSt_chr5.2059 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14056306:14056725:1 gene:KYUSg_chr5.2059 transcript:KYUSt_chr5.2059 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIHPKRLAQLVRKWQRVKTASIDDEACCTTSPVADKGHCAMYTADGRRFEVPLAYLGTTVFGELLRMSREEFGFTCDSRIILPFDAAVMEYVMCLLRRNASEEVEKAFLSSVVMPCQYSNCTVPHVALHQQLAVCTS >cds.KYUSt_chr5.5786 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36088337:36089698:-1 gene:KYUSg_chr5.5786 transcript:KYUSt_chr5.5786 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRFGGPRRSSPRRSNYFGYRPRAGSDADRRDEQRRWEDERRREEDWRREDENRRREEERRHADQERLRFEERKRQDERRRLDEERQREATRISERVARERALAEKSRKDEELRARDRWAHRSEMVPGTTSNFNTTRSAEVSPVAPSLPSSNTVAADVHSPQLQNQNRSAVVADSSQSLVSLPEPVGSSVPPPVPASTTAVTRRVDVPLRNLSCFYCNGDHHISVCQERDPWDYNAPFFGSEEFGSGFYSIPVPEEDNYPVEQLNYAHITVEKGEVNCRNIEHEFNVWAESMKINWCFFAKEVSATEFRTRFPSAKTIEELAHFGKLFMRTVPGAIISLEKWAGDIEPISIMQEAWFRIKGIPMKFRNKSTVYYAASLVGKPLALDKNYLRHFAYVRVKIGSQDLALVPNSRIGEIKKGFYEFQYSRELFDPSSNTGNKTAVPTDAQGGEGD >cds.KYUSt_scaffold_1854.208 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1132935:1137421:-1 gene:KYUSg_scaffold_1854.208 transcript:KYUSt_scaffold_1854.208 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPVTAAKLGALLVHSEYKLGRRTRKDVEFIHSKFKSMHSLLWAIWEREDRDAASKELRMEAWDLADDMDDAVDDFVLTLQRHITKRRIQPKTKERPFLDLKKRADDVWKRCRCQWKKETTPGIIPSLFSGKKAIASKPRAHPFVRKDVAELVCMEEPRDELVQHLVGKEASLQLKMASIVGMAGMGKTTLARLVYEAIGNKFQVRAFVSVNPGGSMKEVLASILEQVGADSTAPFAGSQAATEVEHLIHIISNCLKDQRYLVIIDDIWQCGEWEIIRKSLPENNLGSRIATTTRMNDIANKWRDDFGSLIHPMYPKWKDYANSWDYLISYKDVCASIIAMQADMVEKGLDDDHPVVRMCGGVPLALLCMLSAVAREVEQRESAGDALRTVAAKVDRNGIQNIRGFEPLVESLQLGYDNLPHIMLKTCLLYCSIYPKNHYFERDDLVKRWITQGFVYTEETGKYYFDELLNRGLILHIELLPFVRGLKYMIHPMMRNFLRAKSRECNFFVCISDIAWPCSRRTRWLYIGNGSRTSELDEVDAGMDWSHIRSLVDFGGEERVPLEKRVPLEKLERLRILDIQFGMFSYLRNHHLNDICRLRRLRHLYALLGIRITEIPPEIGSLQYLKSLDFSQTSVIQLPSEIGGLKRLKTLDVSYNNCLEELPREIGELENLETLRMKSTRITELPKEIGRVKKLKTLDVSDSKGIKELPREIIVGLHELENLNLTGTGIKDLPLEIVELRHLKRLLLKDTKVEKVAREILRRKELKNLELGGIVGALPWEAARLSELEAVSKCIRQAWNNTEVMSKLARETLSFQNTPSGHYRNGRLCRGPGYTPTAKSRGRRRMARPGQPALSTLGETWPSA >cds.KYUSt_chr6.29857 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189177894:189179882:1 gene:KYUSg_chr6.29857 transcript:KYUSt_chr6.29857 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTFQNLLQPPHRPHRASTSLCRFCSRASAPPSPAGAGDIFHWNSAITAHLRAGRVAAARRLFDEMPERNVFTWNCMISGLVGNRMLADARRAFDAMPARNSVSWAALLTGYARHGRVAEARELFDRMPDRNVVSWNAMMSGYVRNGMVERARELFDAMPSRNDVSWLTMVSGYIRRRRVREARELFDCAPSPSTSVCNALLSGYVALGCMKDAEELFGRMRRRDPVSWNVMITGHARAGRMQVAQSLFDEMPQKDTISWTAIMRGYLQNGDVDASWKVFQEMPDRDAVAWNTMIGGFVQSERVDDALRLFAEMPDRDLVSWNTILQGYVQNGDMDGANTWFRRMPEKDETSWNTLISGYKDEGALALLSEMIRGGLRPDQATLSVVISICASLVALGCGKMVHLRAVKTGFEHDALVMSSLISMYSKCGLIAEASQVFDAMVQRDTVTWNAMIATYAYHGMAAEALKLFHRMTEDGFRPDHATFLSVLSACAHKGYLYEGCRYFKSMQEDWNLIPRSDHYSCMVDLLGRSGFTQQAYAFTRTIPSDLQVNAWETLFSACNAHGDVQLGEVIANNVLQAQPSDGGMYTLLSNLYAAKEMWSSAATIRGFMKEQGLKKETGCSWVELKGEVASFSANDKTHPLIDQICQEVDNLSVMIEEAT >cds.KYUSt_chr1.11802 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72796892:72797101:-1 gene:KYUSg_chr1.11802 transcript:KYUSt_chr1.11802 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQIGIRENTTELLDFASRLPGSILDVRYCTVFNSIFNNHTVEQNIDFDRTNFSGKLTDPSYFGPAAR >cds.KYUSt_chr3.17852 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109530026:109545770:-1 gene:KYUSg_chr3.17852 transcript:KYUSt_chr3.17852 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDAAVRASSDGRLRAKYDNAVYVVQRAFALYPFEEIAFSFNGGKDSTVLLHLIRAGYYLHKTSHAEEAHIDTFQNCPLRTIYFETPCAFPEINSFTYETVSTYGLPLETIGLDFKSGLEGLLKKKPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCKVKYCSLYDEGYTSIGSIYDTVPNALLCDSSNGKSFRPAYMLSDGRLERAGRAKKTSSKTETNSVASNGISSAEGEQIISRSASVIVVGDEILFGTVEDKFGTALCKKLREIGWRVSHVAVVRNETDSVAEEIERCKSTDDAVFLVGGLGPLHSDISLAGVAKAFGVRLAPDEEFEEHLSQLIGNSYIGNRNEMALLPEGITELLHHKRLPLPLIKCKNVIILAATNVDELDMEWNCLLDTHESGLVRAKPFVSKHLSTSLPDVKIAPVVEKLCLEFSDVYIGTHRISRTGPLVVNLLGKTVYKGRKKKTIEYFAVKSVDKSQRSKVLNEVRMLHSLDHANVLKFYSWYETSAHFWLVLEYCVGGDLKGLLEQDKKLPESAMHDLAYDLVKALLFLHSQGIIYCDLKPSNILLDEFGCMKLCDFGLARRLKDIEKTNPGDVPQPMRGTPCYMAPELFQEGGVHSYASDFWALGCVLYECYAGRPPFVGREFTQLVKSILSEPTPPLPDNPSRSFQNLINCLLMKDPAERLQWSELCEHNFWRSSMPMIPVPPQPAFDNMVGLPATPYLAERNGEKSSRQLTPTKTREHLRKKDENSAKVFTTPVKNVLSGKKNNAKPSKADGLKGVNVLRMSRIAKKNLQREKDKENYRRPPTETNENETEVKIENNDMELDFGENPEGDAPDDNDGSDNAGSTADEKHATQDTDGNEDNCMINQVDMLTDECSVKADTMLKTEQNCSENLDVVATPPSFCMRKARPKIPCGAATGSEPSNIFEAFWHPTDLAVKPVMPSKKGDKATEAIPVLPFEALPAADYIKLPREQMNAFNSQIIQSLSGSFQVSEKQNIIRYLELLSMNSDAANIITNGPIMSLLIKMLRLSKTSVLRVQVASLMGLLIRYSTILDAELASSGIVNALSDGLRDRHDKLRRFCMATLGELLFYISTQTDQDNKESNAQESPMKDNKSAASWQVPSAVIALVSSILRKGEDDLAQLYALRTIDNICSQGTDWTSSHRVVTSHVLLQLANLMKILETPFQ >cds.KYUSt_chr1.7635 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46926401:46935989:-1 gene:KYUSg_chr1.7635 transcript:KYUSt_chr1.7635 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRGQGSNLLLGGAVGEVAPESTTRSPPRLPSDPGSPAASSPRRSMEEMSESDSGSARGTKRKRVTEPRDGDSAGSGSDSSGSPFRRPKAPLMPICTEEDGTVLYGFTDDQDVMDKYHDDMQKYIKKRDRHKRMLTLAPSSVTERRTVKETESVLKCAESILSLSAYLDGKMINQCTGIVVEVDAFRNSAIILTSAWLFCTKKPLDDWTKKEYATEAKCGTGGGVLDAGGKIVGMLFYKLPLVAFIPSSLILKCSTMWQHFRQLARPQLGLKLRTLAFLDIPRIELMSRKFSISSGLIVGEISAKCDAEKLGIRAGDIIFSCQKERVSSIVQLEHVLLGVAEEHLEKSNDLSSKVEVETMKMLRQKETRERKGPQQLHVRQSHEECGGIDQKIPCQLAPIVGIRGVKELISMARSTSSTSSVASNAMDSGKQIKTGLVNFVPHPPSRLDTYAYLEEPMEMAFGRFYFRVGKEGSYRLEVPVSSGSPTVDSDLSDSSSSFESGAEEISSPRFVKTATSEQLVKLFGNISFESSADSSISSDSDSIDSFDFIDKSISVRKVFADLYDGVTNLAKKRNLIAHRG >cds.KYUSt_chr3.6467 pep primary_assembly:MPB_Lper_Kyuss_1697:3:37141278:37159543:1 gene:KYUSg_chr3.6467 transcript:KYUSt_chr3.6467 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSGAAAFSRLVDRTRVPDPALQRHAVAAFFRHLLTLPAPLPAAAHDGISSLLASPHAAVAAYASASLARLAASRADLLAPDHALPFLLAPLSASPSPRLASCLVKAVAALVSCALRSGSRFPPHNHPFVQALASGADGARAELPRQAARMVAQGVDGVVGFLRPFVMFAVVRKGDAAFARDLIGALAGAAATAAAKPDAAVPLLKLVGESLLHFGRRGEEEARLWLSSVECLVDAYVILLRKLAHAQKPSYDAQASSVDLVETLLSQCSLHHQLLGMAGVVLGLSKYLFSVQKDIGLCYLPEISGVLSSLSCILSGLEFEHEQLAGLKLLAFLIEWRHENALKTNESVHHFSEELLCLLPVINLVISPSRYVKEVASHVLSIFSLLVLELPASCSSEQQDFSMVHHISKPTFILPKLVHHLWSQSASGFFFTKHATCKGLPESSANYSEANYWTEETNEYLSVLAREKLALDGSSSKKMSSVAMSSLLSSAVSVLVMHPKLGTSAAQSLGILGASDPKLGMPLLLVILFYCKIIYSNDNLSTNSLLSLLESLPSLATHGFVLPLALQFISPMLKKDAKPILYAIAVRLLCKIWIITDWAFPNLQGILEPEAFSSFTTDKEVLTSIAASLRDVCKQNPDRGVDLILSVSSCIESRDSVVQALGLESLSYLCEADVIDFYTAWRVISKDLLDYSIDPTVSNSLCILLRWGAMDAEAYSETSKNLIQTLWSIGTYKKNNAEADRLWIKARGAAFQSLSQYKVSLIQDSIPDFLRRNHECFTNEHNLEVLKAMENFQVEIIKFEHINRRRVTAEKRTTVHKFEKLLDVLPQAVFKQKSAQHRLPGAALLTINYTPDDIVREGKSKDLPRVHAAYEQALVDMAESMFISRNMVVALFALHSWKSFVSHWMQAVVALLDTKESSKLNKPLKAANDIFKILCKCVPVSNPRVAVNITLAIGALCLVVPPTAHLVVSSASDFLLKWLLQYEHEHQQWSAAVSLGLIFNCFHPTDKKSKLQVVSGLFEVISKTDSCLVKGACGLGLGYACQGLLTRAESTADSELEATSELKERASVKEILHTMTASIVKLCPSSCYSLKKLSVCGIFSMEGMEENYGSLDDDPWAIAGLVLGLGNSVIALYRLGAYEDVIELKNILISWIPDVDSSSELFGEINSVSLCMGSCLALPSVIAFCQRVELLNDDLDALFNRYTSLATELLNLKKSGSVFQNLLMAVCIGAGSLLSCILNDGVHSMKSADVKKFLDILRNIYTHPYPPLVHLGGMFGAVNAFGAGAGDLIGICSKSMNSQIKHEKESSLLRGPLLTSPVGETLSTAMIQEISLLAKDAEDNQIQNYAAWAISFLRSRWLLKNQSLHDDDSSQRISIDSTSLSPESLVWNLSLWLRDLNFEKPGDVVPVNTIATVLKCLTKAPRLPVIDWGVIVRRCMKVEAHIPHTSSNHQDPKLLREECLYFSLAHADHISPLLQFLDDLTDLPRFRRLEMNMQSVLLQYLSHLMKLFSDSRSKKLYDDLTVYFYSLSSSYLDYSSEQRSMLRMSFWKGICKCLVELISEESDSFSYVKKCIECLLTLLNLCNDGQPEFMDEWSAAIKCLMVAPKSWLDDMLQVHNTASLGEGEHVDAAKKIIIRARLCSTGCVSALELGNIKTTILSTPADGVWWNVLVEVATAVYSADNGIKKQWLLDALDTGCVTAHPSTALRFVGLLCGSCCIYMPLLIVNPTNVLSDFPVTLPSFLSSSIWDDLRNSAADKLWLLTTRIYTWAEQLTRGEGLSCYDHIHESEADNATFLANMLRSTCIAVEDHLAADKQLKLANLEAL >cds.KYUSt_chr5.5859 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36500218:36502065:-1 gene:KYUSg_chr5.5859 transcript:KYUSt_chr5.5859 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWESAEQMPVQPVVEVDVERPLVPTRAAVMDEAAPSTATLGSRPLRLTGPLTLAHSPPSLGTWVGSTRWERAVQLVEIQNSKREVRSKGERPALVSDLSTPPTPEASFFPRLAPPPPPPPAKRERKMGTRDMPLPRQFEFLLGKDRDQWPLEARFIEAAHDGDVRTIKKIAKELDVHGHGVPVTVASTTYMGFNALHAAGGRGRLPLYQYLVEELKMDVNKPDTSQDQSPAEHAVTHGNLPAVAYLLDHGADLHQKRQGDVTLLHSAAIRGMDASFVATFL >cds.KYUSt_chr6.16163 pep primary_assembly:MPB_Lper_Kyuss_1697:6:101740823:101744340:1 gene:KYUSg_chr6.16163 transcript:KYUSt_chr6.16163 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGGGQYDAGGGQYDGNGGAASANNLFGGGGFMPSQTTNTPEGGGGGGFNKGRGSQTLMPLTVKQLMDASQTNDDKSSFAVNGWEVSTVRLVGRMLNKNERVTDVTFVLDDGTGRIEVNRWENETSDTEEMKLVNDGDYVIVNGGLKGFQGKRHVVAYSVRLVTNYNDITHHFLYCIYVHLDLAKAKRLASQPQANASTSTWNQAPLPNNQSASGNTAGKELTDLVMSVFHDPVLGNMEHGVSVDEVASRLKLSDAVARSTVQHLADIGNLYPTIDDNHFKSALNG >cds.KYUSt_chr5.14226 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92246809:92248922:-1 gene:KYUSg_chr5.14226 transcript:KYUSt_chr5.14226 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMQATMATRGFTRLFCCCLSALALLSFIMPSTLAEERFYEFVVQETVVKRLCQTNKIITVNGQFPGPTIEVNSGDTLVIRAVNMAQYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGSSYTYRYTTEGQEGTLWWHAHSSWLRATVHGALIIHPKKGLPYPFPKPAKEFPVLLAEWWRRDPISVIRQSMITGAPPNISDTILINGQPGDFLPCSSQETSIIPVVAGETNLLRIINAAMNSELFVSLAGHKMTVVAADAQYTKPFDTNVVLVGPGQTTDVLVTANAAPGRYYLAARVYASAQGVPFDNTTATAIFQYKNAPGTPGRPRPSAHLGRTGSAPMLPYMPANNDTNAATMFSNSIRSPGPVKVPGPVTQEVFTTIGFGLFNCRPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHHIPGVFTEDFPAFPPVIFNFTSQNVPRALWQPVKGTRLYRVKFGAVVQIVFQDTGIFATEEHPMHIHGYHFYVLATGFGNYNPRRDEAKFNMVDPPSRNTIGVPVGGWAVVRFVADNPGVWLVHCHIDAHLTGGLAMALVVEDGKAELESTVPPPLDLPICGL >cds.KYUSt_chr6.32008 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202245030:202249258:1 gene:KYUSg_chr6.32008 transcript:KYUSt_chr6.32008 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLPLHLPPLPPRFASSRYPPRFLRAPPQPTPAPRLALPRLRLPAVAARASGGRETRVAEGDGRRVIPIARCYEAGLARLEVTGAARREQAVAAAAAADGGVAAEAHLGAGSEAMVMEAFLPGPGGAASTRLILQAKEVQEKASKIKKDYGDDFFSENEPDSDSILAMALKQVVMEKLANFRLEVFSPGSGRDLEDWSKPRKVPVDFSISSSDGKLLSALAEAIFSCVIEDTEKNYLGGTAGLFQIRKPNCSSDSTVCIHRIAEAEVANNARRCLERFDLAKSSHEVGKPKSAWWPAPKYGSLEEIGGPDFVLWAHEFIPSYKLQINAAAFKNTELKGCHELTNNRREVLVSHFQLAELGNVLDMYFEDQFTLPGKTFHSHWNAEPSKIRKNNGYLNKLFAFLAGSSVILIVGVLAQLFWPQSLKDKSLFMGSSCSSSPQRYCSDVHSLDNSEVRGYCVSVVKKIKDSCGCPGDIVVDENIGAWVGELPDCFKAINLGEYAASDDARDSRTVSQETENLLVSSPTETTSHLEQKDNTRESLQNIASFQVVMSEQGKLVGFQPTSRLAVSHWANNPLAALLYEGRKLSPAFLEPRLKLCCPAKVVPIELLMSVNSEPFFALARPVQDPC >cds.KYUSt_contig_686-1.1126 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6962904:6963467:-1 gene:KYUSg_contig_686-1.1126 transcript:KYUSt_contig_686-1.1126 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPKRSEFVSFLIFCTCMGLLIGFVFYMAESDKQYYTVEITGVKWASFPDASATATATSTPSFNVTMRIDNRYHHDLYIEDWVFSLWLDGGVPLGRGSFPYALIADSMSATTVTGTTSTALVGVRTDTHISTGSKSMEDLELQVDIRFTVVDLDDSIDGYKWLWCSARLSGRSAPSLCRPLGGSWN >cds.KYUSt_chr4.3551 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20225928:20230245:1 gene:KYUSg_chr4.3551 transcript:KYUSt_chr4.3551 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSTRAPPPQQPGTLSEPSLDGTGERPDPWGDRSRALEFRVGSAGFSWGGRRDRFVGAALGCWAAVCVVIPSQLPMASLGVAPASGQRDAGVSTLAVDKLPAQMSNMKIRDEKEVEATVINGNGTETGHIIVTTIGGKDGQRKQTISYMAERVIGQGSFGTVFQAKCLETSETVAIKKVLQDRRYKNREMQMMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIRHYNKMNQRMPLIYVKLHTYQICRALAYIHRTVGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLSGQPLFPGESAVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFSKRIPPEAVDLVSRLLQYSPHLRSSALDALMHPFFDELRDPNTRLPNGRFLPPLFNFKAHELKGLPMEVATKLVPEHARNQCPFLVL >cds.KYUSt_chr4.6204 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36432098:36435546:1 gene:KYUSg_chr4.6204 transcript:KYUSt_chr4.6204 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATGAMGSLLPKLVELLNEKYKLQKGIKKDVKLLERELRSMHAALSKVSDVPRDQLDELVKIWADNVRDLSYDMEDVVDRFLVHVKGFESAANSQKLKQLMKQMVDLLTKGKTRDRIAGEIKDIKVRVKEVADWRDRYRVDSVVANSAATTAIDPRLLALYKENQEIVGTAEAMDELIKRLADEDIVSKHQLKILSIFGFGGLGKTTLAKEVYDRLQAKYACKTFVVVGRNPNPKKVFKDILLELDKQRYMDFNLAMLDERQLINELREQLMNKRYFIVIDDLLQLRYLGLRCTAISELPGEIGDLNFLQTLDIRQTALEELPRGIGQLRQLKRISMEHGCVRVPEWMGNLASLEDLSVDNVSESPNFVKELSKLTELRRLNIYIGELGVEGWKCKALAESLEKLQKIQVLGIWSTKEANLETHVPSGQLRELFLATVSSRLPMWINSLLLPNLTYIWVSLKDVKEQDIEVLGRFPELLTMQLIIKISAGGGSDPPCECSGGLFPKLKHYATPAPLLALKGAMPSIESIKFQVHVRSLKDSKFNFDFGSLMNLPLLEKAEVNIICTGAHAHEVEEAEAALTHAVQVHPNHPFLSLTRYGET >cds.KYUSt_chr5.11926 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77499381:77502326:-1 gene:KYUSg_chr5.11926 transcript:KYUSt_chr5.11926 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWAPPAGLLLLLLLAALVAARADKPARAGRGDPDSEPEPCSAVFQLYGNVYPHGLYYVAMSIGNPPKPYFLDVDTGSDLTWLQCDAPCVSCSKVPHPLYRPTKNKLVPCVDQMCASLHGGLSKNHKCDSPKQQCDYEIRYADQASSLGVLINDSFAVRLANASVVRPSLAFGCGYDQEVGSSTEVAPTDGVLGLGSGSISLLSQLKQHGITKNVVGHCLSMRGGGFLFFGDNLVPYSRATWVPMVRSAFKNYYSPGSAGLYFGGRSLGVGPMEVVLDSGSSYTYFSAQSYQALVTALKGDLSKTLKEVSDPSLPLCWKGKKPFKSVLDVKKEFRSLVLSFSNGKKALMEIPPENYLIVTKYGNACLGILNGSEIGLKDLNIVGDITMQDQMIIYDNERGQIGWIRAPCDRIPKFGSSLL >cds.KYUSt_chr4.20732 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130539758:130540091:1 gene:KYUSg_chr4.20732 transcript:KYUSt_chr4.20732 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGAACSGGGKRTALRAPGGGSAPRGPAARPPHPLVRSRRLALRSSCTVQRCRNARSFSASLALRSSVRSMEAESAWSLAASSNDRLSASALASPLPPRAPRARVEASR >cds.KYUSt_chr4.4493 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25699323:25699592:1 gene:KYUSg_chr4.4493 transcript:KYUSt_chr4.4493 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEGAAARQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPTATPASNGSAPTPTAASLFPSGFRQP >cds.KYUSt_chr2.806 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4756704:4757110:1 gene:KYUSg_chr2.806 transcript:KYUSt_chr2.806 gene_biotype:protein_coding transcript_biotype:protein_coding MKARTISSHLHLCSELHGGQGGTRRSNSSSAGQQEAPWWARQGRPAPGASDGEDQHKAEVLEADVLGMADTPESGVEGIGWVGVSGGEGVGWVWLGGRQLSSEVG >cds.KYUSt_chr6.9378 pep primary_assembly:MPB_Lper_Kyuss_1697:6:57793413:57802357:-1 gene:KYUSg_chr6.9378 transcript:KYUSt_chr6.9378 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGPAPTTARKALLSTTSTLLSSSLARSRRSLSCSAASAAAPRISQQPPDLLRWVQREGGFVHRGLRVADHPEYGLGVSATAADGVIPPGAVLIDLPGRIPLRLRRPADAADAVLMQLADQVPEELWAMRLGLRLLQERTKSDSFWWPYIANLPETFTVPIFFPGEDIKNLQYAPLLHQINKRCRFLLEFEKEVTQKLGNVPSGDHPFCGQDVHSSSLGWAMSAASSRAFRLHGEIPMLLPLVDMCNHSFSPNARIVQVGDVDSPDMSVKVVAETQIDQNAAVTLNYGCYPNDFYLLDYGFVVTSNPYDHVELSYDGNLLDAASMAAGVSSPNFSTPAKWQQDILSQLNLQGEGAILKVSLGGPDIVDGRLLAALRILLAADPETVGKHDLKTLMSLSEKAPLGPTVEASALRTVLALCAIALQHFHTKIMEDEAILKGEPPLTTELAVQFRLQKKLMLVDVMQNLSRRTKMLSAEKSTV >cds.KYUSt_chr5.4388 pep primary_assembly:MPB_Lper_Kyuss_1697:5:28011180:28013876:1 gene:KYUSg_chr5.4388 transcript:KYUSt_chr5.4388 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSHCAAILLSKNNRRRGGHGGGNGVRDDPSSFLRQLRDALDAASEEGALCPPPEAAGADADADAAVTRSRSLARLRAQRDFLRATALAAAAGPFRSISDLPLLAHAIATFLSMYPDYASTSDVDRLRLDHYSHLAGRVCLDYCGFGLFDSSWDSSSASFTLSELNANLSNHALYGGADPGTAENDIKERILEYLNVPASEYALVFTVSRGSAFKLLAECYPFENNRRLLTMFDHESQSVNWMAQSARAKGAKTRAAWFRWPTLKLCSTELRKEIVGKRKGRRRDSAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVIGSLQGRNGCNASGMVRIVPVFPQYLSDSIDEFDAVETEGLEDDPCTPKDENPVPDIRNGSQLPAFSGVYTSAQVRETFESDPGRDSSSDRDGASTIFEETESISVGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKSGQFKKGKLGSPLPSSWFTGRKSNKRMSPNLTSRISRSPLYDGNVISFDAAVLSVSHDVDCLREDPEEEIYENGRRNHFRQVSEIQEEPEVEEVVCQRAMNGLDHKESAIRRETEGEFRLLGGRDGNSRFTGGRLFGVEEIDGAISMGRRVSFNTDANMIADRLHRASDAAEASGYPFREDDGCLSDGYDDDAQDWGRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLRLPGSKDVDGVPLVHIYGPKIKYERGAAVAFNLKQTGGNFVNAEVVQKIAEKNGISLGIGFLSHIKIDPNQKLSNGALDIPEASFYKNGRRDSKKVTVRVEVVTASLGFLTNFEDVYKMWAFIAKFLDHSFLESERLALAADHSEGQI >cds.KYUSt_chr1.40925 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251008738:251011208:1 gene:KYUSg_chr1.40925 transcript:KYUSt_chr1.40925 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTATRLLAVGGDTTKLGLLVTNLRLRGYQVTSSTDPAAAMAGLRQDGLQSFNFDAVMVDADSKYPGRARAALNKLFEFAVREPLICAYESVPVDVPLQDMNPGADDPKQNSYVGNSDGDVNRLHKNLSDILDDAPQPSWEAAFLF >cds.KYUSt_chr2.35149 pep primary_assembly:MPB_Lper_Kyuss_1697:2:216795259:216800590:1 gene:KYUSg_chr2.35149 transcript:KYUSt_chr2.35149 gene_biotype:protein_coding transcript_biotype:protein_coding MQATSSASREPVPPPPPPPAFSDRFTIDWGALVGPFSVETLQGTRMWRKAAQVDRGRYKGWGGTLVDIAALSFEGIDIAGRSIGSFEEVCGDYTPRLGQEFSSDHEAYEFYRYYASKLGFSIRREYANISKKTGEISSRKFACSREGFKALDKRTNYTRSPQPDTRTGCHASLVLRRKNENAKYKVYAFEPQHNHPLFIPSCANPSQRKLSDVEPLEAGNYVNARITSETESRNCTLAENVVDSRKKNQNPLYIRRQSEIRYGEAGALLNFLQDQSLSDPSFYHAVQLDAEDKVANIFWADGKMVTDSRQFGDVVSFEIVSRNNTSLRPFASFVGFNNYGETVLLGMALMYDATGESFQWLLETFMRAMSGGVPRTFFSHQDAVLAKAISFVMPETRHAICTGHLKQAAKKKLSHLIRGDCNFMKEFKACINDYEEEIEFFSSWEAMISKYNLHDNVWLQKVFEEKEKWARPYMKQTFSAGMKNTQLNERLHSDVLDYLRSDVDIMFFLKRLQKVINDIRYRELEVEFSSRLKLPGFKIRAPILRQASEAYTDMIFQLFQEEYEEFQSAYIVNRDESGPCREYIVAIIEKERRYKVCGNPSEQSVSCSCRKFETLGFLCSHALKILDTMDIKYLPDCYLLKRWTKHGRCLTAPHVEGRKVQADTTSEFSSRYQYLCPVFVELVARASECEESYRVLDQCSVELRKKVEEILQKQTSIDASAPQPDVEDIQISLNTTDNESERAVDYSSSTRAKRPKKKGHKGMCPPSRCIGKGLQKKKKVQPEEPATQHTVWDAPTRPGNVFLQGIDLSQPFPMGQMNYEGNQEPVKISSFVSSERDRGHDIHLSGYI >cds.KYUSt_chr5.15615 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100875802:100877127:-1 gene:KYUSg_chr5.15615 transcript:KYUSt_chr5.15615 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPLPTPERVPGLSFTPQDDELITRYLGPKIASQPLPAGTADFIHETDVYAADPADLCASFPPSYSGIDESSKIWYFFTCPKAKNSRGSRKSRTVGENKGTWHSESRKDVFAGKEKDRLVGYRRSFSHETSSGEKSGWLMMEFGFGANQEDGPVVCKIYKTPRPATAGCSARKKRKAADPAAPSASGKKRKAEDEERSDPAAPSARVRRRLNFCSPTATPDDDFLNETQRQQSEAEELAPAFDPISFLADGHALSALNCYDTPVQGDWPTAPKNSGSWTTADSLLPVETRPEKSASAGDCSFFFNDHAGLGLSCPGTTNMAPGAYWTSPAVSQQYVKQSAIDCSFFQNNHADFAPTCPDTTTASQQHGEIYGSCTSGMEFFESLPVTGFQHDTPFYWPREPSSAPTASLAASTPLHSCGTNWASPMLLPLQGWRNSLC >cds.KYUSt_chr3.12967 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77827473:77827973:-1 gene:KYUSg_chr3.12967 transcript:KYUSt_chr3.12967 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHASRAIAALLLAALSIAATPRGASAVVQCGQVTQLMAPCMPYLGGAPGMTPYGICCNSLGVLNQLAATTADRVATCNCVKAAAATGFPSVDFGRAASLPGACGLSINFVVTPNMDCNQYVLRVDMHRMHLNFLTMDVNVKINLFFRSLRRRRRCISCRVTDEP >cds.KYUSt_chr7.24180 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150771101:150771793:-1 gene:KYUSg_chr7.24180 transcript:KYUSt_chr7.24180 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPQANEAEVEQELLSLGASISSPNTISTVCDGLRRLGDIYNGVEEIVGLPSNQVGKMLDGEMECSLELLDLCSTMQEIFMEMKAIIQELQVALRKGDDVAAQAKIQSYSRLAKKAQKYFKKTAKKATSVSCRMVMLLTKAREISVSLLESSVHLLSKEINMPKQSLVSKAFHKKKAVVCEEEQLQAIECSIGDLENGAGHLFRKLVQIRVSLLNILSS >cds.KYUSt_chr7.34455 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215134070:215134360:1 gene:KYUSg_chr7.34455 transcript:KYUSt_chr7.34455 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYRGLPQGELTAEEFWAWLGQFDADHDGRISREELQRALQSLNLWFASWKAREGLRAADADCDGAVGGAEVGRLFTFAQRQLGIKITQLGSY >cds.KYUSt_contig_973.507 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:3697417:3697764:-1 gene:KYUSg_contig_973.507 transcript:KYUSt_contig_973.507 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFVVLVGEATVATALLFKTPLRKLAVLGLDRLKRGRRAPVAVKTVAGVVLTLLASTLYSMAEISGRVGDPESGGGAALSPTDQVLFSRHLLEASLMGRSLSVTRIPTRSPP >cds.KYUSt_chr4.19795 pep primary_assembly:MPB_Lper_Kyuss_1697:4:124589853:124591267:-1 gene:KYUSg_chr4.19795 transcript:KYUSt_chr4.19795 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLFLSDDDLLPSAVTRVLADHPGPFCVVGISRCRFASHDHELTEWPRLLAAKGVHQLLLANSIDESTVDDSVSLPADILRCASLRELFLGGFSAFPDTAGLPRGPDVFPHLHQLGTVMMTISGWDLDYILACSPVLQTFIFAQSTMPNLLQLRSQSLRCVTLWNSTVDGVTMVDAPLLERLFLLEAPRGGDGNTVVLSIPCASNLRALGYLEPRFHSLHIGDNVIKPGTMPSPSTVVPGIKILACKVNFGVLHEVKMLVAFLRCFPNIDTLHVESLNEPTGRSHAKFWEEVFTIECIRSHVKKIVVHEYRGDQSELEFLQFIVASAHELRTLSVVISKNTFTNLANAAEMTSILGTLSGVPWRRDCKMTVLGPEFQNEQSILKASDLTVDDPFDW >cds.KYUSt_chr4.6353 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37321222:37321626:1 gene:KYUSg_chr4.6353 transcript:KYUSt_chr4.6353 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPTADVTAAREATGLLLMQPSIPRGARPRNVVEWLKDQLQQEHWARPQEGRAISRGDWFENHRSQPRRHDHGNALDWLKDAPAVGTLGAAAGTPGDRSRSLARRPLAAGVRPPSKLQGCELLRPPCSYA >cds.KYUSt_chr5.36572 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231126180:231128187:-1 gene:KYUSg_chr5.36572 transcript:KYUSt_chr5.36572 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPRVCVTGGGSYIASWLVKLLLSRGYGVNTTVRNPCDPKNACLAQLEGAPENLRLFKADMLDYDTVVAAFAGCVGVFHVATPVPEQKMVDPQKEMMDPTVKGTVNVLKACSATNVKKLVLVSSAASLCFNPDWPEDKIKDESCWSDKEFCTENENWYSLAKTEAEEISLEYGQKNGLHVATFCPGLVFGPLLQHVALNTSSKVLLYIIRGGPDTMNNNFWPIVDVRDVADALFLIYNKAGPSERYICSLDQMDLKDLLRTLKSMYPNYSYADKMVDVGCKAAMTSDKLKNLGWRPMKLEEMLADSVESYEKAGLLQVSDGEPCRLPFFYRMPPVQE >cds.KYUSt_chr2.1204 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7095692:7096525:1 gene:KYUSg_chr2.1204 transcript:KYUSt_chr2.1204 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDHHQNHGDAMYYYAGDYTSAAANPSCSYSSTDSFPFDLSRGEMIDAAEVEQARSQAASFRHQNYTSCMGAANPSSSESFSFDSSSGEMINAVEVEPARRRRAASYIGVRARPWGRFAAEIRDSTRGGARVWLGTFGTAEAAAMAYDQAALSSRGAATPLNFPVDRVEESLRALALGATAGSTTPTGTGGSPVLALKRRHCKRKRRNKAELAAARRKNKSGSEMADEKRFIVELEDLGADYLEELLVITDDALQLQVPADAFVQCDELLHTQSIV >cds.KYUSt_chr7.4081 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24437703:24439065:1 gene:KYUSg_chr7.4081 transcript:KYUSt_chr7.4081 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGARFHNMLGGGGMGGGGGRGKLENESNGFYDMPYYHKVGESSHMSVDSADNMNSMNFVGGSVAMSVDNSSVASNESRTVMLNHPGLRDAPTPNYSVCNSVIYPNRAAASVLKEDALARVLMDPTHPTEILTNYEEWTIDLGKLDMGAPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDLERAQLMEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQTKSVPLRLAVKQALDVARGMAYVHALGSIHRDLKSDNLLISADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELMTGMLPFTNMTAVQAAFAVVNKNARPPIPQDCLPSLSHIMTRCWDANPEVRPSFNEVVTMLETAEMEVVSNVRKARFRCCISEPMTTD >cds.KYUSt_chr3.43788 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276324063:276324296:-1 gene:KYUSg_chr3.43788 transcript:KYUSt_chr3.43788 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEPLFLRRRPLPGNRSPLRIRPAVAWIDLVTSKASARVSTANSPRGGEVDEAGRTSVCPPSAVPTYRVGGEVDG >cds.KYUSt_chr1.21077 pep primary_assembly:MPB_Lper_Kyuss_1697:1:124490476:124490910:1 gene:KYUSg_chr1.21077 transcript:KYUSt_chr1.21077 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSLHALSSAVPIDNTFVDDLSPGARRLYDLLVGGSSSPTPAASPRAPSSASTTSGIPKSAAAATALPEKDLRSVTTTRVASASSDITKDDLSPGARRIYEYLMGGSTTTASAGSEISTVLQDPTMPNDAPIAVDINRSDSV >cds.KYUSt_chr3.20914 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128125365:128127348:1 gene:KYUSg_chr3.20914 transcript:KYUSt_chr3.20914 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDREFWQFTDQLRLQTAGFSGLSLGDSIWSPADNARARNADSSLGLFSPSQDGPAKINANDGPGLIGSGKLAFGATKADRYNNNNSNTLPGGDAKAYGYGAPAKPNSANLNNNNNASFALNKMMIGGSYNNNSNNLNGGSGEVKSYFNKSIGRPASNNNGSNYGGGGYGKNKGALDGGKKKHAKNNDNNNNHGAASEKRFKTLPASEALPRNEPIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGANIDPAAWEDKKCHGESRFPAQVRVATRKICDPLEEDAFRPILHHYDGPKFRLELSITEVCAIVNGVSEASSVHHMVHLTEMLLSMQALSLLDIFEDKDDA >cds.KYUSt_chr3.36972 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232605692:232607326:-1 gene:KYUSg_chr3.36972 transcript:KYUSt_chr3.36972 gene_biotype:protein_coding transcript_biotype:protein_coding MERQKLWIHRGRIKAFGPQATLSVRPTSLPPEPAAVLAAAALARRRSDPAVGGQMRRARRFRKPVLAYPPCEELRDPAVVVEGLGCLSLPPLEVFPQAPSPSLPVMNDDVSGVAQCSVGVCDGERALEKVAGSGVMRSEEMALEKMDDDEELAPRTPSVSNGVVPGSVCDAADVRHGGKTLEELCDGLSPAAAALGVEEGWVQVGRGNRPGHEPLSLLRKEGLERSLAFKRWARGRCFRCLERGHQVNSCREPFRCIRYRRPGHRERFCRARFPAARSRSPDTRARSPDAHAPLQRSHSPSAQLRRPTSSRSWAEVVSQSPLCAAVPPSPSPRCCGEFKVDVSLDSIFQSQVALMRLELLQLVEVRIEEVVRPLREEVATLKRLLAHDGVALEPTDAHALVLLDSAEQKLSGVEDEHLYGCFSPRGSFSPCVGASSTVTAPIDVDAAVLFGGVLTPPPFEEVRSGSHEFSDVASPPCQTLASEKCGDVDAAVSSSAESGGQVALFDGLVAKSGLLPMVSGAIVAREVSEFLATLAVAFQGSAVG >cds.KYUSt_chr6.21531 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135884463:135885168:1 gene:KYUSg_chr6.21531 transcript:KYUSt_chr6.21531 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNRTWVSRKPSSPSLTALGSHTPSASCSSPNPAASLCLLPKSGRVRLSTSPRELLSTGVVMEVEGSQVVGRWYLMPAMKEGAEIEEAAAAFMDLARVEKQQKFAPRSRLDMQRLGVDCGVQAQGNRARDQAGFRFQAKAMSCSEQTMCSEHMFEMSKMTKVVGVRGVGVKFLSKVEVAKLVVKSLEYPADMLGVPKAWFPEVEEQLPGFNDK >cds.KYUSt_chr4.19113 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120102291:120106412:1 gene:KYUSg_chr4.19113 transcript:KYUSt_chr4.19113 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKVAATLPLTRTRMPSPLIQFDVVFQEASVFQEAFAGLPVTRTPPPLTQLDGALLLQRGGITSLQMEGQWEQSLQIFGDISEWCFPVLYVIKRRGKGACREANGVPDIIEFLGPLVDVALCREC >cds.KYUSt_chr6.23255 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146856687:146857946:-1 gene:KYUSg_chr6.23255 transcript:KYUSt_chr6.23255 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRNSRLNATDVIGECIDKLHERRRAVREQGMDSLVAALEGFVPADDIDHRYFTVFDRCCASLRKGGAPEAALAYRAIGLLALTAGDATDVNCSKDIYNKALPVVRVTLQAPSSGVETMVAALDCLAAVTLGGAPRPLNATSAMRAATGVIRRAGDDTPPEVVTAALSAFALLLTTIGDGGVDLKSYTSSFKEAMVPFQDLVKLLDSNNPSVVMAAGELVAVCAELNLTKLYSPEDMDAIETKVLDLATGASGDMMPTEQLQAEFFQKIADIVCQDERPQTEESIAASSSSGRGVLRESTWARLVQLSFLKRFLGKGFRKHFQDNPRFRPEEDTSVATDEDDEPTAKKAWRHGGGKGKQWTSAMRRDRDIVWESKNQYHY >cds.KYUSt_chr7.23359 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145365037:145366194:1 gene:KYUSg_chr7.23359 transcript:KYUSt_chr7.23359 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAALDADGIGMDSSFMGATGGGGLAAPDTHAHSNALLYNMSVLRDKVQQLQPLVGIAVGHDGRGHVDPVVPGASAIIQEIIAAASSMLYAFQHLCGGLGASLTSVNNMALALAQEGAVVAHDKNGAVADVNAGAGCSGQQAMDQAMHQWQQQHHGGGHDETYYEIHGKSTTETAVSSSVTAFDTIIIELDAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSKAALANPLTNKLAGEDAAAAAVEAAGRRNKYSCPQEGCRWNRKHAKFQPLKSVICVKNHYKRSHCPKMYVCNRCNRKHFSVLSDLRTHEKHCGDHRWVCSCGTTFSRKDKLAGHVSLFAGHEPVVVPMGGRHGKKSSSTSTADQLRNCTGGFSIT >cds.KYUSt_chr2.49010 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306737698:306738295:-1 gene:KYUSg_chr2.49010 transcript:KYUSt_chr2.49010 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAQDFTLTFDKTSGQVIGENDTCNAAFFLDQDDAYFNDGTVGGQRPLKDLLLPAVDDRMILDWAIGSGTCNQASTYNSAPLYCNNMSGCIDALRGTGHLCKCNAGYDGNPYTADGCAAQADEETEI >cds.KYUSt_chr1.22720 pep primary_assembly:MPB_Lper_Kyuss_1697:1:134419535:134420379:1 gene:KYUSg_chr1.22720 transcript:KYUSt_chr1.22720 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFTGKEKKVKVAQDRSAGMPASRAAVVSLFSLFAMALLLWPVSAEPPRLSPAPGGIDEWLGETVTALQATAPGAGGTEGGAPAADGIAPAPAPGRPRRFRLPSHPFALSPEARRELEHETRCGPRIPVRRGGSPWPERKPRCRSGDDGDAGDESATGTVGAPTSAWLP >cds.KYUSt_chr7.2842 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16873718:16877684:-1 gene:KYUSg_chr7.2842 transcript:KYUSt_chr7.2842 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGGRSPLPPTIPSHEVRTEAAQASLLSPTKAGIGRPSPPSKSAGHTGEFDAPVSFPRRLTTRRRAWAPSSTSRGSGAMGGADRRSKAEDIGTLLSLLRQHGYGRSRHSGCSLSDALHRRPCRDSLCISLRLRLLGNFASTEHGVATDMQRDKLCSDIPICSSIYSTSMGSQEGMFDVEATQKYSSAVVLHPKPDTNFADLQHVCGFLKDNLHARFRVKRLNDVAVLLYFDQDNPYMFVYEMSVSFEEWIHYGELELIQWTPEYGSGCVEQENHYGSVARAKISSIVKALGEKITYLPLVPESALSHGLASSLVALQRTRAHAAAPAMPIAPTRQGARAVGVGTPKLDRAAATRSLDPPHCRRQRRH >cds.KYUSt_chr3.17584 pep primary_assembly:MPB_Lper_Kyuss_1697:3:107807866:107810728:1 gene:KYUSg_chr3.17584 transcript:KYUSt_chr3.17584 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRFLGGVRPAAPPQAPVAPPPVQRQPRAAMPRMWPRGGEVRGEEAGTRPDAAEDRKQGNWVLQMLRVQPRWADEADAEAGGGGGGRRGIQEDEDPADVVGGERCASCGGGGEDEGCPVGTDEHDGEVFDRASFSRLLRKVSIDDAKEYSRMSYLCNIAYMIPKIQPKCLRRYSLQFVTTSVQEKDRANPDRKQEQGTEKGEAPDRKSEVVKNAVLESKEEKGNGPMINPFGAYQVMASAASYVHSRAMGINPFGSRSNGDSGPTIMAIVNGENGEGLTLDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPSEWFICDDDKGSTRYFVVQGSESIASWQANLLFEPVKFEGLDVLVHRGIYEAAKGMYHQMLPYVRSHLRTYGKSASLRFTGHSLGGSLALLVNLMLLMRGEAPIASLLPVITFGAPCIMCGGDHLLSKLGLPKSHVQSITMHRDIVPRVFSCNYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEVLILQPDKRLSPHHHLLPQDSGIYHLGDSAGISLKQLESALSAFLNSPHPLDILKDGGAYGPKGTVYRDHDVNSYLRSVRGVVRKEVRRLREVERERWQLLLWWPLAVHGMLTSGIGKGWFGEVADAVATGGRETARRLQQHASQLLMFLVPVKLLVLGALLAIRLRS >cds.KYUSt_chr2.39410 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244389858:244390487:-1 gene:KYUSg_chr2.39410 transcript:KYUSt_chr2.39410 gene_biotype:protein_coding transcript_biotype:protein_coding MATLWRQPSLEFDVKVVRVAGVEARLEGSLFVRYYVPAGDGRRRIRVDTREVPCGGAAGGDVFWGELVRFQLAGNNGAAVAAPGKVAFELRWRPRPSTSGLSALLGTGRPSSRVLARAELAVAAKSATSTESWLRLSPAGRELGSGCKAPKLLVEVKVVHGAVAAAGHTAVKARKSGGVNECCHGGESCRSCAWVGTEEDMFLAATFAQ >cds.KYUSt_chr5.30809 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195144580:195147413:-1 gene:KYUSg_chr5.30809 transcript:KYUSt_chr5.30809 gene_biotype:protein_coding transcript_biotype:protein_coding MARWQSIAALAAAATATAVLSGLLYRRKCARLTARVRQLEDSLADAAEKAAAERRGRVRAQQSLRKALSEQGETQEKEKPSKAAASYPMVPIGTVQSCFSTRNGTPRQPLVVPLARATVVLDPARVPAAAIEGLASYSHCWILYVFHLNTDLDKMWKDPARSKLKAKVRVPRLKGVKMGVLATRSPHRPNPIGLSVAKVDAVDGHAVLLSGVDLVDGTPVLDIKPYLPYSDSVKGAAIPNWLEVDGALAVESIHFSERFISSLANCWVHVQKQTLYASADEFQDLIKEVLSWDIRSLSQRIRPHQVTMERKTDNYASNSGKDHKRKEDCEATASCSVVAYHLHLEGIDVSYKIDENSNIVVDNAALLSSGANQNRCSYMTWRDKLSTL >cds.KYUSt_chr1.40328 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246989517:246990625:1 gene:KYUSg_chr1.40328 transcript:KYUSt_chr1.40328 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQVRPEGDVPDVKVETADQNKVNEMPSPQEEDHERAYFDSADWALGKQGGHPQKPKGPLEALRPKLQPTQQQARSRRFLHASADGEEGANSPTEATSQNQDSTETEDKAETKE >cds.KYUSt_chr4.21315 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134230966:134233213:-1 gene:KYUSg_chr4.21315 transcript:KYUSt_chr4.21315 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSSTTNTSDGSGKPSLCPRGHWRPGEDEKLRQLVEKYGPQNWNSIAEKLEGRSEGKSCRLRWFNQLDPRINKRPFTEEEEERLLAAHRVHGNKWALIARHFPGRTDNAVKNHWHVVRARRSRERCRLLAKASSSTFPAYSYGGGGAQLDFAGASAGSLCFGFSKPGSGGFFTSPAAPSSTPVLFNGYGASGSKSLLSRYSSYFMDGGKQPAPSSLSVAFSSPSPREALAFDGRGAGHDHHPRKDYHNVDSGETPKTAKTKDAPPFIDFLGVGVSS >cds.KYUSt_chr6.31932 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201787662:201789250:1 gene:KYUSg_chr6.31932 transcript:KYUSt_chr6.31932 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFIFVVGDVPMLVTGGVLVVFVFVVGDMVMLVTGVTDGVMVVFVFIVGDVHMPVTGVTGGVLEVFVFVVGDMVMLVTGVTDGVMVVFVFMVSDVHMLVTGVTGVHLRDRRHGDAFDRRHRRCHGGGRLRGRRRGDAFDQRDRRCHRRRAETCERRCHGGLVIGERRAHDVKEYVKSDVFSFGVVVLELITGRQPVHRRGSGAGAGADESLVMWATSRLRDSRLVVAELPDPALKEGGFPPEEMQIMAHLARECLQWDPEARPTMTEVVQILSTIAPHAADKRRRHHLPAAAGFHAAERPRECSVSLERWQDGDRDHLHRGNGNVVVSGEVAVNVGMPVTTMGRSWRSPEPEEVDLTEPRLETFTQSTTTTTTTTTIFK >cds.KYUSt_chr7.8219 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49741179:49742951:1 gene:KYUSg_chr7.8219 transcript:KYUSt_chr7.8219 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRHHLLALALLAVALTPAASQPGPSPFDPPPSWQFPNPRLRAAYIALQTWRRTAIFSDPTNFTANWAGPNVCAYNGVYCAPHPADGAILVVAGLDLNHADIAGFLPPDLPAGLPDLALLHLNSNRFCGVLPDTFLHLRLLHELDVSNNRFVGAFPDIILSLPSLKYLDLRFNDFEGPIPPALFDRPLDAIFLNSNRLTRPIPPNLGNSPASVLVLAHNRLGGCIPPSIGQMADTLNEIVLIDDGLTGCVPPQVGLLRKLTVFDVSGNRLQGPLPPSVAGLVAVRELNVGQNLLEGAVPPAVCALPSLRNFTYVDNFFSFRPGCPVATADGAWNCIPGAPAQRPPAQCAAVAASPFDCSRAQCQAPATAVPVRPPTRRPGGRTPPAPVGGRAPHQRPPTLRPSTPPPPGSATPSYPSPPGGSTTPGTAAPPPPTSGESPGHAMPPSYTPPSSGYQPPSASSPSGQPATPPPGYVTPPTAPSTAPTPSSPLPLPAPGPWTPPPPPSGSGSSPPGGAGGTPPFPPVQGVPYSSPPPPPAAEVPPVHGVSYGSPPPPLLPPVYGMSYSSPPPPVHLVYGVSYGSPPPPTGP >cds.KYUSt_chr1.10577 pep primary_assembly:MPB_Lper_Kyuss_1697:1:64713867:64714754:-1 gene:KYUSg_chr1.10577 transcript:KYUSt_chr1.10577 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCRVSLNMPVYDQGHRPSCAIYAAATVALMKTKIEWARAPHNPTCTISIDMERLVDNFFEYYFHDLTRSAGTWIELAMRYLVVVGAPVLYLVNPPRQPNPANNIMIDSYFCVDHTDHCLVTRLLASGIPLTGRIVSGRRMDFATCGEIYLPPPRGLADDDHHFVTLIGAGLRQRSDGVFEICYAVRDSHSIYVHPNSQLGFEQRRGALGGDFQVYARDVDAIWGVNLG >cds.KYUSt_contig_7377.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001496.1:105826:108261:1 gene:KYUSg_contig_7377.22 transcript:KYUSt_contig_7377.22 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLLQLFLLLLSIASAQAQVNISRGSSLTPQGPNTSWLSPSGDFAFGFRQVEGNSNYLLAVWFNKIPEKTVAWYAKSSSDGHDAPVQVPSSSVLELTAEGLLSLRDPSGDEVWSPRVPSADYARMLDTGDFMLVGADGTPKWETFDFPADTILPTQVLPVGGQDKVLISRLLDTDYSNGRFLLAVQHDGNLVMYPIAENSTFPYTAYWASNTVGNGSQLVFNETGRVYFALKNWTQINITSAEGNSMGDFFHRATLQPDGVFRQYVYPKSTKAKEGIWRSQWNMVSSIPQNICQRVSGSVGSGACGFNSYCTFDGTMNQTSCHCPQGYDFFDNERKYKGCKPDFVPQSCDLDEAEAASQFEMTTINGVDWPQSDYEQYSPIDENECRKLCVVDCFCATAVFDGSTKTCWKKKLPLSNGNMADSVQRTVLIKVPKNNKTESQLSLGSSKWKGRKYWILGTSLFLGSSVLVNILLTSILLFGTYCGLTINSKKKLQSSQSSGSSLVPPKIFTLNELEKATTGFREVLGSGASGTVYKGQLQDDNSTSIAVKKIEKLQQESEKEFMVEVQTIGQTFHKNLVRLLGFCNEGTDRLLVYEFMSNGSLNEFLFSDTQPHWSLRVQVALGVARGLLYLHEECSAQIIHCDIKPQNILLDDNFMAKIADFGLAKLLRANQTQTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRRNVELEIADEERTILTYWANDCYRCGRMDLLVDGDEEANFNIKKVERFVAVALWCLQEEPTMRPTMLKVTQMLDGAVQIPTPPDPSSFISALQ >cds.KYUSt_chr2.13523 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85738157:85738960:1 gene:KYUSg_chr2.13523 transcript:KYUSt_chr2.13523 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGKWHKRQVDQSLPAWKVEFLRYKELKPIVSAVSGRPPSPAEFVALLDAEIEKINAFFIEQEEFFIIRHKELQVAIRDALARKAAVPEAAHEAEIAAIRREIVNFHGEMVLLLNYSSVNYIGLAKILKKYDKRTGAVLRLAVIETVLAQPFFTAEAVTLMVRECEAMMEAVFPTAPGEGQAAARRDREALAAAEQRIFRNTVAALLAMEDVRSGSSTRGRHSLPPLTLPDSEWLRSF >cds.KYUSt_chr4.5638 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32661522:32662289:-1 gene:KYUSg_chr4.5638 transcript:KYUSt_chr4.5638 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGAAALEVPSNRPNFVTRPSYWGPPAATAVFLPPERLKGREASIHAYLDFPSDPEMEYYSRRFAYAYITPARAEPGPFIRLVFRTLALDLPQTFELLHPDHGADAMLRFRTPHDREAAMGRQPFVLDGATVKLMREDETPDVRKVSHDYLVHVALHDYPVEERTKKKIEGNCTALGHLREIDPACFAAPDLATVHVVLQLHDPAEIPHQLRIEYRDGSISVVPVEILSVWHQSHSYDAKGQYVRLFQPLVAPA >cds.KYUSt_chr5.28256 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178866441:178870474:1 gene:KYUSg_chr5.28256 transcript:KYUSt_chr5.28256 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRPPSLLPPCRLFVWQLEIAKRLLSEDTSVSGRGVYTVLGISNAITNLHRGFEETFFDFSIKVDNTVSHLDPGFLLIFA >cds.KYUSt_chr2.51095 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319519151:319524202:-1 gene:KYUSg_chr2.51095 transcript:KYUSt_chr2.51095 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGDGDAAAVNTAGQVARMVWEESKKLWFIATPIAISILSLYAVGSVTTIFVGHLGNLPLAAASIGIAVFATFAFGFMLGMGSALETLCGQAFGAGQVAMLGVYLQRSWIVLAVAGILMVPLYVFAEPLLLAIGQDPHVAREAGRFALYILPGCLSYAVNFPTAKFLQAQSKVMVLAWIGVAGLFFHIGLSYFLVTVLEWGLPGAAAAYDLSLWAVALAQAAYIIGWCKDGWRGWSMAAFHDMWAFVKLSLESAVMLCLEVWYLSMITVLTGHLQDAQMAVDSLGICMNVDGWEVTIFMGLNAAISVRVSNELGSGRPRAALHAVIVVVVESLLIGLLCMVLVLTLRDKFSILYTSDLPLQHAVSRIAGLLGVTMVLNSVQQVISGVAIGGGWQGLVAYINLGCYYAFGLPLGYLLGYKFDYGVGGIWAGLLCGVALQTLILLFVVWRTDWNKEVAGDKALHIAAEAAAKKEEDAAIVEAAADGWYETADVWYEDAEEGAAAEEESVDAEDLALANINAAIEERFADLTRVTAPSPRSCDMLCFPSSILKSRVSPMRQFVDRGLTH >cds.KYUSt_chr7.39651 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246471205:246473689:-1 gene:KYUSg_chr7.39651 transcript:KYUSt_chr7.39651 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRRGMVGWAVTGLLLGPVLVGLLGYCGLQIQSYGAGPAGGSIRPTAGANAGYQADDRPVVAAGAIGPSLLHPDIFRPFVGFKEVRLVTKDPRHPGGDPIVLCFVDFANAAQAAVSMEALQVDSCDHTMCYVGHISTNLA >cds.KYUSt_scaffold_1700.576 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:3599324:3599764:-1 gene:KYUSg_scaffold_1700.576 transcript:KYUSt_scaffold_1700.576 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASFAPFMSRRLLLPFLMAPRPPARHCLVFSGGLLQLHRHSSPASSSSCRQQPQQVADTGAEEEAGAEQQGKKAASSEVERRQPVAALRASRSRRNTPKKPAKVRPVKPGGPKEGPGGNGGVVHAAPAYSSTTSSRGDDKKKVE >cds.KYUSt_chr2.13160 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83420855:83421830:1 gene:KYUSg_chr2.13160 transcript:KYUSt_chr2.13160 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSWICEQRSSICSPTARRSRRSGALPLIEDVCFSLEAGERCLLVGSNGADRISLVRSSKRKWNQGMFRVLRTLAATLAATSTSLKANVLVLQYSPDYPF >cds.KYUSt_chr4.54538 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336934917:336936185:1 gene:KYUSg_chr4.54538 transcript:KYUSt_chr4.54538 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFRFGFSPDMPPAFKFDPTDDDIVAHYLLPRALGIPDPPFAHAVLDDNPASLPPPDLLARHGHADSHHAFFLDVTKNGGRRERVIKGGDGGIWRGQQGQCHTLTLLSPGGHEMDIKYKRYDLTYKRFDDDDEQEEEEVKGKKRKRKNGNGSAPSGWVMHEYTITSPPLQNTVLSRIHLTKGKIKENQLQATDIDQHHQVFPAPQQLVGPSYYQDDFAHQEQPHPSYYEDFPYQEQAVPSHLDMICGGNHGSQADDASFYGEEFPYQEQGAGPSYQHFPIPDQPGPSYYHHHADGGTGFSDGSNADDASFYGEENPYQQHAGPIYQGFPAPEQPVPSYYLMGGGGGEGFSDGIQADDASFYADNGGVTGDGFVNLLCAGDGLAGNSNFCDDGFHFTSGGDMEFKPSGHCATQDTYPSKTDS >cds.KYUSt_chr6.27665 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175501736:175504739:-1 gene:KYUSg_chr6.27665 transcript:KYUSt_chr6.27665 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRRLLQQLQPAVAHHQAALRRAPHCRLLHSTSPLAAASASPPDSPSPSPGLLPRSTGSILPTSAGAFVAAARTGTVRSAGSLDEPLSLQLSGTTDWFASSSSTLLHGVGAPWAHWTTTPADDVVLMLAGANVAVYGLWRLADPRFRFSPSVIWLDMYTGGLLHMLLTRAHSYVDQRFMVNHFMISVDNFKSLRLHTLLTSAFSHIDAKHLFNNMIGLYFFGSSIARIFGPGYLIQLYVEGAIFGSTFFLGEMIFLASRKEGFGGWNTPALGKSRVSGSAHLGGALVAALLFAEIKGWI >cds.KYUSt_chr3.40480 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255283138:255283647:1 gene:KYUSg_chr3.40480 transcript:KYUSt_chr3.40480 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYSNLVSSPPAPSVELPVPAPAPTGTAAEEGKWEGVAVGAATMVRNLSSASQRFAAVERSKSTNGHRGGGGGFQAAVRRAFSMRRQPASSLSDGYWRIHDGLDDCDDDEGAEALEEVVVDEHKKGAEPAQVQGDAASHKEIDERTPKKKRGTGIFRACKKLLGFKRV >cds.KYUSt_chr4.34692 pep primary_assembly:MPB_Lper_Kyuss_1697:4:213079037:213082828:1 gene:KYUSg_chr4.34692 transcript:KYUSt_chr4.34692 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPSPCVSFVGPPRVSKLYMPLNLSNASGLTEPDPEGDVVRVSVGQACAASQDGLLLLSCQDILVTAPILAKQGGHKVRDMTAAADPDSVRLASMAHVVFNPHTGRQCRLPPIQGPKRILAGFHLGILTQRGPPERYAVAELDGNANVMLRFLPETGDWDLVNCSPFQLPAQRRMVPTQEVVPFRGYLWWVDVTWGAICADPFSDRPEPHFIELPSGSVLPADTHDQVLRRPRSPLPDAEGNAWWMQAPAMYRRVGVSGGWLHYVEVSEEEPFVLSSFALDADGSGWTLNHRVALSPLWADGGFPWLPLQGETRPKIGVLDLARDDVVYLTVGQHMVVVDIQRGEVVEHCPLEGGDVCILPCMLTSWLSGSPIPSAGHSDFNLIFGVLLFDVLECT >cds.KYUSt_chr6.11795 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73358035:73358529:-1 gene:KYUSg_chr6.11795 transcript:KYUSt_chr6.11795 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIDPAATSPPSARPPPSTVPRSLSRNTTTPAGKAEGGKKRPASPKITAVPRSSSSEGTLQSTTSVTRPDAPRTSAPRRPRRAKAATDLHDVGARCARPLVQDHHRWLWAWFLDPEHSCAPELGLHGCRSAAADAVAMLRARALWWCGNGKGGGGNAGHGQGG >cds.KYUSt_chr4.11825 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71884710:71885498:-1 gene:KYUSg_chr4.11825 transcript:KYUSt_chr4.11825 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATVEAFKQVVDRGAFPPVFPVGPLVRSNSDEEAAGGASPLLEWLDRQPARSVVYVSFGSGGALSVEQTAELAAGLEASGHRFLWVVRMPSLDGRSHAFGAGGDDDDPLAWLPEGFQQRIEGRGLAVATWAPQVRVLSHPATAAFVSHCGWNSTLEDAVAGVPMVAWPLHTEQRMNALLLEESLGVALRPRAREDGGVVAREEVAAAVKELMEGEKGRAVRRRAEELQQAAARALSPEGSSRHALEDVAAKWKAALSHGP >cds.KYUSt_contig_686-1.1333 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8426619:8429356:1 gene:KYUSg_contig_686-1.1333 transcript:KYUSt_contig_686-1.1333 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGAKSSVAASSSSATAAADKAADNLLALSLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGHRELPIPEHMDIHHLTREIEASDMSALQAVICCDEERIKLEKEAEILSAQDDGGGEALDLVYERLEAMDASTAEKRAAEILFGLGFDKKMQAKPTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEEKLKNFERILVVISHSQDFLNGVCTNIIHMQNKILKLYTGNYDQYVQTRSELEENQMKQYKWEQEQIAGMKEYIARFGHGSAKLARQAQSKEKTLAKMERAGLAEKVVNDKILVFRFTDVGKLPPPVLQFADVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLTPLDGMVRRHNHLRIAQFHQHLTEKLDLDMPALQYMMKEYPGNEEEKMRAAIGKFGLSGKAQVMPMNNLSDGQKARVIFAWLAFRQPQMLLLDEPTNHLDIETIDSLAEALKEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMDFKQHLKKRAGL >cds.KYUSt_chr5.33703 pep primary_assembly:MPB_Lper_Kyuss_1697:5:214027391:214032113:-1 gene:KYUSg_chr5.33703 transcript:KYUSt_chr5.33703 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWFIPAYFKKSQASKLGDAQGIPFFINNLSDILGNPRFKTGLDSELTNYSIHTEDVVPVDMDPVFDPFSSSTTEATREEENLTNLNAFDIISLSSGCDLSGMFEDNSSKEKKISTDATINRKLEDIAKSLRLKLRKKDGGLLKMESLQPVRKGVMSINTEIFRITPNYHLVEIKKTNGHY >cds.KYUSt_chr7.16494 pep primary_assembly:MPB_Lper_Kyuss_1697:7:102206956:102207243:1 gene:KYUSg_chr7.16494 transcript:KYUSt_chr7.16494 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPAVSVSMGKANGGGAAVGGQQQQQQKMERGVFSCSFRMPLHYPRYKKADYEAMPEWRVDCLLREYGLPVAGDVDDKRRFAMGAFLWPGQY >cds.KYUSt_chr6.4980 pep primary_assembly:MPB_Lper_Kyuss_1697:6:29462884:29465075:-1 gene:KYUSg_chr6.4980 transcript:KYUSt_chr6.4980 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGEAAKWRFGAANPALEAAGRHNIRSLVHDLYDCLDKTDPRHVVPLGHGDPSVFACFRTAAAAEEAVVAAALSGKHNNYARAAGVEEARSAVAAYLSSDLPYEVTAADIVITSGCNHAIEVMMTVLAAPGANVLLPRPGFPMYESRAALCGLEFRHFDLLPDKGWEVDLPGVEALADENTVAMVIVNPNNPCGCVYSYDHLAKIAETARKLGILVISDEVYGHCVFGSKPFVPMGVFGATAPVVTMGGISKRWMVPGWRLGWIAATDPKGILKNKKIIEAVIGYRAISVDPATFVQGALPQIIANTDKAFFENAMNVLREAAEICYQKLKEIECVTCPHKPEGSMFVMVKLDLSCLDGIHDDVDFCSKLASEESVLICPGSGLGMKNWLRITFAVDPTLLEDGLERVKSFCKRYGKPRR >cds.KYUSt_contig_452.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000042.1:98389:99035:-1 gene:KYUSg_contig_452.5 transcript:KYUSt_contig_452.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQRARGVSGVVKYVRGAVDLSSLAASTSGRSSACRVAPLRCPTRRSSSASSLNRRRTSLGRIRSMVAAVEVLSSVPVCPGTAHAPVRVDVSSTSKMLRWATLVRLAIIWQSSSSSSSTW >cds.KYUSt_chr2.50451 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315504449:315513649:1 gene:KYUSg_chr2.50451 transcript:KYUSt_chr2.50451 gene_biotype:protein_coding transcript_biotype:protein_coding MHADLSYARCASSVSTSLLSATPLFAVCLLRSKQAGVGVTIQHNAALRMAGLSLSDHEYIGYAELIDLFTLAICTYLFFISASGKTGITLINSSITEPLLSPSAGQQTESERACLYSRASVLDLVTFSWMNPLFAIGYKKPLDKNDVPDIDARDFADLLSDSFRRIVADVRSRHGLSTLSIYRAIFLFIRRKAIINAAFAVLCACASYVGPSLINNLVKFLGGERKYGLNKGYLLAAAFLSAKVVETVSQRQWIFGARRLGMRLRAALISQIYQKGLRLSCTARQKHTSGEIINYMSVDIQRITDVIWYINYIWMLPIQLSLAVYVLYQNLGAGAWAGLAATLAIMACNIPLTRLQKRLQSKIMTAKDKRMKATTEVLRSMKILKLQAWDTEYLQKLEALRRDEHNWLWKSVRLSAFTTFIFWGSPAFISSITFGTCILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVAQYLQEEELKCDTITEVPRSDTDYDVEIDHGAFSWEFENSSPTITDVNLKVKRGMKVAICGMVGSGKSSLLSCILGEMPKLAGTVRVSGRKAYVPQTAWILSGNIRDNILFGNPYDKEKYQKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGGQLFKDCLMGMLKDKTILYVTHQVEFLPAADLILVMQDGKIVQRGKFDDLLQQNIGFEAIVGAHSQAIESVINAESSSRILSTANQKLADSDDEFEKQSDTDDQIQGIIKQESAHDVSQGMNEKGRLTQDEEREKGGIGKKVYWAYLKAVHGGALAPVIVAAQSFFQIFQVASNYWMAWACPPTSAITPRVGLGLLFTVYIALSIGSAFCVLGRSMLVSLVGLLTAEKFFKNMLHCILRAPMSFFDSTPTGRILNRVSNDQSVLDLEMANKLGWCAFSVIQLLGTIGVMSQVAWPVFAIFIPVTAICYVFQRYYIPTARELARLSQIQRAPILHHFAESLTGAASIRAYGQKDRFSKANISLVNNHSRPWFHNISAVEWLCFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNGQLSAITWNICNTENKMISVERIMQYSRIPSEAPLIVDDHRPPNSWPKDGTINIRNLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTLIQALFRIVEPRVGTIEIDNVDLSKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDQHIWETLDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQQTLREEFGDCTVLTVAHRIHTVIDSDLILVFSEGRIIEYDTPSRLLEDEKSEFSRLIKEYSRRSKGF >cds.KYUSt_chr2.11801 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75113931:75114816:1 gene:KYUSg_chr2.11801 transcript:KYUSt_chr2.11801 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYGWAVSPWMARVLICLEEAGVEYELVPMSRVGGDHRRPEHLARNPFGEIPVLEDDDLTLYQSRAIARHILRKYKPELLRSGNLEETAMVDVWVDVEAHQLEPIIRPVVFNVITGPFVGRVCDQDLVDESIEKLKKTLEVYEARLSSSRYLAGDFVSLADLSHFSFMRYFMATKYAEVVEVYPHVKAWWEALLARPSVKKVMAGMPPDFGFGSGNIP >cds.KYUSt_chr7.10390 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63806468:63809677:-1 gene:KYUSg_chr7.10390 transcript:KYUSt_chr7.10390 gene_biotype:protein_coding transcript_biotype:protein_coding MERGTPLPLTQIGPKQAYIRFKLELCAAPPSTVGCPLPPHSTPATPPPPGHRRASAALDPLPPARDTLRLTRREEPYWLGIRESLPFTGNRMKKASSAKVRRWSSVRNAVVVALVMTVTMPPILFIYSGHLDLDEPAVWIQSTVAGLGASTDELGSISVGEQKKYELLGGLLPPGLDDQSCDSRYQSVHYRKNMTRSPTPYLVMRLRQREILQRRCGPGTEPYTRASQRLRSGQKNVDAVDGCSYLVLLSHRGLGNRILATVSAFLYAMLTDRVLLVDRGKALGKLFCEPFPGTTWLLPLDFPLEGYKDLGEEAAESYENVTLGNNTGPASEHRFVYIHLDHAARAANRLAYCDDHLQFLHRVQWVFLRTDSYIAPSLFLNPVHQKELDRMFPRKDSVFYVLSRYLLHPTNGVWRMVTRFYDSYLKDADERLGIQIRVWDGDRPFQHILDQILACTAQEHLLPAPGVVASAGGAFAGARGRSKAVLTTGLNGWYHDSIQEMYRRSPPASGEVVSVHSHEEHQRFFQVEHDMKALAEMYLLSMTDQIVTTGWSTFGYVGAALGGLTPYIMMKPKNRVVPNPPCVRSMSMEPFNHGPPYFECTRKEYHKVFHTGNTVPHLQSCEDRPWGVKLTEPMAYKEA >cds.KYUSt_chr2.17779 pep primary_assembly:MPB_Lper_Kyuss_1697:2:112035960:112039629:-1 gene:KYUSg_chr2.17779 transcript:KYUSt_chr2.17779 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISDLHDALLHNILLRLRSAPAAARTSVLSRRWRHVWVHLPELVLHGRHAPAPKTSFLNSVDAALAAHSAPAVLNLEIDVPFPCCCLCRANRIAPWLRFASQRLQGKIFLGLPRCIHMPSQPGVCPEELELPPCDGATGMELSLPESFILRFGLAGSFAALTDLTIHSASMEARDLEALVSSQCPRLTTLVLFNVALVTVSGVFIRLRSPSLERLWFYVNNEKSHHLEVAAPELRLLSAPVVDARISAPKLCHVIWFSDDAYDPQRYQFIDAGRHLRQLDIRRWSRNTLASLKRRFDSVEILGLSWSSLELRDIGYKYSSFLDGTSDLPKCETLCLSSVGIRHGFLPSMLHLLRRGNGIRKLVASACPSKKRHPCPLSCACRTPESCRADTITLDSLEEVEIESFTGADDHMEFLRQLVFVCRAPQLASVKITACPHSPLNNDVQEKIHGMYRPNVRLKLRHHTDLVEV >cds.KYUSt_chr3.23565 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145698961:145703310:-1 gene:KYUSg_chr3.23565 transcript:KYUSt_chr3.23565 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSAESPRRSDRTLSAVCACPTTRVHLSISAVPPPRPRDHVLLTSPLPVYAALNRAAAAFASPTAAAAAAPRERGSRWSDPSRDGLSRRHATKAGSDATRHAGRRPPSMDSGEAPDRDRDRDRDRSRRDRCSRWSDPGRDKPPHRHASEADSDGARHGRDKQATHSGLTKRANSPHSPEQPATTHSGRAKRVKEDLPHPSSRQRVEEEPRYSSEPPPPSRRTAPEPRPPRGQNRDEKFVWPWMGVLVNVPTEWKGGRQVGESGNRLKEQFSRFCPQKVIPLWSHRGHTGTAIVEFGKDYACFGNALNFENSFEAEGYGKRDWEARSRFRDPGQEMFGWVARADDLTTPGAIGQHLRENGDLKTVAELEDEGSRKTDKLVGHLASQIEVKNKHAQQLESKYNETTSSLEKIMEDKELIVQKYNQEIQKLQQIARGHSQKIIDENQKLRSELESKMQDLDLKSKQLDDLTLRTDYDRRNLQQEKEKNELKAKYLKMASHEQQRADDNVLKLVEKHKREKQAALDEIIKLEQKLDAKQKLELEIKQLQGKVEVMKHMPGEEDSESKRKIDELNEELQDKLDEMESMESLHKTLLLKERISNDELQDARKKLIEGLRDIITNARANIGIKRMGDLDLKSFASACKHKMSKEDAEVTASILCSKWENEIKNPEWYPFKVIIDDSGKEKEILREDDEKLRELKEEYGEEVCDLVTNALAELNEYNPSGRYSVPELWNFKEKRKATLKEVIQFVMRQWRAAKRKR >cds.KYUSt_chr6.4268 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24686712:24687372:-1 gene:KYUSg_chr6.4268 transcript:KYUSt_chr6.4268 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSSPGRVPSHSLEGAVSTSDFYPLPEYVASLISPAGEPVSFDRFVAEPGVEDEKEEASFFAGPQEGSSSVDERGNQGIGSSIEDGGEQERGSDIDDRCAEELQLVSLRHKLSLQLTNCSLVDAALLLHLYLPANECISLQLQLPLYGCKLFFKNLQVSCSQTDQLLNIVPHEINPYPCFA >cds.KYUSt_chr7.38588 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240269401:240273462:-1 gene:KYUSg_chr7.38588 transcript:KYUSt_chr7.38588 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDGLSLEHVLPGTVMTTRSRKDTRDPPRMPGQQASKVSVVLMAAAYLRFRTYFTMDPYSKRDDCIQVAGNIARKKVTDAHYEGCVLSIRNWYAEKHKLRMRKDQAREIVNFQPWQYLQCPPPYVGHARPQVWHAMVRHYTSAAYKRKHEEQKLKRVEMGGGSHTQGSVPLAVCKQKKEKETGVKSSLFKIWGDHRKKTDKKDGTVKWVSKIAEVKDKKYRSKFAQTHGDEANPETEPFDPEVAMRAGEGKKHGRLFVCDGAVDPKTIPSLRQIKGGNTSSSPAVEPRPTPSSIAIDAIRAELEAEKAQRQQADVYAPSFSCRQCWASKSRGL >cds.KYUSt_chr7.32495 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202391743:202392861:-1 gene:KYUSg_chr7.32495 transcript:KYUSt_chr7.32495 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPSKSDLGSATNSSPEDVDLAKQGVRGFMSALPAREGWSATLVHHKNYWLKPRMLEGILLAQQTFKPRADDIILATQPKCGTTWLKALAFAITNRSLYDFSDHPLLTRHPQHVVPFIEIPAGADHIADIEALPSPRLLATHMPLSLLPPGTTSCGCRLVYLCRDPKDTLVSRLHFENKLVEGFSLSMDDAFGMFCEGFSPYGPFWDHCLEYWRESVARPNTVLFLKYEEIKSDPVQVVRKLAEFLGVPFTHEEDSAGIAEEVVKLCSFEKLTSLQVNQVGVVRPGNNVRLNNSVFYRKGKVGDWVNHMNQEMAEKLDSLVQHKLVTESPKDQHTHIHIGEEHTEAFSGTAPSCLFSLIQLNELSWLQCL >cds.KYUSt_contig_973.481 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:3534878:3539747:-1 gene:KYUSg_contig_973.481 transcript:KYUSt_contig_973.481 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAWLIQAETGCEAELACVTEEGNGHGSSPLLSAHGTSLCPTAGAALGSTTAPSPSCRLAVDLAHALPCSPQPRAARQRVPPALAMGSYVVGEEHVHHPWPMPEFVRPCTGASELLRSRACISRDSMHKRRATGGKMKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRLLDVVYNSSNNELVRTQTLVKNAIVQVDAAPFKQWYLTHYGVDIGRKKKSPAAAKKDATEEGDASPAEEIRKSNNVQRKLERRQQGRTLDSHIEEQFSSGRLLACISSRPGQCGRADGYILEGKELEFYMRKLQKKKGKGAAA >cds.KYUSt_chr5.8081 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51047555:51048049:-1 gene:KYUSg_chr5.8081 transcript:KYUSt_chr5.8081 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCVITEECGLAVSADRMWKVACAGDNKEALLKACAGFIEAVDVEGDGGPGSVTTLTLSPAAAAKAGACVMRTRLVARDGAARVLRTEVLEGGKVSTQLKSQVVEARLEADGEGACVAKLRVEYERLRGGTLSPVDQAAILTTGYLGLLKKVEAYLVANPEE >cds.KYUSt_chr2.9333 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58782706:58783380:-1 gene:KYUSg_chr2.9333 transcript:KYUSt_chr2.9333 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEGILTDITVNAVGGSIRAHRAVLAARSPVFLSMFSHDLREKQLSTVDISDMSIDACRAFIGYLYGDVSEEEFLAHRCELLAAGDKYGVGDLMKACKKSVRDDVCTENLLERLQLAHTYGLSTLKKTCVKLLVDFGKMYEIPEDFAEFVKSGDQELVGEIMEKIAVFRGRMFPPTTSSVMASTSSPAPPPTAGSSASNPEPDRPALLRRSTRLKIQDAQINK >cds.KYUSt_chr2.35493 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219148685:219149284:-1 gene:KYUSg_chr2.35493 transcript:KYUSt_chr2.35493 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKLLVVFALLQVLSLHLHIHGVSSAASITHKHQKPGGSCHISGFLQGKTHKCNKDHGSECCAAGRRYPQFKCSPPVSAKTPATLTLNSFAAGGDGGGKSFCDNRFHPDSQKVVALSTGWLRLDGTRRCNKMIRINGNGRSVLAKVVDECDSVNGCDAEHNFEPPCPNNVVDGSPAVWKALGLKESIGEFKVTWSDV >cds.KYUSt_chr4.14426 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88960768:88971972:-1 gene:KYUSg_chr4.14426 transcript:KYUSt_chr4.14426 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGLFCCTTSPQTLEDGAQARNNKKPATPPSQSRSQEPSPARANPKPRRRPKEKPNPYAPRGGAAAASHSPKPVRVLDGVVPHHPRLRVTDKYHMGRELGRGEFGVTRLATDRGATRERLACKSIPKARLRTAIDVADVRREVAIMASLPDHPALVRMRAAYEDDDAVHIVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRACHAHGVMHRDLKPENFLYAGKSEDAQLKAIDFGLSVFFRPGERFSEIVGSPYYMAPEVLRRNYGPEADIWSAGVILYILLCGVPPFWAETEQGVARSILKGVLDFEREPWPRISDSAKSLVRQMLEMDPRKRLTARQVLEHPWLQGAKTAPNVPLGDVVRARLKQFAVMNRFKKKAMRVIAEHLSAEEVEVIKEMFALMDTERKGRVTLPELKAGLAKVGSKLAEPEMELLMEAADVDGDGYLDYAEFVAITIHLQRLSNDQHLRTAFLFFDRDSSGYIERGELADALADDSGRADDAVIDHVMKEVDTDKDGRVSFEEFVAMMKSGTDWRKASRQYSRQRFKTLSNSLMKDGSLSMALADDDK >cds.KYUSt_chr1.1297 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7013753:7015685:-1 gene:KYUSg_chr1.1297 transcript:KYUSt_chr1.1297 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEALTLAEVSKHNTKDDCWLVIAGKVYDVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMLDEYYIGDIDAATIPATTKYTAPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRMYTKSESS >cds.KYUSt_chr5.11641 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75442907:75445919:-1 gene:KYUSg_chr5.11641 transcript:KYUSt_chr5.11641 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWLSSELARRAARRIAGELRRRGPGPWFPSSSTVPVLGPTAGYHRGFCSVRRFAGDTAAATATAEDSDNEFSAGELQQVSKELALKMYSNMVTLQIMDTIFYEAQRQGRISFYLTSNGEEAINIASAAALSADDIVLPQYREPGVLLWRGFTLQEFANQLFGNKMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPQAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVTEAPVIFFCRNNGWAISTPTTEQFRSDGIVTRGQAYGIRSIRVDGNDTLAVYSAVHAAREMAITEGRPILIEAMTYRVGHHSTSDDSTKYRLADEIEHWRTARDPVSRYRKWVQGNSWWCDAEETELRNSVRQEILQAIQVAERIPKHGLAELFTDVYDQVPSNLREQQRSLLDTIKKHPSDYPTDVPV >cds.KYUSt_chr2.2615 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15691536:15692207:1 gene:KYUSg_chr2.2615 transcript:KYUSt_chr2.2615 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGSDHDLELKSISCEPTTEQELEQDEEEEDDDEEEEEEDEQDEDEEEELAPESSMEEEKNDFAHHRKDWEFTFKHGNRTFDLITSLSPMVYTHCTPSCLPEDADLVPSLQMFSIKIAKIKHLQWPLHVYGTVAARDYVDHKRNIIFFRPRTAGSLIE >cds.KYUSt_chr4.24600 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154829090:154839908:-1 gene:KYUSg_chr4.24600 transcript:KYUSt_chr4.24600 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEENTGPPYFSPDVVLEILVRLPPSARRRCRFVCQSWRDAVDTHTTEMQSRAKPLVATTGLAYVVDDLSPPARSRLLWKDGVAHREEGTSVVGTCNGLICLCDNRESGGAIALINPATDETLAIPPLPCAPGTDACCWHETYSFAYHPTTGRYNVVHVPCYYDSARVFRLVQVFTLGEASWRDVVTPPAAICNRSAGVVSVDGATYWVVNGTKKILRLDLGDERVTSVQPIPSVPSGCSSSWHLMEVHGKLGIAFPQSSHVPDSNTEIVCCLPCLRGNNMGCRRLSSNGTQVFTYLAYAIDLDKGAFEERRRQRTAMYRRVGEDMPRSARGHLAGAQQQTPVTWETNEKSTLLEVMLQYHRVRENTAACVHVPELVRATIKGSAHPAKNQRAMMLPQIKHQVANKLQINLKANKPQANKLQANRLRVNLLQANKLQAHKPHGNKLQEHKPYGNKLQRNKLQVNKLQGNKPHGNKLLRNKLQGNKPQGINHNGDKLQGNQGFM >cds.KYUSt_chr2.726 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4372313:4375803:1 gene:KYUSg_chr2.726 transcript:KYUSt_chr2.726 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWRIPGDVIVNIIHLASFAELLCGFDLLLRPVAVVQEEHQWVRTDKEWALKVSLGFNALDAARPKEKKEMASLGRAAASAARAALRPAPLAAGRSLGSSLPAASPARAARLLRRYVTTRRSARLMGVGLRLHGGSCRSAVAGLETLLPLHTAVASARLKSCIAVDSTCWSSLSQACVLLRDLGRAMPVAWAEVRQTMAVWICFAFVTKSCKYLKMPMNIRDYRHLGL >cds.KYUSt_chr1.7239 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44399660:44402793:-1 gene:KYUSg_chr1.7239 transcript:KYUSt_chr1.7239 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPQISRRTLLPVLLLLAAAALSPAAADGDEVLALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEQLAASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEYVNSEAATNVKIAATPSSVVILSEDTFDSVVLDETKDVLVEFYAPWCGHCKSLAPIYEKVASVFKHDEGVVIANLDADKYTKLAEKYGVSGFPTLKFFPKSSKAGEEYEGGRDLDAFVKFINEKSGTSRDSKGQLTSEAGLVASLESLVKDFHNAADDKRKEALSKLEEEAAKLSGSAAKHGKIYVNIAKKILQKGSDYTKKETERLHRILEKSSISPSKADEFAIKKNILSIFSS >cds.KYUSt_chr2.43460 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270505140:270505454:-1 gene:KYUSg_chr2.43460 transcript:KYUSt_chr2.43460 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTALMMPSSPGGVAPDEAAVASRVHLAAAGCELDIPHPGRHGLEHEFALLDGGGADDDSCDLFEKSEAKSVPEQTRCTADHKRKRNNIHVRLGRPWWNKL >cds.KYUSt_chr2.52587 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328109263:328116912:1 gene:KYUSg_chr2.52587 transcript:KYUSt_chr2.52587 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEGFKVESPHVRYGAGEIESEYRYDTTEVAPTPEGSWVVRPKSVTYNFKTSTAVPKLGVMLVGWGGNNGTTLTAGVIANKLGISWSTKEKVHKANYFGSLTQASTVRVGSYNGEEVYTPFRSLVPMVNPNDIVFGGWDISSMNLADAMGRAKVLDFDLQKQLRSHMESMVPLPGIFNPDFIAANQGSRANNILKGTKKEQVDHIIKDIREFKEKNKVDKVVVLWTANTERYSDVVVGLNDTMDNLLASLDKNEAEISPSTMYAIACVTEGVPFVNGSPQNTFVPGLIELAIKKNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQVFRSKEISKSGVVDDMVASNNILYNPGEHPDHVIVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAQSQEKFHSFHPVATILSYLSKAPLVPPGTPVVNALAKQRAMLENILRACVGLAPENNMILEHK >cds.KYUSt_chr6.8037 pep primary_assembly:MPB_Lper_Kyuss_1697:6:49439294:49440851:1 gene:KYUSg_chr6.8037 transcript:KYUSt_chr6.8037 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRSPAPRRAAFAALTTLLFLACVFFFLSATTITTSAPNSPAARLAAVRRHAEDHAAVLAAYTAHARRLSVDSASQTESFLSTSSRLSALASRLTLSTVTLLEKEARGHVKRARALAAGAKEAFDTQSKILKLSDTVFAVGQQLLRARRDGQLNSRIAAVSTPKSLHCLAMRLMETLLLVNASSAAVPDAVVPPPPEELTDPSLYHYAIFSDNILAVSVVVASAARAASEPSRHVFHVVTAPMYLPAFRVWFARSPPPLGAHVQLLTANDFPFINASSSPVLRQVEDGNRDVALRELDYLRFYLPEMFPALTRVVLLEDDVVVQRDLAELWHLDLGGKANAALHTCFGGFRRYGRYLNFSHPAVQEQFQPRACAWSYGVNVFDLLAWRRDQCTQQFHRLMEMNENGTLWDAASVLPAGLMTFYGNTAPLDKSWHVMGLGYNPHIRPEDIGGAAVVHFNGNLKPWLDVAFNQYKQLWTKYVDTDMEFLTLCNFGL >cds.KYUSt_chr5.38061 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240461004:240462197:-1 gene:KYUSg_chr5.38061 transcript:KYUSt_chr5.38061 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPSFRTPAALQATPPSAAEAPNSFVLRHLCAVPLESAICLPLEDEGKVELVPGGAFGDDKGVRISYVAALSTLQDEIEKIKEATTLLKPRVAV >cds.KYUSt_chr6.6685 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40306627:40309687:-1 gene:KYUSg_chr6.6685 transcript:KYUSt_chr6.6685 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDLSRESLLPSFLYSASPFTAASRLSASSYQAAPAAAGAPAPPASAFGGGAGKPFSINSPNEKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQINPEKYKSISSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKTYSDMAGPENAIKYKTLIYLAGSASAELIADVALCPMEAVKVRVQTQPGFARGLSDGLPKFIKAEGAAGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKHAVPVPKSECSKSFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATMGDAVKQIGVLGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVAPVPAAEEGKAIA >cds.KYUSt_chr2.30953 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190780752:190783372:1 gene:KYUSg_chr2.30953 transcript:KYUSt_chr2.30953 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGMSARRVVVDARHHMLGRLASIVAKELLNGQRVVVVRCEEISISGGLVRQKMKYLRFLRKRMNTKPSHGPIHYRAPSRIFWRTVRGMIPHKTARGEAALARLKAYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGELSKEVGWNYHETIKELEEKRKEKAKVSYDRRKQLTKLRIKAEKAAEEKLGSQLEILAPIQY >cds.KYUSt_chr7.14438 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89257014:89259182:1 gene:KYUSg_chr7.14438 transcript:KYUSt_chr7.14438 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAFVRLLQLLLLLLPPSLRDYLWAAPSDHGVGQKLQVYHPIIMSAGISCPVLEARLTDAYTPSLPRCGAFKGKGWFPLWNSKQDLVDHDYVSCFEEQMSLVFDPVTNDYRNQPGVETRVPDFGSAYGFSYKDDSCPFCCNVKLRNELEALGYRDGDTLFGVPYDIRHAPPRPGQPSEVYSEYFARVKDLVHNASAKNGNKPVIFVGHSFGGKLILDFVNSTALSWRKQFIKHLILLSPTPPTGFLEALKNLASGPSCIHFDAAPHLALRTMWWSFASSLLSLPSPTVFAHEPLIITKHRNYSAYDYPDFLAALGFSMKGMLPFTKWVFPTNMSVEAPMVPTTYLSGFGIQTINQVVFWDGNFDVYPENVYGNGDGVVNWNSVLVFANELKRQHCSKNILFKFIKIPNVTHGEISSQDNSLKIIMAEILEANS >cds.KYUSt_chr7.10049 pep primary_assembly:MPB_Lper_Kyuss_1697:7:61532038:61535084:-1 gene:KYUSg_chr7.10049 transcript:KYUSt_chr7.10049 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVGRSSRAAGKRGGGAASSSAAASACVYYATTGVLVALCVAGACFLTSTSSASIAGADGGADTAAGGGVTAYRHTTRSSFAYEVTREKAAPSPPREVEEGGGAAEQERRNAVAVEDPHAAPDLDERLSGVEDLTKSDAAAVLDEERGRVASSEAAAEEENAAAASAGLGTEQETAENDQDEQQPSHLQMPRATVEEKSLDGGIEEESNARQRQSDEEDRMSAAAGDEQPGTGILRREAQEDEATEQHLSEEERSSDQSQVEEDGRTLAESDAGQEDSGGGGDDVSENKDDDADGTGAGSENQNGGIVDSLPGEEERGEDTSVRAGAWATQADQSHREKDRRKDDASGSIMDVEEERPHEWRTCNVKAGADYIPCLDNEKAVKKLRPENFRRYEHRERHCPDEGPTCLVALPRGYRRPVEWPTSRDRIWLSNVPHTKLVQVKGHQNWVKVSGQYLLFPGGGTQFIHGALHYIDFLQQSMRSGGIAWGKRTRVVLDVGCGVASFGGYLFERGVVTMSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSLAFDLVHCARCRVPWHADGGALLLELNRVLRPGGLFVWSATPVYQKLPEDTEIWKAMSALTKSMCWELVTIKKDRLNGVGAAFYRKPTSNECYESRRRQQPPMCSEDDDANAAWYVRLNACLHRVPIGAAERGASWPADWPRRVRVPPNWLNSSLTGVYGKPAPEDFAADYQHWRRVMDRSYLNGLGVDWSRVRNVMDMRASYGGLAAALRDQKVWVMNVVNVDAPDTLPIIFDRGLFGMYHDWCESFSTYPRTYDLLHADYLFSKIKDRCTVLPVVVEVDRIVRPGGSIIVRDESSAVSEVEKLLKSLHWDVRLTFSKNNEGVMYAEKSDWRPELIAEPT >cds.KYUSt_chr6.19443 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122358970:122364433:1 gene:KYUSg_chr6.19443 transcript:KYUSt_chr6.19443 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVIVDVLRRAGAQVSVASIEPAVILAPGLYHQEEMMTPTVRPPGITSSCSFREPDATGDSRHVRRLELEPVDPIWATVAALRGRATTDPKVPTGLGDLWSSRPGAVQLERFGGMATTDNEKRFALTPFHVGAGITGVAPHYISPPSTFNVLLDSYWSD >cds.KYUSt_chr3.5293 pep primary_assembly:MPB_Lper_Kyuss_1697:3:29999898:30000347:1 gene:KYUSg_chr3.5293 transcript:KYUSt_chr3.5293 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRQSNVFNPFADLWVDPFKAFRSVIPAASDSDTAAFVNAQMDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKNDKWHRVERSKGKFVRRFRLPENAKVEEVKAGMENGVLTITVPKAEVKKPQVKAIEISG >cds.KYUSt_chr2.19719 pep primary_assembly:MPB_Lper_Kyuss_1697:2:124163600:124164058:-1 gene:KYUSg_chr2.19719 transcript:KYUSt_chr2.19719 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALELAVVQVVVRAVELVVEADLVVTAVPVEVSEVGMVLVVASVVGQEQVVVPAVELVAEEDLVVAAVWEEEVVAGLEVVMVEVLEEVLVQVVEPAAAAVWEEEVVAGLEVVMVEVLEEVPVQVVDPVVASAEVADLVEAEALAAVAVQE >cds.KYUSt_chr1.3572 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21756626:21758755:-1 gene:KYUSg_chr1.3572 transcript:KYUSt_chr1.3572 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPCFSVLRLFGATPWRLTGMVRPQGLQRAEAHRANSAELERSSCSSSLTMARVTCSALQQLHPARHSLHSIYTSPSCGDQVPTMASTFPSLDGLLARRPLLLYAATWTAVAAMSVAVTALAPELAYVWAVAPGTPLSRACTGSGFNGGSIGLPLDGPPWDGVCVPASMFGRTVPDVVVPLVFAVVVVASAVGFTTAVGVWEDDEEEVSDGQVGQV >cds.KYUSt_chr7.21701 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134623301:134625286:1 gene:KYUSg_chr7.21701 transcript:KYUSt_chr7.21701 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDAAVRHVLLRQGVLGIKVKIMLDWDPKGKLGPTTPLPDLVTIHPPKEEDELRPPTLVEV >cds.KYUSt_chr7.20718 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128516635:128517389:1 gene:KYUSg_chr7.20718 transcript:KYUSt_chr7.20718 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPSLFSTFNDDADASKQPNGIAGYPPPGTAAYPPPGQQQAYVAPPPVAYQQDQQYPPTGADTTSRGGHGNGGDGFLKGCCAALCCCCLLDACF >cds.KYUSt_chr3.32805 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206188381:206189955:-1 gene:KYUSg_chr3.32805 transcript:KYUSt_chr3.32805 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSFTSSSPLLLLLLLLLLLLLLPLLPLVCFVYRRQDPKNQPRAHGLKVYPILGILPHFVKNGDCFLEWYTGVMQRSSTHTLHFKVFGLTGVAVTADSANLEHMLKTNFGNYPKGELAVSMAEDFLGHGIFNSDGEQWLWQRKSASYEFSKRSLRNFVVDAVRFEVIERLLPLLERAGRERDGETTLDMQDVLERFAFDNICRVAFGKDPACLAEEGMAAPECTEFMAAFNDAQNAVMARFMSPAKWLWRVKRVLGMEPERRMRSALATIHGYADKIVRERRERRGEAGSDDDFLSRFAAAGEHSGESLRDVVTNFILAGRDTTSSALTWFFWLVSTRPDVEEKIVREVRAVRASGGKGTSATFSFDELREMHYLHAAITESMRLYPPVAADTHSCKEDDVLPDGTFVGKGWMITYCAYAMARLEGIWGEDCEEFRPERWLDEEGAFRPENPFKYPVFHAGPRMCLGKEMAYIQMKSIAACVFERFSLRYVGGEGHPKLVMSLTLRMRGGLPMRVKNREGASC >cds.KYUSt_chr7.8486 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51398906:51408978:1 gene:KYUSg_chr7.8486 transcript:KYUSt_chr7.8486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chrysoeriol 5'-Hydroxylase, Flavonoid B-ring hydroxylase, Tricin biosynthesi [Source: Projected from Oryza sativa (Os10g0317900)] MDIPLPLLLSTLAISATIWYVFFRAGKGHRAPLPPGPRGWPVLGNLPQLGGKTHQTLHEMTKVYGPVLSLRFGSSVVVVAGSAAVAEQFLRTHDAKFSSRPPNSGGEHMAYNYQDVVFAPYGPRWRAMRKVCAVNIFSARALDDLRGFREQEATLMVRSLADAAKARAAVAVGKAANVCTTNGLSRAAVGLRVFGSDGARDFKEIVLEVMEVGGVLNVGDFVPALRWLDPQGVVARLKKLHHRFDDVMNRIIAERRTGAKTTVVEEGKGDLLGLLLAMVQEDKSLTGGEEDKITDTDVKALILNLFVAGTETTSSIVEWAVTELIRHPEILKQAQEELDAVVGRDRLVSESDLPRLTFFNAIIKETFRLHPSTPLSLPRVASEECEVAGYHIPRGTELLVNVWGIARDPALWPDPLEYRPARFLPGGSHENVDLKGGDFGLIPFGAGRRICAGLSWGLRMVTVTTATLVHSFDWELPAGQMPDKLNMEEAFSLLLQRAVPLMAHPVPRTIVIKMLYGALSTTARLAHLCHEQVHVLEVPVTSFGSRLSGANTAAAACSLAIACCIGVSRIIISLVVELVFLEAACMFLQLGWPVLQDGHKAGPTYVWVHSSVAVGGFPVDHAWAAGQPIPTSFLSPNPSVAHLFSNPSEPYANPIPLAASSHPALFLGFTIVVPAPREKQTMRQSSAGRRRSAAASPPLPVVREELLVAGRSSPALSYLSRRARSRHLLFCIVLLRRLYRPPLRPQSSAISLALTSCRPFR >cds.KYUSt_chr4.6231 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36599753:36600823:-1 gene:KYUSg_chr4.6231 transcript:KYUSt_chr4.6231 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNTVSTCTPEVAQGTHVFDILGYSKHRGMGNDAMSYIRSGIFTVGGHDWAIRFYPDGHSEACQDYISVYLQLLSKNTEVRASCDLRLMDQYTGLSSSVHKTGPRIFSFGAISGFAPQTGKFKRRSEIEESAYLRDDRLTIKCIITVVKKPRVTEARLFPKIDMPPSDMAEHVGRLLEEKGGFDVSFNVRGETFRAHRFVLAMRSPVFKAELYGPMREASKGHRITIEDMQPAVFRALLHFIYTDSLPRKHLEGDDNTEMIRLLLVAADRYAMERLKLVCQSILCEDLNVDNVATTLALADQHSCDKLKDACLEFVEMSDAMDAVVATQGFKDLMVTCPSLVVDALKRRKFRKA >cds.KYUSt_chr4.49956 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309489094:309492758:1 gene:KYUSg_chr4.49956 transcript:KYUSt_chr4.49956 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSQPPTSTPAPPAAPTDITSLGDDLLREIFLRLPSLPSLVRAAFACRAFRRAARSSPAFRRSFRALHAPPLLAFFLESTFEVVPIFPCPWRRCDPDLGAADFFGIRGSRHDDARATGWEILPTYDGYLPLKKVSRSTNWVVSYRPLTQALDLFLYKPGNSIDLEFYTLSSEDGQGPSRVVCVRHHFNRRAQVAVFSSNTMEWQIFPKNTLLLREGASSGTVMRGLIWWPNWMHQKIVVLDTSTFQFSLIDVPTPLMTQSDESSYKLGETKDEKLCFVDIKDDTLYAYFRTAGDGGVVERWMLYKEFPLHTIVKNVTGGSMEQEGCPVDVEVVAVIDGFVYLTIFYCKDTQLRDLCLSLCLETSEISELFSDAREYHEEVHPYVMAWPPSLLQSKFELSPTERNDPEASEVFQSLAQFKVGDGGSILFWRDRWINGRNAEKVAPEVAALVPTRRKNVRKVRDALHDDAWLSDVTGDLSIEGWIQCTQLWEELERVPREVNMLDQITWKGSASNLYTASATYNMLCQGRIIWSMAKPIWRSFAPLKCKISGWLAIRRRLWTLDRRARHGLQDHPDTCVTCLQEEDNVDHIQCPYAKMV >cds.KYUSt_chr3.44971 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283280678:283281459:1 gene:KYUSg_chr3.44971 transcript:KYUSt_chr3.44971 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKVKLIGCFGSTAVHRAEVALRLKSVPLELVVEDLTNKSDLLLTHNPIYKTVPVLLHGHRPAVCESLIIVEYVDEAFDGPPILPSDPLDRADARFWARFLDDKCWKAMWVALWTEPGETQAAWAREAKENMTLLERQLPEGKNFFGGDAIGFLDIAVGGIAHLLGVFEEIAGVRLLAEEDHPALCRWAREYLSDETVRQCVPDRDSVLVALAARKELYVSTAKAMTAEK >cds.KYUSt_chr5.43413 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273545954:273549852:1 gene:KYUSg_chr5.43413 transcript:KYUSt_chr5.43413 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASGRSLPMPWTSPHRRPAPVSARRWRCSAATGEAEAREKKTATVQSKAGDALEVCRVVNGMWQVSGASWGRAAPAAAVDAMLAYADGGLATFDMADICKTPRKTLTKPETRPKGQERLIPPTLASRAHARSSGAMSSSSAAHTFSPAPPALPVPQRVRPARCSGFVGPAVESASPGARAVTLSSSRGGADSSLPICRVLNGMWQTSGGWGRIDRADAVDAMLAYADSGLSTFDMADHYGPAEDLYGMFINRVRRERPAEMLEEVKGLTKWVPPPVKMTRSYVEENINRSRKRMDVAALDMLQFHWWDYSNPGYLDALKHMTDLKEEGKIKTVALTNFDTERLQIILENGIPIVSNQVQHSIVDMRPQKKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQALLQTLKKVSLKHGVPISTVAVRYILNQTSVAGSMVGVRLGLSEHIRDTNAILSLELDEEDMGSIAEASSRGRNLMDIIGDCGDEYRS >cds.KYUSt_chr5.17355 pep primary_assembly:MPB_Lper_Kyuss_1697:5:112044786:112045253:1 gene:KYUSg_chr5.17355 transcript:KYUSt_chr5.17355 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTRGSSGTATTGAAAPATTTGGGHHGSQPTGKIRSRAGATRGDRPAQAHGDGRCCPPPHVADRRLAASLAGAASRAASPRALPEQPRTAARAAATSGSALSGAPHERRTVATASTASSPSAPLEVRAPAATAETARGSGGGGDDGGEAFPAAG >cds.KYUSt_chr5.5261 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33055495:33058398:-1 gene:KYUSg_chr5.5261 transcript:KYUSt_chr5.5261 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDGAEPSHCYGAESSRAAALHAAQGGNGIQSSLESLELNHTNAAANEDGQMEDETKRHLNLVLIGHVDAGKSTAGGQILLLSGQVDKQTIKNYEEEAKKKRRESWYMAYIMDTNEEERLKGKTVEVGRAHFETENTRFTILDAPGHKCYIPNMIAGASQADIGVLVISARKGEFEAGYESGGQTREHVLLAKTLGVSKLIVVINKMDEPTVQWSKERMLIIGKYKDHGTVGTVLIGKLESGTITEGDNLLVMPNKSNVKVIGITLDERKVRRAGPGENVCVKLNGIEEEDIMGGFVLSSIRMARCADGRGVRRRPNVGAVGVRPVQGSGPKSPSA >cds.KYUSt_chr4.17028 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106242833:106245989:1 gene:KYUSg_chr4.17028 transcript:KYUSt_chr4.17028 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESEPDRRYRPRQQYYHLLDPRVDNTGAEPPLSRPNPAASMAPKAEKKPAEKKPVEEEPATEKAKKTPAAKKPKAGKSLPAGKTAAKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_contig_195.173 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1084483:1084806:1 gene:KYUSg_contig_195.173 transcript:KYUSt_contig_195.173 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAWSRSREDSPCAVLGIAGSNAGGGEARQIWVVREQWWVGAGIGDFYFFSSVDANGGDGDDAESVKCWSRDLYTCSGVDKGRDTWSGMVCRLLPLVSWAGPDGAK >cds.KYUSt_chr3.4292 pep primary_assembly:MPB_Lper_Kyuss_1697:3:24413503:24414368:1 gene:KYUSg_chr3.4292 transcript:KYUSt_chr3.4292 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLRLMKNPSLLLTGPTRAILTCQDPGNIEIVLKVKGETESQDRDLSSLVLTLSEYCSFRGDYTTKRSTLELGFHHIESAVEATIRVRIAGGSVLPPGGLQGVFTASTASIHDAEILLLAFGDGKLPLADDGTINLSRRVVSVGYSRQDQKDHLKVSVVAKCAEDGHDAMRDDIVFTPKIYGRSWGVFHVGACKMHVTVAWSEFRY >cds.KYUSt_chr2.55181 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344038300:344039244:1 gene:KYUSg_chr2.55181 transcript:KYUSt_chr2.55181 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDKYHALLPIHSAKGHRKPAAAAAPASRFDTALAARLASLLPLPASPLAALARLADLLAATLADAVPALSSPSSSSSAAAVAKHLDAGVALLDACNAIAARVDRLRRRRLLSRLALHLLSSSPSSAQRARAALADHGGASSPPVPLPPVPFVNPPRGAGRHLTPTARVLLAVNALSSLAAAAAATILGGGSDSSNPNPASLIPLVLYTDLPWAEPFNALSAQLALLASANTSEVDAVDEAVRKLTSALDHAADEAAVLAAAQEVEKRTEALAALLDRLSDAVNGVFRAALRLRAAELGSFAVGPADKTRKK >cds.KYUSt_chr7.197 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1092560:1095291:-1 gene:KYUSg_chr7.197 transcript:KYUSt_chr7.197 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGATYSWIVQNFGQCPGGYDEDSEVVQQYTRAYCCWGTAALAYLYRQLDEACRRGTDPKDRSKSKEANIGGPMILLSDWCWERLPVGRPTVLDYDRWDDHGDPERMATWACHWDKVEGFFGVSKTHYLHYVNELDVMIPEHVTWEPYETAGNIGHDISFALNPNIDKIKKKGVKNWSKEHKVHIKRFLHKIDKIEQRMLRGTPPPAPRPFDTAAFNRYLMWFRGVARTQLNPPAFQSEDKLLEPNPGFDEMANLKYNKLIRTGRPAQLAPIVRFAQTELSKHVIEAGEALKYPPGEESYHALKAFADVYPCIVLDLLSLLGKGLLPAHLFGLLPAHQSPPVRGPVVTGYCRRTALYAGGSSVTAGAPALLRRQKPCTAGACGGAPAVGLPAVAHVLCAGSRAWSSTCNMKST >cds.KYUSt_chr5.38553 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243932853:243936640:1 gene:KYUSg_chr5.38553 transcript:KYUSt_chr5.38553 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLVRRVLQEREASPQDPAAAADGGLVVVDEEEEEEEAASPRRVAARNPFDLLDDDDDEAEDDKEDEVDADQTVSYPEQKQSTKKKPTSAVPETNKKSKKKKKKGKAEPPASAKSRDEKSLDSILEDLSIEKKPIQQKVHQSDRAAGKEIETSETTVGTSSVLAIDPKHLKAENEMRRIFGSKVVDSLENQRNVPGPSRQVRGVRRAAHNPRRTLLVSPPSFWPPWDKLMSMDLIETKGSLNYFRYVYDPSVSHVQDLFEAAKSANDLNAIAAVLARYPYHPDSLLTFADLFKYSGEHQSSADAVEKCLFALECAWHPLFGPLQGNYQLKYSHDTNKPFFTALFSHMKNLDRRGCHRSALEACKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSYSLAIARFYLERDAASEDVSAHADKSTAIDLMKQALMLHPLVLSKIVDKAPLKDSSWTQILKNAFFGSAKPGSPSLEHVINIYVERHYIMWRFPELQNLLKEAALLVIESLKQDSREAKDWACVRKEAFSSEKNEYSHLLVSDFSDTTPSLPPEELRPFMVGPGMGGPGMGPGMAHDMPPIEQEAGPERLRVRREVAGRNAALVFLESLLPWVDYGVNNHDENEQNDDD >cds.KYUSt_chr5.14763 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95568856:95570532:-1 gene:KYUSg_chr5.14763 transcript:KYUSt_chr5.14763 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLFEQLDLEVDEENLCSTDKMTKNDANSIDKDDNSRKLGIGSSDKERPECKHPAVCALILPRKDEEKREEYMTDPDDYLTGCHTHNTTGTCFGSSTCPWSNRTLPAPHSRPLIGARYLLSDEEAKEYYRTKKRLTYRRRPQSGMEPKIEECLLAFRNYVKNNSLEDTEHRFGELVYHCATVHVEDKIYQHFNFIIETKLMNSDIWTPKLYFAEVKELSSGKYYFCCPLEASDSGDCYQCERQQLVDGLQHPDSGGYEDGYSTDYDICIGYSSSDSYSDKDEDKLSLSVWEDHWLMYVN >cds.KYUSt_chr7.38742 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241109597:241113639:1 gene:KYUSg_chr7.38742 transcript:KYUSt_chr7.38742 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSLAGSSSSDAPTSGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKISQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTYLYGLMDHEWRDGMTQEEAEKFVVKVVSLAIARDGASGGVVRTVTINADGVKRSFYPGNKLPLWHEELEPQNSLLDILAAGSPDAMVQ >cds.KYUSt_chr2.27527 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169053389:169057263:1 gene:KYUSg_chr2.27527 transcript:KYUSt_chr2.27527 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGDASPPAAVVDVPVGAAPNVGMVSAMISATIPSKRKRFPKQFFEAPAAAAASPAAASPGEAPPAAKKAGRMKTKAAEPRGAALAKVRTKAISRIGLAPPPPSKAMPSPPSVPSDAPPAPPPSTMDVDKVFDLESTTSYMDMLNGSAVNLDTGIDAFDGECNIEEIDDEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSVIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQQRYKDMAGSKNKEFQFHHCFSILQHLPKWKLRDNEPKCKKEALLTMDDEAEDMSGRNAGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKMIAAKEAKAMKELLAEEREIMMMRTDGMDADQLAWWNETKADIIARKKAARQARAQEYLEAGASVLMTGSYQATIQGYLSRGFSQDESESFLKLSVELACEARDTYLGKCSNSSDEPKDGARLRQRPILIAASIGSYGAYLADGSEYSGDYGKEGNLEYLKNFHRRRLQVLAEAGPDILVFETIPNKIETQAYVELLEECNLHIPAWFAFPSKDGINVVSGDSLTECVSIANSCKEVGAVGINCTPPRFIHALVLSIRKVTNKPILIYPNSGESYDPVRKEWVVSAS >cds.KYUSt_chr1.8490 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52176661:52179465:-1 gene:KYUSg_chr1.8490 transcript:KYUSt_chr1.8490 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRCHILRHVSLLRRHIHFSTLPHSDAAAAATDPTLLGRLSRLLLLHRFPAAARLLSTSPLTHALLHAALRRVRLDPDAALHLFRLAPYRPSLLAHAQLIHILAHARRLPAARALLASLLSARSVEPLFPHLAEVYRDFSFSASSFDLLLRAHADAGQIKDALHVFDEMPKFGCRRTLRSCNRLLNQLVRAGDVGAAVSVFEQIRCTGTLPDEFTVAIMAKAYCRDGRVAQAADFVKEMEGIGVEVNLVAYHALMDGYCGAGQTEVAGRLMLSLQGKGLPPNVVTYTLLVKGYCKEGKMEEAERVVRDIKENEKIVIDEVAYGALINGYCQRGEMDNANRIRAEMVGAGLQVNLFVYNTIINGYCKLGRMVEVEKLLQEMEDRGVSLDKYSYNTLVDGYCRKGSMSKAFETCDTMVKNGFTGTTLTYNTLLNGFCSCGAINDALKLWFLMLKRGVVPNEISCSTLLDGFFKAGKTEQALNLWKEILARGLGTNVITVNTVINGLCKIKRLAEAEELFGRMKEWKCPADSLTYRTLINGYCKVGDLGRATQIRIDMERLGFAPSVEMFNSFITGLFVANQSDKVNAVLVEMGAKGLSPNTVTYGALIAGWCKEGNLQDACSLYFEMVEKGLTPNLFICSALVSCFYREGKVDEANLVMQKLVYTDMIPNCSASTLDIGKVAHAIESAAGGNHQSAKIMWNIVIFGLCKLGRVGDARNLFEDLKVKGFVPDNYTYSTLIHGCSASGFVDIAFGLRDAMLDIGLTPNIVTYNSLIYGLCKAGNVSRAVNLFNKLQSKGISANAITYNTLIDGHCKDGNTTEAFKLKQKMIEEGIQPTVFTYSTLIHGLCTQGYMEEAIKLLDQMIENNVDPNYITYWTLIQGYIRCGNMKEISKLYNEMHIRGLLPANWTGHLKQADPVVNNHNVDICCLKTYRQC >cds.KYUSt_chr5.7822 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49324740:49325208:1 gene:KYUSg_chr5.7822 transcript:KYUSt_chr5.7822 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPGDLETLAVGQPLHVNPSSLAASGQIPHGIDGPLLFHSRDSSQAEISVDNLILYMCTKASTGKVHITVVLNEEYFAWILM >cds.KYUSt_chr3.2942 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16919290:16925239:1 gene:KYUSg_chr3.2942 transcript:KYUSt_chr3.2942 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSAPSTTGRRPPPSRPSLRPEANSISARRPLGVLHRQRRAPGRRSSPGHASIGELLPCPATPPIRRTKAASVHPATAPLSYSPDPCRPPPQAGEDYLKEKLQPYRSIVEDKVSAPALGFDYPALVRDATAVVMLCLGYKLFRWWRMDKRDADGALFLDLRW >cds.KYUSt_chr3.18319 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112578061:112582875:-1 gene:KYUSg_chr3.18319 transcript:KYUSt_chr3.18319 gene_biotype:protein_coding transcript_biotype:protein_coding MVDECWVEALGRVMRICGEKGISRGYGGGEIGMEYEQRSTLFFTGGIASEQIRDAYDRSTASNSSPEGKLCRKSLDMVSVASDTKTSSPVASATPVVSRTDPSSSRGHSLAMGPESMRKARRSPGYQLYRQVSDSKIPSLRSLNESSSPEGRPSSSMLSVCSNDLSAAGSHGESSDGWSMRTFSEMVASSQRDRWSVDSELLGSVSSKMTISSASNPTTVSRDQEVCKLCLKLLKERSAWNAQDLGVVAVLLCGHVYHADCLDSLTAEAEKYDPPCPVCIHGEQCTVKLFGKLESKIKNKIPSNVTVDGDLDGSSKRQKKSCREPKLGTSSSMKGSISRPFLRRHFSIGSRPPRLVSESESTRKKGFWAKHWRE >cds.KYUSt_chr7.24704 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153998721:153999059:-1 gene:KYUSg_chr7.24704 transcript:KYUSt_chr7.24704 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTVLPRALLAACVVVLLLLASTAPAAEAQGECVPQLNRLLACRAYLVPGAADPSPECCSALGSISRDCACSTMGIINSLPSRCNIGQVNCGKAGTISILFLLVSLNALG >cds.KYUSt_chr4.26217 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164863694:164866042:1 gene:KYUSg_chr4.26217 transcript:KYUSt_chr4.26217 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPEQFLRDGADFLADAEPLSPSLFLDLPPTPAQSPPRAASPDDHDLDFISRMLMEEDIDDKFFYQYPDHPAILSAQHPFQQIISDSATSTDDSPNSNTTFSSDSAADKSTSSSSDDSATNAANSTSSDSAMYAPASPAWPYDPIELAQLLRSPPYIGLDSNDFPADNLLFPSNGVTPALPEATARQNGGSTGIQTSAAFSKNGGAEKKPNPLLNSGTEKQSRQKPVTSPAANAGQDVLAFFSGGQTDSDMEMLNMAFRKGMEEANKFLPTNNALLLGLDTTSDKPAPRGFTARSQMKKEEADTFMFRGGRGRKNRHGMEDLEAEAGRNSKLMAPEQEEMGTREMVDELMLRGYEICMQGMEDLRIAMGSEAEKNSRKGTGKGTKRGTTDEVVDLRTLLIHCAQAVATGDRRGSNDLLTQIKQHSSPKGDATQRLAHCFAQGLEARLAGTGSQIYQSLVAKSTSVVEYLKAYKLYMAACSFKKINTVFVGKTIMDAMEGKSRLHIVDYNVQYGFQWPGFFRWISIREGGPPEVRITGIDLPQPGFRPAFQIEETGRRLSNVAREFGVPFKFHGIVAKLETVRAEDLNLDPDEVLVVTSQCGFSSLMDESADMNKQDSQSPRDMVLSNIRKMRPHVFIDCIANGTYGAPFFITRFREALFFYSALFDMLDATIPRDNEDRQHIERDIIGRCALNVIACEGADRVDRPETYKQWQLRGHRAGLRQLPLNPEVVKIVKDKVKNYYHKDFVIDVDHRWLLQGWKGRILYAMSAWVAAEDDNSKV >cds.KYUSt_contig_2984.62 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000578.1:245122:245580:-1 gene:KYUSg_contig_2984.62 transcript:KYUSt_contig_2984.62 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNTLIDTVMGELHARGKTLHDVAEVLRAAPIDPHVVAAIKAAYGLGCDLRVLCDAKRFFIETILDHHGLRGYFSEINTNPSRIDVDGRLRIAPHHDYHTGPHGCGLGTCPPNMCKGQVLDRTRVGISSKTLSAVATKTLSAAAGLGVGVC >cds.KYUSt_chr4.6040 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35429359:35429727:-1 gene:KYUSg_chr4.6040 transcript:KYUSt_chr4.6040 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPWWVVAVARASAAGWQRVACNPETLPPDRVLALLCCGPLHLLLRFAAFLCVPFIPAHRFASPRRRRAQPHRLLFLPPPELLLARYSPPPSSSSSSSSSDDDDEDDDIEDGDFIRPHVD >cds.KYUSt_chr4.46621 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288207310:288212356:1 gene:KYUSg_chr4.46621 transcript:KYUSt_chr4.46621 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKQPSHRSVFDAGYIRTEFEAAGVSPHFIPLIWKYVLQNPRCADLDGVPSLPAAAYALLRTKFRPTTSTLSAAAESKDRTTTKLLIRLQNGESVEAVIMRYDSRLGKYDGKTRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLTSGEIVEQMVHASRYSQIRNIVFMGMGEPLNNYNALVEAIQVLTASPFQISPKRVTVSTVGIIHSINKFNNDLPNINLAVSLHAPDQDIRCHIMPAARAFPLAKLMNALQSYQNVSKQTIFIEYIMLDGVNDQEEHAHQLCKLLETFKVVVNLIPFNPIGSSSNFKTSSEQNVKKFQKVLRGIYGIRTTVRQEMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDLRL >cds.KYUSt_chr5.15535 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100350995:100352261:1 gene:KYUSg_chr5.15535 transcript:KYUSt_chr5.15535 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPNAEASRRRLLLRDGGAAPSADSAAASAAAKCYTVWMRSSMGFQGTDGFSVYDAGGALAFRVDNYSRRRKIFAGELTLMDGHGAPLLSLRPQIISMHDQWNCYKVPEEGQTKRARSQQLFSMRKCSVLQGNREAEVYMTSACSTTATPTTTTSPASDPVPPPGHQAPSFWIEGCFRRRSCKIRRVPDGQEVAKIARKKAGPGKAPLTLGDDVFSLVVQPDVDCAVIMALVVVLDRICWRPYTPLICSS >cds.KYUSt_chr2.19520 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122823584:122830206:1 gene:KYUSg_chr2.19520 transcript:KYUSt_chr2.19520 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDVGGWYEAAEEPPDPAAKEEADAVLHREMAKLNAAIEERLAELTHESTEYEACVHFRLCNLIGRKNELIAQEAAPPPPLDALARPARPRGGCGGGASKVETGQQMEDNTSNITNTTANATSGWSIVKEELTYLAGSAPFNSCHASTIVEIEKDNYLVAYFGGSKEGAPDVKIWLQRYSDGCWHPPQVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGISWSQRQQLPPGILGPIKNKPFLLEDGRLLCGSSVESWNSWGAWLEVTEDAGRTWRKYGPIYIEGETLGVIQPVPYRTANGTIRMLLRSFDTIGRVCMADSADGGVTWSYAHKTALPNPNSGIDGVKMKDGRVLLAYNTFSRSTLKIAVSADDGDSWDEVLTLEETEETRVGQSNPSPTWERLVHAREGHFSSLFLPLPPSPDPAAAAAARLAAARLPPPPASLAAAGLLRLASPRPASSAPPRLLRPGLTSSRLLPPRPPPPPSSASPPPRLLRSPPPALSAPLRLAFSASAPPPPPRPASAPASSRFGLSRAPAAAAMDASPLRPCRPWPRRPPRRPWPRRPPAARGRAARPAARLARRPPRRLVAPAPTPAPAAHPHVAAVGAGGGVRGITSCRPSAPHRPSLPPPPRRGRTTRTSPETDEGVLRWSSCRQGQGGLLPGAEEGRGQEEGNGELPAPAAVPPAPEVPPAPPPCRPPRPPCPSWTCSMRWRWTSTLHRLIPIGMMIWREEMRRRRMMMMKTSQRYKRRRSPASARRTTPTRKIYSWFELGRRWGWMRAPCIDQTGKRYWQRIEDAYLKMKPKRSGFASRSFRSLQGRWDLMKPACARWSAAMDQVMDAPPSGTVESDYEKIAGLRYKEMAGSKGKEFPFKHVWSILQTYDKWKLRDDETASKKSAMLDMDDPEVEERNLNKPEGTKKAKLRGEDGRRGG >cds.KYUSt_chr2.43101 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268279290:268285988:-1 gene:KYUSg_chr2.43101 transcript:KYUSt_chr2.43101 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTREMPPLTDEMFRTLILLSYFGGRFAHAPPADDDDEEDDDGNQDDDEEDDDEDDDDEDDDGDGDGNSPSAEDVEIMHSLGVNSYRFSISWARILPRGRLGGVNSAGIAFYDQLIVALLQKAGLCSSLQSKKLETRYGGWLGAGIREEFDHYADVCFQAFGDRVKFWTTFNEPNMMTRLTYMLGMYPPNHCSPPFGNCNSGNSQWEPYVAAHNIIMSHAAAVDNYKKNYQAKQGGSIGIVISMKYYEPLTNTTVDILAARRALSFEIHWRGANVHGYFVWSIMDNFEWVFGFTVRFGMYHVDFTTQERTPKMSAKWYRDFLMGYAPLGEVQTLRADS >cds.KYUSt_chr3.39321 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247689334:247691125:-1 gene:KYUSg_chr3.39321 transcript:KYUSt_chr3.39321 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHYVLSYLCSAYAYPSGRKSPAFRRRAAMLRLLTRILSSSPAASSTSPLHRLLSAAASPSPWFSVEQYLVDTCSLTTAQAAKASTKLSHLKSPTNPDAVLAFLAALGLSNADVAALVAKDPRVLCAGVEATLAPVVLGLTGIGLSNNDIARLLSLVPSSLRCRCVVSNLQYCLLLYGSYENLLRVLKFGNSILKYSLERIIKPNVAIMRDCGVSPGSPMFRHALHAVAFLGEDKISTKVDYLKKTFRWSDAEVRIAVCKAPMLLTRSKDTLQSKSEFLISELGLEPAYIAHRPVMISLSLEGRLRPRYYLLKFLKEKGLLHHNLCYYTIVKLAEKVLMERLTLIIQS >cds.KYUSt_chr1.26102 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156990783:156996940:1 gene:KYUSg_chr1.26102 transcript:KYUSt_chr1.26102 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATEEMAVYCFDTLVAHYSGEQPPPPAFEEGVHPLFVTWKKATNGSEPRLRGCIGTLEPRQIVSGFKDYALTSALRDRRFSPIQSKELPYLECTVSILTEYETAQNYLDWEVGKHGLIIEFTDPDYNVRRSATYLPEVAAHEGWTILETIDSLMRKAGYNGTITESLRDKIRVTRYQSTLYTMHYGEYAAYVKKNRAPLTVSEKSANLSGHYLDWEHQAESTSRSSPPLMQQATAAAAAAAVGGAVGSATRFRGGSAAVAGGGAAVTGWTILKTIDSLTRKAGYNGTITESLRSKIRVTRYQSTLYTMHYGEYAASVKNRDEINMAPVVNGSK >cds.KYUSt_chr5.35537 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224565660:224566877:1 gene:KYUSg_chr5.35537 transcript:KYUSt_chr5.35537 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGVCKPWQNLIRDPQFVTLHLKHVSHFALLFFGKESIAGERHPSDAILIDEAWSQSTYAVPVVRPDDILFGSCNGLLGLYTKTSSIKIANLATGECLHLEKPVKNLKGDHFSSYSFGFHPLTKEYKITHFLRDCVEDHPENKDRFKFIQVYKLGDETWKDIRTPEDLSLNCVRNSGSINVDGTMYWLTEDMAANWHHAVMSFDLGKESFARIQLPASVPEDCASGGPRRYWIRDINGKISIATAQTHPSEPTRLVGELQIWTLDNKAEQRWSQNYNILATDYIPGPSLAHGEKLLTQCRDGNLYSYELLAENVTSKVLKMAKLLDFSPRKPDNMQSYICVKSLVRLDVYKKAGIVCRPNQREGLESKKWEAWQQMLSKMEELWSCIHQKENHSIVCWLNLNLP >cds.KYUSt_chr3.13287 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80013339:80014511:1 gene:KYUSg_chr3.13287 transcript:KYUSt_chr3.13287 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSAANNGFGRRSLHQWEGRLLHAAGYPAPPDFHAPGGWRLSAGGMPIPPPPTGGGALEAAIEEVRATLSDEQRADPRFDPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATMSRRHGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQCGRKRKTAKNEAAVAAANQLAEEEAKRAEDAAVAEAIARSLKDLVPADNSLPIDAALEWSRRDWEGQEAEQQRWMLDLAAARQLAARAAAPPSSRNAAPGEVIKLEESSDDDIYRPSPPRAGDAGQGTSRWYEAPPPQDDASSSDDDDGGDYTTFYRHFGM >cds.KYUSt_contig_3233.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000628.1:131382:132498:-1 gene:KYUSg_contig_3233.18 transcript:KYUSt_contig_3233.18 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSPCFFVRVLVGENLDRPRGENLLTNPRILPHAQSASRWRRGVEAGAVGDGLARGGSVAAATGGDGRGWTRVGDDRRRWARPETDRRGAAALSEEPVGGIGIRDGGGSHLAPSDEDDGGSQLAPSVEDAWTQTQRWIARLSNPPWSLDRRGGRPHWWLPLISPQCLAVKSTMTSCRSSTVGANQGRTGGIRGRAGQIVWRVADFELATTTLSVCTDAMASNAVKLDFERLPKEERGKAQMPTGGRAARLTGNHSVHCNCGAAQCPGRLSKTAPSFSILATAVPGLHTSCLVSFSLPPIYFSDLIINHADRCY >cds.KYUSt_chr2.11312 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71915117:71915563:1 gene:KYUSg_chr2.11312 transcript:KYUSt_chr2.11312 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSMKKNRKKRGHVSAGHGRVGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLSNRFHCPTVNVDRLWSMVPADKAAEAAATPGKAPVIDVSQFGYFKILGKGMLPPNTPIVVKAKLISKIAEKKIKAAGGAVLLTA >cds.KYUSt_chr3.35586 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223444397:223445851:-1 gene:KYUSg_chr3.35586 transcript:KYUSt_chr3.35586 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNLHQLGPLPHRNLRDIARRHGPVMLLRLGATRMLVVSSASAAREVLQTHDADCCSRTAGPGPKLLSYGFKDVAFAPYGEQWREMRKLFVKELVSMRRVKAAWGARQAQVEKLMAGLTPNTPVALGERIYGLVNGIICTVAFGDVYGAEMFHRVLGEALELQASFSAEDFFPNAAGRLVDRLTGLAASRDRSFAAIDTFLEVVIEQHLEPKSEREGSDLVDVLINLSKEQPAFTRDNVKAILMDTFVGGVNTTSVTIMWAMSELIRNPRVLKKVQEEIRVAARGNNWVQPEDMPKLSYLRMVVKETLRLYPPATLLLPREALQHVKIGGYDVPARTRVAVNVWAIGRDPASWGECAEEFDPDRFEAGASHGEVDLHGAHFELLPFGAGRRICPGIAMALMNVEFTLANLLCGFDWALPEGTEVEDISMEETGAGLTFHRKTPLVLVPTLPQRA >cds.KYUSt_chr7.25471 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158947358:158951853:-1 gene:KYUSg_chr7.25471 transcript:KYUSt_chr7.25471 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPLLPLLLLLLAAGSSTAFAAGDGCTVGTGCDLALGSYLVGTDQNATYIGQLFGHGDNYRLLQPYNPGQPNLDFIRVGDRVNASFPCRCRARPADPAATYLAGSFPHDVKTGETYTSIAEPYNNLTTVDWLAATNSFPPNQIPDVGTVNVTVNCSCGDPAVSRDYGLFLTYPLRGGETLASVAASHGFSSPGQLDMIKKYNPGMDGVTGSGIVYIPVKDPNGSYRPLQSSGRRKAKQGALLPSSEESSRLASTISMQKVTPSATQADGASPSAGITVDRSVEFSYEELFNATEGFNLIHKIGQGGFGAVYYAELRGEKAAIKKMDMQATQEFLAELKVLTHVHHLNLVRLIGYCTEGSLFLVYEFIENGNLSQHLRGTGYEPLSWTERVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNTRAKVADFGLTKLTEVGGTSLQTRVVGTFGYMPPEYARYGDVSPKVDVYAFGVVLYELISAKDAIVRSAESATDSKGLVYLFEEALNSPDPKEGLRKLVDPKLGDDYSIDNILKMTHLANACTQEDPKLRPTMRSVVVALMTLSSTSEFWDMNALYENPGLVNLMSGR >cds.KYUSt_chr5.3775 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24381678:24391163:-1 gene:KYUSg_chr5.3775 transcript:KYUSt_chr5.3775 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDASSASVPHPVTAAADADPAAHPPIAKRRGSYNCGRCGLPKKGHVCSIPGPPSAAESKPRRALSFDEAAVLTVAPLAVAAPPRPPEKRARVEVVDVDGPDPEEDAHHHRWVAVGPGRRVPGDVLMEVLRRLAPRGVAAAAAVSRGWRDCSRRVWRGAEEIRLRAASVTTVGALLPRCPALSSLVLRMESDVDATMLACLAFSCPNLQSLEISMADGAVNRMTGDELTRFVLEKRSLTVLKVDRCGSLGFLNISSPSLTTLWLSDLSPLTKSVINCPNLSELSLIFSQQNNDDSTDLISLMDSLGRTCSNLRNLHISSVHLCNEAVFALGSANLSGLCMLSLLLGKKITDAAVASLVRSYTSLELLDLSGSGITDNGIGMVCKAFPDTLTRLLLAMCPNITSYGVQVAATQLPLLQLMDCGKSLCANTQPEKGRSYFGDLNGGVRFCSKQQTARKQQLTFQKLIIKHANLKKLSLWGCSAVDALYVCCPELNDLNLNSCTNLNPERLLLQCPNLKDVHVSGCRDMLIGAIRNQVLNEFAGAEPRMPCKRLADGSKRVQVPHFMMEQIGDIPPAIFLVLLRRAHVAVVPPGSYTALPEEPCPRALSTVPRGAQCLEGAESACFFSPVTEYTGSLVPRPGASQGGDSSASAHEGTSEAATGAVGLRIDEGVGEEGWSYEDGGRADLSCGMD >cds.KYUSt_chr6.907 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5702034:5705803:-1 gene:KYUSg_chr6.907 transcript:KYUSt_chr6.907 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAASRPSGPVLSIPNYRSASPTRVKLAAGSAARSPGKSVSVSSPKSSSAATKSRQSCMCSPTNHPGSFRCSKHKERKQDVPAGHSKPAAPSLFSGVSSGAVESGTWARRALAQSPQSQHRRRAAGGFRPRPSRLSAVSFAGEGEGEGEGEEEARDDPVDDLGRTIADARRRCETEKERENLDRMLEDHRKALYPGCDDGPKKAGLHTRIC >cds.KYUSt_chr1.27865 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168029713:168037527:1 gene:KYUSg_chr1.27865 transcript:KYUSt_chr1.27865 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVQPEQVGIVFGTMGRGKIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCEAEIALIVFSSRGRLYEYASNSARSTIDRYKKACASASGSAPAIDVNSQQYFQQESAKLRHQIQSLQNANRNLMGESVGNLTLKELKNLESRLEKGIGRIRSKKHELLFAEIEYMQKLEADLQSENMYLRAKVADVERLALAPAPTPAPVSGGAELEALPTFDARSYYHHQVTMLQEAAAASSSRYSQPQDQARLRQDQDAAPATTALHLGYQMRGPN >cds.KYUSt_chr5.37147 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234981737:234982904:1 gene:KYUSg_chr5.37147 transcript:KYUSt_chr5.37147 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGVLPPPPLLAMGRKGGHRDRNPIHPMTGRPLDLEGVTIVDDPNATEGDAEEPAEPSLEA >cds.KYUSt_chr2.6507 pep primary_assembly:MPB_Lper_Kyuss_1697:2:40583636:40584173:-1 gene:KYUSg_chr2.6507 transcript:KYUSt_chr2.6507 gene_biotype:protein_coding transcript_biotype:protein_coding MANGILSQRSVVALKRLRLPSRSRRVLKLIQAMANSIPSGGGEHRVWVQANGGGPARKATAAPEMPLNRFVRFVALIEKLGNAFGTLAFTWATVVLLGGYSKDLSLENDFWFSTVIVFLEATRLADACVGDYGGDPSQAET >cds.KYUSt_chr7.150 pep primary_assembly:MPB_Lper_Kyuss_1697:7:783766:784617:-1 gene:KYUSg_chr7.150 transcript:KYUSt_chr7.150 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFGRSISFPLSPARTSRPRAAAYHVRSVSLPCSSHPLLAHLCNHITAVRSWVAAPSSPSTGLAHLDALHAALAELLLLPEARSALHHGSATATCLLDGFLLLADAHGAFQETVVELRAHASDAQAALRRRDDARLASAVRSLRRAEKDLARLAASVRSAAKFPTMPSASSSSAEVEVSGALAEAVAAAACASAAVFSAVEAVSAVATSALASKKTVTSSLMSLVKSAKAASDDEKEVAALESLDEVEACVAGIESGSEKVFRSILHTRVTLLNIQTETCC >cds.KYUSt_chr2.43917 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273366522:273366959:1 gene:KYUSg_chr2.43917 transcript:KYUSt_chr2.43917 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSCFLLVLLLAVATGSRLSLAAREGGSLGRELGELFAKAGNFLTSAGRAGADGWHSAAVTTEVDASATSTLMRHGHGARVGARKRLKKASVNCIPADMCRKKKVLCGKRCYRTSSHAAGAGAGVDHIPSNRCVVRCKKCVPTC >cds.KYUSt_chr1.25687 pep primary_assembly:MPB_Lper_Kyuss_1697:1:154268082:154268961:1 gene:KYUSg_chr1.25687 transcript:KYUSt_chr1.25687 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDQFVISGTDVNYEKQPPPLNQVIKIKEEGTTENLTSLGKLTGGAQQRPPGSQLQIVKAAPTSSTGEQGRGNTSACMFLVTGGAPVRNSSDGEGGPGHLGKPPCNREGKRLLEDQLITLKLFNGSRNLMSGDGVELEWWPELEETG >cds.KYUSt_chr6.26177 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165856919:165857287:1 gene:KYUSg_chr6.26177 transcript:KYUSt_chr6.26177 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNRTGVSHRTCLLAFSEVKNLQNGLDDDTDKMEELEASPVTKRHTCCRALLQGALTMSCCRRGLFLLPPPDLCTGGAEVREAERIDAGEGKNATPPLSVESYARVSGNAMTPRKGRRGVP >cds.KYUSt_chr6.14239 pep primary_assembly:MPB_Lper_Kyuss_1697:6:88975402:88976052:-1 gene:KYUSg_chr6.14239 transcript:KYUSt_chr6.14239 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGALSPASNSSTTTTCSSRSSGPGSPVATSSSSDESSGGRKRPRQDLKHPSYRGVRMRTWGKWVSEIREPRKKSRIWLGTFDTAEMAARAHDVAALAIKGSAAHLNFPEAAHELPRPATAAPEDVRAAAALAAYMEDRAPDAEAAQDTDKEEEPAPPSPAQPSPGDHALDLALFDLPEFLHEFGFALPPSTHDLSCWHDDFRFEPAPLLLWEH >cds.KYUSt_chr2.43999 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273822847:273823122:-1 gene:KYUSg_chr2.43999 transcript:KYUSt_chr2.43999 gene_biotype:protein_coding transcript_biotype:protein_coding MTESVKTRPATVAEKNIGQYRRVEPELEEELDEVEWGRRTEARSRGRRRAERYVFTCALFASLNAILLGYGQFLLPPSFVSARSGIIFSME >cds.KYUSt_chr7.16225 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100561377:100561769:-1 gene:KYUSg_chr7.16225 transcript:KYUSt_chr7.16225 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMELQGARQHSDGAGVKVKFIETQFVSSDAASFKSVVQRLTGKSSTMPPPPPVHRPRPRVPSGARAAEQQGRPCVSGSEQLATVATPATFEPHRVQEVNGLCDFADLFYATAGARRDAGSIASGFPY >cds.KYUSt_chr5.38815 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245729883:245730366:1 gene:KYUSg_chr5.38815 transcript:KYUSt_chr5.38815 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSCKGLAMELVKCLSETDCVKVQNRPYKECAGEKVPCITSECVGLRETYFNCKRGQASLQLLPLNQLLYTNFPFHEFCVQ >cds.KYUSt_chr2.7316 pep primary_assembly:MPB_Lper_Kyuss_1697:2:45908908:45910123:-1 gene:KYUSg_chr2.7316 transcript:KYUSt_chr2.7316 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKVIPAIHERWQDEGKTIYIQQDNAPSHVKPDDEALLNAISITLNPIIIDQLIEGVIAEFNKSCRSYTNLIHTNIIGNKFLRYAIGDELRASSSHVIDIMILRVRGKIDATNQCCIRLSRAPSASSLLRFTGHLRSRALSASLLLGSTTQLRFRAKSLRPTVDGAAFRNGNLLAPPPLRLTGLVTA >cds.KYUSt_chr1.28198 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170127505:170131478:1 gene:KYUSg_chr1.28198 transcript:KYUSt_chr1.28198 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGSEDMNVVCVLCLGVILSLALIVFVLVLVLVLVLVVVLFFLELAVEEVDIAEVCRLAARVEIARPEQDPVVRGRKPPNLRHRFHYFPSLEMDALPASELHARDWSEIPLPALTLLFAKLSAVDILMGAGLVCQSWLETAKSPCLWREVDMSHSHKPCYKLLVEECAMAKVAVDRSSGQLEAFTGEGFVTDDLLKYIGERSPSLKCLGLISCIDVSIEGFTELLDRSPLLEDLTVMGCDNIEDIACPVAAGACPQLKRLVLYKRKRSSSHSPLSFTMGTWICRRYVRSEYELRIETMRELRELTLVGSDIDAQELDAVVDGCPHLEHLCLIDCCNLEVPDALRAKCARIKKLELCSYEDNDTCADAFTFQATEFHRNLVYWRSEVWM >cds.KYUSt_chr1.37048 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226218749:226219447:-1 gene:KYUSg_chr1.37048 transcript:KYUSt_chr1.37048 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSSSGSAPRSSFAPVKREEATSPSTPVLVKKEPASPPPTERRSSGALVIRDQPSQPGGRGRRRKGGRRGRRRKQSSPRRRRARGGRRRGGGDRRVAQRPGARRQRPPEDAALAWSRQDREREEEEQQRRLPDPAAARRLAARAARAAPTAADDAARYRRPATPPSGVVVPVVDLESSDDEWYKPSPGWGDAGQGSSRQAAPPKVPKVEDDGSDDGGDDYTVFYRRLGM >cds.KYUSt_chr3.32059 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201511679:201512396:-1 gene:KYUSg_chr3.32059 transcript:KYUSt_chr3.32059 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQQQRCLALACLVAMCMSTASAFVFKAGGTGEWRVPGQANASGGNIASYNTWAEHTRFRVAFTYEPGKDSVLIVDEKAYDACDTGSPVDTFSDGNTVFTFTKSGPFYFISGNKDNCNRNEKLVVVVMGPRAADNGTATHTALAPSPAYNGVTFSPPSPPPPFGIDISPTASEPSAAVVKAAGMAGTAAFVIGAMFYALV >cds.KYUSt_chr5.5410 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33844279:33847323:-1 gene:KYUSg_chr5.5410 transcript:KYUSt_chr5.5410 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEQELVATEEAKIGTSGTTDYTRGRWEGSDVTGRGGYRKGSLAGYRVRSSCHFFRSFLDRYELQPHHLPANAVFLLSSFVAFCEGFVGLLPSLTLWARLYTLRINSIQDPNLPLPKPVVQCGACIVVPRQKSPHVKMAGLDSCRKWQRNFFYVKNAGPVDLINLPDYVAGEPSRANWTYNPKDSHSETNRILRYIVGLQNDDVPTADDIVRTFIARRVLPLQERCHKICQMSGPLDPTRITTFELSAKDIVLKVKAIAQTKMTDDWEWDMEPTSRVRLGRNRQLSPWIGARYDEEDPDSVQNTPSHEMEAALNSGSGKTPAPPSSRNRAGDISIDEDDCIALEVMEDAVPLASQRPAAPKVPHAPKRGNTQGTGSGAAPPAKKRKTTSSRRAIPTSTSAPLEVSRSAPGRTPLPPKAASTTATPEPALQIPSPSKKKGPARQPSPSGPGAAGKQSGREGPATSEGNAAPDSEDHRDRADFNSPPEREEDTGVGNMGAGSEQIGASESTAVPPPVTTLAPPAFSALVSTSIPSAPSKPVDIAKGIPASPTAPPSKISPAPASVPASAEPPVFPPTGQKKKKPPSQKTPYGFTSGTTSAFKEPPTDPKSVALHTSRAAASALDYGALNAGRITDRSRNGLSLGSLEKWADVWNEADITEVVTTGLGKDHQPVVDPRGPRPFTTQLARLKRCMRETDTAWHDVDENVAKVLDSRKQLFEDLLWEHRELSDAHASLQLSYQESQARDLPQLEELVSRVSVLQGEKETLLSEHNTELQKWRDETALLKEELI >cds.KYUSt_chr2.9121 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57311588:57313633:-1 gene:KYUSg_chr2.9121 transcript:KYUSt_chr2.9121 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLWRSCAPLKCKIFGWSALHYRLWTSDRRHRHGLQDSPDPCAVCLQDEDNLDHVLIRCAYARQVWFRGSCASGAGVRQYPGSMVDGGHRGVARPDRRRFHTLVITTAWLIWKQQNARVFGNIRDQCDVSQLVDRIHDWVQALDECESRRRGNPSARVRPRKFYADCFTLILNKDIPAVQTNKTNLFAEEWDESDYYYYFDDTDELEKVFPSDFVSLIDIQYKDRALYSNIIWGIPSSDGSNGWFNNCPFRIDVFHHSPENNDNNDGEVFLSTINDLPSVPSLEQERKDGKLWRDLNDGIKLSWIMINPKMKLAVNLSSWHPLGGQRHWPTDTDFVLRYGSVLPAKEVLALTELNMQIEDMEGVHLNGHRSLLVLKEALSCHRSRNYSEVLDSCHLYLRAQSQLKEEKIRSECRFETLCIVTGITVFASLCVMCYRKFDRF >cds.KYUSt_chr6.27878 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176816597:176820668:-1 gene:KYUSg_chr6.27878 transcript:KYUSt_chr6.27878 gene_biotype:protein_coding transcript_biotype:protein_coding MPCARAEDALPSAAAASPSADMVSASVGGLIQKVVGGRRSTDSARTLQRAPHVLPLPEDEAPAPAASCSGDDGKGSRSGRREESRKGRMRSYRSELEQEVKKLQRQLEEEIELHVALADAVTQNAVPALKSSSKIPHETHELLINIASLESAVSNLEKELNDLYYQLCHERNERLLAENNPGCLAPACPDDRSLSTCTCTWEEHISSLRDLKYGGSESMRSMQEDLFTELDYEQGLGEESEDGQMVSLNRLLEKHRDISLNGLLEKHRDEEMQELCSVEKHGKEDEKVDALSFEQSIQKITSMKGGNLWNCPNQLSEEMVRCMRNIFLRLSESAKRPAKTSSDCSSSSAERLSGSTLASFSDSSILPSMLRSSSVDSNHNEGMMNQARNLDPYKVNGKETRRDIGNYSSAAEVSWMSVGKEQLEYASEALKKFRFLVEQLSKVNPNCLNSDERLAFWINLYNALIMHSYLAYGVPRNDIKLFSLMQKACYTVGGQSFSAAEIEFVILKMKTPVHRPQLSLMLALHKFKVSEGHKKYSIDEAEPLLLFGLSCGMFSSPAVRIFTAENVRNELLESLRDYIQASVGISDRGKLLIPKLLQSYAKGAVEDSLFTDWICHHLLPDQVAAIRDSSSSQRKQRLLGARSFTVVAFDSKFRYLFLPDSSSSSHKPQPKPAS >cds.KYUSt_chr3.28416 pep primary_assembly:MPB_Lper_Kyuss_1697:3:177296674:177299984:1 gene:KYUSg_chr3.28416 transcript:KYUSt_chr3.28416 gene_biotype:protein_coding transcript_biotype:protein_coding MKARDPEKLIAFMPKMERSFRSFLRRMLTQDNSAHCWIFREKQLEEIPVHTNFQELNVSTDLSEVSNQCFGLAIAPGQLMIAVVHSLDPNLLDQMYQARTHKAVVEFIWIGGQFLGLPLVNDDGQCGSINMLYHSRKDMMSKASLRKLHLLNIINRKVMLSDHAPYSPGGENGNNAETDFWNNLLVSSETELQERLVAFTFAAVLDRIAYLLKGASNEDRWFPVGIAQMDYWVSINSGEVHNKLNYLRSRIKDFVSRLDSVCEYSIEETCPYCSATVGFESPDVALCGGRDLATAPAERHKLLRCTTSMRLCSILDPTWYCVCCGGTVDKLVPETFFTSTTASPLDAIYDERSLCLSAPTVPLCPLCGILLQRLMPEFLLSVSPV >cds.KYUSt_chr2.16493 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103758257:103761991:1 gene:KYUSg_chr2.16493 transcript:KYUSt_chr2.16493 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRWKDEMQSLDASALDPDLIAAFSDPEIMAALQIVMRKPANFDKHQANLKFFEYGKCSMRVFMVWNSLQWAYGVRHQRGLESLLLQHPDACVVVLSETLELEA >cds.KYUSt_chr3.29023 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181506813:181510273:-1 gene:KYUSg_chr3.29023 transcript:KYUSt_chr3.29023 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRPPPAAADRAAEAGDAALARDIVTLHKALSLDPSRRRRSLPLPPPSATADHKQPKLKPSSSRKLLPSTSSSSSSSTTTSSSFWKKSLTAISHLGRRRLDCAFTLHVHSIDGLPTPLDGSPLTVHFRRLSASASTRAVPPALGAAAFDEPLTQRSPVYFSRGAKNAVKYEPRAFVVAVNASALELGRHEVDLTRLLPLSIDDLDDGGDSGFGKWTTSFRLSGVARGARLNVTFACALVPAAGEQQVAGLRRGSMARQAPSPVPARTRDVVRVLHEVLPSTRPFKALAFAGDAGLDATKATVVEPEEDGSPESKHCTSVEVKKGEGDLPHPDGDCYSAEFNVVEQGVEVAIQDLEQLKPADADYVAHPAEKFNGEANEEGTTKPAFLSHDPAKDGTVEVKLEEEPSDVTPETDDVGDKQAAPVEAAVLPTSSLEEDGQLAEDAELEDLESLFNKFAIVEPEEFGSPTLDDKLSRRMSCMGAEDGYNSASRKSRSRSVDASSDFVASEFLEMLGIEHSPFGPTTDSDSESPREKLWKQFEKEALESGDCILGLDFEDGADEASCDDFAEEFDLSAIIHEAELELQSAAPPIDATFTAKSLEDQEAEALMRQFGLNEKSFQSSPRGTRSGFGSPIDIPPELPLELPPLADGLGPFIQTEDGGFLRSMNLALFKNAKNNCSLVMQASSPIVLPAEMGSGIMEILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQLAWGACPALESAGRYNLLENHSMEALAGGVSDAPLGKKKKRRGADLSSSLGGINASEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEEAPSNISAHPVGEISSLQGKCAENTRTLGLEGTAGLQLLDVKQSGGDVDGLMGLSITLDEWMRLDSGVVDEEEQFSDRTSKILAAHHAKSMDLLAENQNADKKSRRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLSLIQVERVFIPPKPKIYNTVSEKGNSEEYYEEPKTEEILDKALVAEEKIEEEDSAPQFKVTEVHVAGFKSEPEKTKPWGNQTQQQAGSRWLLGAGMGKGNKNPLMKSKAIAKPTKDAAGQQGDTLWSISSRVHGPGTRWGELTGSKRNPNILLQKDKRFR >cds.KYUSt_chr4.5477 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31692133:31692707:1 gene:KYUSg_chr4.5477 transcript:KYUSt_chr4.5477 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLRLTGFGWDDEDKMIKASDETWEDLISKDKDLQEYRDKVWPSWTDLEEICASSTASGVGAISSKGNDRLADASLSIVESKKNAAQQTEAYSVKS >cds.KYUSt_chr1.10091 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61877734:61878738:-1 gene:KYUSg_chr1.10091 transcript:KYUSt_chr1.10091 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKTNFKKTHHHYCQSALNARFGSTYTVDQVHRHLRRFKEVWNIVARYMNQKGSSFDKKHRMLILPSATMAALPLAERAIVVKPIPFFYHLQALFSDYPVDGASMTDLCTDADNDDELETPDPLNMMVAHAGTANPDEADLEKVVLEGEDGCNEVAVISGTAVPCEAMTGTSAPSAEPSGSAESTMAALKPSLKKCKVVSKAKANPKPQALPAHDSRKTDALNRNLIGIHDSLAKPIRTAQPSSDPNAPLWNMLKQIPLTPADRLSVGIHLCRPESEVHRSFFMSMGKDYLEAWAHKFLAGGEPGAL >cds.KYUSt_scaffold_1259.126 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:899905:900909:1 gene:KYUSg_scaffold_1259.126 transcript:KYUSt_scaffold_1259.126 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPHHLLPLLLLLAAAAASAGANNLVGELESLRSRSPSGVIHLTDTSVTRFLSSSTRPYSVLVFFDATSLHSKSDLHLPQLRREFALLSAAFQANNPASSDLFFADIEFSESQHSFSQFGVNSLPHVRLIRPHHSRLADSEQMDQSHFSRLADSMLEFVEARTGLQVGPITRPPLVSRNQIILLVVMFLASIPFGVKRILQGDTLLHDRRVWMAGALFVYFFSVSGGMYGIIRHTPMFITDRADPNKLVFFYQGSGMQLGAEGFAVGSLYTLVGLMIAVVTHLLVKVESLQTQRFAMVAVMIVGWWAVRKVIFLDNWKTGYSIHTFWPSSWR >cds.KYUSt_chr1.8581 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52554252:52554725:1 gene:KYUSg_chr1.8581 transcript:KYUSt_chr1.8581 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKSATTAVPLAPASTKMSRWRRQATTYTMVGLNGRGRQVPPPPSSPSPPPPLADEHAPPHEAEYDEYAAAVNGLEEYREMDTNFLVYAEEEATAEAVMAEAAEEEGNNNDDLESWSNGPDLEEQSAEQRALHESYETLKKEQNNARVGYEAFEEK >cds.KYUSt_scaffold_1259.416 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:2804104:2804466:1 gene:KYUSg_scaffold_1259.416 transcript:KYUSt_scaffold_1259.416 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPLLLLALLAATLAVTAALGGRGRIVGGWGPIGDVTDPHIQELGGWAVTQHAKLAGDRLQFRRVTRGEQQVVAGMNYRLFVDAADGAGKSAHYVAVVYERSWTRTRQLTSFKPADN >cds.KYUSt_chr5.37913 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239494771:239497149:-1 gene:KYUSg_chr5.37913 transcript:KYUSt_chr5.37913 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGVAKAFVDHYYRTFDSNRAGLVGLYQEGSMLSFEGEKFMGAAAIAAKLTSLPFDKCLHKVVTVDCQPAGPTGGVLVFVSGSLQAGEGEHQIKFSQMFHLMPVGPGNFYVQNDMFRLNYG >cds.KYUSt_chr3.42315 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267508152:267510587:1 gene:KYUSg_chr3.42315 transcript:KYUSt_chr3.42315 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFASLALFLLHSCVCASSRDHTLSTGSSLSVEDNARSFLVSPDATFSCGFLQAGDNAFYFSIWFTASKNRTAVWTANPGAPVNGRLSRISFSGDGKLVLADANGTIVWDTKTGGNKQHLTVSLNDTGNLAITDPSTGRALWQSFDWPTDTLLPSQVLSKDTILTAAYFTLRYDSDNVLRLLYDGPDTASAYWPSPGIDVYTSGRTNYNSSRIGVLDDTGAFLSSDGLHFQASDLGAPGVKRRLTIEQDGNLRLYSLLKATGDWAVTWVALNQPCSVHGLCGKNAVCEYQPYLRCSCMPGYEMADRRDWRKGCKPTFSVPAANGNNCSQGVPEQFTFLEVPHADFYGYDLWFNQSITFEDCMNLCLKLCACTAFSYRTNGLGNCYPKGDLFNGYRTSSFYGSIYLKLPSKVNASASALPLVSVAGGSGLACERNGSSRTIVPGYADTYGRPGSGPKWTYFLSFAAVLGFLELLFVAAGWWFLSSHQSIPSSMEAGYRLVMATQFRRFSYRELKNATGNFKEELGRGGSGVVYRGVLDKGTVVAVKKLTDVQVQGEEEFWAEVTVFGRINHINLVRIWGFCSEGKHRMLVYEYVENESLDRHLFGNDIGRSLAWTERFRIALGAARGLAYLHHECLEWVIHCDVKPENILLTRELDAKIADFGLAKLSRRDTTGDGNGIQLSQMRGTKGYMAPEWALGLPVDAKVDVYSYGVVLLEIVIGTRISDQTTADGEERLEMWQIAQALKQVVASGDIGSLVDSRLSGQFNPRQAMEMVKISLSCMEESSSRPTMDDISKALTACDDEDEHPAYLS >cds.KYUSt_chr4.15589 pep primary_assembly:MPB_Lper_Kyuss_1697:4:96327582:96331730:1 gene:KYUSg_chr4.15589 transcript:KYUSt_chr4.15589 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAATGSLPSYLVPPSASRRRRAHRIRASTTSVEGAMDVVSEAELREKGFMGMRKTKLVCTVGPACLDALPALARGGMGVARVNLCHGGRDWHRAAMTEVRRLNEEEGFCVSLMVDTEGSQLLVADHGGAASVKAEDFSEWLFTSKKTDKAHPFTMHVNFDKFSEGILVGDELVVDGGMSTFEVTEKIGNDLRCKCTDPGLLLPRAKLSFWRDRKLIERNFGLPTLSAKDWADIEFGITEGVDCIALSFVKDANDIKDLKTYLSRRSLE >cds.KYUSt_chr5.43119 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271977596:271981796:1 gene:KYUSg_chr5.43119 transcript:KYUSt_chr5.43119 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGAVAGKIAPKLLDFLQTNHKLRGELEHDIRYIKSEFVMICAAILQDEDRRRSSSGDHVQRAWIEMVRGLARDIEDCIDRFTRRVALEAGASWNRRKLHRLKTLKARGKFAAAIRDLRKTSAEASRLRESYQSSVGGGSSALEPGPGAPGPEMETSLSAAGLPVAAVGMEAARDELMELIRETPEDQRREQLKVVSLVGFGGIGKTLLARQAYDGAAESGYDARAWVRAGERGAVHVLKEILRQLQRDSSITIGALAGSTGSYCHLGKLRTSLRECLGTKRYIIVIDDVRTIFWHDIKEAFPAVSGVSSRVMVTTAIQSVANACSSAHGHVYVMKTLSQEHSRQLFFQEASLEDPPLASDRRQQLGTSEALTKCDGLPLALVTTAQFLQSKGDPRRWTSLCQNIGEHLETKETLARMKRVLVDSYTCLGSQDVKTCLLYMGIYPGGHPIRRGSLIRRWLAEGLIKDDHRRSAVSVAVDNFDELVDRSIIRPIDTSNSSSSTEVKACQTHGMMIEFILHKSTCENFVTLLYDKAPLHSNIRWLSVHRKSAERARMNPKDLRLVRSLTIFGKAHKSLLDFSKYELLRVLDLEECGNHLEDKHLKEICRKLLLLRYLSLRGAATITVCPKEIKKLRYLETLDVRRTKIDILPKEVMELPCLVHLFGKFKLEDVGWRMGKLQTSLSEKSKLETVSGFVVDKSQEFLQLMDKMEHLTRVKIWCESTAGTSSNLSRLSEAIKGFIERGTDLKGSRSLSLNFNGQPQDLMNFSLEMDDYYYLSSLKLHNICSLPLFVTMLGGLTKLCLSSPDHKLREDIFASLDRVCGLECVKLISTQLNKLVIRQGALASLRCLCIVVEVMTELKIQEGALPRLESLRLLCKDLNGFCGTTILSLERLKEVALHDGVSNETKHKWKEAAKNHPKRPKLLFVKTKLIGSEPVVETPAAPVTCTALSVKLDAICTGQRECPVHVFTSEMVDGVLCSDSEENGDSQRDGDGKEDMDNIDGLENTSRKDGLSTQVIDDQLIEDGTQSTDPNLAQNSDNGGGNARAKKVFNKDAVVDGHIRKSCTFGEGNSMERLLIG >cds.KYUSt_chr4.5268 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30386960:30388687:-1 gene:KYUSg_chr4.5268 transcript:KYUSt_chr4.5268 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGTKIYPGKGIRFIRSDSQVFLFFNSKCKRYHHNRLKPAKLTWTAMFRKQHKKDIHAEAAKKRRRTTKKPYSRSIVGASLDVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKRAKKVEVTKSQKTAGRGSAPKPGKAPKLGGGGGKR >cds.KYUSt_chr3.23194 pep primary_assembly:MPB_Lper_Kyuss_1697:3:143547426:143552090:1 gene:KYUSg_chr3.23194 transcript:KYUSt_chr3.23194 gene_biotype:protein_coding transcript_biotype:protein_coding MRGICLWSLGDSPSPAADLIALLMVRLSAGSRGVRREYGRTYVVRPKGRHLATIVWLHGLGDNGASWSQLLDNLPLPNIKWICPTAPTRPVAAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHVANLLSSEPSDVRLGIGGFSMGAATALHSAACYAHGRFTNGAAYPITLSAVIGLSGWLPCSRTLRTKMESSQTALRRAAALPILLTHGLADEVVTYKNGERSLEFLRTSGFLYLNLKTYNGLGHYTIPEEMDYVATWLSARLGLDRSRG >cds.KYUSt_chr4.48264 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298889911:298891209:1 gene:KYUSg_chr4.48264 transcript:KYUSt_chr4.48264 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSQPTQRAPAVANPAAPSLGKRPPTTTGPAPVPAAKRHAPILRPQGAAPTGGVPLPAGAPSPSQHAQGTPTAGNAAPSRPPPPQQLPNLSYVGVYRDPSKGWMARAMDLKRRVARSIGPFDDPHLAALAHDRAAITCAGRGVARGAPLNFEAAFYRVETAFLHRWEGDVCEALEKGEYDKVYARFLRAGYRAALNIEDGDDGGGDAHADELVASCVGDAQFFWDDVEDFFLCRAAEIGEEALKGKEDGRDDGGKLLRNRFVEMHRNKSLCPEWRHKNRLEKLRVMTLQKQQQIQTQQQQQQQIQQRQQQVQMQQQQPQQIQTQQQRQQQVQMQQQQPQQIQTQQQRQQQIHVQHQQQQQNQMKPEQQHVQQQRQIQMQQQQRQQQIQQRQQHFQHQMMMQNQQHRQMMMAQQQQQYLDDDATVQVKQELS >cds.KYUSt_chr3.44618 pep primary_assembly:MPB_Lper_Kyuss_1697:3:281604220:281607511:1 gene:KYUSg_chr3.44618 transcript:KYUSt_chr3.44618 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGWRKKWGAGTGIFIVLMLVMSAIPHEDDCHDFADQRKLFLGIPNTLNVVSTFCFFFVGLAGLILNHCKSYSRLRIPVQLKLTFMVCLLVPKMICLWVPKIQVSAIMYEMSILMWEFGAWYVARSVNQTKISEERAVETPIRARQRVPRIRGLLGMDIYKNKVLEFLQSERSDESVFGLWGTSGVGKTRLLSLIADSYCDSFCHVIPLDGGSSVRVMQNHLAYFSNMDWKRMSLADEQCRAKAISEWLEHHSFLVLLDDVQDGYYPDLRAVGLPMTLGRRQKVVLTSRSQVVCRHMGCTISNTLEMKCLGEEDAWSLFKYNAGVEITEADDEIFEFAKQMVSACEGLPRAIHAIGIGVAGATCRGKHPADWRYAYKRFKAKNLPPERMEEIVAVLV >cds.KYUSt_chr1.25030 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149999349:150003276:1 gene:KYUSg_chr1.25030 transcript:KYUSt_chr1.25030 gene_biotype:protein_coding transcript_biotype:protein_coding MIEASICEAGWQIVDLTRGDDNISPTSGTGPNDILKEEPDQHGKNKIDDSGCGFLCMADQKVAEASSLADEYDMTILTSSAYTSPLFQRGFDSVYVPKYGDMSNSQQGPYPDLFTSDVFVFPPSEHENLPIESELDGLNTNTDGLEATCVGNLVEGSDEPHQQVDEKPHQEVDEKPHGEVDDNSDENLSFSSDLLSAIEATSSESKLSEIHADQEKNNMCSKSDLPCEGWWQRKSTRVFYSIKGVTTVSIVAAGALVGFVIMGQRWQQDRLHLHQFQFSVSGEPFEGWASREPAAKVSAAHSCIPSTATKRLNGTAANIDGNWSYFDI >cds.KYUSt_chr5.35810 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226167476:226171029:1 gene:KYUSg_chr5.35810 transcript:KYUSt_chr5.35810 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRKGSIKSYGGGSNRSSRSASFDFDQDQDRERREIVLKINDDVGQSSFSLKSAARGGLDASYGAIPGTGSGGSSAPASPTGAGHFIESFSFKNRPPESPPSPTSVQGECSDDPPSRLIGSFLRKQAAAGGEVSLDPELEMEEMRRLLNAPAPISPSRVSFQQDPRKRFVPSSTTAGSSSSSSDAGGGGNSRKKVHAGAGGDPAEVIRCTSTSTGTNAGLLPRSKTRSRLMDPPPPSSGSTTDGNDHRKSFVGAPPKSGQLRSGLIARSGFLGKPGGGFEPEEDDDPFVDEGLNADSKRDTVDCLIILEWVGLVVILASLVCSLTIPTLARKKLSGLHLWKWELLVCVLICGRLVSGWIVRMAVFFVERNFLLKKKVLYFVYGVRRAVRNVLWLGVALVSWHLLFDKDAQRETHTIVLPYVTKVLCCLLVATVIRLVKTLLLKVLASSFHVSTFFDRIQEALFNQYVIETLSGPPLVDESRMLAEVERLQSAGATMPTELQAAAMPTPKPAAASGPVPPKSGRLTAAASRRGASKQLQKQKSDRHLDEGSITIDQLHRLSQKNISAWSMKRLMKIVRYGALTTMDEQIKHATCEEDELATQIHSEYEAKVAAKRIFHNVAKPGSKHIYLSDLMRFMRQEEALKAMDLFEGAQEQNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLHQMANVVVALIVLALWLLILGITTTRFFVVISSQLLVAVFIFGNTLKTIFEAIIFLFVMHPFDVGDRCEVDNMQVVVEEMNIMTTIFLRYDNLKVYYPNSKLATLPIMNYYRSPDMGDAVDFSVNVATPPEKLALMKERMMHYLDNKKEHWYPGSMVVLRDIDDTNRLKISIWCRHTINFQDMGMRFDRRELILHEMMKILRDLDIEYRMLPLDINVRTAPPIHSARMPTTWALNF >cds.KYUSt_chr2.7987 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50112588:50113660:1 gene:KYUSg_chr2.7987 transcript:KYUSt_chr2.7987 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGVKKGPWTPEEDLVLVSYIQEHGPGNWRAVPTRTGLMRCSKSCRLRWTNYLRPGIKRGNFSDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLQAAAAGGDAAGKPVSQRPSSSSKGQWERRLQTDINMARRALREALTSLDGINTPPKDAAADGVAAAAADSDSPAVSSSSGGSLSLSQCSPSASGPYVLTTANISRMLDGWTSKASGPATPGGAADSPSGSSASDVSYGGGLTATRVLRAAFDYERKPAVLAPAQTPLSEFETWLLDDDNNNGHGVPAMVYPF >cds.KYUSt_chr2.46693 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291986511:291990404:1 gene:KYUSg_chr2.46693 transcript:KYUSt_chr2.46693 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTAPSFSLGIDFDDPPPAATAVSDPRGQARGYAAPDAPSFSLGIDFEDDGGCVDEPRLPAGGRSVGLARRYEAPDAPSFSLGFDDDDEDEDGGGDEPQIPSEGRREEQPRRYEAPDPPSFSLGFDDDDDDGGGPGEPHLPAGGRQEEQPRRYEAPDAPSFSLVFDDDDEDDDFVAPAPRRCHEQARPQAAPSDLSCLDDEVDEFLLAGGQRPDRARGEALHPDPAPVQPETTRLKRLRRGPAPRPMAPPPPPPSARAPLVPEASPWKAARAAIGSEEDEIECSTDEESPQGMPPSVGSCRTSSNSKFSLLSQSVLTTQLARKSNIAKFTPSSKSVVSKPLEESCTKKLLPKITISPLRKIHFLDSDTDSDDNKNRNKANKPASPIKKRQESIHKYVQKKPTLQQNSKSEGSSVVQKSKDNMNDSWATPALDDFCSEYFKSVQNSRPSQHKEVNSFSGSKVPRPYNSVGEIGENFQHQSSSSRGVLEENLTDSHPPAMHYFFHHDQMVQKLVRERLQHFVPIGAGTSQGNEYGVEENLNYSGQFGQSGDANGRWVTPNRTTSIPTDFGKRRVHAGGTQSGSGHWFTGDNGRKVYVSKNGQELSGRDAYRQYKKIRFVRSKMPCCSNGIS >cds.KYUSt_chr3.12710 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76100950:76102863:-1 gene:KYUSg_chr3.12710 transcript:KYUSt_chr3.12710 gene_biotype:protein_coding transcript_biotype:protein_coding MLHITDSEGTRLLISDYTINPSISAIAVLLDDGNLVLTDQRNSSVVLWQSFDYPTASAVLLPGMRLAGLSPFMGNNVSLISYTSEYDYDLTGFTLQLDATRRRGFIIQQNPTGLVFAGTFPSWINIREDGGFALTLNDTHTYMRLNSSGFIEFASQEACSSVLWSAPESICDFDSYCGPYGLCTRTKLFTARNVYENESLVVFSFAQIRNSTKQFSEILGEGGFGCVFKGTLPGSVVVAVKKLKGLGQGEKQFRAEVQTIGMINHKNLVRLLGFCADSNNRLLVYEYMEKGSLSSHLFSTDSAKLSWELRYRIALGTARGLSYLHEECKYCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLFEIISGRRNAEKIKEGKFTYFPIFAAVKVNEGDVMCLLDSRLEGDADVEQLTRACRIACWCIQDAEDHRPKMGQIVQIL >cds.KYUSt_chr4.21325 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134305092:134306267:1 gene:KYUSg_chr4.21325 transcript:KYUSt_chr4.21325 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLPGPASEDEREYAPPPRGWYPAAYGRLLRLAGSLRGVEVADGYLRHAATGSLVTDAHAVDRMEHFEALAGEFAATRRGPPLKATALSSLTKVCDVLGVSAQRRKNVRLTVCPQVTQHHVWRGALEEVLRDLREDMGALDAPSPATQMAEQIASACARFLSDTADAATSSSPSWMRPTPYRKPAPARAKTWQEVLDMFTDLAKFLATDTRLAGHAQKVEAMKEGLYQIHDIIIERSIAFKEARHQDCLVQRNLSKNLGHSSRGLYTLLLFYLYGTVRDIEVNIGRSLSGKDGKNVTVHAVKFLINGDELAVQSGIKQLSRALGVLKFVWEAANTDIVTTEYSGKDFVVKKDNSVKGVLKLQGHLWGLGVEEKAVTYRGNVFHVHQIRLP >cds.KYUSt_chr1.23615 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140623120:140626001:-1 gene:KYUSg_chr1.23615 transcript:KYUSt_chr1.23615 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPPPRKLSPPATRTRPVAKAPPRRLPHPYPPPRPRSHPPPPTQQRNHLHGQQHKQQQAAKTPSSAWSVGFLTSWLSHRTSVLGLRGWVLAAAGAAVLTIALLALTVCLCRCRRRRRRCPRVAPSLHHGAASRSTKHHVVHQAMAADKDVVVEEPPVRWHPPPPPFQPPIEVIKAEQEAPLIAAAGSGRTTSPGTATSSGGGSGSGSARGWSSAGSDAEPREASRGGWGRRYTRREMEEATDGLAAHNVLGEGGYGVVYRGLLRDSTAVAIKNLHNNRGQAEKDFRVEVATIGRVRHKNLVSLLGYCSEGPCRMLVYEYMENSNLEKWLHHDDGEVSQLSWDTRMHILLGTAKGLAYLHEGLEPKIVHRDVKSSNILLDGQWNARVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYARTGMLNERSDVYSFGVLVMEMITGRTPVDYTRPNAEVNLVEWLKRMVAERRVEEVVDPKLPEAPPSKVLKRAVLAALRCVDPDGSQRPTMGHVVHMLEDDLRFRDELQLARDLSPHASSSWEESYAYERDEH >cds.KYUSt_chr5.32030 pep primary_assembly:MPB_Lper_Kyuss_1697:5:203050270:203055591:1 gene:KYUSg_chr5.32030 transcript:KYUSt_chr5.32030 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAEVVAAGDTAAAVVQPAGNGQTVCVTGAAGYIASWLVKLLLEKGYTVKGTNAHLRALDGAADRLVLCKADLLDYDAIRRAIDGCHGVFHTASPVTDDPEQMVEPAVRGTQYVIDAAAEAGTVRRMVLTSSIGAVTMDPNRGPDVVVDESCWSDLDFCKKTRNWYCYGKAVAEQAASELARQRGVDLVVVNPVLVIGPLLQPTVNASIGHILKYLDGSASKFANAVQAYVDVRDVADAHLRVFECAAASGRHLCAERVLHREDVVRILAKLFPEYPVPTRCSDETNPRKQPYKMSNQKLQDLGLEFRPVSQSLYETVKSLQEKGHLPVLSEQAEADKETLAAELQAGVTIRA >cds.KYUSt_chr1.6214 pep primary_assembly:MPB_Lper_Kyuss_1697:1:38193663:38195294:-1 gene:KYUSg_chr1.6214 transcript:KYUSt_chr1.6214 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIEIHELGNSMKDELTNCISSDTIYDSGSEFCLIPRIHEHIRAIDRYSYEPIILSIGPYHSSSHEFSSMDREKWNRVDYILKLNCDKGLKDYLTIINGLEKRARMCYSADIKMDKRKFLQTLLLDGCFVLVSLGEFNEFIMAGPQRGMASTSIGKILEENLTSEHPEVRGKYGSEQRNMGKHDAMKSTIVEQDNVNSKHSKEESSVVEIELCSEISGHEAPLGVYQDNAQQIGQCAALYSSLPSARTRLPQPFRFIELRDGILEIPFLLVDEETNVLFRNFIALEQTCPRVGNDVTAYIIFMAKLMSMPDDVALLARKGVIAHHMRTDKDVSQLFTRLTKGVVFDFYGNYYLNHLCLALEAYYQNRLHRWVAWLRHNHLSNPWLVVAAVAGVIVLFCTIAQTVLTVESYANP >cds.KYUSt_chr2.34397 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212422870:212428520:1 gene:KYUSg_chr2.34397 transcript:KYUSt_chr2.34397 gene_biotype:protein_coding transcript_biotype:protein_coding MVANQEAPAAESAPVDAMRLASRWRSPAEWDAAAAALEAEPVPSELNTANSSGLFAVVSIDKMSVKYLGANNHGHDVGVVQADCPAPARRAVYYFEMTVKNAGLKGQTSIGFTTESFKMRRQPGWESQSCGYHGDDGYLYRGPGKSESFGPKFTSGDIIGAGINYISQELFFTKNGSLVGSFPKDIKGPLYPTIAVHSQDEELTVNFGKEQFCFDIEGYILEEKLKQQSASDKLYLQPDISHWIVRSYLLHYGYQDTLNSFDMASETDPPANHQNGYGEPPEIYGLSHRKLLRQLIMNGDIDSAFKRLEEWYPQVIKDETSVICFLLHSQRFIEYIRGEHLENAVKYARANLANFLTHKAFEGLLKESVALLAYEKPSESCIGYLLDSPQREFVADAVNAAVLSTNPSMKDPESCLYSCLEKLLRQLTACSSELRAFNSDQGDTFLLHKEMQTCERSRRP >cds.KYUSt_chr6.160 pep primary_assembly:MPB_Lper_Kyuss_1697:6:1029698:1030348:1 gene:KYUSg_chr6.160 transcript:KYUSt_chr6.160 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDQGTTGPPMASLSATPSPSPSPSPSPAPEGGRHGREDRWRGGRGSDSDDTPRSFREVLTGEGSTARVGEPSAPPQRMEVRSMVLREERSTAIDALDDSDDTLDEEGEEPWEEPTHVTRKRARGRRGGKKVAARPVRPAREVGAYAEFEGLSLAVHAAPATVPRDSHDGAARFRCGETGHMARECSLRAIPGRRRRRMVMNLPGRGWAKPSAG >cds.KYUSt_scaffold_1854.397 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2156059:2157861:-1 gene:KYUSg_scaffold_1854.397 transcript:KYUSt_scaffold_1854.397 gene_biotype:protein_coding transcript_biotype:protein_coding MAITMGPGGKRVFDETTEYLAVTWAQDLVLVLVGVWAVVLAPDIAGAWALVLDLAVVAVLALALVTAWVLVLALALAFVAAWTPVLAPAVVAVLALALVAAWALVLALAPAFVAARAPVLASAAGTETRGEGGGYAPMLMIFL >cds.KYUSt_chr2.16486 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103727829:103729516:1 gene:KYUSg_chr2.16486 transcript:KYUSt_chr2.16486 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVFMVRLLHRKVTFLVGPDVSSHFYQGLDSEISQDEVSQFTIPTFGPGVAFDVDFATRREQFRFFGDAMKHAKLRTYAGLMVREVEEYFARWGQSGTVDLKQELEHLVTLVASRCLFGEEVRSKMLGEVATHLRELNDGMRLVTILFPHLPIPAHRRRDRARARLGEIFSDIVRSRKACMPESGGRNADVDDDMLQCLIDSRYKDGRGTTETEVVGMLVSALFAGQHTSSSTGTWTGARLLVDDNVAHLRAAVREQQRIMARHGGRVDYEVLQEMETLHRCVKETLRLHPPAMVLLRHARRSFVVRTREGEEYEVPEGSTVASPLVVHHQLPYVYGDPERYDPGRFGVPGRGADDRAGAGAFAYAAFGGGRHACVGEAFAYMQIKVIWSHLLRNFEMKMVSPFPETDWNVVMPGPKGKVMVSYKRRPLSAAATSVLTAGDELLTEITCRHPSVTHRA >cds.KYUSt_chr2.31306 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193244193:193245365:1 gene:KYUSg_chr2.31306 transcript:KYUSt_chr2.31306 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTRFLIFVVAASLVASFARADLQYDFYNTSCPGVETLVRDALTEVFAADSTVRAGLLRLHFHDCFVRGCDASIMINSNNGTAEKNADPNLTLRGYEVIEAIKVKVEAACPLVVSCADIMAMAARDAVKFSEGPDYLVETGRRDGNVSMMADALSDLPPADGNVTVLTKVFAVKNLTMKDLVVLSGAHTLGVAHCPSFSYRVHNYTGVGDEDPSMEPEYAEGLNATCAPDNFASVVPLDTVTTNEFDLGYFQSVYAGKGLLGSDDALRHDSLTGAYVSLMNNASSLDTFFADFAVSMINMGRVGVLTGTDGEIRATCGIYVD >cds.KYUSt_chr7.24941 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155621505:155621760:-1 gene:KYUSg_chr7.24941 transcript:KYUSt_chr7.24941 gene_biotype:protein_coding transcript_biotype:protein_coding WRLVAAPGLYDAGRRDSIATAAGLVSAATAAGLVSAATSTAVASATALDLVVVVAPSTGTAVVMAVVATATAARRLALDAVPRQ >cds.KYUSt_chr5.16306 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104896432:104897796:-1 gene:KYUSg_chr5.16306 transcript:KYUSt_chr5.16306 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLPILVQLLPLLLVAAAPCSLEAAAPHQLHPIVLVPGYATNELDVRLTELYRPSSPRCGERKGKGWFRLYLNYSALQDPANVPCFAEQMTSVYDTASDDYFNVPGVETRVPFFGSTQAFRYPDPDRKNFSYMNTLVERLEKVGYRDGETMFGAPYDFRYVVAPAGHPSRVGDAFLRALKGLVERASRLNGGRPVTIITHSAGGPLTHQLLIRQPPAWRQRFVRRFVPVAAPWGGIVLGMVTLVSGNNYGLPFVDARALLAAGRSQQTSLWLLPSPSPAVFGTAQPLVITKSRNYSAGDVADYLVAIGFGEAVGPYESRVLPLFGGYLPPPGVPVTNIVGVGVGTTERIVYPGDDLDATPATVAGDGDGVVNLASALAVENKWKDYRLVKVSNVTHNDLVADDQALEIVIREIQRAN >cds.KYUSt_chr7.26931 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168322125:168325381:1 gene:KYUSg_chr7.26931 transcript:KYUSt_chr7.26931 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRLGPCPWPALNRRLSRLAPPAPAPVVRVDSNNVARLGAPKPGPKPRQLLSLPPFPAGPDPLAGRKVAPRRVTAVSWVKHCFDDVPQEVVQAHFNKRQVFAECSAQEVSGECIRSQKHHLKKIKHHEVMEPGMRIHLPVSVAEAEIKKRYETIPSASLHPNRDEIEYLKRLVIYKDSAILVLNKPPKVPMKGHLPVHNSMDVLAAAALKYGNEEGPKLVHRLDRDTSGLMLMGRTRESYTRLHWLFTSIKLAKTASQTWNTACESYLQRYWALVIGVPKESEGVIHAPLTKVLLDDGKAERVILAHPSGIDGAQEAVTQYRVMGPTINGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGEPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVVPNIAKFLSNTGDWHDNGTPWSKEKPNLLRFLAPMPAHMKISWNVMSSYLV >cds.KYUSt_chr3.47845 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299780449:299780844:-1 gene:KYUSg_chr3.47845 transcript:KYUSt_chr3.47845 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLLFLYTLALLAASAAAASSADLGDLLERQESMAEVIRVSTDAVAAAAADAHTMRRMTLFMQRELGPFGIVFNAIDRMPESSVAEVRGKAQALDAAEELMIRHHRELLLGNDNISGACRQSGSCPSS >cds.KYUSt_chr4.11625 pep primary_assembly:MPB_Lper_Kyuss_1697:4:70739069:70740814:-1 gene:KYUSg_chr4.11625 transcript:KYUSt_chr4.11625 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESLLARQPQQQSQWADEITTVSDGRRDAAGEDPLLRRIRSLTIAPPLLSGQPAAGSETETSFTDILVRKPATASSVASGNMNPNLMFELFAMYREWQEDMAKEISGKQGELENKIETADALAVKLLQRFNYSVMSMRSTSHNLAEVHPLQVEVGELKGRLTEVISNCNALCSRITAEGPESLRRSVQPFTTGRVESGGGSLDLKQDP >cds.KYUSt_chr4.12525 pep primary_assembly:MPB_Lper_Kyuss_1697:4:76693445:76694368:1 gene:KYUSg_chr4.12525 transcript:KYUSt_chr4.12525 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHTDGILVSRTELIVSEAKKMAELPLATAEVCDANSHLITSGQGQGRVLVVDGGSSLRCAILGGNLAQLAQNNGWAGIVVNACIRDVDEIDGCDVGVRALGSHPMKSNKKGMGEKHAPVALAGTRVCDGEWLYADADGILVSRTELIV >cds.KYUSt_chr2.12641 pep primary_assembly:MPB_Lper_Kyuss_1697:2:80266667:80268520:1 gene:KYUSg_chr2.12641 transcript:KYUSt_chr2.12641 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLHQEQAQAQASSSPDQHQQQSPYNSSRSTTSTGSRSSSSHHTHNHNNSHSYYYPHSHSHSHSHPYYNNNNSNNNSSGNSDSYYHDHHTAGGCYSETAAPYQLQEECANDHSFYMDEDFSSSSSSRQFYQSHPQPAQLPPTQPPTAPTPPLSTSSTGGHGLFEAADFSFPHVDINIDFGSPASSGAAGGTGTASSSSGGGGVERWAAQLLLECARSVAARDSQRVQQLMWMLNELASPYGDVDQKLASYFLQGLFARLTASGPRTLRTLAAASDRNTSFDSTRRTALKFQELSPWTSFGHVAANGAILESFLEAASAAGSSSSSKPQRLHILDLSNTFCTQWPTLLEALATRSPDETPHLSITTVVPTSGQSGAAQRVMREIGQRLEKFARLMGVPFSFRVVHHAGDLAELDLDALNLREGGATTALAVNCMNALRGVAAGGARRRDAFVASLRRLEPRVVAVVEEEADLAASGEEADTEAGFMKVFGEGLRFFSAYMDSLEESFPKTSNEKLALERAVGRAIVDLVSCPASESSERRETGASWARRMRSSGFSPVSFSEDVADDVRSLLRRYREGWSLREASADDAAAAGVFLAWKDQAVVWASAWRP >cds.KYUSt_chr4.23073 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145227595:145228785:-1 gene:KYUSg_chr4.23073 transcript:KYUSt_chr4.23073 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLIIPSSGLMDTVSEIEEASFDLADLAHMATIQDAAHGQEASYQVQLDVVVPYLRNPVPQDFAVMSALLLALEDVVVHAPLDEADNMSEVVELNAAVANVVCANEDADILEDEARLVDEPLSVLRVEAPVEVLNFVVASVEFEVLAAMVNYAEGATELLVLGVAEGQTDFGNGMAPYVRAERAAEL >cds.KYUSt_chr2.19962 pep primary_assembly:MPB_Lper_Kyuss_1697:2:125597418:125599812:1 gene:KYUSg_chr2.19962 transcript:KYUSt_chr2.19962 gene_biotype:protein_coding transcript_biotype:protein_coding MSARAGRAFIVEPFPKRVRVASATHSRELLVCQTTEELKTWKLNEQQQQIVDASGLGNLIHTADLIIDCAVQPVLRAFCELWSKETNTARFYDFEMAPSLRDTAYILGIPVVGHAVTTGAVLNMSSEQLFLQYLGQAPDCSHYKGSRVKLSWLHSKFSQLSEHPTDEEIVYGTRAYLLYLIGATLFPEKERGYVSPKYLPLLSDFDKVREYAWGAAALAHLYRALSTVMSTAIKRLTGSAALLMTKKQQALAPDGVLVNKTVVNTSCGGQASNMSVLGKHHLSRE >cds.KYUSt_chr1.2177 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12670524:12670997:-1 gene:KYUSg_chr1.2177 transcript:KYUSt_chr1.2177 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPMPMPMPVAGRGDRSPERAFVCKTCGRVFPSFQALGGHRASHKKPRLDGDDLKPKLHGCSVCGLEFAIGQALGGHMRRHRAMGGVMAPLAVIKKPSAGSSVVVAGGNKRGLWLDLNHPPCSDDANHGECGHDAAAAGYTFHQFLDTGTMAVDCV >cds.KYUSt_chr7.5255 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31347383:31349345:1 gene:KYUSg_chr7.5255 transcript:KYUSt_chr7.5255 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVVSGGAVDAVEVSAKVEAVAVAPGAAAVAKNTSFREESNRLGDLKDGERKALAELRAKVEEAIVEGKLFDLEDTTATITSSKVKVEKKKKEKKEGKKKKEKAAEEKKAEVDVKVEEPAAVNEEDKKTEEVAKEEVEAVEEKKAEDEAKEDEATEENKAEEAEPAEEKKQEEGAATAEDAGEPEKADTATPAVAVVDKDVALWGVPLLPSKGDEATDVVLLKFLRARDFKAGAAFEMLRRTLRWRRDWNIPTSAATATSSDDGAVPEGACRLDGADREGHPVCYNALGVFADEAVYRAALGDGEGRARFLRWRVSAMESHVAQLDFAPGGAASLLQVTDLKGSPGPARKDFRVAIRQVVDLFQDNYPELVARNILINAPFSYYAFSTLFYPFLTQRTKSKFVVARPSKVTETLLKYIPIEAIPVKYGGLKRDGDTEFSAEDAEVTEVVVKASSTETIEIEATEGDTTLTWDLTVLGWEVSYKEEFVPADEGSYTIVVSKGRKMGAAEEAVRNSFRAGEPGKVVITVENATRGKKKVLFRHKAKSSSAKKC >cds.KYUSt_chr5.28410 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179922308:179923852:1 gene:KYUSg_chr5.28410 transcript:KYUSt_chr5.28410 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAGAGGQSKYPLNPESYRLLCKIGSGVSAVVYKAACLPLGSVPVAIKAIDLERSRANLEDVWREAKAMALLSHANVLRAHCSFTVGSHLWVVMPFMAAGSLHSILAHGFPDGLPEPCIAVVLKETLRALCYLHEQGRIHRDIKAGNVLVDSDGSVKLADFGVSASIYETPPAAASSLSGPLTHAPQVVLGSSSYFSEMAGTPYWMAPEVIHSHVGYGIKADIWSFGITALELAHGRPPLSHLPPSKSMLLRVTSRVRLEDAENSKKKKFSKAFKDMVSSCLCQEPAKRPSAEKLLRHPFFKGCRSKDYLVRTVLSGVPSIEERCLQDVTSFCGCTAGGARCVSPCHGQASIVKNRRMSGWNFSAKEDVESFEELDLTGTAARRFHLVDDEYPVLEPTCEGGAGEDEDKGMPQSECDDQENEEGFGVKGVVVPHLMTILGSLELQKRMLAQELEGSCYHLDGNCCRDTTAREKMLLAYVRQLEERVEELTLEVEEEITRNAHLEELLHEKAT >cds.KYUSt_scaffold_6468.154 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:689448:694413:-1 gene:KYUSg_scaffold_6468.154 transcript:KYUSt_scaffold_6468.154 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFSDFRALLNTTATESTTRLGHCEKAVKLVSEKRTALYNKLVASYHKAKAERSSLARQLELPPPRSPSYRKSSGCHANSVPPARKTPRHWLSGGGLPERWKKEVAGVDLAPVKKMSWPWRPCVRDDDEDVEEEATVYVEVKEESRWMEEEKNVESRELSLPDMEMEGELGRLRRLEASHLTELNNVKRVEQEKVDDFS >cds.KYUSt_chr3.7175 pep primary_assembly:MPB_Lper_Kyuss_1697:3:41482779:41488627:1 gene:KYUSg_chr3.7175 transcript:KYUSt_chr3.7175 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIFKRRRDPLSLLYLVILGSQLSSSVAVRYSNHTSVGGVKPVHCLPDQASALLRLRRSFTVTNESTCTLGSWRAGTNCCRWEGVRCHDANGLVTSLDLSGCHLESPALDPAVFSLTSIRHLNLAWNYFNGSQLPASGFERLAELTHLNLSSSSFGGHIPVGIGGLTNLVSLDLSTSFYVVEDLDGYSELDTYSDNSLCLVEPNITSLVANLANLRELNLDWADLSRNGPEWCTAFSNSTTPHLQVLSLRHSVLTSPICGSLSSIPSLTVIDLQYNHLYGPIPDSLADLPSLSVLRLTLNNLEGQFPVRIFSNQNLTALDIRHNVGISGSLPDFSPDSKLLILLVSHTNFSGPIPSSLGNLKSLNMLGVAATDFTQELPSSISSAVTFSKILKTLVIIDVSDNAFHGTIPESIGELVLLGGLNMSHNALTGTITSQLGALHQLESLDISSNGLSGEIPQELAWLDFLSVLNLSYNQLVGRIPGTPHFQTFSNLTFMGNIGLRDKLTLAEVYEALQQREKMKSMVQAEYSSSKAEALQSGDFVRTGDNNPREVVGISSVQIKMHDGMTHTLTDVRHITGMARYLISLSTLDVDGDEGIVRHHTIPYTPQQNGVVERINRTIISRARCMLSNAGMHRRFWAEAPSTACYLINRSPCIPLNKKTPIEVWSGSPADYSQLRVFGYTAYAHVDNRKLEPRTVKCVFLGYGLGVKAYRLWNPETKKVLHSRNVVFNEVVMLHKSSFIDVSDATGFSDVSDDEPQRISVQVQHVEEKENEVTENDNTVV >cds.KYUSt_chr1.29368 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177716494:177719074:-1 gene:KYUSg_chr1.29368 transcript:KYUSt_chr1.29368 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCSPRGVPRAPLSLHTPSTLPMAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRFSVGGVPIPPPPQGHALDVAIEEARMTLADEERAEPRHHPDNYTAWNSYFLRRWERELASYDGPPPPPPRNNAAGRRRWWSAPERTLANVLVHIEGGNFPVLTMPPPSRASASHRRGNVWQPRRMAASSSSSGSAPRSSFAPVKREEATSPSTPVRVKKEPASPPPTRGRSSGALVIRDQPSQSGRKRKAAKKEDAAAAAANRLTEEEAKRAEDAAVAEAIVRSLNDLVPADNALPEDAALAWSRRDWEREEAEQQRRPFDPAAARQLAARAAPNRRRRRRALPPSCDTSIRRRCPRRRPRVLGRRMAMASMVSKLARAAFATRASPSAVGGLAGGGRRAAAISGSPADALTVSLAEVPKAYPNPPVDENVLKSKEAMWAFYEHWCKFHGISRDRREMARRFKTFSDNARRVYEFNNSNCRGSLAMNPLSDATTEEIKMRNGYRRRTKK >cds.KYUSt_chr6.27360 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173716487:173728546:-1 gene:KYUSg_chr6.27360 transcript:KYUSt_chr6.27360 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRRTAAHLLCYARTVPANEARMAFDQMLLPPTTVLCRPPRVHAGHMLDGMRGSRFCTQAGSTGTHELAMGQKFTVVPGSDGSEAAEKVCRIAVDQQFTVVPGSDVSEVAERVCRVLSTQPEPTVAPALDALGVPMSRELVAEVLKNLSNAGVLALTFFRWAERQEGFVYTAESFHNLIEALGKIKQFRLVWSLVEAMRCRGLLSKDTFRLIVRRYARARKVKEAIETFEKMGGFGLKTDLSDYNWLIDVLSKSKQVRKANAIFKDMKRDGKFVPDLKTYTILMEGWGHEKDLLMLKSVYQEMLDAGIKPDVVAYGMLISAFCKSAKCDEAIKVFHEMEATGVMPSPHVYCMLINGLGSEERLDEALKFFELSKSSGFPMEVPTCNAVVGAYCRSSKFEHAFRMVDEMRKSGIGPNSRTYDIILQYLIKSQKIDEAYNVFQEMGRSGCEPQINTYTIMVGMFCSNERVDMALKVWNQMKEKGVLPCMHMFSALINGLCFENRLEEACIYFQEMLDKGIRPPAQLFSNLKQALIEGGRISLAQEMAFKLDTLMGKDGSHMAGGTDHDWGHADLGLKNLGLEKAGTESVSTLNVVDIDESLFVQFDNCVCYHRSISLSAVLPEKPLKAPKVQKKEYDESDLGNLLKNRENEKALKELKAKAALGALGEKKRTYPVLRAPALMVWLLDQEYDRDHRAFHMTERTTDLHPLKIRYHGTVDMAYDERYTEFIQPTGLLPFISLVSRGGPNMNAAELTTLVDRWRPETHTFHLRAGEMTPTLQDVSMILELPIQDEPLCMNTASDGWRQKMEALIGMAPPPPADPKARAPAGAAFSWITLNFGQCPQGANEDTIRTYTRVYLWYMISRTLFPDSGGKLAHWCWLKALTVLEHRWSWGTAALAYLYRQLDEACRRTESGDIGGCLLLLSVWSWDRLSAGRPRTLTERPWPHHHDNLDREPTWAYLWDNVSEMTSDPKIMYMHYTEELETLTAEQVDWEPYGTYYRIGAGMPDLNHKCLEEARFWPILNAGSVEIVPHDLAAFNNYLQWFHQSTRIELVKHAYGDDILDDPIEFDEVAQSQHDIYARKGRSTSIASELNFVRSEIQKTADECEVMWDQSLRDEKPVGPLRHFIKNTARKMRRLANLLGCRDAEIATSSSEELEIPDDDAILSQSISRGKKQATWSAYQLKPRGKAPNLYTPEDYVNRGKKVVIEEDEAPPRRSSLRRTRNDEPLSSEEEEQQEQEHQQQEPRQRTKRMVVRKQPVRRGPYRIPSTLSPKRRELPRSTLDNWRRLWNPVGTRSIIGRFNHVVGSFVDAIIIANLGKSDPIRLL >cds.KYUSt_chr3.36027 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226443718:226445942:-1 gene:KYUSg_chr3.36027 transcript:KYUSt_chr3.36027 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLRPLTRPSSYGPYVSTRDGRHSRANYLEANDRRPIQIRLQGRYPSTHITSHALPKNPLCAVAFLLASLAPKQQPPPPRPELDSLPTGTTHRRKPAPRSLPFFVLLRSARSYQGCVVVRMVRISVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >cds.KYUSt_chr7.35779 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223471486:223474605:1 gene:KYUSg_chr7.35779 transcript:KYUSt_chr7.35779 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQPPHDIPEDKGSGREETNVLIEEPPGSPPAKDGGAGSWVPSPVAWFRMLVRELHWSFVLGVVATYGISQGLGGGITRVASDYYWKDVQKVQPSAAQVYHGVTSIPWMVKPLWGLLTDVLPVAGYRRRPYFVLAGIMGVIAMLIVSLHSKLHVMFALLALMSGSASVAIADVTIDACVAENSLIYPHLAADMISLNGFCASVGGLIGFSISGFLVHTIGSQGALGMLTIPSALVILAGFLIKDVHIPNFPYGQAHKKFSEASGKMLMTLKCPEVWRPCFYMYMSLALSVEIQEGMFYWYTDPSAGPSFSEGFIGFIFAIGSVGSLVGVLLYQNILKDYSFRSLLFSSQLLLSLSGMLDLMLVLRLNLKLGIPDYYFAVIDEGISKMINRLKWMPLLVLSSKLCPSGIEGTFFALLMSIDNIGLMTGSWFGGLLLHLLKITRTEFKNLWAAILIRNAMRLLPLALLFLVPRSDPNSILLPADLLAGDDGAEAHRIESVELTSLDVEKNSSTNGSLQESKNKEHLDVGQDDDEASLLANRG >cds.KYUSt_chr7.7214 pep primary_assembly:MPB_Lper_Kyuss_1697:7:43421574:43425127:-1 gene:KYUSg_chr7.7214 transcript:KYUSt_chr7.7214 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLTPPGGGSGEDTPNKLASLLDLEDRPLFLSSPYCRHGDGGGGRARWWPRFYQLGRSSSLATSDMELWRTLRCCSGCSPVSSSSNHLTEWLSLEDFLPAQSWLDGRQPLASMSSTSSRCWRWWHGGINAPSGLVPGGAGVGSVKRMKFGPDCFFTFLSRVLVAKSRDWMVWLLDEEYDREHRAVHMTERGTDLHPLKIRYHGTVDIPYDERYTEFIQPTGLMPFISLVSRGGRT >cds.KYUSt_chr7.28860 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179666506:179666883:-1 gene:KYUSg_chr7.28860 transcript:KYUSt_chr7.28860 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGDDGRALLALRSEAAVDRRRGQEWKVEFARYFTAPPRGPSPPPPPPGVRYAFHANHRHPGAWLPAATPASLRVSRPSQASAVPVLTVSIGDVVFVRTNSLPFPFLSGDDVAAVCCVLGRYN >cds.KYUSt_contig_97-2.108 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:508324:509121:1 gene:KYUSg_contig_97-2.108 transcript:KYUSt_contig_97-2.108 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGEQQMMAVRPPVPYQVWAAALAQRQQQQVPAPPPGFMPPARPAWKRAGRKQRQQQRSRAAGGRWGGSEAPRNTTSYLMQAKRAGGVAPSPCAVTPAVLPTPVLSPAREVLVEMAKEAWGVDGYGSMKGLIRLRPQPPAGAWGEDGDGGSGSGESDVEEHVEVERRLDHDLSRFEMVQLPAAPPAADEDDGVEARAARLEEENLTLRARLFLMERDMADIRRRLLAVEALCRDRHRDGCVVDGPSETAAGAGDEPGSADAMAL >cds.KYUSt_chr3.32038 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201380790:201382017:-1 gene:KYUSg_chr3.32038 transcript:KYUSt_chr3.32038 gene_biotype:protein_coding transcript_biotype:protein_coding MALDVIIVRWLLLVAAVASVLVAPARAGAGAGLAIGFYNETCPNAEELVLEEMRAIVHKDRSLGPALLRLLFHDCFVRGCDGSIMLKSKSLKGERDAIPMSISLRGFNEVERIKAKLEDACPLTVSCADIIIMAARDAVYLTNGPRFPVETGRRDGKVSSCADAENDLAPPGANIVDLKTYFSFKSLSWKDLVVLSGSHTIGTAQCAAFAADRLYNNSGKGVQDPTLDKKYAPDLRALCEPGNLKDETPADMDPGSSYEFDLSYYRNVYSNKGIFVSDQALLDDRWTHDYVERMATAESPDEFFADYAAAMINMGRMEVLTGDNGEIRKICGAYVD >cds.KYUSt_chr2.42409 pep primary_assembly:MPB_Lper_Kyuss_1697:2:264176810:264179697:-1 gene:KYUSg_chr2.42409 transcript:KYUSt_chr2.42409 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQLAKGMAKEVESKRNPPSVVARLMGLEDDIPSQEQALNSAKRNLRRSHSHDNLSATNRALQQQKQHHYNRKTQDIHIRSPKETVEFKDVYAVCEEPLRTHHIQNQTSSGGRSSRDKSDTRLEVVRQKFIEAKRLATDENFLHSKEFQEALEVLSSNKDLFLKFLQEPSSVISNPLNGHRTMPAPPQTKHITVLKPLNYVENKGVRETRTHRDNEENDFVIGKSHNRSHSAEDNFSHTNRIVVLRPSPGKPNRTHARLTPRSAPSEQAQRTDFLGDLEDCIPTLRYDVSDTSVQYLQEDRYRRDESLLSSVYSNGYIGDESSFSGSEGDYIDEDGGGLSDSEAVSPVSRNSWDYIKRYSSTYSSSAYSRASHSHSAESSVIKEAKKRLSDRWTTVACDEVIQEVKLPRSSRTLGDMLSIREAEKEEIVAVPDSASSSHPCGTGNELAMQATCISTFREAENGERSPRNLARSKSLPVSSEAFHNMVVPANSEGCKTSKVDAGPGKGKLSFKGKVSSFFFPSSKRLAKEKTILPSDISDEKVEASFLGGTQSETEHGLEFDEQVAFCYIFLPAMSLRHYDNDYVVGSMEEAVSSDCPSGYTDELRSNGGLKCMRDEPSPTSVLDAPSEDRNTNEPESSRSTRSCNERIALRSPIEHVFRSLSWEDTNSSSPLHSSLNFSSADDDESERYALVQKIVSAAGLGNLQVSMVFTGWYSAGSPLDPALCDKFLDRKEEAAKSRERRSNQKLLFDCVNMALVETGQDVLQNTYPWGKACLGAWRETLSQDLVEEVWSRVRGWLYGAEWFAANERADAATMLERVVQQEVEVGGWVKSERSETDEIAKQIAVCLLEELVGEAVACLAVCFPQQGIPVPMPNL >cds.KYUSt_chr3.17696 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108486238:108486513:-1 gene:KYUSg_chr3.17696 transcript:KYUSt_chr3.17696 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSGSAAARALAQLLAPTTRGYAAAAASGAMRRGAADRKAAGEAEKAAADASWVPDPVTGHYRPANRAAPVDPADLRAAHLGRTHARA >cds.KYUSt_chr5.33678 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213833579:213835086:-1 gene:KYUSg_chr5.33678 transcript:KYUSt_chr5.33678 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHGPGAASKLTRTPSSLLRSPTVRNCSSFHAVLLHDDPEPDHKKSHAVAAQHTHNLRPAAAHPLILLTLPLALLLLILLLHNDRHLALLAAAAAAALAAAAAAARLLRGRLRLRRASPSGSVHWFIGDDDDAASATTSPHARGRVVREGVEFYSNGDCYEGEFHKGRCNGAGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYSGEYFGDKIHGFGVYSFANGHCYAGSWHEGKKQGLGMYTFRNGDKRSGDWDFGTLKSPMPPTDPSVERAVQAAQRASENAFRLPRVDEQVHKVVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >cds.KYUSt_chr5.3977 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25682567:25684219:-1 gene:KYUSg_chr5.3977 transcript:KYUSt_chr5.3977 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEDQRLKPRGDGREEYEEMEDQAARLPEDVLAAILRRVPPRWIAASRCVCRAWRDAVDGRRLLRADLLPLSLAGLFVHFNEHKFPEFFARPSSSAVSGDLSFLPSVSPHCGYFWEQDCVDFDDYNIKDHCNGLLLLSGNYVVNPATRRWHTLPTCPHESVTGGGVSYSTYLVYDPMVSPYYEVFNIPTLSAYHCRGEVHPSMEESEWPQSHCKMYVFSSKSGYWEEKYFVREGDAVGALREMEECFGRFNAVYFRGALYVHCRPNFLMRISLSNNTYRVIKPPIDATEHYCPYQIVRSKHGVYSVSVNKYWPRRKCWLQVWILNESCGQTKWMLKHDKNLRPLLVRRAYRRFRWILEDINYNMFRASASCPEDNKKASSGENTEWNSDEDVEEEDMVDHCYFENNKKSAVEKKMEWDSNDHNALNNGDVVEEYLSDDEEHYDHFYHSVRILGFHPYKEIVFLSAFERRCLAYHLNGSKIEELGKIYPKEYTYFKELLNEQEEIQSSPYTPCWIEEFSLNS >cds.KYUSt_chr5.20497 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133210318:133211175:-1 gene:KYUSg_chr5.20497 transcript:KYUSt_chr5.20497 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSRKVRISCYDPDATDSSDEDEQNAKKEKRMIIELEIPRKSSGAFKSRKILVPCSTKKSNAVEKKEPSSKYPGVRMRAWGKWAAEIRDPVTKTRKWIGTFTSEEAAAEAYQAERSRVRAEMLAIKSRSSAPEEEALSSSATVSCVSSSSSLDQKTEEVHKVVPMEIAPGTVDQSLQLHSSSTPSDEEIPVDVLLGQINELPISDYVCPTDELSLDDFSRLADMFTVKGFIGATDAPPDDDYIGLADISHLQMPVADPMFDLDAQIDWAGFDFDSMECELQRL >cds.KYUSt_chr1.38922 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237955155:237957824:1 gene:KYUSg_chr1.38922 transcript:KYUSt_chr1.38922 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGAAERRRVALRVLLARGEGSSSSPPPRPEEARSKQGLASALRGLGCTSAAASLAHAPVAASTVEAVRSSAEEAEWRGSRRTRRKERRNARAAVGGGGAGPAAGGGVAGDVWCTCTPGIPFAAEASSVDCVVARHHQPAGAGRRGEGERRHRERAADHRARRVTMREHISSSFMDSPPRFHMPFHDADLLHSGRHRHITGFSHSHARTEEEIMMFRTRLLLGRMGMYDQYQDWRLDVDNMNYEELLALEERIGYVSTGLREDEIVRGLRMVKHSAFDRKHCSTDADRSCSICQEEYEASDEIGRLNCGHGYHVHCIKQWLSRKNACPICKMAVSKP >cds.KYUSt_contig_2887.138 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:685883:688208:1 gene:KYUSg_contig_2887.138 transcript:KYUSt_contig_2887.138 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSFADAADEDHSHAPAPAPAPAADTTDAGGVDRRKRDGDGAVGGGPHSKARKLDGVGGERAVAGDGGCGREVRRVGGDGDAGISMRIDPDLLDCSICFEALCPPLYQCQNGHVACISCWSKLKNKCHVCSNNAIFTRNIALEKIVESVKSSCAYAKWGCCNLVSYAQRSTHEEACLFAPSTCPIPGCGYRGFTGCWSGHFLVDHSADCLHFVYGQPIEVNVEVSLPFLILLGEDDHLFLLLNKNMMPFGHAFTVVCLRTGNLNWKFSYEIKAASKGNSENCLQLKASVTNIKEWVGVHPAEAFLLVPYDFCRSASLKLNVSVVRSACV >cds.KYUSt_chr4.47054 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291175942:291177294:-1 gene:KYUSg_chr4.47054 transcript:KYUSt_chr4.47054 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQRLPLPPAASPQLSRGNPGTSRDAPAPICRYWNSGHCSRKPCRFLHGDPAAPAARKKRNNTWVNTSSQIPTTTNAAVPSVPPPTTRNRHAEQGRQVPPPPNPKRHAEQEEQAPPPPKRGCHAQEQEEPGGGGGAWCAGDGIRGVARLEGHAKAVTGVAVPEGSGTLFSGSLDGTVRAWDCGTGKCVHVAPAHEGEVGCLIAMGPWVLVGVRGAVKALQTGSGKELRLRLPAGAQITTLLAEDDERLFAGAEDGDIYIWRLDRERQRFDEVAALAGHEGQAVASLAQGKGALYSGSADGGIKVWDLETRRCIFSFAGHVSMITALLCWDRFLLSSSDDGTVKVWRSKPDHGDDDLELEVHYTHKEEGQRVVSMDGTHDANKKPVLLVARGDGVVRVYDLPSFKRRGQIRCDGEVTAMSLRTPGMVFTGDESGEVRVAKWVPAGGCSS >cds.KYUSt_chr1.2232 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13088283:13090721:-1 gene:KYUSg_chr1.2232 transcript:KYUSt_chr1.2232 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCPLRRISSSTSTSSPSRSWSPHAAFAAATDRARAGTLSQEDAHSLFDELLRQATPVPERSLNDFLAALARAPSYDDRRSGPALAVALFNRVCREDAGLQVAPPTVCTFAILMDCCCRARRPDLGLAFFGCLLKKGLKTNQIIANTFLKCFCDAKRTDEAVDVLLHRMSELGCVPNVISYNIVLKRLCEDRRSQQALDLLLTLAREGGGCSPDVVSYSTVIHGFFREGEIGKACNLFHEMVQQGVVPSVATYNSVIDALCKAKAMDKAELILRQMADNGVRPNNVTYNCIIHGYSTSRRWKEAAKMFKEMTSLGLVPNFITCNSFMVSLCKHGRSKEAAEIFNSMADKGHKPDIISYTILLHGYASEGSFADMINLFNSMKDNDIVPNCHVFSILIGAYARCGMMDEAMLIFAEMQEQGVTPNVVTYSTVIAALCRMGRLADAVDKFNEMIAVGIQPNNAVYQSLIQGCCIHGDLVKAKELVSQMMNKGIPRPNIVFFNSVINGLCKEGRVVDAQDIFDLVIHIGETPDVITFNSLIDGYGLVGKMDTALGILDAMVSAGVQPDVITYSTLVNGYFRNGRVDDALALFAEMLHKGVKPTTVTYGIILDGLFRAGRTDDAKKRFREMTQSGITVNISIYNIILGGFCRNNCAGEAITLFHKLGEMNVKFDIKTLNIMIDAMYKLQRREEAKKLFAAISNSGLVPNAFTYSIVIKNLLKEGSLEEADNIFSSMEKIGCAPSSCLINDIIRMLLENGKIAKAGDYLSKVDGKSISLEASTTSLMLSLFSTNGKYREDMKLLPAKYQIFDGLG >cds.KYUSt_chr5.15647 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101057684:101060280:-1 gene:KYUSg_chr5.15647 transcript:KYUSt_chr5.15647 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLYVCHLAKLAFSPSLIAEILAHATFSVEHFPISSSMLLLMENLPALLFVLLGLALFYLGNILWLRPEKIRKRLRRQGVKGPRPTLLDGNTREMKRIRHELKPMKKQDSNNYISTLFPHLLIWMETYGSVFLYSSGGREILHVSQPDMVKDIGHWTPSELGKPNYLRKSRKALLGRGIFTVNGNEWVYQRKTMAPEFFMDKIKGMIQLIEDSTAPLLEVWENILDSAGGSKEIVVDDYVRNISADVISRACFGSSFSKGEEIFCRLRKLQTAISQQDALVGLSALWKHLPTKSNREIRNLVEEVRLLILELAKATTNNNGAEHSGTYNSLLHAIINGASGPGHGGTSEDFIVSNCKAIYFAGHETTAVTAVWCLMLLATHPEWQERARVEALEVCHSRSTLDANGLQRLKTLTMVIQETLRLYPPASLMLREALTDIKIGELDVPRGTILQVTRLMLHLDKEAWGSDADEFHPSRFANGVAAACKPSHMYAPFGLGLRTCIGQNLAMAELKVVLARLLSRFAFSPSPRYRHEPVFRLTIEPGFGMPLVVTRL >cds.KYUSt_contig_1467.253 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1602845:1603799:1 gene:KYUSg_contig_1467.253 transcript:KYUSt_contig_1467.253 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTIPLLTPYKMGQFDLSHRVVLAPLTRQRAYGGVPQPHAVVYYAQRASPGGFLISEGTRISAGEPPALPESPSSFTDVPGIWARRSKWRRGGPVVDAVHAKGAVFFCQLWHVAGDVDRPAKAAAAARNAVDAGFDGVEILGANGYFVDNGGQGEGGVNGIDSRCRFAMEVVDAVAREVGGHRVGVRLDQFDATAEEHALALHVVSRLSDRGVLYCHMIEPRVDGRRRVSRRLLPFREAFGGTFIVSGGYGREEGDAAVGEGYADLVAYGQRSGDMPMACHR >cds.KYUSt_chr1.19934 pep primary_assembly:MPB_Lper_Kyuss_1697:1:117499622:117499945:1 gene:KYUSg_chr1.19934 transcript:KYUSt_chr1.19934 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMVADAPPSPSPSSSAIWSRHRDEITFDRLRKVPSPPTQPNSIGSGSADNDGTSPLLPLPGADLRHTSGWVRSGVELGFYSSSLSLTLRGVDLIGSSPMLSAFVA >cds.KYUSt_chr6.30895 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195814653:195816105:-1 gene:KYUSg_chr6.30895 transcript:KYUSt_chr6.30895 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYTFVDGRILCLEDRVAVALIRLYSNEPSETLGSSFGVHESTIKLVTDSFIAAICKGAMHHMYWPHSSKMDKIKSRFGKIHNMHNCCGVICTTHVPFGPTCDHEKNVSILMRAIVDPEMRFMEIWLEWEGSMNWSSDLQGCDLFKECEEGVYLNGSKLKVALDGSEVGEYLIGDAGYPLLPWLLTPYPEEDLSDSRAEFNSRHSAATTRLTKVLARFQDTWKFLEGQTSCPANVESLAEAIYACCMLHNIFIDMENDEAMPGIEEPDYCEEVRQLADEDAAKARDMLSQYFWASMTSASGGEREAFIVLVGAFECMNPEQPMQWSQRLI >cds.KYUSt_chr3.22842 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141198240:141205819:-1 gene:KYUSg_chr3.22842 transcript:KYUSt_chr3.22842 gene_biotype:protein_coding transcript_biotype:protein_coding MIHELVLLGQRGREEDGEVVKSVEPAAGSLPVAGYSSAAQESANEEDYYAELCALSWLKVPQALLVEGFDRLIMLVVGHCPFKPARCLFRKEAQPRDYEVHAAQAGGSSTANLLQALEEYLPVLLGLVKERGSELRNKVQFVWSNQEDDAEETSMADAWYEVLSMLHLMAMVCFLQANSLLLPRSYSDGYGPRVSEESRRATVDVFLKAAGFLDCAVNQVLLQIPPEKRRALPVDLAEGNLKALSLQGLGQGVDMQLGLAIDNPKATLAVKRRLACELVKYWQQVQQIKAEG >cds.KYUSt_chr5.42486 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267751926:267753761:1 gene:KYUSg_chr5.42486 transcript:KYUSt_chr5.42486 gene_biotype:protein_coding transcript_biotype:protein_coding MASGENNEEEYYSSPEEAEDDDEKMSYRSASNDSDPGESDGDDSMGYNDDDDDDYGEEDESMEEEEEGVMEERREREERYAVLTMDAVRARQEEHAARVADLVALTPAQAAAVLRHFKWSAAAVEDGWFANEQRVRDAVGLPQYSCSGVSANAAPLTCAICFDVHPAGAMRSAGCQAHFYCCGCWSGYVRAAVEDGARCLSIRCPDTSCSAAVVQDLVDDVADPDDARRYAEFLARSYVEESKKLRWCPAPGCDHAVEFLDGERCTVQLDADCMCGYGFCLGCGEEAHRPVACDTVRAWLVKNGSDSETANWVLANTKHCPECRRPIEKNQGCMHMTCSPPCRHEFCWLCLGSWKTHNDNGGFYQCNRYQAAKREGKFSAEEVRRQQAQASVDRYLHYYERWAAHERSRNKALDDLAALGSAETGKMEEVAAAFGVLETEMDFLKDAYRQVAECRRMLRWTYAFGFFVEDPAKLQLFQMLQTDAETSLERLHGCAEKERVDIVAAAAAATVYSDSGDLVPQAPAPEHYAKYREKLSLQEKSSVPCTGLCRVLPVPFAVRKFFTVRLAHGNEFFAVWLRGDARQTSSARQRASTALGKATPHGKAARRRTAT >cds.KYUSt_chr3.19931 pep primary_assembly:MPB_Lper_Kyuss_1697:3:122870361:122871706:-1 gene:KYUSg_chr3.19931 transcript:KYUSt_chr3.19931 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPQCELLMPHETLNMDVGQSHLPASSVTAMPADLNFHLLSYVDPAAATAVSPQPTVEYFFGGTDQPPVQFEQLAATNQQAMSMLRDYYGQYPAAAETYLRGGPRTGSSSLVFGAADDESTYLVGPFETSPKPRASGGRKRGRGTGGFNGGQNNGVEKKEKQRRMRLSEKFTALMLLIPNRTKEDRATIIADAIEYIQELGRTVEELSLLVEKKRRRTEHQGDVVDAAPAASAGESESSEGEVAAAVVAEPAALQPIRSTYIQRKSKETFVDVRIVEDEVNIKLTKRRRDGCLAAASRALDHLRLDLVHLSGGKIGDCHIYMFNTKIHQGSPVFASAVASKLIEVVDEY >cds.KYUSt_chr5.9888 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63258225:63262189:1 gene:KYUSg_chr5.9888 transcript:KYUSt_chr5.9888 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVAAVVRLAAVSRALVLALSLLARLLFRPYDTSASLHPPCLSSPSFPSPAPSHNSTAAAAAISSLAVWDGVHFARSAECGYEYEQSFAFLPLLPASMALLSRTLFAPLVPVLGYRAVLVISGHVLNNVAFVAAAAYFYRLSVLILKDSGAAYRAAVLFCFNPASVFYSSLYSESLYALFSLGGLFYLFSGANTVAMIMLAVSGSARSNGALNAGYFCFQALLQAYDAAIQKKRPILAVQALITGFLRSTFIFIPFFAFQAYGYLNICLHGNSDELRPWCKVKLPLLYSFIQSHYWGVGFLRYFQVKQLPNFLLASPIIATVEGRSVELCESSDVATVLKSEFSSGLNNKKQAQTDVKKRKSAASEATLASLDGNRSTGRILKVDKDECSVLVLPFILHLTFMTFTAFFVMHVQVSTRFLSASPPIYWAASRILVSPRGSSKRWGYFIVVYFIAYILLGSLLFPNFYPFT >cds.KYUSt_chr1.35610 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217086464:217087921:1 gene:KYUSg_chr1.35610 transcript:KYUSt_chr1.35610 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEHGKKLRILLIPFFATSHIGPQTDLAVRLAAACPDAVEPTLAVTPANVAVVRAALDRHGASAASSAIKIATYPFPHVAGVPPGVENLTPAAGDAWRITAAALEEGLTRPAQEALIRDLSPDAIITDVHFSWNSIVAGELGVPCVTFGVIGAFSSLAMHHLSSTLDGSSESDQQEVVVPGFPGPEIRIPMAELPEFLRCQQKNERFNTTVQGIGTTGFGLARNTFFDMEQQYCELYARHGYVHRAYFVGPVSLPLPRGGAAGVGESSRAITSWLGSMRTCSVVYVCFGTYALVSDDQLRELALGLEASGHPFLWVLRADGWTPPAGWEERVGKRGMLVNGWAPQTAILAHPSVGAFLTHCGSSSLLEAAAAGVPMLTWPLEFDQFIGERLVTDVLKIGERVWSGPRSTRYEEKVTVPAEAVARAVARFLEPGGRGEAARVRVRELALKAHAAVEEGGSSHTDLRRLINDLIEAKEAAARCRQ >cds.KYUSt_chr3.26284 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163551233:163552346:1 gene:KYUSg_chr3.26284 transcript:KYUSt_chr3.26284 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVWDWNIYGTKLKFDGWFLNTADEDGGGGEDGDGGEDDDGGEDGDGGEDDDGGEDGDGGEDDATFALEDIGREIVSCARAVQLLAIRANFRISHINACDWNQYTIIYTALEGEVQEEGMDLVLTGPYRMLEAYCTLGLEVFTAEDEGPSTDDEGSSTGRIFKKWNVARPDEVEEFTQTIYGGLGRKLEVTYLVIPEAVETHVEVRLNLKDLGSKSRAVYGSVKSIAIDYGSKSVHLFSCERGKSLSLPCGSTCILPLGPHMIALPYLRHFELQIEVDLRVITTCDSQEEDKNLKFCLDCSRRIRSEERLEHRRRIRSQKRELDGDQVEVNVI >cds.KYUSt_chr5.5578 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34851092:34851436:-1 gene:KYUSg_chr5.5578 transcript:KYUSt_chr5.5578 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAVLAVLFVGAMCEAPVTFTVEKGSDEKNLALSIKYNKAGDSMAEVELKEHGSNEWLALKKNGDGVWEIKSDKPLKGPFNFRFVSEKGMRNVFDDVVPAEFKVGTTYKPEE >cds.KYUSt_chr2.2720 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16271131:16274007:1 gene:KYUSg_chr2.2720 transcript:KYUSt_chr2.2720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate peroxidase, ROS homeostasis, Chloroplast protection, Carbohydrate metabolism, Plant architecture, Fertility maintenanc [Source: Projected from Oryza sativa (Os07g0694700)] MAAKCYPTVSDEYLAAVAKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVATKTGGPFGTMKCPAELAHGANAGLDIAVRLLEPIKELVPILSYADFYQLAGVVAVEVTGGPEVPFHPGRQDKLEPPPEGRLPNATLGSDHLRQVFSAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTANPLIFDNSYFTELLTGEKEGLLQLPTDKVLLTDEAFRPFVDKYAADEDAFFADYAEAHLKLSELGFGEYSEGCC >cds.KYUSt_chr3.42695 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269944891:269954627:-1 gene:KYUSg_chr3.42695 transcript:KYUSt_chr3.42695 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSVALYGQLKILEKVKMAYDLPVVTDVHESWQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPVNSPCDGPTQWPLRNLEELLEELIAIALQLLLFPSTTKPPKKLAVDCAPKARKPWAPKQRPPGISNVEWAVDVERRRNETRGRAEREKMCNAKRVAASTEEHARLTSINFGQPRIAQFSGPWPTQGMIGSPSFSSATTMFHDTYATGVGRFTPSPPAYDSAIYEGISPALRRRPLSFSNPGMPPPNEPVMHEMITSGSMAVASSPSFTQEEARATEVVASRGGFNLNLDQDDHHDKNDGTQYVDEEDEQTYVDQDVRRVFTMYADDNDGQMFKYLNVLARITECDKWKAVCKNLANKKGEQYNPDDPALAASTERTTDASGRAELLRRFVQSRDSESWLHAIPYTCGLSTGCPELGQKKLKEQKKAGHPADRLQASFDKCWADAREHAAGRDDKHDVRWKAMLANQGLRIALLKETSAAKKRNTDLFFLIGGNNANMDDETRAWYDAHRQEILRPPSTPSSSASTSTPTASTTADADASPADAAPDADASPPDAVPDAVAQDGTADVPVII >cds.KYUSt_chr6.28861 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182853033:182856232:1 gene:KYUSg_chr6.28861 transcript:KYUSt_chr6.28861 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNQSLTRLRHTRLLAFLRGHGYDAAFNALLYQARDEFSMEHMKLLVTEGLWDDALRYLDGFLPACARSFGAQVFRNFLLMHRHIAAVVAGDRAALNAILADEWMRDVVYARQATTYTNRAVDPVMARSVLFLDDVRSCMNWEQVRSYAADTVDRLVWLTPEQNRRRLRLPARQVVPRDLLPVGLGRRYIRHLKWSTFESFDESREFLATIIDDSLRGGLHVGCYVIQTDGKEGSPDLPIWMVTSRAGSSGVTAKTNADLWMMDPNEGTRGIDLNNPPPDNGDDYFETQEEIVAAASVRQEGVDLEATTDTQPTFEIGITTRDLISSLDSRSIENVTFLC >cds.KYUSt_chr7.2908 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17218467:17219100:1 gene:KYUSg_chr7.2908 transcript:KYUSt_chr7.2908 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRQAFLENLLVSLQLQQEHTSKHHSSSLGAAMSLHERKLAVKSSADVAMAAARGAGARWTRAILAPAPSACKVQRCRRIVIRCRGRKRSLPRSARARDGGTSSGGEVARRLVRRRTMALREVIPGGRDAAVDEATLLREAMDYVVHLRAQVDVLRQVSEAVQRFGSSILQ >cds.KYUSt_contig_7441.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001530.1:72228:75103:1 gene:KYUSg_contig_7441.8 transcript:KYUSt_contig_7441.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPSLNPAGGEGKVVDSFGGIKAYVAGAQESKAAVVLIADVFGFEAPILRKIADKVASSGYFVVVPDLLHGDPYVPEDADRTIQVWLKEHNPIKAFELAKPVIAALKEQGVSSVGAAGYCWGAKVVAELAKANEIQAAVMSHPSFVTVDDIKEVKCPIAVLGAEIDRTSPPELVKQFEQVLSSNSEIGSFVKIFPGVSHGWAVRYDSDDAAAVKNAEEALKDMTDWFNKNLK >cds.KYUSt_chr7.16269 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100772020:100775062:1 gene:KYUSg_chr7.16269 transcript:KYUSt_chr7.16269 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSVGTPPNIGSSDNYPRKQCRPPMAAVPNAQGSRCRTPNLAASVSSTTGRPWQQCWTLKVAEAGRQKYSGGGEQQYRPPMAAVPDAQRSTIRTPNVPAAVRACSIIPIAESRTLACELGRRDGDGGRRRYREQWGDRQRLTPFSCNAGDGCIHVGRPREWRSGEVEVCSSNLRGRRMCGARSGGGEGGDVRFSGGGDRSPHGTVEVDQQLISSHSYMELVGEEDMDKVWQEWGKEKRDERRRKHLLSAHLLGWLYVLAPPNPDVAPHQRVSGLCGSNDDLQHRGETCPPPHKLKNASAIAALTSLVS >cds.KYUSt_chr3.35023 pep primary_assembly:MPB_Lper_Kyuss_1697:3:219643953:219650594:-1 gene:KYUSg_chr3.35023 transcript:KYUSt_chr3.35023 gene_biotype:protein_coding transcript_biotype:protein_coding MDILNYPRGFGTSPEDVELHQNPTLSATTMWILGVEEGPADCLLRVELKYFAGPKDFVYALRVANLPDVKWAVDEEGSLYLKFSNRYAFDFTSINHFFICGRLMLKFYKVATVQGLRSTALKERRVPGPYQEDYGTVFMFVSLQGTYARIEDYSGEGKTSTASVPRGVRVWVSLCFSIKISVFSSAGTYARIEDYSGEGKTSTASVPRGLRDRVFVCFSGKIFVFFSAVYGRDSVVVMTRPLDWSTNILKIPTIVVQGLQLEPSGAVVLSVDNSPDVVGQFYPSTDGRMIISPDAWEDFATKKGLVAGQVVMFLFHPYGDIIARRQGVVISVDVI >cds.KYUSt_chr5.19517 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126601992:126611356:-1 gene:KYUSg_chr5.19517 transcript:KYUSt_chr5.19517 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGPSDSSGTDDDLPPSYPNNRGIRGSGRVSGNGRAIAPVSGNGRAIAPASSYPRAQTDMETQIQQLEQEAYCSVLRAFKAQSDALTWEKESLITELRKELRVSDKEHREVLNRVNVDDIIRSIREWRSAGGHQASIPNNPQPIHHDPAPSPTTSSRKRQKISQSVPPLAAPPPVMHPQQMAPAQPSSSAKKGLLPGPNKGKKTKPGQKIPGGSALKSVPSSAGPSGRGPQMNRNFPGRPPAPEPSQGQHLDPLINQRVMTRWPEDNSFYEATITDYNAEKGLYALVYDINTANETWEWVDFKEMKPDDIIWKDGDPGLYLGGRGAQGSGGKKSTSRGMSTPGTGRGRGSQKTISRKDFPRSQNGVGKRSSDDIDILHTESLIKEVERVFSVSHPDPVEVDKAKKALKDQEQSLIDAIARLAEASDGESVEAVAYCRNVREEDFVGNKENQEKLIQWLIGDSEQRSKIVTVWGMPGVGKTTLVAHVYNTVKVDFDTAAWITVSKNCHLEELLKKIAGELGVTGDVASMEERSLEKPFWNAEDKTCPLDLQKLAQKFVSKCKGLPIAIACIGRLLSCKPPTPTDWGNVYRDLELQLSKDVIPDLHDSEKDYSIKRRKAMRHWIAAGFIREKENKTLEEVAEGYLTELVNRSLLQVAGRNGAGRLKCCRMHDVIRLLAVNKAKEECFSKIYDGSGAFSVDAARRISIQSEKLDHLGQSGTTSLRALHVFERYINIDLLKPILTSSHLLSTLDLEGTRIKMLPNEVFNLFNLRYLGLRDTAVGILPEAIGRLQNLQVLDAVNSDLSYLPKNIAKLQKLRYLYAGTVARAVEAKPFGGVKVPNGIRNLTALQALQSVKASSEILCEVGALTELRTFAVSNVKTEHSANLSNAIFRMSHLVHLEIAVLGQKEVLHLEGLCLPPTLSWLALEGQLEKTSMPQVLSSWSHLNSLTRLHLSLSKIDEGSFSGLLMLRNLCSLALIDAFEGKKLHFCAGSFPKLRYLFVHNAPRLNQSEIEEGAMQCLALLVFRDCPELKLLPHGIEHLTCLEELRLEEASEELLNKLQQKGNPNECSEDLLKISHIRKVTVELTKKGISERIR >cds.KYUSt_chr2.53480 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333647488:333648330:1 gene:KYUSg_chr2.53480 transcript:KYUSt_chr2.53480 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKVSALLVLAMLAFSSPMVLACGSASCTTPSGGTGTTIPSVGGVVGTVTPVVGGAVPTVGGVASPVVGTVTGAVPTVGGVASPVVGKVGGMVTPVVGAVGGMVSPVVGTVGGAVPTVGGVVGTVSPVVGTVGGAVPTVGGIVGIVAPIIGGGSPSPKRHGGRKACPPSPPTPTPSPPTPAPTPPTPTPSPTPSSDTCPIDTLKLGVCLSILGNEVHIGDSKVKCCALVQGVAGLTAAACLCTAIKAKVLDLSLYVPLALSLLVNDCGCAVPPGYKCA >cds.KYUSt_chr4.53588 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331504963:331513877:-1 gene:KYUSg_chr4.53588 transcript:KYUSt_chr4.53588 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIFRFYSRNLVDKWTGVNRRSAETLAQYGTTRLKVDPVDGSISNPEPAPDHGTFVGSSGVKPLSCEEEQMMRRFYEQKIQEVCKAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYSSCKVEENHVSAEELGKGINQDHQIILNNEMIVLKSLDFDLIVFAPYRSIEGFIDDMDDFCRAGNGAHQRLKDLYQTANSHVDRMMLTDAPLLYTPGQLALAALYKSNDALKVLDFERSYLESVFSRQRLDCPVEQFVQIMNTINYLVDRLQILTQEDMKHADRKLRHCLDEHKKKEKKPKHKSKRTAGDARHLIAYFQIFKETVYLEEQYPELVEQANMILKKCDGLPLAIVTIGGFLANQPKTVLEWRKLNEHISAELEMNPELEIIIAVLNKSYDGLPYHLKSCFLYMAIFPEGYKASRRRLVRRWTAEGYSREGRGKSAEEIADNYFMELISRSMILPYQQSIHSRKGIDSCQVHDLIREIGISKSTEGNLVFRLEKDCSLNGKGKIHHLSISINWEGDQVEFESIVDMSRIRSLTVFGKWRPFFISDKMRLLRVLDLEGTSGVVDHHLEYIGKLLHIRYLSIRGCKDIYHLPDSLGNLRQLQTLDITGTCIIGLPQTIVKLSKLQNICGGAIEDNDDDNLEIETCQKDLPKFVKEKMDMDMDVDVNRRDSLGYPGLSGCLDDVSSPPANLQSLKLYGNIVKLPKWIQGLTNLVKLRLRSSRIVEHDAAIQLLGKIPNLATLRLWKESFVGKEVSFNFRRGAFPNLVVLELDRLGNLQSVKFEEGATPKLELLQFRDWTSEASTGLFIGLPSLPSLKEFMLMEFHNGYKDEFVEELWAELAKNLNRAVLKRY >cds.KYUSt_chr3.26424 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164550132:164556496:-1 gene:KYUSg_chr3.26424 transcript:KYUSt_chr3.26424 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPCLELADGDMRKDKKRKKEHPVVREEGSRKQTLDRTVENSVVERDGVEGNKCSKSKKSKHRDNDAGDASAADQVVVKEDERKKKHPVVLEESSQKETLDTTAENSVEERDGVEGKQCSKSKKSKHKHNNAGDASAADQVVANEDKKRKKKHPVVLEKSSQTKNTSKDENGEIKERGKEGDKSSPEFSENASAGRAEAEVDGKNDRKKKKSKEGTRDGIKEKVKAAQSKNKGKRVSFADTTEVFRTEAGDGEGNEGGKKKKEKAAQLKNKGKRVSFADSAEVFDIGGGDNGEGGSSDESKFVHGQRFSPEEDATLMEAIRDFIEMKGNEDMLDQQGQRCPDLLGIEARSDDDKGHWTQDEHQKLFDLVNLDLRIKAHQIKDPDNRKLRDNIAWEAISDKLTTRNHKNCCLKWYNTLASPMVRKGIWADVDDYLMVEALQKDDAVCVEDVDWDSLLDHRSGEVCRQRWNQIVRGIGGHREKPFIEQVEVLSRRYCPEMIEYRQPRE >cds.KYUSt_chr1.1846 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10651437:10659319:-1 gene:KYUSg_chr1.1846 transcript:KYUSt_chr1.1846 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGLIDFELLHTVSGKEYITSDHLKHEIKLEINNRGRASLVDLSDILGVDLYHVERQAQKVVTEDPALMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVINILEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQQQLQEMHGSNGVSVEGSFFQSIFAALLKEGAVLGSLRAGVNWTPAVFAHAQKESVDAFFSQNSYIGYEVLQKLAIPQPKQYLEARYPDGIALEAIFVHPSVVDMLDAAVGDAIENGQWIDSLSVLPSYISGPDATKILSLCPSFQKAAKSSKAVIFGESCVFSNVFIKSIFDRLEKEIDSFGIRHIADQGNPMNMNSSTSAQYSNTKDIGDNDTSSTTSTGVSSDRGPKKKRGKVAGSAKGGSLEKDDDSEEIIPVKGKKSHRKNKDAGSSGDAKRGGKKTSEKPKEENTNIFPDELIQQKVLAVAPELEELSGSDDFNNPIKLLSSHLRPMLIDSWKKKRNTMLSENAERRRSVLDNLQKQLDEAVLDMQLYEKALDVFEDDPATSGILHKHLLRTLGTPTVDKILLTLDKDNKLKSGMEFEDSEEQHVQLSTTDRTFLAKDLPGQLSSKAQALVEALEGKRFDSFLDALRDTAEESGLSFKKLDKRLERSMMHSYRKDLIAQVSSESDPVSFLPKVVALLFLQAYNKALQAPGRAVGAVITILKDKLPASTFKVLTDYHSTTVKVLAIQAAATGDDEDCTSARLMERREDLVERLMPELKSLALGTSKE >cds.KYUSt_chr2.4872 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30100740:30103769:1 gene:KYUSg_chr2.4872 transcript:KYUSt_chr2.4872 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTKKYETYMRGIRMEEELPGVGLKRLKKMLKKCRSELASHHQTASSATAATTTDAPGGVRCAGHCSVCDGSFFPSLLDEMSAVVGCFNEKAKKLLELHLASGFKKYAMWFTNRCHKGHGQLIQQGKDLVTYAIINAVAMRKILKKYDKIHYSKQGQEFKAQAQSLHIEILQSPWLSELMAFYMNLRRSKKNEAGMELFRDCTLTFDNDQPTLSCNLFDSMRVDISLTCSICLDTMFDPVSLSCGHIFCYLCCCSAASVTIVDGLKSAHHKSKCPLCRQAGVFPNSVHLDELNMLLSYSCPEYWEKRMQLERVERVRLAKEHWESQCRAFLGI >cds.KYUSt_chr1.34114 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207429833:207431407:-1 gene:KYUSg_chr1.34114 transcript:KYUSt_chr1.34114 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAGAGTDATTLHHALVSSLQPQVAAVFFASAACTLALAVLLGSMRLRPPWWCACAVCEAYLTASWTGEFDNLCDWFAHLLRRDPGRTVHVHVLGNVLTANPATVEHMLRGRFDNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAELAAPAIRAFAASVVASELRCRLIPLLHSASAAGQSGAEKLLDLQDVFRRFAFDCICRISFGLDPGCLELSLPMSAFADAFDTASMLSARRATAPMHVLWKLKRLLNIGDERELRDAIGLVDDLAAEVIRQRRKLGSATSGDDLLSRFMGSINDDKYLRDIVVSFMLAGRDTVASALTAFFLLLSDHPHVADAIRDEVSRVAGDRADQQPTIASSDTLKDMHYVHAALHECMRLFPPVQFDSKFAAGDDTLPDGTSVARGTRVTYHAYAMGRMESVWGPDCAEFRPERWLSGGRFVPESPYRYPVFQGGVRVCIGKELAIMEMKAAIVAVVQSFDVETVDRSSRRPKFAPGLTATFAGGVPVRVRQRCSPG >cds.KYUSt_chr6.21534 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135904890:135907679:1 gene:KYUSg_chr6.21534 transcript:KYUSt_chr6.21534 gene_biotype:protein_coding transcript_biotype:protein_coding MMECVVQGIIETQHVEALEVLLQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQPTPSWTIRHVGGSMRGAGAEQISILVRTIVESKASNNVLRYFYTIGYKLDHELLKVGFAFRFQRGAQFTVTVTSTNKMPKLHATDEAVQVTPGIQLVEITAPCTANNYNDVVSAVTSFCEYLAPLLHLSKPGNSTGIVPTAGAAAASLMSSGGAKTL >cds.KYUSt_chr6.28095 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178094339:178107824:1 gene:KYUSg_chr6.28095 transcript:KYUSt_chr6.28095 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGFVFFARIFLAGAFGGILVDERTRRRVVVDEKTPPGTGAGRRGDWGISAGENGDMQANWREWGYASKLEGKSTGFGFPVADYADQVASGFSSAIIEHTTDKIINFLESNYDLSHATEELLNKLRSRLTMVKAIAEAADNQLIVSPSLAKWLRNLHDASYEVEDVLDGIDSHEVLTGKRKLSELISSSVRIIKSLIVPNETIKMLECVVQKLDHLCATSGTFVELVKQSNSIAMKEGIAGETTSRLPVDVKVFGRDEVSELILKVILGSSGTEAESSSGREKLVARCRIGGIDVLPIVGMSGVGKTTLAQVIYNHPNVKEHFSLSRIPFIGQLSQLQELESFSAGKKPGFMINELKNMQELTGKLSINNIHIIKNVHEAEDANMIEKKHLESLELKGKIVLRDVLEGLQPHRNLQELKIEGYGARIFPDWMLQGHVFTNLQSLHVVNCRFLEVLPPFGKFHSLKNLTLDSLPSVKHADGASFGCLQNLEEFKVSSMRAWIVWSHVEEDHGPFLPYVKKFQLLNCPVLEEVPYLSFMSSLSELDISVCGNYAKALPQCAQLMACLKTLRIADCNQVLQLSGHHFKLLEYLTIENCVGLRLVDGICSFSNLRKVGISGCPDILMEVSDQSTRQDEQANLQELILEGYALDWEDKFKPGGSEWFHVGSGIPGVAPHYISPPSTFNMLLGSYWTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNNYGNSCGNSYNNNRNTPPGLEAMLKEFISTETAFNKSVEEKLGKIDILASKVDNLAADVDLLKSKVMPNENHNNKIVTTENAIQVRINENIRLMAELRARWEREENAKEDNIAKVWTITTTSNANASHVAAPPTINDHNDLDFDDCHISEVIKFLQKLAKSRNASAINLAFTKHITNALIKAREEKLERETSIPRKLEDGWEPIIKMKVNDFDCNALCDLGASISVMAKKIYNMLDLPPLKNCYLDVNLADNSTKKPLGRVDNIRITVNNNLVPVDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEGNIKYQFPLKKGMEHFRRKRMKLPFDSIIRTNYDVDVSSLDNT >cds.KYUSt_contig_786.548 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:3116038:3119142:-1 gene:KYUSg_contig_786.548 transcript:KYUSt_contig_786.548 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHAQGLVHSDLDHSDLVRSDDAHQIKGQFILHMELMTVAIKCPSLLLKRNLMVTIFHTSIPGNPEEAVEHLTKAILLNLKNHIWYKEGHERKPLSTWPRRSY >cds.KYUSt_chr6.16490 pep primary_assembly:MPB_Lper_Kyuss_1697:6:103726221:103726694:-1 gene:KYUSg_chr6.16490 transcript:KYUSt_chr6.16490 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVWVRRASTTAFRSGRIGGGGEEAASTTTYIHPTAAAALDPPDLEPWPAVDDLLEVAPPPLSVLMPLQRGLEEVRMLEVVGSPPCCFFSPPPPLLLLRAALNRHTLSSATPDHRHPTAVVGMQPRVEGWRNGRAAMVGEEERWGLGRSGWTGIGE >cds.KYUSt_chr6.30021 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190213355:190213603:1 gene:KYUSg_chr6.30021 transcript:KYUSt_chr6.30021 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFGAMGGAMGGMGGAMGGMGGAMGGAMGGMGGYMAPPMGNIGPPMGNMGDASTTTRHDDGTAEEIQVSNAEDANNGNDL >cds.KYUSt_chr6.31461 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198939494:198943167:1 gene:KYUSg_chr6.31461 transcript:KYUSt_chr6.31461 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARDVGDAAAWSAEAARRVWGGAVPLHVHLHDADVTALPPPPPFLTLGPRIGYLPLLVPIIKAHFSSTLPPGVDTVWFEYKGLPLKWYIPIGVLFDLLCAEPERPWNLIVHFRGYPAEILSPCEGEDSVKWSYNNSLKEAAFIITGNSKNVMNMSQADQAAMWESVRKGDMDSYMNISTRLKLGLFEEDWVPVRLYVRRVQEDLEYLEDAIAVSDWESVSYINRPFEIRKEEGKSYITLARVLETLLPEFFSSKPAADTQPVEIADSPPDDSDTTPRTPRDGDPAPTSQRGADLTKNATEVKLVRVQGIDLENNMDVPFLWMANYLKNPECYLHVCVYVGAGI >cds.KYUSt_chr3.22308 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137732499:137735053:1 gene:KYUSg_chr3.22308 transcript:KYUSt_chr3.22308 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAAIENDPGVFTELLQQMQLKGLQVDELYSLDLDALKDLQPIYGLIILYKWRPPEKDERPVIKDSVPNLFFANQIINSACATQAIISVLLNSSGITLSDDLRKLKEFAKDLPPELKGLAIVNCESIRMTSNSFARSEEPEEQKTSSKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGGIGEMGWLKIVQPVIQEHIDKFSQNEIRFSVMAITKNRKDMYIVELKELQRKRENLLSQMGDPSANRQRPSVERSLAEVAAQIEAVTEKIIMEEEKSKKWRTENIRRKHNYVPFLFNFLKILEEKQQLKPLIEKAKRSSHSRNPK >cds.KYUSt_chr5.29232 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185239979:185247452:1 gene:KYUSg_chr5.29232 transcript:KYUSt_chr5.29232 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGQAGSMLKFFRDKIGENPSFQYHLQLDCEEHIANIFWVDAKMLLDYAHFGDVVTFDTTFGTNKEYRPFGIFLGLNQFRETTIFGAALMFDETRDSFIWLFETFLAAHNGRQPRTIYTDQDAAMGGAIERVFTESYHGLCTFHIMQNAVKHLTQVGVEDEVEDEVEDEEKEPHILTDFGACMYGYEDKAAFEKAFDNMRLKVHKQTWLDSIYKPKKEDGVQQKKKDGVPKKKKDGVPKKKKDGVQQKKKDDVQTSSFLQQQGLQHLGDNVSALVGPASPAYNNHTHTSITRRNKDCNYAKGKWVADEKRPLYSGNECKQWLSKMWACQMMQRTDFFYESYRWQPHGCEMPEFSGPNFLKRMRHRTLAFVGDSLGRQQFQSMMCMATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAYRFPGTNTTILYYWSASLSELEPLNTTNPVTSYALHLDRPVTFLKKYLPSFDVLVLNTGHHWNRGKFNGNHWEMYADGKPVGEGRLADLNRAKNLTLYSIARWVDSELASRPHLKAFLRTMSPRHFVNGDWNTGGSCGNAIPFSNGSEVLQDHSSDLPAERAVNGTRVKLLDITAISQLRDEGHMSNRTLRAPTGINDCLHWCLPGIPDMWNEVLFAQI >cds.KYUSt_chr1.32741 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198870008:198870319:1 gene:KYUSg_chr1.32741 transcript:KYUSt_chr1.32741 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr5.37778 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238645345:238648883:-1 gene:KYUSg_chr5.37778 transcript:KYUSt_chr5.37778 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGLLFLALALAFAACGVAGHGVHPLSRIAVHRARVALDASAAVRASPKLLGSRYQLANYSSGYAQSGKGTLKFQLINQRQDFSFGLFTGGFSNPTLVAVSNKIAFANPKAPVYPRLALGKTWNEMTVTWTSGYDSSDASPIVEWRMEGSPPVRTTADTATFGRGSLCAPYPGQRSLQRVVIFGDLGKAERDGSNEYQNFQPASLNTTDAVIRDLDYTDIVFHIGDISYANGYLSQWDQFTQQVEPITSRVPYMLASGNHERDFPNSGSFYNGTDSGGECGVPAATMYYVPTENRANYWYMADYGMFRFCVADSEHDWREGTEQYRFIEHCFATVDRAKQPWLIFTAHRVLGYSSGFYYSFNGAFGEPMGRQSLEPLWQRYRVDMAFYGHYHQYERTCPVHQEKCVKEGTVHVVVGGAGAYMSNFTTVAPPWSVYRDMDYGYGKLTASNETTLQFEFRRSSDGQVYDSFILHKDKQNV >cds.KYUSt_chr2.28025 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171951571:171952230:-1 gene:KYUSg_chr2.28025 transcript:KYUSt_chr2.28025 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSVPAVHRKRLVELMPFYRDISAVCIGDTHHTLFWLDTWLAGGVIKPRCHALFTHAVNTGASMHSVLQAGLAGAQVPRLTAVAAREHAELAHVSRSDVDDTRSLVRCSKAKGSTSSSDAASPLPSGTAHPPAGTSESTATLSPSESSDLACCSCFRCAAMMPGCGVPASLGMCNAPLMLGFSFLALGPRRSPLSASFFTVIPKLQLYIWLWAKCFE >cds.KYUSt_chr1.32643 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198219484:198231994:1 gene:KYUSg_chr1.32643 transcript:KYUSt_chr1.32643 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPGIEDTGKEKPVGRNGQRFSSEQEDKDELSKAREKPRGANHEAGRLQSLHSRHISLSFLPTQPEGPDHRHRHRHFAAHRRRRRRLQCAEEMKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKSFLERRTEQPGQSLNAALPVGEAAISVEENEAGSSQLFHEKSVQGPHKFESWKPIRPSLGSIEHMMGLRVEKKHSSAVRLQPKESTHLVTVEEAKVSGDSDDEFYDADKVDPSQEVHSGDSANAETGNMSQEETYSLKDQLECLVHGGLPMALRGELWQAFVGVEARRVKGYYDCLVASEGELEDSRCSDPLASEGVNEKTEVFAEISSEKCKGQIEKDLPRTFPGHPALDEDGRNALRRLLLAYARHNPTVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYFSEEMIESQVDQLVLEELVHEKFPKLANHLDYLGLEVAWVTGPWFLSIFTNVLPWESVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVNEAGLQDLRNKHRPSVISSMEERAKGLGVCKDNVLASKLYNFKREPEPLVSINNSADQMSDDADGDINQEGDYGDMDDMYGGLTVSSEIDSLPDPKDQVTWLKVELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKAEQLEHDLSELKQTLSDKQEQEQAMFQVLMRVEQELKYAEEARISAEQDAAAQRYAANVLQEKYEEAMASFAQMENRAVMAETMLEATLQYQSSQQKAFSPLPSPRESVQDEEIQPKRINLLGPFSLSWRDKSKGKQNNASDCTDAKLTDTHDQREETPNVDDDGKQGGTQKMESECTVESPKGNGKLMADTLKKDSELPRVHIIVNDMNGQHEQLQEIQLD >cds.KYUSt_chr3.18414 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113212304:113217924:1 gene:KYUSg_chr3.18414 transcript:KYUSt_chr3.18414 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQSEKTMRTLPDTLSSLKEFNKYLTPSWIESVSHIIKELTPTKPQKVMEDKAQEIFECDDKEPGTKIAKIQDEMVSLSAQLKEITLQKRESLNNYLDLKGSIRVFCRIRPFNHEQSYSSRTMFTVDESNIFLRISETKRKQYKFDKVFAPSSTQGDVFSEVEPLIKSAIDGYNVCIFAYGQTGSGKTYTMEGKPTDLGVIPRGIQVLLDRASESNNRFLFTFSMLEIYMGNLRDLLVPGSKTNGFKNVPSLAIKTDPDGGIEIENLVAVTVNNFQEVKRLYGVGTRLRSTASTMANSTSSRSHCLIRISMTSFDAPERKKARNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKTHVPYRNSKLTQVLRDSLGCESKTLMLVHIRPNENDLCETVCTLGFATRVRSIRLESEESPDVKARKEQFLMELGQKVNDLEQECDNIKRKVKKLEDTMEQLKGPQLAVSTNFVISPPSTEELKINMSKNVRSLKNHKEVSPGLPRFMRPTAASQHRIGLNNNVPSINRLKPPVPPKRRPSSVYAESIRLPVNADTWQSECSSECSISMTSDINWTPSIQDGTEYSQDASEYEIKQVIFSEHEKPSQGQVISFKEWQLAESENMQNKTEERGIIDIDNWIHQQILESTVTCQSKMGLNVPKVTGDETSNIRSPIKIEGAKGCNKALNEENDLALHPPPLNAKDIKQANAVNHFSGAELHSPPSRERCSNNETNKHTNESFAYHGRSRRSLQEKLDDCMLRQPDKEADLSARAEIRSQEEHHIGKLTKFFQALQIAWVGVGLGLGTARLGLEDDFFHSLML >cds.KYUSt_contig_1407.38 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000141.1:183199:210080:1 gene:KYUSg_contig_1407.38 transcript:KYUSt_contig_1407.38 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQTLASRRLPEGVAEPGERVPDALAPEVMPFIRAADEVEPFNPRVAFLCRKYAYKKVQRMDPSSIQRGVRQFKTYMSLKLDEDDTQFLVNDAKEIQRFYKHYCDNLIRTSQRTNFDELARYYQVASALYEVLKDVTNNKVDSEVMKCAKVVEEKSGHFRSYKYNIVPLNFPGSYEAVLELPEIKSSIDAIRNTDGLPKPHMSSMHREGKSIRDLLDWLSLAFGFQNSNVQNQRENMILLLANISTRTSGQEGLPLVDTVNDLGEKIFGNYESWCNYLHLSSRIMINYDGALKKQQLMLLHIGLYLLIWGEASNVRFMPECLCYIFHHMANQLNEMVEENYFQPPPGFEEEGSFLKVVIEPIYKVLQKESQRSKGGTAGHSAWRNYDDLNEQFWSEKCFMKLGWPWDLRADFFHQAGRTTRKPKTNFVEVRTFLHLFRSFNRMWVFFILAFQAMLIISWSSSGSLSGIADVTVFRSVLSIFITAALLNFIKVTLDICLTIQAWGNMEWTQIIRYLLKFFVAIAWIIILPVTYSSSIKNPSGAGKLLNSWTRNWYNQSVYNVAIVIYMGPNILAALLFLLPQLQNVMERSNSRAFILLMWWIQPRLYVARGMHENILSIFKYVFFWVVLLTCKLAFSFYVEISPMIDPTKFILNQVVGNYEWHEVFPFLPHNLGVVITIWAPIVLVYFMDAQIWYAIFSTVFGGVSGALSHVGEIRTLGMLRVRFKSMPDAFRKCHVATHKEQALDVRSFFCVWNSFINSLREEDFISDREKDILMAPSSSNNLSVVPWPPFLLASKVPTALHMAMTSKEGDVHELIEKIKLDKDRYNAVIECYESLTNIVVSLLLDYNDKKIVHDIGNIVQNSMENNTLLEDFEMAEIGKVSNTLAKLLQLLKSEPTDGSTERKIVNALQDFMEIITRDFMKDGHGISKDENERKQSFTNLNMKVIKEAVWREQVVRLHLLLTMKDSAMDVPTNLDARRRITFFANSLFMKMPRAPQVHDMISFRQALELQCYEDMKNNQDLGGDKFARSKAVADIKFTYVVACQLYGMHKASKDSRERGLYENILNLMLTYPALRIAYIDEKEEPLPNGKLEKHYYSVLVKGDDEEIYRIKLPGKPTEVGEGKPNNQNHAIIFTRGEALQAIDMNQVAVLTVYVFLYGRLYLVLSGLEKSILQDSRIKNIKPFENALATQSVFQLGTLLILPMIMEVGLEKGFGKALAEFVMMQLQLAPMFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKFAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYVYVTISMWFLVFCWLFAPFVFNPSCFEWHKTVDDWTDWWKWMNNRGGIGLAPEQSWEAWWVSEHEHLKSGTIRSLLFELILSLRLLIYQYGIVYHLHIVHENKSFMIYALSWLVIAIALVSLKVVSLGREKFVTKFQLVFRILKGIVFIILISLMVLLFVGFDLAVSDVGASILAFIPTGWFILLIAQLCGPLFQRLVIEPLRVLCCSCCPGGACRGPCCAKFRQRSGAVLHKIGPWDSIREMARMYEYTMGILIFLPIAVLSWFPFMSEFQTRLLFNQAFSRGLQISRILAGQDGRGAKRE >cds.KYUSt_chr4.2876 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16376283:16378067:-1 gene:KYUSg_chr4.2876 transcript:KYUSt_chr4.2876 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKELAADTTTTGAGGGVLRNQQDWHRSGFVGKLRKHLPRTFGCLKKEVKYSYTKFSDIKGIDGAKAEFEDIIQYLSDPKRFTRLGCRLPRGVLLIGAPGTGKTMLARALAGEVGVPFFACSGSEFEGEYIGAGAKRVRKLFTAARKRSPCIIFIDEIDVIAGSRNTNDPTGQRHTLNQLLTELDGFKQNDGIMVVAATNSLDSLDQALVRSGRFDRHFKISYPDLEGRRQILEAHMSKVLRATDVDLMTIAKGTPDFSGADLANLVNEAAFKAAMDGAEAVVMAHLEYATGKLIMGSEQKSAVMPDNCKKMIAYHEGGHAIVAIHTDGADPVRNATIVPGGDCLGMVTDLVNGEYEYRYTMRKMLAELDVFMGGRAAEELIFGESEVSTAALYDLKKATKIATYMVARYGMSKEVGLVSYDDVSSWESSALVYGEVKVLLDKAYTNAKTILTTHKRELHAVASALLKDVTLTGDQIMTLLKDGTPTGGDDQTTEQQDQEAPSSS >cds.KYUSt_chr2.16868 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106200915:106220746:1 gene:KYUSg_chr2.16868 transcript:KYUSt_chr2.16868 gene_biotype:protein_coding transcript_biotype:protein_coding GVIECPIPDKDIRRFDANIRLFPPFIDNDICPLTINNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQLAVVVVLGSAGNVWKDTEARKQWYVKYDDDEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDRETDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGTLYGNESGDALKDVELLNAVANNSPNVIKFLTVMALCNTVIPIKSPSGAISYKAQSQDEDALVNAASNLHVVLVGKNGNNAEIHFNRRVIQYEILDILEFTSDRKRMSVVVSDSQSGKIFLLSKGADEAILPLAYPGQQIKTFVDAVDKYAQLGLRTLCLGWRELSLEEYLEWSRLFKEASSALIDREWKVAEVCQKLEHTLDILGISAIEDRLQAGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLYINGKTEDEVARSLERVLLTMRITSSEPKELAFVVDGWALEIILTRYKEAFTELAVLSKTAICCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQAHIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFVSGIAGTSLFNSVSLMAYNVFYTSIPVLTTILDKDLSEKTVTQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIAVFLITIHVYANEKSEMEELSMVALSGSIWLQAFVVTLEMNSFTFLQFLAVWGNFAAFYIINFCISSIPSAGMYTIMFRLCRQPLYWITMLLISGVGMGPVLALKYFRFTYRPSAINILQKAERSRGPMYTLVLYSLEQPNTTLTETIMKLIHPAGLVLLSCIFLLCAVVPVRADLRREAEALVNWKASLASAHESLGSWSLANSINLCRWSGIRCDSAGHIAELYLWNASLNGTLDELDFSAFPHLKELSFGHNGLHGTIPAGIGNLTSLVVLQITDSPYLRGAIPRSIGQLKHLALLNLVFLGLDGTLPEEVGNLTSLEELSLNSVTLTGSIPLTIGMLVKLGVLDLSGNNLTGSIPLEIGNMTSLLHMEFSLNYLEGQLPSTISHLTELHSLVLSANQLGGHIFPELGNSSLLDTINVEKNNFSGLFPSSVCVGGALRTVSASYNGFTGIHQQTFQNCTTLQEVYFTANNIVAELRDCFGEHPELEIMAFRQNQLYGSLLTDQGEVFLCNITYLALLDLANNDLNGGLHECIGELPRLIFMDLSRNSFSGVVPFSCHNNSLHHLHLANNHFRGTFPLGLKKCKSLITLDLGGNHFSGTIPSWVSMSLPRLKFILLSSNIFDGIIPHQIFQFRQLMLLDLSKNRLTGSIPDDFTNFTGMIQEQKTHTAIFLPNGEEIEIVFNYADHVEVEIVWKYAGRVYNLWKGAMVGIDLSDNYLSQEIPNGLTALLGLRYLNLSRNHLLGSIPKDIGNLVLLESLDLSQNQLSGGIPPSFAALKSITVLNLSYNGLSGRIPTSSQLQGLCSGVPPPANDVQVVNMRLVRDQKIWMIPSQKPNISEKGWTI >cds.KYUSt_chr1.22756 pep primary_assembly:MPB_Lper_Kyuss_1697:1:134659597:134659944:1 gene:KYUSg_chr1.22756 transcript:KYUSt_chr1.22756 gene_biotype:protein_coding transcript_biotype:protein_coding MREERRSGNRQRPTRQPVIVPEGGAAAVTDRDPSEATVKWDQLAQRRLVPTSGGSRASVVGSGADLHPAPSSPRNIRFDVKPMKKLDEEARVLKPQHADAEAALILGRPFHGKGI >cds.KYUSt_chr3.15948 pep primary_assembly:MPB_Lper_Kyuss_1697:3:98061536:98064884:1 gene:KYUSg_chr3.15948 transcript:KYUSt_chr3.15948 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEKGRIGPFVDLLVVLDFSDRVTQLRLPASFVRECAGEMPGSVALYHTRPGNFWVSVWDQDGRRVTVASSWPGPGEDPFKGPFVDPPRSTRELQQERGAHKVNPPSHPLPPQGAVKLEDEDPPPCIDFVSILGLPGWRERLSLPEHSCLGSVDILPLPVTLSHAKGDAIGTWALRVALSLLPIREVDSCLDLSMRLRL >cds.KYUSt_chr5.566 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4221766:4224162:1 gene:KYUSg_chr5.566 transcript:KYUSt_chr5.566 gene_biotype:protein_coding transcript_biotype:protein_coding MELMEKGLAGMEISIGGESSTKTRSRNKSTRKPQPDSLKHTMIQKTEEQSRRDVHQPLIQKMEEQFRRDPRPPEIQRGKVEEAAAPTKTRSVKSIIETPLDRLRHLDELQDRINLMEAEYLSKNGIQRRNEEKLPREEQEIEDYRKQWERCYGRSFGSFDAETSLGHVYCATGTIPPDALPECSLQFFSIKVTDLSYSLSWPLQVHGFVAARDSVDHKRNYLFRCTRDSCQTLTKKDPFLRITGPSRAVLLIDKVLVEVQLKVKGDKESEDEVLAFKCFEFQQSCPLKDGIPTRIPGQRCKLECALAVLPKSIGATVGFRIVDGSWPDQCPGLIVCKTDNAKEEEVVLLLDFQDGKLPTKSDGVVELSRRLVSVGFPAGKLIFSVEASRNSFSAKATVDFGMETLGASTRMCDLVFCKLEVTVSWSLVSAKRD >cds.KYUSt_chr7.13354 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82313909:82314658:-1 gene:KYUSg_chr7.13354 transcript:KYUSt_chr7.13354 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPDVKPVIPAAKPAAAPAPADAPEIPPISAAILNNLPPSERDVYKRVYLAGNKGMWSQDLRRATQLTTASLSKSTRALVQRGILKEVTDVRHRAKKVFMDARIEPAPEITGGTWYHNGQLDTDAVAAVRRRCLDQIDRLGAATPDMVHKGVERDDPRAGYTIDQIRDILQTMALDRVLEERKSTGEGEFSAVRAGRVCYRRGGAPQGGMMEGIPCGVCPRMDECSPDGVISPTTCVYYKKWLQMDF >cds.KYUSt_chr2.38613 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239332583:239333011:-1 gene:KYUSg_chr2.38613 transcript:KYUSt_chr2.38613 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSARSECLELEDIQELIPNLKVIASTSADDMESGRGGAVDGRISSSLPSSPPPPSGQRAQYHRGKEEPSCNGSFFTKYFSVLLLILVTASLVILPLVLPPLPPPPSMLMLVPVAMLVMLLVLAFMPTSGGRGATGPTPYL >cds.KYUSt_chr3.11432 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68119904:68122407:1 gene:KYUSg_chr3.11432 transcript:KYUSt_chr3.11432 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKIQPAQPLGLSSLLRAVTRFRRRRASRFGRTHRHEALVDCPTSCPRLLLHLCPPLALAPAGSRMSSTDEFPDVDMSDLSSSDDSDLDDLQDNDTETAILLLAVKELEA >cds.KYUSt_chr4.3080 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17662302:17667547:1 gene:KYUSg_chr4.3080 transcript:KYUSt_chr4.3080 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVSPATFLPGVPSPAASASSGGTARAPARHHHQRAVAAAAAATGDHWGADHHRHDNHQQQRTYRGGRWGGGPRAGASVQCDVDVVSWRERRVFASVAVAADVDTVWRIITDYERLADFVPNLVHSGRIPCPHEGRIWLEQRGLQQALYWHIEARVVLDLREVPDAVNGRELHFSMVDGDFKKFEGKWTVRSGPRSASAILLYEVNVIPRFNFPAIFLERIISSDLPVNLTALAFRSEKMYLENHKFGPTKFTGAESKPLNFRSPVVENDDISSSKFKEAPSPTGFGGVLAPPPPELNGKWGVYGSVCRLDRPCVVDEIHLRRFDGLLEHEGAHRCVVASITVKAPVREVWDVLTAYEKLPEVIPNLAISRIILRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREICFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYVENAKAERGTSTVHSDVPTNPDTVAIDYAEGRRTEQASEDCSSSSTKMRPKVPGLQKDIEVLKSELGTFIAKYGQNGFMPKRKHLRTHGRVDIEKAITRMGGFRKIASTMNLALSYKNRKPRGYWDNLENLQDEIRRFQKNWGMDPSYMPSRKSFERAGRYDIARALEKWGGIQEVSRLLALEPRRPRKRSADSDGEKQPESPPPTAAVKHPSKLDKPSSPLDAQKWLLKLKDLDVNWVEY >cds.KYUSt_chr5.19680 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127694169:127694672:-1 gene:KYUSg_chr5.19680 transcript:KYUSt_chr5.19680 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTADEKKADEVVGKMITLISSDGEEFEIAQEAAAMSQTVNHMIEDNCVDDKGVKLPNVTSGILSKIIEYCNKHVAASGDSASEQDLKTFDVAFIGELDQATLYDIILAANFLEIKGLLDLSCQRVADMIKGKSPEEIRQTFNIKNDFTPEEEAEIRRENQWAFE >cds.KYUSt_chr2.43276 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269283617:269284437:-1 gene:KYUSg_chr2.43276 transcript:KYUSt_chr2.43276 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVRSPEETLALWDTMAVSADVVTFLKASLWRYSASPRAPDENPRSLDRVVAALLRRVLLEDATLEFSCRAALRCWGGGDDEVTIGMALSNGNGGEVAGFSQLGDNQVWLPPLERSTPTNQRK >cds.KYUSt_chr3.29792 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186407550:186407912:1 gene:KYUSg_chr3.29792 transcript:KYUSt_chr3.29792 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEDDDDLGGFRQEDDGEELNHGLHAKQLGRGTKSPAAPNLAVVSNTDRGRGGGAAAALAAASSMGRTRDGRAGVEHGRRQSGGGIAEEEAGAAAPAQRRSQGTGGGGMRRVVREERGR >cds.KYUSt_chr7.31143 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193939849:193941966:-1 gene:KYUSg_chr7.31143 transcript:KYUSt_chr7.31143 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHLLSSYHQWRDGTVQGHLVCCPLIEPRNLMDRQREYADALRAAGAEEATVVEFPDAIHAFYVFEDHTDGERMLRDVAEFVNRRAAEHLKCARSASK >cds.KYUSt_chr4.27306 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171492951:171494868:-1 gene:KYUSg_chr4.27306 transcript:KYUSt_chr4.27306 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEQGSDGVRRRVVVFPLPFEGHISPMLQLGAIACHNGSSATADFNAPDPARHPELAFVPINEKLHNGDATPGGGSRTDIVAQLLALNGACERPFREALASLLLGQRPPDVACVVVDGQWYTALGAASELGVPVLALRTDSAAMFRSMLAFPRLCAAGYIPVKDERLDEVVAELEPLRVRDLIRVDGSDTDALCGFIARVAAAMRASASGVVLNTFDAIEALELTKIQDELSCPAFAVGPLHMMCPAPAEHSLHEPDRSCLAWLDAHPPRSVLYVSLGSVASVDAGVFEEMAWGLAGSGVPFLWVVRPGSVHGIATEATPRMPDGIDEEVRVRGKVVTWAPQREVLAHEAIGAFWTQCGWNSTLESICAGVPMLAQPCFADQTVNARYLTHQWGVGLELGDVIDRARVAKTVRMMMAGKEGDRVRERARQLKLQTDQCVASSLAIENLARYMLSL >cds.KYUSt_chr4.50695 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314054595:314057081:1 gene:KYUSg_chr4.50695 transcript:KYUSt_chr4.50695 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDPIDPAASAPAMDAALPSAVLATILSRLDVRSLLLAASACRCLRSCAHSALSFLPAFHLLEVALTHDLLRPLLPRNPSLRSLRLDCEKLDDAAVDCLARPGLHELTLLNCNNISGRLLRELSATCQDLRVLSLNSLGERRGLAMRFSDLRALLDGCSNLESLSLALDFSKFDDPNFSHVWSSASKGLLSLEVGYIPLSMLLDLLTVAIEAQQCMDYIKAPVFFPSLQKLCLSVDFITDQLIGSISTALPSLTHLDLQDAPIMEPESATDLTNAGLQQINPKGKLKHISLIRSQEFLFTSFRRVNDLGILLMSEKCSKLESICLGGFSRVTDTGFRAIIHSCSGLHKLKVSNGSRFTDLVFHDIVATSLCLTHVSLRWCNLLTDVGIERLSFNKDLNVLDLRDCKSLGDEAVRALSCLPRLHVLLLDGIDITNEALKYLGAGACPLTSLSLRGCYKLTNDCIPLLFAGSIKETLHVLDLSRIPSITDDGVMLIAQSRTPLTELRLRENQKIGDATVMALASMQFDGGTYGSTLQLLDLYDCCGITPLAMRWFKKPYFPRLRWLGLTGSLNRIMVDALVRSRPFLHMSCTGDELGTSYWDASADWYRHDDDDSEELEAWQLDGEPVSDAETATEE >cds.KYUSt_chr1.8643 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52997055:52997594:1 gene:KYUSg_chr1.8643 transcript:KYUSt_chr1.8643 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSITVMTLNLQEGDQPSESPNSWEKRRDICVSVITSYSPTILCTQQGLICRVEVPVGLPTAVPAG >cds.KYUSt_chr7.14868 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92028743:92030573:1 gene:KYUSg_chr7.14868 transcript:KYUSt_chr7.14868 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVSSPAKEKDATGDIVVDLYPFIREYKGGHVERFLRSPFVPASEDTAGNRGVATKDVVIDKSTGVSARLFLASRAAAAAGDRLPVIVYIHGGSFCTESAFCRTYNNYAKSLAARTGALVVSVEYRLAPEHPIPAAYDDAWAALQWVASLSDPWLADYADPERTFLAGDSAGGNIAYHTAVRASRDGRLMDIEGLVMVHPYFWGSERLASETVREDCVAMFPPGLVDRLWPFVTAGHAGNDDPRINPPAEEVASLTCRRMLVAVAEKDSMRDRGRQLAAHMRDCAWSGDDVTLVESEGEDHGFHLYNPLRATSKKLMESIVQFVNQRSALPLPAAMLPELHELHGYGGKKTSEEAKWNTCGHALACCKDDRLDPEDLVHSCCIVETYLKAYGSYVVPLRSKEHWEILNGIVVHPTIIHKDHWEAKKE >cds.KYUSt_chr5.10299 pep primary_assembly:MPB_Lper_Kyuss_1697:5:66087172:66091930:1 gene:KYUSg_chr5.10299 transcript:KYUSt_chr5.10299 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQILAFFCQPQHNSARVDPIAKIYPPRKKPVGSEDRADATDAGSSFNATTTSINSSAESKSLTHTETSVASFKEYELIGRDKEKCDIIKIISNDSQQLEVISVWGMGGLGKTTLVRDVYQSEQLNVKFRCRACVTIMHPFDWGELIKSLARQLDAEDYENKEETGLTGGRTKPRVQRSLVDILEGKKYLIVLDELSSASEWDSIIQHLPARETASRIIVTTRSENIARHCSKKHENIYKLQILGYEDGLNLFTEKVFQKITYLNDEHPELVEQANLILKKCDGLPLAIVTIGGFLANQPKTALEWTKLNDHISAELEMNPELGRIAAVLNKSYDGLPYRLKSCMLYFSIFPQDSKVSRRRLVCRWTAEGYSREVRGKSAEEIAESYFMELISRSMILPFQESIHSRIGVDSCRVHDLIREIGISKSMEDNLVFTLEDGCGLNNRVKIRHLAISSSWEGDQCEFKSIVDMSHIRSLTVFGKWRPFFMSEKMRLLRVLDLEGTSGLVDHHLTDIGKLLHLRYLSLRGCDDIYHLPDSLGNLRQLQTLDVMYTSIIKLPRSIIKLSKLRHIRAVGIGSNDGGSERGNGYGW >cds.KYUSt_chr3.48924 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305668522:305669604:-1 gene:KYUSg_chr3.48924 transcript:KYUSt_chr3.48924 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSRPIALSRSVVLTEKSSIPSLLLLGKLVSDGFPASSPSASVLPDKLREIWDPSGTVNFWPVTDGTFLVQFYEAEDLTKAHDGAPWSCGNGDGDGDGDEGLFLMQHVKPGMHMVDQIAAFTKAELWVRFHDVPVDHFSATSVYALAAGIGEPVNCELSHTSSADFLRARIRVDITRPLQRSVVVELENGDQESVSVEYEGVPSLCPSCRILGHPPDRCPRSRSRSRSRRTNQPAAAASRPAVYTPTPSHLGPGRSTSSSLRGKSSRRQATWGPDAPPSPPPPPAPRGPGQEPLSPVNTTCFNFFNLKSWRKGVGKNESFGTGDDSQHEIEPASNLQETQVLETNSAKESVQVPTHVD >cds.KYUSt_chr5.29691 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188241480:188242352:1 gene:KYUSg_chr5.29691 transcript:KYUSt_chr5.29691 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILANFSPDRVRRRLTAAQLWPNAFSSAERRTTGKRKRNAAATTDDEFEAEFQRFEEEDNHDETPTEAASVAGRSRRCRPYLAGATSPLDVPVAGGSRRRRVAGARSSKYRGVRRRSSGRWAAEIRDARQGRRVWLGTYGTAEEAGRAYDREARRIRGKRARLNFPLEGCSSRRNIDLNRPAVPDDDMMATDDDAGNVEVTKIIQPMAQGAHDEQTASIVSELMGRAHRRSGTRVPPEALLRAALISACSREMEEVAALRRDLENRMRQLDERKDQLARIAALLLD >cds.KYUSt_chr7.37534 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234183606:234184544:1 gene:KYUSg_chr7.37534 transcript:KYUSt_chr7.37534 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLLLALLCHSSPSAAQNHSAVINISAVEAAVRDRAFELLRRTNQLVAVAPLPDHVSAGAQVEASALRVRSNSLWADGVNETGATAGFTVPPRVVPAPFARRVDVVFLRFLLPDGAALFAAPQGYALAAPVVALLAYDVSSGPNGSEVALRALGAPVRVEFGNLSLSSSVNATEAPRCVTFAAASGKAVATHAMASPTECAVTGTGHYGVAVRLEVPSHPTPTPAAVRQRWWAWTVVAGAGGVVALSFLAVTVAGAVRWSRRRRREEMELRALAGEELGRMAVRGSKMPSAKMVRTRPEMEEGSPLPWRR >cds.KYUSt_chr6.29214 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185079597:185079848:1 gene:KYUSg_chr6.29214 transcript:KYUSt_chr6.29214 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPTRRSRTRASGYLSLAKWTSSLSAPSPSSAPPCPAALSLQVAVYWWCGDAAGHVSTTVSTPVERPLVCNPNVRVLVVLR >cds.KYUSt_chr7.28323 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176847436:176849818:-1 gene:KYUSg_chr7.28323 transcript:KYUSt_chr7.28323 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRPRAGDGGGGEASGSLGGGLVDVLPEALLVEVVGRVGLEAACSAAASCRALRGAAGAALSAVTSLDLSVFPPTNAIVNRILDGNGALRSLTVNCSLLDDSAAAAIAKGSLRELELLKCSSFTPYLFAVIGERCANLRSIKLEVADLNGSEHFVICRKSLAHIFKGCDYLENISLKFPLRTPGSVDFDSLVSAIRSTTKVLLLQPVANWQAKKLFPISPSLKTPFSDSLESLSLVLDIITDELVTFITGSLPQLLELCLEDNPGSETDLDTDLTNIGLQALGICQNLTHLSLTRGKQSYSSTFRRVNDFGILMLAEGCKQLQTIRLGGFAKVRDAGYAALLHSCKDLKKFEVSTASCLSDLTCLDLDEAATKITEVRLLSCSLLTSETAISLSSCTNLEVLDLSGCRSIADAGLVSISQLSKLTLLDLAGADITDAGLSALGNGRCPISSLCLRGCRRITNNGIASLLLGSGTINKTLVALDIGNIARISGRAVTVIAKNCEQISSLCLRNCVLITDPCLETLGSVQHNSGKSPLRMLDLSYCSRLSRSFLRLFDPLFDPPLFRGLRWLGIGKNVLERRGNSPTVAEILERKPGLTICANNCEMGCRNQCHPDVRTL >cds.KYUSt_chr5.21849 pep primary_assembly:MPB_Lper_Kyuss_1697:5:142676078:142677860:-1 gene:KYUSg_chr5.21849 transcript:KYUSt_chr5.21849 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARRHTTSAPLLRRLSAQPKSKAQPPPPPLTPSDEGVGAWARRAAALSLLGLTGAVAASAVGDLSVFLSCSRQAMEKATQNQHIVSAMGEPITRGPWYSASIAVNHAGHSVSCTLPVLGPQGEGLLKFKAVRLGGSINPNMFGELMLHRVSSNAYSTCTV >cds.KYUSt_chr1.39470 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241484654:241486488:1 gene:KYUSg_chr1.39470 transcript:KYUSt_chr1.39470 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPECCGKPTRRGRPQRRPSDLRRPVRQGTADGRRAAAAVAHPTRVCSAKHRGGGDPAADLHAATRKGPRRRHAPRDFAPAALPAAAAEGSTYGSQRLYLRFEMSTTSPMLLPCLAFHSVADRSTVLVSASEKKPIVGGDIGELQNKIICPTTHGFMLARDPSSLATFLWSPQSRVKIELPPLGLEIEDDLLIECTCLLSGKPTTPNCVVVLAQPDVPVIWYCHIGEDSHHWTKHEYDIGTRQALPEWDLPEEKVLITPIAACHGKFYFNSTSISLDVIDFCPAPVFSSISMDDAIDDVSDEGGGVSVFLVESNDELYMVSLFSPLGVTKPYTGASVQRMDFSKQQWCEVDDLGGRVFLLSPYVFGASCSGGEAGLRRNCVYFVDPRQNTLQVFNVKDGSVELQKLDNAPMADIAFWVLPTDLV >cds.KYUSt_chr3.27014 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168567871:168571778:-1 gene:KYUSg_chr3.27014 transcript:KYUSt_chr3.27014 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEAPLITEDAPERGAPSSGSRLGAVGAQGSKKYRRRSDALAYGDRYQKAAALVDLAEDGVGIPEDVLNDTRFERAMGFYFVYLRFDWVWSLNLFALILLNFLEKPLWCRKDAEHACDQRDLYFLGQLPYFSKTESLIYESDYFKKQLDMRHGAPTSSTGTRSSYSVASFTGQDIVRLKSMLASFGSSSAGRWDL >cds.KYUSt_chr2.34174 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211179771:211180451:1 gene:KYUSg_chr2.34174 transcript:KYUSt_chr2.34174 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFLTFALVIFFLKYYFNANFRRGQNGRVAAARHKGVDPELLRSLPITVYCAAAPKGSTVEDVRVECAVCLSELEDGEEARFLPRCGHGFHAQCVDTWLASHSTCPLCRVTVAKPNASLKASTSLPPVAPEPANYAANLPASVLLGVSDQATLTADEDRPRPAALATAAVLVIDIPDSRTPATPRDATKMPSSPRMRSLKKLWSFGNRGARAVGADSVINIRRR >cds.KYUSt_chr4.8752 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52490412:52494365:1 gene:KYUSg_chr4.8752 transcript:KYUSt_chr4.8752 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLPTAALPPTKAPLRPGQPLPAVFTAADRTRQQGQTRPLLARPSHTRPVKSSPPSCSRPAVASPPPCHRRRTSSATREQQGRTKGLWPAKPRSGPDLGRTDAATTPPNRRSAANDGAAAPPSTRHTDLTPPHRTTIGRELVLLTSMASSSTNPTAGTNFGHNITKKLTKSNHVLWKAQVMSSIRCAQMEPFLDADTTRKRLIAAVITAGESDQAAERVGDQQAAGDLPILPPSSAVDVVVFSSGEEVRRRSMARRHQTGLSLTLPLVVVRNPSPIEASIRPPPAAMYAATFSRASDQLVAKVVNTTTSPTLWAAMQGMFISQMRARTVNTRIALANLQKGIMSIAEYFGKIRTLADEMAAAGKKLDEEDVVSYVLASLDSEYNSIVSEMCSRVEPVTVVELCSQLLSYETRIDLLH >cds.KYUSt_chr3.10434 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61931376:61933325:-1 gene:KYUSg_chr3.10434 transcript:KYUSt_chr3.10434 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMKRLSLLLFFVVLNHLSELATGQDEFVYSGFTGADLTLDGVATITPNGLLELTNGSFRLRGHAFHPTPIRFGNGSSFAVSYLFAIYCANSNLCGHGMSFLVAASNNFSDTMPTQYLGLINDRNNGYATNRFFAVELDTNWNDEFRDIDNNHVGIDINGLDSVNSSSAGYYHDDGNFQNLTLASYQVMQVWVEYDGDSKKIDVSLAPIHMAKPITPLLSTTYNLATVLTEDMIYVGFSASTGSFISRQYVLGWSFGINRPAPAIDITKLPKLPREGSKPRSKVQKILLPILTAAFVLVLGTTIILLVRRRLRYAELREDWETEFGPHRFSYKDLFHATRGFKSKNLLGVGGFGKVYKGVVPKSRVEIAVKRISHDSKQGMKEFVAEVASIGHLQHRNLVQLHGYCRRKTELLLVYEYMSNGSLDKYLYDQDEKPTLTWAQRYKIIKGIASGLLYLHEEWEKVVLHRDIKPSNVLLDDELNGRLGDFGLARLYDHDTGPQTTHVVGTIGYLAPELARTNKATPLSDVFSFGMFVLEVTCGRKPIDPTSQLMLSQWVLHNWQQGFLTDAVDTKLQGDYNVDEACLALKLGLLSSHPLVNLRPSMRQVMQYLNGDMAPPDLNPTHMSFNIISLMQNKVSIYSDNELASQ >cds.KYUSt_chr1.39711 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243051007:243052401:1 gene:KYUSg_chr1.39711 transcript:KYUSt_chr1.39711 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITVPCTIDQIPLVKCPKAANAAVPTIDLSAPGAAAAVADACRGVGFFRATNHGVPAALAEALESRAGAFFALPHKDKLEHASARPFGYGSKTIGPNGDVGWLEYLLLSLGSSTIQASSLPPSLRAALEEYTVAVRDVGTRVLELMAEGLGLADRGALRRMVVDAAGSDEMVRVNHYPACPLASGVTGFGEHTDPQIISVLRSNRTGGLHIMLRDGCWVPVAPDPDSLFVNVGDALQVLTNGRFRSVKHRVVAPEAGKQSRLSVIYFGGPAATQRIAPLPELMRDGEQSLYREFTWGEYKKAAYKTRLGDHRLGPFEVPQTVTAAVKEPTSTDRNCSSKAVQPPPPPHVAQVY >cds.KYUSt_chr3.8791 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51222926:51223291:-1 gene:KYUSg_chr3.8791 transcript:KYUSt_chr3.8791 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVATIRRGLTIDPAGEEEAPAERFGRLVRESPVVIFARRGCYMAHVMKSLLAAVGAHATVIELEGAAEELAAAEAGGQNAAVPALFVGGAPVGGLEGLMGLHLSGRLVPRLREVGALYV >cds.KYUSt_chr5.13428 pep primary_assembly:MPB_Lper_Kyuss_1697:5:87412343:87416363:1 gene:KYUSg_chr5.13428 transcript:KYUSt_chr5.13428 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQPNGGKVTPNLAMDAEGTRLLNLTVLQRLDPAVEDILITAGHVTLYDFNIDKNQWVSTPMPSPHSIEDLIRSDAVSIFAQSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNATQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVSSIKSEFEELEAVPTSSAIDGPLEPPPSSSAISDTLDESFANYFSNAANIGSVPSAPMTGIAHQPTEFAALISSAGATHEITASSSAPPLPLHTNAHTSRSTNLVTPAFFVPPSSSTSLAQPASSLMPTAPPLHPSSTSSQRPPYGTPLLQPFPPPTPPASLTPAAHNDGRIISRDLVKDALQRLVQSDEFIDLFYRELQNAHT >cds.KYUSt_chr1.4737 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29144093:29146875:-1 gene:KYUSg_chr1.4737 transcript:KYUSt_chr1.4737 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLRRCGGVAFAALRRVRHFPHAAATPPAAAWRCPSIPRLYSTADTSQQLPSNLVQIMEQRMKLIEQRSAFLQEQINQPAASPEEYSRANKEFHKLESTMELIKALRSKQKEINGLKSLVTNSAEEKDMLEMAAEELLEAVEEEKRLQHALFRTLLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYEKYSQKNGWKFDAIDIMESAVKGYKEASGAISGPGAYGKLKFESGVHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYELERHRLHTDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGVTHHAIEDVMEGESLDVFIEALLLQEEMDAIASFAS >cds.KYUSt_chr6.26245 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166322281:166330138:-1 gene:KYUSg_chr6.26245 transcript:KYUSt_chr6.26245 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKSQLASSIEAAVQAGFEAALQRSGYASIEESVDEAARRRTSWFGEAGRRRIEREEDRVRRDPVWAEKMARRRAAFPLPSTATPEPTPSTTSVAIVPEPYCFNPEPVTAISSSPSHASALSACVVRDGDFDLTSPITCSTQCPGRDISMSVFLGPVATSASSKATIGVIPEHCYFDPEQATVASTSTVSPSSLSMCVVHDVDTDTTQPITCSTECPEGDKGAIWASPAPSAITTSVPSACVLLEDGIHLAAPGLQPLHLTTLTTSLVPLSTDLLVEPDSGRSDIGTAFVSQVLHDTTVTTLADKGTATPQGAQGLLSMVEIQLAIINALAITLEPVTMQPHGMLDMFISLSRRSFPPIIEKSAAVQKWISHYIQGVVLASWNPQLQYCSVGDEYSHVCADRDYHLDIFCDQVHISNDYYIFRSTCHDYSLGVFLEQVRVISYLNLIKISFDYNALRDLGEFMAHQVPWDPGDSTWHRLEVKPKFKEGGITAQNQKENYNQILLDPDAEDDDENVAPAGRRTFSPEPWIGRIPCTDGVVDATQLQQGASALRHHRHRHGQHQQRFHLLEDPDPPSRGLRRGTKAQRHSEEKEDERPKEKEKGKPRAIDTVMEELRLEKELREKRNQERGSRHGEASVVDENFLLRTFGRFGPIASVKIMWPRTEEERKRQRHCGFVAFMNRAEGQAAKDEMQGVVVYDYELKIGWGKSVALPSQALPAPPPGHMAIRSKEVASSIPKFLCAANSYDLSRRSK >cds.KYUSt_chr3.13447 pep primary_assembly:MPB_Lper_Kyuss_1697:3:81081730:81082494:-1 gene:KYUSg_chr3.13447 transcript:KYUSt_chr3.13447 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRPLIAGQNFRRHAVLRYPQRCILDGARLSLDLVAGKTLASTVYQNEVQPFLFPDADLYINTGRPPVKFRRVLFHDPHRRLRFADELFRQRRSLDQPSPPTKVAIPGVLVSVRCTEGQHQSHATAKRASAVDASSRPAPSAPVAPPSVGISCSSRQRAALLVQFDAVRDLDMNCSLAQLVRSMQFMLSIP >cds.KYUSt_chr7.65 pep primary_assembly:MPB_Lper_Kyuss_1697:7:380575:392091:1 gene:KYUSg_chr7.65 transcript:KYUSt_chr7.65 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGPRSKLDHEARPKRKKAPEASKEPPKPKVHWDHVLGEMLWLSKEFEAERKWKLSMAKKIAQKANLGVVDQATKDEKKQKEGEHRLRKVALNISKDVKKFWTKIEKLVLYKNHLEVEEKKKKALDKQLDFLIGQTERYSTMLAENLVDVPHLQRLENGPLQTNLVSLEEVAEPSQANQPFQEEVAEPSHTNQPSQEEVAEPSQTNQPFQEEVAEPSQTNQPSQEEVAEPSQTNQPSQEEVTEENINAPTPDDLDKMETDDDYNSSSNEEQEDDERTIDEDEAQITEAERNEELAALQAEADLPLDDLLKMYTKAQVSRESSPTNKDTSSNSDLKNLIKDSSKQINGCNNHESGYSSSDEGNDSEEANDRNCYTEFVKKNHGKTNGSFSSVEQEDKDYIGTDEGKDDEATLSEEEELAKKDDPDPLDEIKLLQKESEIPLEELLARYQKDGYADDETTDLENSPAYSSEDVNTHIMLTMSPDGRSADNLELNNDTFENDETADMLVTEDVSGNALQLEEIVPEPSVQEEIVPEPGVQEEIVPEPSVQEEIVAEPSVQEETVTAPKPSVQEEIVNVQENSVKEDELTDAKVMADKETGDSVMADAAAAARSAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWNKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFLLRRLKRDVEKQLPQKIEHVIYCRLSRRQRNLYEDFIANSETQATLTSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVCMVLDKGPFCQADLSDMNLVFTQNEFNMTSWEMDEVAALFSPGITSRGSGAEISCSYKDGQRSNGTNIFEEIQKALQEERMKEAKERAASIAWWNRVRCQKRPVYGTNIREVLTIRHPICDVFEKKNNPSCHMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAAAPICWCKNRNSPVLLKPAYREQCMNEISPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAVLLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRALDDLVIQRGGYNTEFFKKLDPMEFFSGHTSVNAEAQEMDHTRTAVPSNETGLPLSNADVEAAIRQAEDEADYMALKKLEQEEAADNQEFSEEVAGRLEDDELVNEEDGKPDEHTAEEHKHQSSDVDKDKSIALPMNQLDEEKALTLAVVDGDMDMLADVKQMAAAAAAAGHASSSFENQLRPIDRYAMRFLELWDPVIDKAAVNYQVNVPEEEWELERIEKLKDDLEAEIDEDQEPLSYETWDVDFATTAYHQHVEALAKKQLEEQEKQALEAAKELEETNEIVSHRKKSKKKRKAGKFKSLKKGRLSSDSEAMLDETSVDTMSIEDNAPSLELMSNESPHQCSNKRKKMTPGNEEVNSGSRSLKRFKKAPKSNGVSESSSHKNSLEGKRLKLKDEVNDSDPKLVRTKNDGRVSIPCMPVKRVMVIKPERLKKKGLLWPRDCALDPWTTEEDAVLCGTVNEYGPVWELASEFIHSMPGGGLYRGRYRHPVHCCERFRELFCKHVLSATDNSNSEKAPSGAGKAILKVSEDQTRMLLNVISETPNNELLLQKHFMAILSSVWRSKCGHEPQRVTSTSSSATHKPVILNENWPTTSNRPTFDLVRTALADAQAHCPRVVIPTSNPEPRRKSLELVLDFRTDQHAYEAGFPSVVNVSILEPDPVKRAVVPVEQPLLSGHPHRHAEKRFRIAPESCFEGDGSHWASSAFHIHDAARPKSGTKSTGKHKAASESGRPPKSKIQRTAEPHKAPSVMHDFLRAPGRASTSAAEFHFTWSLSDFGIDDSEFTYIDDELPLEADIEFAPHQYDPGSLPGIEELDPHADLTDIG >cds.KYUSt_contig_257.225 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:1788505:1790295:-1 gene:KYUSg_contig_257.225 transcript:KYUSt_contig_257.225 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHVVLSDSELSGESVSSRAPPPPLRSVVVAPAGRQLGLRGWDAGAGPSHAPAAPPVRPVEAAPAQNPWQVSESRRARNARRAQERAGRARQVFPASRPPGDNPRRIPAVLHGRCYNCGQEGHVSAMCTNDTLCVRCGGTEHTSRSCKRPRSSPETSPPLQPPPLPLRVASRGAGAGDPQVRPAAAHAADVVRTWRDVVSSGDEGAAGGGSGTADFSAPFGPVSPSPAPPAGRPRVNPSERVDLCYLLPSQGMVQLEADLDRAVMVSVAGNRPAVTMEVAAEEIRAQLHLPVDAFSIRPFEPADFLVLCESLEVRDQLVHAEFVSSPVCTLYLEPWSRQTGALLRETPFLADVEIRGIPGHAWAERTADKLLEGSGVIDAIDPATASRRDMSCFRLSLWTHDIASIPAVRWLAVPEPGSGLRLQVSDGRRRPRSESPKMLWYRIRFWVVRWLIGGPSSFGDSDAGDRPGGDGANASGADGSESRDGGAARPRRRRRRAHRRRRGRRAGGDAANAGAGADAAADGQLVDCAVDAAARLDRWEAVPMGSEIPGAVLPRAHVSPAAPAGVPGVAAFASPGVSGRHLRMRARALTAPAL >cds.KYUSt_chr5.7082 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44054374:44054724:-1 gene:KYUSg_chr5.7082 transcript:KYUSt_chr5.7082 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIRPVGVLLLLAALLLAASASPAVGAYGGRVLVSHRAADGTRASARLHMASTALKRRLEDEVGPELSWAASLLKGISTGGLDPNKQVCLENGRCSKPGGRYTGHGCHEIYHERC >cds.KYUSt_chr7.40535 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251694657:251696295:-1 gene:KYUSg_chr7.40535 transcript:KYUSt_chr7.40535 gene_biotype:protein_coding transcript_biotype:protein_coding MWGMAHIAEWKPVIAMLVFDLISAVTTALIKKALEEGLDRLVLITLRQLVATVFLSPIAFFKERTTRPKLTLEILIYLFFSAALGAALSQYTFFYGLQYTTATFAITFTNLAPVLTFIIAVLLRVESLNMKSKAGVAKISGTLMSFAGVMLLTLYKGVALTHQGDQSVLSGQHAEATTESGKKSWTLGTLALLANCLCFSFWLLLQSKLTKKYPALYSSTAYMFLISSMQGGGLTVAIQRRKSVWVLKQTVEIVTVLYTGILGSGVGYVIMTWCVEKRGPVFTSSFIPIIQIMVAIIDFFFLHENIYLGSVLGSILMIMGLYILLWGKNRDASVTVASVKEEEEEEEEDKEKQTQS >cds.KYUSt_scaffold_869.822 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:5096228:5097521:1 gene:KYUSg_scaffold_869.822 transcript:KYUSt_scaffold_869.822 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKVCSRFSPLLYDPINISSCSYYLEAVVLLLIRMHRQNRCSFIHSFNLWCSMSLYTVPAKKSDGKAQALKVAKAVKAGSLKSKSKKIRTSVTFHRPRTLKKPRDPKYPRKSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKSDKKMIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >cds.KYUSt_chr4.51245 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317844070:317845275:1 gene:KYUSg_chr4.51245 transcript:KYUSt_chr4.51245 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQAGKGAAIQLLTPYKMGPFDLLHRVVLAPMTRCRSYGNLPQPEAALYYSQRATAGGLLISEGTVISPDSLGYPEIPGIWSSGRKNRLRHGSLLPMQSTTRARYSSVRFRMSGGSTQRTDGHVPISSTDKAVTPDAEAGIVYPKPRGLQIDEIPRVVDDFRRAARNAIEAGFDGVEIHAAHGFLLEQFMKDSANDRTDMYGGNLENHCRFVMEVVDSIVREVGAHRVGIRLSPFLDYMDCVDSNPEALAAYLVEQLNKHEGFLYCHMVEPQMAIVDGRRKIPHGLLSFKKGFNGTFIASGGYDKEEGNKVVSNGYADLVAYGRIFLANPDLPRRFELGASLNKYDRSTFYTQDKVIGYTDYPFLNGDDN >cds.KYUSt_contig_1658.106 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:643570:647175:1 gene:KYUSg_contig_1658.106 transcript:KYUSt_contig_1658.106 gene_biotype:protein_coding transcript_biotype:protein_coding MEMARAPAPASSRPRTVEAIYKDFAARRDGLVRALTSGQSNTALPRVSSPVRRADVDDFYDFCDPDKENLCLYGNPDGSWEVALPAEEVPPELPEPALGINFARNGMHRRDWLSLVAVHSDSWLLSVAFFFAAPLSANERKRLFSLINDHPNVYESMVARKHSSGKSRHSSKPKRREDVHAKNSRAVARGPEEDDDEEEDEEHSETFCGSCGGIYSASEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSSKKIRQ >cds.KYUSt_chr4.46493 pep primary_assembly:MPB_Lper_Kyuss_1697:4:287405898:287415209:1 gene:KYUSg_chr4.46493 transcript:KYUSt_chr4.46493 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESAASSPRAALAAPGASRKRRRSQSPPPAGEGPSEPALARPRFGENLDLILSLQGKELSLQRKIELAFNFVTTESSRSSHGHRADNVKLLRMVSFIGNWVQSILILPESSKRVSEPFDPVLDYRCWVILRFCIEKKPSVSISLNLLKPLGRVAKDGLSRVQLGASFDGCESSLLFERVLDCVSFLFSSNTRAFFNAGVDLWTSCVIEAIHLVQKVSPNDKSGCAVLQDLGNCLLEQFSSFLRFHANPKNLFRPFVDKILDPLLELLVLLNSQANSIEHKQAGTTLKIAEEILSNGLFHPQHLSGYFGLKNLNKAPIAKDVRGSYHRHLFERFKGIKAESKAVLLAGFGYLLQLFVSRARNQSTSLAPRGTSFRNQQKSSDASEEPQHHGESIFEVFMQFMEPLVSECKSYSQKDFSDLGVTKLVEVHCMLKSINEILRTVTQEKIYVPTEDTPEGSYLQFLQDIYRVLILMAEKLYDFWVSAVHLEDTNIKKMLPLMFVEIVVAVGHFVEIEYKVLGGDLAKLWSMLFALAAINASSKGIKPCFLLTSKISSLSSQVIHTFSELRQVAHSICMLCNTVRTFRSVAGPDVVPRPFSVSSLSSHKCLESLATLLSSQTLRDAICTSINSMPEGQSSRCIEELTVDLTDTLKWMNTCSEDVDLESQGESLSISRKSVFYQKAELLGRHLCELYTSVLDSITVTSSNTALVARSVERLVNAIQPKLSQLVRNDSVSSSEFICSVVGNNLSKKQCAKWQKIPSLSWMFVFFFRIYTSCRSLYRQSVGLMPPDLAIEATELVGNSFTVCCGKEWTNASNVIAEGYFAWIVESSGSLLDVIETLSQSIPKNHSGFAMLVYVLHMMALQRLNDLNRQINAFDYLLEDNTQQFDTEDRGNTAVFKESCCLEAARLTNFMMNYVGILSSGENGHFQCYEISSSWDLSICSLDEGSFHIATWRLLCENIDIWSPHASKKDLKSFFSNLMKFSFVQKRSCKDEENSGNQCSHREITLHTISVELLCDTIIYDRKVLLKNLASSFCHALKKSVSSFVTRADEDAFLDISPDLMEILDKLNNKKLVGGTYPDEDGVDKHRICENLLNFLSTVPGFHSNSKSFLRLITHILHLERLLLLALLRRYELCNPIELIRLFICCRRATQNLVSKFGKEYPESKQYSAFSKLIGNSDSLIWLLRSVQEIIHLSHKIFEEHTDEKKNTLFSLVDKTSEIFSTLANMNSKFCLLGPKKRIECSLEHSASESDTSEHDAQTCDTLESSALEYVKIMAEQLEKTATGIPVTVKDRNCVIKLENCYDNVCWDTLLCTMSCISGFLWGVVSAVESTIKDYPVASSEERKVMRQYASNFSRFIAKFETFIDICLHVLFMENKDCGSVDLISTRLPQELDCENGFLNIDAVMDGWTMHQLKLQPDGPP >cds.KYUSt_chr7.17201 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106575638:106578688:1 gene:KYUSg_chr7.17201 transcript:KYUSt_chr7.17201 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGAGGGGSGGGLGGTRVPTWRERENNRRRERRRRAIAAKIYTGLRAYGGYDLPKHCDNNEVLKALCNEAGWVVEPDGTTYRRGCKPPPQARFDPIGRSAPQSPSSSYQPSPQASYNPSPASSSFPSSGSSSHITLGGGNNLIGGVEGSSLIPWLKNLSSNPSFASSSKLPQLHHLYFNGGSISAPVTPPSSSPTHTPRIKTDWENQSVLPPWANANYASLPNSTPPSPGHHVAPDPAWLAGFQISSAGPSSPTYNLVSHNPFGVFKEALASSSRVCTPGQSGTCSPVMGSAPAHHDVQMVDGAADDFAFGSNSNNELPGLVKAWEGERIHEECASDELELTLGSSQTRGEPS >cds.KYUSt_chr3.39601 pep primary_assembly:MPB_Lper_Kyuss_1697:3:249534147:249535412:-1 gene:KYUSg_chr3.39601 transcript:KYUSt_chr3.39601 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPELPLHLDAPVLPKPAVVLPQTAETPPAPLPPTAPPRSSRLAPAGRFRKENAGAMVTRLTPPAGPMPATATASGPMLGAPAGLAGAVSGLPPQSVLMFAGSSPAPMVCCPLSAAGCAASSCRLPDADLLGVGSLSSDDDDDEELAPRTPLAVATGPDSGAVSNIVGSPRAGGGTVEERMELVAAMSCSLAVNNEVEGNVMKTCATPAAAEDDEGWVQVGRGGRPGREPTPLLRGEDLECSLAFKRWARGRCFRCLERDHQESTCRGPFRCIRCRRPGHRERFCRARFPATMGEEVLTCVGSPVAFAPCQRGNSLSAQLHHPSSPQSWVEVVYHSAVAPRPSPTSCEQFKINATLDSLFQSQVALMRMELLQLVDVRVEEASRPLREEVAALKLLLACAGVSVEPTEACPSVSLGLAKV >cds.KYUSt_contig_528.269 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1639873:1652296:-1 gene:KYUSg_contig_528.269 transcript:KYUSt_contig_528.269 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRPMRAPVLCRYQLEALERAMSGNTVVFLDTGAGKTLIAGMLLRAYAHRVRKPARDFAVFLVPNRVLVEQQARVVQAHTDLRVSKFTGDMGVDFWNAATWRRVVDDAEVLVMTPQILLDNLLHSFFRLQDIALLIFDECHRAKGNYPYACILKHFEVLKANANLYGSSLENAKKGISKLHKTFLYCTANLGVWLAAKAAEVQSTTNEQFLPFWGDEKLDKNVEGFVRNYSEEVYRELSCFSTRGHIGEDFVADLQDGLLTHKVHCLVQFLLEYRHMEDLRCIVFVERVITSIVLESLLSTISQMSGRIVKHIAGNRSLLHHQSRNKQTEIVDSFRGGKVHIIVATQVLEEGVDVPSCHLVIRFDLPATARGFIQSRGRARMPNSDYVLLVRRGDAKARSKIEKFLGSGQMMREASLRLASSMCKPLQNTLCEEDHYHVESTGAIVTMNSSVKSIYFFCSKLPSDEYFQPLPRFSIDKALGTCTLYLPKSSPVQIVNIEGEVSILKKAVCLKACRELHAIGALTDHLLPELGFPCEEEPDIVVEKYQHEHPDYFPEEFVHNWLSFSRLGIYYCYKISVEGCSKTTSCPNDILLAVKCELGPDFVSSSIQLCGVQDYASVAMKYVGIIHLNQEQVIMARRFQTTILSLLVNKGHPDVSNASKYFHEMQVSIGVVYLLLPLVSGKVDWCSIKFSASQVYDASNKDMMHCHSCKQVDILQTKDGPLCRCLLKNSVVCTPHNNELYVVTGFLDLNAKSLLHLRDGNVPTYTTYFKTRHGLNLTHENQPLLAASKPGEVRNFLQKRHYKNKKEPCTSYSVELPPELCRLVMSPVSTNTLFIFSIIPSVMYRIQCLLLSAKLKVQLGPRMQQFAIPALKVLEAVTTKECQEEFSQESLETLGDSFLKYVVTQHLYCKYTLHHEGTLTKMKKNLISNAALCQLACNNNLVGYIQGAEFNPKGWIIPGLGYHTCGRSKTLCLSSNDMYSLSKMSVRSKRIADTVEALIGAYLSAAGEQAAFLFLKSLGLDIEFHQKIPFERKIVINYEKFINVRSLEIILGYEFKDPSFLMEALTHGSYQIAGTTACYQRLEFLGDAVLDHLFTIYYYNHYPECTPELLTNLRSASVNNCCYAHAAAKAGLNKHILHMSSELHKRMANYLEKSGQPFTGPSHGWEAGIGLPKVLGDAIESIAAAIYVDSKFDKEVVWRSMKRLLEPLATPDTVECDPVKELQEFCDRKSYSKSYTKTHKDGVSSVVAEVQVEGTSYSAIGTGPDKSVAKKLAAKSLLKNLKAKL >cds.KYUSt_chr2.27736 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170397946:170406220:1 gene:KYUSg_chr2.27736 transcript:KYUSt_chr2.27736 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRTAFIGRLRAFGMGRPKSREEWSVEALGFKLLRRREGIGFRSGLAGIGEMGEVEEKLEAYEVKREGEAEILMLKSNAVFFNPVQVHNRDMSIAILRTFVDKRKEEHEEKINKKNKARQHVSQDIPPRSDGDGTSSEQPASSSVDGEINGDHGRELHWSVDKAEGPPTETTETPSSKRIRELNAPIVLEALAASGLRSLRYAREVDGLGKVVALDNDEASVEACKRNIKFNGSAASDKVEAHLADARVYMLTHPKEFDVVDLDPYGSPAIFLDSAIQAVADGGLLMCTATDMAVLCGANGEVCYSKYGSYPVKGKYCHEMALRILLSCIESHANRYKRFIVPVLSVFMDFYVRVFVRVFTSASEIKNAPLKLSYVYQCVGCDSFHLQCLGRTITKGKSVKYAPGIGPVVPQKCSDCGKKFNVGGPIWSAPIHDQEWVLSTLANVKAMKNKYPAYNKITSVLTTVSEELHDVQLFFSQHNITGTVKCTPLSAVVFRSAVLNAGYRISSTHVNPLGLKSDAPWDVIWDIMRCWVRLHPIKEQPQDSAGTMILSKSPKLEANFSRAVAAVSNAQAKKVNRFLPNPERHWGPKIRAGRTITGKHASLLGHEAVNGVIHQKDGNGLVVDKPAPGTEEGLEQAVCYSHSFQTGLCETNGLSSHGPLQKRISRTVNRSRYGLSIPSASVELSGGAGDGGAAEAIDTLPRLSSKHSEGSRFVKMPKAAADAKLLIQSLNKAYAATPTNLKIIDLYVLFAVVTALVQVVYMGIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >cds.KYUSt_chr3.30274 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189587170:189587502:1 gene:KYUSg_chr3.30274 transcript:KYUSt_chr3.30274 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFLQGFFLPRSQDPPLQQGTARLLRRSSDSRRSSREFPATGPVAGFAAPAGPVAATGPVAGSAAPAGHSPPSSKKQRLAPKQQGIPRLLPCCFHASPSPAEAASRAGD >cds.KYUSt_chr4.42969 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266164787:266166070:1 gene:KYUSg_chr4.42969 transcript:KYUSt_chr4.42969 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLLTDKEATGLVIKDAGAARINPRWAAVGKVCSPRKLVIGALERALHRAWGLHHPAAFREIGDNRFVVKFSSEGDYRHVMKGGPWKFDFNVVLLKKFDGAVRPSDMVFDELELWARVLDLPMDMMNRAYGELIGDWIGKFISVETDDDGMAWGQDLRIRVAVKVDQPLLRGVCLRQSEVDGEGTWFDLKYEKVPHFCFDCGRLVHPGGGYPAEKEEVQQWGEWLRASPRRNQRPPPPIRPSVQSSSYSSRSAGSESRYRGEASVRDVPPRNLFNDYAYSSSSRTSERGMRSDDQDNTSPVMKQKEPAREQYKKREPLGSKQKKGQAGTYTRRQRRNDVLPKMSGYQEVLRTNQNKKRSSKMVWQPVPVQVVGEETSGSAGKRQRVNSVFDRLDGHEEEGQRSGSVFERLEEPAADPAGQGRRDQ >cds.KYUSt_chr5.13077 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85212456:85218040:1 gene:KYUSg_chr5.13077 transcript:KYUSt_chr5.13077 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSGINLLGCFNGMDVLMSSGSATVCETCGNVGYEHLLLSCDDCKCATHQYCLAEVLFDGSSLERWFCNQCPRKRGEVTHEESLHKASNHADSGSAACQASTMSVESTMEARPYRNHKNKSKRSHMRPVGNKTNTRDCNGPNMICASEKALHSCELISMEISKASNDENQQVDDEHSVRPINNNHDANRLPARINKSNPEKPVEEFEPPGAAEQLNPPKDSNCISLGNLEIEYSKDCRLTPVLAAENQNEPSMLLDQANSSSLSETTLKQKVLREASDTAVELSGTVQNRVKDNPKKRRRLILLDDDDDGEEAADVQSEDFNHRADSSSLSETTLNKNVLPEASDTAVGLSGTVENCVKDNPRKRRQLILLDDADDGEEAADVQSEDLNHRADSSSSSETTLKQNVLLEVSGTAVELSGTVQKCVKDIPRKRRQIIVLEDDDDGEVAANVQSGDFNHPADSSSLSETTLKKNALLEASDTAVELPDTVRNCSEENSRKRRQIILLDDDDDGEVAADVQSGDFNHRADSSSLSETTLKENTLLKASDTAVELPDTVRNCSEENSRKRRQLILLDDDDDGKEAADVQSGDFNHPSLECDGSLSKLRIDTEVCVEETVHTGELNDRNLSTAQLDILIPGSSEITQPVEKRRRYTLANEDDEDGEVIIGTSNAPKLTLETLVAKDDGLQSRIKLDSESANQQRRMLSQPIDEPIWSGLLKINNEVFVSLVAHMSSKACRPVWELSTSLQPVIEVIKLPQLEAWPKSWKVSGPTDGDIALYFFPPSMRSDIIISSPSKESDVLVEEIIDSGAAIKAVVGVAELLIFPSTILPEQYHVCQGKHYLWGVFKHREDESDKDILVEEQDASARAKEGEIQEHHFMDQQHALQCESPDHGSSAAKHAIHVDHQLLVKHNCEAQEGAMKSTMGEGLLSPGNDSSSVELNSPETRSNCFMQPRSDPKLHVPEEAYHQEDEQSFTRPSTDLGPSATTVKLIDSAGAVPPTTRQLFGFVTARTPRAQQLIQEMVDEGALLFSVAEETATVGSRVGNDTEVQVHPTTYGECPPMQDRRQPIGFVPLDDDVASEACLELFPVRQEHNGWTPRVEASKEVDLDLSLSARSGAPLGSFL >cds.KYUSt_chr6.9649 pep primary_assembly:MPB_Lper_Kyuss_1697:6:59514372:59514794:1 gene:KYUSg_chr6.9649 transcript:KYUSt_chr6.9649 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWTPFLVVVLATMLVLRTILRRGRRAYRLPPGPKPWPIIGNLNLMGALPHRSIHAPSKRYGPLMYLRFGSFPVVIGSSFKMAKFFLKTHDSVFIDRPKTAAGKYIQLQRHRLVPLRRLLALGAQIVPHRALQRQAA >cds.KYUSt_chr4.28231 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177406120:177409499:-1 gene:KYUSg_chr4.28231 transcript:KYUSt_chr4.28231 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP DNA-binding protein, Disease resistance, Vascular developmen [Source: Projected from Oryza sativa (Os03g0336200)] MQQPKPADPPPRPFPPPSPSMAAAANAMRGAHHRRARSEVAFRLPDDLDLASAGADADASAAFDEIGSEDDLFSTFMDIEKISSGPAAAADRDRHRAAETSSPPRPKHRYSSSVDGSGLFSAAASAARKDAAAAQALADVLEAKKAMSPEQLAELAAIDPKRAKRILANRQSAARSKERKARYMTELERKVQTLQTEATTLSAQLTLFQRDTTGLSSENAELKIRLQAMEQQAQLRDALNDALKQEVERLKMATGEMSNSSDAYSMGLQHVLYNSSFFPQSQQNTAQHQGGARFPPPFHPPHPNVPNHQMLSHPNTLSDIMQQDHLARLQGLDISKGHPVVKSESSSISASESSSTF >cds.KYUSt_chr3.7570 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43609899:43610524:1 gene:KYUSg_chr3.7570 transcript:KYUSt_chr3.7570 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHDVNGGVKGFVSNLVGGGKGRGYGYGQQGHGGGNGQCYEQGYGGHGQQQHGYGGHTQQQGHGGAYEHGCGGGHVQQQGYGGHGHGHEQHGYGSHVQPQHGSSCPPAAGAYPPHGGYQAHGYAPAAYPSHGAHNGGHMGSYNTGHGGGHGHGGMYKPGKHGSKWK >cds.KYUSt_chr6.1250 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7841461:7843629:-1 gene:KYUSg_chr6.1250 transcript:KYUSt_chr6.1250 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAVQWWEESQLRILVLGSLFLQFVLHFSKDVRRKPILRKFRVLVWIAYIGSDALAIYALATLFNRHRPWAEDEGSTAVEVVWAPVLLIHLGGQPEISAYSLEDNELWRRHAISLVSQVTVALYVFFKWWSGEKRLLGVAALLFVSGIIKFGQKPWALRRASFNSMLDLVSIDPRRREVAHPGASACWILCVSSLGEKDLPRGLAAAEAEAEQEGLDISLEQYVKQAKECVQDRTVRSDDQDLEDDPQASVEGYRTMLKIFFDMSATYSQRLANLKYWLTVDDKFAYYPLQVLLDHAFRMLYTKQRIMDIGILAYCIWYVLLPFLSLASLVLFAKSHKDGYSEKDVRVTYILLSCNVVLEFLPYLYSALSSLLPWFESCWRYTTVAFFMLCLCTTRDRVSRDRIFQYSLMSSVARTKKPTILMELATLNCVRGYINKHWYIGEIVASTHITRLLLGYMEDGWKEYISDAASYRRFSNLRGQWTLRRLGLEQQQRQGVAWSFKMAFDRSVLLWHIATDLCFHHPNTSPRGRDTNATPMQRGREISNYMIYLLFIRPEMLMPGTRPGLFDHASYVLEEAFKDSKAPLHSEERLALEIMDMVKSSATAPGHLIRGACRLADALMELDDEGRRWQLIQGVWVEMLCYSAARCRGYLHAKSLGDGVEYLSYVWLLWSFMGMETLADRSQKPEEPPQGEEEITPTRASAFQSNSNQTEQEISSLV >cds.KYUSt_chr6.2485 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14464075:14464519:1 gene:KYUSg_chr6.2485 transcript:KYUSt_chr6.2485 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSKAGVNGNEKHLVCVTGAGSFIGSWVVKELLDRGYHVRGTARDPADRKNAHLLALDGAEERLTLCRADVLDYGGLRAAFQGCRGVFHVASPVSNDPVCSLPCPLTACRELARACQFN >cds.KYUSt_chr6.27597 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175133864:175134577:1 gene:KYUSg_chr6.27597 transcript:KYUSt_chr6.27597 gene_biotype:protein_coding transcript_biotype:protein_coding MMAESVQEQGLSAAVSELSWKEHAEAVRSGRVKHAVTRFRRGVRPKANGRYGAQIWVPSRRGHVWLGTFDTAEDAAKAYGAAAVELQAAEPAVKKTARRPDAWTEFRGVYRSRSGRYAAQIGHSKEKSWLGTFDAAEDAARAYDAAAVKLHGARAITNFRQQPSQDKDTTAEAISVKEEEETMGFLNDFLEMPALDFLLHSLPSGAQRDDPFADLPSAERQIVDGFLKETDVSDMVP >cds.KYUSt_chr1.31401 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190461544:190464618:-1 gene:KYUSg_chr1.31401 transcript:KYUSt_chr1.31401 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIIWTALLLPVLFLADSFLALQPPATCQQKCGSVDMHFPFGIGDGCFLPGFEIECTTGGTPILAGNNNTLAVLNLTVMPRPEAEVMLPIAYNCYNTTDGASLDWFYGSVGISPAYRISNTSNELIVLGCNTFAYTNSGPGKTNLYSFYTGCVAYCDREGRAEDGACAGIGCCRVDIPPGLTDNTMTFFASYAASTFFGYTHTDLTYSPCDYAFIVKKNTYDFRVSDLKMDTKTTKPLVLDWAIRNIEDGNKTCAEVKNKPGYACVSDNSECLDSYNSEGYICNCTSGFWGNPYLTGEGGCQDINECDDKWKPYYPCKGVCHNKVGSYGCDCPSGQKAHDGNAYENVCASKFPVEARIALGISLGVFVLIVALLLAFIMLQKRKLDKLFEKNGGEMLKNVNGLSIFTKDVLKKITKDNAEFLGNGSFGNVYKGTLPDNTMVAVKASIKVDEATKEEFVDEVEIQTQMIHKNILKLVGCCLEVEVPVLVYEFAANGSLQDILHRKKDQVLPLDSRLDIAIGSAEGLKYMHSYATHAMRHGDVKPDNILLDDKLTPKIADFGLSKLLKEEYYAKVVVGCMGYIDPVFMKTGLLTQKSDVYSFGAVLLELITGKKNVYDEKLSLIIEYRKVYEKERSGRAMFDNDITTEEDISILEEMGKLAMDCLKEDLEDRPDMTEVAEQLVMIRRNKKFGKSNNTNPNNIGDITIYNSPTNTNTEVVSGTTRANISAKVTPSNMDILPSP >cds.KYUSt_chr5.848 pep primary_assembly:MPB_Lper_Kyuss_1697:5:5827955:5829638:-1 gene:KYUSg_chr5.848 transcript:KYUSt_chr5.848 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDARLVFVLIGFAACSLVATSRAQPAEVKVGLIIDADSPVGKIATTTIPMALEDFYAAFPNSTARVKILQYNSSADVVIAASAALQLMTTQGARAILGPQSSVESAFVADLATHAEVPVVSFSATSPSVSPTAARFFSRAAHSDADQAGAIAALATLFGWRRVVPIYQDDDYGVAFLPFLVDALASARAEVPYRCALSAAASPDAVAAELYRLESEQTRVFVLHTRHQLARRVFAAALKAGMIGEGYVWVITDGLTGLLGSVQPPQGVIGLAPYVPTTPRLRDVKKRWAHRYMRDHPDGELSHAIIGCYAVWAYDAAWAVASAAERLSATELSSPPGLVGGTDGDNDISGLGKSRSGKSFLRALSDTKFEGLGGMFELVDGELVVPAYRVLNIVEGGRERGIGFWSPRFGLSRHISRRSDIPGGELAPVIWPGESAVQPSGWVQPTSARKLRVAVPGYVSASYRAIVHLDVDSVTNQTTAGGFVIDVFEAAVRLLPYALPFEYVKAKSQPYDTLVHAVQNGVS >cds.KYUSt_chr5.22733 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148486010:148487203:1 gene:KYUSg_chr5.22733 transcript:KYUSt_chr5.22733 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAASSSHLHITITNPTSAITTATNSNNNNIKSHHHNHHHSGARSQSSSVSPRSGGGGGSGGGTTNQACAACKYQRRKCNPDCPLAPYFPADQQIRFLRAHRLFGVSNILKTLKRLKPEERDTAMQTLIYQAEMRAADPTSGCCRIIAELEHTFNLEFAELSALQHHLDLCRQATPCVGALPAGGGGVIDGPCTDLEVTSSNQQPLLLGTEQDQDVVDALYVGQEAHEVIRNGADHDDLNNSPKPQDHHGGQQQPQQLYDYFYYETTGAGEDAGSKPSGVDINVDIMEHFDYDSDCDADDDHHKVDQMPPLMSSGIGQQLEEHYQIGQKEYEMKVASLVDVFDMRQELQAVDVNADIDIKEELQEEEISNKNNIGLGEAAHVAESSHCRLGLGF >cds.KYUSt_chr1.19482 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114398101:114398621:1 gene:KYUSg_chr1.19482 transcript:KYUSt_chr1.19482 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNIGGRRNGAKAVAEPVGLASPGRLPKFMAMEYFAQLKLRHCTKVKLLGVRTRPLQLDAQLRPGRLYFLVALPQPTAPPRRARCGALHVGARERLESLMLTRRSTSDLTFPTATASPAASDGGEPVQLRRRLPKEWL >cds.KYUSt_chr4.8680 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52097903:52100296:1 gene:KYUSg_chr4.8680 transcript:KYUSt_chr4.8680 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTCYLLLNPSRTCHRPLLPSLRLPARLRVSCDVPRQGSDGGPKRGVIPTGAGKAKKQVVFFDAAPPVAQRGGEEEGGKAEVQTKVDGAAVRLLRRATKRTLSVLSNLPLAIAEMSAIAGLMALGTVIDQGEVPSHYFEQFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTFTTQLPMVKVAKRWSFMSSGGSIKKQAFSESLPRASIQDLGVILMGAGYEVFTKGPSLYAFKGLAGRYAPIGVHVAMIFVMAGATLSATGSFKGSVDVPQGLNFVIGDIMKPKGIFSVAPDAFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFDLDGKEVMRKTIKVNDPLRYGAITIYQTDWGFSALQVKKNGEGPFNLAMASLKQSGNKKLYGTFLPLEDPDPSKSSVKGISMLARDLQSIVLYDQDGKFVGVRRPSSKLPIEINGNEILIEDAIGSTGLDLKTDPGIPVVYAGFGALMLTTCISYLSHAQLWALQDGTTVVVGGKSNRAKIEFSDEMNRLLNKVPELISVNEKTVDNKSSTA >cds.KYUSt_chr5.16090 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103657102:103659165:-1 gene:KYUSg_chr5.16090 transcript:KYUSt_chr5.16090 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVDVRGYIQLLRSCSAVTGQQLHQALLKSGHVPSSLPPSNSVLLMYARCSPAHRRDARRLFDEMPGRNCFSYNSLVTAHLNSRDHHAALMLFRSMPERNNFSWNTVITGMVSAGDLDTARALLEEMPVKDAVACNAVLHRYVRSGRMDEAFALVRAIGLQCGTAAASPCNDPFVLATIVGACADRMKYDFGRQAHGRMVVAKVELDSVLTCTLVDMYCKCGDLDSARRVFDGLAQIDEFSVSALVYGYASRGQLDEALRIFYRVENLNILLWNSVISGCAFACLGDDAFALFVRMMLSDVLPDSSTFASVLNVCAFSGMLKPGIQIHGCGLKSGTVNDMIAASALIDFYSKCSLWEDACRAFSELRFHDTVVLNSMITVYSNCGRIEEAKRVFYRITSKSVISWNSMVVGLSQNGHAIDAMELFCEMHRLGVRLDKVAIASALSASSNICSISFGEQIFSLATVRGLQCDNVVAASLIDLYCKCGNLASGCKVFDGLDKSDEVLWNSMLIGYASNGHGHKALELLELMKVRGIRPTERTFVGVLSACCHSGLVEEGLTWFKQMQEDFCVSPSAEHYACVTDLLVRAGRLDEAVEFIENMPFKADPISWTTIVSGCKAQGNEALTHKMAKRLTEMGKSESPHSSLYVQLSSVLAAQGDWVKSEEMRGLMRERRIAKKPGYSWIDS >cds.KYUSt_chr5.6163 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38045293:38051076:1 gene:KYUSg_chr5.6163 transcript:KYUSt_chr5.6163 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPVTPVSGRAGAQPEPFTPRGTPRLRRRDSSASAPSTPGARRRPTTFREDVGHAAAETYLVTRLAFILLRSLGVGYRWISQLAALLIYAVLLMPGFIRVGYYYFFSSQVLRSVVYGDQPRNRLDLYIPRDRSKANPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVSDATEGIAFICDSVASYGGDPNQIYLMGQSAGAHISACALLEQAVKESKGEEISWSVTQIKAYFGLSGGYNMENLVDHFHERGLYRSIFLSIMEGRRSLSHFSPEIVTKKLNPEAIALLPQFVLFHGTGDYSIPSSARGGKDPLVEDVVSIIHADNPNACEKYDSSPIPERLVSEWQIQLARQISPF >cds.KYUSt_chr2.40648 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252542811:252545464:-1 gene:KYUSg_chr2.40648 transcript:KYUSt_chr2.40648 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRLLSSPPPLPGHGGARRTVTYMPRPGDGTPRAVTLIPGDGIGPLVTGAVQQVMEAMHAPLYFESYDVHGNMPAVPPAVIDSIRRNKVCLKGGLATPVGGGVSSLNMQLRKELDLYASLVNCANVPGLPTRHKNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREIAAKYPSIEYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGLVGGTGVMPGGNVGQDHAIFEQGASAGNVGNDNLVEQQRANPVALLLSSAMMLRHLQFPSFADRLETAVKRVVEEGRYRTKDLGGDSTTQEVTDAVIANLA >cds.KYUSt_chr2.55569 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346620166:346629690:1 gene:KYUSg_chr2.55569 transcript:KYUSt_chr2.55569 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYMAEGPPSVGSPSPAAGSRFSCLLEDDDSVEDARVTAEEAAWSALGFEPEVRQIERSPAMTQEEVEADFWAKIGYPTRASRVWPRSTSSEVSSTFCRSAERSRSMSPSSRVKIKGATTRAASSSPVGLRLSRMPRIKPWRGPLPRRRVTPAPVFGDFLAAASSSRPVGREMMAPSSRSGPSFGHGLTSSLRGALTTESSETAAQSSGFGAVIVPPILPRVKSVSVASDAYRAGPHAGLARFGWAVMEVQRRGLEDYMRSHARRNHHIPIVVARFLAFVLRSARPIFAAVALHFSVPRSCRLVCVVFVHLVIVDLVPELRGCCLDFVARVLGAAAVVRADRRRFPLGCGDGRGCEASGASRDVCRFGYVRARDHDAWGGSYGGAVYGRSGYLLGTPWLPGMASWCANAAARICAEAACSVHGTFRGFSPAFSPAGASAAGAVHAASAVPAFPRSVSSILVPNQRGYGTASHATACTPAASAATWAGEEEAEEEDCSASGAAGASWRAVAATHGAGCSDPWTVGARHSWPASSDGENSVATLVPVQPMVPDTVAPVAKQKKAGKCWKCDVKTHATKDCKVIHYCLICDSGAHPTIRCPILKMPRPTSFFVGCGNDATLDLQIPDSVHKPQLISSGAPTALVQVSGEGAVSAADVQSLMARMCPGNPAWRWEAVPHGANAFLIGIPTADDLSRIDGMQMSVPKVNAQVLVSSWVHQDVQPLFVMEPVWVHVSGVPDSVRHFLGIWAVGSLIGTTLDVDLFSLRSQGIIRVLVAMRDPSVLEKNNGCLEVVALLQLSGYRFRFAREAVGFQPDPRFRPYFWKEGGDDDGAHGSEDDRQDEAPADAAPEVAGMDVDGHPLTHAGTSAVQSTQVALTPFNHSPMTDRGRQIVARARVESPHLVASTPVAPREPSPSRVRIFMQGRTRPVSVPLRVQQPLTPSSTSPQTVSVVPPTSVVQQPAASSLHAQRTVGSPRAPGSTEERSAPLTHRAEPRPTTAPPLQPVGGPTLPVQQGATMLSSPRQAVSEAATQGGRVEGLPKSSSPLPRPSAAVVEEMRDLEQRTPRTTHSMAVRSSESAAPPASSSPPSAPLSTSTPPAKAGEIQGVSSPATPVSVAPSSPAGSPPRSPSSSPASLQQPSPMVPPPVVQPTVRRSGRFALSEDGAGATDEDVMQRAMRRKAEMNLDTAGIKQPSKSFVSFSDTRISSNLSSLGVSLGSRSDEISVSANVLRQTELDRLTVVPNVSTGPETAIIDDDDDDDILDGQILSAIIGNISEVDLEHAELSSDLQASERGSRSSAGKKSRRGLRDLAKHSHIADGCRDYDLDFLAISETGRRNFSQSFLDRLSGGINFQWFSRPPRGRSGGILLGVRIDTMTVLASSDGEYHIKLDIQNKADGFMWSLVAVYGAAQEEFKADFLRELTAFMQGRNILDGVAVLHETVHEMHSKKLNGVILKLDFEKAYDKVKWSFLQQTLRMKCFSPEWRALIHDFVYGGSVAIRVNDDTGHYFQTRKGLRQGDPLSPMLFNIVADMLAVLIERAKSDGQIEGVIPHLVDGGLSILQLSTDAQVFSVRGYLFSGRRTETCLRRYYEDGRSVASGLLLAEEKGIQRLVLETDCQAIVKQ >cds.KYUSt_chr4.53758 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332598787:332599793:1 gene:KYUSg_chr4.53758 transcript:KYUSt_chr4.53758 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLQRACQDGSAVTLAEGESIMQVVTLRGSNLIEVTDGEGVKSLALFPAKFQKSFWIKNDARAVYVSCREPKDMIVSLWHYLHRAGVNFSFSEVFELTCDGKNPNGPFWDHVLGYWSASKVRPEGVLFLRYEKMLVDPVGTVRELARFLGAPFSSAEETAELPMEIAKLCSIGSMRGLQGNKTGSIGQFKFAHQSFFRKGVVGDWVNHMTPEMARRMDAIVEGKLRGSGLTFTS >cds.KYUSt_chr3.23945 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148568638:148577791:-1 gene:KYUSg_chr3.23945 transcript:KYUSt_chr3.23945 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSAASPSPSSGVAMACVVASEVATVLAVMRRNVRWAGVRYGGDDGDGGAGDEYLDHPLIAGLKSLRRRAASWGGRWPAGGDVDPLLYLRPFLDVVRSDETGAPITGAALSSLHKILSLDLVAPGPGVADAMGAVVEAVTGCRFEVTDPASEEAVLARVLQVLLACVRGRAAPALANRHVCAIVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRCIFARLADVGVTAIANDQFSKNQDLDAAELSNGKSDYVCLDSSGDEVGAELGVVQDKAMMEPLGVPCMVEILQFLCSLLNIAEDMDVSQRMNPIDFDEDVPLFALGLINSAIELSASSIHRHSKLLAFVQDELFRNLMLFGLSMSPLILSTVCSTVFTLFYHLRQELKLQVEAFFSCVILRLAQGRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQCSNVFEDLANLLSKSAFPVNSPLSALNVLALDGLVAVIQAIAERTDHAHQHHEQTVPEISEYFPFWQLKCESSNDPDQWVRFVHQQKSIKIKLMVGVEHFNRDKKKGFEYLQGLHLLPDKLDPCSVALFFRYTPGLDKNLLGEYLGNHDEFSILVLHEFAKTFDFEEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPHMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRLINGGTDLPREFLSELFYSICRNEIKTIPEQGAGCSEMSYSRWVDLMWKSKRTSSYIACDSYPFLDNDMFPIMAGPSVAAISVVFDNVEHDEILTGCIDGFLSVAKLAAFYHLDDVLNDLVVALCKFTTLLNNSYTDDPVIAFGVDAKARMATEAVFTIATTYGDHIQSGWRNIVDCILRLHKIGLLPSRLTGDTGDGQESSSDSMPSKLGSSTVAPHVLPINAPKKTYGLMGRFSQLLYMDAEEPRSQPTEEELAAQRNALETVRKCQIGTIFTESKFLQADSLSNLARALIQAAGRPQRISSSLDDEGTAVFCLELLITVTLNNRDRIVLLWQGVYEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLKSLQLILKLDARVADAYCENITLEVTRLVKANATHIKSQTGWRTIISLLCVTARHPDASDAGFEALVFIMSEGAHLSPANFIVSVEASRQFAESRLGSAERSVHALNLMADSVNCLTRWSREVKEAGGDADRILEGIAEMWLRLVQALRKMCTNQREEVRNHALLCLQRCLVVDGISISSSTWLMSFDIIFQLLDELLEIAQTYSPKDFRNMEVSLLHAVKLLCKVFLQSLKDLSAQSSFVKLWLEVLDMVEKFMKVKLRGRRTEKLHEAIPELLKNILLVMKANGILSNTIASGESTLWEATWIQVNKIVPSLQLEVFPDNEIDAAAGKGKLSSPAHEGQTAERPAMNVKTFKDVNGCDDANKNLRRLSSIWEILQSSPALVESYLAYGARYKVHSPCRHSRVSGKPYKGHCGESLCAVLLLSRFWQPDKEAMATSFYVDLVGRPLPRVHDLDLAGIGLNPVDLAGLEAQFTEDEVWCAVKAMPANKSPGPDGLTWEFFRACWPVIKADIMAAVLVVFLGRDCAFDLLNSAFITLLPKKEDVVDIRDFRPISLVHSFAKLVTKVMALQLAPWMVELVGCNQSAFIRGRCIHDNFVLVQQSALLLHRKKVPALLLKLDVARAFDSVSWSFLLSVLRQRGFGPRWIGWLMLLLRTASTSVLVNGAAGPAFFHGRGLRQGDPLSSLLFVLVMDVLDAMFHAAERAGVLVDLAVDGLKHRVSLYADDVVVFARPEERELTAVKEILACFGAASGLLVNYGKSAAVPIRCDEASRLAVAPFLDCQFQELSLKLMSRDGRVAYVRAVMAASVVYQLLALDVDLWFLQAVDKLRRGFLWAGKNEAHGGNCLVAWDAVCAPKHLGGLGLPNLRWMHAVLRARWIWLQRTDLTKPWTGFRFAVRADVVAMFNASVVISVGSSASLLFWEDPWIQGLSVAAVDPEVLKLVRPGVVKRRSVRDGLLNHAWAIDIGGELWVDAVVQYLRLWAAVAAVPINGGADTFRWKWTEDGTFTVRSAYRMFFHGTTTLPGAVHVLNSFAPYKFRFHVWLSLRGRCWTADRRLRRGLPSHVLCPLCSAAAETADHLSLLCPFARLVWDGFSRRAGVQIPAPTVGSRLPAWWSSAVEGLCTADARVTNSTIMLILRSLWLEQNARVFEDTPSTVDHVIDVVCQDWGLWLTCRGGLAREAV >cds.KYUSt_chr3.43194 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273031706:273033763:1 gene:KYUSg_chr3.43194 transcript:KYUSt_chr3.43194 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAARREVEEAEGWELYARALRARREEEEEAARREEAERRADERRRPRRIDIDKLFRETESMHQSDDSETKVRLPPACIPNLAEAPGRLPAPIESPSLPPSVDGRSVARDDDAAPAAGLRRADDEEQHDDDGGHQQRHQVELRLVVLMAAAQLPHDR >cds.KYUSt_chr3.30543 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191460983:191461692:-1 gene:KYUSg_chr3.30543 transcript:KYUSt_chr3.30543 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKKLLVLIFAAMVSSLEAYVFYAGGHDGWVVDPAESYNHWAERNRFQINDTIVFANGEGADGVLLVTEPDFDACNTRNPVRRLEDGGGRSEFRFDRSGAFFFISGDEDRCQKGKKLYIIVMAARPTRPALAPAPGSPPPLWASAPEYGQAPGMSVGDEAMSRSSSMEAPPPTAGAASLDGVITGAVLGVLGALVL >cds.KYUSt_chr2.26312 pep primary_assembly:MPB_Lper_Kyuss_1697:2:161003628:161006979:-1 gene:KYUSg_chr2.26312 transcript:KYUSt_chr2.26312 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAQSRLLLLLLLAATAAAGAAPVDETFLRLPSNARPLTPKTPRSVGADLIRALNLHPTDASPRRPGGVGLGSDAVPAGTLVERPIRLASLVAGSDADGGNTSVSNLGHHAGYYRLANTHDARLFYFFFESRRHNKEDPVVIWLTGGPGCSSELALFYENGPFQIADDLSLVWNEFGWDQESNLIYVDQPTGTGFSYSSDSRDTRHNEASVSNDLYDFLQAFFKEHPQYVDNDFYITGESYAGHYIPAFATRVHQGNKNNDGIHINLKGFAIGNGLTDPAIQYKAYTDYALNMSLITESEFNKINKIVPACELAVKLCGTTGTVSCLAAYFVCNTIFSSIRLIIGNKNYYDIRKPCVGSLCYDFSNLEKFLNQESVRESLGVGDIEFVSCSPTVYQAMLLDWMRNLELGIPELLEDNIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKKDFGDSAEKPFTVDGKEAGVLKSYGPLSFLKVHDSGHMVPMDQPKAALEMLKRWISGNLSDASASSKRLDFAM >cds.KYUSt_chr2.36881 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227902487:227903023:-1 gene:KYUSg_chr2.36881 transcript:KYUSt_chr2.36881 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLHRPPYLGPTWPIARHRSAPPLTPPPALHPCSDLLTEALGAESLDPYDVAMDGVAAVEARDPPCKREQREPDDDADQGFVMPRRTRRGRVKFPPPISVIGKSGRPWLTLRAHREDGRLVLREMRLPSLELLQCAGRTGGLSCLGTRRPAPAADASLPALDHPLRRRTRERGAV >cds.KYUSt_chr2.16418 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103253244:103257007:-1 gene:KYUSg_chr2.16418 transcript:KYUSt_chr2.16418 gene_biotype:protein_coding transcript_biotype:protein_coding MVFACFGVLLITSAAVAAAASPDGLTQVDVAKQLKEELLGRNPGNEMLKSWNGADPCSPSAWEGFFCQLKDGNRVVVKLNFSSKGLQGPIPTAIGSLTELTEIDLKDNNFTGSIPHSFSALTQLLKLSVICNPFLINKLPDGLSTGMNFSYGGCAAEDYHSPPGAAKERTFVISSVAGGSLACTFALGSFFICFSKREKRPQKTDCASTTNPVYEECSIEITTNPAVQQLSLKSIHNATGHFKTLIGEGGFGSVYQGTLAHGQQVAVKVRSPSSTQGTREFNNELRLLSAVWHENLVPLIGYCCEKDQQILVYPFMSNGSLQDRLYGEASKRKVLDWATRISVCIGAARGLVYLHNFAGRCIIHRDVKSSNILLDHNMCGKVADFGFSKYAPQEGDSNASMEVRGTAGYLDPEYYSTQVLSTKSDVFSFGVVLLEIVTGREPLDVQRPRSEWSVVEWAKPYIRDFRIEEIVDPGIKGQYCSEAMWRVLEVASACTESFSTFRPSMEDIVRELEDSLIIENNASEYMRSMESTGTFGSNRYLSIDRKMFGSGSARIDAAKFASDSARIDAGKGPL >cds.KYUSt_chr1.9374 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57234932:57237245:1 gene:KYUSg_chr1.9374 transcript:KYUSt_chr1.9374 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSLGVNTEKSFAQHPPKPNGRNKSMGAHDRIPPIQQSPGNKSTVTFAGGAFRNSIVRPDHEGQASGIMVSQYMPLLAEGVADANERLVESKLLEWLQSDAASWHGGWHGLLLECGGLDCCVFAEDGGGFGRLKGGVALAPDPPLKRLGGLLRSMFLIGLDLVLRG >cds.KYUSt_chr3.1081 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5837014:5844426:1 gene:KYUSg_chr3.1081 transcript:KYUSt_chr3.1081 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLAGRLAAASLSSDDPSAAAAGRGGDGNLIDVIRAVERAEGTIRDQSEDATSQGSFGGVSQEPHASSTNAQGTSILHQNGISGSGGEPQTHDRINQNYPDSGHANGAFRRSSGEPAAVDNGGPSQFSTPSSRSLSPTRPRKGDYDTRINLAGQGLLPVSEMNSNVSWKQDLAVKVKEREEEITRLREHLGNYAVKEAKILNEKCTLEKRISYMRAAFDQQQQDLIDAASKALSYRQDIVEENVRLTYALQAAHQERSTFISSLVPLLSEYENLRPPVLDAQSIVSNLKVLFRHLQEQLMISESKNSLDIVPQTPYPHVQSPMSSPVQGRGDWRVLANKNRHVIPSEVPARNIDHDYRERTSLSSSNQFRNDVSAEASQYDSHPVQFDFDTQSQNPPFKGLSRSDVLDGSVGAEPQHVRETSDQWGPGDSPNLASGLEEATPSYPYLPTVPEEPGSSFSEAAEDDPLPGIKDLRITGEAFPGRELQASGFSIDGTTTCNFEGEVVKVYANDQAKITCDPETKELIKKTLEAGHVSYQVLLPVRFLDMWEPAVLAIKREGYSIKCNGQRGVVLTEKFQQASAGISSGPTQFCPQVNGPTQSDRTHYGLHYRRKTKEARLIGHSDGDLAGDIDTRKSMSGTLFFFSNCLVSWQSLKQRVVAQSSCEADKSALALGKIVFHERSKYIDIRYHFIRGCLEDGSISASFINTSDQLADILTKSLGRVKSQELRARIGMVQIKSSMKSKD >cds.KYUSt_chr3.36709 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230891803:230892468:1 gene:KYUSg_chr3.36709 transcript:KYUSt_chr3.36709 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAGAAEPLLLPEHSGGHGGGGGASVSGAVFNLSTSIIGAGIMSIPAAMRVLGVAPALVLIAAVAVLSNVSVEFMLRYTGWAPSGPPSYAGIMGDAFGRAGAAALNVFVAFTTAGTLVVYLIIIGDVMSGSAGAGEEHAGVLGELFGARWLDIKNYTGELCKNGLTWNVGITKRQPRIM >cds.KYUSt_chr3.42593 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269268842:269270065:-1 gene:KYUSg_chr3.42593 transcript:KYUSt_chr3.42593 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALDNTLPAAVSERPKKTAKLSAPTVAAVAAFPGEGGGKKRNDENAAPKAKPTSPAAVEQAVEYVRSEDLQPVAGPKARAAGLVAEIDSKDWVKVCEALNDARRLAIHHPALLAPILEKVVLAVVKTMKNPRSAVLKTSVMACTDVFNAFGNLISSASADAFDKLLLQLLLKASQDKRFVAEEAEKAMRAMATSMPPLPLLRKLKAYVHHANLRVRAKAAVAMAHCVARMDIETMKDFGLSALLQVSAELLNDRLPEAREAARSIVSSTHAAFCKEAALKEKEKGQEQQEEGESAVAAAGKETEQEEEKSAVDAAWESLCALSLSPISALAVAKIVSQSQPQ >cds.KYUSt_chr7.28304 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176711340:176719116:1 gene:KYUSg_chr7.28304 transcript:KYUSt_chr7.28304 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSTQPGDEPAWPHWWRKGHRFGGEREATAGGGVFFSSAVGGGKLPGADGEMMTEEELARVAGRTCANGASAAACLHTQQGRKGTNQDAMVVWESFNSGDSVFCGVFDGHGPYGHFVARKVRDSLPVKLLTQWKTSVNGGTSPHLNGSISGSLNSEEIAGAGDDEWGESVGVDGSDMLPETFLPLKHSYLKAFKSMDKELKMHPTIDCFCSGSTAVTLVKQGWDLVVGNLGDSRAVMATRDAANNLTAVQLTVDLKPNLPKEAARIQQCRGRVFALQDEPEVSRVWLPNNDSPGLAMARAFGDFCLKDYGLISVPEISYRRLTEKDEFIILATDGVWDVLSNKDAVDIVAAAPSRATAARALVDCAVRSWRLKFPTSKIDDCAAVCLFLDHGKSPDLVEESEANSGKPEPAEDALITDTSNKINEDSADVNEHISREEKIPEPTLEHSSTVRNVDEIIPVDEPPVSKQPERKPSRSRRSSFAYRAPPLEDPSTPVLADDPSCANLLPPFLVLRAVPPLAPRALHDLHLAGGHAFTEFRDSAPSSESTDGAAMDEAGELDFDAR >cds.KYUSt_chr1.6747 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41521134:41521535:1 gene:KYUSg_chr1.6747 transcript:KYUSt_chr1.6747 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSISVDGGDESGGGFFSGSCPTAPLLIRRITSPSTSGIALLQPERISRPPARSSSPPDPRLVPELIPQCLGKLPVAAAEEEIALIQVRALHALLLLACTLAGGRAVVEGSNSGRRTAQSRSRHVYQLLF >cds.KYUSt_chr5.10114 pep primary_assembly:MPB_Lper_Kyuss_1697:5:64673957:64674332:-1 gene:KYUSg_chr5.10114 transcript:KYUSt_chr5.10114 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTNNIGCHEDVEQKETPIHLQRFWTEPDETEQSWNIGALVANTYRGTTGMSPETGTCGRRTVVSDGRSKKRGNGMGKRLKRSSTSP >cds.KYUSt_chr7.18539 pep primary_assembly:MPB_Lper_Kyuss_1697:7:114909515:114912546:-1 gene:KYUSg_chr7.18539 transcript:KYUSt_chr7.18539 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPQHRAPPGRVPTRWAAALCTACFFLGVFVVNRYWAVPEPPDCPNKASSGAHSRGVLNQVSQTSEVVIALDRTISDIEMRLAAARAEQMRGQGVPPASDSAVDRGNMGHRMFFVMGIMTTFDNRNRRDSLRQTWMPQGVHLQRLEKEKGIVIRFVIGRSANASPDSEVERAIDAEDKEYSDILRLNHVEGYGGLPVKIQMFLSTALSTWDADFYIKADDDVHVNIGITGSILARHRSKPRVYIGCMKSGPVIANNESKYYEPDHWKFGTAGNNYFRHATRQLYAVTRDLATYISANRLLGICGICRNCYALWIAVQITGTYSADEYIHVTSSSLIMQTANGRHRPGIHARHPSTGTALASAIRQRECRRCTCDAGNIARPLCRKRSLDNQGVVAHWHRLHVNSCKLLAFRRRRHNSCRAVVQALGAAKFWIPMPRIGTNRGKFIGT >cds.KYUSt_chr4.43309 pep primary_assembly:MPB_Lper_Kyuss_1697:4:268475930:268476975:-1 gene:KYUSg_chr4.43309 transcript:KYUSt_chr4.43309 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIPEPEGAKLTPEPSSCLSLRVFYLKLSRCELNESMLDTLTITHTPLTSDTVLEAIGDRAITNDGGQVSCPLHRDRVNAASSREATFVSTETVRMAGSVRFEVRVGKDEKLLVGIMEMCDVPDGFGRVEKNGCWVMKCQVAMQRGSGFLKGGGEDAKSPMIEVYVASMFRGSPIVFTKAMKLRFRTRRRQVKAPFMEPIPECGEHAEDAKETPPAVQKHDPQELSEYRCYKPELGIDDVDLDSLYARTAGLEGEDSELSWFTAGVRIGVGISLGIILGVGVSAGLLARSYRSTSRSLRRRLISGLL >cds.KYUSt_chr6.1399 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8577145:8579670:-1 gene:KYUSg_chr6.1399 transcript:KYUSt_chr6.1399 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGNGGTGQTSPRGNDWEVVQLTASNYASTPGPARSEPSDEESAGHVYGTKGDDSAAAALMMSGHFSVPKNEAENLLVKADSTQREEARDSQYAVSDTGDDEGVEEKLKGDDVDRISSLDKGKSLSSVDMKPDDGNTSRGLSLAAEDAVMFSSSGQTAMVDAEKELSLSATESKTTEEKSEDPTLQNVDTVTGSSKVVASGEESRPDGSRGPPGAWWQKQIISLYKSARESNKFWPIIVAGAALMGMAYFRRRWLKGKLHLQQVKLQPASSKEFNYLYEWLTKSVDQLHGQLQELPLHVPRAEDPCDEVVSVLVFSSRTGQWARREFVPGRCAPRHLYDAVIAPHGQWEQIWKSAEYWRGSMYVHCTNDILMILRTSEGVYDMVQLPQKGYNERKYRCSYWENLPQASVLASYERGFHYVVLNKYQLQVWTLTEPANGELGWKMEHVDDLKTYDPKINDPPFDDEKKVMSWGMVPNKKSTLSLFEHRNIQNDIYDAKVEIGEIVDDLGNSSEECIHEEDSLDDTTDDDDNNTVKEIHTRMKMSKA >cds.KYUSt_chr3.32001 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201175390:201178669:-1 gene:KYUSg_chr3.32001 transcript:KYUSt_chr3.32001 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSQLLAAARAINSTIYQSQSPWRSDVMATESSLQLQSNLHTVLPCVLSFLAAAISSAGGVGVGGGSLYVPILNVVAGLSLKTSTAFSTFMVTGGTLSNVLYTLLARGPGSDGQPLIDYDIAVVSQPCLLLGVSIGVACNVMFPEWLIFPLFSAFLSFATFKTYGNGMRRWRGETAAMRRVPEGASAGEGIEELLIGRKGRGFHWMDLVVLGTVWLFFFIIHLFIRGEGAKGVFDMQTCGVAYWLITVAQIPIAIAFTACIVHQKRKLQTENCQVVELAIAVKSRLDAMPVYVFSVAALLTGVMSGLFGIGGGLLLNPVLLQTGVPPKVSASSVAFLFFPTSATTMFMVLFCASMSMVQFIILGVDGIAGALVYATACFVGSIFGLVVIEGAMRKSGRVSLIVLLVAAVLAVSKGGIAYSGAVRVWAQYTSGQYMGFELPC >cds.KYUSt_chr4.46095 pep primary_assembly:MPB_Lper_Kyuss_1697:4:284856778:284862076:1 gene:KYUSg_chr4.46095 transcript:KYUSt_chr4.46095 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRSALFVAMSFLLLHGAQQGAAATSSGDANEQWGYVPVRQQKAHVFWWFYKSPQRVSSLVKPWPTILWLQGGPGGSAVGRGNFLEIGPLDANLKQRNSTWLQKADLIFVVSELFLHHIPFKVICVGSNEYVGMQDLPVGVGYSYTEDPSVIATTDSQVAADAIELVSALTKKIPTLQSSPLFLVGESYGGKLAAIIGISMSRAIHSGILQLTLGGVVLGDSWISPDNFAFSYAQLLHSMSRLTDNAVAPATEMAAKLKEEMALGQFATARKIWIDLLDLIDSETDSVNMENLLLESNMNSLLAQSAPNTIDDIMNGAIKRKLKIIPKDLIWDGLKSFLNLSRQPWNCDSSGHCSGPIKAYVRSFKNLHFYWILGAGHAVPIDQPDTALKMIGSITHSSRK >cds.KYUSt_chr6.2177 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12843600:12844997:1 gene:KYUSg_chr6.2177 transcript:KYUSt_chr6.2177 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDPSTALVATAADPSQSAKKKAPKRFIHTAIPPSILSDPTLAAAATSLLPAAYNFELPKTAHRIRASGARRAALQLPEGLLLFSLPLSHILGPFLADHPSNDVLILADPTYGACCLGDRPAKALAADLLVHYGHSCLVPVTSSLLPVLYVFVEIRVDALRLAAAVRSAFPDAAAAPRLALAGTVQFIAAVHAAREMLARDGYRDILVPQAKPLSAGEILGCTAPTLKKSEGVGVVVFVADGRFHLEAFMIANPGVKAYRFDPFLGVLVLEEYDHVGMKQARKEAVLAARKAKSWGVVLGTLGRQGSVKVLDRIVEHLEEKGLEHMVVLMSELSPTRMELFGDSVDAWVQIACPRLSIDWGEGFKKPVLTTFEFDVALGYVPGWWEKGSRECGSGGGSGCCSGSGTCGDGDCSSGDCGGNDFGGEYPMDYYSQDGGDWNGCYMKKKPSTGERRPRVRIGNYPL >cds.KYUSt_chr3.12714 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76130776:76131680:1 gene:KYUSg_chr3.12714 transcript:KYUSt_chr3.12714 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSVAQQLTQMADFIRNEAVEKASEIEAAAAEEFQIEKLQLVEVEKKKIRQEYERKEKQVDIKKKM >cds.KYUSt_chr6.15413 pep primary_assembly:MPB_Lper_Kyuss_1697:6:96817895:96819135:1 gene:KYUSg_chr6.15413 transcript:KYUSt_chr6.15413 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSHVPPGFRFHPTDEELVDYYLRKKVASKKIDLDVIKDVDLYKIEPWDLQDKCKIGMEEQSDWYFFSHKDKKYPTGTRTNRATGAGFWKATGRDKPIYINNCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGVTPEEGWVVCRVFKKRVAMVRRMSDISSPCWFDDNGTGGVFMPDLDSPRQLGYQHHHSQNSAAYHSQQLYHCKPELEYHHLLPQEAFLQQLPHLESPKPPAYIGHGSSNLLSPNSSLFVHGGASMSTGQQQSMDQALYMAAPDGDTSATDWRLLDKFVASQLFNHGDTNQKDSANYSNPAVQVFQAENKHEEDLDYASTTAGSSRGEVHLWK >cds.KYUSt_chr2.39143 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242664605:242665786:1 gene:KYUSg_chr2.39143 transcript:KYUSt_chr2.39143 gene_biotype:protein_coding transcript_biotype:protein_coding MMGARGHLLVALCLLAAGAAIIVGGQPTPQPPAQQPPPPPRPTPFGRTMSTVITVAISVFFFLLFFCAYINQCRLAGEGGAPLGRNIPVGGPSRRGKRGLDPAVVATFPIVPYREVREHTIGRGELECAVCLTAFEEGDDLRLLPHCSHVFHPECIDPWLETRVTCPLCRANLEKPPPPPSPLPAAIAPPSPEPTTPRRQTSHSPTPSPPHAVAIAVPPAQQEEEEESDEDDRKEEAMELETLRSERRAARLPRSNSTGHSRFAASAEAAARSDHERFTLRLPHHVREQVLTARRLRHATSLIDISGMSPDGSSRGGRRAGPGGSSFGNAGGVGSSHGGRRWQGFLARTMSWARGGGDGSVRKGWDGSTRRGRDDAESSRKGAASPSPAAARP >cds.KYUSt_chr1.29013 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175554552:175555632:-1 gene:KYUSg_chr1.29013 transcript:KYUSt_chr1.29013 gene_biotype:protein_coding transcript_biotype:protein_coding MRWACGPPAWTIVGYGASWVCGFTFAPLLFPKFERRRPTCDGYPILVPSFVDSSTRNPHFIFLLQQPKEQPKEQRKSEVRKMDEANSYEEQRRRQIEQNKRKLDELRVHKLSAAVREATAKPMPAKLLMPRNPRLDAPTRRSGRIASLPEQPDYRIRKANGDVKTESPDPVPVYATNEERAYAVAKAEQLKAQLGSDHPAFIKPMSHRSATKSAVLSIPVHFNQYLPVHDEVMALVDELIERRKFKVRFNLFSTREFRWVL >cds.KYUSt_chr7.23774 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148020529:148021449:1 gene:KYUSg_chr7.23774 transcript:KYUSt_chr7.23774 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLSCFGDGSVAVAAASVSGRGCALDRTLQAATTSVYSARLSCGKEILIRVTWTRSTAGAPGLAVAFDDDDERLPSASSSRSAAQQHVLRKKRGSRAVVTGAGTAVCVHWDVTAAEYASCASASPEPSGGDYCLAVVADAELALLLGKGELARRFASSPVTAVHLVSRREQLRGGGAEAAATATAAHATRCRFREGGEEHEVAVRACGGRGGVEGEVRVSIDGEEVAGVRRVGWGFRGNRAAVLGDGEVVDVMWDVHDWWFGRGGAGAQFMVRSRAEKEGRLWMADQPASAPPAGFFLHVQCYRR >cds.KYUSt_chr6.14612 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91646944:91650254:-1 gene:KYUSg_chr6.14612 transcript:KYUSt_chr6.14612 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLLSAAGEGGGAEQDDREAERQRAGARTATAAGGPANPAEEPPVSPEKTQEEVAAELKEVMRARKEAEVAGGGGGWWAGVTQEMSEIEWPAPGKVLGTTGVVLGIIAGSTAALLSVNAVLAELSDRVFAGRGLQDFF >cds.KYUSt_chr7.35967 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224742768:224760296:1 gene:KYUSg_chr7.35967 transcript:KYUSt_chr7.35967 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRGGGDEPSSTASAAAAAANDPTTPTSAHASGLNRRGSRSAAMANFSMEVFDNEVVPSTLNSIAPILRVAAEIEHERPRVAYLCRFYAFEKAHRLDQNSVGRGVRQFKTALLQRLEKDNSPSLGKRLKKSDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEINPEIARLHKAVQEKKDIYAPFNILPLDTASASQSIMQLEEIKASVAALRYTRGLTWPSTFEPERQKGGELDLLDWLRAMFGFQRDSVRNQREHLILLLANVHIRLEPKPDPLSKLDDRAVDVVMNKLFNNYRKWCKFLSRKHSLRNPPGAQPQEVQQRKILYLGLYLLIWGESANIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIKKEAGKSKHGKTPHSAWCNYDDLNEYFWTSDCFSLGWPMRDDGDFFKSVHDSRRVAVAGSSSPKGSSKSTGKTNFVETRSFWHIFRSFDRMWTFYLLALQAMLIFAWSDHPVTEILRKDLLYSLSSIFVTAAFLQFLQSVLDFILNFPGHIRCKFIDVVRNILKIIVSAAWAVILPFFYISTAAKVNIPLLKDLQKWFGYAKGVPPMYILAVAVYLIPNIISAVLFLFPMFRRWIENSDWHIVRLLLWWSQKRIYVGRGMHESQAALLKYTLFWIFLLCSKLSFSYFVQIEPLIQPTKDIMGVHNIRYEWHEFFPNASYNIAAILSLWAPVLLVYFMDTQIWYAIFSTISGGMSGALGRLGEIRTLGMLRSRFHSLPGAFNTFLVPSDKSRNRRFSLSKRFAEVSPNKRTEAAKFAQLWNEIICSFREEDFISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVIECYESFKLVLNLVVAGENEKRIIGIIIKEIEANIAKNTFLANFRMSALPVLCKKFVELVSTLKERDASKFDNVVLLLQDMLEVITRDMMVNEIKELAEFGHGNKDLVPRRQLFAGTGTKPAIVFPPPISAQWEEQIKRLYLLLTVKESAMDVPTNLEARRRISFFTNSLFMDIPRAPRVRKMLSFSVMTPYYSEETVYSRNDLDLENEDGVSIIFYLQKIFPDEWNNFMERINCKRESEVWENEENILQLRHWASLRGQTLCRTVRGMMYYRKALKLQAFLDMASESEILEGYKAIADPAEEDKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRHATDILNLMVNYPGLRVAYIDELEERDGDKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNESHGVRPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQVLSRDIYRLGHRFDFFRMLSCYFTTVGFYVSSMMVVIIVYVFLYGRLYLALSGLEFAIMKQARMRGNRALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFVIMQLQLCAVFFTFSLGTKSHYFGRTILHGGAKYKATGRGFVVRHVKFAENYRMYSRSHFVKGLELMLLLVVYELYGDVATDSIAYVLLTSSMWFLVITWLFAPFLFNPSGFEWQKVVDDWDDWNKWISSRGGIGVPATKAWESWWEEEQEHLQSTGLVGRIWEIILSFRFFMFQYGIMYHLNISNANKSISVYGLSWLVIVAVVLVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSVGTLAILFTLLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKALGLWGSVKALSRGYEYLMGIVIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQN >cds.KYUSt_chr6.26879 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170384755:170388037:-1 gene:KYUSg_chr6.26879 transcript:KYUSt_chr6.26879 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYHAVRTRLTEREVTIVVPFIGSCTGKIVFDDEATDVALIMLSKPIPDEPLEFSNEEVAVGRPLVMLSYFHMGNNLIFEPGTSHGYAKEPTEDFHHLWGSYTSQKGNSGSPVLILEDGGNVRVAGMHFRQTTQTEDGIVDISRAAITVSSIISCMRNKLGPQAEQELNEAYYMNILIRPLFITSIEEATIFAPVWNVIEFHLEYDTSFDPPVSDVVVDPKSGHMYASECKNIIISYLLHLLLFESQVKTDAAMDELNQLVENKL >cds.KYUSt_chr7.14548 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89859617:89860750:-1 gene:KYUSg_chr7.14548 transcript:KYUSt_chr7.14548 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPASAASRSRSCSADEDEEGTDSDASNNSNPAAAQDPPPLVPDSSAPPPVPAAAPEPPPLPPSQAQEESAAAEESRRLFQRLWTDEEELLILRGFLEFTSRRGTAFASHQYDTGPFYDEIRRDLSFDFSKNQLIEKLRRLKKKYRVCAKRMVARGGTFAFRSAHEGAIYDVARHIWRPAFKPDGSDEDDINPAEAAAAAADEGGGGNTSAHTPRGRGSGRGRRTTAQEMETPSMPATPAAPILVTHSSIAQEPAVVALESSVPPIAPPAPVTANGPTADDFRSILSPLLKELISSVTAAGQTELGSGLGMGFGRVSGTDILGLRFGVAGLNPVAPSGDKWKQQQIMELEVYLKRIELVREQVTRVLQELRSSEG >cds.KYUSt_chr6.33209 pep primary_assembly:MPB_Lper_Kyuss_1697:6:208859213:208859561:-1 gene:KYUSg_chr6.33209 transcript:KYUSt_chr6.33209 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQILELRKKEEKLLRKNSDLRKEYRALPLLELLTRSVATADAARSPGGEEGPDDDDEVWWRSYMDVDTELVIGRPGTSS >cds.KYUSt_chr4.44358 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274840968:274846679:1 gene:KYUSg_chr4.44358 transcript:KYUSt_chr4.44358 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIGSALAAAAALTPQLGLSGGGHRNRVRFGGHENSFGILALLLLVHDGMYDAGTLRYAGVYVFAVGDRIAYVTFEDDKVPKFVQISPETYAHLTECEQEVKILDEKVKNLNDEVSALQSEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERGAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAELEEKLIEFDHELIRAGAENDALSRSLQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEVHVVSKELEIRNEEKNMSVRSADVATKQHLEDVKKITKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGMGRDYGDNRLRRSPAKNSNSFHRPMSPMSPVPDYGFDNLQHMQKENEFLTARLLTMEEETKMVKEALTKRNSELQTSRSMYAKIAGKLRSLEVQMVNGNQRKSPNMDIHFDGVHSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHIKKDKVAKSSVTEGSNQLEMMDDFLEMERLACLPSETNCHGNTVDKMKINNAEAAMSGLTESNGVKDLQSALPSPGTPSSKQQLSEGSPLLKLQSRISSLLDSESPKNNVGKVLSSIRNILKDIEEEADSMNTTNMVDVADSESLVNQGKRLSIGSKHAMDQEFLEAVLKIQDFVKSLGQEMSKNQGVPSDYDGLCEKIQHFSALVEKVMSNEDVVNDIIMALSRIFSETSEIKFTMLRDSTKETDSNNLDYVDKVTLLENKVQLVPLKDNISGPCPLIPHSSSDPEMVGPTDAGFDVKMCSPDDYEQLKSEKMNLEVELARCSKMIEDTQCKFCEMEKNLEELTSKLSASENSNSLAETQLKCMVESYKSLESRKNQLESEIEVLQSKIEILTAELSDERQSHEDDLARYKDLEEKMERYENEQSSMHVDEIEDTKTSQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESIGSSPTRQRMEDFLQDVAVTTEGEHTQKPSGQLDAYQEMLESGNVSPLNGYKTHMTPSDVEGSPFVSTNSSKRPKHRSRSSSSSSIANQLPDKQNRGFSRFFTKGKE >cds.KYUSt_chr2.17032 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107201856:107203403:1 gene:KYUSg_chr2.17032 transcript:KYUSt_chr2.17032 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAATASGPMLGAPGALAGAVAGLPLQSVLMPAGSSMAPTGCCPPSAVSCAPSSRRLRDADPLWVGSLGSDDDDDEELVPQTPLVVAPGPDSGVVRVSNIVGSPPAGGGTVDEHMEPVAAMSCSVAANDEVEGNAMKTCAAPVVVEDDEGWVKVGRGGRPGREPTSLLRGEGLDCSLALSSLREGLDRSLAFKRWARGRCFRCLERGHQVSSCRGSFRCIRCRRPGHRERFCRARSTAARSRSPEARARSPVARAPCQRSLSPSAHPRRPSSPLSWAGVLGHSSLHPVVQPCCKDSVSSVESQFALLRMEVLQKFELLRSEVQDALAKLQVASVVPLPPEIQTGSVDEGFECCFGEFSPRALHTSSSVLTTVVATEVVAPVVEILPELQDHCGKSSVVLPVELGHLEPLTMDIAPSPSPSESCELPSSVDSGGSSIRLPLFDRDAMLASIDQAVFVKKLSGLLACLEAASPGSGEAIACLIAEEASTGKIKKVKKALRSIGKKGGAIGKAPATA >cds.KYUSt_chr7.16281 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100864379:100865110:1 gene:KYUSg_chr7.16281 transcript:KYUSt_chr7.16281 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSGQDVRHPGRSTGPLTGCSGLRGGPTGLQAGPTGVQAGSTGPQTGQAGAQTGQTGSLTGQVAAVAAVLPPTVSPAPDVPSAAVGDFSATISACLLAIGWKEDIYRDYIHFLTGSPFDAVMWRLASSTTSVEFQLWESIDSGFEKCKALDSTFDGQTEFDIAYRHVDDVLAEWYHDFLIVQGIIGVRSSWTDFKQFLRARFWVKSTELDKEVVCSNTTVEEVVLVLKGSYAAHRGGVNRC >cds.KYUSt_chr7.14268 pep primary_assembly:MPB_Lper_Kyuss_1697:7:88151624:88158132:-1 gene:KYUSg_chr7.14268 transcript:KYUSt_chr7.14268 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKPPSPSPSPSPSPAAGDEDATRREQQQQHPREDAPAGFVPPMSAADVAAVESLLGYEFADKSLVGQALTHGSFYYPYRPGDATYERLEYLGDGVLTCLMSREVFLAYRDLPPGPLTRLRAANVDKEKLARVAVDHGIHRFLRHKAPQLDGQIDDFIKELCEYQYHSNGLLDAPKVLSDIVESLIGAIYLDSNFNQEVVWRVFRNLADPLISLETLGRHPASRDDEDIPTSLLPPSLPTEDEPVVKLKSNEVRIGPITRARVKLLKQYVNLFLNDTLIDENFILPKSGYLCIIRYEEETSIARGGEEQLDVNKDVKMDVKLDMELDMKISHGRAREEREACARGKDAVQAGPAPGP >cds.KYUSt_chr2.2089 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12633081:12643270:-1 gene:KYUSg_chr2.2089 transcript:KYUSt_chr2.2089 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPADNNPPPRRRGRASNADKGKEQQQQQEPSESSRLREAERMLGLSFDGMDDDDDAAAGAFPHGFTSASSALQGLLRKLGAGLDDMLPASALSAAAAAASSSSMTGPQGARMKGMLAGLRADGEEGRQVEALTQLCEMLSIGTEDSLAGFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCSSVVHYGAVACFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCRKLPSDASDFVMEAVPLLTNLLNYHDAKVLEHASVCLTRIAEAFASSPEKLDELCNHGLVAQAAGLVSVSNSAGQASLSTLTYTGVIRLLSICASGSPLAAKTLLLHGISGTLKDILSGSGLVAGTTVSPTLTRPADQMYEIVNLADALLPPLPAGIISLPAHSHVFMKGSSVRRPASSKQGESGSIDIAVSGREKLLRDQPELLQQFGMDILPTMTQVYGSSVNGSVRHKCLSIIAKLMYYSSAEMIEILLGTTNISSFLAGILSWKDPQVLIPALQIAEILMEKLPGTFSKMFVREGVVHAVESLICLEISSPMLSQVSPREKDIDSGTCTSSRSRRSRRRSSTVNTDNNSLDEPKGSNPVIANSPPSTLEGPNTSLRASVSDRAKSFKDKYFPSDPNSTDIAVTDDLLKLRSLCKKLNTTVDTVKTKAKGKSKSPGGDDFDVLCSVEEQLDSIIAEILSELSRGDGVSTFEFIGSGIIAALLNYLSCGTFEREKVSEANLPKLRQQALRRYKAFICVALPNDAIGNKTPMSFLVQKLQSALSSLERFPVVLSHSGRTSSSGGSRPSSGLSALSQPLKLRLCRAHGEKTLKDYSSNIVLIDPLASLAAVEDFLWPRIQRTESVSYPAVSSGKNSESVAPSATTPVPSSTQSVRRPSTRSKSLATVDCAAKKDTQEGSRNTSKGKGKAVVKSPPDEPKGPHTRTAARRKVASEKDAEVKPPDDQSSSEDEELDASPFEADDGLMIGDDDDDVSDDEDDDHEVLPGSLPVCVPENVHDVKLADADESSIASVANDNQTQLSSGSSIRDTFSRGAGSAELRKPSSRGAMSFAAAAMAGLASVGSHGIRGSRDRRGLSLGASAHERSNKLVFTTGGKQLSKHLTVYQAMQQQVVHDEDDEESLGGADLPNDDGSHFWGDIFTITYQKADNEVDKGSVRGSASALKSSNSDSCRATSEVQRTSLLDSILQGELPCDFEKSTETYNILALLRVLEGLNQLSPRLRLQAICDNFAEGKVSTLDGLYGTGVKVPSEEFVSSKLTPKLARQIQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQHFYSTAFGLSRALNRLQQQQGDNNSATEREVRVGRLQRQKVRVSRNRILDSAAKVMEMFSTQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQRVGLGLWRSDSPHGSDTLEAKKLDSNSPGGARNLIQAPLGLFPRPWLPSTASSEGSKFFKVIEYFRLVGRVMAKALQDGRLLDLPLSTAFYKLILGQELDLYDILSFDAEFGKILQELQILVERKRFLESCSSNNQQIEDLCFRGASVEDLCLDFTLPGYPDYVLKEGEENTVVSIYNLEEYISLVVDATVKAGIMRQVDAFKTGFNQVFDISSLQIFSPQELDYLICGRRELWEPDTLVEHIKFDHGYTSKSPAIVNLLEIMTEFTPEQQHAFCQFVTGAPRLPPGGLSALNPKLTIVRKHSSTAANTSNAAGATETADDDLPSVMTCANYLKLPPYSTKEVMHKKLLYAINEGQGSFDLS >cds.KYUSt_chr6.31543 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199352913:199354676:-1 gene:KYUSg_chr6.31543 transcript:KYUSt_chr6.31543 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGSALVAALRLLLLPAILLLSRCRTGAAQSSPPCGSSDLHALRGFSAGLDAPVAGWPAAPDEGCCAWPGVLCSGASVVVVGVVLPNRTLRGKVSASLTDLTALRVLNLSGNALRGALPAGILRLSFLEALDVSSNALAGALTSISISLPAIRVFNVSYNAFSGALPVLPGGANLTAFDASGNGFFGPVDAAAVCASSPGLQVLRLSMNRLSGDFPAGFAQCRSLTELSLDGNGITGALPDDLFAATSLRILVLHTNSLSGGISQGLRNLTALVRLDLSFNAFTGALPEVFDALAGTLQELSAPSNLLNGSLPATLSLCVNLRVLNLRNNTLAGAIGLDFRAVNRLVYLDLGANRFTGPIPASLPECAGMTALNLGRNHLTGEIPPSFAAFRSISFLSLTGNGFSNVTSALMILQRSPNLTSLVLTKNFHGGEAMPEAGIDGFTNLQVLVIANCELTGAIPAWIAGLSKLKVLDISWNKLAGPIPPFLGELDRLFYIDISNNSLQGEIPASFTRMTAMLAGNGSGNDEDTAVQDFPFFMRRNVSASGRQYNQVSSFPPSLVLARNNLTGGVPPAMGGAGQVAHR >cds.KYUSt_chr7.12839 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79235668:79238612:1 gene:KYUSg_chr7.12839 transcript:KYUSt_chr7.12839 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIAASAFFPGPPAPPAAPKNALGERPDSLDVRGITAAKPASSSSSAVRAGRTRAHAAVPKVNGGKSALEHEPAASSSSSAGAPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLIDWKPKRPDMLVDTFGFGRIIHDGFMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKGAGLLGDGFGSTPEMSKRNLFWVVSQMQAIVERYPCWGDTVEVNTWVGPHGKNGMRRDWHIRDSLTGHTVMKATSKWVMMNKLTRKLARIPDEVRTEIEPYFFEHAAIEDEDNRKLPKLPEHDRATSAKYVRTGLTPRWADLDINQHVNNVKYIGWILESAPMSILENHELAGMVLDYKRECGRDNVLESHTTVYTDCTNESGETTLHCEHLLRLESGPIIVKARTTWKPKGTKCQETLALSW >cds.KYUSt_chr3.37255 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234247330:234249769:1 gene:KYUSg_chr3.37255 transcript:KYUSt_chr3.37255 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLASAVAKCWKSPDSISGWLPWWWLTGWVGFDEISINKRGGATDAGVSACSRCHGGGVKEEMPGSRALREDFEAATCGGYQRRRGCAAVILGQWGHSVLRCVVHLSLFFLLAGVPNGRIISSFVAAFIAGPSPSGSVPGDGASGRGVELIVTYGGEGPDCFFLYLCGVLFVKVEVSSSFPPGRRWDAAERSHGGTVNCAGDQAQTGLGLAMGAQAGAWGRREVGKPEEQVEAEPRRISAAPGAAGIGRGGSRRLRGLIAKRRLLESQEGDCSFAERPPLAGYSYFHVKLAESGPAARHISKYDDLV >cds.KYUSt_chr5.36804 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232663668:232664873:-1 gene:KYUSg_chr5.36804 transcript:KYUSt_chr5.36804 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDAAPALKRKGADASELWLDDGGPGFHAAFRAAKSRRLDADVTPVVPGAVVPPPLPQTQPVAGLGTQEDMALMCGDVAGVKRKGADAPELWLDDDVGGGPGFPVPFRAAKSRRLDADVPPVAPGGVVPPPLPQTQPVPEFGVVEGAPPMCGDVPVVPVEVPAPGANEERAIVLYRPAEAARSLLLGPLRPGAPLRVSPDWIQGLKGTMLQEASNHRAMFAGEENSNMAMVPWAPWAPHRVQGPSTATEMMDAEDTSMDVEQDGADQPSTTHQQWPQHCMVPQPLPAASCQPSPVTWSW >cds.KYUSt_chr6.21937 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138350464:138350766:-1 gene:KYUSg_chr6.21937 transcript:KYUSt_chr6.21937 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQDITKPAIRRLARRGGVKRISGLIYEETRGVLKMFLENVIRDAVTYTEHARRKTVTAVYALKRQGRTLYGFGG >cds.KYUSt_chr7.12401 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76340735:76345576:1 gene:KYUSg_chr7.12401 transcript:KYUSt_chr7.12401 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLAIRQSNLMPTTSDGGEGHHLHCWGSPRRPHAASQASGTGRTVRCSDVPLAKRAQTGTRSDWTAPPPPRPGRRPCITAAEMAEADAAAANRRTAEEIRHRHHATRFASDASGSGGSSREGLPLATCDETEDDRQALLCFKSQLTAPAGILASWSNTSLDVCSWHGITCSTLSPRRVIALDLDSEGISGSIPVCIANLTSLTRLQLSNNSFHGEIPSELGVLSRLRKFNLSMNALEGNIPSELYAMSQLQILDLRSNSLHGRIPPNLGQCEHLQEINLSNNNIEGAIPSSFGTLPELRKLVLTNNRLTGNIPPSLGSSRFLKYLDLGVNALIGVIPESLANSSSLQVLRLMSNNLTGELPKALFNTSSLLDISLQQNNLVGSMPSVTATSPPIQYLDLTNNHISGKIPSSIGNLSSLIDLRLTENNLSGSIPDSLCQIPALQILAMSVNSLSGPVPPSLFNMSSLTFLAIGNNSLVGSLPSNIGYTLPNIQALILSTNKFDGPIPASLAKAYNLGMLYLYNNSLTGSIPFFGSLPYLEQLDLSYNKLDAGNWGFVSSLTNCSMLTKLMLAGNNLQGNLPSSIGKLSGSLEWLWLRENKISGPIPQEIGNLTSLSSVYMDYNLITGDIPSSIGNLHNLVFLSFAHNRLSGQIPETIGNLVQLSSLKLDGNNITGSIPASIGRCNQLLILNLAHNSLDGSIPSKIFQISSLSQELDLSHNYLSGGVPVEVGGLINLNKLSISNNRLSGNIPSTLGHCAVLEYLEMQSNFIVGSIPEAFANLVSIKKIDISHNNLSGKIPEFFTSLSTLQDFNLSFNNFDGEVPRGGVFDNAGAVSIEGNDDLCTTSITTDGIPFCSTRVGRKEKHNSLALVLGIAISTFVLGILILLCVAIIYWRKRMQEKTHLQESNEHMKKLSYEDIVRATDRFSPANLIGSGSFGVVYKGSLNRPDDQVAIKIFNLNIYGAGRSFIAECEALRNARHRNLVKIITSCSSVDSTGKDFKALVFQYMPNGNLETWLHPKKTLEHGNRHILTFSQRIKICLDVAFALDYLHNQCASPLIHCDLKPDNILLDLDMTAYVTDFGLARFIFTTRSAHQDRSASLAGLKGSIGYIPPEYGMSEGISTKGDVYSFGVLLLQMMTGCSPIDEKFSDGGTLRESVDRAFPDNINAVADPVMLQDDSNAAEVLTKCVIPLVKLGLSCSKTSPKERPDMGRVSSEILGIIHVASQMGVM >cds.KYUSt_chr3.24374 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151313172:151322592:1 gene:KYUSg_chr3.24374 transcript:KYUSt_chr3.24374 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAPSQAKSASPSQPSGKSEVSDLKQQLRQLAGSRAADADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVLKKMCYLYVGVHARAHPDLALLTINFLQRDCRDQDPTIRGLALRSLCSLRVPNLVEYLVAPLTTGLKDPSAYVRMVAAVGAAKLYHISATTCIDADLPAALKALMLSDPDAQVVANCLHSLLEIWTLEAANSEEAAREIETLYSKPVVFYLLNKIKEFSEWAQCHILELASKFLPSDNNEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSTDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSQNIQEPKGKAALIWMLGEYSQDMHDAPYILESLVDNWDEELSPEVRLHLLTAVMKCFFKRPPETQKALGATLAAGLADTHQDVHDRALFYYRLLQYEPAVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGTFEYSEDLTNLTVGTEAPETVISAQRYQENDNDLLLSTSDKEDNGTRTSNGSYTSTYNAPSDLLSLQTPAETALINHGGSSYPSQTNFSLDDLLGLGVPDAPAPPPPPALTLNSKPVLDPGTFQKKWSQLALSFSQECSLSPQGAASLMNPQSLIRHMQNNYIQCIASGGQPPNYKFFFYGQKDGATAFYLVECIVNTASAKAQLKIKADDGSTAEAFSALFQSVLSKFGLS >cds.KYUSt_chr3.45940 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289247960:289249141:1 gene:KYUSg_chr3.45940 transcript:KYUSt_chr3.45940 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSDLSPPILPTNHATMKFADWSSLPADLINCIAECFLSTSDLDYYMDFRAICQSWRFTTDDPKLTSDRRFRPYHWVIIDEVYASKTYLLVNTATGRFLRKELPLLHGYYIAVSTRDGLLVLVDTKSYNTVSVLNPLTGYMVRFIAPMPDEFVKSAALVAGPSPTLLLLCNKVVDAPDGSLRDSPRTVYMADPNSQSLAVYEDRNACPLIRLSVRDIYTQGELGSGPPFPLDTAKSMFDLIKDFNADPTEMSDDEDTEISEDEAIRNFIIGYDNRCYLLESGGEILIIIQLNDGMRVYKMDTDRHVFQRVKSIGNQAIFLGGYCRCLSVNADKFPSVDANCIYYTKGLDFNHGIHIYSMQYDREAKISKDIGRSSPPYTIIQLLSSSLNDL >cds.KYUSt_chr1.42310 pep primary_assembly:MPB_Lper_Kyuss_1697:1:259002351:259005939:1 gene:KYUSg_chr1.42310 transcript:KYUSt_chr1.42310 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLTHHNAMAAPCFLVLVVLAATASSSRSAIALNDDPSSISSNSVPPANRSCFPAERTALLAFKAGITSDPQNLLISWQQGHHDYCRWGGVTCSSRTGHIIKLDLRNRSPTEDELFGLEDSQGHSLHGEVSSSLLALRRSLRYLDLSGNTFLGGAMPMPRFLGSLHSLTYLNLSYMGFHGLVPPQLGNLSNLVLLDIHNDITYKSLYSKDISWLARLHSLEHLNMAAINLSGVVDWALPVDALPNLVVLILRSCGINMINAPSTLVQHNLTVLEELDLSYNSLNIPATSGWFWDVTSLKSLSLMSCGLSGIFPDELGNLTLLETFDISFNNIKGMIPGTMQNMCDLRTLDLSGNNIDLSNNNFSGVIPNYLENLKALNDGSGDDYVNPFGEWYNENYFPYDMGMSDDSFSVIGNLRSLESFDLSKNALEGGIPQCLSNLTYLSYLNLSFNNLSGKIPSGHQLDVLKTDDPASMYIGNPGLCGHPVPRQCLGRLADPLANCDSAIWSGGVLSQMDFLLGSIVGFVAGTWMVFCGLLFMKRWRPSDAA >cds.KYUSt_chr3.40588 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256067096:256070717:-1 gene:KYUSg_chr3.40588 transcript:KYUSt_chr3.40588 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLFPRPWRRLPAIKAQQVPRIQDSTLKSGRTVEDPFLDFLDLPFASADLDEYIKEDIINRGKSAEQIANEEEMAYEEKKFTSYRRCWEDHWKPVYGSFLYMTVISPMHFTHSTPGRGLHDAACFAPTLQIFTLRLAGIKGGLEWPLSVYGVPETKLITIVTFSSLAIEASLKNSIKISGSPSHRPTGQERRVNGERTSAGWRSGWGGAGQEEELQPCKDRNCDNSYHWRFMELIDAAGCRGPPADVFLDNGLHVLSRKYEL >cds.KYUSt_chr5.321 pep primary_assembly:MPB_Lper_Kyuss_1697:5:2187363:2190845:1 gene:KYUSg_chr5.321 transcript:KYUSt_chr5.321 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPLTLTKMAPIATATTSIMLELRKYLMLMAILAATVTYVAGLNPPGGVWLRTEDGHLTGDQILVLTGRRRYDAFYYSNAAAFMASIVVILLLLLMERRIGTSNRLLVLAALRVVMVLDFFAVMVAYAAGASRGTATTIVASLLVSTVSVYITGYAAYRALFRPRPPPESPGDATLNLRLERRRKTLMLFCIFAATVTYSAGLNPPGGFWPDSREGSHPGSPVLEEDHHTRRFITFFVCNTAAFIASLRGIMLLTTIRSKFKDGDGNGRWWYVLYGHVVVALRGLLVAYGFGSCRETHSTVYVFGLVFPVVAYTGIQFVIQRYCWNKLVDLADKIHRRLSSYWTSLRLSLRSCWRSICSCLIDPDEQTTVEDDDGLGTETTPVKRAHTAVLLLATLSATITYQAGMNPPGGFWPDSRDGHASGDPILLTTHAKRYKVFFAFNSLALVTSIIVIAMVLTRRTSSAVHRHHALEVTMILDLLSLVVAYTVGCGRDVNTSVRVIALAGGVLVCVVIHIVFFTLKNRRETPELLKKKRKLLLLFAILVVTITYQAGLTPPGGFWLEDDADHHAAGYTVLSNTYPHRYTAFFYCNAVSFMSSVATIILQVNPHMYELGIMCQALYLCAVSALFGLIGAYAAGSSRRLGTSLSVVELAAGVFVFIVMLLLALKFLSRCKIFCHGSNELPPQPQEAREEEPQPKEEDNVTEEGDSDETQPKEEEDNVMEEDDSNEETQPQPEEGGDHSDEESQTQPQVGGGDDSDDRDTARYTTRKYLMLVSILVASVTYQAGLIPPGGVWPDSVNGHTAGNPVLHDSNERRYSLFFYSNSVSFLASIVVIFLLQLDEPLFARKARSPSTAGGAPTCRSKSDELLRVAQSSILLALLFLLIAYASGCSRRWEIFGYVVVLTVAVLLYITIHVLLSCRDEKPGQVTPLAQEAEQARGSSHCTDGLCRCKCHEKDGERMQEESEV >cds.KYUSt_chr3.3084 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17667556:17674459:1 gene:KYUSg_chr3.3084 transcript:KYUSt_chr3.3084 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSCVDEDGGGVDGDGGDVDGEAFRGHFPVPAACRNRDSCPPDLGFVMAATLEGFSYRGFFRIEDLAASSLRSGENGGKRSRRSKASPDSLQGPPAAPSSSVGLTAGLASDLLLRAPARSNAAALLTDGIRAAGLTSSVLLCAPSLFPPTSAAPSAPTRTPAPARRHRLHSRERLRPHPRELRRPPQGFILFYNFRSSENCSEIMRTTPEYERNRLARIARRKAEEAGPLANIRNIASQLLYGQNTKDKQRHKGDDGGSGSEYEPNDEEEAEEAGPLANIRNIASQLLYGQNTKDKQRHKGDDGGSGSEYEPNDEEEADDGDETDMGNKRSEALEHPVSDTHIVAEVLKEHSSSSTFLSTMGYQSRSGRSRTSASEERVRELEEKVEQQKIEAKGANAMYQQHLNERGKTQEVEMQRKQQEELMAMKKSQEEKNKAYEKK >cds.KYUSt_chr3.4687 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26581146:26584785:1 gene:KYUSg_chr3.4687 transcript:KYUSt_chr3.4687 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLTPTSRLLLFHLYASCCCFLLSYHVLEGSAISPFSFSFDFSDRSTYRYEDLLFEGDASQHDEVVDLTCNTRSKARFNCKGRMSYNHPVPFYQTTSGEVASFSTRFNFAIGFPGKYAGDGMAFFLSSYPSMLPLNSAGGNLGLHNGDGITAKATDRIVAVEFDTFENYFDSSPNHIGIDINTVRASVNTTSVNGSINGSMTAIITFNSSTLMLVASLHFDDEPSRPSYQVSTQLTNPVTDLLPSEVAVGFSAATGANVELHQIMSWSFSSTLAREACSRWRRTRDSFGRGIYGLRRFEYSELVGATGRFSRDNTLGAGNFGIVYKGSYTDDYGNQEVAVKEIKKTTGVIEDFMQQRVKLFLVYELVPNGTLEEHLHSMEETLPWEKRYQIVKGIGSALRYLHHECNKTILHRDIKPGNILLDNDFNAKPADFGLSKIIASKNNTTLVTTAIGTIGYMDPKCMKDGKVNFNRKYDVYSFGILLLEIASRKSREQVMEQYRNSAEPPMVEVADEKLNGVFDKTQMKRVIVLGLKCSEPDEKQRPYMVDAMKFLEDGIKLPAITEINGQLCVPGTISSNQDSLYPTELSG >cds.KYUSt_chr4.8976 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53892090:53892326:1 gene:KYUSg_chr4.8976 transcript:KYUSt_chr4.8976 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARMHAEPDRRPYPAKTNADTTSTTTPPPEIPALAAPGHCRGWVLTGSVTRRVRLPSSGPTPFKGIGRGQENKALK >cds.KYUSt_chr3.45425 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286196155:286196862:1 gene:KYUSg_chr3.45425 transcript:KYUSt_chr3.45425 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCLRIPTTAPAAVRLVYWGGRTRVLLVNEEADDSLDGEGCSTAGNVAAELLPPGGHVVCPSDSFFVGLPIPITPPAERLLPGRTYFVLPAARLSPGCPSDKAPPVLTAATLAALSGGKKRLSLAGPADCPFEYVKGGAAPLIRVLPEFIEKVITCDGASDGAVRRGKCGVAAATASATELCSTPELKSHYAQLVGPRTRSWSPRLETISERGKRPVFPSPARLLLLASQ >cds.KYUSt_chr2.29992 pep primary_assembly:MPB_Lper_Kyuss_1697:2:184629413:184632529:1 gene:KYUSg_chr2.29992 transcript:KYUSt_chr2.29992 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNNSNNGNGGSNSAASWLGFSLSQHIDDHQHVQHQQQQQHQGLFYPSSVAAAYSLGTDVASGGYYSQLASMPLKSDGSLCIMEALRRTDQQDHHGTCPGQYKVHLFPRPISQAGFLDSSWLMMIPFLVDRSPAGPKLEDFLGAGQPAMALSLDNTSSFYYYGGGGGGGGGVHHGNGHGGGGFLQQSYDLYGGATAVAAEEDAAAATAMASWVQAARGATSAYATAENVLSIAAGAGQQQQQHLHGHHHPLALSMSSAGSLSSCVTAGAEYGSVVAAAAADGGRKRGGATAGQKQPVHHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDQAALKYWGPSTHINFPLEDYQQELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKIMASNTLLPGELARRNKDATAAPPLPLPSPDDGAASALVPLSTQTQRTDAGGRGHHQDVLSSGEAFSALHDLVTVDGQAAQGGNGAHVHMSMSAASSLVTSLSNSREESPDRGGGLSMLFAKPQQQQQQQQATTLAPSPKLMSTLAPLGSWASSARPAAVSIAQMPMFAAWSDA >cds.KYUSt_chr5.27473 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173950912:173952810:1 gene:KYUSg_chr5.27473 transcript:KYUSt_chr5.27473 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSAFRLARLHLARGKQQQQPASLDAIGDDPLAAGSGQLVVGVESQYRVVYRLVNSIYVLGVTTAADHASPAVHAFAVADAVNQAVDVVVAACRGIDVTPEKVHRKYPEVYLALDLVLHGVGSVRLTQILATIHGDNLARMVNSSPDAEARARGADSWPTVEHLAQDRHAARDAFNGASFELPMETLAAGDEFSASSLAPTAAAATGDEAPPEDAPPIEKDPFAASDMISKPEEALVGGFKKNKETALVVADPAAALAGLEVTTLPPAEATKPTRIGVEGFEGDYGGIEFGNDEASLAEAFEGFNAPFGGGLDASEFVTTTKKDHKDKTITGLELLEMNSAQARNAAAGSPLENLLVTKSAEMTVPELCIVEEINAEFKESILARVGLKGTLFLRTLPPKKAAGRDTEFSFRLEGTSGMKRAALQSTVLSSLENGLFHVKTPSKEEPIPIMKYSFLPKHSPLPLRIRLVKRHSGTLLSLMIHYASNPMLPQPLSNVTFIVKLPVDPTLLTVSPKAVLNRAERELRWHISDIPLKGPAGRLRARMPVDQDSKDGELEVVGMVKFAYQGPFTLSGIKLCPAVNSTSQFNEVGHTFSSGSYRCI >cds.KYUSt_chr7.25567 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159487143:159496798:-1 gene:KYUSg_chr7.25567 transcript:KYUSt_chr7.25567 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLINRSGSVDRQVVSNPEKTPLHTFFCNYDLTDMPSGTKTFMRQKVTLSPTVSPCNIVKEESKGSDTNIGPKAQSVSCGSEFAQRGTLCSECCRQGQTCNSTDESQKRCTNTKCSSLGTDMKESDKSSFPGSKKNNTDSDDCCCQMESIRSVSNKSCCASSRINDSSGGGVLRYALHLRFLCPASKKSSKSMLRCKSDPSSVPQGGKTLTEDRRFYLYNDLRVVFPQRHSDADEGELKVEHDFPADPNLTSIWRSWICEDEPKKNLSHGGLWGSNPQDFVKQAQSRKVFHLVTVKIVIIELKWNAQASFRGHAGRQRLKTKPSTFAGNTGNRHAIAAQPYSASSNNLLGRIPTEAELREELEGQARMAAKVQEAEMKKASKAQNREGEKGQWWPCDVTEFELDKMAWRISKLLNTLAEEDFRVIFRTPVDSGKTEEAFEEEEAEEEEQTERYAPRPSKRARGKSSEPNVETSGEGSAKKAKTAPSSGVRRFDSKRAERDRIKMLATARRGTRSMLPGATTKRVAAEEAKDKEEAEEQQDLHYELHKNIALQRRVSIRQSDRLEEANEKIEELEKKLEGAQELESLRSAHKDLEAKLKEAKEKQKLAEDQLAQKNSDFIREKVDLVEKHKKDSETLKSLQADVQTLRTYMRQVELGWTCSTLTCLTHLDIWKHVMNNFRGTISSSWPEMIVKILSPCRARSAIT >cds.KYUSt_chr6.4897 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28834470:28835846:-1 gene:KYUSg_chr6.4897 transcript:KYUSt_chr6.4897 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLLTVLHLARTVASLVRAVVARRRQSQCYLLDYVCYKPCDGRKVSTEMAGHVIERNQRLGLPEYRFLLRVMVRSGIGEESYCPRNILEGREDTPTHQDALDEMDAFFDAAVAKLFHKTGLGPRDVDVLVVNVSMFSRAPSLASRIVGRFGMREDVAAFNLSGMGCSAGFVSLDLARNALRTRATSVALVVSTESIAPNWYAGTDRSMMMGNCLFRCGGSAALLTNDPSLGRRAKMALRHLVRENTAGDEEAHTCALQREDGDGRVGISLSKALPRVAGRALTLNLGRLAPRILPVSELARFAAGTMRKKLLSRGRVKHGGPKINFKTGVEHFCIHPGGTAVVEGVKGSLGLNASDVEPALMTLHRWGNTSASSLWYVLSYMEAKQRLKRGDRVLMLTFGSGFKCNSCLWEVKGYMADKGVWAQCINEYPPECITNPYADKYSWVNDVQGDTIVF >cds.KYUSt_chr5.31846 pep primary_assembly:MPB_Lper_Kyuss_1697:5:201959440:201960274:-1 gene:KYUSg_chr5.31846 transcript:KYUSt_chr5.31846 gene_biotype:protein_coding transcript_biotype:protein_coding MWDAIAAAVPGKTPEDVRRHYELLVEDVDGIEAGRVPLLVYAGDAGPDDGGGSGGGGGKKGSGVDKGSSKSAEQERRKGIAWTEDEHRGANIVALRMPMRLGDGAERVPEDSGGERRAWAMAATAVASARLEMAAAKGCLATTAAPLLQSPR >cds.KYUSt_contig_257.351 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2726185:2727648:-1 gene:KYUSg_contig_257.351 transcript:KYUSt_contig_257.351 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALLAEIVLRIASTSDLNSLSLVSKRLYIIDSSQRSAIRIGCGSFPAREALASLCSRFPNLSKVEIDYAGWTSGHGNQLDNKDLLVISSQCPSLTELTLSFCSDIDDSGLRYLAYCKKLIYLRLNSVPEITSSGLLSVAVSCKSLSGLLLIACEKIGSVEWLEYLGWNGILEELVVKNCKGISQYDLLQFGPGWMKLRKFDFEMKGGFWGGHSYEEGGFDPLYNVHKPSRSDIWCESLKDLRLACFKTETEVGLRFLLGKCKSLERLCLEYVHGLNDNDIIVLSESCRNLKSISLWLTPTRYDDLNGDGFRTAFTDNSLKALALNCLMLEAVELTFAGCEHTYPSEIGFTQKGLVVLIQSCPIRVLVLNGANFFDDEGMMTLSSAPLLETLELVDCREVTDAGLCFIARTPCLINLTLRHCKRVTDVGVAELVNSQKLKSLIIDCCRRVSEKAVLGAGGSVQYSVETASPGGLKRIYPMFTG >cds.KYUSt_chr5.18934 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122521325:122521687:1 gene:KYUSg_chr5.18934 transcript:KYUSt_chr5.18934 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDGRLCVASLERHGLQLCVRGDGDGSDNGWVLERRIAMKEVLDTVPALPKNLDVRHFRLWLGDMDAGRTGRVFINTMGYGNFSYHMETGQLESLTREDGMTFGHPIFAYFSAPDACSA >cds.KYUSt_chr4.9489 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57272512:57274568:1 gene:KYUSg_chr4.9489 transcript:KYUSt_chr4.9489 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARPQSLPDSQHKHAHASPVLLSPSSSCTIVLSKPKRRCSALQDRAGDRPEGVGGGGGGEWPFAGDAFAEYPSALLFAELGGWPGRLGAGAGDLTPLDLSVTSASGVLAATVAPTSGGTPSRSRSMKPTATAVKKGQKRARQQRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHCHHTTSFQRGAGATAMHFHSGATIALAEQMSFASAQHLYNLPPLRRQMNSTSPESVVSSTQASFGQQLNGTNGELPRSTNYSPTVSMVQSPSSSLVSPAVSFDMGLLGDIVPPGVRNG >cds.KYUSt_contig_2655.27 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000439.1:139290:141358:-1 gene:KYUSg_contig_2655.27 transcript:KYUSt_contig_2655.27 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLFAGLLGFLLIASVGSHAARAPEQYWETALPNTPMPSSLSQLLSTPAGGTSVNVGSGGVHVDAAHGKPGGTTVDVGKGGVGVNVKPGSGKPSGTTVGVGKGGVDVNVKPGYGKPGGTTVGVGKGGVGVNVKPGYGKPGGTTVGVGKGGVGVNVKPGYGKPGGTGTTVGVGGGGVGVNVKPGYGKPGGTTVGVGKGGVGVNVKPGYSKPGGTTVGVGKGGVGVHVKPRRGKPVNVNVNPFLYNYAATETQAHDDPSSALFFLEKDLHAGKTMDVHFTATAGAGEKFLPRSEADAIPFSSEKVPEILSRFSVEPDSAEAAEMAQTLRDCEATATRGERKSCATSLESMVDFATSSLGTSHVRAVSTVVGKEGSPKQEYTMTGVKRAAGADDQLVACHAEPYAYAVFACHLTQATRAYTVSMVGKDGTAVEAVAVCHADTAGWNPKHVAFQVLKVKPGTVPVCHFLPQDHVVWTRSG >cds.KYUSt_chr4.22978 pep primary_assembly:MPB_Lper_Kyuss_1697:4:144623851:144626460:1 gene:KYUSg_chr4.22978 transcript:KYUSt_chr4.22978 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFVFVVGDVVMLVIGGVMVVFGFVVGDMVMLVRGVIGGVMVVFCFVVSDVVILVTGVTSDVMVVFSFVVSDVVILVTGVTSDVMVVFSFVVSEMVMLVTNVNDNVMVVFSFIVGDMVMLVTGVNGGAMVVSGFLIVDVPMLVNGGAMVVLGFVITDVVMLVTSVNIGAMVVFGFLIVMLVMGEVRSPCCHIDSRGRDDVAPTIRRQQDPPNTAAHHAAVSPSTPQIPHPSPALVYSLCVIRTDRAMVAAVLEPPSRRRHRLANSTTGDFELRHWRPAKKSGSTGMKGRWVPPEIEIPGGEAGSYTSLRDIMSSPEYAAANKAHSPADGGGDVHMIRHPLVKHAAYAYLQLTPSAREDLSRRRHRKRGPLCRLILSCVDFVGAFFAPA >cds.KYUSt_chr6.8722 pep primary_assembly:MPB_Lper_Kyuss_1697:6:53622780:53624462:1 gene:KYUSg_chr6.8722 transcript:KYUSt_chr6.8722 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSVNAGGADPPWSSARARETSAPQIRHGRLLALSRDALKGEAFVRYFSVPPPHGRLSTPADHRSRDRAFGLATAALWEHDDGCSVLPRWIQAAEAIDGVGDASGRSV >cds.KYUSt_chr1.13154 pep primary_assembly:MPB_Lper_Kyuss_1697:1:79959002:79961072:1 gene:KYUSg_chr1.13154 transcript:KYUSt_chr1.13154 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAVVAGTMDPARGLQLAVEASSAIDDKEEAEGTDWVGIERTRRRLDGGGGDEQRWRVVDGVAKDKISKLLGLSTLQGSKHNTARDRPWYAQGSCLCDGLEDNGKELGDQAWNEISTVMEKVIN >cds.KYUSt_chr3.43537 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274757364:274768683:-1 gene:KYUSg_chr3.43537 transcript:KYUSt_chr3.43537 gene_biotype:protein_coding transcript_biotype:protein_coding MARANPQPPEQGTPRQEASRRAPPPPPLAAQVDDDGAQLASSAGALQTGGYFIKYLCVTRTYNADGMLFGALGPCPVCTSCLYYYGGHYQCNGYVSEWSKCTYTTTQPVRIKKKWKIPDEIKNDYLTKWFKSQKVKKPDRVLPQMTPQKSVGQSTQQFPIGEALDKLRISVVGQSKDVADEWKEKLKLAGAIFNARVTKDINCLVSCGGLDNENPEVRKARRLKIPIVRGDYLGECIRKNRVLPFDLYKVETTLESSRGSTVTVKVKGRSAVHEASGLQDTGHILEDAKSIYNTTLNMSDMTQGVNRQGFCSLSFVKFVTYIVIEEDDGSECYVFRKWGRVGSEKIGGTKLEEMSKTDAIQQFKRLFLEKTGNPWEAWIQKTNFQKQPGRFYPLDIDYGVRQAPKRKDISKAKSSLAPQLLELMMMLFNVETYRAAMMEFEINMSKCPLGKLSKDNIQKGFVQVKMLEALQDIEIASRLVGFNGDNDESLDEKYKKLHCDITPLAHDSEDYKLVKKYLLNTHAPTHKDWSLELEEVYVLDRDGESNKYSKYRNNLHNKMLLWHGSRLTNFIGILSQGLRIAPPEAPVTGYMFGKGLYFADLVSKSAQYCYVDKNNPTGLMLLSEVALGDMHELKKATPMDKPPRGKHSTKGLGKTVPQESEFVKWRDDVVVPCGKPVPASIRASELLYNEYIVYNTSQVKMQFLLKVRFHHKR >cds.KYUSt_chr7.4750 pep primary_assembly:MPB_Lper_Kyuss_1697:7:28759885:28760261:1 gene:KYUSg_chr7.4750 transcript:KYUSt_chr7.4750 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRTEIRRIGNAVSRQVTFGKRRAGLLKKANELAVLCDVDVGLLVFSDAGQPFHYCSPHTRRYCAMSTVPSLYESFLIVSGTRKDSEPGFDELL >cds.KYUSt_chr4.6665 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39196463:39198325:-1 gene:KYUSg_chr4.6665 transcript:KYUSt_chr4.6665 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSASGSGGGDGCTAAAVREVATLRFARQVVLGRWFMVFACLLILSASGATYIFGIYSKVLKSTLGYDQQTLNTLSFFKDLGANVGVLSGLLNEVTPPWVVLATGAAMNLAGYLMIYLAIDGRTARPPVWLMCIYICVGANSQSFANTGALVTCVKNFPESRGVVLGLLKGFVGLSGAIFTQLYIAIYGDDAKSLVLLVAWLPAAVSILFVHTVRLMPRRRGESQSATSSDPFYCFLYISMGLATYLLVMIVVQNQMDLSHAALVVSAAALLIILFLPLAVVVKQEYRIKRELEEALLVPPTVTIDNKAAPPSSDLQMAEAEQPVKAEESSKLSTPAPESSSSSCWKNMFNPPAQGEDYTILQALVSVDMLVLFLATICGVGGTLTAIDNMGQIGQSLGYPPKSIKTFISLISIWNYAGRVTAGFASEAVLARYKFPRPLALTLVLLLACAGHLLIALGVPGSLYAASVIIGFCFGAQWPLLYAIISEVFGLKYYSTLYNFGSVASPIGAYVLNVRVAGYLYDVEAAKQHGGTLDGAGDKTCIGAQCFRLSFLIITAATVAGALVSLVLAWRTRKFYRSDIYARFRADGQPAALPEQNRLKDSAEPISPVNGTKEQSMR >cds.KYUSt_chr3.25895 pep primary_assembly:MPB_Lper_Kyuss_1697:3:160867879:160872379:1 gene:KYUSg_chr3.25895 transcript:KYUSt_chr3.25895 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSDVSKQILQMVRFIHQEAEEKAGEISVSAEEEFNIEKLQLVEAEKKKIRLEYERKEKQVDVRKKIEYSMQLNASRIKVLQAQDDLVNQMKEDAMKELLNISSNHHEYRKLLEGLVVQCLLRLKEPAVLLRCRKEDHHNVERVLDSAKSEYASKAEVHEPEILVDHSVYLPPSPSHHDDDKHGLFCHGGVVLASRDGKIVFENTVDARLEVVFRKKLPEIRKLLVAA >cds.KYUSt_chr5.19875 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128936200:128938028:-1 gene:KYUSg_chr5.19875 transcript:KYUSt_chr5.19875 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSMALAAPPGGGLLLAPPPPAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIAILAG >cds.KYUSt_chr6.11750 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73108547:73111465:-1 gene:KYUSg_chr6.11750 transcript:KYUSt_chr6.11750 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFKLIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFAESDVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVLKLADFGLAREVSSAPPYTEYVSTRWYRAPEVLLQSSDYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQTWPEGLSLAGAMKYQFPQVSGNQLSEVMRSASSEAVDLISSLCSWDPCKRPKAAEVLKHAFFKDCTYVPASVRSKAAVPPKTPPCVGVKGVTGHIARRYSTGALSTTKPPSNISIKSNSLSKIGVQRKLQLDRQAPQKSTRPTESNSKVTTSRVPARNSPGKFPLELLCQIPVSDLWSDHEDFLFVYWKYREPGTTAFTQFT >cds.KYUSt_chr2.47001 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293964213:293965400:-1 gene:KYUSg_chr2.47001 transcript:KYUSt_chr2.47001 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPRTGPTPLGLGVPEEIFSLEILVRLSSKDLVRCRAVCRAWRRVASARDLLQAHHRRQPSLPIVLLSNNHSSSAPDLHAFDHRTAAAGGGEARLQLVARANDGSDAVGVEASCDGLLLLSVCNFYPFVQRDFICNPTTRQIGRVPEIHGFLATGLYRHPPTGEYRLLLHTKSEDLLHKDPFYVFALGCSQPLPRCIGRTPELSGFFCAPVVVRGNLHWSWWPVPVKDHALRRITVFDTTAESFRLMRSPMAHKGRAYLYEADHGTLGTYGWNDSKTAVDIWVLHDYDSEAWSRKYHVKLPKLPVAEIGGLESRQATVIRDGRDVFVLYSSGQALFLVDTKGTLLASSQLDGCTIFLFTQRIKESLVRHSFFSVLQGASDDCSSIISWPEGGV >cds.KYUSt_contig_1790.265 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1348122:1348511:-1 gene:KYUSg_contig_1790.265 transcript:KYUSt_contig_1790.265 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSTLPTRCPYEKREYKPKYERGTEPRLKQNPINERYKKKKKKKRREGKSLGGSKCTSEEDSADEQKLVVVASLALAKLGALFTYEYTKDYSDNSDIPKKSDTCLHWRTTSSSLPFLGTPIFSLWKRC >cds.KYUSt_chr3.8101 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46724124:46726054:-1 gene:KYUSg_chr3.8101 transcript:KYUSt_chr3.8101 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIMTPQSESEAPSSNGSPRQDLDRLQSSWSRNDDAISVSGSAMGHPMHESDDEFFPPPSQELSKKEVFEESSKRRKAELDLLSALQKAKELEKLYHHELRQRRTIEEALSIQRREMEETRVKCKTLYEELHDAGEEHVILEQCITELKSALDDEKQKLAASKHLVEELRGDKEKLQQERGATAEELRQTKKEQRVSVPAAEAVINTEFSASELEQATRSFDEALKIGEGGFGCVYRGSLRSTTVAIKLMHSKSLQGQPEFNQEVAVLSRVRHPNLVTLIGSCREVFGLVYEFLPNGSLEDRLACTNNTPPLTWQVRTRIIAEMCSALAFLHSNKPQPLIHGDLKPANILLDGNLVSKLGDFGTCRLITQSNTSTTTTRLYPRGTFAYMDPEFLSTGELTPRSDVYSFGIIVLQLLTGRPPQKIAEMVEDAMEEGGLQSIIDPSAGSWPFVQANQLAHLGLRCAEMSRRRRPDLAREVWLVVETLMRPTFAGASSDDDASMPSNFQTTSSSVVG >cds.KYUSt_chr6.6137 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36871124:36871501:-1 gene:KYUSg_chr6.6137 transcript:KYUSt_chr6.6137 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSTAPVYLLRRSSSTPTSTSRLAPHVALERVRSGTLSPEDAHHLFDELLRQDTLVPSRTLNGFLAAVACAPASAVRADGLAFYGCFPMAGLKANNLIVNTLLKVLCHAKRINALLRLPSML >cds.KYUSt_chr1.9108 pep primary_assembly:MPB_Lper_Kyuss_1697:1:55793180:55795526:-1 gene:KYUSg_chr1.9108 transcript:KYUSt_chr1.9108 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEVAFDVNMVQNSTLDDGSVAGWAPFGSRTTTLSVHSEEDDATLEITMEDQHEHDTVNKPSGRYILVSGRADEKDGLRQAITGALKPRVTYRVAGWVSLGASSPANAAVRVNLAVDGDEGLVECGAVSAEAGRWTEVKGAFRLRTEPSRAAVYVHGAPAGVDVKVMDLRVFATDRKARFRLLKDKTDKARKRDVVLKFGETASKTPGGASVRVVQIDNTFPFGTCINTSVIQNPAFMDFFTNHFDWAVFENELKWYHTENQQGQLNYADADSLLDFCDRHGVRVRGHCIFWSVEGDVQQWVKNLQGRDQLMAAVQSRLHGLVSRYAGKFPHYDVNNEMLHGHFFRDRLGDDVPALMFTEAAKIDPAAALFVNDYNVECANDPNATPEKYIELIKSLQRGGADVRGIGLQGHVSNPVGQVICDALDKLATTGIPIWFTELDVPEQDVSLRAQDLEVVLREAYAHPAVQGVVFWGFLQGTMWRQNAWLVNADGTVNEAGQMFVNLQKEWKTDARGNLDGDGNFKFRGFHGTYFVEVKTATGKQILKTFTVDKGDNNNSTPLVLNLADA >cds.KYUSt_chr7.39551 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245844253:245844528:-1 gene:KYUSg_chr7.39551 transcript:KYUSt_chr7.39551 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSIPVEAIAMAFVPAEDLATATKMSKALHMAVVAAKSTSTMAAARDEAAPATFLAKKTKEESKETSCRHCFSPFLLVLVSSDLEIAQD >cds.KYUSt_chr1.40924 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251004043:251007046:1 gene:KYUSg_chr1.40924 transcript:KYUSt_chr1.40924 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPLLEEPLVPLEDPLVPGMAPTATRVLAVGGDTTKLGHLVTNLRLRGYQVTSSIDPAAAMAWLRQDGLQSFNFDAVMVDTGSVYPGRARAAANKLFEFALREPLLCAYVIRGEDTFGPLPLDDLDKFMKPIRMVESDGPNSLKPNQNESAPVDVEPKDMNPGADDPKQNSCVGNSDGNITHKDFREILNNEPKPSWDTEFLFPSGD >cds.KYUSt_chr4.19002 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119369182:119370330:1 gene:KYUSg_chr4.19002 transcript:KYUSt_chr4.19002 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHPRIGPNPLRIGLPDEMLGLEILVRLPPKDLVRCRAVCRSWRRLASTRDILLAHHRRQPSLPLVLLPKHRCTWGDLRAFDHRASAAAGEVRLQPIARIDDATAISADASCDGLLLLSVCTFSPYIQRYFICNPTTRQIGHVPEIDGFRATGLYRHPPTGEHRLLLHMRSKKMFGENDPCYVFALGCNQLRPRCIGCPPELAGFFDAPVLVRGNLHWSWWPYPVKKNQSGKMITVFDTTAESFHLMRTPITEARRAYLYEVDDTLGIYSCNDTMTAVHIWVMQDYDSEVWSHKYHVKLPVAEIREHEGRDVVVVHEDRDVFVLYSFGPTLFLIDTEGKLLASSQFDACISLVATHRFKQSLVQHNLFSVLRGALNAWSFI >cds.KYUSt_chr4.26616 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167065810:167071960:-1 gene:KYUSg_chr4.26616 transcript:KYUSt_chr4.26616 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDRCEWRGRSNAHVGGDGREWRRWSALVATVWIQAVTGTNFDFSAYSSALKSSLGVSQQTLNYLATASDLGKAFGWSSGLALLYMPLPAVLLLSAALGLAAYVVQYCVLLSSSPSRPAIPYPVVFLACLVAGCSICWFNTVCFVVCTRSFSASSRPLALSLSTSFNGLSAAFYTLFANALAPYTASVYLLLNAILPLAISLLALPVILICRQQDRHNIHSKPEHDERVFLGFYILAVVTGVYLVILGSFTSTGSTAWVVLSGAMALLALPLIIPAASCCSDVDISGPEDYAMPLNNGDPQKPLLISNDQYQSEYQLQGCCYGTILEKGRVLVLGEEHSAKRLLRCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHRQSELTMLLAVYSSCSFFGRLLSALPEFLHRKVSFARTGWLAAALVPMPMAFFLLWNSEGENTLVAGTALIGLSSGFIFAAAVSVTAELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLRKMVQDNGTGRVETMIVCMGARCYSTTFLVWGCITLLGLASSIALFFRTRQAYADRRSSFKHRSQVSS >cds.KYUSt_chr6.7191 pep primary_assembly:MPB_Lper_Kyuss_1697:6:43658141:43658791:-1 gene:KYUSg_chr6.7191 transcript:KYUSt_chr6.7191 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGSSKTTPVMNHWSKIVNADKGHHAVCVEFKQARDPFNWRKYMCNKCGALRGKHCVCKAKTKADDNTGIKEEHLPPRKRLMLRYKREKKALAAAASTAREKGKEEGLCMEFNQAKYPFNWRKYMCDKCGALRGKHCICKAKAKVDDDTGIKEEHLPPRKRLMLRYKREQKALAAASAAKEGKERQLELEERETTWIPGATTTKGKRAPRRRRT >cds.KYUSt_chr6.21445 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135376524:135377791:-1 gene:KYUSg_chr6.21445 transcript:KYUSt_chr6.21445 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLAVAMGMQTTKVLATEPSPTLALASTSPATTALRSSGMGAAASSTVNSLGESFAFPTPTLAAPAYVAPQMFDEMPTPESGYENCCSGHQNPIPSSYVHGGNTNLREQYIDEVPQSYGFSNLINRSVSLADGTKHCYYALPEDYPLQLASPPMSPSTEGTIGVTAPFSVAAGLSPVLCSKANTDGSQLMLTQNQQLSMTGQDLSKIAETYPFVLATPQPVFDDYGEEIDGCTNEFVDIFAGIREQQEYEEEQHKNSQALKRFSKPMFSSERLTVDTVVVFSLATGQKPDTELCGNHVKQQVNSQQWNMHMTEATRKEWDPGTLQSIMDVPALVELSSSEGLPHAVIFSQSILLSMIIYAATSIPNIMVSLPMSIQWDPGDNTMLSESPD >cds.KYUSt_chr4.25941 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163163758:163164267:-1 gene:KYUSg_chr4.25941 transcript:KYUSt_chr4.25941 gene_biotype:protein_coding transcript_biotype:protein_coding MNMARSNARSPPVKLIQLLLTLSSPAAASRVITKCSKNKRVQLQAQHGGAFECRTCGRRFSTFQALGGHRTSHKRPRVRAHGLDLLLGARPGKARAPVEHRCGTCGQTFPTGQALGGHMRRHRPLSSVAAVAAATWTTEETTETLSSSPSSGLSSDRDDDAWPTLIQFI >cds.KYUSt_chr7.35382 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220980990:220982996:1 gene:KYUSg_chr7.35382 transcript:KYUSt_chr7.35382 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAINRIRQFYMRKVRYTQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKISKDYLRLLKYGDTLYRCKCLKVAALGRMCTVLKRITPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEGLSEEDMKLVMEMKSEAMKTLPQSGDPNEEGVLLTMSALTDEGVMAVKNAACERLLEQRVEIKMKSKKINDCLNRFHVAMPKPRDNRDRPTCIPQAVVEAQAIAAAKEKKKLERDLENENGGAGVYSASLKKHYLLANDEWKEDILPEILNGHNVADFLDPDILERCEELEREEGLRLEEEAAQDAFMIDGHDELTEEQREILGKIRKKKAMLIQEHRMKKSTAESRPIVPRKHDKDRKFTTKRLGRQLTSMGVDPTAAVKRIRSQSRGRKRERSLSRAEGDDMEVDGQHSDKKLRTRSRSRSQSRPMTEVVPGEGLRDSDQKKKAIKKSRDSTKNRNKEARKGEGDRIIPTLRPKHLFSGKRGVGKTSRR >cds.KYUSt_chr1.26815 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161780130:161783779:-1 gene:KYUSg_chr1.26815 transcript:KYUSt_chr1.26815 gene_biotype:protein_coding transcript_biotype:protein_coding MDAESGETPLLFHQHNPNQVQVSSSSNRPFNWKAPAIILAFEFLESIAYAGIALNLIVYLGTVLHGTTASNAATVDTWNGTTFLTPVLGAFLADTYWGKYKTVGISIIFYLTGMLVITASTIIPSLQPAACEGTSCPPATGFQYFVLFAALYLISVGTGGVKSALLPLGADQYDDSDVEQSKQKQAFFSWFFMAINIGVFFSGTVVVWVQQNVAWSLGFAISSVCLIVATVAFFVGTPIYRVHLPTGSPLKSLVAVFVASFKKRKVEVPADTTILFEGDDANLTNAGQNKLAHTDGFRCLDKAAVVVGEQEIKDSNLGRPWLLCTVTQVEEVKILVRMLPIWLTSVFYAASMCQTATTFIQQGMAMDTKIGSFSVPAASMNSASVIFMLIWVVFQDSIVIPIARRYTGNVAGLTQLQRMGVGRFLAVPALAAAALVEMWRLRSVRDGHNLSIAWQVPQFMLIACSDVFCGIAQLEFFYSEAPTSMRSLCSAFSFLAMSLGYYLNSMIISAIAVLSKSKGGQGWLPANLNDGHLDYYFWLWAGIGAVNFVVYTAFAKNYTVKKVGPRA >cds.KYUSt_chr7.20075 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124349936:124354580:-1 gene:KYUSg_chr7.20075 transcript:KYUSt_chr7.20075 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRWWRRSLGFSLLLAAVLQLLVVLRRSEPEARGGRLASSSPIKAFLESPDLGELVLNPSLSGHRGGGDWERSSDDPAFDRSAGSLFGGDLRRGEHTVTKLVTVILGQYGGPISSSSMAEALSIGCWSSTPRGRQVVRPRLSGGRQGLDLGVGNERWSIMRSELGGDAWSSPAVRGGDYLGPDCLFSILPLLWTNPQEAIKNAYGSTNKYILENSKQLGPGGSTAVTAIVVDGRDMWVANIGDSRAVVCERGTAIQVSVDHEPHTADERKRIEKQGGFVSTFPGDVPRVNGQLAVARAFGDESLKAHLSSEPDFKHVPINSSVEFVILASDGLWKVVKNQEAVDLVKSIKDPQTAAKRLTSEALDRMTKDDISCIVIRFRC >cds.KYUSt_chr7.21096 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130701899:130704371:1 gene:KYUSg_chr7.21096 transcript:KYUSt_chr7.21096 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVVEGKNPVEEGPNLADMEVHKNPSEEELPVHIEEPANAGVSAMLDKEQIRQLTIAKEDVREEPASEGVAEGETASKKLPLRKNKDKRSELVQVKKEKQLGSSHKTQLPVVAEEPKQDMREELNKEKLHLMDVAEEEVASEWHASYSVRLRKHHLRRIYKGSVLLDAEVNRLLLYDIDESFIDEYYLKEGEMIYPGATFSCPCHKVEIGERIFQSKEDTPLPTNNATRTKEERRDEKNRKRLKRKRPDENLERTSNLKKVNKKMMRSPQAKLLRSSIGRFARVASLRKGISPQAQQRKSESPSVPNVTVHFPSPNLPRAKANSSRFRPRKLKSRIWKEFIPIYEDGKLAEGQCKHCNEVFPASKALLSTVVS >cds.KYUSt_chr2.53730 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335201453:335208273:1 gene:KYUSg_chr2.53730 transcript:KYUSt_chr2.53730 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPPFLATALLVLAFCSGNALAQTPVFACDASNATLASYGFCNRKASASARAKDLTSRLTLPEKVGFLVDKQAALPRLGIPEYEWWSEALHGVSYVGPGTHFSPLVPGATSFPQPILTAASFNASLFRAIGEVVSNEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLASRYAVGYVTGLQDAGAGNATDGALKVAACCKHYTAYDVDNWKGVERYTFDAKVSQQDLDDTFQPPFKSCVVDGNVASVMCSYNKVNGKPTCADKDLLSGVIRGDWNLNGYISSDCDSVDVLYNKQHYTKTPEEAAAITIKSGLDLNCGTFLAEHTVAAVKAGLVSEEDVDRAITNNFIMLMRLGFFDGDPRKLAFGSLGPSDVCTSSNQELARETARQGIVLLKNSGALPLTAKSIKSMAVIGPNANASFTMIGNYEGTPCKYTTPLQGLGANVDTVYQPGCSNVGCSGNSLQLSTAVAAAASADVTVLVVGADQSIERESLDRTSLLLPGQQTQLISAVANASSGPVILVVMSGGPFDISFAKASDKISAILWVGYPGEAGGAALADIVFGHYNPSGRLPVTWYPASYADTVEMTDMRMRPDTSTGYPGRTYRFYTGETVFAFGDGLSYTTMSHSLMSAPPSEVPVRLAEDHPCRAEECASVEAAGDHCEGLAYDVHLRVENAGAVAGAHSVLLFSSPPSVHGAPTKHLLGFEKVSLAPGEAGTVAFRVDVCRDLSVVDELGRRKVALGGHTLHVGDLKHTMNLRV >cds.KYUSt_chr2.33376 pep primary_assembly:MPB_Lper_Kyuss_1697:2:205963012:205964429:1 gene:KYUSg_chr2.33376 transcript:KYUSt_chr2.33376 gene_biotype:protein_coding transcript_biotype:protein_coding MADIDSWTVWAGWRGRKRYDEPRAFFFKRYKGEYGLGSEQSSRSNISLEMEDNRRCRSGNEVKLNLDGAFSCDDRVGVVELFFVAFEQRLEKPTSTVVVHGGWELVATEVAMMLCQISLNIHQIRWILVECMILELLFVFVVEVVVRWLKCWDLVLLGNTIVLQHAEFLNSVDLMTPLSKAEVAPSRCGALCTTTSSTSRLACHKGGP >cds.KYUSt_chr1.19883 pep primary_assembly:MPB_Lper_Kyuss_1697:1:117201763:117207337:1 gene:KYUSg_chr1.19883 transcript:KYUSt_chr1.19883 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGGGSGAAAAPGDYVYFKSVVPLHKISIGSKLWRYYDFGPKAVQPLICIPGIAGTADVYYKQIMSLSMKGYRVISIDVPQVWNHHEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQQRPRRVKSLVLSNTFLETHKFAAAMPWSPVLNWTPSFLLKRYLLTGIQDGPHEPFIADSVDFVVGQVETLSREDLSSRLMLNVNVASVGPLSLPDCFITIMDTNDYSAVPQQLKDQVNERYPSARRAVLRTGGDFPFLSRPDEVTLYLQLHMRRVGVEPRPDLVQGFTRGGSSGSSNDHKDGNSFDDRPRDDGDRSSGGDDREVEPSVSGSHDSDEPIPTSILLANTVLVGLFGHRLITNSSEML >cds.KYUSt_chr4.15747 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97323523:97326684:1 gene:KYUSg_chr4.15747 transcript:KYUSt_chr4.15747 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESRKLLLLAPILLCCFLGISAAEPYIGVNYGEVADNLPSPDATMRLLKSTAISKVRLYGVDAGVIRALAGTGISLVVGVANGEIPAIAADPAAAAGWLAGNVLPFIPASAISVVAVGNEVLESGDAALAAALLPAMQNLRAAAVAAGDAAAAGIKFSTVNTMAVLAQSDPPSTGAFHAEVAAQLQQILGFLSRTGAPFMINPYPYFAYQSDPRPDTLAFCLFQPNAGRVDAGSRIRYTNMFDAQVDAVKSALGRAGYGGVDIVVAETGWPTKGDPTEAGANVDNARAYVSNLVAHLRSGAGTPLMPGKPVDTYLFALYDEDLKPGPASERSFGLYHTDLTMAYDAGLTSSGGGAVAPGNGAGASVQPKGGSGWCVASAAATDAQLQTDMDYACSQVGVDCAAIQPGGACFQPNTVRAHAAYAMNQLYQAAGGHPWNCDFRQSATLTSSNPSYGSCVFTGGQ >cds.KYUSt_chr3.23967 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148685965:148686198:-1 gene:KYUSg_chr3.23967 transcript:KYUSt_chr3.23967 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRFAQMAQAHRADPSRDRLFSPAAPIIHTGHETLGKATRKPVFLRSGLAPLAAVKFRFLYREFRPERICRRRKC >cds.KYUSt_chr2.48376 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302495239:302500950:-1 gene:KYUSg_chr2.48376 transcript:KYUSt_chr2.48376 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITAPELSPSPPDSPPPVRRSPAPASATPPSRRRHSPSPSLALTPSSASTSATSSRPKTRPSPKRKYSPAQWVPVSSHPAFALRGGQGQGGGGAAWDAAASRLFVWDPSARGVHRISVRIRDAEPDKDGEEVAVEAAVPSEMLMPEIDLGYEVTHITLNTDGSSLLLAGSHNINVLYVHERVSEDGDTIICRTAPVASHVLPRNNDGIKVMQTSWHPFSSSHFGVLTSDAVFRLFDLSNDLVQPEQEFYLQPILPGRCQNASSICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPVVPFGSDYSKRYIEELYEDVNTFGLKSLNPNVVTNSHLAIAWLEATFPELLRQSADGSTLMLRARPYAPVDDSLTLQGPLCRVCEENNELEGKGSSCDGKAVGFVYSSVGKDSVLVTAWGSGQLQIDALADEIQPQWSIGVPTCLNFNSNGHIKSVAMKCDSNPQDSLALRSHRTSSTGSNVKSNTEAVWMGHTPPLLRLSIVDLALPKTPNSSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPVLTTGNSDTTSPFLSGFVTIADAYGHVQLVGITHTAECFVVEMKGWKEPTPLQLDIHSKSIKDVESVTTGMISKELIAGPDPPILPSSSGLRSLTPDSIEGKSTLHHYIKVFHEHYVEYGHKVFIELKEHGDYVKTEIEDKQKRLQAVKQSLLSIETKDQELNKRIDRASKVYDLLEKRIESFKMLPAANKKPLSQAELEFKSQLDRFADVELDALRSSIAALSARMRRFAHMSPGGAAGTGMAPWQAPKAGRSHVTESQMSLMKSSLERLSLINEENNLKLRLIETELKNKEQ >cds.KYUSt_chr2.39666 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246062096:246081423:1 gene:KYUSg_chr2.39666 transcript:KYUSt_chr2.39666 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELGILCDAEVGLVIFSSTGRLYEYASTSMKSVIDRYGRAKEEEQLVANPNSELKFWQREAASLRQQLHNLQENHRQLMGQDLSGLGVKELQTLENQLEISLRCIRTKKDQLLIAEIHELNRKGSLVHQENMELYKKLDLIRQENVELYNKLSETEAVTAVGRDSRTPYNFAVIEDANVPVHLELNSPQRRNDAEHTAPPKLGLQLHP >cds.KYUSt_chr1.42345 pep primary_assembly:MPB_Lper_Kyuss_1697:1:259217471:259219023:1 gene:KYUSg_chr1.42345 transcript:KYUSt_chr1.42345 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAAARKATSSATARSAASRFVQTRPRSSSVGEEEKLAREAVGREIQQKKEELYDVIAKAEQSFGTSNFQNLRLLQHLSVQANPRPWDWEWRHLRFSRRVNSVLEMAGFVSLGCMVSHWSKKYKQLREAREELRGLEEELRVLKEEHEAGEWHRPMSNQD >cds.KYUSt_chr4.37985 pep primary_assembly:MPB_Lper_Kyuss_1697:4:234212116:234212939:1 gene:KYUSg_chr4.37985 transcript:KYUSt_chr4.37985 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSFLSLSVSKPFSGILMQHEEEVSNRVPSHVQDDYIVFSLFLLKFFGKGRSSTCVQDCAHGGSAPAPAGSARGRAFDCLHLWMQLACRRAAASTGGGRESARLLFFQGRDIGVCEAFVPLRPGVLPQGSPTVGRARRRRGANAEEERKVFGRWRLSLHGSACFEQAFGGSGVAGDDEKGEVADGQHVDVAIHAGRAGSASARGDGGRVLIVCITSCRVSTIRWRITCEVPTVMGPF >cds.KYUSt_chr3.28172 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175781469:175781933:-1 gene:KYUSg_chr3.28172 transcript:KYUSt_chr3.28172 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPVLAEMIRDLPFLLGEPDLVQRLLHHTHVRIDHYAAAIDEAAARLDTLRRMDRLLRQTLRTADEEVGSAAEAAEARLRAFLRQRRNFTQVLAFLLFVRALAFARTRSRLVPAVLLAAASAAAFPRLSTLVRLSVLTLGFLFSSDQQPTGGV >cds.KYUSt_chr4.9260 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55885519:55888032:1 gene:KYUSg_chr4.9260 transcript:KYUSt_chr4.9260 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWKGVPMLLILFILSITHVTTTNATASKLNRFVPQDNYLLSCGASAAVKLDDGRAFRSDPDSVSFLSTPADIKIAAKTSLAAASPLSPLYLAARVFSEISTYSFFISQPGRHWIRLYFSPIPDSQYNLTTATFSVSTDNMVLLHDFSFIANPPNPVVREYLVLAQGDNLKIIFTPKKNSMAFINAIEVVSAPPNLIPNTTTRLGPQDQFDISNNALQVVYRLNMGGALVTSFNDTLGRTWLPDAPFLKLEATAEAAWVPPGTIKYPDDNTITPLIAPASIYSTAQQMASTNTTNARFNITWQMVAEPGFRYLIRLHFSDIVSKALNSLFFNVYVNGMMGVANLDLSSLTMGLAVAYYKDLIVESSSIINSTLVVQVGLGKTDSGDPNAILNGLEIMKISNEASSLDGLFSPQTSSQVGKRTLTGIAIALAVTAALAVVICFRRSRKPAWQKTNSFHSWFLPLNSSHSSFMSSCSKLSRSRFGSTRTKSGFSSVFASSAYGLGRYFTFVEIQKATKNFEEKGVLGVGGFGKVYLGSTEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENKEMILVYEFMSNGPLRDHLYGDPNIKPLSWKQRLEVCIGAAKGLHYLHTGSAQGIIHRDVKTTNILLDENFVAKVADFGLSKDAPSLEQTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAINPALPRDQVSLAEWARTWHRKGDLSKIIDPHIAAQIRPDSLEMFAEAADKCLADYGVDRPSMGDVLWKLEFALQLQQKGDVVDGTSDGVPMKSLEVSNMDSMEKSGNAIPSYVQGR >cds.KYUSt_chr3.22104 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136146150:136146695:1 gene:KYUSg_chr3.22104 transcript:KYUSt_chr3.22104 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSPAFFFVGVELLLARRRRCWGCAGVGSELADGFRRCLYRSLVVLQLFPKLLCGRMQVGVLVFASFSALTAGEDRGVAPADVLSTFFRSRRLRRVATAATSTRLCPLKVLSGDLAAAMDVFASTSGEVTVSAMVVADLRLRRARGLDCFFAISSRVLSVIWGQLSQFLVGSCNSLFPY >cds.KYUSt_chr4.5205 pep primary_assembly:MPB_Lper_Kyuss_1697:4:29958123:29960094:-1 gene:KYUSg_chr4.5205 transcript:KYUSt_chr4.5205 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRNREPPQRMTAPGLDREALPVLAALVDQVVPLLVLFLVLLLLPACSCSLDWECSASRLLP >cds.KYUSt_chr1.43006 pep primary_assembly:MPB_Lper_Kyuss_1697:1:262964412:262965560:1 gene:KYUSg_chr1.43006 transcript:KYUSt_chr1.43006 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIILLLLVAALTFLATQAQAQPASSGGPEPTGVGIKVSFRPSVAIVVGIFTMIFSLTFLLLMYAKFCHNSSSPPLLPTTTNNSPGRMATAVDAEANSGVGKGVIESLPFFRFAALRGARQGLECAVCLARFDDADLLRLLPRCRHAFHLDCVDRWLATSASCPLCRARVHADDADLGLKYAAASARFVFGAAADDHPTPVVASGSMSGRDLTAGIFVERVPSSRFGVGVAGENSNDLDRHRHRIVVSDVVFKSRWSDLSSADLLALDTEMLRSFSSGHLDLDVGQDIVFVGHHATTTTLEDSSGTVEKKRLLEKGDLQQASSSASIRGCGSSGARSMSEIVRLPRVARPMARTEEERRWAPIARRTARWFAVRQEGGGRR >cds.KYUSt_chr2.53380 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333023519:333028181:-1 gene:KYUSg_chr2.53380 transcript:KYUSt_chr2.53380 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWMGLAMGVAAGVALIVAFARCENSRAARRRQLAATVSTFSKMTVEDSRKLLPGDLYPSWVVFSTQQKLKWLNQELTKIWPFVNDAASELIKASVEPVLEQYRPVVFAALTFSKLTLGTVAPQFTGISIIESNEEGIVMELEMNWDANPSIILDVKTRLGVALPIQVKDIGFTGVFRLIFRPLVEDLPCFGAVCFSLRKKKKLDFKLKVIGGDISAIPGISAALEDTIKNAVEDSITWPVRKIIPIIPGDYSDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFATLYIRPLPDKTKRSKTINNDLNPIWNEHFEFIVEDADTQSVTVKIYDDDGIQESDLIGCVQVSLKDLQPGKVKDVWLKLVKDLDIQRDRKDRGQVHLELLYYPYNMKDETPNPFKQQFSMTSLERTMTSNGNGSGSTDYSRLSSRKRKEIILRGVLSVTVVSGEDLPAMDMNGKSDPYVVLSLKKTKTKYKTRVVTESLNPVWNQTFDFVVEDGLHDMLMLEVYDHDTFSRDYMGRCILTLTKVLIEEDYSDSFPLEGAKTGKLNLHLKWSPQSIFRDSGEAA >cds.KYUSt_chr7.5354 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31957792:31958750:-1 gene:KYUSg_chr7.5354 transcript:KYUSt_chr7.5354 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGAMGTLLPTLAGLLTDTYDLQKNTRGEIRFLKAELESMETALLKVSEAPLDQPPDLQVKLWAKEVRELSYEIEDNVDRFLVRLKSRSQKNPHSFMGFINKSIDLMTKAKIRHKIGTDIKDIRGRIKEVSERRDRYKVDSIVPKPSGVTTDSLRLSALYKEAKELIGMEEKTDDLVNRLREGEGASKQQLKIVSIVGFGGLGKTTLANVVYQKLKSRFDCGAFVSVSLNPNMGNIFKHMLHQLDKHNYFNINESTWGEAQLINELRDFLLNKRFDFGYHPCWKL >cds.KYUSt_chr2.1983 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11959206:11959643:1 gene:KYUSg_chr2.1983 transcript:KYUSt_chr2.1983 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRLRGAGTALAASSALAAAAAAAAAWPSPASASDSSPAALEAARQLVSRPDARPPPRSAQRAALAGSTAAEPLDVLVVGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGACARATAIPNPRPFRDSRFAR >cds.KYUSt_chr3.42687 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269892324:269893180:-1 gene:KYUSg_chr3.42687 transcript:KYUSt_chr3.42687 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATATPETSRKLYGYALSSNSVRIAALLNEKGLQYDLVAVDLDNKTPEFLAISPFGQIPAFQDGDDILFESRAISRHIATKYKHLGPDLLPTPSAKLETWLEVESHHFYPAVADLVYEIRVRPRLPGGAPPDPAVVDGLARKVADVLDVYEAHLAAGNRYLAGDVFTLADVNHMAQLLAVSKTPRAAELVAARPHVQAWWEDISARSAWKKTVAALPVSPP >cds.KYUSt_chr7.40249 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249953177:249954217:-1 gene:KYUSg_chr7.40249 transcript:KYUSt_chr7.40249 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRSVTGTVEGRRIAETVRRHRCAIGEAERHCAAETKHRRRASDSRVWGDVTSVPPPWSKSNASRGHPRTSKRHHDNNLAPEGYEPRVGETTRGIAIRHVQSFRTVPPRRKRRRERRHRQIRRGQDRRFLSKLDTKRRDRLTSTTTAETTAEGWTRATGAGSGRRAERSNLDGQQAGPTPAGRSKAKSAGRDLPRVEQHQQGREQPPPTPPGARGVAQAGAGASSRRGKEAAASSRRGRRPAQHGGGRRRPRRGRPSSSTPARGDLHASTRMSTTPGQGHAAPKPRWSSSSPAGGEPRGKRPARRGCKGEAAPPPPTPCRLLPGGALRRRQREERRLVAAELFA >cds.KYUSt_chr5.19197 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124314631:124315626:-1 gene:KYUSg_chr5.19197 transcript:KYUSt_chr5.19197 gene_biotype:protein_coding transcript_biotype:protein_coding MALLASTSPAVAKMLLRWNFKEGSGLGARGQGIVAPVQLCSTTSGIGYGERSYENGLPGTTPAVQEEWRRRCEELARALRHEEECCFKTLELLLRDMTRYDDARSRAETAEALAAIVKSMKMFQLKRTPGMWKATLPSSTVLYIVERVIKPKMAADAREWTPSWDADCHQWVRPWIPLVGHLPDGLFDAVERKITNHANEYAVISPWKDYMDQTQWDTFTRRHVLPWLTSLVRELMIAPPKQMDPSFHTLMQWAPLVPAKTVVFILEEELFFDRFEDALRHWLQSGAGKPSSKEAVAWCTGWKNLFTPDLLADEGVVARMDAVAALVDTEA >cds.KYUSt_chr5.30243 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191770511:191771524:1 gene:KYUSg_chr5.30243 transcript:KYUSt_chr5.30243 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGHDALWAKLWELELQLAAYKLMHAARCGEDDDNDGAGAPETACCRGRQYDAYMRRRDARRASVAAAEVQRRQRPGAGTRGRPTPLTVKCAARDTPQVRRSLPAVPTTPRKEHGALPLARSKTVSGGSGAPAARPQSHHRRNSVGGDLGDCGTPRPFLRRGSGTGGATAVHLRSPRVHDLPGSSPSPRRPRPQDQLATKPRHLRSVSEVPFHASAATESPSPRWAETPGPAHARVRKQWGSAENPPPVLFSAAATNPHMDLAKGLKKLLSFVRKSKSSSERQQHGGGGRDSGDGKPVKEWARAAACSGLDDGPFDRARLEGHRFPMTRPVGTSR >cds.KYUSt_chr4.40522 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250130620:250133349:1 gene:KYUSg_chr4.40522 transcript:KYUSt_chr4.40522 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWSCPSATRGRPALPPAPAAHPAAHAVHAAPRGPPGPLPPPPARLPVGFVPQVLPLHILGPADLDRGRAPAVGAARAAVSSDVVEVAETPPDLLRPAGRVSPAADRFNASGGWAGLGRAITTPSSTAPPPRGLAGTNEGWERPRSRPPRRAALPSRPGAITAPQRPPAPAWLQGRCYHRLFKGRRGHRAAECRDPVKCRRCFRSGHRSRYCNHPAEPEPSPTTASPAPARRRPSLPTAATHLPRPQDLRPQAPGVAARAADMPRLGNITRPAEVFAVIHATPAMNAEAATLLSRAAYAWFDRPPSRDARITLRRALASTVGALEDDVLVTDHFPEPFFVRFTFPHHRADAVARHDFDFEGYKVQVRPWRLEDNADHVNLQHHVRLCIEIVPMYAWNAASAQQAIGSSCSLDYIEDTCVRREYTKALCVWAWVANPNLVPRVGWVTLPGPDAAPGVRERGRRGLQRRCIIHLDIVEDLTLEETPMPSKGTWRWGIVDGEQFMRDRGERLEGAGSNRGRRDEEDDDRDRRGRNSSRGWGAAIRRSLSRSARAGERGDRGDCGDRERDRSSHRDVGRRRAAGEAAADVLPHVAAGQPLLLLGQACEEHAASPAAQAATPALVSDADSTDVDEEILMDGDGLDHDAVLRQGRAASRSATPGASRRRSRAVKSPQSLAASSSPTSVLPSPPASKGQRLSQLWIQDRLQVSEGTPVRPPGFDALTPPGSPAFHTPPSSPTQTPVLAHVSPLARTPPRRRPDCCSSEGLQLPSGLFAPSLRPLLSPPTSSPPQRPTNRRKTLAGVTIGRTIGFTLKQASARNKTGRRAAPVAKRAEAVLCRGLGIIKDGEVVTEQAMAEFAARFQGQVTQEVIDAMKALFNIAADDEDDVDLALIQGGGAAALDLEEVDGTADV >cds.KYUSt_chr3.38154 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240154701:240158265:1 gene:KYUSg_chr3.38154 transcript:KYUSt_chr3.38154 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPGSQNGRPRPAKPETIHGLVRAGDLAGVQRKLRENPALLNDKNPVMCQTPLHVAAGYNNTDIVTFLLNWKGADTVELEAKNMYGETPLHMAVKNSSCESAKLLLERGVQTGAKANNGMSPLHLAVWHALQTGDCSTVSVLLSYDVDCYAKDDEGKIPLNHIPGGAGNEKLLQLLTRHMEEQRKRKALTACHERQAMVEFEEAISQIVGLQELKMQLRRWARGMLFDEKRRAMGLGIATRRAPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIKDAEGGILFVDEAYRLIPTQKSDDKDYGLEALEEIMSVMDSGKLVVIFAGYCEPMKRVISSNDGFCRRVTKFFDFDDFTTTELAEIMHLKMNTPSDTSPLCGFKLHPGCSVQAVGELIAKETTEERRKQMNGGLVDTLLINARENLDLRLDFSCDDAETMITITLEDLEAGLRQVSRQRQLR >cds.KYUSt_chr5.5995 pep primary_assembly:MPB_Lper_Kyuss_1697:5:37237029:37237634:1 gene:KYUSg_chr5.5995 transcript:KYUSt_chr5.5995 gene_biotype:protein_coding transcript_biotype:protein_coding MADKTYVTYVAFEGHVIKTTVTSSGTAVKRWLREIRYMYRWVYHKLIVGLDVEWCPSFSRVQNPVALLQLCVGHRCLIFQLLHADYIPDALAMFLADPGFRFVGVGVQEDANRLDNDHRLDVATTVDLRGLAADGMHRPELGKAGLKGIASAVMGVNIEKPRNVTMGPWDDYELSEEQIQYACIDAFVSFEVGRKLLTGDY >cds.KYUSt_chr5.38652 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244615733:244619541:-1 gene:KYUSg_chr5.38652 transcript:KYUSt_chr5.38652 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKACSLVVSSLPRCSSSAPTMRGQPSLIPRVSRKWLGKPLMYGIGSLLVMPLRTLHGVGRMFGAARYLCNMTSVSSSLQIELVPCLQDNYAYILHDVDTGTVGVVDPSEAMPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSEKDKDRIPGIDITLSDGHTWMFAGHQVLVMETPGHTLGHLSFYFPGSGAIFTGDTLFSLSCGKLFEGTPEQMHSSLQKIVALPDVTKVYCGHEYTLVNDISTQI >cds.KYUSt_scaffold_6468.909 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4382884:4383429:-1 gene:KYUSg_scaffold_6468.909 transcript:KYUSt_scaffold_6468.909 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTLELESKVSAPRKFRATVMDWHNLAPKLAPHIVDSAHHVEGDGGIGSVRHYKCGSAVPFNSMKKKVEFLDVDKCECRYTIECDGVETSTWNIKMKPTANGGSVAKVECTSKGAEAKDMMLKAKDSAAEMFKTVEAYLIANPDAYN >cds.KYUSt_chr7.38374 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239125655:239127823:1 gene:KYUSg_chr7.38374 transcript:KYUSt_chr7.38374 gene_biotype:protein_coding transcript_biotype:protein_coding MGANLRAGRPDTALEVFDGMPRRDVVSWNTSMAAHARAGAYGRVAAAFLDLTRQGIRPDHASFSIVLSACARLEALELGRCVHGLALKASSTGSVFVGASLITMYANCGVFGYLERVFDGVGCPNVALWNALVSGLVMNHRVADARRVFDRMPARNVVSWTAMVKGYVRVHEMELALELFNSMPVKNHVSWCVMIGGLVHHHQFREAVELFNRLIRNGAEVTSAVLVMVVNAYAGLKSSEGGRCIHGFSVKSGFVLDLIIEASLVAMYCNTLDIEEARLEFDKMDRKHVTSWNSIISGYIYADKIDEARKLFDSMVDRDKVSCNSMINGYIRDGRIDDATDIYSKMPEKNVEAATALMSWFIDNGKLDKAQGMFYSMPKKDVMCCTTLLFGYMKEGYLDDALDLFHRMHKRTVVTYNVMIAGFLHQGKVTEAYKLFNESPAHDSVTWSCLITGLAQNGLASDALKLYKRMLLSNIGPSESVVSSLMSCFSHHSMMVHGQQLHATTIKLGLELCLLIQNSLISLYCKCGELITAQNIFDQMVKRDVVTWNTIIHGYAFNSLGQNAIEVFENMKSAQVDPDEITFLGVLSACSHMSLLDEAKHFFSAMTRDYGIMPNKMHYACMADLFCRRGMLEEAERLVKSMPFEPDSVIWTSLLSNCKLSGSDKLAEHAASQLIATNPSTKMPYLHLISVHGATNRWGVIESLRNQIQRTATEKEVGCSWS >cds.KYUSt_chr1.27860 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167996790:168000024:-1 gene:KYUSg_chr1.27860 transcript:KYUSt_chr1.27860 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTATTVLLLVLLACGGATAQVFPRPLFPRAPWNFTLPTGPGDTGGSGATTTGGGPSVPAMFVFGDSLTDNGNNNDLNSFAKANYLPYGIDFAGGPTGRFSNGYTMVDTIAELLGLPLLPSNTEASDADSGPGALQGVNYASAAAGILDNTGQNFVGRIPFNQQIKNFQTTLNQIKGKIGAGKLSSSLGRSIFYVGMGSNDYLNNYLMPNYNTRNQYNGDQYSTLLVQQYTKQLTSLYNLGARRFVIAGVGSMACIPNMRARSPTNMCSPDVDELIAPFNSKVKGMVNTLNVNLPRARFIYIDNFEMISEILRNPFNYGFSVVDRGCCGLGRNRGMITCLPLLRPCPNRSTYIFWDAFHPTDRVNVLLGKAAYSGGTDLVYPMNIQQLAAWQP >cds.KYUSt_chr4.34307 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210512726:210514894:-1 gene:KYUSg_chr4.34307 transcript:KYUSt_chr4.34307 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFDSGGGSKRRQTDEEGGRAQPGLEEDRITTLPEALRLHILALLPLKSAIRTGALSTQWRALWTRRWPDPASLELRVAAHDSLQPLMESLERRGRRRIDRFSLSFKMGELTADEFRRCLDYAAACAVADLQVHLSRGSNRILKFRLPRGNPHLQRLSVEGIGVGLPNPFLYESHPHSVLDAIRLHRVTISDHDVFSLVAACPLLRTLDLRYCKGLRRGNFPAGAYLKSVTFAECKGLAGVLFRKAPGLRSFRYSGGYLAADQIPTTIHDLYLCFGGPDRRNCLGGTTYDADGRLCRLRRSCLDALIDAYNLTVITLCSSALRRVSGKVRAKSIHGNAALCRLQNLREVQLLMFAMFEENLHDIMDFLMTCCSPRLERLFVQLPTRSGQYKPQEEPSDSEEDGSEEDELEEELSEEHECKMHAWDKHLCYGEESEKDQSGQTESEEEVSEENHSEEDESEEEVSEENHFKVDESEDDGSEEDELEEELSEGSEYIHFKVDESEDDGSEEDEPEGEDSGEDQSEEEGSEESVEEPLGNGCENLIFLKMMNFMGRHNEMRLLSYLLKRSPSLNQLILFTPGDHPKGLHKDHLVTSDFLETKLLPLEKASLNPQIILSEPDTTAVQPLHWEIFVKV >cds.KYUSt_contig_824.32 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:193482:195689:1 gene:KYUSg_contig_824.32 transcript:KYUSt_contig_824.32 gene_biotype:protein_coding transcript_biotype:protein_coding MATITPLLLPIILTLLLPNTADALRFDYATLTLATLKLLGDAHLNNNTIRLTRDLPVPTSAAGRALYSSPVRLLAGFSTHFAFHVATLNKGSVGGGLAFVIAPDAASLGDAGAYIGLSPAADVAVEFDTLMDVQFGDPNANHVGLDLGSMASAAAADLALAGVDLTSGTTVYAWIDYSAAANLIEVFVSYSAKRPMAPVLSSPVDLAAYVKDTAFVGFSASTQGSTEIHAIEWWSFSTPAPPPSTSPHLPPPAALVPPPASTINPTLPTSPPQLPGVTTAVSAPPASSVAAASAPANSATRKNAAARPHPHQHAAVAGAATAGAVVAVSFAGIALWALARRAKARRLDASAALATKRDSLASAAAMARSPREFTYKELSAATRGFDAARVIGNGAFGVVYKGIVPDTGAMVAVKRCTNASAGGAQARAEFLSELSIIAGLRHRNLLRLQGWCYEKGEILLVYDYMRNGSLDRALFDASSSPALPWRHRREILAGVASALAYLHHECDRRVIHRDVKSSNVMLDEAYRARLGDFGLARQAEHGASPDATAAAGTMGYLAPEYMLTGRATEATDVFSFGALVLEVACGRRPIGTEGRCNNLVEWVWSLHGEGRVLDAVDPRLGGEYDEGEMRRVLLVGLACSSPEPALRPGMRTVVQILSGEADPPFVPAARPSMSFSANHHLLLSLQDSVSDYNALALNLSDDSSDDDSMSSSSLTSTLRKGGHDIGFSSTPGDAR >cds.KYUSt_chr2.38537 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238941043:238943159:-1 gene:KYUSg_chr2.38537 transcript:KYUSt_chr2.38537 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIENPVHRQVTFCKRRMSLLKKANELSVLCDADIGVMVFSPHGKIYNTTRGTTLKARNQLTSKRFKMQIMSREIEVLRNKIIQQSGILETGSNMVTPQFPFQRTMESGHYF >cds.KYUSt_chr4.12664 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77864319:77867360:-1 gene:KYUSg_chr4.12664 transcript:KYUSt_chr4.12664 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTQGYNIDVEPLFRQYGRPTGQNTTLDDDAEGDGDADGDGLGLGDEEVVGHATDGNKKHRHGSLHHCLKPIELHTSLYSALLPDIQEKEMAVLRRLYNKSSRNAAAAGSASLILLLLLVLSLSGGGARGRRGGIFLGSGVGAGDAEEGICEEELLALDGRAARCRYLQSSHPPCGPQGYVDYLVLFYCACGDGQMELWWSPWLGGATIGLWLLLLFYLLGDTASEYFCASLEGLSAALRLPPAVAGVTLLSLGNGAPDVLSSVVAFASGGAGDGAGDVGLSGALGGALFVSTVVAGLVSIVSARRRGGVEDAVVIERRGFVRDVGFLLVALCYLLAILLAGNVTVWSAAAFLSLYAVYVLAVSASHCCPCAATSAADNSTSSADHSDDLAAPLLPVVSSKNNKTQQLAAFARQLLAAPLYLPRRLTIPDIAAHRWSRANAVTSALLAPLLLAAVTCPLTPTFLLGAALTGALLAIAAASTTDAAAPPRGRYARLVWLVGGFLMSVLWSYVLARELVSLLVSTGIIAGIPASVLGVTVLAWGNSLGDLVSDVAMATQEGKAGAQTAVAGCYAGPAFNMVVGLGLSMAIAAAGTYPEPYEVPVQASTYVTVGFLVAGLAWALVVLPARGMRLDAVLGGGLLALYLCFLAVRLADAVGVLSLNSLSLAKNTVT >cds.KYUSt_chr5.22076 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144026658:144031970:-1 gene:KYUSg_chr5.22076 transcript:KYUSt_chr5.22076 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFRDSRRDSATSSSSNGFSAPAAVSPAPSALPSPFPDLGVPLSAAELREAAYEVLVAASRTTGGRPLTYIPQAAPASPAASASSASSATSSSPSLQRSLTSAAASKMKKALGLKSSASSKGGSPGSGGGGAKAAPRRPATVGELMRVQMRVSEPADARIRRGLLRIAAGQLGRRAEAMVLPLEFLQQFKSSDFPDPQEHEAWQARNLKLIEAGLLVHPFVPLNKSDSSAQRLRQIIRGAYDRPLETGKNSESMQVLRTAVMSLAGRSHDGTSDGCHWADGFPLNLHLYQMLLEACFDSDDSTVVDEIDEVLELLKKTWGILGISQMLHNLCFAWALFNHFVMSGQVDIELLSAAENQLVEVAKDAKTTKDPNYCKVLSSTLSSIMGWTEKRLLAYHETFGASNIESMQGIVSIGVSAAKVLVEDISHEYRRRRKEVTDVARSRIETYIRSSLRTAFAQRMEEADSKRSSRNPTPVMSILAKDIGDLAIKEKNMYSPILKTWHPLASGVAVATLHSCFGNELKQFIAGLTELTPDTVQVLKSADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLAKVWIKERVDRLKGWVDRNLKQETWNPGANRDNFAPSSVEMLRIIGETLDAFFQLPIPMHPALLPDLTAGLDRSLQLYVSKAKSGCGSRNTFLPELPPLTRCEVGSKLLFKKKEKPQNPQHRVSQNGSTNGTDGLGLPQLCVRLNTLQYIRSELENLEKKIKTCLRNVESAQADITDGLDVKFELCQAACQEGIQHLCETTAYKVTFYDLGHILWDILYVGDTASSRVELLLRELDPILETISSTVHIKVRNRAITALMKATFDGFLLVLLAGGPLRAFTSEDSRIIEDDYRSLRDLFLADGDGLPEELVDKASSQVKNVLPLLQADSESLIGRFKRMMAASASKGKLPLPPTTMQWSPNEPNTVLRVLCYRHDEAATRFLKKTYNLPKKL >cds.KYUSt_chr1.9106 pep primary_assembly:MPB_Lper_Kyuss_1697:1:55781778:55785420:1 gene:KYUSg_chr1.9106 transcript:KYUSt_chr1.9106 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSLRRTLSSFGSSTGGGAMAEESHCPLRWESTGDQWWYATPVDWAAASGHYDVVRELLRLDANLLIKLTSLRRIRRLESVWDDDMRFADAAANRAAVARCLLRDCESRTAARGNRLIRAGYGGWLLYTAAAAGDAAFARELLARQPLLVFGEGEYGVTDILYAAARSRRPELFRMLLNAVLSPPREDGADNGGGYMFRREMMNRAMHAAARGGDLEILRELLDGCSDAAAFRDAQGATILHAAAARGQVEVVKDLVVAFDIANSIDDQGNTALHIAAFRGHLPVVEVLIAASPSLISATNEVGDTFLHMALTGFRTLGFRRLDRQMELTKRLVGGSIMNVSSVINVQNDDGRTVLHLAVVGNLHSNVVELLMTAPSIDLNIRDNNGMTPLDLLKKQPQTASSEILIKELMLAGGISNSRDHETRSAIASQLKMHQIVGSPGTSFRISDAEIFLHAGIDASGISERSVSFSSFDRTEPEIQESRLKRMGSMRSAANHLKVLLRWPLRKGKKSAGGPNELDDDASSVDSVKSWSHMETTPTPLRQRYSKVSSLFGNKRTFATKGTPSPSTKMRSVASFTQGVMQPESPLASASWSSSSLVDKIEAVHLDKDEPSPSPSGVTRHTPKKYGSLNSRLMNQYLGTGAQGLTLDDSTSGQRSSRMSRQSLLSVA >cds.KYUSt_chr5.16116 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103783487:103786799:-1 gene:KYUSg_chr5.16116 transcript:KYUSt_chr5.16116 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSREGGREELEEAEGWFPWKHDDFLQEQLSGAAGVSMHTKQGWKGVNQDAMAACQISKDCLPAIMKLPNLEVLSLVGCVGIDDDALASLENECSKSLQVLDMSNCQNVTDEGVSSVVKAMPNLLELNLSYCCHVTPSMGKCFQMIPKLQTLKLEGCKFMTDGLKYIGISCASLRELSLSKCSGLTDTDLSFVVSRLKSLLKLDITCNRNITDASLAAITSSCPSLISLKMESCSHVSSEGLRMIGKRCSQLEELDITDSDLDDEGLKALSGCSKLSSLKIGICMKISDEGLMHIGKSCPELRDIDLYRSGAISDVGVTQIAQGCPMLESINLSYCTEITDLSLAALSKCAKLNTLEIRGCPSVSSVGLSEIATGCRLLAKLDIKKCFAINDVGMLFLSQFSHGLRQINLSYCSVTDIGLLSLSSICGLQSMTIVHLAGITPNGLMAALMVCGGLKKVKLHTAFRSMMPPHMLNVVEARGCIFQWIDKPFQVEQERCDIWKQQSQDVLVPLPSYRII >cds.KYUSt_chr2.42362 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263876613:263882531:1 gene:KYUSg_chr2.42362 transcript:KYUSt_chr2.42362 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGLELADAVSRRTPSGEPPMLAANLQNEDGGAQPTYARDTDEDRGGEDKVEAHNTTGFTSTRKCELLPTRCQDRQAFLSDLGRSPCQRETSPLLSERRPGHSRTLCVLLVIPPSTSTIPHSKTSVIMWRGSTDRSRWRILHLLIPIDSHPTLVSARCCLQLLALALACIEMEIDVCLSLGPLYRRSARLVDRVDLLKWFGRLNLFISIRHSGCYVHDIIHEDFKSVGEYNHEVHKTSSKLRFCGKEPTDAEKMEKILSTMLPSDRILPRDYQCPVGSQPLTEVHMNVAKGRKFDGGFKGKPSNFNGKRKRNRNRKSRNSDRGKGTAKPSLTKLSFATSVDATRTPLTSASPQHLAIICSNPMAATHLEGKGFKPTSTFIQMAPMELVVRETFLLDLAPP >cds.KYUSt_chr3.14908 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90721578:90723140:1 gene:KYUSg_chr3.14908 transcript:KYUSt_chr3.14908 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSPKAAVSESDLRIINAASNVDHSQANSQANAVLGPVAIFWDIENCPVPSDVRPDDVAGYIRTAIRMHPFVKGAVTMLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNRPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGAGSVPPRSLGHRAPDPSFCLNSVTVGKFPEIQTEEEAIVYMGTSRTEYSGRTSNQTYCYNSSQMTGELCKAFYTVPDGNCGTSTSLRSHNVSCGLNEVPVVDQGFTGEQSWWVRPGDLQGLKGQLIRLFELSGGSVPLFRVPSEYLKLFGRHLYVAEYGAVKLVHIFEKLSESFVVIGKGQRKMICLRNSGDRNLKKFSSTPMILKNDKRGNATLEVGTTGTCRQVSSSSDDFSEDEQNISPDAIGAYVFDSHLDNCSKEIEALLVCYLHYPIPLCQFEDMYEQRYKKSLDYQSFGVDGLEELLCKLNDVVELTVDEDDTKFIRAKLGKR >cds.KYUSt_chr7.39145 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243598449:243603602:-1 gene:KYUSg_chr7.39145 transcript:KYUSt_chr7.39145 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAMSMEKPSGGTSPFRRRAGTETPVPQILASRWRRLWKVSVGFVERIRVFAMEALSRRKGRNCIVMVGTYETLEPTRQQQPIQEVRQILDPHLHHSCGVVSGPDEKKPMRSATISSLMEQITKQGADTSSTLIAVCDSIKAMANTSGQVVENIKGLNKRAPSIDDSLRPLHQSLEEVGTRLAILEAYVRP >cds.KYUSt_chr4.28618 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179854791:179857160:-1 gene:KYUSg_chr4.28618 transcript:KYUSt_chr4.28618 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGGSVLTTMKFAVRPIAKVFTMCFMGFLMATNYIGILNTNGRKLLNGLVFSLLLPCLIFTQLGRAITVQKVIDWWYIPVNIVLGTVSASLVGLLVAIIVRPPRPYFKFTIVHIAIGNNGNMPLVLIAALCRDPSNPFGDPVKCKKDGNAYVSFGQWVGAIILYSYVFRMLAPPPGETFDGRKEKKLPATAAADGNAPEQVPLLTPRPPEHAVVDASKCSKAMDILHILIEKFKLKQVMKPPVIASALAMAIGATPSLKHFILENDAPLFFFTDACMILGEAMIPCILLALGGNLVDGPGPGSKKLGLRTTAAIIIGRLVLVPPAGLGVVTMAERLGLFPKGDTMFKFVLLLQHSMPTSVLSGAVASLRGCGEESAAVLFWMYVCAVFSVAGWMVLYIRMLF >cds.KYUSt_chr7.29044 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180646221:180656856:1 gene:KYUSg_chr7.29044 transcript:KYUSt_chr7.29044 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAQAQLMQMMTQFIQTTQNNNNNPPPPPPPPPPPPPPPLPPVDRLARFLRLRPAKFSSATEPIEADDWLRSVNKDLVTCECIEAEKIRFTAHLLEGPAARWWETYQLTHPIDDLDWETFKEGFRTAHISSGIMNLKRDEFRSLRQGGRTLKEYMDDFCALARYAPKDIDTDVKRKEKFLNGLKGELKIPLYVAYAPNYQSLLDQAITLDNNIKKEENRKRKFSNSKNHTEPFHNKHHSSEGSGSHNSHKHNGHFGKGNGNNYNGHRHNEGFKGDHSNGNHNGNNGRHNGGNGHHNGNNGQHHHNSRDLSHITCFKCKKTGHFANDCPENKPVDATKPNPFQKGQANHLNVEEVMSEPDAVMGLDPTDHVSDPAEQIVKEVKVILVIALCTMRTLLQAGGGGGGSNGRGGGGGGNGGSGGLAPAANDLVNNLTTPPPSSNNDSPAPPDSSSAPPPKPSSPPPSPSSPPPSPPLSPPPSPEASSPPPPEASPPPPPEASSPPPPEASSPPPPEAAFAPPPEALPPPPPSPATTAPPPSPTANPPPPPESTASPPSPIEAPPPPSAPSPEWQAKPPSSKASPPPPAAESPASTPVDAAPPPRQSPGVYEPPPSRQTNSSATAHSPPASALTPPVADRSVPAPTPPSSGGLSSGATAGVAVVAVIAFVCFAGVFVCLTKRRKRKYSDQYYSGFGAPRYMPQHMSGEAPFLHVPSGPGSMNFSMGGNQPGMSPTASQAYGHQQQQQQRGFVSGNYSSTMGSQGPARSVATSGDLSVGNSKSFSFDELYQITGGFARDKLLGEGGFGCVFKGTLGDGREVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISEDHRLLVYDFVANDTMHHNLHGKGRPVMDWPTRVKIAAGSARGLAYLHEDCHPRIIHRDIKSSNILLDDNFEAQVADFGLARLAENDVTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPVDSTRPLGDESLVEWARPLLNRAIDEQEFEELVDPRLAGDFDDVEMFRVIEAAAACIRHSAARRPKMGQVVRILDSLTLNDVDLTNGVQPGKSQMFNVANTADIKQFQRMAFGSQDFSSEYTQSKASMSGRRDL >cds.KYUSt_contig_1989.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:57469:64802:-1 gene:KYUSg_contig_1989.12 transcript:KYUSt_contig_1989.12 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAAAVPGARPLPHAPPPRCSPRARRSLCPRAAAEPAASSSTAAAPVYAPTPRDRPLRTPHSGYHYDGTPRPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMSALDRAVHGSRFTGVGAQILGADDKYMCQFSEKSNNFWGSRHELILGNTFIPNKDSTPPERELPPQEFSNRVLEGYQVTPTWHQGSIRDDGRSNYVPNVQTARWEYSTRPVYGWGDVTSKQKSTAGWLAAFPFFEPHWQICMASGLSTGWIEWDGERFEFENAPSYSEKNWGGGFPRKWYWIQCNVFPGASGEVAVTAAGGLRKIGLGDTYESPSLIGIHHEGKFYEFVPWTGTVSWDIAPWGHWKMTGENKNHLVEIEATTNEPGTALRAPTMESGLVPACKDTCYGDLQVQMWEKRDDGSKGKMILDATSNMAAVEVGGGPWFNGWKGTTASNELVNNIVGTQIDVESLFPIPILKPPGL >cds.KYUSt_chr1.7623 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46811650:46813092:-1 gene:KYUSg_chr1.7623 transcript:KYUSt_chr1.7623 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESRGPESNPIRRGAVSEDDPAKSPPRPLASPARRSKEETSESDSGSARRRKRKRVTDSRDSASSGSDSSAYSSPLREPKAPVVPLIEEDGSVSYFFTSDKAAVHKYYNDVEKYDAKIDGKVMNHCTGIVVEVDAVKNSAVILTSAWIICSKKPLDDWKNKEYDPEAKAKSLNKPCTLFIFPSSHSNISDFKIIEFYLFIRSY >cds.KYUSt_chr4.10278 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62248504:62253023:-1 gene:KYUSg_chr4.10278 transcript:KYUSt_chr4.10278 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTTTTGSSSGAGASGSRSFGSGDMKAADGEGYVRADTLDLTKLDEQLEKSRSRVWLDHQRGASPGELLEWEIDLAKLDIDKQVASGTFGVVYRGTYDGEDVAVKVLDWGQEGQETKHREAFEKEVAVWQKLDHPNVTKFVGASMGTSQLKVPAKKGGGGAPGQRCCVVVVEYQHGGTLKTLLFQHRDKKLPYKKVVQLALDMARGLSYLHSKKIVHRDVKAENMLLDRKKTVKIADFGVARVEAQDECNMTGQTGTLGYMAPEVLEGLPYDHKCDVYSFGVVLWEIYCCTLAYANYSIADISYHVVKLGIRPDIPRCCPKELSEIMARCWHADPDRRPEMSEVVALLEKIDTTKGKSMTPAVPDHIGQGCSCFGFNYRSKDQDSFPCCARRSGNRVEDSAISLLPISREWEPGRGAGMSNLTETNLQTSAEKEQEMICSLPVKTGYSGENPSPGNYGVAK >cds.KYUSt_chr7.24141 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150425663:150426394:-1 gene:KYUSg_chr7.24141 transcript:KYUSt_chr7.24141 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRSASVPSSPCSGKTNVEGKLQSLNSTIPSPSSTLEMMLDGFRRLGDIYDCIDELTGLPRSQILLCKPHQRIVVELELERSLALLDLCDTMQVIFSELKASVQDMQLIIKRGDDVALQAKIQSWFRQTKKAQKQFKKISKKSSSTDQESCRVVNLLVEAREAAVMMIESSLELLSKQIGMPNSSNWSLVSKAFQKKRVTCEEEQLQVLELDIIDLESRVKTLFRRLIQSRVSLLNTLSL >cds.KYUSt_chr1.17657 pep primary_assembly:MPB_Lper_Kyuss_1697:1:102795301:102805586:-1 gene:KYUSg_chr1.17657 transcript:KYUSt_chr1.17657 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGQLVCYWWQYLVVNPSHKIVGAGTPQVAVCLGLVRTGLKPDHFISAVFELSMYNHSNGTYCGRKASDVFDVKKARSDRKCLIPLAELLNSSEFLVDDSCIFGVKILAANFHSLKMKHTPLVHQNRTTTTQNLFLRKEGFIKGTYTWSMTDFIDLALKPSVLSPVFEVGGYKWNIKMYPRGNECSTRSMSLYLHLHSPDELPRESGRMIELTLTILNQKYIGERYSYKRQGRLVFVGMNVWGWPNFIPRKTLKSKSGGYLVGSNCIVKADISIIGSSSYFISAVFELSIYNHSNGTSCGRKASYVFHVKNLQSDRKCLIPLAELLNSCEFLVDDSCVFGVKILDAKVHSLKMKPSPVVLQNKPITTQNLFLHKEAFIEGTYTWNMTDFLDLALKPSVLSPGFEVGGYKWHIKMYPRGNEVSTRSMSLYLYLQDELPRESGPMIELTLTILNQIYVGECYNYKLQGRFVFASMTSYGWSNFIPHKTLKSKSGGYLVGSNCIVKADISIIGLSSEASADMPHAHTIERRSIWHPTKPNKSIHTAQVSPRAKAKPVSPVPSSPARQPPHPRFPIRRASPIPTATAMPAAAAPAPAPPAPSLDARTGGRVLRRAMGHLLHPASLPPLLLAALLLLLFRSALFAGTLRLSSFADRDPALRSLLLRLSPPAPPSPPPPQHNLPRRRSPFTSSSSLSDDDFLVGALDPASSPASSARNSSYHSVFFTSFSTPTPKPYHIPLAQQLPASGSPFFLAVHNETSSRKPASPRGGELRLLDLTRRDAAAIINLLALLSAAHVLAILGYITVHSIALGTVFASVAGHHLPERRRGFFLSGVAMGTRRLTGFALLRWATRDAVVQMLCLWFFADVHDQAQLFRLFVVAKLMPFSASANPWLAAAVSGPELDGFFIAWALLDAVVSVLFTVVPWVVAMDRDPRPPGRNAVKEGCYLVSLMATDATLIKCWETVVCGSMGRLIMVTFGGKVLGGFLHSFAEVYFMVVWLMFYFTARCKESRLGGRQFGLEDVAAALS >cds.KYUSt_chr2.50655 pep primary_assembly:MPB_Lper_Kyuss_1697:2:316648590:316650210:1 gene:KYUSg_chr2.50655 transcript:KYUSt_chr2.50655 gene_biotype:protein_coding transcript_biotype:protein_coding MKEADRESQGSVVEHMRTRESEPGLACIQPLTTAHAPPLLQVDDSVRVSIPNRDRPPAQAAAGWHQNCGLLCAAGRCALLNQTAQSCVRGSQNCGRVAQCTCLVADLVLPYSEVTPSDHAIVIRRSAARGFPRPTGPVPSPAFQCTDPIPISRLISSPSRPPTLPDFPGVCVDRNPTATARRSWLHPRPWRLRPWLRRGCPRWCRLHFCSVGDILAIPANILAVATASSLIHVHSCGKRLHPRGVVHIPEAHRRGVSDILRGSATSPRRRPPLTLHSCGLGY >cds.KYUSt_chr3.35994 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226240496:226242943:1 gene:KYUSg_chr3.35994 transcript:KYUSt_chr3.35994 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGRAMRAEQPRPHWRERQEQRSPDLAVPRPPRPRLAGPARVAVVYYLARNGHLEHPHFMEVALASPEGLYLRDVIDRLDALRGKGMSRMYSWASKRTYRNGFVWHDLTDDDYVHPVAGREYVLKGTERLSAPTIQQQLPLLDAAAAASSCSSGSQETAASSSSGWEQRGQRKGAGAGEYRVYRAEDRAAAAADAATQTDDGCRRGRQRRAQEELGREETSPPTASTSPDTLETLIKADGRVLAAVTTTGGGGSRARASSVLMQLISCGSVSVKGGLATPVMPRGVHAHYRPRPPRAPAHAAAVTLTPTQTRRQKVVEDKEYFSGSLVETQRSAAADDACQDLAVLRRSSSYNADRALKAEEAVDQHDRCIPRRPKSKRDGYQYQAISGGAHGSKRVGG >cds.KYUSt_chr4.34403 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211184638:211187760:1 gene:KYUSg_chr4.34403 transcript:KYUSt_chr4.34403 gene_biotype:protein_coding transcript_biotype:protein_coding SPGLTVLVLLFQMPINPLREIHKDSHHWTICVLVSRMWHYRGGTDEGPIKHTDLVLLDTDGTHMYGQLPPATAERLKDVLQEGKVFVIRKFFCNASRTSFRPVESPFMVQFTKYTTVEERPGLETEASNTRTIVLTNLLGAELRLVLWGDRAVEFNADLVCAMGDKEPVIGIFVGTLTKNHRGVTGLSGSSACRWYIDEDIPDINSFRIGLGEQFTPLSAYVPTVSDALPACVYAEPVEMTMKELNDVDPFVDMEKRFICSVTVDFITADQRWWFASCKLCHKSARHDGYQFHCSGEACGSVKADLAYCVSFFASDATGGAEFVMFDRVGVAAVGKHLMTLMHQRYPGHSTVDEIVAVARHDTGIPAEISRLVSQKYKLLVSISKKWKTGNNVDEPLAFQVCRIIETYKPELPPLGFAPGLVSTALLSSAGGSGTRTPPLGPVMSPGLHTPHASGSPHNQAFHAGYGSHVAQALVDDAAAAAEDKEDNVVLSKGAAVGVKEDGVVLPKSKRGASGNKPSGAIKKPKM >cds.KYUSt_chr3.30377 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190333024:190337642:-1 gene:KYUSg_chr3.30377 transcript:KYUSt_chr3.30377 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSAARRPREAQENPAAAEAPPPAARSRRAPRRKAAAGDVREAAEEVRKAEEEIKPAPPPAARRLRAPRRKAAGGVREAADAAEEERKAEEEIKPAPPPAVRRLRAPRWKAAGGVREAADAADAAEEERKAEEEIKPAPPPAVTRLRAPRRKAEEEIKPAPPPAARRGRAPRRKAAGRVPEAAATAEEETKAEEEIKPAPPPAARRGSAPRRKAAGRVPEAAAAAEEEIKPAEVSAEGAASEDPMDDQNSADRDTSDGYPIPDTVQVANSPRYTVERRLGKGGFGQVYLGRRNAGNGAGAVEVALKFEHKTSKGCHHGPPSEWKVYEILGGIHGVPRVHYRGLQGDYFVMVMDMLGVSLWDAYNNGSHTMSVEMVACIAIEAISILEKVHSKGYVHGDVKPENFLLGPPGTPEEKRLFLVDLGLASKWKDRCGHVDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGHLPWQGYQGENKGFLVCKKKMSTSPESICTFCPEPFKEFLDYVVNLKFEEEPNYAKCISLFDSIVGPNPDIRPINTDGAQKLIYQVGQKRGRISSEEEIDEQPKKKRRLGMPATQWISVYNARRCMKQRYHYNVADSRLAPHIEKGYEDGLYISCISSCQNLWALIMDAGTGFSAQVYELSPHFLNKEWIMDQWDRNYYISALAGASNGSSLVVMSKGTPYTQQSYKVSGTFPFKWINKKWRDGFYVTSMASAGNKWAVIMSRHAGFSRQVVELDFLYPSEGIHKRWDDGYRITSTAATSDQTALVLSVPRRWPSDEAQETLRTSAFPSHHVKEKWSKHLYLASVCYGRTVS >cds.KYUSt_chr3.33089 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207714944:207715219:1 gene:KYUSg_chr3.33089 transcript:KYUSt_chr3.33089 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVAEALTTETEEAGQNTDGQRRRSCEWKRSTGGSAVAGRGKGMNQLVARWSTTCLGTPAGAYVQRASRHARANKVQALRDLENGTSTL >cds.KYUSt_chr5.37339 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236105107:236105556:-1 gene:KYUSg_chr5.37339 transcript:KYUSt_chr5.37339 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSGASAAGDGLRWLESAGESSSERSYRDVAATPPPPPLAAPAPAAVGGAVLASRSPARERVPASPASARARRSTDTGPPPKSTRTAFNAPPPEPSLPRLATPHAEPPPPQRPPREVTSFASAASTPATACVIAPTGSNAAPASS >cds.KYUSt_chr4.45538 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281824084:281830463:-1 gene:KYUSg_chr4.45538 transcript:KYUSt_chr4.45538 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSSDSPASRVTRWRSTGIVALRDARLKVVPNEVLQVGKSLRTLDLTNNKIVEIPQEIGTIVNMQRLVLTGNLIENIPANIGYLRNLKILTLDRNRITILPEELGSLSNLQQLTLSQNSLLYLPKSVGDLCNMLLLNISDNKLNALPESIGGCKSLEELQANGNSLEDVPASICNLICLKSLSLNGNKICQLPQNILKDCKALQNLSLHDNPISMDHFQQMDGFEQFEARRRKKFDKQIDSNVMMSSTALDEGIDLR >cds.KYUSt_chr6.30702 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194689345:194699342:1 gene:KYUSg_chr6.30702 transcript:KYUSt_chr6.30702 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTKQGGWITLPFIAGSMLGLGLAINGTSSNLQIYLIKEYNVESIDAAQIANIVRGSLNFLPLAGAILSDSYFGYFPVILAGGAINVLAFVLFTLSAALPSLRPPPCPTPSTAACKHGTPGQLAVLYAAVLLLAIGTGGTRFNVATMGADQFSSSRDQDTFFNWYFVFLYTSFLIGDTAIVYLQDGVSWALGFGVCLAATGFSLALLLAGARYYRKPAPKGSPYAELARVVVAAVRKGRADVGSARYYVGDDGSIADSAGEGAPSKRLRFLNRAAMITASDNAMETPPGGRRTGSWRLCTVQQVEDLKSLLGVLPVWSAGITLSVSIGVMIGMIVLQALAMDRSIGDHFKIPAGSITVCTLVAFIAVTPFLDRVVFPLWRRIAGTPPSALQRVGLGHVVNIAGMVVAALVERRRLGIVRELHGAEEATGWVTPMSVLWLVLPLAVVGIGEALHFPGNMAFYYMEFPKSLRSLATAMAPLLIAMGFYLGTVYVDVVRRVTPWLPGNINQGRLDNVYWTLAVVVTINFGYFLVCARRYKYQDQSRVGLVHAGDPVWKGTKGCEAAWSTFNWIPDRQEMTSGELQNQARTNFPLSDVTERTSPATGDNSIGRLLLDVDGTLQVLDIAVEISFLEAQHIGAAMVKRGNVIVASCTSLCEDAEADYYENVSVIDRCSGSSTGYCRANIVTPGYDGSSSTGSGTSSA >cds.KYUSt_chr4.23836 pep primary_assembly:MPB_Lper_Kyuss_1697:4:150143617:150148719:-1 gene:KYUSg_chr4.23836 transcript:KYUSt_chr4.23836 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGSSSARTVAACVIGGIVLGASVVALHLGGGSATPTLPPVEALRRRFRRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDDEITANKGPPVTPLYERMKMVRAVKWVDDVIPDAPYAITEDFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERPASDNQNHSSLQRQFSHGHGQNIDHSGSGSGTKISHFLPTSRRIVQFSNSRSPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACQYVDEVIIGAPWDISKDMITTFNISLVVHGTIAENMDYTEGDSNPYAVPIALGIYHKLESPLDITTSTIIRRIVSNHEAYQKRNEKKEASEKKYYDSKSFVNGG >cds.KYUSt_chr3.27649 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172670330:172673104:-1 gene:KYUSg_chr3.27649 transcript:KYUSt_chr3.27649 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLFLLPVLLLLFATAHGYPSICSNATCGDLTIAYPFWLNSSTSSSCGYSGLGLACEGNTTLILLDQSHHRYRVSGIDYDTHTVSLVGDAEAFNTTSCPLLHFNLTIDTSSPLHLTSSDSNITFFYNCTKNASWPSAVELRGCPDYSKSSYVFTGDGYTGEAYEYGCEATVVAPVLDVHKESMGDVPLDRRYADMLMAGFELNYSPHSGQCGICESSGGWCGYQHNQTNGSLGFTCFCNGGPTTDKCEVE >cds.KYUSt_chr6.29326 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185808455:185810809:-1 gene:KYUSg_chr6.29326 transcript:KYUSt_chr6.29326 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVQMGGAAAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRIVPDKANKTLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTLDTSGERLGRGTKMTLHLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWTDKTTEKEISDDEDEDDAAAADKKEGDVEEVDDDKSDKKKKKKVKEVSHEWAQINKQKPIWLRKPEEISKEEYASFYKSITNDWEDHLAVKHFSVEGQLEFKAVLFVPRRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIELFLDIAENKEDYAKFYEAFSKNLKLGVHEDSQNRAKLADLLRYHSTKSGDDLTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKRGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDEETEEEKKRREEKKAAFEGLCKTIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGAYMSSKKTMEINPENGIMEELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLEEPNTFAARIHRMLKLGLNIDDVGAEEEDADMPALEEEGAEESKMEEVD >cds.KYUSt_chr3.41145 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259677582:259688349:1 gene:KYUSg_chr3.41145 transcript:KYUSt_chr3.41145 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAAVEAVEVGDGQVLERNGGQRRKAGQGWKCMPFIIGTVAFESVGSIGVAANLTVYLVKRFNMGQLSAANITNIFYGTFNFAPLLGAFISDAYLGRFRTLAYGSFFTFLGMLGLTLSASVPALKPPGCNQTTQFGEHCNSPSRLQLSVLYLSLGFITIGGGAIKPCSLPFGVDQFDITDEKGRKGLSSYYNWFLNKGAIVRDSDINDDGSARNSWELSSIQQIEEAEESIEIQTKVEVDAEINIDRDALDKDMAAAAATVETLEEMEVLEKGVAGGDGSSRRRPRGWKTMPFIIATETFEKVGSIGVAANLTVYLVKRYNVGQLTAANITNIFYGTLNFAPLLGAFVSDAYLGRFRTLAYGSFFSLLGMLGLTLSASLPALKPPGCNQTTQVGVHCNGPSTLQLGVLYLSLGFLTIGGGAIRPCSLPFGVDQFDMTDEKSRKGLHSYYNWYYGTTTAALVFSLTILVYIQNDISWPIGFGIPTFFMFMAIIVLFMGTRLYVHVSPEGSIFTGIVQVLAASIRKRRLKLPYPHDINQQELLLYNPPTSGNRIFRLPLTSQFRFLNKGAIVRDGDINDDGSARNLWELCSIQQIEEVKCLIRIVPVCFSGIICFVALAQQFTYVILQTLTMDCHLGPHFEIPAGTVISISFVALTLFIPIYDRLLVPMARRFTGMESGITLLQRQGVGLVISPISMVVAGIVERKRRNSALSNGGISPMSVFWLAPQLVLMGIAEAFNAVGQIEFYNKQFPEHMLTLAGSLFFVTLAGANYLSTALANITKKVTSRDGHGSWLTEDINLSKLDYYFYFIALVGVLNLFYFLICAHYYQYKAMSLHAEEPIKKNTKLEADADINMDRDAHNK >cds.KYUSt_chr5.17797 pep primary_assembly:MPB_Lper_Kyuss_1697:5:114870842:114873257:-1 gene:KYUSg_chr5.17797 transcript:KYUSt_chr5.17797 gene_biotype:protein_coding transcript_biotype:protein_coding MISLGSNFVVAFLLGVTGRGEREGVEIPCSADGRIDGHLPLRLPVGRRESGEAFDLCPRPVDRVRLPGGAGRVVVVASLWNKVAGASSPSRRCLVWRSREASGGWCSRICRFGELLCSWPACRDGGGGRLHGLLSLDLFFVLVWLLLVMLGRCWGWCGLVLVALAAGLRRLYRRSGVCGAEEVVWCVWKGSGPGPDLELAEIGACRRLMFVCVLDPVLRGWWLLRPINAFWLGDLLAPRFVVDGACFMSVRAGGVLPRWREVEEDEGPLGFLLVYPPFMYLYLYAFPLCILTTA >cds.KYUSt_chr3.31278 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196538805:196540343:-1 gene:KYUSg_chr3.31278 transcript:KYUSt_chr3.31278 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKPPPPDQSPQPARLPSPSSAAAAKRGATGSRGLLMGRYELGRVLGHGTFAKVYHARHLQTGESVAIKVLDREKAVRSGLVSHIKREIAVLRRVRHPNIVHLFEVMATKTKIYFVMELVRGGELFSRVSKGRLKEDIARRYFQHLVSAVGFCHARGVFHRDLKPENLLVDEAGNLKVSDFGLSAVAEPFQPDGLLHTFCGTPAYVAPEVLARKGYEGAKADIWSCGVILFVLMAGYLPFHDQNLMSMYRKIYKGEFRCPRWFSKDLTSLIVRFLDTNPSTRITLPEVMESRWFKKGFRPVKFYIEDDQLYNVIDAENDMLDLGLTDPIPQQLLPPPPPQEVDADDSGSESDSSVVSCPATSSFEERRQRLPGPLPRPASLNAFDIISFSRGFNLSGLFEEKGDEVRFISSEPMSEIITKLEEIANVKSFAVRKKDWRVSLEGTREGVTGPLTIGAEIFELTPSLVVVEVKKKAGDKEEYDDFCNKELKPGMQHLVHQMVPTPITPTISE >cds.KYUSt_chr3.33013 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207317110:207318192:1 gene:KYUSg_chr3.33013 transcript:KYUSt_chr3.33013 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSLVGDDRAIGHSIDVATPSGYHLLVVNGYSRTKEAAPNGTMISSLPFMIGGHCWCIRYYPNGYSSRCADYVSLFLVLVDKNISTALKVHKRFSFVDELEKQDSVHIRAKKPRNYSSTDSSWGHKKFMKRDELEKSKHLKNDCFTIRCDLTVATIVDMFIKVPPSSIQQHMSNLLLSKEGTDVTFKVGGETFVAHRCMLAARSMVFKAELFGPMKEGKIASVIHIEYMEAKVFRALLSFIYTDSMPMMEVGVVEKEEEAHEALWLEHLLAAADRYDLQRLKVLCEQKLCEHINMSSVTTILTLAEQHNCRGLKDVCFDFLKTPANLKAITAADGLEDITRTCPSLLKELIAKLAF >cds.KYUSt_chr3.18121 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111281142:111283805:1 gene:KYUSg_chr3.18121 transcript:KYUSt_chr3.18121 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHMDSGIKLFGRVIPLLPEAAQPGSPEAEAPAGFDHPPSPPPESETPALAEAEPQDHKDQHKETEDKGDTEMRVDVPEEKDDIGMKGDELREKNDIDMKVDAPQAKENSETDGPSAFDHKKDDSAQISNSDEKAASEPKDENEKTSNDESGQDKVLKKPDKIIPCPRCNSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKNSPLHYRQLLMGPDCLMGSRLDISNTLNPEALASLPSIQAQSTSRNETVLKFGPEVPLCESMASALNIEEQHIANAGPVPRGETREDNSCVSSTTTNNGIPASAVHVDKNGAPVYCNGVGPVPQYYLGAPPFMYPWGMGWNNLPVMMPGGSMPESASPSESCSTSPAPWMNSPMMPGSRFPAPAFPYPLVPPALWGCLPSWPATAWNTPWMGTNGCISPSGSSNSSCSGGSPTLGKHSRDSNPLKDDKEEKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPGVFKPFQFKGESKGQPADARPARVLQANPAAFSRSQSFMESS >cds.KYUSt_chr4.10240 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61977458:61978192:1 gene:KYUSg_chr4.10240 transcript:KYUSt_chr4.10240 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSKVQEMILRRRSRSMSGAQHAGNPSTAPCLDTGYKAHAPSFASPRLLHSASLPAGSCATAGAGSPMRHSDAMAYSMSPTSVLVASAAFGVAGADRGGGSGSSSSKRRPWCHGCAGTHGLADALDCAHDGQERRRSILAGRVKAQAPALVRSRSLDRRVEFGVKNKSSWLPLRAGSRTEQEEATSAQEETEMEPSSEDYTCVISRGPNPRTVHIFGDRVVEGDHRESSAWHINLPVEIPAS >cds.KYUSt_chr5.35858 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226480724:226483453:-1 gene:KYUSg_chr5.35858 transcript:KYUSt_chr5.35858 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWMAMPPTPSPRTLMSNFLNEDFSSGPFSNLFGEHGSNKPQDQSEKPGELVDLREQVPAQSAKTTPQKDFTLQPNFFNANQKSNPHGGLAERMASRAGFSIPKIDTSRVGSSTVVRSPISIPPGLSPNTLLESPVFLFNNMAQPSPTTGKLPFLMATDANSTMPPAAKMNDHSTFSNDVFSFQPHLESKVPSFSYVEKGYNACHQNQSLSNIHQQESSLQSSFTAIKDTADETIAQPKTSDSMFGDNHSSEEQDDDEADQNGEYSSATISTPADDGYNWRKYGQKQVKSCEHPRSYYKCTHPDCPVKKKVERSEDGQITEIIYKGSHNHPLPPPNRRQGVPLSHNSDPQVHVLERPGSHEGLNSASLWGNDKSGCLQDVQNEGVEGRPSASPHASAYGDTSTVESQDAVDVSSTLSNDEMDRATHGTVTIDCDGGEDETEYKRRKLDALAVAAIPTATTTSTIDMVAAASRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHAGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHASSGSGSAPPSAPQANLSHRRQEQPQGTFAQFGGASPFGSFSIPPRGQLGGAPGNFRFGMAPPGMSMPMPVARHPSMMQGFPGLMMPEGQPKAEPGTQSSYPMANAAYQQMMMNRPPFGPQM >cds.KYUSt_chr2.14926 pep primary_assembly:MPB_Lper_Kyuss_1697:2:94096448:94098529:-1 gene:KYUSg_chr2.14926 transcript:KYUSt_chr2.14926 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAAGSQILSPTHGPCTTTTSSSARRPGHSMRTIRSALLHPDSPPGPTSRRPTTADEADSDMENLTDSVIDIRLSELAATAGPTHPAAVAKSSSANAAATDMLDLSRDFSDYSSFNSDISGELERLAAAASASATPAPPSPAVDLNELESMDLSEAASPLSHIEPFIHACVRALSPDSPPDARRGAAARIRLLAKHRSDIRSLIGSTSGAIPALVPLLRSTDPTTQENAVTALLNLSLEDRNRTAITAAGAIKPLVYALRTGTAPAKQNAACALLSLSGTDDNRATIGACGAVAPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVLPLVHLIGERGTGTSEKAMVVLASLASIPEGRDAVVEAGGIPALVETIEDGPAREKEFAVVALLQLCSESSSNRALLVREGAIPPLVALSQSGSARAKHKIASAILFLLKLEDLVLDSGVTDVQFFDFQAETLLGYLREQRQGGGCRAGLGAATSMAR >cds.KYUSt_chr3.23298 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144123127:144125478:1 gene:KYUSg_chr3.23298 transcript:KYUSt_chr3.23298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LSD1-type domain containing protein [Source: Projected from Oryza sativa (Os01g0612700)] MGSPPPFPSAPPPQENPQPTDPDPPEPVQSDHTKPTPPPHPRNATATAASPEAYESPAPVPKPEPKDGTARQEARPSSLSAPPALEATEPVGPTSPLPPPKVEACPEAATTGASPSPSPQILAPSAAAGTPPPSTPSSPPGALSDAAPADSAAMVSKPPLKSGQEGFLPQQHPRPPCPTMLPGCENSERAQPPSPRCPAPSDIARASPDTAETDAMAVTSAEPGASSACKSEAEESFELPMPMPLSSPTEAGPWSPEKFESSWPPLPSPNLSVTTTHSFPNASMPEEASNSLTVLEAMDARINTAPGLPRPSFGSLQQPLLRPPSAITQAEPCSSDMPPPGSQSLSRLPPPNETTYTSSHLISTKAGTVKTEEAVLSVPALEAIHVETDGARSCLPPLESKGEVLLKLPLLRSTTPMTQSETCSPKMAPPRFESLRSSWQPLSTPLCGTTHIMQEAAAAEPLAVKLEEEAGPRPAFEEMDVDMHAVHPLLAPLESGMEGSLQEEHPRPPSPIVQDVPCSPDMAPLGFEDFKSPQLLLPSPLLAQTAYTCNDSVTSEAEQVSEEAAQPSPAPEAMDVNMEISLESGALPQQLHELPTPEEKSTACSLEMVTSGHENLQLLPLPPLLPEIQTPKVLADMAATESVIEALDQVHHLVPLLGAIEEGTSLILSPPLESGSEGLLPHLEPQVISLKTHAVDTKPDVPATNYMDLKSEETTQPLPALQAMDTNMDRSTTELLLSGNGAEGTSPQRQHQPSSPSMQAAPCSLGNLELLPPPPPPFVSKGY >cds.KYUSt_chr7.39767 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247163447:247167291:1 gene:KYUSg_chr7.39767 transcript:KYUSt_chr7.39767 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSRHERQGREEGDEEEEELEEHHITAPLLVGAHEASSSSSPPEPDEEEEENSPIEQVALTVPVGDDPDTPVLTFRMWVLGTASCFVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAATLPERAFFRGRRWEFTLNPGPFNVKEHVLITIFANAGAGTVYAIHVVTAVRVFYGKQLTFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKESRSKGGFTRSQFFLVAFICSFVYYIFPGYLFQMLTSLSWICWVFPNSVLAQQLGSGLYGLGIGSIGLDWASVSSYLGSPLASPWFATANLAAGFFIIMYVITPIAYWFNFYKAQNFPIFSDGLFTEAGQKYNITSIIDSQFHFDTMAYEKNGPLYLSTFFAITYGVGFASLTATIVHVLLFHGSEIWQLSRSAFKEKRVDVHTKLMRRYKQVPEWWFICILIANIAITVFACEYYIEQLQLPWWGVLLACAIAFFFTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMDTIPNICDTELLPAGSPWTCPGDHVFYDASVIWGLISPRRIFGDLGSYSAVNWFFLGGAIAPLLVWFAHKAFPRQSWILLINMPILIGSTGQMPPATAVNYITWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENINLNWWGNDLDGCPLASCPTAKGIVVEGCPVYN >cds.KYUSt_chr6.13499 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84607024:84608184:1 gene:KYUSg_chr6.13499 transcript:KYUSt_chr6.13499 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKTVKDAFDHVVKKQKLTSSKAIDLVNHVEKEIEQAISAIQENGTDGDVASKLNHEILLNLKKKLKEMVPVKQLESCQKEMNTVIGKWVKSTDKFFIEDISKAYRNVDMEPHLLSELIANHLYREALFDIGDKFIGEANCQASIEMKQLFQMMYEIHGALRAGKPEPALSWAMNNHDALLQNGSYLELKLHRIKFVEILKEGNRDEALLYARAYLTPFAPIHKDEFQRLSASIIWAGRLDQLPYTDFLLPTNWEKLAEEFAQHFCNLKGQSSTGPMGMTVAAGGQVLPIILKLMTVLTAKREWHLMKQVPFPLDLHKEFQFHSVFVCPVLREQGSDDNPPMLLPCGHVLSKQSTMKLSKNSSRSFKCPYCPLEASTSECKQLHI >cds.KYUSt_chr7.24298 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151420681:151421391:1 gene:KYUSg_chr7.24298 transcript:KYUSt_chr7.24298 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYGFLGSSSAAAMAGDLEGVARVYEHVEPLLRFARAEQVEEELEASVALLDACVAARDGLRAMRACAFDLEVALRRGDAAAAQSAAGAYARLARRARTDVKRQQRQRRTRHDLQNTGSVRSLEEARRVTVTVLERVVTAVSRRVTVSAGPSRWSACIVARAFMKRTRVACEDAESYTTTAVLPSLALRKKSSASRAQRELRALGDTIQHLEDGLELLFRRLVQCRAFLLNICSS >cds.KYUSt_chr4.54620 pep primary_assembly:MPB_Lper_Kyuss_1697:4:337406775:337411691:1 gene:KYUSg_chr4.54620 transcript:KYUSt_chr4.54620 gene_biotype:protein_coding transcript_biotype:protein_coding MFARTAIADRRRSMEAKEKGVLEKAAVSKEKQTQAVIQPSKPPFVEAALAAFGARRKKERESEPQEARTDSNAYEAKLYRKFWNDNYAALERASYEDTTQIPPMCFTDSRDLDCTFISMQPTLQVFSIKIEEVTGGLDWPLDVYGVVAVRDVVDHKRNVIFYHERDNCQTVHSEDPFLSLTGPTRAVVVTVHPTYFEVDLKVKGTVESDDKDLSLLALCYTSHGPCTSCDITRVATSKLSTLKFNFGHILNSVEATITVDILAGQWPKEYTKGLFTASTGSLRRKELTLVSFEDGNLPIEDGELKLARRVVSVELVAPEEGKFKPKLLVSMKALNVNENGKVERLLSFKPKSQGRNSRIIKFDQFEMKVSVAWSLLSTFRGIYENAMSAQSV >cds.KYUSt_chr2.23632 pep primary_assembly:MPB_Lper_Kyuss_1697:2:144223085:144225081:1 gene:KYUSg_chr2.23632 transcript:KYUSt_chr2.23632 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPLRSRGGVLVVPPWQHLRSDAATTPVDDRRTLAPPQRFLLTPVPEELVAPAFSPITAASLHLPPICDTLTSEGSRACSAVPLEKTPSANSSVWEAVNNKHLVDVCISAIYGKSGKAMRQSFTSSVEGKAETMVLKLEVIQ >cds.KYUSt_chr5.91 pep primary_assembly:MPB_Lper_Kyuss_1697:5:633117:634746:-1 gene:KYUSg_chr5.91 transcript:KYUSt_chr5.91 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAEGMPTGGVLLLLLLIIAGAAAVFLLPRRRRTDGSASAPSPPSLPLLGHLHLLKKPLHRSLAALAGAPSANAAPLLSLRLGFRRALLVSAHAAAEECFTAHDAVLAGRPQLLAAEHLGYGRTTVVWASHGDHWRGLRRFLAVELFSSSRLAALAADRRAEVASLVENLLHDATVGGGRITLRPRLFELVLNVMLRAITARRHAGGDVRRFQEIVEETFAVSGAPSLGDFFPALRWVDRLRGVVAALASLQTKRDAFVTGLIDDHRRMRNDGGRDVEKKGVIDVLMEHQQTDPDYYTDTVVKGILLVLLTAGTDTSALTTEWAMAELLTHPEAMRKARAEIDAIVGTGRLVEESDISNLPYLQCVVKETLRLRPVGPVIPAHEAMEDCTVGGFRVRRGTMILVNAWAIHRDGNIWDAPEEFRPERFMDRDTVTAPMLPFGLGRRRCPGEGLAMRLVPLTLAALLQCFEWDVGEGGTVDMAEGAGLTMPMATPLAALCRPREFVKSVLSAFT >cds.KYUSt_chr4.14983 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92550488:92551195:-1 gene:KYUSg_chr4.14983 transcript:KYUSt_chr4.14983 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIYDYIPPRRQASAADLWPDADDPPLDKGTCTAQSTEPIHIVQLQSKAGTDQCDSHAARRVTKRERKNRYRGIRQRPWGKWAAEIRDPVKGVRVWLGTYPTAEAAARAYDHAARRIRGAKAKVNFPNEVLNGARPLQLHAASCTVAPAVAAPPAVFLSPKKEDKCESAACSGEVKELSEELMAYENYMNFLGVPYMEGGEAAAPAAAVVPEDAPATLWSFDDYYPPSLEL >cds.KYUSt_chr2.456 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2753037:2755154:-1 gene:KYUSg_chr2.456 transcript:KYUSt_chr2.456 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQYARKEELETTPPKSVSVLQVRSIAAFKPGIDLMPPFSSFSVLRVLDLTGCNLGGHGNLHLQELGSLLHLRYLGLAETGISELTEEIGKLQFLQVLDLRENEIEGLPYSLIKLGKLMCLLFFRSCKRLPDGLGNLSSLEVLSKIHVDSVSTVNELGRMVNLRNLDIEFDNVTPELEEAFVESLGKLSNIQSIQIRTYNAISMHTLGERWVPPRSLREFSPGRGIKFCTLPAWIKNNPSRMSHLSRIDIRVGDLKQEELESLGSLPSLDELTLFTHRSGLLVIRANGFRQLLSFVVLSGTPGHIVFQPEAMPKVQMVYISISLRVAKEEAAANAGDWFDLRMGNLSSLRDVAVSVKSSGVTVGEAKQAKAALENSLRAHPNRPTISVHMYPPIPQAASSSISNFPRLVRCFSHHHGHTLPLSVLPPPPPPLQCVAARGAGRSCDTRRRPLLRIPLPRHGHAADVGGRKRWLLPSGIGGLPCCLAAVLPAAACIATMGGRTCYKELAALLPTAEALAASGERRRLLPAAAGIATKEGRTCYKELAALLPTAEALAAAGELRRLLPAVADIATKGGWTCYKELAAFLPPAEAVAASSERRRQSRRTSSIFTTLVASSGLHAFAETTMDFFLLFPAGYVDGVHPRGGGGQNIFPCMSLLIGRMRTLS >cds.KYUSt_chr7.12091 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74337665:74341107:1 gene:KYUSg_chr7.12091 transcript:KYUSt_chr7.12091 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVVARAYEAAARSALAALERNLLPDAVTRRLTRLLLAQRLRLGYLPSAPLQLQGLVLFAHSLEGMPIAIETDKAKTQHYELPTTFFKLVLGKHLKYSSCYFPHDSSTLEDAEVAMLELYCERAQVRDGQSILDVGCGWGSLSVYIAKKYRNCSITGICNSTTQKAFIEERCRENELLNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKALLKKISGWMKEDTLLFVHYFCHKTFAYHFEDKNDDDWITRYFFTGGTMPSANLLLYFQEDVSMVNHWLVSGTHYARTSEEWLKLMDKNITTIRPIFEKTYGKESATKWIAYWRTFFISVAELFGYNNGDEWMVAHHLFRKK >cds.KYUSt_chr2.47049 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294347349:294353281:-1 gene:KYUSg_chr2.47049 transcript:KYUSt_chr2.47049 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDTDPSHRFIGNMEIIDLCSDGEDDTKPCSDGEVITHLGLVRKQNIGSDDLSDSVDRSLVLFNTPFGKNNDKQPISLDDDDWLKSTHAASASFNTPFGKNNDKKPISLDDDDWLKSAHTSSSYRSPAVSSHRIYPLSSSLVMLHDSPYSDSLKILTDNDDDDIYMYSAQPQSFPTRHSSVSDNGVKDEPNYFGRSAANGGGMFSSSMPFASGCQKVETDSDDDDVYEYEGPSSHTTFPSSMNSVDGTEGFNGFGTQSHPNVESRPSGRDERSIYEEALQHISQETKEEDLPEGVMSVSLLKHQKIALAWMLSKENSSHCPGGILADDQGLGKTISTIALIQKERAQQSNFMSADSDRKSSVSLDLDEDDTMIMNKKEIKGEPSDTLSSNFELCAGRSGTPVNRMAKAVKVEPKKKTGMKLPSSASTLRSATRPSAGTLVVCPASILKQWASEISAKATESSKLSVLVYHGGSRTTDPTELAKYDVVITTYTIVGHEVPKQDSDDDMDEKKSEKYGICPDFAAGNKRKLPKETKKKANKKKKLNSSDADIEGGPLARVRWFRVVLDEAQTIKNHRTKSARACCGLRAKRRWCLSGTPMQNTIDDLYSYFRFLKYEPYSVYGSFQSMIKNPISKGSKQGYKKLQTVLKIVLLRRTKETLLDGEPIIKIPPKTIELKKINFTQEERYFYLALEEGSRQKFKKFAVAGTIKQNYANILVLLLRLRQACDHPYLLKDDNHANLTNPASIEMAKQLPREIVTNLLEHLEKWRSEKEKEPPENAVITTCCHIFCYECVLESLSEEEVCPVCKQKLSAEFVFSRPVLRLCLSDELESYESSAAAADESSAAADESSPICEKSYISSKVQAAVDTLNLIFNTPALTDSDSIESSPSKVAPSKAIVFSQWTGMLDVLELSLNSNLINFRRLDGSMSLDVRGAAVEEFKTDPEVRVMLMSLKAGNLGLNMIVACHVIMLDPWWNPYAEDQAVDRAHRIGQTQPVTVTRFTVNETVEDRILALQAKKREMVESAFGEKSGGIATRLTVEDLGYLFNV >cds.KYUSt_chr1.30657 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185614615:185618151:-1 gene:KYUSg_chr1.30657 transcript:KYUSt_chr1.30657 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRKFKRSRTKVRVGLPRKKPREFKPAFDLPEALAAAAAGGHAPSWDAEGTVVKNYAAFGVVSNPNLLGAHSRGTARLVQSEDLQNPKLEEIRAATVEFGHIDNGSEDECDDLKSALGKKRRDGKSAPLEPLTKVQRICIGRLIEKYGDNYKAMFMDTKLNAMQHSVGPQKLQKDPRSRKRNTIWPFSTAQTKPRSTAIFSDTEDETTWVRVDVERCAEAEDGPPKWRSGLEVEDELTKANSEQPPFGYEDRRNSGLGPTMRRR >cds.KYUSt_chr1.9934 pep primary_assembly:MPB_Lper_Kyuss_1697:1:60858316:60866233:-1 gene:KYUSg_chr1.9934 transcript:KYUSt_chr1.9934 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSRYRNLVTTLAALASDGDVTEDLQSTVSSTARGQDIWNNSTLDHILCVLDCMRDEKVHVYWLCPGKELFDGLLPLLTDEDLIDMRREEQVHKTMVIFVDHTDFIRLIRVDLVRARLAIARVGNDVALSEDDASTSIVVAVGQECVQSGADDLDSENSTDFEFFHSDYDVESGDDDLFLDNIDTDLHDNNEIVFTTELEDDSQLEDIDLNLAEGERLQLEYKFAAFNLIVDMDSHIFKIDMKFASIEEAMQAENA >cds.KYUSt_chr7.24136 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150416658:150417266:-1 gene:KYUSg_chr7.24136 transcript:KYUSt_chr7.24136 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGLRRLQDIYNCIDELTCLPSNQVLLCKPQQRMAVEQELERSLVLLDLCEAVQVSFSELKASVHDMQLVIKRGDDAALQTKIQSWFRLTKKAQKQFKKINKKSSPADVESCSVVSLLDEARDVAATMIESSFELLSKQIAMPNSSKWSLVSKAFQKKSVTCKEEQLQLLELDIVDLQSRVETLFRRLIQSRVSLLNTLSL >cds.KYUSt_chr7.1358 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7359639:7363365:1 gene:KYUSg_chr7.1358 transcript:KYUSt_chr7.1358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MPPPILLTVLLLLPLLLLPATASPDRDIYALAKLKSALVPNPSATPALLQDWDPAATSPAHCAFTGVTCDAATSRVTAINLTALPLHAGTLPPELSLLDALTNLTIAACALPGHLPATLPALPSLRHLNLSNNNLSGPFPAPTTAPYFPALALLDCYNNNLTGPLPPFSTAHSTTLTYLQLGGNYFSGPIPPTYGHLSSLRYLGLNGNALSGAIPTTLANLALLEDLYLGYYNQYSGPIPPDLGRLTNLRRLDMSSCNLTGPIPPQLGRLANLDTLFLLWNRLSGDIPPELGALRSMQSLDLSVNDLGGEIPATLAKLTSLKLLNLFRNHLRGGIPEFIGDLPQLEVLQLWENNLTGPLPANLGKNGRLKTLDVTTNHLTGPVPPGLCDGRRLETLVLMDNGFFGPIPGSLGGCKTLTRVRLSRNFLTGAVPPGLFDLPQANMLELTDNLLSGTLPDVIGGNKIGMLLLGNNMIGGRIPASIGNLPALQTLSLESNNFSGSLPAELGRLRNLSRLNVSGNALTGAIPPDLTRCAALAAVDASRNRLTGEIPGGVTELKVLCTLNVSRNALSGELPPGMSNMTSLTTLDVSYNALSGAVPMQGQFLVFNESSFVGNPGLCGGPLAAADDALACRDGLGSGAGAGLLSLRRWDSKKMLVCLAAVLAALVAAFLGGRKGCEAWREAARRRSGAWKMTVFQKLDFSADDVVECLKEDNIIGKGGAGIVYHGVTHAGAELAIKRLVGRGVGGDRGFSAEVGTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVALEAARGLCYLHHDCAPRIIHRDVKSNNILLDSGFEAHVADFGLAKFLGGGAGGGASECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGSFGDGVDIVHWVRKVTAELPNTAAAVLAVADRRLSPEPVPLLVGLYDVATACVEEASTARPTMREVVHMLSQPAIATAAADAAQPDDDLLLSF >cds.KYUSt_chr5.4125 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26485988:26487558:1 gene:KYUSg_chr5.4125 transcript:KYUSt_chr5.4125 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAPLCHGFLPFPAVAKDSSARSRRRRLVLAAGCRCGPVAAAATDAAPAADAARVARRRCECFDLHQQMVPFAESWARQKSIVKRRKGLVDSDEDHADTLIALQHPPVYTLGTGSSEEFLHFDVQDSPYEIHRIDRGGEVTYHGPGQLVMYPIINLRYHELDLHWYLRSLEDMIIRALHSTFSIKASTVKGLTGVWVGDQKVAAIGIHCSRYIVYHGLALNVTTDLAPFERIVPCGIKDRRVGSIEGILQKNSSGRELNDAELMDIAYESLIKEFAEIFQLSLEPSTDLYLQPR >cds.KYUSt_chr6.14911 pep primary_assembly:MPB_Lper_Kyuss_1697:6:93400351:93400908:-1 gene:KYUSg_chr6.14911 transcript:KYUSt_chr6.14911 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSCKQWQQQLAALVLTFLLLAPALVQCAAAEADGEKDGLASPGKTVLPGWTGDSGSGQGSSPDGSWKYEWGWASGPGGKGSGFGYGYGGSGDAGSGGGGGDGGGSRGGSGSGGGGGRGRASGLRNHGYGGRPGNYGGNDAGGYNGQAGGEGAFGDGDGAAGWNKRGRFRGGRAHKKQDDGGKN >cds.KYUSt_chr5.6294 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38906372:38906716:1 gene:KYUSg_chr5.6294 transcript:KYUSt_chr5.6294 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAALAALFVGAMCAAPVEFTVEKGSNEKNLALSIKYNKEGDSMAEVELKEHGSNEWLALKKNGDGLWEIKSDKPLKGPFNFRFVSEKGMRNVFDDVVPADFKVGTTYKPEE >cds.KYUSt_chr2.2757 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16471365:16475399:1 gene:KYUSg_chr2.2757 transcript:KYUSt_chr2.2757 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSLCFLVGLLAGLAQVSRWTTVASTAATAHVFRALHAVDGAINQTVLLLQQQQQQPQNRPEDAIPSPAPLRQPQLLLVVTATERSDPERRAAGLTRAAHALRLVPPPVLWLVVEPAREARETARLLRSAGVAYRHLAYGENFTAGEAEAGKERHHQRNVALGHVEEHRLEGVVLFAGLGDVYDLRFFDQLRQIRTLGAWPAATVSERERKATVEGPVCGGAPWMVTGWFSRADAAAPAAVRARPPAVDVRGFAFGSAVLWDPQRWDRFPVDEPDASQDSVKFVQRLAVEEYNKSRGMPNHDCSEIMVWHGDQLVAT >cds.KYUSt_chr2.14117 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89329333:89331316:1 gene:KYUSg_chr2.14117 transcript:KYUSt_chr2.14117 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGAIFLCALAIILLFPGPSAAAGEKATVSVRAVTAISHTDDNFICATLDWWPKDKCNYGMCPWHDSSILNLDLYNPILVNAVKAFKSLRIRLGGSMQDQVTYKVGKHYDDCHNFRREDGRLFGFTDGCLPMNRWDELNIFFRRTNTTVTFGLNALRGRRKAPGNGSTLHVGGWDGRNARDLMRYTVGKGYRVESWELGNELCAGGVEAKVKAAQYGKDVLRLRRIVERVYNGTGGRLPKVLAPGGFYDGPWFSEMLRVSGPGVVDGVTHHIYNLGSGKDKDLINKMQDPFYLDKVAQTFSDMEATVRESGPWSSPWVGESGGAYNSGGKDVSDRFADSFWYLDQLGMSSVFGTKVYCRQALVGGNYCLLNTTTLAPNPDYYSALLWDRLMGPGVLQTATTGGATSPYLRSYAHCSKQKPGVTVLLINLSNSTAFNVTVAGDMDLHPPPRKLLEADAGAVCGGQREEYHLRPEGGDIQSSVVLLNGEPLALGPCGQIPELRPAVVADGCASPLRVEPHSIAFVRFTGFKAPACA >cds.KYUSt_chr4.25743 pep primary_assembly:MPB_Lper_Kyuss_1697:4:161842934:161846027:-1 gene:KYUSg_chr4.25743 transcript:KYUSt_chr4.25743 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPEDGGEVAPPEAAAAADPSPPAKEDPADQPSAGEGKAKEAEPPTSSGEGLSLNYEEARALLGRLEFQKGNVEAALCVFDGIDLQGAIQRFQPSPAKKGPTKDSDPPNAATLVLEAIYLKSLSLQKLGKSTEAAQQCKSVIDSVESMFQNGTPDIEQKLQETINKSVELLPEAWKKAGNLQEALASYRRALLSPWNLDEECVTRIQKRFSVFLLYGCVEGSPPSCASQPEGIFVPKNNIEEAILLLTILLKKWYQGKTHWDPSVMEHLTYALSICSKPSLVANHLEEVLPGIYPRTERWNTLAFCYYGVGQKEVALNFLRKSLNKHENPKDTMALLLAAKICSEERHLASEGVEYARRAIANTESLDVHLKSTGLHFLGSCQGKKAKTVSSDHQRSLLQTETMKSLTESMTLDRQNTNLIFDMGVEYAEQRNMNAALRCAKEFIDTTGGSVSKGWRLLALVLSAQQRYSEAEVATNAALDETAKWDQGALLRIKAKLKVAQSSPMEAVEAYRVLLALVQAQKNSPKKVEGEADGVTEFEIWQGLANLYSSLSHRDAEICLQKARALKSYSAATLQAEGYMHEARSQSSDALASYVNASSTELEHVPAKVAIGALCSKQGPKYLPAARSFLSDALRVEPTNRMAWLHLGKVHKLDGRIADAADCFQAAVMLEESDPVECFRTLP >cds.KYUSt_chr5.14496 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94030323:94031715:-1 gene:KYUSg_chr5.14496 transcript:KYUSt_chr5.14496 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAIARLLNRGPGKQEADSGFTCHFLLHDWAAKALHDSSTTEDCIPLFDRHGLKKSKLVKCNKIVPFVLLGGKWLSVSIDIPGRSTTLIHPTAAQRPVNEVEEQFKPMCHRLTKFALSNISALTMKNIKHEHWSYNVALREEHTFTPNNAGAIAFYYSIPRENQFMAVSDAPVTNVKMNFVHELIKMCSKTTNKTVKRNPSNEIFSELDEINAQGPILSRSFQKSEEETKRGHEGATP >cds.KYUSt_chr6.21694 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136927354:136932328:-1 gene:KYUSg_chr6.21694 transcript:KYUSt_chr6.21694 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKDGTYEAKMSVPASFGPVGAVLVENEHHREMFIKDIKLFTGGDESSAVTFDAGSWVHSKFDEPEPRVFFTLRSYLPSQTPPGIEALRKKELETLRGDGHGERKIHERVYDYDTYNDLGDPDRNIAHKRPVLGTKERPYPRRCRTGRPMTRFDPETEKRSSQVYVPRDEQFSDVKGRTFSATTLRSGLHAILPALAPLLNNTQHFSHFPAIDALYSDGIPLPVDSGASLNVINNVIPRVVQMIEDTTEHVLRFEVPQMLERDRFSWFRDEEFARQTLAGLNPLCIRLLTEFPIVSKLDPEVYGPPESALTKELLEKMMNGLMTVEEALENKRLFMLDYHDVFLPYVHKVRELPDTTLYGSRTVFFLTDEGTLMPLAIELARPQSPTKPQWKRAFTSGFDATESWLWKLAKSHVLTHDTGYHQLVSHWLRTHACVEPYIIATNRQLSRMHPVYRLLHPHFRYTMEINALAREALINADGIIEDAFWPGRYSIELSSVAYDATWQFNTEALPEDLVSRGLAVRREDGELELTIKDYPYASDGLLIWNSIKQWASDYVNVYYNSDEEVTGDEELQAWWEEVRTKGHADKKDEPWWPVCNSKSNLVEILSVIMWVTSGHHAAVNFGQYHYAGYFPNRPTVVRKNIPVEENRDDEMKKFMARPEEVLLQSLPSQIQAITVMATLDILSSHSPDEEYMGEYAEPAWLAEPMVKAAFEKFNGRLKEVEGTIDERNNNPDNKNRCGAGIVPYELLKPFSEPGVTGRGIPNSISI >cds.KYUSt_chr3.34291 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215088998:215091646:1 gene:KYUSg_chr3.34291 transcript:KYUSt_chr3.34291 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAIALPKRKVALNPDRKRKVALNPDGKRKLQWLAARFRPKQRKEAKAVDLYMVMTSGIPEGGRVLSVSIYPTEFGLKCMRVEEAIGPSALIGADCEEDGDFEAENNKLRTSLNRRRFYYAVVLCDSRATALRLYTTFDGTEFLKTANVFDLRFIPGSMDFNYPALDVATEAPPNYKEPDFGTQLTKVEPIWDNDAKLDKLIKYIARYEGESDEWFCTTGVSRNKHRRCAPVEEPNDGETWYQDSAVNSLVRRSKSKLE >cds.KYUSt_chr7.32095 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199924299:199929340:1 gene:KYUSg_chr7.32095 transcript:KYUSt_chr7.32095 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVATSNGASANGPTPGRLASVYSEVQTSRIAHALPLPSVLRSHFTLADGAASSATGNPEEIAKLFPNLYGQPSAAVVPSAQPVATKPLKIGVVLSGGQAPGGHNVICGIFDYLQERAKGSTMYGFKGGPAGVMKGKYVELNADFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTVTKLDLDGLVVIGGDDSNTNACLLGEYFRGRNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNVSLIGEEVAEKKETLKQVTDYITDVICKRAELGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLQPESRQLFDFLPNTIQEQLLLERDPHGNVQVAKIETEKMLIAMVETELEKRRAAGKYSAHFRGQSHFFGYEGRCGLPTNFDSSYCYALGYGAGALLQFGKTGLISSVGNLAAPVEEWTVGGTPLTALMDVERRHGKFKPVIKKAMVELDAAPFKKFASMRDEWAIKNRYISPGPIQFSGPGSDASNHTLMLELGAQI >cds.KYUSt_chr3.5304 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30117722:30120515:-1 gene:KYUSg_chr3.5304 transcript:KYUSt_chr3.5304 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGPASGANRPYLPEQHLLHLGGDGGPFVRGLNHHTWCCYRRASTSRQWRGLNLHMRCCSLGVPTGDIDLFPRRLHYDSDVLSRRPDRGRGSCSRGTPTSDGGAAPAAPPPVTAALPSRHHAHAFISSCAAASHTIPDIGLLLASLADSPHNAWWRTAHLAGFCMARIVHVHHVDKEAFSKGNIELDPDEVDLVFDRSPNYAEVLEQVRINLNWIDRSYVFDLEGRHNAGFGMHVRWKTMRINSEQRWVACKEVVAKSLDKALELFATKKVDSTLHLDLNRNASPLNDRSHQPMNQEEMSPPRWSEDDNNEYGDDENEVELHDNNVGDLDKYHTQESMDHDLPYSRCYASDSDDDGLDEEIDEEGFTANEAEIHERILGRDHQVPLFRDLSLADEATVDGGKGVVLGPRHVSHRDENHEKNGIAK >cds.KYUSt_chr3.42930 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271303806:271304345:-1 gene:KYUSg_chr3.42930 transcript:KYUSt_chr3.42930 gene_biotype:protein_coding transcript_biotype:protein_coding MADHYNQLVGGSSGGHGGSPERGGGSEGIKEQDRLLPIANVGRIMKQILPPNAKVSKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWAFSALGFDDYVDPMRRYLLKFRELEGDRAAAAASSRGGGMPPDAGGHASGSGAGVGGASGGHFMFDALDRSDNNNAGGSRQF >cds.KYUSt_chr5.36240 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229034932:229035493:1 gene:KYUSg_chr5.36240 transcript:KYUSt_chr5.36240 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNKASTREKKNIMSSNGMGSRLALACESKLYSTVILSFLNNFGSGKYGLNLAGHSWAAVGPDVTYCQSKKVLVLLTIGGGIGKYSLASKADAKADAKAVAKAVAKTGRLRLGDRLLLLVVEVKGRGGLAGAYPPHGSAAFRRTRRGRA >cds.KYUSt_chr4.35222 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216387148:216387480:-1 gene:KYUSg_chr4.35222 transcript:KYUSt_chr4.35222 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKWTAGFPSFAEEMRMGEEIRRKEKEAVMAEERRMALLRRKLLILQISLASEWAVEQMAKGWRPQISGQGLEEQVAAALAMIKMKDPDDPRRQMAEQGLEDLKNWTP >cds.KYUSt_chr6.18975 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119491322:119494372:-1 gene:KYUSg_chr6.18975 transcript:KYUSt_chr6.18975 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAPARQQCSPDEVEDIILRKILLITFTPPSSPNPAVAYLELTAAELLSESRPLLALRDNTERILIGRLSLADPPANSPPPFAFLTAAFRRAADEARKISTIRDAGLQARLRTSIAHTRGLILYYARIVASNPTIFPSPPNAPHPAAELLIFLLAEATDSLDPTPAPGALPPPGFLDELLGNVDYEAIEPVMGELYERLKQRVDKVSALGDFQRPLRVLRRLVGIPSCAKALVQHPRWIPKNQTMLIGEGRAIELSSLLGAFFHVSAIPDREFASKPDVGQQCFSSLRPADLMSSFSTIKSVMNCLQDGLRDVLLVLLKNLETRDKVLEYLAEVINKNVGRSGMQVDPLKCASSGMFVNLSAVMLRLCEPFLDQMESKKDKIDVKYLFCNDRINFKDLTAISASSEQVSSWTESWSHAHAQDDPCDGVACSGKISSSERGSKKQNFSFICECFFMTARVLNMGLMRALADFKHLSQDLATYEDDLESNKALREQSVSSPQLEQDIERLEKMVAALSQEKYCYEAQILIDGDFLQRALSFYRLMILWSADLVGGFKMPLPSQCPKEFSCIPEHFLDDAMDLLALTSGVDKALEGFPLDDFLNFNIMFMASSSYIKNPYLKAKMVEVLNCWMPQRSGLTSTASLFEGHQLCLDYLVINLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRHAWRQIAKQEEKGVYLNFLNFLINDSIYLLDESLNRILELKDIETEMANIVAWNSRPAKEREERLRVLHQSENVVRFDMKLANEGVGMLAFTSEQIPAPLLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPKKYEFKPKQLLTKIATIYVHIARGDKEDIFPAAISKDGRSYNEQLFASAGNILWKIGVDPQIIQEFTQLAGKAKTAASEAMDAEAILGDIPDEFLDPIQYTLMEDPVILPSSRVTIDRPVIVRHLLSDSTDPFNRSHLTQDMLLPDIDLKLRIQEFVRCQQFRARPAANSEMGEPDGAADMAE >cds.KYUSt_chr6.26130 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165524809:165527512:1 gene:KYUSg_chr6.26130 transcript:KYUSt_chr6.26130 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRALSLSSLCAAAAASRSPLLSSHHRARHLRPRLAAAMSSSSSSPAPAPGAAPAAPSASSAIDFLTLCYRLKTTKRAGWVRRGLQGPESVADHMYRMGVMALVAADLPPGVNRDRCVKMAIVHDIAEAIVGDITPADGVPKEEKSRREKEALDQMCALLGGGSRADEIRELWMEYEDNATLEAKVVKDFDKVEMILQALEYEKEQGRDLEEFFQSTAGKFQTDVGKAWAAEIASRRK >cds.KYUSt_chr4.8858 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53173257:53175659:-1 gene:KYUSg_chr4.8858 transcript:KYUSt_chr4.8858 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSRKTKGKREMAPAEEQQPSPKGQAWFCTTGLPSDVVIEVGDMTFHLHKFPLMSKSKKIHDLITNRESSLVKQADGGEDHDEEDTGDEIREEEVVLEADEEADAHRIRLPDFPGGAEAFELAAKFCYGVKLDLTPATAAPLRCAAERLDMSDDHCEDNLVSRADRFISHTVLRNPRDAVRALKSCEGLLPLADDLGLVARCVDAVAAKAAASAPTALFGWPVADAAGGGGDRPRRKNSAGAAATSTLLDDLAGLSLVTFTRVIAAMKDKGVGPEVIEGALITYAKRSIPGLSRSDRHAGGGAAATAAAAAPLAANGDQKALLETVIANLPEETIKSTAHTGTAVGATTARVLFGLLRTANILQASEASRDMLEHRTASRLPDAAVDDLLIPSYSYLVETLYDVDCVERIVRYFLEGRGDHDVDEEGSEAETPGREASRRAMLAVGRLMDAYLGEIATDANLKTDKFCDLAWALPDSARVYDDGLYRAVDIYIKAHPALREDEKEKVSGVVDGRKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRRAIARTIVANEGGAGGPGEEGDSDGGRTWRVATRGNQMLRLDMDSMRNRVQELERECTTMRKAIQKIDRRGGAAGDRGAEPAAEGRWGSMVTKRFGCKFPVQVCQSQPRSVVARPRRARVEQSP >cds.KYUSt_chr6.5733 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34454800:34455321:1 gene:KYUSg_chr6.5733 transcript:KYUSt_chr6.5733 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCSFDRDRSGAAERAVAFGAKYQPCNTAPTAREAASHVLPSDAQPPPRRPRKSASRRSSTTVVATDVSNFRAMVQELTGFQPAPAAIFRPQPRRAHATAASHSLLAVAHGCGGAVLQGRSTDAEATAGNGSRDVPAVVQPLMHPTPGVFDFDGLGDLGLPEFDTWPDFSFE >cds.KYUSt_chr1.32255 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195914284:195916224:1 gene:KYUSg_chr1.32255 transcript:KYUSt_chr1.32255 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRVRGVPERDGDDRPGGVCASAEAGLSHRHHVHYRKPPLTDLFHGWWMCFGFQAAALHLDDITPSHRLVKHLAVYHQPRDWSDMWLLDGRHCCRLLLICDRTVGSKPLSWSLMRGPAAASHMGDTQWAAESLEGELTVAWSFGLLMSGPGTFMGNIVLHSFS >cds.KYUSt_chr5.18949 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122706235:122707485:1 gene:KYUSg_chr5.18949 transcript:KYUSt_chr5.18949 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSRERSPSSAPSTSVPALSGAAIDVLHGDDLREILRRLSLADLLRAALTCHRWRRVAARCLPRAPPLLGYFFHPVNTPPPPPSRPPPTHYDAVFAPLDPSSPHLSLDFAPEASRFELYDCHQGLLLLEPTVPLPKSIIPRILVLDPASRRSVLLPPPPRDTVPDDRRWRSSRYYIGSALLSRAHPSKLCFEAVCFAIDDGHPRAWVASVDSGDCSWRALARDMQVLVDFDPHWFKRSCVHAAGKMYWHICNSGRVLVLDPATLRFSYLLAPAELADNFCTYRIAETPEEGRLCIMAMASRAKQLQLWVRGEDRRSDNGWLLEKDIMDMRVVWDAVPGLPTDWAKRVFNVWPSDMDAGRTGKVFIQTFGYGRYSLHLDTGKMERLETKDGKEYGHPIYAYFLAWPPAFLAADQY >cds.KYUSt_chr5.5263 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33065003:33070149:1 gene:KYUSg_chr5.5263 transcript:KYUSt_chr5.5263 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRPTTGGTTTSAALPPPRKVPLRSLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLIGHLSDRIAPADSPLGRRRPFIAAGAASIAFSVLTVGFSADLGRLFGDNVRPGSTRYGAIIVYMIGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYKIFPFTITESCGVSCANLKSAFLLDIIILAITTYVTVVTVQDNPTFGSDEAAPRPSSHEEEAFLFELFGSFKYFTMPVWMVLIVTSLTWIGWFPFILFDTDWMGREIYRGSPEIVADTQKYHDGVRMGSFGLMLNSVLLGITSVVTEKLCRKWGAGLVWGVSNIVMALCFVAMLVITYVAQNLDYGPSGAPPTGIVVASLTVFTILGAPLSITYSIPYAMATSRVENLGLGQGLAMGILNLSIVIPQIIVSLGSGPWDSLFGGGNAPSFWVAAAASFIGGLVAILGLPRARIAPKKRSQR >cds.KYUSt_chr7.33885 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211648024:211654848:-1 gene:KYUSg_chr7.33885 transcript:KYUSt_chr7.33885 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPFPCSGHINPTLKLAELLHSRGVHVTFVNTEHNHQRLRRPQGRDGFRFESVPDGLDDADRVAPDKTVRLYLSMRRTCTAPLVDLARRLGARDGVPPVTCVVISGLVSFALDVAEELGVPSFVLWGTSAVGFVCTLRLRQLRQRGYAPLKDESYLTNGYLDTPIDWIAGMPTVRLGDVSSFVRTLDPTSFALRVEEDEANSCARAHGLILNTFDELESDVLDALGDEFPRVYTIGPLAAAMHRRVDHAHGVASGLSLWEEDAACMKWLDAQPEPRSVLYVSFGSLAVLSPDQLAELAWGLAASNHPFLWIVRPGLVGGDRGMDALPEAFVAEAKDRCFIAEWCAQEQVLRHRAVGGFLTHAGWNSTTESIWAGVPMICAPGFADQYINSRYVSGEWGIGLRLDEQLRREQVAAHVEELMGGGEKGEEMRRSAAEWKARAEAATAPGGSAYENLEKLVEELRLQKPDAVKLATVTNGRFHVGAGIPDVAPHYIPSPTTFNVLLGSYWTELFFAEPIGFSYWRTNVDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPSANAREEKLEREASIPRKLEDGWEPIIKMRVNDFDCNALCDLGASISVMPKKIYDMLDLPPLKNCYLDVNLVDNVIKKPLGRIDNVRIMVNNNLVPVDFVVLDIECNASCPIILGRHFFRTVGATIDMKEGNIKYQFPLKKGMEHFPRKRMKLPYDSIIRTTYDVDTSSLDVT >cds.KYUSt_contig_319.1658 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:10938338:10938667:1 gene:KYUSg_contig_319.1658 transcript:KYUSt_contig_319.1658 gene_biotype:protein_coding transcript_biotype:protein_coding MARHQADLVRCARLPGVTAGRVCAAHDGRCVACDSMVRPVALARVCDGCGQRGGGERCVVCRAGGVADACYCKGCVQMEKDRDGCPAVVNVGEAGTDAFYERNKYGLIK >cds.KYUSt_chr2.6416 pep primary_assembly:MPB_Lper_Kyuss_1697:2:40007158:40016728:-1 gene:KYUSg_chr2.6416 transcript:KYUSt_chr2.6416 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNCRLVFVRDSIGGNEWESMLCMLPSVVPDAEASVREENRSPITKQKVYLSFRFLHHNLTVEHYRSPYLVRRGSRPRRATWHVRSVLQLGVMDASSPLWKGADVLVFNSSHLWNQDRSADRENTVLAKKRELQKLCEYNLDGSVDIKWLPTVKGRYVDIIFVKRSVVEVKWGVVEVWEHHSELADQLKGDHVDEQKTNTDGLSHVSACQEGKKLRLDMSVEGAYQRAMDTVHQWVQKEVDASKTLAIFRTYSPAHTRHAATNGRSCAMETLPELNRTKISLERWPGMLQPVFGGLDSEAASELRVMNVTLMTAQRRDGHQRCIMCNRRSLSSPPFLLISHPSSSADLSVMDDLTAEIELEIKPKSCACRGRGNIGFEEMSSGGRSPASTVHFHVGKSCLNMLVITRNLSEKSKCLFVQPSLKYLGHIISEHGTFSDPTKVAMVWSWPCHRLSYFYERKGWLVRPCLTILGMQPRYHDEEEVLVSSNLVGDMPAASSISEPEEVAGTLWKEAMDVGVTPEEAAAVVAVG >cds.KYUSt_chr6.10304 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63460657:63466262:1 gene:KYUSg_chr6.10304 transcript:KYUSt_chr6.10304 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRFRVQIEKEMAVAYEKGEASSMAGMSRSDLSLDEGLIGGATGRSPPSGALSSRCHRIRPAPSAFFPPIPAVCSGLVRRGHGDAAAPGASFGVPMADELPEPVRPSPSHPAGQDLQSPPSPSPRHAASRLEEAVPKLELQHTPGQDPVNLAPPALVHAVEVGEVPVSPAPVSSLPRPFAPAAPTTSAATLAAAVLAFRKSDPAVGGRLARPRRPHRPLTATRPPPCSIVQLTETGAFAASSLASDCCEDISNPEGLEEAPSMTCSHPQSAASTASFLLPEEVPASPAHLPHPRHRHRFVKAAAPPTGSRPPDGLLYDPAVLVEGLGSLSLLSVASGAPTEVPLHASDALSAQGLLWVASVDSDEEEDDQELAPRSSSASSKGVVTGSIHDTANVRHDEEGDEDDWIQVGRGGRPGREPSSCLRKEGHERSLAFKRWARGRCFRCLEHDHQVSTCRKPFRCIRCRRPGHRERFCHARFPATRSRSPAARARSPAAPTPCQRSRSPSAQPRRPSASRNWAEVVRSSSPPTSPPRPSPRCCEKFNVNASFDSRLQCELALMRMELAQLVAIRVEEVSRPLREEVASLKLLLARIDVSMEPTEACSTGGQDVATVQASIPFGFAEPKSSVVEITLEPHELCGDSSGVPELLELSGGVDMARSVNEVRSGSHKILITTSSPCQTFGFEKSDIVDAAVAPSPESDVPVAYEYEDIDEIIVPVVKIMPELQELSREPSPPLSMAHLQVVSLGTSMVTSASPSMEPSHLGDKVDETSALALNSEAISRELCDLVVGLEAAIPGSSKEIACLLAEKTSSGKIQKTAPGRKKSILKICPPNQSSFPSHPWPHIDPLPSHGECRQGSSLSRPSDADSGHDYALFVATTSIHTPDLGGLDLGVDYSSSKTSSIRDEEGHPGFAILNKVTGKCIKHSTGQGHPVKLLSYNPAYRRRVGAR >cds.KYUSt_chr2.41508 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258213239:258215088:1 gene:KYUSg_chr2.41508 transcript:KYUSt_chr2.41508 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNGALFLLLCAAALAAASAGDGPLLNGNFELQPSRCRMNGTRVMSAHAIPHWTVTGFVEYIETGAKQGDMILVVPEGMHAVRLGTESSIQQELSVTQGSYYSITFSAARTCAQNEKLTVSIVPGDPRGELPVQTVYTTSGWDSYAWAFQAAQGVVSFVIHHGDDQVDDPECGPIIDAVAIKTLDPPQATGDNLLKNGDFEEGPYITPGSPWGVLVPPMDEDDVSPLPGWMVMSYSKVVKYIDSEHFSVPQGSRAVELVAGLEAALVQEVDTVPGSSCRLEFSVGDAADRCAASPMSVQVATAGAKKSVPYSSAGTGGNARDALDFTAQDSRTRVVFYSEGYHMTSDGTGTLCGPVIDDVSLDCVPQTNARRLLR >cds.KYUSt_chr6.17844 pep primary_assembly:MPB_Lper_Kyuss_1697:6:112203727:112206521:1 gene:KYUSg_chr6.17844 transcript:KYUSt_chr6.17844 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRATAREIEDEFRTFGVLKSVWVARKPPGFAFIDFDETRDAKDAIRELDGKNGWRVELSTKSGSGRGRERESRGSDMKCYECGESGHFARECRLRIGSGASCMLNAFTFTSDDKQCSMQELQPTGSVSQEAKLQQITTACPQVSTASSCA >cds.KYUSt_chr6.14043 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87798866:87800027:1 gene:KYUSg_chr6.14043 transcript:KYUSt_chr6.14043 gene_biotype:protein_coding transcript_biotype:protein_coding MAETCTLALLVALVVLSLLVNPIACQSSSRKLAAKPVVKPAASAAYGSAGGWLAAGATYYGSPNGDGGEGGACGYQTAVGLPPFSSMISAGSTPLFLKGLGCGACYNVMCSSHKACSGKPVTVVITDLSPGNLYPGEPAHFDMSGTALGAMAKPGKADQLRNGGVIRVQYKRVPCKYPGVKITFRVDQGSNPFYFKTLIEFQNGDGDLKAVALKQAGSRSWMPMAHDWGALWRCNNGQRLRGPFSLQLTSGSGRKLLVNNVIPANWSPGGTYRSLVNYP >cds.KYUSt_chr5.42841 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269988565:269991256:1 gene:KYUSg_chr5.42841 transcript:KYUSt_chr5.42841 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTRSRSVAQRSSTWRSCSCRSRISRTRRRTRRPCAAACSLTTADTWRIAIQAGSGDGDGGKRTWWKGIPGGGDVEPGAKVAPTPPKACPPNSEDSVGEDSDCSGGVVGGGSVVAVCSVPVGKKAAGSLEKRDPGAEEDVLHARVRTNGVVEIQFSPLGESKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETQNRMMETKELFDWVLKQRCFEKTPFMLFLNKFDIFEEKIQKVPLTVCEWFKDYQPLAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIFRTTALDQKLVKKTFKLIDESMRRSREGA >cds.KYUSt_chr3.34617 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217120557:217121832:-1 gene:KYUSg_chr3.34617 transcript:KYUSt_chr3.34617 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTMGKIIFGKITVDTPKHEVLHIGNGYEIRKYPPCVSAEVTYLSKDMKRGRDGGLEILADYIGAFGKPHYTKPKKIAMAAPVITSSNSGNAEATAMKAPVITSSSGAEAEAISMTAPVITGEGRDRHAGKVTMQVLLPSKYTKVEETPRPTDERVVLREVGERKYGVVKFAGLTGEKVVAERAARLKAALQKDGHVVTGPYLFSRYNPPRTPTLPPLRTNETHDEKFLTFGLEADAEVAGMEQGERRGRAAPRSWSGGEGRGRAARGGQDGVEASGEEERQAAHGVAAWGEAQKPVAAARQQRKEG >cds.KYUSt_chr7.5974 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35775587:35775952:1 gene:KYUSg_chr7.5974 transcript:KYUSt_chr7.5974 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIQRASFLLQVVRSSSRSRKREGVFSEAAPWQPNSGGRVPPRLPAKLDTIVEEEYQHSSMSTTTHDGAGFQFQVPASSSAPSSSSASASSSKAAAAAGGVPRAYRFASPATGAQQPRY >cds.KYUSt_chr1.40033 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245170045:245170365:1 gene:KYUSg_chr1.40033 transcript:KYUSt_chr1.40033 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRPRPAFPPPAPTTTMLKRRSVSTTLCIMMRQRNSSHSRDRSPVVPAATPGVPAAGAHDDDAGAQSRVDHAVHHDVAKELEPLTALRSRIQETLSSRSPGSPS >cds.KYUSt_chr1.19208 pep primary_assembly:MPB_Lper_Kyuss_1697:1:112787968:112790332:-1 gene:KYUSg_chr1.19208 transcript:KYUSt_chr1.19208 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLWPRPATTAFAPPIAAGPSAAHDLQMVSRIRGVFFYMVTSAAAIVLFIAMVVVHPLVLLFDRYRRRAQHYIAKIWATLAISMFYKLEFEGMENLPPNTSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMIPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVKRGASVFFFPEGTRSKDGKLGVFKRGAFSVAAKTGVPVIPITLLGTGKLMPPGMEGNLNSGSVKVIIHRPVEGDNAEKLCSNARSVIADTLLLHGYGVH >cds.KYUSt_chr7.24702 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153988012:153991844:1 gene:KYUSg_chr7.24702 transcript:KYUSt_chr7.24702 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGEEKVASGSGGEGKVASGSGVGKVASGSGEGKADPAGALYLQYAASYGTGVYDDRQWWPWLVPTLLGACVAVFAVEMYENDCPSHGSTLGGRATCVAGFLRRFSFQPLRENPLLGPSSATLEKMGALNWAKVAYQHQGWRLISCMWLHAGLIHLVINMLSLLFIGIRLEQQFGYVRIGIIYLLSGFGGSVLSALFLRNHNISVGASGALFGLLGSMLSEIFMNWTIYSNKAAAIITLLSIIVINLAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERNGLPETNQPPKYRRYQYVLWGAALLLLLVGYAVSLAMLFKGKNGNDGCHWCRCRKIYTTSSAFSLSLTKFAFLGGEDSVLFFHLLSLGMAYFFRKKMAKR >cds.KYUSt_chr6.25726 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162995667:163000252:-1 gene:KYUSg_chr6.25726 transcript:KYUSt_chr6.25726 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLATYASSDEDGDETLPSPAPAAKPAGGIFSALPQPRSAALFSSLPPPRSGSTFSSIPPPRSSAAASSGNPKRVVQFRPPPIHQPSGASSDEEDDAEKRRPSASVALPPPSAGSGPVSSFLPAPKHSLGFGSGAARRSAVDTAAPERSSLGSAGPSSSAVNAGAPERPNTGAANEDSPEEESSDEDGMPDPAQQQEQQGLEAAAGDQQQQAYDAGLGSANPYDGYAWDPNYYANYAGNYGLDPSGNVNYGTEPQYAAYGVEQGAVYGGDGYGGEQSGGYGYSTAPPSGTEYTGAYRPEVAEMAAPPVQEPLMPPEMGRIGGKRGRRDVPMEILEVNQAELMKNRPREDKSKLTGLAFGPSYQAAPSAKGKPSKLAKRKHQIGSLYFDMRAKEMELNERRSKGVLTKAETQAKYGW >cds.KYUSt_contig_1539.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:123830:125505:-1 gene:KYUSg_contig_1539.21 transcript:KYUSt_contig_1539.21 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKPWLKPNAGSVKLTIDGSFKDGVGGAGMMLRDDTSVIVFSACKWISPCIEPLEAELQACLVGLDLALAHSSLPVIIDTDSAQMVSMIQAPGMDRSIHSHVVSEIKVLVSASRPCSFVKVIVDRRNVTSAGRPGPPAQARGCPSEQHPPVVRVLRPEFEPSAALSCPVHRSMEIQIRS >cds.KYUSt_chr1.688 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3724190:3727565:1 gene:KYUSg_chr1.688 transcript:KYUSt_chr1.688 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSICLWLQISWVETLVALTALQSEIGSHSLEGGLGERHGLQDAIQVDGLPDLEHSPCRLHCVAGNVKPFVRCCSSFLFLAEGEEEEATVSLRHPEQAGVAGAHLVLLGREVGEVVVAEILGEPPRGHLFFFAIGGRGQEHGVAAEEPEWRVAAVHTGGGSILEEKKPTHSSLYRDGLLGAKVEICPRGRSAGGDLAVVRTGVRRLYATDTTSRTGIAGTFVGGTLKYAANNSD >cds.KYUSt_chr4.6191 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36361982:36363827:-1 gene:KYUSg_chr4.6191 transcript:KYUSt_chr4.6191 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGARRSCALLVMVVAVAVVGQLAGADFAADRAECADKLMGIATCLTYVQATATARAPTPDCCSGFKQVLGTSKKCLCVLVKDRDEPALGLKVNITRAMNLPSACAIAATFSDCPKILNMAPDSKEAEIFKQYGIEHEGKNATGAATPAASGAATGTTGGKSADAKSGAGRQRRANTVVFAVVSALLVSVFILGS >cds.KYUSt_chr2.654 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4026834:4027196:-1 gene:KYUSg_chr2.654 transcript:KYUSt_chr2.654 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVSMLAGISVGKIKACNDDKAFHENRVDRGGCLKEFQRATSKCHPREIPHDGIVDVEACVKATVALRECFARNPETFRHLYLPRMDYGLDEDLKPSPEEVKQERSYSYRWWTGMRRS >cds.KYUSt_chr5.13787 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89668917:89674147:1 gene:KYUSg_chr5.13787 transcript:KYUSt_chr5.13787 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPTSPFPRVGTRKKHTIAFLLRALLLMCVAFRRVIALIRICCHIAVCVPVGSVLGVAKILFAAANERSLRCMNQAAHGRSITGTFTGDLLVGAMAHSWRVLMQGLTSLVFLCTRADEYVRPPPSPLVLTTHDKPAAHPQQVHISVVGTNNMRISWVTDDRSAPSVVEYGKSRGNYTASATGDNATYRYFLYKSGAIHHVTIGPLDPSTTYHYRCGKAGDEFTLRTPPASLPIELVVIGDLGQTGWTASTLSHISGADYDMLLLPGDLSYADTQQPLWDSFGRLVQPLASARPWMVTEGNHEVEALPVLQFTPFVAYNARWRMPHEESGSRSNLYYSFDAAGGAAHVVMLGSYVDFEEGSEQHAWLERDLAGVDRRRTPWLVVLLHAPWYNTNQAHQGEGEAMRAAMERLLYEARVDVVFSGHVHAYERFTRIFDNEADNRGPMYITIGDGGNREGLALKFIKDHKSAHLSVFQEASFGHGRLRIVNETSAVWTWHRNDDAYATVRDEVWLESLASPKLVMETAGRRLDEL >cds.KYUSt_chr4.41118 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254215883:254216581:-1 gene:KYUSg_chr4.41118 transcript:KYUSt_chr4.41118 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHCRPPAHDGPCRPINARQIGPSISPSVSTVHFTPLPSAGARDGIMAAARSLSLLLLPLAPPAPALSSRSAAPRRSRNVGGGAAGRLRLSTRLRPVAAAFEEVEQTPEMMRVASDDSTITATVVSALLTIAFVGLSLLTIGVIYLAVQDFLQKRESEKFEREEAERQKEEARKKRAKANKRKRNRR >cds.KYUSt_chr7.2400 pep primary_assembly:MPB_Lper_Kyuss_1697:7:13966920:13968246:-1 gene:KYUSg_chr7.2400 transcript:KYUSt_chr7.2400 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAFLPLYIPARSSSPATTSSLHSSCAASFPVSLPQPFLALTIRFDPILNGTAITAATEAAMDEQWMIGQPSPTLSLGLNLGRPTARRAVTTKVLVEEDFMSVKKSHEVEALEAELRRVGEENRRLGEMLRALVAKYGELQGKVTGMMAAAAATQQHQSSTTSEGGSAASPSRKRVRSDSLETAGGNRNPSPPLAAAGSGQFAVTVAVGPDQTECTSVHEPSKRVRADECKPSRVSKSYVHADPADLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPGCPVKKKVQRSADDVTVLVATYEGDHNHGQPAAKHEAGKKSDASAGAAVRISPPAPVVQQLQHHHQQQQQLKQEAAAEAERKNLAEQMAATLTRDPGFKAALVSALSGRILELTPSNS >cds.KYUSt_chr4.1633 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8626216:8627149:-1 gene:KYUSg_chr4.1633 transcript:KYUSt_chr4.1633 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLRWLKKVCHESQQDNKRPADSEHFSVPPIHTRHMKSNSASTSDEEREDMVPISWRMKRRHLETKHEDASYEVKPKVYPSTSSCSQQEFAEATRDAASVVRPKRVKIRFTPSANRLVEQQDSSGQGFASDDKSPGYWRTY >cds.KYUSt_chr4.16312 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101331582:101338603:1 gene:KYUSg_chr4.16312 transcript:KYUSt_chr4.16312 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGISGVAPHYIPPPSTFNVLLGSSWFHVGAGIPGVAPHYTLSPTTFTWPSSPTGLHGEKDAADIHARADSVMRLASAGNCSASRHADPTGPLRLEDKKPGDDDAGDAA >cds.KYUSt_chr7.4079 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24433737:24435072:1 gene:KYUSg_chr7.4079 transcript:KYUSt_chr7.4079 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGGMGGGGGRGKLENESNGFYDMPYYHKVGESSHMSVDSADNMNSMNFVGGSVAMSVDNSSVASNESRTVMLNHPGLRDAPTPNYSVCNSVIYPNRAAASVLKEDALARVLMDPTHPTEILTNYEERTIDLGKLDMGAPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDLERAQLMEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQTKSVPLRLAVKQALDVARGMAYVHALGSIHRDLKSDNLLISADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELMTGMLPFTNMTAVQAAFAVVNKNARPPIPQDCLPSLSHIMTRCWDANPEVRPSFNEVVTMLETAEMEVVSNVRKARFRCCISEPMTTD >cds.KYUSt_chr1.28834 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174447351:174448598:1 gene:KYUSg_chr1.28834 transcript:KYUSt_chr1.28834 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRPRPPTSPTPAQPPSQAKVLDDDNLLCEIMARLAFPTDLVRAALVSKRWLGHASDPAFLRRFRKLHPLRPLGFYIATAGTTAPRFVQMLPQHPELTAVCRRASFELDSFIWDCSNGSVIIISEQGGNGLTSRVYSPLFPSRSMPVVPELPPLDHVTPANYTFEKLLFREGDPSGLPYLWLLMQSIDHGYTVHVYMLQGGVWSKHASVTTEFPYLPSEPKPLLIDNKIYMAGALSRQSIVLADYTRCVAAILRGILVVDLKDSSFFTIQLPEGVEFLDRDVQLAKTDDDSGVYLIHLKDLKLRIWLHSSSTSIWSLVDSICLREMFAALRTADHTVGDEHTVVRMKEAGDNAEFIVLVMGTSILYLDIKRRELLKVDLTAHEQFFVHIYPFMMVWPPTFPATKDAAARFVSV >cds.KYUSt_chr6.28495 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180550738:180551124:1 gene:KYUSg_chr6.28495 transcript:KYUSt_chr6.28495 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHSRASSNKIRDIVRLQQLLKKWKRLALAPKAGKHGGSCAAAGVPKGFFAVCVGEEMKRFVIPTEYLGHWAFEELLREAEEEFGFQHEGALRIPCDVEVFEGILRLVGRKEDAACYCSSERELLCI >cds.KYUSt_chr7.33262 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207536594:207536986:-1 gene:KYUSg_chr7.33262 transcript:KYUSt_chr7.33262 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSRGSVSEEEINELISKLQSLLPTARRRGGSSSSSSQASTAKMLKETCSYIKTLNREVEDLSDRLTDLMATMDQNSPAAEIIRSLLR >cds.KYUSt_chr4.41261 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255248844:255254264:-1 gene:KYUSg_chr4.41261 transcript:KYUSt_chr4.41261 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAAGGQGGGASGSAMDAALLDDIIRRLLEVRTARPGKQVQLSESEIRQLCTASRDIFLTQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTECFNCLPVAALIDDKILCMHGGLSPDLQHLDEIKNLPRPTDVPDTGLLCDLLWSDPGKDVNGWGMNDRGVSYTFGHDKVTEFLAKHDLDLICRAHQVVEDGSFAKKLSKQNNIIASICRSKIRRNREYPAKTYSPPTASVLMLGSGFAPAFLNGAKDDGRGGGGDGEGEVAMMEHLFDRVLTPSDMGDLLMIPRQHIAKLTNVPVNSEQADFVVLEDRAVAGKLWRIDSYTISDRLGLTKGWGLGFFVKEKGLAAGDTVSFFRGAMDGRLFIDCRRRQPDVWTPRSVSADELHRGIFPWPPAAISYGGGHEGRVDMPGPVVNQATPESPQQQVPCLGLDEMPAHLLPRRRPRRNRVQPDEPVVMVETPTILESSPLVHSPEAKRVRLFGVYLN >cds.KYUSt_chr7.1652 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9365013:9365513:-1 gene:KYUSg_chr7.1652 transcript:KYUSt_chr7.1652 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVHRMPWHHTEAACALSRVLMVKVYRTTTVRRVKELVEAAEGVPVATQRAYHNRGPATGYTDKNLEDGQTMEELGIMEWSNETVINQPFVSIEYRLEAGAAAAAERRARVPRVLFRGSHAEYNKIVMQRLLAEREKPDSMPLVPPLMRSRVLNVYLGARDPLLP >cds.KYUSt_chr4.41958 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259415326:259419137:-1 gene:KYUSg_chr4.41958 transcript:KYUSt_chr4.41958 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMSYSRGSRQSSYSRYRSRSRSVDSSDIENPGNNLYVTGLSSRITDRDLEKHFSAEGEVIDASIVLDPWTRESRGFGFVTMATLKEAERCIKYLDSSVLEGRVITVEKGRKTRLFSKCVAMHELLAQLLSSIRRIEELFSEERI >cds.KYUSt_chr4.53276 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329919960:329924487:-1 gene:KYUSg_chr4.53276 transcript:KYUSt_chr4.53276 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLAFHPRRPWILASLHSGVVQMWDYRMGTLLDRFDEHDGPVRGVHFHKTQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHDEHPWIVSASDDQTIRVWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKSSSPADDIMRLTQMNTDLFGGIDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVLFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWVLAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRFYEYSAQKEVQVAPIRRPGSVTLNQSPRSLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRADYLQDAKKGAGGSAVFIARNRFAVLEKSSNQVLVKSLKNEIVKKSPLPIATDAIYYAGTGNILCKAEDRVAIFDLQQRLVLGELQASAVKYVVWSSDMESVALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYSTLNHIKYCLPNGDNGIIKTLDVPIYITKVAGNIICCLDRDGKNKMITVDASEYIFKLALLKKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYKLGIEALRQGNVGIVEYAYQRTKNFDRLAFLYLITGYLDKVGFMSKIAGQNNNLMGQFHNALYLGDARKRVEILENAGQLPLAYVTAATHGLPEIADRLAGELGENVPSLPEGKTSSLLIPPAPLTACSDWPLLRVMRGIFDGGLDATGRQEQEEDYEDAGAGGDWGDEDLEIVDVSNVMENGDAAHVEGSETNEEDGEEEGGWDLEDLELPPEADTPKATGPARSALFVAPTPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIRNFAPLKTLFLDAHMGSHTYLRAFASAPVIPVAVEKGWSESASPNVRGPPALVFSFSQMDDKLKAAYKATTEGKFPEALRQFLNILYTIPLLVVDSRREVDEVKELIEIVREYVLGLRMEVKRKELKNDATRQQELAAYFTNCKLQKVHMRLVLTSAMGLCFKGGNYATAANFARMLLENSPNEAQAKKARQVLQACGDRKDGHQLNYDFRNPFVVCGATFIPIYRGQKDVSCPYCTSRFVPSIEGQLCTICELSVVGADASGLLCSPTQSR >cds.KYUSt_chr2.40942 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254429784:254430214:-1 gene:KYUSg_chr2.40942 transcript:KYUSt_chr2.40942 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVITKFAVMSMLMWMVPVAIVYGFNHQIFPGVGQLSPSAQTLASGFLAVISVNLVIGFYIYMAMKETPHQEPQPDPTFLANAKASINQPTSSQVSDDSHGKGKVE >cds.KYUSt_chr5.11970 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77805711:77820266:-1 gene:KYUSg_chr5.11970 transcript:KYUSt_chr5.11970 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYGPPGTGKTTTALAIAFQLYGPELYKSRVLELNASDERGINVVRTKIKDFAAVAVGSARKAGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEDVMTNRILHICNEEGLTLDAQALSTLSAISQGDLRRAITYLQSAARLFGSSISSNDLISVSGAIPEDIVKSLLAACKSGEFDVANKEVSNIIADGYPVSQLLSQFLDVIVNADDIPDEHKARICKKLGEADKCLVDGADEYLQLLDMASETIRALLNIPQVARSHVPARPVPPWPPSVAGTQSKLPPPLPPPPPPAPATMPRKASSSSDAKLKWRKRKRSQDASPSKPDHSDDSDSAPDDDAPHASANGAETLDDDDAEVLSSSDPISAFPAAARRTITRVHPSVLAAVAADRAVSAGDATTAAPALENISHGQLQVLAAMLPDHPSLSNDPDRPASYVCAVPPLMEGQGVPKQFYGKLLLVPRHAGSFLSTPRTSARPPPTNPNHYYNGTLADGLIHSRCADWFSPATVHRLERQVVPHFFSGKSPGNTPEKYIMLRNKVIVKYLERPARRLAFAECQGLVTNTTELYDLSRIVRFLDTWGIINYLAAGSVHRGLRLATSLVREEQTGELQLQSAPLKSIDGLVLFDRPKCSVRAEDIASVASTSSALEVPNGDTTHLADLDEKIWERLSESFCSYCLQPLPSLHYESQKEADIALCSDCFHDARFVPGHSSLDFQRVDGTKDGLDNDGDSWTDDETLLLLEGVEKYNDNWNGIADHVGTKSKAQCIHHFIRLPVEDGLLESMEVPEASVSSRVQSNGFSHSNSNGSISGSFPQSSQPGQQLPFVNSANPVMSLVAFLASAVGPRIAASCANAALSVLTRDDSRMCPEGNDAMGQAARPNYDASSSVSPENVKYAAMCGLSAAATKCKLFADQEEREIQRLAATIINHQLKRLELKLKQFAEVETLLLKESEQVERGRQNLNAQRTRVMSARFGSSGGPMPGGSSTMASNPMNQANPRPPAMQGAMPPANMQAYYANNMQGHPAQLAFLQQQQQQQRQQQQQQQQHMLSFGPRLPLSAIHPGSTSAPSVMFNPGTPNSATQSHHPMLRPPTGNNSSFG >cds.KYUSt_chr1.24637 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147476976:147481137:1 gene:KYUSg_chr1.24637 transcript:KYUSt_chr1.24637 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVWETASERSGVDSLSSAGGTTATEAPEEDAGGEEVVKVFVAVPEQHKNGKSTLAWALRHLAALASDGNAGAVVVVVAHVHAPAQMIPVMGSKFHASKLRPEQVSAYRQYERGKVNKHLDDYIRQCSKMKIKGKKLVIQNEDIAKGIAELVSKHGVNKLVMGAAADKHYSRSKTALAVIQQADPSCKIWFVCKEHLISTREAGAVRSQIPPLSLVSAHPNRHAVRNGVEGCIQRSLSEKLSPLLVPCRSAMRRTFSILSMEDISVGSWDSGRRGSFPSSCREEASSHSSSSFELPIDDVFAVHQNTAPCHDQVKISEDVSKQTREVDEAIAKANEDMKLLKQEMEAMRRNRDDAVEKLSEVKEEKEEMVAASRYLVDSQRVRLQQLEDERDTALERVEEIRSMASGLNLALFSEFSRSELRQATRNFSDTMKIGEGGFGCVYKGVLRNTTVAIKMLHSESSQGKSQFQQELSVLSRVRHPNIVTLMGCCPEASGLVYEFLPNGSLEDRLACRNETPPLSWQARTRIIGEICSALVFLHSGELSPAIHGDLKPANILLDANLVSKLGDLGASRLPTMTNPGSTPYTDPEYLTTGELMARSDVYSLGIIMLRLVTGQPPLGIARKVEDALEKGEMETLVDRSAGEWPFEQAEKLMLLGLQCAEVSRRRRPERMSQVWRVVEPLAKAASVSLAAQSLAPGESNPPFYFICPISQEVMRNPHTAADGFTYEAEVIKGWLDSGHDTSPMTKLALAHRHITPNYALRSAIEDYMKQHQHQGKPPPQSVRSDR >cds.KYUSt_chr2.15831 pep primary_assembly:MPB_Lper_Kyuss_1697:2:99563339:99563680:-1 gene:KYUSg_chr2.15831 transcript:KYUSt_chr2.15831 gene_biotype:protein_coding transcript_biotype:protein_coding MSAERGCKEEKEEGEDRKPVIKPGVHVTLKVQDTDGHSVYRTMRRTDKLQGLMDFYYASVPAVAPGTGRFLYDGGRLSGEETPAQLEMDDGDEVDFFTELMGGGGWVAGSITA >cds.KYUSt_chr2.39450 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244577204:244578748:-1 gene:KYUSg_chr2.39450 transcript:KYUSt_chr2.39450 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSPPRSTPVPIPASLSGGKWPPSPPTPGTRYPPGIHGDLHIDDQACRCCCGNGADDKVIEVPPATSWKRENEREEEERGAKKQKTNTGFQATVSLKERMASMIAGNLVEAYVLKNAAREKIKRAGEASAGDDTAKKGGDRAGEGNKTPEGSKQKRGSFFGLAKKVHPKVDQGGASS >cds.KYUSt_chr4.17758 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111207176:111209130:1 gene:KYUSg_chr4.17758 transcript:KYUSt_chr4.17758 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGNASERRVVLAVNGARYEAAGVELSMSLLEYLRTRTTVRGPKLGCGEDGFQAPIARSQQLAAGRTPALTPVDRRPPAFRAGVLVLPSRASGFPLPSRDSRRRPPTHLVAGVPLVLASFVPFVSSSSVTPPELRSS >cds.KYUSt_contig_2627.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000421.1:81886:82605:-1 gene:KYUSg_contig_2627.7 transcript:KYUSt_contig_2627.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSSSGSRSASRSGGSTPATVKKEWPSPSTVKKEPASPPTTRGRSSGALVIRDQPSSPQRGRKRKSSKKEAAAAAINDAANKLAEEDAAVAEAIARSLKDLVPADNALPEDAALEWSRRDWEEAEQQQRLLDLAAARQLAARASAPTADNTLREDVARYRRPATPPSGVAVPVVDLEASDDEWYKPSPSPPRISGRWGDAGQGSSQAAPPQFDDDGSDDDGGDGGDYTVFYRHFGT >cds.KYUSt_chr5.22117 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144301626:144305135:1 gene:KYUSg_chr5.22117 transcript:KYUSt_chr5.22117 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAVEDAMAVKHPAEDVVMTENMSTASRGSPSIEMRPGDAPASLGEVTIDPLEASEADAEACCSLARAASSLRSRYSHHEGRGGPIPTLLVLDALLIVARPRFVVVLRLATTLLTPPFLAPLVLSPDIPPSSILPSHALSTPLSVVVITVPPLVALVTTAAIATVPLHHHFCKRACESIKSTPAQNFRSISLPSNTISRLVRSCTGRVEKSMAIGSMLSRKRKPLYAATEVFNVCPERAREAVRKRFQSELVAVRRLLEKAVALPVPVPRSEEPPAKRSKEASTAPVVIARKMMAEEEDDVDIPGGASPMAIALAPPRLQPAEDKGARELVRQRFQSELVAVRRLLKKAAALPVPRSEEPPAKRNKKASTAPVVAPKMMMAEEKEEDEDEDEHVDIRGDASPEKMPKCLGDDAAISGSPSSSSSSGCDSDSDSSSDSDTDSESESVDKAEEDVEKEEEVDRCGGVSPMVIVPAPLQLAEDDDMDICGDASPVVLPKNLGVDAIISGSPSSSSSSSPSSSSSSSDSDSDSASDGSSSCSSSSSDSDDSDSDETVDGPAPAERTATPPRTVLVARAMESQEKQLKEARSRAREKARQEVLKTERTAMPDSERVHRTVFKSLDIKEYNVAMPENVLHQLGLFLKPDDDGDQEEELHRQSFEEDLEEGEIRL >cds.KYUSt_chr7.13036 pep primary_assembly:MPB_Lper_Kyuss_1697:7:80404526:80404978:-1 gene:KYUSg_chr7.13036 transcript:KYUSt_chr7.13036 gene_biotype:protein_coding transcript_biotype:protein_coding MDHANHASLPPPFNPFCVPLETLAFLPLVAATALFFPTFFSFYVRQTKTTPVLSSPPTRHFRPPLIILRAPQEPPLLPDHPRARNRARAPRAVAKVTDSPRRPSPFFSNSGRPSPSPAIPPPAAEPPRQEAPSTPSVSSWFTPSDEAMVD >cds.KYUSt_contig_195.162 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1003629:1006295:-1 gene:KYUSg_contig_195.162 transcript:KYUSt_contig_195.162 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGDVSPPKPRRKVRRSALKDRERGMEVIARGRRFVLPSDAKLAGPVVYWLNRMSVDVYNCSADLMETKNNLKAAMAGAEETDKAVLKLVITFINKQIRELRKQGFRLRRYVLDVEQGVCVNEPLDWVRP >cds.KYUSt_contig_988.243 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1398111:1399225:-1 gene:KYUSg_contig_988.243 transcript:KYUSt_contig_988.243 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQPTGQEMSWADFCTLFRRAYVPESTIGLMKQKFRALKQERRSVDEYLAEFEHLSRYAPRDVEDEKEKIHAFLNGLCEDLHEKLVTHDFPDFRTLVDKARLAERASISSEIARKRKREAFQASKAGSSSSCQDKPVPNSSSGKRFHNQQPYTHPRHQQQQLQQHNQRQGGGQFKDNKNKNVECWNCHERGHFSTSCTIRRRLENTLGLTRRLLEVPLQGLDLLPRSMPVVLTSITSRSLQRRSPRRSFRRFALSYDLPRSKLSSPMLVQAPGSNLDSDTICLGVELSIMDVTSWADLIVIGSRDIDIILGMDWLSKYKGKIDCARRSIRLTNDSGETIRFSLKL >cds.KYUSt_chr2.3001 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17655079:17665311:-1 gene:KYUSg_chr2.3001 transcript:KYUSt_chr2.3001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGYIGRRIVKASLAQGHETFVLMRPEIGLDIDKLLMLLAFKAQGARLVEASLDDHHALVAAVKQVDVVVSAMSGVHFRSHNLMLQLKLVEAIKEAGNVKIRRDPPLQRRGDQMNPWPSQRHQEIAADKVDEEAGMEKSRVLVVGGTGYIGRRIVKASLAQGHETFVLMRPEIGLDIDKLLMLLVFKAQGARLVEASLDDHHALVAAVKQVDVVVSAMSGVHFRSHNLMLQLKLVEAIKEAGNVKRFLPSEFGMDPARMGHALEPGRVTFDEKMEIRRRIQEANIPHTYISANCFATYFVPNLCQMCTLLPPKEKVHIYGDGNVKAVFMDEDDVGTYTIKCIDDPRALNKTIYLRPTENILSQNELIAKWENLSGKVLEKIPITCDEFLASMEGMDFANQVGVGHYYHIFYEGCLTNFDIEEDGEEEASLLYPEVQYTRMDECMKRYL >cds.KYUSt_chr5.17824 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115163700:115168750:-1 gene:KYUSg_chr5.17824 transcript:KYUSt_chr5.17824 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAPSSASEGGSPAASAAAAAAAASAFPATSLYVGDLDVSVQDAQLFDVFAQIGGVVSVRVCRDVTTRKSLGYAYVNYNTPADAARALEMLNFTPINGRPIRIMYSNRDPSLRKSGNANIFIKNLDKSIDNKALSDTFCVFGNILSCKVATDASGESKGYGFVQYERDEAAHAAIEKLNGMLMNDKKVYVGPFVRKQERDNSPGNVKFNNVFVKNLAETTTEDDLKEIFGKFGTITSVVVMREGDGRSKCFGFVNFESPDEAALAVQDLNGKKFGEKEWYVGRAQKKSEREMELKEKFEKNLQEAADKYQNTNLYLKNLDDTVDDEKLRELFAEYGTITSCKVMRDSNGASRGSGFVAFKTAEEASRALSEMNNKMVGNKPLYVALAQRKEDRKARLQAQFSQMRPVPMAPNVGPRMAMFPPGVPGVGQQLFYGQPPQAFMNPQPGFGFQQPLMPGMRPGGGPMPNFMMPMVQQGQQQRPAGRRAGPGGMQQPMPMGQQQMIARGGGGRGYRYPSGRGMPDPAMHGVGGVMPSPYEMGGMPMRDTAVSQPIPIGALATALANAPPDQQRMMLGENLYPLVDQLEHDQAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRSAQHNTNQSPEQQLASLSLNDGLISS >cds.KYUSt_contig_786.370 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1996291:1996797:1 gene:KYUSg_contig_786.370 transcript:KYUSt_contig_786.370 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPIATTGFLRETAFLDLPLVNATAPRRCLAGATPGGVDRLESYDVLLVLSVMARAPQGGPRWDLEIHVSVERWLVWMACSWFFCCSLPGDEGVLLLPSRKYLLLPSRRLHQSDFTLRLEKRVPFCFALSLTVPGVTHPNMMLQCPDFVSQLSKRNSGTSNRAGSI >cds.KYUSt_chr2.54940 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342570746:342571705:1 gene:KYUSg_chr2.54940 transcript:KYUSt_chr2.54940 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYSSRLRGGGGGGGLGATTLLAAKVAFASAALAAAASLARATLPQIVSGTDNFLWKLYLFVTVHVIIFVIWKLSDNKHFHAAAQQHKDPWAPVPHNPAHPLLTEQAVLSSMKRKVEFAPAAAVVRDEKYSVPPPVSRPHLEPAASPVDEVVVSPGSCGGESCVTTESEEDAPCSAVAASANFATADTWRSVEPAPAPARKRAVAVPAPIPVRQRAEVERVVSLPPPPATAEHFDGADQLDGADDDLDATWNAIMQKKRPSTAPASSPSAPAPRSSPPPPAPSPRPRAREPSVGAAELSKRSEDFIKKIHNSFGRHQ >cds.KYUSt_chr5.26387 pep primary_assembly:MPB_Lper_Kyuss_1697:5:167039901:167041359:-1 gene:KYUSg_chr5.26387 transcript:KYUSt_chr5.26387 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYEVHQDPGEEGTPWSRGGEEQRDVKMDVELDPTSSEAREGRKGASWPRTRSDRTPHRAARSKPDSPLTATGRSTEMPGPSPVTLRSRTRSGPDAPVPDLEGECNRLRGEIAQWKKLQEETLVCLELSNACFEDAVAKRHELLLDGQRLREENLTLNGKLNQAMDDAMLAKASLWIPT >cds.KYUSt_contig_2008.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:176157:179653:1 gene:KYUSg_contig_2008.22 transcript:KYUSt_contig_2008.22 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDLHLRLSQAQRVRLEAALHELHTLNPAAAAAAVNVADNIPVNHEDSILKGHGTSDRDGEVVATLCGVVERVQSLVSVRTLRARYKPEIGDIIVGRVSEIAPKRWRLEINFSQGAVLMLSSVNLPDGVQRRRTAVDELNMRSIFAENDVVCAEVRGYLPDGSLELHASSQKYGKLQRGQLLTVPAYLVKQWNQHFHHLKQYNVDLILGCNGFIWVGEHVVVAENTDVKEDQQKLAMEADNFTPIETRTHICRLANAVRVLSALGFTLTVELIIQTAEASLPSTVEINEMLGAEFYVQTAEREAKRRASSLRKMNGAS >cds.KYUSt_chr6.22247 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140521420:140521928:-1 gene:KYUSg_chr6.22247 transcript:KYUSt_chr6.22247 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSAASIGALAAATAMAVSGSLVLFSLRRFAKPASEEPASPLRSCLASSEKRARRKGERRVRFAEGVVDNEGAAPPVRRSPSPPPAPAPEPTCRTTDVAGHRMPANREALYRGMLRERSVHRTAYSY >cds.KYUSt_chr4.3292 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18698621:18699551:1 gene:KYUSg_chr4.3292 transcript:KYUSt_chr4.3292 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDEYRCFIGNLSWSTTDESLKDAFRKFGNVTEAKVVLDKFSGRSRGFAFVTFDEKKDMEAAIEDMNGLDLDGRAITVDKAQPQGVGRDRNGDRDDRDRGSRGDHGRDYGGGRAPRGGGGGGDCFKCGKPGHFARECPDGDGGGRGDRYGGRESRDDRYGGGSRHGSDRGGDRPWR >cds.KYUSt_chr2.795 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4680600:4683533:1 gene:KYUSg_chr2.795 transcript:KYUSt_chr2.795 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRIAKDLKLDRSAMDLFDKQDEEDDFNGLDRGSRAEILEVAKLIFQILDGRRCLMILCNGSDSEVDLAASGIPVFDWRCKVLWTFQGRFRLDPKIRDKVKSAHVFLSVRVLRSLLPLVHQEAAQINHDANSTVIADCWFYMSLLQYKYDNSIDYYQDTHASNYWVCDGIVSGDNAWEIGGQLQEAMRLNYIQNEYLEFIRRTINRQQKKSRWVSITSKNAKVQNIQCVPKETTSYFLALEKSDHPAILPKHLFGQSSKLRVLSISWCTFNFASPPFICCNNLRFVYIDSCKDEDLEIIGEGHDTEWTFLKSLWVLDIRHTRWDWILSPTKMVLMIELRELNLTNTGAIRSGWCMDKLDMTWLCDLRRLRVINSSTFLTTLVEESFMGMHKLELLDLSGNSAMQVLPNLSAAIGLKVLILDGCDGLQHVKPDVMSTSLESFSFDGYGKASRWKNSMQIPEKEVRPSSRYNQELPKVSKISLEGCARLKNVFLRGLPNLVELNLSETAIETLDLKNLKVENLVCLFLIGCENLRRVCWLDAEDPPLRSLCVDTRGKPTRSKLDGDCQRSHSYFEEDYTDVSFYTDYVFYSDYTHVVATDIRFFRGFHVFGSNFRLYISSTLSIRQLLEIEETENDGSDNELYLIPVVGSSSFPYSDVFEEVSEEGNSEDISKQISNQLLPSKRHIEFSKGGCNWELKSGLEIMISLMNSAQSLHVHDSCSITAANLELQQAKQFKHLRWCRIERCPKIHTAFVAETYHMSPSFFKSLEILWVSHLLAARSIWSRSLSIREKPVVPFSQSKNKIDRYKNAFSKLQHIHLHACPRLTFVLPWSFPTLDSLETMHITYCGELRQIFPNGDERYWQQLATNIEFPNLRTIHLQELPMLQHICEINMTAPMLETIKLRGCWSLRRLPAIHAGRPQYKPMAVVDCEKDWWDMLHWDGLEASRRLFSPLHSRYYKKTIPRGSLLRCATCLLPNI >cds.KYUSt_chr2.5654 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34886021:34886929:-1 gene:KYUSg_chr2.5654 transcript:KYUSt_chr2.5654 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLRARNGAAAALPLPGIANRCSYSTGSTSQRLAGKVAVITGGASGIGKATAEEFVRNGAKVVLADVQDDLGRALAAELGHESASYTRCNVTDEAQVAAAVDLAVSRHGKLDIMFNNAGVVGALARTRLADQDLTDFDHVMAVNTRGVMAGVKHAARVMAPRRAGSIICTASVAGVLGSVAPHPYSVSKAAVVGLVRAVAGEMARSGVRVNAISPNYIATPLVMRLLAEWYPEESAEGHRRIVEAGINEMAGVVLAPEDVARAALYLASDEARYVNGHNLVVDGGFTVGKVPNMPAVPAE >cds.KYUSt_chr5.28213 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178537475:178538758:-1 gene:KYUSg_chr5.28213 transcript:KYUSt_chr5.28213 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGEDDFDSRGGGGGGGVDSSPLSALLRPTPSPSSAGAFSLHGSLYGLQDFTSAPPQHQQQQHHHQQQQQPQQQASWSSAQFMAGAPSSSSQRAAPPSDAGAGSSAHHQAPDPAAPAPAPPRGSRKRARASRRAPTTVLTTDTSNFRAMVQEFTGIPNPPFAAGAGVPGAPFGTRFDHIFSSSSNAALRSAADPASSLPPYLLRPFAQKLQTAQTPSPFASFASPSSSTPPGGPNANVNATMGTAAATTANLRAGDDFQLPSSALLRMQQDHQSGSSYLSFQNLLGSTQPTSQQQHMFGGMSHAAPRMHDQSPSQLLSGLTHGHGGMMVSEGMQHMHQQHQQRTDVHQQHQGGDELSGLVRAGASGSGSCKPNYSASHPGASSSSAAATTDAPPDAASRPGRGEGPLDPWLCTSE >cds.KYUSt_chr6.6030 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36214249:36216972:1 gene:KYUSg_chr6.6030 transcript:KYUSt_chr6.6030 gene_biotype:protein_coding transcript_biotype:protein_coding MAESERHASDPNQEFSMLDEIVTQTQHYSELLHDELATKSTADEASLTEQEKWEREQARLVPLMTGVKLKPYQIKGIKWLISMRKNGLNAILEDPMEFGAVIQTLGFLAHLKGNGLHGPYMIVLSQDGDAIPNWLKGIRFDFGDKKDKDQRTFEERQAHLSKLHAILRPFILRQMEDVENKVPQKKSATCDTSKVVQHSNAIGVTCSKKHATKEATRAGAESCQALVAKVPKKKGATRADALSVTRSRDHAAIEGANTGAKSCQAVAAEVPQKKGATCSDWIIATRSKDHAAIEGTRTAAEGCQELAAEVMSETGGESSSNMRSSQLSLEGNKLVKRQRTNDALIGPLEESNPAVHVQVRKSNSSELIFKTLKEIPGLARADILRAYSTLIRDDRLFESLIALPMDMRKDWLLMEIANK >cds.KYUSt_chr2.11152 pep primary_assembly:MPB_Lper_Kyuss_1697:2:70808194:70809194:1 gene:KYUSg_chr2.11152 transcript:KYUSt_chr2.11152 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLRRVRFEDAGDIMGHGTSVQPSLTTGEFSPIVPGVAGLNAGKSTMRAPGEAGAVGRKRVVKPTKAAAPSYCPSAKPTRSEEASSVPSVPRRVAPALPDPAPRRSAGPRANGLPGAGAGGGEGEGQGEAHHHHGDAKEGRGAGADGADEEKRLQYLSKEEASVHARMRRGRSSRDAPCGTSSSYPYSLRQFPHLQNGSQKMVVVIERDGGVRRRDPDRELGVGLD >cds.KYUSt_chr3.35585 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223440273:223443055:-1 gene:KYUSg_chr3.35585 transcript:KYUSt_chr3.35585 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKADPVWIRAPNVSFPTMGANYHCVNDKYAMEGTSQHVERGACKTGKQHSTAAMATISAAVATTVVARAVITRPQALGLPQLSTRSQRVRCSYSKDAKEATPAAAVRGAGASLLAAAGAVTASAAPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSGLDEDEESGGLSL >cds.KYUSt_chr7.5041 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30105072:30106505:1 gene:KYUSg_chr7.5041 transcript:KYUSt_chr7.5041 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVDRYLKQRAAPTEEERLRSLQRLLLRLRVVVEEADVRLIASQAMLHQLSTLKKEMYRGYYALDIFSCRAHNGEDRRKDHEANNSFIPSDFNPAKRVCLFRGNSRGAGQAKLLEQVLGSVRDTIEDMSEFVIFLSRCPRLHRQPYSMHLLLNKCMFGRQMEMERIMYFLLQEESAPGAGEDLTVLPIIGPSKVGKSTLIEHACEDARVRNHFSQILCFNSDDLKAESVETIRDGGRIKHQNCGMGGGRTLIIIDQLLDIKESLWKRLYSDARCGTVSGSKIIVASRSDKIASFGTTHPLRLQFFTQEAYWYFFKVRTFGSTSAEDHPELTTIAMDIARLLNGCLLGANIYSGLLKANFNLRFWRMALATLGNIHQKNVLLYGERFSDAWEYEEPAYLRRAKKTSSEYFVIFSTYQTCSAETEAEDPKTMSVQDLLFGRVRPPAEFKVRALTSHLPPHYNYMFNCGMQALPKRVE >cds.KYUSt_chr3.22089 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136058683:136062641:1 gene:KYUSg_chr3.22089 transcript:KYUSt_chr3.22089 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSYCRQTQEVLKEWVEPGLKAERRRSGSRRGEMVRRGARIRSRRLQRSLNGGYRGGGGGGAGQGRRCWLGAGGAGRSRRCWLGEDRRRCWTRPEVLHRAVLLQGPEVPAGGGGVAGNRTPSKTMMREDDRDPD >cds.KYUSt_chr4.43510 pep primary_assembly:MPB_Lper_Kyuss_1697:4:269806286:269816488:1 gene:KYUSg_chr4.43510 transcript:KYUSt_chr4.43510 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPGARGVAAGRREEAPSVAPLRRRAARSRKRADGCGDRRRWARPETGRRGRIRRGDDRRRRRPERAARRDGRRQADPRRRQPEATRTKIQVGASSPRFSLATKGVLLAGVQGELWRLSDGAGKVAGMIGWLPEKAVTFVDNHDTGSTQGLWPFLADKVMQGYAYILTHPGAPLHRKACNSSFIPVYLGTGLMDLYIRMEINKIYFQSRSIMVSNNKGKGLSDEDIQDPEWKEVDESVKEEDEEEVEEDSRAYPRATIASIEVVENPFSAKRSARIRTRGRVPPLPSNWDIDRSNTAGRMKPEAEEWGNNSKSWGSPSDILLNRVEHNSEMIRNLMYKIDELQELVEKLVKNSSSPPPKE >cds.KYUSt_chr2.7965 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49918822:49920020:1 gene:KYUSg_chr2.7965 transcript:KYUSt_chr2.7965 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMQIILDCEDCGEELCVLAIKILTQLDMENRESFICKLLAIFTANKDSHIRGLAGEKLATLSVESEHNAKIILNKNDDFVRRLTEVLLQDESNECRITAAWILESLCIRHYTDEDDECVKRLKEIMIDVMPKVLREVLHYGPNTKEAAEDTGLSTQASCESCDLEKGQRGDDSQDSIRNYYTSAEPGDVHCNDGQLQAELLSFSVMVFDKLIDADPNLPQKVVAIAPQDSVFSLARKLKEMVERYRLSAHPPVDCLRMLKLTTKIVIAIMKSIDWHWDEDMESLKISLSKALEKMSDFDGLMIISSAGRDHGVVEKRSDVTLGSLIKEAFVLLDKIKSRKLEIVPVIALSRET >cds.KYUSt_chr4.50351 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311904180:311905128:-1 gene:KYUSg_chr4.50351 transcript:KYUSt_chr4.50351 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVDEDMLLFPSFSFPDQSSPADAATPCSGEFGGEQKKAGRQRRRRKARQSASAGDGDDAAAKKRKLSDEQAQFLEMSFRKERKLETPRKLKLAAELGLDTKQVAVWFQNRRARYKSKLIEEEFSKLRAAHDSVVVHNCHLEAELLRLQARLAEAEEEKSKLIAAAATSGCGGGMSSPSSSSLSTVTHTPAAVVVGQFGMEEVEADLTYMSEYDYNSYMMDLAAGGYFGGVYDQFCS >cds.KYUSt_chr2.30736 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189295764:189299136:-1 gene:KYUSg_chr2.30736 transcript:KYUSt_chr2.30736 gene_biotype:protein_coding transcript_biotype:protein_coding MHARALATPFCFSSPAGGRGQPEERHIDAERRKLQLEDAAEAFGVMLTLHKSEPEMYTDTFIAALVANLLGVGTETTSTTTEWAMALLLNHPAVLNKAQAEIDARLGADPGRLLDKTDLPHLPYLHCVITETLRLRPAAPLLLPHEAAADCKLHGYDVAAGTIVLVNAHVINRDPATWGPAPDEFRPERFEHGAADGKLMISFGMGRRKCPGESLAMRTMGLVLGTLIQVLLLGKGLGMKTSTWPPALAPSCSRLTLSKPYAHRDQACMPSFTISEQARNATVKGIIKIMENLLHDTGYFLVFAETHRTIMYLPESSYLMDMVDIVRRDDDDDDDDDGDAEADVIDVDDAVDVEVAADKSATVGDEVVDVTVDEVATAAGPEVTGSGSRKTPPGLMLRWTLTNVMSIPLCRLEKTERGAKA >cds.KYUSt_contig_49.23 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000023.1:190298:202290:-1 gene:KYUSg_contig_49.23 transcript:KYUSt_contig_49.23 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLQVSVRAEPEPHTDPGVHYQNPEHPPTDRNQNPRPESPAPLSSPLAATATATAAMSAGWRTLLLRIGDRCPEYGGSADHKEHIEICYNVLCREYEHSKDAIFEFLLQCAEQLPHKIPFFGVLIGLINLENEDFAKGIVDTTHANIQDALHNENSDRVRILLRFLCGLMCSKVILPNSIVETYETLLSAAATILDEEAGNPSWQPRADFYVYCILASLPWGGSELFEQVPDELERVLVGIESYISIRRHFDDIAFSVFETDEGNSPNKKDFMEDLWDRMQTLSRNGWKVKSVPKPHLSFEAQLVVGKSHRFPAVSCPPPIFTMSVSEILKGQEKHEANLKYPQRLRRLHIFPTNKAENMQPVDRFVVEEYILDVLLFFNGCRKECAFYLVSLPVPFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPAAFPSVVVAAVHALFDRISNMDTECRTRLILWFSHHLSNFQFIWPWQEWASVKGLPKWAPQRVFVQEVLEREIRLSYFEKIKQSIEDAAELEGLLPPKAGPNFSYHTDESKESTDGHRLSKELVSMVRGKKTTRDIILWVEEQIVPANGAKFAVGVVSQTLLDIGSKSFTHLITILERYGQIISKLCPDEEMQLLLMDEVSAYWKNSTQMTAIAIDRMMGYRLISNLAIVKWVFSPANVDQFHVSDRPWEILRNAVSKTYNRISDLRKEIQSLRKNIQVAKEASAKAIKELEEAKSVLEVIEGQPVPSERPGRLRRLQAFADKAKEDEVTTEEFLEAKEALLARGLEEGKELLRLLFKSFVNVLTERLPPVSADGDVPNLRAGDPNVTIPAGEPEAATMDIDNENGADNNSQLNGQNAKAGYSIGELEQWGLCTLGHLKSFSRQYATE >cds.KYUSt_chr4.53725 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332396009:332397347:-1 gene:KYUSg_chr4.53725 transcript:KYUSt_chr4.53725 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDTSCLVGPVAFKDLNWKDEGEMVITPPDESADIVSSLPSNITGPNIQLRQYQGVWLLGTVVPGLISLHRRFRSRPGDVLLASPAKSGTTWIKALTFATMARSSYPPSALDHPLRRLNPHECVPYLADLFVDGHEAKLEALPSPRLMHTHLHYSLLPRSLANCKTVFVCRGVVSTLEGARTIHRRRWSAIISNTRRVDMRSASKTILLRDFQMDHSKARMAVLRAFGCMGGKSSERASRRPNTSSSARGRPEGKMIFHKGRRRGQPTNRCDMSSGWPGQKGQSVEGTHPRRCSSARVLVFWRRMIQKNTFTLRGAKQFQLTSWPGTIQPVEGRAR >cds.KYUSt_chr3.2153 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12465593:12466219:1 gene:KYUSg_chr3.2153 transcript:KYUSt_chr3.2153 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFGEMPFAGSFPSQFASSCVGLLASGSGGASWWRTTEWLGSDKSFVNKLGGAPSRGAHAGFARLGDEGLVEATCGGDPGKVAGASTGGEQQRRRRCAAVIPGRRGRSVPRCFLLLGLFFLLAGEPLRRIFGDLNVALHVVHAPSGSVPGGMVDGRVSRSNRSNGGEGPDRFSSSALEVLFVTYEGCVVTFLSSRVLFVKVRPPHYQ >cds.KYUSt_chr3.48460 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303155593:303157500:1 gene:KYUSg_chr3.48460 transcript:KYUSt_chr3.48460 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGRWASPERTVVWTEPPPKPSSTARRAGAAALLPTKVAVVYYLARNGQLDHPHFMEVALSSPHDGLCLRGMYLPFSPSSAFFSFALRKKERTDIVNADVLDRLTVLRGAGMADAYSWSSKRSYKNGYVWHDLTPDDPVHPANGNEYVIKGSELLRLLPVLAPPRPRDGSASSSSSTSSCDPSKTRPPAASGRAARRKNWSSFDLGEYRVAAVSRGADALAADAATQTDERRGPRRGRTAAAAEQEAAARTELGIDEISPPPSSSSPDTLETLIQHDARLALASAAPTQPAAGAEVGVISGGRMRASTVLMQLISCGSIPAPAKRDGGPARRLPRGRSDLSSSTGTGTAADGFSGSMSGVGVSMEREYFSGSLVETKKTTARDDRAGVGGELGALRRSSSYNADRARDTMNTSSCNKFELAEEEVDGVRARCIPAGRKPGSTNRLPASGRRSSQPEPAALTEEN >cds.KYUSt_chr4.11891 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72260773:72262824:-1 gene:KYUSg_chr4.11891 transcript:KYUSt_chr4.11891 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKQTSHFNSDDADQRQAKISELRDALGPMSARGEKYCTEACLIRYLEARNWNVGKSRKMVEESLKWRAASRPEDIRWPDVSVEAETGKMYRASFTDREGRNVVVMRPAKQNTSSHDGQLRYLIYTLENAVFSLPEGLDKMVWLIDFTGWTLANATPIKTARDSANVLQNHYPERLSVAFLFNPPKVFEAFFKVIKVFLDPKSIQKINFVYKDNEESMKTLYKHIDPEVLPVDFGGKNDVEYNHEDYSRLMMKDDINTASFWAEDGNHVMNGHSAPESSLVAAKAS >cds.KYUSt_chr6.27061 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171603344:171606011:-1 gene:KYUSg_chr6.27061 transcript:KYUSt_chr6.27061 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTATSMLSALLQFPLAPFSGKSSAPSAVQMAPRRAPTAVLASKGYNVQIVVDEGEGEDSIFRRFRREVMKAGLLQEIKRRRRHENAKDIKIRKAREAGRRNRRRRMMDDRRFPEDEGDSEAVRTRRDEDNDNWEVSDSGERKTHVYVHLCHRPQHTSVDCDIVMAVMAPSARVSLLLAAVLACALLATRHATAADAPAPAPGGFGCNPLKDKTCKPGDPKAPENQEEEGGFGARFPGLPTLPIPGIGKIDRDGDGDTDEDDELPSFDTHMTILGH >cds.KYUSt_chr2.37944 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234853096:234861420:-1 gene:KYUSg_chr2.37944 transcript:KYUSt_chr2.37944 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNSAGEPFPEVRRQYPFIAGAAEMWCAGNPFHRRMHKVVSRTGSGLGAAGYGRAVEILDTLGCLMTTLTPDGGFTSRATKGTQISILAFEVANTVLKGASVMQSLSEDSVTYFKQVVLPSEGVQSLISSDMDELMRIVANDKREELRIFSQEIVRFGNRCKNAQWHNLDRYFVKLESENVPQKQLKETATVEMQKLMTLVQRTTDLYHELHALDRFEQDYRCQQKGNETSNKVEKGDNVQVLRLELKTQRGYVKSLKKRSLWSKTLEDVVEKLVDIVQYLHAEINISFGTSGYVPANSRDALYQGLPPRVRTERVGRGPGRPDVIETLYHADKTRTEAYILELVVWLHHLVSQSNRPANVK >cds.KYUSt_chr7.31875 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198418801:198423081:-1 gene:KYUSg_chr7.31875 transcript:KYUSt_chr7.31875 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRARQLLLLAAVAGAGAAFGAFTTAAVFNFISRSKRREGYVRNLLESNGGTGSSGAAGPGARPRDCSDLLSDEVVAEQLTRNIQFFGIESQKKVTESFVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKASCLKKHFSMIYPECKIEARVQLYDPSAEDGILSGQPDFVLDCIDNIDTKVALLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRTVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQGSKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLANLDFQTEPIVNLDLDHYRVLHHRLLEHEELIYGSAEQVLVDAQEVMYIVKELWRGRSARDQNMKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFTEADAHESTTLDQVKEEEPEFYAMVSRVLKRAEVEFSL >cds.KYUSt_chr4.46622 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288214052:288218502:1 gene:KYUSg_chr4.46622 transcript:KYUSt_chr4.46622 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGPGLFSNIGKSAKDLLTRDYTYDQKLTVSSVSSSGVALTSTAVKKGGLYSFDVAGVYKYKNTLVDVKVDTQSNVSTTLTVLDVLPSTKLVTSVKLPDYNSGKVELQYFHENATLATAVGTKPSPVVEFSAAVGPKGLAVGGEAAFDTSSGKFTKYSAGIGVTKPEFHAAFILADKGDTIKVSGVYHLDEKQKASAVAEFTRKLSANENALTVGGLYTVDPQTTVKARLNNTGTLAALLQHEVKPKSLLTISGEFDTKALDRAPKFGLTFALKP >cds.KYUSt_chr1.1067 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5698524:5699286:1 gene:KYUSg_chr1.1067 transcript:KYUSt_chr1.1067 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAALSHEEDKEDGDLEEDTPLDPTDGTSYLNSLSLFSAVLTTSSISQYMLNWSIDLTLISVLRFVQTVGNVEPAKRVQTFLADYTGPVEVYGCIAVRDSEDYRRIYLFNRSRDNPLTINSVSIFYALQAFI >cds.KYUSt_chr3.33639 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211251652:211253127:1 gene:KYUSg_chr3.33639 transcript:KYUSt_chr3.33639 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAGKFHPPLPTLRSESDRQMESGGKMHIVMLPWLAFGHILPFAEFAKRVARQGHRVTLLSTPNNTRRLIDIPPALAGLIRVVDISLPRVDRLSEQAEATIDLPSDDLRPYLRRAYDAAFQDELSRLLQEEESRPDWVIADYASYWAPTVTASHGVPCAFLSLFGAAALCFFGTTETLVGLGEHARTEPAQFTIVPEYVPFPTTVAFRGYEARELFEPGMLPDDSGVSEGYRFAKSIEGCKFVGIRSSAEFEPEWLQLLGELYQKPVIPVGLFPPPPQQDVAGHEETMRWLDSQAPNSVVYAAFGSEVKLTSAQLQRIALGLEASGLPFIWAFRPPTDAITGMDGLPGGFEERLAGRAVICRGWVPQVSFLAHASVGGFLTHAGWNSVTEGLAQGVRLVLLPLMFEQGLNARNLVDKKIGVEVVRDEQDGSFAPGDIAAALRKVMVEDDGQEFGAKVKELAKVFGDDEVNDQCVRDFLRHLSEYSRKNKL >cds.KYUSt_chr2.43079 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268039530:268040151:1 gene:KYUSg_chr2.43079 transcript:KYUSt_chr2.43079 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVTAPAAALSPTCRVSLPARRSRSAFPSSSVKQLPRFAARSSSGNGGGTRPDPKAGDNESKAVLDAFFLGKALAEALTERAESVVGEVFSVVGQWQAEQQKQVQEFQVVAVKLVNSQWQLKSSELDLNSL >cds.KYUSt_scaffold_2697.145 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1045193:1045645:1 gene:KYUSg_scaffold_2697.145 transcript:KYUSt_scaffold_2697.145 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGNSRSPSLREKKSKDHRHYSQDQWSPHRWSTPKQQRPKRKKRGSNADLAGQGAATDASPLHLDATRRRPQAPAMEQSRSPAPPNRSPTSSHERPPGRASLAHHRVGASTAAREGSSDGQEGGLEAAAAGSPGVARERSDSGGNGSES >cds.KYUSt_chr1.18621 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109010991:109011560:-1 gene:KYUSg_chr1.18621 transcript:KYUSt_chr1.18621 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYALLLLLTTTLPLIALATTFPHRALLQTCQSSGSISGESGSCSTENDSECCEDGRRYRTFACSPRVTGTTRASLTLNSFADGGDGGGASSCDEKFHPDSQMVVALSTGWFSRGRRCGKRILIRAANGRTATATVVDECDSMHGCDDEHNFEPPCANNIVDGSPAVWKALGLDTDDGVVPVTWSDA >cds.KYUSt_chr3.42912 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271188626:271189906:1 gene:KYUSg_chr3.42912 transcript:KYUSt_chr3.42912 gene_biotype:protein_coding transcript_biotype:protein_coding MVGESQPPPGEMVGETQPLPACVSKVLDDDDLLAEIIVRVGFPTSLVRAAAVCRRWLGLASGRAFLRRFRKLHPPRLLGFYLEQTEYWDGTARFFPMLPQPSPPELAAVVRRASFSLDFYNDARTDMVGCWNGRVVTCLFASHFYKRKEFRSEIMYAVHSPLCSERGMAVIPALNLPIRNGNFCRYRQLFSKEEGHVYVMMESTRDERESTIHVYMLQNGDDAWRMHLTLAADNFIYTLYTPNSILVDDKIYMPNGWNEILVLDLTAASFTTIQLPEGVDFRETGTTMLSRADDASAVYLIHARELQLRIWLHKGGNWLLVDNICLREMCTHLLEDEPTALLKINHMGDYTGEFLFLEIGQCAHYLDVKCRTLHKVFERTEEDVYLGSIFPFMMSWPPIFPALKDGPARFVSLPFDDLYSALLEVT >cds.KYUSt_chr2.2021 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12158951:12159895:-1 gene:KYUSg_chr2.2021 transcript:KYUSt_chr2.2021 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEPEHAPARKDYADPPPAPLLDLGELRMWSFYRALIAEFVATLLFLYITVATVIGYKVQSAADQCGGVGVLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLLLARKVSLPRAVLYIVAQSAGAIVGVGIVKGIMKDAYMANGGGANMVATGYSRGTAVGAEIVGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKKAAWDNHWIFWAGPFAGALAAAAYHQYILRAAAIKALGSFRSSRSN >cds.KYUSt_chr3.35919 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225598945:225601115:1 gene:KYUSg_chr3.35919 transcript:KYUSt_chr3.35919 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAGVEDDSGHLEAVGSGGGCAWCWPVGFEDNGGLLQEGVGFGRVWVRIHEEIRLLRGYMTQGNQDQGKFRWLLSKCSIRNDALFDRKKGEPYQINLNAQALHNNLELYAPLDSKLQDNLPQEPDMMTADPEHGSTLRTDLLITGATIFSDASWKCKKIPGAKGTMATGIGVFIRYKAGARQFVIMIQASTSLTSSVLQAEAKALLLAAKLTMLLNINRPTFLTDNQILAKAAAS >cds.KYUSt_chr1.32599 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198017120:198017932:1 gene:KYUSg_chr1.32599 transcript:KYUSt_chr1.32599 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGQNPTKAELQHMINKDDVDGNSTIDFPEFLNLMAHKMKYTDSDSEEELKEEFRVFDKDHNGFLSATDLRHQAGRRAAVDRRGGRGWSRRRKKLERVVELRSIDGLGEAGGLHRVGRRRRGSYGGGDRIREGSPARRSLCRAWDPRRAGARAGRGIADGQQLVQIAGSPASSSSCRPLVSKRGCRTLIAGPPASIMGRSLIAGRRAAALITGVCWPFACRCRCADHGRIGLASCL >cds.KYUSt_chr1.9577 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58404393:58408732:1 gene:KYUSg_chr1.9577 transcript:KYUSt_chr1.9577 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRSQIGEAFRLAGELMDELPTTGNDPAYLAARCHGIVHAYNTAIRTLQHYGAVGAAAPQQFAGEPLDLLHLRSTEDSGASQLLLDPPAHLRHLQEQFHMPAAVFSGLAPPQAVRAGADVAGTSGGPLRRASTSKSPPAVQPRQGRRRRESGQRETVMVPAQRTGNTELPPDDGYTWRKYGQKDILGSKYPRSYYRCTHKNYYGCDAKKKVQRLDDDPFTYEVTYCGNHSCLTSTIPLFTLPDATATATTNSPTAATGSGLAPEELLMAPAEQAHSAALSTSIHLGISWMPASLQSIQAATTSAGVGSSGSAQMNVSTAGKDTDYPALDLADVMFNSGCSGGSSMDAIFSSHDRRDF >cds.KYUSt_chr2.42072 pep primary_assembly:MPB_Lper_Kyuss_1697:2:261907091:261914336:-1 gene:KYUSg_chr2.42072 transcript:KYUSt_chr2.42072 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDAQVEVERRLRDIGARLASLPGTNKDLLSLIEEAEVWLSRVDQSPPTSMHNALKPTMDALVNKHLLDHPSQGIQVAVACCLTEVTRITAPEPPYSDSVMRDVFTVVVQSFAKLDETESPLFAKRLSMLETIAKVRSCVLMLDLECDDLILKAFNHFFSTVSSAHQENVINSMETIMMYVIQESEPVHPDLASCVLQYLKKEKKDSLPASFMLAARLVGLCREKLKPAFMELLQGTPLNDYSEAVASLVEGSSDDGRDDEVDAAEEDTVAVNKSSPVAVSDESPQESSGLEKGVDSPGQDGSPPYTTPAASLSNGGASVDNVKPRNGPASSKQKPEMPSDVKQTEVSDEVISDDKEAPEPVTTEPEKRSSVSSKKSRKRTSTESKVTERSKVVSDNEGLVASGELSPETKVGNNKRTLETCNRAADDTSKPDDSTPAIDKPKRGRPPAVKSQEKKPVGKNQGSGLESKEVRSGSTPGGRPARRLTKDTKLSPRKTGEEEFSKKQPKRSSNPRKEDTLSDEDTDEDLNLKKMVSPKLLTKTGKTKGQASDSGVSKRKRVQEVEEVPQSKKNKVLDESLVGSRIKVWWPDDKKFYNGVVDSFDDFSEKHKVVYDDGDVEQLQLSDERWEFIAKGQDMNPNATSDMPRGRRGKGNWGQQTKEGKAETPKSSKQDSIEDSDLPKKRGRPKGVRSSMSNDDSPVTSARSKVKNADKDAEETPKAGSNLKTEGGRWPRSSGKAGNKDEAGSKHKGSNGLSTKRKPKEKEVESSEEEEPEPEPESAKPSTGKRRRKNVQL >cds.KYUSt_chr2.47183 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295157816:295160587:-1 gene:KYUSg_chr2.47183 transcript:KYUSt_chr2.47183 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLPVFILLSLVCFCESDDRLTLGKPLSTGDKLVSSGGVFALGFFSPANSTANSYIGIWYNKIPERTYVWVANRDSPITSGSAGKLVLTNNSDLVLSDSKGSTLWTTMNNITSRINGTAAILLDSGNLVVRLPNGTNIWQSFNYPTDTILPDMPLALSTNDHLYGRLFAWSGPDDPTTGDYSMGGDSSLDLQVIIWNGTRPYWRRSAWDGALVSALYQSSTGSILSQTIVNRGGSFYLTFTVSDGSPSMRIMLHYTGMFKFLAWNNNSSSWEVFIEQPNLSCDSYAYCGPFGYCDGTETVPKCNCFSGFEPYSVNFSQGCRRKEELVCGGGGSFSTLSGMKTPDKFVYVRNRSFDECAEECSRNCSCSAYAYANQKNGSITVEQSRCLIWLDELVDTGKFRDGSGENLYLRLAGSSVDKEISVLKVVLPVMASLLILACIFLVWICKSRGKRRIKEIQNRHTQQHTKNSKSDELDNVELPSICFEDIVTATDNFSVYNMLGKGGFGNVYKGMFGGGKEVAIKRLSKGSGQGADEFINEVVLIAKLQHRNLVRLLGYCAHEDEKLLVYEYLPNKSLDAFLFGMELMERWKRKRIGGLVHCGKLFTS >cds.KYUSt_chr1.3459 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20936123:20938933:1 gene:KYUSg_chr1.3459 transcript:KYUSt_chr1.3459 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSGRVPEAISWIPRDGIGSGGVSGRPTRVRCPSSGSSRWSGGTPPLWVLVRCDGSSATNNEPLDQLLITGINSLHRLLEKPLARRRRGAEVYRLLYLRLVRQLEHLADRSSISLLRRSSDDLAAARSESGPLIVVFVDREGKYFTDYDSPRIQELMLKVLSFLSSHSAWSCGLFIAYIIRLLIKLLALLQA >cds.KYUSt_chr1.4601 pep primary_assembly:MPB_Lper_Kyuss_1697:1:28181945:28185157:-1 gene:KYUSg_chr1.4601 transcript:KYUSt_chr1.4601 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAAVDGIQRQLESVALRRGLPTKLDEVLDCLKLPLCTLRLALSILMEDQSLEARVRLTNIKIVLYALEDLLDELEYHGSIRHRPSRRTWKDTLFWLSGPLILHTNVAQRLDTISRKLRHVKDHSVEFCSRQHTGALPQQYKEQFGFDGAAIIGRDREKQDVKRLLLQNNRNSLSILPIVGQPGLGKTSLARLVFEDGGEDWEFDFRVWISLDNNLSLTKIGTHIISEANKSVKGSIPQVCRNYSLGCPFQLAHDVQEILHNSSCLIVLDNLFSMNVNFLVNLKELFGAKQKCAKVIVTTSSKLVAKVMGTSSSYNLGGLSEEHCWTVFAEKSFGNKDAIVDPQYTEIGKKIARRCNGIPMLAQSLGSMVHNQGMNTWLAVEMKCISSVVLRVNNLAYDFLRYLTRDDVMHLDYGMALSGSVGKPPFRYATLTCYTKESATDEYLVSSAKAVLFRNCEATKAIADLLPVLRYTRLLDLSGCPFEELPASIDQLKHLRYLNISGFRITALPNEMGCLQNLKFLDISKTCIEALPTFITTFRKLKYLNVHGCDRLRNLPPALGDLKGLECLNLSSCPLICKLPASFSGLHKLQRLDLSNCTGLDQLPHPFQLESLENLNLEGCFRLKQLPESFGNLSFLRNLNLAGCSSLKQLPESFVDLPMLQCLSISHIHIELPDPLVKLQRLRKLKITYCDAVI >cds.KYUSt_chr1.10020 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61388677:61390212:-1 gene:KYUSg_chr1.10020 transcript:KYUSt_chr1.10020 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPAFVAGRLAAVRADPCGVPLPVFNSLLSAVASSHSHLPLHLFRRLLLPRRRPDAFTLSSLASSFLQLVSSRSHAAASLHAFSLRLGLLHADPVLTNSILLLYLRFSHSVTNALHLFDEMPVRTASTYNTLISYSPPDASDIWPLVRHMVTHDGLTPDRFTLSALLSSSSMSQHHGRELHCFAIKAGMCSDTDFHVTSGLVSMYCRVRQPRLARRVFDRMQRRNVVSWTAMVGGYAENGMFQDAVNAFRSMWLIDAILPNRIALISVLSACSGGLMGGLAQGKQVHGFALRMGLYGEMSLNNALIDMYAKGGALRYARRVFDDNTWRKDIISWGSMLLGYGLHGMGAEAVALFHRMRASGVKPDSIIGLGVLSACSRAGLVLEGLEIYNSLLKDHKVQPTQEMSACVVDLLGRSGLIHHALDFIKSMTVQPGPSVWGALLDASVIHSNKEAQDLACKSLLTMEQGSPSNLVLVSNLYANSGRWNIVEQVRAKIKQGTLKKTPGRSWAT >cds.KYUSt_chr1.32101 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194755678:194757633:1 gene:KYUSg_chr1.32101 transcript:KYUSt_chr1.32101 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVQTLGSSDTMTEDILKVFSNYDGRLSLDKIYAARGAAAASSAAGGERSMPASPPLPPPPQPAAVPGSSARPPVTSMERTVRTLDRQISQFVTMDRLIWADSGDADTFLEAVDDLIGTVQELDAAGTNRALLDRADELLSRCMARLEDEFRALIERPDDAAPAAPGGSSSDDEGFYGGGTHDDDAYGDDEPIPVAKPVTDYDVVIDALSPGSIANVHQISRRMVDAGFGRECAEAYAAARRGFVDESVARLGVRPRTADEVHAATWEDLEFDIARWIPAFNMVFRILVPSERRLCDRVFDGLAPFGDLAFIAAVRTQALQLISFGDAVSSSSRSPERLFRVVDMYEAVRDILPDLDPVFSDPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVATPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLSAGGRAAAPVDPDRPTSALAVHIAWIMDVLQKNLDTKSKLYRDPALACIFLMNNGKYVIQKVNDSELGVLLGDDWIKQMSSRVRRWSMDYQRTTWGKVTSVLQIGAPGVGGLPAKAMLQKLRMFNTYFEEIYAVQSEWMIADDQLRVDIRSTVVDSVMPVYAALIARLKSAPETGRDLYIKYTPEDVEAHIRQLFEGAAK >cds.KYUSt_chr3.23281 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144025988:144026341:-1 gene:KYUSg_chr3.23281 transcript:KYUSt_chr3.23281 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAPPGFVLSALAPRAKATKRNNLASAVTSAATRGFDAAPWWSPSAALTPTRTARRRAALLLYRLAALLLCRDETFFPGAALLCITFRAASYHILTAAARGVRHDLMKLSCCRI >cds.KYUSt_chr5.20782 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135006596:135006976:1 gene:KYUSg_chr5.20782 transcript:KYUSt_chr5.20782 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRLRITTASPLATTASKKKLQLSNRQPHAASTERTRSSSSIQNQRAVLQLLLATKAGEEQSKSAPSSSAKKPHLASTTGQGHSIFTAEGERRTSRRRFIEEDGSTPRHLSLRPSEQRERKQQP >cds.KYUSt_chr1.37864 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231223506:231224756:-1 gene:KYUSg_chr1.37864 transcript:KYUSt_chr1.37864 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELRSSGPVRVQSSLCFSGALVDGPRIQQLLLHCAAALESNDVTLAQQAMWVLNNIASSQGDPNQRLTSSLLRGLVARACRTCGSPRGAGSMAAPLLGRRAMSVTELADYVDLTPWHRFGFTASNGAILRAVTGRDAVHVVDLGATRCMQWPTLIDALSKRPGGPPALRITVPSVRPAGPPLVGVSDEELGLRLANFAKSKGVQLEFNVVDNNNSTNTPSSAPAKPLTLSQELASVLSDPPALGLRDGEALVVNCQSWLRHVAPGSRDEFLDAVRALDPCLVTVTDEDADLDSPSLATRIAGCFSFHWILFDALDTSAPRDSPRRLEHEAAVGQKIESVVGADDGERSESGARLADRMRRRGFAAVGFGDGEVEEVRQLLSEHATGWGVKREEDMLVLTWKGHAAVFTTAWAPN >cds.KYUSt_chr5.18249 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117856396:117856830:1 gene:KYUSg_chr5.18249 transcript:KYUSt_chr5.18249 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGMLSVEGLVFALTFLAFMYFTVVALVGVAASVVDEEYRGVGALRQAWRLMTRVKRKEGLVLVLVRHLLPTVVTPFYGVVALLYAKSMAAALCMLAVYAFLSGAVQVYYLAAATVYYCEAMDSKEEAPCGYAKIPSGEGNV >cds.KYUSt_chr1.8315 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51138374:51140817:-1 gene:KYUSg_chr1.8315 transcript:KYUSt_chr1.8315 gene_biotype:protein_coding transcript_biotype:protein_coding MWPQRSSATPRTAVVAAASSSPPSSDANSSSNSPGKDEETDEVARREEGEKTAAAFLLRSQKYALLKQQLAVAAQLEDYKEAARLRDSLRSFEEEEPVLRLRRSLKKAVEEERFADAAKYRDELMILAPHSLLKCSSDATTLGIRVQVRSVYIENRSQPLKGKFFFAYRIRITNNSQRAVQLLRRHWIVTDANGRTENIWGVGVVGEQPVIFPKTGFEYSSACPLNTPNGRMEGDFEMKHIDKVGSSTFNIAIAPFSLSILRDDNDSSL >cds.KYUSt_chr1.34276 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208670916:208671727:1 gene:KYUSg_chr1.34276 transcript:KYUSt_chr1.34276 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRGMGGPQHMMPPPPPQPRPWSKAEDKVFEAALVTFPENVPNRWLYVAAQLPGRTPQEAWEHYQALVADIDLIERGLVEAPDSWDEDAAGGGGGGRGRGSGGSEERRRGVPWSEEEHRLFLEGLERYGRGDWRNISRWSVKTRTPTQVASHAQKYFIRQASAASRGDTKRKSIHDITTA >cds.KYUSt_chr2.14303 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90513008:90516142:1 gene:KYUSg_chr2.14303 transcript:KYUSt_chr2.14303 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNFRSRRQTPELAKAAFRPERSTTKQSVVENMHQLEQLQSLQIQSRKPVSDTTVDLKRQGTKTTTTLLPQPPWAKKPWETTTILTQINCHTSLFRELLPDPTPTATVHHGQPAGGQDGGRRTRLAGVDDELRNGITPYSTWEKLNLDLQLIYTSRRAPFPSPLRPATPSKEQRDRAGIWRCSATLNGGALVAEKERVCSSYFPKLRKFLYKYPAKVGRKQKKIMEASNLALLVVLAMAAAMLEPSNAQNSPQDYVTAHNAARAAVGVGLRPVAWDAAVASYAESYARQRSGDCELAHSDSQYGENLFWGSGVDWTAAQAVEKWADERPDYSYASNSCVDSRMCGHYTQIVWRNSTGIGCARVVCDHDAGTFITCNYSPPGNYIGERPY >cds.KYUSt_chr3.322 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1823208:1824316:1 gene:KYUSg_chr3.322 transcript:KYUSt_chr3.322 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTLRLRRHMVHTLRLRIHHQMLHLQVNAWLFNSKDSVAGNCKTGTSFWGQIAATFNSTSDPARRRTSKQLKDHWNSYNKEVSLFNAYHIQEEALRQSGADDQMVMKAAMERYANDKRVTQPFRRHHWWQAVRNEAKWKGQHGPGSGTESTSKRSRLGVSGEYSSSEATTEEERPPGRDRAKAAARKGRRKGKESSSSSEVGSKSFAMRNMMKGLVKAKLFKQWNKMKDRSTDDMNEAEKRKHAKAIKMVEKELGLEDDDDEEEEQEEEEEE >cds.KYUSt_chr4.7155 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42328800:42329309:-1 gene:KYUSg_chr4.7155 transcript:KYUSt_chr4.7155 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLRRFELSDVDAMMTWASDLEVAAFCRWEPYASTESVLAYLRDTVLPHPWFRAICLAGDGLPVGAVSLEPTADACRGELGYVLARAHWGRGVATAAVRRALAAVFGEVEGLSRVEALVDVDNAASHRVVEKAGFRREGVLRRHYWHKGRARDLVMYSFVSGDPLPQ >cds.KYUSt_chr4.1099 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5681594:5682876:-1 gene:KYUSg_chr4.1099 transcript:KYUSt_chr4.1099 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGRAPAAGRRTGAGGRTGTAAGRWRAATGGGEQRRRGGGRRWRAAALAELNLAGRLPPELGNAPALAELELGNAPALAELDLACRLPPELGAFPTLETSGGTLPTSSLSIACTGGQITALPGAKLLLLAVLQALNLSASYLYGVVPAQLAEEPRAVQELAERHCHPEKTARPTGDRGGVGDGAVLSRHRQEQFRFALDPSNGDGSGAGDGRRPHHTLQ >cds.KYUSt_chr3.10404 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61731791:61733266:1 gene:KYUSg_chr3.10404 transcript:KYUSt_chr3.10404 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMVAKKAERTAAAAGEVPHFLVVTYPAQGHINPARHLALRLLRATPGARVTLSTAVSACRKMFPDAGEAEEHMDGAGVRYVPYSDGFDAGFDNAAHDHTDYMSQLKIVGPRTLDGVLTRLRDAGRPVTQVVYTVLLSWVAGVARAHGVPAALYWIQPATVLATYFHFFRGTDGFDQAVAAAAEDPWAELRLPGFPAPLRLRDLPSFLTITSDEHPYAFVLAAFRELLGALDDDREETGRGATVLANTFEAMEPDAVATLRQHGLDIVPVGPVLSFLDAAPASTNSNDLFKQDGKGYIEWLDAQATSSVVYISFGSLSSMSKRQISEVARGMAESGRPFLWVLRKDNRGEVDSADLCAGGGMVVEWCDQGKVLSHPAVGCFVTHCGWNSTLESVACGVPVVGVPQWTDQGTNAWLVERQLGTGVRAAVSDKDGVLEAEELRRCIGFATSEMVRAKATMWREKARAAAAVGGSSEKNLRAFVAGQVAPAGN >cds.KYUSt_chr5.38126 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240889121:240889913:1 gene:KYUSg_chr5.38126 transcript:KYUSt_chr5.38126 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGEAPRQLLPPGFRFHPTDVEVLLQYLRRKALSRPLPAAVIPVVDAAALPDPWDLPGASEGEAAYFFSLRQAPTSAGGGRRRRAASGYWKATGKEKPVLVQLQGPSGSGSGKQVLVGVKTALKYHRGKARSSRTEWVMHEYRLAGAAADQKQSANGSQGCEWVVCRVSLKSRARRPASAGDGETTAAEHLQDYAGDHHHPSSPSSSCVTDTCHASDHQEEVSSSCQK >cds.KYUSt_contig_319.875 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:5610800:5616695:1 gene:KYUSg_contig_319.875 transcript:KYUSt_contig_319.875 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSLLSSSRTRLSGLREPLRQAGLSALLTRGAPPPLHPRAPTTEFSSPGGHRPPPLDWASVGSRTISSDVGTSLTQYGSTNHALTLHKRFYQTLRPQHPYCGPRASVATNEAHHGVNDPSEVQRRTFGSAAKSIQRNPAYSVLNSDDVSYFKSILGDNGVIQDKDRVAVANVDWMAKYKGASQLLLLPKSTNEVSKVLAYCDSRRLAVVPQGGNTGLVGGSVPVHDEVIVNLGGMDKIISFDNVNGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCQIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLANGTVLDMLTTLRKDNTGYDLKHLFVGSEGSLGIVTKVSILTPAKLPSTNVAFLSCNDYMSCQKLLLAARRGLGEIISAFEFMDRQCIDLAMAHLEGVHNPLPLSPYKFYVLIETTGSDESYDKAKLEAFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRSRLGDMAEVLGYGHLGDGNLHLNILSSKYSDNILAQIEPFVYEWTAGHRGSISAEHGLGQMKAEKIHYSKSPEAVQLMASIKKLVDPNSILNPYKVLPQSAL >cds.KYUSt_chr2.47211 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295303064:295304957:-1 gene:KYUSg_chr2.47211 transcript:KYUSt_chr2.47211 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKPLLPGDKLVSNNGIFGLGFFSLENSTANSYLGIWYHNIPERTYVWVANRDNPISSSLSGKLVLTNSSDLVLSDSRGRILWMTANNVTTGGDGAVALLLDTGNFILQSRNFMQIWQSFDHPTDTILPGFKVWANYKTHTAVRLVAWKDSQDPSTGKFFLNRDPSTALEILIWRETSLYWRSGLWNGATAWNNNGYMWSQIVDDGEEIYSTYITGNESRRSHWKLDYTGDLMLRRWINQSWEVLFKRPGDGCRNYGSCGPFGYCDSTVSSGECRCLDGFELADGFSANFSRGCMRKQALRCHDDHFLPLAGMKVPGKFVYVRNKSFKECTAECYRNCSCTAYAYANLSSTLATGGPSRCLVWIGELVDSEKSGALGGNLYLRLAGSPGKDTSKQEKTGPGTVEHFPRSLG >cds.KYUSt_chr3.12384 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74007620:74009093:1 gene:KYUSg_chr3.12384 transcript:KYUSt_chr3.12384 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAAAAAADSAGEAPPAAKKTGRVKTKAAGPRGVAPAKVRTKAISRIGLAPPPASKVTTPPPSVPAVALPAPPAPPPTTIDVDKVFDVESTTSYLDMLNDSAVNLDAGIGAFDGECNVEEIDEEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDVILVRAWSKPKYAQGRYKDMAGSKNKEFQFEHCFSILQHLPKWKLRDNEPKCKKEALITMDDEAEDMRGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARKELDMRMIEAKEAKAMKELLAEERGNHDDAHGRHGRGSAGVVEGDQGGHHCEEDGCTSSS >cds.KYUSt_chr4.12211 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74332780:74334908:1 gene:KYUSg_chr4.12211 transcript:KYUSt_chr4.12211 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQAAEAAGRISALLSLLALRRILAVLQPLALLLLLPLRWRAAQRHGQDASSTVADAPSSAATSSAKKGKASAVVLRVPAGSPMATARRQALARREAAVRRAREAGREYELIPTARGETLFTQRWWPHGSSSSAAVKPRAIVVVMHGLNEHSGRYDYLAKRLNDMDVKVYGMDWTGHGGSDGLHGYVQSLDHAVQDMKMYLKKVSAENPGVPCFCFGHSTGGGIILKAVLDPEVNALVNGIVLTSPAVRIQPAHPIVEALAPIFALIAPRYQFAGSSKNGPAVSRDEEALRVKYSDPLVFTGSIRVRTGYEILRLTSYLQQHLHRITVPLLVLHGADDMVTDPAGSKSLHREASTPDKSIRLYDGLLHDLLIEPEKEVVLGDIVDWLRPRI >cds.KYUSt_chr3.30828 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193430837:193436719:-1 gene:KYUSg_chr3.30828 transcript:KYUSt_chr3.30828 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSSRPGSARHQQFRARAKTRVDDLQDMFSGLQYARKEARSTDAVVLEEQLHQMLREWRAELSVPSPASSLQGNNREDPPPGAPRLAKAEEEEEDDATSKLVEQQPPPPANQALKREQGGQEDMKPEPNEEPLADPVTVPPSLPQAQGAIATAGGVLPPATAVFHDQMYYVNQELTVEDFLYDDDYKINLPGSNPEILNNLEGICHQEYPQFNFQQELPPNAYLDMNNYGQSAGDVFHHMSDLLTTMSPEPAAFLRPKCALWDCPRPAQGSERWQDYCSMYHAELAVKEEGPPGTMPVIRPRGIDLKDGPLFAALGAKTLGKQVGIPVCEGAATTKSPWNAPELFDLYIFEGESIREWLFFDKPRTAFHSGSRKQRSLPDYNGRGWHESRKQVMKEFGGLKRSYYMDPQPSSSYEWHLYEYEINDCDAFALYRLEFKSSDAKKSAKSKLACNPLNEIQQQMGRLSAESPVENKRTARARAKEVDTNIYSVQNNTVQANVPNAYQAMSQVDHQMTFLNGNVVYGPHLPYGYSTERNDFYWNPNDGA >cds.KYUSt_chr2.38677 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239740662:239744056:-1 gene:KYUSg_chr2.38677 transcript:KYUSt_chr2.38677 gene_biotype:protein_coding transcript_biotype:protein_coding MEREIVAEYEINEIDDTLHGSVGSRLTLFARELKWRSSSWHGSSALKLPTNCYGGSFVIDPNGRWYRMWSNMMFLWSIYSVFYTPFAFCFFRGLPEHLLDLECAQLIFLADVAVHFFLAYRDPHTHRVVYDKQRIALRYIKGSFALDMLGCFPWDAIYKFTGRMEIVRYLVWLRLYRARKIQGFFKKMEKDIRISYLFTRIVKLVTVELYCTHTAACIFYYLATTLPPAHEGGTWIGSLTMGDRSYINFRHVDLLTRYITSLYLAIVTMATVGYGDIHAVNTREMVFIVVYVSFDMLLGAYLIGNMTALIVKGSRTERFRDKMTELTRYMNRNKLGSDIRSQVKAHLVLQYESSYKRDRIVDDNIPVAIRSKTLYMDIVSKVHLFKGCSEDFLNQIVVKLHEEFFLPGEVILEQGTVVDQIYIVAHGCLEEIGIGEGESEMIISELLPYDIVGDVAVICNTPQPYTIRVCELCRLLRIDKQSLTSILQMYFKDSRQIMSNLLKGKRTESKGNQLESNITYLIAKQEADQVIGVNNAAYHGDLFRLKGLIRAGADPSKPDYDGRTALHVAASRGYEDIIRVLIQRGANVNSIDKFGNSPMLTAVKCGHDRIISLLVAHGAALNLEDAGGYLCRVVAEGKIDLLRRLLRFGVDPNCRNYDRRTPLHVAAGDGLPLVASMLVELGADVMARDRWGNTPLDEARRCSSKPLVRTLEQARAAVAADQ >cds.KYUSt_chr4.38366 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236650732:236661997:1 gene:KYUSg_chr4.38366 transcript:KYUSt_chr4.38366 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLHLVDPVSFFSPYCCVRKANPAMAGQYINTSSRGENARIEYATSCMQGPRDEMQDYYTALLDLDGSDSTSFFGVFDGHGGHRVAWYCSRKFHTELVKVPDYQNNLHAALEHVCFRIDQALKRSDEWKRPDSPPAPAPAPGNGSFRSRLQTSLCSCFGKNYEGPQIEGSTACVALIRGNQIIVGNVGDSRCVLSRNGQAIDLSTDHKPNEPGERARIEAAGGSVVQRQVLVYDASGRMRVELGPYRVDGIIAVSRALGDFQFKKNNKLKLICNPDIHTEDITDDIDFLLIASDCIWKANPAMAGQYINTSSRGENARIEYATSCMQGPRDEMQDYYTALLDLDGSDSTSFFGVFDGHGGHRVAWYCSRKFHTELVKVPDYQNNLHAALEHVCFRIDQTLKRSDEWKNPDSPPAPVPAPGNGSFRSRLQTSLCSCFGKNYEGPQIEGSTACVALIRGNQIIVGNVGDSRCVLSRNGQEIDLSTDHKPNEPGERARIEAAGGSVVQRQVLVYDASGRMRVELGPYRVDGIIAVSRALGDFQFKKNNKLKLICNPDIHTRADPRVICEGLLEWCRESRDTSTVILAQYKNHNSPTANVSCSGLSCPWPQAKTTDPSSSIGGPKVDTKAESKAAEEWIQIFVKTLSSKTITLPVKNLDTIRTIKSKIQDKEGIPLELQGLIFAGKQLEDGRTLAYYNIGKESTVHLVVGLLGGQNSDSTRNSSMEEGVAVHSFITQPCDLELLQRWVGPRIINGITHPSAYDVDIYSTAPSVLATQYSAVRADDGSEAWYFFTRLRRKGRSGSRVNRSVDIDGVIWSWHGEHKARAVHSAPRDGHTVGYRRLFSFSRKDNEENLIRDGWIMTEYGLNENEANEVVLCKIYQTTYHSRKRPRLDHASGVFSKRCPPQQASTSHTGVDQQEPSLKAISSRYFTPLHPRNDQLIANFLQPRQLSGVVIHEVFNVYELSPVELIKKYPATINAEGIPTWYFYTCSYVEGAVISETGRWSSQLEPIQFVHDSYGEVLGHVQRINFFESMDKSSEDLLFSGYTMSEFSGKNSQLDDIVLCTMGLPKKSAQKFYVKAVERPQVKTS >cds.KYUSt_chr3.32147 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202005518:202005844:-1 gene:KYUSg_chr3.32147 transcript:KYUSt_chr3.32147 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNCFKSQRAVASWVDDDEWVVDVEEGKRPAAEKVDRGVEVKIRVTKRQVQELLQKAGLDGKGPWTEQVLAELINSGTVCCDRPEARGHWRPALQSISEGEEACLL >cds.KYUSt_contig_1181.330 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2250423:2254656:-1 gene:KYUSg_contig_1181.330 transcript:KYUSt_contig_1181.330 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAAMRRKLQRKFRLRGFTLKVDALEEAAAFLDRFPDAEDDALDLLLDELDKEPLKSSILDRDAVRRVVSLLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTGRLSIHGEAGDKAALYRDRYQVLLQRLARDKYFSRPAFETVMTENDSCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVPIDLANAISLKPVPCFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDREASLSLLMGLDFFGGGVIPTEETLRLSSLENKAVNDMFVILSDVWLDNPETMEKLGVVLDGYESVEVVPSLFVLMGNFCSQPCNLAFSSFEELRLQFGKLGEMIAARTRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLIEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHA >cds.KYUSt_chr4.1293 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6834775:6836571:1 gene:KYUSg_chr4.1293 transcript:KYUSt_chr4.1293 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEVLRRHFSRKRAVDVRRINPKVPKEEAVAISGRLLQILADHGPLTVGNTWNHAKDAAIEGLNSKTHMKILLKWMWGRQIIKLSCTQTGNTKKFLYSPFTADGSEAAAEEPSSTTPEQQQPRKGWKGKHPKYKGQAKKQKPAAVA >cds.KYUSt_chr7.3527 pep primary_assembly:MPB_Lper_Kyuss_1697:7:21042550:21047635:-1 gene:KYUSg_chr7.3527 transcript:KYUSt_chr7.3527 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRAWAGSAWRPELRPAARDQGRELYLQRSTMSNASADVVIPTVAIPCAVQPEADEAQKWMKKSTENINNKLQLVMKSGKYTLGYRTVLKTLRSSKGKLIILANNCPPLRKSEIEYYAMLAKISVHHFHGNNVDLGTACGKYYRVGCLSIIDPGDSDIITTTAPGNTQGKILTDTYEIWRLAIENSHHQLFNFCMEAPWVAAHHSARPLCSSSLATSIPSFEQQRSGEVHGEAQVGSMEVLRRTGQLIEIVDCKIDVRLLVNRKSNCDEIKWGNEPVDKEIVLERENALWNAAMEYRKVHNMVISYFLH >cds.KYUSt_scaffold_1700.97 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:713538:721101:-1 gene:KYUSg_scaffold_1700.97 transcript:KYUSt_scaffold_1700.97 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGAYRARAAEEARGDAGRHLAVSSGGVQAVVGMSGSQGIAVEKSSDDKRLRGRHIVVGCSDDGLSGGRGLTGKKSSEVERLRGRHFSISSSGGGRAVDASTGDYQEEEVFYQEEEQENFDHITNQGKLTLLQDEVPMGVLSAWSRRRTKVRKRVEATSGLSGTTVSRVLRNTPQGSEGLDKAMQEAMFRLCGQNEDKIKSTRFIYYPRHDSMGRPMTMPPPQPKMNPYEAPQDFRQYKTRRDLDNALASRQAHHP >cds.KYUSt_chr5.12070 pep primary_assembly:MPB_Lper_Kyuss_1697:5:78483214:78483525:1 gene:KYUSg_chr5.12070 transcript:KYUSt_chr5.12070 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATRPSAWRTCAAAVVAVWAVPVALSLLLLWLPLLCCAVAVVRFRRARRRLMRGCGVGVPSSSSVDAAGDRLGLLQKYLEDQMELVGADLFRDLSQEKRVD >cds.KYUSt_chr7.15681 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97366118:97370617:1 gene:KYUSg_chr7.15681 transcript:KYUSt_chr7.15681 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSLSRHAGALPLHRQGPSSSSQLLARPHAISTSSEAMAMHRLSHHRGILPLRRQGPSPRQLLARSRTILAPSQPTEEDKKTEEDEKPTEEDTKTEEDEKESSPPPVSWVQRSLEAARPYAMLARLDKPIGSWLLAWPCMWSLTMAAMPGALPDLKMLALFGCLTIPMRGAACTVNDLFDRNIDNKPQAYLGLSFNYGALLGWAAIKGTLEPTIVLPMYIAGICWTLAYDTIYAHQDIKDDLKVGVKSTAIRFGDSTKHWISAFGTATIGGLALSGYNAGLAWPYYPILAAAAAQLAWQISTVDLTDRADCNRKFVSNNWFGALVFIAIFFGRLAS >cds.KYUSt_chr3.28131 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175503352:175504761:-1 gene:KYUSg_chr3.28131 transcript:KYUSt_chr3.28131 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRKPVVLYPSPGMGHLVSMIELGKLFTARGLLVTIVVIDPPNNTSATGPFLAGVSATNPSISFHRLPQVKLAEAELPEMITFHLARLSNPHLRDYLARTSPAILVVDFFCSAAMDVAAELDIPAYFFCTSGAQVLAFFMHLTALHRESTASFREMGEELVHAPGITPFPASHSIQPLMDRHGPAYKELLDVSAKLFRSQGIIVNTFRSLEPRAFDTIVAGLCTPPGLSTPPIYCIGPLIKSEEVGVKRGDECLAWLDTQPKASVVFLCFGSLGRFSANQTREVAAGLEASGQRFLWVVRSPPSEKDSAKTKFEKPAEPDFDTLLPNGFLDRTKGRGLVVKSWAPQRDVLAHDAVGCFVTHCGWNSVLESIMAGVPMLAWPLYAEQKMNVVFLEQEMRLAVAMKGYDKELVEAKEVAAKVRWVMDSEGGRVLRERTLAAMRQANDALREGGESEATLAGLVDAWVHA >cds.KYUSt_chr2.35465 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219001263:219001829:-1 gene:KYUSg_chr2.35465 transcript:KYUSt_chr2.35465 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRPSWARILVAAAAIAAVVAPGGVDATPETTCRAAAEADRRVDYGFCVSKLSQHHDSPDADTWGLAKVAADVGVLVASNGLYDIKAMLAGKAPVPAAGGKQAARGPLERCEALYDRMGSAFAEAYDGIDRRDYAAGKEKALEAASLARRCGDAFARAGVVPSPLAKQGADSVQMAIICTAVTSLIK >cds.KYUSt_chr4.43319 pep primary_assembly:MPB_Lper_Kyuss_1697:4:268575666:268578249:-1 gene:KYUSg_chr4.43319 transcript:KYUSt_chr4.43319 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRKGRHHPMLLNKTTKVCRRVCQTAIVAVEGNPFPSRPSEGVNRASNGLSAAGHRTKHLTDDMGEGDAKQEMSPRPPPNTAAKPTPLRFPGWRLQEGERRLNAAAIRTENQGFRPVRGGVSRVLPQRDLQGRGPAPAASSSSWPAQADQGFPQKTHQHPPTAGLPDPAAGGHQDPGLAGIADRKQWGEEEEGSEAAELQGLMGGEGGRGSRDWSEASQPIHIEATVATAFGAVQGTTLGGLMRTFASEGEAAGLSVLQETPRLDRMALASFEQPQVSSSSYGSPHATDLEGDINFG >cds.KYUSt_chr1.39324 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240591447:240593713:1 gene:KYUSg_chr1.39324 transcript:KYUSt_chr1.39324 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPWRRRPYLWDWLAPKLERLSPPQDLSGAHPIAPPVGRRTGDREGSVSALAGRHDRTSPSRVAVYNERSPSGPHGCSSPPRVVHSDRASPSRTVHSDRASPSRTVHSDRASPSRTVHSDRASPAPISAYRSVRDSAASRARTSASCVLFDRSSPPRAGVRCAPRRGLNPLAVPFTPSWARRGDDDMVEPIGDAGGVKRTKHIAKKYLKKLPHEDKSKTDMSEQLVTAKLPQAEGKKTPMHKATLTKLENTAPMTQQALTKTNPELLPQAEGKKTPMQQATLTKLENTAPMTQQALTKTNPELLPQEEEHKAAKLPGVMADHKEFVEKQLPNKDTQVLLLEAPATPKEMFHGVVEREQFMKAEAVGGVPSGGGCWGVPAGGGAGPFGGGGGGGAGGPAGGGGGGAGGPAGGAAAGPAGGGAAGGGGGDPDGGGEPDHNPAQLPPYPHRLPYAQCMSYLCQEDALLHCPNCGLMFCADHVCDCAGYVHELPAPNVSESHVQLNQPFTYVDHAQADAGKHRGFYAA >cds.KYUSt_chr2.50151 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313612146:313615245:-1 gene:KYUSg_chr2.50151 transcript:KYUSt_chr2.50151 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPRHTLSRSSAAHSCRLPKHALLRAGAAFFLATLVALPLAVLHRAALSRHSLEHPWGWDALPSVATQEEEGAQGEDLDSEDLKLDRVLRKASMGDNTVILTTLNAAWASPGSVIDLFIDSFRCGVRTSSLLNHLVIITFDSDAYKQCVKIHHYCYFLGTEGVDFSGEKRFLTSGYLDMMWKRLDFLRLVLEKGYSFIFSDADIMWFRNPFPHFYPNGDLQIACDHYVGNATDLRNIANGGFNYVKSNERTIEFYSFWYSSRLRYPGYHDQDVFNAIKHDPYVADIGLEIKFLSTAYFGGFCEPSRDLNKVCTMHANCCIGLRSKIHDLRIMMEDWRSYLSLPPSLKRLTPSSWRVPQNCRYYVHCPTVTLSSRLF >cds.KYUSt_chr4.23132 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145597752:145605266:1 gene:KYUSg_chr4.23132 transcript:KYUSt_chr4.23132 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTAEVTWLRWLLEDFVVSAAAPASLLFGQSFLKIPDLADVLCFQKNRINISMELVTAELGDHGQRPKDDYGSKDHLVVQGEILEGLVARIVSHQSSDEMKEVLRSLSETPFHGVDSDLGPSLREICAANRSDEKQKIKALLEYVGSSLCPDHSDWLDAQSPSADKSFVTYFLEAHPTDYATKKLQIRTILIRDGLSTLFTEDGLSDYQTYYLRQMMNWGTSARKQEELCELLDQWAVYIREKCGNKPLSTSAYLSEAEPFLEQYAKRSPANRALVGAVGVLVQAENLRDGEHDLQPERGAAPSSPTTSLVIPGDAIHPQPDVPNLVDDHAHGMVDLEASEQGPLGQPLDLSILAA >cds.KYUSt_chr3.29474 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184512997:184521033:1 gene:KYUSg_chr3.29474 transcript:KYUSt_chr3.29474 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGDGSGVDGEAFRGHFPVPAACRNRDFCPPDLGFAMAAALEENRNWHFGISSIGHGLSSLCRQLHVAASKRGLARHLFTESALINFYLKAGDLDAARRVFDKCSHQGDDGGSALRSAPVSACVHRGMVDTGMALLKKIGDGEIKVAPTVENYGRLTCVVDTLGRVGMVDEGRALVECRMPMEANVNGLELGIQKPAIGSNTVLEPTISVPERCPRLELAMVITRAAALLMALLSMSLMICAKQRGSFIIFGIQIPLYANWSFSDSLESLVGISAAAAAYSLAQLLLIAHKVWKKVHVVPTRRYAWMLLAGDQVFAYAMMSAGSAAAAVANLNRTGIQHTALPNFCKPLPRFCDLSAASIACAFGSCSLLAACAIIDVMWLSNL >cds.KYUSt_chr2.23488 pep primary_assembly:MPB_Lper_Kyuss_1697:2:143397403:143400035:1 gene:KYUSg_chr2.23488 transcript:KYUSt_chr2.23488 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAPLGMHTFTPKSLPSVVCVSPFGPYAGGAYLGMPAGVPPVFTSAPADPHLAQPYMVHMNGVANANGTANNTMVTPVLQTPPAVSTQESGKKKRGRPKRVQETTVPSVPPLHLVRADPPVNLVPADPPVNLVPSVPSAPPESNDIVLQTPPSTISHESGKRKRGRPKRVPDVSVLPTPSAPVPDVSVLPTPSVPVPVVSVLPTPLVPVPDVSVLPTPSVRVQDGKPVSQTPPASSVHESGTNKRGRPKRVQDSSDTPTPTKKSEPFMQTPSAVTSLEDGKRKRGRPKRVPDSSVTASSHSGLSIDADTGDTSKRGRPRKIDTTLLHLPSLSSDDPRESVDNVLLMFDALRRRLMQLDEVKQVAKQQHNMKAGSIMMSSELRVNKNKRIGEVSGVEVGDMFYFRIEMCLVGLNSQSMAGIDYMSAKFGNEEDPVAICVVSSGMYENTEDDPEVLVYAGHGMSGKDDQKLERGNLALERSLHRGNPVRVVRSVKDLTCSTGKIYIYDGLYKIREAWVEKGKSGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDRVILHDISHGMESKPVCLVNEVDDEKGPSHFTYTTKLNYMNSPSSMRKMQGCKCTSVCLPGDNNCSCTHRNAGDLPYSASGILVSRMPMLYECNDSCTCLHNCRNRVVQKGTQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGVIVDKTTVEAEDDYIFETPSSEQSLRWNYAPELLGEPSLSDLNESSKQLPLVISAKRAGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHVAFFAIKHIPPMTELTYDYGQSQDYF >cds.KYUSt_chr6.1001 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6361103:6362207:1 gene:KYUSg_chr6.1001 transcript:KYUSt_chr6.1001 gene_biotype:protein_coding transcript_biotype:protein_coding MLPADHLSRSTVAFPCLKTLSMLNMGMSDKDLDHLLAASPVLETLVLASPVRRFHLRSQSLRSVLVFLVGDFAVVDAPLLERLIFMKPLLNARAARPVTVKIASTTNLQVLGYMEPMFHKLQIDGNIIPPDTVASPSTVVPAVRTLALKVNFCVLEELKMVATLLRCFPNLSTLHIQSVPCDLSETAAAGEHHAQFWREVGPVQCVRSSVKRIVFHKFHGHQNEFEFLKFVAGDNALESLLLVSPKEKLLSEDEVNAMIDKLGCTRFRAWTSKVLQLSPEVENDWSPMKACKLTVSDPFR >cds.KYUSt_chr3.39311 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247631767:247633750:1 gene:KYUSg_chr3.39311 transcript:KYUSt_chr3.39311 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRWWLQLLCLAGGILQALAQTDSIGFISIDCGLPGEGGYIDDTTKLAYVPDTGFIDSGTNHNISAEYLRPIQSRRSYNLRSFPDGTRNCYTLRSLVRGLKYLVRASFTYGNYDGLSRPPASFDLHIGVNFWTTVNMWSWSDPLGGAVTVEAIVVVPDDMVQVCLVNTGGGTPFISSLDLRPLKMKLYPQVTAAQGLVLYGRLNAGQANVSYIVRYPDDPHDRIWAPWFDATIWTEMSTTGRVHNGDEKDFFLPPTAVMQTALTPRNASWSIDFSWDAEPRPDDPTPGYIAIMHFAELQLVDTNAVREFYVSINGKPWYYSSGYTPVYLNSAAAYDSVPSQYSSRYNVSINCTANSTLPPIINAVEVFSVIPTTNLGTEYQDASAAMAIKAKYQVQKNWMGDPCFPKTMVWDRLTCNYAAATNSRITSIPRISPCIFKNLNPGKFIDSSSSLIILRIIQTLRSYLHLFVKDDKWDECDGRRLLLRLRLPRLEFPDGGDGRRLLLCLRPSLLGGILLGCGHRLQEGRVLLCLLRFLLAGSRISSASSAACAADERRPRRLRRAGTLPLGAPLLEVLIGLSGGSSLYNRPPAANSRSDGST >cds.KYUSt_chr4.5222 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30095240:30097329:-1 gene:KYUSg_chr4.5222 transcript:KYUSt_chr4.5222 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFASASTWRMDSLRTALPSLRPSPSAALGGAPRPRKQAAGRVGASASPFLRSSFVSTSTSASSCASPAPVSAAVSASLAFSYTSSFSVESGFEHRLFGIDVRGRILAMRHGKRIPRLGRPADQRKALLRGLTTQLLKHGRIKTTKPRAKAMRKWVDKMITMAKDGSLHKRRQALGYIYEKNIVHALFAEVPDRYGERNGGYTRIIPTFPRRGDNAPMAYIELV >cds.KYUSt_chr1.2931 pep primary_assembly:MPB_Lper_Kyuss_1697:1:17500856:17501164:1 gene:KYUSg_chr1.2931 transcript:KYUSt_chr1.2931 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRVQPGNRLWRRRPATSSTECGSALRAIPLQHNGALHARVHGLVLLLQAAPPPEDDRRGSSCPRGCRFRVVPVVGARPHLHGGSLVFQQPALSGGGRGY >cds.KYUSt_chr1.35523 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216576092:216577681:-1 gene:KYUSg_chr1.35523 transcript:KYUSt_chr1.35523 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPYPPARRASRKHTAAQLCSPGRPSNPGTVPQHATSLYRDGAPNDAGALRSVLKRLATASSAPRGEAAPVLHAHAVKLGLDRRHRGVRDALIALYLACGRQRAASALFACHPVPDVVSWTGMVTGYTRLGRFSEAAALFLAMADDGAVVVDAVAAAAAFTACAGAGDIGLAREVHRRVLVAGVALDVVACNALVDMYSKCDDAAAALRCFRTMEPVKNVVTWNTMISAHARAGEPREALSLFREMLKQQGARPDDATFVAVLGACARLGALDAGRWAHAYMRRTGRNAVDGVVGNALLDMYAKCGAVEQAAEVFDARAQRDVYTYTSMISGLAAHGRGEDALALFTDMRRAGVKPNKVTFLGVLSACCHAGFVVDGLRHLHAMAEVHGVAPGIEHYGCVVDMLGRAGRLDEAEELVTAMPVRPDAPIWGSLLSACREHGHVERAERVLQRMADDEEADAGGYVLMSNMYAREGRHGKALRVRRQMMKSKVDKVPGCSLIEIDGVVHEFQAVPANSAELFGDASNLLS >cds.KYUSt_contig_1993.322 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:1882673:1883913:-1 gene:KYUSg_contig_1993.322 transcript:KYUSt_contig_1993.322 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCRTWHCLLALFLLSSAAYGQLSPSFYVRSCPTLQLIVRATMIKALLVERRMGASLLRLHFHDCFVQGCDGSILLDDVGSFVGEKTAFPNVNSVRGYEVIDEIKRNVELLCPGVVSCADIAALAARDGTFLLGGPSWAVPLGRRDSTTANLNEANTDLPGPSLNLDLLIKAFGKKQLSPRDLTALSGAHTIGFSQCLNFRDHIYNGTNIDPAFATLRKRNCPAVAPTGDRNLAPFDVQTQLVFDNAYYRNLVAKRGLLNSDQELFNGGSQDALVQQYIASPSLFASDFVAAMIKMGNIGPLTGTAGQIRRNCRVVNS >cds.KYUSt_scaffold_869.2130 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:13154107:13154442:-1 gene:KYUSg_scaffold_869.2130 transcript:KYUSt_scaffold_869.2130 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNERASGGQACGGCALHQPCPRKRRDWPANGAAGGIGFLVGQRTRWWLQAAQRCGRPAQQIIFAGGAAGGLAASALLWFVSRQGVVISVSLDLRNKSERSLSSSDLQLR >cds.KYUSt_contig_2097.236 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:1192645:1204050:1 gene:KYUSg_contig_2097.236 transcript:KYUSt_contig_2097.236 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVGYRAGGQVAGEAGVEEVRVRQRPWLTGTVSRSAGLAAVAQNRGSTEKLLAGGRRVAQRRDGGAPGRQSSGEEGEAGLGLGAQSGEEGEAGVGPDAQRFWGSSDSSVQGRWEEGELVQDPNRSIHSLLVAAGAQYGAHSENHDPIVLDEEKEAKKIMDSTPTIGIVSALLVTVTFAASFTVPGGYRADDDPESSHHTAGTPVLAATYSFQAFIVANNLALLCSSMATISLMYAGITTVDIGTRTCAFCLSIFFLNSSARSLAAAFAFGMYATLAPVAHAAAVVTWLCTAASLLDVAWFVGALLFSLLTLLNRIPARRFMLQFVLTFVFSLLGALWPYAIIADNNVASAFQDPSTIRYDPHGYVSVVVGSKADKKGFTVLYRSRDFKRWIKADHRLHSGMTGMWECPDFYDVAVTGGSRHYQYGVGNVELWDRALSEEVRYVLKVSLDPKTQAGEIDNLTVSLGQSTLVVLCRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWTNIDPNNVPLASLFAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRSSPPGLEAMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVMPNENHHNKIVTTEKAIQVRINENIRLMAELRARWDSEENEKLAKEKNVAKVWTITTTCNANATHVAAPPTINNKRIGVSNVSTSNAKRETARKR >cds.KYUSt_chr2.1889 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11324670:11325231:1 gene:KYUSg_chr2.1889 transcript:KYUSt_chr2.1889 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEGESSPIKSDIVIFGTGFKGDQKIKDMFVSEYFQSIAVGPTSSTLPLYRECIHPKIPQLAVLGYSESLANLYTAEIRAKWLAHFLDAGFRLPSIKAMQSDILEWEKFMKRYSRGYFRRSCIGVLHIWYNDLLCKDMGCNPRRKKGFFPFGSVIP >cds.KYUSt_chr6.2434 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14200741:14202431:1 gene:KYUSg_chr6.2434 transcript:KYUSt_chr6.2434 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEACEIARLPEELLSAALSRTSPRVACRAAAASPAFRVAADSDAVWARFLPRDLPPLADGELLLTPPTRKKDLFLRLSDHGSPLLLPDKLASMWLDRETGAKCYMLSARSLTIIWGDTPQYWTWIPLADSSRFSEGAQLLRVCWLDISGRIPCKMLSLDTVYAAYLVFKANENSFGLDYPVQDASVSVGATSSTRMVCLQSNDEEDEDGDEDGAVPGHYWPVRPHPPLRTGRRTRRSVPHADNVGRPQERADGWMELELGEFFNDGGGDDDGEVSFSLVETNGGRWKSGLVVQGIEIRRKKSG >cds.KYUSt_chr3.12799 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76741930:76743148:-1 gene:KYUSg_chr3.12799 transcript:KYUSt_chr3.12799 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTKPRNLGGSLPVPNVQDLSGRHQDLTVTPTLLHRYLRPQPNTVDLQLDGPAHEQEHVPVIDLGRLLGQDDRAEEAARLRLACENWGFFQVVNHGIPEETMEEIKRNVMGFFALPLEEKASLAQEPGGIEGYGQAFVVSEKQKLDWADMFFLLTQPPSYRDLHLWPSNPSTFKKCLESYSAEVQSVASELLRAMAENLGARDHSDMTRLAATQGMRMNYYPPCPEAHVDRVLGLSPHSDAVGLTLLLQVSSVPGLQIRRNGGWVPVMPLPGALVANVGDVVEVLTNGKYKSVEHRAVVNAREERMSIAAFHSGKFGTTYGPLEEVVGDEEVRYRSISVEDYVKLVLSSKLEGKNIMDAMKIT >cds.KYUSt_chr2.7837 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49007318:49008999:-1 gene:KYUSg_chr2.7837 transcript:KYUSt_chr2.7837 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPTSKFQPTVEYRPIRLSDLQVLEQIHVDLFPVRYERDFFLDVVNSNGIISWGAVDTSRSDELVGFVTTKIVSAQDSEIEDLFSNNCLWKDPTLVYILTLGVVDRYRNLGIDCNLSCYVLQGLPGGSGYKDMEQQKPKYT >cds.KYUSt_contig_786.487 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2708952:2711250:-1 gene:KYUSg_contig_786.487 transcript:KYUSt_contig_786.487 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDADAGLDHHQKGKKPHRPHRRRRGVPATTGLTGGQQELEVSDSIHIIDNSVEHQNLGIPLQSRRVCQMVFFFLLMSLMQRGTVDLSLPLLGSFYFSVHGEEVS >cds.KYUSt_chr2.4004 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24252297:24253649:1 gene:KYUSg_chr2.4004 transcript:KYUSt_chr2.4004 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKGNILMKKYEMGKMLGQGTFAKVYHARNIETSQSVAIKVTDKEKVLKGGLTDQIQREISVMKLVKHPNIVQMYEVMATKTKIYFVLEHVKGGELFNKVQRGRLKEDAARKYFQQLICAVDYCHSRGVYHRDLKPENLLLDEDSNLKISDFGLSTISECKRHDGLLHTSCGTPAYVAPEVINRKGYDGAKADIWSCGVILFVLLAGYLPFQDKNLMTMYKKIGKAEFKCPSWFSTDIRRLLLRILDPNPSTRVSIEKIMTHPWFRKGLDAKLLRYNLQARDANPVDLTVASDSLSSSNSTSECKQQEAKNLTNLNAFDIISLSTGLDLSGLFEESEKKSESKFTSTNTAPMIVSKIENIAKSLRLKLTKKDGGMLKMEGSRPGRKGVMGIDAEIFEVTPNFHLVELKKTNGDTLEYQKVLNQDMRPALKDIVWAWQGEQPRQQQQSC >cds.KYUSt_contig_257.315 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2418914:2420658:-1 gene:KYUSg_contig_257.315 transcript:KYUSt_contig_257.315 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGWGCICRHENDVVRFASAGRIATASDALHAETLALVRAVEIADDLGVRRVVFETDCLVLKQVLVSTAYDYSPLGTSDVNSKLAAERGEPTEDKHKTQEGMNQDGDDRVDLSGIDGEEDDLVGSQSSVSLGTKVNNIQNGRPVNTSKMAVVTEADGVIDQRYSDRLASQNNEDIPIMERAKKQGCGKELDCTKVKDSAKDRLRWLAKLVEQIATEVFSKQEELGVIDPKTGNVEKSRQEDILTNRKTKN >cds.KYUSt_chr2.3855 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23222942:23225210:-1 gene:KYUSg_chr2.3855 transcript:KYUSt_chr2.3855 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQTSSLTVKPAQGPKLFTTRILLPMDPPRAVSSENDEFDFSDVFGSSPVQTSAELCDFGPDSPAAPVESNEEVYNDPAVIIKRSHSLVGPSSLVSCSLGLSKLTLNKADGSSELVDYTTEENDMNVEQFSDEEIGNAVTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKIDHPFVVQLRFKGVFPVQTKYRLYLVLDFINGGHLFFQLYKQGLFREELARIYTAEIISAVAHLHDNGIMHRDLKPENILLDPEGHAMLTDFGLAKEFRENTRSNSMCGTLEYMAPEIILSQGHDKAADWWSVGILLFEMLTGKPPFVGNREKVQQKIVKEKLKLPSFLTSEAHSLLKGLLHKEAGKRLGSGPGGSDEIKKHKWFKPINWRKLDAREIQPSFRPDVAGLTCIANFDVCWTNTSVLDSPAATPVTAGGGQGNFAGFTYVRPAPFLQDLKPSSSR >cds.KYUSt_chr4.7106 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42070013:42071550:-1 gene:KYUSg_chr4.7106 transcript:KYUSt_chr4.7106 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSTAFLAFALLLLLNLNVCRVESARPQGLGTGHHAPGEGHHPPGEGHHHDHDHDGDGGHKGGHKHDHKHHHHDEEYDGYSFYVFGDSFADNGNLPSKNDQWPELTRQWHEPYSANGRFSNNQMVQSDFIANMLGQSESPPAHMVTSHVGQTGMNFAAGGAGVFKVQQGVTTLGEQVHAFHKLVKAKKIKKEHLEGNSVALVAISGNDYARVGVRTSGFFDITAFVDKVTTEIQTVVARLQEIGVDKVIVNNLFPVGCAPSQTRPNNHTTCEEQGNQGASLHNKYLGDKLADKEGVLVLDISAAFTEIIGHHGDGRGELAHKFGHKLTPCCESVDPKGYCGQRAYDEYNEIYSTYSVCDEPNGYFFWDDMNPTQAGWAAVMGQLESPIKEFLDLD >cds.KYUSt_chr7.5423 pep primary_assembly:MPB_Lper_Kyuss_1697:7:32392361:32396901:-1 gene:KYUSg_chr7.5423 transcript:KYUSt_chr7.5423 gene_biotype:protein_coding transcript_biotype:protein_coding MRHATSKAAGKEKGRRKGGGGASAGEDLLTDRVLSLRARLNDAISLGLARSDGHGAKKWQSTDAGIQSHALKAVAPFLSCLSNEMLRLPPIKESVSDIVVLLEGALQTKNVSIVIQAADVSLKLVSTIGNSVRQYPVVEIVRALSCQLSADQLPTAISCARAMNCILNCLVTARSSTHAEIWEALDRTNAVGSIVSALLSYTLDAHPLNYLTEMISLLRIILWVWPSSRYYVWSNCNLMGKLAHYSLATETAVVAKVLRLYAALALCGHGALILLKNEVLMAKISELVGTSHPSSTRIEALKLCQVLLRSSRGCSQLMDSHCEPIVVGIIDAMSKSDEKLLVTEGCRTALLVLRYTGNHHRCFWSNAIDKVLYSILTGCCISSTQTHRILSHDELFNMVSNNFMDMHPYVWDILGYLVVHCNDEHLSVRKGQGHMHALISCACLLATDVMHKSSPMKSSKDVQEPALRAVLMMLLSPNGYILSEASFKLSEVVPCLGDDYLSILLSSLESNTMRSVTTSFDSFKIMTNLMNLACLVLSQPYHNLLNKRNPVDVLSTIIKECLHNHIHITRSKVTSHLHFCFDGSSCCCSLGEEWEGENIVLFYSLLVLFNLLKSTTLVCVHCKRKLDVGILCHNCKDQYTEGFLRVLQCALNQSLSSGQKLYMGHILSLFGLCGSPSKLGGKMRNALDDNELADLEFLLPDGDSLYAHTAIISVRCPKLLPSIKSLLGSEGKVTDRWGKSVYRVQMSDRVDSRALRKILEYTYTGFVMVDDDNVKPVKTLAKYCHLKSLHDMLQKEQPRWNSNYPRYDLTVALGPAEHSFSDIILEAQSNEETKCHHGSCQLSTPHVHSHKIVLSMSCDYLRALFHSGMHESFSDVIKVPLGWQALEKLVHWFYSGELPKVAPDCQWKNLSDEEELLQLNAYAELSSLAEFWFMEGVKEESLDAVTSCLSSFSDAAAIEFVAYAAGLGQWEMVEDGIRSVAHLYPRLRDSGQLERLDDELLNMLRTEYVRYSQHGAR >cds.KYUSt_chr5.14865 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96077835:96082257:1 gene:KYUSg_chr5.14865 transcript:KYUSt_chr5.14865 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGGVTVCEINRDLVVADSLSEDRAKEAYGDVLGMVFSPIPFQPDDLLTKNEAPAAPEDAEPAESVPRASLVSTVAESLKQMLFPSCDPKLLEEFDTQKVSWNPHKHCLAFVSGKDQVTVHDFEDSDSKESCILTSEHQKEVKAIEWRPNSGKMIAVGCKGGICLWSASYPGNVPSVKSGVTSSSFGAFPRGSTGLGTPIRRGLSSISLVRWSPSGDYFLTAKFDGTFHLWETNTWTSEPWSSSNGYVTGANWDPEGRVALLSFSNSTTLGSVHFSSKPPSLDAHLLPVELPEISSLIVSAGAVAGVAHTP >cds.KYUSt_chr2.23829 pep primary_assembly:MPB_Lper_Kyuss_1697:2:145311386:145317896:1 gene:KYUSg_chr2.23829 transcript:KYUSt_chr2.23829 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDARLDAAVFQLTPTRTRFDLVLIVNGRKEKIASGLLKPFLAHLKAAQDQIAKGGYSITLEPSSGVDTPWFTRGTVERFVRFVSTPEVLERVTTVESEILQLEDAIAVQSNDSLGLRSVEDHGGKLTESNEGNRTKYDMDADKAIVLYKPESESTPPMQDETTVHEENSKVQLLRVLETRKNVLRKEQAMAFARSVAAGFDIDSLGYLIAFAERFGASRLMRACSQFIELWKQKHETGQWIEVEPEAMSIRSEFPPFNPSGIMFMGDSATNGEANGEDAAKADQKSAQQVGYQAAYPPWAMHPPSGAAVYPPYHMQGMPYYPGANPYYPPYPPADDPRHHYSGRRSSRKHSSDSKDSETLEDGSDHSSSENESTHGRKSHKKGKRSGKKKPSIVVIRNVNVTSKKHGSSESESQSSSDAGSEDSDDSHTKSRDGKHKSSSSKKNGGRKTAMGSGDDGASFGQDADQGNWSSFQNFLLKAEEKTRSNDAELFSGEREPPSSRKKNVNTADPILLTGRDSGNFHELGAVGFESSNGRTRAMRVLSNDELVMSGEGRSYMNGEMKEIEAGGGAYRSGTSDDFMVYGQGRQIDRRSSLDPLAEARYRSPTQVDKNVHGVADESFMIPVRSNSQDNHGPENRTAIDIDVELPYSIQKTPDRKSETKLFYEPDQLIPDRGFDNASFGYDPSMDYDSHMQIQTIVKVEDTNAEDVSHGIDSDAKKPEKQKLKNAKDGLDKRKKEALLRRLSAPKTPLNDAQKRAQNLRAYKADLLKLKKEQEDEQMKRLERLKLERQKRIATRSGKDSTNASREHTNGLSKSAPSFTGAKKEKSGGTTEPLGERLKRLAEPKSFAGTDHPSNPKSITTDHSRRRSLA >cds.KYUSt_chr1.37249 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227682709:227683080:1 gene:KYUSg_chr1.37249 transcript:KYUSt_chr1.37249 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRFSATTVARPPPRALVRVARAETLRCAYSKEGLKACSAMNLSPAVLNGLGLRRMSRPWGSELVEEQMDTENTRLRRLSRALNPEWVLACVLGYIFFNPGRLQRRYNDDRTSHAASRSEQ >cds.KYUSt_chr3.14408 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87527891:87529261:-1 gene:KYUSg_chr3.14408 transcript:KYUSt_chr3.14408 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSVPGAELPTMMGVGGGGGGGGGCSPWVQSPLHGRMRFPPSPAAIYHCLAALHRLDGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPALAACGGTLVTSHSRDHHVRVWTVCAAAVCDHIRAKKAATLPAKGGLLSFAKKRPHHHRDTVSCLVLHAVAGLLYTASHDHTVKAWKLSDGSCVDSFVAHDGPINAMLVNEADGCIFTGSADGTVKMWRRVYGGTAHALIIVLRSELSPVNALTLCHAASGTTRRCFLYAGSSDGYVNIWEKEASAGRPVHVGFLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRREGKGGDSHTCLAVIEGHRGPVRCLAVGGGEAGEVEGSMVVYSAGLDKSVKVWRIRVVGKEEEDDEDDDDDECDEEVEDIVAAGKGDVVDAVRDEVDAEEEQVVSLGPTPVLSPVWVEKRRHTSRG >cds.KYUSt_chr3.40990 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258739386:258741694:-1 gene:KYUSg_chr3.40990 transcript:KYUSt_chr3.40990 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMEFWGVEVKPGQSLPCDVGKEFIIHLSQAALGETEKESGNTVVSAKVGGNKVVIGTLLCVLDLLVAFFTSDSSKDKGEVNAVNNQVINPTTDEDDRDSSDSDSSSLDDLSSSDDDLTEDESSTEGNSSEEDDTSTEEDDSSSEEIYTSSEEIATSSEEDSSDEESKSTPVKPEDGKKRVAETALKTPASDKKAKVATPACQTTGDDKNVPHVATPTKEASKASGNSNGKPKAKSPKSVGTHACKSCSRTFGSDFALQSHEKAKHT >cds.KYUSt_chr6.28007 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177581865:177583424:-1 gene:KYUSg_chr6.28007 transcript:KYUSt_chr6.28007 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRRLALAFFLSCFSCSVPSLASSDDFLQCLAKKIPSELVYKQSSSDFTDVLVSSIRFPNFFTNTTVRPLCIVTPTDAGHVQAAVLCGRRSGVRLRVRSGGHDYEGLSYRSVRPEVFGVVDLAKLRSISVNESESTAWVESGATIGELYYAIGKNNSELAFPAGECPTLGVGGHFSGGGVGMLMRKYGLSIDNVVDAKLVNAKGELLDRAGMGEDHFWAIRGGGGESFGIVLSWKIQLLHVPPTVAAFSISKTLDQGAVDIVTRWQVVGPSLPDDLTMRVKVQGQEATFLAVYLGTCSSLVATMGREFPELNMTSADCRPMTYLESTALSFTTLANIGTPEEVLLNRTSSLDISVEGKSDYVRRPISKAAWEDIFSRFKMNGSGLIMLEPHGGFIGSVPNATTPYPHRDGVLYVIQYLVFWPGANGATAQTWLHDFYDFMGQHVTSNPREAYVNFRDLDIGQNAVVDDVSTFESGQVWGERYFMGNFRRLAKVKAQVDPTDYFRNEQTTPPLLHRRM >cds.KYUSt_chr2.39695 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246339518:246340207:1 gene:KYUSg_chr2.39695 transcript:KYUSt_chr2.39695 gene_biotype:protein_coding transcript_biotype:protein_coding MPELLESCGDMVMPPSVDEVGSDLHEFSDVASPPSHALGFERSGVVDVVVSLSPESDMQVGEHVVAKSGVLTHVHASSPPQSEPCQSLQPVVLANSKCEDIEDFLAPVLPFTEELHELREDSHVVLPSVLCSFETLEVATTSSPPQSDPCQSLDSLDHGAVLVPSSDALFAKELCGLLASLEAASPGYGKVIACVLAGKASEDMIKKVEKSLKKVSIRRIRRRAITREV >cds.KYUSt_chr3.13935 pep primary_assembly:MPB_Lper_Kyuss_1697:3:84391107:84393056:-1 gene:KYUSg_chr3.13935 transcript:KYUSt_chr3.13935 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMDVSSPVAFLHSPFLIPVFTLLVSIFSFLLFFTKKSRPFGSNGGKRLPPSPWGLPILGHLPLLGPLPHRKLRSMAEAHGPVMLLRLGGVPTIVASSAAAAQEAMKTRDLAFASRPSVRMAERLLYGHDMAFAPYGEYWRQARRVCVLHLLSARRVASFGRVREQEVAALLDRLRRVACASAGSRPDGDNAVVNLTDELISYTNAVISRATFGDDGGYGINGGLAELFADFEELLGTATVGEFVPWLAWVDTLMGLDAKAARMSKIMDGLLEQVIADHRQRRLGGGRLVGDGEEDHRDFVDVLLDVSEAGEDARGIQIDTVGIKAIVLDVFAAATDTTYTTLVWAMAELINHPTEMRKLQDEIRATVVGNGGNHMNVDDLSKLHYLRAVIKETLRLHAPLPLLLPRETLEDTELLGYHVPARTRVVINAWAIGRDMETWERAEEFMPERFMDSPAEYEVGHGDFRSVPFGAGRRGCPGVGFAVPSIELALASLLYHFNWELPAAAEASKLDMSELYGLSVRLKANLCLVAKPWSP >cds.KYUSt_contig_319.848 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:5466391:5470194:-1 gene:KYUSg_contig_319.848 transcript:KYUSt_contig_319.848 gene_biotype:protein_coding transcript_biotype:protein_coding MAFARREHAPSRPAVLFLLSILPLLVLFTFSSAAAPPAALHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNNYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHESSVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDNEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSKKGENSYSHHLDSDIGVSVVDRFTFHTLAFFEKLNMYSNASLNSLFNSYDPSMLLSTAYYRMDLYERALNEVPVTNFFGSVMKTIHTDSAYTGFLAAREVENPLSMRNNILEGAMLQNEASARRSDIEKMKEAQLRSDGWTEVLLEGKNSDTVVMYGLGTMGVLLAISTWLSMKAL >cds.KYUSt_chr3.31977 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201030705:201031412:-1 gene:KYUSg_chr3.31977 transcript:KYUSt_chr3.31977 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHVRRLLNRVAIALAAVATAALMHLFRHSSTFCFTSSPAYSSLSLAPFPRTSCDAASRRVVDPDLRLAKLRSTPRWRRHNAALSASVVEPLRRLRLLGNSSRVLCIAAGAGQAVDALRVAGVGDVTGVDLVDFPPLVRRADPHNLPFFDDAFDLVLSDDPAALTGALFPSRFAAEIERTVRRGGAIALAVDRRISLSIVASLFKKSRVVDVRNTTLSGSSTSIVILSTNAERH >cds.KYUSt_chr5.3318 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21475051:21475685:-1 gene:KYUSg_chr5.3318 transcript:KYUSt_chr5.3318 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTYALEKNPYLYQIYETRAKWAKPYFSGIFCARMTSTQRSESANHMLKTYVPPGSAMHVFVKQFNKLLFDRDAEESFQEKRTRLGWIVYKVGEPIEKHAAKIYTRTTFEKFQECLYKAGSYYVDELVPGEVYAATHFDSESREKWCKVKYKITVSAGCYTCECGM >cds.KYUSt_chr5.39450 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249701309:249703672:-1 gene:KYUSg_chr5.39450 transcript:KYUSt_chr5.39450 gene_biotype:protein_coding transcript_biotype:protein_coding RTDGQAPLRRKPTDARACGAASAAAAAAAMGLLSNRVDRSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEVESSDSSNSFSSLISFSEASSECPTFPDCGFQLSDSGVVLTCLDCFLGNGSLYCFEYGVPSAIFLAKLRGGTCTIAQSDPSEVVVRRAMHLLQNGFGNYDIFEKNCEDFALYCKTGLIPADEPGIGASGQASAAVGIPLAALMSSPFKMFAAGPLGMATVTAGMYCAGRYITDLGVRKDVTKVEVENLSSHLGFRRIEDAESVNSSPDKVKKTLLPMKRKRER >cds.KYUSt_chr7.25838 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161266680:161275886:1 gene:KYUSg_chr7.25838 transcript:KYUSt_chr7.25838 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFIFLCFTLSMFMLHCGHCQAIPRNGTGGNLTSVQMQTLALLSFKSMVSDPAGLLFSWNSSSHPCKWRGVGCGRRRHRDRVVALSLNSLGLSGSMSPSLGNLSYLRVLDLGGNSLTGHIPLDLGHLRRLRLLNLTANSLQGDIPVTLGRCTSLMKLLLASNHLRGEIPGEIGALGNLVILSIDGNNLSGNIPPSLGNLTSLVILSLANNSLSGTIPASFGDLSSLQQLLLWLNHLSGHIPPSLGRLSNLFTLDLETNNLTGPIPPAIWNLSSLVIFAVNVNQLSGRVHQDAFNKLPHLQVFSLMENLFHGHLPSSLVNATNLVEFEAAYNFFTGTVPPNIGRLQSLQWLVLAFNSLEAKSPADWGFMRALTNCSQLQNLELRYNKLSGTLPSAVSNLSSTTLTFLSLGNNEISGQIPEEIGNLVSLQDLELHNNSLTGNIPSSLSMIRDLTVLRLDNNSLGGPVPTTIGAIPSSLFNITTLAISLDISNNLLEGPIPPGIGNLQNLAEFHAMSNQLKGKIPITLAKCKLLQILQLQNNSFTGNIPSPLSELKGLEILDLSSNNFSGQIPKFLGDFTTLYHLNLSFNNFDGQVPTAGVFANATEISVLGNTKLCGGIQDLHLPPCFLHIPNIRHKLPMHAIVILLVATITCIVSLHLLFFFACHKKRARETLPSAVMRGCHVVSYQQLAHATDGFSTANLLGEGSYGSVYRGNLCDPTGGEDNVVAVKLLKLQTPGALKSFAAECEAMRNLRHRNLVKIITACSSIDFSGNDFKAIVSDFMPNGSLEEWLHPNMNNQPEERHLSLVQRVSILCDVAHALDYLHFNAAAPVVHCDLKPSNVLLDDDMVAHVGDFGLARILAEGCSSFQPSTSSMGFRGTIGYAPPEYGAGNMVSTHGDIYSYGVLILEMVTGKRPTDDMFGHGLSLRKYVEMSLINNRMMDIIDAQLANEIASFYLRLGPQLCPHGIRARDNEDNDEKDC >cds.KYUSt_chr5.6528 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40537505:40546418:-1 gene:KYUSg_chr5.6528 transcript:KYUSt_chr5.6528 gene_biotype:protein_coding transcript_biotype:protein_coding MELNHHPFTESRLAQEETSTEIFSPCGEIVDLRIMKDQNGVSKGFGFVRFAERECAHIAKRQKNGIELQGKRLAVDLSMDQDTLFFGNLCKEWSAEEFEELIYKTFKDVLSVDLAMASNLDSSASRRRVNRGFAFVRFSSHGAAARVLRIGSRTDFLLGGILHPAINWAERESNVDADEMAKIKTAFVGNLPANANEDYLKKLFGRFGEVMRVAVSRKGQYPVGFIHFSSRSELDNAIKEMDGKTVSGPDRGPSFKIQVTVARPAVENDNKRGREELKTRRSDVSRDKPDYSHGRYGHDSLHRQTKAPRLSNMVSDVADPYEAAVNSLPSAVKELLLRILRLGIGTSYDIDIYCIKSLNELPESGAVAVLNQFLITGADKRNKGEYFASLIAKRKVEAFGVAHISQDTYLPRNPEMQTKRYRHQDYDYTASGYAEERPTVVRYPEPRLRQEEISHEPRQNIGRHLDRRYTQEQSYNERSAHEPRKDTGRHLDGRYAHEPSYTERSAHEPRQDTGSRHLERRYTQEQSYTHERPAEEAVFPRERRVLSAAGYTTNTAPEFNSRSSAEYSAEQQQMRFDPFTGEPYKFDPFTGEPIRPGASLRRSGSLY >cds.KYUSt_chr4.45050 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279009555:279013669:1 gene:KYUSg_chr4.45050 transcript:KYUSt_chr4.45050 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEKRVAIDVPTNGLGAGEEKAAEDLPPAPPAPPALSGWPRSTGLYLFVMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKYLEENSSKDLEKASAVHSETCNVPASGHGTPECANSCIPTECTDLSNQVCKRKYIPSVTSALIVGSFLGLVQAVFLIFSAKVVLGIMGVKHDSPMLEPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDATNIILDPILMFVCHMGVTGAAVAHVISQYLITMILVCRLVQQVDVIPPSLKSLKFGRFLGCGFLLLARVVAVTFCVTLASSLAARDGPTIMAAFQICCQLWLATSLLADGLAVAGQAVLASAFAKSDNKKVVVATSRVLQLSIVLGICLTVVLGIFMKFGAGVFTKDAAVIGVIHKGIPFVAGTQTINALAFVFDGINFGASDYTYSAYSMVGVASISIPCLVYLSAHNGFIGIWVALTIYMSLRTIASTWRNHQGLWLVSAGWGQPGGHGRSFGSDESAGKIDGD >cds.KYUSt_chr1.12555 pep primary_assembly:MPB_Lper_Kyuss_1697:1:77302395:77304917:-1 gene:KYUSg_chr1.12555 transcript:KYUSt_chr1.12555 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAASLFRVSHLLIKPSFRCLSRKGVGRYGEIKVYAVLRDDGADYLKDNGPWEALFHVDDPGPRVPIELGKFLDAKQVLNVVRFNIQYCDWRARQDLLTIICAPQQARDFKSVGNLRKNLAGLQEDLAKAHNQVRSSIVLVLDGHDGKILATLARLLYRSFGVILLELGDRGGPAAADSGFQQRRREAAPAAAAERGRPLTLRREAREVAGAEGGRPPAHRREAASSTGARLRGARESSGARLRGVW >cds.KYUSt_chr1.33125 pep primary_assembly:MPB_Lper_Kyuss_1697:1:201070034:201070900:-1 gene:KYUSg_chr1.33125 transcript:KYUSt_chr1.33125 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFTARPLDLPLHSSLTPIQAPALYIRPCAPSCFASAQTRPQQEATYAGVRPRLLAPPATVQNLQRLLLLGSDAGRQGNRSRSKPFSWRCASEELVFTMNAPTSWLFTDTSRYSTRSRLLFIGLSFAIGIATFLLYLAVWYACRSRRNQLQNAERADDLEAGAASPEPECRGMTDTAIAALPTFKYEVPAVVPGAVADDDAQEAPTAADCAVCLGQVEAGETVRRLPKCAHLFHAECVDAWLRAHCTCPMCRAPVGPPSAAASKKDGTPPAEAPAAATATAVALPPV >cds.KYUSt_chr2.27405 pep primary_assembly:MPB_Lper_Kyuss_1697:2:168101454:168105166:1 gene:KYUSg_chr2.27405 transcript:KYUSt_chr2.27405 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRKGGFKKKIKAVAELVGLSSGSSSQTRPPSAPPSAPPSPPPRRKNAQPRRLRTPSPSPPPAEDDDEEQWGGEDEEDGEEHGGQDEEAGGEDGGGGRWGGQVGEDGGEDGGEDGGEDGGEDLEEDEGLGGMPQELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKYGNMQERVVRHFWKYFKRAEGKEIACDVILHELCRVRVTGMHYEARVQCVRDWHAERKVWMTPSSIRREDRACFLAMVIWWTSREYARKHEEGKRSVREMGGGSHVLGSKNLALTLQDEEVKTGVAPNLFGFFQKSKTRKEPHPETGSLWVNDLAEGQCGACIARSSRTSTAKTPTQPPKSLTLRLRCLRDKARRVAAYGLLTGGDGRKGTEAPRGADADAADSLGRTCRCSSRCCSRCNNEDEMFQQMFMNQTVLTSPPGSSGPSTSCPPMFPHFEVEKDKEVEMDKEVEKDKEEAKVDVACGLMGKQENSGKICTIFAVHMHTAKATAHGKGTLCRAHAHGKGCPRCPPDVAVPTFAVHGGREAHGKAKSLPCELERKRTAKKLARQRGQTHGKGIRTATRPQLTATLRRSAKPLPCDFRATHGKDPFAGRIFAEQSLPCTAARQRLCRAGLSLCRAFGLHGKALFCRSDECYDDVKSQYRLICILDYTKLTKSEKFNSIDMYSIHYKNCQKL >cds.KYUSt_chr1.28244 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170545471:170547308:1 gene:KYUSg_chr1.28244 transcript:KYUSt_chr1.28244 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPKPFFVVISICLFALSKCTSAGSGGKPLVTAITKDANTLLYTAPLKDGRPLVVDLSGPAITLACSSKTGTVTRLSASATDGANPLFPVSFSATASCASKAPAGAVGVAGLAPSSQSSLPAQVARTQKVAKKVALCLPSDGKTTTGNSVGARGHLRRRTLVLHPVGPRRLHDDAGRHGSASRVQRIPRIGEKMVGCWGFGVSYLQPLEKGDGQGGQSRRVHAGVQQPP >cds.KYUSt_chr3.35135 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220484827:220489912:-1 gene:KYUSg_chr3.35135 transcript:KYUSt_chr3.35135 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEVDHEGCVENRAASTSSVSEGSSCGGGALARMSPPVSTSVNSISALKRTSGPIRRAKGGWTPQEDETLRKAVQAFKGRSWKKIAEFFPDRTEVQCLHRWQKVLNPELIKGPWTQEEDDKIINLVNTYGPTKWSVIARSLPGRIGKQCRERWHNHLNPDIRKDAWTAEEEQALINAHRVYGNKWAEIAKVLPGRTDNSIKNHWNSSLRKKLDVYSTRNILAIPRLLGHDDFKDKQKLVASEGHLDLNKVPSITSKALTEIAHRSNCSPLAQAYKLEHTKDCSGFLALSILPTAQPLTSYESAVDRSAVTLAVQGLESDSVRDKGMEIVSGQEKGLDVDSTLNTLGESGTTQLEAVPAKGEEPSLRNEVQSSLGPLCYQIPDMQDVAPESSSLFSEHHTVHQTSEHCRDGVLPPNGCTTPTQGTTSSQFSVDSILKIAADSFPVTPSILRRRKRDRSTPASDFKLGELNTDSFYTPAGKRPATGCTPESFKTASFLPLGSLDELASSVRGFDVSPPYRIKSKRMSVTKTVEKQLDFSSDGLGTCGSEVVTKAVEKQVDFSSDGLGTCASEVLNSPCQNSQGTNPFSETPIIKEKEHKGHAMQLETLAKNIACTTNLDVA >cds.KYUSt_chr1.5969 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36703839:36705424:-1 gene:KYUSg_chr1.5969 transcript:KYUSt_chr1.5969 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSGGAEASTESNNSDTDQSIIVNAESVDLLIVTIPRHMKLKAISAITSLLATGLVTVATFQNGGNISLQAVSCIFNRPSILFYDPSLIYSLTAVVLGACKIELWHRRILLQLVSSYEFWDLPCDNGQKLIDGHKHLAGVDFAAALYTHDVMDICLNQLQFQNSSWATYLKFGKLQDVIGALIEMKPWHFRLFDLEYADGRNLFRRPFSAHVQWQLSWSSEFLKLAAAISATAKVSLTPNDAALQATHSCIILITTLTAVSKHDSADLISATKSQALAAVTCFLAGDWVSVLPYDRLWLPLFPFKQDKCSNISAACLCRHMELQQYEVWSQEVSWAPDIWAPLYFYHRICKRDQAKEGGHAQPASHDINQAHISSFYYISYDCYFDKVHADNEYYYDAFLNCIGCYLDIFNMVHNQGHQVQWDPGGSVWHQLGMDGFGLLCFGYLLPP >cds.KYUSt_chr4.14850 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91601125:91604084:1 gene:KYUSg_chr4.14850 transcript:KYUSt_chr4.14850 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKMLSKIACNRLQKELAEWQASPPGGFNYKVSDNLQRWVIEVSGAEGTLYAGEKYQLQVDFPEHYPMEAPQVIFMNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKERPADNDRYVRNCRNGRSPKETRWWFHDDKV >cds.KYUSt_chr1.33953 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206401665:206402171:-1 gene:KYUSg_chr1.33953 transcript:KYUSt_chr1.33953 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVIGPPFRPMIMAPNKEAVCSAQGEEPAATGLPHSPPDPQTASSIPSASSPPRPARKRGRKTYHQIVAERRQLHLLREPVPTAPSISFPDHGSLTKRAGDDRLVLCRNTNAEEPQMRTLLGEMHGLLNLPRSTACQQQQAAMKERKKPMEQWIWPPRSIDLLSDSM >cds.KYUSt_chr4.28026 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176031408:176037924:1 gene:KYUSg_chr4.28026 transcript:KYUSt_chr4.28026 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSAGEVVAAAAVSGAHYTALNLYQVDIKGSKLGEEQPTTSGMENGHHCPFVIGVAGGASSGKSSVCKMIIDQLCDHRVVVVTQESFYYGLSDEELVHVDDYNFDHPDAFNMDLLLSCLENLKHGRAVDIPDYNFKTHKSVPHARKVNPSDVIIMEGILLFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDLEKGRVITSVLDQYSKFVKTAFEDFILPTKKYADIIIPRGANNNVAIDLIVQHIRTKLGQNDLCKIHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVDFSKSLCGISVIRSGESMENALRACCKGIKIGKILIHREGDDGKQLIYHNLPKDIAKRHVLLLDPILGTGNSAVQAISLLLEKGVQEANIIFLNLISAPQGVHVVSKRFPRIKIVTSEIEQGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFGDAKDRVVVRITDIANVACGISQ >cds.KYUSt_chr4.35637 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218866491:218866902:1 gene:KYUSg_chr4.35637 transcript:KYUSt_chr4.35637 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYVPPEYTTHGIFNEKTDVYAYGIVLLELLTGRRAIEGRNLSLVTWVRQFLGNKDDSLKMVDPALGGRYDVEQLGHVTHAAELCIHNSPTQRPQMSQVHF >cds.KYUSt_chr4.44664 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276603251:276603857:-1 gene:KYUSg_chr4.44664 transcript:KYUSt_chr4.44664 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKASPPPPASAAAASASASTSAAGASAGNAPAAVGPVPKPPELAPFLTKVYDMVSDPATDAVISWTKAGSSFVVWDAHAFERDHLGRHFKHGNFSSFIRQLNTYVLLPFNLAASCVENV >cds.KYUSt_contig_60.387 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2260200:2262800:-1 gene:KYUSg_contig_60.387 transcript:KYUSt_contig_60.387 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSSSPPPLPLAPPPPPPPRSRLSFPLPPPPATTTATAAHGTLPRLRLPPRGADPRAAHAVAAKSGAAALSDARLANAVMCGYLRAGRLADAHDMFDWMPTRDAASYSALISGHARLGSPVSAAESLFRRMRLAELAPTEYTFVGLLTACIRRANPRFGTQVHALAAKHQYLVANALLVANALLAMYVKCGRLEDALKLFDGMHHRDASSWNTVLSGLVELGRHQEAFELFADMRRGGVAADRFTLSALLTAAAEDRSLPQGASVHALSLKSGLELDLSVGNALIGFYAEHGDSVEDVVGVFQRMPEKDVISWTGLLNGYMEFGLVDKALGVFDRMPEKNFVTYNAVLTGFCRNKEGVRVTFAKKAGLRGLGLFRRMLESGLEMSDVTVTGVLNACAISADRKMSEQVHTFVIKCGCGSSPWIDAALIDMCIKCGRSGDARLLFENWRHRESFHIAWNSLLLASFRDGEYEKAFSIFLQMFRSSDIQFIDEFMLTTVLGVCGALGFAELGRQIHSFAAKSGLLCACGVGNSIITMYGKCGQLENAANFFEQMPQRDLVSWNALITAHLLHREGDEVLNIWSQMQRLGIKPDSITFLLIISACSYTSSDSADTCMELFRSISSMYNIEPAMEHFAASVYVLGCCGRFDEAEQFIGSMPFKPGALVWRSLLESCSKRSNMTLRRRAMNHLLALEPHDPSTYVLASNLYSESAKWHCSENTRLELREKGIHKIPARSWTFHDNTVHSFFARDRSHPQSKDIYAGLDVLTIECMKAGYEPDTTFVLHDVEEYQKRHFLMYHSAKLAATYGLLMAGSRQVIRVVKNIRMCGDCHSFLEHASAATGKEISVRDSSGFHIFRGGICSCRE >cds.KYUSt_chr5.19194 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124260655:124269830:1 gene:KYUSg_chr5.19194 transcript:KYUSt_chr5.19194 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPMGGPAPEGRHGCARPCGERAKDRGGAEMHRSSWWLLGTSTLGDSRGWREELAAPREEEMAVACPELLLPAPATSPSCCCHPQLRLAAADDLPNLQLPSEGVFWSRGEAEGVTLGLGSDDHPNQLGQAPSQPAGGSHHSQDHGEAVAWLRPSSTRPAAAGSVSKHRLAAIHHSGDLYKVRRGQLRPRSRGGKICLVCTVPHIGNKAAKSVYHSSYNPINALASSMLWKPIWSSNRIQSHVTFNQTRSGHVAALNR >cds.KYUSt_chr3.22254 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137362245:137364760:-1 gene:KYUSg_chr3.22254 transcript:KYUSt_chr3.22254 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMAAAAKIAVLLAVAALFATAGADDPQAKKEAGCWQCYSTCMDKCDSYPNAPLRSANRTEPAAHIAVDDGAAAATGTAHSTDGAVANVSDSYDDKGDDEDEDEDDDDKDKDKDKDKDKDDDKDDDKDDKKDDDDEDEDEDDDDDDNSHSSNGGKDYFTCKKKCIVDCYKDLPPVCYKMCIAETCLKLPPSEATDAAKAHADPYAAKANANANAHSAEANPSAEPAVPEAVPPEPEAEAPTEVIYRCMALLRLWSSLQLLEDRDLFTAVSTRLETWVAA >cds.KYUSt_chr3.40487 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255313021:255315992:-1 gene:KYUSg_chr3.40487 transcript:KYUSt_chr3.40487 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGRPLKKARTRVEAFAGFPAARDGGAAGTFREAVRGFLARHARLLPLPSIFSPAAAAAPPHLLTWRVSLRVGEDGEDDGGGGGGCGVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIIENDSIQMAGRRRTCCLRCGTPMAAADSRCLLCNFDMEGEELEECGYLHLDDSSHLLHAVVHANGYGHLLRVNGREGGSRLLTGRDIMSFWDRLCNVLHVRKVTVMDISKKHGMDYRLLHAITSGHPWYGEWGYKFGAGSFAHTSDTYQQAVDVLSGIHLALYFSHRNTIRTPLQNTIALYWALSDRQLVTVRDLFRFIMHLLHQARKDAEMSKPAMDGAVESKVLCMWNKEDVDRAEAAMLKILRAVETGRWVSWRALRGAASKAVDSQELLDYSLRGLRGKLMDDGHFIAVRCNAETSAIEYRLETYSNQAPVDTTVFGPSVEHLVHDLRFLYDALLNPETMLSSQPEVVAASSHNAAAKILDCKQFIKHYDESAPEALLNPNLLSVSCSIELLDHPKDYTAPPMELLILPESATLGQLKIQAAKVFQETYLMFHSFQAEQLPDFLNLSDTTPVKHVLGSSQLVRVRGRCTGDHRRIVQFRMERGLENWTVDCTCGAKDDDGERMMACDVCGVWQHTRCSGISDFEEVPDKFICRKCASPRKGKSRGGGGGSGGGRVDMGAAGRCKDEIGSVGGAGKFGRLATVG >cds.KYUSt_chr5.7192 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44907916:44908569:-1 gene:KYUSg_chr5.7192 transcript:KYUSt_chr5.7192 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAERLALAFLLAAAAVVAASAVDSKLTLHNLCPFTVFPLVTANRGLPSIADNTIQLGANGRGLVSFPFPATSWAGRVVARTGCTSPTSCETGTAPPMTVVQLVVHSPDAGPRADLATYSVSLKDGFNVGAAVSPQFIGGGQCPVLGCPVNLNDGCPVNQRVVDKHGMVVACKGDYGYFKKRCPLTRVGGSDVEPVPQRCLAPRELKVIFCPTAI >cds.KYUSt_chr7.27044 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169024051:169024806:-1 gene:KYUSg_chr7.27044 transcript:KYUSt_chr7.27044 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPIGRIWCSLCSVVLAALLWRREFQPRRKMEIGISRNKAVFPFFWRLLALVLGCGIKRSPEKLFVKLSRRKLGVVGRYGEVFFNKQLGGLLCCWSLLSPFSLLAGCGGEEKGVIAGAHCNDGGEWGVRGTAITWRISSVSQDWRPALDVGGQQLQGLMPEMRQVFFYLPWKPCVGLAAELTLFTAPSGLVPDAGVDGRRFRPEFAGGVEGPDCVFISLFRVCVVIAKDIDKFHPVNNVLDVICITPLLV >cds.KYUSt_chr5.1009 pep primary_assembly:MPB_Lper_Kyuss_1697:5:6951033:6955600:-1 gene:KYUSg_chr5.1009 transcript:KYUSt_chr5.1009 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAIHAVLRRRAMADDRLGFLGLGGGDDDDAYWASPPRLYDFSKHQLKDDTPPQELPPRLYDFSKNQLKDHTPPQDLPPAPSPPRLYDFSKNQPKNETPQELPPPVPSPPRLYDFSKNQLNDETPPPAPSPPPPSPKSDLPPPLLPAPEPAPPTAPAPAPSPPPPSPAPRPPSPPSPTPSDAHLCLLALQGTGVSWGVRKRVRYVHRAARRAHAALAPPRAQSALEAAAAFVAQADGESSSNAKTRDHPEPKVKEEAEASGEAEGERTEAAAVAEKKKKRRRKPGCGRFWSRKRARQARKPTASKLDPVAPPRAVATEEGAEEGKEDVERLKVYVRERKRKEGDRARGAVAKRPRKVLLPKKEEEEEAVVVEEDERRVARRTEGKRRKDGRAPNKFLPKKEEEEESGQDERKAVVLVKKSTRQKEEEEPETKPDNKLVKVEEPGGKGRGAMVDRWSARRYFAAEAALLGVVRSLGARVGQPVQRRQLREEARKLIGDTGLLDHLLKHTKDKVAPGGAERLRRRHNAEGAMEYWLEPAGLAAMRRDAGVADPYWVPPPGWKLGDPVSPDACALVMKRQVQELATELAQLMKAHPGTLSNDVKSETVKAYIPHEPYQEKYGCMVKANDNLEKQVMSLEEKYASAAQANDKLEEEVLFLKEKYEAVVEKNTRLEEQMTALSTSFLCLKDDLQFLNDGEQEQHLQLMEAEQAGPLRLCAKESSEAEKQESNADREAPAAALAVVGAGDQLADNDTSSGAGGKRTSRKCIVRICKPQGAFRWPEAAPPSSPATPMVVMNDEEYLTDGGLELPSTPPSASSTNASSSKLLLLPAPDSPVQPPLPPSANCSPRDVDIQLQASAQPGSGPDLQLRHAVQDSSLPLPCGANVGVGTELALATPSY >cds.KYUSt_chr5.39880 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252077035:252077685:-1 gene:KYUSg_chr5.39880 transcript:KYUSt_chr5.39880 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKKHLCELHRGGGGRLLATYLLAAAVVAGVLALALLLVYRPMKPQASVARAAVFRLASATAANNSSAPAPYAVSASLQFTLLLHNPSDRAAVLYDGLLAYASYRGEPVTPPAQLPALVQERGADVALSPVLGGGAPVPVSADAVRALAADGAARRVQLRLVVVGRVRYRAGPFRTGWRDLYVRCDAIVGLGVNASAGGGDVPLLEYPRCAVDA >cds.KYUSt_chr4.4229 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24224605:24225359:1 gene:KYUSg_chr4.4229 transcript:KYUSt_chr4.4229 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSSHVLQDDNKVGFMRNRSLTKEEVKAFWRQHKKPEVDNDGGTVEPESPRSLRPSTLSHVRSSPPEMTRTDGGDTDAADSPSTSRDWWTRSSWAFLNEPPPPPQRAGGGVGQGATAAV >cds.KYUSt_chr2.34018 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210289560:210299153:-1 gene:KYUSg_chr2.34018 transcript:KYUSt_chr2.34018 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLGPSSSSWPDLLPELLVQVLLRVPSHADRVRFRAVCSSWRSVVQPCDLPPLLPWVALRDGAFLSLPDGALYRLPFPADVSHRVSMGDTLFSVHRDATCYRTNPLTGETTSEHIDPDILWSDMRMRTHNVRKVVVSDRVVAAIGISCLKASICSRAPQTCSACFQCELPADDIVLFQGKLYLLTSRHFPITLQLHRKLHAMDLGQAIANDTHHARVLQCVIPGTADIPCRPTCISATTTWWCPVTGCSWLNGRSRWSPPAMYPDGLAGSSSLLASPPAMSGLLRWRRLAATATRAASTLTAAECSPAAAPPHRLLHDRRKWEGPSSSSSSSRGGSSSTEDPEPRRIRAEAHCPRCSKHMDILFSHRAPPPAAAPGAGGYQALNLCPNCRTAYFFRPNLLVPLQGTFVEIGRLRADLPPDGLRARDPASWEASSSRDDGDGSGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGGAGGEEGEGKEGWGGSNLGKDFPTPKEISRGLDKLGGVFFLQYRDPSEFGNLKSGADLGSDGEADAEDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQARYVGEDVESILYKLLTVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRASMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLTEPKNALGKQFRKLFSMNNVKLHFTDAALRIIAQKAMCKNTGARGLRTILENILMDSMYEIPDTKSGEKRIDAVVVDEDAVGSLDRPGCGAKILYGDGAFDLYLSQVKAVGDGAGTEVDGETDLSPSRAVSM >cds.KYUSt_chr3.47520 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298044100:298044486:-1 gene:KYUSg_chr3.47520 transcript:KYUSt_chr3.47520 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLSWCSAGHRRQARDGAGPSPMSFPSVFDLFRGSGGDDARSGGGDPRSGGGDTVAAEVPRPGDAHLHSGWAVDRVIVAEDEWLVMIRFSHDWDEPCLQMDETLAGMAEKIRNFAVICPWRLILQV >cds.KYUSt_scaffold_6468.311 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1496195:1497055:-1 gene:KYUSg_scaffold_6468.311 transcript:KYUSt_scaffold_6468.311 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAQLVSTVGQLVGEEYRTLRSVGGQVAELRDELDTMNAVLRMQSDADDGSMDHFVRVWMKQVRELAYDAEDCIHLYIFRVRSRPNDRFFVWSRRLLSTLFSRRRLADEIRDLRARAVVISERHARYGLIREASSRSSYLAPTPRATTHALRAANDPEQFVGISDQAKLLAAKVEKMDDNKERKVFSIVGFGGLGKTTLAMEVCRQMEARFDRQAQVSVSQTFDGRKDLQALLKRVLQQIVMMKPDKEKGIKEEDSLTPGDIDKMDLASLTSTIEEKLKDKRYE >cds.KYUSt_chr1.4115 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25057488:25058692:-1 gene:KYUSg_chr1.4115 transcript:KYUSt_chr1.4115 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLAILAVVVVVLQCCELPRRTDGRCVLFNFGDSNSDTGSLPAAYGFYLGQPAGRRFFHRTTGRWSDGRLYIDFIAESLGISYLSPYLESSGSNFTDGVNFAVAGAAAASNQSAIPFPMATQVNQFLHFKNRTRDLRPLELGSMLKEEDFRGAVYSIDVGQNDITLAFLANLTLPEIVADGGPLAAAAAKVEDAVRALYGAGGRKFWVYNTGPLGCLPQTLALRQKPGDEVDPVGCLAAYNTAARALNAGLAAACRRLADEYGTSERADRATVVCTDMYAIKYDLFANHSQYGFERPLMACCGNGGPPYNYVNLKTCGQPTATACPEGERYVSWDGVHYTEDANTIVASKILSGDFSEPCAKLDALCT >cds.KYUSt_chr1.41474 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254375016:254376411:1 gene:KYUSg_chr1.41474 transcript:KYUSt_chr1.41474 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMDMALYQADIDVVRMAEATSCRGRWDDHLAEGHARCRQEDAFAAIRPYMALSDGIGPSERSSRPRDSRSSPEMAGRKGGDRKKAVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKSRIVPRHLLLAVRNDQELGKLLAGVTIAHGGVLPNINSVLLPKKAAEKAAPAEKSPKSPKKKAAPKKTA >cds.KYUSt_contig_1790.169 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:914522:914800:1 gene:KYUSg_contig_1790.169 transcript:KYUSt_contig_1790.169 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLGDPELRPAEGHVVIASNAAISQVEDSLVNHDAFILLGGSRSRINNAEVAMAIAEHEKLEPDFFRVVPFYPDDFFVSFTFQHHRELAAS >cds.KYUSt_chr2.2119 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12846589:12850150:-1 gene:KYUSg_chr2.2119 transcript:KYUSt_chr2.2119 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGGGVSGLAAAHELLATSGGGVRVTLYEEEERLGGHARTVAVSDGAAGSVQLDLGFLSFNQVTYPHMMEWLEGLGVEMERSDMSFSVSAQSDGNSRGCEWGNGNGVSSLLAQKTNILKTSFWRMFYEIVKFKKDALTYLEYHEHNPDLDRDETLGQFIQSHGYSLLF >cds.KYUSt_chr6.4215 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24329880:24332002:-1 gene:KYUSg_chr6.4215 transcript:KYUSt_chr6.4215 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWSPEEDAKLKAYIDENGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFSEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRMRGGQDASTTPYSSYLATATASGSGGNNVNGAAAATSHASLSSSALERIQLHMRLQGIYSAFGCGAMAGNAPPQWPKLESPTDAVATTTLSAYHQPQSLVAGHTLSAAEAEQLNSSSGATYMPVPGSFEERSKLGFCSPAGEASDVSSTVEMSSEPLVGGGLGYVDELYDFLYSKQLAAVGGFQGGVPSLPELQCPDGGAVVGADERFSTWMASCDYVPTTGASQLQPQQGSNSINLQDFVLGYDQ >cds.KYUSt_chr1.40793 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250196785:250197851:1 gene:KYUSg_chr1.40793 transcript:KYUSt_chr1.40793 gene_biotype:protein_coding transcript_biotype:protein_coding MTASEMSPTPWPPRRPRISPGQIPRTCHPNKARGSGEDARLHGLGVGTAQPPAADFRSDAGSTGQPPRRELPPPPPRHPRDHGGGLQQQPAPPAARARAALTSQRPPPQVPKLPPERTSVDHALAPAAPEEQIEAGRTLAPRCLHITVGGPWLFAVTVTPTSYTEPGSSTLLILWVCFVRKMVGAAHDGIVRGDMNGLENNGHGQAAAAKQPLQREDGEESKWYEEEIHDDLKLCYALNRLKLIRIHGVRIYIA >cds.KYUSt_chr1.16120 pep primary_assembly:MPB_Lper_Kyuss_1697:1:93688700:93691142:-1 gene:KYUSg_chr1.16120 transcript:KYUSt_chr1.16120 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQLAIRFVKNLGYTLNFYHSRSPSYLRSQSDDREPDPSTDVVRGPIRGSRGQFPSKEMSAAAMRIQFPAQDQPWRRARPPHPSARAGCCSLPSESSLRCSPRISVVLLALHSPHPTAALLVPTPDARLDEETCSTTPGEAPYTAQTPILLDSDFNTDAALLLPVVYASSHVATSNEPPATTTSTPLCTPTKQSSQPFIATSSPMTRLRAAAIAIASSPPSASTRSKSTTNSPFKQV >cds.KYUSt_chr3.700 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3636304:3640302:-1 gene:KYUSg_chr3.700 transcript:KYUSt_chr3.700 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNSCYRVALRGLKWSARGLRVYGRLVYRIASPSEFLASDHRVQHQRREARQEGQRCARFDISPVKYTFEVQSSEKLPFVLPADDVECLLCYAKLISPATDDKLFHHVNALVKGVIEGATRVLAASMTMEQIFHGAKPFKQAVMESVQLELDLFGLFIYNANLKQLVAVPGHETRQEARMKGEVGAKEREGLALKNAAKVDAETTVDAVQREAEGSKEGARMQVLNERAAEVAEANTELAMMKARWERQAMVAEVEAAKAVAIRDAQLQAEVEHTMAERQTEKLKLSKAVVDYEMKVQQANCELSNREKAPEALTSRKRVIYTGSRGPVQKIRYKSPLPFGTGCLRTAYRSAYWALSYAEDLEMVDSRWRLSQVGVDFAAHLDCYQEESMAIAAHVDCYEESVAIAAHVDCYE >cds.KYUSt_chr5.6216 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38418809:38419339:1 gene:KYUSg_chr5.6216 transcript:KYUSt_chr5.6216 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAFPIGFTKGIRSHWRRRKYQRLEAGEGGSRTKGTQRLGGGARRYGGWRLRLRGLILRRVRVVRAVVAAPARLLGWLRDAYVGGMLTVARKAAITALPSEGVWTKRVPRRKHQKLLLPGVAAAAPQSPSEFEKRLVMEIYKSIVASKELTTMLHSSAMLHSSAAHLTTNTAAA >cds.KYUSt_chr7.26131 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163128148:163128783:-1 gene:KYUSg_chr7.26131 transcript:KYUSt_chr7.26131 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKKDLFRRLTIISIPFVFVAIPSIVIFVGMLSPHAQEPKVAVASPEQNHTVGLLSTMTGGQMILSCRAAYSGNWEYFHYFILDPYKPQHAFFQPQTNNYAILCKWGYMGNFLQDVVVFNSSAPYARFCRVDAGGCRYLFQDGHMFLVTGRHATKEAPWQKREKKLVGDVLLRDCNHIMGVFPSMCHYKKHDNPYVGKIIGRWRWWFNY >cds.KYUSt_chr3.46841 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294352308:294354800:-1 gene:KYUSg_chr3.46841 transcript:KYUSt_chr3.46841 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGGSGGGGKVSFKVILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGASATPF >cds.KYUSt_contig_1275.228 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000124.1:965487:969354:1 gene:KYUSg_contig_1275.228 transcript:KYUSt_contig_1275.228 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAALSARSKVQGFLEAACSGDLDALRKLGSALDEDGKGAAAVAAGVRDANKRTALHFAAREGRTEVCQFLVEQLRLPVDTKDDDGETPLIHAARQGRLQTAEYLLGHGADPSIASNMGATALHHAAGIGHIELMKLLLGKGVDVESESDAGTPLVWAAGHGQQEAVKLLLQHNAKPNNETADGITSLLSAVAAGSIPCLEVLIQAGANPNVTAGGATPLHIAADSGNLEMVKCLLLAGGDPNTTDDDGLKPIQVAALRDNLEVVEHLLPLTSPIPGVSNWTVDGIVEYTLSKMAEEKAQVNEAASLKSRQPVEVSPEAKKRSLEAKSRGDDAFRRKDYLIAVDAYTQAIEFDPNEAPLFSNRSLCWLRAGQGERALEDARACRALRPDWAKACFREGAALRLLQRFEEAANAFYEGVQLEPENKELVSAFREAVEEGRRFHGTDKPANGKQSE >cds.KYUSt_chr7.10975 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67477325:67477822:-1 gene:KYUSg_chr7.10975 transcript:KYUSt_chr7.10975 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGMHGKGSTWFGEIELLGVLGGEDERPAGELTVEGGEPAVVEDDGAEVAWVLGEDSRDHGVARGLGEVGVAEDERGGAPEVAPAPGEGGGLGGFRDGEVLGDDFVEKVVAEGAEAVPPAAGSGEGGGTVGHGGEARRGFGLILVEMERSRLTWNVLADVFDFL >cds.KYUSt_chr3.4531 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25712151:25715367:-1 gene:KYUSg_chr3.4531 transcript:KYUSt_chr3.4531 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCWSFMRTTLSKISGCTFPPHPQRRTLPLLVCFEASGVSPAPQQEQLSMVEVAACRRIKTGTEVGARPDGAAAEEGRPDGEISIKINSEALLCRICLELLKPPIFKLFINAIKVPCSNQTYGCNESVRYHEKEKHEIQCTHAPCHCPETDCTFRGAVCCLLEHFITAHGWSPTNFSYNKPLKVSIPHDHRFTLLVGEDQSIFLLTNTLAGIGNALSMVCVRPCESGPNYSCNISAANSVVGGKAEGRLVFQKDPLVSSSSLSGGVQLGKFFLLVPPELADNPSGELTVHIRVDRLAA >cds.KYUSt_chr7.18934 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117348426:117349490:-1 gene:KYUSg_chr7.18934 transcript:KYUSt_chr7.18934 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHIVPTRDSNIFRLRVRLPSSEDATPELLELSTVVAGCRFRTLYWPARTSPSDKFGIRPTGPVLKAAAKVSTHMVLLDKTGTPAPSIGTRFHVDGMFVLCAPREDVKANCVVDNHFVVLCSVNIEHHSDPAIPPWAPPSSSTENELPDLGHNLAVLWGKQELTDVSFDVGGESLGAHRLVLAAASAVFRAELYGPMAESNMASITVQDMEASTFRTMLHFIYHGSLPDASKIAIPSAVAEYQHLLVAADRYAVERLKNICEDKLCADGITVDSVVSMLELAEQHVCSKLKARCFSFLADGDNFKVVATSGEYLRLMQSFPNLLAEARDMFKIPHEKPTIVDPGSHKKTRLC >cds.KYUSt_chr5.36175 pep primary_assembly:MPB_Lper_Kyuss_1697:5:228719492:228719818:-1 gene:KYUSg_chr5.36175 transcript:KYUSt_chr5.36175 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARLRAAARVRGGHGDGAGRWTTPGHEVRPKGYPMNRTPPPPGESRKWEDWELPCYVTSFLTVVILGVGLNAKPDLTIETWAHQRALERLQQQELAASASADALAE >cds.KYUSt_chr6.29927 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189529125:189529424:-1 gene:KYUSg_chr6.29927 transcript:KYUSt_chr6.29927 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRQRVRVGIPSFFCDLAARGSAGAAALSIPHSPTPAPPPQMDRNTTVGNESSAAFLTIAAEDLASPSPSPTPPSAPRSASWSSSPWTWPTAAAPFP >cds.KYUSt_chr3.48640 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304066887:304068142:-1 gene:KYUSg_chr3.48640 transcript:KYUSt_chr3.48640 gene_biotype:protein_coding transcript_biotype:protein_coding MLIARACHLTYTGRKDYSEVLAYHGDDDDEDYSEALAYHGDDDDGEYKGALAYHRPGLLHLHHRWWHRRMFGRFPTGHGWYRSSS >cds.KYUSt_chr2.11313 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71917164:71917535:-1 gene:KYUSg_chr2.11313 transcript:KYUSt_chr2.11313 gene_biotype:protein_coding transcript_biotype:protein_coding MVITRNPAIPLQPSHTQINSTRVQEATPATGVDRPQLPAGLEAASTSSSSSSPTSQLHRRRHKELQQLAPILSAIPEAAPAAHPQHLGYTSRRDLQQAPPGQYLIPTAVAGPSLVIKNGTGLL >cds.KYUSt_chr2.39044 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242101436:242104899:1 gene:KYUSg_chr2.39044 transcript:KYUSt_chr2.39044 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRAAAAGEGGRHETLAKVSLSSASAAVAEMSTFPIDALKIRLQLHRSPGVGGGVLRVAGELVRDGGLYRGLSPAVLRHLFYTPLRIVGYEHLRSYLASGGREVGLVEKAIAGGVSGVAAQVLASPADLIKVRMQADSRLLSQGIQPRYTGTLDAFTKIVRAEGLLGLWKGVVPNAQRAFLVNMGELTCYDQAKHFIIRKQICNDNLYAHTLASVASGLCATTLSCPADVIKTRMMNQGQEAKALYRNSYDCLVKTVKYEGAAALWKGFLPTWARLGPWQFVFWVSYEKLRQISGISDLQRLVSRAVEEPSFQFIVRAFTPSETFCICRRCGFVCKANKASGLKINYKSAATLIRGETQDKHLVKEIENFSIKYLGLQLSLRPLTKAECVSPCLTGPFPLSQYGREGLPPSKDAEANEVFQSLAKITVGDGKSVVLEGLLVQACNTLKMRRKNCRTVSDGLQKNNWILDISEVLQPAGYMQCIQQWVAISKVQRKIEAPDKFTWICSSSGEYMARSTYRATFALDNRTLLRPNASGDLGRHSSVCFCLVGAPKQGVDFRMTIKAWIG >cds.KYUSt_chr2.39945 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247992811:247997828:-1 gene:KYUSg_chr2.39945 transcript:KYUSt_chr2.39945 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLSLSPAVSRHPHLLFSHTHSPRCLRLAPPAASSSPSGGGGGGGGVFLSPTALSQLDALAAFRYAHSFPHGSLTVRALTPEDDAEADALVRLLASSFSEDVRWAPAQRYAQLLAFVIRRYLHERRGLAPHAAVLVGFYKPAVSAAAEGEDDEGEGEMACTAEVSLDAVGAPGAPPTPTPPLDFPYICNMTVKTSFRRRGIGKQLLKACEDLVVKMDAKTRVYLHCRIIDQVPFNMYKKAGYDIVQTDSIFVWLSLQKRKHLMRKELPQVSVGSCPIVDEKHIRSNRLPTYANAYAIEVATAVFFDSIFKRDHRIDHARPAIDAATAPDDSTILRESITLHPSRDTTSPCRGDSTTPTQRKHTAPPQHHRHPLSAPKTMPPTGRTALRAAIVRSGRPGSRISPGVAQVKKRRLQRQWGLQQGNDEARRHHPP >cds.KYUSt_chr4.39591 pep primary_assembly:MPB_Lper_Kyuss_1697:4:244391212:244397493:-1 gene:KYUSg_chr4.39591 transcript:KYUSt_chr4.39591 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLQHFHPRRLLPRLRLPTSGRLFLHLRPLSCSSSQQASAQTPPPQPPPLHSPTLRRGRRAAPDHPEEDPLLSFARTFDLAALRVPAAACAPLERRLRGHLLNWPRVRNIARLPNDDGHALLLPPSAPALPASPLTAVARRDRLARQFNARGFVQFPNLAKMSRPSARAQRDKKGEGRGGEKEARREKDAVYVVKVPGEEEDDDDQWKGLVGEEGFGSGAWRGEPTRLLLLDENYANRSVHELPEAVKVVLDDETKQGGSSAYELVQCQLTLFYNYWPMNEVLEALLPEGIIIPAGFETVGHIAHLNLRDEHLPYKELIAQVVLDKNKPKIRTVVNKTDAIQNDYRTMQLEILAGHDSLVTTVIESGLRFKVDLATVYWNSRLATERQRLVNSIFTSSDVVCDVFSGVGPIAISAAKKVTYVYANDLNPIAVEYLERNIVLNKLERKIEVFNMDARRFVTAIYSSQHVRPITQVVMNLPKDAAEFLDIFRGILRNRQAGQPCVMPKIHVYGFSKAEDPEYDFNERINLALCDNVANIEMHRVRLVAPGKWMLCASFTLPESVALAKPNYIMC >cds.KYUSt_chr3.15552 pep primary_assembly:MPB_Lper_Kyuss_1697:3:95267522:95271394:-1 gene:KYUSg_chr3.15552 transcript:KYUSt_chr3.15552 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSWWAGRMAAAFGAPFLWIVCLIYFIQGFRSFVWTAVSYQMKDMMKLSPSASQFLMSVAFFPWSIKPIYGIVSDCIPIKQRKRVPYLIISSGLSLFPWLVIGMSEHLRSSSNFFTLMLVVQNLGSAMADVVIDAMIAEAVRSAGSEFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPLYTVYIIFSALPLFQLVSCIFVEESPKGIKNMVDNAAHDHANDHNIDSTCAGEGSSEPLKYVGTRRRKGAHKKRKRRSLSKRSEALEKHDKSINARPYLSLKSAFFSLCTAFKQPAILRPMAWFFISNAAIPNISTVMFYYQTEVLHLEASFLGTARVIGWFSLMLGTYIYNRYLKHKKLRNILMFAHVGLAIITVLDILLVSGLHIQYGIADKYMVLWGSALADAINQFKYTL >cds.KYUSt_chr3.18018 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110710795:110721581:-1 gene:KYUSg_chr3.18018 transcript:KYUSt_chr3.18018 gene_biotype:protein_coding transcript_biotype:protein_coding MASREVLMLEAPPAEAAGVPWRAPPDAETVDALPYIDGDYGDPAVKREVDRLVEEEMRRAHRKPADFLRDLPPVPSAGFQDHPMLAKEYERVRAGKPPATIEMSRYGLEPPPANKRNDVAAWRQALRNAQSQLQHQIIRIENLELMLKHGVEVWKLQNRKMESVLSRMQKMALEYNEKIETVNRERKFHQLQPLTSAVTSSNAHSGQPIFILVICLRAAGLTLPSALPHEIILLKYMEERDEPHSYRYASRENYSLLIIGDISDDEDGGGVDGDAFRGHFPVPAACRNRDFCPPDLGFAMAAALEGFSYRGLFVSKI >cds.KYUSt_chr1.26230 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157964570:157982397:-1 gene:KYUSg_chr1.26230 transcript:KYUSt_chr1.26230 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDGSKRLEGAGAGVILISPEGDKLKYVLRMTFPNASNNEAEYEALIHGMKMAKACGATRLKIFGDSQLVAQQVMNQCDAVNDSMVAYKEMYNELEKLFDGCEVNHISRLSNDEADVLANIGSQCLAIPPGVFWEEITERSTKPKKSTKKEKNEKPSGAAKEALEEEEEEQDLVLMVQIPWMQAYISYILRKTIPDDPVEARRVIRRSKAFTVIKGELYKRSISGVLQKCVTPEEGRIILKDVHEGVCGHHASSRAIAAKVFRAGFYWLTAIEDANEIVRTCDACQRFAAKPHSPAAELMPIPLSWPFAQWGLDMVGKLHKASPGGYEYMLVAVDKFTKWIEAKPINSPDAASAIKFVKGIVFRFGVPNNIVTDNGSNFTSKEFKASSCEVGIKLHFASVAHPQTNGQVEKANGIICNGIKKRLLGSLEKARHTWPEELPSVLWSIRTIPNTATQETPFFLVHGAEAVLPIEIEHDSPRVTEYNEEVSRKALEDDVDALDEARDEVLSRDRRGEGISSPRSAALGANHSRHSPAAQAQPPPFFQLPLPWRKPNARRRGLLEDMDFASRHTASAPPPAAGADASSSAVTEEPEYLARYFVVKHSWRGRYRRILCIEASGVVTLDPGTLAVTNSYDFGAEFDRAAPDSNANEFTLSVRTDGKGKFKAMRFSSPLRAGILTELHRLRPVHPVVEFPVLHLRRRTQEWAPFKIKVTSLGIELLEVHSGNLRWCLDFRDMDSPAILLLGDSYGRRNAEGGGFVLCPLYGRKSKAFMAASGSTNTVIISYLTKTAKSSVGLSLSVDNSQSMTAADFIARRANEAVGAAETRHGEWSVIRLRPAAHGTACIESLSLGIGPRGGLGEQGDSVSRQLVLTNTSLVERRPENYEATIVRPLSAVSALVRFAEEPQMFAFEFNDGCPIHVYASTSRDNLIATVLDVLQTQRQCAIPVLPRLTMPGHRIDPPCGASNLQIPHHAAVDMEAANMYIKHLAAVAKEAVASSDTIPGAKIRLWRRIREFNACVPYTGVPINIEVPEVVLMALISLLPATPQNLPADAPPLPPPSPKAAATIMGFVACLRRLLTSRSVSSHVMAFPVAVGRIMGLLRNGSEGVAAEAAGLVAMLIGGGPGDTSMLMDTRGESHATYMHAKSVLFAQPIYVPVLVSRLKPLSVSPLLSLSVVEILEAMLCDPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETISVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFFPAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQNQYDEVPLSRRQRRILQQRRALGGKSTETPEQGMPPNSVDDGDFFRHASVSPYGGADVHQRHVSQYSTAHALSPPTSIDPSRAVPHGAVPEAVPENHQLGIPQLNSHPYSVDSTANGDLIESSHSDFSVPAQVVVENTPVGSGRLLCNWYGFWRAFGLDHNRADLIWNERTRQELREALQTEVHNLDVEKERTDDIVPGSSVSEDDGSSDTLPRISWNYAEFLVSYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDMGRLDGFGGGGGSSVRDLCSRAMAIVYEQHYKVIGPFDGTAHITVLLDRTDDRALRHRLLLLLKAFMNDLSNVEACVLVGGCVLAVDLLTVAHEASERTAIPLQSNLIASTAFMEPSKEWMYIDKDGTKVGPLEKDAIRRLWSKKSIDWTTKCWASSMSDWKRLRDIRELRWALSVRTPVLTSTQIGDAALSILHSMASAHSDLDDAGEIVTPTPMVKRILSSPRCLPHVAQAILTGEPSIVEVSASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAAAMVSDSDTPEIIWTHKMRAEHLIRQVLQHLGDFSQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDDIRFPNWPIVEHVEFLQSLLAMWREELTRRPMDLSEEEACKILEITLDDLVIGENGSSKKSSEINLVNLAKNIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLILLLKAQCILYKRYGHVLEPFKYAGYPMLLNAVTVDKDDSNFLSSDRAPLLIAASELIWLTCASSSLNGEELIRDSGIPLLATLLSRCMGIVQPTTPAHEPAARIVTNIMHTFSVLSQFESGRLEILKFGGLVEDIVHCTELEFVPSAVDAALQTAANVSVSSELQNALLAAGFLWFVLPLLLQYDSTAEENETNESHGVGARVQIAKNLHAIHTAQALSKLCGLGGDGISSPSNLPAFNTLRALLTPRLADMLRNYPPKELLSNLNSNLESPEIIWNSSTRGELLKFVDEQRTSQGPDGSYDLTESQSFTYQALSKELNVGDVYLRVYNNQPDYEISDQEGFCIALLKFIAGLVQKWNSINSEENMMHEHDSVIDTSTENGEASDSANEGRENNLYEKDSKDETGVDCEVIMNLRSGLTSLQNLLTSNPGLAAVFASKERLTPLFECLALPVPPESNIPQICLSVLSLLTKHAPCLEAMVAERTSLILLFQILHCNPSCREGALAVLYSLASRPELAWAAAKHGGVVYILELILPLQEEIPMQQRAAAASLLGKLAGQPMHGPRVAITLARFLPDGLVSAIRDGPGEAVVSSLEQTTETPELVWTPAMAASLSAQLSTMAADLYQEQMKGRLVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAMAYEGRRDTMASGQDTSRSQAEPIEHENSDNAPETTVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVALLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVDVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCSKVREILNASDVWSAYKDQKHDLFLPSNAQTSAAGVAGLIESSSSRLTYALTAAPSPQPALVRLPSSSPSPPSGPVNHVNRRHS >cds.KYUSt_chr3.40163 pep primary_assembly:MPB_Lper_Kyuss_1697:3:253138684:253141484:-1 gene:KYUSg_chr3.40163 transcript:KYUSt_chr3.40163 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAICSVSSAKTSEAIIRDMAEVAAPELLGASAAKKGRLEGKIALVTGGASGLGKATAHEFIQEGASVVIADVNSALGVQTAQELGPQAHFVHCDVTVEDSVAGAVDATVARHGRLDVMFNNAGIVGALSGTSEMASLDLGEFDRVMAVNVRGTLAGIKHATRVMAPAGRGSILCMASISGILGGLGSYPYAVSKLAVAGLVKTSAAELSRHGVRINCISPHAVPTPMVVEQFSQIFRGADEAQLAAIIRGLGELKGATCEDADVARAAVYLASDDAKYVSGQNLVVDGGFTTYKYMNLPPRKPHDISE >cds.KYUSt_chr7.39034 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242914515:242922181:1 gene:KYUSg_chr7.39034 transcript:KYUSt_chr7.39034 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGAEFSGVGEDPRIFRNVCRDRILKDMLKPDKDKENKSAWKVLIMDKFTVKIIGYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKAYIFFSSPIPKDLVTYIKNDMSVIPRIGALREMNLEFFAIDMQGFVTDHDMALTELYSANGHNSKNFNDTISTMAIRIATTFASLKEFPCVRYRAPKSADPSTAPKFDMVPKWLANAVYEIVSKYKSTIPEFPQKETCELLILDRPIDQIAPVIHEWIYDAMCHDLLEMDGNKYIYEVSKAGSDPEKKEALLEDQDPLWIELRHTHIADASERLYEKMNTFVSKNKAAQLSRDGGEVSTTDLQKIVQALPQYSEQVEKLTLHIEIAGKINKFIREYGLRDIGQVEQDLVFGDAGAKEVINILRSKQNMSQENKLRLLIIYAIVCPDKFEGDKGDKLMQLAKLPSDDMKVIKSLQYLVGSDAKKTGRAGGFSLKFDAQKKKNTGVRTERQDGEEGWALSRFFPLIEELIEKLSKGELPLNEYPSLSEPSPTAQGTAQSGSAAKPAQNPQPMSRRSRRTPQYAKSRNSDDGQSSDSAVLRHASGDFKRLGNRIFVFMVGGATRSELRTAHTLTMKLKREIVLGSSSIDDPPQFISVNLSFVYFQNISKFSNLFIR >cds.KYUSt_chr5.34809 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220504246:220505319:-1 gene:KYUSg_chr5.34809 transcript:KYUSt_chr5.34809 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPLPPSPSPSPSPSGGYGTVTLNCTDNAFWCVPRCPGGDCVDYAFAPPPPLPPFPRATIAVDHRLPVRLLLTVSLLSAFLFLSFGLATLLLYRRRRALRRRRRRSATAPIPHGQGFDDDDEEAGGGGGGVVHHVWYIRTVGLDEAAIASIAAVEYRGAGSGGDCAVCLGEFSDGELVRLLPRCSHPFHAPCIDTWLRAHVSCPVCRSTVLVPSDVLAATTDVTESHQVFDEMSPSESLPEDSDASSDTQSEDTEAPAEENGSATPKPIRRSASMDSPLYLLPVPEVQDAAGQSSRKLLPSGREMRIFRVKDREAAGASSSSCQAGKFGIGRSMSSSGRAFFFSRSVRSTGVALPL >cds.KYUSt_chr3.8398 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48546851:48547378:1 gene:KYUSg_chr3.8398 transcript:KYUSt_chr3.8398 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIFKTISKRAVNGDDTLSETEKVDDVDAAWLQLRERINEALLRFPEAAALCPRELEAAVDRIIVQASPETASPAAPGKVRLSDELLSIFIRGHESMNPSVDELPGVGPGGAFPLGWIEARRLELEEECREENKQKDGHDSLLVLLAKIRVDLLTRGYVEVQWEYMQPANLPDV >cds.KYUSt_chr6.30825 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195396214:195398352:-1 gene:KYUSg_chr6.30825 transcript:KYUSt_chr6.30825 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRPEGLVGETAGDALSLQAQLAEAGSGVVDVEAVVGCSSLPGEATAPVQPRATLLLEDNAQRAAIWGSGPRPRVGKAPPERPLNSARVAFLERTLHEFPARTSGEVIVPEVGVTFDSIGEAYDFYNLYSWEQGFGVRYGKSLLNVDRVKCMQEIVYGCSLRENNVNLGKVYIIIGSFFEKMENIPFMKRALKTLCGQISSEQADDDVRKTIEVFSEMGAADPEFTYSVQVDDDSRILEFDLVLEGGSYRILEFDLVKRSLAVIRVPVDMPSEDVRFGIVRAEGGGLGVLLLSGSDFTAQLWKRNTDCGGVASWSLARTIELGNLLSLKSEEKGPLYIPGFAEENNTVFMWTSAGLFMIHLESLKFNKIFEGKPGTFYHPFESVYAAGNNMPYMADLEKPGYCSLIG >cds.KYUSt_chr7.32349 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201541643:201542787:-1 gene:KYUSg_chr7.32349 transcript:KYUSt_chr7.32349 gene_biotype:protein_coding transcript_biotype:protein_coding MATADKEQLITIPMVDADEAHTLVSSGYGYLDVRMSEDFDKGHATGAQNIPYYVYVAPQGREKNPHFEEEVAALYGKESHLIVGFKNVRNLQGGYRAFLQSATQQPTNDEQPSVN >cds.KYUSt_chr7.33736 pep primary_assembly:MPB_Lper_Kyuss_1697:7:210590826:210592368:-1 gene:KYUSg_chr7.33736 transcript:KYUSt_chr7.33736 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHGCQLLPARKTGRVHGAAADEEQVASPTRRSTPRRLGRIHRPCQATKGELTSLRRNRAPGHSRRLGGPRSRPVRRDLAASSADLYDPPSPSSPEKIAGPLPFFSWQFPSNFTGVILLPVCSLLVCHADGLIIVVEVDNIRGLGPQDAHSFLRLQKPPSASLAFTAWMSVLLVIGDWRCNNSRDAHSLSNATATRVAASAAFPCTSARACRSRPRGRKRRPAPPRTHPMPRDVLYPNIPDSGSKPW >cds.KYUSt_chr5.6926 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42918511:42921118:-1 gene:KYUSg_chr5.6926 transcript:KYUSt_chr5.6926 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIVEAVAEQVVAQLREDCATPATRLDSVAAAMEEEMRAGLRGEGGKIEMIVSYVDDLPNGHFATPAAQLDGVTAAMEEMKTGLQEEGGSKIKMIVSYIDNLPNGSEEGLFYALDLGGTNFRVLRVQLAGKDKRVANLESREVSIPPHLISGSSADLFGFIASALAKFVADEGQHNKVVLGGKQRELGFTFSFPVRQTSVASGTLIKWTKEFAVDDAVGEDVVAELKTAMEKQGLDMRVSALINDTVGTLAAGSYNDEDIVIGVILGTGSNAAYVEKANAIPKLNGELPQSGNMVINTEWGNFNSPCLPTTEYDQALDEESVNPRGQIFEKLISGLYLGDIVRRVLLKIATQCLIFGDVDSTKLRSHFVLRTPDVSAMHHDETPDLTIVAEKLAANLKIADTSFETRKMVVEICDIVTTRSARLAAAGIVGIMRKIGRGTPDDKRKTGIAIDGGLFEHYGKFRQCLESTLVELLGEEASKSVCVNLTKDGSGLGAALIAAAHSQYAN >cds.KYUSt_chr5.1180 pep primary_assembly:MPB_Lper_Kyuss_1697:5:8175678:8177513:1 gene:KYUSg_chr5.1180 transcript:KYUSt_chr5.1180 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNGFTKGDGGLLLNSQLQPEKLEGEKKRPKSAPDQAAKIWNSTPNYRHEANTRQIAGTTKTPKSTLYSPTHPSFSPPSGSAAGIESEELSGGEEDSQSTVAKREKKEMRMSPIKKECSTPVNLHADLRGFKFEILLMQY >cds.KYUSt_chr5.36666 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231826133:231826642:1 gene:KYUSg_chr5.36666 transcript:KYUSt_chr5.36666 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSLSAAPALPTVATAKIVLEDGSMAQFATPITARDALGSDAASSSSFLCSCDELRFDAPARALAAEEELQPGCLYFALPVSMLRRPLSGQDMAALAVKATSAFAAVAMNRKGREAARVAPLVGAEEGEREGRWNHHVYGKYGTRMTAISRPAVVQRLSAISEASA >cds.KYUSt_contig_528.209 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1322533:1324008:1 gene:KYUSg_contig_528.209 transcript:KYUSt_contig_528.209 gene_biotype:protein_coding transcript_biotype:protein_coding MPVILGDKYSVNAWLHNSSLKIEEITVPYEGADLVWYPVTTAMGKPSFNGPECIEEVQIRPSGRPISEFFMKKSAAHGQSVKPDKTSPEVAETQASRTAKEDCELGENQLDKTDKQQPAEKECSSAVKDESVTLEPQTFYTTHNIKREDVLELNQKQDTSGIKRKIQDTEVKAETKTENAGRSPVGPVKRKEKGPKTASDGQASLLSFFAKK >cds.KYUSt_chr5.2795 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18445014:18450125:1 gene:KYUSg_chr5.2795 transcript:KYUSt_chr5.2795 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARGWLQKLQRDKDKGKPGMSAEGGEGDGEVVSSASKQKAEAAKQCIENHYREQARSMQERKERRMMLEKKLADADVSVEEQNNILKDLEKKETEYMRLRRHKMGVDDFELLTIIGRGAFGEVRLCREKTTSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDDEFLYLVMEYLPGGDMMTLLMRKDTLTEDESSFYVAETILAIESIHKHNYIHRDIKPDNLLLDRTGHLKLSDFGLCKPLDSSSFPNFTEFDNAAGNNTNPSADGDKPLSSPAVPRRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLINKLLCNVDLRLGMKGAHEIKAHPWFKSVEWEKLYQMEAAFIPEVTDELDTQNFEDFEETAPPMQTSSKAGPWRKMLSSKDMNFVGYTYKNFEIVNDPELPGIAELKKKNNKPKRPTIKSLFECPESDDQPEGSFPGQLSPQLELSESPSPHSSISSEDSQAQHR >cds.KYUSt_chr4.26598 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166989288:166992684:1 gene:KYUSg_chr4.26598 transcript:KYUSt_chr4.26598 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAGWYLKIAAGGAAIGAAMELFMIHTGFCGFVDHGSSSRSSTRSMKELNEALYLNVLVRRPFITAVEAATVFAPVQNAIGFHLHNHAPLHPLVSDVVVEVKSGDMYASECKNIHGYLLHLLHLESHVEADAAMDKLNQPIQHKL >cds.KYUSt_chr2.25673 pep primary_assembly:MPB_Lper_Kyuss_1697:2:157105068:157107491:-1 gene:KYUSg_chr2.25673 transcript:KYUSt_chr2.25673 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVMDALPSFTKIELFENFPCDGCKSRVNMEKQFKVEQAPEVLVIQLKRFQNLGSAISKIRAKVEYQPELDLNPFLSTPEDVMKICKDRALDSEAYLLFYVKQGSSPWFSTLLKRKKNSSGDSDEGSYSCSGSDSDSDEQENEGSPETPSWCKDEVRDTNDSPDGALGTLGLRSVGPNSDNISKGKCITSIVQEKAIEDEPIKQFKDMLINKLRMAGLTGSEIEEAAAVILARTLEESQMVFALKASRREYIVETLDDRDKTGLKKRRRNDTKVEGHELGEVSREEEESGVSSKGGDPRECGGHRTL >cds.KYUSt_chr4.23747 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149634810:149645571:-1 gene:KYUSg_chr4.23747 transcript:KYUSt_chr4.23747 gene_biotype:protein_coding transcript_biotype:protein_coding MLECYYRKEKGHWKRNCSKYLADLKSGLVKKKKEEEANDNDHETSNEETIEPRISTRERATPDWYDPCLNVMIVDNNDEDPATYEEAMMSPDSNKWQEAMKSEMGSMYDNKVWTLVDLSDSRKAVENKWIFKRKTDADGNITVYKARLVAKGFRQIQGVDYDETFSPVAKLKSVRILLAIAAFFDYEIWQMDVKTAFLNEDIEEELYMVQPKGMEHWTAVKNILKYLKRTKDMFLCYGGDQELVVNGYTDASWNIDPDDSKSQSGYVFILNGAAVSWASSKQCTVTKYSTESEYIAASEASSEAVWMKRFIVELGVVPSALDPLIIYCDNMGAISNAQEPRSHKRLKHIKLRYHSIREYFEDGEVKICKVHTDLNVADPLTKAIPRAKHDQHQNAMGVSVVVPTLNETPNNRREGVVFAKGQPHKSLHFGSACVIQIFMVSKLGWVPRNCEWWVELALEVENRADPVIIVRIFGHSVVLSGGDLVKIRGPKPDRHVFVPIVMPERSRFSIPEVDLYGCAKESHISIIAWEIGAILVVTPQGVVFPLEALFRSRVYSLRPYSRVKTYNPLDWAITSPWVSLTPWGHRLGNGGCWLTTAEVVGDSFFINDHGPCLYLHGGSFGLWMLNLGDCVSFGEETTRLHPQIPEIEESAGRIARRRSPRLHPQIHVIEDGAGMACRRSPRLHPQVHASEDGAGMARHRSPRLHPQIRTSEDGAVMACRRSPRNHPRIHASEQGAGITRRRRRRRGTSLPDNQDMLWEILLRLPPQPWSLPRASAVCKRWQGLVTDPRFIRSFHAHHRKPPLLGVFESLDEIMLGRSPMLVRHFRNRRIQLRSILELPDSIPLLAIEASSHPRTELFGCRHGRVLLLSGHWEKVGVCNPITGELHRVCTPPDFTRYDYLSVAVLCAAAERGHVHGSCHSSPFKVVVMSSRGEDDRPVACVYSSDTGVWGSIISTTARCELGPTNPEVLVGNVMYWSSESGSTGASPSDLEPTDDIIEFDLDRQNLGVIKGPPDLSTSLVHQIVQTEDDALGLVVLSHGIFKMWERKVNCHGGATWLLQKTLDMHTVLGLPAQIDGPVAIMGYDEDNGVIFLYADAIVYMLQHTSMHSKKLYASSCATRCYPYTSFYASGEKLAKPLAPALAHDLASVLTVSSEDIERLALLKMASFRCSQIHHAVSIISEEVPLRMDLGVHRITSPPQRSYPRQPEDGTGRGSDPGHYLMPHHLGEGASSQDMLHHLLRLVAERASGRVWETPPCQLITHPRSVCQMKNLTLGGVHVF >cds.KYUSt_chr1.34207 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208099109:208099378:1 gene:KYUSg_chr1.34207 transcript:KYUSt_chr1.34207 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCILKDPIEGPWLAWALADSANDHDCWAALLSIGLLCSGEGTCNHIDLTSDTDDAGTSVAQKEGASTNDASPSSVVKDEEPNTAYE >cds.KYUSt_chr2.39434 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244518335:244519192:-1 gene:KYUSg_chr2.39434 transcript:KYUSt_chr2.39434 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTSATAVLKNPFLGARRALANAAVGAAAKPVTRRVVVVAAAVGKKSWIPAFKSDAEFIDPSWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAYSGVAWFEAGAQPGAVAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFSNSTGEQGYPGGKFFDPLGLGGDTKDGVYIPDADKLERLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >cds.KYUSt_chr3.28358 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176889565:176890132:-1 gene:KYUSg_chr3.28358 transcript:KYUSt_chr3.28358 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPPCRRRRAVHEHAPPQRPATVASSTGQPYGAREVSWPPCAASLRPTLSPRPAALTRILVAIDTSACTSAEASAASSGQLAASLGFSTPARSAVATFQLTTPARAPDDEEAKAFDEGAVNHAARRHSGRAHACCCAPSGSLPISDRGPV >cds.KYUSt_chr6.29360 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186024689:186025731:-1 gene:KYUSg_chr6.29360 transcript:KYUSt_chr6.29360 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWPAVSEEEGPDSGEASGNEEEGPGSGEGEDEHADSLGGGRAAAAAEPLPPAMVPLRRRGAALRRTLLLLLPALPSSPRRRSPFFLGSARWGFRTKPVGRQLDGSKVDRARGNHPPQIRRSERISGQQLASTASSGLAEMGIEI >cds.KYUSt_chr3.296 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1663283:1665803:-1 gene:KYUSg_chr3.296 transcript:KYUSt_chr3.296 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGETAVVPIDADGAGHRRPKNGHRPRPPAQEFRPFRRWFPFLVPLFVAANVGLFVLTMYVNDCPAHAAAADAAIGGAAQAQAQGCLLQQDLGRFAFQPYRENPLLGPSSATLLEMGALETSKIAKDHEWYRLITCIWLHAGVVHILANMLSLLLIGIRLEKEFGFLRIGTLYVISGVGGSLLSALFMVSNISVGASVLTAWRTIKSDLSKHWED >cds.KYUSt_chr4.14181 pep primary_assembly:MPB_Lper_Kyuss_1697:4:87346251:87346562:1 gene:KYUSg_chr4.14181 transcript:KYUSt_chr4.14181 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAPTGEKEIKTKLVKMDGRHGYIQWLLEWDRKPRRPLTVYPEELRASADAFRAVRESHDRVLAQFKRCGYAYVEIDVLDADDPEANINDDQYDEVDGVDV >cds.KYUSt_chr7.25678 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160172872:160173222:-1 gene:KYUSg_chr7.25678 transcript:KYUSt_chr7.25678 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELRVHPPLSAAELESFAERMELTPDILGHIVAPMEGVAGIDLRTELEEWVKDHAEEKKLTEGMDLQRLNAYTRDMFIPEIAAGLRHAQDLLAALDREEEEEEAAAKARPRAAL >cds.KYUSt_chr3.27557 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171997785:172009798:1 gene:KYUSg_chr3.27557 transcript:KYUSt_chr3.27557 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRFFCCAAKSSSNTAAAGHKKKNIVFLGSPQVAASVLDTLLAASSSPDSTFQVAAVVTQPPAAKNRGRKLLPSAVAQLALDRGFPGDLIFTPERAGEESFLSDLKEVRPELCITAAYGNILPQRFLDIPPCGTVNIHPSLLPLYRGAAPVQRALQDGVAETGVSLAYTVRALDAGPVIACKRFSVDDFIKAPELLAILFNIGSKLLLDELPSVLDGSAKQKAKPQDDSKVTLAPKMNSDESWLTFDQDAKVLHNKVRAFAGWPGTRAKLQLMNQNGEPDVLDIKVINTKVSASCDKTGDENEVLFSGNSLLIPCNGSTWLEVLELQLPGKKVTTARDFWNGIMARCSPQLRRHFFCSAAKSASNTAADGPKRKNIVFFGSPQVAASVLDTLLAASSSPDSAFQVAAVVTQPPATKNRGRKPLPSAVAQHALDRGFPGDLIFSPKRAGEESFLSDLKEVRPELCITAAYGNILPQRFLDIPPCGLLDFANFVSGFQRLKTKAGSKLLLDELPSVLDGSAKQKAKPQDDSKATLAPKMNFDESWLTFDEEAKLLHNKVSFVVPKCIDGRYCQPTMVGVGASATWKEGNYGSGLLEWSPWSEAVEITIERRYAFTLQHMCKGCKAKSCTYNVMWKYTVLDILGRTNFILIINIPSFLALVIIGFKLILRSLSIKIRRHSSLYTTDALPWSPELLYTTMQGRLAITYSMLGQNGRTPPAAPQPDPMAPPQPDAEFELPDFNFDDSEFDAWNEAFVADADAKAAKEVA >cds.KYUSt_chr4.21766 pep primary_assembly:MPB_Lper_Kyuss_1697:4:137045423:137046520:1 gene:KYUSg_chr4.21766 transcript:KYUSt_chr4.21766 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKFGFGRSMPPAFKFDPTDADIVAYYLLPRALDLPNPYARAIIEDDPRSAPPWEILQMYGDVDHVFFFGPATDPSRNAGRRSRTVKGGVWQGQKGVEDTVTLVRPGGGEVNIRYKRYDLSFYLTNGRSSTGYVMHEYEILSPPLPATVLTRIKVTGKLKKQRAAAPEQQVPDPGQPGPSYKFDDAYGGGIVHDGGYYTTPLQYELPLVQYDDYCISIPDQPGASYNYDAAAMDGEGCAEAQASTSYGSGGMVENAGSYFDPSGDVPECGADYSNYYAEYQQWQCQQDRSNQCQAGDAAVVSGGGEQQAGGNSDNGSVVGTETSVSTSSLCDGAVDLYDASFYNMLVCDDDGFKCEQADEPHY >cds.KYUSt_chr4.1716 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9143726:9143998:1 gene:KYUSg_chr4.1716 transcript:KYUSt_chr4.1716 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPAYSAAHPLAGEKVPLTVFDRAASDKFVSAVRVYPAPAPSNDALKEGLLRAVALYPHLAGRLAVDGHGRPQAWRRSLAGGIPGRQEM >cds.KYUSt_chr6.32994 pep primary_assembly:MPB_Lper_Kyuss_1697:6:207737640:207746430:-1 gene:KYUSg_chr6.32994 transcript:KYUSt_chr6.32994 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSIAVMKPLLDKLATLMGDEFAKLKNLRKEVKLISDELTGMKDALEELSHLDELDRPTTRWRDKVREMSYDTEDIIDDFMLNFRQSNKNIGFFSNTINRLKTLRARHNIGGQIKEIKALALEASAWRQRYTPVYKPDTPPSFNVAMHSRVVTLYEDAANLVGVEEPTNELVNLLADEDKQLKMVSIVGFGGLGKSTLANVVLMRLKGRFHQTAFVSVSQKPNIPKLLYSLLFQLGDAPPSNDLALNVLIDILRKRLQHKRYVQISAAHWFELPIQSSVIQQLETLHLHMMVYKSYAALLLQPYGIGHIKSLRHLTGFEIDKNSLDNIKGLGELANLRYLSLICRSYLSNMEKPMDALCSSLGRLGSLEDLLLVHGAGCIDGLFPLSPPPTPYRLQTLLISEQSWFSIVPSWMGRLRNLCELQCGVMELLNDGASILAELPALSRLNIQIGLAYYHEKIVISGSRAFPALECLNIEVGIGCPSNMTLQAGAMPRLQRLNLKFDGRWLKQDGVTVAPAGMEHLLALQELSAQIVCETGAPESDKTSIESGFRSAIAMNPRHPLFGIKFLEEED >cds.KYUSt_chr2.50526 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315917360:315919754:1 gene:KYUSg_chr2.50526 transcript:KYUSt_chr2.50526 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPDDAARQSQAHGRGSVPLSFPSQPPDIRNWFSSYQYESPEVPELDAALGGNDGSETQDPLENRLPGLSPLKDTSQDGGTALKGGCFGSRSEQGEVSATRDILQLGRSTVEQGTKRKRSLRGLFGAGFLDDPDEAAQTENAVVSVLHTSAVDHMCNFNWIGLQTRQNSHGGAAEHNELLVDSDSTIIAETQEKPRGGQETDHRKWPVNCGGTLNWVGLRDRKRNYEGAVEHSELLADSDSTIIAETQGNPQAGQETDHNNVRVNCAVNFNWIGLQNTTHNFEGAVGDSELLADSDRTIIAETQENFQAGQEMDQNKGRVNCAVNFNWIGLRNRTHNFEGAVEHSELLADSDGTIIAETQETSPGGQVSNHSKRLVNFGGTSLADIEEGFLEEGIEHSNLPVNSHSKGLADVEKPKRNLRDLFGAGFFDDPDKANDSETHLESAVQRNTVQPLSKSNAVRLPHIKHVHESTAGYSELLVNCDVVSLGETQDLPGGQVIEHNGLPVNCGATGLSADTEGFLEDGIEHSKLPANSDSTGAADIEKTGIKHLILHANHSGICSALTEERSGGDETNCAKSILNHRKAEATVATDGFIAIGKKLKSIEECRVNKIPKPSRAREMVTLQENRGILGTQNASARDHTRSPLSDRTNLSEVAGAPEPEITGKWKCPRKGKPYVAPPMKQLRLEQWVRRTN >cds.KYUSt_chr2.4555 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28185190:28188600:1 gene:KYUSg_chr2.4555 transcript:KYUSt_chr2.4555 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQTSLEKFGPDVLSASVEEKEEVPVEEWKTCVFAITLGMPVALLLLVNMCWRAITAALALVLLDFKNVRSCLENITNQLLLLQVYICLSAVELNLRSHTHCCGVFITVDGFSKTSTPSTFWEFMPSWSPMRASTADRVVILLLGILFLSSVASDIPTG >cds.KYUSt_chr5.6540 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40613240:40617845:-1 gene:KYUSg_chr5.6540 transcript:KYUSt_chr5.6540 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRDILMLGIETSCDDTAAAVVRGDGEILSQAIASQSDLLVKWGGVAPKMAEEAHALAIDKVVQKALDDANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKVFGLPIVGVHHMEAHALVSRLVKKDLDYPFLALLISGGHNLLVLAQNLGEYVQLGTTIDDAIGEAYDKSARWLGLDIRKGGGPALEELALEGDPKSINFRVPMRQHKDCNFSYAGLKTQVRLAIESRHMCTDDIPISSATEEDRQLRANIAASFQRVAVLHLEDRCQRAVEWALKMEPSIKNFVVSGGVASNQYVRARLNHIAEKNGLQLVSPPPSLCTDNGVMIAWTGIEHFIAGRFEDPPPADEPDDMQI >cds.KYUSt_chr4.42210 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261056933:261061841:-1 gene:KYUSg_chr4.42210 transcript:KYUSt_chr4.42210 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPFLSPSLSRVSSARASPVPKSSARSGTAGVAFPRARPYTQSLRLSSPVMAATGLGGNGSPAAPENSAVPSRLGEVKRVTKETNVHVKINLDGTGVASSSSGIPFLDHMLDQLASHGLFDVYVKATGDTHIDDHHSNEDIALAIGTALLQALGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLSIPTERVGAYDTQKKLDTGNQPEFIKMQIFAKTPTGETITLEVETSDTVADVKAKIQGKEGVGISPEDQQRLIFAGKQLEDGCTLADYDVLKESTLHLVLGLQGGCWYEPNLRALAEKYNSDKMICCNFVMFQLFATPSLAGAMPAYL >cds.KYUSt_chr7.7173 pep primary_assembly:MPB_Lper_Kyuss_1697:7:43189960:43194810:-1 gene:KYUSg_chr7.7173 transcript:KYUSt_chr7.7173 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASDDTREHLLDVDGGLAGGGPKIRVRGLRRRSEATGQDILRGVDLDVPRGVVMGVIGPSGSGKSTLLRALNRLWEPAPGAVTLDGADICGIDVLTLRRKVGMLFQLPAMFDGTVADNVRYGPQLRGKKLTDVEVKNLLSQSDLDPALCSRPASELSVGQAQRVALARTLANDPEVGMSMIFDCRIALSLQAEILPQFNGTDTFFAGLWCGMQVLLLDEPTSALDPISTQNIEEAIVRLKKTRGLTTVIVSHSVKQIQRIADLVCLVVDGEVVEVLAPSELSEAKHPMARRFLELS >cds.KYUSt_chr2.4231 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25751796:25753211:1 gene:KYUSg_chr2.4231 transcript:KYUSt_chr2.4231 gene_biotype:protein_coding transcript_biotype:protein_coding MELLMLVALLSCLAAVLWQCTRRSAVEVQQPTIEIGDAAVAQRALIENANAFSDRPLALFPVILVTGRRRRRSDNISSAPYGPHWRVLRCNLTSKLLHPSRLSFLAPLQRVAVQDLLAGLSARDEVVVVRDSLHDAVFALVARMCFGDGVAHRDVRAAQRVMQEFVLGVGQAKAYAGSKLAKLLHWRRWRRFLASRGNMAEVFFPIIAAAGRRTCKDGVLPYVDSLLDLRVPDDAGARRALTDDEMVSLVSEFLGAGTETVVACVEWTLAHLVAQPEVQKRLQREVDGEAGKGKGKGVMPMPDELRQRGMPYLHAVILESLRMHPPVPFLMRDVHAEDAAALAGKATVVTPAGLRVHFMLGDIGRDSNTWKDPDDFRPERFLPGGEAEDVGPLPGPKEIRMMPFGAGRRFCPGMGLSILHVKLCLVALVRGFEWAPVAGQNVDLTELDGFFKTMKKPLRACITKRFNATRR >cds.KYUSt_chr4.29752 pep primary_assembly:MPB_Lper_Kyuss_1697:4:186762086:186767440:1 gene:KYUSg_chr4.29752 transcript:KYUSt_chr4.29752 gene_biotype:protein_coding transcript_biotype:protein_coding MWVWAWWVLTCVWRWAFGFSGGGGGEDALFSELWSACAGPLVTVPKVGDKVFYFPQGHIEQVEASTNQVAEQRMQLYNLPWKILCEVMNVELKAESDTDEVYAQLTLLPESKDMSRQPPTQELTAKDLHGAEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQINIPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPQDRYMESLKCNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWAESQWRSLKVRWDEASSIPRPERVSPWQMEPAVSPPPINPLPVLRTKRLRPNIVVSAPDSCAQSKEVETQQQALQRAFQTRENATPKTAFGDGSELDNAHKSILQPSGFEREKSSIPTQRKLGSDGWMQMNRPGCYSEMLSGFQPPKDVQNQQGFCSLPEQVAAGNSNVWHRVNTQYQDQQGNHNTFPGSWSLMPPNTGFGFNKQSYPMTQVVGGFPRRAANMKFGNGVYAALPGRGIEQYSAGLFGHMMLSSHMDDTQLHVIKPQPLNIAHGDMQKTKGTSCKLFGIHLDCPAKLEPLKSPPSVACDGIPQTSGAAEWCRMDATELEKVSDPSKISRSLDSPHPGSVPENHFSCQQASRNISGKSPGGSARSCKKVHKQGIALGRSVDLMKFNGYEELVAELDDMFDFNGELKSSNNEWMVVYTDHEGDMMLVGDDPWNEFCSIVYKIFIYTREEVQQMNPGALNLRSEDSPANSMERGSAVREVRGCLSTSALNSENC >cds.KYUSt_chr4.6271 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36838524:36838871:1 gene:KYUSg_chr4.6271 transcript:KYUSt_chr4.6271 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGPLGTFLSLSWKGSSALKSSRDLLISSSSPVNNILLPPIATPPIAVVDGFSATTTNDIKTRTPNNMAAAAAARLPDPAGLLIVPTGYALFAAARHFLPPSPLLLLMEVDRWS >cds.KYUSt_chr2.44937 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279899139:279901955:-1 gene:KYUSg_chr2.44937 transcript:KYUSt_chr2.44937 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLCCCLHNNFMSCEAPLVKLEPLGCAQMATIVNVHYVDKDEFMKVNIELDPDEVVMVFERSPNYVEVVEKVRIEFKSMSPTDDIEFEGRAGLRCWGRSPGRCSATGTQLLSVGTGTFAALKALDGDGVCSGDVQRPFFLFFAAVE >cds.KYUSt_chr5.8243 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52132514:52133181:-1 gene:KYUSg_chr5.8243 transcript:KYUSt_chr5.8243 gene_biotype:protein_coding transcript_biotype:protein_coding MHALINLVVASIAVALLLDAVSAAGKCDGEPGQKHDGFATVGANHTVGDSAGWFFDGKANASAANYSVWAANRTFYLGDYLTFKTRTDNTVVHTTNATVYKLCGYDGDGVGGGWKPEEAFLTVMLAAEGVNYFFSDAGEGEHCRRGMRFDITVAHGRGLPQVPPSYYEPLSRATAGRCLGAVVPVWVFVAVLLML >cds.KYUSt_chr1.23780 pep primary_assembly:MPB_Lper_Kyuss_1697:1:141641667:141649000:1 gene:KYUSg_chr1.23780 transcript:KYUSt_chr1.23780 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVWLYAQSWFQRQELRLGLALSLVVLVLLFGGVDLRLAFGPYREEPKYRKGRAQSIQAGIDRSSASGATPCSPPCWSGSSTTRLCLVVLPQLLLDSCWGGSSTRHLHLVLLAHLLLDSCWSGSSTTRLRLVLLRHLLLDSCWSGNTATRLRLLLLGPLLLDSLLHLLLLGPVLLDSCRSDSSATRLRLLLVDTLLENRLLQIGSAARLPLFKLASLQRHVLHPTARLHLAAAARLHPAAAAHLHPAAAAHQGYILIIASATVTNSNLVKEFCTATKHIAHRSVNSIIVEVEVLEEDNGHVVNDAGGVGQPSGKDLSDQARFGAFFAMQFIQTRDGKVDKKDKELVAGLLKTSLSTVEKIWKEARQQIAAGQEVDVSSKKKAELDPPPSTSTQHHHQQHSAVQVRNEIRGGTGSNKRKGAASGREYLTPASPMIPMAMPAAAAMADGLDRWREFFRGAGAGICEVVEKAILVAATDKPQHHRLAAARHARSRRRGQGQRAARARDARQQGPQQQRQPRRAAPPGRC >cds.KYUSt_chr5.3826 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24736014:24742276:-1 gene:KYUSg_chr5.3826 transcript:KYUSt_chr5.3826 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSRTIPSRSACPHLCISHRPTHRTIFRLSRRMLAAAAVLPPPPTTAFARPVLRSCASWGRWSPPTNSSCSSSSREESLWRRGRSGGAVHRAMARPPILSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPKFRGQVLNGDQLWDLIEGLQENDLLHYTHLLTGYIGSVSFLNTVLQVVEKLRSVNPDLIYVCDPVMGDEGKLYVPQELISVYQQKVVPVASMLTPNQFEVELLTGLRITSEQDGLKACNILHSAGPRKVIITSALIEDKLLLIGSYKKTKEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKFPDNLEKAAELAVSSLQALLRRTVEDYKRAGFDPSTSSLEIRLIQSQDEIKNPQVTCNAVKYS >cds.KYUSt_chr2.27299 pep primary_assembly:MPB_Lper_Kyuss_1697:2:167490371:167491534:-1 gene:KYUSg_chr2.27299 transcript:KYUSt_chr2.27299 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVPHHREVDRFQWQQGHPDEPEERQIGVDPFSLRQLSRVDIDRPLPIPSVSVDDQHLPAYAPARSASASSSVPQSPRLSSTSATPTRWDAHLALARPATSSVAETKEVPRRKSFAGGELELELGDNKFDVLLSSPERKASAPQRWGSDVPLISGARRSKGKHGAEAAAPFGCCIYLPGLTRRSRPPHSTGTEAAARSSSGSSPAAFRGPEADARSSAGSNPSKFRGPEADARSSVGSAPAKLRVPEAAYVEPEPVLDDPSTARQSTVSLAVSLERFECRFSSRSSGLLGLDDEDDEEAAPSSSYFDLPLELIMGSDDYGDGETGLPMHAAFMFDGDGIRKSVLKNGARRGAASAKVSDDASGTDRIPARHHVRFSVTSESAPADP >cds.KYUSt_chr4.17220 pep primary_assembly:MPB_Lper_Kyuss_1697:4:107670940:107671092:1 gene:KYUSg_chr4.17220 transcript:KYUSt_chr4.17220 gene_biotype:protein_coding transcript_biotype:protein_coding LGRLQDSNAVLTHFNEYSEQCFAEVSSDFASKTRLLKSMKDDLDHIFLKL >cds.KYUSt_chr6.31648 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200019706:200021250:1 gene:KYUSg_chr6.31648 transcript:KYUSt_chr6.31648 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSGTELETAIKRITGSYLQKCPTNSRKSDLYHKFHIRWSKIQDLSIARDAQISYKSCPSPNTSLVPNIPRVSALYVCTFSPPTGGGGMAGATADEREILVDLAVRQSPPLLHRPQEMASLPIPQELLPDIFLRLPDPADLARASAACVSFRRHITDRSFLRQYRKLHAPPLLGFVEIKGEFHRATPPYPSASAASAVALAADFSFSFLPAPACEWFILDIRDGRVLLYRSYLRIPGTAFKLKEMVVCDPLHRQYLLLPTIPDGVFASAGKWHTFLLHHGDGGGGDEEAAEETAFKVIWMARLGDKLVALVFSSTTGQWQAGPSHSWINAFDGLSRSQYAYGCFYWVTDCRQKLLVLDTRRMEFYTTDAPPEAKCDGDANIAIVEAGEGRLGMFVLPFGSDLTYIIRRNNVGSSGQWQLEETISIDYETYGYHFAGSMGGHLFLEHEGDLFLLDVKTLQLETVSVGTSECQLLWPRAYSNFPPSLLSSPTVASGKLHTASQLSTSILVWYGDL >cds.KYUSt_chr2.8597 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53931467:53931967:-1 gene:KYUSg_chr2.8597 transcript:KYUSt_chr2.8597 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVDELSAAYKEFVAAAVAVMEARELSGGQKTASTDAALESFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGSSASPSAPATASAALAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGGPSAAGPGGGGGLATPAAGAGGQHVNGGIDSRFPEDGTQ >cds.KYUSt_chr3.33905 pep primary_assembly:MPB_Lper_Kyuss_1697:3:212753475:212756040:-1 gene:KYUSg_chr3.33905 transcript:KYUSt_chr3.33905 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRASTSSLRSRLLSSSAAWSPWRSLLSSSVHSDAAHQTETLAFDEIQLSPEKPSTATAFVLHGLLGSGRNWRTFSRSLASQLRDRSPSDEWRMVLVDLRNHGNSARIKGLSPPHNMSTAAKDLADLVKARGWAWPDVVVGHSMGGKVALDFAESCSRGDYGESAALPKQLWVLDSVPAEVKTDNSDGEVERVLQTLSSLPSSLPSRKWVVDHMVNLGFSKSLSEWIGSNLKKDNEHVTWGFDLQAATDMFTSYRERSYWGLLENPPKGLEISIVQAEQSDRWHADDVQRLKALSRRGGNPDSGKVSLHVLPNSGHWVHVDNPKGLLEIMVPNFLSTVQN >cds.KYUSt_chr6.873 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5538296:5538763:-1 gene:KYUSg_chr6.873 transcript:KYUSt_chr6.873 gene_biotype:protein_coding transcript_biotype:protein_coding MRACAQNRGALSTTVISYGRGSGTGRCRRHELAAARRPSLDRRGLNSRQRPPDDGGGLASSRCRCERKTGRQLLVALMLPATPPPPLFIIPGTAACFCEARTKTDDGSGRARGVRWRLHRKEPGWLVGEQRQLSLEALQPEAASAPAPVGGETDG >cds.KYUSt_chr7.18488 pep primary_assembly:MPB_Lper_Kyuss_1697:7:114470452:114480528:-1 gene:KYUSg_chr7.18488 transcript:KYUSt_chr7.18488 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVETSAAPAAADHAAPNRAPSSDGADAGEREMRDLEELLSKLNPMAEEFVPPSLAAHPVPPPPHPLYAAGYYPNAGFAPPIASPGHRGVVGFPAADGFAGRGGRKKFGGYGGPGGGGGYPHGGKRRVNSRTGQAQRDEVIRRTVYVSDIDHQVTEEQLAALFINVGQVVDCRMCGDPNSVLRFAFIEFTDEEGARAALSLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYQHNTRIAFVEFVMAESATAALNCSGVILDDAEHGSAAASILHEHNASQSLVHRGFVKGRSKNLPRNRVEGHLHLHKDYFDRTATVFPKNCSGAGALGFTSYQKCSAAIRMMSYGITADIFNEYLRMGESTCLEAIYRFCRAMIVVFGEYYLREPIVENTRRLLSIDESRGFPGMIDSIDCMHREWKNCPFG >cds.KYUSt_chr3.13137 pep primary_assembly:MPB_Lper_Kyuss_1697:3:79069276:79070375:-1 gene:KYUSg_chr3.13137 transcript:KYUSt_chr3.13137 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHHSDSEARAKFSKWMIKYSKTYPSHKEEEKRFQIFNQNTNSIGAFASQTSTSVVVGGFGPQTRTTVRVGMNRFGDLTPAEVVEQFTGFNNTGFHAASPTPLPYHSWKPCCVDWRSSGAVTGVKFQGTCASCWAFAAVAAIEGMNKIRTGELVSLSEQELVDCDTGSSGCGGGRVDTALALVAARGGITSEAKYPYSGFNGKCDVDKLLFDHQASVKGFKAVPINNERQLALAVARQPVTVYIDASGFEFQFYSGGIYRGPCSADASRVNHAVTIVGYCEGPGKGNKYWIAKNSWSNDWGDQGYIYLAKDVPWSTGTCGLATSPFYPTA >cds.KYUSt_chr3.47889 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300037054:300038736:1 gene:KYUSg_chr3.47889 transcript:KYUSt_chr3.47889 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIFRSSFYRTKQPANPLEEEEEALAAAAAAGEYRDLEDGANVDEAAGSGGMRRPEGALLVAVFVAYFMSFLGIYYLLLGSFYLPPEAGPADGGRVIAIVGGVILAVAGPVLAGSLAVLLLLSDEASQPAGGGRTSARGGGGAQGPRSRRGASKDGGEKPGSGDGDRRGNDTLCFVAYLVTCVGIYYVLLGSFYLPPEAGADGSGVVALVGGVFLAVGGPVMVALCCRSGRRARGPNAASAVEDS >cds.KYUSt_chr7.21114 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130826166:130832766:1 gene:KYUSg_chr7.21114 transcript:KYUSt_chr7.21114 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSVVSSAMQKLEGMAFATVKQQTEVGKRAQGLKDDMAWLQLVLRGADQRRRREINDYIELWVRQTREVAFDAEDLLDEYYHEGRLHCRGVLDLPSFLRWLRHSATGLFVRQSICNDIDAIKLRLEQIRKKTEDNSVQLKMSLPATASSVKPRKRYVDWDAPSGCNIDNLLVNNEKLKKIKGYLSSQGETMPRIIVAIMGKNGAGKTTLARCVYESSEVRAMFHHIIWVHLPQKFRLVDVIADMVRQTTFPGMDLDPETEVHEKDVHKKDVYDIRQLRNRLTGRLNMKKYLIVLDNVRSPDELNLFLSVLPECKGSALLITTEIKPYHTACGSSSTMNDSDSDTCWKFKKLCDHQVQLQKLKKEEARKMFLTRLFGKSSSDKNVKNATHAKVIENLLDKSLPLAGTLLAGLLRTKKEEVWTDVINQLMQQRHELEQQKQNHEKEQEQQQQQLAEGLEQMKRMDQQRSWRQEEKQQMIDKKQEVKLPAGQQQGQREEIEHAQLEGEKEPEQQPEKHVYRQMSLLEQILMLSFDDLHPQLKQCFLYFSAFKAEEPINADKLIRLWVAEGLVRPTDGRAAEKHGRDHLRTLISRCLVNLVEKDYSNNIISVSMHERVIAFARSEAREINFLQVHHSTSDLPSTAIRRLSVRNAFDPHTRLALATPKLRSLLCECPEAPYADDGSTSCTSHIRMVWEYIGGRVISLNIHQCKFLRVIDLQGMVHRSTLPYEIGWLIYLQYLGLARTGLKKLPRSIKNLHRLQTLDISSTEIKHVPNGLWWIKSLRHVLAEQLDNGPTNTNALQNLQTLHTVQCKGSALKKLINLRSLRLWGIDKKLMLVECLGRMECLKFLDLAAKNGVELPLIKVLTMFGLRSLQQLKLDGPVNKEGSREVHTYLLHKLTKLELQNSGMEQEHIDLIAQVPNLAGLILGKDSYTETQMKIPTNGFPELKELQINNLGKLTDWTFAQDAGSTLKQLQRVSILNCTALKKIPDELRTLQHLVLFAARNSPVNFPSGKFESAEKLSIIKEESEDKVCPSNVKEAHD >cds.KYUSt_chr1.26101 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156986128:156989400:1 gene:KYUSg_chr1.26101 transcript:KYUSt_chr1.26101 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTTASRLIARRLAAPLPPSSSSSPSSALRRAAPRGGATGARPRGVAVRWEGRPRALLGGFSDAEPEDASDDEDEDEEDARSALSGGPSSPHLECDDVLELAAAASSGPERWDVLGLGQAMVDFSGMVDDEFLERLGIEKGTRKVVNHEERGRVLRAMDGCTYKAAAGGSLSNSLVALARLGSSRITSYPELRIAMAGSVGSDPLGSFYRQKLQRANVQFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTLAYDSDLAGLVSKSNLLVVEGYLFEFAHTIEAIKQACEDAKKNGALIAVSASDVSCIKRCHSDFWDIVGNYADILFANANEARAFCELTSEESTMSAARYLSHSIPLVSVTDGMHGSYIGVKGEAIYIPPPACIPVDTCGAGDAYASGILYGILRGASDLKGIGLLAAQVAAVVVGQQGTRLRVKDADRLAESFEFHFDNLEFCSDAGTDQIPNL >cds.KYUSt_chr7.7894 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47729087:47730128:-1 gene:KYUSg_chr7.7894 transcript:KYUSt_chr7.7894 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVCRKSGGEWEAKQHKGELEGSAASTYDLERQLVSAAYAKDPCGGVQSSFTMVSPDSAVCQVIIGAAAAGPAVASAGGAAASGGGAAEAPKAEEKKKEEEKEESEDDLGFSLFD >cds.KYUSt_chr6.21744 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137236403:137241038:1 gene:KYUSg_chr6.21744 transcript:KYUSt_chr6.21744 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAVSVAVAVAALGLVAVLLAASVPGAAAKVCTNTFPSSAAVASHTERAAEKLRSASSEPDVLRLPGGLADHAHGHGHEQHLTPTDESAWMALMPRRLLAGGGSGSASPREEFDWLMLYRKLRGGGAGPAVDGPAGPFLSEASLHDVRLQPGTVYWQAQQTNLEYLLLLDSDSLVWSFRTQAGLQATGTAYGGWEGPSVELRGHFVGHYLSATAKMWASTHNDTLHAKMSSIVDTLYDCQKKMGTGYLSAFPTEFFDRAESLTTVWAPYYTIHKIMQGLLDQYTVAGNSKALGMVVGMADYFSARVKNVIQKYSIERHWASLNEETGGMNDVLYQLYTITGDLKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDVLYKQIATSFMDIINSSHSYATGGTSAGEFWSDPKRLAATLSTENAESCTTYNMLKVSRSLFRWTKETAYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGHSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGGTPALSIIQYIPSTFNWKTAGLTVIQQLEPLSSLDLHLQVSVSISAKTNGQSATLNVRIPSWSSATGAKATLNNKDLGLVTPGSLLSVTKQWNSGDHLSLQLPIVLRTEAIKDDRPEYASLQAILFGPFVLAGLSSGDWDAKASSAVSEWITAVPPSYNSQLMSFTQESSGKTFILSTSNGSLTMQERPAVDGTDTAIHATFRVHPHDSTKLQDTHSTTLKGTSVQIEPFDLPGTVITTNLTLSAQKSSDSFFNIVPGLDGKPNSVSLELGTKPGCFLVSGTDYSAGTKIQVSCKSSLQSIGGIFEQAASFVQATPLRQYHPISFVAKGLRRNFLLEPLYSLRDEFYTVYFNLGA >cds.KYUSt_chr3.32961 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207029037:207034770:-1 gene:KYUSg_chr3.32961 transcript:KYUSt_chr3.32961 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSTQASPCGTPYGTPLFSRASSFSSFASCFSRFDHSHMDTDSEEELLDTGQLHPDIFVSDEFMEMEKGNLVKEDECQPSHAIVSNDGANNPISSDQSIISGQPQLETDQGTTKEKFDASNVILDTSVSKEPDRDILSNDQLTGMQYGVSLEDNDLKKPNVIYVEEVTSLPMPGGEIIPLNEQVMGQRDSTKENTIVYNNILNTESDMKSDGNFESGIDYLYPLVSPSFDSDPLIWLPPEPANMDDDVDTLANPEDDSDNNDTGWGKSSLNHSFDEERSKNSHEDQLQKAMSEVMNGQFKILVGRFLAAEGFSLSDEGTDNTWLDIVASLSWQAALLVKPDDNVGNSMDPGLYVKVKCIASGSCQQSEVINGLVFKKSAAHKQMRANIKHPKLLILQGAIGNFSTGLSSMNSMKQESEQLEKTLSDAIGKWHPDVILVEKAVSRNVNEYVQKQGVTLVSDMNMHRLERIALCTGSPIISLQDVHKKTNLIKQCESIHFEKFVEEHNHTVEGGRRSSKTFLFLEGFPKPQGCTILLKGSTSEILKKIKRVLHFTVFAAYHLVLETSFFADQRSFITQKNATQKEDYLKAEPQLLFPSSTSDEQYANMKELANSRNSTSQHLHDSEMSSKGSGSQAILSNSSLPDLDHSTKIIGETLCSDSAESTSCDEFGGSTFTATSEKISMQKKEAPGENSQETLDDETHVKTRTSLNAQTILISMSSQNIRNKAVCEQSHLSRITYYGYFDTSLGIYLKDTLLSEKHNCLSCAEPPEAHMYSYTHRDGTLTVLVRSLPLGAALSGQDQGRIWMWTRCLRCSGNPTNRVIISSSARNLSFGKFLELSFSTHSSAKKLSTCGHLLHRDCLRFFGLGSKVAMFRYSSVEIYSACKPPMALQFHDPNKKEWLDVEVKNVLLKWKLLFSEIGNIIQGMKSRYSGEAMGENRNNSAYEGLFLEVNGMLAQEKDEFEVSLNAFDHIAKPEACAYDILSLNWLYQQLLLGFYIWDIRLRHLLQYSKINATSSDNSTHKSKAENELRSCKNIAVHGDSLSVPNIAMEEEATINSSGDFDNSRSGIISEKDQLTENSIIKEQGSSLGVADENGSHKIDSSVQNVNHVCLDKSSVLPVKNDEHPAPARGGDEIPPVAIPCKGLHVLRNLLDFASDGTGDWVWSKFSHLEREYKKEIQLGSLDKFHLINKYTPSSSSLTQLKYQMHLMHFTVGPCGNILSIADEEVSSIIGYALAISEQQGIYSEAAFEKDELISRNKLDKVAPSNLARGQGN >cds.KYUSt_chr4.53811 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332901389:332907625:-1 gene:KYUSg_chr4.53811 transcript:KYUSt_chr4.53811 gene_biotype:protein_coding transcript_biotype:protein_coding MEESAQPTPADPSDPELPNGAPEPEPEPEPEPASPVADAPAPEAEAAVEEVPARSAPSKGAEANADGWRPYTMGELLGEAAEAAAAGGSEYADGNGAGSATPDRSSQDNLQLSSHHDVAMDLINSVTGVDEEGRSRQRILTFAAKRYISAIERNPEDPDAYYNWALVLQESADNVDPDSDSSKDSLLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWQQAIMNYDKAVQLSWNSPQALNNWGLGLQELSAIVPAKDKQKIIKTAISKFRSAIQLQFDFHRAIYNLGTVLYGLAEDTSRSGGADNSPSDLYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPADDPIAPHKLWERSQFILNHEELQQVNTSESAPAKSNGLVEKTKRFIKVDVADIVSVSTCSDLTLPPGAGLCINTTHGPVFLVAESWESLDGWLDAIRLVYTIFARGRSDVLAGIITG >cds.KYUSt_chr2.19493 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122620029:122620328:-1 gene:KYUSg_chr2.19493 transcript:KYUSt_chr2.19493 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLNRPRGRGAAPAAHYAMKGAVEEEEVEKSPAPVKEVKIRITKKQLEDLLRRLEQEDGGKGGAAVVSELLCMTSSCNFRHRGQAGQWAPALQTIPE >cds.KYUSt_chr3.48762 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304775949:304776295:-1 gene:KYUSg_chr3.48762 transcript:KYUSt_chr3.48762 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSGKCGCGDACKCGSGCNGCGMYPDVEAASTGNVFLVAAATHKASAGGMDMTAEAENGGCTCSKCNCGTSCGCSCCTC >cds.KYUSt_chr5.27289 pep primary_assembly:MPB_Lper_Kyuss_1697:5:172803918:172806956:1 gene:KYUSg_chr5.27289 transcript:KYUSt_chr5.27289 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAKAGGAAQAPPVSNALVAALKRAQAQQRRGCPEAAQQPLLAVKVELEQLVLSILDDPSVSRVMREASFSSAAVKNTIEQSLTSPSPSSSAAASSPQTTPTPFSPSPSPLPRAGTASAYLNPRLAAAAGVAGGDDAHKVLDVMLKPARRNPVLVGDAGPDAILKEAIRRIPTATSPALAAAKILRLEADLAKLAGDKPAMADRIVDLGAVVERLVADHGGVVLDLGDLKWLVQGPAAPSSEGGKAAVAEMGRLLRRFGRGLVWAVATAACATYLRCKIYYPGIEDEWDLHVVPIARTTPPCLEGPALIRPGGSAILSNSMGMLSPMPRPVPVIPTPLQWRPGGDHTPMCMLCKDGYERDLAKLTAERTDKPVSGPQATNPGLPHWLQRTSDQNQSKAQELKWKRSKDELEKKWRETCARVHSTRAGAPALSVPSIPLAPRPRVEPKLAIARSAAVPTLKMNTNWEKPAATPTLELRKSPPGSPVKTDLMLGRLDPAFNPTVENEQKENYEGLTAMQKAKIAGISDIDSFKRLLKGLTEKVSWQSDAAAAIAAVVIQCRSGSDKRRTLRTRGDMWLMFVGPDQAGKRKMVNALSGLMVNARPVIVNFGGDSQLNMGFWGKTALDRVTEAVRQNPFSVIVLEGIDQLDTVVRGKIKRAMETGRLPDSRGREVNLGNVIFVLTTNWVPEEIKGPNIETLLQGEGRMLEISSSNWQLELSIGDKQVKHRADWLCDDARPAKVAKELSSGHGLSLDLNLAVGALDDTESSHNSSDLSVEQEQEKGQLAVLCSTPAPDCDLLQLVDDAIVFRPVDFGPFRKTITDCISAKFESVMGSSNSFRIDEDVIDRMVSSVWLAEEKIDDWAGKVLVPSIERLWHNVKHDNARTVVRLASVADKASPRWGGGKEGLPAAVTIAIDGM >cds.KYUSt_chr3.27702 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173042622:173045054:1 gene:KYUSg_chr3.27702 transcript:KYUSt_chr3.27702 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMPPSFTMPAKLAILTTLLFTASVPAVAADDSVSIAIYWGQNVSEGTLSDTCGTGLYTYVNLAFLSTFGAGRAPVLDLSGHCDAPSGSCATLAADIASCQSAGVKVLLSMGGGALGYNLSSPSEAQNVAAYLWDNFLGGTGTTAPRPLGAAVLDGIDFDMEAPSRYYDNLARNLNSLYASANSEKKYLLTAAPQCPFPDASLGGALGTGLFDHVWVQFYNNPPCQFAPGDASALRSAWQQWTAALPSVAVYLGLPASPEAVGSGYVNADTLVSQVLPLVEDSPNYGGVMLWSRSYDKDAGFSVKLQSNLQNRNRGDGASTHYKRRIYTIVGIVAGVFLLLLLLTTCFLCHKKYRAGLSPPEEEPTSSSKAETPPPKLGAYPPKRYTYSEVERMTKTFAHKLSQGSHGDVYRGNLRDARQITMKVLNNCKGSNKDFVSEVAGIGGISHANVAPLLGFCLQGPTRALIYEYMPNGSLESYALSKSGDSVDDNYSLWLYWEKLFDIALGVAKGLEYLHEEINPNGARISIKPRNILLDQELCPKISDVGVANLCLSKESNKTSARVARERDVYDAPEVVSRKFGPVTSKSDVYSYGVMVLKMVRAKRHVKVGAETTSKYFAQWLYDHLDQFCSSITDIGGDTRELVRKMIIVGLWCTQTAAANRPSMSRVVEMLESSSRDLDLPRRISADS >cds.KYUSt_chr4.21430 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134915997:134920440:1 gene:KYUSg_chr4.21430 transcript:KYUSt_chr4.21430 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRAKCPLALFRLARLAITQRRCVFSASTGAETSGREHLISSPQNSSRRTTSTVAPLPLRLRRSHHEQRRPRPGCRASTSTSHSTSPGPDEEFDFDDSTDLLDPVRDAATLAEAEKEAEEERTANAAVDAELEQRRVAAAAAAEDSDSDISWSSDDPDTPTPEERAAEQRAIVESFEMLKDDAANARLQQCLQEDAAAHRALAAALFTNGHHLFDGMPKRCKHGEETTNGSGEDRISALPDAILHVVLSSLPSDETVRTCVLSRRWRHLWKSTPALRITRGGGWRFMEWRAWTVNNFVNQLLLLRDRVPLDECEISISQEFLNEDEDELFRFVHTWIRHAVSLCKARVLKVRIRTESYRLPLVNLPFSSQFLTRVELTDVILRSRSLDFSNCPALEDLRMRSCRIYAHRIISQSLRCLSITRCDFRSKARTCVSTPCIVFFKLKDIDGRTPLLESMPSLVTAFVRLDYSCSDTCIHKAYGDCGDDICFGQSDGSAGRNRSVLLQGLSGATNLQLTCDPEVFAFSSTHQFWRILLSNFNLVRARDGQVWWCSMCRWWLALGSDDPVASQHSGKHPVFLPHSYFCLCLSSLIYHGVIYISDP >cds.KYUSt_chr2.40593 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252183279:252185776:1 gene:KYUSg_chr2.40593 transcript:KYUSt_chr2.40593 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRTWPPPNPSPAPFSSRLRASSDSHHRRRRRRHSKKSKPEPPPPPPAPPKSAPVFADFADLPQELLHRALSASGATDVSAASRACRAWRDALQPLREAAALHAHGRRLKHGPAVPAPCGVEGRDVARRGALGMFQRAARLGSAAAMVDAGLLCWEEGQREEAMGYYQRAAELGHPVGMCNLGVSYLEADPPEAEEAVRWFYPAASAGNVRAQYNLGLCLQNGKGIKRNQREAAKWYLRAAEGGNVRAMYNVSLCYCLGEGFTQDHVRAKKWLQLAADCGHKKALYECGLKLCAVRDVSLVARGSKFSDCIALLLFGMYLLGFRKEAVDNGSNVDQIRHNPLHYYGISLALQC >cds.KYUSt_chr6.9351 pep primary_assembly:MPB_Lper_Kyuss_1697:6:57608977:57609586:-1 gene:KYUSg_chr6.9351 transcript:KYUSt_chr6.9351 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVARQGRELQRYSPRTGGRIVVGCIPYVVRDDGELEVLVISSQKGHGMMFPKGGWEVDESMDDAARREALEEAGVRGETGGVLGLWHYQSRRYQKTYEGYMFPLRVTDELQQWPEMASRKRTWATVQQVMDGCQHAWMREALQQLVDRHAKLQSAL >cds.KYUSt_contig_686-1.922 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5612863:5613822:1 gene:KYUSg_contig_686-1.922 transcript:KYUSt_contig_686-1.922 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSLGGLIGETSGDALNPNGRPLQSQLTSPTLSLTSSLHCAHSPHSHANAVATMRRLHLAAVLLVFLPLAVSAAGTKEAAKAPAAPPAPPNITAAMVKGGCKAFAALVAASPDARTTFQSAGDGGVTAFCPSDDAVRSFMPRYKNLTADAKASLLLFHAVPVYYSQRSLKSNNGVMNTLATDGSANNFNFTVQNEGEQVTIKTDASDHAARLKSTVYDKDPIAIYALDTVLEPVELFEPAESPAPAPAPAPVADAPKAPKKTRHRHVADAPGPAAADDDTSPADQKKNSKKSASPAAPTLRWLAALPVAVAVAAALA >cds.KYUSt_chr2.45681 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284909575:284911688:-1 gene:KYUSg_chr2.45681 transcript:KYUSt_chr2.45681 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLHASVREFFGRAHVLLQAAALLLHAGAAHRQRPHLRADVLDKPSPTFWLISRTATVASQLIFVRDLAGLRRVSPPSTSGRSVRPPRSPPSPLGVLCIKLLNGSSAHFLSSTSSTCSCPSTTTSSTLQTSLPCASVSPCELSVLILYSRLPGCFQPEPDAVALVSLPGQRCDRASSSIHPGRSLGRLSLQAVCSVACWRASVFCLGSRRRLRCSVVRSKDSPTSNQPSPKLTSESLVLPECSNTAAFCFLSAQRLVAPGLAGPRVLVILLRDRAL >cds.KYUSt_chr4.25891 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162824441:162829210:1 gene:KYUSg_chr4.25891 transcript:KYUSt_chr4.25891 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVTPQDTHRSEATTSTPPRGPQVDCQGGSPHSFSRVDDNPNLRGDNTCNDVRKNKDNIKPVFEIVALVVAAVLVLFVAVFVLIVLRRSKKRADVAHSSNPLENRRFSYKELKCITNNFRTVVGKGGFGLVYLGSLENGTHVAVKMRSETSSQGNAEFLAEAQHLARVHHKNLVSLIGYCKDNKHLSLVYEYMDGGNLQERLAGQEPLNWQQRLKIALDSAYGLEYLHKSCSPPLIHRDVKTGNILLTANLEAKLSDFGLTRAFSSETMTHTTTQPAGTLGYLDPEYYATYHLSEKSDVYSFGVVLLVLITGQPAIINISDTERTNIPLWARRMLSEGDIDSVTDPTIREDCDINSVWKVVEVALQCTEREVRDRPTMGEVVEGIGESLQLETLSRSMRCSSIRTGSSAFADSEPGGTLEAAELVGETSAR >cds.KYUSt_chr2.39739 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246655429:246657484:-1 gene:KYUSg_chr2.39739 transcript:KYUSt_chr2.39739 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAYDELERRSRYLSSLVRRTRLADPPQPEPEQEQEPEPKLKVVPKPAAEPSDGDGKGGKAEVKERAVKAKGQGATNGEERKVAVRVRAADMPLPLQRRAIWIAREVVLATPRLESKRLALALKKEFDTTYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKAYILLFRTAVEPLSHP >cds.KYUSt_chr5.37289 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235812682:235814802:1 gene:KYUSg_chr5.37289 transcript:KYUSt_chr5.37289 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVLSRRGSGGGAMDKNSGPTFEASPDVEVVRSFDQMGIRDDLLRGIYAYGLENPSAVQMRAILPIISGRDLIAQAQSGTGKTSMISLAACQVIDTTVREVQALILSPTRELATQTEKFMQAIGQFMSVQVHACVGGNANSIGEDIRKLDHGVHAVSGTPGRVCDMIKRGSLRTRSIKLLVLDEADEMLNKGFKEHIYDIYRHLPSELQVVLVSATLPNDILEITSKFMTDPVRILVKRDELTSEGIKQFFVAVEKEEWKFETLCDLYDTLTITQAVIFCNTKRKVDWLTERMRTNNFTVSAMHGDMPQKERDAIMADFRCGTTRVLITTDMWARGLDVQQVSLVVNYDIPNNRELYIHRIGRSGRFGRKGVVINFVRKEDICSLRDIEQFYSTQIDEMPMNVADLI >cds.KYUSt_chr7.31633 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197070074:197071669:1 gene:KYUSg_chr7.31633 transcript:KYUSt_chr7.31633 gene_biotype:protein_coding transcript_biotype:protein_coding MGMANSSALKFTVRRQPPVLVVPSGPTPRELKPLSDIDDQEGLRFYIPAIHFFRQHEGRGEDPVPVLRDAVAAALVHYYPLAGRLRELEGRKLAVDCTGEGVLFVEADADVRLDQFGAALQPPFPCLDELLFDVPGSSDLLDAPLLHFQVTRLACRGFIMAVKIQHAVADGPGLVQFLAAVAELARGAVAPTVRPVWGRELLMAPPDDDLARAPRAFAHREYDDVPDTKGTIVPLDSMTHSSFFFGPREVAAARSHLPPALRRGATTFEVLTGCLWRCRTVALAPAADEEMRMICLISVRGGRKPQQSGPVIPVGYYGNAFAFPVAVSTAGDLCANPVSYAVELVMKAKREVDVEYVRSVARLMVRRGRPHFTVVRAYLMSDLTRSGIRDLDYGWGKPVYAGPAKGGVGAIPGVASFLIAVKNAMGEEGIAVPVCLPGHAMDKFTEEMSKLMRPAFRTSRPSSPDVFPKIKSAI >cds.KYUSt_chr3.14180 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85954263:85958087:-1 gene:KYUSg_chr3.14180 transcript:KYUSt_chr3.14180 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYYCWTKHGERGVMMEDNEEEEEDDDGYPNFPEYDDTAEGNEDNEVEDQEAPDEPADDDLGRAIADARRECETEKKRLAFDKMIEDHNKFLYPTCEDGHKKLGSTLELLQWKAENGVTDSGFGKLLTIIKRKLPRGNELPASTYEEKKIVCPLGLDVQKIHACINDCILYRSEYENFDACPVCTALRYKIRRDDPGDVEGERPRKRVPAKIMWSTLSSVFSLAASSSAFASACTPSVQQSATVSSGESSWILLNTYAKIGENTNETTAHCQVTYDMKMHASLVRERPPLPSNLYVHCSGAALAERPSTLAMVDDLILFHVYVGSAYRVRSALPSYFFMYRADPNRPSLELLPHPPPQDRVRMYHAFGIFPRGGEEDHYTIASMIPQDRKDGIFTLRLYDSETKTWSSKKLSVESPQDDFPVPIPVNYRFLLSHNMSTVLTLGGTIGWVDLWRGVLFCDMLSGHHTLRGVPLPLPLKLTKPDGDPSDEELTSGRTSRGIAFVGGHLRLVEVRFKAAPVVFVYDQETGSPRWRIENWTITTWTNKEMSNSYDDWRMDGTFQASDIFLGDHLESELPPIDLQNLYVSDPVIGMNGNDMHVVYLTARENWRHPKSWVLAIDTRDRKVQSVVATPGPLSEEMRYYDANYCTCTIISK >cds.KYUSt_chr7.13565 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83628499:83629482:1 gene:KYUSg_chr7.13565 transcript:KYUSt_chr7.13565 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKVALRYIADSNSRRDSFKTRSQGLRKKAGEVATLCKAKSCVIIYPEGESVPHVYPSGCQTVAMLNRFRSLKDDHPLMKTMDQECFLNKRLEKLRNLALKHERDREESETKLLLYKVMLEGHAGLSVDELSNVALKVRDALKSISDRITKITGQPPVYQPSHVQVSTSYITNDMETIGVHAPNLHASGSLDTIGGHEPNLSFNGNMYTMGAQVRTPHLIDGMYTIGALAPAPQVASNTNTIGAQAPSPHFAGGIHTVGAQAQPQQHETWLELMRTGGGDLDAPLCSAFDSGATTSGTAGLNNDEMMQSFSPSADFVSWGSFLPM >cds.KYUSt_chr6.30728 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194833661:194834911:-1 gene:KYUSg_chr6.30728 transcript:KYUSt_chr6.30728 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRMQSLTPPRRVSVDGPIIVGAGPSGLAVAASLGEEGMPFLMVEREDCIASLWQKRTYDRVKLHLPKHFCELPRMPFPDHYPEYPTRRQFIDYLVDYATKFDIKPEFNTTVLSAHYDNTSGLWHAQATSTGGDQMEYIGRWLVVATGENAVNVVPDIPGLGGFCGEVTHVSHYKSGEPYHGKRVLVVGCGNSGMEVSLDLCDNGAHPFMVVRDAMHVIPREVLGKSTFELAMLLLAWLPLWLVDKIMIFLAWLVLGDLDKLGIHRPAVGPLTLKHTLGRAPVLDTGALARIRCGQITVVPGITRFTNSDAVLSDGTTVHVDAVILATGYRSNVPQWLRATDFFGKDGYPKTEFPNGWKGQFGLYSVGFARRGLSGAAADAVHIAKDLCQVWKEESRPTKKAGRPCYRRSISVVL >cds.KYUSt_chr3.31931 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200616574:200617089:1 gene:KYUSg_chr3.31931 transcript:KYUSt_chr3.31931 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVPARHGRRVVVIDRRAVGEHACARPSRQHPPRRPSRALPPRRHVRHAGAPATAVGGALLPRSASLCLREFLLVLQRFKAVVVDCATRSRIRLLLQFDEVAARVRELQHDIATLLDIIHIVEIGLNDDVDDLLGLTGRWTPSRRILTKSTTPPSLPRRRRISAAQSPST >cds.KYUSt_chr7.39120 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243434929:243436861:1 gene:KYUSg_chr7.39120 transcript:KYUSt_chr7.39120 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPYAPAELDLPGYVPLRLSQLEILAGYLGTSLLVLLAVWLLSGRCRRLSKADRLLMCWWAFTGLTHILIEGPFVFTPDFFSKTNPNYFDEVWKEYSKGDSRYVARDTATVTVEGITAVLEGPASLLAVYAIGSGKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWVSPFYFWAYFIGANSSWVVIPTLIAARSWKRICAAIHQSEKVKTK >cds.KYUSt_chr2.6116 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38102974:38104164:-1 gene:KYUSg_chr2.6116 transcript:KYUSt_chr2.6116 gene_biotype:protein_coding transcript_biotype:protein_coding MATATQQALPDEILEEIFLRLPTAADLARASAACSSFRSVITDHSFLRRFRRLHPPPLLGIISNASFLPAQPPHPSAAAARTLANADFSCSFLPSRERWQRHDFRDGRALMAGVPEGSTVAPNDYDPRLLDMDFAVCDPLHRRYVLLPPIPGNLTALVDQPDILDVERFLSPSGEDDEEDASFVVMCLVECRAKLVLFVFSSGVGQWQAITYDSWGALIAGSVYCQLCYRYCVRGCFCWPMPEMNKMLMLDTRSMEFSSIELPPGPRTRRIVFVEAEEGRLGMFTLPDDESEFLHVLQYAVLRNDGEGPNQWQSEATISLPLGSQYKAMGVAGGHLLLQVIPEGFYSIPKPERPDLDCFTVNLHTLELEWFCGIRHTILLPDLYAGFPSCFSVPTI >cds.KYUSt_chr5.27769 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175803608:175805068:1 gene:KYUSg_chr5.27769 transcript:KYUSt_chr5.27769 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALMTEIIKKITRTSDLNSLSLVSKQLYKTEGNQRGAIHVGSGLCTATEALTSLCARFPNLRKVEIDYSGWIPGHGNQLDSKGLFEFSSHCSSLIDLTLSFCSYIDDSGLGSLAYCKTLVSLRLISAPQITSIGLFSVAVGCPCLSALHIIDCEKIDSVEWLEYLGRNGSLEELVVRNCEGINHHDLLKFGSGWMKLQKFEFEKKRGRFDLLPCDEVFDSTYDAHITDIYDFCCESLKDLRLARIKTWPEIGLRVLLGRCKALENLSLEYVLALNDNDIVALSQTCSNLKSISLGLNLQRYSSDISYCETRTSFTDNSLYALALNCRMLQIVDLKFTGCAADWPSEIGFTQKGFLVLIQSCPIRVLVLNTANFLDDEGMKALSSSPHLETLELIFCPAVTDAGMRFIAHTPCLINLTLRLCHEVTDVGMAELGRARKLESLVIEYCGEVSLKGVQGVAKSVHYSEKCSAALSKKIGLGGGC >cds.KYUSt_chr5.27877 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176420785:176421855:1 gene:KYUSg_chr5.27877 transcript:KYUSt_chr5.27877 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSKQPTPPPRNLQITTTTAAAANNSDSSSSALTHHPSSSSIRPSRVAGSGGQNQACAACKYQRRKCNPDCSLARYFPADQQSRFLQAHRLFGVGNIQKTLRETRPDLRNDAMRALIFQAEARAYDPVNGCFGLIFTYERQLLQLQAELKAVRHHLDACRHHQADDALVDDDGSGMMMLAGAGPHASDVPVDALYAAGQEIDYGQVGGDNYLLGDADQATQPHQVYDYFYYDGPAGDEASSHAWSNADNNNVQQHYGNGIVKAGSPTASLEDHIETQFVDLFDVKPEIAVATAVVMEHDAGSDDDSFEHQLEGKVVATVVKNEQLDHQAAAQMAVESSAVASRCQLELGFSSF >cds.KYUSt_chr4.12670 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77939186:77946931:-1 gene:KYUSg_chr4.12670 transcript:KYUSt_chr4.12670 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPAQAAASERDRSPPPPPPPPPSSSASPLAVVCSFWKDFDLEKERSGLDEQGLKIAENQETSQKNRRRLAENTRDFKKASPDDKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMADQDQKLSELETENRKMKLELEEYRAESAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSLAEDSQKTLEALKDRERSLQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRTAKEAEVSLLMDEVERAQSRLVSLEREKGDLRSQLQTTNEDTSNNSDYMDPNDILESSLNAKEKIISELNAELRNIESTLSTEKETHVNELKKLTALLSEKETAITELKKELQERPTARLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKSSLLEEAEKKIAELTSKVEEQQKLILKLEDDILKGCSSTDRRSSLLNDWDLQEIGSNEVSEGTDPRHASQDQDQSSMLKVICNQRDRFRTRLRETEEELRRLKEKYEMLAVELEKTKADNVQLYGKIRYVQDYSHEKIVSRGPKKYAEDIESGSSDVETKYKKMYEDDINPFAAFSRKEKDQRYKELGIRDKITLSSGRFLLGNKFARTFIFFYTIGLHLLVFTLLYRMSALSYLSITPAHDEIILDAGNQTLSHTL >cds.KYUSt_chr4.35138 pep primary_assembly:MPB_Lper_Kyuss_1697:4:215857559:215865267:-1 gene:KYUSg_chr4.35138 transcript:KYUSt_chr4.35138 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRSSVCTASVLLLSLSFCFLPSAGAASSAALLEYECNNGTSYAANSTYQSNVRSLLATLAANASRSSFPPVGFATAVVGASPNTVWGLGLCRGDTNGTDCASCLALAPEIAFGQGDYCMGVKEVSIFYDRCLVHYSFRDFLSSPDNRLVEARDGSPDNVTRDAGRFDALVVSLVGALSDWAAFNTTTRYAVGTMVSDQGFTTASKDVVRRITGLVQCTPDQAPGPCRECLQALVDEMPAAFNGSVGGHILRVWCNLRFEVFEFYDDSPMLQLVAPPPAPPPSSSPPESRGQTGGNGTRWRQTAATVSAIVLGVAVILLSIFILILWRNTRTQLSYQEDDDPASLLFDLPTLRHATGNFAEENMLGHGGFGAVYKGVLPHGQQIAVKRLDKSSGQGLKELRNELMSVAKLRHNNLTMLLGVCMEGQEKLLVYEYLPNKSLDTFLFAPEIEKRSLLPWDTRYRIIYGTARGLLYLHEDSQIKIIHRDLKAGNILLDNDMNPKISDFGLARLFNSDRTSYITSQVVGTLGYMAPEYVVLGHVSVKLDVYSFGVLILEIITGKKNTEMFESAVEESTTVLSFKETPLEIMDPSLDCKNAETEVLKCIHLALLCVQENPADRPTMLDVLVMLHGHASSFPEPSKPAFTFAYGESSSDRDLDTKRADAVPSVNGMSISEFQPR >cds.KYUSt_chr5.14660 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94993367:94993900:1 gene:KYUSg_chr5.14660 transcript:KYUSt_chr5.14660 gene_biotype:protein_coding transcript_biotype:protein_coding MESARIFGGRLIDCPIQAYLDEDGDLAYRVLLANGTRIGYILDPMPLEEAQATIRELLLTDIRNGRYAFYDMQRQREPWELLPDAHEDGAWEDTSLMHQHGFSAVPASDKAVADLRETAVGEGQCSVCLEALDANSAAKLRMMPCSHSFHEDCIFAWLRVSHACPLCRFALPTREQK >cds.KYUSt_chr7.12809 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79006737:79007009:-1 gene:KYUSg_chr7.12809 transcript:KYUSt_chr7.12809 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPSSATSARPDFGDALRTLPGRPPLDSKDVGSTASTGVAGSQRGRHGCQYGASVGSGRCCCPRRPLLLAEPAVAAIALAAAIGGAHH >cds.KYUSt_chr6.29264 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185377289:185379842:1 gene:KYUSg_chr6.29264 transcript:KYUSt_chr6.29264 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRALVLYAAGHAALLPRAAAAAGKSHLDAFAARASCGFLSLRTPATTTVNGDKSSDTILELAQLLDVYDGLYPAPVDPQDLLLPKLSERFMGMRAAMVTNCPAVNSFAASLGFHVFGAEDFAAQSGSDSGGSKDTRVISRALGLLGFSDGGVQDSCEFDLVFVHVATESTAGKLGKLGMRTDLNRLDKLVAAVMEAAPAGSPVAARVHVSVVLSYGSATENKEESCLIVNMSSETDSDLRLLRPRQSYTMKAGNTLDDVRNHHPMLLAQWQEGVTRSDLAKEFSFEEFIKHAGNFAMLAERFLHEVAFKLWKAPKYGA >cds.KYUSt_chr6.13478 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84424071:84427613:-1 gene:KYUSg_chr6.13478 transcript:KYUSt_chr6.13478 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAQRESSLRSYGSTRSLSRSGEQDLLSDPGERREVVVKIDGNGNGPAPFSISGPVPGADVGAPAAAGNATSNAATSTKPSRKLSSAGSSPTKGWDDGTYDFWKNEGGGKGGAPARRVEDFSFKNRPAKPPPSSPPLSPSLAPTQPNAAAAAAAEGGSEDPPTRLIGNFLRKQMASGAELSLDLDLEMEEDIGRSSSQPSMSRDREAARVSFKDHKSSSSSSSSDSDDAGGRRSRRRGADDSEVIRGPNTSTPAGAGPLLRAKTRSRLMDPPPQSPVSARAVDEEPRKSSALRPPKSGQFPSGRMTGRSGQFPSGRKTGNKSGAVGKSGPMEEEEDDPFIDDDIPDDFKRGKLDTLTILQWISLVLIIGALVCSLTIKRLATKKLWELHLWKWELLVFVLICGRLVSGWVIRIAVFCVERNFVLRKRVLYFVYGVRGAVQNALWLGLVLASWHFLFDENVPTKTLVLPYVTKILFCLLVATLIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVVETLSGPQLVDEDYVLAEVRELQRAGATIPKELRAALPAKNLSGQRSIRISGLISKGEGSSQLSKEKKQRELDEGITIDKLHRLNQKNVSAWNMKRLMKIVRFGTLTTMDEQIQQATGDGDESGTQIRSEYEAQVAAKKIFQNVAKPGSKYIYLADLMRFMRQEEAIKAMHLFEGAQEHCRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLSQMGNVVVGLIVFALWLLILGIATTHFFVFISSQLLVAVFVFGNTMKTIFEAIIFLFVMHPFDVGDRCEIEEVQVVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIFNFYRSPDMGEGVDFSIHVATPVEKLALMKERILRYIDSKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNFQDMGMRFVRRELVLQEMIRVLKDLDIEYRMLPLDVNVRNAPPLQSTRMPTTWNYS >cds.KYUSt_chr7.1320 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7168020:7169198:-1 gene:KYUSg_chr7.1320 transcript:KYUSt_chr7.1320 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHMAGYPAPPDFRAPGGWRLSAGGVPIPPPPMGRAALEAEIDAVLVTLSDEQRAEPRFFPGKYEAWTEFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLANVLAHIEGGNSPVLGMPPPEAATVSRRHGSSWTPRRMASSSSSSGSRSASRSGGSTPAFVKKEPASPSTPAVVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKSSKKEAAEAAANQLAEEEAKRAEDAAVAEAIARSLTDLVPADNSLPIDAALEWSRRDWERQEAERQRRPMDPAAARQLAARAAAPAAGRNAAPREVIKLEESSDDDIYRPTPPRAGDAGQGSSRWYEAAPPQDAASSSDDDGTDYTTFYRHFGM >cds.KYUSt_chr4.7377 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43868903:43869241:1 gene:KYUSg_chr4.7377 transcript:KYUSt_chr4.7377 gene_biotype:protein_coding transcript_biotype:protein_coding MVINDGTWTYKIPTVDTIPKQLNVELINSARHHKRVLSSKASGEPPLLLLAASVHCAMREAIRAARTEFSAESPLTFQMDVPATMAHVKELCGLDVVERHLQSLSAAATHKA >cds.KYUSt_chr6.30079 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190642615:190644020:1 gene:KYUSg_chr6.30079 transcript:KYUSt_chr6.30079 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSGSAPAGPAAPAAAGDVGDEAEELAPAPPPPVPPPLDTSTDDEEELAPPVLPAASTLPTEAARSAAPGASPAPGAPAAGDLVLSPDALAAPSQLPAPVGNGVLLAPAAPAPALLLPPPPDKLPVNFKPTIVSVDSMATVEQAWEEVGGRRRPRLEKVAAPPPRKEARASSRLQRRGLLASAFGACHRTTSLRIAVAMSGAWDVATPAIASGIAWHGILIAPAHLLSLLEELLKAAFTERATMLRSALQELASVQLAELNKPVRVVSAADTDVPPAVLGSLQPLLAAQAEALSAELQAMFAARLEVLFKPLQDLVAVVESWTTQVSSLWEPTEAIGGSQALANDESAPPVVVDGEDSCALDVAGCSAELSEMVQTDVDPPALDKVMLEMVLPCVQEQARAQPRQWHGAEGGGFAVFIYKVG >cds.KYUSt_chr2.11495 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73089970:73091939:-1 gene:KYUSg_chr2.11495 transcript:KYUSt_chr2.11495 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTSSRVHLLLLWLCAAASTAWAHGGGGDDDGDADADGAGGGEKPNLRARGLVAAKLWCLAVVFVGTLAGGVSPYFMRWNEAFLALGTQFAGGVFLGTAMMHFLSDANETFQDLVPDKAYPFAFMLACAGYVLTMLAECAISFVVARGRTAPAAAPTPTAGALEEGKLMGTNGNSSQPQTADAHGDHSAASMLRNASTLGDSILLIAALCFHSVFEGIAIGVAETKADAWKALWTISLHKIFAAIAMGIALLRMLPNRPLLSCFAYAFAFAISSPIGVGIGIIIDATTQGKVADWIFAISMGFATGIFIYVSINHLLSKGYKPQRPVAADTPIGRWLAVVLGVGVIAVVMIWDT >cds.KYUSt_chr1.1875 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10792469:10797112:1 gene:KYUSg_chr1.1875 transcript:KYUSt_chr1.1875 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRAAGMQSGGSRVDMNDNSTRSQTKRPRSWGVRWLEKMADPGFHSRADIPDHLREAVDRHISDMFPGEMHNDLRSKLKEMWKTIFISSMIRTGRGLADQMRDMVSLLSIELKKQPCACKRKEPETGNVVGGSSVAQKGDGNADTDSDYCLEDCCLERSGPCTCKGKEPETENVVGGSSVAQKGDGNADTDSDYCLEDCCLERSGPCTCKGKEPETENVVGGSSVAQEYDSYADGDSDDCLELKELSGEEEVPVTALHRMLFADVLEAPRNYIEGSEKILEVGGKSVSWHSFYLAMKGGGFMDPSVMDVFLKCVDDGLDFLFIPSSLAHILDVDEADPIHLAASFGEHDLKTSARHDCGIYAMRFIWIFKANFYPDVFKVG >cds.KYUSt_chr2.4106 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24831763:24832644:-1 gene:KYUSg_chr2.4106 transcript:KYUSt_chr2.4106 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVIEACQTYGFFRVVNHGVPRELLSRSLELSAAFFALPDEEKAKVRPLPAEATPLPAGYHRLPSHSPDKNEYLLTLQPHLGHNLYPTDPPEFRQALEECHAKLAQLGLLIQEVLTEGMGLPPGFLRGYTGGDRSFHFLLALHFFPAPTEAAGSTSGLSKHEDGQALTLLFQDNVGGLEVLKDGQWLPAEPDDGTIIVNIGDVLQVLTNKKLKSATHRVVSRPGRHRHSFAYFVNVDSDKWIQPLPEFTDKLGEPPRYRGFRFGEYQRLRLRNKTHPPATPDEFYNINHYAV >cds.KYUSt_chr5.37743 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238367215:238367748:-1 gene:KYUSg_chr5.37743 transcript:KYUSt_chr5.37743 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSPPRLASDRELSWDPYHDHDRRSLFRPRMPPVRPIAGRKYVLHDPHILPNALVATALVRRNYVVEAADVDRLRAAPNISGRHATRLEAFSAHASKMVATAVGGSNACRGVLHGRHTRRSSARRARVVDGRASADVLVSPRTLPDPSSPRLSRGVNDGGARSVNSPRGPAATAI >cds.KYUSt_chr7.459 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2502797:2510989:1 gene:KYUSg_chr7.459 transcript:KYUSt_chr7.459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase isozyme B (EC 1.11.1.6) (CAT-B) [Source: Projected from Oryza sativa (Os06g0727200)] MSRNRSPKRRQEPLPRVPKVPPKRPYDYTEEENDKIAKEQYMKSKFGKKKPQPEPETPISKEKKLKMLKYLHQPKPKLSSNYDRSIRKSTMVAKERWEKSKVEGKLVPQLGTQKNSCPPLQVYPDILQCLDPTLVALYKDEADAADMSIPEYLSRIEFMNMDDVQTAYQYKYGQPLVRAEELPYLSTQLRRLHKWYLDACEDGQNWIMIAIKDEHYGRNDVINIEFSELFKLFNQDALDKSLLSAYCLMKIRECRLGQIYDIGFVDPYTVNEYSVNRFPKDTEDNLHRPASGANSGHWTTNSGAPVWNNNSALTVGGRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDVSQLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPSPKTNMQENWRIVDFFSHHPESLHMFTFLFDDVGIPLNYRHMDGFGVNTYTLISRDGKAHLVKFHWKPTCGVKCLLDDEAVTVGGTCHTHATKDLTDSIAAGNYPEWKLFIQTIDADHEDRFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQLAFCPAITVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAHHNNHHEGLMNFMHRDEEVNYFPSRFDPTRHAEKYPIPSRVLNGCREKCIIEKENNFKQAGERFRSFDPARQERFIQRWVDALTDVRVTHEIQGIWVSYWSQCDVSLGQKLGSRLKVKPNM >cds.KYUSt_chr3.42466 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268589171:268590445:-1 gene:KYUSg_chr3.42466 transcript:KYUSt_chr3.42466 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPKATAAAAALPEDVVLEILARVPDVADLFRCAAACKRWRVLVADRPFLRRRWPDHARHPSSLLGFFGQDWWREDVPAPLPDFVRAPRSVLGPGRHFLSSFVPGAAGLFDRAVPLASNRGLLLVRYGSPRDDGKDPSVDHLAVCNLLSGTCDLLPPLQCGQFSNYFDTSAYAVLTGADCCPRSGHPPCPPAKKPLFRVLIIGISQDGMRYDLHTFSAGEPSWSAPTKCFNPIEHGIFGPIMQRDAVVRSGTVHWLLWDMVNFHALNVDAITGRVSLHMLPAPRPQDLVRCMYDNPRLSVAADGTTLSSLSLFREDLTVEIWTWRDDGDDGERGQWRRDRVVELRRPKQKQIDGPLCMCMGERSGTMLIRAGGRCIYLADLESGVLEEVTDQFCGIAGWKTAFPVEIDWPAFFMHRLGGKSTV >cds.KYUSt_chr2.5769 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35804262:35805909:-1 gene:KYUSg_chr2.5769 transcript:KYUSt_chr2.5769 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKTHLGDMVCDDDSMPLQRKKVCVIGAGMAGLAAARELRREGHDVTVLEQSGDVGGQWLYNPRLDLADPLGAGEPVKVHSSIYASLRLISARENMGFTDFRFAPKDDDGRDRRRFPGHREVYLYLKDFCDTFGLMEFVRLNTRVLRVAMQQQPTSRCRQWAVRSVDLAKAETEEVFDAVVVANGHYSQPRVPSIQGMEAWSGRQMHSHSYRTPEPFRGEVVVVVGSGESGKDIAMEVRQVAKEVHLVAQSMKEVTPGLAKVLAKHGVSLHVQLLLARLCEDRRAVFGDGSSVVADTVIYCTGYDYSFPFLDTAGAVTVDDNRVGPLFEHVFPPSLAPSLSFIGIPIKVFAPWFFEAQAKWVAQVLSGRRTLPPEEEMLRSVEEWYRSREIAGVPKKYTHDVSRFGITYMDEFGERYCDFPRVEGWNIELLVASYLNMVENLETFRDDYQDTDSIRKGVEAWQLAAKQIHVNQAASVGAQIQSLGLPEQQHMEPSTPRTPQ >cds.KYUSt_chr5.28231 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178716692:178719204:1 gene:KYUSg_chr5.28231 transcript:KYUSt_chr5.28231 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGRTLVLVNLASIMERADEALLPAVYKEVGAALHATPMGLGALTLYRSFVQAACYPLAAYAAVRYNRAHVIAVGAFLWAAATFLVAVSGTFAQVAVARGLNGVGLALVTPAIQSLVADFTDDNNRGSAFGWLQLTGNIGSVIGGLFSIMLASTTIFGIAGWRIAFHIVALISVVVGALVYLFAVDPHFCNAEGGGQLLRKSAWAEMKDLVTEAKAVVKIPSFQIIVAQGVTGSFPWSALTFTPMWLELLGFTHNKTGLLTAVFAIASSIGGLFGGKMGDYLSVRFPNSGRIVLSQISSASAIPLAALLLLGLPDDSTTGVLHGLVMFIMGLSISWNAAATNNPIFAEIVPQRSRASIYALDRSFESVLASFAPPVVGFLAQHAYGYTPVSHGAGVSSVARDRSNAAALGKALYTAIAIPMLLCCFIYSLLYGTYPRDRERARMDTLISSELQLINLERSHGVAEYNARRKHATVIDIEYGEEELDDDDDDDDEQALMHQQVQESGSLR >cds.KYUSt_chr3.9787 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57550879:57551115:-1 gene:KYUSg_chr3.9787 transcript:KYUSt_chr3.9787 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNMVTSEMWLLVLNKVPSLAPPFGLWVFGVLVMVLGLYFLDVACRSDARVDDDDDGRRPEPEEVLHDHQLSPEHRV >cds.KYUSt_chr5.6312 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39050172:39051874:1 gene:KYUSg_chr5.6312 transcript:KYUSt_chr5.6312 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFREHESYYGPTSNPGITLATPEVQQEGGHDDTVNPVLVPPSGGSLILNNAQNQGEDQDDDGDSCQGDTEDETKTLSLPLQGAESPMHEDPGIDTNPPNSVAPTSTTGHSDNQLATHTPEDVPQHNLPIALRKPTRARNTPGHLKDFVGYKHDIANFVSYKYCSPSFRSFIASLDSVSIPSCWEIAIEDPKWKDAMLDEMIALEKNKTWEVVDLPPGDDLQEIKNLKQYLAKEFEVKDLGHLRFIGRQEINIWVLHICWRESCLMA >cds.KYUSt_chr1.21701 pep primary_assembly:MPB_Lper_Kyuss_1697:1:128098432:128100461:-1 gene:KYUSg_chr1.21701 transcript:KYUSt_chr1.21701 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLAVLALHLAAAGVYAASSVPGSGAFDPSRIVQLSWRPRAFLLKGFLSDAECDHMIELAKDKLEKSMVADNESGKSVQSEVRTSSGMFLEKKQKANEGELAEDPGRSSRSVEDQTSKSSSHRRRSRLAEAASGGRSRPAPSATSGGASEQQ >cds.KYUSt_chr5.684 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4806856:4808025:-1 gene:KYUSg_chr5.684 transcript:KYUSt_chr5.684 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQRHADQNHHLCRCSCGKVPEPASRRRSKHLYIVLDDWEDGYSIHKLDAGNFFSIDSDDEAEDNGIGVGLMRLPEPPAARLAHSGQAKDAFIACLGSKILVANGEAYNEQACTLAYDTETAAMTTGPSLPGNLYGLTVVAGEKQQQKLYALSGQSDETGDIRVSFEVLSWVRCGGLSSNKAHRWFWDIDAPPPAPFSGTEESMAAYAVHPDETTIFFSTKMKDPNDRFRGCTYSFHAVRGEWRSHGRWVLPFLGQGYFDRELDAWVGLRHGHRGYSVCACQVASRPLRSGRAEPEWTTVKGKLFQEVSPERHLGATLTYMGDSKFCLVERVIHEDVELEDGCALHVSFFGLKYDRKGELQVTDRRTTKSYAVPRHLQTFLHAAFWM >cds.KYUSt_chr2.55274 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344595766:344598126:-1 gene:KYUSg_chr2.55274 transcript:KYUSt_chr2.55274 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAAAELDAVSLVRRVARALNRRISDLVALLFRHKSAGSFGAVAGFAIAVVFAWKFLRSSSPARPRRPAAPKRPLPGSAAPATDATEPVGDSGKLSTRQIVARRLSGCRRVTCQLIGVVFEEKSPEELQKHATVRPSVVELLLEISKYCDFYLMETVLDDKSEENALAALESAGLFKTGGLNKEKCCGDSYYIYG >cds.KYUSt_chr4.52595 pep primary_assembly:MPB_Lper_Kyuss_1697:4:326296860:326298405:-1 gene:KYUSg_chr4.52595 transcript:KYUSt_chr4.52595 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCASGAGARARASAGLTPTGKLARYSNVGEGSSSGQSSRAPRLLVTESSDEDDLVPAQSPTFSAGDYVHDSDEEEAVLTQHEGRRRGGGSGALPPGGGGRRPPAELASDRREPSLIASPRSKSASLSNRSHRTGNLQRQQATMWVTSMPQVWDEEARSGKGAAAGMVTPAPATALLGSLAGWLSRVADPPAPTVCGAPGGPPVTAPRVTLRDGRHLAYCESGVPKEEARFKVVFSHGFTGSREDSVRATQVLHLRPALNFSSRQSGRPVLLRAIAFPSAAT >cds.KYUSt_chr4.36299 pep primary_assembly:MPB_Lper_Kyuss_1697:4:223102125:223102736:1 gene:KYUSg_chr4.36299 transcript:KYUSt_chr4.36299 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFRLPLPHSPLAASTADTYAHGKPGHGCAGSLANESASLMHPSRVSSGYHNHCKGRPRAESLPAILAEECQAVPAASPFGGRAVTATTTRGRPGQGLHRASSLWKVDPRTVPTLTSTTSRESPRKPWMLLALHHRQWSRAGGEIYLVRYPASAASGVLDSGIFGDAYAAGTNIVRIKSVGRKNRGRSGLPTQFGMFGFGLE >cds.KYUSt_contig_554.57 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:536595:538449:-1 gene:KYUSg_contig_554.57 transcript:KYUSt_contig_554.57 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPVLSRSIPFAYAPWSPSLDPRISRRPPTGCGRRWARRAEQVRVPEEGGGGALGSRLSSPTSPSSSDSRPPRSSLTSTVVLDLLNQHEQAEWPNRRQSGAPPFDFVACSASLLSPRTPDSGTTASSSKKTIREAAVESLMVVSDEAEEEALHSAADTRDGGERGGALQLRRLQAEGVGNDSREETNTSASPSKSFLAEVLVCLGLEVLN >cds.KYUSt_chr6.27394 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173952770:173967222:-1 gene:KYUSg_chr6.27394 transcript:KYUSt_chr6.27394 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAGARRWRRAQGMYVFPERGAEGRNPHGEGSPEDGGDRVDLEGGGRRFLTARRKVSQHIVKKSHFEPHCRLEPHCHFYTNNNKNNEQKIIKHMQCGADLPAEQHAGAAPACTGRRSSRSSRGDHPKQKHDRAYQDHDRVYRYDLYNDLGDPDNKSPRPTLSGPDSPYPCPRRGRTGRKPMKSDPTQSAPASSPLAGELFDTAVSREFDDFEDMYKLYEGGIKIPDVPVMDLMFKVFPPLKSICPSGGSYLLKMPMPEVIKNDKLAWRTDEEFAREMLAGLNPHIITRLQEFPPRSKLDGYGDQTSTIKVEHIQPYLGKLTVDKAITDGRLFILDHHDNFIPQLHKINSLENTFVYATRTLLILQDDDTLKPIAIELSRPRLDEIGTKVVGADKQGLHAPSSRRLRVGQQGFLKADAARRGCSDALPAMEMNNNVVMDSMSDSSDWSTSDDSDIDELLQDDDVEMMSLLVEVQSFEDRAKLMDQRRGSTMGRTTIYRNRALGHEHLMEDYFAEVPTYPPRLFREGLDPPNGSATGAVACRHAPLASCVLTHLLSSHPAASPLHRLHSAAASPSSAFAVEQYLVDTCGLTRAQALKASTKLSHLKSPTNPDAVLAYLAGLGLSSADIAALVDKDPEFLCAGVERNLAPTVVQLTGLGLSHSQIACLVSLAPAKIRRRSVVSRTHYYLSFFGSSQSFLQAIKRCPRLLSADLENPVKPNVAFLQGCGLGACDISNTSLGVLRGSGMFRLLLRGGGLLSHGNFTARMENLKNTFRWSDAELITAVSKNPMVLKRSKEALESSSKLLISEIGLEPAYIARRPAMLNYSLEGRIRPRYHVLKFLKGNGLLDRDRDYYSAFKVTEKVFVDKYICPHNEVAPHLVEDYAAACRGQVPTNFRFA >cds.KYUSt_chr2.35572 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219707515:219709654:-1 gene:KYUSg_chr2.35572 transcript:KYUSt_chr2.35572 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLERDYTAANVTMVDNVFNIALRYNDTSGAVKVDGKKYKLKQLHWHSPSEHTINGQRFAVELHMVHYADDGNVTVVSILYRYGKPDPFLFQINDTLAELTAEGCKAEKGDPLPVGVVNMKELRQGAHSNRELAMHDSAQSAGKRCQK >cds.KYUSt_chr5.30175 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191373415:191384875:1 gene:KYUSg_chr5.30175 transcript:KYUSt_chr5.30175 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKQEHQFLAELGLAPRNPGSFACGAWGGSGPVVTTTNPTNNEVIAEVVEASVDDYEKGMSACFDAAKAWMAVPAPKRGEIVRQIGDALRAKLHCLGRLLSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVFEKNNLPTAIFTAFCGGAEIGQAIALDTRVPLVSFTGSTKVGQMVQQQVSARFGKCLLELSGNNAIIVMDDADIPLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYQTVLDQLVEVYKQVSIGDPLEKGTLLGPLHTTASKESFLKGIQTIRSQGGKIILGGSGIESEGNFVQPTIVEISSDAPVVKEELFGPVLYVMKFQTLKEAIEINNSVPQGLSSSIFTRKPDAIFKWIGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRATCPQSNTQKRVKLQQLTHMSRLPIILLLLVLPLVASIAQPIPSKTSAGTGTSSTTSILLSFVAALPPAAQRVLRPTWMGHHPTGGNSSSSFATSRHHHRRHCAFLGVTCSASGAVTAVNLSGVGLFGALAASAPRLCTLPSLAALDLSRNNLTGAVPVALAACSTITTLVLSFNLLTGSIPAELLSSRRLRMIDLNTNALTGDIPAAPSGSSPLQYLDLSANSLSGAIPPELAALPELTYLDLSSNNLSGPVPEFAAPCGLLYLSLYSNQLAGELPRSLAHCSNLTVLYLPNNNISGKVPDFFASMPNLQKLYLGNNAFAGELPASIGELLNLEELVVSSNWFTGSVPEAIGQCQSLTMLYLNGNCFTGSVPQSVGNLSLLQKFSVADNSLTGSIPLEIGNCRGLVELELQNNSLSGTIPLEIAELSQLQKLYLFNNMLHGPVPPELWQLSDMVELYLNNNSLSGEIHSDITRMRNLREITLYSNNFTGELPQALGLNTTPGILRVDLTGNNFHGPIPPGLCTGGQLAILDLGYNRFDGGFPSDIAKCQSLYRINLNSNKISGSLPADLGTNKGLSYINMRDNLLDGRIPSVVGLWSNLTMVDLSCNNFSGPIPHELGALRNLVTLRMSSNMLTGFIPHKLGNCKQLVSLDLGSNLLNGSLPAEITTLGSLQNLLLSGNKLTGAIPDSFTATQALLELQLGDNLLEGAIPHSLGNLQYISKTLNISNNRLSGQIPSSLGNLQDLEVLDLSKNSLSGPIPQQLSNMISLLVVNVSLNDLSGQLPASWVKLAAQSPQGFLGNPQLCVQADNAPCSSKNLSVKNRTRNTRIILALLLSALAIIVAGLFAVHYITKRSRRLSAKRVSLRSLDSTEELPEDFSYEDIIRATDNWSEKYVIGRGRHGTVYRTESKLGKQWAVKTVDLSQSKFPVEMKILNTVKHRNIVRMAGYYIRGNAGLILYEFMPEGTLFELLHQRKPQVALDWSVRHQIALGLAQGLSYLHQDCVPMIVHRDVKSSNILMDADLVPKITDFGMGKIVGDEDADATVSVIVGTLGYIAPEHGYSTRLTEKSDVYSYGVVLLELLCRKMPVDSAFGEGVDIVTWMRSNLKQADHPTIMSCLDEEMLYWPEDEQAKALDLLDLAISCTQTACQLRPSMREVVNILVRMDI >cds.KYUSt_chr2.16695 pep primary_assembly:MPB_Lper_Kyuss_1697:2:104926276:104935669:1 gene:KYUSg_chr2.16695 transcript:KYUSt_chr2.16695 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAEQVQADVAVLLADSSSSVTGSPLCGGAADWSPGGEPPGFRSMGSSEMASQQQGDSVKVAVNVRPLITQELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVYGSTGSPSSLIFEQCVHPLIDSLFAGYNTTVLAYGQTGSGKTYTMGTNYSGEANCGGIIPQVMETIFKKAEAMKGDTEFLIRVSFIEIFKEEVFDLLDDAGSVTKAAAPARVPIQIRETANGSITLAGVTEPEVKSKEEMASHLARGSLSRATGSTNMNSQSSRSHAIFTISIEQKRTSSSTSEKPTNNDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTVMIACISPADSNAEETINTLKYANRTRNIQNKAVINRDPVTAEMQKLRSQLEQLQSELLFSRSGSAALEELQLLQQKVSLLELKNSELYCELKERKMSCEQLAQRALAAQLEKDKLMLKLESARNGKSWDDIENDGSEQPDVDLMKTYISKIQQLESEVTRQKFSTACRSGLHDRLALDKGILLDDLGSGCEEGTPEVSIEEEEKEREHSSLQEQLDQELQDLDKRLQQKEAEMKQFAKSDTSVLKQHYEAKLNEMEQEKKALQKEIESLRHALTNISSSTDECSHKMKENYLQKLTMLESQVSQLKKKQEAQQQLLRQKQRSDDAAMRLQGEIQRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQKQKMVLQRKTEEAAMAAKRLKDLLEAKKSTRDTYGTSGSGIQALMRSIDDELEVTVRAYELRSHYERQIQERATISKEIARLKDCPQAMSPSARSSRISALENMLASSSSAMVSMASQLSEAEERERVSHGRGRWNHIRSLPDAKSTMNYLFQLASTSRCQLHDKEVMSRDKDLVIGELKEKVVALNGRTRQLETQVNDLHNQNMQLFTAMNNAKKSGRASRCDTIIDPEDGQTYALRKNARAGKNSSYWSDDMEISDAEESEELEDMSDDGSDTDWVQSSRKVNTRRRTSNPSNNSSLTNVKLEMPSEEMPTIQKEHASQCCSCSSKSSCKLTRYCECRAAGSQCGPSCGCKSSKCSNRVHIKEEIDDEPSKKEGSESGNVSSSENDAKMKEDVKQGIMFLENAMAEKEEAQEPKSRKPLADIGNAAMKQNGAKPKQRKNWRKSTIQLVPTGPPPPPSAPDNCEAAPQNRTDIPLRLSRAMSLLPAEGNPLTDRNATKPDESASTNKENTSVTARPPARSRKNAVEKENHHLG >cds.KYUSt_chr7.10877 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66848070:66851041:1 gene:KYUSg_chr7.10877 transcript:KYUSt_chr7.10877 gene_biotype:protein_coding transcript_biotype:protein_coding METGTAAAAESTRRILLTLAASFFLCSLLQGAPRALASGTQQSSSSGYIVYLGGRSHGLGVSTEAVTESHYDILGSVLGDREMARNAIFYSYTKNINGFAANLDPGVAAAIAERPDVVSVFPNRGLTMQTTRSWEFLGLERAGEVPKWSAWEVARYGEDVIIGNLDSGVWPESLSFNDGEMGAIPDTWKGICQNEHDPQFQCNSKLIGARYFNKGYASAAGAPLGDRQNTPRDDDGHGSHTLATAGGSSVRGANAFGYGNGTARGGSPLARVAAYRVCFTPEVNDTQCFDADILAAFEAAIADGVHIITASLGGDPRDFLEDAVAIGSLHAVKAGITVVCSAGNNGPDFGTVNNLAPWVVTVAASTTDRGFTAYLVFNKTRVGGQSLSETSLRGKMFYPMIVATDAVAPNSTVDDARLCKLETLDAAKVRGKIVVCVKGANRRIEKGEVVRRAGGVGMVLVNDEDSGSTVTADAHILPALHISYTDGLALLAYIKSTPTPSGFITKARTVVGMTPAPIMADFSSQGPNILNRQILKPDVTAPGVSIIAAWSGQAAPSDRPWDPRRVAFNAISGTSMSTPHVAGIAGLVRTVHPDWSPAAIKSAIMTTATDLDMEKRPILDPFLEKATPFAYGSGHVFPARALDPGLVYDASTADYLGFLCTLGYNATAMELFNGVPYQCPASPVAVQDLNYPTFTIPALAEPRTVRRRVTNVGPAQQTTYTAAVVEPVGVQVTVTPPTLAFGAVGEEKEFHVTFAEKVPVVPRPEGAGGYAFGALVWSDGTGNHQVRSPLLVQRPRIV >cds.KYUSt_contig_786.331 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1791389:1791970:-1 gene:KYUSg_contig_786.331 transcript:KYUSt_contig_786.331 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLCRHRWRGGCNGGCELGDAARWRGPGTGLIWAYSGLCWAAALRCARAGWVAAMGAVHLWRCPQRLRRETVMMGSVLAAGVLVSWRWLEGRGMAAWRVLLPPVVKMNGALLVLHPLEGRRMAQGVASSCREKNGAAGVTSFQWERNGDVAGVASFLLERGRGRMAGSMSGLPGDAFGRGGEGSEVWQAG >cds.KYUSt_chr2.50840 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317902256:317906582:1 gene:KYUSg_chr2.50840 transcript:KYUSt_chr2.50840 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPGGSHGQGGVSPSPEAVRRLREMALRDRQEGDELDQPDDQLRSNDQLQEDEMLALEAIYGDKLDIFDEKSVPRSFQIHVHCEIPDGISVSAELLQGVDDYPNNRFFHTFSVEHLAPISLTCLMPPSYPSHHSPYFILGVQWLDSVKISSLCHMLDSIWAQQPGQEVVFEWVQWLQSSTLSHLGFDDGIVIRQPDDSMTDPVDVGLDREILSVDNVVQRLINYNDEQCQEAFLNGLHVCMICFSEYKGIDFIKLPCGHYFCRNCMETYSRMHVKEGSVMKLVCPDNKCGGFVPPNLLKSLLGELGNNNHVFCEACRVHHCAQCRKVVRKSSEHYGPRGCKQHTVNPDIAKTRPKKKDDSQLASL >cds.KYUSt_chr6.12822 pep primary_assembly:MPB_Lper_Kyuss_1697:6:80038778:80041174:1 gene:KYUSg_chr6.12822 transcript:KYUSt_chr6.12822 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSASGVALLQSERNSRPPARSSSPPERRSLPDPRLVPELIPLCLGKLPVAAVEEEIALLFFVAVCPRFTDSVYVGSPLFTDFRGLFPWLWPCFADFVSLGLPLYIDFCGLFTRLCPRFADFVYVGLPLYIDFCGLFTRLCPRFTDFLYIGLPLYIDFRGLFPRLWPRFVDSVCVGMPLYIDFRGLFTWL >cds.KYUSt_chr1.843 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4489889:4490445:-1 gene:KYUSg_chr1.843 transcript:KYUSt_chr1.843 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTVLSLAAKSNRSFFRLPEPSPAVKRLFRCGAYSYYGCPNYVTEERGARCPSCGSQMLADSQHVKSEPVTQEAKGLVLGGMVTYTVTDDLKIFPMSNITSIALLNTATVRDLGTLQERTVQLGYKEGVEILKTSLQSKTVLTDVFLGKKT >cds.KYUSt_chr7.32156 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200298044:200307727:1 gene:KYUSg_chr7.32156 transcript:KYUSt_chr7.32156 gene_biotype:protein_coding transcript_biotype:protein_coding MELAKLLDHVPIPVKENLEEPGTKINVLLQAYISRLKLEGLSLGSDMIYIRQSAGRLVRALFEMVLKRGWAQLAEKALNLSKMIDKQMWSVQIPLRQFPGIPNEILMKLEQKELAWERYYDLSSQEIVLCFELTLTPDFQWDDTVHGYVEPFWVLVEDNDSECILHHEYFLLKKKYLDEDHTLNFTVPICEPLPPQYFIRVVSDKWLGSQEVLPVSFKHLVLPDKHAPPTELLDLQPLPVTALRDARFEGLYSSLKHFNPVQTQVFNALYNSDDSVLVAAPTGSGKTICAEFAILRNHQKAVSCETNMRVIYIAPLEALAKERHRDWERKFGGFAHVVELTGETASDLKLLDKGEIIISTPEKWDALSHRWKQRKPIQQVSLFIVDELHLIGSEKGHVLEIIVSRMRLVANHICNHIRIVALSASLANARDLGEWIGAPSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMSKPTYNAIAQHAKHGKPALVFVPTLKHARFTAVDLCLYSCAQGCGTPFLLGSEDDMDIHTRGVNEETLKNTLKCGVGYLHEALGDLDQEVVTQLFLCGKIQVCVVSSTMCWGRSLPSHLVVVMGTQYCDGRNSVNIDYPVTDLLQMMGHANRPLQDNSGKCVILCHTPRKEYYRKFLSEPFPVESHLHHFLHDHMNAEVAAGVVESKQAAMDYLTWTFMYRRLIKNPNYYNLQDSSHRHISDHPSELIETLLVDLKSSKCLTIEEDTYLKTLNLGLIASYYYISYTTIERFSSMLTQKTKIKGLLEVLASASEYAEVSSRRGEEESIERLVRHQRFSIENPNSGDPHIKVNALLQAHFSRHMVVGNLASDQRKILLSAHRLLQAMVDVVSSNGWLSLALSAMELCQMVTQGMWDRDSVLLQLPHFTKKLARRCQEKGIESIFNLAEMTVDDMRDLIQLPCSQLQDIIGFIKRFPNIALAYEVCEGDDISAGGNLTLQVTLERGMTGLPSGTGQVHAPRYPKPREEGWWVVIGDSSTDQLLAVKRVALQKRARLKLEFTAAAEAGKKDYMVLLMSDSYLGCDQEYEFTVNVKMCMEECRGNEHCFVLSKYQPCSTVTLAAFSILALFAGQLLMMATPADAGLRQELFQKAFSDPFTCARNSDNPECVFCARFCPGGCSKETNVCSGVCIEDCVRSVAMQLRALLYGYDRAPGIRLIKALGSRVYTESPSRNTSCLTTVQYIAVYVKDPPSFEDVLDPDTSWAFTDPASFVGRLGSGFLLLDWTSSFRTYSNWAAQWATCHITICGPPGLAGSRPCR >cds.KYUSt_chr3.29194 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182616041:182616523:1 gene:KYUSg_chr3.29194 transcript:KYUSt_chr3.29194 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTQALLLACLLAAAPLCHGIVASPASIAGAADKLAMGGMELRRPMIDGVTAANRSADEQHPTEASDGTAGHRLHLSWLSLLSEATSPLARDHSFVEQGVVLDHLLVFLVVLVVYIFR >cds.KYUSt_chr3.33323 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209161922:209176744:1 gene:KYUSg_chr3.33323 transcript:KYUSt_chr3.33323 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSAEEVATEVGKRLAQPRLGKDALVKLLKQAESALSELSQSSSLHDALRPLSKSLVQTSLLSHKDKDVRLLVAVCFIEVMRILAPDPPFTDEIFKEIFRLFISEFAGLAETGSPYLTRRMKILENVAALRCSVIMVDTGCQDLVLDMAKIFFSAAKQGLQQCVHQAMVSIMTQILNEKVTQALLDVIFRNLVKEDKGGAHKLAVDIIQNCAEKLEHTVRMFLSSCIFNKGAPVHEHKKLHHKIILELFQCAPQMLFVVIPSLTHELLSDQVDIRLEAVQLIGRLLVFSNLRFGQENQLVFMEFLKRFSDKSAEVRIAAIDAAKVCLHPFHVDILFHLAESLEGRLLDFDDKVRIRAVYAVCDLAKSNLSSFPSELMLQAAERLRDTKISVRKNVMHKFLDLYRDYCEKCSKGIATITPHYEQIPAKLLLCLDKDCGSFRSQNMGLIFAEEIFPPSLSPKERAMHWVEFFNYFKSQHVKALRNIFSQKRRLQLEMQAYLSLRAKKEEPSDEIEKKICGSFRKMSSSFADIPKVEECFEKLHQMKDNNIFKDLTELIKEGITFATVRSIRDSFLKRIGNKHPIFNFCKQLSTELSHSLFNWEMICAILEALLSCRNESTHFAESACDLLLLVALVFPSLFRGSEEYLLKLFSEESVLINEKTLQMLAYLAKSACHLSVNFSSDVYLLLEQKCIDGTRAESKYAISAIASLIQSPDDKKFAKLCKFVSTPGLSPPDENACSFSCKLKDSSYIVRKSFICKLYGLLKNQAIPIRYACAFALASTDCAGDVRTESLRYLIEVLKEQGGVSVHQNRTSKDSIVENSTYAVVFLIHVLAYDREFPLNFSEKETISSGFWSPLVVMLRELVEIDNPNRTDHGPTSSVSILLGIFSAVQKAQDLYYSDITHECCQLDLITDDFVKRILKAHEPYNHQEHTESSIITERPSKESAPKRGAHSSSNKSSVGPCASGQDQVKVKKSSVVAEDVSKKNDQNSSHSLEKDKVSSCGSAGTKLSSPGSLGLTKEGVGLRKKSKRALDLRSAQNSGLTADTSSRLPLVHPLAGIAVMIEILTIGHVGDGEEPALPPDEDPRAVNPSHKQNAPPFSRSRRRCVKPPPLATNAGRRKLQDAAAADHQKSTHDPKKEDGGAIPFLSLATTVDRGEDNIISTPPTPE >cds.KYUSt_chr7.1840 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10571223:10576623:1 gene:KYUSg_chr7.1840 transcript:KYUSt_chr7.1840 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMEQHEIPMPRSGWPPASAVWLAADGLAEIRCHHEVDAISGFVLVAFAMYKADSRLFLYVYALLSLQGCPDLARLLLFFGDHDPSGDGHQYSGKAPPPQILQLPVSYKKGKRRMISVFSLQGSKLVYNHLATLYFVFVFDSSENELAMLDLVQDLLFVSALRWSKGKYAKPARIVHFSGFWPKNGPNRSGIPARIGKLDARNPIAARGATIYGGFEVLDVKYRIHFSSTAVAPGRLPSIPAAISKSPPPVSSSPPALPPPVMVPPNSSRGDRIFPARGRSGEASARQPPSAASASRRGSAQLEFDESTNEEEDDAAWEQRHLAMARRNSLTAVVTDVWEKAKRRCEATEEEERNLRKANRASIWSEMARCRYVIVDYSDDESDLCDDSTGESDAFA >cds.KYUSt_chr2.30774 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189557182:189557924:1 gene:KYUSg_chr2.30774 transcript:KYUSt_chr2.30774 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVIRADLICTKSTSEIFATVAKIKACVHCPGIKSIATDPEKNTVTVVGAVDPVRIVQQLRKACFAATIVSVEDDKPPEKKTPCQEACEKACKERCEKLCKTCEKACKEKCEKDCKDKCDDCGKTCQEACCKMSRCTPGCYSSPCGLPTCHYYSHGYGYSYGYGEPVVPLGYGCYYGRPF >cds.KYUSt_contig_2073.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:91313:96138:1 gene:KYUSg_contig_2073.8 transcript:KYUSt_contig_2073.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKPGGGGGGASSSSSPSAASTVDRFLKIVLSWDYLRLVADSKGSDQTKGLKYAKNSYASVAEYLGVFEPLLFEEVKAQIVQGRSSEDDGGLEIGLDWQKGAVGLCTESEGFHKFPMAVPDDFRDIVSENDLLLLSKDKFEEGVTPTAYAFAVVEQRGGKATISLRTFVAGEIKNLNVSKPVKSSRLQRIASIFSTPDSFLWVLKMCSLSTILREYSAMHSVASLPFKDLILSASDKNKDGDDQNRAWNVPEPLMDYLQTNLNGSQLEAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMQTKGGFDVQKHGPELDIEGKNANWMKASPWLIGANPRDLIMPVDGDDGFYPTGNDLKPEVISSNRKYRAHVLVCAPSNSALDEIVSRVLLTGIRDENNSTYNPKIVRIGLKAHHSVKAVSMDYLIQQKLSGVDRSSDGGRRGAGEYDRIRASVLDEAAIVFSTLSFSGSAIFSRMTRAFDVVIIDEAAQAVEPATLVPLVHGCRQVFLVGDPVQLPATVISKTAQKLGYSTSLFQRFQAAGFPVQMLKIQYRMHPEISIFPSKEFYEGILQDGEGLNKKRPWHSYSCFGPFCFFDIDGIESQPSGSGSWVNEDEVEFITLLYHQLAMHYPELKSSSQVALISPYRHQVKLLKDHFKSTFGDQSKEVIDVNTVDGFQ >cds.KYUSt_chr4.37567 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231553451:231554695:-1 gene:KYUSg_chr4.37567 transcript:KYUSt_chr4.37567 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPRCVRVLALAALAVALALGVAVEARLLEKQNLGGGGGFGGGAGFGGGGGLGSGGGLGGGGGAGGGLGGGLGQGGGLGGGFGGGKGLGGGLGGGGGFGGGAGGGLGGGLGHGGGLGGGLGHGGGLGGGGGLGGGGGLGGGSGGGLGGGLGHGGGLGGGGGLGGGGGLGGGAGGGLGGGAGGGGGLGGGAGGGGGVGGGLGGGAGGGLGGGAGGGGGLGGGAGGGGGLGGGAGGGLGGGAGGGLGGGAGGGGGLGGGAGGGGGLGGGAGGGLGGGAGGGLGGGAGGGGGLGGGAGGGGGLGGGAGGGLGGGAGGGLGGGAGGGGGLGGGGGLGGGSGGGLGGGSGGGFGGGAGGGGGLGGGGGAGAGGGFGGSKGGGFGGGFGAGGGAGGGGGLGGGGGGGFGGGGGIGGAH >cds.KYUSt_chr2.9379 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59062745:59065836:-1 gene:KYUSg_chr2.9379 transcript:KYUSt_chr2.9379 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSKSSKGTVFPLRSMFVFFIALFGFYVCYFSLTQIALENEEEEMNDVEERTKVLCTRPASAVPYRQMRYVHFPRPMSYDRGECACTPVRFFVIVSMQRSGSGWFETLLNSHPNVSSNGEIFAVRERREDIVSILRTLDRLYDLDWRTSAAKNECTAAFGLKWMLNQGILDYPHDIVNYLNKKGVMVIFLFRRNTLRRHVSVLANDYDRRTKQLNGTHKAHVHSLEEAEILARFRPELDVSSLIPSIREAEQSMEACLRQFRATRHMILYYEDLIGDDNVTEESAHCHSQLTKKLDRGLTCAVFWLQALSRVQKFLGVPVRPLSSRHVKIHTSPLPDLVDNWEEVRETLRGTEFHHFLDG >cds.KYUSt_chr3.30665 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192329103:192330236:-1 gene:KYUSg_chr3.30665 transcript:KYUSt_chr3.30665 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEDGYCSTGTESPRADSADDHPPADADSPVTGAQSKRDHPSPSSPLPPPKRSRRSVEKRVVSVPISECGERARINGEGPPPPDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERCRTDPTVLLVTYSYDHNHPWPAPKGGAGGHPTKSSPRLTEPKPEPKHEPGTPADYSEPEPTEQETPEPVAPKQEQLDQEEEQEQKPDIVSLAESSAGAITSVTPAAAEDEEESFEFGWFDQYHPTWHRATALYVPAFDTAPPLLPPEEWERELQGEDTLFAGLGELPDCAIVFGRRPEIALAATAPCS >cds.KYUSt_chr1.736 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3943231:3943554:1 gene:KYUSg_chr1.736 transcript:KYUSt_chr1.736 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMQYSPKQLAAVLILALASAMIVTAQDTVQEILDAHNTVRANVGVPPLTWDDTVATYADAFAQKRSADCLPIFSPLGHPYGENVFVGTGSEWNMLDAVNLWVSQK >cds.KYUSt_chr3.35910 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225544249:225553074:-1 gene:KYUSg_chr3.35910 transcript:KYUSt_chr3.35910 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAESESSQEPPPLAAAASAPAGGGPNPCCAKLWKKYQQVEKGRAALRQGVNLLNGEVQKLQNEISTLTQVCKEERLRADSAQAAKETESDARDLLEKEVIELKAKSSALHSTQNTSKNDNELLRISELEEENRRLKQVLGEERLKMDSEKKKAEEAKRKTSDAQNLLKAETKKSEEFKRLADLERKVANDLKVSCEKLRIEANETRSQLSAQIQKTGEAYKKAEAEKQKASREKKCADSEKLLAEKNKKLIEAERKKVMEEKGRSGHLFAQLEEQKKLNENLHVSIEAQRKNAMCEKNRADQLLQKLEEERKRCEYLQRKTDDFGAARDRVSFGNDGMQRVDGAIESANIKLLKEKLKRKKDQLKHVKRESKLDRALIRKELQLLKRDWMQPLSQFNRLDDYLAGGAEDVRALKRQRNNAMPCMSKDGLQNNGRKVPGVIDRSFSGCAKGPSPVAGNAFEGSKFDSLFSFEKLIKGDCLKLLNLDNDADEEKYRKAMEAPLSPDVPIVLPTKTKSRRSPDLVGGNSDGYDTECPASSQLDVLVSLIEDFLMNKEVVVCDKMGVMNSDGSKHCHLDDEIGTQLFTKPATRDQFISACILLASVCAKVERVDVVLEVSYRVLQMGKANLSWTMSALHVFGFVCGDKLLLVKSCNLLMTTIRLVVLLLESSDTSLCLVSSHIQSNRQTAFPSCTHCLFDVDTVPIDVFISSLLDELDLCALSGINHVKSNEAITKHSSHLGSSALQIDCGEPCNIHKQAKVAEGINYPAGRDLCYFTEIISLLELFGSYMSCEWTYKNVVLRLLKILESCPCEEYSAALFVLISQLGRFFIDDVGYEMKTVIELRNKLSVLMGTSFTTSKSILVQFSAVGALLSLLPLTFDKIVASPTGPLSGLCVLQATQISEWFAQLSKENQSFACSFFS >cds.KYUSt_chr2.46749 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292243451:292243738:1 gene:KYUSg_chr2.46749 transcript:KYUSt_chr2.46749 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVDAVVPSHLPSPTLATPPPSPSTGAHRRVVVFRTPAVEPAFKRPWPPHQNLEPSALGLANGAFNGVAPGTRLPGDSSNEDERGGRTSRHR >cds.KYUSt_chr4.9355 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56510130:56510546:1 gene:KYUSg_chr4.9355 transcript:KYUSt_chr4.9355 gene_biotype:protein_coding transcript_biotype:protein_coding MCMVKSAVPAKKIWLAIAARLGLRPTAGLRNLRKEVRTCEYRDVHVMWEMLREMGSPVVPLEEKEATAAAAVAAAAAARKKKTAWRRFVYYCCAF >cds.KYUSt_chr1.22203 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131293118:131294644:-1 gene:KYUSg_chr1.22203 transcript:KYUSt_chr1.22203 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWQILLGAILGALPLILLLLRNGIWRRRLPPGPPSVPVLGSVVLLTNSLADVEPLLRRLFARYGPVVSLRVGSHLSIFVADRRLAHAALVESGAALADRPPLSTVTLLGENDNTITRASYGPVWRLLRRNLVAETLHPSRVRLFGPARSWVRGVLVQKLREDSAGGAAVVETFQYAMFCLLVLMCFGERLDEAAVRAIAAAQRNHLLYISSKMSVFAFFPSLTKHLFRDRLKTAHAMRQRQKELFVPLINARREYKSRGGEIGKETTFEHSYVDTLLDIKLPEEGNRPLTDDEMVNLCGEFLNAGTDTTSTGLQWIMAELVKNPAIQENLYKEISATTGDEQVEVSEDDVHKMPYLKAVVLEGLRKHPPGHFVLPHKPAEDMEIGGYLIPKGATVNFMIAEMGRDEREWEKPLEFSPERFLPGGAGEGVDVTGNREIKMMPFGVGRRICAGLGIAMLHLEYFVANMVREFEWQEVAGQEVDFAEKPEFTVVMKKPLRPRLVPRRTS >cds.KYUSt_chr6.30738 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194894220:194894807:-1 gene:KYUSg_chr6.30738 transcript:KYUSt_chr6.30738 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKLATLGFIVLLSIGLSNAGRVARFSSSQGEGYGGGGGGGEVSGGGSGSGNGAGSGQSSSSGVQSSGGGGGGGGGGSQYGGTGSGSGSGAGTGSSAYNEDTYYGDVGGSSSSGGGGGGSGGGQATGGSYGSSGYGSGSGSGIGSGSASNNYDYENRNANAYSNGGGSGNGQGTNGGSGSGSGSGSGFGNAKP >cds.KYUSt_chr3.26983 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168355729:168356985:-1 gene:KYUSg_chr3.26983 transcript:KYUSt_chr3.26983 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQMMMPRSFPMWPPTSSDAMPPPQLPFLPPPNRGWKRKNPNPNPNSNSAAYQPPAIGDLQVQNRAKARRWFKPNPNSNRRPFFHKPKAKAPRNTSSFIIRAKRAGGIAPLVSPSPVTPAVLPTPVISPAHDERLLSDVLAQQQWGVDGYGSMKGLIRLRPANHAPSSSSDDDDSSGGSDVEEHVEVERRLDHDLSRFEMVYPQPGPGAVFEDDDELYDQNQEDDVDVHVARLEEENLTLKERLFLMEQEVGDMRRRLEAIEARFSDAAVANAAAAAVENGTEVGNAIAAAAGADDAVEQALENGTERGDANADAVEVVALQNGTGSGAAGAVNHTVEEALKNDAEMGDATADAGNTFEDSTEKGDAPDNGVNKSLENGSVEPEKSGKEEESYSAGSEKNAEIGDAASFQGNSQEA >cds.KYUSt_chr3.41072 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259158606:259158863:-1 gene:KYUSg_chr3.41072 transcript:KYUSt_chr3.41072 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFQEVDYCSEEVRSVANPGRGGGMQQHIEKKTLVQEVDKAGRHGHHGRGSGHLNVRESKLEEDLNTRTGEFHERKENFVVRAD >cds.KYUSt_chr2.43355 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269823516:269825490:1 gene:KYUSg_chr2.43355 transcript:KYUSt_chr2.43355 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNRYVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAADMVRCQMNFKRLSLTDIKVDIKRIPKKATLIKALEEADVKNKWESSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEVAAA >cds.KYUSt_chr6.6307 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38199146:38209548:-1 gene:KYUSg_chr6.6307 transcript:KYUSt_chr6.6307 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRCGRRGGDADDDEVVTVSSDPDESESEAERGEEADDDDDEYVADSSDGGGVEDEAEEGGDSDNGDGGPLLRGGRRGVEEPDEERKSQNVDALVRGNLIVRRQPLIPRILSVSDAAAIARKPFKPPCENGYGESSEHLARRLSARKRFVPWGSAQPFACAVINNLPQSPFIASDDSSEKEEPLPPGIEPLILWQPEGCDKENNNFTAIEVDHLLVRYLRPHQREGVQFMFDCVAGLLSDDGIAGCILADDMGLGKTLQSITLLYTLLAQGFDGKPMVKRAVIVTPTSLVSNWEAEISKWLKGKVHLLALCESTRADVLSGIGSFLKPLSRLQVLIISYETFRMHSSKFEIPGSCDLLICDEAHRLKNDQTLTNKALAALPCTRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDAAYFRRHYEAPIIRGREPTATAEEKKLGSERSGELSAKVNQFILRRTNALLSNHLPPKIVEVVCCKLSPLQMTLYNHFIHSKNVKRLISEQAKQSKVLAYITALKKLCNHPKLIYDTIKSNKSGGSDFDDCLRFFPPELFQGRSGSWTGGGGMWVELSGKMHVLARLLGHLRLKTDDRIVLVSNYTQTLDLFAQLCRERRYPYVRLDGSTSINKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQASDKVQDQGNSLSTEDLRDLFTFHEQVRSEIHENLKCNRCNKNDHMLLDGNGSNLAATEHKTVSTSTERIYSDIGGFGEISGCVQKMNSSNQQIEQPSEEDLGSWGHHSDPSTVPDTILQCSAGDEVSFVFTNQIDGKLVPVESMVRLTTHQPNGMAASGQKEVPKISSPMKPGRQSLLGKNLKMMGFNLKNSSLKCPTKPKRPSPICLQTLNKTNPSSDHQPQNKRLHVASDISDDDFV >cds.KYUSt_chr6.23936 pep primary_assembly:MPB_Lper_Kyuss_1697:6:151293050:151293664:-1 gene:KYUSg_chr6.23936 transcript:KYUSt_chr6.23936 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHMESALREGLTEPERRELEGVVEEHHTFPGRAGGTCTSLVTQRVQAPLAAVWAIVRSFANPQRYKHFIKSCDLAAGDGATVGSVREVTVVSGLPASTSTERLEILDDDRHILSFRVVGGEHRLRNYRSVTSVTEFAPPDSPEVPAYCVVVESYVVDVPDGNTEEDTRMFTDTVVKLNLQKLSAIATVSSPSSPPPPSGEQS >cds.KYUSt_chr4.12272 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74758132:74758818:-1 gene:KYUSg_chr4.12272 transcript:KYUSt_chr4.12272 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQQQERAREVYRECLRNHAAKLGTYASDGCCEYTPDDGHPAALLCAACGCHRNFHRKAFLDGASVGSPGAASPHTPLMLPSPSAPPGYMHHLAMAGPAGVSMGGDGVGGGSHSGGARRRTRTKFTEEQKARMLRFAERLGWRMPKREPGRTPGDDEVARFCREIGVTRQVFKVWMHNHKAGVGGSGGAGAQTSSSTTRGGGGGMSPAMGGNGEDDEEVRGNEMCM >cds.KYUSt_chr4.8329 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49805928:49806357:1 gene:KYUSg_chr4.8329 transcript:KYUSt_chr4.8329 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSTMLNPIVLSDGEEEMQMDMSDSVMDVPVQQPVVVHRGPRITGNEEFDKHCVWGNNLVLNEDQCKALTKMVRQFPGKPIKYYVYRMSKSSVIQKNCKMVCTNFNSLHNF >cds.KYUSt_chr2.8897 pep primary_assembly:MPB_Lper_Kyuss_1697:2:56068723:56071943:-1 gene:KYUSg_chr2.8897 transcript:KYUSt_chr2.8897 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHVGQFFESVSSIFRGSDTLPVCDRDIIAGCEREVADAANQEQKNESLMRLSWALVHSGQPEDVNRGIGMLEVSLGKSNTSLQTRVKLYLLAVGHYRNGDYTRSRELIERCIQIQPDWRQALTLQRLLEEKTKRDGMIGMAIVTGAFGLVGLVAGGIIAAASSSRKK >cds.KYUSt_chr2.3155 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18522702:18524351:-1 gene:KYUSg_chr2.3155 transcript:KYUSt_chr2.3155 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKMASPAVGCCSGCRRWRAPGNAPEAEAPLPIPGVPPTDEAVGVVHIGVVLRLEEVRPPGVVTAGGPGGIGSLLGVEASPLGPNRVPLAFGSRRRRRDADPVDGHPSAAAAWQAHVRRDRVPRAVQRPRLRYYDHDQVAKQRPRRRLLRLRQESGRKLREELANLAQLEGGASEPESEEQRNKFLVLRLYEALNGRDHAAVHSLLAPDLEWWFHGPPAHQHMMRVLTGGASSFRFAPRTVEAFGSTVIAEGASASAAGEGNKAAYWVHAWTVGADGVITQLREYFNTDLTVTRLAAAAASKCVWQSRRPDSARNSLPGLVLAL >cds.KYUSt_chr1.31417 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190538513:190545633:1 gene:KYUSg_chr1.31417 transcript:KYUSt_chr1.31417 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKNWFFLETFPEGPIGEAWDIAVRVQLQDANDGFMEAHRQFIAPRVYAGHLADLTHGPM >cds.KYUSt_chr3.47130 pep primary_assembly:MPB_Lper_Kyuss_1697:3:295865007:295868007:1 gene:KYUSg_chr3.47130 transcript:KYUSt_chr3.47130 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFWGHFPVPAACRNRDSCPPDLGFAMAAALEAPSSLGKIWCVWWWWRRGEVLRRSETAAQPSSPQISIYKPKVCFFPLVFAFALSVLLSPAGRGGEEWRRRITGRWRPERSRGLTLPVFWCDTDERPRSGELKPLRWGMILFAPLAEVLRNKHSWPQSSVLHVINIDLAGRGGEEEDEDGVDDAVFCDQFLPNLWEAIFLSRPKATPWPIQVPATDSGDSTSFARPFLRFAVAYYGCMEASGSVPAFSHDGGVADLWLDGGEREGPDCFSSSFSEIFSANARDLCIFLDLMRSFVIFCTSTVWI >cds.KYUSt_chr2.16694 pep primary_assembly:MPB_Lper_Kyuss_1697:2:104920257:104920550:-1 gene:KYUSg_chr2.16694 transcript:KYUSt_chr2.16694 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKSAEMLMQVLLVASAVAAFLTGYTMADFQLMLLVYAGGVVLTALVTVPNWPFFNRHPLKWLDTPEADRHPRPQISSTAASTGGKKKTGKNK >cds.KYUSt_chr4.19304 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121282092:121285198:1 gene:KYUSg_chr4.19304 transcript:KYUSt_chr4.19304 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNSSTGIFLGRDASAAAEATGPVAEDDMGGSRSEQGEAWAVGLRKEAKQGARGIKETINATSATSQTRPFEPERLAAIIIVFDFLPRYCKLASCPCSPQTIVFCAVKPVVLAMT >cds.KYUSt_chr2.31032 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191311693:191315302:-1 gene:KYUSg_chr2.31032 transcript:KYUSt_chr2.31032 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVQYRLEGMPEELDDLLNRGLFTKPELAEVVRRRRDFEFRLRRHSPRKADFLGYIDYLLRVDALRDLRKRAIIRATPDPDHEDGGGKKKKRKRNTKGKWAKSASDTAAVTRVLGVYRLATVRFKGDLDLWFRYLEFCRQKRHGRMKEAMAQAIRYHPKVPGLWMYAAAWEFDHNLNVAAARALMQSGLRSCPESEDMWIEYLRMELTYLNKLKARKVALGEDVKTLQKSNDDSGHWKEENKELFMSLDEPGAEESGLQGVDLEEKEDLFWQQGLLIIRTIYHGAMEALPSSLTLRKKILEILNSVELAHSEELRLEVMDDLKKDFSHDEDYWDWFARLQLSDSTNSSNKKEALLNKLNKSIQVYDEAVRKLPTSKMYSLFAKFWLGVIFSDREDSISLFQDAEFDASEFTSSMLKVYENAESCGCLAEELACQYVSLLLRFGRFEEAKNLAAKLCSGPLLQAANLWNLRATIEINSLGTATGSSSFSEENLSSLFDLFNVILPKLSIAKAEGLWHTAMKLFSHDKIYFKKLVKCATLSLSSAGGSDCGASVSSAIIGWVLQKDGIKQARKMYKRFLALPRPSLKLFQFCIELEANLASTGDHDALVTARKLFDSAINHYPQERELWRKYYNMELKVGTSETSNAIYWRARKVLNDPTALHSP >cds.KYUSt_chr1.27891 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168187774:168191644:1 gene:KYUSg_chr1.27891 transcript:KYUSt_chr1.27891 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKRPAAVSAAAGSATQTITLPAPAVRDAVRGALRDAEASPPSSAARAPAPPAAVDGVLCLEEVDGRRWSYVVEGSASSAQPKPGRVSARARARAAPVGATFRAVPLQSPLPPAEEIMNFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMSVFTTRALLNSVGVSQSRATSGAVAINWILKDGAGRVGKMLFARQGKKFDCDLKQLRFSGDLMMELGAGIELATAAFPQLFLPLACMANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGMSILISKRNPSLVASFAVLSCGYLLSSYQEVRSVVLNTLNTARFTVAVDSFVKTGHVPSLKEGNSEETVFNPPWRHQPVAIGSRFGEAFQEPASFVATRPLFEDERYIVTYNPTKDRVYALLKDQARQDDVLKAAFHAHVLLHFITASHANLKARKRLNSDQGSHQYVNPNPLNMDFLPHIEESCKIVTSSYGIFKRKAREQGWIMSESLLNPGKARLCGVVPQ >cds.KYUSt_chr4.18308 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115191446:115192330:-1 gene:KYUSg_chr4.18308 transcript:KYUSt_chr4.18308 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDQPSKFANVHKVFGASNVSKLLNELNASQREDAVNSLAYEAEARLQDPVYGCVAYISILQHRIRQTREEIANARKELAGYIGNAAYAPVVPVPNAAALAQAQYAAMGLHPQQHPQQQMLVQQQVPHHHQYNQLHHQQHHQQQIVDAQHMAAAVEAATRGQHQHQQDMMMMRQGYAPPQGVPTVAIVPPGPGSANPAAYGGAPAQFLIQQQQQPTPSALTTFRTDQQSPPPQSSGHSHVDMSHAPQHRQHTDGSDEGSGGAPPSA >cds.KYUSt_chr3.29779 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186343807:186346359:-1 gene:KYUSg_chr3.29779 transcript:KYUSt_chr3.29779 gene_biotype:protein_coding transcript_biotype:protein_coding MELKAVSRTAALPAARHGTPRQGPTGVAFGSAGRKAPPRRALLAATNNSVTPVARAEKQRVDQSEILTLDSIRNTLIRLEDSIIFGLLERAQYCYNADTYDSHSFHVDGFGGSLVEFMVRETEKLHAKVGRYKSPDEHPFFPEDLPEPLLPPIEYPAVLHPIADSININKEIWKMYFDEVLPRLVKEGTDGNSGSSALCDTTCLQALSKRIHYGKFVAEAKFQESPDDYMPAIIDQDGDRLMQLLTYETVERAIEHRVETKAKIFGQEVNIGAEAKGLPPVYKIRPSLVAGLYSNRIMPLTKDVQVAYLLRRLD >cds.KYUSt_chr2.41203 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256179376:256181573:-1 gene:KYUSg_chr2.41203 transcript:KYUSt_chr2.41203 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEGDTSRLFRVRRTVMQMLRDRGFLVVDADVDLTMGEFVERYGDPVRRDDLVINRVKKDDPSEQIYVFFPNEAKPGVKIVKSYVEKMKQEQVSNAILVVQQALSAFARNALLGFAAEKYHVEVFQVALYIPAVPLPHSTCMANISVKYQPVTEINLSHVLQEGELLINIKNHELVPEHVLLTPEQKRTLLAKYKVKETQLPRIQMGDPIARYYGMKRGQVVKITRPSETAGKYVTYRYVI >cds.KYUSt_chr3.38101 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239714306:239717588:1 gene:KYUSg_chr3.38101 transcript:KYUSt_chr3.38101 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTGFCCALLLLTLLPLSANASNKLYIVYMGDKKHDDPSVVTASHHDALTSVLGSKEEALKSIVYSYKHGFSGFAAMLTESQAETLAKFPEVVSVKRNIFHELHTTRSWDFLGVDYKPPQQSGLLQKAKYGEDVIIGVVDTGIWPESRSFNDNGYGPVPARWKGKCQTGELFNATSCNRKIIGARSYDRGISAESLKSDYNSPRDINGHGTHVASTIAGVEVRGVSYGGLATGVARGGAPHARLGIYKACWEGEGCPDAAVLAAIDDAIHDGVDVLSLSLAGAGHEYSGTLHAVQRGISVVFAGGNDGPVPQTVTNAVPWVTTVAASTIDRSFPTLISLGNKEKLVGQSRHYNASVISSDFKDLVDAGRCDSKSVASNNVTGKIVLCYGPADAKSWPPTVALPYAINQTVTAGAKGLIFAQYTTNLLEYTASCEGIMPCVLVDFEIAERIRSYFDTARSPVVKVSPTVTVVGNGVLSPRVASFSSRGPSPLFPGILKPDIAAPGVSILAASGDSYVFNSGTSMACPHVSAVTALIKSVHPDWSPAMIKSAIVTTDSVPRKLADPFDYGGGHLNPDRAADPGLVYDVDAKEYNNFFNCTVGLLDGCESYQLNLNLPSIAVPNLKNQVIVWRTITNVGPVESTYQAVVEAPAGVGMSVEPSVITFTAGGSKSVTFKVTFTAKQRVQGGYTFGGLAWKDQNAYSVRIPIAVRTVIQDFVADTS >cds.KYUSt_chr7.28050 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175160842:175165697:-1 gene:KYUSg_chr7.28050 transcript:KYUSt_chr7.28050 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRVYGDGGGGGGLGKTAAFLAAVVLCGLLLLTGGADAQGIQPVSSHKTLRGEAPLVIAKGGFSGVFPGSSQDAYNFAALASMPDTSWWCDVQLTKDAVGICLGNIDMKNSTTIATAYPARKSTYVVDGAPKAGWFSVDFNMSELQTVALTQSIWSRTDKFDYYGYAILSVTDLPTIVKRPSLWLNVQHDIFYRQHGLSMRSYMLSILKRVSVNYISSPELGFLQSIAPRVGSKTKLVYRFPDKLTSDPSTNQTYSSMLSNLTFIKTIASGIMVPKIYIWPVSDDNYLKPPESIVQDAHKAGLEIYASDFANDRIIPYNYSYDPLQEYLSFVSNGDFSVDGVLTDFPITASEAIAKMSSPWSNYYLVRNPRYTNEGKFLKFTDFLEYGKNKDLSGIMVIIENAAFMAKSLGFDIIESVTTALSDAGYSNQTAKEVMIQSEDSAVLVKFKQQKTQYKLVYTLPQGIGDASNSSLADIKEFADAVVVDKKSVFAQSLHFIINQTNLLKDLQSAGLAVYAQVFSNEFVSQPWDFFSDATVEINSYVQTLKIDGLITDFPKTVRRYKRNSCTGLGDNTPVYMQTVNVGDLAKLIKSQGVPGAQPPAVAPMPVLNTSSVEQPAFPPVSPKGSTPGASPPPGSSPSAAYAVAASTCSLLVAVCAALLI >cds.KYUSt_chr3.15573 pep primary_assembly:MPB_Lper_Kyuss_1697:3:95446269:95446898:-1 gene:KYUSg_chr3.15573 transcript:KYUSt_chr3.15573 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKRRGKPGGAAAAAGSAAQTKWRSGTQERIYGRRLLDALRATRSAAPHPHPHPQPRAVKEAADSALALTARGQSRWSRAILLAGAASRRRRVLVKAGGKIRRHPRPQARAAAAAAKAATAASAGEPPMLRERKVKDRLRVLGRLVPGCRKLQAPDLLEETADYVAALQMQVKAMRALANALAAAQLSSDRQQTAADDDQGEDEMER >cds.KYUSt_chr3.5571 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31449108:31456708:-1 gene:KYUSg_chr3.5571 transcript:KYUSt_chr3.5571 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLPQLVAEQPSACSSATTTASISGSHQAKKSQYLGAMAAQDLEFVASEWGAGPWPPRKMEVSENKNALLVIRGARLSWIIAVIPAMGRSGSWQLAGLFNQSVHGCCGHGVWGDRTENTVPSGLSAGYLGPHVLQTVFRLFKSVQQNPLEKSQDMAEVGDACVRLWAPMRERVGDVIFDGQVCEFGGLPVHIDLFCLLPGQRQANAADSLLYRHCPPLELQAEQSPTAVPDHSIRKPAFSMAVVRHCRIRRLRPEHWQVCICSAPYRDHRWRVPVVAPTKICRYGPAASRGGIHELGPAWFGPVAVVEEAQGAVGAADTERVESLAGGHVEVGATYAVFAGCDPGVGGHEGAGGVVDVEDVDGKASYGGRRGVGAVAGAPELVRQMATGRLRRVLARRAEPEWVIHSEVPACCWLTIGVCCWVMEEERQDE >cds.KYUSt_chr3.42396 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268101970:268102257:1 gene:KYUSg_chr3.42396 transcript:KYUSt_chr3.42396 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEDGAEVAGGPAIAADPCPWRRDGAVDRQPSPSWSSSVVVHGMLEEDAAEVTGSPVMAADPCPWRRYGAVEHLPTPSWRLEHGIWSGAQQAPD >cds.KYUSt_chr5.36664 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231812039:231816002:1 gene:KYUSg_chr5.36664 transcript:KYUSt_chr5.36664 gene_biotype:protein_coding transcript_biotype:protein_coding MMTESRGQGSNLLLGGAVGEVAPESTTRSPPRLPSDPGSPAASSPRRSMEEMSESDSGSARGTKRKRVTEPRDGDSAGSGSDSSGSPFRRPKAPLMPICTEEDGTVLYGFTDDQDVMDRYHDDMQKYIKKRDRHKRMLTLAPSSVTERRTAKETESVLKCAESVLSLSAYLDGKMINQCTGIVVEVDAFRNSAIILTSAWLFCTKKPLDDWTKKEYPTEAKSSRSSELQRPDAGDITPMLLFNCATLSRSSTSRRTAIRNLINMFVCHTGQKFQNVVSLD >cds.KYUSt_chr2.45760 pep primary_assembly:MPB_Lper_Kyuss_1697:2:285499462:285506086:1 gene:KYUSg_chr2.45760 transcript:KYUSt_chr2.45760 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSSLLATLRVDGPWTPPATWDSITRQSTFAGVADPIGSPPLDPIYELTSVPDAALVRLALSALHGVKSSLDEIEELSVLFSSSPADRTSHRVANVWSRSSSTAAVGHILNSICSTGLAVFFLCKFVHFYLFQIREMKYGSREGHEHDVSDDTNTERPPYSLMNQAFAAAVEKVLEGYFCSLNTLPASVKLSRSVGQTNRPSIIPDRASCNSSSEVTLLEVYLHTEELRRHIKSLGNICFPKFAGLSLSEEGLTTDAKLEFENFPRGTDLLSYLYVRLRDADPVHYALLKYLFIRSCEPYCNFIKLWIYRASVDDPYEEFLITQTENKKTQGGSVGTLDDFTLLSLKQYCYARYKYVSSFTMKLLEEGFDLCGHLLALRRYHFMELADWADSFIVSIHHKKWFFVKSEQKRAEIQRLLDLALQSSSCDTDPYKGKLFIYMKAQPGISLLASECGLETLDMLDDILLGYKVDWPVNIVITEEALRKYAEIFCYLVQVRFAVFSLTEVWRFLKVKDMLDIEYVHMCYVTDALHIAHNTDNLLDH >cds.KYUSt_chr6.27393 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173947634:173949772:1 gene:KYUSg_chr6.27393 transcript:KYUSt_chr6.27393 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLLQPYREEELQILRGDHPKQKHDRAYQDHDRVYRYDLYNDLGDPDNKSPRPTLGGPDSPYPYPRRGRTGRKPMKSDPTCESRNVVPVLQQFYVPRDECFNHVKKADFTSYLLKAISAGILPLARELFDTAVPREFDDFEDMYKLYEGGIKIPDVPVMDLMFKVFPPLKSICPSGGSYLLKMPMPEVIKNDKLAWRTDEEFAREMLAGLNPHIITRLQEFPPRSKLDGYGDQTSTIKVEHIQPYLGKLTVDKAITDGRLFILDHHDNFIPQLLKINSLENTFVYATRTLLILQDDDTLKPITIELSRPRLDEIGTKVVGADSKVYTPPSSAGSESDNKVQDTIWQLAKAYAAVNDSAWHGLISHWLHTHAVIEPFIIATNRQLSVTHPIYKLLQPHYRDTMMINALARQVLISAGGFFEMTVCPGEYALRISSDVYKNWNFTEQALPVDLIKRGVAKHDSTSPCGVSLLIKDYPYAVDGLAVWSAIENWAEEYVKIYYPSDSVVQDDAELQAWWKEVREVGHGDIKDQPWWPKMTTVQELVRSCATIIWIASALHAAVNFGQYSYAGYLPNRPTVSRRPMPELGTKEYQEVETNPDMAFIHTITSQLQSIIGVSVIEVLSNHSSDEVYLGQRDDPHWTSDDRAKKVFDKFSKSLIDIEKSIISRNKDENLKNRNGPAQFPYMLLYPNTSDIDGESATGITAKGIPNSISI >cds.KYUSt_chr2.3816 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23021978:23027142:-1 gene:KYUSg_chr2.3816 transcript:KYUSt_chr2.3816 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDADAVKSGRLVGGQVCQICGDGVGAAADGEVFAACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGDDTDADDGSDFNYPASGTEDQKQKVADRMRSWRMSGSGNVGHPKYDSGEIGLSKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSVGNVAWKDRVDGWKMKQEKGAVPMTNGSSIAPSEGRGAADDASTEYNMEDSLLNDETRQPLSRKVPIPSSRINPYRMVIVLRLVVLSIFLHYRITNPVRNAYPLWLLSVICEIWFAMSWILDQFPKWNPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPIVTANTILSILAVDYPVDKVSCYISDDGASMLTFDALAETSEFARKWVPFVKKYEIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKREYEEFKIRINGLVAKAIKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKAVREAMCFLMDPNLGRGVCYVQFPQRFDGIDTNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTAIYGYEPPIKAKKPGFLSSLCGGKKKASKSKKKSSDKKKSSKHVDSSVPVFNLEDIEEGVEGAGFDDEKSVLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSSTPESLLKEAIHVISCGYEDKSEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKFLERFAYINTTIYPLTSIPLLVYCILPAICLLTGKFIMPEISNLASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKANDEEGDFAELYMFKWTTLLIPPTTILIINMVGVVAGTSYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRTNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVAGPNVQTCGINC >cds.KYUSt_chr7.31371 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195316073:195320384:-1 gene:KYUSg_chr7.31371 transcript:KYUSt_chr7.31371 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSVKIEDYDKDGSVSAGAKVLNNACVDRQPHLTHTSVEAEEGQASLSSSNLKSQFIAMGFSPNIVDKMLQKNGEHDPNTILEALLSHSEMVKPLYGEMDRIVSLLEMGFTKEEVSFSIDCFGQEATVEELADSIFARRIANTIEQRQVKVESELVGKTETEYSDSSGRLRFYDDDDDNRRFKRVKHLFRDDGGASSSRAANTPWLSGGVRSLSNGFVKERDNEMGSGSGANVHVDIGKPPYFLYGNVVDISKDAWHELSDFLFSVQPEFVNTQTFSALSRKEGYIHNLPTDGRHVVGSNSPMTIKGAVPFTIEDSMQPHQLELALGYPSGHTDMLGLSTQERIAAMRFGFQTDTISFLLSALKEMYPDGLRVLSIYSGVGGAEVALHRLGIPLKCVVSVEESEVNRQILKRWWGKTKQAGQLRQVSSIHKVNTSVLQDLMDEFHGFDLVVGGTYSNCEGGELPANYTLCQFYNYARVVSILRNLYGLR >cds.KYUSt_chr3.6248 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35785949:35791586:-1 gene:KYUSg_chr3.6248 transcript:KYUSt_chr3.6248 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDDRVEVGLKVKSFRGLSLVFEASLFGASSLSWASSKGCLQWLITNNDCIFCENDLVTDKNVELIGMQDAEVAGIQHRGLLVLHPAPHFLLACMPPVSCKMPTPR >cds.KYUSt_chr7.32909 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205240866:205241549:1 gene:KYUSg_chr7.32909 transcript:KYUSt_chr7.32909 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPPHGEEDAKRRCRPASSAGVFERVISMGVQCCVTAAVLFAFGAFLVMMPLVLATRDLRRHGRRYLALSPDDHPPPRPRNVSLASEQISRLPSFQSSPYDRTSTCVVCLEASRGGERWRALPPCGHAFHSSCVDPWLLLSPLCPVCRATVAVPPRSESQAADGIETPPPPLYLSRSPPHGGLGPSAILVWFSGPFMCWTRGLPACLAVFVFVLVAVGFVWIVLLL >cds.KYUSt_chr4.20707 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130334745:130342735:1 gene:KYUSg_chr4.20707 transcript:KYUSt_chr4.20707 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRLRRSLYGLKQAPRAWFERFASMVTAAGFSPSLHDPSLFVHTSPCGHTLLLLYVDDIIITGDDPEYIAFVKARLRDQFLVTDLGPLRYFLGIEVSSTSDGFSISQEKYIQDLLARAALGDERTVDTPMELNVKLRPTDGDPLPDPTRYRHLVGSLVYHAVTRPDISYPVHILSQLKQNSGVNTTYPCNQDFADLPTILAMRIHILFCLHLIILLSLSVSSSCQTDPQTEALLQFKASLTDPLNHLQTWTNDTSACYFLGVQCEGSTVTEISLSSMNLSGRISPSISALRGLERLVLDSNSLSGTVPPQLNNCTQLRLLNLSWNYLTGELPNLSALTVLESLDVANNGFSGPFPAWVGDLIGMVYLSIGMHKFDQGEMPPSIGNLKNLTYLYLSGCNLRGTIPDSIFELTLLETLDLSINNLGGEIPGSIGNLKNVWKIELYKNSLTGELPPELGKLTGLREFDVSRNQLSGVIPAPLAELKNLEVIQIYSNNLSGPIPAEWGELTSLTSLSVYENRFSGEFPADFGRFSSLQSLDISENGFTGPFPRYLCHGNSLQFLLALQNGFTGELPEEYTACKTLQRFRINKNLFTGSIPEGLWGLPAVTIIDVSDNGFTGTISPLIGEAQSLNQLWVQNNRLRGTIPVETGRLGQLQKLYLSNNSFTGTIPSQIGNLAQLTALHLEDNALGGALPAEIGACARLVEIDVSRNQLTGPIPVELSLLSSLNSLHMSHNAISGMIPTQLQALKLSSVDFSANRLTGSVPPGLLVIAGDEAFYGNPGLCVDGHTDSELGACNADGGHKGGLIRRSHALLPVLVSAMLLLVVGILFVSYRSFKLEEQRKRDLERGDGGCEQWKLESFHPPELDADEICGVGEENLVGSGGTGRVYRLQLKDGGGTVAVKRLWKGNAARVMAAEMSILGTVRHRNVLKLHACLSRGDLNFIVYEYMPRGNLYQALRREVKGGAPELDWPRRRRIALGAAKGLMYLHHDCTPAVIHRDIKSTNILLDEDYEAKIADFGIARVAAENSDEFSCFAGTHGYLAPELGYSVKVTEKTDVYSFGVVLLELVTGRSPIDARFGEGKDIVYWLSSKLATESLDGVLDPRVAAPSDKGKEDMLRVLRIAMLCTVKLPTVRPTMRDVVKMLTDAISGPCSPRGQPPSRSCSKNPC >cds.KYUSt_chr6.2844 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16716221:16726896:-1 gene:KYUSg_chr6.2844 transcript:KYUSt_chr6.2844 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGLNRSTSRGQLPPQELLDDLCSRFVLNVPKEDLESFERILFLLECAHWFYEDNSVESNPSLKSLSFKDFTTLMFNSCNALRPYRAHLDDIYKDFTHYKFRVPVSGAIILDDTHDRCLLVKGWKSSASWSFPRGKRSKDEEDHTCAVREVLEETGCDVSKLLKLDDHIEVSIGQQRVRLYIITGVKEDTVFAPQTKKEISEISWHKIDDLLPASDDAISRGVNGMKLYMVAPFLTGLKAWIGTHRQPVYQKSDASARGTVWKAKNPSGVTVPVENPVIHRAVSDPQHVDHRPVTDRLKPNSARSDGVARCIELLLHISPELAKPKKRLNSRVTTVYDASRVCTTAAAPPTVPAAALIVYIRCVILLRHSFLSYFGDVHPLPHQQPCRQAPRTMEAQTTKLQNLNITTDETDDDAKALQHLHLTSDVETESDAIFERDYIVDDDDDYDDDYDDDYDDDDDDDYDEDGEDLEPRAWLDPVNLPSGKSSCCDFCGDPLRFVLQLYAPHEWKGTGYHRMFFVFMCPSMSCLQLDQHEQGKDRAANPRRSVKVFRCQLPKDNEFYAAEEPKGWPEYLVVGETEPSSSTSSGDDKSELMGVQGQVEPDVMMQAFMDHFEADEDNTCWASFRDRTERKGSQVLRYHRKEGAEPLWAVSSGSLSEADIPSCIYCNGPLGFEFQIMPQLLYYFHVEKEPDPLDWATIIVYTCRDSCDGSVSYKEEFHSQVVNPSLTAPGPDGLPVLFFKKFWGTFKGPMFVLGRLDIARLNYGAISLIPKVKGTDCIK >cds.KYUSt_chr3.25025 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155311528:155311752:-1 gene:KYUSg_chr3.25025 transcript:KYUSt_chr3.25025 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVPACVQCGTRSNPCRCKVLGPTLGFVAFVATGVVEWPLGAVVYLFRHHKGRRIMGHPARVVYPRVTRAIPI >cds.KYUSt_chr7.31061 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193441817:193445715:-1 gene:KYUSg_chr7.31061 transcript:KYUSt_chr7.31061 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLLAEVSPQELLSGLAELQNHLLGYVKSMSLKCAVDLGIPEAIHRRGGTATLGRHRSRHQGGAVLYGLTTTCRFLVGWHNLTPMVPFLVNPLVVSSFFSMPDWFRSEPAPAGAGSLFELAHGCSQWEMGSKDTEFNSVLNGSMAADSQVFLEVTIAGNGHIFGGLGSLVDVGGGSGAGTKVIARAFPHIKCTVMDLPHVIGQDADGAGHENLQFIAGDMFESIPSADAVVLKNILHDWDHEDCVKILKRCKEAIPARNVGGKVIIIDMVRGLEHGEKKITEMEAIQNLFMLYINGVERNEIEWKGIFVDAGFSDDYKIMSVLGPYSVIEIYP >cds.KYUSt_chr1.32677 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198423451:198423690:1 gene:KYUSg_chr1.32677 transcript:KYUSt_chr1.32677 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGCQAQAPAGGGGGGGGGGGGNRAPTAGSTPRRGQIKEKIIKDVVAAIISMAAGLVARADKNGGGGLPVAGDADGK >cds.KYUSt_scaffold_1259.349 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:2388648:2390971:-1 gene:KYUSg_scaffold_1259.349 transcript:KYUSt_scaffold_1259.349 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAELAKIIFSKVQSVDPDNVCKIVGCILLREPDENEMVHLAYGTEASLHNTIREAKNTLTAIYARCSASPVVGYHHQQVCSHPAAVRHFSPAAAAAYSVQYWDSPPQAATEKEYAFVDTETHYGLRGGDQQHPLVDDHLDGGGYYFPQDAFHNGAAGPRAAAGRRSNAVSSRRPCHYFFKGVCKNGQNCHYSHHQVYSPDGSFALDLHGGTTPGSLERLEVEITELLHSRRGQPVSIASLPTLYGEKYGKGLQADGYLTESQRHGKAGYSLTKLLSRLNKIRVIERPHGQHSVVLAEDAAKYTDCRSDRGGDLPASSHQIYLTFPSDSNFTEDDVANYFGQYGPVRDVRIPCQDQRMFGFVSFQNPETVTALLMRRNPHFICGSRVLAKAYREKTKCISDRTNCNKPTMHYYPPRWIDTDPDFYPEYDSPRLARRQLVEMKRDRQLLELERRHFAGLRVEPQCAYFDCNIGDVDPFTSQSAVTCPGSKEVERMDPLDTPDPLDDIVSTSQAPPIQATNNYQDQESNQIELLPESPFASSAPAGNGI >cds.KYUSt_chr5.36742 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232313700:232316997:1 gene:KYUSg_chr5.36742 transcript:KYUSt_chr5.36742 gene_biotype:protein_coding transcript_biotype:protein_coding MERAWRSGARSETASCAGTPRSAHSSGNIQHRYSQSMLKTQQGAVDMSPRFSYCKPTAKRDQMLNRRHSLNLPEHLSGHHSRKTADRTHKASSKSIADLAGEIAFLEQEVIRKELHLLTLYRRAFDQQLSDSCSVSQQVDQETSRNIDEGALRLRDIKHSAAFNLPTVSDSKSEVSRSVSKHSSLVNFLSASISDYVPKISCKLSEDILSCIASVYCKLASTPSQDADSVTSRSPSVSSSSTFSPRRRNDSWSPRYNFDATTSPSQYGYQKENSEQNIGMIIVPRIHIDATKFEYASKMLETIRSLIQRLEKIDPTKMTHEEQLCFWINIHNALVMHAFLAYGIHDKRMKSTDMILKAAYNVGGESVNAQTIQNSILGCQSHRPSLWVRALFTPAKRSLAGSTTRHPYALHHSEPIAHFALSTGTFSDPPVRLYVAKKIHHQLERARTEFIQANVTVKKQALMLPKVLHYYAKDAELELRHLVELVCESTSETQRKEMAQLQHRLRRRIDKCVEWLPYKSNFRYIVHRDLAE >cds.KYUSt_chr5.14975 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96745380:96746492:-1 gene:KYUSg_chr5.14975 transcript:KYUSt_chr5.14975 gene_biotype:protein_coding transcript_biotype:protein_coding MCPHINVGLLDLHARAAACINQRDAWAGEGGRPPCRCRCTVARGQHARAAPWLDAEMVLQDISCLKSSSHPSVAFVSDRPAPARPHPRHPSCLLISIPIFLSHLKLNTRPHQASKQASKQQAHPPTHPPMMLSAMQHMDSSAYASPSSASPWQQLLPAQRGHLPSSAPTTAHQLQPGHRAARRIAKRRPRPSRRLPTTYISADPAEFRRMVHQVTGADEAPPPPQHQQQQMAAEPLLLHALAGRLAAGGADRAMMLPTLDTSAFLLGGRMDRPAEARTDALPPCDAGSIALDNNTGGTSHCGFPTLESWDLL >cds.KYUSt_contig_2566.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000386.1:916:2205:1 gene:KYUSg_contig_2566.1 transcript:KYUSt_contig_2566.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEATPEAPRAPDPWLVPGRSSRRRFHLPPISFQTDPSSCSGSRFEALEVSAADEEMTVAEEVAWEGLGDEPRVLPIATVGSQDQAAVLEDFWEKIGFPGAEARTWERRTQATSIGARARSVSPSRPPGMASARASSTSPPGLRLPRQPVRIKGWKGPLPPKRFTPPAVFGDFFDIALRASDRAGGGSSSPELEAQEADPPPRFETAEAGSAASDVGGPRNCWAGLCRALVGLQMEDRRRVYSVSAGRHTIIRSFPNQNHAVADSHPSELLRSLRPSIPSPFSSTPRNRSFADVVARGSCSWRHGRAASCRAAQGRSRPRLNRLAPRRRGLRKAWLCRLQFSQLEWPPMLRTKGRRGSGVAGRGSYATRAFGSASTSGGVPPASSSDPGCAIHAAAAAALSAATAVPAVPGAIPSLSSDAAAGCTSAAG >cds.KYUSt_chr2.32113 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198089716:198092057:1 gene:KYUSg_chr2.32113 transcript:KYUSt_chr2.32113 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAQGLGCMGMSAFYGPPKPEPDMVALIHHAVAAGVTLLDSSDSYGPHTNEILLGKALQGGVREKVDLATKFGLSFADGKREIRGDPAYVRAACEGSLKRLGVDCIDLYYQHRIDTRVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQMEWSLWTRDVEEDIIPTCRELGIGIVAYSPLGRGFFSKGSKLVDSLSDQDFRRNLPRFQPQNLEKNDQIFEHVNAMATRKGCTPSQLALAWVHHQGIDVCPIPGTTKIENFNQNVGALSVKLTPEEMAELESYASAGDVQGDRYAGVASTWKDSETPPLSSWKA >cds.KYUSt_chr5.6678 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41414870:41415697:-1 gene:KYUSg_chr5.6678 transcript:KYUSt_chr5.6678 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVLLLLLLMPLSATATAELCGNGGNYTAKSTYQSNLAVLATTLASNASSSPQLFVAGSTGQSPDAVHALALCRGDFANDTACRDCVAASFQHAQQACPNRKGAAVYYEYDDTQRPGCVVGFSGDNDFLSPAYGITENSTLFQSWNSNNISGDGTASVVSAVVHNLLTVTAQDAAADTARRYATTVMDSVQTLYSLAQCTPDLSAGDCLACLQRLNGMVNATTSMRLGGRIFVLRCNIRFEAFMFFDQPTRRINPSSIAPAPPGKSMITSCDEI >cds.KYUSt_chr3.37426 pep primary_assembly:MPB_Lper_Kyuss_1697:3:235158046:235158675:-1 gene:KYUSg_chr3.37426 transcript:KYUSt_chr3.37426 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNMVPAPGAVVVQAASSIAERAEEAPTTLTVWRKSLLFDCKGFTVFDAKGNLAYRVDSYASETGDEVVLMDAAGRPAFTVRRKRFSLHGEQWLVFAGEETRRPVYAVRRSGRGKTMAHVTACAGGGASPSSPSYEVEGSYARRCCVVYDAERRAVAEVKPKEVVGTDVFRLVVQPGVGVSLAMAVVVALEQMFARPSLLRSWST >cds.KYUSt_chr3.38129 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239898393:239901954:1 gene:KYUSg_chr3.38129 transcript:KYUSt_chr3.38129 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTREEDAVLARYIREHGEGAWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNISEEEEEMIIKLHATLGNRWSLIAGHLPGRTDNEIKNHWNSHLSKRAREGGDGIVVDVDLSKLPGGGKRRGGRAIKATKAKGKDKGKERKSGKAKSKAAAKMARRRKEEDGKNITKEQAHASSSGVTSDGLEEGALGRCEEMVSGLKDQPIPNLDVTTDVGDSEPPKAVDLDELGGSVMELDHHHHERCNESEGVPELADQEELGDKAMDFGQPGCCNEDDGGLPEAVLLGDKDMEWDLVGLDESLANDDLWSSLVWDYDDMVAPCGGQEQESVLSDLFLFDSI >cds.KYUSt_chr4.6490 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38247582:38253767:-1 gene:KYUSg_chr4.6490 transcript:KYUSt_chr4.6490 gene_biotype:protein_coding transcript_biotype:protein_coding MADVENPEVAAVAVAAEPDECSRRMLVCATGFVFFALVFLSAHTMELQESHPESTGAAVIAVGFLAFLVGGCFGAVFMALVDEWIRGNPEFPDGHRLVDALLQSDSVRSNEMGVDLSEFKSVEMNMTAPRTWTIEQVKDWLTECFGLNPEVHTVGVHALWTKSISNISWYLRPVDDTSKWVSWLKGAERRGTNPVALVLPVEKERRFARDQVRNCYPAFTEQFDVLDDRAVIWQPYMAAAVHARYPGGISNLCYRDCAYWMTQSKIIFDVSVEIMSQQRIMRQFGSRQLVDPPPPIAPLPAYVHKYNRKGTSHSSTWWLQRVGSYVAEWDGATTHVWPNDEQFDPQEFDAYLQRYTAATRVRLIQPTDPAEAPPASMHDMYPIQSTAGSRQYAGQLTADLQDEVARYTRQVSSAPLLQRDQHVSWLRRLEDKLRGIYSAITCSRSSDVVQHHLLPPRPSTQQQRRPHLTPTVTPRPPPPGRAGCSSWQQHTPSVDDYQYQQHGSRPRLTPTATPRPPPPDQAGGSSWQQHHTPSFDDLQYYQQQAAFDQWQQQQAPFMGGAGYTQGYTQHTASNPSWGASDQDPEHMEYYSTQQNYVGMQTPPPEPTQETQYDPESGSWIPARITRAPDSTRRGDISRSSRGCSARPMADVENPEVAAEPDECSRRMLVCAMGFVFFALVCVFSHTVELQESHPESTGAAVIAVGGLAFLVGLCFFAVFMILVNEWIRGNPEFPEGHRFVDDLLQSDRFILCESVHQFMRRMDQPGDA >cds.KYUSt_chr7.28820 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179444293:179446017:-1 gene:KYUSg_chr7.28820 transcript:KYUSt_chr7.28820 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQRKPYSSLSGNGLAFTVSATTDSLLDALPSQYMGMFSIANDGNATNQLFAVELDTILNPEFRDINSNHVGIDVNSLTSISSNPAGYYTPDGSFSSLRLISGEPMQVWVDYDDSSHRVNVSLAPYLQPKPQRPLLSTTVNLTSLLPSSVYVGFASATSVLRSIHRIIGWSFNPKGDAKPLNYTALSEVIQDVRRDNQRSHSRIPMKILIPVVTLSVFVTLVIIVALYVNMKKAREIGEWEIDSGSSSFTYKDLATSGFSDRMLLGKGGFGKVYKGVLQTSKQNVAIKRVSPESKQGMKEFIAEITILGHLRHRNLVQLLGYSRHKSELLLVYDYMSNGSLDRVLHGQDRQQTVDWVCRFNIIRGIASGLCYLHEDWEKVVIHRDIKTSNVLLDNEMNGRLGDFGLARLHNHGTDAHTTHLAGTWGYIAPELARLGRATKATDVFAFGVFMLEVACGRRPIEVNDSGEPVLLTDWVTDAWESGSILRSVDPRLEDYVREEAELVLKLGLLCSHPVPSARPSTRLIVQYLVNDVLLPDFQPSFLSLTSRDEDFDQHILTCPSVVTTMTGLSGGR >cds.KYUSt_chr4.17434 pep primary_assembly:MPB_Lper_Kyuss_1697:4:109076158:109076931:1 gene:KYUSg_chr4.17434 transcript:KYUSt_chr4.17434 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAAPVLIGLLLFASGATAAGDDGAMEIPHVQLPGIADSGLGHGSDAPAPLPPQGQLMDIMFTAGCGSFAALVAATPNVSDVFQQRLVPGGAGAGRLTLFCPDDKAVDSFEPTFRALAQSDRLDVLLHHATVGRYVRAQLAAFDWMAVRTLAANRSQSITLRDDGKTVWLWRSWQGGAAKVIKTVSEEEAPLALAVYLVDAVLLPGYLRQKLDGGYFAWLHMLIPVWVGLCWALSAMVGVIIGFLLMLGAMSRWI >cds.KYUSt_chr4.27052 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169942427:169943101:-1 gene:KYUSg_chr4.27052 transcript:KYUSt_chr4.27052 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSGQDSDAAAGEQPHLPPADTPVHAIWGHVLFDSIAAGANGIFRHAPDDCPYQDIIRAAELASWGGEATRTTWAAASRDTDGSGQNNDADADEQPHPLPAGTPVLVPWHESLSLMDVLRRRIGHGDGDEDGDMNWYEDEDAYRAGGFGAVPASDDEIARLEKAVVGDTRETECAVCMERFGEGDEIRKMPCSHGFHQTCIFKWLRVSRLCPHCRFAMRAGDK >cds.KYUSt_chr6.4926 pep primary_assembly:MPB_Lper_Kyuss_1697:6:29077482:29077886:-1 gene:KYUSg_chr6.4926 transcript:KYUSt_chr6.4926 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQRTGGAPRRGALQFRIPRRPRPVVATGAPLLPGEAPGDRKKKKMVVARLGGARRSLFGAVRRLRMRWVAAAYRRALRRLSAFYARALDDLLEGAASVSTIHAKAGADCSFATAFAPVVPVGGAHGAAGDR >cds.KYUSt_chr2.34162 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211081868:211082749:-1 gene:KYUSg_chr2.34162 transcript:KYUSt_chr2.34162 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAAKPLVATTTSSSSSYNQHEPRPENPLLLLASSRAAKLSLGCPLLDRLLSGGLPAASVTEIAGESASGKTQICLQLALLAPLSPLSSSSLFLCSDLPFPLRRLRLLAPKSHPDLLDHVFVAAVHSPSDLLSLLSRAQHHLSHPTHSPHRLPTRVILLDSIASLFRADFDASPADLKRRTGLFFKISAKLKELAYRHKCVVVVTNQVVDVVEGNTGNTMAWSSGRQVSPALGLSWANCVNTRLFLTREVGSDGGSTRRHMKVAFAPHLPERACEFVIRRDGVFGVEPAQR >cds.KYUSt_chr3.28942 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180716537:180718642:-1 gene:KYUSg_chr3.28942 transcript:KYUSt_chr3.28942 gene_biotype:protein_coding transcript_biotype:protein_coding MMTANSEAAAPAAAVELPGFVMSAEEAERAAAAAGVGTVEDLLPLLVPSAMRLARPPISRFPVGAVGLGESGRVYVGVNLEFLGVPLSQVVHAEQFLITNAAAAGERALRAIAVSHMPCGHCRQFLQEIRGAAGIRILVTSDADDGCAPEWRALASLLPRPFGPHDLLPKDAPLVLEPHDNPLGDPVDAVANGFAGGDLEARLREAAEAAARAAHAPYSGCPAGFAVADGEGKVYAGGSLESAAYNPTLGPVQTAIIAMVAGGGGPAGDVVAAALVEKDGSVTAQEATARIFLAGVAPQATFHVYKYRSSDSTAQAAWAYDVENVHLLVSTYDELNFHKFDSDMPDLDPVPRRMVSACETQKDREAGELLETEQAGIYMVEI >cds.KYUSt_chr3.47162 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296019688:296022802:-1 gene:KYUSg_chr3.47162 transcript:KYUSt_chr3.47162 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSRYLYTLCVFDADKANKLKQSLPPGLSVQEVLSTGYSVLHVNYTFKVVCCQTSPRHRRIQTTLLFTMAAGALPLPLLLSAALLLAASCEAVLDDPASLLRRAKDAEFMDWMVGVRRRIHENPELGYEEFATSELVRRELDAMWIPYRHPFAVTGVVATIGTGGPPFVALRADMDALAMHVAATLCFAFSSPFLLFCFDF >cds.KYUSt_chr3.42003 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265103078:265106648:-1 gene:KYUSg_chr3.42003 transcript:KYUSt_chr3.42003 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGAMNDPERLFFFDLACKTAKTAYDENPLDADNLTRWGGALLELSQMQNGPEGLKCLEDAESKLEEALRIDSNKADALWCLGNALTSRGFFTSDSIPANECFEKATGCFQKAVDVEPANELYRKSLELSSKAPELHMEIHRQIASQAAQAPPSSSNARQSKKKKDNDFWYDVGGWAILCVGFAAWIAMAKNQPQPAPQPPHM >cds.KYUSt_chr7.7869 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47567273:47572789:1 gene:KYUSg_chr7.7869 transcript:KYUSt_chr7.7869 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKLKKKLDRIEEVINDACKVLELMNLPSISGANQSHVVAANSRGSGTTSRPLSQIIGRDEDCDKIVAMLHEKNEHGQPDTNSAPCYSVVGIHGIGGSGKSTLAQLVCAREKKDSHFDLVMWVHVSQDFSVRTIFMEIFEAATGTLCTEFKNPDTLQDKLEEKLRGKCFLLVLDDVWYNIRDVTQSENLQRILSPLKAGEAGSKILVTSRTEDALLALGAPKHRCIPMSVLDEDVFSNLLMHYGLHGVPIDDYTRRMLEDIGKEIAKKLKGSPLAARIVGEQLRLRQNVEFWRSVRDRDLLNETMGALWWSYHHLREQVKRCFAYCSIFPRRHCLERHELVQLWAAEGFARCTSEGEEMEDVCQEYFDELLSTSFLQLKAMEYPHEKDYYLVHDLLHDLAEKAAGSDSFRIENSLELQGKWPALPPNVRHIFVQTYDEELITKKICQLHNLHTLIIGRENSLEAVGEQVLKCMFKKLRKLRVLIITARDLMSYVPPDVPVPACIGQLRHLRYLAFRSEIYHARDLRIILPATFNKLYHMQILDFSSANKVVFSCEDICSLINLRHVVCFEDVDIPSIGKMTSLRMLGVFNVRKEQAHELKQLRNVNKLRGKLCIRCLENVESKAEALEANLAGKEGLSTLKLSWRSGEASPEVQAEVIEGLCPPKDLESLTIEDYKGPRYPSWMHNDGPKHVNHLELIDCSPQPGPELGGFCTCLRELTISSCSWAALPDYMEHLTSLRSLEILYCHNIQSLPALPQSLEHLTLNGCRVVSMSSCRLEHLTLLQTLDIWSCDSIRSLPALPQSLQHFTLRNCNDVLMRSCCMKHLTSLQSLEIYCCDCIWSLPALPQSIKKFNLITGDKELVSSCITVGDPDWQKIKHIPYASIEGVFVQIPVSAVIWIIPDMATFAVISKVKLMMLRGFLKQTKKVL >cds.KYUSt_chr7.19974 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123747477:123748747:1 gene:KYUSg_chr7.19974 transcript:KYUSt_chr7.19974 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLAAPMNIKRKDVEVVGGHGFSIFLDPKRIKLHLQDRKTLDMMEEDEPLVHAPTSTATEPTIVHDKVNFVSVGISSEPPSKVSEDQSAAAQAPMDMEMEADWQQHQLCQNVSFFSEKKISCGNWILDGM >cds.KYUSt_chr4.41360 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255792327:255794975:-1 gene:KYUSg_chr4.41360 transcript:KYUSt_chr4.41360 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLERDDALDTVLEVPIPEEMFTGGGGSRGSRFGCTGVKAWMRAHGAPDRSGAGEPCSMSRGELQLMLGVIGAPLIPLPVGHAKQSPSSVLCEQLKGDPIESSSAKYIVQQYIAASGGEWALNKVTSMYAMGRVRMTAAELNTNDADGGAGNGNGHGHRGGKKGGKGAGSSGEIGGFVLWQKKPELWCLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPMLTASLFAEDSVCIGERSIGGEDCFVLKVEAEASSLRARNSGSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKPTSGTGSVFWETTMESRLDDYRTVDGVNIAHAGRTAVSLVRFGDGQDGSTRTRMEETWSIEEVDFNIRGLSMDCFLPPSDLRETKEAPQDAAVVAVKAPRPPPLRIPAVLAIRVGPSQVAAVNLDESSEPLIAR >cds.KYUSt_chr5.43533 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274274982:274275701:-1 gene:KYUSg_chr5.43533 transcript:KYUSt_chr5.43533 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPSLALVVLLAVSIFVTDASDPDILTDFIVPPGTNMSLLDGAFFTYTDLNSSNAADPAKFTATKATAVEFPALLGQSVSYAALVFGAGTLNPPHIHPRASELLYVVQGPLMVGLVDEVAGKVYTQTLQTGDMFVFPKGMVHFQYNAGTELARAFSTFGGASPGTVSLPGAIFESGIDDNVLQKSFHVDQATVETLKHDLAPAAPGPVAPPPSTAAPGLSTRSAALAALVGLGAAFWL >cds.KYUSt_chr2.1471 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8823887:8824736:-1 gene:KYUSg_chr2.1471 transcript:KYUSt_chr2.1471 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLLVVEEEKHPADEEDKNSAGEKYKIQWDRGGEQESGVKPCNLNAKVSHQIRFKDRVYIVDLCPQLRSKMPNTVEVAMKHTAAKVEKNPETGKQG >cds.KYUSt_chr1.18095 pep primary_assembly:MPB_Lper_Kyuss_1697:1:105634057:105634323:-1 gene:KYUSg_chr1.18095 transcript:KYUSt_chr1.18095 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRRAELVLEEGAAVLCPGPIPSRAAAPVSLAFGAVVNSREPILPWLGMGRHPATPLPCVGVRGLELAGWGNKKRRAVEMCSALEM >cds.KYUSt_chr2.52982 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330574227:330584946:1 gene:KYUSg_chr2.52982 transcript:KYUSt_chr2.52982 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDEFPNDDFFPDIDNLFGNLNMGDNNQDAANIAAVAAAAANAGRRRSEEATVVDAREERKLKCLPPPPDLQVRTLFKEIPARIAFTTSGSDRWSSMGRRGTTGVHQIWPRRSRLVSKPAERRKLELHVEPYYYYIDQQAADTLGKSSSASDLTALHQPPSSSASAILDSPAPRRPPPATPPPSLGLRLAARRHSQPPPPPPSAPRRSASAAADLSAQDRPAGEPAGSVKAEDDRRDRGEDGKGDPSESGSGSDEDSDGSGSDDSEEERRREEERTRRRAERLAAMAARAIAEREEAVARLEGEKTSLEKLLAMREKEQAQEASELQTSMIETMEATEIEKQRHHSTRMEALVRLAELEVTNAELAKSLAREQWNLEVQVDQVAHLREEVDLKTFAQDKYKRKIAKIQKTSAPLVDEIESLRRLKLEDEIIDAEYTQTCDRIVSLKDKARKIEENIELTRRDMVQPTEVETELKKRLDQLTDRLIQKQMQVESLSSEKSTLVLRIEAVSRSLDSNATSSSSIDIETGTWQHSYSSPRLRDRIRTGQQHLGSAIRQLDSIFSAGHIFLRWNPRAQVGAAVYLVCLHIWVLYIMSSHPAVPDTRSGATFSLESINKTSI >cds.KYUSt_chr1.385 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2065685:2066290:-1 gene:KYUSg_chr1.385 transcript:KYUSt_chr1.385 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGTGAMVSLLSKLGELVKEEYELQNGVKDDVEFLRSELTSIHAALRVVAEVPWDQLEEQVRIWAEDVRDLSYAMENALDTFLVRVEDPPKPEKVNWLKKKIGDMSNLLDKGKLRHEIGKKIRDIKEKVKEVADRRTLVENLSCVPVPERHSYRFCNRYKLFGTKAPPPLSYRLLTNRYKTGLHVGHQESSGLRIFGTGW >cds.KYUSt_chr7.1639 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9168156:9175940:1 gene:KYUSg_chr7.1639 transcript:KYUSt_chr7.1639 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPATTLVSAPSSLHLTASLLFPLTLLLHLPFLQLPAECQPPLRRAHCCFACLDSKLQPGLSCSSTSLVSRNRQGRPIRSPVRSCSGDLPGGGHRPVPADARLSCDDPVPLHAGSEGWFIGCAHIMQELTTKAHRHDIDTAEFKIISELDLDMWVGEKWSWTRGSHCRWIRPVDSVWAFGAKASWGHGGQGDLQLETNGKLHHQVFKGIKWCDISSTNAPKQRFDWSSQLVLESPPLPGRYVDWSSPSDVGAEGDIRLQM >cds.KYUSt_chr2.10477 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66464884:66466494:-1 gene:KYUSg_chr2.10477 transcript:KYUSt_chr2.10477 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVITGPSLALAAARRLLFVPPPHGFASISTRSSIGGGGGGNGGFFGGSGGGGGDSDAGAAAAAAAAAALGETGTAEDDVILLHVGGMSCGGCASKVKRILESQPEVASATVDFDKATAAVWTTPEAKQTEDWQKQLGEKLALHLSNCGFQAHLHGEAGDE >cds.KYUSt_chr4.43219 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267755365:267761239:1 gene:KYUSg_chr4.43219 transcript:KYUSt_chr4.43219 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTPSPATEPRRSVRLRSTPADADPDPDAEPRRSVRLRPKPADADPDPDPDSELERRVTSSRRKRHREADPDADPERGPSRSRSRGKRQRRAAGNAAKDGTKDAQQTAGAGADDAGSAINDDICAEEPDAEDLQMGQEEDEEEGAAVQAGGEGSAETRGAGKKKRVARPSANKRKAVQDHFVGEPVPDGEARQRWPQRYAAKGSDSQAKRSDAEDEIGALRHYTTVCVDEANFHLGDDVYVKAAPGEDNYIGRITELFEGIDHGSHFTCRWFFRVEDTVISPKLLEVNDHKHDRKRVFLSEEKNDNMIESIISKVNIIYVSPNMTPEAKAQLISKCDLYYDMSYSVAYSTFANMPPENGGAMGSEAASDLSCDDVDYSKKKPIADIAAPHDEQMETATLLDLYSGCGAMSTGLCLGAAWSGLKLNTKWAVDMNTNACNSLKQNHTFTQVRNEKAEDFLSLLRHWDALCKKYDVHNSNSLPRTSNNDEDDENENLPEGTFEVEKLVDICYGDPNSTGKAGLWFKQCLVAYNETEDKHLKEALVIRDAISDLPKVGNHQPNDVMDHRIRPKTEFQHYIRLNRKDMKDYSLGDATHKEGLLFDHQPLQLNNDDYERVQQIPVKKGANFRDLKGVRVGENNTVEFDPDIPRVLLSSGKPLVPSYAMTFIKGKSLKPFGRLWWDETVATVVTRAEPHNQIVLHPTQHRVLTVRENARLQGFPDYYRLSGPIKQKYIQVGNAVAVPVARALGYSLGQAYQGEFDGDYPLFKLPGNFIPMDQATLARLSEGTSGGEVVEAE >cds.KYUSt_chr1.28661 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173221571:173238105:1 gene:KYUSg_chr1.28661 transcript:KYUSt_chr1.28661 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWRRKKTAASWRRRTAAAVAVMVWAVVAAGVVAATDKATPQRILLDTDMDTDDLLALMYLLKQNRSEFELKAVTINVNAWSDAGHAVNHLYDILYMMDRDDILVGVGGDGGISDDGTIYPNVGGYLPLIDQGITTVGECRYRQAIPIEGGGRLDVDTNGGIRRSFLPQGNRRYIPLHQPTTQQVMIETISAGPTTVILIGSHTNFAIFLMTYPHLKRNVEHIYIMGGGVRSKNPTGCCPQNATSSCTPQQCGDIGNLFTSYNTNPNAEFNIFGDPFAAYQVFHSGIPITLLPLDATNTIPVNEDFFYAFQQHQSTFEAQYCFKSLKMARDTWSDDQFHASYFMWDSFTSGVAISSMRNDKNFQDGNDFAELVYMNVTVITSNEPYGVYDSSNPLFSVHTIPKFGLEKGGVHSGHVQTGITDNFCLIKGSRKGRCEDGYTKEMSGPEAVRVRVATKAKPNTDKNSHLNREFFKSFLEVLTLSENSGRLDIMSQFPFYREVLYKSDFVNKSKGKVVIFDMDMSPGDFISLIYLLKAPTEVIDLKGIIVSCNGWANAATIDVVYDILHMMGRDDIPVGRGNSTALGTPTLGCKYVSAIPQGSGGLLDCDTLYGLARSLPRSPRRCTAENSVEHGAPRNTGHPELRQPLAFEVWQSVKKQLYPSEKITILTSGPLTNLANILLSDKNASSVIESVYVVGGHIRDGNDSNGNVFTIPSNRYAEFNIFLDPLAAIVVLESTLDITLIPLSSQRKAASFPPLLEALKHAQHTPESNFVLHLLSLLHDLQQKHKLYHHMDIFLGELLGAVYLVEGSNIEQSLQLKTISIVANNSTSTDGQVVVDQQSTHLVKVLVDFDSEEYYEQVANYLSKRERSAVIGSFAEQRVSWSRQPENSRV >cds.KYUSt_chr2.40222 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249771787:249774044:1 gene:KYUSg_chr2.40222 transcript:KYUSt_chr2.40222 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIAATNSGGDRDASTPTSTTVTLPPLTLRDVPRLTSAPSAAIPNPISSHPYFNPPPTFYISPGDVSLRHAFFDFATGHPDPLVAYRRAGPRGSLALDPARARAALVTCGGLCPGLNTVLRELVVGLHELYGVRHVFGVAAGYRGFYGADDDHVLLDPAAVDGWHKKGGTALKTTRGGFDLSKIVDGMVARGYTQVYAIGGDGTMRGAVAIFEEIKRRGLSISITGIPKTVDNDIGIIDRSFGFQTAVEIAQQAIDAAHVEAVSAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEIDFHVEGKGGLFEFVYERIKEKGHAVIVVAEGSGQELIPRTDEQKREQDESGNMLFLDVGPWLKSELGRWWKREHPGELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGIMAGFTGFVPGPINGNYSYIPLEDIAVAKNPVDVNDHKWAWVRSVTDQPDFLKP >cds.KYUSt_chr3.48591 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303851371:303854612:1 gene:KYUSg_chr3.48591 transcript:KYUSt_chr3.48591 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGRWSVIDNSNVVDLDGPGTAPAPAPAVKKEEASSSSWTSSSSRTAPTALSTSPPLTAARLVRASPSPSEPVALTLLACAKEKGAEFAGNGILDSDPQLNPGQALIDFFLFVLSIILIGTLLDFYNWNRVRVRYCDGASFSGDAEARAQDGSILHFRGLRIYEAVIDELMEKGLSSATQALLVGCSAGGLATLLHCDDFTARFSQEASVKCLADAGFFLDARDISGERSFRSAFDGVVQLQNVRKVLPKNCLANKDPSELSLLRVSTHPCLFSTLRMIHLRYDTFLHQTHLILISCG >cds.KYUSt_chr1.38582 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235864620:235866176:-1 gene:KYUSg_chr1.38582 transcript:KYUSt_chr1.38582 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGGRRRLTRLRTLGRGASGAVVSLFAADGGAGEDEQSLLAVKSAGAASAQAQLRREGGILASLCSPYVLPCLGSRAAAGGGEYQLFLEFAPGGSLADEVERNGGSLEEGAVRAYAADVARGLAYLHGESMVHGDVKGQNVVIGADGWAKLADFGCARSVGSAAPIGGTPAFMAPEVVRGEEQGPAADVWALGCTVIEMATGRAPWSGVDDVFAAVRLIGYTDAVPESPEQFSAEAKDFLDKCLRRRAGERWTAAQLLEHPFLAFAGCGGDVEAKWVSPKSTLDAAMWESDGEDDDDSVPDFAAEEEDEVSDFTAERMKALAASYSVLPDWESDDGWIDVLSGQSEHSEAVDEQTPADPDSPAATSAEETTSDGCSWDEALEAEKGVEEHRVVGAAVPVAAALSGETAYDYDAGLWEGRLFLEAEIEAEAFYAGARVGDEFVVRNVGVPDNEALVNQQQPDDYLDSISDPIVVRVDSCDDEEIVNSLAIPDFIYLCFSSASAPFFCTFSLQLQSYI >cds.KYUSt_chr7.4298 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25731793:25734362:1 gene:KYUSg_chr7.4298 transcript:KYUSt_chr7.4298 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSKVVVVPHKHDGVFIAKAKEDALCTKNMVPGESVYGEKRVSVQNDDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKSGGHFVISIKANCIDSTMPAEAVFASEVEKLKLDQFKPSEQVTLEPFERDHACVVGGYRMPKKQKPT >cds.KYUSt_contig_319.50 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:404229:404684:-1 gene:KYUSg_contig_319.50 transcript:KYUSt_contig_319.50 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVWFEDKVSWGFGVATGHGAMHGDAGGGAQDAAAADPYRAHLGSVLHRQSAGVQITFVQQDHQTDSMILAPALTSVHTVCAVA >cds.KYUSt_chr2.25531 pep primary_assembly:MPB_Lper_Kyuss_1697:2:156182193:156197150:1 gene:KYUSg_chr2.25531 transcript:KYUSt_chr2.25531 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGEKKKKDEKVLPVAIDIIVNLPDQSDVVLKGISTDRIIDVRRLLCVNTATCAITNYSLSHETRDGHLKDGADIATLKPYTLTLLEGEYDEDSAVAHVRRLLDIVACTASFGLPPPPPPPPSPKDADTAKELSNSKPAAAGSSGGRRMASPPPLPKESPAKDAEAAAKEAAASAELEAEMSGACPRLGAFYEFFSLANLTPPLHFIKRVTQPRQEEQPSDDHLFYLEAKLCNGKFVIVEARRKGFFSFGKQRVLCHNLVDLLRHLSRAFDNAYEDLMKAFLERNKFGNFPYGYRANTWLVPPIAAQSPSTFPPLPAEDETWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMESTDVLASTKIDDVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMDSKHLAERNLLKGITADENTAAHDVDSLGIVNLRYCGYVAVAKVNNIEKTIVNSSIKTADITDQPEGGAHALNINSLRMLLNEASATGEKKIHTQSHRQEELTTAQTFAENLLKESLRKLEEEETDKQSFMRWELGACWVQHLQDQKNSDKEKKQGGEKEKKKMADKSVKETKIEGLGKPLKALKHSKNVVDTAEKGPSSGNKNLSDGISSVESQKVKPSSVELPQGDCIASENESLLKDVLPDSAFTRLKDSETGLHQKSPPELIEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGRVVKLSEKLSHVQSLCVHEMIVRAFKHIVRSVIAAISDMRQLALTIAAVMNLLLGVPESELSGSSPAVHPVVWRWLVAFLKKRYQFELTEQHYDDVRKYAILRGLCHKVGIELAPRDFVMDSAFPFYKQDIISLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALAKLIMVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEESKGRDSESGKRRYSSIKVLSHSSENSNVVSPDTSPRDSTIAIIDEEKPSNELLQDDSANVMDIPETEVKESPLSMEVSPPSEQLVERAEVNINSPREIFEDEILEQDDGWLPVQRPKSAAVLGKQIKHYRPAIRKAYDSENHTPVDASQYKPRNSYSNNRYYFLKKRTVVPAAYTDPQQHMKVQTSTARFGRKIYKAMTYRIKPATSSTEVQDTSTTTERISGKEEAQIAYSHVHNHSVDMKASEPHGALVVSSGNPPSYKDVALARPGTIAKTQMQKPRDDVLHPSLGQIIAQEMKDSLVDAVQVDQKSVPSNTNNSKERNNVPEEMQHSEQSRESQSEHESDNTGKDDLPDKLTPNTEKSSGSGSADGKTDITLFSNKGQEPTSGGNCGEATEFSDSTVPAKAEKSAKSGIQFPEESLPTSIEPITVSAHTASMPEGLGAVESEKSKPELLLSNIDIKEMSNKKLSAAAPPFNPSPPAILSPLAVSASVGLPPPGPIPGVGPWPMNVSMHPGHSNMVPNGPPLCTSPHHLYPPAPRSPNLLHPVPFLYPPYSQSQMVPSSTFPMNTTIFRPNHYGWQPYMSPAASEFVPGPAWSNSHPVAYIPTPHVPDTISQSLADTHVLSDAAVVSVGPSLDSNMVAVKEEMEVPVVVGSGNLISNKNLVEEHDKELKDAVKTELNPAMSGDSTPNIGGTKLGSNMKNEDEGSFRIFLKGKSRRKQTLRIPISLLNKTYSSRSFKLDYNRVVRENDIFRPASVSFAEVVSSGN >cds.KYUSt_chr2.3148 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18475729:18481956:1 gene:KYUSg_chr2.3148 transcript:KYUSt_chr2.3148 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFRPPSEPDSSAQTGKNEGLPSNYLNLTSEPAVDLPVSDDLGEQRTHVRILVSDSEADSIIGRGGSAVTALQSTSGALVKVSRRGQLLPGTGRRVLLVSGLFHQVMDAAEIILKKILYQGGQVIDERATVVLVVPDASCGVLIGKGGTNIKSLAEVSNAGIVISPHDKYYGLHDRLVTITGNLDNQLQAIFLILSELLEDDRYSRIYAGATERSAAMSGSSDEDNSRETDTLPSPLHLTPAIGLPVPDILDTRLETWDDPTPRESEFSLKDLGEQWTHVRILVSDSEAGSIIGRGGSTVTALQSTSGALVKVSRRGQLLPGTGRRVLLVSGLFHQVMDAAEIILKKILYQGGQVIDERATVVLVVPDASCGVLIGKGGTNIKSLAEVSNAGIVISPHDKYYGLHDRLVTITGNLDNQLQAIFLILSELLEDDRYSRIYAGATERSAAMSGSSDEDNSRETDTLPSPLHLTPAIGLPVPDILDTRLETWDDPTPRESEFSLKDLRGAFIAAARQHSSVNTMPLTREERLKRRDKNDRNSLMIALESSDFEIVQVQERNLIDEYGKGYIHFNFVVRGLNGEVKKFFAEVHPNIKDDTGVYLCTPLEENDKGHCYGCKDRANGLAHPNGGGFLGGHKEVGFPFMEFED >cds.KYUSt_chr4.42789 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265036075:265040028:-1 gene:KYUSg_chr4.42789 transcript:KYUSt_chr4.42789 gene_biotype:protein_coding transcript_biotype:protein_coding MITRARHAMPPTMLAPVPTRPRSNLHRRRRGAAPLLPAQIVAAAAAAGAKRPAESSTSASSSFRSVVVSTTSSTALDAQRPDKRPRRQDADAAEARPAASECSEIIGGGARPRPAPAEVEASESSCLGSVLESDLACPEHLADDADTTDYSSACCELTQSDAEEEVLSAPSPGDAFDSLTPLIDLTSPYSSSDDDADEDDDDDAAPSLTFSFFLDYAQQFIPCPHPKSHAVPDAAMPEGKRFEDLDDEESYQQFRLRERRGVMACDYGEVYGSMAGDHGRDVLMQRAVMVNWIMEESHNVISFSIMQNTFTVGINMYSRNEVVAMEWLVQEVLDFQCYVTTVHHFLWFYLKAAKADDKVKDLAKHLAFLSLLDHRHLSYWPSTVAASVVALACLATNKDLSCREVMETHMRNKDDDLPECLMVCSLTQIMCICTIY >cds.KYUSt_chr4.52424 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325189229:325194342:-1 gene:KYUSg_chr4.52424 transcript:KYUSt_chr4.52424 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERVKDVGILAMDIYFPPNCVRQEELETHDGVSKGKYTIGLGQDSMAFCTEVEDVISMSLTVVKSLLENYKIDPKCIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLVALDSCYKTFCKRYEKIEGKQFSIVDADSFVFHSPYNKLVQKSFARLYYNDFLRNCSTVDKDLREKLEPFSSLSSEESYQSRDLEKVCQQVAKNLYGTKVQPTTLIPKELGNMYTASLYAAFASVLHNKHDTLAGQRIVMFSYGSGMTSTMFSLKINEGQHPFSLSNIASIMDISNKLQSRHIVPPKQFVEALKLGEHRYGAKDFVTSQDTSLLATGTYYLTHVDSMYRRFYAVKGAAAPISNGH >cds.KYUSt_chr6.1450 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8903965:8904837:-1 gene:KYUSg_chr6.1450 transcript:KYUSt_chr6.1450 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSLRHRRAVPRRRYSPGGGLRRRHNHRQHYNHVHRCVQNSNFTGTPKASTRAVVPREEVPALPEVAVVPEVAAAAPEIVAVEPEVAAEFKNDASASNITADADELLPPPPAFTVPPMDWLLGGPSAGWLVDDPDRDFSDDELATQPPPPVYYYMRHGYGPCLPSPTPSDEEQEHFAPPGYAPVTEFFEPPVAVPADAPPTALPDLNLPAQDVEEEKNEDAPHTPSLPTASPEARVLLRRLASSMAARPAGIRRGTWSPEALGLSGRVAELCLDEPAHYSFSAEGSSRR >cds.KYUSt_contig_1537.291 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:1706033:1709272:1 gene:KYUSg_contig_1537.291 transcript:KYUSt_contig_1537.291 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQILCSEGKSCLSPLLLAAVLLLSVALLCSGHPPGCPVLLPTQTADNNPQLQRAYVALQALKKAVTDDPKNLTEGWCGPYVCAYFGVFCAPSLDDPCARSVAGVDLNHGDLASMLPFELGLLTDIAVLHLNSNRFAGGLPDSLPKLSLLYELDVSNNRLSGGFPQHILCIPNVKFVFNNLCGPVPPAIFDKKINALFINNNHFDFELPYNFGNSIASVIVLANVRLRGCIPSSIGRIGGTLNELVLLNSGIRSCIPPEIGALRKLTVLDVSFNQLQGQLPESMAGLRSLEQLDVAHSGGHLRALPRLSNFTYLFNYFCGEPERCATLRRNDDRQNCIAGKPDQRPTDQCMAFLHRPPVHCDGHGCFAQHY >cds.KYUSt_chr4.43139 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267229440:267230953:1 gene:KYUSg_chr4.43139 transcript:KYUSt_chr4.43139 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMIQPRCCTSYIMQVAVHAAYSIFMPLELIRRKRVRAFPLSLLRFGGEDILVLSSVMPLFDLQLNPLPRSSHPTRLLVEPLALRLETSQKAPGECGCRFFESEFSPDTLSGSDCILLFRPYCLSGPRRLDWFHLGPFYTENFIYTAGTVQDCHKRATPAAIFKLDFSKAFDSINSDALHKILLAKGFPEGAATRRPPLPFLCNIVADVLQHMLLQASREGLLLQPLADDMLCPVLQYVDDTLIIVRAIPEHVANLKAVLDAFATTGLKLRIADFAPIMTKSGMRLSSLQGRCLPIGGRLLLVNSVPTAMLAHAMSVGLLPAGVVEAIDKRCRPFLWTGEEACNGGQ >cds.KYUSt_chr1.34070 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207186333:207191069:1 gene:KYUSg_chr1.34070 transcript:KYUSt_chr1.34070 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTAMLAATARDTSMLMVKQKMDDLTCLCGLYVVPLVVGEARMEPSGLNPQANPAVNQLNLTPGIPGTAMAISAGISPTNGLTLFSGCSTPMVSAMREDSTPPAALPGSMSGAAEQDAGSSIRSSYNLLAGCTQVPISILVFHRRLTGRGSRSRPPAMPAVSQDSEDAASILAAPSDFLSLGRASNFAAMNPSVLAPVNCDSEQPPTHFQPNGLDAEAPQTSIQAEESQRSSSSAAVACNGHSNLESVCPANTDETQGQHTKELTSLLAEGVTDHSMHKYERKRKKLKTGGQLNDHTVLSTPAAFMEKTLTQIDTQISGSEKIRTLENEEIPAPKAKPRRKKHRAKVIREGKLGKKKKPEVTTPEVKSPSQKAKRSYVRKNRNLSSLEKCSGPDKEQSISAGAEDAARSRTAAVRRRLQFELGELGVQGEDQSSTGNSRHHVKEKLIHAKSSSCSATTTSLTPQTGHELNLDMENPAGGLASGMIRELNKLGSTPDLDATSMGVAIAKGNNKDLEVNYSNKDGFDMHRSATSIPERTSTESQVTKVSKVENREHRQHRESESSLSGSQDSVILRTAAQMLAFCQAGGVKKKRSVRVRRSSFVPTTDIKKNIAHTVTRLPQSCMEALKGDRHFSPWKGSVVDSVVGVFLTQNVSDHLSSSAYMALAASFPAGPINGN >cds.KYUSt_chr3.37557 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236196136:236197686:-1 gene:KYUSg_chr3.37557 transcript:KYUSt_chr3.37557 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRALAGAITRLSSPGFSSPLHPPRPSRLSTWREPGADGGGGDSEGEDDPFSFPDQQNLPPDVSRGVDAIVAATEFSHTDAARAKDLLERCGAAPSETLVVAALSRLRNSWAAAHAAFRWASAAPDYSPGRHACHSMLAILARHRRFDDARGLLDEMRRRSLASPRAVLLLIRRYCAARDVAAAIAAFRALPTFGFEPGVAQFQGLLSALCRHKNVRDAEHLLLSSEEEFPFQTKSFNIVLNGWCSAVCSAREAKRFWTAMEARGIERDVVSYGSMISCFSKAGSLDTVLKLFNRMKEAGVVPDRKVYNAVVHKLAKGQCVDEARALVQSMEEKGVAPDTATYNSLIKPLCKARRVKEAREMFDEMVGRGLAASVRTFHALFDVATSPDEVFELLDKMKTMHCEPEIDTYIMLIRKFCRWKQHDSVEKLWNAMPANGLSPDRSAYIVLIHGLFLNGKLEEAAKYYDEMKAKGFPPEEKTESMIQAWLAGRELAKASAAAGSRGGSVSLKVTRREW >cds.KYUSt_chr5.2433 pep primary_assembly:MPB_Lper_Kyuss_1697:5:16441351:16445093:1 gene:KYUSg_chr5.2433 transcript:KYUSt_chr5.2433 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRAEPLRRRAASAVLAALRHRPAAAAPSAAAAKAAAFAPRPPPANWFHSAPAFLGFREAGAARAGARPEFAADEGWSYEEESRPAAGVRAGAKVGGGGGGVAKEEGLEIAKLGISPEIVSKLAGKGITKLFPIQKAVLEPAMEGKDMVGRAKTGTGKTLAFGIPIMDKIIRHNKANKPGRGPLAICLAPTRELAKQVEKEFVDSSNLQTLCVYGGSPIQQQIRALDYGVDIVVGTPGRVIDLLKRGTLDLSMVQFVVLDEADQMLSVGFDEAVEVILQKVPVKRQTLMFSATMPPWIRKLTAKYLKNPVVVDLVGEDDQKLAEGITLFTIATEKREKPAVLGQLIKDHAKGGKCIVFTQTKRDADNLAYAMGRSIQCQALHGDITQAQRERTLQGFREGRFSTLIATDVAARGLDIPNVDLVIHFELPNNSEIFVHRTGRTGRAGKKGTAIAMHTYNESRAVRGIENDVGGKFKELPKINVEGSDLMMSGGFDSYGSGGGGFGGRGGGSFGGRGGGGGFGGRGGGGYGNSSSRGGGFGDSGGFGRSGGGFSRSGGFGESGFGRSGGGGGFGDSGFGRSGGGGGGGGFGDSGFGRSGRSSGGFGDSGSGGFGSGSGGSGFSGFGSFGSKSS >cds.KYUSt_contig_2197.110 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:645233:647658:1 gene:KYUSg_contig_2197.110 transcript:KYUSt_contig_2197.110 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVPLPAVMVVLVGAAVMLVGAGAGAVRVRGGQQQKQLRMGFYDKSCPAAERIVGDYVRQHVRRVPTVAPALLRTHYHDCFVRGCDGSILLNSTSAGAAEKDAPPNLTLRGFDLIDRVKALVEEACPGVVSCADVLALAARDAVTAIGGPSWRVPTGRRDGTVSSMQEALRELPSPAMTFPQLAALFARKGLGVRDLVWLSGAHTIGIAHCSSFANRLYGYAGAANGTAPPLDAAYAANLRQRKCRMGGHDTTVEMDPGSFLTFDLGYYHAVLKHRALLQSDAALVTDAAARADIAGVVSSPQEVFFQVFGRSMTRLGAVQVMTGSEGEIRKSCSVVNS >cds.KYUSt_chr7.20112 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124594811:124599617:-1 gene:KYUSg_chr7.20112 transcript:KYUSt_chr7.20112 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPEALEVRCAGCGETLEVERGMTEFACPGCSTPQALPPELMPPPRPRRALPLHHGRGTGNRMPCGGCGAVLSVPWGLRRITCPLCDSDLAVDGDRLYAGVQVISPPGAASRAPSSLCRPELFHKEESHIKLPSGTVVRHDFKKKATLSASSVPISAERIVLEYPSKVSNAPLSQGAPANYSVHTEEAHGQRQSNTVGSHGNQKARHASASSIVEQETVTYPSHAACAQQVHVESHGNTAGWDKKRKKSSSTTGGKHKKKHRVSNEELHLKCNKHSSAQPEDIGKSNTVQEPVPSPDENQFNSSDIDRIIVNLHPSSLSQKQAPRAGPNELDNIDVTLTPLSVDISLVNSVPQCYSQYSARARGALANRSCSPAQEHGMPQECSDVIQEIKDDSHPVQVEVECHHNKATGQHKGAAKGFMHLPNERESVEDRSYTGTHQQVTVATAFCDPTPSPVLTATLSPSTASPSVSNAGTTGYKKSKRRARGPTKLIEPRREADRPVLTPINVDTWDIDPPCPKVASTITLLLKQWHPGSAYRRVSQQTNEVHPGQSVLHFYEYHSDRRAIIMDEFLQRYKWATGREAECLKLFNRRTVRQFTGLLCDEKRRARLALFASRKIKETLDVPKSNGQSNFDEEGARKKLKLPRRDPAAVGQDDDDPLQWKPFPPEWMLPKWWEMLCERWASEEILQVSAQKRKNRFTGGSAQHTAGSRSITMHRKLMMIENGGKPVSEVELFNKTHKHDSGKGDFVTEKARRTMEAFQRRLKEAGDTGLDPHLAWSQEVQEMINRATQQLNETWENRFQSLEESMLSMASPNIPQHGPCSSAAGGPGADDDESSHEHTSDSAEEGTYQSTEDGSGVHVSD >cds.KYUSt_contig_686-1.237 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1538382:1547779:-1 gene:KYUSg_contig_686-1.237 transcript:KYUSt_contig_686-1.237 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGGDSWARESQQRKRRLDDLMLPAAATSSSSSSPTASGSFKRLSSGKFACLVCPHRPVLDSSLMLSRLELEVHQSDRDVVATSAPSQVGAPHASTSASNSNSERAGGPHVSSSQHPSVRAGDMKEKPLIEQTRRAILEAQSTRFNNFSATKESHDLKRTGNALYRYSQVAHTGVPLEECAENTASVESKNVEGGPFTGDETPRKVLSEWEVDIKKRQEQELRFTASGWKRDCHGKWYKDEDLGLIVLWNFKMASTSSAEGARQNSPDKDKVVTVLSIDGGGVRGIIPATILAFLEEELKKLDGPDVRIADYFDVVAGTSTGGLLTVMLTAPDKNGRPLFDANDLAKFYIDESPKIFPQKNSIFSKIGTALKMATGPKYNGKYLHSLLRQYLGETRLDGTLTNVVIPTFDIAYLQPTIFSTFQLKQHPARNALLSDITISTSAAPTFFPAHYFETKDDKGDTRSFNLVDGGLAANNPTLCAMSQVSQDIILGNGEFFPQSAVDYGKFIVISLGCGLNPSEKYSAKDAAKWGILNWIIKDGTVPIVDMFNAASADMVDIHLCVLFSALRSSKRYLRIQYDQLSGSAGSIDDCSKANLDRLVEIGNELLRSNVSRVDLETGRNVEMPDEGTNSEQLIKFAKQLSAERSRRKKVLQIN >cds.KYUSt_chr6.13654 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85503736:85506856:-1 gene:KYUSg_chr6.13654 transcript:KYUSt_chr6.13654 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARQRCCGRFPHGEVRRKRGVSSPGDRAQANDGGRRAAKAKKARSTMREGWGMQEEEEERAVLLIKKREGGPKTAGPQRFASRPATVLKDNPTLQCHLMTCVPWMNGHPMLAAIVRNYRMDRWDLEDTPETEEKILRIAQERYRGWRSTLSSTYKAFETDDARLANVPEDLHPQEWEWMINYFGNDEKFQARSQVNSDNRKKQKTKHRVGSKSYAQLSFEKRNLETGEEPDCVALWELTHTKNGTWSNPESQEVYDKAREAVQNKETETKGPLSTEQRNNVFQTAYKDTVQCKSSQPRGYGYMAKPKTGSERFRMQIEDQARVAAETLQRNSELSQHVSELEEQLEVERANMQQSIDFERSEREQLEVRLQEERDAREKMVEEERRSRLEFEKNMMAKFQ >cds.KYUSt_contig_815.234 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1436733:1438903:1 gene:KYUSg_contig_815.234 transcript:KYUSt_contig_815.234 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKSKASGEVAVMEVGGALLGVRTRSRTLALQQRTSSPLKRADDPPVDPGDYLELRSRRLEKQPPPPPGTKDKEPAAVSGWKEQAAAFAAEGFEADLEASFGDNVLDWDAMERSTRETTPCSLIRTSETISTPGSATRTSNPSRRRMQTPICRYIPSSLEMEEFFDAAERQQHQAFREKYNFCPANECPLPGRYEWARIDC >cds.KYUSt_chr5.23105 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150712170:150715510:-1 gene:KYUSg_chr5.23105 transcript:KYUSt_chr5.23105 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAAAAASVPDAPPAAALPAPPAPPHPGPEKCNYKNRLQEWTQRNNQKLPVYLTQSKGDPHQLVFRSTVEVGGKTFPSDHNHSRLKDAEQDAAKVACKNLVTIDDPTDVLGLIEQGVVFCKSILNEFAVKTKATQPTYSVDRPKGLPPMTLFVSSVLFSGNTYTGEAAPNKKDAEQKAARAAIKSILATNNTCMKEIIRSKKELIIAIASSGFNKETNAMTTVTPIIFVPAVGAACPTADQGANIITEADPSGQAVSGSKKRKKHRTTGQNVNHRSVRLA >cds.KYUSt_chr2.37937 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234803380:234803886:-1 gene:KYUSg_chr2.37937 transcript:KYUSt_chr2.37937 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCRSSAPTAPRVPIGAGIMALPATMKVLGVAVGLVSILVMGVLSEVTIELLVRFSARCRVLSYGELVHRALGRPASVVAQMCVIINNAGILVVYLNTIRDVMSGSLKNAGVVDHLMGSSCSGAVNWIWHFFDLGSTRSQLGVLLDLGSARAWRRWESGRATAEIEL >cds.KYUSt_chr4.7202 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42603181:42603729:-1 gene:KYUSg_chr4.7202 transcript:KYUSt_chr4.7202 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTGQGAQPAVEVTLRRFTLADVDAMMAWASDPLAAAPCRWEPYTSTELLLAYLRDAVLPHPWFRAICLAGDDRPVGAISVSPTEDGCRAELGYVLARAHWGKGVATAAVRRALAQVFGEVEGLLRVEALVDVPNAASQRVLQKAGFTREAVLRRYCVLKGKVKDMVIHSFISTDAVLLD >cds.KYUSt_chr5.5257 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33035234:33037492:1 gene:KYUSg_chr5.5257 transcript:KYUSt_chr5.5257 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFAPPPPPQPYESPSDRRRALRVSTRTTRREGKSRGIGVQPRYEIGEAVGRPFKPCALEDLDRQNCNQPDQPKSSLVRAANRDPGEMAAPKEGKTASSGAGSSQIGQNEHLTPDLARWNQGATFVGRQDVQMRASLRPMGLLMAPPSGGGDQGGLGERPAHPKPFGGVSVDLGLRRSATRDADGLLEEDGNWDDGRARDAMGLPQGPETHIGGIVDMEDDVFLEFDEEEEVEKVPSEPTSWKLLARYMANFKPNTKAMFTRFTEEVWRLRSGIRYSEKGKNYYMITLFSKGDYDFVKRGGPWIFDQHALIVKDLDEDAQPSETALTAVPVWVRIYDVPWGKQDEVWGMRYGNGLGEALEVDVPASEQEKKEFLRVRVNLPYDRRLQTQIVTGVKGKREVKVFKLKYERVPYFCSHCGFMGHKKDECEKRRLGTPSLDYDAHELRCSPYKKFEHRTYFMPPAGQASVKRGLSFTSFGSAESFKRFDQRTTRVQRRDSVTPDNVTSRSGYGQEEMPPLMDENVCPVQEGALAQGTMRGATEVATLPLQPEVESNLAAKVDAMLVESGWDERKEANQTRMDASKPIIQFPDEEGQDMNLNQPGHVHLGMSADVLEQVQRLQAQQSAASSGSSWGFGPRPSDMIPALQGLSNLQVSFGSMSDVSMAPADTILGKRGAEEQEVQGERLELALGLGYDGKKDQGTPKKGKTQGLVRGQADKRTVAVVYKRNKKTEATGNKPAGNLTRPNVWSRQGQ >cds.KYUSt_chr2.49979 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312606935:312607261:-1 gene:KYUSg_chr2.49979 transcript:KYUSt_chr2.49979 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLQSKKKGKALHAADPLLDGEFDQAQVDCTVRLVLACCHPNPRERPPMRMAVQVLIGGVPVLEPALNKPAFVWPPGGTQQEMELPDVGLLFTGGAVQHKSFCSMSS >cds.KYUSt_chr2.45471 pep primary_assembly:MPB_Lper_Kyuss_1697:2:283592363:283592935:-1 gene:KYUSg_chr2.45471 transcript:KYUSt_chr2.45471 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKHPFYRGIRSRCGKWVSEIREPRKARRIWLGTFPTAEMAAVAYDVAALALRGPDAALNFPAIAATRPAPASTSADDIRAAAAAAATSLQHDRSAGIGIAPAQQQFGGSSSAAASSAGGGAQQDQAGIGFDQYFLDEEALFETPQFLRNMAAGMMMSPPRLSPDSSDESPDPSDAGESLNLWSYRDP >cds.KYUSt_chr3.30694 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192587847:192592960:1 gene:KYUSg_chr3.30694 transcript:KYUSt_chr3.30694 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLMHSAESLPVSTLASNFPNSVIAKGVCFPGSDAPVVNVNSSKFLPSAAMENGEGYAQTVLPTAELADKVGENTSIVSDVLGARRLEHAGLGSEALAIPKMTRTAPPCGSASPLGATSSTRPVMHGSGISMTTLVNQSTPIKTVANHKGLATNKVIEPVSVLCVTQEDHSHSQYLTFDKQGESTPNEILVSGKKNMPIAHTFSHKQILDFGGIEKETSQNVRSSGRLRAQPNYDATQLERAKMLLQKRDELPVIGGLGSLLDKEDVKLHASMDGAITAHLT >cds.KYUSt_chr5.25978 pep primary_assembly:MPB_Lper_Kyuss_1697:5:164806903:164807325:-1 gene:KYUSg_chr5.25978 transcript:KYUSt_chr5.25978 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQPYRPDRRMGQEGRGDLGWHRNMKDMGRDRDDAIDGVESPRRTMTGGKEDGYDSLNRSILRLIASEGVIDRANKFGEVAKVGAGPNYSSDDDRDVSGELNGPRWSSCVDRWQQRWFHRDVCTRYSSVLEAPIHHFKW >cds.KYUSt_chr7.31009 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193141885:193150547:1 gene:KYUSg_chr7.31009 transcript:KYUSt_chr7.31009 gene_biotype:protein_coding transcript_biotype:protein_coding RPWSAELLLAYQSLGVVYGDVATAPLYVFKSAFAGGDIEHSAGNEEIYGVLSLVFWTLTLIPLIKYVFLVLRADDHGEGGTFALYSLICRRVRAGLLPGGGGGDLAVQPREGAAPAPALRLSSVRAALERHRVLQRLLLLLALLGTCMVIGDGVLTPAVSVISAVSGLELSLDNKQHEYILLPVTCAILVGLFTLQHYGTHRVGFIFAPIVCLWLLCISAIGVYNIIHWNPHVYRALSPYYMYKFLQKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKGEDCAYILYSTWPDIHTRDQLDLDDTMPCCYYRLQRYEALDKCTRVVVRYGYRDVPKDDIEFEKDLVGSIAEFIRCGDSDDQNGSLDGAADYSLERLSSISKGLTFQEDGSEINGSDSSILSPDNEIYQNAIAPKAKRVRFVLPKGAQIDTEVRSELQELSDAREAGMSFIMGRAHMKAKSGSGLVKRIAINFIYEFLRRNSRGSVTAANIPHASSLEVGMVCQPLHALCPRFTDSVYVGLPLYIDFCGLFMRLCPRFADFVYVGLPLYIDFCGLFMRLSPRFADFVYVGPSLYIDFCGLFPRL >cds.KYUSt_chr2.37924 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234723487:234726228:-1 gene:KYUSg_chr2.37924 transcript:KYUSt_chr2.37924 gene_biotype:protein_coding transcript_biotype:protein_coding MATALNRGLRSGIRLLANGAEASKPGKTPRPLYDPIGVSVMRFASRLCSFGSSRGFHATGVKRMGGHATHDGEYYLHAKHMYNLHRMKHQKLTAWFSVLGAVSIGVGVPVYAVIFQQKKASG >cds.KYUSt_chr3.11605 pep primary_assembly:MPB_Lper_Kyuss_1697:3:69124543:69129629:-1 gene:KYUSg_chr3.11605 transcript:KYUSt_chr3.11605 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHIGWEKFARYHRLEVGFVLLFSYFGDSDMSVKFGVMQGYDGSMPPGKKLKIVFVIGGPGSGKGTQCSKIVNQFGFTHLSAGDLLRQEAKSNTEQGTMIKNLMHEGKLVSSEIIVRLLLKAILASGNDKFLIDGFPRHEENRQAYEKIVNIDPEFVLLIDCSREEMERRILHRNQGRDDDNVDTIRRRFEVFQESTLPVIQHYEKMGKLRRVDGNRQPDMVFEDVKATFAQLNTQGRNVTIAQTNPFKRWFLHLCCGCFDVQDGRN >cds.KYUSt_chr3.42700 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269979790:269984674:1 gene:KYUSg_chr3.42700 transcript:KYUSt_chr3.42700 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPQYPSHTAPPSPQPQLGRNCRSIRHQPAAPPHILQYPYPAATVRAARGSAIAKAGCLPRLHRRGYRRDSVGRFSAVSASFLSCRAPLVGLLLDELSDEAAPPPRCGSAGTLLPPLQVQVSTSNVRGDYKRRIYIQTEINSGKVQNHCAASPCSRCGGDGHFEALCTTPAGFDVASTEARCGVCGGAGHADDDCTTAETAHIRCETCGQMGHEPIDCPTRTGGRDDPYAAFCGYDACGNCGWPGHSQPDCPSLHPPPGY >cds.KYUSt_chr2.23432 pep primary_assembly:MPB_Lper_Kyuss_1697:2:143111630:143112064:1 gene:KYUSg_chr2.23432 transcript:KYUSt_chr2.23432 gene_biotype:protein_coding transcript_biotype:protein_coding MCASVSLPTLLELLHASLQALPASPTNKPEAGRLMCASVSLPTLLELLHASLQALPASPVRPSAATAGMTEGSCACISDGFVGPPSGATVTDRCVAKSYLEEKRHGRLASHPPRRPWPPLPLETALSPPVFFSTASLSTYPPEP >cds.KYUSt_chr5.12376 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80704907:80705335:1 gene:KYUSg_chr5.12376 transcript:KYUSt_chr5.12376 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNPVFQMLDAIRVIPQNYDPKWPLWRYVNKIAKTGKGKGGNAKSICRLCDRDISGSYSRVKAHLLKMGDGGVKPCPKVTIDILVQLKSEQDKADASSKSNTPLNIPLPSDGNGSGSKRKASAIEASFDLDTCNKLDSKV >cds.KYUSt_chr7.406 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2258975:2264216:-1 gene:KYUSg_chr7.406 transcript:KYUSt_chr7.406 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHPFYRVAARLEDRWGNGSEAVNLSQVSRMSWMSPGVLQLLPHGTPHDAGCMSPLLTIAGKDTNDDAEAADGQRLAAGLELRHQWCSRDFAGNSRRWESRVWGSGVTVCVCFRQRIEVLQLSKSNPTTMATKQSLLAAAAAAYLLLLLPSASAVPDVEYCNKTKNYPVKVNGVKIVPDPVKRGVPTTFKISASSDKTISKGKLVIDVTYFWIFEVYSETADICTKTSCPAAGEFELSHGQTLSSLTPPGSYTIEMKMLGENDEELSCISFGFRIGFIAPVALS >cds.KYUSt_chr3.31303 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196691832:196695311:-1 gene:KYUSg_chr3.31303 transcript:KYUSt_chr3.31303 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRMERPRSSAAMVRMEAEEPWSTVVAPELGMDIAAAAEPLSSWKNEQESHPQLLWLAANGLDQSTGLGLSIGPACRCFFFTEELKDLRFQLHQAADCCEKAFLGTEQKRLILDSTKSYICDAVVTVIDHLGTVSSKLEHQLEDKTEITQTERKITFLKQRLLTCEQYAISLNLLALRMDTGAVQYHRRYLSQSTETNNKENVTDTRGHPVPGANRTLKPYDVESTIGREVTVAVADVGNPASITRSFSFRAEDVHIAPGVHKKKKASHRSNILAFLKRSKQHA >cds.KYUSt_chr4.16374 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101749417:101750869:1 gene:KYUSg_chr4.16374 transcript:KYUSt_chr4.16374 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRTYGATNRDMKLRVLKNILVKQEKLTEAKKRWHHRANVWLREAQQVVDAAPRVVVSMALTLDEVELEHVSGSSRRAKERNAQNISKFTHKVTTYNWGNL >cds.KYUSt_chr1.23484 pep primary_assembly:MPB_Lper_Kyuss_1697:1:139634667:139636403:-1 gene:KYUSg_chr1.23484 transcript:KYUSt_chr1.23484 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFSSTTVPPSLLLPLIPCPHCGDKIISYVARRGQHPGTRFYKCCHHEEGDCDFYEWQPVYAARKEVTAAIAAHAAPVQGAAATTVQPAPPAVDDDKPHPTWSHDSTPRNQDAVAPAGAYAVHLPLANIMLGVANLMVSVLVLAVLLAVMQCPNPEGCDDDTSRVRTPSAARATRSMSDQYGQDKTPNLTSRCSVKHAFEVIQRFSDFKRWDATIEPDAIEFIKTTLGMNQAGAHSLKAAENFLIGRSREDSSKIEKDCFQIAFVIFVMGHILALVK >cds.KYUSt_chr7.14116 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87255363:87259398:-1 gene:KYUSg_chr7.14116 transcript:KYUSt_chr7.14116 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGRTSSLLVHILVIALCLTAFGFAIAAERRRSTVPLSSSLFAYTRRFIPAVPCKCPPPEPRVSGSDGFREVGCRFVGSIITDPNNSTYCSYDSDISTGYGVGAFLFLLSGQSLLMGVTRCMCFGQPLAPGGSRAWSIIYFASSWITFIIAESCLIAGATKNAYHTKYRHMIYAGSWTCDSLRKGVFISGAVFVVFTMILNVYFYMYYTKSTSQAAKKTSKAAANVDIESSPLLAFDEEYGPEEGEEIEEVDIESSPLLAFDEEYEAVATRVKVEALKRSANYMENEDIAILNAWESISLDVMTANDQSGNKYC >cds.KYUSt_contig_319.1544 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:10290255:10291403:1 gene:KYUSg_contig_319.1544 transcript:KYUSt_contig_319.1544 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDSASNNGFPRRSLHTWEGHLLHRAGYPCPPDTRPPGGGWRLSAGGVPIPPPPQGHALDVAIEEARMGMTEEERADPRHHPENYTRWNSFFLRRWERELASYDGPPPPPPRNNAAGRRRWWSAPDRTLHNVLEHIEGGNSPRLTMPPLSRASNNRQRGNSWQPRRMAASSSSSDSAARSISRSAPSLAPVKKEPASPPSHRTRGGGIVIREPSMAQGRRRPKRDHDTSGERKRKPAKVKVEEEESAEDAAILEAVIARSLQDLVPADNAMPLDQACAWSREQWEKEEAERQARHLQDAARFRRPATPPSGAVVPVIDLEASDDELYKPSPSPPRTSDRWGDAGQGSSQAASAPPQFDDDSSDDDGGDYTVFYRHFGM >cds.KYUSt_chr4.11098 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67431118:67431684:1 gene:KYUSg_chr4.11098 transcript:KYUSt_chr4.11098 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSRHRATAAACFLVLLALCAAPAYGGRALPAASKGAASKAQAAANATAGDEFLAPHNKARAAVSVAPLRWSADLATAAATTTSQQQLNKNCAFADMAASPYGANQGWASYRARPAEVVASWVDQGRYYTHANNSCVAGKQCGTYTQVVWRRTAQLGCAQASCASGATLTLCLYDPHGNVQGQSPY >cds.KYUSt_chr3.36593 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230143679:230146805:1 gene:KYUSg_chr3.36593 transcript:KYUSt_chr3.36593 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMWIVRYTMHDPGPKLVEAEKIDRDYISYLDIMEKIKNDMGYKSCDSLYYEKNGSIHEMIELKDDACVMNMLEMFDAEKEVNLHVFKNMQPNSHSPSHVEFYRSIPVVAIDYDDSASEGSSDDSDFDVHTENAKLRALYGEKKKKETQSKGTYSEDEIEDLFDVTEGLEGFDIDEIAIPMSQKEKEIAFGKSEEARVKMEKALKKKALDKAREKRKPIDDLDLSDPPSSDGGNDPFEDSDEGARMVKGMCFKDVKEFRKTLESSHIKQGRSYNFVKNKMEKVKPRASMENYSLLMGAAAVMKMAVEMAAVSMEKPSGGTSPLRQGAGTEILSPDLGFAMAAALEGFRGFRRLV >cds.KYUSt_chr4.18429 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115807869:115808246:-1 gene:KYUSg_chr4.18429 transcript:KYUSt_chr4.18429 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLCDNIVEEILRRLPAKYLHRVRAVSHRYNDLVMSPGFVAHYWRSHAPHLCGVFLHTERLIRPWGHFPDFLAGSPRPSATESMFASDLGFLPHLPESELQVETMGRRQEDPHRALHGWPAAVL >cds.KYUSt_chr1.24293 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145024756:145028146:1 gene:KYUSg_chr1.24293 transcript:KYUSt_chr1.24293 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGLPAELHAATGGAAGGAAELQGAEGGASMVRHRSCKGALPELQRCAAEASKVRHRSCKGAPPKLQRCAAGAAKVRRRSFKAWKSMQDVHELASALAACAAGLQPAVASGGAAAQDIGSIIIAQSFTTGMG >cds.KYUSt_chr5.33129 pep primary_assembly:MPB_Lper_Kyuss_1697:5:210124732:210129009:-1 gene:KYUSg_chr5.33129 transcript:KYUSt_chr5.33129 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTKRALNIAQRKNLFPLSCQARRPAPPPNKTPPPSPQPRRRSTDSPPCLPQSGTVLSRLSLALSPHGVPPPSHLVFPLRRLMHWHPNPGATLNSQILAEACGCAESLGGTKDGRWKTSIFFYRPIARETAASGAQQQQQAPDVPRELFGVALHERPGLYFSILRNQRIVLQTDAAFPLVMEKLQSYKARVTLNFEETLAKKSLPGRFIHVESNFSEFGLSDQYSYQHTAVQYAICLQQLMASVRG >cds.KYUSt_chr7.35814 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223707622:223710859:1 gene:KYUSg_chr7.35814 transcript:KYUSt_chr7.35814 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPASVLAFAFFIFFPFLHGAGGASEEDDRSTLLAFKAGVSGDPNGALAGWGASPDVCNWTGVACDAVARRVVKLVLRKQKLSGEVSPALGNLPHLRILNLSGNFFAGRIPLELGNLSRLKSLDVSSNMLAGTVPPELGNLSSLNFLDLSGNGFGGAVPPELGKLSGLKQLSLGANQFQGSIPVELTHTRNLVYLNLGENNLSGHIPAAIFCNLSALQYIDLSSNSLDGEIPIRADCPLPDLTFLVLWSNNLVGGIPRSLSNSTKLRWLLLESNFLAGELPSSEMLGGMKSLELLYLSFNYFKSSQNNTDLEPFFASLTNSTGLKELGVAGNDLAGTIPPVVGRLSPALTQLHLEFNSIFGQIPANLSDLANLTALNLSHNLINGSIPPGIAGMRRLERLYLSNNLLSGEIPPSLGNVTRLGLVDLSQNRLGGAIPGTLSDLTQLRVLALHHNDLAGAIPPTIARCANLQNLDLSHNMLQGEIPADLSGLSGLLYLNLSGNQLEGPIPATISKMTMLQVLNLSSNRLSGVIPPQLGTCIALEYLDVSSNVLGGSLPETVGSLPFLEVLDVSCNGLTGPLPLSLETAASLRRVNFSYNGFSGEVPSGGAFLGFPADAFLGDVGLCVGMSSMVPGLPRCGGVQRRVLHNRRVVVPVVVTVASFAVAIFGLAACRAVARTASVGRDGRQSTLLSYGGDEWTERGDHPRISHRELSDATGGFQQSSLIGAGRFGRVYEGTLRDGTRVAVKVLDPKTGGGEVSRSFKRECDVLRRTRHRNLVRVVTTCSQPDFHALVLPLMPNGSLENRLYPRDGSAGRGMDLARLLAIAGNVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDEMTAVVADFGIARLVKDAGDDGLDITGSADPCNSITGLLQGSVGYIAPEYGLGGHPSTEGDVYSFGVMILELITGKRPTDVLFQEGLTLHDWVRRHHPHNFAAVVARSWLADRGSPAVQVDETAGTDDIVAELVDLGLACTQHSPPARPTMVEVCHEITLIGEDLAKRGCEAPSVAMTVSDGSCSTADSSF >cds.KYUSt_chr3.36218 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227755079:227758484:-1 gene:KYUSg_chr3.36218 transcript:KYUSt_chr3.36218 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIITKATADGTLHNKNWDIEPLLALPENATGTDMTSTGKDSSPFSFSTPRRSPSRRTKSRWEPVADEKVINKVEVVPKEPAKSNISTWEAAKRTGNSWDLGRFVQSRQAPSSQWSQRPSKKQRISGSTNVNKNGNASSDSDKEQDLAKYYASSMQLTNSPEEKKRREHRSKRFERGQSASSKSGSSVPHKANVYIRKAMPMLPNKVNGDGVTLAVEDLDWDALTIKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVETCEKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLALQAGDLSEYNQCQSQLTRLYGEGISGCHLEFSAYNLLCVMLHSNNKRDLLSSMASLSKEAKLDETVKHALAVHSAVSSGNYVMFFKLYKKAPGLNSCLMDLYVERMRFEAIKCMSKSYRPTVPVRYAARVLGFTKVVEVCEVEVADGLEECEEWLKAHGAILAVDGNNGELQIDTKVSSASLFMPEPDNAVSHGDASLAVDDFLARAS >cds.KYUSt_chr7.20833 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129133834:129136753:1 gene:KYUSg_chr7.20833 transcript:KYUSt_chr7.20833 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDNSGDEDGGGDGSGVDGEAFRGHFPVPAACRNREFCPPDLGFAMAAALEENRNWPFGISSIEQAMSSGGSSGGGEEAASEISEVLSSVVQPLPIPLFYSRTRRSSQHVNAREKMLHPGSDHDLKLKSISCKPTTEVFEVEEEMEGQQEEDEEEQEEEEEEEKEEEEEEEEEEEDEEEEEEGGEEDEEDSMEVEKKEFAEHQRCWENRFGKGFGASSE >cds.KYUSt_chr7.28579 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178259144:178263950:-1 gene:KYUSg_chr7.28579 transcript:KYUSt_chr7.28579 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRWRRKCRTRDAVLALLLVSVLAPLALYSGAPISAFSGPALTGSAFGRDPSNLIARNEAGKRLRSLRQSEDCCSECFPKDELGALKDPVRTVASDASVKREGTAIAGVQGSQLGQDGGILQVVTGSSIDISGESGGSKDSRARGIDDTKQASYDSSKRKRVDDGLSSLEEGAQHREQSGVSNVGAARDNKVRGMHNAGYRNVPSDKKPANHVFHIVTDKLNYAAMRMWFLANPPDKAAIQVQKIEEFTWLNSSYSPVLKQLESHFMISYYFNTPENKPDKNPKFRNPKYLSILNHLRFYLPEIFPRLNKVLFVDDDIVVQQDLSPLWSMDLKGKVNGAVQTCGEVFHRFDRYLNFSNPLIAKKFDRRACGWAYGMNMFDLSEWRKQNITNVYHYWQEQNEHRLLWKLGTLPAGLVTFWNHTCPLHRSWHLLGLGYKPNLNPKDIEQAAVVHYNGNLKPWLEVAYVQLACHTVSHAAMARFSYSLLCLGLLLVAVGYAAASTEADAAEAPVHVSGGGSEVALDCWKSVMDTTQPCARDVLRTILLGGVHISKECCAVLAQVGERCVVDVFSSLPLGAAYVPVVNRICGLVSGVA >cds.KYUSt_chr3.39667 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250041805:250042101:-1 gene:KYUSg_chr3.39667 transcript:KYUSt_chr3.39667 gene_biotype:protein_coding transcript_biotype:protein_coding MADFIVPNGGAASFINVGHSAAALGGFGQEQQFAAVHMLSRSYEAAEPIARLGGNGGYEFGYSSAMAGAGSVGLGMLGGSPFLKPGIAGSDERAGAGQ >cds.KYUSt_chr5.41410 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261360142:261365477:-1 gene:KYUSg_chr5.41410 transcript:KYUSt_chr5.41410 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPVLRAHWRAAAGLLRRLQWHRIPRAAALKSPPAAFLLRPHLHSHHHRRSAMGKGKKNDFEASGSSSKRVPLPITLGRLMHGKWLPCEAWSDVQLPGGWRLSCRRVPIPLVPAREPDRTAEIRRRRRYLPPDLRADPAYAIYSDSWHGYDDEDDYIEALAYHNVEVKDDSDDYVAAVFHEWQQAMVEGRNFEFPENMTDDEMAKLGVLVSENDAPVQPPLPRYATGVMPPGLSEDEALRQALQDSVAPQPPLYNPWAPPPQSQPWAPPPPPPQPYPWAPPPPPQPQPWAPPPPAPPARPAYAPPDGSWPWVIPELIAAMERVLKDDAVQEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGRTVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGYRMAAECARNALLQKTMDNGENTDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLESIQILKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENANILVANTAMDTDKVKIYGARVRVDSMSKVADIEAAEKQKMREKVNKIIGHGINCFVNRQLIYNFPEELFADAGILAVEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKLIEEIMIGEDRLIHFSGVAMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVKDTRVIFGGGWPEMVMAKEVDELARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENSTAGIDVITGGLGDMQKRGICEAFKVKQAIILSATEAAEMILRVDEIITCAPRRREDRM >cds.KYUSt_chr4.34850 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214060353:214063121:-1 gene:KYUSg_chr4.34850 transcript:KYUSt_chr4.34850 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGTLFLVVGAWHVWAAMARFAADPLGFRLRVWNPVGGDGALRHLELYVIAGGAFIDMCIEVLYSTHLHFLAPEGGVNPAHLNDLEHGGMLLMFFLFGALALLSQKTRYLPLTEGALCLVGATAFTAEFLLFYFHSTTHQGLEGYYHYLLVVLIGLCIASSVLGALLPDSFPADLASGLLITLQGLWFYQTAFTLYGSMLPEGCHRDADGHVECHGHAAGERGEQLANFQLFAYVFLVFVYALGCYAVAAARYGHPDLRTMHATAMERRENGADRGGFVGSSGLSREQGVI >cds.KYUSt_chr1.33861 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205809007:205812079:-1 gene:KYUSg_chr1.33861 transcript:KYUSt_chr1.33861 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVGAQSAVAAEAGDIECGERRRADEFVNDDDDDEDSQYFTDAEDRSWPSHSRHESAAAFEDCISRCASARASSFGGGDSDGDIEAGGGEHLRKSSCVSECSLDDVDLEAGLAEVIKGSPDKAERNCRICHLGLETAAADSGAAITLGCSCKGDLSYSHKQCAETWFKIRGNKTCEICSSTACNVVGFGDAEVTEQWTESSTAAVQSLPTENQRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >cds.KYUSt_chr4.47137 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291754291:291756184:-1 gene:KYUSg_chr4.47137 transcript:KYUSt_chr4.47137 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASTSKVVLGCVAFGIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVITPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLQWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWRYLSVEPTDQQERGAANGPEVVADDEVTSHRFTPARMSHVSSLNPDDFDCVSEPIIRSASVNASVNGDLRSRSINSEADMQFSIKSLRSSSMSHEMVEVSTVPAATLDGASSRKFTRTASQQRSVIIEDAPPSPPDSVAADGEKDKELPEVVEKRWRVLVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTMWELVEPYSRIDSAKGVALLGVVILILSNVASNVPTVLLLGTRVAASAAAISPASERKAWLILAYVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLKFGVPSTIVVTAIGLLIVSSY >cds.KYUSt_chr2.6681 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41616773:41618020:1 gene:KYUSg_chr2.6681 transcript:KYUSt_chr2.6681 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYILLGLLLLPCSSSANDTLTAGQALAVGDKLVSSNGKFALGFFQPAASTITSKFTNITSTANWYLGIWFNQIPVFTTVWVANRDQPIPNDPKLNLTTHLKISSNGNLIIVHDEVVIWSTHITPNSRIRPSINTTSVVLMNSANLVLTSLSDQVLWESFDYPTDVVLPGAKFGWNKVTRLNRRGISKKSTVDPGLGYYSIELQTNGNGIMLKRRKPSLVYRTYLSETSSILKLLPRVKKILELVPQTKGVVIPYYFSNKEEEYYMYNSTNESASSFLSLDISGQIKLNIWSQAQGSWQVVLDDPIDVCTPPATCGPFTVCNGKAQPSCGCMESFSKKSPRHWEFGDRSHGCVRDSPLYCTSNKNTTSSTDMFQPISQVTLPYNPQRTDLAASRRNCEESCLSSCSCTAYSYN >cds.KYUSt_chr1.17446 pep primary_assembly:MPB_Lper_Kyuss_1697:1:101355000:101358633:1 gene:KYUSg_chr1.17446 transcript:KYUSt_chr1.17446 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVVAGKFRLGRKIGSGSFGELYLGINIQNGEEVGIKLEPVKSKHPQLHYESKVYMLMQGGTGIPHLKWYGVEGEYNVMVIDLLGPSLEDLFNSCNRKFTMKTVLMLADQLITRVEYMHSKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPAEVLCKSYPSEFISYFHYCRSLRFEDRPDYSYLKKLFRDVFVREGYQFDYVFDWTAIKYPHMSSSNKLVRQPSGRMAGVGASADRTDRSSVGQEIRDRFTGAVEAFARRNSGSGRQGDHSRHKSLAESFTSSKEAVAAESERTGILSRTGSSSKMAITPSSRPTSSGDCTGRLFSGSGSGSGSSSRPSPSNVQRLHHSGGSGTENGSSSPVARNSQGRTGRRDSHAALRSFERLTISGERRK >cds.KYUSt_chr1.31321 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190033165:190035946:1 gene:KYUSg_chr1.31321 transcript:KYUSt_chr1.31321 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAGADPAAHPAPAASPDLRARLAQDLDAAQEARAALARRLEAALEVRTTAPRSLSSPLLIAPTSLILPPPLMLQARKESVRQGAALDEARRRLDLLRARADELVVRKRRAAEGVERRKEQLQAQIGRVLPLSRALAAAHRQVQVNHSFIQNVARFGLGTAYVSLVVSVDSLLAVGGKRGPVWGEGAARGSAEAAQDEAAVYGEPGGHGTLSEQNGVLPHENGNGADFLGVAKSPQVRHLTFFGWQIARHKTKQQSYDYTELQRSAAVLGYAAHAVLLIASYLYVPLRYPLRFGGSRSYVSDRLPSEEHPSISSADSKLTDYPLFLEFQDDSTRASYAIYLLQKDTEQLLNYVGAESSGRNVFGGQGEKAWLGDLQRLLRTKQRRMVNQVAALYPVSVFREPPVAENHRSGANGEDSLPSRVPRNNV >cds.KYUSt_chr3.30652 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192192633:192193562:1 gene:KYUSg_chr3.30652 transcript:KYUSt_chr3.30652 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWGRNKRQQPQDQAEEDSKVGKSKPGFSPLAWLSKLTAKSNVAASSRPKAKSVAGGFPSCFLERPTPSSASQSSTTEASSPAAAASDIAPRRLSVGNDDAESAASAAAARQLYRRRHYSVGGDRDLPPLRHLTLFSRSSSPPVRAPATPPTAGSTPMPSSPPSDTDDEKRPRSRQRRRRGSRRRSFTGGTPRARLAAVRVRSPRCAAAAVSGLERFAVVRRTSDPQREFRDSMVEMIKTRRIGRPEELETLLACYLSLNADEHHDCIVKVFRQVWFDLKLNPARVAAPAARLIEHPCRAATISSISM >cds.KYUSt_chr6.1346 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8345103:8350015:-1 gene:KYUSg_chr6.1346 transcript:KYUSt_chr6.1346 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVQDDDTIVLLSVEPAAVQATLGALRRLGRASLDPISLPPCPAATRVDEMQRGSEMRAVHNSVDTVNAAAAAIVSAGSRTQPTVEPRRKWADWLSVYFCFGSQKNDRRISHAVLVPEPASQRIDAPAPAIPDHPPPQVFPFIAPPSSPASFLQSGSASIIQSPMGPPSFSPRSPNSPSPTGPPSIFAIGPYAHETQVVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNGERGDIHSYHMYPESPIGRLISPSSVCSGTSSPFPDPESQQASSGSTFPSFPVRVPPKILDGDGIATQKLIPRHMRNGGSLLDGHISAAVPVVDFSARLQNNDHAMDHRVSFELTVEDVARCLEKKTAISGESAASSFHLSSTSNGDRSRESNETKAGLYVDETYHDLPEKARRSLSLRLAKEFKFSNTDAPQVEEAGALGSDWWANEKVAAIATEPRKSWSFRPVAQPGVS >cds.KYUSt_chr7.22654 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140527020:140529046:1 gene:KYUSg_chr7.22654 transcript:KYUSt_chr7.22654 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLSRNRRTVNRPYGGVLSGPAVRERIIRAFLVEEQKIVKKVLKIQKTKEKTTKS >cds.KYUSt_chr3.27631 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172530709:172542735:-1 gene:KYUSg_chr3.27631 transcript:KYUSt_chr3.27631 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPMAGEGTLAAVMPRSPPPPATAEAAPGSAAEAPMLIFLYFHKAIRAELEGLHGAAVRLATERAGDVGALADRCRSFVNIYKHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGEHDLFSQLLALLQLDIQNDDALLRELASCTGAIQTCLTQHMSKEEEQVFPLLTKKFSYEEQADLVWQFLCSIPVNMLAEFLPWLTASVSSDEHEDIRNCLCKIVPEEKLLQQVVFTWIEGKATREVAQSIVSDNFERSHSCKDASVVDQADGQVDRYPIDEILYWHNAIRKEMNDIAEETRSMQQSGDFADISAFNVRLQFIADVCIFHSIAEDQVVFPAVNSELSFVLEHAEEERRFNNFRRLIQQIQMAGAKSTAAEFYSELCSHADQIMEAIEKHFCNEETKVLPQARVLFSPEKQRELLYKSLCVMPLKLLERVLPWLVSKLSDEEASSFLQNMRLAAPSSETALVTLFSGWACKSRSEDKSNSGEYICLTTGAARCLLDDVDELKKCQSFCPCASRSSADVALRLEDENGSRPGKRGNDAESVLGTNGNCCSQIADTEARPCSKKPCCIPGLRVETNNLGIGSLASANSYLSLSYNSSAPSLYSSLFSWDTDTSLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKEPLHNVSHSYTLDHKQEEQLFEDISNVLCELSLLHESLNQPDTEESKENYLKSSSGIDLTRKYNELATKLQGMCKSIRAALSNHVHREELELWPLFDKHFSVEEQDKLVGRIIGTTGAEVLQSMLPWVTSALNQEEQNKMLDTWKQATKNTMFGEWLNEWWKGVPSDSSAETSSVPEDTHSQDKLDQNDPTFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPDQRLEECSGGAGIPGCVSSYRDQEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATQEMMCMVCLKVQPVGPNCQTPSCNGLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCERKGRSQFHWLYHKCGSCGSYNTRVIKTDTADCSMPN >cds.KYUSt_chr5.43264 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272714227:272715819:-1 gene:KYUSg_chr5.43264 transcript:KYUSt_chr5.43264 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTRVAVLFCTLVLLQVSCAVSRYVDVPAVMTVNGFQEGEGGGGPAACDGQYHSDEEFIVSLSSKWFHGGVRCGKLIRITDSSSNLHMSAIVVDECRDCDNEVGASPVIWRNFHLDPSLGEPQAHKASEDIPYLNSPTKPHAVIAYLAGLGLSRRDIASLLSKDPEFLCARTERILNPVLSITALRLWADLEDGPGRQ >cds.KYUSt_chr7.12347 pep primary_assembly:MPB_Lper_Kyuss_1697:7:75869606:75873176:-1 gene:KYUSg_chr7.12347 transcript:KYUSt_chr7.12347 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGLRPVPLIVVLASLVAFPASSLGATAPQNDSYTDLQALHCLKLNLVSSAGLLASWKNDSQFCSWSGVTCSKRHTSRVAALDLESLNLDGQIPPCIANLTFLAKIHLPNNQLTGPIPLEIGQLSRLQYLNLSSNSLTGVIPVTLASCFRLQIIDLASNSLHGVIPPSLSQCSDIQQLSLGHNMLSGGIPEGLGTLRNLSILRLATNSLTGNIPDSLGSSPSLHSVVLVNNSLTGPIPPLLANSSSLQLLAVTTNQLNGEIPPALFNSTSLQRVLLGENKFTGTIPVFPNIDSPLQYLILQSNNLSGTIPSAIGNFSFLRWLLLGDNNFRGTIPMSIALTYLGMATNSLIGELPYDLGYTLPSIQTLNMQDNQFQGQCVRLESLHIEGNLLHGRIPQSFVNLRGIIVMDLSQNNLSGEIPDFLKFFKSMKLLNLSFNNLEGPVPADGIFQNESNVFVQGNKNLCARTPLLQVPLCNAETSKQRHTSIVLKIVGFVALFLILVSCFGVIILKKRKKVKQAAHPSFEELKKLTYADLLKATNGFSLANLAGSGKYGSVYKGRIESEEHEVAIKVFKLDQSGATKSFIAECTALRNTRHRNLVRVITVCSTIDSAGNEFKALVLEYMVNGSLESWLHSTPHEHHPRRPLSLGLRIVIVVDIAAALDYLHNHCMPPMAHCDLKPSNVLLNDDMDACVGDFGLAKFLHTYTSSETNSSTSLVGPRGSVGYIAPEYGFGSKISTEADVYSYGIIILELLTGKRPTNEMFNYGLSLYKFVKQSFPQNIKDILDPRIVPRYGDEEAGSTLNQENHPMAGTMNCIVELVKIGLLCAAETPRDRPDMQDVYTDVTAIKEVFSALQG >cds.KYUSt_chr2.37071 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229463763:229464213:1 gene:KYUSg_chr2.37071 transcript:KYUSt_chr2.37071 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRGLLVLALVAVAAAALTAPRGAYGAGECGSTPADRMALKLAPCASAGQDPNSAPSSKCCTAVHTIGKQSPKCLCAVMLSSTAKSAGIKPEDAITIPKRCNLVDRPVGYKCGAYTLP >cds.KYUSt_chr3.4878 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27716354:27716962:-1 gene:KYUSg_chr3.4878 transcript:KYUSt_chr3.4878 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILLSCIATPTITISESCAHPLPFIQTSSRHRADISSSQPTEDGLIRKKTGARSSPSGDAAPRDEQQRRPEFEAALSALSITAPTSSVSYLFLALSPPVFFPLFLFLTMGVGSSRKGVAADVCAGQQQAGGDKTEAPDVGRASRMFSWMPSTADGRPWPIRGGLTLELHRNLHALNSMVIMASGGHERIPDHDGAVASAH >cds.KYUSt_chr5.33221 pep primary_assembly:MPB_Lper_Kyuss_1697:5:210726894:210727311:-1 gene:KYUSg_chr5.33221 transcript:KYUSt_chr5.33221 gene_biotype:protein_coding transcript_biotype:protein_coding MAARASSLALLALLAFLCLVPHAVSRANHGRAAVLEASSSSSSSSVSSDDGHGYPCGSVISIRVPHDGICERDRCGALCVELFMWKYPDIKGVIGDCTAENQHECICSFLC >cds.KYUSt_chr4.5437 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31378535:31382033:1 gene:KYUSg_chr4.5437 transcript:KYUSt_chr4.5437 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRNPPLSASAEVVMKKRPRSVASRKPRPKEQLASEYKDISCTPSPDDHLGTSHRSRKELFLNGPQIRGSRPHDVSNKARREDRDHDARTGAVNHSSPAPSFSTNSGPPLDTSHLPSPDTTNAPPPPNRLRKVKLKVSGLNRTMQTKPIQEVADAGPPGTSDASSHHHKHKDSGEQKHYSRSKDTHGNRTDGKRGDKQDISPSSDLVRKSKRVPKKRTLDSDDEDGELRYLEKLKVAKAAQEHPIATNSPLAYGYGEDGLRKKKLPKVSRTKGSPYEVDNDFTMSQSSRDDRKNLELEDGDDFIEEDESGLDEPRRLKEADSPSSVKVEAPGLTTRQRALHGRVGHGESVIEYPDGLPAATSRKQKEKLPDVEIQAKKAEVAQRRKMQVEKAEREQQAEAMRKILGIDTEKKKEERKQKEREDKEKQARFEEYKRSCIQCVMGPEGTVITFPDSIGLPSIFSSKPSSYPPPREKCAGPSCPNPYKYRDSKTKLPLCSLECYKAVQGSNRTMAC >cds.KYUSt_chr1.39059 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238726488:238730348:-1 gene:KYUSg_chr1.39059 transcript:KYUSt_chr1.39059 gene_biotype:protein_coding transcript_biotype:protein_coding MQVASPAPPSRSRDSRLLGVFDLPASWGYRKPMAFCRDHDAPPPPPPPPPAAEAQQPNNSSRSPAKGAAPEESPRTQCYQLRDRRAGRDSAEDAREHKKLWNMDGGAGPSKWSGGFSLQLSKEEIDDDFLAMTGRKPPRRHRRRPKSVQRQIDALCPGKSLPEVNRDRYKVNECGATAGFSSTDTDKVSSLQAPNCHRWSGVTDCLNAVYDMLRASIYWLDRPPRLMQMQVNELNNRADYVRELLVKIGNKLGFTNFDYESL >cds.KYUSt_chr7.25233 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157346058:157355144:1 gene:KYUSg_chr7.25233 transcript:KYUSt_chr7.25233 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGLSVGKSVLHGALGYAKSALAEEVALQLGVRRDQAFITDELEMMQGFLMTAHDEQDDNKVVRIWVKQVRDVAYNVEDCLQDFAVRLDKQSWWRAPLTLLDRRRVAMEMKELRAKVEDVSHRNVRYNLKNPGSRSATSTSQSSIAGTTMLGIEEAMKHKTSKVDLVQLINKKDTDLRVIALLGKGADLEQTSIIWTAYENEEVKKKFTCRAWVRVMHPFNPEDFIQSLVKQFHTSVGVDIMLETKKKGQELADEFNGYVNGKKFLIVLNDLSRIEEWNRVKRCFPSNNKGSLIIVSTRQGEVASLCAGQESMVSELKKCSFDQNLYAFYEKGSQDGTDLMKAARSSSRNAITNGTYHSHSQDAEWNRSTSKSYSRAMTMAISLEESHIVGRDTEIKKIIDLILDKAGQQLKVISVWGMGGLGKTTLARDVYQEISGKFEKRACVTIMRPFILEELFKSLVIQLNAETSEKKNAVEWDLIVQRFPKMAKGSRVLVTTREENIAKLCSVQKDYIYKLEALKKEHARDLFTKKVFKEAVYLDEQYPELVEQAELILKKCRGLPLAIVTIGGFLANQPKTAVVWRRLNENISAELEINQELGTILAVLTKSYDGLPYELKSCFLYLPIFPEDYKVSRRRLVRRWTAEGYSREVRGKSAEAIADNYFMDLISRSMILPHQQSIHSRKGIDACHVHDLIREIGVSKSIEENLVFRLEEGCSLNTQHTVRHLAISSNWEGNQNDLESIVDMSCVRSITVFGKWKSFYISDKIRLLRVLDLEGTSGVFDHHLKDIVKLLHLKYLSLRGCTPICHLPNSLGNLKQLETLDVRRTQIVKLPHSIRKLRKLNYLRAGKETIDEDISYEQVVEDLPTVMRNSPCLLTVSSMILCARCIADTDPNGSNHRDLCTAFCCVSFPVVSMKLDAHGIVVPRGVRKLKALHTLGVMNISRGRTILQNIRKLSGLRKLGVTGINKRNSQELRSTVAHLSRLESLSLRSEGMPGLSGCLDGISTPPENLQSLKLYGNLVTLPKWIQCLRNLVKLKLRNSRILDHSAAIQVLGKLPNLAILCLWMKSFEGKEVTFSFNQHAFPSLVVLHLDRLDALKLLDFSMGAAPKFEVLQLSETYIQGCNGLLNLPRLKEVVLKGGYTSVDGLRADLVEHPNRPVVKLN >cds.KYUSt_chr5.28100 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177848592:177855643:1 gene:KYUSg_chr5.28100 transcript:KYUSt_chr5.28100 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCKGRLKHIQQAIDARYALSAAQLSYEQSLRNVGAALKEFVESHHEGAPEKSPRSSCALPSPLGPADSANTPPPPPPVPRRSDVSRLRSAASASLTVTFSPSGASFVKEEQPISTSLSPLLPSEVCSSWDFFDPNVLDQNVASHVPDDGQSVFMRNLEDCDHGDEKDSASSSGNTSPAAEVQEQLGTYGCKEADGDSNHHKLNHNDCNEIEIEIVDVHLPNDPNLEKGPDQVQRQSVEGQNPTSISNDIENEANHVDKVNVPERSCSEEKGSSITSRSKDFLSDVKELDRQFTRAAESCHEVSRMLETRKIRLSVSTQITGSHASSLDRLYAWERKIYDGLKNSESIKKIYDKKCAQLSHQFAKDGNARQVDKTRATIKELYSRLMVGIEVLYSNSKIIEKLRDEELQPQLLELLQGQARMWRMMQEVHQMQHTIISQADAKISAVSPSSESQKHTMMNLITELGFLHSSLASWADAYKNYVGGLQSWLQKCVAQPRDRARGRRLTLSPRQHLAPPLFVLLEDWSAGMTSLPSEESCGSIKNLAADLKKMYKQQTAKKRSSDTGAEDKNAEHGKSDTEAKLATLQGADQADRLDSINWIVTSDGSCSAGSYRIQFDGNFHDLEGPRLRAVESAVPSAQLFFECPFSRSIWSKIASIDVSSLIASNGFAEVWLSSYHKDIKSLVILVYCGVLRELSEAGLRCSRCVARTSSSAPLLLCALFASAAAHMLLPSCSSPLPHAPSLVAAVGCTPVRLRSSVP >cds.KYUSt_chr7.36793 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229875740:229876615:-1 gene:KYUSg_chr7.36793 transcript:KYUSt_chr7.36793 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEKKPDEIIATGVLESLQNFLRKCVIAVLSYGPMPKHIAFIMDGNRRYAKSRSIKEGSGHRVGFSALMASLLYCHEMGVKYITVYAFSIDNFKRDPTEVQTLMELMEEKINELLENRNVINKLNCKINFWGSLDMLTEPVRLAAQKLMASTAQNTGLVFSVCMPYNSTSEIANAVNKLCKERRDMIQGQQAGSCNGLNANGGTRSDISVADLDRHMYTAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPNPLWPEFSWRHLVWGILQYQRVYPYIEQNKTLAKKQL >cds.KYUSt_chr5.32585 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206685608:206690136:1 gene:KYUSg_chr5.32585 transcript:KYUSt_chr5.32585 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLVLSSSRSGTTAPRAAALDGDGGSLAVLRGKDTTKSWEKGRELVHAGLKFRREHMLDYNFPGGNLNRGLSVFDNYKLLKGVDNPSEEVFFASTLHCFRPSFLCLMISWMTLTLDVASLFGLEYLRSVGFIAVNDGIILRNHFLRMLRLHFKKKPYYADLLDLLNENWTASAIVLKESVSLTGGQQSVAPLVKRYGSPWVLQTVVGALCLPTHDFASVHPLDVNVDLSCRSAHW >cds.KYUSt_chr2.17558 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110599959:110603087:-1 gene:KYUSg_chr2.17558 transcript:KYUSt_chr2.17558 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVSSLPVTDDLLAEIFLLLPTPADLVRASAACVSFRCLVTDRAFLRRFRSLHTAPFLGFLNHNGFHPALPPHPSAPAAHAVSLTADFSYSFLPSHDDGWKVRDVRDGRVLLVRTLLDDDEESPSLVFTDLAVCDPLHRRCLELPWSTRPAATRGIPALCKTKLVAFLFSSTTGEWRAVASQGWADLLPGTGVSTASSKSPVFFTRQYAGGCFYWVMDWREKLLTLDTKTMEFSIADLPPGCRRPPIAIVDAGGEAGPGCSPSGKMSRAAHRIESPIAVVLLRLYSDNGIDLHRPSTARHSHTRRTRGAPTCITSGCSCSRGLHRLSVFVAIDRDYIDNAAIDSDLRVVHAMARIVPPSVRSVRRPAPRPGRPASARTGRRHGRRTDHPTGLPSATTGRTGFRTGSDRTKPGPEPVPTGPLSRGFRPVTRRPGRQTGLKAGWTGALAGQTGPQAGWTGAQAGRTGPQADRSSRASGSIGVPFCSAIGSDLRIVHAMARIVPPSV >cds.KYUSt_chr2.31039 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191436532:191437226:-1 gene:KYUSg_chr2.31039 transcript:KYUSt_chr2.31039 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVYYSTYGHVATLAEEIKKGADSIADVEVTIWRVPETLSEEVLGKMYAAPKREDNPVITASQLAEADGILFGFPTRFGMMAAQMKAFFDSTGGLWQSGALAGKPAGVFFATGTQGGGQETTALTAVTQLTHHGMLFVPVGYTHGAGMFAMDEVKGGSPYGAGTFAGADGSRQPTAAELALAEHQGKYFAGIAKKLKA >cds.KYUSt_chr2.47961 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299899802:299901498:1 gene:KYUSg_chr2.47961 transcript:KYUSt_chr2.47961 gene_biotype:protein_coding transcript_biotype:protein_coding MELNAATLLSVALASLAILVSLLRRKTASSSEKRRPPGPRCLPFIGSLLHLLTSKAPVVLRDLARKHGPVMYLRLGQVDHIVISSSAMAQEVLRDNTLNFASRPSILAGEIVCYGNLDIAFAPYGAYWRTLRKLCTMELLSARKVRQFAPIRDSETLSLVREVAAASERGMPVNLPSVLLSCSNSITAKAAFGEVCSPELRQQFLSAMEVAVSLGLGFCVGDLFPSLWFVDVVSGMRRRLWRTHRQMDAVFDTIIAECESRRLKRKTAGMTEDDDILSVILRIKDEGEIEFPVGNTNVKAIVFDLFIGGTETTSTAVEWIMSELMRNPEVMKKAQAEVRRAFDNTKPQDHEEHIEELPYMRMIVKEALRMHPPLPLLIPHLCRDTCEIGGFKVLAGSRVLVNAWAIGRSPDYWHDAEQFRPERFEESKLDYKGTHYEYLPFGSGRRMCPGSNFGLAALELILARFLYYFDWSLPAGTRPEELDMDMVVGATSKRRNPLNLIATPYNVSMKYQS >cds.KYUSt_chr4.6056 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35529549:35532655:-1 gene:KYUSg_chr4.6056 transcript:KYUSt_chr4.6056 gene_biotype:protein_coding transcript_biotype:protein_coding MDVISLDDWELLPDQESSFFMEYTVGGKDQILLGADLVMINMDHFTPASHSSPYNCILDEEAKKPHQPPLFQDASDPVVEFKDIGVVHTQLSQEESASKVSEVLISDADDEELIKWRAVVEEVCEKDEVMVEAAPDLRDEEEEGVKGDRAGLECVGFSVGKLRVNGAGALCSFGVAAATFCIFLLGGKPQNQKKVQSQKMQFQMYADDEPGVRATTCSSSYTATTTSSHTNTVDAATSSRTNVAAATTGFVTGSGRGHLVFVNSDDNE >cds.KYUSt_chr4.47504 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294078277:294078987:-1 gene:KYUSg_chr4.47504 transcript:KYUSt_chr4.47504 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGHELKLVGMWASPFVTRVKLALHLKGLSYDYVEEDLSSKSELLLSSNPVHKTVPVFIHGGRPVCESHIIVQYIDEAFVAAADGSPPLLPADPYERATALFWAAYIDDKVLKPWRRVFSARTGEERAEWMEQAVAAVDALEGVLAGSKEGGFFGGDSVGYVDVLLGGIVRWVHATAAISGDELFDAARTPLLAAWMERFGELDAAKAVFQDVDKVVDYAKMIMALFAAGSAAT >cds.KYUSt_chr6.31509 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199125432:199130261:-1 gene:KYUSg_chr6.31509 transcript:KYUSt_chr6.31509 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVIPKDTPHRRRPIPPPLPQLVCSGRLHPVARASGVRRDPWGVAIVRLPIYGTFPAEATLEILGGGDSVSSNAGPAAPAGGVSVQGDLLQVTSVGDFASGLDGVDGLEPADSAESTEQANPQSAGWTKRVRVGRAPPDRTHCAERQSIVCRG >cds.KYUSt_chr2.17961 pep primary_assembly:MPB_Lper_Kyuss_1697:2:113093328:113096783:-1 gene:KYUSg_chr2.17961 transcript:KYUSt_chr2.17961 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLMSQTALSSPPGSLPPPPYSLWMPPPPTQTPGTPITVNNMNIIRSMNLGAGVVEESAELADLDGAAREADEAVAAGASIGINYGQIADNLPSPSQVSWLVRSMNVGKVKLYDADHSILTAFRGSDVELVIGVGNENLSAMTDPATAQAWVQDHVLPYHLSSTRTRITCITVGNEVFKGNDAAIKDNLLPAMKSVHGALAALGLQGQVNVTTALSLDIMGSSYPPSAGAFRPDVAPYMAALLDFLSTATSPFLVNIYPYFAYKEDPSHVPLEYVLFQPTAGVTDTTTGLHYDNMLYAQMDSVYAAIQALGHTDVEVKISETGWPSKGDPDEAGATPQYAGMYMSNLLRRMEMKEGTPLRPAVAVDAFVFALFNENLKPGPASERNYGLFYPDGTPVYDFGLLGYLPPTHKSDATQTVRLFTLQA >cds.KYUSt_chr4.22043 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138571482:138579757:1 gene:KYUSg_chr4.22043 transcript:KYUSt_chr4.22043 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDDGGDGSGVDGEAFRGHFPVPAACRNRDSYPPDLGFAMAAALDGFSKQELALRHVVNRVLLALKDNVFLFALIFRSIASPTKHYVCQLFGTMKPSDDNVQLSGLMQLEESSLGVEGYCHHQETFPCSPSMQPIASGCVHTENSAAYFLWPASNLQHCASEGRANYFGNLQKGLLPVLPRQLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIKKGDLTNIPAIIVFVERKVHKKWLNPNQCLPAILAGPGGVWCDVDVVEFSYYGSPAQTPKEQMFSELVNKLCGSDEYIGSGSQVASQDTFGTLSAIVKRRTSNKQVGFLTNRHVAVDLDYPNQKMFHPLPPDRGPGVYLGAVERATSFITDDFWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTIVREVGEIGDVKVIDLQCPINNLIGKQVCKVGRSSGHTTGTVLAYALEYNDEKGICFFADLLVVGENRQTFDLEGDSGSLIILTSQGEEKPRPIGIIWGGTSNRGRMKLTSDYGPENWTTGVDLGRLLDRLELDLIITNESLKDAVQEQRNAFVAAVISAVGESSMVGVTAPEATSEEKGEEIFQPLGIKIQQLPRHDMISSTTEGEDASNRPSDMEEHQFISNFVGIFPVCHDQDAPRSIANLNNPSEEELAMSLHLGDREPKRLCLDPESNQDLEKRPRPDPESSLDLEKRPCSDPEPSLDLEKRPHYDPEPSIDLEK >cds.KYUSt_chr6.2730 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15957471:15964576:1 gene:KYUSg_chr6.2730 transcript:KYUSt_chr6.2730 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP-like protein BP-7 [Source: Projected from Oryza sativa (Os03g0183100)] MSGATPALLHHHGASVRATLPVYHVDCRFPPGSCFLSKSPILGPYTVSLACGASPNNHRPRNLDISRQQKRGSARGKSKPYQERDDTENNDEFDSDTAFSKNGPPISLTSSSRPQATSVPGEREKEIVELFKRVQTQLRARGKGREDKKPEPTKSQGERGSVDSLLNLLRKHSVDQRRKTSDEKEQSFDQTWRNNDSGNKQSSRIFGTKNDAQEVQKPPPATFQRPPSSFRRRSPVPGVKFQLVTNPDADAGAGAKSIVNGKADAVLKAKAPPVVEEETAPDGPDSSVPLYEPDSVIEEPEDASLDEFVVSDDESDPLDTDELLADEYVEHLEISDVTDSIASQDDSLENSPAEVSDLSSLKVTELRELAKSRGLRGYSKMKKRETLAIRFCACMDWQPQPQPAAFLVKARQELVQAWMELGKAEEDGTVETCLGFEAEGS >cds.KYUSt_contig_686-1.855 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5222164:5224024:1 gene:KYUSg_contig_686-1.855 transcript:KYUSt_contig_686-1.855 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDDNGDGVGVERYASSGHGVLPMAWHSQTASSYAPPALRDMDSFAWVSVSRSTAMTGASLFPPAASACYEHIPADSGGIERAGPPEGLGLKKRKRSDEDQAKTTSVSADSAETERVKDANGEETGPAATAAGGTSKVKGAKEADEPKKGYVHVRARSGQATNRHSVAEKLRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLAMKLSAVNPTLGVNLESLIAKDVLRFPWSPSTPLMGLSFASQPGLLQGDVHGMANSDMFRTLMQSFSQEPPTQVHHTLSGSFDGAVQMVYSSEDPSIRPEQNQFHM >cds.KYUSt_chr3.4549 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25822172:25824403:1 gene:KYUSg_chr3.4549 transcript:KYUSt_chr3.4549 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVASKRIKTEKDHGAGPEPDGVAAEGGRSDGEIFVKIQSELLRCRICLEPLKPPVFKCEAGHVLCSVCMEKLREVGSVLGLGIFCDVCRKNTSYCRCVELEQVIDAIKVPCSNQTYGCNESIIYHEKEKHETECTHAPCYCPENDCDFRGGTCCLLDHFVTAHGWSPTNFSYNKPLKISVARDHRFTLLVGEDQTIFLLTNTLTEIGSAITMVCVRPHESEPSYSCNISAAQVVAGGKAEGRLVFQKDPLVSSSSLVGGVQLGKFFLLVPPELADSSSGELTLHIRVDRLAP >cds.KYUSt_chr4.35805 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220114143:220118596:-1 gene:KYUSg_chr4.35805 transcript:KYUSt_chr4.35805 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC family protein, Pi-starvation signalin [Source: Projected from Oryza sativa (Os03g0329900)] MRKCDLRQSHSSRVSGAMSSSLPILPNSLKENFPGPHNPQLASMQRQLTNDSVPLHHSALQSASLHPRAGAMRSVYSGLLGYPANPLDSVPNHDRQSMVASFACQSPDIVAFQPLSNSIPGGHTEETWFPGSVDGLSDYRDIIPASGNQIQNGSPAVTSDVVAQQNEWWDIMNDDWKDILDATANDSQSKSMVQPSNSAASQPAVNQPASSHGGEICNVASPPNSNSAAKQRMRWTPELHECFVDAVNKLGGSEKATPKGVLKLMKVESLTIYHVKSHLQKYRTARYKPELTEGTTGERSSTEELTLDLKSSMDLTEALRLQMEVQKRLHEQLETQRKLQLRIEEQGKYLQMMFEKQSKSNKEKVLGLSSGGAATLSSEPSHSANRSRESDEDDDLNITGDSLGSAELRENSMRAGGNQGPAQSESSDPLASTNDGSQASQEKRRRVHDS >cds.KYUSt_chr3.22723 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140492255:140493034:1 gene:KYUSg_chr3.22723 transcript:KYUSt_chr3.22723 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLAFKSHGLPHQAACTPCEQNDEFISQLLTGCAFSKKDWFSVLQAHVHGAKSIWPAPKCLIKIYASWLAEATSMAPSQSGPCAFSQKDWFSVLTGCAFSQKDWFSVLQAHVHGTKSIWPAPECLIKIYASWLAEATSRNRDKLRSAHSLIMLTLRLLWHMRNACVFDNGRPMVRDLVDRILNEVEQRRIASAKELRNFPWALGPQSLLRQWLQRHKLLRRCSSVQFCFFISFISWVMVAPQNSGTIAGSTANPFVM >cds.KYUSt_chr3.30304 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189847689:189848312:-1 gene:KYUSg_chr3.30304 transcript:KYUSt_chr3.30304 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGRIRASSRHPTGRGKSANEAVLCCRRSRRSSPSPRTSRSPLAATTTFAAITCRGSSSSGRGHGRRPKAKPTVFPPPLVRRLVSTSLRRLLPRLRPLGGRRLVRRGGRKSAAEDVAVLLLSPAFGDRLTALAEARRASGLGQALDIWAAVFGRARRRRMNGLRRLAALLLGIAFCALASRFRGAAFLEGLEKTEGSRKLARIFLH >cds.KYUSt_chr3.8834 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51520229:51525802:1 gene:KYUSg_chr3.8834 transcript:KYUSt_chr3.8834 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLCKSTPMKDGAEAGQRQAKIKASNSFALLAVASHFSPAAAAARGIGGSREEDMGGSGKWVKSLIGLKKPDREDCKNKLQLPSIHGAAGKGRKWKLWRSSSGDHGSLWRGSKGGSHRSAAASEASDDASSLAAAAADMFSAALATVARAPAKDFMAVRQEWACIRIQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLIQARRTKLDILREAEEGWCDSQGTLEEVRVKLQKRQEGAIKRERAIAYVYSHHIEGVPKCNQPKNSNGRSNQSGLLLKHQHCDKNNGSWSWLERWMAARPWENRLMEEHNQTNTTSSPDLVPSKKCEDSFGALGDFSEPNSVKVRKNNVSKRISAKPPGATHHQRLKAQSISSLSTDLHNDESSASSSSCFASTPVSFSTLLTPEKTDGSTRARPNYMSLTESIKAKQKPFGAQRTTVSKALDDRKALSVDLKVAKA >cds.KYUSt_chr5.35456 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224037884:224038591:-1 gene:KYUSg_chr5.35456 transcript:KYUSt_chr5.35456 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAFLLLLLLAVAGAVAGAEYDRPPAVVVMNFTEFGPVDDMISVGVETHDLSIGGFTNGRGHWQSFPGHDQLFPDSTPLPFGNSYEELIGGLANLPDLPLGREAMQQAARVLSAYDLATTTDFEPLKRALASLKVMLSEAGRLQPISETVSSGWESGARVAPEHLPYIEHWDTMSYEIIRANRTGKWGGPFTGMLEKSANIRSMDEALAVVKVLLNPSFEQVLMAHATIINLE >cds.KYUSt_contig_4908.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000978.1:56828:67319:1 gene:KYUSg_contig_4908.14 transcript:KYUSt_contig_4908.14 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSEGSGPWLRSVNNFLGRAVWEFDPNLGTPEERAEVDRVRREFTENRFQKREAQDLLMRMQYAKQKHLQVDLPAIKLVDSAEVTEETILTSLRRALSQHSSLQAQDGHWACDFSGLMFIMPILIFALHVTGTLDTVLSTEHRREICRYIYNHQNEDGGWGTQVLGPSTMFGSCLNYVTLRLLDEVDNNALTKGRAWILSHGSAAAIPQWGKIWLSVVGLYEWSGNNSIIPELWLVPHFLPIHPGRFWCFCRLVYMPMSYLYGKKFVGPITPTILAIREEVYNVPYHEIDWNKARDACAKEDLRYPRSLLQNVIWTCLNKFVEPILNCWPVNKLRDIALKNLMKHIHYEDKSTKYIGVCPINKALDMICCWIEDPNSDALKLHLPRIYDYLWLAEDGMKAQVYDGCQSWEIAFIVQAYCSTDLVNEFGPTLRNAHEFIKSSQVLENHPDSETYYRHRSKGSWTLSTADNGWSVSDCTAEALQALLLLSKFSPNLVGDPIKGERLYDAVDCLLSFMNKDGTFSTYECKRTTSLLEVLNPSETFLNIIVDYPSVECTSSVLQALIMFKELYPGYRKEEIAKCVKNASKFIEDKQRKDGSWFGTWGICFTYGTFFGVKGLIASGRTYENSTSLRKACNFLLSKQLSTGGWGETYLSCETEAYVEATGPHAVNTAWAMLALIYGGQVERDPAPLYHAAKELINMQLETGEFPQQ >cds.KYUSt_chr3.9950 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58538789:58543781:-1 gene:KYUSg_chr3.9950 transcript:KYUSt_chr3.9950 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVELLKLSVADGGGEDRLSALPDDVLIHILVLVKDAAAAARTSVLASRWRRLWPLLPELRFGSIEHHLIGAVLAAHEAPDLSLILAHTKDASPESLSAWLPIAARSLSGAIQLEVVRRESETEIGERWAAIDLPCFEKAIIIKLKLGFLGLALPPSGVFSRLGDLQLVDIQLHGQSSRLGDLLSSQRCPSLMSLLVSDCRGLDSLNIHSNSLLHLKLLYLHHLQQLTVVAPALKRIKVIRCFTDPLNPDQSVVNISAPQLMSLVWKNFRDPSSIQLDEVMNLKMLGIELLFLGGEEEAFDYNHNCMMLLRRFKRIRNLDLLISYLPAEIDAVLITLSDEQRAEERFFPDNYDAWTEFFRQRYERELAAYDGPPPPPARNNAAGRRWWSGHGRTLENVLAHIEGGNSPVLGMPPPAAPTLSRRHGSSWTPRQMALSSSSSGSRSASRSDGSAPPPATPCVVKKEPSSPPPTRGWSSGALIIRDQEALLVVSLTRTQEEDLEEGRRSSRRRIPARQGEGQAGRGCRGGRGDLVPADNALPMDAALDWSRRNWERQEAAQQRHLLDLAAARRRTIHATQTAALIVAPVPLIKHEESSDDELYRPTPPRGDLGQGSSRWYEVPPPEDAGNSSDDDDDDGDYTAFYHHFGM >cds.KYUSt_chr6.31984 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202104814:202108103:-1 gene:KYUSg_chr6.31984 transcript:KYUSt_chr6.31984 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNRCALRKIPTTSLALRSAAYQGSTFKKGCDDDDAAARTSLRVSPDEESKHWCMPEYRTSARRLTPIQPLYIYDAIECDNLHTGVSLLNHMSLTMSPTGDMTRPGQSHLAGTAAFFMLLATITGMAAAEVPSSEVDALLAWKASLVDPAALSSWTRNAPVCNWVGLLCNAISVVELALPGLGLSGELGTLDMQALPALWVLDLNGNNLGGDISASISRLHSLQVLDLSNNKFSGSIPPELGDLSRLFHVRLSHNNLAGDIPHQLCRLLSIKVLDLSNNRLSGELPGCWCSLQALRLIDLSQNQLTGKLPDCWWNLQSLQFMDLSNNSFSGEIPAANTSHSCSLSSLYLAQNSFTGAFPTALRGCNTLATLDIGNNRFFGDIPTWIGSQVPSLTILSLRSNNFTGAVPPELSFLPKLQLLDVSNNILTGSIPVAFGSLSSMRNPKFPNSISVWIPEESPQRSKYPDRIDIIWKGRDIVFQRGLHLLAGIDLSGNLLSQCIPKELLNLRGLRFLNLSRNHLSCSIPEDIGRLSFIEALDLSSNELSGYIPPSISSLSWLSIFNVSNNHLSGKIPAGRQIQTLTDPSIYANNSGLCGFPLDIPCANTWLAPDERNGEAEDHWIYYCVVAGIVFGFWLWFGLLFTFKTWRYAFLFIVDGIQFKIMK >cds.KYUSt_chr4.28662 pep primary_assembly:MPB_Lper_Kyuss_1697:4:180138318:180138878:1 gene:KYUSg_chr4.28662 transcript:KYUSt_chr4.28662 gene_biotype:protein_coding transcript_biotype:protein_coding METAPTKPSLSKKPSPSFRLRNGSLNALRLRRVFDLFDRNGDGEITLDEMESALDTLGLGADRAGLEATVGAYIPAGANGLGFNDFESLHRALGDALFGPIVEEEEPQKEGEDEDMKEAFRVFDENGDGFISAAELQAVLKKLGLAEARSLAAVQEMICNVDRDRDGQVDFGEFKTMMQGITVWGA >cds.KYUSt_chr4.13464 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83021864:83022379:1 gene:KYUSg_chr4.13464 transcript:KYUSt_chr4.13464 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPQRFKLLATRCAAAAPSPSRSPAPSFATSPGYRLRCRRGSGTGRRRSGRLRRFLCRRVGGGGGGPEPAGKGQEEDNKPLVGRGSRTLRDLFVSSPEAGRRRRGCEDEEEDAGAGFGRAGVAHGGGAGGRRFGAGGLRSLLMRRSWRPVLVAIPESEGKIELGTIEEQ >cds.KYUSt_chr4.53265 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329843425:329845435:-1 gene:KYUSg_chr4.53265 transcript:KYUSt_chr4.53265 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLARRPLSAAVPAGNLLGIHLFQCPVGIVAKLSDCIASRGGNIHSVDVFVPDDKPVFYSRSEFTYNPRLWPRDALHADFLHLSNCFDAQRSTVRVPDIDPKYKIAVLASKQDHCLFDLLHRWQEGRLPVDINCVISNHDRPTDNHVRRFLQRHEIPYHYLPTTSGNKREQEILELIEGTDFAVLARYMQVMSESFIKAYGKDIINIHHGLLPSFKGGSPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELMKTVVF >cds.KYUSt_chr5.4552 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29134474:29134861:-1 gene:KYUSg_chr5.4552 transcript:KYUSt_chr5.4552 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLWFLPGPAFLTMVLAVSTYRSQALTDRQWATVQSVVASYLAVGTVSLLLFFNVIDDVAHILRLGAAPTHACAVVAVAVHLRLGWVAIFGE >cds.KYUSt_chr3.11395 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67833908:67834457:-1 gene:KYUSg_chr3.11395 transcript:KYUSt_chr3.11395 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTRALLLAVALTAAASAVVVDGQLSPTFYDATCQALPSIVRRGMAQAVQKEARMGASVIRLFFHDCFVNGCDASILLDDTASFTGEKNAGPNANSLRGYEIIDAIKAQVEASCKATVSCADIVALAARDAVSLVRTHHSVFFHMLTIACWHC >cds.KYUSt_chr1.29914 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181183158:181183358:1 gene:KYUSg_chr1.29914 transcript:KYUSt_chr1.29914 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVEALTSPPIELALSAYFFFASAASDLVVFLNLPDSYPNLPSLKDMGETGWSPRPRRHSPAESA >cds.KYUSt_chr3.10071 pep primary_assembly:MPB_Lper_Kyuss_1697:3:59509071:59516752:1 gene:KYUSg_chr3.10071 transcript:KYUSt_chr3.10071 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSIHCPSDDGSTDEKALSRAAMDGNLRRLKGIVKSLTKGNGDRSAIFSFNTDGLNVLHFAAMAGNLVVCKYLVEELGGDGNAPGSGALAQGSTPFMMSAQSGDLPTFTYFLDHGGDLMKTDDKGSTVLHHAAAKGSSKVTEFILSKGVPVDLDCGRGTPLYMAATNEQDKTLKILLDHNANPNITTSGVGGPLLGAVIYGSWKCMKLLIKAGANVNCRGSMITPLAFATMHGDYTNYIRLLLKAGADPNIPDDAGMLPIEYAAVRDCMEEVEMLLPLTTPIPNVPDWSKVEKRSDWEDKGNFPYDLGEERKGSHGFIIKMSQKQAEALVMLQASKFVHLLSVELSLWIPVNTRIQGIGAPNVPIAVPTLGTNQYAVNGYNTKQIGQMCFDHA >cds.KYUSt_chr3.42104 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265926797:265928858:1 gene:KYUSg_chr3.42104 transcript:KYUSt_chr3.42104 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSQDPDVVVVSEDQITIPATEITPPADVPSVVKLDDTYVAADVVTKDASTGAKTVEKKTRYKRAAKGELSPPKLKKIKVSQDVVHKEFTKIGRFFCSYKSFIGALRPRQYLSNVVMAVWTEKFNHAAKASAEKNPRNHKRYAFSPYFAEKLAVETSTFDPASVMKEFKIACSKFKILKDDMFSNFVRTASESKVSWVDFGKFKETTPDHPRQDTLYDCGFYSILYMEHFNGKVMPNFENDVVPDFRRLLASKPNRQRG >cds.KYUSt_chr2.33834 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209085867:209091353:-1 gene:KYUSg_chr2.33834 transcript:KYUSt_chr2.33834 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVEPKREQNLVRVGMETWTQSFGASHKIRLVHILKNLHTAEVKIYSDASREFIELLDGESGGEVLREYVQQSPQLVELVEAWRLHREKPGMAYILSLFAAVLGHPGGKSRQHAVCKKCLDGVARTILEDKEKTGDVYLELKSGEFRRQNAALDLLAAIVRRGGGLASEVAESFDFKMPILTQLAGIQKKRGGRDGRNQKKGANFGSTRRSLVGFAMSFLEVGSPRLLRWVLQQRELYSGVLRGIGEDDAETVVYVLSTLRDNVLVEESLLPPGLRSVLFGSATLEQLSLISGNLDAGEAAELAHHVLVMVCTDPKNGLMPSSHLRGNEKRLLDLMKKLKATEVIHHKNLLLAIVSKRLSLCSAYMNEFPYNIEPRSSPSWFAAISLAADVISSAKSDSIFHSLLSHDMVSVDDEQVQVLLKCIVPHVCSRSVINRGLLHSDDLVKHGSLRLVSESLNLLWHVTEAIRGMVSSVRLTSESAGSTKGKVRMNGFPGLSCSTPTDASVAGQVHQADETRVKRWISLREYIQDEVRGAMPDPQVLLKLLSSASQKRQNCPQSRLKRHAQLCQPPQKKQKCDAIDNDDDIIIGGIDVEQAKDESEEQDQDLANDHATTLCEIWGLHKEDLELKDAEVVDSVFHSKLLDVLRLYLRVMPSSFDGSFDFFRVIPPNPLKLSKDEQHCLLSLLIEYSGQCEGRWDPERVPESMYKHLPPLIDIMLHSQVKSIRDEAYILVKAAFASSGAFDQNFAEIDAWLLFLPGYEAKWSVGESLGVGLSNKLSHLVVPFLCDAISIVGNNLYKYQEHMRKFISKSGQFEGYSPAFSPLIVCVLQKCLRLLDLESGEDIIRSFPELLDVVKTHFPSHLQFLSSVLYLQQDYLAKVASCCPDMFFCSLRQIEGNLDVDRASTIEGKCQNHTISTESSAMSTFLNVTPFCALLPSVLSLAFSAPAETAEARALLLDAPVRLLRAKLSESKISELTFNLRVILFWGHRLLSSYTVKYSNVLEQLCHVCFTLVDSIFECIRVLAADTADSNALAECFQEIVESVLQHPVIVLSFPCKKGVTALSLPCSLSNCPDLTDMSVEHVEEAFTSYSKENLHLVDGFVLNLLSKLYDLLLLADNDGRSLELLFASPKVMLERILLLFKEKFQLSMNKGNFGLLLPNFYMVRALTKFMSPVGLLELVNWMFSELESCGLNCSVAFSPAAFVSLYIADIAMEFLYGFLQQADHSSESFRSWGLEIQNSDIATIQRVYHGILHFATKLNFEFADVCLLKMLIRIHNAERSAGQNTEYTAFHMMLSTMAINTPLSILHH >cds.KYUSt_chr5.17865 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115396080:115396478:1 gene:KYUSg_chr5.17865 transcript:KYUSt_chr5.17865 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPSNFHGSLRPKQLQIDALKREDDTRVPPSTDHDGSRDFPEHTQTGSHNVTSAMPSRRERRPKPPPSPASASGRPASNRDKALARDLSRHPASCPRPDHLLPPCPPTPRRIRRAAAMARLPIRSGRAGL >cds.KYUSt_chr4.3174 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18102101:18106940:-1 gene:KYUSg_chr4.3174 transcript:KYUSt_chr4.3174 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARSSGNAGEAAERLHRLAEQRFLAGDLAGALRAGREAKARCRTPLPGLDHALAAYEVHAAAGMSRASGRNWYAVLSVGARTSLKIDGVTHEDIKRRYKRLCLVLHPDKNGSVAAEGAFKLLQEAWKTLSVRHPHCSSAAGAKPRPVPTSPPAPSGSAERPSSNHTRRSPPPPAPEEAERRQAGAGGSRSRPRGARSPRAFRGGLCAHCWRKFYAAADGDEEELCMPCRERAREPTPPPAGADAPSPPRRGCRRFPCPGLCAHCGAGYASSMVSVGMWNLHCASCHRYAKVHVRSPSSAELDVINNEWRTMEFILKSCIQATISTEMLTMVIDAIKSMRQANVHIEHDSDGDVLANVDARELEGESQGVGKMKCGKHPGESGGSGLSHGSGRARSSASPDDMAGSQFRLVP >cds.KYUSt_chr6.16170 pep primary_assembly:MPB_Lper_Kyuss_1697:6:101762070:101765080:1 gene:KYUSg_chr6.16170 transcript:KYUSt_chr6.16170 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGVSQHDGNGGAANANNQFDGGRFMPPRTTSTPEGGSVITRTRGSQALLPLTVKQIMDASQTNDGFAVNGTEVRLVGRMLNKKQQVTDVSFVLDDGTGRIEMKRWDNETFDTEEMKLVKLVTNYNDITHHFLHCIYVHLDLARPKRSLSQPQVIANTSTRNQAPHTSNQAPTSSASRNTASRDLSDLVMSVFLDPVLGNLEHGVSLDQVASRLNLSLGQTRSTVLDLVDLGNLYATIDDDHYKSTMNG >cds.KYUSt_chr4.21753 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136978996:136986070:1 gene:KYUSg_chr4.21753 transcript:KYUSt_chr4.21753 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAEWAFNVQHWQVGNASRRQKEQEPREPTGNSQHRAGGGGCASASSVSRRHGTRPRPIPRERLVDLLDPAADPGPRALTRQELRHCKKALREFDKKLKKPLDISKEFRGLPDIRIALQSTQNFTVARNSDNKERNRYTDIFPSDETRIRLQSSTGNDYINASLIKLDGKDQTKFISTQGPLVKTFEDFWQMVFENSCPVIVMLTKFDNVKCDEYLPLSKRQENYGRFNVKITKISEGGKLTLRSVEVKHNESRKVHTVLHIQHSTWPDHGVPDDSSTVRNILKRLYHIPREHPIVAHCSAGIGRTGAYITIHNTIERVLLGDQTATDLAATVREFRYRRPGMVQTEDQYKFCYGAIVAELKELIKKS >cds.KYUSt_chr5.37458 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236803225:236803917:-1 gene:KYUSg_chr5.37458 transcript:KYUSt_chr5.37458 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQILVHLRCARTAAHTSSLSRRWRGLWGHLFELSFRGMPLDAVDAALQQVACPALSRLEIEILERHRILDPARVSALLNAAAGLVPVDLVIDVWGHCKDRDFPIQIPSFERAASIKLRVVNLYLTLPAGDNIEFPALESVSVAGCRVDNMAELIKRYPNLRVLEVCGCWGLDTVKIHSPSIEELVLDNNSVLGNLDIVAPMLEQFRLQATMGRGFNVLFSAPMVQYLW >cds.KYUSt_contig_1467.189 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1251940:1259033:-1 gene:KYUSg_contig_1467.189 transcript:KYUSt_contig_1467.189 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDAIVGAVVLSPSRDLPQSAASPLPLEIMAGSDDEFFFKNFIDTSSDEESDIDFLMEAALIIHEHNVAQIPVFRGSLPGRAVALDRKRERGHDMLFNDYFYARGHIPSVVNQRFQGFPGMLGSIDCMHWEWKNYPFSWQGAYIGHSEGCTMILEAVASHDAWIWHSFFEMAGSHNDINVLQRSPVFDRLAHVRSFSQEASSIPSDLTDESPVLEWNMRYKIIKGICNGLSFLHEERLMVHLDLKPENILMDVTMVPKIADFGLSRIFGNQQSRIITNNYVGSRGYMAPEYINKGLITKKADIFSLGVIIIEIVTGRRDYPNFQLGSPESTATSCQHFTEEVEASKELPSQMQCMDKSQVLDTSASNEQILHSITEDMSNIKTVKIIFVVFYSTISRANFSSSFSDSFREALTLVILSGGTVQEPYFFLIAHEAQNTPPLTLELLHMGHHIRFLAAFPLPLRFSRQAAAVSKLLQPHRTVSPSLQIDSRPPSGHPTTFQSLRPRVCYARPRSKQPTAANPSHRKGQEIQGDASNKVVTLSSPSR >cds.KYUSt_chr1.21830 pep primary_assembly:MPB_Lper_Kyuss_1697:1:128894616:128897815:-1 gene:KYUSg_chr1.21830 transcript:KYUSt_chr1.21830 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSSSAMVFILELRVSASDLALRYASISVEPFHNQHHEPNPSDLASHGPGPRSAGSVHRLPCDQKLSVARSRPGPRVLVICSETERSNHTWVPTWVGRSLPYPNRFDLRRIPTEAHHQQRNSDFLLVVSTAQAGATILVSGVEEIDTSPEDPIVHLSPPYLEVEPRGEVPC >cds.KYUSt_chr2.45323 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282691038:282692044:1 gene:KYUSg_chr2.45323 transcript:KYUSt_chr2.45323 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRGKLFLLAALGVLSILSLPAATHGWASGGATWYGGPSGDGSEGGACGYKSDVGQDPFSSMIAAGGESLFKKGKGCGACYRVKCKENPACSGNHVTIVITDSCPDAQCQKRAHFDMSGTAFGAMAKPGMADQLRNVGVLNIEFDRVPCKYHGKKVSFKMDAGANPFYLAMLVEYEAGDGDLASVEVMEAGGTKGHAKWEPMRQSWGALWCMDSKTGKPLQAPFSFRLTSGNGKVLVANNVVPAGWNAGKAYQSKVNFPA >cds.KYUSt_chr3.27304 pep primary_assembly:MPB_Lper_Kyuss_1697:3:170487846:170493448:1 gene:KYUSg_chr3.27304 transcript:KYUSt_chr3.27304 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSGRGRRPQTSPSWPKRARVSPRHGLSFFYTGLGRRKSTEPPPQQAARRDHVARTTMANDQHQNLWLARQPARFPPTKAAALRPNSSRRRTATEHREPPPTQNNRSRADDNRPHRHLPHADHQSVDGIGRGSQGQEREPVPSCRSTCRGSSHGCVLLVHTMGALLAFHGESRLSTSALASALTDSASALASALTGRLRAAASGHGDAVMALKCVAALKDLLACGVCVLREHILGALVRHPTRSHSFPLGHSLDAASWVGFFVACLPEVVPLASAPEALLHVTSSQTRREKRVGIRRGGAARCAGGGLLDGEKTNHGPKFLTGDSTSDQAWGLRAATWVQYLGQEELREGGR >cds.KYUSt_chr1.42257 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258711823:258713384:-1 gene:KYUSg_chr1.42257 transcript:KYUSt_chr1.42257 gene_biotype:protein_coding transcript_biotype:protein_coding MDALGAALAPLALADAAAKDSPQDPGSACGSPCSVASDCSSVASADFDGLLSPSAASSSAGPPSLVSDDLPAAATDSSPACGRSVFALDSPPRWGLESVQGRRPEMEDAAAVVPRFHRIPLWMVAADADGLDRASFRLPAHFFAVYDGHGGAQVAHYCRDRLHAALAEDLRLAEERGGGEDDFNSLDSKKRWEKAFVDCFCRVDAEVGARPVAPDAVGSTAVVALVCSSHIIVANCGDSRAVLCRGKEPLPLSLDHKPNREDEYERIEALGGKVIQWNGYRVLGVLAMSRSIGDRYLKPYIIPVPEVTLVARARDDECLVLASDGLWDVLSNEEVCDAARKRILLWHKKNATSSPSSSSSAVARGSGDDGGSPDPAAQAAAEYLSKLALQKGSKDNITVLVIDLKVHRRFRSKNLPITTDGSG >cds.KYUSt_chr1.42084 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257854130:257854369:-1 gene:KYUSg_chr1.42084 transcript:KYUSt_chr1.42084 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSGTIRSDGGRRQHRSPWPMLLVIFVAAAATALYYVHKLTAVPRLQVCDARLGPYVYNPLNNTIQDLKLNITLCWK >cds.KYUSt_chr6.1411 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8656338:8658319:-1 gene:KYUSg_chr6.1411 transcript:KYUSt_chr6.1411 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTEPLLFTTPQNNSHSPRGARHLHVGPFDPAPATIPTVDADDDAVASMVVSVRDDETGALVAAGRGDEEEDDDPRDAPAVRSARGALAVFGEESRRLWAIGAPIAFNILCLYGTNSTTQIFAGHIGNRELSAVAIGLSVVSNFSFGFLLGMGSALETLCGQAFGAGQVAMLGVYMQRSWIILTASALLLSPLYVFAGPILRLLGQEEAIAAAAGDFTLCIIPQMFALAINFPTQKFLQAQSKVAALAWIGFAALIAHVGLLALFVSVLGWGVAGAAAAYDVSSWLTALAQVAYVVGWCKEGWTGLSRAAFKELWAFVKLSLASAVMLCLEIWYMMVLVVLTGHLDDAEIAVDSISICMNINGWEGMLFIGLNAAISVRVSNELGSGRPRATMHAVAVVLVQSLAFGLVAMVLILATRNQFAVIFTGDRHLQKAVANIASLLAVTMVLNSIQPVISGVAVGGGWQGVVAYINLGCYYAFGLPLGFIFGYLFRWGVRGIWAGMLCGTALQTAILMYMVYKTDWKAEAAQALERVRLWGGQHEKLPTTDREEPS >cds.KYUSt_chr5.40943 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258456478:258456855:1 gene:KYUSg_chr5.40943 transcript:KYUSt_chr5.40943 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLQVVQRPTAPEPVAPPAEEAFTARELDVAQQLVHLSESSASSGTPRAPAGSGGSSSPRSVNARAPATAAVLLGGCADWEEDEEQEVAGSQRRVKRYRLIAEIYAATGEIGRRGGRSRKKKKE >cds.KYUSt_chr2.26051 pep primary_assembly:MPB_Lper_Kyuss_1697:2:159505466:159506683:1 gene:KYUSg_chr2.26051 transcript:KYUSt_chr2.26051 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRRRRARTSPAAVPPPDDDDLLREILLRLPTQPSSLLRASLVCKRWLGLVKDPRFLRRFRAHHGKPPLLGFFIDDYEDNYPFVSTLKPPDRISADRFTSPIEQGDHHFYLLDCRHGLVLLVNEERRQLLIWDPVTDEQCRVSIPPLHSNNGEELPVHKGTVRCINRDLGHVHGHCHSGPFQVALVVGPDGRYYRDGRYYREFACVYSSATSTWSHPVSTEFLSQVTSISEHMKRHQSLMTLVGDSLYWLVYLIYSCCIIEYDFVRHSLAVIKLPPDVNAHSDWIFTLMPAEDGGLGLIIVSKFRAQLWKREAGCDGAAIWVPGRIIKLGKLRSPARRQPLCIVGFADDNNVMLVWTVSGVFMVDIQSTKIEKLCNNSDQQVFPQLFYPLASFYGAGNSVSLQ >cds.KYUSt_chr4.6203 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36427689:36429768:1 gene:KYUSg_chr4.6203 transcript:KYUSt_chr4.6203 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAAKVVPESFLKKRKRAEGWAAEAKTKAVEEKKKSTENRKVIFARAKQYAEEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTKKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNKVIEEGLGKHNIICIEDLVHEILSVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINQLVRRMN >cds.KYUSt_chr4.19039 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119587908:119593106:-1 gene:KYUSg_chr4.19039 transcript:KYUSt_chr4.19039 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPNVYVGHSFFRHRQLIFLVLPALAPQHASSIKTPRLRTTETHRCRCGHVSVVVFVVLVLLGGSDANPASPHRSQLDVNHKKPLQTFRPFNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDILASKDGHLICFHDVTLDATTDIADRAEFAGRKRTYEVERANVTGWFVVDFTLSELKSLRVKQRYTFRDQQYNWKYKIITFDEFILIALYAERVVGIYPEIKSPIFINQYVKWSGGKKFEDKFVETLQKYGYKGEYMSEDWLKKPLFIQSFAPTSLIYISNMTNSPKLLLIDDTTARTQDTNQSYSEITSNGYLTFIRNYVIGIGPWKDTVISAVSNHLGHLTDLVARAHALNLQVHPYTFRNENSFLHFNFHQDPYAEYEYWISIIGVDGLFTDFTGSLHRYQRWTAPHQMK >cds.KYUSt_chr4.33103 pep primary_assembly:MPB_Lper_Kyuss_1697:4:202897266:202901666:1 gene:KYUSg_chr4.33103 transcript:KYUSt_chr4.33103 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMYTVKVGEATPAGSGRASAGPVYRSIYAKDGLMQLPQEIQSPWDFFSGAVKKYPNNRMLGRRKVSDGKAGDYVWHTYEQVYQKVTKIGAAIRSFGVKPGAHCAIYGSNCPEWVMAMQACNSQGICYVPLYDTLGENAVEFILDHAEIYIAFLQESKIKSILAVLPKCTAHMKAIVSFGDVTNELKREVEKLGVSCFSWEEFSTMGEEIYELPKKQDDICTIMYTSGTTGEPKGVIITNRAIVAGVATTEQLLELTDKVVDEYDSYFSYLPLAHIFDQVIENYCISKGASIGFWQGDIMYLMEDVQVMKPTIFCGVPRVYDRIYTGINQKIQSGGLIAKHLFQYAYTYKLGNLKKGFKQHEASPFFDKIVFSKIKEGLGGRIRLMLSGAAPLPRHIEEFMRVTGCSVLAQGYGLTESCAGCFTSIANVFSMIGTVGPPVTAIEARLESVPEMGYDALSNAPRGEICLRGHTMFSGYYKHPDLTEEVFSDGWFHTGDIGEWQPDGTMKIIDRKKNIFKLSQGEYVAVEVVESAYVQSPLVASVWVYGNSFESFLVAVVVPQKQAIEDWAALNGMSGDYAEFCNDPKARRYIQDELNKTGKKLGLRGFEMLKAVHLDPVPFSIDKDLITPTFKLKRPQLLKYYKDRVDQLYKDAKMGTAQ >cds.KYUSt_chr7.2819 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16726147:16728415:-1 gene:KYUSg_chr7.2819 transcript:KYUSt_chr7.2819 gene_biotype:protein_coding transcript_biotype:protein_coding MRAICPLTNAVPYACRPSPLAIPESRPRTISVHRAGHEHMATTTTMTTTRRHRGGGCCGHAADLADDDDIVMLSRPALEEELGEKGNLQGWGKLPRLSRFEELPDYLRDNEFIRGHYRCEWSVRDALRSVFAWHNETLNVWTHLGGFFLFLGLAVANEMEWAAGAPAPAFMTMVMTSANASSWAANNTTSILASQSTLASVAGHAVARWPRTVFIYGAMTCLSVSAAAHLLASHSRRFSRLFWQLDYAGIAVMIVSSFFPPVYYTFLGSPVAQLVYLSAITLLGLLVVAALLAPARSSPRLRHIRAGLFVSMGLSGVVPAMHALWLNWGHPECYFALSLELAMGLVYATGAGFYVARVPERWRPGMFDCVGHSHQIFHVLVLVGALLHYVATAILIGWREALAAGAASALL >cds.KYUSt_scaffold_1259.285 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1793921:1802660:-1 gene:KYUSg_scaffold_1259.285 transcript:KYUSt_scaffold_1259.285 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPPPSSSPSPSPPSSAVGDNCPPSPSTAERAEKKPKLEENGTANGNGNDFIDTKSAAAAGSESESEVDDAATEKEFIPVKLSDVRKEVQCPICLGIPMCTRFSVLQELAFNDEENDRNKQIQASIAEAFQKQSEVIGQKFTAKATAAAFVRRSRRNMRANGQSKYLRGRGRASSHDVGLSCSNDEQEGNGENYGKESSSAEESSPEKKQKRLPKWPTPRSSPARAGCSDEVADDDDVGITRENFSTSPLRAWGKNGTRSQTRHGNFSNSNGRVVKGGRTIKLVDCLRTSDDNDGERGVHLFLIPLDGQTMPNLEKSYLCCGPTLSVKHLCQFVAGQTSHKDEEVEMYAVKPPRSDRNKISSEKAKLAGEERLSDICSQFTSPNEHLYRSTGPLLAAPPSIHEVQKLLGTPVADAHDLADLHIIEMLDTWMSKCWHISHGDIPVPPVLTSVNNLCRATRGPTLSTRRSARGSRQCTDGELRATTTLHAGGGLVAMPSVFATTGW >cds.KYUSt_chr3.39850 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251209756:251212411:1 gene:KYUSg_chr3.39850 transcript:KYUSt_chr3.39850 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGRRGSSRWGLPVVRSDALGKLGPSFGIGAGCGVGVGVGILGGTCLTSLGYSSASSLSAWTESAVGMVRHQLGAGIGAGFPGLQLGFGAGAGCGIGIGFGYGFGKGMAYDENGKYSNIRRSFQNSRSLPYDQEFDILFDEVMESTRKLIKATTKEIDKWRRM >cds.KYUSt_chr1.35489 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216424170:216430816:-1 gene:KYUSg_chr1.35489 transcript:KYUSt_chr1.35489 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLSLLPAASVSPRARRAKHRAPAASPRLHSHISVRTARAPSPLRSLPSSDVGVGGGDGGGEELHLLDKPFPSASSEAEDEPEPAPAPSTEQVLASYLNFFQLKGADASEDTESTPNAAVTFQDDGSGTVVYYDPKPGDLVVGVVVGGDARVLDVDVGAGGEPALMLAKEAAPTSPDEFGYLACDLASSEFAAEGRVGVAVGGGGVGVKVRRAGKGKCAPVVGVGTVVFAEVLGRTLGGRPLLSARRLFRRVAWHRVRQMKRLNAPIKVKIFEWNAGGLLTRIEGLRAFLPKPEMMSRPRNFTDLKNSVGRQIHVCIRKIDERSNELIISEKEAWAILYLSEGALLEGTVRKLFPYGAQIRIGETNRSGLLHVSKITHGQLRSVSDVLQVGERVKALVIKSSAPDRIALSTKDLESEPGLFLSDKEKVFAEAEEMARRYRDQMPVSPRSHEAVSSCSDDDDDGVPFEDEAESYANWKWLKFIKSDRVNCNPCSTEPGL >cds.KYUSt_chr3.24852 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154250927:154251679:1 gene:KYUSg_chr3.24852 transcript:KYUSt_chr3.24852 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRGDRHRHHSQEPTVARDPPSGEESDDEFEFSFGNREPAATGGAAIHGDELVYPVFGRVFEDARAAPPAPADRTPLRRLFLEEARNSSVGSNSSTSSSYSSAAADLDGASPDTYCVWTPGASAASSPARSPRKSGSTGSLSRWRRVSQLVVGRSSSDGRDKFRRFLSAPSSPAREEQPKAKPAASPGRKKGTEVGTVAASHRMFYGGAKPSPGAPRRTFLPYRQDLFGIFSAPKGLSRSQFQYQPAI >cds.KYUSt_contig_1658.341 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:2141130:2141435:-1 gene:KYUSg_contig_1658.341 transcript:KYUSt_contig_1658.341 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGLQSSGDMVSTLHRISLALPPSMSVAPLNSSSSSSSSPSLEVKPRRLHGLSKEALAEGLQIMSKEAAACKPRKRKKLRTAATVVSSPWSTEGKTSYEP >cds.KYUSt_chr7.25105 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156669069:156670796:-1 gene:KYUSg_chr7.25105 transcript:KYUSt_chr7.25105 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVARVQRVVETGARGFRSIHSASSPARLRTYGLLCLLSMAATATASPSASPLLLRAPSSASRAWLRTGGKASSFRGSNCRALRCTEAPCRCSASTASSGQCGGADDGRVVVSSSSSSTRRGMIGAVVLGVSSSALCLQAALDAVAGGLPPEEKPKLCDDACVKELENVPMVTTESGLQYKDIIVGQGPSPPVGFQVAANCVAMVPPGQIFDSSLEKGQPYIFRVGAGQVIKGLDEGLLTMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVAPSSPVIFDVNLLFIPGLDDE >cds.KYUSt_chr6.27466 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174393837:174395954:-1 gene:KYUSg_chr6.27466 transcript:KYUSt_chr6.27466 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPIKQPDRVPGQPEPGSPASPANVRLATPPSPSPTQAMAMAYLNAGARPYHRRPSYRPHPSPLRPPPPPPAVPAYYYGNAGCPPPLHLAPSYSRATFPEHHHPLNLPPPPQQQFFRNHAPSPPRHGPHGLAFPNNRGGLVCEKLYAQAMYRYNNKAGAARREVACGPRAWTRGRGGSAHARGAGRAARSPSPAFTTRPGTWIPPPPWFGRTTVMIRNIPAKLTRAAVMALLDDHCARENRRRRGRAAAYDFLYLPMDFSEPSSNRGYAFVNFTTADAARGFHYALHGCGWKPWVAGRSHKSVNIAAAHIQGKRAIVRRFNRSKFVCETDEFLPAVFRPPRNGANNAQPRNLGRRLPPLVSSVQPPPPVEPAQQQQQQLAWMPVRVQAS >cds.KYUSt_chr2.28553 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175239064:175242840:1 gene:KYUSg_chr2.28553 transcript:KYUSt_chr2.28553 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFTHGPSKLQPFHAVPCTCIHSSSTIRTAALFRLQGALLLQWLVLEERNANLISSRFLEFLIEEAERDWSWAEAEGILGEKRMGGTHGHALLILLSVASLALVPGTTSLQPSQTWSLFKLRQLLADPPVLGTWHNYTDFCYGGDYKTASAFVECYEDSVTQLHIIGDPGPGGARVRPLPKTFSIDALFTTLARLPDLKVLTLTNLGLWGPLPGKISRLDKLEIVNVSSNYLYGELPRGLSQLGSLQTLVADNNMLSGEVPGWLGGGGLPLLAVLSLRNNTLQGTLPESVKQMPSLRSLVLASNNFSGNLPDLSGLKNLQVIDMADNALGPVFPKLGRKVASVVLAGNKFSDGLPADQLASCYLLERLDVSGNRFVGPFPQALLSLPSIEYLSIAGNRFTGRLSSNASCGDNLRFVDLSSNLLTGSLPACLAIPAKNSDSKTVLFSANCLSTGDDSQHPSPFCRNQALAVGIVPEQGPKKHGAKAGLVVAIVAAVLAGALVVGAAIFFAVRKASRPKKRPTRRLVEHASSAYPSNLLADARYISQTVKLGALGIPAYRSFSLVELEAATDNFEVSSLMGQDAHGQMYRGRLSNGTPVTIRSLKVKKSQSTQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLFLVFEYVQNGNLRGRISQGTEGRRLTWGQRISTTIGLAKGIQFLHGGIIPGLFANNLKITNILLDQNQVAKIGSYNIPILSETVKSEGGAGNKYHSDRVPNGDKIDMYDFGVILLEVITGRPISSIYEVEILKEQLQSALTAEGPSKRRSFVDPAVSKGCSDESMRTVMEICLRCLAKEAMQRPSVEDVLWNLQFAAQVQDDWRGDSRSSEESPLSPSQVQEESALY >cds.KYUSt_chr3.43634 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275333810:275336425:1 gene:KYUSg_chr3.43634 transcript:KYUSt_chr3.43634 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHHLLDGTPGRTRGSFIVRALRDEAPLDAEAVTALHCSSIKSGTVLDPPVRTSLLTAYARGAADVRAALALFHEAADPDLILWNAAVSTLSRSCHLADAVALFRQMTDVLGTFDSTTLTVMLSGASRAGDMELGMAIHAAAAKRGLHTELNLCNALVNMYAKCGRFRASEAVFWSMPCWDTASWNSMIGGSTFNGLSEVSARYFRDMARFAVPADEVTLSSVLSASARADDLFSFGKSVHGCVVKSGYEYTASCSVPNSLITFYSELGLPEDAEKVFLRIPNRNLVSWNAMIKGLVENEKVREALAIFREMTSEFQPDIAALVTVISGCADQGLLCEGKEIHGYIVRKGLLNDEESCIGNSLLGLYMKCDDSSTANLLFRTMPTRDLISWNTMISGHSRDDALRVEAQAMFKELLSEGLSCTLTTILAVIPSCSRPEDLGFGKAVHSFILKNGFASGVSVVNSLMHMYICCGDSLAAFVLLGSIMPVSDIISWNTVIVGCVHNALPGDALEAFRFMHSTLRVNPDSVTLVSVLSACGTLKLQSLGKSIHCMSLKHLLAFSLRVKNALLTMYFRFADTESAELIFYSLGDRNLCSWNCMISGFAQNNQGWRALQFYQKMEDFVPNEMSTVGIICACTQLGNLRHGKSIHGRVVKSDLQNNVFVSASLVDMYSKCGRLDSAVKVFESSAEKSIACWNSMISALGFHGHGLRSIELFCKMIQSGTKATRSTFIALLSACSHSGLTDEGWKYYHLMSEKFGITPTAEHHVCMVDMLGRAGRLQDAHKFVESLPSKGAHGVWGALLSACSYTPELKMGESIAKHLLCLEPENSGYYVTISNLYAYQDMSCGAVQVRGILQHKGLMKPRGHSIVG >cds.KYUSt_chr5.28667 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181512357:181516483:1 gene:KYUSg_chr5.28667 transcript:KYUSt_chr5.28667 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPHKPLAAITAADLAAAGAAAADAAALHSAVRTALGGGASPAAAWAELSRAALRPDLPFAVHRMLYYGCFAGSPSATPPAWTPHPEEAALTNVGRVLEARGRELIGESYKDPVASFRDFHKFSNENPEAYWKLVFEEMGIAFSVEPSCILRDSDAYPGGEWLPGAVLNAAENCLAAKPGRNSGDVAIVWRDEGKDSEPLNFVTLEELRKQVCLVANALDTLDLPKGSAIAIDMPMNVNAVVIYLAIVLAGYVVVSIADSFAAPAISTRLKISEAKAIFTQDCILRDDKELPLYSRVVEAKAPMAIVIPARGSTPIKGLRTDDLSWQDFLGRADPTKADIYTAVEQPAYQFSNILFSSGTTGEPKAIPWTHLTPLKAAADGWCHMDIRRGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFVQDAKVTMLGVVPSIVRTWKNTDCTAGFDWSTIRCFSSTGEASSVDDYLWLMGRAFYKPVIEYCGGTEIGGGFVTGSLLQPQALSAFSTPAMGCNMFILDSNGNPLPQDSAGIGELALDPTLFGSSTTLLNADHHEVYFSGMPQWNAKVLRRHGDEFERTAEGYYRAHGRADDTMNLGGIKVSSIEIERICNRVNDTILETAAIGVPPVGGGPEQLTIAVVFRDQSPQAEDLNQLKLLFNSALKKLNPLFKVSSVIVVPSLPRTASNKVMRRVLRKEFTQAKPSKI >cds.KYUSt_chr6.13899 pep primary_assembly:MPB_Lper_Kyuss_1697:6:86999608:87000426:1 gene:KYUSg_chr6.13899 transcript:KYUSt_chr6.13899 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSWVRSLQCRSTAVEDVATLPKKPHLLLRSSCASSGDIHGNKAASSCKPKLRPSSKAGVETKKPKEGNKPATSVPRPPSPPPGPLGPLPALTELPAGHSSRQVVEIIFLSSWSQFPSVASTASGGAFAGEVEMLFRVHNQARAVARFEDYRAAVRARAGGAARSAADGNEMMRFSPAPPHGNGGGASLRVVRTFDGSGGAHASGSGRGPGRRAMFLCRVIAGRVAEGEEACSEAEAGREGYDSARGGKGELVVFDRRAVLPCFLIIYKL >cds.KYUSt_chr1.24112 pep primary_assembly:MPB_Lper_Kyuss_1697:1:143797403:143798053:-1 gene:KYUSg_chr1.24112 transcript:KYUSt_chr1.24112 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRDHRVEGVRQYNRSKVPRLRWTPDLHRCFVHAIRNLGGQHRATPKRVLQLMGVGGLTISHVKSHLQCIAKDDIR >cds.KYUSt_chr5.2977 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19422571:19423308:1 gene:KYUSg_chr5.2977 transcript:KYUSt_chr5.2977 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCTPTALVRTSSPCLTGDEDGATLHTGSPIKVVVVQLVGAVEMAPRCPPAAPARMLSLRRGGWRQAVRRQPHQGWCRPRHGGWRRAVRRQPNVVVLAMADGAGLFAGSLIKVGVVLAMADYAGLFAGSLIKVGVVLAMADGAGLFAGSPTSSSVAWCRVGDGARMCTPPALTSSSSPWRMAPGCTPAAPTWPSSAVSSDVTVQPYACAWREREIEGGEIFNRDSHRLETSPSYPSLLPSPDR >cds.KYUSt_chr5.27846 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176255070:176257826:1 gene:KYUSg_chr5.27846 transcript:KYUSt_chr5.27846 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIVASLTVKLGVALATQAATFGGSCIAHEVSALRRLFSEMEEIKDELECMQSFLQVSERLRDCDETMATFVRKVRTLSFGIEDVVDEFSYKFCDDHGGAATRAIRRLRHVRTWHRLAFRLQRIKASLKAAVERVKIFSTEGTIRAQQPQAEDKELGSSDSTSTGTANCLVGIERNRDLLTGWLTDDKQHHMLMSVWGMGGVGKTTLVTHVYNIIKERFERHAFVTVSQHCRAADLLRQILKKFCKKDHTVTQCEGIDSMDRESLVEVLQSYLHNKRYILILDDLWDANVWFEIRDAFAGGDGSSKIVLTSRIHDVAALAKENYIIDLGPLESQHSWDLFCKEAFWKMEDKSCPPELEVWGRKIVESCDGLPIAIVCIGRLLSFRSQTCYEWEKVHKDIELQLTSNSVLDMNLILKVSLEDLSNNLKNCFLFCSLFPEDYRIRRKKLIRYWVSEGFIKRSETRTEEEIAEDYLNELVNRCLLQVAKRNEFGRVRECRMHDVIRVLSLSKSKEDMFSVVYDSSKTSSLLGKARRMSMQSVDSMPPRHEVTHVRSLLVFDKCVPMDALSASFTSLKLLSVLDLEGTPVIIIPTQVFNLFNLRYLGLRGTGIDVLPKEIKKLQNLEVLDAYNTRVTKLPEEMTKLRKLKHLFASRIQGGAASNVVVSTGVTAPQGKWQSTTLQTLQNFEANDEMLQNIACLSGLRTLGITDIRSGHSARLCSAISKLCNIRHLLVSSKRDEVLQLPSVQLPQTIQKLEVGGLLEKATARNLFISIRCLENITHLHLWFSMINHDLFCYLRSDCLLSLCILHAFQGEIMLFSAGSFPKLQSVVIHGAPKLRQIKIEEGSMANLVRLTVTGCPELKELPQGVEFLQNLAALHLESTADDFLEKIQSEEEWEVHQSKTSHIKKVYVRFSGNE >cds.KYUSt_chr7.10712 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65890232:65894909:1 gene:KYUSg_chr7.10712 transcript:KYUSt_chr7.10712 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKPMRTPRTSSSYNSITDQESSSVSTFQRTGNLIYEWVAPFHQPPAGATCWVQPEAWLSSTLSSAELDLIASLVYMTDRRTMLYARRENSYAASPVPIATGVAQTLNKWGYWVTRRATLQEMGLAGGIVRRVLSKSPCSSGGGAGNSERSPGDRKRWRSSLRLYLCGDEAGAAAVDQEEEEDDDDDGTVASAGSFETCPVTRVPVADQVGGADSSNPEEPRHRIGGDAVEDGVSTRPVGEEEAARLIQSAFRRFMARRRLLQEVLRRSSGQEGCYAEEEPATPVSASMAASVEVQVGESLSNLRLSDDGGASPTPSAQHRASQKTRPPQVFRAKEEWDDSTLSSNVLRMRIQSRMEATTRRERALAYAFSQQLRSGGGTKKRSSRSDQGDFNVGWSWLERWMATRQAEPGADDSASKNATDAGSAVAGRRVVVPVRRRQDVAAVEEKESCGSNSVSAAVSFDGSSAGGRSGSLSCYRPGKNNRPRGARNLPRRKVAAAASDHGLQARSHKVTIKSLSLPPWLVMSCADAKCCVMIDAIKVSKKARQREQKQLQKDHQAEANVYDPRQPPTDY >cds.KYUSt_chr2.1668 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9877268:9879153:1 gene:KYUSg_chr2.1668 transcript:KYUSt_chr2.1668 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQHLPRPFPRHQEQNPVSPPDRSVETNLTVLEQPCAPLCFESSVPIYLPILDQIIWEHRKHTDTTTESVNHGGGGSGGANVFFLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVLTRYVLSCTDPPGDPFRVAAISDGFDAGGMASCPDHAESFSRMEAAGSETLRELLLSEARAGRPVRVLVYDPHLAWALPVARAAGVATAAFFSQPCAVNVIYGELWAGRMALPATDGRELVARGALSVELGPEDMPPFVAVPESQPVFTRTSIRQFEGLDEADDVLVNSFHDLEPKEAEYMELTWRAKMIGPTLPSFYLDDDRLPSNKSYGFNLFNCDAPCMDWLEKQEISSVVLVSYGTVSNYDATQLEELGNGLCDSGKPFMWVVRSNEAHKLSKGLKLKCEKMGLIVSWCPQLEVLAHRAIGCFVTHCGWNSTLEAVASGVPLVGIPHWADQPTISKYVESVWGMGVQARKNDNGVLRRGEIERCIREVMDGKRNDKYKRNAAKWMQRAKKAMREGGSSDMHIVAFAAKYLSI >cds.KYUSt_chr7.1919 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10976535:10976762:1 gene:KYUSg_chr7.1919 transcript:KYUSt_chr7.1919 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGRYRLRRLRLLPSIDKATSKPTTHFIVLPNFDAGGTTLAAILAPRSAALWPCCSGGIASGDVLLGGVGVMW >cds.KYUSt_scaffold_1700.646 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:4119028:4120860:1 gene:KYUSg_scaffold_1700.646 transcript:KYUSt_scaffold_1700.646 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDPGVSKAMVMKRWCRSSSEAFLWSNTSTASKLLVIQAGKVRRFFILLRRPLRSAVASIGGFEASGVVPASEFDGDSAGLLLIGGEREGPDRFSIFVSEKLYRANPESESFVRYKKKYAYPLVRKAVQGCIAMDGHRGLLPPLPAAVVTKLEKNVEVFKMEMGGKTLERVLSIGNRLLSSVHLPGLAAAGVFLLLLLPRVRPWSPPLL >cds.KYUSt_contig_2008.297 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:1691277:1691594:-1 gene:KYUSg_contig_2008.297 transcript:KYUSt_contig_2008.297 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDVVTWTRVVELLAADSIFNCVKDHDGPPLQHCTPLPGSSILLLLSPEPKQNTKPSISHARRTSSSRILPLQCLDGNSISIICHQLLRVAQFPWCQSAHEFWA >cds.KYUSt_chr3.40896 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258137587:258138825:-1 gene:KYUSg_chr3.40896 transcript:KYUSt_chr3.40896 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGCCFYSVRTEKKQRLDGETQPLSPTSMVAVTKVLDDDNLLREIIVRVVFPTTLVRAALVCKRWFGHASDPAFLRRFRKLHPPRLLGYYISEGPSAGQLDAPRFVPMLPQPAELATVMPRLAGYTFGAHDIMHCRNGSVLTKRHERTRLTHGVHRPLCAEKGMKFVPPCPRVQDHSIRKFSAILSKEEASGLSYFCVLGDSTEEAGKSTARVYMLQDGVWCLRTTATCLHDLYSFPEAVLVGNKIYMPSASSDDIIVLDMTASSFSTVQLPQGVRYDYFKTMLSGADDASGVYLMHVMDFQLRIWLHKGDNWLLINTICLNEMPILGMSDHTLEGKDIAHAVISQVGDNGQFVFLRSAQCIFYLDIKCRILRKVYENRVNHQWFGDIHPFMMVWPPSFPALEGDPARFAF >cds.KYUSt_chr6.3164 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18544456:18546896:-1 gene:KYUSg_chr6.3164 transcript:KYUSt_chr6.3164 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLSAKIWCRLLVLLSDAVLPWSEPEATERGATRTSTNKVSGGVLDLVGNLRVACRGGRLRRLPTRSGALGKIDEAASRSSYTAARCSRGDQWSAWRPLLTPVQFLRTSVFNLLQWRPTNLDVTIQAHLRPSGVVPGAGMGRRARRFISAGGDEEEGPDGFLSVFCRGFFERSWDLVIISFFGKPHPDLIRWRWTESGVYTTASAYRYQFTGSCAPFRSAKFWKGHAEAKCRFFAWLALHGKVLTADNLALRGGRSLNDWWDETISHLPKEKKREASGAIIYSMWGVWKERNRRVFQNTALQPMAVAALVKEDIAQRAYAHTQDPGDGNSA >cds.KYUSt_chr7.31763 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197761227:197764727:1 gene:KYUSg_chr7.31763 transcript:KYUSt_chr7.31763 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTAVTAAVRVLRSGGKQPKKEEPSSSAAATMADVYNHELTPLQKHVAFFDRNKDGVIYPAETYQGFRAIGCGVALSAFSAVFINGLLGPKTVPENMKAPAFKFPIYVKHIHKGKHGSDSGVYDAQGRFVPEKFEEIFKKHAHTRPDALTGKELNELLQANREPNDLKGRVGGFTEWKVLYSLCKDKEGFLHKETVRAVYDGSLFERLEKERKEAKEFTKKK >cds.KYUSt_chr2.29665 pep primary_assembly:MPB_Lper_Kyuss_1697:2:182562710:182564719:1 gene:KYUSg_chr2.29665 transcript:KYUSt_chr2.29665 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSLLLHLLLSLALNHASFATANNDQFVYSGFSSNDLTLDGAATVTPDGVLQLTNGTVHLKGHAFYPTPWQFRKSPNEVVHSFSITFVFGMVPVYSDQCTDGMTFLISPSKDFSGAQTSQYLGLLNKTADGKSSNHIFAVELDSSQNTEFKDINDNHVGININSLTSVKAQPAGFYDDKNGVFNNLFLVSRKEMQVWVDYDADNTRISVTMAPLKVAKPLRPLVSDIYNLSTVLQDPSYIGFSASTGPIDSLYCVLGWSLGINRPAPAIDISKLPRLPRIGTKRQSKVLEIVLPIASGTFIFLVGTAIILLVRRRMKFAELHEDWEDDYGPHRFSYKDLYDATEGFHYKNVLGHGGFGKVYKGVLPVSKLEVAVKRVSHESKQGIKEFIAEIVSIGRLRHRNIVQLLGYCRRRGELLLVYDYMSNGSLDKYLYSDEGKDTLEWSQRFEIIKGVASGLFYLHERWEKVVIHRDIKASNVLLDNEMNGRLGDFGLARLYDHGTDPQTTRVVGTMGYFAPELARTGKATPLTDVYAFGIFIVEVTCGRRPIDNLVEDSSEILIDWVVEHWHRGSLIDTLDRRIQGNYDADEVCLVLKLGLLCAHPFSNARPSMRQVMQYLDGERPLPELMPTNLSYSMLAAMHNEGFSQYTSLAFTGGSNAMTSSFSSGR >cds.KYUSt_chr1.29646 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179538942:179539511:-1 gene:KYUSg_chr1.29646 transcript:KYUSt_chr1.29646 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGATAAATPLLPGLPDEIVVWEILLRLSPQCILRCSAVCRSWRRATSPQDFLLAHHARQPSLPVVCGFSGRYQSILTFDHQAADPHLQPVARLDDLEGLYPETSCDGLLILSVASEDGDCVSVCNPTTRQHAPLWEPPDFMQFSFLGMYPHRPTGEYRVLMHRSSNDEDDEEEPIAGDLLPNGRVGF >cds.KYUSt_chr5.34383 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217990209:217991186:-1 gene:KYUSg_chr5.34383 transcript:KYUSt_chr5.34383 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGASDEEVVLEIEHCIRIFKSGRVERYFGSDPVPPCTDAGTGVASKDHTISPEVAVRLYLPPVAATDGKKLPILVYFHGGGFVLHTAFNFVFHGYLTSLAARAGAIVVSVDYRIAPEHPLPAAYDDSWQALSWVASHAPGGGAGEEPWLTDHGDFSRLSLGGESAGANIAHHLAMRAGAEEGVLPHGARISGGILLVHPYFLGHGKVPSEDSDPGMAENVVKMWRAVRPGTSGVDDPWINPLAAGAPPMEALACGRVLMCLAETDVCRDRGRAYCDGLRASGWAGEVEVLEVAGQGHCFHLGNFGCDDAVRQDEVISRFLNL >cds.KYUSt_contig_6320.37 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001280.1:207496:210779:1 gene:KYUSg_contig_6320.37 transcript:KYUSt_contig_6320.37 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSSPKLRASPLSPAAAAARSPLPSRVRALAPAAAARPRPLRSGPKSTVRALARDEMLNAAALEDAKAVNDAAARQGIRIRRHCRPTASMKEVEEEMGAPRNILEKIIWDKEIEVAQGLARHPLKEVIESAGKAPPTRDFYGALAAAYKRNGVPALIAEVKKASPSRGVLRENFDPVEIARAYEKHGAACLSILTDEKYFQGSFENLQKVRKAEVKCPLLCKEFVIDEWQIYYARSIGADAVLLIAAVLTDHDLKYFLKICNELGLTALIEVHDEREMERVLKIDGVQLIGINNRSLETFIVDTSNTKTLLEKHGDAIREKGILVVGESGLFNPDDVAYVQNAGVSAVLVGESLVKQEDPGRAIAGLFGKELLH >cds.KYUSt_chr2.54428 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339567524:339571791:1 gene:KYUSg_chr2.54428 transcript:KYUSt_chr2.54428 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRYPSATTTGAGGEDAEQLGRGDGSSSNLGIIRHDRRCRDLAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNVCGDRHGDPDMHELEVRYWMDPNQVYQSGVKSSKVNLADAKAICLMECPIPSSDTLNFVCDYPEGDIKLSVDDWINRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQYIARASNASLNKWLAMGGAKIEENMLIDKTIHKAIDARSAVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLMIRYFVAAMTWITVVLFNALVISVTMFCYIKAGWIGNDPLTVVIGESDPYVHISGREISHLHAATVLMTVIMIIAFLSSIAIVRRILFATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVLRNDCSTDCCTYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFMACSSTVVAGSVASYYWARGEISHEIPFFSVVSSLKRLLRYNLGSAAIGSLVVSAVEWVRFILECLRRKLKLVDSARESCCGKVTSSSSQCCLGCIDWTLMSVNRNAYIMIAITGKGFFRASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVIVTWALGYTVAKLFFAVVEMSIDTIILSFCQDAEEHQGTAQYAPPLLMETLDEQSELQRLTQGP >cds.KYUSt_chr5.494 pep primary_assembly:MPB_Lper_Kyuss_1697:5:3510594:3514084:1 gene:KYUSg_chr5.494 transcript:KYUSt_chr5.494 gene_biotype:protein_coding transcript_biotype:protein_coding MSERLNDGENMGTAVDGGEDRIGALPGDLLRYLMSLLPSRDAVRTCVLAKRWRTLWKSVPALRVYDPESYGCAHGASTFVDELIRLRSPTPLNVCDFSSDVDDDANYDFHRADEAFRRMEPWIQYAVSCQVRVLRVRFPCRATNMTLISPHLKRLELCDIELEGCSLDFSSCEALEELEIINCHIFANISSRSLKNLNIRGFSFDFDTRIHIIAPNLITCRLAAASGLTPVLHTMPSLVTASISLRGACMDCCNCGNLSCGGCGGQTANNNSSLVLKSLSGATNLEVTISGRAMHSPILESLTLQLDFGTHKGNIVELRRGGEKLGHGHAGHVAKLDHLPSLPFTPCPGPCAHDTPPHRLASPSTALVEEKLDNARTTRAQTRPACRSRRDHARPGRALATRRAATLRTPWTPCEHVEHLRDTAMPLDMPPTPTRTRRRRRREPWSAADATDMEAMRPNPASPDRAVAAKRIAWTSRTRQHPRLAQPLAGIAASMSTSPQPPRSLARL >cds.KYUSt_chr6.11392 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70675790:70680514:-1 gene:KYUSg_chr6.11392 transcript:KYUSt_chr6.11392 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWQPLQKLLEAAAEPSTPHAAARLHAHLLRSGHLHSSHHLTARVLASYPPGLARHLFDEIPDPTPRLGNALLRARVRARQWRDVLLLFPHLRVRPDAFTLSVLLKSCAMLPSIAHGRAVHALAVRSCAAYADAFVAAALVQMYAKCGDMVASVNAYNAFEKPDMVLRTSVVTGYEQNGMAQEALEFFSRDVVGQDVLPSPVTLVSVISAAAQLRDVRNGQACHAFVLRNDFEYDLALVNAILGFYMRTGAPQDARRLFDGMTERDVVTWSCMVTGYVQSGDACEALSVYKKMVQAGIKPNSVTVVSVAQACSFALDVEEGKRVHDIAVKIGCELEMTVATALVDMYMKCSCHEKAMQLFCRMPKKDAVVWAAVISGLTQNGLPDESMRTFKCMLLDGPVPDAVTMVKVLAACSESGVLRQAFCLHGYLVNTGFSDKIFVTAALVDLYSKCGNLGSAVKVFESATEKDVVTWSSMIAGYGVHGLGQHAVALYQRMVASSVRPNSQTFVSLLSACSHSGLVQAGKSIFKSMTQVYGITPNIEHRSAMVDLLGRAGEFHEASELLYEIGGTADAHTWCALLAACRTHRATDMSEVVSAKLLKLDPDHVGYYNLLTNIYAYDEKWDSVKETRGIIRDKGYGVHMALVGKLLPCIKGWLPLQTHRVTEMTEVAAAKLLKLDPDHVGYYNLLTNICAFDEKWDIVKETRDIIRDRGLKKVPDCSAVEFSNVMLTFTAGERSH >cds.KYUSt_chr3.12534 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74952815:74955802:-1 gene:KYUSg_chr3.12534 transcript:KYUSt_chr3.12534 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQAVPDAAAQPRRRRPRVLVAASGSVAAMKFETLCRGLAEWADVRAVATASSLHFIDRASFPSGVALLTDADEWSTWGKIGDEVLHIELRKWADAMVIAPLSANTLAKIAGGLCDNLLTCVVRAWDYGKPIYVAPAMNTFMWDSPFTRRHLDVVAELGVSVIPPVTKRLACGDYGNGAMAEPSEICRTVRLFFGEGHTSASCPKRGKGFRLQTLGHAITGGGFYNIDVEPLKGSKRAGEAFTAVIKFLSAPLTEEQLSAELKHLVDDLWDWQVRRLSETEFSVTFPTRQTLRLSTGSGKLYLPLSKKDTEIREAFNTPKPYLVLPSTWVRLTGVPEDLMERERLLVAFGMVGRAIDVDDLSILKRETEPVRVRFQCRYPDRIKGSVQVFVNGEGFTVGVQAERAPRGGNGGGAGDPPPPPPRDDREEDDSDYLSSDGEWNKHGRKKKDKDQRTEPGLGAEPVDGSGPAGAKTVAMGLLGSWSAPTGGHAPDGRANGGFNQYGTNFGVAMESLPFQLDMNEQHKGVEKEKITTPAETLVPMTTAGDPSLSADTISQITDPVPSGMDDSQVEEGFPEKMDMTVGWEGQGTDSVMLEDDTVSVDSAVVQPRDDLHKALRETAPVAQGRRSKTIAYSRKKKSATPGMPVRKSSRHTGVRASTPILERAMKRAEAKGLDGVGEC >cds.KYUSt_chr7.7755 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46787226:46791270:-1 gene:KYUSg_chr7.7755 transcript:KYUSt_chr7.7755 gene_biotype:protein_coding transcript_biotype:protein_coding MHATFLPHAAHACYFFGAPPRFSVHDPTGQLLSSMLQCEIVRGPLRLDLERSRRKEKMRRGAKPMRPVTSGVEENLDPAVEAIRSWLLAWVESDPRPPAAQGLSRWDVRVAAPSTAWSPPQDQQFTVRRHWESTSRLSHSTMGHVAPRGDGRLCPRIGIAYRHNVYEKRLEKQTTLLSCHLGRPTVAAAERQGKRWMQLSGKVPDKLLDMVALSWLMKMTLRGVSVKISVGRIGIKTSSYSMLKKALADAQRDQHDAHEPRRHGRSHSNAAHHVDINTGKVVTEWRF >cds.KYUSt_contig_686-1.152 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1149236:1149697:-1 gene:KYUSg_contig_686-1.152 transcript:KYUSt_contig_686-1.152 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDNLFAFERSHPPIANAAGSIVNRGRLTCTPTPKKPEEGERSQTMEANRDEAIVASVPDVGQARLHLRVRRGQHRRRCRSLDLNLLLLYATSGAAATILVPLLRTRTAAPPNRPAANQFEERRDWGKERRRGDWASPRSRFSLPHTGRRGW >cds.KYUSt_chr1.3230 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19519616:19527274:-1 gene:KYUSg_chr1.3230 transcript:KYUSt_chr1.3230 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLQSWRKMHFKSIPKELEKKLALLEDLWHLTDEVSNAVRIGIEKEMDELLYREEILWMQRSRVAWPREGEETGTVNVATRIAPAIGAVKANVDAGWDSTSKCAGIGIIIRIILVLPFWLNGDEDAWPPEARFVVAAHRGNIGRLKEIARRLDKNGKRGVDATVAATTYQGMNALHAAVRGLGKLAVCRYLVDQVGMDVNMWDTSESKKTPLEHAVAGGNLPAARFLLDHGADLHQENEKGVTVIHLAAMKGKSEIVKLLLSRGADVDAKSEEGTPLHLAAFKGHESTVEINKLVPSCLLTPVEAAVFAASTPCAKLLIQAGANVNGVNHCLARAAKDGLTEITKCLLEAGADPNRPDERGRMPIELAAVYGRREDVELLFPFTSPIPNVADWSVDGIINHAKLENMQLMDDDAVNMRKSDLKQQGDEAFENQDYTKASVLYTKALRADPYDCKMLANRSRCWLRLGDGQKALEDAIKCKISNRDWAEAHHREGEALMMLKEYEKACEVLTRGLELDPENDEMDKLFWEAMKLKKK >cds.KYUSt_chr7.39518 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245696098:245697279:1 gene:KYUSg_chr7.39518 transcript:KYUSt_chr7.39518 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDSNSGERAFSIQRCVGMLHQNKHVAREEGLKDLVAALEGFVPSDEIADPLYEQALDRCFSFLNIGGSGKERKDAYRAIGIYALTVVGSKAQTLLDRLFDLDGIFAGMAPSSAADAERAVAAINCLVAVTLVCEKKAACAKRTLKAICDVIDQTGLPQVLAAALSAWTLLLPRASNLRAMTPFKVIAKHLKADDPAVRMAAGEALAVCFELNHRPYQPDPPPAYRYHYYRPREPKPEDRPSLESRVAELAVGVEAHKKQHAEERILFRWIKDLLEDNPPGPDDDNDEYDSDDEEEGEEEESSGLRVRVNKTTWSKLVLLNFLRQYLGEEGFASHSQLNRPLFRDTLGLTTAAEQKKSLPAQEIKQVRNGRDKQRTKEIKKDRQNKTKQYY >cds.KYUSt_chr2.18880 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118746615:118757603:1 gene:KYUSg_chr2.18880 transcript:KYUSt_chr2.18880 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLSCSMSMGLQEGPNPIVVRSEGQARKVCAQGRAKIVYDDVLELIPGDYIMKYVPMSDERKSSASVDSNDLKKGKRHSEEDSVVAKRNRQVMEDEALARTLQGDVLFAVLSNYMVDIDWLLTDGSTFDVADPGVWASWIVVSRHVDEKSKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRVVVHTANLIHVDWNNKSQGLWTQDFPWKDANDMNTNISFENDLVDYLRALKVRLIGSVPGYHVGPNMKRWGHMKLRSVLEECVFEKQFCKSPLIYQGYAAGSCIPSPQKNVEKDFLRKYWSRWKADHVGRCRAMPHIKTFTRYNGQNIAWFLLTSSNLSKAAWGALQKNNTQLMIRSYELGVLFLPKTLQCVPQFSCTEKNRSNQDKLALGKNIKTKLVTLCWQGDEETDPSTTEVVKLPVPYQLPPRLCPMVMGSEVHKERCVWFGLAAPWLAKLCVSLHSRHGHEPGSMLNGSLVKSLSVDST >cds.KYUSt_chr3.19117 pep primary_assembly:MPB_Lper_Kyuss_1697:3:117569851:117570363:1 gene:KYUSg_chr3.19117 transcript:KYUSt_chr3.19117 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLRIKPELDHYSCMVDLLGRAGLLDEAWNLIQAMSMSPNAVIWGSLLAACRMHGNIPIGIHAAEHRLKLEPGCAATHIQLANLYASIDCWSDVARVRKMMKEKGLKTNTGCSWIEIGSKVYSFTAENRSKNHKVNNVLAALDCLHSHMVYKYDVLMDGLELDDSQHFK >cds.KYUSt_chr3.23073 pep primary_assembly:MPB_Lper_Kyuss_1697:3:142829138:142832773:1 gene:KYUSg_chr3.23073 transcript:KYUSt_chr3.23073 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEGEAAGSKDKSGGGVARTSLDGLRDKNVMQLKKLNTALFPVRYNDKYYQDAIASKDFSKLAYYSDICVGAIACRLEKKEGGAVCVYIMTLGVLAPYRGLGLGTKLLNHVFDLCAKQNIPEIYLHVQTNNDDAIAFYKKFGFEITETIYQYYKNITPPDCHVLTKFIGQAPTKK >cds.KYUSt_chr4.42593 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263662348:263662590:1 gene:KYUSg_chr4.42593 transcript:KYUSt_chr4.42593 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAERIVEYVLGDQSFELQFPGPVMVAQQLDLSWLELQGTRTASRSSLGRNFPGSWGWWQCEMERGEKGLYRRRAECST >cds.KYUSt_chr4.42050 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260018574:260019164:-1 gene:KYUSg_chr4.42050 transcript:KYUSt_chr4.42050 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDLRTAAAFVAAAVALYFLVEQLPYHRKKGALPGPPLVVPFLGSVVHMVRDPARYWEVKAAQAKESGLGFAADWLFGYFVIFIRDSELSHRVFANVRPDAFQLTGHPFGRKLWGADNIIYKFGDEHKELRRRIAPNFTSRALSTYATIQQRVILAHLRRWLDRSAAAGNKSMPLLVPFRDMNLETSQAVMVGP >cds.KYUSt_chr3.12903 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77402675:77403067:1 gene:KYUSg_chr3.12903 transcript:KYUSt_chr3.12903 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTGPTFRRPRTSTELLYPADVLVEKTLRVWAKSRWRTEVELTRDFLDEGFSHLPPGSPVMYYVNESREGVALKLLTVTFANRFDAYALLGEVFWCGCESIFFTTYNVFTDCDAIFFSGKPMHCLPYYK >cds.KYUSt_chr2.48375 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302492706:302492921:-1 gene:KYUSg_chr2.48375 transcript:KYUSt_chr2.48375 gene_biotype:protein_coding transcript_biotype:protein_coding MLQATTSGTRLQPNSGMPRDDEAEQMERRSAYKEHVHSENGHECLESENEHRESAHGRNTPDDQDDDNGCI >cds.KYUSt_chr3.18441 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113392833:113395969:-1 gene:KYUSg_chr3.18441 transcript:KYUSt_chr3.18441 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRFSTSVDASRTADGWLICTGSTTFWRIVKSKSTEEFEPAPYVLTLLNTLLWLYYGVTKPDDLLVATVNGFGAVMQTIYVVLFLVYAADHATRVKTAKLVAVLDIGFFGIVFTATTFAIDGLDMKIMIIGLICACLSVFMYGSPLTAVRAVIATRSVKYMPFFLSFFLFLNGAVWATYALLDRDIFLGVPNGIGCLLGGIQLVIYAIYMNSRVVDSQSGDEAASTSLLSSVSSRHGQNDLSNNV >cds.KYUSt_chr2.17417 pep primary_assembly:MPB_Lper_Kyuss_1697:2:109822621:109827712:1 gene:KYUSg_chr2.17417 transcript:KYUSt_chr2.17417 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSATTGGPLCNNWSPSLLHMFTGSATTGRQLCYKWLLALLQSVHRFCSLMWSDDGCATTNDVAAASDEAGQGWCCCWEERRHRSELHCMSGGGADVGGSGMLQAGLDISGVASSGSRRHCLAPQPDPLYTLLALGANRRAAHFSQSRHLSGYYAAARDNGLSTRRTIPAVFSRMVSHYKVVARKKAEDYSRRNMAFSRGYGSFSAAVSNSPARQQAQLAFRRLAHTYTYRGPRIPLMSRAACSVTLSVARSHIIPGFMALAFGKMALSRPVLADSRPPVPRMEGIVTKTRDTGQFLSSMLRSVWESITLFMRALHLAFLFFPATALAPFADRFSVEFRRRWLSLVRRTLEKAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSGAPVHGFAYSKAAIEKAFGRKLSEIFETFEEDPVASGSIAQIHRATLRIKEDSDSDSAKKKKKKRKNQPTVKHVAVKVRHPGVGESIKKDFLLINSLAKISNAVPGLSWLRLDESVRQFAVFMMSQVDLSREAVNLGRFIHNFRRWRHVSFPEPLYPLVHPAVLVESFENGESVARFVDEIEGHARVKKDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRVNESKKRNIFFRSKPHIVFLDVGMTAELSGNDRDNLKQFFKAVAIRDGRTAAKCTLQLSKNQNCPNPVAFTEL >cds.KYUSt_chr2.50846 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317929868:317930392:1 gene:KYUSg_chr2.50846 transcript:KYUSt_chr2.50846 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPKGSQPIRGRRPGFLAASRTQPDSNTSCRTRHVHRSTLQTAAPPAPARRAATGTSTSSRAALEPAPSPGHEPALRHPRPSEPGWAPNRPPRRSPNEPRPPPAASADLPPRRTPSSPQRRQLDPRNHRHLAACRPSRENLGGSKKRAAATILGARAASPAPSPAAARQGRGG >cds.KYUSt_chr5.43327 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273089594:273089977:1 gene:KYUSg_chr5.43327 transcript:KYUSt_chr5.43327 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVARHREVRVAEALRRAAPAARLAGALYRATTRREAATMLLEEGGLLVMDGGFRFCYEPSHSRCRATAMLRVPCYNHVPVDDADVLPGMLLVAVTGAISRGRRCYKRPPPMLPALPPSRASRLR >cds.KYUSt_chr6.1524 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9296752:9297621:-1 gene:KYUSg_chr6.1524 transcript:KYUSt_chr6.1524 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWDGHLGLPGVAPEQPSGSSGPKSDALALAVVKDPEPSPTSGGGVAVEHGDETNESGGGEPRDPGTVVAAPNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVCDSIAQFSRRRQRGVCVLSGAGTVANVALRQPSAPGGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGPLVAAGPVMVIASTFANATYERLPLEEEEEGSGAPMHGGVEPPPSLMASGGGHGMHDPSMFNLPPNNGHLGGGGDGFPWAPHSRAPY >cds.KYUSt_chr4.5662 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32868585:32872489:-1 gene:KYUSg_chr4.5662 transcript:KYUSt_chr4.5662 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGGRGGGGSSSHLSPSSSSPHRDLLGGGRGARSFLFGNTWFMLSAYPARLLHTADRRAPAAAFAAAIHRTPRAHGGTGQSLLQRGIIMAACGYAFGRAELGAAKRQLDKDSSSVGNHASRVVAMGSAGSAAARPDVSFRYRGVEYCKKVGVSLKCREQWGPGRAFWTNAVGPGRKLSFSVEPWARDFSTSCAAPYSAGFTERQLTLDEAVQDKKMDNSTAASDEKSPAPQKLKLLSGSCYLPHPAKEATGGEDGHFICVDEQAIGVADGVGGWADHGVDAGLYAKELMSKSMGAIKDEPKGAIDPSRVLEKAFASTKARGSSTACIITLKEQGLHAVNLGDSGFIVVRDGHTVLKSPSQQHDFNFTYQLESGGGSDLPSSADVFHYPVAHGDVIVAGTDGLFDNLYTNDIIAVVVEAVRGGLGAQATAQKIAALARVRALDKHRKSPFAAAAQEAGYRFYGGKLDDITVVVSYVTVVFTQHRRELPTAESLTRSAGKCPKCNTFAKKKLLFFHQENGCAPSMKGLCIRFLNFVTIDLDRHERRLERKIEEES >cds.KYUSt_chr3.15255 pep primary_assembly:MPB_Lper_Kyuss_1697:3:93109048:93109832:-1 gene:KYUSg_chr3.15255 transcript:KYUSt_chr3.15255 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRRLTTSREKVGEDGDDGGAKRRSSACTAALQVRLGGGDVLEQLQLRFSEEGEGATAAPSPCSDGDAAVWFDGAVLVFSGETFGGSRMWFWLGAWRSRGGSGGTRGRGSLGFCSGSIEEKGKRKGKETLTLSSSLFTCWRKTEDSSLGQQMLIRKIHH >cds.KYUSt_chr3.27957 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174454821:174458194:1 gene:KYUSg_chr3.27957 transcript:KYUSt_chr3.27957 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVARTMVALSLLVLPGTAALTHPANATDVDLSALLAFRASVRDPRGILRRSWNARTPFCSWLGVSCDARGRRVVALSLPGVVLGGTIPPELGNLSFISHLNLKSTGLAGAIPAELGQLARLRHLDLNENHLSGTIPSALGNLAQLEYLDLGYNGLSRAVPPELHALRKLKYISLNSNDLSGTIPQGLFNNTPDLSVIWLARNRFAGGIPEAIGSLTKLEILVLELNLLTGTVPAAIFNMSMLRIFGLANNNLFGTLPGNKSFNLPMLQKLSLSQNHFTGRIPTALARCKNLESLSLSINNFTGPVPAWLATMPRLSTIILAANNLVGKIPVELSNLTDLVMLDLSANQLQGEIPPEIGYLRNLNYLSFSTNLLTGTIPESIGNISSISTLDLTVNSFTGSVPTTFGNIRSLTGLYVNGNKLSGKLNFLHVLSNCKNLTTLGISMNSFTGSIPDYLGNLSSQLQYFIASSNSLTGSIPDTIANLSNLRTIDLDGNQLSGAIPVSISTLQNLQELNLFNNTMTGAIPEEISRLKRLVTLHLDKNNFAGSITSSVGNLSELQYMTSSLNSLSSNIPLSLWRLSKLITLDLSYNMLSGPLTMDVAQVKQISQMDLSSNLMTGGIPDSLGRLQMLTYLNLSNNSFHEQIPSSFGELVSIETMDLSYNSLQGSIPRSLANLTFLTSLNLSFNNLGGQIPDSGVFSNITVQSLRGNTALCGLPRVGISPCQSNHRSRKSLVKIILPAAMGFAILATCLGVLIRKKIKKHKNISVPSESNIINYRLISFHELVGATENFSESNLIGSGNFGKVFKGQLDDESIIAVKVLNMQHEGATVSFDTECRALRMARHRNLVRILSTCSNFDFKALVLQYMPNGSLDSWLYSRNIQQCFGFLKRLEIMLDVAMAMEYLHHQHTEVVLHCDLKPSNVLLDEGMTAHVADFGIAKLLLGDNNSIALTGMPGTIGYMAPEYGSAGKASRMSDVFSYGIMLLEVFTRKRPTDPLFGGELSLRQWVSEAFPSSLIDVVDPEVKSDCHAANHSTLQEQSISLNTCLVSVIELSLQCSSTIPDERTPMHTVVVKLNKIKADYCSQMKMM >cds.KYUSt_chr1.3709 pep primary_assembly:MPB_Lper_Kyuss_1697:1:22584387:22586223:1 gene:KYUSg_chr1.3709 transcript:KYUSt_chr1.3709 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQSFLAVAPVVNPAAAGPAGARLLPSRRARVSACLATPAPPPTAAPAGRRELSAASRAVVEDEAKYIVGTYKRAQVVFVSGRGCKLYDIDNREYLDMAAGIAVNALGHCDPDLVEAATDQSKRLGHASNVGYTVPQVHLAKRLVEASFADRVFFANSGTEANEAAIKFSRKYQQVANPDGDASTGFVSFSNCFHGRTMGALALTSKVQYREPFEPVMPGSTFVEYGNLEEAKKVIKSGKIAAVFVEPVQGEGGIHSATKEFLQGLRDACDEAGALLVFDEVQCGLGRTGYLWAYEIYGVKPDMMTLAKPLANGLPIGVVLVNEKIAAAINYGDHGTTFGGNPFVCSAALAVLDKIQKPGFLAEVTKKGENFRQLLKTKLSGNPHVKEIRGIGLIVGIELDVPAGPLVDACLAAGVFLLTAGKGNVVRLVPPLIISEKELEQAAEVIRDCLPALDS >cds.KYUSt_chr6.12655 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79074629:79075013:1 gene:KYUSg_chr6.12655 transcript:KYUSt_chr6.12655 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSSSMSSSGSQQSTDAVMEQIKAQLAQAYAQEFMEASSYWASVITDSHLAGLLEKEANSWVPFVFIDSAAAATLAPNIPWFPNSRSSFVGDFLL >cds.KYUSt_chr7.4152 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24783319:24786143:-1 gene:KYUSg_chr7.4152 transcript:KYUSt_chr7.4152 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAASPSAPTLLEYMGRAGTPADLAVLVAHIQGACKSIAALAASPGNADLSRAKAAGGGAEAVAAGRDAPKPLDELSVRVPGVYSAKSAYLGFFHGTSAADYAELLWGNCAPLKEKLFLRLALRSRCWTGDHLAHRGFPGPSLYPLCDQAPETIDHVMNEIILSSLQSSGKVAVVASEENDLPVWMYDDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRLVELDNLSVEERAQLNSLQSGTHMVAAGYVLYSSATIFCISFGAGTHGFTLDRSTGEFILTHPSIQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKARILSIQPVKLHQRLPLFLGSMDDMLELESYGDVQQKVNPGYEV >cds.KYUSt_chr2.13623 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86371935:86372593:-1 gene:KYUSg_chr2.13623 transcript:KYUSt_chr2.13623 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRFLSLILHLLQDDGASGFDRRSSVAPVEATLPPEEDTIVDDDEEQEVREGHEPDFVSALTGRFRLRLRCRAIAHVVPAARHPSTVAAHSRTPPPRGPRPRLPTPPSSGRDRRASTWSPAVLGLVDGVAPGTHLQEESPTRKGVEGAPLAAGERSSHCSDTGGVCFVAAACFSRVKKIGD >cds.KYUSt_chr3.37475 pep primary_assembly:MPB_Lper_Kyuss_1697:3:235516579:235519999:1 gene:KYUSg_chr3.37475 transcript:KYUSt_chr3.37475 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSIGPEEQVLWPASVLAGVVMCGAVYETTRQISSRCFKCYDGLSPMQKVEWNNRGFSTFHALVAAAVSFYVVMVSGLFSEDINNSILIGRKSWLSDSMFGVSIGYFLTDLAMILWYFPSLGGKEFLLHHGLSMYAIGLALFSGKAHMYILMVLFTEATTPFVNLRWYLDVAGQKTHRLYLCNGLALFVGWLVARIILFVYFFSHMYFHFDQVKSIFALGFYSIMTVPPTIAAMNVFWFWKISKGMVKTLCKSKSKTLTANGKTD >cds.KYUSt_chr6.8315 pep primary_assembly:MPB_Lper_Kyuss_1697:6:50993123:50997304:-1 gene:KYUSg_chr6.8315 transcript:KYUSt_chr6.8315 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPSPLLPRPPDITPELRRFLDVRFRSPADLAAAADVEAEIRGRCAELESSVAELSARLADAAASYASSLEAAGSALRGVRGGLAALEASTAKTGAREDVEAGSEKMLFEQLPPLATDVARVDMVRDYAEMALKLDSLIGDVEDAVSSSVTAKLKSRGQNSEKTHHVAIEYLKTIEDLLASVTRTRPQWTRLISAVDHRVDRSLALLRPQAIVDHRALLSSLGWPPSLTGTQISDNNSGKSVETVNPLFSMKGDLTRKYSESFLSLCSLQELQKRRKARQLQGHNVDNQLRQPLWVIEELVNPLSAAAQQHFSKWVENPEFVFALAFKITRDFVDSMDEILQPLVDKANLVGYSCREEWISGIVIALSTYLAKEIFPKQIELIQESSSSDASSALSQARVSWLNLVDLMISFDKRTQDLLSGTGLLLSVKDDDNWQRVSVLSVFCDRPDWLEVWAEIERQEIFNNLKSAMENEKNWSKRVEGAMLEYGSDDYKSPAITGAVQQGLSLLIERARPIPSIALREEFIRSSASPIISEFLGFMFRRCQEAEGLTALADDDALIKVSQSINAARHVESTLAQWCEDVFFLEMENLSLVGEGGSVFQQEINQLKEFRSEWVDKISTVILRGFDGRSRDYLKNKRQWQEHSDEPAISRAIIESSGYMQGRLSKLEVGLNVLDFVTLWRAVASGVDQMLFAGIFTGTPKFSNGGVERLHADLSVLFAVFQAWCLRPEGFFPRLSDGLKLLKVDEKQLGDRMITDKNWLREHGVRHLTAAEAEKIIKNRVYDA >cds.KYUSt_chr3.23522 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145384754:145387312:-1 gene:KYUSg_chr3.23522 transcript:KYUSt_chr3.23522 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGERVALSASDLSSFPSSGGRGPGGAEGGRPAAAEWQRAEGSRRRRRMLRRQEQGRPLRRKQLPAAHPPAHRYMPAKLHGLCYHCAKPGHISKECPNDVCCIVCGEEGHVSRGCKRPRSPSGSEQERAARPCPEPARVMVGSGRERSPDQILPPPPGAVRMGRQWSRVVREGSEESSARGPVFGVPFEQSRVQAEAVPRRAPPPAQPSPRPCFLEYSEELQHMEEQLRRAVVVTITGSRPAVELNDAAALLHAAFQVGPGDMSIRAFSPEDFLVLCGDVRLRDRMVARGLVHAPWFSLSLRGWLRQAHATAVSLPFLVPVELKGVPGHAWNRRTADRLLDGFGFVVDVAPPTARRDDMSVFMVWVRALDPASLPSSRWLFVEEPPVGARPAGRHRGRAAVLRAKTLRYLVTMRVAADAVVPGTSDAPSSPSPPPPRSPRSPRSPPRSPRSPSRSPRSPSRSNSSRGNAYGGFSPPQEVSGSAFDSPSLGLQGPGGGGSPDVSPAAPTPVEAVQSGVGPVGQKELLTGFSSVLAAVGSPNVGEQRALSDTPLLVCCQTGNQATRQEAPDMLSVAPRTVLSNVVSSGSSRHSVCGRQEVVVGLSHRSPCSVAGPWIGPVIGDSPQADQRGRSHGDLRGSDRRSLLGNSCSQPRAPGSSVQASLVADSLESVDLRRGARTASKLGRRARSLTPPRSASHRRTCRSDSASPPPQSRAVSPAPASTPAPAGDAADGRSPLQSIILQIKQHIANPLLPLPEVQRGRRRHLFVPEEQCRRSARIATKTKGRPACPIKRAQIVLSARLGICDIEGEPIVDCLEKYAQFFDGPLSQARIEALAKMFFLDCSAQEDPLWS >cds.KYUSt_chr2.30520 pep primary_assembly:MPB_Lper_Kyuss_1697:2:188060281:188060484:1 gene:KYUSg_chr2.30520 transcript:KYUSt_chr2.30520 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDFSRACSHVSVLFGCLGIAFKFTEMDYVAKASPPLLPALCSIYKLSAPVLALPGSPRIELAAA >cds.KYUSt_chr2.4383 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27039929:27042087:-1 gene:KYUSg_chr2.4383 transcript:KYUSt_chr2.4383 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGQSSSGGTAAFPSVLLVHHLRQPHISVDRTPLELGIRDAASVRSFRRAAARSSCCGVAAVPSFPRKASPSLIDPQRRAKVWEYFEPDLVIVDNVLKAIWKFCAMKLACKSGTSSLRNHVGDACPKIEDEVEAWWSNGVVCSEKGLKLIKKHGNVRRIGAFRKLEMCSGRDIIRKDNGAAAGGVVLLIPICFQCRKARCCLLNLPFKC >cds.KYUSt_chr2.2351 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14199263:14204791:1 gene:KYUSg_chr2.2351 transcript:KYUSt_chr2.2351 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVWQAEANKNRLDTLDRNPDRLQVPLLSPVLDIYVVSPSPEIDLRRSCRESRNFLSFSNSLITYRGCGCHEDKQTRRFDSLHAKLAGAILEQSHRLVSFSYWISLEIMLVYKISMVCLQGLRKLKYLNLSDNTFTGSIPVSIRKLVSLEVLNLKGNNLSGDIQNAGEIPQEIGNLSHIKSLNLSNNFFTGSIPATFANLSEIESLDLSENRLNGSIPWQLTGLSLLEVFSVAYNNLSGCLPDSGQFGAFDVDSYRGNNNLRSCTASSGPVVRNGTVIHVPDDPDPIPYVVSAVSFVLAFWATVTFVFCHSFGQRVILKL >cds.KYUSt_chr6.23745 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150136992:150143830:1 gene:KYUSg_chr6.23745 transcript:KYUSt_chr6.23745 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTLAPPGFPAELHAGNRERLVAALRAQLSASARPLRGLALLQGGEEQNRYCTDHAELFRQESYFAYLFGVREPGFYGAIDIASGQSILFAPRLPADYAVWMGEIKSLSHFKDRYKVDVVYYVDEIAQVLQDQFSEHGKPLLFLLYGKSSDSGNYSKPATFEGIEKFDTDLSTLHPIVTECRVIKSDMEIAFIQYATDVSSEAHIEVMRQVKPGMKEFQLESIFLHHAYMYGACRHCSYTCICATGENSSVLHYGHAAAPNERTLNDGDMALMDMGAEYSFYGSDITCSYPINGKFNSNQTIIYNAVLKAHDAVISHMKPGVKWVDMHKLAEKTILESLEKENIIHGDIGDMMVQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTARELKEGMVITVEPGCYFIDALLRPARDDPISSKFFNWQEIEKYKNFGGVRIESDLVLS >cds.KYUSt_chr6.31364 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198368071:198374904:1 gene:KYUSg_chr6.31364 transcript:KYUSt_chr6.31364 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIEALIFPDAWLIAVEPLELHLQLMSRRWIRTMVSSTATCSSISTAASASHHPVAYAAMPTAARKSHATRCRMGGTLCQWFFDVGNCTLSDKVPEKIGGLEVNSLLNPKDAQVTCYPDQDTQGVDQKLGAGLVAPLPQSAVEMEDENPVAAVTPPQSGAIPLPPAVKEEDKAKVAAVPLPPAVKEGVPKPTQDIPKRTQKDLSEKMPSKKLKLSQDPTGPSVAPVPDVKVRPGPLELTTRQAVPWHEELRVSDEEGRLVYIQNLDIQFAASDIEELIREALQLTCRARPINHPTYDDPNNGKAYAVFKSKKAADVAISKINSGLVVGGRPLYCSKGLLKIKKPSGALVGHLTISNQKTSRRQQEDQKKAVSTSHCSQPNTIEYDLALDWMLVREKQARKFSILHKKHADERK >cds.KYUSt_chr4.2786 pep primary_assembly:MPB_Lper_Kyuss_1697:4:15731803:15732549:1 gene:KYUSg_chr4.2786 transcript:KYUSt_chr4.2786 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGDASPLAAVVDVAVGAAPNAGGVSAMISATIPSKRKRIPKQFFEAPAAAAAAAPAAASPAIAPPNAKKGGRLKTKAAGPRGVAPAKVRTKAISRIGLAPPPPSKATPSPPSVPSDAPPAPPSTMDVDKVFDVESTTSYMDMLNGSAVNLDTGIDAFDAECNVEEIDEEEEDEGDEEEVVEVDPAAAGSSSAPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIGNSVA >cds.KYUSt_chr6.23317 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147264837:147265628:1 gene:KYUSg_chr6.23317 transcript:KYUSt_chr6.23317 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKSSMFTACVALMAVCAVLVIASGVWFGVAQEGECAQRARWPVAILGGCILLATLAGFAGAYSDHGRARYLLRFYFIAIPVLIVILVVFLVFAAVVTGGSGAYPLIGRAYDEYRLDGFSMWLRGYVSGDPGRWEEIKSCLAGSDTCKKLARQASFVTADEFYKSSLTPLQSGCCKPPSECGLRYVGPTVWTNPTSAPDHDCGLWSNNPGQLCYECESCRAGLLATLCSQWRKVNIALVVATVSLIFLYVAGFIAYKKARK >cds.KYUSt_chr1.41211 pep primary_assembly:MPB_Lper_Kyuss_1697:1:252812946:252820376:1 gene:KYUSg_chr1.41211 transcript:KYUSt_chr1.41211 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPRERRHHRKTATAARAGYADVFGGPPRFGAAGPPPLDYAEVFAATCSIPYLDLPPVAAADAGDCFSSSARRRDEYGEIFGGFEFAHFAAPYHDLFQVPPPPAPAPATEEEDIASSSASASASASASGSSSRSSINNESRRLDAEKSALHQHFKEHESSPMSFPPESQEFVMSYCKTTQAKPNDLIEMTTCTVHPSVDHVVGSSNFSHAPATNHVSKIDNGIMTNGDRGKKPPSISVTAKVRSPEIDFSFDQKQHRPECLPLSENVPANESNQKSDISATPSNGDRGKKPPSTSVTAKVRSPEIDFSFDQKQHRPECLPLSENVPANESNQKSDISATPSNGDRGKKPPSTFVAAKVRSPEIDFSFHQKQHVPECPPLSENVSANGSNQKSDILATPSNGKPFADYAFMRVSNVNVQTQPKVPLQPLAQQPKVLNKKESAAKGDLHLDSDTPISVHVASSTNVPRTEKRADAALSYTEANPSSAADAMKEAMEYADARLRAAKELMERKGDSFKIRKKPSHHRSTRSVEIKAPVEVYTFEENMSMKNPAKGHNFVLDKHQGNAVRTNHCDDSGRKVLPFEKPKQMMRTCTMPCQNSSKLEKLGNWRSGDEFFELTGDDQKCQTDTARGEEDNCGTSKTVTEPRKVQEGKVEVTMQDSDLGRHEKPRVVNYHSDLEVKHESRKEDNTVQLDKGEDMVSAMLEASTEYMAHKGIDSSHCEELVTVGNSEGSHDDGSVDLPSVSKVSPELDFIKDVPSSRSTSSSVNYANDLKHLGNSNMSSVEGTLKEPEKSEGGLEVRCDYEIQSTSGSSKTLQEPPEVADVYNSQASQIKSLILEELERSDLTQTSPRVESTSELEAETYGREKFSFIGESYLHNENEIPCESLISEVEKVETEVELHPHTHTEESVPDEDVKCTEQSDITLQTNNPVVSSVLNVFEVASKLIKGDVDQEIQGSLGPSEVEDRTEEGTDRPVSVCERKEAEETPSENNEKTDTEEESAHANQEDQKASVSDTNKGQVDVDARGKITVDKMESVISFEDEITMKSANDCPTIVAINSKDEPAFCPEMSTDVQHLTQNAESAISQTSNENVPDVDKTKEVRKEAVRELPTEISRTSEEEKSSASKMEGKNSRERISKAEQKHQHVHLEKNDSVPKSAESSFPVSAEVSRKETPGPQRSKERGSTKSEKEREDREAAQRLEEAKERQKIFEKERENAEDSERKRKEEQEREREREKDKLAVERATREAHERAFNNAREMAEKMALERIAAARQRASAEARQKEERANAEARIKAERAAVERATAEARERAIKKAKAEKAAAEARERREQIRSSSKDIRQDTHSQRATSSGSLRNPDSSSKVVDAESGLRHKARIERHQRTTERVSKALAEKNMRDLMVQREQAEKHRMADFLDPEVKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTDLITAAAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >cds.KYUSt_chr1.1074 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5755093:5758442:-1 gene:KYUSg_chr1.1074 transcript:KYUSt_chr1.1074 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASLEENSNWLFGISLIALQLILLKKTNSFAARALASIWTVHRRSNGALGDNAVAQPYDRNYGEMLRSARPVATAAAALLLSPAPPLRLPRRFLSLTATPYPLYYDLLVHRPAKTPKSTPSDADAAAPRPPPPPSADSNEDQPPALDRAQRRYLRKRRSRQLPDPDATTGTKPTTTSEMVELRPEVVDFPRLHAREEALYFHDAFAMPWEKDKHYRMLYRLEKKYFPDQSLDKAFVAADAAPPTSGADAEDKGLVFFEEEKEADVEEVGKKDKGEVLERKVEEFFKSLKKGPAAGEADASTAKKKTTGSGGEPRQVKRSAGGEDDWPRPHLASRRTELPPRWDGPTGTVVLVDKPKGDDVFPYTLINGRISWEGNSVIPASVLDCSVWDSSSNFVRLDFIYCLWKTAAISESAKGDP >cds.KYUSt_chr4.10518 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63855980:63858262:1 gene:KYUSg_chr4.10518 transcript:KYUSt_chr4.10518 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAVGEAAAAAAKKRGASRSWILIDASGDERVLDADNSSDFKPQTNHGKPTDTPQTEDRNLAATPSSCILIAAATQADNNHQRKPQTCWLASTSNKALNREERHEADIPRSRESGSRDSSEDIGRRDERATTTPSSSSSK >cds.KYUSt_chr5.7593 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47900869:47903669:1 gene:KYUSg_chr5.7593 transcript:KYUSt_chr5.7593 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRAQCASFSTSPFRAAETAEPFNAAAELDAVLLPPNRVRLPRPILILLLLLLLLRWIPLPSESKTSAGLLCFMTQQHNTGLLVSMVRQQFKKNMHETDPEKIQKMKDDAARGLINHIIYESEKMTGPNASLVLDILKQ >cds.KYUSt_chr2.22042 pep primary_assembly:MPB_Lper_Kyuss_1697:2:136361904:136364815:-1 gene:KYUSg_chr2.22042 transcript:KYUSt_chr2.22042 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRCGDLPGPPFKLQLEDATSLQAPKGESGETSLQAPKAESGETVLVLLKESRRLDGTRNEGRVRCVLVPRKERLDITRSVMFHSLCAYMGDDDMFSSDLSEDQLRQRLGHMSITQCQVIFSMGDEYVPEYVNKEALIDRLCWALGGAEKVQIEWGDHALSNRVQEAVRAILDFVKREGPKGWDDPWS >cds.KYUSt_chr6.1400 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8582282:8583500:1 gene:KYUSg_chr6.1400 transcript:KYUSt_chr6.1400 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRTWSILRGLARRGAGAAAGAPAGSGVGSAQLGGLGPSLHSAGISTGSACMLARQNGGFGDGGFIDSIRIGGVVLPNHKRLEYSLQSIHGIGRARARQILSELNVENKVTKDLSKLEIITLREEIAKYMIEGDLKRFNRAAIERLEGIKCYKGIRHELALPVRGQRTKTNCRTRKEKRA >cds.KYUSt_chr2.4982 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30859081:30862407:1 gene:KYUSg_chr2.4982 transcript:KYUSt_chr2.4982 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYKPCYKINDALQELEMLAVNAKEAQQLILTKILERNQASEYLRKFMNGSTNISTFMRNVPVVTYDVVQPYIARISSGEDSSILCGERIVELLRSSGTSRGEPRLMPAISEDLDRRTYLYSLLMPIMNKYVSGLDEGKAMYLLFVKAETLTSSGIPVRSVLTSYYKSPHFLHRKHDLYNNYTSPDEVILCPDSQQSMYCQLLCGLVERQHVLRLGAVFASAFLRSISFLERYWCDLVNDIRLGKLNSSVTDIACRLAMVHFLALPNPELADELEEICSCGPWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYSGARIPLVCTMYASSESYFGVNLRPLCKPTDVSYTILPNMAYFEFIPLEDGLRVTEDDEVVENDKLVNLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNCAPQFKFICRRNVILSIDSDKTNEEDLHNSVTRAKKILEDRNCILLEYTSYTDTSTVPGHYVLFWEIKSTSEGVTPLDAELLESCCISVEESLDYIYRRCRAHDKSVGPLEIRLVEAGAFDALMDLLVSQGSSINQYKTPRCIESGLALKLLNSKVIASFFSPRDPEWTM >cds.KYUSt_chr5.19352 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125549864:125550652:-1 gene:KYUSg_chr5.19352 transcript:KYUSt_chr5.19352 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQKIVLKLALDDERKRRKAFKAAVGMAGVTSATMEGDKIIIVGDGVDPITLTTVLRRGLGYAELLSVSSGDDKKKDGYGAYGYGGEKQKDGYNAYGYGGEKQKDGYGASGYGGEKKKNGHGYGGADGGGSGSGMSFGGKESGSSKGGSSAGVGGYPQNAVAPVLYPAYQQYNAMPPSYPPVYSYPAPAYQHQEQDPGCSIM >cds.KYUSt_chr5.33645 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213532736:213536091:-1 gene:KYUSg_chr5.33645 transcript:KYUSt_chr5.33645 gene_biotype:protein_coding transcript_biotype:protein_coding MENLAMLWGIIGPGVSGALFGAGWWFWVDAVVCSAVKVSFIHYLPGIFASVSALMFNCVSKEDLGGDYYSAYGGGDDNEWRAKLWLFIAYVVSFVCLAASVGLLVQDALTDKGPSVWTGVAGVLQCVFVLISGLTYWTCHSSDD >cds.KYUSt_chr5.6208 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38353574:38358738:-1 gene:KYUSg_chr5.6208 transcript:KYUSt_chr5.6208 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRARSLIRWLRHHRSRRVSSSSASSSSSSHLTNTTTTASTDLRAHSQPPQVDDADVQWEVAAGGPDSDPEDYIVIEEENKAGGLRVVVPRAPVRTKPPRMDPGKKTSESEFFTEYGELNRYQVSEVIGKGSYGVVAAAIDTQTGERVAIKKINDVFDHVSDATRILREIKLLRLLRSPDIVQIRHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDGAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPSAESLSKIRNEKARRYLSNMRKKPKVPLTKKFPGIDPMALHLLERLLAFDPKDRPSADEALKDPYFTGLANSEREPITEPISKFEFEFEKRKLGKDDVRELIYREILEYHPHMLQEYLRGGDQMSFMYPRERAIGNKHGDSEYQVKLNAGEKAGHASVTDGISKPQMSARSLVKSETMSASKCIGEIKNKDDDSENLDEGDDVSQKIAQLKT >cds.KYUSt_chr7.3114 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18475226:18476436:-1 gene:KYUSg_chr7.3114 transcript:KYUSt_chr7.3114 gene_biotype:protein_coding transcript_biotype:protein_coding MMELRKYWGVGGRRCGACEGAPAAVHCRTCAGYLCTGCDARPAHARAGHERVWVCEVCEVNPAAVTCKADAAVLCAACDADIHDANPLAQRHVRVPVAPIGSPEAAAVAAEAMNMFAARSEEDAHEHGLQQEHLALNLNVEAGKEGGKQMDYMFSDLVDPYLGLEYQRFPHADSVVPSGAGAVPAVELDFACGIGTHAKPPPSYSSYTTTTSLAHSGSSSEVGVVPEATFVSGGAGSFELDFTRPKPQTYTSYTAAPPPYPSHGVSMHQVSPVDNTGYLTVPERAVAVTGEDREARLMRYREKRKNRRFEKTIRYASRKAYAESRPRVKGRFAKRADNDADDAEAEAEAEAHAVPSSYLLDFGYGVVPSF >cds.KYUSt_chr4.1504 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7939434:7939754:1 gene:KYUSg_chr4.1504 transcript:KYUSt_chr4.1504 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPPREDPSSGVPSIRQRQASTPVVVLVDEEEEVAAAHASSVVKHDAESSKSSQSSDAFDMAAAHPTIPVPELETEQPGSAPKRPTTRTWRACRKRADVAAL >cds.KYUSt_chr6.25950 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164507450:164511043:-1 gene:KYUSg_chr6.25950 transcript:KYUSt_chr6.25950 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVESIGKYRVGRTIGEGSFAKVKLAVDMETGGSVAVKVIDRSTVLRNNLMYQVKREISAMKLLNHPNIVKIHEVIATKTKICLVMEYVPGGQLSDRLIYHKRLDEREANKYFYQLIDAVDYCHRRGVFHRDLKPENLLLDNQGNLKVSDFGLSVLRKPGQLLSTSCGSPCYVAPEVIQHKSYEGAAADIWSCGVILFELLTGYLPFQDHSFTNLYRRISRGQFAFPQWITLPQKKIILSILDPSPIRRAKISDIFNDKWFQEAKPSKRTTESVYCDGCVDLEEASTDSDSSRNTQVREAEEASPEPSRLINAFQLIATCSDLDLSGLFQEQKTKLGSPHAVQETLKMIRVAARDVSLSVRRMSNSMVKLQDIRLLSRSMLDLTLSAEVIEVTEAHCVIEVSKSTGDLGAYKEFCTSLWRLLNEEQCGSSSNINSTQNRSA >cds.KYUSt_chr6.10089 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62207113:62209480:-1 gene:KYUSg_chr6.10089 transcript:KYUSt_chr6.10089 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSKSFFFFPMVLRWHAAVVVGVGSGCCKPPAYCGYRPVNATFYEAPASGLDTTDADCQAWSNEQSLMCFRCNACKNAALATAKSNWRVVAGANVAALVLLTFAYSLGCCALRNNDRRRRHYY >cds.KYUSt_contig_1991.38 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000237.1:201106:204578:1 gene:KYUSg_contig_1991.38 transcript:KYUSt_contig_1991.38 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADEAWCRETFPQVMELVSPRLPQRDACALLSISPWCHRALTANPKLWEGAILLEELEFLNLNACQRISDNGIEAVTSLCPNLRALSIYWIVGCIKLTDESLQEVLQKCSALESLNLYALSRCVRVTDVGVIAIAQGCRSLELLSLFGILGVTDTCLEALSKSCSNSLTTLDVNGCTGIKRRSSTDLIQMFPFLSCFKVHS >cds.KYUSt_chr7.5191 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31060109:31061215:1 gene:KYUSg_chr7.5191 transcript:KYUSt_chr7.5191 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSAVLCVLRGAGRQQLSASTIATRQATGSGSHVLRIDGYTQVSKLVGKGKRIRSETICVGGLDWRISCYPNGYSWKYEGSHISLFIEHESHRDTEEKALIKVEASILDQAGMPCYTRTIPEICAYSYMYRPFSGGIGWNGFISHKDLDEEKHLKDDCLTILCDLSVVTEECKEVALPPEPTVWAPAPWPSDFHGQLVEAIWNKEKPDVTIEVGGETFVAHRWMLEARSPVLKEDLSLTSDLRVNDMDAEVFKTLLQFIYTDSPPLVEAATRAEKLIVAADRYRLDKLKLVCEEALCRHVGMDSVVATLVLAERHSCPVLREACMRFLSSPGNLEAVVASDGFELLKTGCPSAFLELVVKNVMRQDE >cds.KYUSt_chr4.8470 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50708025:50708819:-1 gene:KYUSg_chr4.8470 transcript:KYUSt_chr4.8470 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEPTMVVAANSMRDGGRPREVKAAGGVMPLAAGRGVMPRGGDGAMLSRSGAGGVIPLGANGDGDGEMPLQGDGGAIPLGRCTTVAVMGICVKLGDGGAGWCYAIPKMSRAATVASRAETGKARCRKVAVRCSFDGEMLMPFEPPEDNELHIK >cds.KYUSt_contig_2073.65 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:378875:381346:1 gene:KYUSg_contig_2073.65 transcript:KYUSt_contig_2073.65 gene_biotype:protein_coding transcript_biotype:protein_coding MFILFTLSIPFSQAWSIDYPSPIANLPSLWTNNNATIPYNATYAAGSMIRAILFRQNPVGYGPSFACGFICTSPCDTFLFAVFSVSVGDTSAAAINASAPPRVVWAANRYHPVKENASVQITRDGNLVLRDFDGSPVWSTNTSGSPVVGMNLAQTGNLILFDAVGKMVWESFEHPTDTLLIGQSLRQGQRLTSVSTNWTQGQFYLTVLDHGLYSFVNGDPPEFYYQKRFNVTDAMVQSKMNISSGEVKNGTAYISFLQGSLSAFGSLNNTDIKLFDIPLPWPSSAQLISLEDDGHLRVYGWGGISWESLVDVLDVYPDECAYPTVCGEYGICSQGQCSCPIGNSGDAPFRQLDDRHPDMGCSLAIPLSCDFIQHQQLLPLTGVTYFNLGNSWATHEESCKEACLKACTCKAVFFRYQNDSYGSCYLMPKIFSLMSYKPGTIGYNLSAYIKVQMLPPPAPSNDLHLTAYHVGVPVLVAIIALLILFIKRAISKRMQEDDPFKGIPGMPTRFTYKQLKQATNNFSKKLGQGGFGPVYEGKLGNVKIAVKCLRDLGNGKEEFMAEVITIGSIHHINLVRLIGYCSDKLHRLLVYEHMSNGSLDKWIFKKNQSDSLSWASRYKIILDVAKGLAYLHEECRQKIVHLDIKPGNILLDEKFNAKISDFGLAKLIDRDQSHVMTKVRGTRGYLAPEWLTSTITEKADIYSFGVVLLEIVSRRKILDSNQPEGGTNLINLLQEKMKVGQVLDIVENHNEDVQLHGAEMIEVIKLAVWCLQREVSKRPAMSQVVKVLEGAMDTESTASFETTSRDEIFDASSPLSPVPVSAR >cds.KYUSt_chr4.55058 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339941384:339941671:-1 gene:KYUSg_chr4.55058 transcript:KYUSt_chr4.55058 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNPSVAVVALMLALVVLAAAPGGARAACDASQLAVCVSAIMSGAPPTPECCANLTAQQGCFCQYASDPAYGSYIKSPNARKTLESCHLAVPTC >cds.KYUSt_chr5.7035 pep primary_assembly:MPB_Lper_Kyuss_1697:5:43709705:43710814:1 gene:KYUSg_chr5.7035 transcript:KYUSt_chr5.7035 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSMKERQRWRPEEDAVLRSYVRQYGPREWNLVAQRMNVALDRDAKSCLERWKNYLRPGIKKGSLTDDEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREIRDSRRPNPEPSPDERGRYEWLLENFAEKLVKERQQLVGVGGDHHPLMAAPMLPPWMSSANGGAAVAPAPPTPSPSVTLSLASAVAAPPAPSAPWMPQQQMMDDGGAAFGYGRPPPPSTAMMAEAPPPPLAELAECCRELDEGHRAWAAHRKEAAWRLKRVELQLESERACRRREAAEEFEAKMRALWEEQAAAAERLEAEYRDKVAGLRRDAELKEQKMAEQWAAKHARLTKFLEQVGSSCRRWSPGDMNGR >cds.KYUSt_chr6.30474 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193010329:193016390:-1 gene:KYUSg_chr6.30474 transcript:KYUSt_chr6.30474 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTDIGCCSCFSFLRKRSSAKVARPRSTDAMLSKDLLKRQSSEEDLNASFYTGDDPERSFYNGDDLDIDRSFYNNGDDANASFYERDDVDYHHESDDEPPRKKSEDIILTRAQNGFACRESLVKETKKVFRSEDEIGSKMINQYVHMGKIGAGSYGKVVLNKPYMLKVRVVRSETAMTDVLREVSLMKMLDHPNIVNLIEVIDDPNTDKFYMVLEYVEGKMVCGDGLGEDTSRKYLRDIISGLMYLHAHNIIHGDIKPDNLLVTSTGNVKIGDFSVSQIFEDDDDMLWRSPGTPVFTAPECCQGSAYHGRAADTWAVGVTLYCMITGRYPFLGDTLQETYDKIVNDPVEITADMSPELADLIQRLLCKDPAERITLQAAAEHPWVAGSDGPVPEFICRCGFGRRKRNVIQEELVLG >cds.KYUSt_chr7.13587 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83843110:83843907:-1 gene:KYUSg_chr7.13587 transcript:KYUSt_chr7.13587 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKLGLASLIFSSSIKQVDSAAPTSAAPSSMASSSSMSASSWQWPSCKQPRTLSFRQHQQQQTAYKTMNSAYLPDSGADSCFSNSFASVDGSLSTASEAVSGLVEANERDTVIRALRSDRLFFEPHTSLDTSSILKKAKLKVKDDKGTSCSGTSSTDKKMAAFEGATAMTMDSSTPYSDFRASMEEMVMSHGAKDWRWLEEMLRWYLRANGKSTHGLIIGAFVDLLVALSDTNLPSSPTSASTNRSFLSGTDEIKEEESCTQSS >cds.KYUSt_contig_1181.470 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:3284951:3285321:1 gene:KYUSg_contig_1181.470 transcript:KYUSt_contig_1181.470 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSERSLGSAYATPATIFGDLSRGSAASASGSAASSGKSPAEGTGTKRKPPFRPAPDDTKPVLRDPISRSDPVETEQAVLRLPPFP >cds.KYUSt_chr1.9892 pep primary_assembly:MPB_Lper_Kyuss_1697:1:60502067:60505170:1 gene:KYUSg_chr1.9892 transcript:KYUSt_chr1.9892 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNRRGAGSEEVRIGTGNVFAALETLKKKKKPKKQAPEPVKPEVVWAPAPLTTKSWADVEDDDDDDYFATTAPLRPVSEAQEDDAAAGHEDDQEHSALEQEIESEDDSVDDEVDPAAEDEHEAGDDAPSEPAVLKAVAPLAAPKETERQLSKKELKKKDMEELDAVLAEFGINATQDETNDKKSTGQSADEEKKEDVPAPLESKTSKKKKAKKDKSSKEAKEAHDQNDVANDATGAELDEDTAPVDVRERIKKVASMKKKKSSKELDAAAKIAASEAATRRAKLAAAKKKEKNHYNQQPVR >cds.KYUSt_chr4.55001 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339666618:339668036:-1 gene:KYUSg_chr4.55001 transcript:KYUSt_chr4.55001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEAKKVELEAATKDIAEEKAIVPVADDSKAIVAVAKDAEGHKGSSERDAYLTKIMSEKRLTLIHAWEESEKARAENRAAKNLSFITSWEHAKEAELEAGLKKMEEQLEKKKASYKEKLKNKLAALHKSAEEKRAMAEAKRGEEIVFAEEMAAKYRAKGEGPTKLFGLLKA >cds.KYUSt_chr1.36874 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224961291:224964919:1 gene:KYUSg_chr1.36874 transcript:KYUSt_chr1.36874 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTGYDEELGGGGRWRAFSIVPDLCSVESSASVCLHDIYIVEHDHSGSSFFSFEHNRGCPACFSVIPHSPDMMCKPSMSWMVPENARADLPLYHPKPPMVWEGRLLEFDLNRVCDYPSTGEQPPEEVETEVGRSCARLGIFQGVTFCQESTDVMMDKDKLKAYLMLFPANMDMDSKPSTPSQSSYFSGCMASPAWLPAARRSPARFHLLSRDGGRDVRRRAWRRLLMRLVRESKSCICSPRARAAPMITFGYDADSYAKNFDDGRRPSSADNPAAGQLIDSPERPR >cds.KYUSt_chr2.12337 pep primary_assembly:MPB_Lper_Kyuss_1697:2:78192850:78195322:-1 gene:KYUSg_chr2.12337 transcript:KYUSt_chr2.12337 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNASLPPGVRAERCWCGRLAKVKEVVDFSDKFGMKYFMCASYDHDPPRQTSSSSSRPASPPPLCMWFHWIDQEQPEWARREVEEKQRRAWARFHEEERFEKAIANDKAERERQIQKLRAEQARNREVNQKRMDDEAARRYAKEEIEQTLEQTMNQLRILGQPAGDINYAGHCLHRGCRPGDDLRVVMAGPAATGCGGILHVGLPPHRPAAWPTMANGGLTASRVS >cds.KYUSt_chr1.8744 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53660416:53661345:1 gene:KYUSg_chr1.8744 transcript:KYUSt_chr1.8744 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKYWMISRRKLGADQTAPAVFSTPHVTVGGGGGSSASYYESWEERAFAEDSAGNLGGCIWPPRSYSCSFCAREFRSAQALGGHMNVHRRDRARLKLSGMMEDGGSDQGMPLHQGYMIQPCPPKIGAPQQHAHGPKPTTPSADGNPNSIRSVLSVPSRSLVDIGTARTVWGKQVLASPLASPSATQEYGAKEMFLRPSQLPRDHLNQVSWIRSEKELRVRSAELKLSLLGCRTRSNFEDDSEDDDGKADHLSRKRRRTDVEVTQLFLCSSSSKHLQIDDHDDRDHHAKVLKLCPSSPADELDLELRL >cds.KYUSt_chr1.7027 pep primary_assembly:MPB_Lper_Kyuss_1697:1:43209329:43213673:1 gene:KYUSg_chr1.7027 transcript:KYUSt_chr1.7027 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRASMGAMEGAAVDEIVRRLVDGGRGGRQVQLSEAEIRQLCVDAKRVLLSQPNLLQIRGPVKICGDIHGQFVDLLRLFDLGGYPPTSTYVFLGDYVDRGKQSLETICLLLAYKIRYPDKVFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFSDCFNCLPIAALIDDKILCMHGGLSPELDNLDQIKDIERPAEIPDYGLLCDLLWSDPSPSGEGWGESDRGVSYTFGADKLIEFLEKNDLDLICRAHQGPYRIPMTTATSLDIHGGAVSTDLSPLAPVSRIFRRGRCGATSRERRCCNCPPVELQLSAGGAAIVCRQSYNLWPVELQSFAGGAATSKRRCYNSMPPELQGAGDGTAISCRRSCKQVRWCCNCVAAELQEAGGGAAIAWRRSSRLRAAVLQVPS >cds.KYUSt_chr6.17759 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111640302:111643035:-1 gene:KYUSg_chr6.17759 transcript:KYUSt_chr6.17759 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRTAAALAPPPSPARDSPRRPCAASLACSRRAPARPLRAQLPAAGRAPGHAASRLRRLGATNADEAAQTATQEDTETEVTGDTVADDGSVSTEETSPVLITALQSYKEALINDDEAKVAEIESFLLSIEDEKNSLMSKITTLDAELTTERDRILRISADFDNYRKRTEREKLSLMKNVQGEVVESLLPVFDNFERAKTQLKVETEREAKINDSYQSIYKQLVEILNSLGVEDVETVGKPFDPMLHEAIMREESVEYEDGVVIQEFRKGFKLGDRLLRPAMVKVSAGPGPEKSGDDDIIGEDSVAPQRSDDEDDGFDDVDAE >cds.KYUSt_chr6.29790 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188827562:188834317:1 gene:KYUSg_chr6.29790 transcript:KYUSt_chr6.29790 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFNVLLDSYWFDKPWFLTEGKLAAVQHHAFLLGFPTDVCFTVTRKLLSGAVAIQLPRIGSLGQGGSYAAVEGVSLDNARIARAQNGVRIKTWQGGAGYVRNVRFSNVLVDDVDHPIIIDQFYCDQRTPCANQSTNVQVSNVVYRNITGTSRRAEAIKFACSDAVPCSDIVLSNINLLREDGSEVQTVCNCAMGYDYEPVRPAADCLRNSECDGGGDKKVGGEEPKALPLHTEL >cds.KYUSt_chr2.14035 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88772209:88792348:1 gene:KYUSg_chr2.14035 transcript:KYUSt_chr2.14035 gene_biotype:protein_coding transcript_biotype:protein_coding VPKMPELPHPTMPEFPKHELPPFPKAELPPKPDTHRIPLCRRCQNLNSHHTLLCPSCRSLNCLTICQRCPKCRTLLCRSYRSPSCRHTLQCPSCRNLSYLIQLSLWCQRSMKSHTL >cds.KYUSt_chr5.2847 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18717737:18718876:1 gene:KYUSg_chr5.2847 transcript:KYUSt_chr5.2847 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAASLATPPSAAELAAAAIASRRSDPAVRGRCKLVHSMDVKTSVPATSPAPLINPSRPGLDPDLAKSSPPPQAFGAGGGEFASEVKSSCLPTAHPVLWVAAAVGDVEVEDEEEEELAPLTPPPASTPQATAAAPEPTSWVSAVDDDEDEDEEELAPRTPPSAAAMTCAAPDAARGNVVVLASPMLLAAPNGVVSSGFSGASDAADVVYVEDELALETTRSAALGGFEVLVPQTMPATKTFIDAAPVVEERDGWKEVMPRCGPRRLTRPAQPAARRPVPAWLKGRCCRCLVPGHRAVVCCDPFRCSRYLENGHRACDCRNAWRPLSSLVGSTVSSPRQDHAPLRAQVEVLLPSNVPPYQRSWASVVSTPVGPLTVVA >cds.KYUSt_chr5.27471 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173937810:173938376:-1 gene:KYUSg_chr5.27471 transcript:KYUSt_chr5.27471 gene_biotype:protein_coding transcript_biotype:protein_coding MSITVLGSNFSAAAKSKGESGIRRHGWERPSKGLIKLNVDASFDENTKTGATGAILRDSKGFFLAASNRFIAYADGVDSVEVIALKQGLELAQYWGCNKIIVNSDSLTIVEAMKSEDQFLGPSAATLNDCVNLVKDFVMVTFQHCPREANGAADELARHAVCSTPGLWQDEPPDFLMPQLVKDLTIIE >cds.KYUSt_chr3.36984 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232700206:232704327:-1 gene:KYUSg_chr3.36984 transcript:KYUSt_chr3.36984 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRLVGKPYQDKDPEKTPPPTADLALAAYSSRPRPRRALAMVRADPPSFPLWVRPNAGLIDPLCRCSQTVKRRNGGRSKHGRGHVKMVRCHNCAKAVPKDKAIKRYSVRNVVELAALRDLKEACVFEGYVLPKLYDKMHWCIGCAIHKKVLSVRSRKERKNRAPPPRHFRPREEWPVGDAPRPGGGGGGTGGAGVGFGAGGAGGGFGTGGAGGGFGTGGAGGSFGRLVSVVMSPSQKVVGGRVVLSPRFENAAGPRSARAPPPTPIQTARGSRSAAADELVLAEFLEASQRVTALTLPLKKKRLDFPDPPPALDIPAQGLLAGDATAVRTAVGAASEADEVCAAMEAVEAVFVAPEEVKRELGKFLPWPVSSDVAGGGPALLDVPCVQVIHANILS >cds.KYUSt_chr7.1978 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11312527:11316771:1 gene:KYUSg_chr7.1978 transcript:KYUSt_chr7.1978 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVILPDLATQVVVPVAAAVGIAFALLQWFLVSQVKVTGEGGSAGKGGASEALIEEEEGLNDHNVVLKCAEIQTAISEGATSFLYTEYKYAGGFMTVFAVLIFVFLGSIEGFSTKSQPCHYSVGKTCKPALANAAFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAASGLFVLYIIINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMMFPLLISSVGIIACLITTLFATDFFEVKAVDQIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGAQKTVHSWQLFLCVAVGLWAGLVIGFITEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGITTVDVLTPNVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGVSDHAKSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATYGGILFKYI >cds.KYUSt_chr4.13550 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83488766:83490790:1 gene:KYUSg_chr4.13550 transcript:KYUSt_chr4.13550 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPPPSQGQLITVLSIDGGGIRGLIPSTILACLESKLQELDGPDARIADYFDAIAGTSTGALVATMLAAPGENKRPLFAAKEINQFYLDNGPKIFPQRSYGLLTPVAKLFSAVMGPKYDGKFLHDKIKKLTNDVTIADTITNIIVPTFDIKFLQPVIFNTYEAKKEPLKNAHLSDICISTSAAPTYFPAHFFKTHDPLGKVPEREYHLIDGGVAANNPTMAAMSMITKEVLCRNRDFSPGNPAEYGNYLIISIGTGAAKQAETYNAPDCAKWGVIRWLHHGSFIPLVAMFSHASADMVDIHASMLFKALGVEKNYLRIQDDSLLGHTTSMDIATKENMEALIEIGNNLLKKKVARVNIDTGSYDSVDGEGTNEEALVRFASKLSKERKLRQTTLSSL >cds.KYUSt_chr4.49393 pep primary_assembly:MPB_Lper_Kyuss_1697:4:305893775:305894912:1 gene:KYUSg_chr4.49393 transcript:KYUSt_chr4.49393 gene_biotype:protein_coding transcript_biotype:protein_coding MYTICQPSQFPTLLSQGLLFVWPDEKGGDKAKATKPPMLPKEFDDPAYSTVTIQRDLFYGYDTLMENVSDPSHIEFAHHKVLSVACTSRMVYHHHIRLRSTTIKRK >cds.KYUSt_chr2.6647 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41473547:41474617:-1 gene:KYUSg_chr2.6647 transcript:KYUSt_chr2.6647 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSWAWLPAAVLLLATTHAMAAASGIQTTEAASVIRPPAATARYSPGAFREAPAFRNGDACASPSSSSRVHIAMTLDATYLRGTIAAVFSILRHAACPEDITFHFLAAHHRDADAVRHTFPYLDYRVHRFDPARVSARISRSVRTALDQPLNYARIYLADMLPRSVARVIYLDSDLVVVDDIHKLWSVDLGPDRVVAAPEYCHTNFTKYFTDAFWSDANLSATFQHRRRRPCYFNTGVMVMDVARWRAGGYSRRVEEWMAVQKQEKRIYSLGSLPPYLLVLAGEIMPVDHRWNQHGLGGDNVEGRCRSLHPGPISLLHWSGKGKPWLRLDSKKPCAVDHLWAPYDLYNAATALED >cds.KYUSt_chr1.40698 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249598962:249599402:-1 gene:KYUSg_chr1.40698 transcript:KYUSt_chr1.40698 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRRPSVAVACTTTTASPKVVELGDAIAGLTLEEARLLVDHLQERLGVSAASFAPAAAVAAAPAAAEAEAPAEKTEFDVVIEEVPSSARIATIKVVRALTSLALKEAKDLIEGLPKKLKEAVGKDEAEDAKKQLEAVGAKVTIA >cds.KYUSt_chr5.38891 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246242209:246243654:1 gene:KYUSg_chr5.38891 transcript:KYUSt_chr5.38891 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVHKGRLLAAVHSLFSVATLLLCILAELFVFVLHRHASLYLLPVCAMLLLLRRSGRRADINLVDYAYLKPPRRLRVTTPALLEHFRLIGCFDDGSVEFMSKVVEASGMCDETYFPPSLHRIPPSATHADAVHEAGALFFPTLDELFAKTGVPPSAVGALVVSCSGFCPAPSLAAVIVNRYGMRGDVKAFSLSGMGCSAGVVGVDVARGVLRAHESAVEYAVVVSAEIVTVGWYAGKDPSKLLPLLSNTAGTMAAAVPAKYRLVALTRTNLAADDLAYASALREEDDEGITGFTIGRGLGGVARDLLRAHLPALGAEILPWQEKLRYAAALMRFHFNHRQSNTKKLPEEDGQDTVPKPNFLTAAKHLCLPASGMPMIRMLAEGLGVGEREAEAALGTFQRFGNQSAASLWYQVAYHEAKGRVRRGDRVWQLGMGSGPKANSAVWERVAADGDGAAAPGADEGPWGDCIHRFPAIERAAAR >cds.KYUSt_chr6.27090 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171823227:171826675:1 gene:KYUSg_chr6.27090 transcript:KYUSt_chr6.27090 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPDLSAVSALTLQVDLLQLPPEIPAPGAPALRGVLDRLFTHWLSLPDTVALVGCLAQKAKTSGGLAGCGMLPSMMMQGGANVPPLSPRSPRLARRPSGVGGGHSHRSASPLRPSTARAAKEIIPQFYFRDGRPPPYEQKRQCISGVEQLFTGHSNGLRIQEFRMVTRELCKIPSFFTTVLFAKIDKDNTGFVTREAFMDFWVNSNMMNMDTETQVFTILKQHNHDYLTKEDFKPLLRDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYCLSRIGSGLLTLRELKRGNLLDALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKVAGKMCYEDFVHFILSEEDKSSVPSQDYWFKCIDLDGNNILTHNELQYFFEEQLHRMECMAQEPVLFEDILCQLVDMIGPEDDTFFTIKDYRRCKLSGHFFNVLFNLNKFMAFESRDPFLIRQMREEPSLTDWDRFARREYIRLAMEEDGEDASNASGDVWDESLESPF >cds.KYUSt_chr7.21100 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130731253:130734554:-1 gene:KYUSg_chr7.21100 transcript:KYUSt_chr7.21100 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSAAATAALRAPSRRRRLLKPPIAPASAAATGRRGGPSTPKLRWSVRDSDEAAPEQKAASVRRLAAAVWRLRPPEEKPAAERHADARVGLEHIPRHLQAQLLRKDHLGHKNSLKVETSSPNSVLERHSGELQKVQLHLASALMPITGLENATKWEPDSVKGVELDGAYVIASQLNHIDKQQGDTHTDSLQMELQRAQDRVCKLEAERVSAKKQLDRLFDKLREEKAAWRRREHKKVQSILEDMKADLDHEKKNRRQLETINLKLVDELKEVKMAANNLLQEYDNERKTRELTEEVCNKLVREVEDYKSEIELMKRDSQKLREDMEEDKKLLQMAEVWREERVQMKLVDARLTLEDKYEQLSKLQQDVEVFVASFDHTKGDSTIVREAENIIREIESVREQEVEFKYEPPAASEDILSIFEELRPSEEVGNKETCRKQHSPSVHESEIQEANPMADIFLENPTKLYSSRSHCEDSETEDGSSWETTSHEDLQGSSFSQNGNRSEPSVNKICDTISWTSGNDSEDGLNELSNVYLADMKQQHKKKQSAISKLWRSSPQKNCEIHEEDAEEPVNSMTGRLSNGGIYYGTEGGDRGVELSSPGMERWSSPDSMNSQLNRGFRGCMELVQRQSLKAKLLEARMESQKIQLRHVLNQRT >cds.KYUSt_contig_1253.1088 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:6835616:6842777:1 gene:KYUSg_contig_1253.1088 transcript:KYUSt_contig_1253.1088 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTAAASAPPPLPAPAPGEPHAALFLALGYMRLPELLACWRVCRLLGEAVAGDTLLWRRVAVEPPLSNRVTDEILAKLTARAEGTLRSLHLVGCSRVSDAGLLRVVEQNPRVTELYVPTCTGLTGDGVVKIVQLLHEHKGNIDHLRLNGISRMSKHHLDVIMSLMSKGNPQVQQDRSPLFYNHRAHEVLNTNDERPIDVDVCPLCTNVRLVFDCTKDDC >cds.KYUSt_chr7.19451 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120666900:120670790:-1 gene:KYUSg_chr7.19451 transcript:KYUSt_chr7.19451 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKIAYDKKLCSLLDEYSKVLIAAADNVGSKQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHAEKTGNKDYLELGNLLIGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVINNVYDSGSVFSPEVLDLTEEDLMNKFASGVSMVASLSLAISYPTMAAAPHMFLNAYKNVLAIAVETDYSYPHADKIKEYLKDPSKFAVAAPAAAAASGGAAAAPKEEEKKEEPAEESDEEMGFSLAAATIARQRCYELPVVMLQLPGDAATSFWRAATISRWRCYKLPAGHRVQGHGRRLFVAAHSVPAVLQVASVVATIGVADCYKRRLILLQPMAAPATIDAGEAAAVAAASPTGDCYKRWWLLLQAAVAVATSDGGVLQPDRRCCNDTTDATAKGWRRCYFQLTTVLPKASSGARSGSGNETGMADSGEVSHRNRPTRESGY >cds.KYUSt_chr2.7021 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43898551:43902421:-1 gene:KYUSg_chr2.7021 transcript:KYUSt_chr2.7021 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRGGGDDDEPYLLGLLVTKIVGLHHYSGTITGRANVTLVREPLNPHDDNAIAVHNNRGVKAGHIERRAASALAPLLDSLLIANNIAIVPKAPSSKNGGKPYSLICQVHIFARPAAAETVKKAIKDKGLALVAPNNPEFFFSESAFVQERTKKSDRDVDKLFAHVGKDGDCRRIEPMEAPEDVVLSALFQHQKEALGWLVHREESCDLPPFWEEDKDGGYKNVLTTQDAKERPAPLRGGIFADDMGLGKTLTLLSLIARSKAPNVGVKKTNKGAKRRKIDAAEEGSRTTLVVCPPSVFSSWVTQLEEHTKAGSLKVYMYHGERTNDKNVLLKYDIVITTYSILGTEFGQEGSPVNDIEWFRVILDEAHVIKNSAARQTKAVTALNAQRRWVVTGTPIQNSSLDLYPLMAFLKFEPFSFKSYWQSLIQRPLGKGDKAGLSRLQNLLGAISLRRTKDAESGSKSVVALPPKTVVACYIELSTEEREYYDQMEMEGRNKMLEFGDRESILRNYSTVLFFILRLRQLCNDVALCPIDMKSWFPTSSFEDVSKNPELLKKLASLVDDGEDFDCPICLSPPSKTVITSCTHIYCQTCILKILKSSSSRCPICRRSLSKEDLFIAPEVQHADEDGSENLGSDKPLSSKVQALLELLKRSQKEDPSSKSVVFSQFRKMLLLLEGPLKRAGFNILRLDGSMSAKKRSDVIKQFAVVGPDAPTVLLASLKAAGAGVNLTAASTVYLFDPWWNPGVEEQAMDRVHRIGQTRAVKAVRLIVKGSIEERILELQERKKRLISGAFGRKGGAKENKEMRIEELRMMMGL >cds.KYUSt_chr2.2192 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13326502:13327661:1 gene:KYUSg_chr2.2192 transcript:KYUSt_chr2.2192 gene_biotype:protein_coding transcript_biotype:protein_coding MEITKVDLRGLEPGGRGWDEARDAVTASVVAYGFVLVQHAGLDRDKRLALFDRVMPELFALPAEAKRLNVCDDVIYGGYIGQIPGLAYETMRIQDVADAGTIRDFAKLFWTQGNPAFCEIAAEFAKNTIKLDQTVTEMVLEGLGVRDRHAIDSHREQLRYSFRMSYYSTSPEDDAARVSLTPHRDYVMTNVIQQHEVEGLEVQLSDGSWFAVPPELDTYIFVAGELFNVVTNGRVKACLHRVRTPSNRERYSALLGTMPAKGSTVRAIDELVDEDHPLVYNPCDPYEYCSYRYSEEGLRFRDALKAFCGVVKDEPLSA >cds.KYUSt_chr2.18708 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117792800:117795414:-1 gene:KYUSg_chr2.18708 transcript:KYUSt_chr2.18708 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALEGFSYRGFSVSRLEFFEEVQLGDIWSTQSVNQPASVPIHGPEGQCDDFLDEGCGDDVVDSESDHYDELLVRCEGDTDVEELYPTRAEAVVHDVMSKAEMMKEARVKKAKHKEEKLRAAKRRALEGDVEEEGMTFGDQVSDDCKELTDSSDDNQVVDGNDISLITKKRSRSKKAFMRAYYDESRLNAHDQFQINMCFEDVHQFRRALLNYHVVQQRDWEFLRNDKDRITVCCKSEGSCPFVVMTSTLSGETTHVIR >cds.KYUSt_chr3.17419 pep primary_assembly:MPB_Lper_Kyuss_1697:3:106683146:106686019:-1 gene:KYUSg_chr3.17419 transcript:KYUSt_chr3.17419 gene_biotype:protein_coding transcript_biotype:protein_coding MVARVSRCAWGGRRQAIDEHSRAPITIRQRVSGERRRPLLTSTMRMSLDKYMSEKSYKKRISRSVSTITKRMTMTNKDDFATDCMFDEGDGPGKKALGLWWAASGEDGVDRQAARQRRRSAPCWNCRVSWPWLLSLVCCLVAFLVGVVSISIHDEDNVARGKTDLAAKRATMGEDMKPFDDQVGYILGVWIDYTFDFS >cds.KYUSt_chr2.54949 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342616568:342621655:-1 gene:KYUSg_chr2.54949 transcript:KYUSt_chr2.54949 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTTTTAKTTSPAFSRNNSWPWRRAGESEYPAAKTDDEIARLGVLVSEVERPVQPPLPWYATGTMPPGLTEEEALRWALEDSAPQPVEPPPPPPPHNPWAAPPPPPAWAAPPPPPEWAAQSPPPRVGCSTATTAGGTGVYMFRRLPTGCGRPIAQLRREENSPLLSFHVLLALHADPNTRLSSPPPPSPSAPGPLHPSAMSSASASAWRRSLRGALLRGSPWRAGAGAGPSRQASTASAASSGAPAAPAANPNKVPPPPRKGRLLTGAMIGLTIAGGAYVSTTDEATLCGWIFRSTELVNPLFALLDAEFAHRLAVTAAAHGFVPKEKRPDPPVLGLEVWGRNFTNPIGLAAGFDKNAEAVEGLLGLGFGFVEVGSVTPQPQEGNPKPRIFRLKEYGAVINRCGFNSEGIVVVAKRLGAQHGKRKMEETSSYPSSNSNVKQGGKAGPGILGVNLGKNKTSEDAAADYVQGVHSLSQYADYLVINISSPNTPGLRALQGRKQLRELVKKVHDARDEMQWAEDGPPPLLVKIAPDLSKEDLEDIAAVALSSKLDGLIISNTTIARPPPAEAHPLAQEAGGLSGKPLFDSSTRVLKEMYILTGGTVPLIGCGGVTSGEDAYKKIRSGATLVQLYTALAYGGPALIPRIKAELAECLERDGFKSVQQAVGADCKSKKA >cds.KYUSt_chr1.35581 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216914246:216915550:1 gene:KYUSg_chr1.35581 transcript:KYUSt_chr1.35581 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDARVARHMRRKKSRAAGAPAVAALPDDLIPEILVRVDDGPALFRCALACKQWRDLVADPSFLWRRWPKGARDRNSLLGFIARHRAAFIPVPRSPLGHSRRLLGSFFPCTVKGLLDDAVPLTMRSGLILVCLGPSFHSSPGQIWAGIRLAACNLLTGTCDVLPPLNYDAFATGTTKFVILADTDYCSKELPTSLPRYSTFFKVIVIFYEYSATSYNMCTFSSANTSWSTPRRCIYHMNSATIYGSAVVSMGKVHWLLWNMGNFHIIEVCITTGHLSLTNFHIPLDHPEDYSSNIAVHLSPTVDGKVSLVRLYTTTCLRLQTWTRQGNKDSSDDNAVQQHPKVIELKYKPQETANEFYMHVGEKTGILLVTDRRKFTCIVNPETGTVDEVTGMFYDMDLYNIVPFEMDWAAFFMSRLEAFYPLGLSQFRQRLQ >cds.KYUSt_chr7.31316 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194990785:194996732:-1 gene:KYUSg_chr7.31316 transcript:KYUSt_chr7.31316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23, isoform I [Source: Projected from Oryza sativa (Os06g0264300)] MKLNVKTLKGTSFEIEAAPEASVGEVKRIIESAQGQSVYPADQQMLIYQGKILKDNTTLGDNNVAENSFLVIMLSKPKASSSSASTASKAPVSQPAASAAASTPSVPVASVARSSPSQAPVAASEPAPPSVQPSLDPAAAAVAASGDADVYSQAASNLVSGGGLEQTIQQILDMGGGTWERDMVVRALRAAYNNPERAIDYLYSGIPETVEAPPVARGPAPGQQAPSLQAPSQAQAAPLPPVQPAGGASAGPNANPLNLFPQGVPSGGSNPGAGVGAGALDALRQLPQFQALLTLVQSNPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNETPESGAGASANILGALAAQLPQQVTVTAEERDAIQRLEGMGFNRELVLEVFFACNRDEELAANYLLDHGHEFEDQQ >cds.KYUSt_chr5.34232 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217103275:217113524:1 gene:KYUSg_chr5.34232 transcript:KYUSt_chr5.34232 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGMSALVTGGASGIGKALCLAFAQKGLFVTVVDFSEENGRQVTSLVQKEHKKFHGDLGVPPAIFIKCDVSNSDDLAAAFEKHVLTYGGLDICINCAGIANLTLVYDDISDGIRTWRHAINVNLVAVIDGTRIASQTMRSLKKPGVIINIGSAAGLYPMYADPIYSGTKGGVVMFTRSLAPLKRHGVRVNVLCPEFVQTNMAEQINRKIVDATGGFLKMEEIIDGAFELIQDESKAGACLWITKRRGKEYWPTSEEQRKYLLKYTKSKRTVTNNVFPSIQTPEFFEKIVVHTLSHNFRNATRLDRVRLRLPIEPQNVLVKIIYAGVNASDVNYSSGRYFSGSAKETAARLPFDAGFEAVGIVASVGDAVRHIKVGSPVALMTFGSYAEFTMVPAKHLLPVPRPDPEVVAMLTSGLTASISLEKAGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKAALLASLGVDRVINYQNEKIKEVLKKEFPRGVDIIYESVGGEMFDLCLNALAVHGHLVVIGMISQYQGEVGWKPKNYTGLCEKILAKSQTVAGFFLVQHAHLWQDHLDKLFELYASGKLKVSLDPKKFMGVASAVDAVEYLHSGKSVGKVVVCIDPAYSQTLAKL >cds.KYUSt_chr7.37316 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232950213:232952173:-1 gene:KYUSg_chr7.37316 transcript:KYUSt_chr7.37316 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLTKNQQPLLLAALCASLLVAAASAQKYNAVYSFGDSITDTGNLCTNGRPSAITFTQPPYGETYFGKPTCRCSDGRVIVDFLSNQFGLPFLPPSKSTSADFKKGANMAITGATAMDAPFFRSLGLSDKIWNNGPISFQIQWFQQITSTVCGSSCKSYLANSLFIFGEFGGNDYNAMLFGNYNTDQASTYTPQIISTIGDGVEKLIALGATDIVVPGVLPIGCFPIYLTIYGTSSAADYDSLGCLKKFNDLSTNHNNQLQTKISSLQSKYKSARIMYADFYAGVYDMVRSPSKYGFSSVFEACCGSGGGKYNYANAARCGMSGASACASPASHLSWDGIHLTEAAYKKITDGWLNGAFCHPAILHS >cds.KYUSt_chr2.35222 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217385574:217386086:1 gene:KYUSg_chr2.35222 transcript:KYUSt_chr2.35222 gene_biotype:protein_coding transcript_biotype:protein_coding MITRPGPHLSLSLVISHGSHARFPSLLPARLHVAVLIPATAGHIPADSCRRGSASISFTESPPPRRSPALVRASSANPSLWLGSAAGVVPAPPRLLLLPFGGLDLHLEPQLDLPFRPPLFCGGLMVWCSADAAPGTARGLPRYDAVEGLASPSYVFLDVSLDYVHGHASG >cds.KYUSt_chr1.11789 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72734598:72737657:1 gene:KYUSg_chr1.11789 transcript:KYUSt_chr1.11789 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPHPPGFSQPRWRREGSAESVSSARNAHVSGMGLSSASSENGVDAPRVEVAPLATAAERGRVQDRLRWEQPRPSKKSMWRRRVEARNEATVGRGAVAPELDGLCFRCYEPGHRKRDCPNDELCVRCWVRGHPARECKRPRSPASEEELRNLALAKLARRRSPVRGAQVVVRRGPVRESARAPSPPPPPPRPAPPVSAPPPPSPPPPPPPPPPRAATVAPLPLTLPPMAAWPPLSVQPEPVTVGAPVHAAPGMCVVRRTEAMCDLERRLRFAMVASVGGRRPEVSAEQVAAALRWRGIPATSFSVHAFAPEDFLIVLESAELRRHVAALPSVLVAGAPLVLRPWIRQSQAKHVPLRAKVSLVIEGIPPHAWDVGVVEDLLGKSCAVAEVAPETRSRADLSLFKLTAWTSELSAIPLARMLAVPEPLSEELRVLSPARELPVATSSSSESTKDPAIQTLQYPILIHVTAVEEPWLEGGAGAGRLGEGPQDGSGGGGGEAGRRRRRTCTWKRGTPDLRSGPGGLAQPRQSGIGGAALMVEEASWGLPMLDSPAPFSVKPAESLSAATGVRQVSAVQPIRDRVESEGPVDQEGEHAAVRSGVVARVEGEDGSGCMAVGGDPPDIPKEGTEVGPEMATDPGKGSVGWPVALVSLPTVTDPEADLRAALPKADPIDALFDPSVAVGGTQLGPVLEPLAGQVVEKVGPESLGPMVGAAEAVGPVLELDAVKTLEGLGPEVLVNSAGAVAVADTILDTAVIVTRSDTPLHETASPDTGSFSDSSFSEAPELSQENLAWGKKEEYFRVSLSGEDLAGPACDVGSPDCRAILDPQSSLESVGTELVGSACVSYTGPNSAMHMVPRRAHGCPELEEVAPRVSAGDDTAERELQQERIALGRIKTFCASILKKLAPPLLREVESSSGLRADAHPFTPRRMTRSAAIAEEAKGKKATKASAAETVLLKALGICPEELSVNEEHLASFHEIFDSPLGDRHVRVMASIFGKMVPQSFEQQEGCRVALAAH >cds.KYUSt_chr7.35084 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219162270:219168940:1 gene:KYUSg_chr7.35084 transcript:KYUSt_chr7.35084 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIGAHGVETLKRYRYSGQDHSVVAKYVLQPFWSRCVTLFPLWMPPNMITLTGFMFLLTSALLSYIYSPHLDTAPPRWVHLAHGVLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGRLTFCFWIVAAVPFYLATWEHYFTNTLILPVINGPTEGLMLIYVSHLFTFFTGAEWWAQDFRKSIPLISLVPLPFVPEIPLYVIVLILMILFAVIPTVGSNIGNVQKVVDARKGSMELALAMLLPFVALLAGVAVWCYLSPSDIMRNQPHLLVIGTGSAFGYLVGRMILAHLCDEPKGLKTGMCMSLVFLPFAIANALAAKINNGTPLADELLVLVLYTVYTVGLYLHLAVSVCHEIKLALGIYCFRITRKEA >cds.KYUSt_chr4.2818 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16036467:16038989:-1 gene:KYUSg_chr4.2818 transcript:KYUSt_chr4.2818 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSADSPPSPHIPFQQLEGEDRLSTLTDDILLSILGRLTAHMAARTSVLSTRWMHLPWLLPELSINVKEFLSGPCSDPAEENDMDQAMASLTNATRSFLAKPRKGSSISRLHLQLYLINTFLCDIGPLVDDAVSSDLLKDLDLTVHDETDPLDRTEEDMIQRAQDVDGFFTAYPTVLRCLTRLCLYNVCFGKLDINHILSDCCKQLKHLILFHSDSGECTPCKIDAPNSKLSILEINTCRFERLELVCLPKLERLEWNTWLSVYAPLSFGFVPSLGELKLSNGRSASHRGFKLSEVLDGATSIHTLTLDFQGENLWMPLQIKELCPAFNKIRKLSVCGIFVDFDIEWTIAFLEAAPSIELLHIGVTSVVSVL >cds.KYUSt_chr4.41178 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254549922:254558430:-1 gene:KYUSg_chr4.41178 transcript:KYUSt_chr4.41178 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPKWKVAVEGAAGIGGGGDQRRRCVAASLSMLIAATLAFLAYVAFFPDDGAGGVYRWWSCQDCRGAALGEFPPDDAAPADGPTAAVRRHHTPTTLSHIVFGIGASARTWDQRRGYAELWWQPDTMRGHVWLDEQPVTPWPSSTCPPYRVSPDASRFGNRASASRMARIVADSFLAIHSDLAKDTAATPEARWFVMGDDDTVFFPENLVATLRKYDHDEMYYVGAPSESVEQNVMHSYGMAFGGGGFAVSYPAAAELAGAMDGCLDRYSQFYGSDQRVQACLSELGVPLTREPGFHQVDIRGDAYGMLAAHPVAPLVSLHHLDHIEPISPAGRTPLDAVRPLVRASGFDSARLLQQAFGYQRGPGYVWSVSVAWGYTVQLYPWAVAPHELEVPLQTFKTWRSWANGPFVFNTRPLMGTESPCWRPAMFFLSRVRNGTAGGTVSEYVRRRAGKAEKECDKASFRAASTVHTVKVFAPKMSQNEWRRAPRRHCCKTKRTSWGSVLEIEIRVPTRTMVSPLPPSSSTSPTPAPFQPPAATFSLTPSAGPTTTPPALSSSPLLADAASVLARASPRTPAPPSAPSPPSYAASPASPFIGLPGAPQGSLGGGGMSTPPHPSPAGHAAAAAPAAHAAPAALGMASPERPLGAAGSLSSSTAPSLSRSIHVASTHPEDPADGRVWQVQRHLAKHCREPIRCLFCRRFGHTERHCRHRRSGLQARAASSSGSASPPPSQPPPPPPPAPAMATRGGAAPLRMFESHSFVIATPPMENHVKALSTTALVGTLAEKRDVSSDAAARALECELGIPWANFVVTKHSPEDFLVRFDYPNHRCIAMEAGSLPCRGTTLSLKPWSPTARGIQRTWRFYCRLAIEGIPQQAWSVDAAQQVVTGKVIIDRLEQQSVERVNTSACFAWG >cds.KYUSt_chr4.16162 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100192723:100193007:-1 gene:KYUSg_chr4.16162 transcript:KYUSt_chr4.16162 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRKKSGGDQSSACPSNYDAGEGEKVPRGHVPMVTCCGERVLVPVRLLAAPCIVELLDMAGQTYGYSQPGVLRITCDAGHFRRVVDGALERAG >cds.KYUSt_chr2.27653 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169855254:169855739:-1 gene:KYUSg_chr2.27653 transcript:KYUSt_chr2.27653 gene_biotype:protein_coding transcript_biotype:protein_coding MECLPWQQDEVDLSLRLEPAGGGGDEVAPMAYVDGKEVRLFPCLFCDKKFLKSQALGGHQNAHKKERVASWNPHVYSWDAGAVHWSAGLGGTPEACVPVASHGGCSATGTTNLRRGGAAVLPRHCAGRVEVIDVVNSTECSRGAASSSTDSGGKIHLQLRL >cds.KYUSt_chr2.29276 pep primary_assembly:MPB_Lper_Kyuss_1697:2:179975884:179977155:1 gene:KYUSg_chr2.29276 transcript:KYUSt_chr2.29276 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLCFVTSLAILFISNVRLGVTARLLAEIPNGAQPVPVPPAGQPRNIPVNLPVNIIPNGEPATPAPIIPAGQPKNVPANHPLNIPKGQPGLPAPLPPAGLPANIPAKLPVDIPANLPVNIPNGQLRAPALHPPPGLPGNAPANLPVDIQANLPPNVQPGAPAPLPPPGLPGNAPANLPVDIQANLHVNIPNVQPGASAPLPPPGLPGNTPANLPVDIQANLPVKIPNIQPGAPAPLPPPVLPGNTPANLPVDIPDNLPGNLPANLPGNLPANLPANVSPGMLANVPPAMLATVPPEMLAKLSGNVTPEMLSKIPPDVLAKIPPGQLPPDVTPEMIATLASMKQQQQQQGQPVAGAAQNNAAAAGAAGLPIPKMPDFAGLANISFPPMPSASLPQMPENVTLFGFDVEIPKFINKMVNEHTES >cds.KYUSt_chr2.19453 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122354346:122357668:-1 gene:KYUSg_chr2.19453 transcript:KYUSt_chr2.19453 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQPMNGQHAPQPQASGPPPPAPQQQQAPPPQQYYQAAPPQYYQQGPPPAMWGHPQQHMPPQYAAPPPQQYAPPPPHQYAQPPPQQYAQQPPPHYGGAPAPAPGAEDVKTLWIGDLQYWMDENYLYSAFAPVGPQQVTNVKIIRSKSTGQPEGYGFVEFLSRAAAEYALSFNGQMMPSVEQAFKLNWASSSSGDKRGDDGSDHTIFVGDLAADVTDAMLEEIFKASYPSVKGANVVTDRATGRSKGYGFVRFGDATEQARAMTEMNGVQLSTRHMRIGPAANKKNMGTQQTYSSNAGYQSSQGNEASNDPNNTTIFVGGLDSNIDENYLKQVFTPYGEVNHVKIPVGKRCGFVQFTSRSCAEGAINALNGTLIGSSNVRLSWGRSTKQPQQDASQGNVNSYYGYQQGHDAYYGASNVQDPSMQTYGYAGYGSYEQQQPSQPQQQPPQQQPPQ >cds.KYUSt_chr4.8234 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49214906:49215427:1 gene:KYUSg_chr4.8234 transcript:KYUSt_chr4.8234 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTAARRNQSFSMDRTEFSCDFRVFTMSHESETTVLPPAVDTSCSRGPRRDVDDLPAMLSIGTLGMRQGRREEPQRMQKELTLLMRANAVTTTAEEDEEGRRRHLTLNGGIFRHKSFRKLMLSVLSGFLPRLSFRGTTPELIPTEVLLQNAFGSQLTSCLELFPVKSIAISD >cds.KYUSt_chr4.14415 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88911915:88914357:1 gene:KYUSg_chr4.14415 transcript:KYUSt_chr4.14415 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVLCYDDSRLPSTDASGPKNPGVDILLAVIEASEVDELGRWAHLAETLWLSALKAAQAATEAAEQGVFLEMARSHEEVEDEARMSVRVPELADMAPVPQSDGHCRVVAIAYREAMDYFCALYAASLALFASPPMPVTSTPTTL >cds.KYUSt_chr3.23333 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144303360:144305835:-1 gene:KYUSg_chr3.23333 transcript:KYUSt_chr3.23333 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHRTTQDVAADEEEILSPALSLRSPSDYAIGSIPTVLYVPDFISQAEQSQLLHHIYQAPAPKWKTLKNRRLQNWGGVVHEKGLLPQALPPWLTKITDKICQWTGLFPSAINHVLINEYHPNQGIMPHQDGPAYFPVVAIISLASPVVIDFTPHGKLRGHEDTYLQTVQSDELQESNGSYKVEDTKEADPASLSLLLMPSSLLIFKDQAYTDFLHGIQDNELHILDKCPQFKNQNSYYRKGKADADSELTGTFQRTTTRVSLTCRLVLKVHNKLFKF >cds.KYUSt_chr2.4164 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25214953:25221611:1 gene:KYUSg_chr2.4164 transcript:KYUSt_chr2.4164 gene_biotype:protein_coding transcript_biotype:protein_coding DSEHAAAVDRHCAGMLHRDVCVSTLSSIPDLAQKPLGDVISEVVGRAASAVRAAASNSTSYLSRPSRLRTRDRLALADCVELFGHTLDQLTTAAAELSSTNRSAEESVAGVQTVLSAAMTNQYTCLDGFAGPSAEEDGRVRPYIQGRIYHVAHLVSNSLALLRRLPQRRRGREAFEEYGPVRRGGFPSWVSSGDRRRLQGFPGTVPDLVVAKDGSGNFTTVGEAVAAAPNNSATRYVIHVKAGGYFENVEVGSEKTNIMLVGDGMWRTVIKASRNVVDNYTTFRSATLAVVGAGFLARDLTVENAAGPSKHQAVALRVNADLSAFYRCSFVGYQDTLYAHSLRQFYRDCDVYGTVDFIFGDAAVVLQNCNLYARRPDPGQKNAFTAQGREDPNQNTGISIQGCKVAAAADLVPVQANFSSYLGRPWKQYSRTVFMQSKIESLIHPRGWLEWNGTFALDTLYYAEYINRGPGADTSARVTWPGYRVLTSAIDASNFTVQTFVQGDLWLNSSSFPYSLGLR >cds.KYUSt_chr1.11133 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68151042:68153417:1 gene:KYUSg_chr1.11133 transcript:KYUSt_chr1.11133 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARPLLSRLFTPNYTAMAAGHSPSPTRRLAHLTRHLLASSSSSSGELSSVGAPAAASPARATASKGFAAVLVCIFQDPRGDTRVLLTKRASSLNSHSGEVSLPGGKVEDGDADVKTTALREAEEEIGLDPALVSVVTVLEPFLSKNGLDVTPVIGILSDRSLFNPVLNKDEVEDIFDAPLEMFLKDDNRTTRERNWMGMTIPVQFFDYETEGKKYVIWGLTAHILTRAAAVVLQRQPSFAELPRPKSAPLSSKH >cds.KYUSt_chr6.18194 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114465727:114468997:-1 gene:KYUSg_chr6.18194 transcript:KYUSt_chr6.18194 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGPRPSALENEPSSSGENVVSRTATGSLPGAAALSSQASSTPRKLPADRFLAGAAFPMDNNNLVDPEELADTQEDKVLKFQCNLCDTEVVHSMTQLLLQALATASVDNTTGDIFKSPSSVAGGMKTELAGYMIQRCEPLPESMDGHQARSDQLTKASIWPAEFLSDSVDDFVTSKRNMMSHVSGFFSSESRLNKIKDFVQKLETENVWAENERKATTETILRKTDVKCTFHCPERFDMPEKLAEHESQCKFRIVNCTNDGCAVSCCAVHIEKHDSVCPFKLLPCEQLCEQQVMRSKMDKHCETVCPMKLINCPFYGVGCETAFAQCSLDRHCSGFLQTHLMYVVHVITRQGASVNDMDQRLQLLEKVQSLNELSGALDVRTLTLVTKEQESKINKLEKDLKAQETKMKKLENDLRWISSAGLYAEDIATVSSLAGPFDSALRV >cds.KYUSt_chr6.14104 pep primary_assembly:MPB_Lper_Kyuss_1697:6:88110302:88112886:1 gene:KYUSg_chr6.14104 transcript:KYUSt_chr6.14104 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVADGGVSSCGSINMRRVDHLLPLPLPCVVGEPTAASRVSPGSSPARSDGAAAFYAADAEPDPDPQPEASAGRSTQMLLAMAAMGARGGPYGRRPASSYGSCAAWSAGSLTEHRPASPSPICSPVSSKGGDGCRDGGERQDDSDGSSFVTSREVSFRGLAALPCCSCQVLARKGVDGSNRGPPRFVHKATPARLMRRARSARNYQRRRMGSIDAVNEWRLPKVSEEEDEAMDQKDWQADTVSSRISSARDWNFDAEGAYEGGNHGGHAFDLSDGENCPAAVQRMERRVRGSAVKPKENFVHAKLVAWKDAQISKLIDKLKIKEANIDVWQKNKIAQAREKMTNTEMKLEKKRAEAGQKMQKAIRKAQRKADKKKVKEQAATANRIAGVEKALEKMSRTGKLPWSLAFL >cds.KYUSt_chr2.55013 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343010468:343016030:1 gene:KYUSg_chr2.55013 transcript:KYUSt_chr2.55013 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSLPAPLLRSLRLKTKQQELLLRVSALALIYVLAFAVRLFSVLRYESMIHEFDPYFNYRTTLYLTEHGYAEFWNWFDSESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAVCPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWALAAAFGYFYMVSAWGGYVFIINLLPLYVLVLLVTGRYSQRLYVAYNCTYVLGMLLGMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYMLDDVKLFKSFLRITLTCVISVGTLALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAVSATIKNLTTLIRTKSKSPQTTGKVTGSKAAAKGAVDQPLPFQHNAAIALLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLSARGHNGGRVIFDDYREAYYWLRQNTPTDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGASPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAYTTSNWIVRIYKVKPPKNRS >cds.KYUSt_chr1.11213 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68615001:68616585:1 gene:KYUSg_chr1.11213 transcript:KYUSt_chr1.11213 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVGGAARGWRLSDEAAAGGAAATEAEHMRRLHGHAPAENQCTSALVKHIKAPVHLVWSLVRSFDQPQRYKPFVSRCVLRGGDLEIGSVREVNVKTGLPATTSTERLEQLDDDEHILSVKFVGGDHRLRNYSSIITVHPESIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLTSLAEVSERLAVQSPTSPLEQ >cds.KYUSt_chr4.40560 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250372771:250374395:-1 gene:KYUSg_chr4.40560 transcript:KYUSt_chr4.40560 gene_biotype:protein_coding transcript_biotype:protein_coding MWNCSAPSSLLRPFSDDYVKCESSNSAAGTAGFDKILTAVEYDHLRHHLPSFQSLQTPTLFAPRGSESYFGIGDGSVYSGGDVRPSSLMQFGYTQPDSPDAAAHMLTWTAEGGEPMAGDGSSSKRFKTTRSTATTTAQGPQGRRQGSAKPRNQAEKVTFCLLNDSLNSFLMGSWPSAPCKRSQKLGDKITALQQLVSPYGKTDTASVLHEAAACIRSLHEQIQILAAPLPGVSCSSPSSQDTGEEPATSLRRQGLCVAPLSAAIASLVCDNARGHGHNRTDLGAGAFFGAL >cds.KYUSt_chr6.30029 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190273565:190276723:-1 gene:KYUSg_chr6.30029 transcript:KYUSt_chr6.30029 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFSTSSAAAGGWGYDSLKNFREISPAVQSHLKLVYLTLCFALASSAVGAYLHIALNIGGLLTLIGCIGCIAWLFSVPVYEERKRFGLLMAAALLEGASIGPLIELTIDFDPSILVTGFVGTAIAFGCFSCAAIIAKRREYMYLGGLLSSGLSILLWLQFATSIFGHSTNSFMFEVYFGLLIFLGYMVYDTQEIIERAHRGDMDYIKHALTLFTDFIAVLVRILIIMLKNAGDKSEDKKKKKRRS >cds.KYUSt_chr4.50717 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314219950:314225159:1 gene:KYUSg_chr4.50717 transcript:KYUSt_chr4.50717 gene_biotype:protein_coding transcript_biotype:protein_coding METSVESWWVLPLTLIPAISGGQHDGSTTTLAAIATSFAYVAVFACLAWAAAALLYWAHPGGPAWGKYWRGRGKSVGARGAIPGPKGLPVVGSLGLMSGLAHRSLAAETARRPGAKRLMALSLGPVRAIVASHPDVAKEILDNPAFAARPLNHAAYGLMFHRSIGFAEHGPYWRALRRVAAGHLFGPRQVDAFAPYRARVGDDVVAALRGGASEGGVVQVRDVLRRASLYYIMRFVFGKEYDVSSPASSAGEKKGEVEELLEMVHEGYELLGKENWCDYFPGLAAVDPQGIGARCAELMPRVNRFVHGIIQEHRAKAIAGVEARDFVDILLSLQESEGLADADIAAVLWEMVFRGTDAMAVLMEWTMARIVLHRDVQAKVHRELDDLVGRHSHVTESAVPSLPYLQALIKEALRVHPPGPLLSWRHRAISDTYVDGHLVPAGTTAMVNQWAMSHDPEIWDAPLEFRPERFLAGGEAPDVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTSWMATLLQEFEWMPAGASTGVDLSEVLRLSCEMAVPLEIFVFEEECQGLADLNTGLVALISMQLLKLVHQLINMLGSRLKSEAIMSIPKEMKQVLQTMTSKLIRVHRLVLHFPVRKAMVKLRLSQRLKDMFQRRCAKDGELDKFVYVCNKSGKPREEEAVPVKQRNRKLTVLTDCKAKLRVKRDGARWKVTQFVEVHTHEVIDKFALKKYLRSHNKIPAEEKKFIDLLHEVNLTSGRIMEIMGELYGSKQNVPYNSKTVSNYTAKLGNYDRIKDIPELLEYFEEIKKDDPRFFYRFKLDAENKVENLFGWIAKQEMSTPVQ >cds.KYUSt_chr1.5894 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36335292:36339110:-1 gene:KYUSg_chr1.5894 transcript:KYUSt_chr1.5894 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSSRPASPGYGRRGAYAQQHSHGASSAQTSPGGSPTASPVHRHSRSGSLGGAGSASTVGRRGAGAAAAARNSAARAAAQRLARVMGGGGGGDAGSGSDDEDYELSGPPIELSITPRRPGNRSPSPSIGRYLADQAPVSRPPSLTNRYVPGKSVPMIPSIKQSNRPATSGPGSESSAPSRREQRRSVDLGSSMRGRRSSSSLNDELNTLQMENDSMYDKLQLEDDRSDEADAKSINMERQASIIGDAIEPEVNLISRRAAALEQRKASMRIASRRVNSASCDEITALRSEAKVASELVTSVSRRVKGAGSEQRSLHATSNRMMLSQEEMVCRLPSLFIF >cds.KYUSt_chr4.38898 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240202824:240203492:1 gene:KYUSg_chr4.38898 transcript:KYUSt_chr4.38898 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIPVSSPSIQHQHHHHRVLGSVGAGMGCGAEAAVAAATTTGMMCGAHHDEVPAEVARHHEHAEPGSGQCCSAVVQHVAAPAAAVWSVVRRFDQPQAYKRFVRSCALVAGDGGVGTLREVHVVSGLPAASSRERLEILDDESHVLSFRVVGGEHRLKNYLSVTTVHPSPAAPSSATVVVESYVVDVPAGNTIEDTRVFIDTIVKCNLQSLAKTAEKLAAAS >cds.KYUSt_chr7.4381 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26475129:26478320:1 gene:KYUSg_chr7.4381 transcript:KYUSt_chr7.4381 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARPLAVFLLLLLLAVQLVEGSKSRNSERDALRAFRAGVSDPSGTLQSWNSTAHFCRWEGVTCDHGHVTSLGVSGLSGTISPAIGNLTYLETLNFSKNAFSGSIPATLGRLRRLSYLSFCDNGLSGVIPDSLRNCTGLAYVYLNNNSLAGAIPDWLGTMPNLTYLWLYGNSLSGEIPQSLGNLTKLASLKLDKNLLKGNLPVGLSQLPLLQTLSVSQNKLGGDIPLGFFNMSLLEDMSLADNAFGGSLPPYAGTGMKNLGGLFLGGNRLTGLIPATLANASGMTYLSLSDNGFTGRVPPQIGTLCLYALEMSNNDLAATDGAGWEFLDRLTNCSGLRRLSLDNNNFSGRMPISISSLSRELLELNLGGNRMSGSIPPSIGNLTALQTLGLESNLLTGTIPDGIGKLKNLMELRLQENKLSGTVPSSIGSLTKMLKLVLSSNVLSGSIPPTLGNLQEMVLLNLSANKLTGQVPTQLFDLPSLSQAMDLSNNRLEGPLPRDVIRLGNLAFLKLSRNFFNGEIPEQLDSCQSLELLDLDSNLFNGTIPLSLSKLKGLRRLNLTSNRLSGSIPSELGDMSGLQELYLSWNNLTGVIPDELGNASSLIKMDVSYNHLEGQVPLHGVLANLTGLNIAGNSELCGGVPQLHLLRCPVARHTQHTDWRLPIVVPIFGISLFSGMFLAIFLCYKRKSRHRESTTQPDILDAMNYERISYAELAKATNGFADSNLIGAGKFGYVYKGTLPLKVKEGFEHGLVAVKVFDLQQVGASKTFLSECEALRTIRHRNLISIITCCSSITPRGDEFRALVFELMPNYSLDRWLHPTPETLKNVGRLTAIQRLNIAVDIADALHYLHDSCVPPIIHCDLKPSNVLLGEDMMACIGDFGLAKLLLDPGIQDAASSESTIGIRGTIGYVAPEYGTTGKVSTYGDTYSFGVTLLEIFTGKSPTRDSFVDGLTLQGFVSAAFPDRIEEVLDTTLLIPTEFDGDCSGVSEQDWLVPAIRVGLSCTKAAPYERMSMRDAAAELRTIRDASLRV >cds.KYUSt_chr6.4278 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24751323:24752126:-1 gene:KYUSg_chr6.4278 transcript:KYUSt_chr6.4278 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPMYLLLRLLRADGRGRRFVARYHRHGVGGFRRLVRLTRDFRRFRREVRNQRRSDLRRQHRNGAGPSNQHAQPSAPPQPDVPAWLLAGPAAPRLDAAEDIDPALAAPPPPPFWPPPPFWRPRSPTVAHAPDAPLVRVRDGDALAFVSAPTGASVFAFGSGVNGSSNHTVVDVDVVAPRLPSPTPATPPPSPRAGARRRLLALGLPLASPSADSPTSSRGHRAGTWSPAALGLANGASNGVAPGTQLPGGSSDEDEAGWSVNLRR >cds.KYUSt_chr5.3774 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24381108:24381437:-1 gene:KYUSg_chr5.3774 transcript:KYUSt_chr5.3774 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSAAELLAVVGHTHAHLDFTSAAELLVVVGHTHAHLDFTSATRLLTAGGRTHAHLNFSSPANVIFVPCCVIVPGSFLRWLQAAYAIAALMYNVCSVVIRNVRDLSS >cds.KYUSt_chr6.21326 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134647219:134652458:1 gene:KYUSg_chr6.21326 transcript:KYUSt_chr6.21326 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHHRPSRLRRILTAAGAATVAGLVLFSGRQAVLSKAPVFSPGLFPGGLAVESWPLPQELLRPPTFLFPHPSEADIDFALPRRLLPLRPHSPPQHDVFLPDESDAAFLPDSDAVLLPDSEVLVLADEPVDDAICAFQGGASSPARALGTLPGPGRHAYLCAMPETEQSIQPLQAPLLLSSSSADSPAAAPADFHVRPMLNWSNRLVFDSAVLDGGDVLVFAKGVIRRQWANTANPPVQCVYRGRDDGASASLPAITAAQQVARCPPPPSLLTSSNTQLRVTLSVTGEEPIPSLAIYRPQKSELAAVAPPTRNNICACTMVRNVSKFLREWVMYHDALGVDQFFLYDNGSEDNLAGKVAELRSTGINISTVAWPWTKTQEAGLSHCAAVHQTSCQWMAFIDVDEFIFSPDWKNVENPSKSMLEAVVSVDPQIGQIYLPCFDFGPSGQTAHPKEGVCQGYTCRLKTQQRHKSFVRLDAVEPSLQNSVHHFSIKAGFTSMWTKLARINHYKYQAWTEFKLKFKRRVSAYVADWTDPVNLKSSDRAPGLGVEAVEPPGWADKFCEVKDTVMQELSVRWFGTGFAVATDYILAIQEILGCRVEGFLQIYLGLQLSAEKLTLATFSLLITLLINSVLDALANYTMDTTFLPSALLHILEGLPRDFSVERSGSSLCSQVPGGLEAAPCPGRGGCGECRLAACPTVPMKRLVVPAVHRKRLVELMPFYRDISAVCIGDSHHTLFWLDTWLAGGVMKPRRHALFTHAVDAGASMRSVLQAGLAGAQVPRLTAVASWGHADLAHVSRSDVDNTRQHIIFRCSLASGFWNCIGRQHPPDADIFEEPDHMADASTSDNCAIIFFPLKSYSCISPHKPGGNVLDTEQSM >cds.KYUSt_chr2.811 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4772281:4772676:1 gene:KYUSg_chr2.811 transcript:KYUSt_chr2.811 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMRPPPPSSDERPLVLLAQPLFPEFAAALAGRFRFALAADAADTAEGRVLLVGLKPVTDEHLAGFPALELVVGISVGVDHVDLAACRRRGLSVTNAGAAFAVGLVVTVFRRVAAAWDQATRRPGKINIH >cds.KYUSt_chr2.33718 pep primary_assembly:MPB_Lper_Kyuss_1697:2:208365708:208367260:1 gene:KYUSg_chr2.33718 transcript:KYUSt_chr2.33718 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRPRYIFSAQIGVVGRWDSHSDSSGFHFPGDSSARAQQVRHHVLHTIIPGAHVAAHAAWTSSLVDGSPNLYMGSPQRGSGGGDMTMATEQTETTMLESLIRQTRELEQAKVALEEARLELATLRGQQGAPAAANGPAWSVMDLMFGGVDEEINGLRARLRAAVLAEDKNRKAADDLAAALSAVTMEAKQVKAWLSDAQADLERADAEAGRLESLLRATEAELRSATDQLDAITSEWKEAADAWRGREKALLARARAAEDDADGARRENAELAGLHRATDGENGALRRALERAVEEANAASESLEFATGENSRLRDALAEKDNAVESLRQENEALKASEAAAQGRAKDLDDQLAAATKKATTTDRAAELPLEKWRNEAQGKLSAAFLADSGRVLATSRKDRMFASISNIAELRSAAAAAAMDDYDYEFDHFDDGRQYGGLEHAMKHRKRRSVLRKFGDFFRRRSFHKSEFVPVPHYTTVATKDLTR >cds.KYUSt_chr5.13537 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88012960:88013905:-1 gene:KYUSg_chr5.13537 transcript:KYUSt_chr5.13537 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSGDLFAATPGFRFYPTEDELLGFYLRHHLAGTTGPNVHRFIPVVDVYSHHPSHLPTMAGEANVRDAEQWFFFCPRAEREMRGGRPARTTPSGYWKATGSPSYVFSSSCSASSKVIGVKRTMVFYQGRAPAGCKTTWKMNEYKAVADDEEDDRNATAGHQTAAPLRLRNEFSVCRVYISTGTLRSFDRRPLNTPGNGQTAARQHAPSVATANLANDRVTANTGQANNTQEWRSPSATAVDNFSFDMDFNQLQYY >cds.KYUSt_chr2.47363 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296321425:296323704:-1 gene:KYUSg_chr2.47363 transcript:KYUSt_chr2.47363 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFNFIAGDMVMLVMGVKGGVMVVFVFAVGAMVMLVTGVTGGVMVVFVFMVDDMVMLVTGVSSSVMVVFIFVVGDMVVLVTGCVMVVFVFVIGEVVMLVVGVNDSAMVVFIFVVGDVSLLATDVTDGVMVLYVFVVGNVVMALTGVIGGAIVVTTLVIADMPNLVNGGMRLCDRSIEVAEAMASFRRDLMLAALCVVALLAVGSESHGLQEEFGQGKTEATPEMASFFGADKPEAAVLPEALPAKPEATSAMPTTTSTTNTASSSSSSAPPRRSVAVAAGISCGIAALAVVGVAVAVAFVVRARRGERREAEVHLG >cds.KYUSt_chr7.3957 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23652106:23654967:-1 gene:KYUSg_chr7.3957 transcript:KYUSt_chr7.3957 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLQDHPRPPPRRTSTVRGRRPGFHHPRQQGNRAQLPRIYHTTRNTDSKSGAFKKVTAQSVAAARSKEQRFSPGERKNSRQRETKLPDEALNRENDGACGYDNLYNDGYATNSTALSTTLWGDGKSCGACYAITCDTSRTKDCKPGTSITVTATNFCPQDYSKPNDAGGWCNPPRQHFDMSEPAWETIAQYRAGIVPVNYARTTCRRTGGIRFTITGHDYFDNVLITNVGGSGAVSAVSVKGSATSWTTMSRNWGANC >cds.KYUSt_chr4.5492 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31795750:31797323:-1 gene:KYUSg_chr4.5492 transcript:KYUSt_chr4.5492 gene_biotype:protein_coding transcript_biotype:protein_coding MLHERHAPPTASDDEEEVDDAPRADHDVAGEVQFPTPSTTFHDVVDQEQDVTEVREIQPLTVPPPFTPPTRAGSGVSTAWDTASTSHRSLTSEEQFMTMSREFTAMVAAGAGTGADTPNNNNPPGADQLTSIGEDELEEHNPLAIVPDSGRPFATPGGSRGGSGSGSRARLDLEVVPAAGPPVEAKQVKKEEVETKVSAWQTAEVAQINNRFKREEVVINGWETEQVDKACAWLKKIERKLDEQRAKAVEKTQNDIAKARRKAEDKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >cds.KYUSt_chr6.1154 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7319918:7321712:-1 gene:KYUSg_chr6.1154 transcript:KYUSt_chr6.1154 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIQYAVVARGAAVLAEHGGAGGTASNAGAVARQILERLHANGANDDCHVSYTQGLYVFHVRRADGLTTLCMTDDAAGRRIPFAFLEDIHGKFVKTYGRAALTALPYAMNEEFSRVLSKQMDYFSNDPNADSINRMKGEMDQVRSVMIDNIDKVLERGDRLELLVDKTATMQGNTMRFKRQARRFRNTVWWRNVKLTVALIFILLAIIYIVLAFMCHGFTLPSCVR >cds.KYUSt_chr4.37613 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231845778:231846233:-1 gene:KYUSg_chr4.37613 transcript:KYUSt_chr4.37613 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALVRLALWFFGSFLELAILVLFRGLALLLVASVDLVRLPGQAADAALEATKGAIEAAAEFAFGLVWEVAVAVVSAFLESLWSVVAGAAELASSAVGELMEAARDGSEEAAKALAEALEGAADAVAGTLVKLGENYMDSLVHVLQNLI >cds.KYUSt_contig_319.823 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:5290040:5304881:1 gene:KYUSg_contig_319.823 transcript:KYUSt_contig_319.823 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFHAETVAIQDKKNKTLSELQQSVVSIENLRIEEQLTDEDMKDSNASLNKPWEESRCSLLEEIVTLREEKETALSSYNSLTLPPGTLRQSVDWFLVVVGLWWRCMRLLDFCQQCACSLDREAKKEGFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLETQKETSRQIKKRRSVLGCQLLKLDFGGPSISDYEKLKAEKLDLQLNHALCESYGATVGSSSDKAQPTFTSTFALCSLKTSPPLAMPRPSASETASRFAAHWVADALAADDALDFSSLMALLDATPESLTGAPASVSERVALRCLQELSAAASTGDGPTASRAPAGVLRVDITRSCEDLLLQIIGKVGTSGNLRKDMLPDFSQDIQNIICIKKPRLPETCFELLREVDPEIACTALPSPLEQNGDNTHDRLNIEEPRLPTDNAIHLVEDLTNLADQTYKENIMKELSEPTSDLQQPCISDTIIPRVDSNKTHPSSPQNDRGEKANQDLEYEATEAYQEAKKTYCEARKNLAAFLGSQQLINQHDEQLPEVLPRASPSKGHLNGCNFLVKRKNSQQIDMHRLAYSDEKADQHRKKQKTNATVDACHEKAVTGKAPSAAQKEQEVKITETREESGNENSCDGMRITSHEKCGPSATNQECMADKEDSLKICNQGDGETEAISSKIDMHRLACSDEKADQHRKKQKTNATVDACHEKAVTGKAPSDAHKEQEVEITATREESGNESSCDGMRSTSHEKCGPSATNQERKADKEDSLKNCNQGDGETEAISSKIDMHRLACSDEKADQRRKKQKTNATVDGCHEKAVTGKAPSDAQKEEEVEITETREESGNKNSCDGMRITSHEKCGPSATNQVRKADKEDSLKNCNQGDGETEAISSNDSGQRSLLPLRNSRHREARLQERETPVSCNSGKATVQQGQHMPSPSRKRKYAYPINHRMSAHLIIQTCTHLISMVSEKGPSDWLARQNNDELMDYTSNDDIDLSPEVCGVALSPEDFSELGGPAVSNYEKLKDEGLDFQSKFNELLEACE >cds.KYUSt_chr6.33632 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211208903:211209475:-1 gene:KYUSg_chr6.33632 transcript:KYUSt_chr6.33632 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSPVCILGLAVAVFMAAHAAAQEESCANPVTVTAACRGASDTHHGVDYDHCVRSLSTDPQSGDAAGIHDWAILATKLAIDHAASTESKISDLAELESMESDPMKSARYEHCLERYGGAADLLRDALDNLQAGVYGKALEQLMASLGASESCEDAWKGADKGSVPVAAHDREYGRMAHIAVGFTHAAA >cds.KYUSt_chr5.19308 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125243303:125248158:-1 gene:KYUSg_chr5.19308 transcript:KYUSt_chr5.19308 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSSARCFPLLHETLLLSFKPRVFPDCLLEIDAKRTDPAAPIIVAAFEGDIPTMKRLAKQRKREGGSVEAVEGIKGSQSSRRLGALHVAAFAGKHKMCKFLIKDLRLDVNAAAEHGSVALGYVSHFWSEERMGGHFDKVFIISCALARFITSDMCNIRVVRLFYAPLTLALFASSLKCVELLIKAGADVNAGSPATPLTLAATDGLAECIKCLLEAHADPNIPDEIGRMPVELAAIHGWKECVEILFPVTSRVARFTDWSIDGIIQQCSDGNLHKSEEPAFKALGDAAFKRKDYTHASALYTKGMETDPKDSTLYAKRSLCWLRMGEKDKALDDANTCKCMILDVSNCCPEQGAALIPTEDYGQASEALISSLKLDSGSGLVGEVSG >cds.KYUSt_chr6.27573 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174989222:174996423:1 gene:KYUSg_chr6.27573 transcript:KYUSt_chr6.27573 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGDDGEAFRGHFPVPAACRNRESCPPDLGFAMAAALEGFSYRGFSVSREVLNPKVGCVDQIYGYLVNLLYLTNQHRRTHLQLDVMDYLWEEFWTCIMTRKSPVFAPYFMRSICSHWENARFGDLLDDVLTLPHKPEDLKVKPHPSPPGAPTIEEEAEEDSDEEYVPPGNDSGWFARIEANLAKRFCLKVDMNKHQYQTHRSRKMERRNTKLIMRKLDILVESGSEEVITPEEKWLSKHGNVKSLGKYRQLMNQGPVGKGDCPWVKRKRDKLIPAQEDHCFLNQSCRISVASCLVAAAHSIVMVVTAESAQDSEIAAAHGEAAEAVRTEKKARPEVGAEVRGALRLQPIGKHEAEIWNPLRRTKVGLGSCGASAKVPCVKKDATGWPDSRTRFRGVRRRPSGRYSAEIRGSKCNGHRWLGTFKTAEEAAAAYDAAAIELHGAAAKTNFKSPAAARSSASVAVVKEETTQEQGLSAGDREIAEEHGDGVEAVERMKKRALADADTKFKYYYKRRKDNRAGAAPAGAASPVATAVAVPGMVAPSAVPALPEPPSVGATAGMATPVVGGNLSFSTSNTEEEDEDMGGRGKGKRTSAAASAGSDKMMRFLEGLMKQAMDRQEAMQQRFLEAVEKRDQGWMIREEALRRQEMACIAREQEILAQERAMASNRDAAVLSYIQKMNGQTIPMPSVPPPPPSSQTHATHIAYAAAPSASSQPPSPRPPTMPLAAPQPQKSPAPTTLQPQPQEQQQALVVQESSTDIVMTAAETAQDASRSGGGGGASSYSMDLPELPVRRSTTIPVGAEVEEFLKCFTEAGGHAAANKVIKQETNVHSPIAADINPGHVQELGFGLKQAAEANSNVEVRRKAVARSDSRSGFRGVGLYRAKYVAQIKEPGRPTRRLRLGIFDDADEAARAYDAAALRLYGASAKTNFEQPPTATGATADEREELPGVKLEHL >cds.KYUSt_chr7.12398 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76333383:76334935:-1 gene:KYUSg_chr7.12398 transcript:KYUSt_chr7.12398 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRTCRLLCPPPFGGSGAASAGDGNGLAGASMEKDRLRVTEKIALAPRSSLRSLVLLMLSGKPGLSSSYSPAVPPTPTAPAVAVMSDPQRRKDEGVAHPLADRPNRKGDALIDRSLPSTPEHPVPDYGSYTSKPATASDVTRSISSNLENVTHPLPDPPRHIGLLQSKL >cds.KYUSt_chr3.21835 pep primary_assembly:MPB_Lper_Kyuss_1697:3:134473361:134492007:1 gene:KYUSg_chr3.21835 transcript:KYUSt_chr3.21835 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSYAAVKCLNTSSSSRKRFVFKSFSQRVEEIDIDVYRSLHAVKAEPSSGSSFLLDALVEWRELNTAEDFISFYDEMIPLVQTLPQIVLHREKIFSALLQRVNMAARLSLEPILMLIAALARDILEYFLPFLARHADAISALLGDGGDRDPEILEQVFTSWSCIMMYLQKYLVKDVVQILRTTAPLRFFPKDYVREFMAESVSFLLRNAPSSQLSQGLRKALLEAAKNSSPIRIDGVTALLWHVMRGTYTKLHSRAGKVMKFLLTKSVLTTVDDKFPDGSSTIREVITGVIQRLCNEVDQKELALVYTCLFEEINSCIKDDCLEHLKHLINFFTFTLENSKQNDALDKADMLELVKLLICKYVRPSSSTEEASSFEVLGSILDFLLCVLDVPVISCNLSIVYAPVFELTNLSAVIFIKKLLAKSPLIIQAFESKILSAMDNFLETSPEEVLYILLHLFKGATDGITHGIDGSHLDREKKVYKFCDSKIRMWIELLDNIVKTGDHSGNQVSVKEAAILWGSIRCYSNVKDASQDSLTMLNKLICSLDQILEVEEDSISGLPKDTWSSLLGAALLTYHELLLVNGSKNSELSLFLPLAKRHSTCPQVLSAVAEYLDSLLGATSLEMTKEFEPQNLLNSFCIFGANLSSPNKNIRVLTLRILSYYAKMDQRLGSDDERPHKKRRTEDSAEEAVDTKYTNVVDTLFAVESTPVSVSTSRKIAIYVSRIQMSLSSNMVHDDYIPLLLHGIVGILYNRFSDLWPPALDCLGVLIKKHKELVWSQFIEFIAIHQSEGLTVRSQEKLEAATHPQSIFDCFSLYLATDYDYTPLETIATLLLKALQKIPDVAESCSRHLVPLFLNFMGYSDGSITSVDSYMSDKCKGKQWKMILKEWLNLLRLMRNARSLSQSKIIQEILTERVLDESDPDIQAKALDCILNWKDEFLTPYSQNLKNLIDLKTLREELTTWAVSHDSVSIQNCHRSRVVPLVIRVLTPKVRKLKLLGSRKHTGVSHRKAILRFLLQFDSNELQLFFSLLLKSLVPGSLQLEKFSCQSGNLLGSTSDIVGMSTEICLENLTWKRANGFLHLVEEIFGSFGMAHISPFLNTILIIVVRLLESCMRNLRRNSDEEYPHKQSNHPDNECSLSQDAGNSIDLKECSKEMTVADDSEASASVKQLKDLRSLCIRIVSLALGQYESHDFGEYFWNTFFASVKPLIDCFRQEASSSEKPSSLFSCFMVMSQSPKLAPLLGTNNLVPAIFSILTVRTASESITSYALEFIENLLRLDNDLEPQEDNSVLKILVQHMDVLLSSLHDFVNYRKELHRRSGRWLGQRELRLFKLLLNYITDPLAAEHVVDLVLPFFSKKDLNSDECLEALHVVRGIIPNLRHGVSVKIVNALNPLLATVGLEQRLCICDIYDGLSTNEFSMRSLARLLRDLNAVSTSELGELDYDMRIKAYDTVQPQLFHGMQEEHMGAILSHCVYDMSSEELIFRQSASRALQSFLGFSASVMNNDLECSIGTTTLERGENNSSNVCTKGRIQQILERTYLHNMGSAMCKDISVQKEWIILLRDMVYNFDCVPSLNSFRPLWKEDLDEDFFHNIIHLQAGKRSKALSLFRQAMKDTSFSEDVTMKVFVPLFFNMFFDVKAGKGEHVRDVCLDTLSSIAAKVQWEHYRTILMRCFRELSLKPDKQKVILRLLCSVLDAFHFMKPTADVSSNSDVINEDSLSSVTFSSTTVSLEKQHYLRKVVFPQVQKLLGSDPEKVNVSINLVALKILKLLPVDYFESQLSSIIHRVCNFLKNRLESVRDEARSALAASLKELGIGYLQFVVKILRAILKRGYELHVLGYTLHFLLSKTVTAEMNGSLNYCLQDLLAVVESDILGDIAEQKEVEKIASKMKETKKRMSFETLKLIAQSITFKTHSLKLILPISAHLRKHLTPKLRTKLEAMLHSIALGVECNPSTETSNLFIFVYGLVEDTSKENGSQCKDNTESGPGQENIRRMDLLGQGEGGLQNCYILTRFALTLLRNRLKSIKLHKEDEQLLSMLDPFVNLLGKCLSSKYESVLSITFRCLAMLVKLPLPSLKDNADPIKNVLMEIAQRTGNSNGHLVTSCLKLLAHLLGGYRISLSDDQLQMLVRFPIFLDLQTNPSPVALSLLKAVVKRKLVCHEIYDIVVRIGELMVTTQTDSTRQQCIQILLQFFLNYPLSEKRLQQHIDFFLTNLSYEHASGREAVLEMLHDILTRFPQRIIDDQGQTFFLNLVVALANEQHQNVSSMILRTIQKLLGRIGDQGKNSIFEYSLSWYTGDKQNLWSASAQVIGLLAGNRSLGIGKHLESILAVAKQITEYSVTASRGVQLYLTGETSLPFWKEAYHSIAMMERLLLQFPELYFKQNTEAMWMTICKLLTHPHSKLRNMSSSLVASYFASVEKRKREEKLDATSSFLVQPSRLFIIAASFLKQLRMELSDSTANNLIIQNLAYSVCNLHMLIKQTTSSHQFWSSLRSSDHVAFLEGFELLGSKKSKNTFLLCTADAAGFDLNRSEELTSLLVSSLLKKMGKIAMRMEDTHMKIVFSCFSLISSRLGAEVSLTYAVHLLDPLYKVAEDFAGKVISDEAKQSAEVARDKLRDLIGVEKFVEVYNSVRKEVKAKREFRKQAEKLVAVNDPARHAKRKLRMASKHRDHKKRKITAMKMGRWLRLWLAGGLAVAMSSYSSLLSMRPVDQIGGYVDDGYNDADDDLVLAASYLSSFDFDLGEEYVSLPRAATAAAFHAEQQAQAPATLLGHSPQNDADSYNSGKAASTSSDGLSYQDSISKSFTSDGARSKGSRIAFKTRSEVEVLDDGYRWRKYGKKMVKNSPNPRNYYRCSSEECRVKKRVERERDDARFVITTYDGVHNHPAPLPPRGCAEYSLAQMRVEGLDTAKMHAVGAGDGGLQLQGSTPVTAPRTVQRDD >cds.KYUSt_chr4.6010 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35286966:35287640:1 gene:KYUSg_chr4.6010 transcript:KYUSt_chr4.6010 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIVHLSETSLGNALITMYSKSGELRYAWQAFKLLREKDAITWTSMMQAFANHSHASCMLQAFAQMLRHGHEPSSSTFTVALTACRRAGLIEKGRNIFRSISAYGLKPAFEHRDILIRMARAGRPRRKKKSKKKSLEDSQLAIDEPVGVESAAAAAATECTPTKRLFGWALAYLKAVVGK >cds.KYUSt_chr3.46185 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290656679:290657755:1 gene:KYUSg_chr3.46185 transcript:KYUSt_chr3.46185 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAELLPELVQGIADCVLSTTGGGEAYMNMRAVCSSWRSAVAKPSPLAAITDLRFRPRHWVMLDLPSKKEDDDADGCLFLHVLTGRFRFLRLPVLHDHILVGASDGLLVLGDRDQPPNLARVLNPLTGDMLHFAAPFHQPFSNLWSTAVSHSRLILWGYAMLAWAAPTSDEFTKEDIGKSTASMGAFHGNVYVVDLQRQLFKFISPAEQCDADVALIAQVPLNVDVYSDEDEEEEILFSYSLVESAGELLLIRPHGRALQVFIVDVERKSLQEVKSLGGCHALFLGPMRCMSVDAANLPSVDSDCIYLLHWVGKSEHMSVYSLRDDKMEFISSGDHPDRPFSLVQVLLRYCDFLDE >cds.KYUSt_chr6.1544 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9409707:9411083:1 gene:KYUSg_chr6.1544 transcript:KYUSt_chr6.1544 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLDKLAVAADPAPPGGAALPLTFFDVPWIFTGPVERVFFYPYPHPVDQFTAALLPHLVSSLAAALSHFYPLLGRVRPCADGEGYEFCSADGDAVELTVAESEDDFEELAGDGPRDVARLYSLVPRLPVPGDGGFALAAVQVTVFAGRGIAVGVSIHHVACDDSSYMHFVKTWAAHCRLATAGREEEGVAVPPPPFLDRAVIADPDGLAARTLDEMRQLAASGPPPAPPPGPPPKLVIASFTLARGCIDKLKQRVAAAAAEDKHAAAAAGVHCSAFTVACAFAWACLARAGAAACDQDLAHLLFSVECRHRLAPPVPQEYLGNCLRPCFVEVGARDLLAPDGVATAAAAIGASIRALDVAGGVMAGAEGWFQKILALVPRRPMSVGGSPRYGVYDTDFGLGRPSKVELVSIDKTPGTVSLAEGTDGKRGIEVGVALPEAEMARFAECFADGLLQL >cds.KYUSt_chr2.19518 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122816039:122817428:-1 gene:KYUSg_chr2.19518 transcript:KYUSt_chr2.19518 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPITLDSRKLLIEPTRRLAAAVLSAGKLLARCVNAVPPRARRRASRVARAVAARGLESPPPLSDTLKWTSSSQSQPHISLQELDPPRPLHSTPGKKMASVQAQRKVEIQEGGLHGAEKEAVRATGRGKSKASARKVTYGFHLVEGKMAHGMEDRHVAEFRRLDDGNEVGLFGVFDGHSGAGVAAYLRNHLFDNILGEPDFWEDTMGAIRRAYHRTDRKVLRKKKATTVDGEERPRRGGSTAVTVILLNGETLVVANVGDSRAVVCEAGKARQLSVDHEPLRERDAIESRGGFVTQMHGDVPRVDASLAMSRAFGDRKLKEHISSDPDVAIEDVGDDTEFVVLASDGLWKVMPNQEVVDEVRDTVDARKAAVKLVDEAVQRGSKDDIACVVVRIHSN >cds.KYUSt_chr6.11549 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71750587:71762890:1 gene:KYUSg_chr6.11549 transcript:KYUSt_chr6.11549 gene_biotype:protein_coding transcript_biotype:protein_coding MGAISTGVLLGPWKLGGDDVVASRAKPKQETALYLPTVGNVAASCATSHPPGAPASAMGFPRISTGQFLFSSCAVKKPSSRRRVTFLAAAPSSPSTPSTPRSPGISSSRQRPVRAVPFIPSKGASRPVGSNGRTRRRRRSRTVEGEQEQGGCVPSVEEASIRVGTLYENGDPLGRKELGRCVVEWLRQGMQSMASKFASSELQGDMMDLGAAALTLDWGSAESQLGFVIQAQPYLSAIPMPKGLEALCFKACTHYPTLFDHFQRELRDVLQSYQNQGLVSDWRSTQAWKLLKEMANSTNHKAAVRRTTPRTRAVHSSIGISLKKVRLMQDRIEGFVRHMSDLLRVERDVELEFTQEELNATTMLENNSEPPKPVEYLVTHGQAQQEQCDTICNLNVVSSSTGLGGLHLVLFRIEGEHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFLYNLGEDGCSITVALESRHGDPTFSRLFGRSVRIDRIQGLADALTYERNLEALMLLQTNGLQKSNASIAVVATLFGDSKDVMKMEQNCLIDWDESSLHDRRLSERYAFDDSQSRALSLGLNKKRPVLVIQGPPGTGKTVLLTELIVRAVGQGENVLVTAPSNVAVDNMVEKLSSTGLNIVRVGNPARISPSVASKSLAEIVNGRLGQFRKELERKRTDLRKDLRDCIVDDSLAAGIRQLLKQLGRDLEMKEKETIMEVLSDAQVVLSTNTGAADPLIRKTGSFDLVIIDEAGQGIEPSCWIPILQGKRCILAGDHCQLAPAILSRKALEGGLGKSMMERASLLHDGLLTTRLTVQHRMHDSIASWASKEMYQGLLQSSHSVASHLLADSPVVKDTWITRCPLLLLDTRMPYGILNMDCVENFDPAGTGSFYNDGEADIVTQHVLNLVHCGVCSSEIAVQSPYIAQVQLLREKLEEYPGLSGVEVSTIDSFQGREADAVVLSMVRSNPLGAVGFMGDSRLMNVAITRARRHVTVVVVAPPRAIRSPRLQPHLRGNVERLVGVVSSSRFSSGCGDLQIVKELQRQFIFLLRLQNRCGLLDSFGDFPSATNNVKPTQEGSAAAVRR >cds.KYUSt_chr2.53097 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331275125:331275894:-1 gene:KYUSg_chr2.53097 transcript:KYUSt_chr2.53097 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPASASSPVEFLLRRSTPRLPLAASFRDLDAELAVVLDVLPAASLRLSPDAAGHLDLLRAHCRRRAPAQYHDPDEATLRDRLLAALHYLELGHPPDPTLLKSLLASIGISDAASCQAEIEYLEEQILSQEEDTDLLLVGGVVALLRYSLFDPANSKAARYWPSAGNVQRLPSWGGSGSGSGCDDTSFSVPKEFSCSISLDLMRDPVLVSTGQTYDRPSIIQWIGEGHSTWPNSGQVLADNRLVPYRALRSLISQ >cds.KYUSt_chr2.17566 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110633792:110634394:-1 gene:KYUSg_chr2.17566 transcript:KYUSt_chr2.17566 gene_biotype:protein_coding transcript_biotype:protein_coding MKFACFGGAAAVADETVAAATRHRRRGTKFLFGSKADKSTSQQTKTKGTTSPGRRREMTDFDIYMAAAAARKSSAPSTSSSALSTAASLDSACTSSSSSISSCSRSSSSSSLSSLADTTPPRPAKRPQQQGERKRSPALGPAAVLVCLVMMVFGGRLVATLLTAAVLAFFPRQCPATGLLRRPGERRSTRRRLSVVSPSL >cds.KYUSt_chr2.3192 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18878118:18883028:-1 gene:KYUSg_chr2.3192 transcript:KYUSt_chr2.3192 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAERERSRLPPALPLATLIGRELRAGGSERPALRYGHAGFAKRGEDYFLVKPDCLRVPGDPSTAFSVFAVFDGHNGVSAAVFSKEHLLEHVMSALPPEIGSREDWLQALPRALVAGFVKADIDFQRKGEVSGTTATLVVVDGFTVTVASVGDSRCILDTQGGELQLLTVDHRLEENVEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEYIVPIPHVKQVKLSNVGGRLIMASDGIWDALSNEAAAESCRGLPAELAAKLVVKQALKKSGLKDDTTCVVVDIIPSDHRLPSPQLSPKRNQNKLKSLLFGRRSHSSIGKFGGKSASIGSVEELFEEGSAVLEERLGRNLSLKETTLPPSRCAICQVDQEPFEGPPMPATGSARPTGQGDLSRRKRGRILGWVDLWRGIIFCDILEKEPVLTFIPLATAAFDLHRTGQAQKVRDVSCCNGYISFVEIEQ >cds.KYUSt_chr4.11404 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69359185:69361165:-1 gene:KYUSg_chr4.11404 transcript:KYUSt_chr4.11404 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVSGPYFEPDFDPVLDRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDVFHVTDQIGRKLTDPSIPEFIQQALVPSHRPGNGPSPKFTTCLGNVVGPGGPDLSDCACLEFTVHDRPGLLSSITQVLVDQGCHVACGQAWTHSGRAAGLLYVTTTATADAAALHPSRWARIERLVGAVVDARENVPGERRWVCMSAPVRGRVHTERRLHQLMHDDRDYERGPAPTPVDEELFSMVDRAATTTALSALRARAAETRVTIDNWEERGYAIVKMTSRDRPRLLFDTVCALTDMQYVVFHATVGSQGPLAIQEYYIRHKDGRTVDSSAERQKVSRCLVAAVERRGSHGAKVEVRAADRSGLLSDFSRVLREHGMSLLRAELKRQKDEAIGTFHLVTDSGGQVRPEALRAVRTRVSDMGISLDIITEAPGWPPVRKTSVPASATAQERPGSSLGTLLWSHLGKLSNNFGYIRS >cds.KYUSt_contig_1467.55 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:398267:399232:-1 gene:KYUSg_contig_1467.55 transcript:KYUSt_contig_1467.55 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDTNPFIIRGTGSDFDGSSYIDDVDMHSSGDDDVDDDEEDKEEEVGVDEDQKDDEDDMEHMNDDRSIWGKAQPINIYIAQDMPPVTTGICRYADGCEPLA >cds.KYUSt_chr4.7291 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43191970:43192581:-1 gene:KYUSg_chr4.7291 transcript:KYUSt_chr4.7291 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAAAAIAVAFLPLFATASVHLVGDEEGWTLGFNYTAWSESNQFMVGEALAFDYDKANHNVVEVTGAGFKACSAANPLGTWSSGRDLVKLENPGRRWFICAVGNHCQMGMKLNVTILAADAPSPAPAQAFWTAPSPSPHHKSRRPFVSKW >cds.KYUSt_chr5.13698 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88994441:88995956:-1 gene:KYUSg_chr5.13698 transcript:KYUSt_chr5.13698 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRWILVLPVLLLALAFQAILRAPPPKLCGSAGGPPVTSPRIKLRDGRYLAYREDGVQRDKAKYKIITVHAFDSTKDLPPPVSKELVEELGIYLVAFDRAGYGESDPNPGRNVKSEALDIEELADQLELGQKFHVLGVSMGGYSIWGCLQYIPHRLAGAALVVPVINYWWPSFPAELSRQAFKKMVVPEQRTLWIAHNAPYLLYLWMTQKWLPSSAAAMHHPEIFSKHDVEVIQKMMAMPRFIENKSRQQGVYESIHRDLLVAFGNWEFDPMNITNPFPTNEGAVHIWQGYEDRLVLVELQRYISKKLQWVKYHEVLEGGHMFMLVDGWTDKIIKTLLVGEEASPM >cds.KYUSt_chr6.29839 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189080460:189081717:1 gene:KYUSg_chr6.29839 transcript:KYUSt_chr6.29839 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFTDLLQPDGSPVHLDDVSPTHRRSNVGSLPLPRVLYSSGTPPPGPYGPYAPPPGTYGSYPPPPYPYPPPPPHAPPTGSGSGTVPPYPPPSYGSYAPPSYPYAPYGPYPPPPPEASAPSSESNAAERIVPPRPKRLDWTTADEEKLVHAWIFNSKDSVAGNCKTGNSFWGQIAATFNSTSDPARRRTSKQLKDHWNAYNKEVSLFNAYHIQEESLRQSGADDAMVMKAAMERYANDKRVTQPFRRHHRWEAVRNEAKWKGQHGPGSGTDSTSKRSRLGVSGEYSSSDATTEEERPTGRDRAKAVARKDRRKGKESSSSSEVASKSFAMKNMLNGLVKAKLLKQWNKMKDRSTTDMNEAEKRKHAKAIKMVEKELGLEDDDEEEEEEEEE >cds.KYUSt_chr3.45939 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289235087:289247099:1 gene:KYUSg_chr3.45939 transcript:KYUSt_chr3.45939 gene_biotype:protein_coding transcript_biotype:protein_coding MVYWMTEFTARVMAFDLEEERVTRTAPLPIQARPSACRLTKVHARLGVAVTGGDSLTVWVLEGDRELEPPVAIATVVLNQAIIPCEGRFQTLQPRYQPDLQKTLVGTRKVCDQANNPRKAVFLTGKTIKQGAVDGAAGNATVHLDRRTKMFVHTAREAKDGAAWAEQDAELGLFDAFFASLSIILVSELTHGSPCGKRPTSRSEAKAAEEAAQWGRATAGPRRPGAGNDPSVIERAALRMLNEEERELVNDANLEHALEISRQRAATEEVGRLLMEAERQKLIELNAHRHAESFAAEQARRADNEASRQRLAARGQGRRKALATPAAMLHRQMRGARAERWARMQAAKG >cds.KYUSt_chr3.1524 pep primary_assembly:MPB_Lper_Kyuss_1697:3:8609287:8610166:1 gene:KYUSg_chr3.1524 transcript:KYUSt_chr3.1524 gene_biotype:protein_coding transcript_biotype:protein_coding MWASDGKHHDAAETCYVEDDKERPNHPRGDRKGGGEWHRREEKEQFDLVVAGSVNISKHIEGCRMGGGGGRFDITAGSEPSAVLAAVRRSIFQVCKEQLSAEVKRLRAVVASGFASASEAVEAVRAASLVVGEAAAVVAEANAVLGLRDLADDNVVGWSNHNHLELHIKQSRMLVDAMERAPPESRMTIVHGLVVEMSHISVFASIWCHTPPPPPYHARRNSMSAAVVDELASGIEAVQVSAQE >cds.KYUSt_chr5.11554 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74946046:74946702:-1 gene:KYUSg_chr5.11554 transcript:KYUSt_chr5.11554 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVTPASTISTGTAPLPRSPTISSSPSAATRRQLFVSAGGLLLIVATGSNNASSKGAAAAAGYDPVTEAERAASAAVSLRVGEAVRLLDLGRDLQARGEFPEALASFTAVVSGYKDLALSEYARVGRALVLYEIGDRDESITEMEDVSVALKGYPEIHAALAAALYADKHAPLLAENQFTIATLLDPHYTDLAYVRDTKHWPPSLVASLKNFFTLS >cds.KYUSt_chr7.32241 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200798563:200803586:1 gene:KYUSg_chr7.32241 transcript:KYUSt_chr7.32241 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFTGGGRPGALLPTTAKPKAHHHRAKVLSSPASSSSSSRRRGPHPTPPSSRRALYLAAAFFLLLFLFAFLRLGLPTSRSSARPSPTRPRARLTRRPAFRRDSAAAEAAAAAVAARAGREAPVDITTRDLYDRIQFQDADGGAWKQGWEVAYKGHEWDAEKLKVFVAPHSHNDPGWIHTVEEYYQRQSRHILDTIIESLAKDPRRKFIWEEMSYLERWWRDAPKKKQEDLAKLVRNGQLEIVSGGWVMNDEANSHYFAIIEQMMEGNMWLNDTIGVVPKNSWSIDPFGYSSTMAYLLRRMGFHNMLIQRTHYELKKELAMKQNLEYLWRQNWDIEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFSYESCPWRFDPVETDSNNVQERATKLLDQYRKKSTLYRTNTLLIPLGDDFRYVNTEEAEAQFRNYEKLFDYINSNPHLNAEIQFGTLEDYFSTLRDEAEKINYSRPGEVGSVELQGFPTLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRASEILSSFVLGYCQRFQCAKLSISFAHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDVHDRSDPTILSHFEPEQERSKFDVQPVHRVLKPQEGKTHSVVLFNPLEQTRNEIVMIVVSNPDVSVLNSTGSCLKSQISPEWQYVSGEKIFTGRHRLYWRASVPALGLETYYVAAGQDCEKATPAVVKTFTASQEFPCPEPYHCSNLEGETTDMKNSYHTLSFDVSHGLLRTVTRHKHGEQTVIGEEIAMYSSRGSGAYLFKPIGEAHPIVKEGGYFILTEGPLVQEAHSLPKTEWDKSPLSHSTRIYSCGDSTQDMLIEKEYHVELVGRVFDDRELIVRYKTGIDNQRVFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSLGNRFSVHSKQSLGAASLQNGWMEIMLDRRLVRDDGRGLGQGVMDNRPMNVIFHLLMESNMSALPKSHSLLTLQPSILSHRVGAHLNYPMHAFVSKNLLSKSFKLHQQTFAPLATSLPCDLHIVNLKVPQPLKFPHAEAAEPRFAMLLQRRGWDASYCKKGGLQCTSIGEEPVNLFDMFKDLSVLNVKATSLNLLNDDPEMLGYLEQIGDVAQEGNVLISPMEIQAYKLDLQPPSSPEE >cds.KYUSt_contig_786.565 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:3226908:3232791:-1 gene:KYUSg_contig_786.565 transcript:KYUSt_contig_786.565 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVPAPATTLPARPRSGWSCGAAVQPQMYVLPIRRIPGLTPAHVGDQPTADAESIRRCIRVERDPSPRRPRASTSPATVTSGSITSPLRVQTPINFVIPLPLPRAGKQKQIKDGLPRLLPPQGQGEAGGRSEMADDHAKRLVHSDLDGQGGARPRTPNATLTLCIRRWGGYPTDRGEPSRLSTRVLLYMTVASTTVSAQPQPQSLSLYTAYGAHDYSHQMPQSAPQAEPYGDYPSTHQSQGPTEAEKKEMAARWLLATSGDLDQLGGLMAELSLYPAYGANDYSHQMPQSAPQAEPYGDYPSTHQSEGNRKEAVEHLTKAILVNLNNHVWSFTIAAMYVETDIENVATLCMWSCPHEELDAE >cds.KYUSt_chr7.28635 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178595914:178596870:1 gene:KYUSg_chr7.28635 transcript:KYUSt_chr7.28635 gene_biotype:protein_coding transcript_biotype:protein_coding MRHIAHALTRNPSTTRHEWPPPKPYLLASLSRNSSGSAAAPQRRRHDPSPRSAAALLLPCVQPPPPSTSSSAAPCFAFPARGQRLRRPPPPPPCHTSPSLRAATAFPDLLLRSRAASSSAPTASLRAWSPAPLTSSTCSPPKSPCCFSDFDAAQGPIGAPVVVLMWTWLSLQGSAGLAARGGMACYKGGWALLQKSAGLANGSGWPCCERREALLQWERGLATCGDGLCYHGCQALLQRGWPALPAAVVVVATRGRRHCDNKHPFCATYGGSLRCERWVVFSGDGISNYDAFLLQLVGHSATSGGRCCYKGCPTLLPR >cds.KYUSt_chr3.3684 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20881045:20883169:-1 gene:KYUSg_chr3.3684 transcript:KYUSt_chr3.3684 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGRIKELRIPVLFKVDGAVALRLRIFDGGSSSISASKPVAILPRLSLAMEANLQPPNRRLLSVMSAARCLDAIKWFVLGSLKEELRIPVLFKVDGAVALRLRIFDGESSSISSSKPVAILPRLSLAMEANLQPPNRRLVSVMSAGARRLDAAKWFVPGSLKVASEDGLSPERGARVLSPCSSAATP >cds.KYUSt_chr4.52056 pep primary_assembly:MPB_Lper_Kyuss_1697:4:323103628:323107211:1 gene:KYUSg_chr4.52056 transcript:KYUSt_chr4.52056 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVHVYHETTRKEVFSNWKERFVISDVWVIKQASTSSVVSFLQELDGDGIRDSYEEVEVDVGWTEVLSLLKACISSTTIFTDVFLAKGTDGVVSPTLAERSLLKIKKPQYQETGEPTSSESPASIPIKLFYDKRDRKVLYAECKHEFVDLLLSFLTYPIGCIVKNLAGTSHLRTSFNNLYRSAASLDAAGFLTGRSFGGKKTLLDPSTAPFKMRRDCSEREVPEWYYLCRMPGCYCGKDKRSCHSFDPEFVCDHTYVVDDDLSVHQASAVSVLKHWCKRDRDIVAEIDIAISKEEASSPSSRETITLDVTNFHTIAAVRSKIRTKGKLMEPYRLVYGGKCLQDPWTLADCDIHKEATIHAELRSPVR >cds.KYUSt_chr6.24975 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158237161:158239806:1 gene:KYUSg_chr6.24975 transcript:KYUSt_chr6.24975 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRHHKPPPAGRAVWVPRDAQPATVRGLGGAPQQRPAPPNPAAPRPQAVGRAVWVPRAAPPSTVRDLAGAQQQRPAPPNPAVPHRQAAVWVPREAPPATLRDIGVAQRQPLAPPNPTPTEPRSHPAVPRDGGAPPQPLTPPNPAAPPPDAGPDGEARDWAALPLDAISCVLRKLDHIEILMGAGQVCHSWRCAARDHPALWRRIDMRGHPELDRQVNLYKMAQGAIRRAQGQCEAFWAEYAADDDVLRLLGDQAPSLKSLSLIACQDIMEFEEEIKKFPLLEELEISLFTNIGGKQVFEEIGKACPELKHLKFNAYRFCNLGDDTDTEDDNNEFRYNRDDDALGIASMHGLRSLQLFGQNFTNKGLTAILDNRPHTESLDIRHCFNISMDGALRRKCRNIKTLKLPNDPMDGYDLCFEGPLWSFGVQSDSDDYAYAPDYILDSDEYDDYCDPFRYLDGVYESELNPEDRMFLKGMRMFMKDSDDDDY >cds.KYUSt_chr5.3304 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21313024:21316754:-1 gene:KYUSg_chr5.3304 transcript:KYUSt_chr5.3304 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAGEHPVGGRAVLLQQLTGLVMRGLVGMAAFAARKEEIDPLVRRVAAHVGGVSMHGLSSWHAHPLSEEPLRGLDREGRRAPRPPPKKDGDIRRRRAVRLSPGANEPPHPHAAGQRDEDRKRCQPALRRHTSCAEAAPPLHTSHHLHQTKELRELRCHHPHHAGLKSRVAPLLPTKLAEKSSAGAANRHREGASTDANTGVQDRAVGRSSASSPVQPLCRPRSHIGRHAASHHSGIHPSRGRRPGVHLPKQQLKPLKLRHRSPTPQPCSRRAPPLPLPTRATPSGSTATAAMKPGAAAPAPASLPPGTRRHAAHAAAAADRSTARPQPSEAAAPASVSPVRATGRGSPPAFGDRARRHRGKGRRQRRRGSAPVEVRTGGAGLPP >cds.KYUSt_chr7.12361 pep primary_assembly:MPB_Lper_Kyuss_1697:7:75967473:75968901:1 gene:KYUSg_chr7.12361 transcript:KYUSt_chr7.12361 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFQWNRPVSLVLYVVFFFLSSAAVSEANIAEYDEHWEQRRLQARAAAEATYHPDPVEVTNSFNRAVHRAMKEESSARRQMLGKHHRFSGPCIATNPIDRCWRCRKDWATDRMRLAQCAQGFGRNTTGGLGGKIYIVTDGSDDDVLEPRPGTLRWGVIQTEPLWIIFARSMLIELKEELLVGSDKTIDGRGAQVRFADGCQITVQYAHNVIIHNIHLNDLIVGKGGRIRDSPQHAGFRTQSDGDGVSVFGSTKVWLDHLSLANCQDGLVDVIAESTGVTISNCHLTNHNDVMLFGSSDSNPKDEIMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKNPTIISHGNRYIAPPNLAAKQVTKQIGAAEAEWRNWVWHSQEDLLMNGAVFNNTGGDNQRKFDQNDLINPKDGSYVTRLTRFAGSMPCRPGKPC >cds.KYUSt_chr1.18375 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107477637:107483412:1 gene:KYUSg_chr1.18375 transcript:KYUSt_chr1.18375 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAYTFTIGYHELAGTRVTLKKPLLVLRKKKNDGQGGPAAAVELDVIGVIRHKILFKDRPKALISKVPVKEKKALKDLDGAQVGMLPFIFESHEGDLSKELMSLDVVCDVQLQRFSPCIFCKVKLYMVIWCIIQMVPYKLSFVFVHGEPFVAEYARIRPSACEEVVPKMIDNFHFLCTGEKGDVYKGCFFHRIIEGFHDSGWGFCRKTIWYFMQHLSIAALIGFSDVSDSDVKFSRWIWTFERKQWKNLANAAMLGFLDIM >cds.KYUSt_chr4.54479 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336562709:336563356:1 gene:KYUSg_chr4.54479 transcript:KYUSt_chr4.54479 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQVHRCLAPLIVLLLLCRIVAGDGLWCVASPRATEAALQDGLNYACGQGGVDCSAIQAGGSCFIPDTLRDHAGYAYNSYFQKDVAGTARCDFGGSGMLTRTDPSTATCKYPSTRFAAAPNADDHTAKQGDKA >cds.KYUSt_chr7.32536 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202651084:202654939:1 gene:KYUSg_chr7.32536 transcript:KYUSt_chr7.32536 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPANPPADPDGIFRGVSAFFVPHGVQSRRLEVWKQKLVQMGGRVQEQKAAKGATFNHVLAADAKALLRELDAAWLHRFRGSTVSFDWLEECLKAGERLPEHKFTINYEEEFKPKKAAGAGDTALGDDRRSFSYYKAIPVIEKLPFKIESADQVKNLPAIGKSLKDHINEIVTTGKLSKLEHFENDEKVRAVNLFGEVWGVGPATALKLYEKGYRTLNDLQKDDSLTYAQRTGLKFFDDIKQRIPRHEVSEMEKLLQEVGKDILPGVIIVCGGSYRRGKASCGDMDIVITHPDGLSHVGFLPKFVQRLKDMSFLREDLIFSIHSVEGTDYGVDTYFGLCTYPGRELRQRIDLKVYPRNRYACGLLHWTGNDVLNRRLRILAESKGYVLDDTGLYLATQSSGGKRAGRSEAIVNCHEEKDVFDTLGFPWLEPHERNL >cds.KYUSt_chr3.15514 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94988019:94990394:1 gene:KYUSg_chr3.15514 transcript:KYUSt_chr3.15514 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLPAVRRALDAARPRRGAEEQVMPLGLRTPAVGGELARRPARAVCVLPRTPEIVDAEEALSKALLAVIVGVRRTVTTEEVAQALEDVHGLEPGSFSVHCHRPEDFLLYFAECADRDRVLGDGVLASPFFRLLLRPWSRRTHAASGGLCVHTEVEIEGVPANAWSLATAEEIMAPSAWVERLHPLTRSRADMGVLRLSAWCLDPAAIPREVDLHVVEPDDPPSLADMAAPSQAVVPPHVSTLAYPLLVHVTRTVDFRRPTPGGAAAGGGDDGRTAGWPATRQYQYTRGVPDVLPGAGAGGGTVPSAGQAGARQTGATRTLSSGAVVGPVDQSTSGQASKAKRRRRGGKKIRALKEKAMAAVEQSAGILDGSGAAVAQSANALDGSGAAGSAPTLDVSAIAPLGTLDPVCPDTLAVAVREGEDEVAPATATGRVATKDAVHMGAEVRVGSVVVLPSSAATGQGQPELSFVQALASVESGCPWEKTTTRTSTSPELGSPMLGPPDRGPSPAAGSATLAPALQAATQEGEHVAALAPVPEVISNVEFPPNDVADLPAIDRLDKCLSPVLQLADPCTAAASSKARCSEEDDSDGEGVDEEILVDPTPARVTRDVGQSTTTPTVCRFASPPLVFQRTRQPPRPLPTVARPRTLGEFLTAAKSRSDALLQTPAVRRRLVELNFQPRRSSRIAGQPGGLSAEMKAVRNLMRKLGLLKGDEAPSEAALEAYHRMYELPLTDDMIEAIAEFYGWSLETIRGCSPPLLGMTGGRLIEA >cds.KYUSt_chr6.2398 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13974915:13976294:-1 gene:KYUSg_chr6.2398 transcript:KYUSt_chr6.2398 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPLLTALLLAHAMAYLAWHALARRRRERCYLLDYACHKPSDDRKVTTEMAGAVIERNKRLGLPDYRFLLKVIVNSGIGEHTYSPRNVLDGREECATHYDALDEMDAFFDDAVRAVLAKTGVSPRDVDLVVLNVGSFSPAPSLAARLVSRFGMREDVMAYNLSGMGCSAGLVSVDLAHRVMLTRPRTMALVVTSESCAPNWYNGTDKSMMLGNCLFRCGGAAALLTNDPAFRSRAKMELRCLVRAHIGAYDDAHAAAVHREDADGRLGVSLSKDLPKAAVRAFSQNLQRLAPRILPAAELARFTLRLLAGKLTRRKLKFEGPKINFKTGVDHFCLHPGGTAVIDAVRKNLGLTTYDVEPATMTLHRWGNTSASSLWYVLSYMEAKRRLKAGDRVLMVTFGSGFKCNSCYWEVSKDLHDAGAWEDCIDDYPPETMVNPYTEKFGWVNDLQGGGGILPF >cds.KYUSt_chr2.45274 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282350611:282351565:-1 gene:KYUSg_chr2.45274 transcript:KYUSt_chr2.45274 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATGSFGDSFSATSIRSYIAEFIATLLFVFAGVGSAISYGKLTDGGALDPAGLVAIAIAHAFALFVGVAMAANISGGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASVACLLLQFVTHGEPIPTHAVGGISEIEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAAVAGDFSGHWVYWVGPLIGGGLAGLVYGDVFIASYQPVGHQEYV >cds.KYUSt_chr5.9436 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59898304:59898855:-1 gene:KYUSg_chr5.9436 transcript:KYUSt_chr5.9436 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKAMASSPVILLLATILLVVTSVHAHYDCPPPPAALTPEASTPAPVASTPAMAPVASAPEPVPSASAPPPIAIAPTPVASAPAPPQNASAPSTGSGQCKLSDVAEFGVCVNLNFGRMGMASRDMCCRRIRGKPIVNAVTCLCTTFWQFNVRARANIGNNVNAVLEICGISRIPDPVCLLL >cds.KYUSt_chr5.4077 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26226347:26229566:1 gene:KYUSg_chr5.4077 transcript:KYUSt_chr5.4077 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSDLDSDAWLLVGDFNIARYASDRNNDNFDAGAADSLNDLVDELALQELPLIDRRFTWTNSRANPTLVRLDRAFINLAWGARLFNSTLHSLVRNTSDHVPLLLTASSRAPKSQIFRYEKTWAFSPEYRALVASVWARPQNRSLPCASRRLCNTLKWARAESKKWAKNRRRPAEVVSNCRKVIELLDLTEELRTLLPPEKLLRDCVRLRLSLEYKALDTYWKQRFTFRLCRFGEDNTKFFHACASARLRKNQIKVLHDGDRVVYNHAEKAELLHNFYVGLLGASTPPMWGFDLRAAMPRVAGLQELERPFTLQEAKDAVWAMRVDSSPGPDGFGPAFFRTFWDVVSPDLMAFLQDFFDGVAPLDGINRAFISLIPKKEDVLTADGFRPISLQNCVMKIITRILTTRLQHCIERLISFEQSGFVKGRNIVDNFLYAADVEALDAILDARGLGPLFRSWISAILNTGRTAVLLNGVPGRWISCKNGLRQGDPLSPYLYLAVADLLPCLIAMEAGGDRLLHPLVDDLPCPVIQYADDTLLILRAEHSQVRRLRELLDLFSQATGLHINFHKSTFVPTYLGLPLSDHKLPAAALEFLSVKISKRIPGWRTSLLPIGGRLTLTTAVLSALPSFAMSVLPIPKGTLSKMDRPRRAMLWKAKDKCSGGDCQVAWDYVCRLRSEGGLGVIDLGLQNKCLLLKALHGLFTGRDSPWTRWVKRSYLGARPQVATPAWKCFQSLIPLYRSITRVEPRDGRTTSLWHDAWTPLGPLSAALPAAFSHCLRPLATVADALENGVVEVPLVHRVSAAAAGEMEFIHACLARISLASSPDIRSVSLGPSTDFSTGSVYRSLHSSGCIVPGQDVNWACFAPLKVRVFFWILRLHKTRTRALLHRFGCVPSPDCPFCLNQLEDTSHLFVGCPRLRPLWNVVFPSGRPHVGADVPALVDALSEDLPPMHPEARNTVILALLWTVWKSRNRMVFDADFMSTPRILAMLVDHLRLWVVRAPPRVDTSTLLSWCHAISIS >cds.KYUSt_chr2.43772 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272427903:272429451:1 gene:KYUSg_chr2.43772 transcript:KYUSt_chr2.43772 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPAHPEEDEELVAASVQADQLDLEAAEVEAEAAERAQGRLAVTRAEITDARAALAEARTAMAAPPPADTIIHDISDDDDAVPGRFEFADDQRVLLASFETLAGDALCRQAWAVEEEAHNYVVAMARGSISSMALAMHTSRCRPTAPLSQQLPSPKPPGSSSRHPWLPVRTAQGEQRRQRGTTGAALLPQMLLVPDPPPLPSELAAADELGKVGEGGAAREGAGVQEHV >cds.KYUSt_chr7.25104 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156665479:156668314:-1 gene:KYUSg_chr7.25104 transcript:KYUSt_chr7.25104 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLCTAFALWCLVINSREISACIATERDALIAFNATIGDPDGRLNSWQGEDCCNWSGVSCSKKTSHVVKLDLGEYSLKGEINPSLAGLTRLVHLNMSYGDFSGAPIPEFICSFKMLRYLDLSHAGFGGTAPQQLGNLSRLSYLDLGSFGGPAITVDNFHWVSKLTSLRYLDLSWLYLAASTNWLQAVNMLPLLEVLHLNDASLPATNLNSLSHVNFTGLKLLYLRSNNLDSSLPNWIWKLSALSEMDMTSCGLSGMIPDELGKLASLRLLRLGDNNLKGPIPRSSSKLCNLIQIDFSGNVLSGDIAKAAKSMFPCMKRLQILDLAGNNFTGNLSGWLEGMASLRVLDLSGNSLSGVVPASIGSLSSLTYLDVSFNTFSGKLSELHLVNLSRLDTLNLASNSLTIAFKQSWVPPFQLTNLLLHDCLVGPRFPTWLQSQTRIKMIDLGGTGIRGELPGWVWNFSSSVTSLNVSMNSITGKLPASLGQSKMLITLYMEYNEFEGSIPDLPTGIQVLDLSKNYFSGSLPQSFGEKQELYYLLLSGNSLSGVIPVDLCNMISLEVIDLSNNNLSGELPNCWHQKANPYVIDFSSNSFWGEIPSTVGYLNSLMTLDLSNNNLSGILPTSLQSCNRLMFLGFRGNNLSGNIPKWIGDSLRTLEALILGSNKFSGEIPESLSQLQALQILDLGNNKLLGPVPVFLGNFTALRSRGSNWWLTAYKAFSKVRYLTYIDLSQNQLTGEIPIEVGYLSALLALNLSRNYIGGNIPDTVGNITDLEALDLSWNNLSGMIPSGNQLDTSDESAFLGNANLCGHPLSRICVPESNRHRHRKHQLSTATRKAYFQFTDRVLNKLRTAVETKLSTNRMSAGREPSLAIQSWDSVTCYELESPSTAIM >cds.KYUSt_chr3.5954 pep primary_assembly:MPB_Lper_Kyuss_1697:3:33984054:33987884:-1 gene:KYUSg_chr3.5954 transcript:KYUSt_chr3.5954 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSPASLHLRRLRWARCFSASSSPSPSASTADGKIVASVVFERLPVVIPKINPVVYAFQEFSFRWRQQYRRKYPDDVLGKAEARGKGDYQIDYVPAPRITEADKINDRKSLQRALDNKLYLLLFGKTFGAPDGKPVWHFPEKVYENEDTLRLCAESALKSVLGGLDNTYFVGNAPMAHMVDEQTEDASVSSFKRFFFKSQVIGTTKLDIRKCEDHVWVTKDELLEYFPEHKALFDNMIIHIR >cds.KYUSt_chr4.11948 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72567292:72567889:-1 gene:KYUSg_chr4.11948 transcript:KYUSt_chr4.11948 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRQGSTGGTRLGFALGRFVGERGGEAAHGARRSVSATSSAAAPVPGDQGVGMDPAKQQPPHAPEDKNHDDKHTANRDVMTDSFGEGYSTRADEEGFGGVYGRNDPEHDTSQGSEAKQKQDNDKHAT >cds.KYUSt_chr2.25928 pep primary_assembly:MPB_Lper_Kyuss_1697:2:158653957:158657016:-1 gene:KYUSg_chr2.25928 transcript:KYUSt_chr2.25928 gene_biotype:protein_coding transcript_biotype:protein_coding TWRDEDEKKDASCGPSWFRGHRWVRDSKPNGFRTHEFYYDNIKSKGGFEFCTSDDDGPETIFRNAFRGNQQTYYWSFESDDFQRRNSRRSHSERSRHWSYETDDEDEISPQTEVSVARQALGLSTNGPLKLEDVKSAYRTCALRWHPDRHNGSSKATAEEKFKRCSAAYQTLCDSLATA >cds.KYUSt_chr7.19953 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123611294:123618119:-1 gene:KYUSg_chr7.19953 transcript:KYUSt_chr7.19953 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGEAEGLRREESVSGGVDVWSDAVSSHAPDHLLVMVHGILGRCTPISLAAPPSPSPSRRSAFYSTTDWQYAANEFVKQLPDDIIVHCSEKNMNTLTLDGVDVMGQRLADEVLDVISRKPELTKISFLAHSVGGLVARYAIAKLYRHPNSTFDSKAEGTICGLEALNFITVATPHLGSRGNKQVPLLFGFITMEKVASRVIHWIFKRTGRHLFLTDDDEGEPPLLQRMVEDYGDLYFISALRAFKRRVAYANADCDHIVGWRTSSIRRNTELPKWEESLSEKYPHIVHEEYSEEIDPEKCQDLATDCDLDLLEEKMVTGLRSVSWEKVDVSFRTSMGSFAAHSIIQVKYGFMNEGADVIQHIIDHFQV >cds.KYUSt_chr1.36496 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222605771:222611336:-1 gene:KYUSg_chr1.36496 transcript:KYUSt_chr1.36496 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASVARIAEYCAVGCAIVAVAGNSTLSIRLAITVFYPTLILYRALNRVAISFGFPSALAAPWIAVRSLYLSTSGLGLNGDFVGSGRWDTGLLIREMMIDNMGGPMCIHGYAARGSRLDGTIGGIKLHRPAATIPTPPPPASEDEWDDDDEEDEDAEEQAEEEEEHEEEEEAEDADDEEDSTSADEEVTSRKRRRHDDQTGPSRKKK >cds.KYUSt_chr7.32902 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205148083:205150351:-1 gene:KYUSg_chr7.32902 transcript:KYUSt_chr7.32902 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDIQEEEASPPQQPAAAAPAAVDVDLEVLEKVLERKGGALPFLHAAIDVAHKRSDLFRDPSAVSKITAMASACRAQVEAEERKARDAKRKAEELERKAAAEKERAAKAAAAEKVAAVAEEKGAGGSGEKDGSKEVEKEESKAKSEYPVAIVLRLLLLLLGFEPNAGNGLDLEKYSWTQQLPEVNLSVPVPEGTKSRFVVCEIKKDHLKVGLKGQPPIIDGDLHKPVKVDDCFWSIEDGKYLSILLTKHNQMEWWKSVIKGDPEVDTQRVEPESSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKDDMLKKFMAQHPEMDFSRAKIA >cds.KYUSt_chr7.40724 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252763163:252770805:-1 gene:KYUSg_chr7.40724 transcript:KYUSt_chr7.40724 gene_biotype:protein_coding transcript_biotype:protein_coding METLKRKAPDSPTTDAGSPLKAPRADSATPAPAAPAAPSERVACVHDVSYPEGYDASTSGSRIVAGGGEGAAPAKTFPFPLDPFQSEAIRCLDNGESVMVNAANYAIRSLAIQAIPVLNLAVLLALSVGLGQFLSLSSCTQFSALCLSCVFIHQVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPAGGDGLYLVVDENGKFREDSFQKSLNVLAPASGGDKKRDNGKRQKGVMAGKPHEDSDIFKMVKMIIQRQYDPVILFSFSKKECEFLAMQMAKMDLNGEDEKVNIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGGADSLNSAFHLSYNMLLNQMRSEDGDPEKLLRHSFYQFQADRALPDLEKQVGELEKERSSMIIENEENVKDYYDLLQQYKSLKMDVRDIVLSPKYVLPFLQSGRLVRVQYSTDDKPTFSIDENVTWGIIINFEKVKTNGEDRRPEDYDYTVDILTRCSVNKDISGKKIMKIIPLKSRGEPVVISLPLSQIDGLSSVRMYIPKDLLPVEARENTLRKVEEVLSRFSKDGVPLLDPEEDMEVKSSSYRKAARRIEALESLLEKHDDIRSAPHIQQKLKVFHAKQEIASKIKSIKKTIRASTALAFKDELKARKRVLRRLGYITSEDVVEVKGKVACEISSADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFYQLQETARRVANLQLECKIQIDVESFVNSFRPDVMEAVYSWARGSKFHQIMEMTQVFEGSLIRAIRRLEEVLQQLILASQSIGETQLEAKLEEAVSKIKRDIVFAASLYL >cds.KYUSt_chr2.28932 pep primary_assembly:MPB_Lper_Kyuss_1697:2:177875508:177878051:1 gene:KYUSg_chr2.28932 transcript:KYUSt_chr2.28932 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTWLLLILVVFLIPARSARAQLEPTLINCGSGSSTIVDGRRWAGDSSPPRNLTLSFLGTVASAAPGAGGEEGPYGDLYKTARVFNASSTYHLGVAAGSYFLRLHFSQLFANLSAEEPLFDVSANGLKLLSKFNVPGEISWRDSQINSTSGVIVKEYLLNVTSAKLGIEFTPEEGSFAFVNAMEVVPVSGSSIFDSVNKVGGHELKGPFSLSESGIETMYRLCVGCGDIERKEDPGLWRKWDSDEHFIFSLNAAHPIFNSSNISYVSADDSTLAPLRLYETARVTTESSVMEKKFNVSWSFNIDPGFDYLVRLHFCELEYDKAEQRKFKIYINNKTAAESYDVFARAGGKNKAFHEDFLDVGSPQMETLWVQLGSEASAGSAATDALLNGMEIFKVSRDGNLAHPTVRIGGISGGVRKPKRSPKWVLIGAASVLVLFITIVGAAYICFHLQRKKNNSANKANDNPPGWKQLALHAAADTRSPTLRTAGTFGSSQLGRQFTIVEIRTATQNFDESLVIGVGGFGKVYKGRMEDGKLVAIKRGHTESHQGQGMKEFETEIEMLSRLRHRHLVPLIGYCDERNEMVLVYDHMSNGTLRSHLYGSDLPALTWKQRLEICIGAARGLHYLHTGLDRGIIHRDVKTTNILLDGNLVAKMADFGISKDGPALDHTHVSTAVKGSFGYLDPEYYRRQQLTPSSDVYSFGVVLFEVLCARPVINPTLPRDQINLAEWALNRQRKKLLGTIIDPRLDGNYTLESLMKFSEIAEKCLADEGVNRPSMGEVLWHLESALQLHQGHVQNANGDGSSGPKLKPSDVSINIACIEEVEESTRPASQDANTQAVAVKIEEP >cds.KYUSt_chr5.27316 pep primary_assembly:MPB_Lper_Kyuss_1697:5:172941079:172943302:1 gene:KYUSg_chr5.27316 transcript:KYUSt_chr5.27316 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTAAVPFWRAAGMTYIGYSNVCAALVRSCLKEPYKSEVASREKVHFSLSKWSDEKQEKPTLRTDDE >cds.KYUSt_chr7.6994 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42181989:42182816:-1 gene:KYUSg_chr7.6994 transcript:KYUSt_chr7.6994 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPAQTSPLRRWKPFFRAFDSIDAAIEAYDPAEFSRREFRTARGDIVERLCDADDDDHAERLCLLLDDVMAESLETLRLLPLMPTVLAKTDLAKCVRALHKNHESERVRVLAGGIVAAWRASVREDDAKVIEATEPKLLDHLPQQPKKIDQQQQAPHATQPAKILVAETCAKKKTVDITTNRASDPVAPGLIFRGDRVGPIPEEKIQAAKRKFNQRYQEADDAKRRRLPIKVVAPEMIKQTLKKKKHPIIRERSQARCGSSMIKKTVTVTRMAS >cds.KYUSt_chr1.36340 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221604389:221607674:-1 gene:KYUSg_chr1.36340 transcript:KYUSt_chr1.36340 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSWLLLLVLAGAVLQLRAQPSPDSTGFVSIDCGLPERGSGYVDAATKLPYVPDGAFTDAGANHVYPVPGCVVPEGESAVQVCLVDTGTGTPFVSGLDLRPLWGTLYPQANATQALVLVDRSNFGVSGLALVRYPDDPYDRVWIPRSDPGAWTEISTPEAVQRVVGPSFHAPSAVLQTAVAPRNGSRIIQFSLDALPNHVYPVPGCVGILYFAEVQAAAGSAPRQFDIFVNGDRWGMGPFTAPLRLASDATYTSVPYIGVNGQYNFTLNATANSTLPPIINAAELFSVVSTVNVATDANDVAAMTVIKGKYEVKKNWAGDPCAPKNLAWDGLSCSYAISMPPRITRLNMSSGGLSGSISSSFASLKAIKYLDLSNNNLTGSIPDVLSQLPLLLVIDLTGNQLNGTIPSGLLKRIQDGSLTLRYGKNPNLCSNSTSCEPTKKKSNSMLAVYIVVPVVVTGALAVLILLLIIRKKQGKGSLNRQNEAGGAQSRSQHGDGHNLLQVDNRRFTYRELEVMTSNFKTVLGQGGFGCVYDGILPDGTQVAVKLLAGSSSQGVREFLTEAQTLSKIHHKNLVTLVGYCKDGECMALVYEYMSEGNLQDKLRGKGRNAGTLTWKQRLRIALESARGLEYLHKACSPAFVHRDVKTSNILLNANLEAKVADFGLLKPFKRDGDTHVSTARLVGTHGYLAPEYAAAMQLTVKSDVYSFGVVLLELITGQPHILQCPEPTNIIHWVRQRLARGNIEDVVDARMAGDYDVNGVWKVADVALKCTAQAPNQRPTMTDVVVQLQECVELEDQHFI >cds.KYUSt_chr5.3858 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24980886:24985641:-1 gene:KYUSg_chr5.3858 transcript:KYUSt_chr5.3858 gene_biotype:protein_coding transcript_biotype:protein_coding MARGYMCSTLDSLQRGGGASPAWVEQENRELEGAIATRYEAVAEAARDRAHFHAQLAMLEAAEVGQAAAVEAATAEAGNSLARVMWDSSLADALKRRWRQDDWMRCPIAGMHGVRQALPIRRQRWPLRRPVVGHAIANNHNGRKWTLPVAPVTLPQTVSQMPSQQRRQAENCRTFRRRSSRPAAMASSPSNVALKAAFDGKIRLLKRMAKKVDLRRAKDAEGQTALHFAAGNGHLDMCKFLVEESGLDVNSPRKTGETPMFYAALGGKVQVMRYLLDHGAGPAMPQERGSTPLHNAAEEGHLEAVRLLLSKGVHVDPMDHRGAPLHLAASKDRVEVVKVLLEHGADPSRVANHVFSPLMMAICGQSLKCMKLLIEAGADVNAHGYSGPTALTEAVDDGLTDFVKLLLEAGADPNIPNQHGAIPIKVAAGRGQRELVEMLFPRTKPIPSLPVWSVDGIMNTDIFPHIEPQDALPMEQRIADLKAQGKEAFGKGDYLTAIYFYGLIMEIDPLDATMYANRSLCWLRMREGDRALEDARQCKMIQPSWSKAWYREGKALSFMEDYKGAADAFMIARQFDPKSDEITEALREAIKAMEDLHV >cds.KYUSt_chr2.19964 pep primary_assembly:MPB_Lper_Kyuss_1697:2:125601275:125607268:1 gene:KYUSg_chr2.19964 transcript:KYUSt_chr2.19964 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGPAPPAMYSYPNYPAYNSPSGPTWDPSALAGYFNTMSLQAPSVWRMHGSCCSHVFGRRCSSTGDLYPLTSTPCALTISTTDSILWHRRLGHPGHGALQPTIRVILSVALFSGWQIRQLDVKNAFLHGRLSEVVFCHQPTGFVDSTRPDHVYRLNRALYGLKQAPRAWYHRFATFITAFGFTCSKSDTSLFTLHGTFGTTYLQLYVDDIILMASSSTLLERVITALSAEFAMTDLGELHHFLGLAVRRDSHGMFLSQTQYALDILERAGMSSCHPASTPVDTSPKLAATAGPPVADSSVYRSLAGALQYLTFTRPDIAYAVQQICLHIHDPREQHLSLVKRVLRYMKGTVSSLLPQPLIARLLTRTQIGLVVRTLIALPLATVNWEPYKHMDPASIPNICVAPDIVCCSRTWLISFNVREVHVPDRFARQFGQEQHQLNDVLGHQRRQWKASVDWSLEYASEIKLFEQLVSATRHDHTTVPATCNTTKRVFTSANTAQEFHDLNLRTVVESIKEEFGTIMRFLEPQSFRSEVATSFARIRELLEAAHLKEGNVDSRGGGTSEPVLTTPHPPVIPACEQAATGTTDDQTTVAQAVEPVPDEGMQNDSDQPAPQCRDTPREQDVADVSGTLGEEGAVEWRESSEEEDNARIRDLREASSLRMDPGRRINIYRRRAKKGNVATSRQQGVHASQTKAYSPGIHHSGVGSRKPNASPLSHAHLPFSASHLTEGNVAIDSRGEVSSRPDLTAPHPPVIPVGKQGAAGTMGSPATAQAREPVPDEGMQNGSDQPASECCDTPREQAEGDISGTPGEEEAVEWRLSKCNVAVDAQGGGTSRRELTAPHPPVIPVCEQAATDTTGSPAREHMPDEPMQTGSDHPTPASCDTPREEEVGDASGTPGEEEAPEENESSEEDVDERGDKGRKRTRQYAERRGGGLRRSNRRCVQVKRFKHKGGKGSVASDPIVL >cds.KYUSt_chr2.26349 pep primary_assembly:MPB_Lper_Kyuss_1697:2:161347199:161347633:1 gene:KYUSg_chr2.26349 transcript:KYUSt_chr2.26349 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVNQYVWSFIRLFSRCFVKTVPAANPTDTDPRRPSPITTPPAPGLPHPPLRLRSEASAHRQSSASSTMNGSIFQIGIRPSVASSYVGIHPSPTSTSMYCRFCIGIKILGRHCLHRADSLSIARIRPSSPAPRLSLSSTAPS >cds.KYUSt_contig_1253.1067 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:6762882:6768117:-1 gene:KYUSg_contig_1253.1067 transcript:KYUSt_contig_1253.1067 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRPDLFSDSMAASGIETGTKLYISNLDYRVSNEDIKELFSEVGHLKRFAVHFDGYGRQNGTAEVVFTRRSDAVAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTPEAGRRGGGSSNRPLSNPTNRFNRGAFQTGRGRGRGRTSFQAPFQTQFQGRGRGRGQLRGRGRGRKQAEKTADELDKDLESYHAESMKTD >cds.KYUSt_chr1.32495 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197323510:197325769:1 gene:KYUSg_chr1.32495 transcript:KYUSt_chr1.32495 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLCAKAQTPRRISLFLPSACSSSGTSMFLPLRRLSGEISAPLRRSLRMAASRPPWAMVCKKPELDASGAPPPGRQRAFLDLNEAPCVSQFSVPADLAYPEAAGSVRAASCDGLLLLDFADTRQFPPAVRKLCHKSLLHEMAVSGDGAHLDVARFVCNPLSGQLCRLPAPDTDAVKMGTPPFGLLTQSPEGSHGLPDRFVVAQLGRGEGDSSSRVLRRYLSDTGEWDERELLAPSTAPPAWRAMQIDTDHEVLALGDRLWWVDLSLGVCSVDPFSDRPEHRFVELPDCSALPAAETGGLALAESPLLSRYRRLGVSEGKLRYVQLCNIHQPFQIVSFSLDAETCSWTLDHAIKIAETSPDHIFNAPWIAAIDPFKANVLYLQYGGAVVALDMAKGVEIWRSPLPDTIARQMNRRSRLFLPCVLPPWLASSYIPATVRHHSLEAGFILLFSYFGDRDMSIKVFDETRRRRDYHGDNTDEEDD >cds.KYUSt_chr4.15964 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98828022:98830168:1 gene:KYUSg_chr4.15964 transcript:KYUSt_chr4.15964 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSVLREYAGRGDLDKIIFSGDDILFGSDYSFPANVATAFASKQSGRPYPLSAAVFLAQHNDLKHTDFLQAARLRRIPPVSLPDRKTFLDFLQFGHNTLPTEPLLPSFTQEAHPPPPPPEEPDDDEASTAHVRAIERPLKDRNSILDARGRDFLAIYHAVLRREEERVRNKDSAPSAGRTEPSAAAAALANPKADKSLGDGFVPIILVPSASQTLITIYNVRDFLEDFVFVPSDEKVRALKGSPKPECVTVQKKHVRSGAGGPVAFEVRDKPASLKPDDWARVVAVFVLGKEWQFKDWPFKDHVDIFNKVIGFFVRFEDDSVDSAKVVKQWNVKIISISKNKRHQDRPAALEVWERLEEFVRRTLK >cds.KYUSt_chr4.29289 pep primary_assembly:MPB_Lper_Kyuss_1697:4:183794238:183798659:1 gene:KYUSg_chr4.29289 transcript:KYUSt_chr4.29289 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTMDHGGVVAVKRMRLYTAEKEEMEMEVDGEEEEDEGWAWGTPGLGMGMGPAGEQRAAAIVVADAMEPDFPVIYVNAAFEAATGYRAHEVLGRNCRFLQFRDPRAQRRHPLVDPMVVSEMRRCLSDGIEFQGEVLNFRKDGAPLTNRLRLIPMHGDAGSLTHIIAIQLFSDANIDPSNISYPVYKQQSSHRRSIQDMNPASHEHTPKVQCSDYCSIFQLSDEVLAHNILSRLSLRDVASIGSVCTRMHELTKNDHLRKMVCQNAWGRDVTGRLETSTKMLGWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACSVGNRLVLFGGEGVNMKPMNDTFVLNLEAAKPEWCLVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAQHPTWREVASDGPPLPRSWHSSCTLDGSKLVVSGGCAESGVLLSDTFLLDLTKEKPSWKEIPTSWSSRLGHTLSVYGKTKLFMFGGLAKSGSLRLRSSDAYTLDVAEDNPQWRQLATTGFPSVGPPPRLDHVTVSLPCGRIIIFGGSIAGLHSPAELFLLDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRAAEDA >cds.KYUSt_chr4.3123 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17881005:17882270:1 gene:KYUSg_chr4.3123 transcript:KYUSt_chr4.3123 gene_biotype:protein_coding transcript_biotype:protein_coding MHFQPDHHQSLAAGIMEAWDLDRRTGQAQHEERHGRQGHEAAGEEGRSESDPRAVLTTYLTFLEHKIGHLRGILRSTPRPQQQHAIVSAELRCIIVQLVSIANDLATGAGAGTGTAADASTPSTRCAGEEAADHAGSPTLSNATATHDDSDHAEEVDVDAADEHPPAGPYEVVQIEKEEILAPHAHTCKVCGKGFKRDANLRMHMRGHGEQYKTPASLAKPGHSSSPAPDTGRRCYYSCPFAGCKRNREHRDFQPLKTPVCVKNHYRRSHCDKSHVCRRCGVKRFSVLADLRTHEKHCGLDRWVCSCGTSFSRKDKLYAHVALFDGHSPALPPHDDDTTVHCSTGTASVVPGSGELPPINGEAVNVLDQCLSGSMFNDFSCSGVEASTDDGRGHPSSPIGIGLCDFDGFDLLKAVAMDFDF >cds.KYUSt_chr6.9452 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58268606:58289563:1 gene:KYUSg_chr6.9452 transcript:KYUSt_chr6.9452 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLPMGAAAVMKMAVEMAAVSMEKPSGGTSPFRRRAGTETPVPQILASRWRRLWKMNSKQRAEDPAKETSNKLQKRVSALNRDLPPRDEQFISDFEQLRTRFPDQEQLQAVTESVLISFVLQCSSHAPRSEFLLFATRCLCARGHLRWDSLIPSLLSAVSSAEAPTGQAGSVTVGGPVSSSSAIVAPSAPSFHASNPTSPLSAMNAIGSPTQSGIDQPVGANVSPMKATEFASLGQLGTTSRGDQSGRGAETSYLYHLSCRIILAGLQSSLKPATHAVTFQHMVNWLVNWDQRPRGVDEANPAQTRIERPVHEWMHLCLDVIWILVDEEKCRVPFYELVRSNLQFLENIPDDDALICIIMEIHRRRDMVCMHMQMLDQHLHCPTFGTHRFLSQSYPSIAGESVANLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRSHSQTSTPGAVFSPDMIGEAVADRTIELLKLTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVANGDQQILRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKSLDPNNISPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQTTKASGERMIDFMNLDERAMGMFWVLSFTMAQPACDAVMNWFTSAGGAEFIQGPNMQPNERMTMMRETYPLSMTLLSGLSINLCLKLAFQLEETIFLGQAVPSIAMVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKGKRGEHRLFRLAENLCMNLILSLRDFFLVKKELKGPTEFTETLNRITIISLAITIKTRGIAEVEHMIYLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLMGRMDKRGQTIQAWQQAETTVINQCNQLLSPSAEPNYVMTYLNHSFAQHRHYLCAGAWMLMNGHLEINSTNLARVLREFSPEEVTANIYTMVDVLLHHIQLELQRGHPAQDLLSKAITNLAFFIWTHELLPLDILLLALIDRDDDPYALRLVISLLERPELQHRIKAFCSSRSPEHWLKNQHPKRAELQKALGNHLSWKDRYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAFYSTFLAFHPLRFTFVRDILAYFYGHLPSKLIVRILNVLGVSTKTPFSDSFAQTLGSSNSSICPPPEYFANLLLGLVNNVIPPLSSKSKSNPADASGNTARTSFSKPHASAQAGGSSNADGQRAFYQNQDPGSYTQLVLETAAIEILSLSVPASQIVSSLVQLIAHVQGTLIQSNSGQGMSGGLGQNSGLPTSPSGGGAESAAANRANTSASGISANFVSRSGYSCQQLSVLMIQACGFLLAQLPPEFHMQLYSEAARIIKDCRWLADSSRPVKELDSAVGYALLDPTWASQDNTSTAIGNIVALLHSFFSNLPQEWLESSHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSQSSPHVEASEISDIIDFLKNCPARSIELPVQTSGRALDYNRELKDQARKVAHGLMGNNRDCCCRSALLQT >cds.KYUSt_chr3.31418 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197312302:197319652:-1 gene:KYUSg_chr3.31418 transcript:KYUSt_chr3.31418 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSNEARVGAFAIGPSTALGRALALRVLCSGSLARLRRRLAHALRAAAPLAAAWLHPRHNTRGILLAVCAVALLLRGRGGRAGVRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLDRETPRRATDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPKLIKEYIEEVSTQLKMVCNSDSDELPLEEKLAFMHETRHAFGRSALLLSGGASFGTFHVGVVKTLVEHKLLPRIISGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVFKRILTHGAVHDIRHLQTQLRNLTSNLTFQEAYDMTGRVLVVTVCSPRRHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGATVPFHAPFLLGVEERADAATRRWRDGSLESDLPMKQLKELFNVNHFIVSQANPHIAPLLRLKEIVRAYGGSFAAKLAELAEMEVKHRFNQVLELGFPLGGIAKLFAQHWEGDVTIVMPATLAQYSKIIQNPSYSELQKAASQGRRCTWEKLSAIRANCAIELALDECVALLNHMRRLKRSAERAAAQGYGATIRLCPSRRIPSWNLIARENSTGSLEDEMLTSPTVPNHQAVGGAVGPSNRNHHVQHGMHDSSDSESESIDINSWTRSGGPLMRTASANKFISFVQNLEIDTEFRTISPRGSRSDAMTPSSNLLAGHPVGRELVENHTRPVSPGRSSGNSGCDPHDSTVHRSPFGLSTSIMVPEGDLLQPEKIDNGILFNVVRRDTLLASTSGVEPHGYPHEADVETVPTECLYGASDDDDVELKVDDEAASDPGVYVSSETVGHQGSSPAETLDSSASTDRQAETSTIRSEAPSLFDICVEIPPATVTTENSRPDQHSSETSPETEKTEGRDGNSTSENDEVDSVLANEEPSLFSGTAETLQQHEVESGSVNSYDVSVSEGDGLISVSSTEEPVTTSSGSAETGETKRARRRRGSGGMGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK >cds.KYUSt_chr2.36969 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228680067:228684576:1 gene:KYUSg_chr2.36969 transcript:KYUSt_chr2.36969 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGAGGSSSNSGRSGSQAQQQQQQQQQQQNQPASAAREEEPTPQPQPVDPPASDETTEHLPEGDESSSNKPSRPADPVSESSSCAEERSEREKPPRDDSNMTTDPAFLAELSGLQCSDQSEQGSSGQSGAGSPQMAGAASHPPPPPAPPPKPSSSANNGLRRMGSGSSNSVRIGSSRRPVAWPPVAARTSATGSRPSSPRSLADCEGYNSADEQGPCYTSSYDDSEREHMFEHDLRRVKGFEIRKMAADGNCLFRAVADQVYGDPETYDMARQMCVDYMERERDHFSQFMTEGFMSYCKRKRRDKVYGNNIELQAFAEMYNRPIHIYSYSTEPINIFHGNYSTDVPPVRLSYHHGNHYNSVVDPRRLTVGAGLGFSSLRGTNSVDRDQVKAAIKAQQDQQIENALLAEGRLYSDLELTEKEIERMVMEASRAEFLNQQQVNFRESSMSAGAEPSSSAAISGSSGSAAVGDKGSENCFVLPDTVLTRSMQLLLAMGFSYIQVMEAYSIFGEDVDSMICYLVEMGGAGASAGGSNRRKGKAAE >cds.KYUSt_chr4.43845 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271845047:271851678:1 gene:KYUSg_chr4.43845 transcript:KYUSt_chr4.43845 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGAAAIPSSAAAMVAGAPLDPHHPHHQQQPAWLMDERDGFISWLRGEFAAANAIIDLLVLHLRSVPGDYDHVFAAVHQRRHHWAHIIHMQQFFPVTDVALALQHTEWLRRAQQPHPQPPTPPPQQHGPVLAPNPPPPPARRHSSSYAPSHNNHRNAGNGRTDPPRHAANVAAAGSDKDGRELHNQEGKGLKEGENVVDAKSLQLDSPITDEGEKNPKLQTDSEGSSKVAPAPSEYTTREIIDGRPVNTVEGLKVYEGLVNVIEINKIVSLANETKASSRRGGLEEAGQTVIVGRRPLRGHGSAVIQLGVPIIEGPFEDENQRETRVDAVPGLLQDLFDRFFQQGIIPSKPDYCVIDFFNEGEYSHPQQPPPWSLLVLQGRSADVAKRSIPATHKQRILLTFGKSVPRKLVSSESAARVTPTLAPPPMPWGPPSRPANMRPHSPSPKHFGYAPASSVLPAPMAGPLHIPPSDGMQPLFVAPAPVAAAAIPFPAAVQLQNTAPAWIPEAAPRPAPPRLPVPGTGVFLPPGSGHQLLPPHQMIQASHAHAEMANGNASPRSTATSKRPDTVEAKPECNGSSNGGCGLVDEKSAVHKEQQQNGGMKKAGNSKAEPGALAK >cds.KYUSt_chr7.11017 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67729500:67734214:1 gene:KYUSg_chr7.11017 transcript:KYUSt_chr7.11017 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACDDAVEQLARLLDQVEEPLKKTFQKPIIPVDLYRSVRESQLIGLSGYSKEGVPVFAFGVGQSTYDKASVHYYVQSHIQINEYRDRIILPMASKKFTRPITTCIKVLDMTGLKLSALSLLKILTAISAVDDLNYPEKAESYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLHGSGKDELLKIMEHSSIPHFCRREGSSKSSLSGVNDCFSLDHPFHQELYRYIEQQALNQELVKQCSLHVDIPDQDPEDVKIVEAIEAEFHKLGEQNGSGNVGNKITVSV >cds.KYUSt_chr7.27183 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169942067:169948199:-1 gene:KYUSg_chr7.27183 transcript:KYUSt_chr7.27183 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWFKPAYIKKTQASKLGDAQGIPFFIDKLSGLHERDIFDLFLPEFDKPWVIHLRENLLLFYKPLLLEAQHCLQERRGNVDIKHFSGAVAGEESFQTRSSLEDEDLAGEGTMPCKHELEASLLGAAAEQSNARLQYSVLRHGLLAVVDAGRLQDSVFGDPGAITDRHGCTGRCVALHGEFTREASVSLDGMRAHPPGPSVRQGPAACARCFSCPRAQAPPPGASPRPRRCPRPTTGAAGHRCGGEGFAAYCRKGKGRERLEMEDRHVAAVALGLRHRTSHELPLAP >cds.KYUSt_chr1.39917 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244384662:244385575:1 gene:KYUSg_chr1.39917 transcript:KYUSt_chr1.39917 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVILLTVLLALASWQATAYDPSPLQDFCIADMKSPVRVNGFACKDPMTATPDDFFNPAMLDQARDTKASKVRSNVTNINVINFPGLNTLGISLARIDYGPLGVNTPHIHPRATELLTVLEGTLYLGFVTSNPNRLFSKIVKKGDVFVFPKAMIHFQMNLAHDKPAAALSSLSSQNPGVISIANAVFGSKPPISDDVLATAFQVEKNLIDWLQSQFWENNNY >cds.KYUSt_chr1.19909 pep primary_assembly:MPB_Lper_Kyuss_1697:1:117334444:117336399:-1 gene:KYUSg_chr1.19909 transcript:KYUSt_chr1.19909 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVQAMLARPIQLADEVAKQCGAARCFRAECTDLKSRADKLAALLRQAARADLYDRPAARIMAGAAQALAKASALAARCAHGHPRLRRLFTLSPAAGFPRTAALLDTALEDVAWLLRISSPHGGADADGDGDLRGLPNIAQNEPILFLIWDHVARLHTGGLAARADSAANLASLARDSQHFAKLIIEEDGILPLLRLLKEGTDDGQEAAVRALGLLGCDAESVDKLVQAGICSAFTAALKEPQMRVQAAVAEAIATLADRSQACQELFTQNNAVRYLVGHLASGTIQEHSRYAVGSSSSKNTAAAPTPMTSLHSVVLAKTLSTRHSGDFMVSVPEEPPRVSNVSKDEADTNKRNQMQSVVQSAMAAKTKTNGVLIPPFRPQLGTSGSSGRGAREVEDPELKAHLKAMAAKALWKLARGHLGVCKSITESRALLCFAALLEKGDGGMGTDMQVFSAMAIMEIARVAEHSLALRQSAFKPSSPAAKAVVDQLLHIVSKGDYDELLIPCITALGCLARTFTASETRMIAPLVQLLDEREPPVIKEAVLALTKFACTANHLHVSHCKAVVDEGGARHLVQLVYLGDEVQIEALILLCYIASHVPESEELAQAGVLAVLLWASKQTYMVQDVRVEALLPEARARLELFQSRASR >cds.KYUSt_chr5.8373 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52928297:52930525:-1 gene:KYUSg_chr5.8373 transcript:KYUSt_chr5.8373 gene_biotype:protein_coding transcript_biotype:protein_coding MVEADDQVVHLSDEDDEIIIIAVDHQVIDLSDEDDNMTIVPGDDAKSGDSPDDDNTNSSDSPDDDNTNSGDSPDDGTTNSGDAPQVRLLLMLCISSSMVMYRRGASSCESPELVLPSSVTGLGVVTWDDGHIAILIREIEDLVVSYDDDHLVILVRKIDDLVVNHLVRSAPIELPSTTWSDHVYKKWVSEQINVQFDSYFHLYLNYLVEKINMAQDLDLAPEDTSL >cds.KYUSt_contig_534.243 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:1666997:1672057:1 gene:KYUSg_contig_534.243 transcript:KYUSt_contig_534.243 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDGEPWCSCSLRQCRICHEEEDEGSVTSMESPCACSGSLKVSSSFLAAVPVHSLGILLLHLLIGAVYSSSLVQYAHRGCVQRWCDEKGSTLCEICLKNYEPGYTAPPKKRHVAVTIRGSLEVPMADYQEAEDALLLGPDVVASDPAYAECARAAGRNASWCRSLTVTFTVVLLLRHLVAMETVRAADQSFSLLTVYLLRASGILLPFYVVMRLISAVQHGQMQYRLQLLQSFAERPATSTRGGILMLWDDATVQVSNVSATKFCLSATIYISLTRTSFKITSVYEPTASTSEDDFFTELVPHKPADGGKWLALSDFNQTRPLRNDGPSMDEEDKHWSMITHGEDGSKHVGIKTLAGQADRASARSVTPGDCPSDCIGARALHV >cds.KYUSt_chr2.50047 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313107900:313110921:1 gene:KYUSg_chr2.50047 transcript:KYUSt_chr2.50047 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMTDAEAPFLAGADADDDQSPLAGVSDFRGRPVHRASSGGWRSALFVVVVEIAGSFAFFGVSANLITYLTGPLGHSNAAAASAVNAWSGTASLMPLLGAFVADSWLGRYRSIILACTLYVLAHTEHEDKVCDIADQTMCMVAEELQARATEQKEGRGSFGRHTQRRPDEVQLVDVSSSRHSNSNSDPEVCCSGTVEKEVACGLHGGSAHGAASLGDADDGLAKEVVPGLNSRLDQQPGEEFDPGWGPTVPDEACMGRLLAAEVAEPVELSCAKGVLRPGAAVQGARVRLVPDRDRVEERAEQFQLMRRHRGNMLLQL >cds.KYUSt_chr2.19305 pep primary_assembly:MPB_Lper_Kyuss_1697:2:121405909:121406619:1 gene:KYUSg_chr2.19305 transcript:KYUSt_chr2.19305 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQPSPPWSKASNHLELYFTYIMFIRQAIHGNICCVCLVTHTEHEEIAKNKADGEALTWEDLAKMKLTWRVAQETLRMVPPIFGNFRVALQDIEFDGYFIPKGWQVLWTANATHMDASIYHEPAKFDPSRFENQSASAAPPCSFVPFGGGPRICPGIEFSRMETLVTMHYLVRHFTWTLCCKSDTFVRNLLPSPLHGLPIQIEHRTSA >cds.KYUSt_chr7.7984 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48220291:48221382:1 gene:KYUSg_chr7.7984 transcript:KYUSt_chr7.7984 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKEASSLSELGFDVNFGAGFFRQVPSSGCDNGEGTQRRRRTTKVSVIGAGDVGMAIAQTILTQGLADEIALVDADAGRVRGEMLDLQHAAAFLPRVRIVAGTDVLALTRGSDLIIVTLPGARPVGHRGVELLRKNVAVLREVVPALAEGSPDSLLLVVSNPVDVLAYAAWKLSGFPASRVIGSGTNLDSSRLRRLLADHLGVGAQDVHAYMVGEHGGAAVALWSSISVGGMPVLSYLQKTHASSSSSFDEEALEGIRRAVVGGAREVIGLKGYASWAIGYSVASLARSLLRDQRRVHPVSLLAKGFIPGNDHEVFLSLPARLGRGGVLGVAAELELTADEESTLRRSAETLWEHCQALGI >cds.KYUSt_chr7.37927 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236510821:236514307:1 gene:KYUSg_chr7.37927 transcript:KYUSt_chr7.37927 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGTSAVAVAVAVVVVLAVLLLQAPPAAEAAGQKKPATAARREDVPYIRCQVCERIAREISAQVAAKQQALPPSKKVPEIEIIDIAENVCNLKKQEADWMLRIDIVEKGDKLELVDQDEEGHCNSECKTIERACQEVMGYADTDVAEFVYTNKPSLDQLIKFVCKDITKACAAAPPPVPKDRVPGEPFAAKPSKDAEMEKIMRSMEGIPGAPNMKMYSRDDLMKNNFGADEGGDDDEDDDEEEEDNFPKKLGKVLKNKGPQKKDLKQQVVKQIQDTGKKLKGHVSKVSKVVKKWWQGKKKPAKSGKTEL >cds.KYUSt_contig_1158.291 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:1755206:1756047:-1 gene:KYUSg_contig_1158.291 transcript:KYUSt_contig_1158.291 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGGGAGDQLTVLGAWASPFLVRVRLALHLKALEYEYVEVDLKDKSDLLLASNPVHKKVPVLLHGGKPVCESMLIVQYLDDAFPGYGPAFLPADPHDRAVARFWAAYVDDKLLSSWVGIFATTTEDEKTEAVDRTLAALDALEGAFRECSGGKGWFGGDGVGYVDIALGGFVPAMNASEPTTGLKILDPARTPLLAAWKDRFCALDAAKAAMPPTERLVESGKQRHAELKAAAQPNK >cds.KYUSt_chr2.41386 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257359807:257360844:1 gene:KYUSg_chr2.41386 transcript:KYUSt_chr2.41386 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAMELPKQRLDGDDIDDDGRPRRTGTAWTASAHIITTVLGSGVLSLAWGVAQLGWIGGPAVMTLFAAVIYYTSTLLADCYRSGDPVSGPRNRTYMAAVRATLGGSKVKLCGAIQFANLFGIGIGITIAAAASMRAIKRAGCFHEEGHQSECRSPIAPYIAIYGIMEIAFSQIPGLDSMSWLSTLATVMSFTYSSIGIGLGVAQIIANGGVQGTITGVAVGISAAGTSVTAMQKLWRGLQAFGNMAFAYGFSIVLLEIQASPYPDISIDLFN >cds.KYUSt_contig_5061.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001008.1:24455:25667:1 gene:KYUSg_contig_5061.2 transcript:KYUSt_contig_5061.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSAGAAEENGSVHVDDPEAAQEEHVRRQVQAIHPWRPRLRLIPACLPADILRCASLEELFLACWMLPADHLSRSTVAFPCLKTLSMLNMGMSDKDLDHLLAASPVLETLVLASPVRRFHLRSQSLRSVLVFLVGDFAVVDAPLLERLIFMKPLLNARAARPVTVKIASTTNLQVLGYMEPMFHKLQIDGNIIPPDTVASPSTVVPAVRTLALKVNFCVLEELKMVATLLRCFPNLSTLHIQVLIHPFIHLASNYHAISD >cds.KYUSt_chr7.3999 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23944114:23951008:-1 gene:KYUSg_chr7.3999 transcript:KYUSt_chr7.3999 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRSSTGSSRASSSPSPSPSPSPSSILHESITEMSKIAFIDEIIPFFIFFHYNPSFSMLGPTIIAWHETVPSRYHLSRILQHRDLWGSPEACFSTSGSLIFLSLLGLWSTAAAAAAAEAVAASVRVGVVLDLRSEVGRKRRACISMALHDFELKHPSYATRVELYVMDSRGEAATTAHAAEDLIKNVRAHAIIWGPHTLTKEDHVSHLGRQSDHNHIPVISYSSTSPASCTFWIEDPVKASGGHPKFGFTLGSDSITFLNLKTDKRNGANLDCEGPRMKIAVPEKQGFKEFVDTTDPNNITGYSIDIFKASMETLYPIPCYDYSVFQGTYDELVGNVSSGAFHLGSPLAHNLSIAILNLTGGGSEIEEKWFGRFSPPIGVGTDSDSGPLTLQSFSGLFVITGSISTLMLLISIARRLYAKFTSLGMADYTDVDDDSDPLQNGMGNNPDPDQQPISEVDNDDLQGVRADGQNAQEEAPGPVQHNGTNGGSLPAEHIQIQMGTI >cds.KYUSt_chr3.39846 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251199182:251199799:1 gene:KYUSg_chr3.39846 transcript:KYUSt_chr3.39846 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSHGKGKMKKKSAADSTIFTMWGKASKAKKIDERSTPSQPESSLQLVLFQAPDAGLEPESSRANPVPIMVDNEEIDEDDDELTQADLGALEHDPGKRIPISRYDVNDQDRVSRRYIELGPCQPKNHKFKVMCAEMEGLQT >cds.KYUSt_chr6.2831 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16655765:16656268:1 gene:KYUSg_chr6.2831 transcript:KYUSt_chr6.2831 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDEAQLSLSGFSSLFSISGTTPRPHHHHHHDLPPPSLSLSIGTGGDEHEEDQVVSSGQGTTARVRMMKNRESALRSRARKRAYVQELEKEVRRLADDNLRLKRQFKQLKTEMAALVQQQQTNGSSHRRTSYTQF >cds.KYUSt_chr4.40877 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252555617:252557487:-1 gene:KYUSg_chr4.40877 transcript:KYUSt_chr4.40877 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQPNTYPVGYPIREQRASSTRPRRRRRQLTEPRVPADNLEAAESADGDSDTDFFDEQLEPQVLSDDPAAAEGRDAEVRDDMLEMTPAARSSFLADDEIEGCWDIGGRPTPCCRLLLVDGQGRRLITSSSLAARARTSELGTEKMKVAYN >cds.KYUSt_chr7.32957 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205584084:205589314:1 gene:KYUSg_chr7.32957 transcript:KYUSt_chr7.32957 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDWRLSAADTKAASAGGENDQAEGTKADLICHRIRYAISPLQEQGLHMAPLLQLSKLNRPSHAYGKRRRHSVVVRHGAPELCPGRRFVAALPDIEYEKKHSSCQSLHESEATSAVLTASDASTHGGFSVPCRIAEKISLSCKVTSHEDYVPDSDIDMVIAMVQECSVHADNYDAEQCRKSGIQQQPIPPRHRQ >cds.KYUSt_chr7.20412 pep primary_assembly:MPB_Lper_Kyuss_1697:7:126705724:126709286:1 gene:KYUSg_chr7.20412 transcript:KYUSt_chr7.20412 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSCLPSFSPHLSKKRTLNPPPPGAAAASSSAASAAMRRVVRALRPFQTLASPTTHLPPPILRLLSTSSSAAGASSDSDSAAPEADFNSADFSLPPSDPAPAPASAARARNPVSALRKLRFDPSLRARADEALFGENNAGMRVQDPVEEERSREVALALLEAAMEPPDEDEEEPGEVREEDQMSLSVGIVGAPNAGKSSLTNTVVGSKVAAVSRKTNTTTHEILGVLTKGKTQICFFDTPGLMLGHHGLPHRDVTVRVESAWSSVNLYDLLIVMFDVNRHLNMPDSRVIKLIKRLGAEVNPNQKRILCMNKVDLVENKKDLLQVAKEFEDLPGFERYFMVSGLKGKGVKDLVQYLMDQAVRRPWDEEPTTMTEEVMKTISLEVVREKMLDHIHQEIPYVIEHRLMDWKELKDGSLRIEQHFIAPKNSQRQILVGKNGSKIGRIGIEANEELRSIFKRDVHLMLQVRVAKKRNS >cds.KYUSt_contig_1790.174 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:932831:937751:-1 gene:KYUSg_contig_1790.174 transcript:KYUSt_contig_1790.174 gene_biotype:protein_coding transcript_biotype:protein_coding MGARDGTLAILAGRDEAVVAWLAPLFSHLGRPTWALPAAGRAARSPTRWLSPVRWSASASLCAAVPRHDLQGRGGVAQPRLRVRGVVRYIIKNLGMALEIGDSQEEVNVRHEEQLRWSPDASMCSMWEFLSPPFYFNSYPWRSHRNPNPLKKLILQTESKVLWLIEFTIVTSADVLQRGVRLWIELENVNDVLSLKFEGVRRKFELLKFCSVEINSQSLSELPSLSSLKEVVLPGKGSGQPHQPPQTAAAGEDSARVRVCSRDCP >cds.KYUSt_chr4.5232 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30138506:30143765:1 gene:KYUSg_chr4.5232 transcript:KYUSt_chr4.5232 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEKIQEAAANGRTAFSFEYFPPKTEEGVENLFERMDRMVAHGPNFCDITWGAGGSTADVTLDIANRMQNMVCVETMMHLTCTNMPVEKIDSALDAIKSNGIQNVLALRGDPPHGQDKFVQVAGGFSCALDLVQHIRAKYGDYFGITVAGYPEAHPEVILGEEGATEEAYSNDLAYLKRKVDAGADLIVTQLFYDTDMFLKFVNDCRQIGITCPIVPGIMPINNYKGFIRMTGFCKTKIPAEITAALEPIKDNEEAVKAYGIHLGTEMCKKILATGINTLHLYTLNMEKTALAILMNLGLIEESKLSRTLPWRPPTNVFRVKEDVRPIFWANRPKSYISRTIGWDQYPHGRWGDSRNPSYGALTDYQFTRPRGRGKKLQEEWAVPVKSVQDINERFMNFCQGKLTSSPWSELDGLQPETKIIDDQLVKINLKGFLTINSQPAVNAEKSDSPSVGWGGPGGYVYQKAYVEFFCAKEKLDQLIEKSKAFPSLTYIAVNKEGETFSNIPANAVNAVTWGVFPGKEIIQPTVVDSASFLVWKDEAFEIWSKGWACLFPEGDSSRELLEQVQKSYYLVSLVDNDYVQGDLFAAFKEI >cds.KYUSt_chr6.6732 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40554264:40555616:1 gene:KYUSg_chr6.6732 transcript:KYUSt_chr6.6732 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSLLPRAAAVRLVAPRGLPSASRLLCAAAAGEPSNSPAAPRRLVLYTKPGCCLCDGLKEKLHAATLLAGTPYSLASLELQASKPIQTQLATNNAPPLSRSDMDAYPWDRLGEGHHDGSRVGAALPVRDPGARQGASGWHREAFRKRLICQQDADQ >cds.KYUSt_chr5.2983 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19442160:19444285:-1 gene:KYUSg_chr5.2983 transcript:KYUSt_chr5.2983 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRVPPLLALLVASLCAAAAVAQKNSSILQPANPTCSTAANYTDGSQYKKNLDQLLAGLPAASLSNGWFYNGTAGEAPDQAFGLIMCYADRSAARCRECLAGAPAGITTVCPGSRNVRAAYDACVLRYSPAPPFAGTADLAVPFLVRASGPGVTVDPDKMLNAWLTLMTGLTGQAASSPSRVANSSTPYDGNATMPVYGLAQCTRDLNSSECSSCYVRYELGAFDITLPPEPPLPPPPPTSSSSRTGLVVGISVGSVAFLVILGSLSCISLRRRRRRKQAKLLEEARVQQLEEGSFFDGDDPAMEDDFEKGTGPKRFRYGELAIATDNFSDENKLGEGGFGSVYRGHLKDMNLDVAIKRVSKGSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDTHLYGGNNAAVLPWPVRHEIVLGLASALLYLHQEWEQCVLHRDIKPSNVMLDGSFAAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMMSGKSSAESDVYSFGVVLLEIACGRRPLVMAQHEDDAVHLTQWVWDLYSKGRILDAADERLQGEFSGEEMDCVLIVGLWCAHPDRSLRPSIRQAVNVLRFEAPLPSLPARMPVATFMPQVGAFTSTSSAVTGSTSSSTGTSSAATGVSSSTSVVTGVSSSSSAGTNVSATETSSLLK >cds.KYUSt_chr2.47254 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295638922:295657320:-1 gene:KYUSg_chr2.47254 transcript:KYUSt_chr2.47254 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYIPIFILLFLSSSCKSDDQLTHAKPLTLGDTLISNGGGFALGFFSPTSSNTSLYLGIWYHNIPGPITVVWVANRDNPILAPSSPMLAITNSSDLVLSDSQGGTRWAVKKNSTGAGATAVLLDEGNLVLRLPNGKITWQSFDHPTDTVLPKMRFLVSYKAKVAMRLVAWKGPDDPSSGDFSCSGDPNSPDLQFVTWNKTEPYCRLTVWSGKSVTGGTYLANASSVLYQTAVNSGDEFYVTYTISDNSTLMRLMIDYTGKLKYLSWNRHTSSWAIFGEHPSVPCELYASCGPFSYCDFTQITPACQCLDGFEPDDGVNFFSKGCHRQEALNCGKQSHFAPLSGMKVPDNFLHIRNISLDECAAECSRNCSCTAYAYTDLSRAGAMADPSSGYMSPEYAMRGAFSVKSDTYSFGVLLLEIVSGLKISSPQLIANFSSLITYLTHAKPLTQGDILISKGADFALGFFSPSSSNNSFYLGIWYHNLPGSRTVVWSANRDNPITTPSSPMLTITNSSDLVLSDSEGRNIWMTTSNITAGSVGAGAYAVLLNSGNFVLRSPNGRDIWQSFDHPTDTLLPTMRFLVSYKAKVVGRLVAWKGQDDPSSGDFSCSGDPSSPTLQRLILHGTVPYCRSNVLNGVSVSGGTYLSNTSSIVYEMAINVGDEFYFMFTVSDGLPATRLMLDYTGTVRSLSWNYQLLSWKVISERPKASCDIYGWCGSFSYCDLTDTIPTCKCLHGFEPDNLNFSNCRRTQELKCGKQSKFVTLPGPVNELSLALQEALQANHLTGLEMQEHGAKSSDFRLEAIEKSTSHRKKGSAGAEADPTRCLIWIGDLIDTGKSVNYGENLYLRLAESPVSAPSASSSRRSKPASTRRPPPAAVPALSTTPTPPASVASKGRPAWWPPSPSPIPTWTDVSPVYTQTAARTTVQQPVHTFGGPGGFAAPFAESTSFTSGRQEGAHGVTPLAQQPPRFTKLEFATYDGATDPLNWLNQCEQFFRGQRTLSADRTWIASYHLRGAVQTWYYALEQDEGGMPSWERFRDLCLQRFGPTLRGSRLAELGLFLIYIKRKLSHEMWIKLKEMFGGSTSQLVDGDSEELSSPSHHEELQVASTSGRDELSSSSTSPTCSKTREKTEHSMDQDMVLNEDSRISSSSSSGMHMCLMANGSKVSPTLTPNTSSNDESDDDDNDEEYNTLVHNMATIYASLHEEIKELEAQVLSLKKDLEKRHEGKSALDKMLSVQQSPNDKSGLGFNSNNKNKSKSKSNKKKGQDKVDDPAKLVCFKCKVEGHHVRSCPLKKKKHLRKDKDGNVFAKFVGTQSGLKKRTIWVAKPIVTNLLGPNLVGDQQAQT >cds.KYUSt_chr6.1407 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8636258:8637919:1 gene:KYUSg_chr6.1407 transcript:KYUSt_chr6.1407 gene_biotype:protein_coding transcript_biotype:protein_coding MMRASARSLDCWAWTANPSSIPKVVWLTFTSRGAGGLASEVFVHEVRPTGSKRGATFRIIVHLDKMEDYSTAPLDFFGSSTDAAAFRPTPVSFDWHYLTVDGLPPTPMQNEDDDEVLARAAALAKRGRGGPRDDHPRVLPRHGDRDDDSDRDGAAQRDRRSFGALWGPEGSARRERTRSPRRRDCGAGGHGRRHGDDVHMVDAPSAAAPPTPSGLDVDLRSYIAEQGALLRADLLACLKDTVAPILAESAALRAWQGRALSFLDKACGPLPPPSPPRPLIADSIVPGASGSPSLGDTAVPTDAGNTDPPPSSVDAGLLGQMMQLNLSPPGNGSSNGYADDGLGLGSQGQVICNFEPLPTLPLMENEAWHGVAPSLGSPGAASPPLLELPPVLLSGSSPTLSPPRQATDTAQASQGSVGAVDSPDPLHDFLATVAGPVVQPLLGTPSLRKKKKPAAAAGSPRRSGRIAIKKKARQLSEGSVAIQELIARVCGILAPAASFDDASLAAYQQLFLKAPLAVNAIQALEALVKQVKKVKKKSPATPVAKTVTAVPDV >cds.KYUSt_chr4.7389 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43922361:43932176:-1 gene:KYUSg_chr4.7389 transcript:KYUSt_chr4.7389 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFSLLPPGAAVRVLLPFGLLLLVSLLVLRGRTVQQLHLTVTNTRTSAPDPAALFLSLSPGANATIAADLRFLTAGPHLAGTPPAASVTSHVLARLRAAGLHTVTRDYTPLLSYRAHASLALLSPDRSLLARLSVDEPADPHRRLARPYHAYSPSGAAVAEAVFVNLGREEDFRALDRLGVAVRGRVAVAVRGGGYRGGVVARAAARGAAAVLIAGRADGGVERGTVILGGPGDPLTPGWAATDGAQRLGFDEEIVKRRFPTIPSMPVSADTAEAIVRTLGGPPLPPEWRAALNLGDHVGGIGPGPTLLNFTYLEDRKMATIKDIFSIIKGYEEPDRYVILGNHRDAWTYGAVDPNSGTAALLDVARRLGIMLQSGWTPRRTIIICSWDAEEFGMIGSTEWVEENLGDLHSKAVAYLNVDCAVQGMGLFAGSTPQLDKLLVEVTRQVKDPDVEGKTVHDTWIAMNGGISIERLARTDSDFAPFLHHAGIPCVDLYYGKEFPGYHTALDSYVWMENHGDPLFLRHLAITEIWGLLVLRLADDLVLPFDYQTYASQLQEHLNVFAAMMNNSQPVNFINGLIKDLSGAAMDVQKEAKELNQLDMHDGYSLMRRRLLNDRLLLAERSFLQAEGLQGRACHSHLKVGSPSAAQRRNTSSRQRRPRRRRPDDSPGLTLTLETLPNDLSATTTLLSVSLEWSAHETGNVDLGDDNCKAGTNDGIE >cds.KYUSt_chr6.32739 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206249041:206252869:-1 gene:KYUSg_chr6.32739 transcript:KYUSt_chr6.32739 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNDQAGGSMLRSTQLSFDEADVLYWHHIPVLVQFKLPHRWHVSNSGFVVPLPPPPGAQMWAFGRERRNQMTPEERSLPDNALDSPTWALRFEDERSVELARTANRSGGPLQHRQPPCLVVRPRRRRHAPPVRIPSARPRATGAGVLTAGGGHGGGADPAEGAGKDRDVRKLAHRIVVCRCAHAHALDRARSSSGRRRHPRRGEARVLCSGPSDDGYSPPPSMSPPLPDELLEEVFLRLPPDEPECLVRASLASKLWLDTLSGPRFGGLYRKFHGAPPMLGFIYCPPIYSSGYRRDEYDRVPYYEPATKFRARIPDDDWGCWFYEPWDCRHGRVLLVDATALAAEGLAAKFLVWNPLTGSRRELDGPQARDIVESQSLTAAVICAVARCDHRACEEGPFRVVLVTLDKSDVGCVACAYISLPQMGEGSKPCPDLSLADEWTELSADLDLVGVSACIDFKPQVLIEEALYLVIKNGDSGVAIAILKCDLASNCLSLIDVPPLETGADGDSETILMATEDGSLGFARLDMLNLHLWSRQMGFDGVLSWNQHRVINLKELLPIQNPKLRLTLVGSVEGSDTIFVTTDLGIYGINLKSRSVKKLWKREIFHYLMPYMSFYTPREMVNPANATP >cds.KYUSt_chr7.32496 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202396587:202397114:-1 gene:KYUSg_chr7.32496 transcript:KYUSt_chr7.32496 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRHPNTNTYPYTLFSSFWYPAHLMAPTLAMRDSFVARPTDVLLATMPKSGTTWLKALVFSVAHRGRHAPDDARHPLLGTSPHDLVPFLHSLYQNYRGADPPGLEAMPSPRILAVHAPYSVLRPSVASCRVVYLCRDPKDALVSFWHFIPKAVPPGYSLPPFHEAFELYCDICY >cds.KYUSt_chr4.1518 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8011180:8014978:1 gene:KYUSg_chr4.1518 transcript:KYUSt_chr4.1518 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPTPTGRPSGVAAVARGRPGRATRPKYADGEALGVPAPGSPRLRHVWRYADGEALGVSGATCADGHYAEGWSQPSGHFVYADGRSYAEGQVACAEAHLPRGATPRGPVGVVYAEGEAVIRRGLEAVGILADSCSGSGPINGNDTDLKALLAFKAQLADPLGILAGNWTTGPIPAELGRLRRLKSLGLMGNSLSNSIPTALGNLTRLKLLNLASNQLSGSIPLQMMMHMHNLTKISLGRNDLSGQIPPNLFNNTPSLTFINFDNNSLSGPIPQAIASLSMLEVLFLLPTLILAFGAIAIYLYLWSGKKLTSREDKAYVEPTDVIGHQLVSYHELVRATNNFSDENILGSGCFGRVYKGQLTSGLVVAIKVLDMQLEQAIRSFDAECQVLRMARHRNLIRILNTCSNLDFRALVLHYMPNGSLEMHLHGSESTTTHLGFLQRLGIMLDVSMAMSYLHHEHSQLILHCDLKPSNVLFDEEMMAHVADFGIARLLLDGNSIISASMPGTVGYMAPGT >cds.KYUSt_chr2.41294 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256775171:256778875:1 gene:KYUSg_chr2.41294 transcript:KYUSt_chr2.41294 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEVDADFIIAGNWKLHGELCKKLYTVVHEVSNAIPALEATRPGSSSGLLALSSLRIAVDKAKNLLQYCSECSKLYLALTAECVLTKFEKARYALLESLHQLEETLPEAFNSQIPEIAHELENSIFALDLAEKQAGDEVKQIIQNEKKSNGFLDDSELEFFRQTVLRVGVTSSAAALAERRALRRLLERAHAEEDTKKESIAAYLLHLLRKYSSHFRSETMDSINSQCSSPSCSFSSISSSVDLHGNAPGLEKLLPRSGSFNFKQIKGLSGSMPLPPEELRCPISLQLMYDPVVIASGQTYERACIEKWFNSGNTSCPKTRKQLSQLSVTPNYCIKGLITSWCEKNGVPVPSAPPESPKLKYLRITSLKSSKCLVTNGVSTILFEETGAKDDKCNTDAALEKCSSQNSREAISEGCEEEEEEVSVEKCSYENNPGEAAPERCERWLHVLNKGGECIDEQREVVEQIRFLLKDDDELRDYVGANGITEPLTCFLKMAVSKEDVQSQEVGTMALFNLAVSNNRNKKQLLSAGVIPLMEQMIQKPETCEAAVAMYLNLSCLEEAQAIIGSSEAIHFLISSLREEGAQSNTCRLDALLTLYNLSLHAPNIPLLLSSGIVHSIHTVLTPSSSWTDKALTVLINLAMTWAGKKEVAANQAIVGDIVLILDNGEPAEQEKAVSCLWIICNGDEGCSQTVLQEGVIPALVSLTANGTGRAKDKAQKLLRLFREQRQRELEQQQPRVQLHEVASQAVAEQEQQEQQQMQEEEEAAAVAAEEAAAAKAAAEQSSESRRPPRLSRSRSKRFARAFTCLLKKWTMQGGGDKCKL >cds.KYUSt_chr7.26639 pep primary_assembly:MPB_Lper_Kyuss_1697:7:166313431:166313988:1 gene:KYUSg_chr7.26639 transcript:KYUSt_chr7.26639 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAERSRVTSKYSSGRFRGGLVLNKAVGFLGAGGHSVGGWSLLSFDVGVRGSASSSSPAAAVGVLDSATTSSSAAAAARRCSVSGGVKRKVDDVCSGADPGSATAAIVLIAVRAGRMTSPSSGDLIWRGEFWVCVWDWDRGRWVGAGEEKRREAGGWKTWVWEERPGGQEERIKTEAGSAKAVK >cds.KYUSt_chr5.20807 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135131893:135132800:1 gene:KYUSg_chr5.20807 transcript:KYUSt_chr5.20807 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFRVELNSRLVGQNKIELEAWRRWQVEQLCLGRGCIGNTRKLSVQGSEGEVSASTTMAGEQGHHRGGAQGGGYAVGDDYMRAIRGDDYNYGGYGQSQGQGQNQAVAVTLGKGVAAAAAAGSMLFLSALALTGTVVALVIATPVMVIFSPVLVPAAIAVALLTTGFVSSGALGAAAMGVLAWMYRYLSRGSSSPPGSDKVDHTGAKLDSKAHEVKNWAQQRMDQARTQ >cds.KYUSt_chr6.7794 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47887533:47888267:1 gene:KYUSg_chr6.7794 transcript:KYUSt_chr6.7794 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLGVCLRPAALRTGAVVSALRRLVSPSFPRSGEVGGLAGEVVESPVFCSDLASLAGLGGEGRRRWELMFWLLVVLVVFFVRAAPADRGGEGSGRLKIGWLLLLDEVALVGLLRRLSRVDAVGDAAEVLTWLAMVGSGCSGPDRMRFELGVCRRPMFLQCVADPLLRNWWTLRLVKAFWRGVPPLPGFVFGGVFFAGVRAGGVQGRWREVEDEDGPQGSFLLHLFLVFLYFCAFGCRILTTV >cds.KYUSt_chr3.44925 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283109568:283110378:1 gene:KYUSg_chr3.44925 transcript:KYUSt_chr3.44925 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEPVKLIGCFGSPVVHRAEVALRLKGVPYELITEDLNNKSELLLRHNPVHRKVPVLLHGDRPAICESLVIVEYVDEAFDGPLLMPADPLACAAARFWASFLDKECRESMWMSLWTDGEAQAASARETKANLTLIESQLPDGKRFFGGDAIGYLDIALGAIAHWMGVFEEMAGVQLLNEEEHPALCRWAREYTADEVVRQCLPDRDRVIAALMPRKELYISIANAMAAQR >cds.KYUSt_chr4.2146 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11823142:11832394:1 gene:KYUSg_chr4.2146 transcript:KYUSt_chr4.2146 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAHVPKIQSRSRRHRQPQWSSMCSGVWTTHLLEASLPYRTSYDVLERILLDESADPTSLPLSLLQYVTNHFSLDNQIGSGGFAVVYKGMVGKGMVAVKKLSNTFGLHENKFHEEVKCLINAKHKNIVRFLGYCAETQGKMQKFEGKLVMADQRNWLLCFEYMVPKIADFGLSRCLGEDQTRAITENLSGTLGYMDPEYLRSRQIAFASDIYSLGVIIMEILTGTKQYLDDDYVVESWMNRLDASEGRMQLEQVRVCSKIGIECMNLDPKKRPVARHIIDRLDKTTSADYSEEICIGSSSFESQISLPREQFGERIGKLGAESLQKTDVKEHSILEDVAERLEWLHMQESQLKVGQLPLWGVQDMKEKVNRHRANNSSSICTGFSEKNILDIFNTKTRSNFDRNDRRKSHFINIFRKEELLPIVRSSNRIGTGSFSGVYKGFVGNALVVVKTMLSGNMLEINMLENEVIVQSQIVHKNIAWLIGCCLEMENLMLVYEFLSRGSLHDILHSGSKVPLNLDVRLNIIEESARGLAYLHSQAYTKIVHSDIKPASILLDENFVPKISGFGVSRLITRDNEHAESVIGDMNYMDPVYMQTGLLTEKSDVYSFGIVILEIISRKKARHSDNNSLVKCFVEVHEKGKKATELFDKEIALTGNLEILDYLAEIAMECLNLDIDQRPSMTNVAERLVILNRSRRLDAQHISGDYSDESDISSSFAEQNEEGQFWGVQDTDHPGVNISSSISTGLNKFNSLDIFNRKARMNFDTKSRLILEKSHFIKIFKKKEVMSMLKTNNLIGKDGFFEVYKGVVGTTVVAVKKPINGSMVENEQFGNVVIIQSQVIHKNILRLIGCCLEMDSPVLVYEFLSRGSLDDILHSSGKVPLDLDVRLTIVAESAQGLAYLHSQAHCKILHGDVKPENIILDENFMPKISDFSLSKLIASDNEHAHFIIGDMSYMDPVYMQTGLLTEKSDVYSFGVVILEVISRKKATRPDDSSSLVKGFLEVHKEGKKATDLFDKKIAVKGNLEILEYLAEIAVQCLNLDVDRRPTMTYVAERLLTLQRYRSFVVANGLTYIFSSFADRFRATETSPGMFTAAVSCVSVAKLLRRRAAFIFAGVLFRIHSSLPPEPQLNSVDSSNRQARSDIDMCVFGRLTFPTGSGAFPDPPRGGPLSMTQAERFIPFAADLAVNSTDAQVSAPTAPATCLLLKHSLGCAGRHRPHGRSICAGHSESHDPAWHGGCNRDERPWSHARCVPHARVTRVRRRREPFPGGGAQCLLRATRGSTQPSPLQPRWLRVALSLVAFPFEHSTRPHIRASHVVMANPMEVAELCMTGREFISVVTVAKYEHFARIPQDLNVKNADGVFTVVPVRRLRR >cds.KYUSt_chr6.5073 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30014388:30016814:-1 gene:KYUSg_chr6.5073 transcript:KYUSt_chr6.5073 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRTGKAIGDEPWLRTTNAHAGRQVWVFDSTASDAATAEVDDARHEFTRRRHQQKHSADLLMRLQLRDPAVVVEGLGCLSLPPLEVFSHAPSPSLPVMNDDVSGDAQCSVGVCDGERAPEKVAGFSDMRSDEMAPEKMDDDEELAPRTPSVSSNGVVPSSVRDVADVRHGGKALEELCDGLSPAAATLGVEEGWVQVGRVTTLVMSHCRCFARKVLSAASPSSVGLEGDASGASSVATKSAHAVSPSDASAAVVLVIESLSVVRAFLPLVLALRTLVLALRTLMLLSSGATLRPLSFVVPRRPGVGLRSCPNRHCVQRCRLVTLLDVDAAVLSGGVLTPPPIVEVRPGTHEFSDVASPPCQALVSEKCGVVDAVVSSSAEFDRQVAHIDDMVAKSGLLPMVPGAIVAREVSEFLATLAVAFQGSEVG >cds.KYUSt_chr5.28023 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177331552:177332043:1 gene:KYUSg_chr5.28023 transcript:KYUSt_chr5.28023 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAISTPTTAGCKVASYGARHGRRSSHCRSNVKAAAAATASRSTESTDYYKLLSLEHSSDVGADDVKRAYRRLALQYHPDVCPPSRRAESTELFVEIRRAYETLSDPITRVRYDAELRTGYTAARPAADGFPRDVWEAQLGLLRARSERRQNARRRCSGNRL >cds.KYUSt_scaffold_1259.283 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1785240:1788748:1 gene:KYUSg_scaffold_1259.283 transcript:KYUSt_scaffold_1259.283 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRLPPVPTTTATLSHHHVHLVTPLRTPPSSLRLAHLRICPAPSIRPLRAIPSTRCHAAGDPASSTVPSGGSARAALVRIGEALSLGFPVWVASACALALWRPATFLWVSPTTQMIGLSFTMLGMGMTLTLDDLKGALLMPKELAAGFVLQYTVMPLSGYFISKLLNLPSHYAAGLILVSCCPGGTASNIVTYLARANVALSVLMTATSTFAAAFMTPLLASKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYFNPLVELVSPLMPFIAVATVAVLCGNAIAQNASSILASGLQVVLSVCCLHASGFFFGFVLSRMLGIDIASSRTISIEVGMQNSVLGVVLAGKHFGNPLTAVPCAVSSVCHSVYGSIVAGIWRSMPPNDKGE >cds.KYUSt_contig_915.306 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:2002802:2007961:-1 gene:KYUSg_contig_915.306 transcript:KYUSt_contig_915.306 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDEGGAGPGAPNGGGDAAVVARPKTKGKDGGGEGGEDSEQSKLRAGLNSAIITEKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGTRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDAKARQHMFKVHLGDTPHSLSESDFEVLGRRTEGFSGSDVAVCVKDVLFEPVRKTQDAMFFFKTDGGMWMPCGPKQPGAVQTTMQDLASQGLAAQILPPPISKTDFEKVLARQRPTVGKKDLEVHEKFTKEFGEEG >cds.KYUSt_chr7.1609 pep primary_assembly:MPB_Lper_Kyuss_1697:7:8984719:8985684:-1 gene:KYUSg_chr7.1609 transcript:KYUSt_chr7.1609 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVNETKKMTVFVTVPRPRRPDYAPDALKVVALEVSSDDTVASVKATLQDMEGIPPSRQRLVFACSALPDDDDTTLAEHGVVHSSTITLVETKMRVFVQRLDRSIITISGVESSDTVESFRVRVQAQDDNGAKGVGIRPARQRLLCGGKQMEDGHTLAAYGARHETTMMLLVRWIVNYRTRAVELDVDVTDTVGRIKERVEEAEGVPVECQSLLLGAEELDDSRTLPHLIFETGTFVKIECERQERGAETNTSTKGKGEEPPVMGKEVVKRHAYGDVTGPARKKNKGIPAPQWRRFLVHLLGPELAAICPSSCDTDPVY >cds.KYUSt_chr3.39897 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251437393:251440674:-1 gene:KYUSg_chr3.39897 transcript:KYUSt_chr3.39897 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFWPMNWFKGSLYNGTHLWTNQYLIHQQLYSFMASLGAGKTGIGQLRLSPRVLVGHSFGGKVALSMVEQAAKPLPRPVRVWVLDATPGKVRSGLDGEDHPAELIEFLRRMPRQVNSKQEVVDALVKAKFSLDVARWVATNLRRSSPVGLRSSSSFSWIFDLNGISEMYKSYEDTNLWGIVDDVPRGVHINFLKAERSLHRWALEDLQRIHTAEELAADEAGGVEMHLLEDAGHWNPIIDLPRRDFHKRGAGLRGCWTASFLGLGKCVGFGYKGNTSGSDCYLRLALFNGNGCLGMVHLELLASLVT >cds.KYUSt_chr7.5257 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31355092:31355457:-1 gene:KYUSg_chr7.5257 transcript:KYUSt_chr7.5257 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTTASPAENAMPLDHACAWSREQWEKEEAERQARLLQDVARYRRPATPPSGAVVPVVDLEASDDDLYKPSPSPPRTSGRWGDAGQGSSQAASAPPQFDDDVSNDDGGDYTVFYRHFGM >cds.KYUSt_chr7.2517 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14735887:14738431:1 gene:KYUSg_chr7.2517 transcript:KYUSt_chr7.2517 gene_biotype:protein_coding transcript_biotype:protein_coding MQATMATQPAVLLLFLAVLLAGAATFARGFYLPGVAPADFRRKDPLAVKVSQLSSTKTQLPYSYYSLPFCRPDAIVDSAENLGELLRGDRIENTPYVFEMREPRMCQIVCKTSFTQEGAKDVKEKIDDDYRINMILDNLPLVVPIKRMDKDAPTVYQHGVHVGIKGQYSGSKEEKHFIHNHLTFLVKYHRDAETDFARIVAFEVKPFSVKHEYDGDWKGNATRLKTCDPHSRQLVLDSDSPQEVEANKDIIFSYDVNFEESDIKWSSRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDITKYNQLDVEEDAQEETGWKLVHGDVFRPPANAELLCVYVGTGVQFFGMLLVTLLFAILGLLSPSNRGGLMTAMLLAWVFMGLLAGYSSARLYKVFKGSEWKKVTIKTATMFPGIVFAIFFVLNALIWGERSSGAVPFTTMTALVLLWFGISVPLVFVGSYHGFKKQHPAMEDPVRTNKIPRPIPAQPWYMHPAVLVPIGGVLPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILVLTCAEITVVLCYFQLCGEDYRWWWRSYLTAGSSAVYLFLYAGFYFFTKLDITRAVSGLLYFGYMLIASYAFFVLTGTVGFCACFWFTRLIYSSVKID >cds.KYUSt_chr2.23235 pep primary_assembly:MPB_Lper_Kyuss_1697:2:141908982:141935069:1 gene:KYUSg_chr2.23235 transcript:KYUSt_chr2.23235 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASRRQTASDAGAATDDTRVLLALAVLYGAMSFLVYRVIHMHHVAPLGPDAPPGEFSEGRVLQHLRRLAADIPSRQEGSPGLEVAAQYIKVQLEGLAAHAVAEYRIEVEETLVSGSFSMMFLRHRVTLGYRNHKNIVMRISSNVSEEDEPSLLVNGHFDSPLGSPGAADCGSCVASMLELSRLIIESGWVPPRPVIFLFNGAEELFLLGSHGFIKTHKWNSTIGAFINIEASGSGGADLVCQSGPGSWPSRIYAQNAKYPMANSVAQDMFGIIPGDTDYRIFAEDIANIPGLDIIFVLGGYFYHTSYDTLENLIPGSIQARGENLFNLIKAFTNSSMLLKESERYNKAVNDKINNPRAIFFDYMTWFMIFYPRDVSLILHSLPVAVFLLTPLFLNIPKITMMSMFQTILDLARGMLLHAFGVILAIIIPAMAAGLRLLFTKNAMNWFAHPYSAFFMFVPASLVGLLLPRFIWVLSEQSHFWGAFGLYSLITLAYMLAGLSGGFLTFFISMSLLLGSFISSISRKLLSQQQSPRSLFGYVLPMIPCLLYCVYYGGFLIQFSIEKMGMMGSLPKPLGYFVPDIIVAAMVGLVVGWSFGPLIPITSRWLAKTSILQCLLQITVVAMAISSQLFPYSTGAPKRVVLQHTFVTDSNNIVESNYGFSVVDANSLEFVFNNAPEAAKWLKDNSELSLKEKYWSDRSSWVALYPVPFLFSGSLKFPAQTEEIRKHYQHFPRLVVQKTLSNNWTRRVHLELSLGSLSEIWTTSLNITGPLSNWSFADNTLPAPQTVSGGPPSYICRLTGQSNENWSFWLE >cds.KYUSt_chr4.12048 pep primary_assembly:MPB_Lper_Kyuss_1697:4:73274893:73276263:1 gene:KYUSg_chr4.12048 transcript:KYUSt_chr4.12048 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSISPPWSELPPEILGLVMARLAADDHARFRAVCRSWHSAMRHEHVPRDLFPRIVRGDGKPFFVTSTDASVDIDNVRCIGSTNDWIAIDYTQDDKTHTYFLCNTTSGAIVPLPELDDVIGRVTEEFRVFKVHMRSTPDDVIAVMTNNWNYPLMLVRPGKGLWSPQPRTTPFVRIIDVAFLGDQLYGATRADNNTPLDVDGLGLVSLDISFDDDGVPMVTNVKRIIRLDNESDDWGIIDNTDDDDDDDDDSNDDEEKTDDDDSDDDEEKTDDDADDEGESQDKDNDEEEDKNDNDLDDEINGDDLDESRNKTGDGMILEAIHITDDEEVQEEPRDLIATLWYFVESCGKMIMVRRELQIPYYAFRYTRSVKVFEVDINIGTWMPVSDGLCGQSLFISKPFCKSMPAGGGIAKDAIYFVDSGEVFNMRSRTITPPQGLIDFRLSTWTFPMELMV >cds.KYUSt_chr4.37537 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231283239:231286359:1 gene:KYUSg_chr4.37537 transcript:KYUSt_chr4.37537 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSKTRVCRNILGKLMAHPGGWLFHQPVDPVLFGIPDYFDVIRNPMDLGTVKKKLTNKSYFSTDDFAADVRLTFSNAMKYNPPGNQVHSVAEQLNIMFDSEWKLYERKWRDRNLVLGQLQMKVIKARAPVNSKPVISRGLVSCSNSIAKKTLTDAISSKVKIKFSVRGSGQTLSKGVIDAPFQATGSRNSSLNISIPCTKESAKMSKVRPSKDSSQSNGNGSRSCRDTSTSPLASYGLGDGSYLHDEPLSPTKALRAAMLKSRFAGTIVKAQQKALLDHGQQIDPAKLQMEKERLEKRQQEEKERIEAQVKAAEAAAQFKFDEEMRMKRERERKAARLALHMMKKTVDIDNSDLLKDLENMSKKWELNPPGKLIVDFVDGMELPPGLGSPLERLGLFMKKDLEEEVEHEMEDSISPSMDVDVEEGEIGCCG >cds.KYUSt_chr1.2006 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11556174:11560600:-1 gene:KYUSg_chr1.2006 transcript:KYUSt_chr1.2006 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTVIEKIESTTSRQVTFSKRKSGLFKKAKELGILCDAQVGVVLFSNTGRLYEYSNSNSGTKSIIERYQQVKEGQQFMSASDEAKDQLMIDEIEKSNKKGILVHQENMELHKKLNIIRHDYVGLQNKVHEYDRLIEQTEVNGGITSSSTNYNFIAPEDVNSFDLKLSLPQHAESDDDQPESPTLG >cds.KYUSt_chr7.13689 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84473488:84474773:1 gene:KYUSg_chr7.13689 transcript:KYUSt_chr7.13689 gene_biotype:protein_coding transcript_biotype:protein_coding MRARQLALLLAEHSIDVKNLAARDLEGVCAALAVPLHAHAPFVRHTLVLLILWIAWKSRNRKVFDDVWMRARQLGLLLAEHCSKIMQYLSAVLMMESDSWPVQVVDVASIEVLAQSAVLSF >cds.KYUSt_contig_4618.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000909.1:26296:27093:1 gene:KYUSg_contig_4618.4 transcript:KYUSt_contig_4618.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQPQLFNDPFASSISSLEADIFTGAGGHQQWPELDLDLDGIPVALTATNAGTSSGGYGSAGGDGPGSHRKISHNAYERDRRKQLNGLYSSLRSLLPDTDHTKKLSIPITVTKALKYIPELQKQVDGLEKKKEQLTRANCKPGVLSIRENAAPIVSATCIDETDVMVQVSLLSNTAGALPMSKCIKVLENEGLRVVSSSTSAFQNKTFYSLHLQVHICHFHLTLPPMFTCS >cds.KYUSt_chr5.19159 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123981955:123983259:-1 gene:KYUSg_chr5.19159 transcript:KYUSt_chr5.19159 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKNRAKTKKKAKTKTKTPPFSTSLPSAPPVEPEAPATNDVLAEDALRDILGRLSVADLLRAALACHRWRRAASRCLPSSAPLLGYFFHPSDPGLPPPQPFCKDSDTHAAFAPFGPSSPRLSLDFAPNSDRYVICDSHQGLLLLESVMKQPDGTLPRFLVLDPASRRRVLLPAPPRDTLPDDRRWRGSRHYAGCALLSRTHPGPGGLCFEAVCFTIDGGHPRAWVASFDDGKCVCCVHAAGNMYWHICNSAHVLALHPAALRFSYLLAPDIYDCSTLRVGETPEDGRLCILAVANEGTQLQLWVRGESGQRGHNGWVLGREIMDMDVVYDAVPGLPEHDHKHRSICVWPSDMDAARTGKAFIRTWGYGRYSFDLNTRNMDRLATKRGKNYGHPMFAYFPAWPPAFLATPDQQ >cds.KYUSt_chr5.12496 pep primary_assembly:MPB_Lper_Kyuss_1697:5:81453480:81455692:-1 gene:KYUSg_chr5.12496 transcript:KYUSt_chr5.12496 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVAIATAAAAMAVPDEGVTTATYSGAVAKATAVAVAAETSPMAMAKGVSPAAEAMKATATGEERAAVPVAKKASERGNQGVQFASWNSKAAIGAPP >cds.KYUSt_chr3.41615 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262563586:262564876:1 gene:KYUSg_chr3.41615 transcript:KYUSt_chr3.41615 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVSSSAIGGSDVPPPPEQQQMLDAVGLTMGVDTVLAMRRSINRDRISEHIESKKYLANLQGMLPDLATQLEEILFKVHGCKREYYNMVERLFEPELQLAIQLLSVQNPQNQELSRNIQTFPAKGTDFWAPGKHPKVMEAKEVPTELKFSCPVCMNELVDASSTICGHIFCENCIKASIQAQRKCPTCRKKLTLRGFHRLYLPATN >cds.KYUSt_chr1.12018 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74248283:74256609:-1 gene:KYUSg_chr1.12018 transcript:KYUSt_chr1.12018 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDFLPEGGKLPELKLDARQAQGFISFFKKLPKDPRAIRLFDRRDYYTAHGENATFIAKAYYHTMTALRQLGSNSDGISSVSVSRAMFETIARNLLLERTDHTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQDSPVTVALFPVVRESQLYVGLSFVDMTNRKLGLAEFPEDSRFTNVESALVALGCKECLLPADFDKSIDLQPLQDAISNCSVLLTERKKAEFKSRDLVQDLGRIIRGSVEPVRDLLSQFDFALGALGALVSYAELLADDTNYGNYTIEKYNLDRYMRLDSAAVRALNIAEGKTDVNKNFSLFGLMNRTCTAGMGKRLLNSWLKQPLLDVNEINNRLDMVQAFAEDPELRQGLRQQLKRISDIDRLTHALRRKSANLQPVVKLYQSCHRISYIKNVLQQYNGQFSTLVNTRFLSSLEEWLAENRYGKFATLVETAIDLEQVDNGEYRISPLYSSDLAVLKNELSDVENHISNLHKHTATDLDLSVDKLKIEKGPFGHVFRISKKDEQKVRKKLTSNYIIIETRKDGVKFTSTKLKKLGDQYQTLFSEYASCQKTIVDDVVQVSCTFSEVFENFSAIISELDVLQSFADLATSCPVPYVRPDITTSEEGDIILQGSRHPCLEAQDGVNFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGSFVPCDQASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASEKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTKAPALFATHFHELTALANRNGDQNQHLPDLGIANYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVIALAKSKAEELEDFSTAPNLSDERSDEVGSKRKRVFSPDDVTRGAARARLLLEDFAALPLDEMDGSKAMEIVAKLKSEFEKDAASNPWLQQFL >cds.KYUSt_chr2.5142 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31895101:31903285:1 gene:KYUSg_chr2.5142 transcript:KYUSt_chr2.5142 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDILDDPIEFDEVAQSQHDTFARRGRSTSIASELNFVREEIQKTAHECEIVWEQSGRDEKPVGPLRNFIKIPDDDEILSQSIPSKHTSKQAPRSAYQFKPRGNAPNRYTLEDYVNRGKNVVIEEDEAPPRRSSLRRMRYDEPLSSEEEEQEEQQQQEPRQRAKRMAVRKQPGQWKHQYKALSLLAFQSFGVVYGDLSTSPLYVFKSAMSGNLHNYEDETTIFGLLSLIFWTLTLVPLLKYVIIVLAADDNGEGGTFALYSLLCRHAKLSLLPNQQAADEELSTYYQPGTDRAAVSSPFKRFLEKHKKLRTCLLLFVLFGACMVIGDGVLTPTISVLSALSGLQDPGTGGLGNGWVVFIACVVLVGLFALQHRGTHRVAFMFAPIVVLWLLSIGIIGLYNIIHWNPRICLALSPHYIVKFFKTTGKDGWIALGGVLLAVTGTEAMFADLGHFTAASIRLAFVGVIYPCLVLQYMGQAAYLSKNMSDVHESFYLSIPRTVFWPMFVLASLAAIVGSQAIISATFSIVKQCLSLGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCLAVTIGFRDVTVIGNAYGLACITVMFITTWLMALIIIFVWQKNILLALLFLLFFGSIEGAYLSSSFIKMASESEDELSQYVHEDEYETMRLRLAI >cds.KYUSt_chr7.17464 pep primary_assembly:MPB_Lper_Kyuss_1697:7:108171433:108174418:1 gene:KYUSg_chr7.17464 transcript:KYUSt_chr7.17464 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPCSRPAVPLAAFLLLLASSYLAVTRLPAAAPLASLIAPSAGRGSAVDSCAGFYRGAGRRAVTASVEEFGGVGDGVTSNTAAFRRAVAALDDRAGGGGSRLEVPPGRWLTGSFNLTSRFTLFLHHGAIILGSQDPEDWPLIAPLPSYGRGRERLGPRHISLIHGQDLDDVVITGSNGTIDGQGRMWWEQWWNKTLNHTRGHLIELVNSTNVMISNVTLRNSPFWTVHPVYCRNVVIKDLTILAPLNAPNTDGIDPDSSSEVCVEDCYIESGDDLVAVKSGWDQYGISVGKPSSNIIIQRVSGTTPTCSGVGFGSEMSGGISNVLVRDLHIWNSASAVRFKTDVGRGGYITNITIANVTMEKVKVPIRFSRGSNDHSDDRYDRSALPTISDIHIVDIVGVDVQRAPMLEAVHGAVYDGICFRNVSLRAIKHQVVWHCESVYGEAHEVFPAPCEELRNNGSSSSWCGLR >cds.KYUSt_chr4.7871 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46908782:46910719:1 gene:KYUSg_chr4.7871 transcript:KYUSt_chr4.7871 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSAPRLLLAGLSATRGISGRARPPPSAHAAATATSTPEAFHTHLASLAAPSRASHPATLPSLLAALSRARAARLPLLPATRALAASALLRHGRLPDALAHFGLLPGSAPLPAPLCNSLLAALASTGSLAAARKVFDRMLVTATELDTVGFGVFVKNVGRRDGLDEALRLVDAVHHQGDSINRSPVAAMVVDGLCREGRIEDAWRALEDMRLRGWKPDFVAYRIVSEGFRVAERADEEGRILKQKRKLGVAPRKVDYRELLLALVSNRQISEAKEMADAIVSGDFPIDDDVLNVLVCSVSEIDADAAIMFCEFMIGQGRLPSTEVIVQLCESLCKNKKGDEMWEMFKVLLDKGYWTSERDYHLVVSFLGKAGKVREAYDVIKEIKRKRLDPGISSYNSLMATLCRNDLLRPAKKLWDEMFTSGCSPNLQTYNILITKFAEIGETGEVQQLFDHMLQKGVAPDGATYTSVITMLCQENKYERAEEIFNKSVVQDPKLASSVLTVLILALCKQGNLKAALSVMFSVPSNIESSNSHVILLKFLTDAEEIEMALEHIKWIKRCCSSTFQGIMNELMASLSTSASLQPVTKLVRYLHSQGLVDEVGPWMKMIENVYA >cds.KYUSt_chr7.35489 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221725550:221725948:-1 gene:KYUSg_chr7.35489 transcript:KYUSt_chr7.35489 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSPPLGLATVHHRRWRPGACPIRFDRSRSYLASARVSEMNKIKAPAGAGAMVKGGHQAIVFNRFEGVKDKVTSPSTNPSLPDLLVAPLRPIRWISRWSHLSYLAGLPRENLAARPGGELGVIGGGSDGA >cds.KYUSt_scaffold_869.1330 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:8456425:8458791:-1 gene:KYUSg_scaffold_869.1330 transcript:KYUSt_scaffold_869.1330 gene_biotype:protein_coding transcript_biotype:protein_coding MYINTTTGCIELPNGFSFKLTRESVQVIIGLPIGSRPISFTSSPEIERQINLEIHGKERSPSIFELAELIGNGITGSAFIKSFALLANCLLLCPTNQNFPSSLHYTAIAHEDEIREYDWCSYILQWILLSVNKFQLNSYSGSCVGCGLIPVITYFEFLMVLEIDFQNTIPRLPLWTDNAIKLYSILDCKDDSIFGKLPKCKLSYVMPKDVVNFIENTYNEDEQRKNLREREIQEESGFLTMANVDEYLEKLLVTNLDISNYDDGYQPMSILDVVSHNFEHDGSEGNSVKRFNARIHPVSLNEMLAGCSLPQHTANNGSEFPSWSFGEEFEIEQSPATPVASMMVRDDSNMYTGKTTAPNQYLSFNKSTYEPSVVFPQPSATTVEKNTHVNAFPPLKNLEGQYILPN >cds.KYUSt_chr6.25220 pep primary_assembly:MPB_Lper_Kyuss_1697:6:159790683:159794050:-1 gene:KYUSg_chr6.25220 transcript:KYUSt_chr6.25220 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPMSLAFPSIWTPPPTGWTPPAPICTPPASSGEEKEGRRQHRFLRSPGSSNATSPPLLARRHSPFHPWPPVDDAFLSRGLASSSSAAAAAGREKSSRRTLGYLLGVAAASVGASYAAVPPVLPGYECRGEDLLAYSRRNHTTKTALMQACQYGHWEVVQTLMLFNANIHMSDYLNGGTAIHFAALQDYVSSIPNFFNQTNHRSSEEVSDADFDDDGLVKMVNYKADGGLIPLHMAALNCHVECVQLLLDLGASVSEMNEKNLNIYLKQFQRLILHASLLNPGELFYMPPCRIRPRVLSNLVTYNIPRSPPPDDNSLPGSLHMADTPIS >cds.KYUSt_chr2.39096 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242419288:242420169:1 gene:KYUSg_chr2.39096 transcript:KYUSt_chr2.39096 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFWLLLLLLAFLLPLSSATPCHPDDLHALQGFAGSLSGGGVLLRAAWFGASCCSWGGVGCDGASGRVITLWLPGHGLEGAIPGACLAGLAHLQALNLANNRLMGTIPSWFGELDHLRYLDLSGNSLVGGVPKSLHIRFKGLSTARRSLGMAFTNMPLHVERSRRALLQQPNTITGTNNSVRSGSNNVVSGNDNTVISGNSNTVSGANNTVVTGSNNVITGSNHVVSGNNHVVTDNNNNVSGNSNTVSGSFQTVTGSHNTVSGSRNTVSGSNDTVSGSNHVVPGSNQVVTGG >cds.KYUSt_chr2.40589 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252128412:252129445:1 gene:KYUSg_chr2.40589 transcript:KYUSt_chr2.40589 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLPTHVLAVQATRFEGGVAVRVTVHHAVADGRSLWKFVEAWDTACRGETPAATPTFDRSLVKLPGGEERARSIFRELAPNLPSATSPSFLQEDRARFSRRTFTLDKQDIQRLKQNIVDLSKAHGAPLTRPPSTYVAVTALAWTCFARCKPFAMDDDLLLFFLADVRDRLSPPVEAAYIGVCLTGCLAIIPTRELRGAFALVAAASAIQNEVRRMTEDPTNRRSYLTPMIKASWDRLMNVSGSSGFRAYEIADFGWGKPRRTEPIRMNHDGQVALMRGRDDDGVQVDLGVTAPAGGNGSLQVFVPRPSRVKLAEISS >cds.KYUSt_contig_2785.81 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000500.1:459420:468292:1 gene:KYUSg_contig_2785.81 transcript:KYUSt_contig_2785.81 gene_biotype:protein_coding transcript_biotype:protein_coding MRASERSVDGAGSSVVANAVPGPEGHGDQHTRQLQNTNRFEQGFSSGQGRHTDEWREESFNGQGFGRYDEGYFEGNNAFGNGYGSQNRGNYRQRPYRQFYSGNRARNYNYRGNSGRFNANNNRYQRVFTPHENNMVNEVAQEKDKGSNQVAISSIGASDANLGVQNIEPSSVDSMSARAQKKIDKMLCLRCGEAGHLAETCTVALCLYCEKTSHESKNCPLLSMPKPVAVTYGVSRNELMFHEVPASSDVTFRHDSGKVGKISVTDGSLTPQEVVAELEWIIPGNHQWNLTPMDDGSFKAIFPSKADLARMTKIINVPVPGTSMYLHFEEWSAAELDKFYLTPVWVRVQGCCYKERCDYLSLFGVGSLIGKTKEVDMAFTRSHTTVRMLVEVTRAEHIPKTTVDHTYDGQGYGLIFRLEEVKGKGTKEDAEMHDVDPDDGSKEEDGKNKEAPKKDDPPPKEGPATLSSSAAVMPTKQSTGMSNQTQVLSLPSMKVGQIDCQLSPKIEVRFWSQNKTNTLVPRKLWGDSDDDEEESLPSPLPRLMNDEDVALVDDLVGSLPLKEVPPENLASFSVVPGKGEAALQFGVAADNVANPFAVVGMSEAVQNCVAAEDSVVFSAEAGLSAVSTAGDVAPKNCSPNVCKSTSKNKVSSSSVLPNLSQGLHGKVGTGVFLGGRCSMEDVVKFGGISPPSKDLRSSERIRVQHNADDSQMARAQQLAQAKNVAHFSETIPLDETERNSLREAKLKIDKLRRDEEAKWAQRAKVIPLVTHWIHMWSFLQPSMDRPDMDSGCNRLATVAQDFYSRYRSGGTPLHQHRTCVTEHCGPSPEGPGPTSSPGSLPALRVARRYSSVGFGGQHILARPVGRSSTPTASTSASEMAEDPVTYEDLTEEYKKKYDEIKALFEADLIGSFQRTRTHGIRWKGFSAAGALDGVDLSTSSEERTRSLRQEIIW >cds.KYUSt_chr4.43582 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270281752:270284986:-1 gene:KYUSg_chr4.43582 transcript:KYUSt_chr4.43582 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPPCTAPGNNGEQSFVAGANPLALLDHAMDFDEHTLYPMHNVGMQDGVQFYNSTTGAELSRNMSMEKGLKGGKRKGSGEGSSSMHSQEETGATSQREVNMDRASEKAGDADSNREDYVHIRAKRGQATNNHSLAERFRREKINERMKHLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSAVSPELNCDLDLQDILCPQDARSAFPGYGPRLSNVHPSMYRAAPPGLSRPELYGNGMIPNPANVHMARTAQLSAFPQRGVVWDEELRNIGPVAFPSDVGTSSLENSGNDKRKVGKTSFPWQAEHEQPPLMAMATITCHLPPLLPGPASRHHLNLQPSPPPFTRHLRPSPPIRPLRAARRRHPDAVVVVPDARPWVGDLSGAASYRDGSEEDGDEAGSEDEDEDDRSLDLLARFLHSVFRKASRRARRAARSVLPPSVPPELVRFAACLSASLLLQYVCPELEVKFSVNGVLVLTFLWILKGLLEVRNPS >cds.KYUSt_chr6.16819 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105938987:105939712:1 gene:KYUSg_chr6.16819 transcript:KYUSt_chr6.16819 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKRGPWTVEEDMILVSHIEQHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTSEEEDAIIQLHTMLGNRWSTIAARLPGRTDNEIKNVWHTHLKKRLDSSVKPQGKTGAPKRKPKKPVAVTASESQTVEPVSSPEQSLSTSSATSDNSMATSLEITGSFSSEEFEIDDSFWSETLAMTVDSSMEAGDPFGADSASPSSCNDEMDFWVTLFMQAGDLQSLSQI >cds.KYUSt_chr4.20956 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131948932:131953102:-1 gene:KYUSg_chr4.20956 transcript:KYUSt_chr4.20956 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSALQAILYDRGSLRLLDQRKLPLEEVYIDVKDSTDGWNAISDMVVRGAPAIAIAAALSLAVEVFDQDFTSTPAEAASFISKKLEYLVSSRPTAVNLSDAATKLQSLVSRTAETAKDAKLIFQVFIEAAETMLVDDVADNKAIGSHGAKFLQLQLGSSKNISVLTHCNTGSLATAGYGTALGVIRALHSGGVLEKAFCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMNNGQVQAVIVGADRIAANGDTANKIGTYNLSISAKHHGVQFYVAAPVTSIDLSLPSGKEIVIEERSPKELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKSDPDGTFDIEDFIKAAKFPKKKSSERVVSMFPLQIWVGMTLMQANRWGGKRKEEIEQRSPLSVRSSRHVEIRTITLTRQICHIREHKIEL >cds.KYUSt_chr7.28394 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177231530:177232250:1 gene:KYUSg_chr7.28394 transcript:KYUSt_chr7.28394 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPGSNTLLADDSIMEYVLETTVYPREHERVRELRLITQQHPKSFMGSSPDQMQFFSVLLKMIGARNTIEVGVFTGYSLLSTALALPADGKVFAIDVNREYYELGRPVIEKAGVAHKVDFRVGDGLAVLDGVLAEDGGAKAGTFDFH >cds.KYUSt_chr1.30696 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185850268:185853669:-1 gene:KYUSg_chr1.30696 transcript:KYUSt_chr1.30696 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGCRGRFWVLSDEDGEFSSAADLELDAGEELSAEEERDPPRRPSPAVGLASFISRAEELGGSLRLGRRAAFAPGGRGSLFRQSSAPRFRRLGDAAGPGRRRSARGEERGVLQILSPRSAPVGERAGGEPRPEPPASPVSAPPLRVEVEATPGAPSWAEAGPSGGPAHGLLGLDCLALCSIPEGLGGVCAGGAEEDDLHVSVGFVNSGEAGAGPVGWVRPRPLARRFRWLWLPKGCTQPDLGFPVRQSEVRKHLNDPTAPPHRLLRKIPPPPPLSRSFAEAVMERGFDDGRKRRYDGEGSSWRADGGGRDVGRGAGRGDGFRQEGGGGRFSAGGSQEGDYRRHGEEYRYLERERGDWGPPPPWWEEQQRREEQQRKEEERWQKQQEQLRAKGQGDRAANSGAAQPLAKGKSKAGTAKAGAPIGGECFKCGRAGHFQSECTFEPLCVICSGEGHSSASCPSRGKILRLQSMGHAITGGGFYNIEVEPLRGGQTPGEVFAAIITFKGKPLSEEQLSDELKHLVDELWDWQVRKLSEVEFSVAFPSRETLRLSTGSGKLYLPLSKTETAIREAFLAPRPSVVLPSTWVRLTGVPEDLMTKERLMAAFVMIGRPFDVDELSLLKRDTEPIRMRFHCRYPERIKGSVQVFVNGEGFTVGVQAEAPPHGGAGGSGGGAPPPPPREEDEEDSDEFSTDSEWNKHGRRRRNKDNSNDKDKGQEKDKGPASTTAGKGSKGTTTGGQGAMSAPPPGKGRVDGPSSFDQYGSNLRKDTLPFSFPALEGHGGGKVLLGAEGDEAGLPGLEGSSVSTVSDPLPSWSVDSPSSQGPPAKLARLELVSEPQPKGKELAGEAQGMEVEGKLVMSDVETGGGQRLQGPVLQDLQKEAQVGTPMARGPRSMAIPYVRKATPATTSVVRKSARNTGGASAVSILERAQLLTADKNLENAKENAKVAEKGTDFSVLDLFPDSHLSSVVKESCVIFSPTMGSPGEALSIIRAKEKVQAALAATARRLKLEAEARKAAGASASPMPREGLGNPDECATVPGGELTRTEEKTGPVPSGEEGDLAERDVQEDAAGIADNSRRKAPKKRRKKSSLTVRKGASKRKGPQ >cds.KYUSt_chr1.16678 pep primary_assembly:MPB_Lper_Kyuss_1697:1:96873061:96873984:-1 gene:KYUSg_chr1.16678 transcript:KYUSt_chr1.16678 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDGCTIRPCLEWIKSPDAQANATVFLAKFYGRAGLLNLLAAGSDTLRPALFRSLLYEACGRMVNPIYGSVGLLWSGQWEACQAAVEAVLKGNRIVQVSSEAVAAAQATPPLGLRAYDIRHVAKDTADPLRVSRAGRTRFKRASSSTAKSKSSGAKRAPSFTGRETERVAVGEHDDCLDHAPTHEESAGSHDHGGHVDDDGMAAVDQALEESEDTEIEAGSHSHSHSHVSQAERSPLPPVRQVAQNDSQDDDIELELTLGFEPVTRVARSPPARSDFSSFSAESCHIGLRLELSA >cds.KYUSt_chr6.15600 pep primary_assembly:MPB_Lper_Kyuss_1697:6:97987460:97988792:1 gene:KYUSg_chr6.15600 transcript:KYUSt_chr6.15600 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSSLPNPSGPGHFKASAIAVSIPFSRCHARSSERPPPILACTSIASQLKETRLNKKEDKCKTCDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSMCSYSSMDGVLYCKTHFEQLFKESGSFSKNFTTGGKSTDKPDQSKAPNKMSSIFSGTQDKCAACQKTVYPLEKLSLEGECYHKNCFRCSHGGCTLTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIKTAQTKKENEEAAAAAETADGEADAEKEVPPQDAT >cds.KYUSt_chr7.36935 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230672696:230674975:-1 gene:KYUSg_chr7.36935 transcript:KYUSt_chr7.36935 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQDGGFGIGGGGGSGSGAVRELVLMQQERRRRREEEEEEVRRQMFGHAGRAAFQAAAAAAAMGQQHQQQQQHQQAVEYAELAAGGGFYESEAGGSSEPEPHGSEDRPRGVSGGKRTRAAEVHNLSEKRRRSKINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGGLEPVQASQMFAALGVGGRNVATPNSGAVVSSVNQSSGAHHSFDPMNPPPQTQPQPVALPSCTNTTIPEPSFHLGASQSHLRSFQLPESSEMMFRGEIMPKHHLTPAQERANPPVGYEIEMWSRNTID >cds.KYUSt_chr2.41451 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257808246:257808929:-1 gene:KYUSg_chr2.41451 transcript:KYUSt_chr2.41451 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRRPLKRRAPATIMVIIMLRRRALVTIMLRLRALIITGTTVRRRRLLRLTAVTTIMLRRQALTIMATTIMLRRRALVTVMLRRRALVTIMLRRRSLTIMLRRRALVTIMLRRRALVTIMLRLRALTITATTVRRRRLLPLTVVTTIMLRLRALTITATTVRRQRLLPLTVVTTDRCSTSSPTSRRTTMKPGTTMAITATTVRRRRLLRLTVITTIIATTPQRHR >cds.KYUSt_chr1.10125 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62085300:62092963:-1 gene:KYUSg_chr1.10125 transcript:KYUSt_chr1.10125 gene_biotype:protein_coding transcript_biotype:protein_coding MESESAARGGRGQPLAAAVAEEEPVGSIRTMERVAAAKKIIENGYRERSKNLRERNERRLLLEQQLASSQVPREEQIKLIKELERKETEYMRLKRHRICVDDFELLTIIGRGAFGEVQLCRDKSSGNIYAMKKLKKSEMVVKGQVEHVRAERNLLAEVGSHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTENVARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLSEDEPMTDENLRESMDIDNSLYDTTNGRRWRSQNEQLQHWQKNRRKLAFSTVGTPDYIAPEVLLKKGYGIECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRSYLKFPENSRLSPEAKDLICRFLCDVDHRIGSEGADQIKAHPWFRGVEWDKLYELEAAFKPQVNDELDTQNFQKFDEVDPAPRRTGSGSSRKMIPNSKDLSFVGYTYKNFEAVKGSHLSAGLFPSHH >cds.KYUSt_chr4.10382 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62983547:62987306:1 gene:KYUSg_chr4.10382 transcript:KYUSt_chr4.10382 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDQAVAKCPEGLRSPPTAGGAAGGGAGALMKGFADANDAAVTVSLGSAGALAYSSANKNPLVPRMFGSVNDIFCLFQGHVENIGNLKQHYGLSKTANEVTILIEAYRTLRDRGPLPASQVVRDLSGKFAFILYDTLSKSTFVAADADGSIPFFWGVDSENHLVFSDDTELLKAGCGNSFAPFPKGCFYTTSGGLQSFEHPLNELKAVPRVDSQGQMCGSTFKVDSEAKKDSGIPRVGSAADWSNHY >cds.KYUSt_chr6.10832 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67167371:67167769:1 gene:KYUSg_chr6.10832 transcript:KYUSt_chr6.10832 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRKVTIGRSHEEKGSSGEEVVPVRKRRGRPQKHKIEVVDVENFVEDVDGGENEDAKLKKNIAGAAGVKRGRPLKESPNTVLVEENSNSSVRSSSDESARTNGFRQIGNRRKSTPRRAAEAGVECN >cds.KYUSt_chr3.14927 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90863794:90868156:-1 gene:KYUSg_chr3.14927 transcript:KYUSt_chr3.14927 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTQVFPPVQQQQQKLAAAPQPLPPVQPKPTRASLSYEEISKLFSLPIAEAASILGVCTSVLKRICRTHGIVRWPYRKIVSGKAGDSAEREKAKELLELSKIAKQKDSSGSSTTSLVAFQGVPKSQQGSASAKAGQVPGRQNAPNGSQSLFSVSQPKDIPTYMDDFKYGFPSSGLSSETLKWWGTDSHTETAAAKDDVREAPESTNEASKGMTDDELDWGADEPEADADADGVATTDPSAQLCSLRRKAAGDGRRLLNGDTGRVEQLCRLNKMQKIILAQVFGASLPEQWRSKLA >cds.KYUSt_chr3.33105 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207833556:207840743:1 gene:KYUSg_chr3.33105 transcript:KYUSt_chr3.33105 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDELQCVGRLEITAPPPARYLRVGSLPVPTDDSACLPALLPSSASPTGTGAPRYQMLPLETDLNTLPVIPNLPEKVFPTDAKSTEGLRFGSGLFNQNLSRKCEALAVSGLSEYGDEIDVIAPTDILKQIFKIPYSKAQVSIAVSRIGDTLILNTGPDVDEGEKIFRRQNNQPKGSDPSILKNFAMHSVRAEACDCPPSHQPSQDNQTASMLHGPFGHGEGSIDSPSSSNLSTSQYLDQNISKNRKPSHGTCESLYFGARKNNQKVPGSDPIRKTTRVGENPRSDVQESEKSRRVGNNGFRKVCFWQFHNFHILLGSDLLIFSNEEYMAVSLHLWDVSRQVTPLNWLEAWLDNVMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQNNCKQDPGAYWLYKGADEDVVQLYDLSMLPEKHTAGDHRSSPVSSLMNKGRRESLFSLGTLLYRVAHRMSLSQVPSNRAKCAKFFRKCLDFLSKQDHLVVRAYAHEQFARLILKCYEELELTTESFLLESEVTLTDLDDESPELSLPNLPSKQDGVLTETRKDEPAAVDSMLEYSHSESSPRHVDIGSAYSTAKGDVSEDSLLMCQAGTSEMSKTIADAISSKLAAIHHVTQAIKSLRWNRQLQNTQEDCVDSADTIWERPVDLSLCRCGDVDCIEVCDIREWLPKLKMDHKLWKLALLLGESYLALGEAYKNDGQLHRTLKVVELACMVYGSMPKHLDGDEFISSISNSSLCPKDCDLNPSLALDEAEYFETAKCVNYEISAQQLPPNYLFWANAWMLVGDVYAEYHRLGSHQAPKLREQKLDGEVRMSNEVAMEVKRLKRKLGKDKQNCGTCSLINCSCQSDRANTGSSASSSSPEASTLYGRKKNKKASGRNIRSQFKETKESPNTEEAIESSEKMQNCGNDACVEKSSVANVEFDHDHAMENQSSNADSTPDKSSEDIPSVRGGGIFKYLAGPKPGDAEYNLSSAIHCYGAAKGALFTFPVRSAEFSTILKKSGWAFNELGRIRLESGNSSSAEIAFADAIRAFQDVSDHTNVILINCNLAHGRRALAEKLASRIEEFQMYDLPEGSYMQSVKSAKSEYFQAINYYTAAKKQLRYAIAESEVDKALHNEVYTQYAHTHLRLGMLLARESFLTGSYEGGLVDESSNRTVLEISASDAFREALSTYESLGELRKQEAAFGHFQLGCYQRDLCLKFLDLVDKEVKQKNEDKYRQKAKWYGSLAEKNWQKALEFYGPKTHPTMFLNILMAQSSLVTNISNSFHSSATLEAALMHLLEGRHVVEANEEYSNDVNLDIKPKFWTQLQSLLKSMLAASLPGICTGRPAASAGQANSSSRGDAAKLKEMYRLSLKSTSLGQLHALHKLWVA >cds.KYUSt_chr1.22953 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136052367:136055722:-1 gene:KYUSg_chr1.22953 transcript:KYUSt_chr1.22953 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQGRQRPESGKNLIKIPSYQEVFGTTSSSSSAPTSYNPPLPATAGAAASSSSFSEAFSFLKSSEFYSPPAPPPAQPSTAPRPPVASPSAAATQSKNAILVSNRQKGNPLLKHIRNARWTFADIVPDYVIGQSSCALYISLRYHLLHPDYLYYRIRELQKNFKLRVILCHIDIEDVVKPLHEVTRTSLLHDCTLLCGWSLEECGRYLETIKVFENKPADSIREHTDNDYLSRFTHALTSIRRVNKTDVITLGSSFGSLSRIMDASMEELARCPGIGERKVKRLYDTFHEPFKRVTPRPNLVVPDTPDREKASGGTPDAAEKPGASKNKKGSDVKSALTAAFAKYSEKIRNQGRDAAHEAGEAADQHGLAVFAEDQNTPT >cds.KYUSt_chr1.32673 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198403982:198404209:1 gene:KYUSg_chr1.32673 transcript:KYUSt_chr1.32673 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGCQPQAPAAAGGNRAPTAGSTPPPPLRRGQIKEKIIKDVVAAVASVAAGLVARADKNGSGGLPVADDADGK >cds.KYUSt_chr4.2358 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13138659:13140485:1 gene:KYUSg_chr4.2358 transcript:KYUSt_chr4.2358 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPFKTGQARDRISALPDELLLGILERLRLRDAVRAGAVSTRWRHLPHQLSRLTLSHRNFHGTTPHEIMDAFTGATQRLLSVPPLADSKCDCRSTRAVKVLRLNFYVSAPHLRSIGSAVEDTVSRGRTEHLVFDVVPPSSDLRHASGATKFGQQFMSFSRACPIAFRWLTGLFLKSLKFRAFDLQRLVRACEKLKVLYLTSCRFVKDSVLKIDAPNSVIQKLQFFHFRCMQIDLVSVPKLTQLECFYTAHSKKTPLRLGYVPELREVKLCSHVKGWKAPFALSDCFSINACNLSELHLYFNCRMIWIQPEHPKQLSGIFRNLTRLGLWFIFPECDLKWTLFILDAAPALKYFALNRIRHSCFGQNPESNALKTNVVWEPPKDMKHLNLKLLVVMGFEEEDQVTNYIRIIMELAVGLRKIKLRGHPCKNCSAIDPETPKKFEEDEARRHRVRERLTHGSSSSVQIIVS >cds.KYUSt_chr2.18504 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116503321:116503527:-1 gene:KYUSg_chr2.18504 transcript:KYUSt_chr2.18504 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRNDILLQTMPLNDSATPVQSNRRLLSNRTAAAREDLAARRDTSGRGHGGKGRPVEALGMAARPG >cds.KYUSt_chr1.41667 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255457095:255461765:-1 gene:KYUSg_chr1.41667 transcript:KYUSt_chr1.41667 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLQDLPRPPPRRTSTVRGRRPGIHHPRQQGNHAQLTHICRTTRKTNSKSGAFRKVTAQCVGAARSEEQRFSPGERIDPRQQETKLPDEALNRETVPRGTANIGTKGVTMPGLCQFEVTTCSSEQTINEFEALTRDAGRVQQDTLKKILEANADAEYLARFGLDGRTDAESYKSRVPLCVHSDVEPFIQRVAHGDSSSVITRKPITALSLSSGTTQGKPKFLPFNEELIENTLQVFRTSYAFRNREYTTGKGKALQFFYCSKQVSTDGGVIATTATTNLYRSPRYREGMKGIRSQGCSPDEVIFGPDFHQSLYCHLLCGLIYSDEVRFVSSTFAHSLVQAFQTLEEVWEDLCADIREGVLSEKVTVPSIRQAVSRILKPNPQLADWIYNKCVRLSSWYGVIPALWPKAKYVYGIMTGSMEPYLKKLRHYAGHLPLISADYGASEGYVGCNIDPTVPPEQVTYAVLPNTGYYEFIPLEKSTGEMENSASIHYIETGPVGLTEVEVGKIYEVVLTTFAGLYRYRLGDIVKIARFHNSTPQLQFICRRSLVLSINVDKNTEKDLQFAVEEASKLLEGEKLGIVDFTSCVDTSSDPGRYVIYWELSSGTRDEVLSSCANALDLAFLDEGYNGSRKNKTIAPLELRILNKGTFKEILVHFLGLGGSVSQFKTPRFVNPSNSKVLQILNKNVTQSYFSTAYGL >cds.KYUSt_chr4.21384 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134686894:134688826:1 gene:KYUSg_chr4.21384 transcript:KYUSt_chr4.21384 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGLVTARKSILMHLHHASVGTWIQRRRIPQLQPNFSIAAAMDMKKNSGEEEEEEEAAVAIPDGTARPGPPKQSGGGGDLLSDDDDDRISKLPDDILGTIISLLPTDDGARTQAVSRRWRPLWRSAPLNLDAYVVLSSVADKFNHLSIVSKIISDHRGPGRRFQFRGISLHETKEKFSEHAVAQVERWFRSPALANLQELDIGFQLSITNNDSERWFLLPLSVLRVAPTLLVARISLCRFPSDIALAPSLNFQRLKELSLYAVSISEQVLCAVLSACHVLETLFLQDICDAGRLHVSSLTLRIIAFSPTTSSSSSGKQELVIDDAPSLERLVFPRGLDGETITVNRAPKLQILGPLSPCIPKLEIADLVFQGMVPKGLNHHSVCKVNVLALKLSGPDLNAVIQVLRCFPCLEKLYIILEVKTRASPDAQLEFRSGSSHFGNNLKTYDSSVADPFHDSFVDGLNAITVKPHMDAGLMLMERLLLSSLDEVDD >cds.KYUSt_contig_686-1.84 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:626483:627907:-1 gene:KYUSg_contig_686-1.84 transcript:KYUSt_contig_686-1.84 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTREERSEVNLLLTAWDDLPLDVLDLVVAGLPNPADRARCRAVCRTWHSAVRRRGPQAQQLPWIVLWDGEVIAPPNDRLERLASIPDKASCKASSNDWLLLSTYQQILEDGEQTYRYCNYVLHNVFTLESIPLPELGAALHRAYYIRKFLMRSTIHDFIAIITTNENTPFIVILPGKGVWLPEPRSAPYIYIVDIAFLGDKLYGITKAENLIPFDLGLDEDGSPLVIIGRRVIRQPLDYEGYEWWPVSDDENSDLESDDDDDDDDDDDDDDEEEEEEEEVAVDDDDDEEEEEVALANVAIVANAEQPTEALNVLLDDYDENGHEVENDDDDGRININFASCSHDNIADDLIIIWHLVESRGKLLMVKPRMYTPLDLSPQSIRRVEVFQADLNTGKWVPMANELGSGQALFISRRFSKSISAPCGDVAEGDIYFIESGEVFNIKTQLSNLKRFRKPFYQGTHGMWLFPSDLVL >cds.KYUSt_chr7.10793 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66299566:66300828:-1 gene:KYUSg_chr7.10793 transcript:KYUSt_chr7.10793 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family nuclear protein, Control of tillerin [Source: Projected from Oryza sativa (Os06g0610350)] MIGSLHSSSSSDTDSNRNNSGGEGEASLHGGFGRTVSAAVPSTRDLVLACADLMQRGDLAAARRAAEILLSAASPRGDATDRLAYHFARALVLRVDAKAGLPFSPRSPTGAAPAPSGAYLAFNQIAPFLRFAHLTANQAILEAVDGARRVHILDLDAAHGVQWPPLLQAIAENADPALGPPEVRITGAGADHDTLLRTGNRLRAFARSIRLPFHFTPLLLSCASSTHHVAGSSTTPSATVTSLELHADETLAVNCVLFLHKLGGQDELAAFLKWVKAMAPAVVTVSEREASGGGIDPMEELPRRVGVAMDHYSAVFEALEATVPPGSRERLAVEQEVLGREIEAAVGSTGGRWWRGLERWATAARVAGFAARPLSAFAVSQARLLLRLHYPSEGYLVQEARGACFLGWQTRPLLSVSSWH >cds.KYUSt_chr6.27518 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174651317:174654604:1 gene:KYUSg_chr6.27518 transcript:KYUSt_chr6.27518 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGSRFFDSWDDAAGIVCTPNEEDQSVLTLGSGGAQDQDRRRAGGCGDGARGKGGRRRTGAGGGSWSVGPGAGGGARGKGQHRSAAPAASVGVGVVIISLMATDDGDDLFSSIRSPRETEDGMGSPDENRLKSGPKRGIGGGSAAVPSDEEEAFRYFFTTSRTTFDYICSIVRDDLISMPPSGLINIEGRLLSVEKQVAIAMRRLASGDPQVNIGASFGVGQSTVSQVTWRFIESMEDRARHHLVWPDQNRMEDIKARLEAVSGLPNCCGAIDATHITMTLPAVDSSEDWCDPAKNYSMFLQGVVDDEMRFIDIVTGWPGGLTVSRLLEYSGLYKLCEAGNRLNGPVRVSGDDAEIREFIVGDICYPLLPWLMTPFQGKKPKSFNNKASTNVALMQKIKDEGRNWILAGAKHLAEITS >cds.KYUSt_chr6.5740 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34503987:34505802:-1 gene:KYUSg_chr6.5740 transcript:KYUSt_chr6.5740 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATEAQLRGVGVVTRGLDAKIVTLLSCVHAVLPSPPVVSSHADLSASLLTPPLDGGDRISDLPDKILRDIVSRLPVKDGARTAALSCRWRGVWRSTPLVLIDTDLLPVGSGSGLQVACADAAHQVASAVSCILHAHPGPFRCVHLIGSYLGQYPEVLAFWLQLLAAKGVQDLVLVNRPWPLDMPLPRTLFDMATLTRLYLGVFKFPDTTGIRRAVAFPYLRELGLCWVAMLRPEDMDFILARSPVLEILCIQGNMLVNHLDLVSRSLRCVQIIEGVDLNITVKYAPHLERLIIWSSFIQDDLPRLVKIGHAPALSVIGYLEPERHALEIRNTVIKAGTRASPYTMVPSVKILGLRVYFAIRDNAKMLPSFLRCFPNVETLHLESKETYQPTGKLSYKFWQEVGPIKCVQSHIKLMVFYGFRGERGELSFLKYFLESAPMLAKLVIIYNKRSFTSLTEVNSKVQPLFAAKWASQDCSLLLLESAFQEGEDKWLLNFKTGSDFSTRDPFACAAALGGCHF >cds.KYUSt_chr4.26132 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164384121:164384797:-1 gene:KYUSg_chr4.26132 transcript:KYUSt_chr4.26132 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVFPKWTDNPNRSHTRQGTSFVEDSERRRHSLGAREKEEGRRREEEERRKKRRGKERRRPEPLHMGRPEPPPMEGAGASRPTWPVPPPGGGHARERPGRYYRPCMAGTTGQRLGGTSWPREKKGGRYPWPEAPAKVPLGVPGPQYLAMQLPGTSGGTSGRGTAQRFQHPGLQLASSMHFRYHGRYLRSGYRPHAK >cds.KYUSt_chr3.34593 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216973572:216976296:-1 gene:KYUSg_chr3.34593 transcript:KYUSt_chr3.34593 gene_biotype:protein_coding transcript_biotype:protein_coding METVAAAGYARGAATRSPACCAAMSFSQSYRPKAARPATSFYGESLRANTARTSLPGRQSKAASRAALTTRCAIGDSLEEFLTKATPDKNLIRLLICMGEAMRTIAFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALEYSHVCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTFVVALKDCPGTHEFLLLDEGKWQHVKDTTTISEGKMFSPGNLRATFDNPDYDKLVNYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGFLIEKAGGHSSDGKQSVLDKVITQLDERTQVAYGSKNEIIRFEETLYGSSRLASAAVGATV >cds.KYUSt_chr3.30081 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188281602:188281868:-1 gene:KYUSg_chr3.30081 transcript:KYUSt_chr3.30081 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIAAHSGSKVAGIKIKPGHEVNRARAHNRNAGRLDAPRCKVVCGIFMAMPFPDASFDRTDDPAHVEAVHDIERGDALPGIRHHRRI >cds.KYUSt_chr6.1238 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7705287:7705841:1 gene:KYUSg_chr6.1238 transcript:KYUSt_chr6.1238 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAHRSGSQPPQVADPPAKRLPGTSTTWLLVLRQRVATTATSPPAMEPPSPPQATPSSAVRVLSRTPAPSTSSSGADAAASPSHDGGVVVVGFVGCAGSAARLADRILDAPVFSPGGSARTLAGAVRYHRDGERRMVFLHLAAPPPPSPLEAGQGSSGGGDLPELLFMFSVSVALALIKLITC >cds.KYUSt_contig_2278.56 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:445607:447842:1 gene:KYUSg_contig_2278.56 transcript:KYUSt_contig_2278.56 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAPPVELDFLGLRANAAGLAEHHGKTSAASSSSIRGMETSAIARIDPQLLRRVVVARPPVTAEEAPPAAPSPMTVFYNGSVAIFDVSHQKAEAIMRMAREVTTAKRGDLGNTTLVANSAKDIPLARTKSLHQFLAKRKERLTRMGPYQLGRPGTTSGSNSKSLRVKKEAEAA >cds.KYUSt_chr5.34962 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221143892:221155817:-1 gene:KYUSg_chr5.34962 transcript:KYUSt_chr5.34962 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTNLRCHSELKGWLLDWIGKAKDDELPWFCMMIYKLWLSRNDARASKEMEDPRAVAIRTKVVVEEWQAINGVSKTPSCKTKERWLCLEEGWVKVNVDGAFRASDGNGGGGVVIRDHHGSFVSGANHFYPHVTDAEGAELLACRRGQKRGLVLAQASQVRKLVLETDSTGVAAKLRRDDQDKSSYGTLIEEIKFLLSGFADASAQRFGSVRITTTARAQSGNAGRSVTEERQRAMADGQMLLNYSPGKAFPLGVSQAGSGLNFALFSQHASSVTLCIKLPTRASQDEGNTEIVEFALDCQKNKTGDIWHVSVEGLPTSGVLYGYRVNGPQGWGQGHRFDSNIILLDPYAKLVAGRNYFGVDSDKPSQSFGTYDFDSSAFDWGVDYQLPNLPETDLVIYEMNVRAFTADESSGLDQAVRGSYLGFIDKIPHLLELGVNAVELLPVFEFDELEFKRYSNPRDHMVNTWGYSTINFFAPMTRYASAGGGPLAASRELKQMVKALHNAGIEVILDVVYNHTNEADDANPYVTSFRGIDNKVYYMLDPNNNAQLLNFSGCGNTLNCNHPVVMELILDSLRHWVKEYHIDGFRFDLASVLCRGLDGSPLDAPPLIKEIAKDSVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGKYRDDIRRFIKGDPGMKGVLATRVSGSADLYQVNKRKPHHGVNFIIAHDGFTLCDLVSYNLKHNDANGESGRDGCNDNFSWNCGVEGETNDRNVLALRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTCINNFQWGQLEERRYGHFRFFSEMIKFRQNHPMLKRDRFLNKDDVTWHEDCWDNLESKFLAFTIHDHNSGGDIYLAFNAHDYFVDAVIPPAPHHKRWNRVVDTNLESPNDIVPEGAPFTGSGYRIAPYSSILLKATP >cds.KYUSt_scaffold_1259.57 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:375335:377260:-1 gene:KYUSg_scaffold_1259.57 transcript:KYUSt_scaffold_1259.57 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSLPPPTLSGSKLVAATATATATAISTAATSSSEPALAGGTGRISALIRSLCAAGRTTDAARSLDAAGAAAGIVAYNAMVAGYCRAGQVAAARRLAAVVPVPPNAYTYFPIVRSLCARGRIADALTVLDEMSHRGCAPIQPMYHVIIEAACRGGGFRSAVRVLEAMHAKGYTLDTGNCNLVINAICEQGCVDEGVELLRKLPFFGCEPDVVSYNAVLKGLCIARRWGDAEDLMDEMVAVDCPPNIITFNTLIGYLCRNGLFEQVHQALLQMSEHGCTPDIRMYATIIDGICKEGHHEVANEILSRMPSYGLKPNVVCYNTVLKALCSAERWEQAEELLAQMFHKDCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLEHGCMPDVITYTTVINGFCKQGLIDEAVMLLKSMSSCGCKPNTISYTIVLKGLCSAERWVDAEELMSHMIQQGCLPNPVTFNMFMNFLCKKGMVEQAIELLKQMLLNGCSPDLITYGTLIDGLGKAGKTEEAWELLNVMVSKGITPNLIIYSSMASALSSEGRIDKVIQMFDSIRDDTIRSDAVLCNAVISSLCKRWETDRAIDFLAYMVSNGCMPNESTYTILIKALASEGFVREAQDLLTELCSRRVVRKHLMRHFGVV >cds.KYUSt_chr2.4308 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26486748:26487885:1 gene:KYUSg_chr2.4308 transcript:KYUSt_chr2.4308 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAATAAAAAKGKKKGSVSFVIDCTKPVEDKIMEIASLEKFLQERIKVAGGKAGNLGDSVTVTRDKSKVTVTSDGNFSKRYLKYLTKKYLKKHNVRDWLRVIAANKERNVYELRYFNIAENEGEEED >cds.KYUSt_contig_2008.46 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:338074:338436:1 gene:KYUSg_contig_2008.46 transcript:KYUSt_contig_2008.46 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRARAAPRREEERPSHGRFLRPGALARLRDSRIVARSLRSAAAARLSLPSAPPSPAPAEPQQQRQDAVPHFLAGSTRGMCGVGRYPLRRRMAAARCVVFLPPAPSPEAFWEPSSDLIF >cds.KYUSt_chr6.6705 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40399990:40400712:1 gene:KYUSg_chr6.6705 transcript:KYUSt_chr6.6705 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTPSPPSRLRRAADAQIDLADSGYEADGEVSVGYDSHGDGEHQDLVDGDGNEEIMLRKGARGAVAESMGAGGIELAAGLVQLEDGDSSSDAGYQGVDEGDDEVLSHRRRFGDDGVRNLLQRRVRGSALV >cds.KYUSt_chr2.31254 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192900802:192908437:1 gene:KYUSg_chr2.31254 transcript:KYUSt_chr2.31254 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSHRRLFQAFLSVLLLAASAVADSTLTQSRPIGGDQRLVSPGDVFQLGLFCTANNTKWFLGIWFTVSPAAVVWVANRDRPLNTSSGVVALSDRGNLVLLVVQLLLPGHPCFEHPTNTFISGMRSGRNLTTGALWSLSSWRSADDPSAGDHRYVMDTQGSPELHVWSKGRKKYRTGPWNGMRFSGIPEMTSFEDMFQFRFTNTADEVSYVFHDRDGSAVSRVVLNESGVMQRMVWDPRALTWNSFWSGPRDHCDTYGLCGAFGVCDMGEAVVCGCIRGFTPGSLAEWRMRNATGGCARRTPLQCSGGDGFYVLRGVKLPETHGSTVDAGATLAECGRRCLSDCNCTAYAASDIRGGGSGTGCIQWFGELVDTRFVDDGQDLFIRLAKSDLEPTKTKKFVAVITAVITGFALLLLLLGFMIWRKARRSKEVTMFDEVMRGECPTYDFEIIRAATGGFCPKNVVGRGGFGIVYKGKMPDGQEVAVKKLSAENRVQGLKEFKNEVDLIAKLPHRNLVRLLGCCIHGSERILVYEYMSNRSLDAFIFDSRRRASLSWKTRMDVILGVARGLVYLHQDSRHTMIHRDLKAANVLLDHEMVAKISDFGIAKLFSSTGDDRQDSTVTDRIVGTYGYMSPEYAMDGMVSFMQDVYSFGVLLLEIVSGRRNQRSFSLIAHAWKLFEENNSLELLDSTVRDGCSPAELEQAVTCIQELNKIMATRISLEVQKVSVAQVDFKTAKLFIELCTGSSYGLSYSRWTSARIWVLDATGCWWLLKTSISGLLDGNMIEYG >cds.KYUSt_chr2.32046 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197629814:197633873:-1 gene:KYUSg_chr2.32046 transcript:KYUSt_chr2.32046 gene_biotype:protein_coding transcript_biotype:protein_coding MESESRLADPGDQIYHAMDLLCFTVSRWWRAEVQVGKRRSCISPNKVCIWSLELGFLDQARFWLALFCFPSILPWWEQMEVMRELNNSFNKVHVCLICGLTFVGQRLKLLPSRHGDVEGGQFAVLGCSTVGQRQGNYEASFGRASTAASSWLLSLMVEGRPLHPLSPATASSDRWLQVFIYLQAVVPKRRPLCSITTGSRSSIPSGNVLGDGVLGCDVLRCGGDGARPDCTLSFRSRVPSAKCEDFVVISDFLVVCVIVASLAEACQEFGSLYNLMAGFSSRTLAELQRLQHTDSGLTSKMVAMVAFDTMAEMFR >cds.KYUSt_chr4.37925 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233852093:233853359:1 gene:KYUSg_chr4.37925 transcript:KYUSt_chr4.37925 gene_biotype:protein_coding transcript_biotype:protein_coding MPYIPPLHHELLEPLPREETSVAAQQVSRASPSGGKIRSMLVETICVDNLGDGSWKRSKQLDVVLERPDLWEDSVLARKVYMKALADMRRDIKEKELNALLSGDRDPYPCFIEVYLWHYLKKFK >cds.KYUSt_chr6.23919 pep primary_assembly:MPB_Lper_Kyuss_1697:6:151159773:151161468:1 gene:KYUSg_chr6.23919 transcript:KYUSt_chr6.23919 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYPWSPQFGVDAMNGFFASSGGCMLGADVPPFNPTMPPPDHGGYGLGPCSGGATASGVDSQFARNNLLLASLAGQLYASASHAQPPPPPLGDHLGARTPPEEEMDGGYGRSGAVTLAWHGQSDARAMAPCSVSSSDSMASSTDQFSPGPSRSAVTHTSRAEQSQQQQAYFQQPQPRFWPVHFAVVVARSPYAPVAQRALNDAVDHVLRGVADVDADDPAVSGALSCSAVGLGDQSIATLEEHSHGHGGARWGEAHRVRSELINLLQLMDEKYYRCLEEIQSTTAKFSGLMQPSGSCGGSICAPFAHRTVSSAYRALRRRITGEIMAAEGWPSHPHRAESSMMVSGVKMEESGSWDESAFIQKHLVPRRRALPHQDWRPHRGLPERSVSVLKAWLFENFLHPYPQDSEKDMLAARTGLTRNQVANWFINARVRLWKPLIVELHEELKRSSGRVDGPAPPTMEHMSSSQYVVG >cds.KYUSt_chr7.31901 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198593951:198600356:1 gene:KYUSg_chr7.31901 transcript:KYUSt_chr7.31901 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLGSKRKGGEKDQDEKEYEVDDVPDRSRSSRNELVGRLPPLRRHSRLGTDGREDGFLHGRIIHPDNKLYRLWTRFIVVWAVYSTFLTPFEFGFFRGLPRKLFFLDIVGQIAFLVDIILKFVVAYRDTDTYRIVYNPTSIALRYCKSRFIFDLLSCFPWDAIYKASGRKEELRYLLWIRLARALKVTEFFTDLEKDIRVNYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGHTWIGSLQLGDYSYSNFREIDITKRYITSLYFAIVTMATVGYGDIHAVNVREMIFLMIYVSFDMVLGAYLIGNMTAMIVKGSATERFRDRMKEVIRYMNRNKLGKDVREQIKGHLRLQYESSCTEASVLQDIPISIRAKISETLYIPYIERTPLFKGCSAEFIHQIVIRLEEEFYLPEEVILEQGSAVDQLYFVCQGALEGVGIGEDGQETIIMLEQESSFGEVAILCNIPQPYTVRVCELCRLLRLDKESFTHILEIYFADGRKLLSNLTENNEYGQRVKHIESDIIFHIGKQEAELTLRVNTAAFYGDLHQLTGLIRAGANPKNTDYDGRSPLHLAASKGYEDIVQFLIHEGADINLTDKFGSTPLLEAVQQGHGRVATLLFTKGAKLNLENAGSRLCMAVSKGDSEFIQGALAYGADPNSKDYDQRNPLHIAAAEGLYMMAKLLVEAGASVFATDRRGTTPLDEARESGSKPLMRLLEQAKAAELSKFPTRGEEVRNKMHPRRCPVFPYHPWEPDAKRKEGVVMWIPHTIDELIRSAQGKLGLSGSCLRLLSEDGATVQDIDMINDGQKLYLVGDEDTSENA >cds.KYUSt_chr7.39068 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243154834:243157676:1 gene:KYUSg_chr7.39068 transcript:KYUSt_chr7.39068 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLARRLLLRAPPRRRLLHTSPPTDPGTLACRLASRAVVRFRGPEAARFLNSLLTNDLRARAPSSEPPRYAPTPNVPARAPRPVYAALLTPQGRFLYDLLLYRPAPRSQMLDRTGSAPETGERPGGADEEEREVLADVDAAEVDDLLACFKRYRLRSKVEIDNVSEEFLCWQRFGTDVAHAEPSTQEPEAQSIGWGQGTDHAAESSAQGNGHGWQWLKDPRLDILGYRGIFPADTIPPLVEADKEADERHYLLWRIENGVAEGSTEIPKGEAIPLEYNFAGLNAISFEKGCYIGQELIARTHHRGVIRKRLIPLKFVDENDKEVEQAVAPGSDVVDEVSGKKVGTVSTALGSRGMGLLRLDEALKQNSSLAISENRDVRVRAIKPDWWPVEWTQGVEQQSAAA >cds.KYUSt_chr6.9488 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58510471:58515709:1 gene:KYUSg_chr6.9488 transcript:KYUSt_chr6.9488 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIWCSWICEDASKKKLSHDGLWGSNPQDFVKQAQSRKVFHLVVVKIVIIELKWNAQVGGFTGMTLLDRRHPCPFKWGRVVVAGSSLCAEHTVSSFGTVIFGRNGGLTTTSIAEALSGVNRWSLTLLLLQVVRPRRSYGGWRFWLFVNNEILGIFSDLRICASSLFFKQEMELSLVGLQNAGKTSLVNSIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVTAILYVVDAADRDSVPIAKSELHDLLTKQSLAGIPLLILGNKIDKSEALSKQALVDQLGLESITDREVCCYMISCKDSVNIDVVMDWLIKHSTTAKN >cds.KYUSt_chr2.17915 pep primary_assembly:MPB_Lper_Kyuss_1697:2:112745121:112748414:-1 gene:KYUSg_chr2.17915 transcript:KYUSt_chr2.17915 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRRPPSRLPLLTTLMCLFLLAAATPLALGRAAPAAVSIHELLREHGLPGGLLPRGVVSYTLDETTGLLEARLSSSCYVKYDDGEKAYFDTVVRGNLSRGALRGCEGLAQQELFVWLPVKGILSDPDSGVIMFDIGYATKTLSKSVFEDPPECQPKLGAVAAAADAARRRDRRVSPPPNCHITRRFSSRRPIRRTVAGRSTATGGGDIGDEDLDIGRNQVRSPLRHLVFARDLELVLGRKNGGNDPSFSPSY >cds.KYUSt_chr6.7693 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47190713:47192058:-1 gene:KYUSg_chr6.7693 transcript:KYUSt_chr6.7693 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAEEPAPPGEWLEERGAVSVYLPRLIAGIISGTLTGLFALAGALTGAVTGALAGRASDSGALRGAGLGAIAGAVLSIEVSRRPARTGARTGSVGISDLGQDDVYDIFGEISSRGLSRESLKKLPQFVVTDQARGTFGENLPCTICLQVQLLLEYVMGLSGLYSPLVLGLIRDKGPLLRVK >cds.KYUSt_chr7.21432 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132910152:132912313:1 gene:KYUSg_chr7.21432 transcript:KYUSt_chr7.21432 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVVPFLCKKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGL >cds.KYUSt_chr2.50315 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314623293:314623592:-1 gene:KYUSg_chr2.50315 transcript:KYUSt_chr2.50315 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACSFFFFDAEPVDEPAMPAQDACALCSKRLARDSDVFMYRGDTPFCSEDCRHHQMRLDARQAAKSAARRQKHFSSSATASGRGRRESREVPVAS >cds.KYUSt_chr4.16238 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100733235:100734120:-1 gene:KYUSg_chr4.16238 transcript:KYUSt_chr4.16238 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVSSFPYSSSAAHRPQRRLPAAGRGTRTLLPPARTVASSRAPLVVSSPPPTPASDTATGQPKVDRSGRFCSPRAARELALMVSYAACLEGADVVRHFDRRVAARREDGYVFNKASLQSYNFMSFCGGPLEVATEEEAQKLMSQNEKDSANGNSCSPRNFMFGILVWMELELLLAECSPGIWNAVPLARIVMSY >cds.KYUSt_chr4.33296 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203994385:203999748:1 gene:KYUSg_chr4.33296 transcript:KYUSt_chr4.33296 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRTFPVPYMNGALKLPFFSLLLCILRSHALPFPFPNGLIVTNRTTADELALLSFKSMLSEGSLVSWNASGSYCRWPGVLCGGHRHPKRVVGLRLHSHELSGPLSPSLGNLSYLRELNLGNNHLAGQIPPELGRLVRLQLLNLRKLGWLAILTSIEFLDLSQNRISGEIPSGDIPATLGECQVLQHLYLQNNILSGTIPSVLSQLKGLETLDLSSNNLSGQIPRSLDSDDEDDDSGGSPPSSPLSARALAYLCRSPEPVSCDLPFESSAALKKKEQKRRLQREMARMVQEVFLEVLAGVLRAEVDGTVLRVEVLAKAEGAISLREALAALRVMMLVRVPALICKGAALMVYSERALETETVVAAKIGTAMPPISIAAMIAAISMGKVITIIEVPDGLSMEQTMLVKEAAAAFAKQLAEWQPKGGQSTESAVPPLTASPAVHDPAARTDDAARRHGSGQRAEAVLLPVAGISQQQVTSPTHEVAGDAHLAKKKKGLGIALYSQHLSAMAMYGLAHEDLMFWDFPLSGLVRPRLENTRMGRVTVSGGQLTIPEIITQLQWIVPEDNYQWDVVMVEENVYRVNFPSKMDLVRVQHFGRFNVPNSQVFMTFDFWTRNVEPSWRAEDVWVRVHDLPSPVLDDFLTLWALGTLFGKTKDIDMAFTRANDVLRISITCLNSSLIPTRMDVRVHEDFYRLRFEVEGLQPVIPADVPMDDVPPGDEDMEHDGPKEHQQDDVNRPDGKNDGGQDANQGGNHTTVPHNNIAVFPIQFGIAPVVHHFLVSPKVIFGHSIHECIKKSQCVNQLDLSVNKEQYVPAQGVFSPLFIEESMSEDIA >cds.KYUSt_chr6.27881 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176841849:176842175:1 gene:KYUSg_chr6.27881 transcript:KYUSt_chr6.27881 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKVGAKRHRKVLLDNIQGITKPAIRRLARRGGVKRFSGLIYDYEETRAVLKIFLESLENVIRDAVTYTEHAGRKTVTTMAVVHALKRQGRNLYGFGG >cds.KYUSt_chr2.4441 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27475317:27475802:1 gene:KYUSg_chr2.4441 transcript:KYUSt_chr2.4441 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSPASGSPTNSRATSAVAAVSVALILLYLFWRFVWQSKKHARTNDSLGATSSTAPPPEACRASVDAERGENGKTPLPVFVHVATPAAGGAEEKAECAVCLVEFAHGEAGRLVPGCGHGFHAACIEPWLRVRSTCPLCRAAVVEEEERSAGPAPRLERS >cds.KYUSt_contig_1181.484 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:3413196:3414101:1 gene:KYUSg_contig_1181.484 transcript:KYUSt_contig_1181.484 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLGHFVPGLAFAILGMWHMLNTIKAYKLKGTSGFRSATWFPFPSPLPGLKHLELYLLLAFSALAIVDQLVDLPLLALSLQPDTLEHATMYLHLGVYASVALAADVAASPSSSPHHGALGDVVTALAASVFGQELFLLRLHSADHVGLEGHYHWLMQLMVTASLVLTSATVVLPRSFPVVVVRSASVLFQGLWFVVMGFVLWVPSLVPTGCHGAEGSAAMHSAVACSTKEAARRAMAMANLQFSWVLAAVWVVTAYMCLRVDGRCMEYVQIQAPRADGRVLTGDGDSSQKRVFPVSEHV >cds.KYUSt_chr4.7731 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46100598:46102109:1 gene:KYUSg_chr4.7731 transcript:KYUSt_chr4.7731 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMIIRASGRVSAVARNGTVAALKVEVAAAGATAGHYATHGAFRALAVACGALGFVGGAAYLCYSKGVGFTKYLLSPATSNAPRHDRERDSEDV >cds.KYUSt_chr1.3934 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23976258:23979947:1 gene:KYUSg_chr1.3934 transcript:KYUSt_chr1.3934 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVSAIVPTLLKKACDSILTELAFMRGIDGRRKRLCGLLSAINDVISDAEEQAPKKPSVKGWITRLKSAALDADNVIDELHYEVLHREALRRGHDVNTGVRAFFSLNYNPLLFKYKVGKKLQEVVERIDDLVNQMNQFGFSRDRPAPVVDSRQTHSYVNEDEVIGRKGDGEEIVRMLLSSESEKFSVVAVVGIGGMGKTTLAQLVFNDLRVRAQFEVCVWVCVSDPFIVADVAKKIIDSAIGRDCGSKTDNMELLQQRLRNELGGKRYFLVVDDVWNQEVEKWDALRTLLCCGGMGSVVLVTTRQMKVASIMGAFDVHCLQHLSPEDAWTLFKRRAFSLGVVESPDLAEVGKDIVKKCCGVPLAVKSISSLMSRKQEVREWLALLDSKTWDVFNEENQIMPALRLSYYHLPSHMKQCFAFCAVFPKDYEMSKKDLIHLWIANGFILSEGALDLETIGNNIFSELVWRSFFQDVKHTRSPDRNGIGFHDSFSNGNGYSDVTTCKTHDLLHDLAQNIGHGEYFSLQKLTEINELPENVLHLHYSVYFTWPKIKVVMQNNRNIRSIFATDTYNLSVPDIYFPTSLRVFGTCITGRLSTEVAFMKHLRYLDFSRSNISALPSSICTLYNLQVLVLNDCFWLQRLPEGMKYMISLRHLYLDGCNSLACMPADIGHLSYLRTLTRYVVGNDPGCGIKELKHLELGGKLQIYDLIKVTNQSDAKEANLESKQNLEQLTLCWGASESTYQQEFPTEDSRLYPSEEILDALKPHICLQVLTVDGYMGTRFPRWGTDNSTLHRLVELTLSECAQCTDIPSVLQLPCLEVLKLKGMQKLTTLCNSQFIVENGCYQSAQMFPKLKFLLLEKMELLDSWKENDGTEVPSVVFPLLDTMVIIACPKLTTFPKVPVLKSLSLAGKRAQIDLVAVKPSADEGLHNVECMQILKCPNLVAFPTFTSLKKFNIRGISKLESIPEGLGSHDTLESLDIMECPSLKSLPESIQCLRNLTDLQLMGCSSLKTLPEGMQNVAALKSLDICACRGIKVLPKGLQQRLHSLETLHISHCPALARRCKRGGDYWDNVKDIPNLRICSDM >cds.KYUSt_chr2.53481 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333651170:333655588:-1 gene:KYUSg_chr2.53481 transcript:KYUSt_chr2.53481 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEVPAAAPAAAEKIRVVGAWAGPLEVELGAWTVPMLRAEVARRAGDVEPERIGLIFGGRVLKDDPATSLQQAGLKSNSKVLSNLASPDRGKAIAAEAAAAAAEEEHAARLVRLWEAAKSLSQRHSDGSFPEEDFNLDLEDQSGQKVMFGSTDDIKALKMALMLHQKAKILIKKDNYKEALDVLMMAEEAFSLCDPKLIERVDNVPMLQLDIVWCYFMLRDVSRLEVAGARLNKARLGFERSHGKDSTRFRLLQAARHADLAIYVRLELLEGVVAYYNGHTEKARDSLSSAQSKFMQLQVPDEAMTMLMEMGYEERSSKRALKMTGYDIQASVALLCEEREKKILRRKQDQETQREILEQMKYGKTPMNKGVDMQKLKSLTTIGFEKYLAAEALRINENDAEKALDLLTDPEKNCVLQSKIQSRRKRPSHGSSRARAAAAVTASGVVNPPPLVPDLNATEGDQEQLVNNEEDLNVADGHQEQLVNNEEAVNNNGEAGNHDEAMIDEADVNEEEASASHDERPNRDVVMENELANELTGDALDDYDIDVGNEGQAIAEYLSLLESAASS >cds.KYUSt_chr3.19135 pep primary_assembly:MPB_Lper_Kyuss_1697:3:117712158:117722981:-1 gene:KYUSg_chr3.19135 transcript:KYUSt_chr3.19135 gene_biotype:protein_coding transcript_biotype:protein_coding MMACPGAEHCCSTVPPDLWDLMSLVDFSDPDDLFGAFSCKMESQSGKGDPSKTPASDGGKGDPSKSPVSDGGKGGSSMTAKPQRLPIKRPTQHATKGRPVTLKYDDGKPVKGEGVGRKVMKQLYETYASHLAHKVFAYDGEKGLFTVGPLPFKNNVFDVVLCDDASSGKTGTSRSPGGGDGSPGPSDKKRMKRAEYGKRFKVEITSTSAARIPMSAIAQVLRGGQESKNSQEAIRVLDIILRQHSARQGCLLVRQSFFRSEFGSIDLGSGVIGCRGFHSSFRLTQNGLSLNFDLSTTMVVRPGPVIDFLLFNQNIKDRKRIDWGKAKRALNNLRIKTTHRKAEFKIVGLSEKTCYEQKFSQKQGEGNGTVEVTVYDYYMDRWSIKLDNSADLPCLNVGKPDRPTYLPLELCHLLPLQRYKKSLSTLQRSKLVEGSRQKPLDRMSTLSRALRYNNYDTEPMLRECSISISRDPMKVEGRVLQAPQLSVAHGRELYTPNGRWNFNNDRFIRPIKVTDWGVVNFSARCNVSDLIRRLMESARKKGILGGLNSQLKSEINKGIPLVSTISTIIFGMDVSHGSPGRSDVPSVAAVVSSLEWPNISRYRASVCTQAPRLETIDKLFKQVGDDDQGLIKDGVGESQFDDVLNTELADIIKACKFLDDKWLPKFTVIVAQKNHHTRFFPHNDNERNPNVQPGTVVDDGICHPSNYDFYMCAHYGIIGTTRPTHYQVLHDEIGFSPDELQELVHSLSYVYQRSTTAISVVAPIYYAHLAAAQVAKFTRLDDMSETSSSHATQAAPALVPELPRLHDKVASSMFFC >cds.KYUSt_chr1.4120 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25086280:25088123:-1 gene:KYUSg_chr1.4120 transcript:KYUSt_chr1.4120 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIPQTYLGLPLSAEKLRLAAFSPLIAKVDKYLSGWRALLLSSGGRVVLLNAVLDALPTFAMGALELPPGILAALDRLRRAFLWAGSDKVSGAQCLVAWDFVCRAKTEGGLGVRSLADQNKCLLLKLLHRLHSAVGESWPRWVWASLNGAPLDAVEGAGALCGSHWSSLLRLLPLYRDISRVAVGDGARTSFWLDSWLPIGPLLSAMAELYSHCVSPAATVQQVIHAGLDSLLVRRLSSSTSRQRDTLLDLLRGVRLGSTPDRRSLPLCGKKDGGIRTSDVYGLCTMGGVQDEHYALVWRNWAPSRVRFFAWLLVRGRIQCRANLLHKGIIDLAASSCPLCNGAEETPAHIMLGCPFARRFWCSIGATCDETWPVGVAASCALPASAPHATSSTLRLLCFWHLWKHRNGVVFQGLPPSLPLLRKNCRDDAVLWRARLPAELRGDVDLWLTYFLPERP >cds.KYUSt_chr7.9684 pep primary_assembly:MPB_Lper_Kyuss_1697:7:59191739:59197440:-1 gene:KYUSg_chr7.9684 transcript:KYUSt_chr7.9684 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTDSSRFVQELVLYAASAALSCLVLFAGLRQLDPNRESSKKALQHKKEIAKRLGRPLISTTPYEDVIACDVINPDEIDVEFDSVGGLDEVKQALYELVILPLRRPELFAYGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINIRISNLMSKWFGDAQKLVSAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGVPVRSERSKILQVVLKGENVEPNIDYDYIASLCDGFTGSDILELCKQAAFYPIREILSSEKDGIRPDSPRPLKQSDLEKALSTSRKGKKSGLQSPVWIRPSDPEDDQFNFTLRENRALAETDNTDEMRCSSLNNELLNSSAMSW >cds.KYUSt_contig_3510.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000679.1:25437:31776:-1 gene:KYUSg_contig_3510.5 transcript:KYUSt_contig_3510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSDFSVRLSAERYLRSALPYGGLPSKDSPQMAFFDAASEGDLPRLRVSRCCAEELASGRDAEGKAWLADVCLAGGGPFQTAARLGKLDAVKCMVEELGFDVDAGSQDGITALVAATMDERMEVMRYLLDHGADPNKQYSAGFAALHCAATKGRAEAAKLLLSSGASVDIASCDGTPLHFAAARGKIGVMKVLLEHHADCGTKPIQLAAISGSRKLVELLFPLTSPIRSLPNWTVEDIMAHTDSKPLKPTVKPVKNTKLQLKKYGEEAVKRKDYRGASMFYTEAIELDPSDATLYSNRSLCHLEMSKAEKALHDANTCIRLRPEWIKGYYRKGAALMLLKDYKKACDAFMAGLQLDPGSAEMEKAFRGAVEAMKADHFARKGFKPSG >cds.KYUSt_chr3.40961 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258606487:258614703:1 gene:KYUSg_chr3.40961 transcript:KYUSt_chr3.40961 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLYPVGLFMLSCTCLQLSAAAPARVGISREAEALVNWKASLAGANKSLRSWSLANSTSLCRWWHVSCDLAGHIRKLDLDDTSLNGTLDRFDFSAFPHLKILILSQTGLHGTIPAGIGNLTSLVELDISSCPYLRGVIPRSIFQLKHLAVLRLIDLGLDDMLPEEIGNLTNLQELDLSMVTLTGSIPQTIGMLVKLQVLSLVGNNLTGSIPMEIGNMTKLQTIYLQHNNLEGQLPGTISHLVKLQDIILSENQLLGHIFPGIWNSSLLRSVDIANNNFSGMFPSSICVGGALTWIGAGYNGFTGLHHQTFQNCTTLQIVDFTANNIVAELRDCLSEHPGDLTTMAFSQNQLYGTLLTDQAMAGIDLSGNSLSQEIPDGLTTLLGLRYLNLSGNYLSGRIPKGIGNLVLLESLDLSRNQLSGEIPPSFLSLKSMSTLNLSNNELSGRIPMGNQLQTLVDPSIYSNNPGLCGFPLNDCMNSSTPDQNETGQAEDREALWLYCFVAAGFIFGFWLYWGMILFRSEMARWDEILTLPVQNPTTLEFSAADITWSMVVGWKDSMDRLALIPFTRVNDFVRGESNNTECQTRFHVEARRRRRPTMKCKPKVDGILEYILYWCSFGPDDYRSGGAVRPSRASCGKRKTPAGRPNTKRGCVCHFIVKRLIAEPSLALVIYNHNKHVDKKGSPCHGPMDKMAIGTKAMFAPYISDELRLEVMSLLYVGIPVETIMQRHTEMVERQGGPSNRDDLLTHRFVRRLERKMRRSGYELDDDDAVSINMLVENHQDHIFFFEDFTDKDSFVLGIQTDWQLQQMIQYGNHSLLASDSKFGTNKLKYPVHSILVFDQKKNAIPVAWIITPSFSHCEMYRWMGALYDRVRTKDPTWQLGGFIIDDPLTDVRTIREVFHCPVLITIWRIRHAWHKNLLDKCSDFEKRSMMAKRLGNVISSICRGNGGMELFEAFLEDFVDCFGFLDYFKALWFPRLGAWTTVLKGTPLATAEVASAIESYHHLLKVRLLNEADESIYQRADWLFHKLGTKVHSYYWLDGFSGKDTFSRYCRSEWKNGPNQWQQGLQIPDSDIVIEDNCAIVVCQKDKERSHAIVNPGSELALCDCSWSMKGNLCKHVMKSTKVCRDRGLAPPSLALIRYYQALANVVHCPPSDSVVCDHAVAVAVSVRTQLEMVLSATNGCSPNTLAFKDPQPTSEPIIENGTCASRSLAGSDDGNEVPTNEDSDQDMYVRKKRKSGGASDEDEAATSTQVMKPSHHCQERECKEASDGDEGTAELQASDGDEGTAELQASDGDEGTAEMQASDGEETAATQIMQPSGTEGSQATPELNDSSDEVRSADRIGGT >cds.KYUSt_chr3.31476 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197691064:197692821:1 gene:KYUSg_chr3.31476 transcript:KYUSt_chr3.31476 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSTVSAPAADPAPASATAKPSRPTDPDFLSCVLQPPTPSSSSSSRPDADYAALRRFLLRRKPPSALHHRMEWRCSGKGYVAHRNFLLRRLDGGAASSSAHSTPGNSGRWFAAPATSEVDSWSSIRDLRSNSGVLSRTVSVSSKQSEPERHVRFAEPAYSFVGMHCIFDNCKASVTILKFGRASSDVLAYGSSDGSLTVCQVSDPPSVLQKLIGHSKDITGE >cds.KYUSt_chr4.43880 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272005075:272008465:1 gene:KYUSg_chr4.43880 transcript:KYUSt_chr4.43880 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDSDGSKDAGAGAPPPSDQPFPNRELTLSSYLCDKPTLASASDKASAPSAAAGPSSPPNPAADAAAEAAKLCVERDFLHLSAPKRGGGGGGDPPGPGDDSSVAGGKKPRLDSLQLSLSLASDGQPSSHPHLPSLLPSAAPDGDLRAAASAGAAAPAPRRTYSATTGRTRSINSDDMSYSYSMFSHNPSCSLTHNSTDMYAAGEGTNGSVHSRFNFRPVGDGSVAFATPPANPGSSASFFPTELPAKLAAAAAAASSFDGGRGHPSHPDRLLREIITESVPAMAHALQDLPSEAHDALRDAARAMVDTPERRDELASLQRRLDRRADLTADTLSRANRTQLEVLTAVRTGTAAFGAGKGRVPSAELVEMFLMTRCRNPSCKSALPVDDCECKVCAAKNGFCSACMCPVCHKFDCAANTCSWVGCDVCGHWCHAVCGLERNLIRPGPTLKGPIGTTEMQFQCLGCSHASEMFGFVKEVFNSCAENWNAETLVKELDFVRNIFAASDDFEGKGLHSKAEEVLNMLGKKVISLLDATNIMLQFFKYGVTDCSVTGNKSKGILAAAQASQSTIPLLSPTMTPPKSFNFNASNSLLDTHIDALKGSPKPLSIEPRYGNSSKPLTIEPRYGTSSKPLTIEPHYGSSSKPISIEPHFAASPKPLSIESHFAASPKPLSVEPHFSSTSKDDDASTLETIVKCKEAEAKLFQKLADDARKEVDNYRQMVRSRTQKLEEEYATKLAKLCFQETEEKRRKKMEELKTLENSHYDYHKMKLRMQTEIQGLLERMEATKKMWV >cds.KYUSt_chr4.44833 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277467962:277472007:-1 gene:KYUSg_chr4.44833 transcript:KYUSt_chr4.44833 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLGRLQDTDKELVSELIQPGTHQWDEHAVRSIFFAPDADRILQIPLRRTDGEDWLAWSHEKSGIYTVRSAYRALVSAKEQMDVQPATASALSEGEKWKKLWKLDVLPRVRVFWWRVLKGIVLVYATLARRHVKDQSTCPVCKATSETLMHALVECSHAQLFWTAAKDAFNLKLPRLNPVTWTKTYSSEPTFEGLDKSLTISIMAAIWDSRNKWSHDDAGYNPKATVDSIAVTLAMLNGLKKKKKAAITRPHCTWHGPPLGVIKLNSDGAIRSDEGIASTGGVARDSNGFKSAWCKLYSGISDPLIIEALALRDAIVEARSQHFDRIVAETDSSELVRLWMERGNHRAVIAPIISEISDISKQFSSFEVLFVRRSANSVAHECARSYKLGPSSRVTAMSSSSSDPSLETVAPRAAVTSERKLNPDLQEQVPKPYLARAMAAVDPSHPEGTRGRDTRGMSVLQQHVAFFDSNGDGIVYPWETFKGMRAIGLGFPTSLATAFFINLVMSYPTQPSWIPSPLLSIHIKNIHKAKHGSDSETYDTEGRFDPSKFDAIFSKFGRTHPNALTEDEINTMLKSNRNMYDFLGWGAAILEWKILYKVGKDKEGLLQRETVRGVFDGSLFERLQDSKKSS >cds.KYUSt_chr7.20985 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130015221:130019950:-1 gene:KYUSg_chr7.20985 transcript:KYUSt_chr7.20985 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMGTLGSQSPLSFSSSLCNAKVSCGLLVYNVKIKSNRRLEVVCQGMLAPRKFVRKKREEVFKDAADEAKQKNWRKMMSEIEESGSAVSILKTQRNTKEPLPRDAVLGTLVRFKQLKRWNLVSEILQWLRTQHWWDFSEMDFLMLVTAYGKLGEFSKAERVLKYMNKKGYRQTVISQTALMEAYGRAKQYEKAEAVFHKMQASGPEPSPITYQIILKSLVEGDKYKEAEAIFEDLLNEKRASFKPDQKMFHMMIYMYKKAGDYTQARKLFAQMPERGIPQSAVTFNSLMSFEADYKEVSSIYDQMQRAGLKPDVVSYSLLIKAYGKARREDEALAVFEEMLDAGIRPTRKSYNILLDAFAISGLVEEAQTVFKTMRRHRVEPDLCSYTTMLLAYVNASDMDGAEKFFRRLKEDGLRPNVVVYGTLMKGYSKLDDVEKVMRVYERMRMQGVEPNQTIFTTIMDVHGRNSDFGNAVIWFNEMETHGYPPDKKAKNILLSLAKTPEEQQEANELTGNGAFQLEVKPNGMISGLGVDSADLHEGGLTDNGHHHSLNGASTTNVLNGSNGTGSSGFDDEDNDDDDYEEDDDDDLNFVSFKDKRELNFAT >cds.KYUSt_chr7.2705 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15977369:15980698:-1 gene:KYUSg_chr7.2705 transcript:KYUSt_chr7.2705 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGTSLRSQARALLRWKSSVTYSSKHQLGTWRDDGMYPCNWTGITCGDTRSRGGTTAKVIRGISLGGAGLVGQLDALRFQSLPYLVNLDLSNNFHLSGAIPPGISSLSMLSSLNFSGDQLGAQIPESICNLARLTHIDLSFNNLTGQIPPALANLSRLVFLYMNGNRLSGNIPWHLGQLQNIRKMELSYNILSGQIPSSLTNLTNLYYLGLCGNRLSGPIPEALGQVQNLQELYLHKNNLDGTIPPSLGNLTMLKVMYIYINQLTGPIRVELARISSLTELDFSENRLTGSIPSSVAGNLTLMTFFSVWSNLLTGSIPHEFGKLVNLEKLYLTENFIAGSVPPSLGNISSLRQIAINSNILSGELPTEFGNLANLELLHVYENQLSGSIPQSFGRLVRMTDMRLFMNQLSGPIPSVLSNLTNLVIIALNDNYLIGRLPDLCQSKKLQIFRVFKNSLDGPVPKGLRDCSSLTSLEISHNQIEGDISEAFGVYPHLIDINLSSNRFAGQLSPKWGSCQNLTSISFANNMIEGNIPSELGELENLGRLLLHSNRLTGEIPPEIGNLMSMYWMNLRNNQLFGPIPKQIGQLSNLEIIYLSDNLLSGKIPKEIGNCVKLQLLQMDNNNLSGNLPVNLGHLTSLQSMLDLSMNSLSGPIPPELSKLVLLMFVNFSHNQFSGAIPVSLASMQSLSVFDVSYNFLEGSVPKGFHNASAEWFLHNNGLCGDLVGLSPCDFPLVDHRQKHQNFILLAGLPMFVTTIAIAVGVIACFVCRKEVSKQSDDMNKRDVFSVWNFDGRMAFEDIINATENFDEKHCIGEGSYGRVYKAELQDEQVVAVKKLHAGNDEAHDEERFQHEIEMLTKIRQRSIVKLYGYCSHPGYRFLVCQFIAKGNLASILGNEEQAVQFHWQRRITLIRDVAQAITYLHHDVQPPIIHRDITSRNILLDVDYKAFVSDFGIARMLKPDSSNWSALAGTYGYIAPEFSYTSVVTEKCDVYSFGVVVLEVLMGKHPGDVQNFLSSLGDQFLLEEILDKQLPQPETDEAKDVKRSISVAFDCLLLSPKERPSMLKVYRDLVI >cds.KYUSt_chr7.5317 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31683735:31684604:-1 gene:KYUSg_chr7.5317 transcript:KYUSt_chr7.5317 gene_biotype:protein_coding transcript_biotype:protein_coding MQICGQRKKTSMQGVAGATAADSPGGGAEAAPRPSRYESQKRRDWHTFGQYLRNHRPPLELGRCSGAHVLEFLRYLDQFGKTKVHANGCPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPEANPFGARAVRLYLREVRDSQAKARGIAYEKKRRKRPPQSSASQKAAKAAPSPPPPASISMHAAPAAQERAPEVIVARAVPAQGHYFIPHPQHFMHGHFFMPGVGGHEDAAAASSSSGNSNGNSNGHSAGAGDEIAMAMAAAAAEAHAAGCMLPLSVFN >cds.KYUSt_chr2.53456 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333529431:333529820:1 gene:KYUSg_chr2.53456 transcript:KYUSt_chr2.53456 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGGTAVLALLLAAAILSSAATAVTTGEARKVLSTKGCPADPFCPWEAVKLGACAAALGVVDAQAGAQLGSKCCELVSGLVAAEAAACLCIAAKESVLGVVTAEWSVGVELLASTCKKEIPDGFKCV >cds.KYUSt_chr3.30697 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192612476:192613543:-1 gene:KYUSg_chr3.30697 transcript:KYUSt_chr3.30697 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISDLAFSFARFVAEGTPSVRTATRRNHSHWYFGPRRHGRPDWNGGLRHRGNRWVPRRGGKRRGLSLRGGGLRRRLRGNRLRSNRRTPQHHNRHWMRRHRTPGDPGPSTTAVMRREQTPVVAAEPAVVVAAPAVAAEEVVDAVYEDEASASNVSADIGVPPEFALPPMEWLLGGPSAGWLVDDPERDFGDEELLVPPPPPASPPTMYYCMRHGFQPCLPSPTPSDEDRAHFAPPGCDPVPEFFAPPNAIQPEAAMEEVEAVAPAPAAPVLPDLNLPTPEKEEDEPVLALPTPSPEARVILRRFAAAMAARPVGIRRGTWSPAALGFTDENGVLRIDEATSSRLSSADGPCRRR >cds.KYUSt_chr7.4587 pep primary_assembly:MPB_Lper_Kyuss_1697:7:27742385:27743165:-1 gene:KYUSg_chr7.4587 transcript:KYUSt_chr7.4587 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQPSPTLSLGLNLGRPTARRAVTTKVLVEEDFMSVKKSHEVEALEAELRRVGEENRRLGEMLRSLVAKYGELQGKVTGMMAAAAATQQHQSSTTSEGGSAASPSRKRVRSDSLETPLSASAGLTRRRREGGEGGRGGGAHLGEGDGGGERWSGAGDAATMAAASGEGDGSGYGEGDGDGDVDGGRYGDGNDGVGVGDGELCEVSGARKKKEFGEILPRAKS >cds.KYUSt_chr4.28225 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177357587:177358462:1 gene:KYUSg_chr4.28225 transcript:KYUSt_chr4.28225 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAGRRLDVANLLSFGDDVVGVLLDSKDGESLAQAYDGARMLRSACHSESGDLKLQVKVYVVNTAVSDELDNLDLQRASTEERKNAVKKKEKGMLKAQ >cds.KYUSt_chr4.21664 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136372132:136379636:-1 gene:KYUSg_chr4.21664 transcript:KYUSt_chr4.21664 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPVRPDPFFFCLLPTLFPSAFGSSSGFCPCIALPHAGLFIRGEVMMLQEFVHNVIAVIKESVKTFTYETLNNIARLINGISALLLTLIPGKANILEGVSGWELRPAFRGPRLPRWMESGVSSFNEFVHELSADSDSESVADSIPGDDDNEEFVYPPSPLSQSSRLSRTSSFSRRDRRLRRSIKYGFSWIIWPVRFFLSLLFIVFNAVKYRISRTSAKTPESPHLSRSISAKKPIHMKDQVLQRTTDRRRGVFEDVHLAIEIFIESIFDVVHKGAHYVLSPSEVWQKLFCWIRGNRIGHSSSVVDVPTANIGSDNPVPTERKTVYRHALNTDSRTCEDVITELGYPFEAIKVVTSDGYVLLLERIPRRDSRKVVLLQHGVLDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHIDKNLSSSKYWKYSINEHGTKDMPAIIEEIHKIKTSELGKSGNLVGEETEDQNYNIKNSEIQASQEDVTEDQPYKLCVVCHSLGGAVMLMYVVTSRLAQKPHRLSRLVLLSPAGFHEDSNVVFSMVEKIILFVGPVLAPLVPGLYIPTRFFRMLLNKLARDFHNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVVLHLAQIKRTKRFQMYDYGSAAANMEAYGTPKPLDLGAHYGLIDIPMDLVAGQRDRVISPTMVKKHYKLMRRAGVEVSYNEFEYAHLDFTFSHREELLSYVMSRLLLAADAGKGRIKQTTVRLRKLKRVESEIENDSAMEYRSIDEGIPGGTNGHHE >cds.KYUSt_chr4.7085 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41934498:41946028:1 gene:KYUSg_chr4.7085 transcript:KYUSt_chr4.7085 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFLLPPPSPPLHNRAAAAAAASTSYLVSAHHALRRRRPPEPCCRCGRSPEKTSTSSSPGDRGLCEEEGASSTDALRPLELDGTANSADGQTESVSPGDQATSTEGDSRNGEFGRWQKNQCSADGSSRSTSKSGYSKAFGVDLSPDNVAVATVYFVQGVLGLSRLAVSFYLKDDLQLDPAETAVITGFSALPWLIKPLYGFISDSVPLFGYRRRSYLILSGILGAISWSFMATIVDDKYSAALSIILGSLAVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLPSSKRSISFSGSGLIESSRQQIMQIWTAVKQPNIFLPTLFIFLWQATPQSDSAMFYFVTNKIGFTPEFLGRVTLVTSVASLLGVGIYNSFLKAVPLRKIFLVTTILGSALGMTQVLLVTGLNRKLGISDEWFSIGDSLILTVLGQASFMPVLVLAAKLCPLGVEATLFATLMSISNAGSVAGGLVGAGLTQFLGVTKDNFENLALLIAVCNISSLLPLPLLGLLPDESPVANDGKTQGD >cds.KYUSt_chr3.30733 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192839290:192841457:-1 gene:KYUSg_chr3.30733 transcript:KYUSt_chr3.30733 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPLRWLGPWLGLSVPSNFVSDVFDLRFAEVLLDVLGLALLAWFGLLRSSPVCRLRGAFPSIDGRLPLDVFGTGLQIAEEDHVVASCSSCFLVIALRCTCTTHHHVGSTSVISGDTLSSRRCRDTSGDSISSNSSTPGDAVSSSAVSKCSGRQRASHPAPCSCSYAGIFSSTTVPVATSTKSIAGNTVTTSTKCDADPNAGNTVPVATSTKADADANPNAGNTVPISTSTKVNTNASNAVTSSAPATARVPAPSAERARLIPPAA >cds.KYUSt_chr4.21927 pep primary_assembly:MPB_Lper_Kyuss_1697:4:137904102:137913935:-1 gene:KYUSg_chr4.21927 transcript:KYUSt_chr4.21927 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCPIHELPRNVITNNFYARLSGHHKDYLDACLEGSFTSKEVEARWDLLERIQSNTEDWENDKARVSWAGLSLSVLPSHARARTSPPRQRTLRIDRTPESNKRADEISFAGQNAIPPNPQPPPTCSARRRQPARPTQPPDSRTPSPDASDAENNTQPCIPNAAGPSNAAPDATRKYAALHPQRRVAYPPEARSPPPCTFCPASSTAPLSRTTPEETKVVKHAWLCSVEGKVGTGGYVEKPPFKPLPPKEGNEEKEKKKKKKGTKKRKKRGNKKKEVTAYPRVYEITIGLLERNIFDLYLPEFDKPWVIHLRETCCCSTNLCSWRPNTVYRNRSVRRHQAIFASVGRKNTREKRALRQAEICRGNSLPEGEIVAIVTVIELDFIGIIIIIISTADTIISTAAPRLRCNI >cds.KYUSt_contig_2073.59 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:350512:352716:1 gene:KYUSg_contig_2073.59 transcript:KYUSt_contig_2073.59 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPASASSPVEFLLRRPTPRRRRLPLAGAFFAPSGLSGAPLLRALSSLAAGLLNTPRPPSQPRNLAALARRLALLDALLSSLLLHHDNNASPPPRFSDAADLCFRELYVVLFRAELLVSHVASAGRAWALLRGPHLAASFRDLDAELAVVLDVLPAASLRLSPDAAGHLNLLRAHCSRRAPAQYHDPDEALLRDRLLAALHHFELGHPPDATLLKSLLASIGISDAASCQAEIEYLDEQILSQEEDTDLLLVGGVLALLRYSLFSLFDPANARAARYWPSAGNVQRLPSWGGSGCDDTSFSVPKEFSCPISLDLMRDPVVVSTGQTYDRPSIIQWIGEGHSTCPNSGQVLADNRLVPNRALRSLISQWCGVYCFQYDSPESNEGMAECVATACSSKAAVEANKATARILVRMLVEDSDTAKAVAAKEIRLLAKAGKHNRAFIAELGAIPLLCRLLPSTDQMAQENAVTALLNLSIYEPNKTRIMEQEGCLRLIVNVLQNGWTTEAKENAAATLFSLSVVHDYKKMITNEPGALEKLASMLKKGTPRGRKDAVMALFNLSTHPESSSRMLESSAVVALIEALRNDTVSEEAAGALALLMKQPSVVHLVGSSETVITSLVGLMRRGTPKGKENAVSALYEICRRGGTTLVRRVAKLPGLNTVIQNITLTGTKRAKKKASLIVKLCQRSQMPSAMSLGTNLRVVDHSLVGNSSLRRAASFGSGELSNPVSISVPVP >cds.KYUSt_chr3.35991 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226225459:226229854:1 gene:KYUSg_chr3.35991 transcript:KYUSt_chr3.35991 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSWIICLATAAALLPIYVFTDPILRLLRQSPAISAVAGRYARWCVPQLFADAVNYPMQKFYQAQSRVWVMTLISGAVVGAHALLSWLVVARLGRGIVGAAIVGNVSWWLLNAAQFVYIVGGSFPEAWTGFSWKAFTKLGGFIKLSLASAVMLCLEMWYYTALVILVGCLKNPEIQVGAVSVCMNYTMWTLMVSIGFNVAVRRAIALFSFHLLLTDDLLSSQMLESCVSPFFGVRVANEFGAKHPKAVRFSVTVAIITSAAIRLIFTLVTLMARKQLARLFTGDNILVKETTKLGYLLAATIFLNSIQPVLSGVAIGAGWQSLVAFVNIACYYLVGLPLAAVFGFRKLNSEWGIWVGMLVGMILQTLILFVILFRTKWEKEAMLAEERVRACGGNVELPPADHPRDEMKH >cds.KYUSt_chr4.12042 pep primary_assembly:MPB_Lper_Kyuss_1697:4:73195160:73196197:1 gene:KYUSg_chr4.12042 transcript:KYUSt_chr4.12042 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAPQPIQSPKAAVDSLAAILGNALPDSLAGADDPASALLHDDGVSRAVSGRLRGAGSGAGHDAICGWLYGAFQSGIPALQLAVLRFVPTLAGVYMSRAVSRKPLAGFEAVILTLYAHAVAQRGAGEAETVALPNMASPSVYHEAVKPAAAPAAAAKPAKPADPDPDVVAELSPALEPHGTMRAMRRARIVGAVLELYYGRLALMPLSSKMDFCEFCVAWSGKHGGNDKPRVASARSPEGGEEKWRRVPLPWELFQPALRIVGHCLLGPANSDELRAQAARAAQCLYLRAMETMDARAVLACRSLIRLSQMVEEPIPEPSFNAIEANMAELEAMRANILSGKN >cds.KYUSt_chr5.43398 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273426718:273431960:-1 gene:KYUSg_chr5.43398 transcript:KYUSt_chr5.43398 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSARRSAAPDPGAAPKKPRLLAPPPSRDPRSSYAAAANGARATADAAAAAAAAEQQAQVDELVAQYRTALGELTFNSKPIITNLTIIAGENLHATRAIAALVCNNILEVPSDQKLPSLYLLDSIVKNIGKDYIKHFSARLPEVFCKAYKQVDPPVHSSMRHLFGTWKGVFSPASLQMIEKELGFQSSTNGSSGSAASKPDSQSQRPSHSIHVNPKYLEARQQLQQPNKGLLGAGAKTAIISDSDDDVDRVNTIAIDKGAGRRLDNLNSRPRAQRDPFSNPIHEKPDRDIRGLGFSSISQQPVGAGQVRSKSKGQDGIGGSYYTGGVSSSEEQFDRRSNFYANKDTRPPESDRFVSALLPTPVSTSDRTGRLSANKSWKNSEEEEYMWDDLHTQGADYGVASSARKGELMAEDGNISSFQRAKWSELGDHLDPDFRKQDTIPRFGHETGQDRRIAAYMDREEYLHSKRELEPRIDREMWPEGQQFPDSRVSSLWSSQEKTRPDIARDPRISRFSNQSASITSSVPVGLSGAYAGRSSLESATSGPTTFGQQKHKQWSPSPPPVQAPSSTASFARQSSPSSVEHDMYASRSSFLLSQNPLEEHNQRAHALSQGATHSQGRPNLQATPPHTSSQTQKHPSVLSKPHLKPPNNLFPQDSSSSSLFRPSIHLPPEEVPLPSDPAHVNSDQVSASNLLAGLLKSGFKPNTGDHASSRAQPPLPLVMPCLLAASASENATLKPHVPNSVRPPLPPGPPPTQKTDNPAPLSSLLSSLVAKGLISSPSTDSSAALPGKPSKSSPSTSGVSASPPPLQIVQPSVGKEKSAPKKTLLPQPVEIKTADLIGLEFMPALLREFHTHVVDSLFDDQCHQCKTCGLRFRLEEDLSEHAASHESGSSEPRNSGIAPERWYPNKKRWIDRSPEPQDIFLDSDTELCSAEEGCEFMVPADESQIICALCGEQFEDTYSIDKGEWMYKGAVYYDYSKVESNRGGVVKSQERAPIVHGRCMPRIADDGMEED >cds.KYUSt_chr4.19898 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125133462:125136441:-1 gene:KYUSg_chr4.19898 transcript:KYUSt_chr4.19898 gene_biotype:protein_coding transcript_biotype:protein_coding MDYASTSEDPRPCVEGKVDDGTNWGTGGQDELRPCRPDEIRRRRRDLQRIRRRQINVGKMDEALRVLDDMVLAGVEPDVVTYTTLVNGFIKNGRVDDGLALFREMSCKRIKPNTVAYGTIMDGLFHAGSTDAA >cds.KYUSt_chr2.15565 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97973717:97974842:-1 gene:KYUSg_chr2.15565 transcript:KYUSt_chr2.15565 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSSSSAATVAALPSNGLAVARTSFLGAGKAASRSSFTVRAAAPERPIWFPGSTPPPWLDGSLPGDFGFDPWGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPELLTKIGILNTPSWYTAGEQEYFTDTTTLFVVELILIGWAEGRRWADIIKPGSVNTDPIFPNNKLTGTDVGYPGGLWFDPLGYGNGSPEKLKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFRAFAPK >cds.KYUSt_chr6.9822 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60528806:60529668:1 gene:KYUSg_chr6.9822 transcript:KYUSt_chr6.9822 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALETAIEEVRVTLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGDAAAGGGYRCRAGTEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNRAAAAAANQLAEEEAKRAEDAAVAEAIASVAEGPGARRQRPPHRRRG >cds.KYUSt_chr7.2767 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16413787:16414125:1 gene:KYUSg_chr7.2767 transcript:KYUSt_chr7.2767 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGCSTCLEIIFAAVLPPLGVFFRYGCCSSQFFISLLLTVLGYVPGIVYSVYVILKMPPELPGIDGDRPYYILA >cds.KYUSt_chr7.37696 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235135634:235139767:1 gene:KYUSg_chr7.37696 transcript:KYUSt_chr7.37696 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSNNSFHGKIPSELGTLSQLSNLNLSMNTLEGNIPSELYACSHLQILDLGSNSLHGEIPPNLGQCKNLQEINLSNNKIQGSIPSSFANLPALRRLVLASNRLTGNIPPSLGSSPSLTYVYLGMNALTGIIPESLANSSSIQVLWLMNNNFTGELPKALFNTSSLLKISLRQNNLVGHLPSVTATSPPIQYLDLRDNHISGRIPSSLGNLSSLIDLLLMENDLVGNIPDSLGHIPTLQRLALSVNNLSGTVPPSLFNMSSLIYLGIANNSLGGSIPSNIGYTLPNIQALILSANKFDGPIPASLAKAYNLRMLYLNSNSLTGSIPFFESLPNLEELALAYNKLDAGNWGFISSLINCTRLTKLMLSGNNLQGKLPSSIGKLSDSLEWLWLRENKISGPIPLEIGNLKSLSSLYMDYNLITGNIPTTIGNLHNMVFLSFAHNRLSGQIPDTIGNLGQLSELKLDGNNLSGSIPQSIGRCNRLQILNLAHNSLDSSIPSNIFKISSLSELLDLSHNYLSGGVPVEVGHWVKHRREVSRARSRMSVLMVMEVVQICDDSSPRRLGPMAISLQAGKAVRLELPLLLKGTLSSYGCWRPPPPSSSRFLLTLCA >cds.KYUSt_chr1.3105 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18782112:18787596:1 gene:KYUSg_chr1.3105 transcript:KYUSt_chr1.3105 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAGGAVSLAVAGQATVIKLDLRNRSPTLGEDFFVGFEDHSLHGQVSSSLLALRRRLRYLDLSVNVVLGDAMAMPGFLGSLWKLTYLALSETGFRGRVPPQLGNLTRLVQLDMGNFLYPELYSDDISWLGHLCSLEHLRVRSVNLSGVTNWVHTVNALPNLVVLTLSSCGLHMSNAPSSLLRNNLTVLEELDLSGNSLNSLAIPNWLWDVTSLKSLSLRGCELSGTFPDELGNLTLLETFDISSNNIKGMIPGTIVSSKSWRQQHRYGDIREVIERIPSCSWKNFKELHMNDANITGTTLQLVSNLTSLSVLEISGNQLSGSMPVEIGRLTNLTYLDLWCLDGILRNSLHEEVEVAVLDHEISLISGLLYRDLQVFFTLQRSITSRSLYVVPDQQAGANTTGILIGNKVTVKNMSRTLALQEREQDDRQFVPHTLAGIEGLLLPQIFYIDGKIRHLRQGDMTVMAYVAELQALWVDQDNCDPLELYDAASIESGHKWIARRRVLKFLEGLSKCFDGRKASLLHHTSLPTLDEVIAAMAQEEVRLSLEPADEKVVSAPTFAVTERRE >cds.KYUSt_chr5.1488 pep primary_assembly:MPB_Lper_Kyuss_1697:5:10085025:10091767:1 gene:KYUSg_chr5.1488 transcript:KYUSt_chr5.1488 gene_biotype:protein_coding transcript_biotype:protein_coding MATCAGSSVDAFTEAANCTHTIFLGLDVREELGAEGRLHLGGDGVEEARVVGGAAVLGGCGAEEDEAGKKNGFWIQNSELGGAWARVCGGEAVQSFQSRGAVPIFPESPISLPQPPAPAYNSRSPPRRLSFPLLPCSQGLPAPLAPAAASGGGQRHLPKHGKIWCPQCDGASPAVGCLRTAAVLRRPDELLRQSLSSAALLPQRLDEILCEPLHTTALLPWRPVKLLHQPLYESKEMASPINFNQFLEKEKLKSNGSNFTDWFRHVRIFLSGGNLQFVLDAPLGDPPAEDESDEVKAVYATRKTRSVSEHMLAMTGHAKKLDDLGIVIPNGSGINRVLQSPPPSYKNFVMNYNMQNMNKELPELFGMLKAAEIEIKKEHQVLMVNKTTSFKKQGQV >cds.KYUSt_chr6.29948 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189677273:189678535:1 gene:KYUSg_chr6.29948 transcript:KYUSt_chr6.29948 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQQHKTAVVAVFLAALVLALPAAAYRPEATGYTPGGSTPAEATPTEAAGSTPAETGTPVAGGYTPGAAASTPAEATPTEAAPTDAAVSTPTEASTPAEETPTEPAVSTPAEAAAFAASRAFKDTPAAAAGTTPAAESGKATTDVQKLMEMVNGVYKKALEAANAAAPDDKFSVFDAAFTKGIQGGLADRIMPERVLFSTMINRAIKDAYVSTSAINVASEEERFSVFVFTLTEALRVMAATVEAHAIKPAAEEEVAGGAKEPAGDQLVIEKMDAAVKAAADASKEAPLSDKFLVFEATFSKAFKDEMGPAYDENKAIPQLNAAYKEAYKATVAATPEKRFDAFLNGLTKYIMAISQTAAKTGAGSKPAAEATTEPAAEDATKPAPEAAVKPAAEAAYKPVAQAAAKPAGGAVGGYNL >cds.KYUSt_chr3.16442 pep primary_assembly:MPB_Lper_Kyuss_1697:3:100870956:100871372:-1 gene:KYUSg_chr3.16442 transcript:KYUSt_chr3.16442 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSLQDSINPKPLSEGIGEEYPRHQRRDEEVEAKRREAEKERARHERHYQNHLSHHHQGFRGNQVSRAKPSVDNQPDWTMLEQIPFANFTKLSFAVNDQPEDLLVCGAVDSYDLPREHEGPGKFQSSPAAVSSQAA >cds.KYUSt_chr2.1697 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10064754:10066010:-1 gene:KYUSg_chr2.1697 transcript:KYUSt_chr2.1697 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMLSYIYASLPDFPLYDGRRLPPALATPDGADRLTRLPRELRRKIVSRLPVKDAARTAVLSWRWRTIWHSTPLVLIDAHLLPKGQGFPPTYSASSPPVAAAVSSILEAHPGPFSCVHLVCSRMGAHRPQLARWLQLLAAKGVQDLVLVNRPWPRDVPLPATLLSISTLTRLYLGLWKLPGAAALRGASLPHLRELGLCCVEMEHGDIDSLVARSPVLEILNILACFKGLRLRVVSQSLRCVQICLSIMENITVVKAPRLERLNLYASCNTATGHGLCTRVRIGDAPKLQAFGYLEPVHVLEIRDTIIMAGIKPSTSTMVTSVRFLSLIVRFGVRNDVKMLPTFLRCFPNMESLHILVFVTNSSSAYICIVFSHAAAGR >cds.KYUSt_chr3.6990 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40309580:40317478:-1 gene:KYUSg_chr3.6990 transcript:KYUSt_chr3.6990 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRFPRSSPVTVGQCSHGDRRSLQRQIATAAMVDNDCYDHASHLLRGGVDANLVGAGWQPRRSWTPVRAKLTTPMATSPGARLENMVEGGNYYEAQQMYKSTSARYTTCQRYKEGLDILQSGASIQLKHGQVTCGAELAVLFVDTLVKGQLPYNEETSGRIRKIYEAFPRIHMPHFLGDDYDDDGQKLSEAISAAKVRAEGCSSFLKAALRWSVEFGPSRNGSPELHVMLAEYIYSESPETDMTKVSSHFVRGNDPKKFASMLINFMGKCYPGEDDTAIARSVLMYLSLGNLRDANLLMDAMNEQLKSADLEFPKSDLIQFIKYLLQTLERDAYPLFKTLRQKYKTSTDRDPVFEEVLDEIAAKFYGIRQQNPLEGLFGDMFKM >cds.KYUSt_chr1.39212 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239854714:239856617:-1 gene:KYUSg_chr1.39212 transcript:KYUSt_chr1.39212 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGDDVPIIQAENLTSNVRSILYSRTFLSITGGVVAGIWGFTGLTGFIFYFLIMMVASLGLLAKSKFSVHTYFDSWSRILVEGVFGGLMSFVLFWTYP >cds.KYUSt_chr6.16869 pep primary_assembly:MPB_Lper_Kyuss_1697:6:106205988:106206719:1 gene:KYUSg_chr6.16869 transcript:KYUSt_chr6.16869 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKMGLNRGPWTAEEDMTLIAHIERHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTGEEEDAIIQLHAMLGNRWSMIAARLPGRTDNEIKNVWHTHLKKRLDSSMPSAAPKRKTKKPAAVTTVITHDSPTSAPVLPEQPISSSATNYSMASSSLEITESFSPDSQEFEIDDSFWSETLAMTVESSGSVMEAGDPRVADRASMPSSNADMDFWVQLFMQAGDMQNLPQI >cds.KYUSt_chr4.19572 pep primary_assembly:MPB_Lper_Kyuss_1697:4:123070364:123080600:-1 gene:KYUSg_chr4.19572 transcript:KYUSt_chr4.19572 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFHVGAGIPGVAPHYIPPPSTFNVLLGSSWTATKTCRTKTKLSRRASDSRDWGDDTGVPTPWSKGDAETSYATSASQTKPKADVTLRTSRRPLASNSGEKPHRKQTEGAGSGIRGTHQKHMKNHPATDEGRRIAESVRRHRYAAGDAEGSVPPRPTQSKGVG >cds.KYUSt_chr7.9922 pep primary_assembly:MPB_Lper_Kyuss_1697:7:60759753:60764120:1 gene:KYUSg_chr7.9922 transcript:KYUSt_chr7.9922 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGPGSAAGSPSPATVPVRRRCEGTAMGAVTLDLRPGVGVGPFTLGMPISDAFAQIEHQPNIYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQLRYATSLIGGPSTLATFVSVYALFGPTFPGIFDKDRGIYTLFYPGLSFAFPIPGQYTNFFNNGEVADLPLEFSDGTTPVTCRVSIYDSSTDSKVGVGSLMDKAVVPALPSGSLYMEEVHAKLGEELWFTIGGQHIPFGASPQDIWTELGRPCGIHQKQVDQMVIHSASDLRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYMKCNFVIYDPEGAQQPGNVSKNCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTLVYGYQNIAFEVMKNGYIATVTLFQS >cds.KYUSt_chr6.1243 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7770454:7773679:-1 gene:KYUSg_chr6.1243 transcript:KYUSt_chr6.1243 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPKPLHASFLCSLALALLRAGRLSAASHVAAALPAASPPAALLRRLVPALASSGLAAAAVRFRPVPGDPLTLNSILLSHCALRSLGPALALLRSSDSVDTVSYNVVISGLSEHGRHGGSLAPALLAEMCKRGVPFDAVTVNAALAGLCRSGQVEGAAALAEMMVRGGGIQRLDVVGWNALIDGYCKSGDVAAALTAAQTMRAQGVGVDVVGYSTLIAGLCRAGEVDAARDMLDTMKADGVEPNVVTYTTFIADCCRRKAIDDAFSLYEEMVRTGVLPDVVTLSALVDGLCRVGRFSEAYALFREMEKVGAAPNHVTYCTLIDSLAKAQRGSESLGLLGEVVSRGVVMDLVMYTALMDWLGKEGKIDQVRDMLRCALAENHTPNGITYTVLIDALCRAGDVDGAEQALLEMEEKSVSPNVVTLSSIINGLVKQGLLGKATDYMRKMKERGIDPNVVTYGTVIDGFFKCQEGQETALDVYHEMLCDGVEPNKFVVDSLVNGLRKNGKIEEAEAFFRDMNERGMLLDHVNYTTLIDGLFKAGNMAAAFKVGQELTERNLLPDAVVYNVFVNCLCMLGKSKEAESFLKEMQNTGLKPDQVTYNTMIAAQCREGKTAKALKLLSGMKRSSIKPNLITYNTLIAALFEAGAVEKAKHCLNEMSSSGFSPTSLTHRRVLEACSQSGRPAMILEIHDWMMGAGLCADITVYNTLVRVLCYHGMTRKAAAVLEEMSGRGIAPDTITFNALILGHFKSSHVDNAFATYYQMLHHGVSPNVATFNTILGGLESAGRIGEANKVLNEMEKSGIEPSNLTYDILVTGYAKQNNKVEAMRLYCEMVGKGFLPKVSTYNALISDFAKVGMMSQAKELLNEMEKRGVVPTSCTYDILVSGWSKLRNGNEVRRFLKDMKEKGFSPSKGTINSICRAFSKPGMNWEARRLLKKLYQGAYCCSVLSFRSSQHPVKRYSKLLAEIIPESPLTASLHALYAAAAAAAAAASVVGLGLVISLNLLLQDDPSNE >cds.KYUSt_chr7.7735 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46627508:46627807:-1 gene:KYUSg_chr7.7735 transcript:KYUSt_chr7.7735 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRRGAQLARVPGRLRPLHPRRGIGSPVPLAAIPPAVLMPPPAAPGVAAKRKRGPTGTGKAAIKRKARRMADLLTLPSVEDLSAEWQGEGGVASAMV >cds.KYUSt_chr5.41388 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261257573:261260152:-1 gene:KYUSg_chr5.41388 transcript:KYUSt_chr5.41388 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVDCDLDPELQNVSLDTLFLIVLQATVVIALAKFIHLSLRRHNQPSAISQILAGIMVGSLGLHEVIVHVDVVNVEDTYEWYVSEARIFYMFYVGLDADLAALWNDSRRGIVATYASVATCLLLAAFVSGGMYGSMMHTPVRSPELLAAVLMLTIANTSSVDVTRMVHEVGLAATATGRLLVATAIATNVICIVGEGVFSCMKMASGRTPGYSAPQRLGLGVLALLKVGIAMALLRPAVAFMNRRNAGRHRIGNWELALILVAVSFIGNFPRRAGFDGMPATLLLGLAFPREGPVARTVMHALAYPLHALAVPFYFGTMGMRLNFSAMSGAVVVPAVLLTILGLIGKCLGTMGAARFLDHMPLADAARLGVLLNIKGHVNMIDMSFASSEGIWAEQALMAMVMGSMISTVIAGPVFAVVYRKEREAYLSSGDRTLERLVVNKVADDQSTELSMLACVHGARGAPGMLSLVELLASKPDVQPAVHVLHLYDAARKHARAGTGGAKRYHEQVQIDSEKHLDRINDAATQVNWTVDLFASITGLVIRQIDAGDRGPVTNLKTVRRCTEEVRADVLLVPYHKEQHYDGKMVCRWEDRRRLCLGALERAPCTVAVLADRPFRRGSTSFQLPTKISTSEEALGNQRDDRAAAPTHVAAVFLGGPDDREAMSFACRLARNHTVSLTVIRFVQRGEHDDDRVHTTTHAGDHAGGEVSVMVDSTGDDPDDCCMAAFHREYVAKELASYVEKAVVAPEDVLQALRGMAGAYALVVVGRGGRQPAELVAGLERWVECAEMGPVGDILASEESLEMGSVIVVQQKKAPPPPFDLDPPAVAM >cds.KYUSt_contig_686-1.91 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:686644:688448:1 gene:KYUSg_contig_686-1.91 transcript:KYUSt_contig_686-1.91 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGSKAAMEKEGSKAASASAAAFAVLHAEKAEAEASATASEAELLVKEPEVEDLKEGGAQGSVAAADLEKPEAEAAVVVEGGVGAAAPVLADIVDQKAEAEEGVAVRGSADAGKGKEEVVVREAEVEDPYTAWVVGGKKRKFEELGEPVYPSDSEGSLEYDSTESAESVDKRNVGSFKRKLLEQLRVGSLRYVKRGHYTCPWHTRKPKCGTKKNLKQHARELSRTGTSARIRAQHEVLLVVLEENAQE >cds.KYUSt_chr1.9884 pep primary_assembly:MPB_Lper_Kyuss_1697:1:60463009:60463281:1 gene:KYUSg_chr1.9884 transcript:KYUSt_chr1.9884 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSRNTVVALCLVALVFAAASLPAATAFGCYDDCYERCANGKQDPACVKMCNEACGADAAAKAAAGASAAGSTPGKADAAKAPAAGASA >cds.KYUSt_chr4.8751 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52486875:52488433:1 gene:KYUSg_chr4.8751 transcript:KYUSt_chr4.8751 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTVEEVRKAQRAEGPATVLAIGTTTPENIVYQADYADYYFRVTKSEHLVDLKDKFKKMCFRSSHICIYCMHIFETNEVLTPSSSSVLMSVNLYIHAGDKSMIRKRYMHLTEEILEEHPNICAYMAPSLDARQDILVAEIPKLGKTAAQKAIKEWGQPMSKITHLVFCTTSGVDMPGADYQLIKMLGLSPSVRRVMLYQQGCFAGGTVLRVAKDLAENNRDARVLVVCSEITAVTFRGPTETQLDSMVGQALFGDGAAAVIIGADPNMAIERPLFELVSASQTILPDTEGFIEGHLREVGLTFHLHRNVPVAISNNIERALVDAFAPLGIDDWNSIFWVAHPGGPAILNMVEARAKLDKNRMRATRHILSEYGNMSSACVLFILDEMRKRSLQDGNATTGEGMDWGVLFGFGPGLTVETVVLHSIPISTP >cds.KYUSt_chr5.10474 pep primary_assembly:MPB_Lper_Kyuss_1697:5:67280900:67281385:-1 gene:KYUSg_chr5.10474 transcript:KYUSt_chr5.10474 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRRSGGGVPCKSRRIAWPSGRCGAQRRPVEVGGHGREHGRLAGSALGRDSVEVAAAALGGAQKRKSGPASAVLGGEPMEVAAIASYGGAGGRPARWSEENPWRSRSSPAVEERMARLRTDGHGISPSGRLLQAVRESGSFLREMGSGIGVNASETLDKS >cds.KYUSt_chr2.51255 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320434920:320435332:-1 gene:KYUSg_chr2.51255 transcript:KYUSt_chr2.51255 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFPRAKGAGGVRHAPPRRRLVSFRQCSSNEGNAYAAKNNPMQSPDEQFLQLSLEEGEGDGTVGGIGESVLQDVKKSAIELLAIRSELIDSSPEYPEILQESR >cds.KYUSt_chr7.40440 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251079810:251083934:-1 gene:KYUSg_chr7.40440 transcript:KYUSt_chr7.40440 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPAAMWWLWWAMVAALAAVVLDFGARAAHAWYWTASLGGERRRRLPPGDMGWPLVGGMWAFLRAFKSGKPDAFIDSFVRRFGRTGLYRAFMFSCPTIMVVTADACKQVLMDDEAFVTGWPKATVMLIGPKSFVNMPYDEHRRLRKLTAAPINGFEALTSYLGFIDHTVVSTLRAWSSENKEIEFLTEMRRMTFRIIMQIFMSGADERTMAYLEKSYTELNYGMRAMAINVPGFAYHKALKARKKLVTVLQRVLDERRAKGKGGRKVVDMMDRLIAVEDESGRRLEDDEIIDILLMYLNAGHESSGHITMWATVFMQENPDILAKAKAEQEEIMRNIPPTQKGLNLRDFRKMEYLSHVIDETLRFVNISFVSFRRATRDVFINGYLIPKGWKAQLWYRTVHMDPQVYPDPKKFDPSRWEGPPPRAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYKLTRVNPDCKVRYLPHPRPVDNCLAKITKVSSSNGY >cds.KYUSt_chr3.38947 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245440514:245446709:-1 gene:KYUSg_chr3.38947 transcript:KYUSt_chr3.38947 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVVATVRWSTACSALLNAAAASTGAAVAAFALRGRCGGALGPAAAAASAAALARLLASAVAGFAQGAAAAAIAAGAIGAHVDSDRDLRHLSRLRYKRWLWWTRFGMVITVLQFVLALYLMCVIIKDFSARKSLKECFSGHDQDNSDWKHIMLMSFLVVMWVGTIVQCATGSDVLRWRSFYATHDIAWRAHYREVFDHGIREVLCCLGRVKYSSVLEDDDICVVAKLLGDIMAYRASGTGHLELVAGFSLLQKSKLSTVTSREQAEAPHDLIQDAILFHPFAEAAYTGPLLDIGRNPLMFPCVWLNRQGILTPWTRSRRPILEGDNWWRGHAAAFLKYVNVAPEVLRKGRVSQTKREAAYFVIVLHELRTIVIAIRGTETLEDVITDGLCRECSLTMDDLDGLINSDQLPPQVKQSVRSSFPHYGHAGIVESARELYSKLEGQPIHQDKSELMTAGFLSSLLGAGCECDGYNIEIVGHSLGGAVAALLGIRLYRQFPKLHVYTYGAAPCVDFTIADACSQFVTSIVHNDEFSSRLSMNSVIRLRAAAIKALSKDSSRNSAKVGKLVSGITSIKRHDEHAVVRCASAGALHTVSEAKPSNGEVHGKSVVHTVRGGVFLFGQAISCLINTPKHRIVSPGGINYEVGRSRTTLTYNGEKITVPSHGVMDVAHSEEPSDANRNGIFPEAVLDESGRSCRLPHSNNGSDVSSAPNDHVCTVSLSEGQSPEVYIPGLIVHIVPVKNGTSPLRKTLLTRHKNKSYKAFIANRRDFMDLAVTPRMFLDHLPWRCHYAMQKAIETRKRSQLIHNSLTGGDSV >cds.KYUSt_chr4.7458 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44362611:44363607:1 gene:KYUSg_chr4.7458 transcript:KYUSt_chr4.7458 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAPAAAADSPGEAPPAAKKTGRVKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKDGCGGAPDVQIYIETTQNSGGRLVS >cds.KYUSt_chr3.23623 pep primary_assembly:MPB_Lper_Kyuss_1697:3:146102631:146103740:1 gene:KYUSg_chr3.23623 transcript:KYUSt_chr3.23623 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFSASGRRLLSTAAAVSEYAVPIARIRNLARAGRLTDIDATVAPLISAHPKAVISALSVVGLPDQASAILSTIPSPTSELLNALLGPLLRRRRHGERVPGLLNAHSSAPRNAVTWSILAKSLCITKGADEAVYLLHGEEPPSLHLYTAIIDSYYKEKKPHRAEELWRDMVDKHGIKPDAAAYNVRITYKSTAGTVEEVKELIRAMHEEAGIQPDAVSYNGLIRVLGRHKRVDEALEVYMSFQEKVGAEGNTEAKSTPDCSTYTAMARALCNAERWSEAEDVFYEGVKRRKVVELGTVRKLVVGLNKAGKGRAARRVVVGLRKKFPDHFNGPWQELEKDAGLTPGASNEEEDAEEDKQQLAKTAATA >cds.KYUSt_chr6.10281 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63290724:63292924:-1 gene:KYUSg_chr6.10281 transcript:KYUSt_chr6.10281 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSVLPRWGALPALIVLSVLAVLVSDVEGENQCPPFSCGDLHNISYPFRRPGDPAECGVASYELVCSNSKAIIDINTGTYYVTGINYTASYFWAVDANLDMNSSCPLPQWNQLPYPSWRLVSSQLIDLVTGGTWACFLNCSRAITNNSRYKPVTCLSLQGQDASYAGISEFTRKGFAVGFPVGENSRKYSFPEIIKKCLNNSTRAIISFPKFLAGMFVLAPLTVVIFLAFKYWKTNITVNGVDKFLRMQEMLGPMRYAYTDITAITSHFRDKLGQGGYGSVYKGVLLPGDVHVAVKMLEGKANCDGEDFISEVSTIGRIHHINVVRLVGFCSEETRRALVYEYMPQGSLDKYIFSSGKSFSWDRLNEIALGIARGLDYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRGNSFVPLSVLRGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAAKSSQAYYPSWVYDCLTEQGVDEISLAAEMHELERKLCIVGLWCIQMKSHDRPAMSEVIEMLEAGIDILQMPSRPFFGDEGRIHVEDSYHLSSELTAISEEDEQNAHL >cds.KYUSt_chr4.41177 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254548426:254549205:-1 gene:KYUSg_chr4.41177 transcript:KYUSt_chr4.41177 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEASELLHAPADPAPDACWPMLRSGSDSELHALALAPPVALGEASPNQGWSLSSPHAHLACTLGQPALHGYLQSSLGLPGVDRADDAAFFEAVGAFGAAAPPPASVVGLPIIDDVAWQLQRLDLGGGGPLDKLFTAPPPAILATPPADVHVPNLGADASTLPSAVARRSARIEKLVLGSSTATERAQALLAKQLQFIDKVHDFSPKVRGRYVDRFKSPLGRKAVAKLAQIVGIGSRASVALPDDDLQACLGVDGAGA >cds.KYUSt_chr2.19124 pep primary_assembly:MPB_Lper_Kyuss_1697:2:120346277:120346861:1 gene:KYUSg_chr2.19124 transcript:KYUSt_chr2.19124 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKPVVICCSVLLALIVILAIVFVVLYFTVFRPRSPHVAAKVVSMTVTQVDVLAIPPKLNLSFDVAVTVKNPNYASFRYGDVVTDLNYHGLAVGQSVMFAGEVGARTTQTVTATVIVQADKVVFTPAFVQELAASVFNPPLMLPFETRTTVAGKAVVAATFKIKASSAVTCLISSYPLTGESNTNCTSTTNVG >cds.KYUSt_chr6.4599 pep primary_assembly:MPB_Lper_Kyuss_1697:6:26882218:26884691:1 gene:KYUSg_chr6.4599 transcript:KYUSt_chr6.4599 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLGRAGYIGHIDGTTDVSPNDAAWCTSEYTALNVLHASIKEYITDMILTSDQTASPRTARPNVASTTLVAQTRAPAPPCPDPGCHSDAPNTSKGKPIYQPKTKNGGRPGGGAATRSCSTPAPAGPWVCFSSGRTIVGPHPQAYHTTTAPIYQSVSSSSTSPTWDNYALIVALNNMALQQGGWVMYSGASSHMTNDDACSGLDYTTHGITTSSRRSLWRPDYRPGCPVGLCRGLSTG >cds.KYUSt_contig_402.306 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1828696:1835076:1 gene:KYUSg_contig_402.306 transcript:KYUSt_contig_402.306 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALNGKQQEEEEVERPPRANPAAGALHAEPLRRRAPPRTPPPDPPQGPPPSPPRAEAYILEEVSDVTTTYYADDVPTLHNKLSRYNVDEPKYEPKLTLFQGQGGKAGGSKNYTLTKKEWEDIMFYVLINIKEVAESFMSQFMDEEWPERRYPNATELDNLLRKGAPGRKNLVGWFMEKGNDPNTLMPDELRWVSQGFDRTVKMYEKYDVNGYRFHTEFHQNNRPNAKTINTGVFTGGVDNLEYYGRLQNVYELSFDHGAQHLTLVVFKCHWFDPVRGMRTTPSIGLVEVRPSTTYQGADVFVVAHQARQVYYLPYLCQKEELKGWEVVFKVSPHGKLPMPNEDDYNNINPITYEGDFYQEQEDGVDFDILMGGLEDLENNEQNRGEAVVNVKDIDMLSKLHLNDDDVDEPAPVEGLPYYSHDSDSDNDDSRKQSSFSLLKKLRQEKPGTTRSGRAHIPTRRFGDSDDEGREDGLPPRGRGRRTTSEEVSLSQRRGGGLPPRGRGRGQERTLGQRTPTPSLSDVPSATGTETSEGEEGARGASPEDEEIEEDSEVQQEEIDEEEEAEAEDEEEEEELEPQGSSNNWSFVGTRGRLPNGVLGVLLKKYWPGLYRLKPLDETCRDKKLALKWEDYEAAHDESFGTYANAVITNFWVFYKVPKEDSTKAGQVLVNAARKMVRQQRYDARIVAISHFYAEQGKRVLKKEIVEKGLTLSKEEFMGIAPKWVDGRDDGWAALADLWVGEDAEFMAQSMKNRENRGKDGTHNAGNRSHDRYKAHQEQVHGKPLTNLGAWKMSHKKTKVDKPREEKYYGKSGTYLQNYATAFKKLHGEDSQPLEEEVDETVVIVSGLGKRHGRHQILDGVIAPTTTLTQVRASSMSSSQLIPPRPRPGGSRQAFDTSLDEAYNRLYESYEEKLEEYVKSVEAHDAYQASHNAAVMAYITTGVQPTMGSPPPPKPPLPRIPTREEFLASVASGIPGSAQSSTDFGRASASPSPSLSQSRPITPIHTGSSRGPSASAEPADLPFQVSSM >cds.KYUSt_chr5.20813 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135157440:135163024:1 gene:KYUSg_chr5.20813 transcript:KYUSt_chr5.20813 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGPRRHHRRRHTKLKRASTTELIPPGPTSVHHLPDHLLELVLLRVGSSLALLRAAFTCKRWRRIIAADTAFIATFRALHAPHVPGHYHIVDPSHDDLPPDGNNQAFVPDSSTPDVIDRRHFVLDFLPDLDWELGDSRGGLLLLYRRPHDAWYRTQPELRFTDIIVCNPLTRRYQGILCWNEMYHALCLGLFLLDGAAVDETGSCCISMSNFRVVSVVYETPTPSVWVFTSGSDGGWQESAASSGGVPIPEFHLISFVGRACSSLYWRMEGEGVVLALDETTLDFTLVTFPYTVVGLPGESSTFRVIGGHEVDSPELRVVRIVNNGDLTVFAKLQRSAEWVTEKIVRLPAATCGLPGYVETYFQSSSDQQRLSRRLQATSSKTMAFDDNNTNVNATWYIDQEASHEDQANGERAGNDEDGATASRPELPPPRTGTSGQMPSRFPPDAFQRPEDRIRPELPPLETGTSAHRNFRPSSAQVPKVGENLTGCYCGTMAQFRNKAGTWPELPPRPKLPPVQTGTSAPGRNFRPS >cds.KYUSt_chr1.20049 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118089323:118099445:1 gene:KYUSg_chr1.20049 transcript:KYUSt_chr1.20049 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQALSTGRMTDRIRVCLDQIRSGKKKDIPALPLVYRPVKTPARARTTKIEFKPGDANPAPSCLDCPPQHEGSSEFPIFPLVWLHDLSRGWMKERKPAASSTLSRILATYAMQAKDYGRCVAAKVPEIEHNMCSKEFLALRACMQTVILYWKIAPSAPSGPPNSDPVNEGPVLSVRFSLDQKAIGIQRSSHEVEFRNRETGEACSKKCRADSETILGFFWTDCPTCDVIIIKTSGLDLLVYEPQSNALRLVESKKFNVTWYLYTHESRLLLLASGMQCTLFTGYQFSAGGIVKLPKFEMMMSKCEANNKPVLAAADVHIVTVYGRIYCLQLDRVNMALNLYRFYRDAVVQQATLPTYSSRIAVSAVDNLIMVHQIDAKVVILYDVFMDSYTPISAPLPLLVRGLPSNSRQAAQTADHQSSAYGGTLYGEGWNFLIPDLICDADNGLLWKLHLDLESIAASTSDAPSILEFLQRRKYDLSTVKTLSLAIIRTIILERRPINLVAKAMDVILDSYSRLMKMGGSAAGARRPSEQNDQSSGQLVEGSRVVSQEPPSGTMNRPVLHTDSAIEVECRPEDGITNLAAHVDRTFLNASSDSEDIANTSRAPSQATSGATSKRPQVLGEDSRPLASGASMQHGTHVASVTISPIEMFQSVFLLVEDEMMGDPAYLIVVIMEFLRSMSKAGLKAPPNLYVMLTTLLARSNRYAEIALFVSNKILEPSRELAMQLVELGRQHSLTRKLGMDMLRERCLHHDYVAVLLQEGYYLEALRFVQVITVQPALFLEKAVAKDSARDVAAVLSFFSESMPSFKTTSDYGRYQHVLSEMV >cds.KYUSt_chr4.17911 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112251944:112253161:1 gene:KYUSg_chr4.17911 transcript:KYUSt_chr4.17911 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPYRRRRWRGERLRLLIPSPAEEDLDPLGAVAPPPAQRPEEDLDPPFYPPQAATHKLLPSRSSQRAAARCDRDEDNIGHAIWMKEKSQETNVDAVKELRKETGGSLNH >cds.KYUSt_chr7.39309 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244504246:244516419:-1 gene:KYUSg_chr7.39309 transcript:KYUSt_chr7.39309 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKVLAQRTAKKHITAKKEMAHGKEVSHGKELEKRTAKILRRQSPCKPHGKETAHGKGLGTAVRPNFAVQTDKLHGKGSFAVRFSLCRCCLPALGGVWVVVLVCRHSCYLSSAAVLTPVPGRMLTALCRHDSVCQPASGEWSGIPPCMPFPGPARLRLALSGLWWWQGRGQGLAGSGSGWSGSSQHSFLLARSGCQVSGFERMDNRGWMYDGRISQWNYTDEWGEKTEQFVDRAFAIPSRPISVWCPCSKCANRKAQDKETMSMHLIKFGFTPSYRIWTYHGEKAKKCARKEVRQIQPRGNMTLGFDRCLENLANGNVPESSHVEVETPRDAETSEDPEENTKEYYEALFASQKPLHENTRVVSGSDVLSVKGFCDAAIRWPQAQSQLLPGSLLYLEWCLRGPDGPLAIDQLPALSGHHGIAQCKIGLVITKTILLTYQARTDKEMKSELRKIARGFDHSVEAFNSYDVNGYRFTTHQYTTSRPNAKTINSGVLVPVRRPDARDRHVGTRTSLGAYLGGISPGIVNFPTGGCDVAWRWAHYSLAEDPLGRGTAADMVVAKFGYVTFDFLPFIHSPWFTIIALMPLVLPMCMVAKYFKRAEGKENACDDVLHQLARKRVGGMHYEARIQCVRDRHADRFVHMSKEDARDTLMQPWRYLQNPPQYVGNDDRCFRAMVMWWTCPQYLKKHEEGKAKRAEMRGGSHIQGSIPISLHLQKEVSKLMVPSVFEFMLYIC >cds.KYUSt_chr6.4914 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28990729:28990965:1 gene:KYUSg_chr6.4914 transcript:KYUSt_chr6.4914 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAQNANRVCGTSPSTDQLGVKQPPSSSRLHPPPSTRAEPPITLRLPRFQVLRRSEDLQSAGLLPQIHTVVGRPPAA >cds.KYUSt_chr2.48818 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305446060:305447492:1 gene:KYUSg_chr2.48818 transcript:KYUSt_chr2.48818 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAWASLQEKLQGRHWKERQVRKITDKVFDRLTEDTQKREKEALTFEEVYIAVLCVYNEINKYLPGPHYDPPSKEKLKALIDEFDINLDGLLDREEFAEFIRKLTAESLCAISLKLIITLVAAPALALATKRATEGVPGVGKVVHKVPNAIYAAVITLAAVMVQKSAEGVE >cds.KYUSt_chr3.24687 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153217705:153224572:-1 gene:KYUSg_chr3.24687 transcript:KYUSt_chr3.24687 gene_biotype:protein_coding transcript_biotype:protein_coding MEELATALPPPRHRPRRHRRKASDSATYGDVFGGGPRFEPPPPLAGAGVPYSDVFGGVAASCSIPYLDLPPAAAGGRDGGAGRYGEIFARFDFGELAAPYEDVFAVAEQEEEEQGMAEEIASWSGSSRSSIRKESGEVDAEPSLLYQHYPNVVYDQHFDDEQFSPISLPQGGEQQFSMSYNKAARGRLDDLVEITTCVVEPSISFVVDSCNLSNDSATDHLPAMEDGTHANGENKEMSPTPVPASSESVADEQQHVAACPFTSENLYEDEKDNRRSSTHSASSEEVPSPDYPFLRVSNTCIQTPPIKVQPPSMIPSTLLNKSTSLNKKESKANGDSQVNPNSAAAAAIKEAMDFAEARLKAAKELMERKGDSFKLRKRSGHHRGTKSTEIKEDKSLEEVHLVEENETLRRLGEEENNYDDLAFLDKCRDNSVVKMVDCCHEEKGVLPPGKPQQMMEDGSKVDQLGKWASSAEFYDRISHDQKCTTNAAACEDDNGLTANPFITHSQAEKAKEEVTTGDLEVYGNLSDGNDKTELRMKRVDLREPDAASLGVEHKASTAPEVSSWEERAVYQETIDSHINKYVGQNNSPKGRDNDKIFETSSINSTPPKLHVVQHISSFSLEACISEDHANGKKNYCAASTEETPLVGNPNKENDDKEELEILCAAETPCTSIRNQISDEHLEVPYISEIETSQEKIAKLEEPTEYHETQCSQKMSRTVHREAETYEKDKLFSSVDEACQQNEKEKISDVPLETLIHEEMKKFETEEKAGPCEEAKVATLEESAEYYETQCSQKMSSTVHREAETYEKDKLNSFVAEASLQNEKGKITEVPSETPIHEETKEFETKEKTGPHDDFQEEDVFWDAGSPEKDANDTPRSSNADANDEAEVLNTFLGDCDMESNVKTCDTFAEDSDQHPESQGSPEGLVNKMDRVENLVSHGNEMEAKQTLSENSEKTVVEETLNHDKESQTSTELDTTKGLNDVYAEIIARNGREHSVLHSGTEVITDDYNDYTMKMGTCSKDLQPSFSEACTSMPHLSQNAESVCALTSGESTPFVENIEECTKSDTGFWTTRYTTSEGKKTVDKMQERGDKDRKLTLDFKDQQSLAEDSASKFAQKSRKDTPDAERVEARDDIRKNESENENENEVSLRSDKDKERECKSEKEQSKEKSRRELEEEKERERERAKDRLAVQRATREAHERAFAEARATAERIALERITSSRQRASAEARVKDKASDEPASEKASREARIKAERAAVERATLEARERAIGKAKAAADAKERIEKEQKAASNNYGRSSDSFNQETEFESALRHKARSERHQRTAERAEKALAEKNMRDMLAQREQTEKHRLSEFLDPEVKRWSNGKEGNLRALLSTLQYVRMSTYKIST >cds.KYUSt_chr4.51840 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321891010:321892193:1 gene:KYUSg_chr4.51840 transcript:KYUSt_chr4.51840 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDKSCLVELGPVPFKDVNNDDDNGQTVISPPAEHADIIATLPSIAGVGDSQLLKYQDVWLLIHGVPGVISVQQRFKSRPGDVLLASPPKCGTTWLKALSFATMARAKYPPSDANHPLRRLNPHECVPFMENLFSAGQEAKLEALPSPRLLQTHMHYSMLPRSLADCKIVFVCREPKDMLVSMWHFLQSAGFSFPFSDLFELACQGQNPYGPIWSHLLGYWTASTARPEMVLFLRYEDMLADQISTVRQLARFMDVPFTAAEEAAGLPVDIAEMCSIDALRELDANKTGKFGLFFKFQHQTFFRKGVVGDWVNYMTPEMARRIDAIVGDKLHGSGLTFTSAGTIASQDQDARTLLLPTSSSSSS >cds.KYUSt_chr7.10828 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66548121:66548492:-1 gene:KYUSg_chr7.10828 transcript:KYUSt_chr7.10828 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIHRRDTRAMYSVALVLMALAVMSSVSIIYCQAAEVMERGHCFGDILCEDEQCRQAFRKMGYANWKDAYCSFESEIRYCCVDK >cds.KYUSt_chr1.2607 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15577517:15597564:1 gene:KYUSg_chr1.2607 transcript:KYUSt_chr1.2607 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISRIPRDGIGGGGVSGKVFRIVVLGTGVFVTETFYRRKGSISMDEVRKKLFSALLSGQHKDYLDACSEGSFTSKEVEARWDLLDRIEDNAEGWENNEVSSIVSESKSGYADKPPFKPLLPEEGNEEKEEKKKKKGTKKKKKKKENKKKEVTAYPRVNEITLGNRKYVAPNDYCDNESEYDDLPMPFTYISNHDLNEHTTFDIANLWETNSENDDDNNCHSVSTIHASSHDDIESSKLGEEVFANPLATGHYVLDTSPSNNNNGVDTDKPIVKDNYSISYDDTMPPISNDYYKECYDIVQPPDDCNEPHRVAMTSADQAELTTYRDPTTLHQVFSLYLLMKTHKRQAAATSKTVVESLAVAPGCQASCGGVDIPYPFGIGSGCFRKGFEIDCINNGPVLAGTTLQVVYLSVDPAESLVMLPVAWQCYNASSPGEAEDYSNGETAGMKKDGVYRISSTHNMLVVLGCNTFGFTASAGNFKGCMSYCNSSGSAEDGMCAGVGCCHVDIPPGLTDSYFRFWAYDHSAMMDYSPCDYVFLVDRTNYTFRRSDLLMDRNRTSPVRLDWAIRNNDAMSGAVLSCSDAAKSTTDPEYACISDHSECVDSINGPGYSCSCSDGYEGNPYLVNGCTRDLVCLFLVDMVLYKFWLLPSIIRLKAVGKGS >cds.KYUSt_chr6.22736 pep primary_assembly:MPB_Lper_Kyuss_1697:6:143474983:143481047:-1 gene:KYUSg_chr6.22736 transcript:KYUSt_chr6.22736 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLEVPSTVVGKNPGGGGGRGGHGRPGGPRKQPLQSSLAQPKAAAEARPPKAGGKKCNSGASAPRRGEQARSKHMAAVEPVPAARGSGIVAAAEPPPPVSSSKGLELCRRPGFGTVGARCVVKANHFLAEVADKDLTQYDVKITPESRSRSVNRAVVAELVRLYRASDLGMRLPAYDGRSNLYTAGRLPFDAREFVLRLAVDDEGGSDATAREREYKVAIKFAARADLHHLRQFIAGRQPDAPQEALQVLDVVLREVANQRYLAVRRSFYSPDIRAPQRLGDGLHSWFGFYQSIRPTQMGLALNVDMSSTAFIEPLPVIDFVAQFLGKDVMSRRLSDANRIKIKKALRDLKIEITHRGSLRRKYRVSGLTAQPTHELVFPIGDEMKSVVEYFKEMYGFSIKQPHLPCLLVGNPKKPNYLPMEACKIVEGQRYKKRLNEKQITSLLKVTCQRPREKEMDIRRTVHQNEYDQDPYAKEFGINISDKLTCVEARVLPAPWLKYHDTGKEKECLPRDGQWDMKNKRVVNGCTVNYWACINFSSSIRESTASGFCQELAQTCKILGMEFNSEPAIPIYSGRPDHAVQALKHVYKAALNKLKGNELELLLVILPDNNGPLYGDIKRICETELGLISQCCLTKHVFKICNRYLANVSLKINVKMGGRNTVLLDAVSRRIPLVSDIPTIIFGADVTHPETREDTSPSIAAVVASQDWPEVTKYAGLVCAQPHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSAGQFHQVLLFELDAIRKACASLEPNYQPPVTFVIVQKRHHTKLFADNHKDRSSIDKSGNILPGTVVDSKICHPTQFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPVYYAHLAAFRARFYMDQDMPENRSVKNANGTNGSAMKTMPAVKEKVKRVMFYC >cds.KYUSt_contig_528.210 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1325074:1328847:-1 gene:KYUSg_contig_528.210 transcript:KYUSt_contig_528.210 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRYDNPFEEGGTDEVNPFADKATRGAPAAQSSYSGGSFYTTQSGPTPPSNTRLSPLPPEPADFYNDFSSPVDIPMDTGKVVTLLHCGFKSFDDMKTREKELLAKEAELSRREKDIKRREEAAARAGIVIEEKNWPPFFPIIHHDINNEIPVHLQRTQYVAFASLLGLVLCLFWNIVCVTAAWIKGEGPKIWFLAIIYFILGCPGAYYLWYRPLYRAMRNDSALRFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISLIGKSVIVGIFYFLGFAIFCLESLLSMWVIQRVYHYFRGSGKDAQMKREAARGAARAAF >cds.KYUSt_chr2.47021 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294052067:294054667:-1 gene:KYUSg_chr2.47021 transcript:KYUSt_chr2.47021 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHVVEYPDEHEVGGGMKVEVAYDGVHSAAAVHPSAFRYKHKKRSKVWEEYKPIFLNGKVQFAECLYCHNRLSCKDSNGTSHLWRHQKICPGKPESAERRQQKDSYFPYVSVNEHSPVSPIDPVNAIISETLDDIGSVIPSRFKSKVWKEFVPVYVEGKLQAADCIHCQKRLSANKFGGRSHLSRHLLTCPGRRGGAHNHQKIQFHPSSAPTLKSRVQDELSPALTNGKVQIAEYSSKLFRTSSSGETAPIIRPIQMVPAHQFPPASDGTSVKKEKTSFVNISTDTSARKFDKGTAYQELAKMIILHGYPFSVVEHEEMRRFIKNLNPMVDAVSQDVMEEHCTALFQKEKLNLKDIITLSARRVSLSASIWTPDGPEATVNYLCLTAHFIGADWKVHRVIIKFGMFWSSPTNLERIIHCKEAAVSESESGSYNVIWDAIRDWNLDHKILSLTSVGDVRNNANTVQLKEMLMEKKCLPIRGILYNVACLDDVLNSVVSQGQADILRLVGDAAMDFFVARASSPSAQQQLMEVISHMSLKCPQEDAKWWHRFYFRLEVLLQFKKSFPSENVLNPEDMSVADSICKVLRTFYRVIEVISCPSSVTANVYFNEVWKVRTVLQEEASNGLGEISRLVMEMQEAFHGYWQNSYLWLSIPVVLDPRFKISFIDFRLKRAFGTNSESYLSEIRETVRELFNEYCNPMDRPSAASDSAALDADDLLDDWDQHLNEQASCQISTELDDYLEDGLVPRKDDFDILNWWMNHTTKYPTLAAMAQDILAMPASAVQSEAAFSSSGPVIPKHHSTLSIKTIEALVCARDWMK >cds.KYUSt_chr4.28285 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177705529:177707725:-1 gene:KYUSg_chr4.28285 transcript:KYUSt_chr4.28285 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLRVSRPTRVGIHWSDMKSPNAHPRSPLLFLSALGHADACIVLVLPSRQRIEKNNVNSYLECKMKEQGLGHVDVTLPQHLVEESFHNVVSEYYLTGLGDAEEQSHGTPSCSSEAIAAIEMLKLLYDYWI >cds.KYUSt_chr2.32988 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203483078:203483293:-1 gene:KYUSg_chr2.32988 transcript:KYUSt_chr2.32988 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVNFLSATAGLACGAYMYQSQKDRREDREKKKVYAMVEKLERPKLDAMKRRYLAEIDAAMAADGNSKA >cds.KYUSt_contig_1181.1432 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:9256072:9259545:1 gene:KYUSg_contig_1181.1432 transcript:KYUSt_contig_1181.1432 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDELRLELFHEGIREQVILAELAERWKLEAKFQRELSLYGGGASSSTHREPLDVPLSLSGALTSRRHIKDQIMESYELPWRRAASEEDAPIVGSKLCKNAISGMKRKRTAERTSLMCSICDTKCYCDQENIAALLGGGNGTEEKLHEKKALQLAHKSQKSVSRWMCIICDVNCESQFDLESHLGGRRHQDNIKALQGEGKGTEAKLHEKKEPQLADMNQIPVSRWMCSICNANCTSQSDLDSHLRSRRHQVQALQGEVKGTKPKLYEKNEPQLLDMNQKPSSRWMCSICKSTCTSQSDLESHLGGRRHQENIEALQGEGKGTGAKLYEKNASQLADMNQKPSPRWMCSICNANCKSQSNLESHLGGRRHQENIETLQGECKGTEVKLYEKKEPQLADMNQEPSSRWMCSICNANCTSQSNLENHLRGRRHQENIEALQGEGMGTEAKLYANNEAQLADMSQQPSARWMCNICNASCASQSNLESHLGGRRHQENIEALQGEGKGTEAKLYEKKELQFADMDQKPFSRWMCYICNANCTSQSNLESHLRGRRHQENIEDLQGEGIGTEAKLYEKNEPQVLGMSRKPSSRWMCNICNANCTSQSELASHLGGRRHQENIEALQGEGKGTKANLHKKKEFADKSQKSVSRWMCTICHVNCTSQSVLGSHFRGRRHQENIESLQGEGMGTEEKLHENKAPQFAYKNHKPVPGWVCSLCNADCASQSDLKSHLQGRRHERNLQAQA >cds.KYUSt_chr3.19235 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118406493:118408726:-1 gene:KYUSg_chr3.19235 transcript:KYUSt_chr3.19235 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAPPPSSSWVILGSIPSVSTPADGGDASVALTAPPRVSILTVTPRVFPDPPTPHFFPFVLAADPSGLLLLQANLRCTPTRQLIDQPGQEGVMWKYNNSRYFVLDATTGSAFHLPDPAPQPQLTILHQALLGLVVSPGGGGHYMVAELQPFIGTDTATLLCFSTEVGEWVAKPVHYPLPPRSWAATCVLSHRGRLWWVDLSWGVLTCDPFADDPVLGFIPFPPDRVLRCREAWGVTDQYRCVGVSDGKLRFVDTYMGKRRAPPTVSVWTLADPDSTEWTLEHEATFTEIWADQSYKATRLPKNVPTLALIHPKNPDVVYFFLEEHLFGVDVRARKVVECEVYGLVAPPSCCIATRFVRAWELPRALSSGKWSNGINLAEESFARPYQPSGGDYHLVGVTKKTFIG >cds.KYUSt_chr3.41945 pep primary_assembly:MPB_Lper_Kyuss_1697:3:264777224:264780221:1 gene:KYUSg_chr3.41945 transcript:KYUSt_chr3.41945 gene_biotype:protein_coding transcript_biotype:protein_coding MDATFLEDIFLMRDMHNMSGWKSAPIPETPMEFAEEADDESSYLGEGDNELPQDAKYFGNAFIVYLIYLSKPPKAPRSLQAAPCLLVELRCLAMDVLVLEPASPPPESGRSSPSPTASPEFEFWMVGKNPGSFPSPALLTADELFSDGIVLPLHTLQPPCPTGDADAEEEETDGEENADQVNEEPCEAEVEFPAQPLAESCTVPAPDLPAVTFKWKDIFKATGESKDRGSKKNERRVSSVSGSSELININIWPFSRSRSAGHSGSNAGAGAGISKAKASNPIASNTSAVAATASAPASSAAPAGRKVSSAPCSRSNSRGETSGPAAPTVAIAVAAEKAAAQAGAVSATSMLRRWVPGGQGRAGAGANGIRLGRASPVWQLRRNKLQQAAAAAEQKQRDSTASDKSNKAVPDVDVVTTTSQADAGEDAETAASSAAAESVSAPTTAPCRNPAACSDAGGEECIPPHGLFGLRRTFFSKKVY >cds.KYUSt_chr7.14951 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92485100:92485420:-1 gene:KYUSg_chr7.14951 transcript:KYUSt_chr7.14951 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEGRGRAMVLAHPLRTHRRAGPCASGASRELRRQGDFPSVHGCKAPMLSPGEAKGLGEGAVAPCNKMLDLVESSTGPPETTSRLAPPSHNPLAGAHLPAAQPGA >cds.KYUSt_chr4.26650 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167289887:167295400:-1 gene:KYUSg_chr4.26650 transcript:KYUSt_chr4.26650 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRLRQVAGAVQGRCAEEISPDSWCFDSVLLEEITFLSLQQVTDNPYDTLKIPKAFCLYVISDFPQNVMLGNTIGDTWSVRLVKWRNHIFIEHGWDAFAEANNIAEDLDMFQDIGYREENGRMPLAISIEKEKILFLPENYCHDRGVTYHLFDGWLQSMHALDSKPLFRNDDGMHM >cds.KYUSt_chr7.12908 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79642571:79644132:1 gene:KYUSg_chr7.12908 transcript:KYUSt_chr7.12908 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVAVVVGGSVAGLACAHAAAGAGWKAVVLEKAAGPAAGGGTGAGLGLDAQSMETLARWIPGWGLDAATLPLAVDLNRATDSETKEARTLTRDEGFNFRAAHWGELHRRLHAALPPAVTVLWGHQFLSFEVPDGDDDDKRGVVATARVLATGEIVEVAGDLLVAADGSTSSIRRRFLPDLKLRYSGYCAWRGVFDFTGKESSDIITGIRREYPELGSCLYFDLADKTHAVLYELPGNRLNWLWYINGPEPELTGSSVTMKVSEATLAGMQDEADRVWSPELARLVRETAEPFVNVIYDADPLPRLSWAGGRVALVGDAAHPTTPHGLRSTNMSVHDARVLGECLGRWGDTAAPPRVLAEYEAARLPVVAAQVLHARRLGRLKQGLPVEDGEDGGFDVRAATEEEALQLRQRGMPYFCGAPTVADGS >cds.KYUSt_chr2.34626 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213833784:213836182:-1 gene:KYUSg_chr2.34626 transcript:KYUSt_chr2.34626 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAWNKNAKTKRQLVVASAKPGLPFGAEGDNDEDEKKGIEEGGTEYPATKPPDTAQSLQHQGNRLAEEGKYHEALSKWEASLSLVPDNAIIHEQKAQAWVTLGRAQLNFGEPDKAIESFDRALAIKPDYSEAKADRETASRLVRKRGQLHSSGDLNANKRRFTVGGNSEKEVKNEEEDA >cds.KYUSt_chr1.27065 pep primary_assembly:MPB_Lper_Kyuss_1697:1:163229090:163230142:1 gene:KYUSg_chr1.27065 transcript:KYUSt_chr1.27065 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRYGHQTTHRAVQRVSDCPSRSSTVKETVVNDTGMSTAHCRARVVAVRPSMVSSALDAMAGTAWGRWLGLVAAVWVQCVSGNNYTFSNYSDSIKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAIGSLEGFLGYGAQWLVVSKTVAPLPYWQICVCLCLGGNSTTWMNTAVLVTCIRNFRGSRGPVSGVLKGFVGLSTAIFTDLCSALFADDPASFLVMLAVVPAAVCALAMVFLRKAANADAENTVLDDSTTTTPLLLASKPAAAEARGPSERPRLGEEHTIAEALSALDFWPVPTLSTAARHFAEHAAGVREGRPAASSPRQSRAGSSRRARGG >cds.KYUSt_chr5.14985 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96808225:96808940:-1 gene:KYUSg_chr5.14985 transcript:KYUSt_chr5.14985 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPSAPRRHHHRKPSPPPSPAGQPPSPRFSDAAASAAAAPEPDYTAELPKELLAAFFGLLGSGDRKRCSLISRPRLAAEAASRGPRSSRPPPGSSAASPRLQASAQANRIGDRGLATVAQKCASLQELVLIGVNLTSASLELIAANCPALERLALCGSDTFGDAEISCVATKCASLRKLCIKACPVSDDVLERCAGERRASALHQGC >cds.KYUSt_chr2.17059 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107445749:107446737:-1 gene:KYUSg_chr2.17059 transcript:KYUSt_chr2.17059 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWWTCPQYLKKHEEGKKKRGEMRGGSHIQGSIPISLHLQNEEVRTGAKPNVFAVLKKMKQMKTSHPETGSVWVNPQSETQCTSYVSKFEQKYGEDANPEAEDFDPEVAVLAGEGSKHGRLWLGDGCVDPAKVPSLRQIRRGRGDGSKGAGGPGAKGADGAADSGVSAAADIDDAADATAAADDAAAAGIDELADEPDGSVFSTGEYSCSSTLLHAVDAATAHSEPGDTCHREQHEHHPEHEPR >cds.KYUSt_chr2.8237 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51810131:51812014:1 gene:KYUSg_chr2.8237 transcript:KYUSt_chr2.8237 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAQGVRGPEYRFLLGNMVEMKRLMAEAAGLVLDAGCHDYGAMVQPYYRKWMGLYGRTFVSWFGEKPALFMGDVNMVKQVYSDRTGLFPKESWNDNFTRGLGKGMLLIDGDEWKRHRKVINPVLSIDKLKTLAVTVSDCVGPMLLDWEAKLEKGNGQVEIEISHHFDEVATDVISHVVFGRSHREAKEAYLVQKNLQSLIFSSVFNDLLSHIPGLRNLPTKSNLEMWKLEKEVKSILINIIESRLATKDTAGYGEDMLGVMLETCTPDQVQNPLMSMDEIMEECKTFYIAGHETTSLLLTWAVFLLSTHQEWQDKLREEVERECGKEIPTSHVLSKLKLVNMFILETLRLYSPAMIIQRKAGSDLELGGIRVTKDTILTTQINTIHTDKDLWGDDVYEFKPMRFENGVVRAAKHPNAFMAFSIGPRACIGQNFTMIQAKVVLAMILQRFSFSLSPKYVHAPKDLFTLKPRSGMHVVLKTI >cds.KYUSt_contig_2701.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000455.1:56344:57139:-1 gene:KYUSg_contig_2701.9 transcript:KYUSt_contig_2701.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIWRVWWSELASSSGRPVAEFLLSVLLRWSEETAAEEISSTPPSNKLGGVRFQSDLAGSGRHGGGQSLATARSRAPGIVEASSGEGSKHRLHGAAATSGQRGPFAPRSSWLLRLFFLQASEPSWMIFINLTATIIGDLAPSGVVPCGIEETASFSPRRNQVKSNDSNF >cds.KYUSt_chr2.28087 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172292909:172294124:-1 gene:KYUSg_chr2.28087 transcript:KYUSt_chr2.28087 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCSTSTSSAWRRSVRRRTPVSQRKEDERELGRRVEKLEEAVEGLRGEKDAAEAEETALHAELDAERGAAETVASETMLMIERLQREKAAALLEARQFRRLAKGRDERGRQLQDELASLSAIAGGYLSLLRAHAIEPDDEDGRLQQEDAGMDVIKGVFVQKSPPPPPAAKELEYTADLGCATTTKAMMAVGEEQRVVVVHGAVDLYARVEALEADRAATLREMASMRAEPARVVLGREMARRLCRDAVAAERSGCVATVHKPRFSAPAICKWLFSIILRRKRCSTVRYAHRTLVRLSLSVTVFLKIDSELKQRVFPESQVDVRLVDRTHRLACASGEIRLGAASSPPASTAAATYVMA >cds.KYUSt_chr5.34355 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217877701:217878813:1 gene:KYUSg_chr5.34355 transcript:KYUSt_chr5.34355 gene_biotype:protein_coding transcript_biotype:protein_coding MPISAPESSRRVLWWAALLLAPLAALLFRYQFQFPIQLLKPRTAEPKAAMDASSEIEYDMPGVLRWHKSGRVERFDGTETVPPSPSGDPANGVASKDVVLDPAANLSARLYLPPAAAAAEKRLPVVVFFHGGAFFVHTAASPLYHRYAATLAAAVPAVVVSVDYRLAPEHPIPAAYDDAFAALKAVVAACRPGGAEPWLAAHGDASRVVLAGDSAGANMAHNTAIRLRKERIDGFGDNISGVALLHPYFWGKEPLGGEPTDAAVRGGFERAWQVACAGQLGPDHPYINPMASPEEWRQLGCRRVLVTTAELCWFVERARAYAEGIKACGWDGELQVYHTKGEGHVYFLPKYDSELAAKELAVVADFVRHC >cds.KYUSt_chr4.7756 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46212540:46214273:-1 gene:KYUSg_chr4.7756 transcript:KYUSt_chr4.7756 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTSTQFPGRLLRCGHAATQRQDKAPPPDVAETGCRPRRALSIVKTKCFSAVYAGWSWSWASPPPCVWEESMDLMAALQLVMKKSSAHDGLVKGLREAVRMQLRRMLPRYVCLLRIATILMS >cds.KYUSt_chr5.28732 pep primary_assembly:MPB_Lper_Kyuss_1697:5:182016199:182025855:-1 gene:KYUSg_chr5.28732 transcript:KYUSt_chr5.28732 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAAGGGPGFHDLFDSVRRSIAFRTSAAAPAAPEPLGGGAAAGIGVRISSCLRKSRGMGLLGLIAKSPSPPRRLLPPTPLPAPPADDGDGGGGGRADENPPIRWRKGEMIGSGAFGQVYLGMNLDTGELLAVKQVLWGFAMPYFLLHGPNTPTNSPKVTFSSVLFQVLIGSTNATREKAQAHIRELEEEVKLLKNLSHLNIVRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEAVIRKYTRQILQGLEYLHRNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATMTAAKTMKGTPHWMAPEVIVGSGHTFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHISPEAKDFLLKCLQKEPELRSSASDLLKHPFVTGEFDDRQPLNRTAPKDASVNELPAHDACAPTELGLNHSGNWSTINSHRSSKIKPLWEGSCDDDDICEFADKDDNPAVGSSYNPMSEPFDDWNSKYDISPEKSSHQSTEFGGLAKHTESSMTENDFTFPREGSCEDDDVLTESKIEAFLDEKALDLKKLQTPLYEEFYNTANAGNSQGVDQPSNGKFINSPKLPPRGKSPPSKMRGGGPAAATPCDNNLNTTIPESCSKQFSRDSVDSSRILREIASPQLNELGDKVHIDVQDSTSISFAERQRKWKEELDQELERERGTTIVAVCPNVHYAVGDREEKMRYTGPLRNRASTQGPKRESSTAPLPSSKSLSTFAVLEPPLPSASAMAQPAFLSALRSRLRTPPPPPHVQPRRAYHVELGAREKALLEEDVALKRFKSFKNNVKQVSKIGNFLTLVVVAGCSYQIAALAASTQ >cds.KYUSt_chr3.7714 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44342516:44343538:-1 gene:KYUSg_chr3.7714 transcript:KYUSt_chr3.7714 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGPTRKTTSNGINGLPRPKLKVKVKSDSPATGQAAVAGVCARGFVQGIVTYTVMDNLTVTPMSAISSITLLNTLGVRDFGALQEKTVRLGSREGLAILKASLKSKTVLTDVFLASGSI >cds.KYUSt_chr1.29659 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179597963:179602809:-1 gene:KYUSg_chr1.29659 transcript:KYUSt_chr1.29659 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSPNSLSASTPSASSPLHLHLRLQPQPFLHLPFQRSLPLHLPALRLTRPLLPPTPLASSGGGSNFGGGDDDLPSGGGGDDENEDDDDASVNRREALFVLAQLGRKLESLPADMAAAVDGGRLPGEIVRRFADLEKSPLFRWLLQFGGFRERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFTKELDFVIADVVMAIVADFMLVWLPAPTVSLQPALAMNAGSLAKFFHNCPDNAFQIALAGTSYTFLQRFGAIMRNGAKLFAVGTSASLIGTGVTNAIIKARKTVSKDDAGEVENIPIVSTSIAYGVYMAVSSNLRYQILAGVIEQRMLEPLLHRHKLALSALCFAVRTGNTFLGSLLWVDYAKFIGIQ >cds.KYUSt_chr1.28481 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172027139:172033343:-1 gene:KYUSg_chr1.28481 transcript:KYUSt_chr1.28481 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLGNAADRQWWRCSEKVRQLPVPTVVRLGRRFDAAVNTGVRLEKIGIRCSTGRIRSCSSSCQPVPNPMSDQEGLNANGSAFSILHECSASPAAMGEHRHATYTPLFRRKLLRGYRNSVARGEADMTHPIAITISTNSWTDAGHAVNQLYDLLYMMGRDDVAVGVGGEGGISDDGRIYPDVGGYFPIIDQEMSTVGGCRYRQAIPQGSGGRLDINANYGVRREILPQGNRRYSPLQQPTTQQVMIDTISAGPTNVFLLGMHTNFALFLMKNPQLNKNVKHIYIMGGGVRSSNPTGCCPKNDTSCVPRQCGDHGNMFTAYTKNPYAEFNIFGDPFAAYQVFHSGIPITLVPLDATNTIPITEPFFKAFEEQQRTYEAQYSFKSLKITRDTWFDDQFYTSYFMWDSFMSGVALSIMRNGQKPNGENDFAEMEVMNITVVTSNEPYGVHDGSNPFFDGHESPKFNLLKNGVHSGHVQTGLNDSFCVLKGSIKGKCQDGYTKEVQGPDSVAVLVAVKAKPNRNVKSPLDREFFDNFLEVLNRPKHTGLFNFTSQFHHYKEIMYKPDLKHQIRGKPVIFDMDMSPGDFVALLCLLKAPIQAIDLRGILVSGNGWANPATIDIIYDVLHMMGRDDIPVGLGNITALGAPDLGCEYVKAIPHGSGGSLDTDTLFGLARELPRSPRRYTAENSIKYGAPRDTAHPELRQPLAFEVWQQITEELEPTDKITILTNGPLTNIANIILSDTKAVSIIEGIYIVGSHLTAGDGEEGNVFTVPSNKFSEFNFFLDPRAAKAVVESGSDITLIPLRAQRQVASFEKVVRSLHAAEKTPESSFVYQLLLSMQKLQKNNQAYHHIDMFLGEILGAVFLVQQSHIDHAITERAISVSSGHMDTDGQTVLHQTNGKLVKVLDHLDANAYYTEFAELLNTKKQSAVIGSFEEQKRMWNR >cds.KYUSt_chr3.25331 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157243948:157245405:1 gene:KYUSg_chr3.25331 transcript:KYUSt_chr3.25331 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTVVLYPGAGGSHVGAMTELANVFLKHGYDVTMVLVEPPFKSSDSGATAIERIAASNPSISFHVLPPLPAPDFAASGDKNPFVLIFQLLLEYNELLGTFLRSIPRKRLHSVVLDMFCIHAVDVCTSLGVPVYTFFASGASCLTVLTQLPALIAGRQTGLKELGDTPLDFLGVPPMPASHLIKELLEDPEDEMCKILTNMWKRNTETMGVLVNTFESLESRAVRSLRDPLCVPGRTLPPIYCIGPLVGEGAKDHADDGAERRNECLTWLDSQPDGSVVFLCFGSKGTLSAEQLKEIAVGLERSGQRFLWSVRTPAGSHDANKYLEVRPEPDLGALMPEGFLERTGDRGLVVTSWVPQVDVLRHRATGAFVTHCGWNSVLEAVAAGVPMLCWPLEAEQKMNKVCMTEDMGVAVELVGYGEGFVKAGEVDAKVRMVIEGEEGRQLRARVAARREEAEAALEEGGASRAAFLRFLLDVESIREPIVE >cds.KYUSt_chr2.32110 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198078896:198082423:1 gene:KYUSg_chr2.32110 transcript:KYUSt_chr2.32110 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAQGLGCMGMSAIYGPAEPEPDMVALIHHAVAAGVTLLDSSDIYGPHTNEILLGKALQGGVREKVDLATKFGLSFADGKREIRGDPAYVRAACEGSLKRLGVDCIDLYYQHRIDTRVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDVEEDIIPTCRELGIGIVAYSPLGRGFFSKGSKLVDSLSDQDFRRGIDVCPIPGTTKIENFNQNVGALSVKLTPEEMAELESYASAGDVQGDRRSGQNQERTTLPYMAAAPATMVPRLKLGSQGMEVSAQGLGCMSMSASLYGPPKPEPDMVALIHHAVAAGVTLLVEIHILTYPDVYLL >cds.KYUSt_chr6.27409 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174043698:174046746:1 gene:KYUSg_chr6.27409 transcript:KYUSt_chr6.27409 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLFTRAQLKGKVLLQRRNVLDLNHSSGAPLSHDTWDEFTTKGVTCQLISSTVADQNDASRGLVGEEERVEHWVMHLPPMTHSDTTYDVTFDWDVKKQGLPGAVIVRNYHATQFLLKTITIDHVPGHHGPIVFIANSWVYNTDKYHYDRVFFTNDTCLPSNMPVLLQPYREEELQILRGDHPKQKHDRAYQDHDRVYRYDLYNDLGDPDNKSPRPTLGGPDSPYPYPRRGRTGRKPMKSDPTCESRNVVPVLQQFYVPRDECFNHVKKADFTSYLLKAISAGILPLARELFDTAVPREFDDFEDMYKLYEGGIKIPDVPVMDLMFKVFPPLKSICPSGGSYLLKMPMPEVIKNDKLAWRTDQEFAREMLAGLNPHIITRLQEFPPRSKLDGYGDQTSTIKVEHIQPYLGKLTVDKAITDGRLFILDHHDNFIPQLLKINSLENTFVYATRTLLILQDDDTLKPIAIELSRPRLDEIGTKVVGADSKVYTPPSSAGSESDNKVQDTIWQLAKAYAAVNDSAWHGLISHWLHTHAVIEPFIIATNRQLSVTHPIYKLLQPHYRDTMMINALARQVLISAGGFFEMTVCPGEYALRISSDVYKNWNFTEQALPVDLIKRGVAKHDSTSPCGVSLLIKDYPYAVDGLAVWSAIENWAEEYVKIYYPSDSVVQDDAELQAWWKEVREVGHGDIKDQPWWPKMTTVQELVRSCATIIWIASALHAAVNFGQYSYAGYLPNRPTVSRRPMPEPGTKEYQEVESNLDMAFIHTITSQLQSIIGVSVIEVLSNHSSDEVYLGQRDEPQWTSDDRAKKVFDKFSKSLIDIEKSIISRNKDENLKNRNGPAQFPYMLLYPNTSDIDGESATGITAKGIPNSISI >cds.KYUSt_chr3.26557 pep primary_assembly:MPB_Lper_Kyuss_1697:3:165368493:165374513:-1 gene:KYUSg_chr3.26557 transcript:KYUSt_chr3.26557 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIDLNTVEEDEEEEGGPAAEPPRGAVCLELWHACAGPVGPLPRKGSAVVYLPQGHLDHLGDAAAGSALPPHVFCRVVDVNLQADAATDEVYAQVSLLVLDNEEGKRRVPPEGEEGSCDGDDAVKRLPPRIPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDHSLQRPSQELVAKDLHGTAWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRLCQYEFIIPYWKFMRSLSQPFSIGMRFKLRCENEDASERRSTGIIIGIRESDPKSHGSKWKCLAVRWDDDVECSRPNRVSPWEIELTGSVSGSHLSTPHSKRLKPCLPQVNPDVLLPSGSVSSDFAGSARFHKVLQGQELLGFKAHEGTVNSASQASEARFFQYTDDRSCSINMSNIMGVPRLGARTPPEDHGFSYHCSGFGESQRFQKVLQGQEVFRPFRGGTLSDAVIRGSGFYQADGNHASGATYNWLTSQGCDYRGPTAPAMPQASSPSSVLMFPQTTSRIPGSEYGNLDKDESIRNVTVHQQMPAVGMAVDFSAVDTMI >cds.KYUSt_chr7.8867 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53887136:53895389:1 gene:KYUSg_chr7.8867 transcript:KYUSt_chr7.8867 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASDADADPLGGASPAARVLGRALDKVIKHSSWRKHSALVSAAKSAIDLLSSAPTPTSTPTPSPIPTLPAPVASAALQALLLALDPGSPKVASPALDCVTSLLSLRLLHGDVTPASPSPLSALFAAALACAGLGDEALELAALRALLAFARCPAAPVRGDCLGQLLRACYNLYLGSASGGTQLCAKLALAQVLLLAFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQAFINEAMEGSDEPEEAPSEAASGPAEGEDEGMSRIREDGLALFKNLCKLSMKFATPDNPDEPLLLRGKVLSLELLRMVIDNAGPFWKTSDKYVEAIKQYLCLSLLKNSAMSAMSVYQLLCSIFMGLLLRFRSALKEEIGIFFPMLVLRVLENVLQPSFLQKMTVLNFLEKICKEPQVTIDIFVNYDCDVDAPNIFERIVNGLLKTALGVPDGSTTTLTVAQDQTFRIESVKCLATVIKSMGSWMDQQLRIGESSEVLSSVDNHNSQNGEEGTGMDHDMQSESSSSDVSDSSTLEQRRAYKIELQKGIALFNRKPSKGIDFLIRSKKIGQLPADVASFLISTAGLNATMVGDYLGERDEFPLKVMHAYVDALNFDGMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPNVFTSADTAYILAYSVILLNTDAHSVMVKDKMSKADFMRNNRGIDDGKDLPEAYLSTLYDQIVSNEIKMTADSSAAQTKQTNSMSKLLGLDNIINFVNWGQAEDKAHGANDLLIKHIQDKFKAKHGKSESVFYVVADATILRFMMEACWAPLMAAFTVTLDQSNDKAATSQCLKGLRSAVHVTSVMCLQTQRDAFLTSIAKFTSLHSAADMKQKNVDAVKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDASFLTVPIVESEGKNQISASVVPPKRANALQNPAMMAAVRGGSYDSTVAKTSASDMVSPEQINNFISNIHLLDQIGIVELNHIFAHSQRLNSDAIVAFVKALCKVSMTELQSPSDPRIFCLTKIVEIAHYNINRIRLVWSRIWKVLSEFFVSVGLLENLSVAIFVMDSLRQLSMKFLEREELANYNFQNEFLKPFVVVMEKSDVPEVRELIVRCVSQMVLSRVNNIKSGWKGVFTVFTAAAADGTKSTVLVAFGTMERIVRDYFRYITETDATTFTDCVHCLIAFTSSQFNSEASLNAIAFLRFCAVKLAEEGFVCQDRPSTDMLDGNATLNKNDSVSFWVPLLAGLARLTTDPRLTIGKSAVGVLFDILKDHGHLFSQSFWTNIFESVIYPLFSSQISRVSDRTLTSNGTEDEFSTLETQTLAVKSLVVVFVNFFEVTRPELARIASVVTYFIRSPYKHSATIGVSAWMRLAEGVGNKLSKEEWKDILLCFKESSSHTFVVFSKIVRMMQDIDIPDKTESYSETDQYSDQENYSNDEEEANMETTSYAIVKLKNHMALQLLVVQGIIKLYEEQGKYLHAEHISILLEMISAIATHASEVSSDSLLQMKFHKACSLLEASEPAVVHFENETYQSYLKLLQSLLHGYPFLSEDMKIESRILDACEQILRTYLKCAGHGQSDEASDSDPALHRVVPLGPAKKEELAARTPLVLQVMQLLSNLEKKSFRRVLPRFFPVFVDLIRCEHSSGDVQHALYTIFRSSIGPMIQV >cds.KYUSt_chr7.24977 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155971611:155972879:-1 gene:KYUSg_chr7.24977 transcript:KYUSt_chr7.24977 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKVAVVESMLVAPSEETPRLGLWLSNFDVTAAMTHTALVYYYPAPATVSTDRLRAALAKALVPFYPLAGRLGVDEGGRLQIDCHGEGALYVVATADCTGEDLFGNYVPSPQVRRMFVPIADPPSLMSMFQVTFLKCGGVVLGTAIHHVLMDGIGAFHFIQTWSGLARGLDVSQACPSPPSHDRTLLRGRSPPHADFRHPAYSSAYLDGPPRPCVTLVYPVSPKLLADLKSRCAPGASTYGAVTAHLWRCMCVARGLAPASDTRLRVTVNVRHRLRPPVPRHFTGNAILRDLVTVKVADVLAQPLGYVAETIREALEDVDDAYVRSVIDYLGIESEKGSLQKAPWQLLPESDLWVTAWLGMPVYDADFGWGAPRLVAPAQMFGTGMAYVTQRARRDEGVVVFLALEPQYVQCFEDVFYSE >cds.KYUSt_chr5.1687 pep primary_assembly:MPB_Lper_Kyuss_1697:5:11471241:11472500:-1 gene:KYUSg_chr5.1687 transcript:KYUSt_chr5.1687 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGFAIMDCAGINPKYAAGREGWATIKCASKRAYGCGDTGNSAVQDLDLLLHQGDLENLSSSLSVHAGDDVFRWIDQVIAEKKGRPAAPGKDRYFMSKVEIVDKGLIVVTLSFSEYGGFDYYLVFDSFDRSLSMIPYIPEWAACYTKCPLPLRHESGYSLVLIGGEFSFGREGPKKYIWQWSPMAASWGSIPDSWQTQKLCLPSQMNNKFFSPDVAFSCNGFAFWTDLALGTLFCDCSALLSESYLVKSKFIPLPPGYQLDRHEEGIWPVEVYRTMGYVGGSFKFVSIDKPRDHCGTRVKVWTLAAPGPSHKWTLYSEFRLKKLWKDFKMAGLPKNLPMWPMLREQEGSTLYLILADKTQITGQKHYLCRLDMPTQSLLQAKLLAHTSLILGPVKLPSGFFNCLDPLPSSPEPMWMDV >cds.KYUSt_chr7.9366 pep primary_assembly:MPB_Lper_Kyuss_1697:7:57009263:57012063:-1 gene:KYUSg_chr7.9366 transcript:KYUSt_chr7.9366 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVNSAAVVAESAAAKNGANVEPLPFVNDKHGGVIIEMTAPMDPQVFSASLKSALSKWREQGIRGVWIKLPIGLANLIQSAVEEGFWYHHAEETYLMLAYWLPNSTHTLPVNATHRVGVGAFVMNDKREVLAVQEKSGVLRGLGIWKFPTGVVEPGEDINIGVVREVKEETGIDTEFIEVLAFRQSHKAYFEKSDLFFVCILRPLSFDITKQESEIEDAQWIPVEEFAAQPFVQKHELVKYILEVGLAKSDKEYAGFSPISIKSAFTDKQSFFYMNRRDLDKASGSGNAQQKSGL >cds.KYUSt_contig_686-1.705 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4188343:4194254:1 gene:KYUSg_contig_686-1.705 transcript:KYUSt_contig_686-1.705 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKFIAEAFLLINHIFVIRDYWSDGWTEGDVLSLAAGVESNTNHPLGKAIMEAAQAANCVSMKAKDGSFMEEPGSGAVAKIGEKQVSVGTLDWIRRHGVVHDPLSGVENFGQSVAYVAVDGTLAGLICFEDKIREDSHQVIDALSKQGISVYMLSGDKESAAVNVASIVGIPIDKVISEVKPHEKKKFISELQKEHKLVAMVGDGINDAAALALADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETMRTVKQNLWWAFLYNIVGLPIAAGALLPVTGTMLTPSIAGALMGFSSVSVMANSLLLRARVQPFKIHADQALLPSVSRVAQASEATCAFNTAGGTMKHDAFFLGKGKVSTIATATERVGAANHGILSALVAKRLGVYERVAVRLAQEHLGGFVGHRANMGVRRRIGHGDSEAEVTDLDELAFSADEDVGRLDVAVDDVTGRA >cds.KYUSt_chr6.9009 pep primary_assembly:MPB_Lper_Kyuss_1697:6:55600243:55609302:1 gene:KYUSg_chr6.9009 transcript:KYUSt_chr6.9009 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPGLVSPLEDLLGLNGVVFCSQLHPSSVRFYMPMFGTDAPFTSPLEPLWVGFTTEEVDILKDKSYYGGPDYRCGLCKASFWYQERVKSASAITERRVVYNNCCKGGKVFIAPFKEPPEFLRALLDFDGPVRSKAFLEKIRQYNCLFAFTSMGATIDRSVNDGGGPTVFKIVRFRALAKLPAIINNSLLQKTMLTEWFVGNAKYANARSLTYCDFPTKWSWVLENKQWVRRKRSDKIGRVYYVHPSTGELYYLRMLLMIVKGAKCYADVRTYNGVVYESFKDACAARGLLGDDSEWYCAFDEALKWGMGNQLRQLFVTMIIFCGVLDENGFFEKYWTYLAEDIQYRIQCSLHDASYTVPDDELRNMLLDELSIVFSKNGCKILDYALPLKTSYAADSCGNTMISDELSQDCETLIRTSEAMRLQLNEDQKIAFESIVDKVRDADEPFGGKVVVLGGDLRQILPVIEGGTRSQVVGSAITNSPLWDHVTVLHLTINQRLAVQSTDPDVQVAAAAFARWVLSIGDGTLPAVARPGESDPTWITIPDEHLVHTDGDKIGAIVEAVYVDFLKRYSDPNYLRERAILTPTNEVAEDVNRHVLSMVPGEEKEYLSCDSTGNSADGIRNIDIFYPVEVLNTIKVNNFPYHRLVLKKGVPIMLLRNISQAAGLCNGTRLIVTRLAEKVIEAIVMTGSHVGDVVYIPRICLTTRDPKWPFNLHRRQFPIRICYAMTINKSQGQTLAAVVAVLAAVLIFYKMAFNLLSEIHSDNHQWTICVLVSRMWHYRGGTDEGPIQHTDLVLLDVEGNHMYGQLPPATSERLKDVLEEGKVFVIRKFFCNPSKPTFRPVESPFMVQFTRYTTVEERPGLADTYPFCRYSLTSFTDIPVPCGRAERFIDVIGKIDMVSDVIPVQSMYQQAASNTRTVILKDLLGNEIRLVLWGDRALEFDAEAVRAMGEKEPVIAIFVGTLPKASHGVRGLSGSSACRWYIDEDIPDINLFREKIGPQFVPLATYVPTGPGAIAPRVYEPPAEKTLEQLNEVDPFVDMEKKFLCTATADRLGADQRWWFASCSVCRKSSKHDGCRFRCSGKDCDSSDVSLAYCISFFATDATGESEFVMFEKVAAGAVGKQLMNLMRQRYPGYYTVDELANVARHDTAIPAEIERLVGHKYKLLVSISKKWNSGNSENLNFQVCRIEETYKPELPPLAFAASSRSAGASSSAGGSGSRLLPLGPTLSHVHHRAATFGGSHSSGNATPPSPIASPGTPAKGSSAPKRGTKRSLFSSPPKRNTQLADACAAAAEEEPATLVEPVEDDGITTDVEKNGPPPNPKSKRMYVPPPAGQIIHEYILYAFFGLA >cds.KYUSt_chr3.27552 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171945682:171947366:-1 gene:KYUSg_chr3.27552 transcript:KYUSt_chr3.27552 gene_biotype:protein_coding transcript_biotype:protein_coding MITEATLQSAIHQAFDVPSGKADCDHHDHSVFEDGRIKSGVVVECRICQEEGDEAYMETPCSCKGSLKASTLTTYASRGGVTRRETPYVRYAYRRTGETPENLNAEENISQTAGHAAGTSSFDSQGSNPKGATYCRVIAIALMALLVLRDAISLLLGGPEVYSMALITGVHDVTPVSEPGRAEGLQSLQSMPTQQHVISIQ >cds.KYUSt_chr7.37861 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236101892:236103982:-1 gene:KYUSg_chr7.37861 transcript:KYUSt_chr7.37861 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARQAAAAVAAGSHHGHPVHHAHLAALLNPSPRLPPLPPPLRRRHLPLSLPAASRLAATFPPLPLLLALLSALRLLASPPPPRPFDALIKSYASLPSPTLAAAALAFARSAGYAPSLPAYNAVLLALSDASLPSARRFLAGTMLRGGVAPNVYTYNILVRALCARGHRDEALGVVEGDMRAAGCAPNVVTYNTLVAAFCRAGEVGGAERLVALMREGGVRPSLVTFNTVVNGLCKAGRMENARKVFDGMVSEGLAPDGVSYNTLLSGYCKAGCLHEALAVFAEMSQKGVVPDVVTFTSLIHAMCRAGNLERAVALVGQMRERGLRMNEITFTALIDGFCKNGFLDDALLALKEMKECGIKPSVVCYNALINGYCKLGRMDEATELANEMEAKGVKPDVVTYSTILSGYCKIGDTDSAFELNRKMLKKGVVPDAITYSSLIRGLCEERRLSDACELFEEMLQLGLRPDEFTYTTLIDGHCKEGNIEKALSLHDEMIKKGVLPDVVTYSVLIDGLSKSSRTKEAQRLLFKLYYEDPVPDNIKYDALMHCCRKAEFKSVVALLKGFSMKGLMNEADKVYQSMLDREWKLDGSVYSVLIHGHCRGKNVMKALGFHKEMLRCGFPPDSTSTISLVRGLFEEGLTGEADTVIQELLNCCSLADAETSKALIDLNRKEGNMDAVVDVLRGMARGGLLPSRG >cds.KYUSt_chr1.29628 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179448550:179451756:1 gene:KYUSg_chr1.29628 transcript:KYUSt_chr1.29628 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEGQLKTRVSDRLIALLGYSEAMVVQLVLRLARDSESVADLAARLVSLADLPSSADTVAFAEDVHAMFPRKATAGASEYQKQVREAAAFAKKQTTFKLLDENDDQDDAGTAAAPSSPHNKKGKKRFLRRKSASQGGEDSDDQVAAMHDDSERNVRARPCPPEDAGSDSSDEEELEEIDRDQIERAQLDRLIRERDAANTRKPMMGRKPTRHQQDELARRSEALLNTRHISELRDSSKYAYRQKRAEKKVLELRDKILDHEYLFHGDELTPAEKRDHRLDKEIYRLVKDHAKKDDDAGDYYRIPEAYDAAANVDQVKRFAVATQRYDDPDKAARDGNKRSNFSEQEDWEEQQIRKSRLQFGSNDAGRAGDGYELLFDDDAVEFVKSAVQTDADGEMDELAEAIDAKVTLKRELHDERKNLPVYKFKDDLLKAIEEYQVLIIVGETGSGKTTQIPQYLHEAGYTAKGKKIACTQPRRVAAMSVAARVAQEMGVKLGHEVGYSIRFEDCTSEKTVIKYMTDGMLLREFLGEPDLASYSVVIVDEAHERTLSTDILFGLVKDIVRFRPDVKLLISSATLNAVKFSDFFDGAPIFKIPGRRYKVDIHYTVAPEADYIKAAVVTILKLHLTEPAGDILLFLTGQEEIETVEEILKERMRTLASKMAELLICPIYANLPTELQSKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKIKSYNPRTGMESLLRAPISKASADQRAGRSGRTGPGKCYRLFTEYNFRNDLDDDTVPEIQRSNLANVVLRLKALGINDLVNFDFMDPPASESLLKALEELFALGALNGRGELTKTGRRMAEFPLDPMLSKAIVASEKYKCSEEVVTIAAMLSAGNAVFYRPKDKQVHADTARQAFNVGNVGDHVALLNVYNAWKESSYSPQWCRENFVQSRTMKRARDVRDQLEALLERVEIEPYSGAGDLAAIRKAITAGYFRNAARLQKDGSYRAGKCQQKVLVHPNSGLVQVLPPWVVYHELVQTSKEYIRQVTELKPEWLVEIAPHYYQSKDIHEHQIKKMAKAKGQAEAQSKPE >cds.KYUSt_contig_2528.60 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000367.1:492355:494817:-1 gene:KYUSg_contig_2528.60 transcript:KYUSt_contig_2528.60 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPPREDPSSEPPLAATPPSNKKRIRDKPLASPRRSGRLAIKRKNRIVSDGSVAVQELIARAIGILPPSASFDAVTWEAYQQVFHQAPLASSAIKALEALVKHVKNLKKKKKKGPEAVAPGSVAQATTTVSVVPDV >cds.KYUSt_scaffold_869.1970 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:12294605:12295606:1 gene:KYUSg_scaffold_869.1970 transcript:KYUSt_scaffold_869.1970 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQIPARSSGLQRLLLLLAGQSGIRRSYSTGDRRRRVIREARDEEEDEAFLRTLNFGADPDNNPLPPPPRSPDSSSTGTSPTDILRRAAAKQQQRPEGSAQKAIGESLMEKLKLGDARASASASASASAVEGQQPEQEQGKPPQSEDADEIFRKMKETGLIPNAVAMLDGLCKSGLVQEAMKLFGLMREKGAIPEVVIYTAVVDAFCKAGKLDDAVRIFNKMQGNGVIPNAFSYWLIIGGLCKGGRLDDAIAFCVQMFEAGLSPNAATFVGLVDAVCNTKGVDEAEKLVRTFQDRNFAIDEKSIREHLDKKGPFTPLVWEVIFGKKKSNSPF >cds.KYUSt_chr7.22575 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140076161:140078866:-1 gene:KYUSg_chr7.22575 transcript:KYUSt_chr7.22575 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVRALALCCCLILLPSCSYGLGSMGSISVSYGEDGPVFCGLSSDGSHLVACSGADASVVYGAPVRIPFLGLTAGDGFACGLLLDTSQPYCWGSNTYVKIGVPQPMVEGAMYSELSAGDNHLCALRMPDKGTPRGAIPDTSLIDCWGYNMTATHAVAGAVSTISAGSVFNCGLFARNRTVFCWGDETVSGVIGLAPRNVKFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQASPNGAIGAGDVSIVPMDAMVSVVGGRFHACGIRSLDHQVACWGFQLQNSTAAPKGLRLYAIVAGDYFTCGVPAETSLKPRCWGNSGPLALPMAVSPGICVSEACSPGYYEHVEHGEVGGSKVCKPGNSRLCLPCSTGCPDDSYESSPCNGTADRVCQFDCSSCASDECASFCTSQKRTKNHKFMAFQMRVFVAEIAFAIVLVFTVTAIACLYVRHKLRDCRCSKSQLRMVKGTTYSFRKDNTKVQPDVEDLKIRRAQEFSYGELEQATGGFSQDSQVGKGSFSCVFKGILRDGTVVAVKRAIKVSDAKKSSKEFHTELDLLSRLNHAHLLDLLGYCEDGSERLLVYEFMAHGSLYQHLHGKDSNLKKQLNWTRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSIMGPVDSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQLEEGNIVEWAAPLIKAGDISGILDPALSPPSDLEALKKIAAVACKCVRMRGKDRPSMDKVTTSLERALALLMGSPCIEQPILPTEVVLGSNRMNKKVSQRSSNQSCSENELVDGDDQRIEYRAPSWITFPSVTSSQRRKSSASEADLDGRTTTDGRNVGSSIGDGLRSLEEEIGPASPQEDLYLQHNF >cds.KYUSt_contig_786.435 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2350415:2359473:1 gene:KYUSg_contig_786.435 transcript:KYUSt_contig_786.435 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGGVVVDGGRATVAARRPEQQQRHIGTAAHLAAGGLAGVVSKTCTAPLARLTILFQGACDRGEPPRSKGLGWPQIQLHHLPTVSLDLECLLLLSEVPTMAACGGWFGSAINTVIGPFYNLFAKHALYCFTAGTNVMDHKREAVALKENVAGVQQRIRDDEHRLEAVPTEQARLWLESANSAISEEEENRLLYEQRYRCWGCCSPNFLENYKISKRADEQQKQVKSITSNPPGDNNITRSPDPRPVKSMQVDPAPIPLSRWVILRSALQFIVSNDPNEGIVGMWGPDKDDNTNLLKKINNSFLEQSLFDFVIFVRSPIDCSVTNIQSEIISRLGMKQDGNEATQATRIREQLEGKNFLLIVDDLRRNLDLGAVGIPYPLGFVGEKKRKVVIMSLSGYRSVGNLMGVNKDIELPILQEEEAREPFRQSINYQGDLYSDPNIGPHATDLVRTINGLPSELVRYGKSMRGTTDRIMKFTGKSSLNAGLA >cds.KYUSt_contig_786.544 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:3079592:3082720:-1 gene:KYUSg_contig_786.544 transcript:KYUSt_contig_786.544 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHAQGLVHSDLDHSDLVRSDDAHQIKGQFILHMELMTVAIKCPSLLLKRNLMVTIFHTSIPGNPEEAVEHPTKAILLNLKNHIWYKEILWSLLIRTNRLNGPPNVDIVAFKSEIWDTEEAVEHLAKAFLLNLKNHVWY >cds.KYUSt_chr2.51698 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322976419:322977003:1 gene:KYUSg_chr2.51698 transcript:KYUSt_chr2.51698 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHSSAVKLPSRTAPPPGMGAHRWSHAIAKGPPRKIRIVHVVEPTVIKTDARQFRELVQRLTGKPSGRGGGGASSSSSSAEIAASESSSSGGSESGRVVVAPAAVKAEVKTEAEDDASPEEEGFARACRDTNVGATTATGFKTVVKEEEPDAASPEAEEFARAFGEEDDTFGAFFHGLDDFLLSAFEGDGFSL >cds.KYUSt_chr2.31276 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193043911:193044248:1 gene:KYUSg_chr2.31276 transcript:KYUSt_chr2.31276 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPVLIPSNKNSGRPPVKSSMGCSSAVILGNLLLLQLRQLLHRFLLVTDLPRILAGTVHLSTSFLFL >cds.KYUSt_chr4.30333 pep primary_assembly:MPB_Lper_Kyuss_1697:4:190246586:190249045:1 gene:KYUSg_chr4.30333 transcript:KYUSt_chr4.30333 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNPDLVLKDNPTLQCQLMTCVPWMNGHPMLAAIVRNYRMDRWDLEDTPETEEKILRIAQERYRGWRSTLSSTYKAFKTDDARLANVPEDLQPEEWEWMINYFGNDEKFQARSQVNSDNRKKQKTKHRVGSKSYAQLSFEKRNLETGEEPDCVALWELTHTKNGTWSNPESQEVYDKAREAVQNKETETKGPLSTEQRNNVFQTAYKDTVQCKSSQPRGYGYMAKPKTGSERFRMRIEDQARVAS >cds.KYUSt_chr7.19447 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120640903:120645091:-1 gene:KYUSg_chr7.19447 transcript:KYUSt_chr7.19447 gene_biotype:protein_coding transcript_biotype:protein_coding MANGHIAHCLGGILARRATAAVAVSGGLRLTGAELVDDVRGLAAGLWESGLRPGDVVAVVGFNSVDYMELLLAIPYIGAIIAPLNYRWSFEETTQALELVRPSAFIFDGSYSSWALWLMESKRFSTIGLYLTMGDPASTSEPANFVSLNHTKNTFRGTTVMEPVSAPRDVALICFTSGTTGRPKGVAISHTSLIVQSLAKIALVDYGEDDVYLHTAPLCHIGGISSCLAILMAGGCHVLIPKFDAKSATEAIREHKVTCFITVPAIMADLLSYAQKDKISRCGTVTKILNGGGGLSDELINGASHLFIHATIVSAYGMTEACSSLTFMPINKPEFHETKNKSSNQCEGVCVGKPAPHVEIQIGRDESNSSSSPMGKILTRGLHTMVGYWGNNMVDTPESVRNGWLDTGDTGWIDRTGNLWLMGRQKGRIKSGGENVYPEEVELVLSQHSGVAKAVVFGVPDSRLGEKIVACVSIRNNWRWVDARAEPEGEGKEVSAQILQEHCRIKNLSRFKVPKIYYQWSRPFPVTTTGKIKREELKAEILACKQLRSSL >cds.KYUSt_chr2.5614 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34697205:34701266:-1 gene:KYUSg_chr2.5614 transcript:KYUSt_chr2.5614 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQDRSKLDQHGKAIMDLTQLMMNGDNALTVVAVWGSSDNLVQASIIKGAYDQLKKDMTFECHARVRVMHPLNVIELLQIIIRQFYVSFLQQRSRTQEDDTLWMQILHSMGTMKEDGLAYAFMKLLNEKSYLIVFDDLHTIEEWDRMKSCFPDNKKGSRIILTTEQVEVASLCIGSENVALDHKHLSSDRNVFAFYKQDSEDRTNSMEAGSSSNSASTGSNISEDRKILNRIRTLKATFKDSQLIGREREQFDIIKLITNENQHLEVISVWGMGGIGKTMLVFGSIIDIDERCPELIESTNLILKKCNGLPLAIATIGDFLATRPKTSEEWMKLSEHISAELSMDPILETAKKIFIRSYDRLPYHLKCCFLYLSIFPEDYIIRRRLLVRRWIAEGYIKEVRGKSAEEIADNYFIQLIDKGMILPSMPSAFTRKEIDSCLLHDLIRGVGTSKSMEENLVFRLEEGCNLNNHCTVRHLAIDGNWERDQREFKRIMDLSRVRSITVFGKWRPYFISEQMKLLRVLDLEGTSGLLNHHLEHIGKFLHLIYISVKGCGEIFRLPDSLGNLRQLQTLDVRGTSLVMLPKAVTKLQKLQYLLAGSKSADVEDGLLAIGPVCCAACCAPHLAGIDGFNRRDACTLACCVAVPSVMMGLHAGGVTVPRGVSKLEALDTLGIVNLAREDAVIHEIKRLTGLRKLGVVGINKKNGLNICSAISNLSHLESLSLQSDDETGLSGCIGAFSSPPDKLQSLKLHGNLSELPEWVPELWNLVKLKLQGCKILEHAAAIQLLGSIPSLAILCLWKQSFKGEEIRFNFQPNAFPSLKVLGMDHLGDLKSVEFEKGAIPKLELLQYSGLQPQNNSRLFCGLPYLPSLRTVQIHGRYAFIEDLQAQLAMNSNNPVLNVIELQS >cds.KYUSt_chr6.24666 pep primary_assembly:MPB_Lper_Kyuss_1697:6:155896988:155899828:1 gene:KYUSg_chr6.24666 transcript:KYUSt_chr6.24666 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCSIFRNKWATRQQISEHNEDIPSGTNTTRYTYKEIVRATENFNPSNKIGEGGFGSVYKGRLKNGKLIAAKVLSVESRQGLKEFMNELMAISNISHGNLVSLYGYCVEGNKRILVYNYLENNSLAQTLLGSGRSNIQFNWRSRVNICIGIARGLAYLHDTVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPNASHISTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTSTRLSYEDQMLLEKFPEVTNGVLLLQTWMYYERGDLEKIIDSSLGDDLDVVQACRFLIIGLLCTQDVTRHRPTMSAVVEMLTGEKDVDSGNISKPATISDFMDLKIRSMRRENNLAFAPSSKLISTIMAQNSPLLSQETTRCSITFTAISDRE >cds.KYUSt_chr1.10814 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66180616:66181464:1 gene:KYUSg_chr1.10814 transcript:KYUSt_chr1.10814 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLLKSLRYLYLGHTRRLKTIPDGTISSLSKLRVLDLYNSGLFSVDRTQAYIEELESLVWLQSLGFTVDDSESLHRLLIFSNNSLKFLNVQGVEGLQFLHISPSLIGIMRAHQLEKLMFRGMKSLEELLIGETIVASDWNFQSLDDLILVDLPKLESIVWKGVVPHVCLPTLRFLVMYGCHSIKTLTWIKELPCLKEIYLIDCGSMLELVADDEEANTSTAAHSFPRLKLLGLSQLGNLQNICGGTLSFPCFQRLLVYKCPMLLKLPSRLLTEERLSLIQ >cds.KYUSt_chr2.47580 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297674417:297675779:1 gene:KYUSg_chr2.47580 transcript:KYUSt_chr2.47580 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAERRAPVTPRAACAGPQSNPGRRRHVGEEQREVPELLGRLPSIASARRRGGGGESHLLPAPKFLGYAHAGAGHDQLNLEQADCLRILSSSLDNCTYEIVNASAVKTFSSDVVDNGDLGDFMSNNVPSIGKFIVVSLSASSGVPAMSAISHVMPVLLRSEFKANYAEWINTVKPNLGPGIREWVQEAAASADDPAMEDLHTDDGILVIPTVPDAPPRLRMEAAMLEDFRAKAFSLLSIAGLSGFCQISIPLGIRNGVPVSFSLLARHGADHFLVGVAQELYEALRDEALRDEAAATWGSSAKPDSPSCQPK >cds.KYUSt_chr4.50434 pep primary_assembly:MPB_Lper_Kyuss_1697:4:312480519:312482503:-1 gene:KYUSg_chr4.50434 transcript:KYUSt_chr4.50434 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGNPTLGADGGDIFAKTISISGDDVVLASGGAMNLFARIRGAGAGSKEVGYAGGQALLELTPHRTAVCHLVQVCASPGAQEEGPLAGLPFPFESVAHHNCLGLFFFSLTQANGELFSLEFEGK >cds.KYUSt_chr2.1899 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11361569:11362978:1 gene:KYUSg_chr2.1899 transcript:KYUSt_chr2.1899 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSTEPVAFVAVPFPAQGHLNQLLHLSLLVASRGLSVHYVAPAAHVRQARSRLHGWDPDDLSSIHFHDLDVSTYESPAPDPTAPFPNHLLPMWETFDAAARAPLAALLDSLSATHRRVVVVYDIMNTFAAVEAARLGNGEAYGLMCVAISCHLGWLDAGHQLLRKNGGQYVPMEVSSSKEFMEYMFRRTAELQVAGGPGAASAVGLVMNTCRELEGEFIDATAEHPIYKDKKLFAVGPLNPLLDASASVRTPGKTRHKCMDWLDAQPPASVLYVSFGTTSSFRGEQIAEIAAALKGSKQRFIWVLRDADRADISAGLGETQHDKLMTEFTRETEGTGLVITGWAPQLEILAHGTTAAFMSHCGWNSTLESLGHGKPILAWPMHSDQPWDAELICKYLRVGLLVRPWKKHDQVVPAEAIQEVIKEAMLSENGMTMRRRAKELGEAIRASVAGGSSSRDLDELVACITR >cds.KYUSt_contig_2197.111 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:648151:650778:-1 gene:KYUSg_contig_2197.111 transcript:KYUSt_contig_2197.111 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKLFYISFFLLVCTSKAISPEAEALLRWKSTLIGANLLSSWSISIPTCSWFGVSCDASGHVVGLHLPKSRLHGTLHALYSAALQNLAVLDLFDNDLVGVIPANISLFLTLTILDLSYNNLVGVIPYQLSKLPMIAELDLGNNHLTNPEYTNFSPMSSLKLLFLANNDLSGTFPQFIINCTNTRMRSLDLSGNTFSGPLPDSLSEMVPRLQYLNVSFNGFSGSIPRSLSRLRKLKGLYLNSNNLTGGIPEELGIINGLRVLILYNNSLGGSIPASLGQLQWLEQLNVGNNGLISILPPELGNLTSLEYMLLEGNHLIGSLPPSFARMHQLHSFGIRNNRISGTIPREMFTNWTKLVDFDVSNNWLTGSIPPQISKWKELVYLALYGNNFIGSLPLGMGSMSNLQLLSLYRNHITGTIPSDIGNVTTLKFLDISSNHLEGELPATISLLVNLLVLDLSGNKLTGLIPNLDSRQLPVFKVAENNSFLGESFSALCQLTFLQMLGLSNNQFFGEFPDCLWKLKDLQSLDLSSNAFSGEVPNSTYNNTSLRLLQLSNNKLSGCFPAVVKNFKSLVILDLGNNKISGVIPPWIGESNPLLGILRLRSNMFCGSIPWQLSQLSHLQLLDLAENNFISIVPESFVNFYLMRQTSMMKPVLTINLQSTIFRYNYNSSMEIIWKGREHTFQGRDAFVTGIDISSNSLSGEIPSELTNLRGIQLLNMSRNYLSGYIPKDIGNLKLLESLDLSWNKLSGQIPPTISNLMFLNTVNLSNNLLSGEIPTGSQLQTLNDPSIYSNNLGLCGPPLSIACTNNLSIATPLDGAKEHNKDLWLYYSVIAGVVFGFWVWFGTLFFWKIWRVAFFSCIDALHQKLMQKMKST >cds.KYUSt_chr6.31028 pep primary_assembly:MPB_Lper_Kyuss_1697:6:196578988:196581643:1 gene:KYUSg_chr6.31028 transcript:KYUSt_chr6.31028 gene_biotype:protein_coding transcript_biotype:protein_coding MDANWQPVQGSNPPDGVDPNYNPAAAVAGGDWRAQLHPETRSRIAGRILEALKKLIPVSEPFGLYELQKIAVRFEHKIYAAAINQSDYLRKISLKILSMETKAHQAPGNAQLNPNQNNPQLRFMSPGRVSAPSLRAVP >cds.KYUSt_chr6.6736 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40576133:40578862:-1 gene:KYUSg_chr6.6736 transcript:KYUSt_chr6.6736 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGWKVLSNAKPYLAMVLLQVGFAGMYIVAVSSLKGGMSHFVLVVYRNIVATIVMAPFALYFERGLRPKMTIRIFIKIMGLAFLEPVLDQNLYFTGAQLTSAGFASALINILPAVTFVLALILRMEKVRMRSLHSQSKIAGTVLTVAGAVLMVLYHGPAVQFPWTKGQHHVTGGSDGQGGAGWLKGTIMVMIACLAWACFFVLQSSTLREYPAELSLTVLICGVGSLMSGSVALVAERRNTHAWVIGFDTRLFTVVYAGIVCSGVAYYVQGIVSRQRGPVFVTAFNPLCMIITAVMGSIILKEEITRGSVIGAAIIVVGLYFLIWGKSKDDVSQASGGSKGAGDLPLTSATNGHGSGKHELSNGNANGGHVFDVETPPANGHY >cds.KYUSt_chr4.28279 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177675173:177678209:-1 gene:KYUSg_chr4.28279 transcript:KYUSt_chr4.28279 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLPLLLLLAHLLFPAEAKNGGTASVAALNDDVLGLIVFKADVVDPEGRLATWSEDDERACSWSGVTCDARTGRVSGLNLAGFGLSGKLGRGLLRLESLQSLSLAANNFSGDVPADLARLPGLHSLDLARNAFSGAIPGGFFAKCHALRDVSLAHNAFSGDVPDVGACGTLASLNLSSNRLTGALPSDIWSLNALRTLDLSGNAVTGELPVGVSKMFNLRELNLRSNGLTGTLPDDIGDCPLLRSVDLGSNSLSGDLPESLRRLSTCTNLELSSNALTGNVPTWVGEMASLEVLDLSGNKFSGEIPGSIGGLMSLRELRLSGNGFTGGLPVSIGGCRSLVHVDVSWNSLMGSLPAWVFASGVQWVSVSDNTFSGELAVPVNASSVIQGVDLSSNSFSGHIPSEISKLLSLQSLNMSCNSLSGSVPASIVEMKSLEVLDLSANKLTGSIPSTIGGKSLKVLRLGKNSLTGEIPAQIGDCSTLTSLDLSHNSLTGDIPATLANLTNLQTADLSRNKLTGGLPKQLSNLAHLIGFNVSHNQLSGDLPPGSFFDTISFSSVCDNPGLCGAKLNSSCPGVLPKPIVLNPETSSDDPLGQKEPVPGGLHHKKTILSISALVAIGAAVLIAVGIITITVLNLQVRAPASHSAAELELSDGYLSQSPTTDVKSGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQDEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYDFVSGGNLHKQLHEPSSVNYLSWKERFDIVLGIARSLAHLHRHDVIHYNLKSSNIMIDGSGEAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFTCRTVKITEKCDVYGFGVLVLEVMSGRPPVEYMEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPEEELG >cds.KYUSt_chr3.4037 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22974989:22975379:-1 gene:KYUSg_chr3.4037 transcript:KYUSt_chr3.4037 gene_biotype:protein_coding transcript_biotype:protein_coding MERRATAILLMLSLVAVLLVGATVADDIIQLPSDAAVGAPSTLGCCNDPKCSDGGFCYCQDLFDDKDCAGAGTCKKCKAAANAEGKYMCRDASWGGAPPKC >cds.KYUSt_chr5.9979 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63710071:63710661:-1 gene:KYUSg_chr5.9979 transcript:KYUSt_chr5.9979 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCAPTPPSSVVRPQTPFATTAGPPPTAGGKGGGVPVHHHRGSSAVRVRLAAPPSFGPPLRRLPDVGGRGSHMDMICATFSTDVVAGHSGHLAHRRALTGCAFLPRLVHTAANRRSQHPALHVLTLATSAFDATAACTWVAAAVVLVLLVRWCRSNAAVVRLRWCWRGLPYLEEGAASAAASTLYTVVDSSPAWR >cds.KYUSt_chr1.25655 pep primary_assembly:MPB_Lper_Kyuss_1697:1:154047807:154052999:1 gene:KYUSg_chr1.25655 transcript:KYUSt_chr1.25655 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAYRDRGFGGAAEMDRKRIKEALEKPSPSTSRGVSREKELLAASKITTSIGKVPKVSDGEEFETDSEDSDVSGSDGEDTSWISWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRYAHLHVSEMFWVRVML >cds.KYUSt_chr4.15161 pep primary_assembly:MPB_Lper_Kyuss_1697:4:93617307:93618575:-1 gene:KYUSg_chr4.15161 transcript:KYUSt_chr4.15161 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSSSRNHRSRGLKLKKALQISLLVIVSVWLLYQVKHSYEKKTAYNENDDNHDTDDVHKDDKSQVEVIRLGRKDLPAKMEADSSTLDERVEDEETEEIEQEMKHDEHDDDPIDEPDLDKDDDLAEPGEHSAGKDEGSDGGAVFEDEERKERSQEDQEKSFHGDDVSSAVTHDPPSSQQADLAHHAEEKILYVDDASTAVPHEKQEHKEEEAHKATEQSSTGANVSSSVDHDAQIAKPLPDEQLKSMDRIFEGTTNLSNGMTLGGPGVNGTNAVEEHGASSANASSNPNLSTPSLVSESKSDPAPANLTSNNGGSEISNSTPLEGQAEQQLNSTAALNNQTQPFTDLTSAELNSPPNGTLALVSTSVEKATSRDGDTGGNTDTSSMPLDNKADDGDAHKEEVDVSTKIMNKAIGEGEVLLE >cds.KYUSt_chr1.39906 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244322187:244324310:1 gene:KYUSg_chr1.39906 transcript:KYUSt_chr1.39906 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPSLVHYGNYVFPAGHMGQSNTWNTQPANGIADGVPHWEYNNAVRNPPGHFVHSGTIGMPNGSLQDYQAGHSAICHGPLPHFGPIPVHSMQAPAMLNHIQMPGPQRHSNTVHGVNASGIGLTLDPRLAFLYNSGHATGPQIHGFLSNQVNNGSLRMLPYENAALMDLSRFYEADHLIDEHLDMGLDIDSMTYEEEYKAREVVGTLDCRHKYHAACIKQWLMVKNLCPICKTTALSADRSSG >cds.KYUSt_chr7.20242 pep primary_assembly:MPB_Lper_Kyuss_1697:7:125532970:125533491:-1 gene:KYUSg_chr7.20242 transcript:KYUSt_chr7.20242 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRRSGSPDPAPHLEAAPSPDGLSLNERRRCLLLLATPERPGRDANRRPGDAVRPAWAVAAELWRREVAANARLAVARARLAEALAELERNRDWLHGPLAELGGGEAMCHHRRAMAGACSVWGAVFGPGHANSCSLPRPAQTKRDWFTTAIGELHPGCRSVWSGPRHLRAAR >cds.KYUSt_chr2.50953 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318752445:318755073:1 gene:KYUSg_chr2.50953 transcript:KYUSt_chr2.50953 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPGSDMPPHAMDGWMGRVKLAMPRARRKLRRRRADRSIQRLFVGRKERNPNLIAPPEDGCVAWGAAAARLALPPFHPSVVSALLCAANRVILSFIILSLPSFPPSDLASSSCSWWCLPSPHLSLSTILFLTLRPREDKLPPPVDEAKLAVFHRDQQPYRPSLLEQQQQLLIDPCMDGKELISPSDLPPFYPQQPPHHHRMLGGGGGGHNSPSSLAGMHSVIRPMPNMSMSPTAILQSIGGGGPGSLSGMQFHMDGTPSPSSMMHGGNMSGSGNMVSVSGSGGTMPVASQPEPVKRKRGRPRKYGPDGAMKQHLASTQGQQQQQHHQMMGAAPPPRMGSMSGQDMAGGLDDAAAKKRRGRPPGTGKKLSSPKPSGNAFSGSAGTSFTPHIITASPSEIELTDEMSPCQDVAGKIAAFVSQSPRAVCVLSAMGSISRAVLRNLGDHASSAAMAPPSYNNNPSIYEGLYEILSLSGSYNLNEAQLNQSDGLSVTLCSPERHVIGGVLGGALVAASTVQVVLGTFVNGGSKSKSKKAVKPPPAFGPDTLTGGGGPDASPSSGGHNQNLTPPPSIVTTGGWPSSGIFDTRSSSIDINNSSRG >cds.KYUSt_chr7.16819 pep primary_assembly:MPB_Lper_Kyuss_1697:7:104189723:104193431:1 gene:KYUSg_chr7.16819 transcript:KYUSt_chr7.16819 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLARSYIVVAAVCVVLPCHAKLSTKFYAKTCPNVESIVQAVMAPAVAAEPRMGASIIRLFFHDCFVNVSPSHLSSPLLSVYLASSLITTLAQGCDASILLDDTPTFTGEKNAGANANSVRGYEVIDAIKTRAEAACKATVSCADIVALAARDAVNLLGGPTWSVPLGRKDSRVASQSAANANLPGPGSSAASLITAFAAKGLSAREMTALSGAHTVGRVRCLFFRGRIYGEPNINATFAAARQKTCPQTGGDGNLAPFDDQTPDTFDNAYYTNLVAQRGLMHSDQELLSGGSQDALVRKYSGNAKIFAGRRDADGGTDDMTRCETSVSLPIRRSDYLHMAVKIRLLSPRLDFIHLDLQQLGRPMGHMPPSPSMGHPGLPDLGHAVDIPIKYTHNSSPRSSLRFIIPPTSLSSDPKRILKSFQNFLVSDFIQPEIICSSVMRRPVDLISGELLRGKLRPLPLQCRFRPELRAVEREISPAAESWSSGAPRAKSDDLGLVGATGNRHTIAEPSSKEPSFPEPLAFLPPHISDTRLAQPFSASSSNALGRIPTLQEIREELEGQARMAVKVQEVEQKKGSKARNREGEKGQWWPCEVKDSELRDLQNEGMIAPQWIFMKESVTPKPDPDERVLTKA >cds.KYUSt_chr4.38454 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237126127:237127103:1 gene:KYUSg_chr4.38454 transcript:KYUSt_chr4.38454 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGAGSGNAPGAAPGAGAPSCCYYALLGIRKNASSTDIRAAYRRLAMKWHPDRWASDPGATGEAKQRFQRIQEAYSVLSDKGKRAMYDAGLFDPLDDDDQDFSDFMQEMLVMMDSVKNEKPDTLEDLQKMLDDIVNGDGGSRAAASGGSAAGTGGGCGGRVPPEANRRTRVAPYPQPSSRR >cds.KYUSt_chr4.53792 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332814467:332815084:1 gene:KYUSg_chr4.53792 transcript:KYUSt_chr4.53792 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPRSTSAGSFRFLGLLKLPEAGAEDTAGACFELDERDVVWPAGAGGGGGVDGWASAPALENTAPRRATARRHAAHAVPQSFGLSSLLAEGGGEQQLHMHAGVAVPVRAGAPEQGAVAPRQSAPVRVPVWPGKSTSGRRAVESVKKAEESEDEDGEEMVPPHVVTARRAARSSSVLEGAGRTLKGRDLRRVRNAVLRQTGFLDL >cds.KYUSt_chr5.16704 pep primary_assembly:MPB_Lper_Kyuss_1697:5:107488751:107490976:-1 gene:KYUSg_chr5.16704 transcript:KYUSt_chr5.16704 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCQWVLSVAKSSSSNTPSWLHRLHAKQGGLSFPAHLQIDDLLYGQPQPPPPPPPTPPPPPPPPALLPPPPPPPPASSDRRLALIAPQDPLPNPKPPRDPARPNPSLNNTSHPPPQPPPPLSGVISDLFAVPSAPRSGRPPKPFRKQSRPRPKDKSATKDDKAKAMARKRRRADRGGAGVVDGERRSKTDVTVIDTSTQGWKAAKLLIRRGTAWRVRDRKYSEISETEEVNKGKRRAGLVAKVLRDREREKEAALPGNIHAGSGYLSMVPGDDAIQTVKR >cds.KYUSt_chr3.46222 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290886473:290901459:1 gene:KYUSg_chr3.46222 transcript:KYUSt_chr3.46222 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGRRAGPGGGGGGGSGDASASPAPGGGGGRRILRTQTAGNLGESSIFDSEVVPSSLVEIAPILRVANEVEGSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIELDQSIEETNKLVEEKKKLYLPFNILPLDPDSAHQAVMQYPEIQVAFYALRNTRGLPWPKDHEKKANADLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQLSKPEQQPKLDDHALDIVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGAEEAFLKLVVTPIYKIIEMEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNFALAPRDQMSGENIPAGSDQWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMVIIAWNGGTPSDVFDAGVFKQVLSIFITAAVMKFGQAILDIVLSWKARNSMSFAVKLRYILKLLSGAAWVVVLPVTYAYALENPGGVARTIKGWFGDGQKQSSLFGLAVVIYLAPNMLAATLFLFPVLRRALERSNLKVITFIMWWSQPRLFVGRGMHEGAFSLFKYSMFWVLLLATKLVVSFYVEIKPLVQPTKDIMKTPITTFQWHEFFPHAKNNIGVVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPEAFNDHLIPNESKRRGFRSAFSSKPSQKPEDGKEEEKIAARFAQIWNLIITSFREEDLIDNREKDLLLVPYCKDREMDIIQWPPFLLASKIPIALDMAADSGGKDRDLMKRMKSDPYFTYAIKECYASFKNIIYALVLGLREREFIEKIFKVVDDHIAEETLIKELNMSNLPTLSKKFIELLDILQKNNKEDRGQVIILFQDMLEVVTRDIMDEQLSGLLETVHGGSNRKHEGITPLDQQEQEQLFTKAIEFPVKESHSWHEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPRAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKNFLERVQCKGEEELRETEQLEDELRLWASYRGQTLTRTVRGMMYYRQALVLQSFLDMAREDDLMEGFRAADILSDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDARAQDILRLMTTYPSLRVAYIDEVEEPSKDRSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFTKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALSTGRKFKRNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVFEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAARFFIYQYGLVYHLKITTHTKSVLVYCLSWVVIFVILLVMKGVSVGRRKFSAEFQLVFRLIKGLIFITFISIVIILIAIAHMTVQDIFVCILAFMPTGWGLLLVAQAIKPAILKVGLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARNKE >cds.KYUSt_chr2.28457 pep primary_assembly:MPB_Lper_Kyuss_1697:2:174670726:174676034:-1 gene:KYUSg_chr2.28457 transcript:KYUSt_chr2.28457 gene_biotype:protein_coding transcript_biotype:protein_coding METSVSPPGTSKQAAARRPCPGSSLKDLCLVSKQGSIAEVESALVLLKKSGASIDGRNAFGLSALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCIAGVLLQFGASLNLEDSKGRTPIDLLSGPVSQANGDSPDSVTTEVFSWGSGTNYQLGTGNAHIQKLPCKVDALHGSYIKTVAASKFHSVALSSDGELYTWGFGRGGRLGHPDIQSGQTTAVITPRQVTVGLGRKQVNVVAAAKHHTVIATESGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKQRIIAVAAANKHSAAVADTGEVFTWGSNKEGQLGYGTSNSASNCIPRMVEYLKGKVLKGVSAAKYHTLVLGADGEVFTWGHRLVTPRRVVIARCLKKGGNTNVKFHRMERLQVISVAAGVMHSTALTVDGALFYWNSSDPDLRCRQIFSMCGRNVVSISAGKYWTALATSTGDVFMCDAKKRKEETPMFTRVNGVKRASSVCVGETHMLVLSSIYHPEYPPKPKIQDKKSSIEWSGMMEELDEDILFNDVQPEIDPAGNNCAMSKGIPSLKSLCEKVAIQYIMEPKNAIQLLEVADSLEAKELKKHCEDTAIRNLDYIFTVAAPSIMNASPEILASLERLLDEKSSEPWSHRRLPTVTATYPAVIDSDAEGDGSGGSPRLRDSQKPALKSYGMPSYGNFLQKESNAEQAVSKQIRALRKKLQQIEMLEAKQLDGHNLDDQQLAKLESRAALESELAELGFPSEAFSRPSVTNKKQEGSKKQKKCKQAAQSDTSSIKGEEKEQNHIKELSEFLPAQGSSEKEARVTGPIKPSEDVTFSNTKAISCPLGNKASRPTSSKKKNKKGGLSLFLSGALDDTPKPSLPTPVVLVTPKQEGPAWGGAKITKGPASLRDIQSEQKSRTPDLMTSKPKDRHEDSPDSAGRMRLSSFMPDACSSPISFTSARTVPAHEGDKSTPPWSSSATSPNISRPSLRDIQMQQEKRQHGIVSHSPKTRTSGFAIPSQGAVAEIGGIRDNIPNRWFKPESDATSSIRSIQIEEQAMKDFKRFYTSVRIMKPQV >cds.KYUSt_chr2.45655 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284707960:284708856:-1 gene:KYUSg_chr2.45655 transcript:KYUSt_chr2.45655 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINASFGAAVLSLLFLVSSTAAFNITRILGEFSDFSTLNSLLSQTKLSDEINRRQTITVLAVDNGAMGAISALPSDVQRKVLAVHVVLDYYDADKLRGIKNGSAMLTTMFQSTGQATNRMGFINYTRRADGVMMFGSAEPGASLTSQMVKSVASRPYNISVLQVSSAIVPPSIGSTDGSKAHAPENAHAKAPAPAPTPSTSKKPNAPAPAPAPSDDSSSDGPAAAPGPAADSPDADGPVADSPDADGPSADSPDADGPAGADAPADDSDDTAAATGRVVASAGLGVMALVFMGVSL >cds.KYUSt_chr5.22785 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148881789:148882267:1 gene:KYUSg_chr5.22785 transcript:KYUSt_chr5.22785 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPPPSPPCFGACGQPLKTSAKLRASRSVGSKKRLARCWTNWEAPVPPSHASSEGSEEEDSDRVVEGDFVASDEAEARILAWMKWRSERAHFHEVAANDVELEEALRLIERQKAEERAADGVVIN >cds.KYUSt_chr5.3110 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20106764:20108564:1 gene:KYUSg_chr5.3110 transcript:KYUSt_chr5.3110 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWRRATDVDDLLIAEPYHPERVARQLTLRQQVPYDPLVSLYMMEDTGVAAFKDLNPGISNGRDYNSRKSKFLQHGEKFIAPRKLSEGDLVVIKEVTMRGQAGHVAAIQKKAKEAMDHWNPILSSFLTGDKPHANSQKRKSEDVAACSSSVLKTSVNGTEETHMVRNGSTEEDERPAIKRRINTTDVDDDFGPIELDVPLHVDNISGAVLDGVFSGDIDHGLGDMENLDIDDYGVSDLASDDPTFPLLLDANVSPVDDASAALPTFTHGVENVLVSNKETSTKIAMSTMGVPAVTEVYMKAFTSMLEAVLEGLHNSTIVDPQCRKVIEAVHSLLPAGDDIAEVAAARTYLERLICISSDMQEAHRKVGSKSQKQDEARVLANQEQALIAGVLKTAEERMSSMEEQRVEKMARLEALNTEVQELKTALHEIEEGVKELKSTQSRKQAEAKKLRDNLSESDASVAQELEVLQQKISAMGLEVGSIIEKMRKLGSPSC >cds.KYUSt_chr4.637 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3375336:3379781:-1 gene:KYUSg_chr4.637 transcript:KYUSt_chr4.637 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTSSATTTPCRRQISLSRPPPSNHQSSSPFRKKMRAAVTEEDDSIKAELMHQVSKERRYLLVLEGVSTVVDWEAIRMYLPDRNNGSRIVVSTEHLRISLLCTREPYQVSQLTRFSDGQFLCAFSKKGSVRRSDIGEFNWQITRGGVISVVGKCPRIDLPGSEISVVLQVYEHIRLNEDETVAKHCAPDQPNRLFDINALEQDEVLDRLIKKDTGITTRGVEYFGRAPLFYSRRTEADFYANFEYADRRLKEFTTLKEQLLNPNPSVISVWGRSGVGKSTLVRRIFSRPVLTRRWYGCAASSWVDVPNSFDLADFSWHLLLDFQSTHEEKVAAAAGLMKGQDPIQACREILNEEEYIVVIDGLQSKQDWDIIRKTFFSELHTSRGSHIIVITNEKSVAKHSVDDKKDQLLKVKHLRDGDSIGLPLMATSLLLHTSEVLHPVAPAVVTSTTVAPTVGRGTSGLRSAARPYSPIYRPIATGRMIATGGFLHIQPSSYCPASVPVTHAVVVPIGTINLFVGFTGATDPIESARDSTVRLSPPRNFVTAGQIYTATLSVSGDYCTEDDTALLDDADSTAAASVAGSITPASVAYSIVSVSN >cds.KYUSt_chr5.43537 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274286071:274286694:1 gene:KYUSg_chr5.43537 transcript:KYUSt_chr5.43537 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHSLVLVLVALVMGPLAAVAGDPDILTDFVPPTTIGGPANITGDYFTFTGFRPKLIPTATFAVEKASLAEFPALNGQSVSYARLTFPAGTVNPTHTHPRASELLLVIEGALSVGFIDTAGKLYTKDLVAGDMFVFPEGLVHYQSNQGANLAVALSGFGSASAGTVSVPLTVFGTGIDDVVLAKSFKTDVATAQKLKAALTPPKKN >cds.KYUSt_chr7.20720 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128524687:128526342:1 gene:KYUSg_chr7.20720 transcript:KYUSt_chr7.20720 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDVEATAALLPPPAGSEEAERAPPPEDRLGVGYLIFFTLGSGFLLPWNAYITAVDYFSYLYPGAPVDRVFSVSYMLSCLLPLLLIVLVFPKSSARARINTGLALFTLALLVVPVMDAVYVRGTPRLYGAFDVTVAATAMCGVADALVQGGVIGFAGELPERYMQAVIAGTAASGVLVSAMRVLTKASYPQDAPGLRASAILYFIVGIVVMIICIVCYNVADRLPVVVYYKNLKRRAQKAEVGGGMTGSAWRSTLWSIVGTVKWYGVGVILIYGVTLSIFPGFITEDVHSEALQDWYPIMLISAYNVFDLVGKCLPALYLPENGNVAVAGSFVRLLFIPLFYACLHGPSFFRTEVPVTILTCLLGLTNGYLTSVLMILAPKAVPIHHSETAGIVIVLFLVTGLAIGSFVAWFWVI >cds.KYUSt_chr2.11441 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72726193:72730905:1 gene:KYUSg_chr2.11441 transcript:KYUSt_chr2.11441 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATKRLLLAGVRSRGRCLSTSSAPSPFSSSQLPKGKRWDAVVIGGGHNGLAAAAYLARAGRSVAVLERRGVLGGAAVSESGIVPGFRFSRCSYLLSLLRPALIRELELERHGMKLLPRSPSSFTPCLDGRYLLLGPDAELNRSEIGKFSKKDAEAYPRYEEQLEKFCKLMDFVIDSPPPELRQLLHASMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVNFFDLLLSPASKILNNWFEGDVLKATLATDAVIGAMAGVNTPGSGYVLLHHIMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVQIVTNAEVSQVMVDETTGKVQGVALADGTELHSSIVLSNATPYKTFVDLVPANTLPEEFLCAIKTADYSSATTKINVAVDSLPQFRCCKNINPEGGPEHMGTIHIGSERMEEIDVAYKEATGGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYTLSEGSWQDPTVRRSFAERCFSLIDEYAPGFSSSVIGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAALVVLDDLKAR >cds.KYUSt_chr1.30849 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186824389:186827959:1 gene:KYUSg_chr1.30849 transcript:KYUSt_chr1.30849 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCKRGKKAPAAAGVDRISALPEEILHHVLSFLPAQEAVRTCLVAPGWRHLWKFTAGLRIVEFEGARSVKDVREFVDYLFILRGYGDLNTFEVELSEFSEEDVLYVNLWTRFAVFCKVRALTLHLHDNKFLDIEGQPLVSRELRTLDLRGVCLRRAFLDFSSCPALEDLKMQYCQIDVDKISSLSLKRLSIIFCRSDQSHRVRVSAPCLISFTLDSFAGRTPLLESMPMLETAVVELGRACSDFCHNYRNQGFCGANGSRCVYCFSYNGQSSNTVLLGAIYNAKHLRLISPLGMVIFARDLKSCPTFNKLKTLLLNAYWCVGPDFDALTCILKHSSVLEKLTLQFAFEEQEHKVEIKGSYSSTEKPTGISEHLKLISVKYNVVDDRVLQLLKFMCTFNIRKLTGNASHAFNFLYSVDSVLSEDERIDLMVDVPSLKTLNYWKL >cds.KYUSt_chr5.37946 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239740162:239742430:-1 gene:KYUSg_chr5.37946 transcript:KYUSt_chr5.37946 gene_biotype:protein_coding transcript_biotype:protein_coding MCWRPSASLARSWCPLRPPGLRESSTDSQVSDPAPEGSVDMGLDPGPAAASVGEPGQLSGDGVGDDDLSVGAGGGDGELPASPNHMVLSPPVGGVVPAGAAESEKAMGEAGGDVLRALKKKKDNPLSRKSIGDNAIACEWLLKGDACTAYFHAIANGRRRKCGIPRPISDRGTYGSDGYYGTCLRLLPPTDGVTGEARAFTLAQNLWPDDKRISDEENYDLERSFTLEELDGILHDMKVDSSTGRTARRYAFFKGFGEP >cds.KYUSt_chr5.3378 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21890266:21892502:1 gene:KYUSg_chr5.3378 transcript:KYUSt_chr5.3378 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGTTPPPAAPLHSDESVRPNKLKRKQMSPSSDIWEQYKRMKDDPNKAICKYCSDVLSCHLKKNGASSLVSHAKWCTQNSDSNETQCFDRPDPDDPSRTTLFRWKYNAREARTALARLIIEDPLVFSQHRGFSGFTSKICTRFSVPPRKTVCKDVLCVYEDEKAKVKSFFQESHQRVSLSVRTWTSSRLQKYMHLTAHYIDSKWKLNHKILNFCLLDNHKGEDMAKALDSCLLDWGIENVTTITMEDASSNDMAIEYIRTALKNRGASILQGRYLHMRCSAHIVNLVVQDCLEAISPSVSRIRDAVKYVKSSTSRMSAFNKCVQYSKVDSSELLCLDVCTRWNSTYVMLDRAERFEEAFESFLLKDPDYKTELGEETEDVYSHMLFRHVAGINQRLIGFCDGDDNTFKPVAIKLKEKYIKYWGDPEEMNMLIFVAAILDPRNKQSEHLKVPVLLTYGETRGEQVLKKANETLHSLFEEYKCMYEQVGSQGDGSETQPSCSRRSKASYYNATDVLEPVPTSNSNHLLTQSGTDA >cds.KYUSt_chr7.13311 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82009597:82011192:1 gene:KYUSg_chr7.13311 transcript:KYUSt_chr7.13311 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEGVKNLAVTEPHKKNRIQVSNTKKPLFFYVNLAKRYMQLHTEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVNDESRGRPMQKAKIEIVLGKTENFDELMAAAAAEREVAAAEEGEEQS >cds.KYUSt_chr1.26223 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157909014:157910063:1 gene:KYUSg_chr1.26223 transcript:KYUSt_chr1.26223 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLFQCPTTGSSDARHMLDGMTDSAAAAGEDRIGVLPDDILRLVLSFLPSRESVCTCVLARRWRNLWKSVPTVVFYGEEEARFVTSLLLLRDRAPLHEFVFISFLDGTPQDAELWLRYAASCQVKVLRLAVHRYDFTERLWLPGMALVCHQLTTLDICSVALGERTLDFSSCPVLDVLEMQDCEINAEKISCQSLRHLSMDTCFFPEVVRARISCPRLAALELTENVGLTPFLESMPSLVTASVRFDTEWSEREQPYDHCLDGGYYGHCDDDSCLACHHIDVEDNVCVFLDGLCGAADLKLIRASPVASPKASPKPRRIERLGDVFRSCRVWGTSLPSRVPQTKFRKF >cds.KYUSt_chr3.46666 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293350539:293351342:1 gene:KYUSg_chr3.46666 transcript:KYUSt_chr3.46666 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNVARVMLFLEEVGAEYELVPVDYLAGEHKRPQHLQLNPFAKMPGFQDGDLVLFESRAIGKYVIRKYGTADLDLLGENSGIEASAMVDLWTEVEAQQYYPAIAPAVFECIINPSIMRTAPTNQTVVDESLERLRGVLGIYEARLEKSTYLAGDSVSFADLNHIPFTFYFMTTPHASLFDEYPKVKAWWESLMARPAVQRVCKHMPTKF >cds.KYUSt_chr3.22462 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138800740:138802649:1 gene:KYUSg_chr3.22462 transcript:KYUSt_chr3.22462 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLREVSVSVIFSVWCILFLLRSQFLNSQTDPSDFYGEHGKRDNYCKVMPLEAYIFPADNVSSPTCQSPPSSTHHQEALPPSNATGGNSSSEAAFVELDEFRSRILQGQADNDTAGRHHHRVADGATHRLEPSGAEYNYAAASKGAKVLAHNKEAKGAANILDGDKDRYLRNPCSAEGKFVVVELSEETLVHTVALANLEHYSSNFKDIELHGSLSYPAEASWELLGRFTAENAKHAQRFVLPEPRWTRYLRLRLASHYGSGFYCILSYLEVYGIDAVEQMLQDFIASNIPDADAAKTAADARKDSGGRDDTAGALVHAKQVDGTGRNDSTGDVAKNSANSAGDAKPAQQAKEPAKQSTSSGRIHGDAVLKILMQKMRSLEVGLSTLEEYTRVLNQRYGAKLPDLQNGMSQTAKALEKMKADVKDLVDWKDDVASDLGELKDWKSSVLGKLDDLVRENEAMRWDVEEMRSIQETLQNKELAVLSISLFFACLALFKLACDRVLLLFSSKEEDEERAGRGWMLVLAASSLTTLIVLLYS >cds.KYUSt_contig_1546.103 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000163.1:615508:626712:1 gene:KYUSg_contig_1546.103 transcript:KYUSt_contig_1546.103 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITWYVSRYTPRLSLSCPISTSLALTGGWASLSRPLRSRRVCDCDLGFGFGAARAKQKAAAAGAWADAAAALQGFLADPWLLRPADGAEAGTVQVEVPPLDLREDGEDEAHRAAMQRQAAAAEDFARRLEGAYGSPDAEGHEDDSDQEDQGNAAVKVMCRLCLSGENEGSSKASKMLPCKLCHKKYHQKCLKSWGDHRDLFHWSSWICPSCRSCEECRRPGDPNKLMFCKRCDSAYHCYCQQPSHKNVPQGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQADQNLQYTCASCRGECCQIRDADDAIRELWKKRNIVDRDLMVSLRAAAALPSLEDVSPSCPNSDDERLRAVVLKNDGRNTLKFSFKSNSSKPPLDQSEQEKNVPKISGSNKKHSKKKRNHGNKSVDPDEVFLEKRHEAKSLSSLLGDHTVEGNQERDSFKNDDTRSSEKDLKSSFAKAAANNSEMIPKVKIRGSKAPNLHFKDIGEETTTKSDAGKGTKLVIHLGTRHKSKSGSPMSEMPNSHKERELGSIPGGKIDVTSQSKSSKSEKKEKSVMKLVRETAVQQRNSLLGELGTSKKHVTGKRSTSVVSAMENASEGGTRSRSFGHKQSISNQLTENHGTASPDSLKPSLLKLKFKRPHFEQPSAQVSQPEELATWASQQEELNVAKGQRSKRKRPSIDKMDGSEGKTPSKRHAQGTGDEAMDATWILRKLGKDAIGKRIEIQLASDGKWHQGVVSNVVSGMLGVQLDNGSSENLELGKQALSARSLNGRPCGGTSCRGRGHGQIRLMRSSCRHP >cds.KYUSt_chr4.52473 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325438165:325440928:-1 gene:KYUSg_chr4.52473 transcript:KYUSt_chr4.52473 gene_biotype:protein_coding transcript_biotype:protein_coding MANVALLSAASPSTSSAASLLPRRHPSRRSACFPRAASKLSVVAAMGDDPIKEWILTEGKATKITGTRSIGGGCINAAQRYETDAGSFFVKTNRRIGPAMFEGEALGLKAMYDTKSIRVPLPYKVGSLPTGGSFIIMEFIQFGRSRGDQSALGRKLAEMHKAAKSDKGYGFHVENTIGSTPQINTWTADWIEFYSKHRLGYQLELISQRFGDSAIYEKGQRLIKNMHPLFDGAVIEPCLLHGDLWSGNISSDTDGDPVILDPACYYGHNEAEFGMSWCAGFGGEFYNSYFEVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >cds.KYUSt_chr5.26822 pep primary_assembly:MPB_Lper_Kyuss_1697:5:169696688:169699195:1 gene:KYUSg_chr5.26822 transcript:KYUSt_chr5.26822 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAGARRLVFLVLALVVAVAAAQDPNTDASFVSRFFDRMGLARPSSGAGAVCSWPGVSCDADGRVVAFAAAGMGMSGPIPDDTLGKLSSLQSLDLSGNRLTALSNDFWELGASLRGLNLSRNAIGGALPNNVGNFARLLVLDVSRNAFSGPLPSALGSIVGLQVLDVSHNQFQGQLQAAVLLGYGSLVAMDLSGNALDGDLPDLSPLRSLAYLNLSANNFRGSLIGAFQEQLRVIDLSSNRFSRLNFSTGYAGSSLIYLDLSSNELLGEIHLAGRFPNLRHMNLAFNRLSTNNLLASMGDISASLEYVNLSSTGLHDQIPRVLSSQLAGLKVLDLSRNNISGLVPDMSSLQLRVLDLSVNNLTGEIPVSLVKKLASMERFNFSYNNLTVCASELSPQAFAAAFARSRNDCPIAVNPDVIKKKGARRKGMKLALAIVLSLFFSLLVLLCLAVVCRRRRKRSGAFPADKQVSFKEEPGISGPFAFQTDSTTWVADVKVATSVPVVIFEKPLLSFTFADLLAATSNFDRGTLLAEGRFGPVYRGFLPGGIQVAVKVLVHGSAMSDQDAARELERLGRIKHPNLVPLTGYCLAGDQRIAIYEYMENGNLHNLLHDLPLGVQTTEDWSTDTWEDNHSGGEATENITPEGTATWRFRHKIALGAARALAFLHHGCIPQIVHRDVKASSIYFDYVMEPRLSDFGLSMIAGTSTEEDPLHHSPGYSPPEFSNSENAMATAKSDVYSFGVVLFELITGKEPLGDEYPEQKEASLVNWARAMVKANQGSSIIDAKIRDTGLERQMEEALRIAYLCTAELPSKRPAMQQIVGLLKDIEPKVVEQN >cds.KYUSt_chr3.38132 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239933320:239938524:-1 gene:KYUSg_chr3.38132 transcript:KYUSt_chr3.38132 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRFFPATSQTPAAACLLKPSPKPPLHNPISATAAFPRSNARSVPHLRLRRRVRSAPRTPTEAPPVGPDGGGGGGGDGGGGGGDGDEEGEDKGLLPEWLNVTTEDAKTVIAAIAISLAFRSFVAEPRFIPSLSMFPTYDVGDRIVAEKVTYYFRKPCVNDIVIFKSPPVLQEVGYTDNDVFIKRVVARAGDIVEVHKGKLVVNGEARNEEFILEPPSYDMNPVQVPENAVFVMGDNRNNSYDSHVWAYQSLIATLLALVLF >cds.KYUSt_chr1.39226 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239955063:239955766:1 gene:KYUSg_chr1.39226 transcript:KYUSt_chr1.39226 gene_biotype:protein_coding transcript_biotype:protein_coding MTEICVCLKDILREMKELPLGDSTAEKSSNGEADMTLSDNDESSSGIEVDDDFTEEEIAIAKLIITVTLDSLIAVKETIRFITGLLKSSGNKRGANEDKVESMENLLRCCSDIADQVNEFGASFGGLQKSLGVLEEEIAKDVANEMTNLAISLSLLLKKTLDYNVMLCLLVRSNVQMYLLVRVMKLLLVKPDDE >cds.KYUSt_chr4.46922 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290281086:290285775:-1 gene:KYUSg_chr4.46922 transcript:KYUSt_chr4.46922 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGDGGGGAAADAEERGRSELVPLLEAIKTSEVCWDDSTCSGLSHCMLHKSVLQVALKCTESDATACLGQFLTLGAKASSWCGKHLIWFVDSIDDSEAVQEEEHNSLCLEIISLTLNISVKLLPMAAKCITVDVVHTTGDFILELLTLTESSLVNKTIHGAAAHVAKAAPVFLDETIKLCRVYCEAAKSDSCRMSMPPEEITVEHEVPDLTSNVTRITTCTIQTLCKIGTYAASSGGSQVALLNVSWKGVVSLLQLGKGSIEVKVSVSDIISTLISLVIESLRVAADTWCRSLQEVLGISEAKRAFLPIKFFLINAVRICTVYPAEALAIYRDIIRCTLVISSSSILFSKDPLLKAANEALVELLEPSSFLLLDTLMKSSEIRLESKCQLVQYLLENEEANDPAQMVQDGQREMNLASLGCIFSVDPDVDNRNRGLLPAELIVFLHLLNISPDLREELVIDLSKKLPALLNVLTLEDVYSFVLGCQIPTFYGADHPPVVVWQPVYTFLTQALKTYMIAAAASSVAWNELEAFLLESLFHPHFLCLEILTELWCFFVRYADNETSTYLVNQLFLLLKTVASPEKVLEPLSALRKLARAFCIILSYASCATIDQIYTCVLNDQNSSKSSVLHLALLMEGFPFDSLSDGVKAHAVNKLFTSFAGYLQSYLKNQGAVDLPTSSSGIIGLPVHALASALQRCEIKDYNAIDGKSIATMFKFSFSLINLYRTAPDSSKDQLAQQISSMLDIISKVRNLCAFYQMEKLTLELHTLFMCSSAMLDLYHLLVRERHWALIHLAMSSFGYFAARTSFTQLWRFIPGDAALSYNANTGMDIDENGFMSELKAFLQKEAALRGDKWSREQTRFLVSEGRALKKLVETASEIPLAPEPEKKAVISKDANKKRKIPDGIGEGMTLLQNGLKVMRSALNETDSAELKDRLAAHLSCLENAVSQIVSFSDKI >cds.KYUSt_chr5.14914 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96480677:96488437:-1 gene:KYUSg_chr5.14914 transcript:KYUSt_chr5.14914 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCENCPDGGVGIYNPGFWGMNIEDGKTYNLVMHVKSPETTELTVSLTSSDGLQILASAAIRVSGTSNWIKLDQSLVAKGTDRTSRLQITANKKGVVWLDQVSLMPSDTYKGHGFRTELISMLMDLKPRFLRFPGGCFVEGSWLRNAFRWRDSIGPWEERPGHYGDVWNYWTDDGLGYYEFLQLSEDLGAAPVWVFNNGISHHDEVDTTAIAPFVKDILDSLEFARGSVESTWGSVRAAMGHPEPFPVKHVAIGNEDCGKKNYHGNYLKFYNAIREAYPDIQMISNCDGSSTPLDHPADLYDFHVYTDSKALFSMRNTFDKTSRSGPKAFVSEYAVTGKDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNDNDQTWNPDAIVFNSWQQYGTPSYWMQKLFRESSGAMIHPITVSSNYSGSLAASAITWHDNDNSFLRVKIVNFGPDAVSLTILTTGIEDSVNTLGSTATVLTSGSVMDENSFINPNKVVPVTVQLRNAAEEMQVTLSPHSFTAFDLALAQSKLVAEIQEVMGFVLLVVLLRWESKAAVRPSTHQGDGEGEWWTEALLPSAWRGAADIHGVHQLGRRYVSVILGRWDRSLLQRRQHCSVFNLLAGEPKRRPFSDSITALNAGPSPSGFVPGGGAGGRDVECFVFFGGEEGPDCILKLLFRVLVIEHVLHGTDNSKSLGHMDDKQC >cds.KYUSt_chr7.35038 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218881066:218884623:1 gene:KYUSg_chr7.35038 transcript:KYUSt_chr7.35038 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPNQKKGSISFRPTPSSAPSSLRSPLTPLAVVVNFQSVANAPKLRQSKFKIGGNEKFAKVIEFLRRQIHQDTVFLYVNSAFSPNPDELIIDLYNNFGIDGQLVVNYASSLAWG >cds.KYUSt_chr5.28451 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180172936:180173836:-1 gene:KYUSg_chr5.28451 transcript:KYUSt_chr5.28451 gene_biotype:protein_coding transcript_biotype:protein_coding METRADTLRVRATSAETQTYRKYGFASLRTARSLCVAPLERVSDTFPVTADANGRSASVCVAPDGNALRPFRTFRAMEIARRTRRSPCPSHRRRRSSAAVGRKVRELRRLVPGTAALPADRLLLRTADYIAQLRARVELLRALSELCEGHGHGDTPS >cds.KYUSt_chr5.39394 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249292836:249293963:1 gene:KYUSg_chr5.39394 transcript:KYUSt_chr5.39394 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDTSRRPACQFALLRLELAQLVANRVEEASRPLREEVASLKLLLARVGVPLEPTEVCSSGGQDLAIVQTSLPLSSTEQKSSMVEITPGLHESCVDSSMVPELLKLGGGEVMPPSVEEVRDVVPIGDGAAKSGLLAPGGVVAREVCDFLATLAATFPGSAVD >cds.KYUSt_chr1.19707 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115833885:115834678:-1 gene:KYUSg_chr1.19707 transcript:KYUSt_chr1.19707 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVVSLFRRITVTGGHGYQSIPPCEKEENCKAMPAAVKGLKGTAFLHAHAKNWREAALAFGEQATCDLKLGNELSAASALLNSAKCYTWIHDQEEGAVPATELALQKALALFVKRDGLQMAAVCCHELAELYVEQKELQKAADFFEQAAVYYGNRCSRWCKFEASRLRFVLDNEEAHRHSDPADWRSQLYEVFATGIM >cds.KYUSt_chr7.21595 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133934673:133938292:-1 gene:KYUSg_chr7.21595 transcript:KYUSt_chr7.21595 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQELHDDDGKHKETPTSTCTTTSSNNSPSGAVHPTTSSPPSVASGHRSAVNSFPLVLKFEEVVYKVKVGQTTGRLCARMSSAIGGANKAAVMPPREKTIISGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRQRHALLSGKITYNGQPFSGAVKRRTGFVTQHDVLYPHLTVSETLWYTALLRLPRALGASEKRAQAEAVARELGLTKVANSMVGGVRGVRGLSGGERKRVSIGLEMLVDPSLLLLDEPTSGLDSTTAARIVGTLRRMAAGGGRTVVVTIHQPSSRLYHMFDKVLLLSADGRPVYYGRAADGLSYFASIGFASPLSLNPADLMLDLANGIAPDTSGDGCGVAAAVPGGGSEAEQKEVRGKLAAAYERHIAPAVKLDICSSEACGTGDGVHGARRRSAARVEWTAGWCTQFSVLLRRGLKERRHESFNKLRIFQVLTVAFLAGLLWWRTPAAHLQDRTALIFFFSVFWGFFPLYNAVFVFPLERPMLLKERSSGMYRLSSYFAARTAADLPMELGLPTAFVIILYWMGGLDPRPASFILSLLVVLYSVLVAQSLGLAVGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVGWLKWLNYSFYCYRLLLGIQFPHGGGVYDCGGGALCPVSEFPAIKAVGLNNHWIDVCVMALLLVGYRVVAYLALDRLQQR >cds.KYUSt_chr4.11412 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69406103:69406735:-1 gene:KYUSg_chr4.11412 transcript:KYUSt_chr4.11412 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTSRADQQQPPARSAFVSNNPIFFCEDESMTRLKPAGLHRRHAEPGTVHAVVLDDVPAAVGKPLPLPLPPSRIQKHIPAGSRAAGSTLVMSKHGSGWVLMRRVPRDDPFLAAYLACSKSGGGKVDAEPAKRPQNRKNTGDAVQGCGVWGGWAAGAKYAGAMSCKYGCDVARPAAVVQDSAVPRLHLSRQLVVIPARKRALARGRAQE >cds.KYUSt_chr1.10346 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63482337:63484266:-1 gene:KYUSg_chr1.10346 transcript:KYUSt_chr1.10346 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSHFRRDSKIVIDEALAYVETLKLAGNGKEIWVFDIDETTLSNLPYYAKHGGCVQSLFVDSYTDHALELETTTLFNATSFNAYVLEGSAPVLPETKRLYSKLLKIGVTPLFLTGRTEDQRAITVANLRSQGIYGWKDLLLKQPGFKGSAVAYKSGERQKLQDAGYVIVGNIGDQWSDIIGAPEGARTFKLPDPMYYIG >cds.KYUSt_chr2.937 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5483699:5484289:-1 gene:KYUSg_chr2.937 transcript:KYUSt_chr2.937 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTTPPPPPPQQQQTELAGDKRKREEESPETTTGDAAATSPAASTVEAAAAPAGDKRRREDSPKKPTGDEASPAASTAEEAPAAGDRQLHPMSKTSLCSFFRRRGAGPDGCSHGEGCRYAHTEEELRPRPDGSWDPTSDRAKKLLKVSAEEPYEEEVTIDETSLDKCLLGLSRHWVTDKLKSFLEEQASIAILDS >cds.KYUSt_chr6.16361 pep primary_assembly:MPB_Lper_Kyuss_1697:6:102950996:102955098:-1 gene:KYUSg_chr6.16361 transcript:KYUSt_chr6.16361 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKVLVSAIYYFILLVSLAVAAVQRASAAEAGAAGRGGIFHIPSAAELVRARCPSRCGHVDILYPFGIGPGCFRQGFEVTCDDSANSPRLFLGNSTIQINDISPGENGIDAPAVHFNVTMISGMDTYNNMSWEAPVKGVTVGGVANYLYVVGCGVGVYLFGHDTNHPIGYCMSICLDDKEAMKKANNAASPYLPGDPEMGYCSIRLGQAVPSFGIMAGRLNGGVSTLSGEVPSNVKVFLAEDYKFNMSDIYSSRVDTRNVQGAFFNIAITDQPSCESAMKNKENYACNYESACQDESSGGYTCWCPDNNLVLEDNPYIMDGCSQGYSPNPKEGCKRLCGNMSIPFPFGIEKGCYALDKFRLNCTSENITILDRAQVEYIVANVSVNEGYLNVQTTQSNSNYNNEQVIVEAIIGLSGTILDDPLNDLLYLSQEFDMKMWWSVENLTCSVAMSKEKMGVYACRSVNSTCVNVTHDLGNTTVQLGYRCKCSQGFEGNPYTSDGCKGIAIGIGCGLGSIILGLGATVLINKWKKGIQKRIRRTHFKKNQGLLLEQLILDESTTDKTKIFSLEELDKATNNFDASRVLGRGGHGTVYKGILSDQRVVAIKKSKLVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLHDLLHIDVSAKCLLSWDDCIRIAVETAGALAYLHSAAAIPIFHRDVKSANILLADNFTTKVSDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILVELLIRKKPIFLNDQDRKESLAHYFVEGLQQGVLMEIMDPQVIEEAKQNEIDDMASIAAACLKTKGRERPTMKEVEMKLQILRTTRLRSQTSPRNDAEIESSWCPNGSSSDAQGNIINNVGLTAAGISERYSLEQEFMSSASLPR >cds.KYUSt_chr5.12851 pep primary_assembly:MPB_Lper_Kyuss_1697:5:83828011:83828796:-1 gene:KYUSg_chr5.12851 transcript:KYUSt_chr5.12851 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLVPWLFNLLVTLWTLCCQAAGADPTTGFTAVEVSEDRFKLHKPYDLPPEQRYELRDGVRRLWVYCDDKPFSAGSPNKPRAEILLNRTYSSGVWQFEGYGYVPAGTTGVSVMQVFGAAGPPRNTTLMLHVYGGRLVYYRDETKVVDGDIYDRWFRLNVVHDVEASALTVFVDGQQRLTVPGYGGHRHYFKFGVYTQTDPSHYMESRWRDVKVYTKTPY >cds.KYUSt_chr5.40860 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257887085:257891780:1 gene:KYUSg_chr5.40860 transcript:KYUSt_chr5.40860 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSFPAAAAAAAMETEEHLNWKKNAPVLYDLVISHPLEWPSLTVQWLPSGSPAAARSHQLVLGTHAEDAPNHLMLVDAVLPLPPRLAAAAAAEGATLPAPSVSIFRSAPHQGEVNRARCMPQRPYTVATKTCVDEVHVYHLADDGHKVGADVVLRGHGAEGYGLAWSPMKEGWLLSGSYDKKICLWDLKSGSGAPVLDAQQVFEAHEDMVEDVAWHLKDDNLFGSVGDDCKLMIWDLRTNKAEQSVVAHQKEVNSLSFNPFNEWILATASGDATIKLFDLRKLSRSLHTFDSHEGEVFQVEWNPNLETVLASHAADKRVMIWDVSRIGDEQAEEDASDGPPELLFVHGGHTAKISELSWNPSEKWVVASVAEDNVLQIWEMAESIYSDDSNASSLST >cds.KYUSt_contig_1539.3227 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:13251338:13259439:-1 gene:KYUSg_contig_1539.3227 transcript:KYUSt_contig_1539.3227 gene_biotype:protein_coding transcript_biotype:protein_coding MAYADEKPSLKSDHDVDPIASISRSCQSFGAGDAERIESQFAVFTVADELPKPSGWDDGPVLGFSGGDEITGDKVPVPARPDSRPRFPRRPQEPDCAYYLKFGTCRFGMKCKFNHPARKKKNRVKSSGSSGSGSNSSINKASSPDGDQGFVDKENTSYLGNLKKTSYEVAKENRKETASEGSAREECKMAMSPCSRINEVLHPVAPAVVTSTTVAPTVGHGTSGLRAAARPYSPVRRLVASDRMIATGNFFHIQPSTYHLASSPLSHAWMVPVGTINLFVGLAGYPIAVLMAAGDEAPPPDPFSTPLPVTANATEIEAHRTALEAQRKKELAERQKFRLEQDSGFPSACLNFDDPDVEVWVAQIHNHGVPESSRAAAGRVAHGAPPPPPPPPPPPGARPEVDANGLPLHSSPADNIAATQAALANLLDNGEGAIFVQHAKALVAKALEQQHAAADSQGRLYSRSSASRAASSTATNRAVANVNNCPPSAPRAAHSTNNRVEPRPPRAMVAANGQPIDARTHIVNDQAWRARNRLNDHYVDEPPRQSAFNRVGPTCFGPMIRGEPYPAGFKGPRDMEKYDTHIDPTV >cds.KYUSt_chr4.37132 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228454924:228463920:-1 gene:KYUSg_chr4.37132 transcript:KYUSt_chr4.37132 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLANQPPPSDMDVDSADAAEEKNLVRFSINVLELTRDAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFLHGRGKYTKRNIIESTVKDVRFLHVVFYMAERAWSHAMEKKTAGSNAKQRIYMLGRFRKAVKWATLFSQLCSIKGDSRTSLEAEAYASYMKGTLLFEQEKNIEAAIMNFKNTRTVYEELGKYGSIENQLLCRQRIEEVEPMIGFCSRKLGGSALQARDLLDMEKEGPAYDLFKAKIEAVLSETRSQQAASMTEFNWLGRRFPITSAKTRVAILKAQQLERDLSGAATESISADKKLATFDKLFSAYHDARGCIRNDLASAGNAENIRDDLNGLDKAVSAVLGLRTIERNQLLVSIAKSKFAKHPDDKNEKITKPEELVRLYDLLIQNTTDLTDLVSSGRDKNEEENSFVNEYELKGLAFRAERCFYLAKSYSSAGKRTEAYSLFCYARSLVDSALHQLANSLDKALIQNLKALSDNCRSNSCIEHATGIMEDETAPGKLSKGVSTMSLGDNKKKDNKYLIDMLGSYESALGEQHPKVPTHIAQFPPPFQSVPCNPIVLDMAYNIIEFPNLENRMKREKKGILKRFWG >cds.KYUSt_chr4.28391 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178319732:178320801:-1 gene:KYUSg_chr4.28391 transcript:KYUSt_chr4.28391 gene_biotype:protein_coding transcript_biotype:protein_coding MEVREGGERLRDWLQEQSSEWTELMDGMLHKLTSALSALDTGCAAGASAAGSGDGVLRPTAESSARRTRKRSFSRRSQRSSSTRVTHTLIDGHVWRKYGQKEIQNSPHPRSYYRCTHKSERGCDAKRQVQACETDPPKFTITYYGEHTCTTPPVTIVDANDGRGRNNLVSFARTFPQLSDKEGGAATTQHLSSSWCASDRVFNSSGADPFVQTDELAVVVGSAGRTSLTVGSVRDCGGLGDMAGGGQGGGTSSFPSSPSSIEFMMNSLGSLGDDNLFPCDP >cds.KYUSt_chr1.2402 pep primary_assembly:MPB_Lper_Kyuss_1697:1:14169488:14174030:-1 gene:KYUSg_chr1.2402 transcript:KYUSt_chr1.2402 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVAAAVTARPPPRISAPWLRRGHVPPLRPSTGRPLAVLTVVAASRRSDGGKKVAKKRRRRATEADQEDGLSVSSEMATKNSTPRAEVDDGSLKPAPESSITPKDSAIRRVALVVIAAVLFGVSIALKDGVEKASEYFAGYLLEQSLSVDNLFVFILVFKYFKVPQENQNRVLSYGIAGAVIFRAALIILGVATIQSFEAVNLFFALILLFSSYKLFAGGDEESDLSDNFIVKTCQKFIPVTDYYDGDRFLTKQDGLLKATPLLLTLAVIELSDIAFAVDSIPAVFGVTRDPLIILSSNIFAISGLRSLYVLISESMSELEYLQIVFKLRERIHEVATASANNWQKKEAP >cds.KYUSt_chr5.39196 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248077722:248078393:-1 gene:KYUSg_chr5.39196 transcript:KYUSt_chr5.39196 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIKKEMSGESGSPCNGEYCSPSTSSEQKQQTVWTKRPAGRTKFRETRHPVYRGVRRRGNAGRWVCEVRVPGRRGSRLWVGTFDTAEIAARAHDAAMLALAAGDVCLNFADSAELLDMPASSYRSLDEVRHAVTEAVEEFERRQALGEEDALSGTESSTLTDDEESSTPFELDVLSDMGWDLYYASLAQGMLMSSPFLAASAALGDYGEANLADVPLWSYLS >cds.KYUSt_chr3.18318 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112570252:112574635:1 gene:KYUSg_chr3.18318 transcript:KYUSt_chr3.18318 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPETALPAAAGPACEDEEEDEEQCRICRLPAEADRPLRHPCACHGSIRFVHDDCQLRWMAIRRLHRCEVCKRPISTCLLYAADAPARLSVSEFMLGAPNRIMGLLLPLFFAICVVRDSFIRLTTLWTWRLMLARTFAQVHHLLSTSFSTTSVIASIAIWVVCANKVAPFAVAPFARWVERLEARRHGFRGFDGLQVLALVAVEVSSLILRPGVTIPFVHHNVREPFYIFYFKKLPGLFVDIIFIVLVILVPVKIAVQLAPGVFPLDITYFDRPAKGAPFWQGLLYCAESLSGIHHMKFLIGNTVLYLKWLVERVTLYWFVTAGGALGNNVTQKDQYRSSDEVNDKRRYVAVGTRVVLAWLTVVIFSCAMLLFSISVGRRFLFAIPQLPVAGRLKSNDLFAFTVGFCIISTIIAVARDSFACMVSGGTRLLALEMHLLFFIWIFIIPLLTGLLVDLLLLSPFIGPDDDVPALGFFCTWLLGRVLQNIASNLAPGFGLFLPFMAYFIDESWDGEICLAREVLTSVRLIWLLEDELMPVATKLLTALVVPYVLAKGIFPRLGYSVAVNSTVYRFAWLGSLTFCVLCCLAKVFCIKLHDSIRDDHYVTGKRLEDVDSS >cds.KYUSt_contig_662.279 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1583695:1592223:1 gene:KYUSg_contig_662.279 transcript:KYUSt_contig_662.279 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYNCWTKHGERGVIMEEDEEGDDFIDDNYLDHFGDTFMEDAEGGEGEGEGEGEGEEEARDDLGRTIADARRGCETEKEWKNLDRMLEDHKKSMYPGCDNGLKKLGCTLDLLKWKAQEGLSDSGFEKLLKMMKNMFPKNNELPASTYEAKKVVCPLGLEVLKIHACINDCILYRGEYENLNECPVCTALRYKIRGDDPGDDVEGEKPRKRVPAKQFALHKMADAFRKFKQNLAHDYVNKNKTSDFKGQYEKLKDDWPEFDDDPYGYRSRKRKADRDADVVARLASEFDEMKKTLNILVQERSAAGTHEDHPADLGSQQRRSIVASTEAPSASVVAPTINITAPEPPRYPVDDINEMKECHLHYPIGNMSMKVAIGSALPCLPGALQHNNPIPDGYARVTVEDIVQGFGFEDLEIDYPTPEGERRLGDVKRQFILWKKKFIKFPGEAPRPTSPPPSGCGGGGGGGGGSPTPHSRQPTPPPNPPPAGKQTPPRSPPRAGTPPPNPPPTKKQKQSWTINPDPYVPKTTKVPEPSLKPLVPRPWELSEEQTTLAAAADYEKWKADMKAKREPEPKPVFSDKEKKWAKSFFSTPSQAAKNMPDDYARELRRQALMLKEKKDLAEKQEKKALEEAKKELESKKSGKRVAQLGEQSKQSIPPLIVKAAGPDAELMDPIIIAAAAAQGMTVTDAREQAAQIGMTLRAVLGLEEAPMSEVAFTYVPNGPLVEPAQEEDLPPQMQNLLRWYKGYIKNNAAKEYIYAEVRHEHHFKHYYVIVHLSELFQLFNLRELDKSIISCYVLMKLRECRIRNIHDVGFIDPQIVNGYVLEKHPADVEQDLWLFLTKQELKSDILFPYHFGVWRRFADEKVGEFKKELQFRRSVTGDIQPPGTNLCGYYVCEMIRRYTSERQPSDNNVKRNNLRKTLSPEARFRPLQEELAGWFMREVLHPKGEHYYEDVELLIHPTNGSDTDLAALLAFKAQLADPHRVLARNWTAGTSFCHWLGVSCSRRRQRVTALSLPELPLVGSIAPHVGNLSFLSILNFTLANLTGSIPVQLGRLHRLRYLGLGGNRLSSDIPAALGNLTRLEFLLLPFNQLSGQIPPDMLMRMHNLRNIYLARNDLSGQIPTNLFNNTPSLTFIHFGNNSLSGPIPHSIASLSKLEYLALHVNQLSGTVPRGMFNMSMLRCIALAGNGHQRVSYHELVRATNSFSEENILGSGSFGKVFKAQMSSGLLVAIKVIDMQLEHAIQSFDAECRVLRMARHRNLIRILNTCSNLDFRALVLQYMPNGSLEMLLHGSESTRMRLGFLERLGIMLDVSMAMDYLHHEHYELVLHCDLKPSNVLFDEEMTAHVADFGIARLLLDDNSMTSSSMPGTIGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGRRPTDSMFGAQLTLRQWVQWAFPTDLVQTVGGIQLLQGSSLSSCSLDDGFLVSVFELGLLCSSESPDERITMRDVVVTLKKIKAEYIKRITATTSRSTHTD >cds.KYUSt_chr2.18127 pep primary_assembly:MPB_Lper_Kyuss_1697:2:114246285:114251162:-1 gene:KYUSg_chr2.18127 transcript:KYUSt_chr2.18127 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRTPPTRRFCSGTWPITYEEEPSIARGGGEQLDKKMDVKLDMELDLKTSHERAREEREACARGGDVQAGGQPGQPVVPGGHPAPANGWKPPAYSASVKTVREKCSVEITKDHVYSRCKTFDKHCSIISRLLSHSDFGWDQDKNMLIIHNEDAWKKNKAAACYKNKVIKNWDAISLIFSRDYATSEEESAGGENAQEMALKGAEDVRELTQNSPSTS >cds.KYUSt_chr6.22285 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140816995:140820403:-1 gene:KYUSg_chr6.22285 transcript:KYUSt_chr6.22285 gene_biotype:protein_coding transcript_biotype:protein_coding MQGASTATELIEPLAGGAGGKVVCEQNYVHRDESFWYFSLSAQFISSMGQGEKDSAEVAASGDRNNEVEAEDRVKERCFEQREALPGEPRCVICGRYGEYICDQTDDDVCSVQCKTALLDRIAATAKTPAKPPVRVNVPFGDESFCVRDNNCPDVPSLHASQIASLRNKLDICVKGEAVPDPIMCFSSCGLPEKLVHNLEAAGYVMPTPVQMQVIPASLSNRSLLVSAETGSGKTASFLVPIIAHCSRGRLQQCTSKRGPLAIVLAPTRELCIQVEDQAKVLGKGLTFKTALVVGGDPLAQQIYRIENGVELIVGTPGRLIDLLMKHNVDLTDVSAFVLDEVDCLLERGFRDQVMQIFQALSRPQVMMFSATLHSEIEELSNSLSNNMMHITCGNPSRPNKSVKQVVIWVESKQKKQKIFEIMRSKQHFKPPAIVFVSSRVGADLLSEAITAATGLEAISIHGEKTMKERRERLRRFLTGEVSVVVCTGVLGRGMDLLKVRQVILFDMPNSIDEYVHQVGRASRMGEEGMAIVLVNDEDRKLFKELAQVLKTAGAPIPRELSNSKFINNFSLGTNRKRKLT >cds.KYUSt_chr1.29144 pep primary_assembly:MPB_Lper_Kyuss_1697:1:176440214:176441515:1 gene:KYUSg_chr1.29144 transcript:KYUSt_chr1.29144 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKKLEKMQSAAQQQKAKLCIIVACIVLLIRGCKIRNVKTSAEVEGGHEGVVERGKHVGLGKDMSYKERSSSLRSATFSAKSGETGGEDLRRLRKTCTRRPWLSSRIRWRWTPSGLATQAEARWDQYWDAEDKCGRGEREMDDETDGRES >cds.KYUSt_chr6.21572 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136061605:136064126:-1 gene:KYUSg_chr6.21572 transcript:KYUSt_chr6.21572 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPAERLGALKAFDDTKAGVKGLVDAGVTAVPSIFHHPPEPLPLDESPPDRHGQQQHFTIPVIDLAAGDRAGLVAQVKAAAETVGFFQVVNHGVPEAAMSDMLACVRRFHESPAEAKAPYYSRDPGRRVRYQSNFDLFQSPAASWRDTLFMETAPAPEEAPPECRAVALEYMRLVRELGRALLELLSEGLGLDPRFLEQECLDGHGLTVAGHYYPACPEPRLTMGTTRHSDPSFITVLLQDAVGGLQVLVDRLDEDGNKQPAAWVDVPAVPGALVVNVGDYLQLVSNDRFKSVEHRVVASGVGPRVSVACFLRTDTSTKVLTPMVTGDHGEARYKSTTVAELVKHYRAKGLDGTSSLQHLRVFGKRSARLLKLFITGRLPSKSGGAAYRRLQRRLLRPVVPVVNNVVINNVTAATSSATPSPPPPPDRQFPELTMAGFSDALRPDKFTGVHFKRWQYKAMLWLTHLKVFDVTDGLPEGTISDQDQNKFKENNTLFVGCVLSILADRLCDVYMHITDGKELGRHSNAKFGATDASR >cds.KYUSt_chr6.22152 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139926821:139932910:-1 gene:KYUSg_chr6.22152 transcript:KYUSt_chr6.22152 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCVHVLEARGLSAIYLNGTSDPYVRLQLGRRRAKTTVVKRSLSPSWDEAFGFLVANVAEELVVSVLNEDRYFSTDFLGRVRVPLSAILETEDHSLGTAWYQLQPKSGKSSRKKRGEICLKIYLSVREGHFNESQNILMQLIDETPCSSTRSLETKASSLSAVTSSLDLSACASMDHALYRSGVDQLPGSITDQKDPSSIERLPCGTTQQSVLLEPEEDDGDATASSVVEVWSRYFRKGADNATCIATDADSMEQFQETEIVNSESCENGTHEVNLDELLKTMESKDQGCGMPGNLPGGILVEQSYIIKPAELNAMLFSANSDFWPAVAEVQGLSGLHTDPWKHENNESSLKRTLTYTKAATKLVKSVKATEEQTYLKAAGNSFAVLSSVSTPDVPCGNCFKVEIMYCIIPGPQLPSEEQTSQLTISWRLNFVQSTMLKGMIENGAKQGLKEGYAQFTEVLSQKIKVAELSDANSSKDKILASLQTHEQSNWKLVARFLGSFAFIFSVTVAMYGIAHLRLAKPSNMVHGGLEYFGIDLPDSVGEVVFCAILILQGQNIFKVGRRFLHAWKKRGSDHGVKAHGDGWLLTVALIEGSGIVGAGTPGLPDPFVVFMCNGKKKTSSVKFQASEPKWNEIFEFDAMDDPPSRLDVAVHNSDGPLDENTIGRTEINFVKNNLSDLGDMWLPLDGRFAQGSEPKLHLRIFLNNSRGSEVVMNYLDKMGKEVGKKMHLRSSQTNSSFRKLFSLPPEEFLIDDFTCHLKRKMPLQVSALMEMFSGGPLEHKVMQKAGCVDYSPTEWEPIQLKYHMMSTSLKPNSCSIQVMLGIVWLKGTKHQKKASKNVMSNSTNKLKEIFSEVEKELSSRKGTPFEHTGYPFSAHQIEFLSSKMLRRASAQFDRNAAWCKLTRMQNSN >cds.KYUSt_chr5.15518 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100239564:100239866:-1 gene:KYUSg_chr5.15518 transcript:KYUSt_chr5.15518 gene_biotype:protein_coding transcript_biotype:protein_coding MATCAGSSVDAFTEAANCTHTIFLGLDVREELGAEGRLHLGGDGVEEARVVGGAAVLGGCGAEEDEAGKKNGFWIQNSELGGAWARVCGGEAVQSFQSRG >cds.KYUSt_chr7.7523 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45320609:45324177:1 gene:KYUSg_chr7.7523 transcript:KYUSt_chr7.7523 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSCSRSTEDTNYACVSNHSECSTPVSGNGYMCRCSSGYEGNPYIPDGCLSNHDIDECVRTPGICKGICENTIGNYTCTNCHDHTEYDITKMQCTPRRKQNLFLGIVIGLSTGFGTLLFGLTAIILFRRWKRNVQKKLRRKYFRKNKGLLLEQLISADEDASEKTKIFSIEELERATDNFDPARILGRGGHGTVYKGILSNQHVVAIKKSKLLGECEISDFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFEILNCHSSNIFSLSWDDCLRIASEAAGALYYLHSAASISIFHRDVKSSNILLDASYGAKVSDFGASRTVPIDQSHLVTNVQGTFGYLDPEYYQTQQLNEKSDVYSFGVVLLELLIRKHAVFTADSGTKESLCNYFLSEIKSRQPRDIVAAQVRDEATEEEINKVASLAERCLRLKGEERPTMKHVETALQLLRGNRANSSQIDLAVQRRLQDRKATIENLEGRTCNTASQRSRNARYSLEQEFLSSASLPR >cds.KYUSt_chr4.23517 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148028899:148029348:1 gene:KYUSg_chr4.23517 transcript:KYUSt_chr4.23517 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTERRSKEHHDHDASSSYSQRSKHGAARFFSSMWQMCKNSNDVAHQTLTMTQETRRRQTEFMASRNHPVPPPGPEMEPVVAPQWEMPPLTDEMIQNFDFSVYAHGALPSRTARAPTPSDDDGDDDEGDADARDGDGESSSSIGLGHY >cds.KYUSt_chr4.36961 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227263361:227266779:-1 gene:KYUSg_chr4.36961 transcript:KYUSt_chr4.36961 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIILCLSIWAAAFAATVGVAGSDMEALLEFGRGIRQDPSRRQVAPWNPTSSSDSDGCPVDWHGVQCSSGKILSIEFDGIGLVGNASLSVLARMSMLQNLTLSNNKLEGVLPRELGSMTSLQHLDLSNNSFGGALPSGFRNLRKLKYLDLRGNGFVGKLDDIFAQLQSPVHVDFSCNQFSGSLASISDNSSVASTLQYLNVSHNLLSGAVFASDPTPLFDSLEVFDASYNALTGNVPSFNFMISLKVLRLQKNNFSGSIPESLFRETSLVLTELDLSCNQLTGPVRRVTSVNLKYLNLSSNSLQGTLPITFGSCSVVDLSGNMLSGNLSVVRTWGDYVEMIDLSSNRLTGTWPDESTQFLRLTSLRVSNNLLAGELPTVLGTYPELISIDLSLNQLHGPLPKNLFTAVKLTYLNLSGNSFTGTLPLSNSVTSNSTSIDLSVLPVQTSNLSSVDLSNNSFSGSLPSGIGGLSGLALLDLCLNNFTGKIPTSITKLKHLMYIDLSSNHFDGSIPDGLPDDLVGFNVSYNSLSGSVPSNLLKFPDSSFHPGNELLVLPHSGSRNGEAGGKKHGMKRGILIALIVCVVVFVTGIIVLLLIHWKISSWKSSEKEYGGVPLPGSGLERQHETQEVDQPTGSIATLKDSTQSSMPALISSLPDASAHHHHSILRVHSPDKLVGDLHLFDNSVLFTAEELSRAPAEIIGRSCHGTSYKATLDNGYVLTVKWLREGFAKSKKEFSREIKKLGSVKHPSLVSLRGYYWGPKEHERIIISDYVDATSLSTYLSEIEERNLEPLAVSQRLDIAINIAGCLDYLHNERVIPHGNLKSSNVLIQNSSPSALVIDYSLHRLMTPIGMAEQVLNAGALGYSPPEFASTSKPCPSLKSDVYAFGVILLELLTGRIAGEIICMNDGAVDLTDWVRMLAREERASECFDRRIVEAETSGGGGTPNMLEDMLHIAIRCIRSAAERPEIRTVFEDLSSLSSS >cds.KYUSt_chr4.14105 pep primary_assembly:MPB_Lper_Kyuss_1697:4:86803657:86808304:-1 gene:KYUSg_chr4.14105 transcript:KYUSt_chr4.14105 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISMYRGNLHRAGDDAARQWQVPPPTLSASRFRRLLRSRSLAVSRLAGAHRPNSRLGEAAAEPARGAEEVDGDQQQHQQEEEHQQEEGHDVQPHQQQEEEGHDLQQQEGEEEGQDEHQQGEDMGEEEEQEEGAVEDVEMDDAGEVVVGDGDASGNGDPEEGQGESEGFDPNPEVSYHDGVEERKRELTDKLDTLSKKKHDLVQMLKQILNAEEEIRKRSIQASSRAAMPQPSENAADGSFVPRQVPRMTVDVNFSEFAGESDAGSNQGTPGRPMHHVHNISPSTTSLARATLHEVLSLQQVHLASQVLDITGILQHHYQEVTL >cds.KYUSt_chr1.37400 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228423019:228423390:1 gene:KYUSg_chr1.37400 transcript:KYUSt_chr1.37400 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSGLFPAGLVCFLYLFAFFLNLPLAICDDVDIEIDRQALLCFRSQLSGPATVFASWTSNASTEFCDWHGVTCSARLPCHVVVVNLASQGITGSIPPCVGNLTSLKAPALKQQLPWCHTV >cds.KYUSt_chr4.47178 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291984321:291987773:-1 gene:KYUSg_chr4.47178 transcript:KYUSt_chr4.47178 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPGTRRCHRRRQERAWGGRRGRASTEAATAHRAPYTRPSRERCRAPRGRAPTGDLGRRLAADHRVVPEPAALPLPRRSPGVAALQLSLLELLLYENQRTTRFDDIEVPITIRFNDMKVREDQVSHNIMLVAIVIDGLGLVSLELVANELVGLELVASELVGLDLVEKELVGLELVAFELVGLDLVAKELVGLELVAFELVGLDLVAKELVGLPLVGLGFVGLEVDLKLVGYLMLDFW >cds.KYUSt_chr6.25743 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163094371:163101577:-1 gene:KYUSg_chr6.25743 transcript:KYUSt_chr6.25743 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGPFAQTGVRVLVPLLLVAAAAALLPRALALTDAADVSAINGLYVALGSPALTGWTANGGDPCGEGWQGVVCIGSNIDAINYVAATMEGQLGSLGNFTSITTINLSNNKISGTIPDDLPVTLRNLFLSDNQLTGSIPMSLSNLKSLTAMSLNDNHLDGQLPDAFGSLAGLINLDISSNNFSGPLPTSLGNLSSLVTLRMQDNQLSGTLDVLQGLPLGDLNIENNLFSGPIPPKLLNIPNLKKDGNPFNTSIAPSASPSLTPNGSSPTQTPSSPTFPSGTPSSSNASSGSSGGSTARDSRSSSSGKHKSSTLRIVGYVLLAIVLFIIVVLLVIFCLSKYQERQSRRDYTTSQVGRVQQRVEEPKVKQASAQSRDAIKKGSTEVPDRKPVREINLTIPAAREKPPEKREDHVINLERTESDIFAAAPLPPPPPPPLPPPAPTPTPPPRPPPPLSSPPPPPLSSPPLPPVTKVIANPIFRPEKRASTPPRTGPSTSATSFSVATLQQYTNSFGEESLIRESRLGKVYLAEFPEGKLLEVMKIDNANGRIPVDDFLELVACISDIRHPSILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEGEDLDSALSWNARLQVALGASKALEYLHDTCEPPVVHQNFEPANVLLGNGFSVRVAECGLSVLMLSSSVTQLSGRMRALLNYEAPEIQESGTFTDRSDVYSFGVVMLELLTGRKPYDSSRPRHEQHLVRWAVHQLHDIDSLTKMVDPSIRGECSEKVLSRFADIISRCVRPEPEFRPPMSEVVQDIASILSTTGEDSE >cds.KYUSt_chr7.8992 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54725605:54728542:1 gene:KYUSg_chr7.8992 transcript:KYUSt_chr7.8992 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEQIAVMWRQVRGPVIVPLLRAAVAVCLAMCVILFVEKVYMAVVITAVRLLGRRPERQWRWEPMREDDDPELGSAAYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIIIQVLDDSTDPVIKEMVQIECQRWARKGVNIKYEIRDNRRGYKAGALKEGMKHSYVRDCDFVAIFDADFQPEPSFLCRAMPFFIHNPEIGLVQGRWRFVNADECLMTRMQEMSLDYHFKVEQEVGSSAYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVYLGDLMVKSELPSTFKAFRYQQHRWSCGPANLFRKMFMEIVRNKRVTLRKRIYVIYNFFFVRKIIGHILTSVFYCFVIPATVFVPEIEIPMWGYVYVPTIITLLNAVGTPRSVHLVIFWVLFENVMSIHRTKATFIGLLEAGRVNEWVVTEKLGDIMKMKQQSKASRKLRTKIREIRERLHLLELGVAAYIFSCGCYDILFGKGYYYIFLFMQSTAFFVVGVGYVGTLVPH >cds.KYUSt_chr4.15502 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95795623:95796789:-1 gene:KYUSg_chr4.15502 transcript:KYUSt_chr4.15502 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVVLAVLASLISTVSCQSWTWSIYKSWGYPSPTWGTPWTYNSAPTTWTTPPPPPSSNGLKVGYYADHNCSNAENIVRAAVEKASAGILAGLIRLAFHDCFVRGCDASVLLEGSDMEKQGFPNLSLRGFDIIDAAKDALEKECPGVVSCADIVSFAARDASYILSYKKINYTVPAGRFDGKVSFANETLGQNLPPPFADLATLKRMFADKGLDPTDMVVLSGAHSIGISHCPSFSDRVNPPASPSPSMDSNLAKKLNQTCDTPNNATTTVPQDSVTPDALDSQYYKNVMSGKVLFTSDAAQNSSETLELVKKYAGSDDWNTAFGAAMVKMGYIGVKSSKEGEIRKKCGVINKS >cds.KYUSt_chr2.47108 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294707684:294712081:1 gene:KYUSg_chr2.47108 transcript:KYUSt_chr2.47108 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEALLTGGSDGGGGGVTDRRLSIDDALAQHAGEFGRWQLRHFVLVSAAWALQALHTMVIIFADREPYMGCAIGDGRCGDRCAGAAAGWVWTQGSGSSTVAEWGLVCGERYKVGLAQAVFFAGCMIASLYQGFLSFEPQPSRNSSPALNAAAAAASSAAGAKTLGAWRRRGPFLVDAWWTAAGSPSSTHGGRADGLGGGGLRCAPSPVGSPSAGAVGMGGGDQRCGLPPPVGSPQHSDRGWWWAAARLMACANFPPPLAGAGVFGHLSDSFLGRKGALQVVCFLNAVFGLLTALSPNYWAYAALRLLTGFSTGSVGISAFVLATEPIGPSRRGAAGMSTYYFYSGGMAAVAGVAAFFQSSWRLLYVATSVPSLVFALVVLPSLSESPRWYLVRRRPDDAMRVLRAIAAANGRRIPDDVALKFDDEDEDTGDNAGRKVEVPASSGTVLDAFVSRTTRARLVLLVLIYFLCAVVYFGLSLNVVNLKTNIYISVVVNSLAEMPAYLITALLLRRYGRKPLAIGTMLLSGVSCTVASLIAGEGAMSVLRMACGVVGIFGMAGTYNLLIVYASELFPTEVRNAVLGCTSQASQIGAILAPMVVVLGERVPFGVFGVSGIIGGLLVFYLPETMNKPLYDTMAGLEKGERAASGTEDERAA >cds.KYUSt_chr1.42209 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258484012:258494231:-1 gene:KYUSg_chr1.42209 transcript:KYUSt_chr1.42209 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWNYVVTAHKPTSVSHSCVGHFTGPNQLNLIVAKCTRIEIHLLTPQGLQPMLDVPIYGRIATIELFRPGNEAQDFLFIATERYKFCILQWDAEKSELLTRSMGDVSDRIGRPTDNGQIGIVDPDCRIIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCLRPTIVVLYQDNKDARHAKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANSTFKAIPIKQSIIRAYGRVDPDGSRYLLGDNTGTLHLLVLTQERERVTGLKIEHLGETSVASSISYLDNGVVYVGSRFGDSQLVKLNLQPDATGSFVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSIRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQIQTLFCQNAINDLLIQVTANSVRLVSCTSRELVDQWNAPEGFSVNVASANASQVLLATGGGHLVYLEIRDAKLVEVKHAQLEHEISCVDLNPVGENPQYSSLAAIGLWTDISVSIYSLPDLELIRKESLGGEIVPRSVLLCTLEGVSYLLCALGDGHLFSFLLNASTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMSPFNTAAFPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKYNHDSMEQSEAHFIRLLDHQTFEFLSTHPLDPYECGCSMISCSFSDDNNFYYCVGTAYVLPEESEPTKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMTRDDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMTAVEMIDDDIYVGAENSYNLFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRFRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHDHYVFLEKLQATLVKFIKGVGSLSHEQWRSFHNEKKTSEARNFVDGDLIESFLDLNRGKMEEVAKVMAVPVEELSKRVEELTRLH >cds.KYUSt_chr7.10245 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62734796:62736542:1 gene:KYUSg_chr7.10245 transcript:KYUSt_chr7.10245 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTPPTMPINIAATLSAAISIKLDQENYLLWKAQALPALYGNDLFGFVDGSNSAPPKRIAAAVGSSDQIDNPEYAAWGRASSLSVLGASSVVGHHVSLLLLPLLTVCCAALLVGPQQHHLVPVLVVAGSFSTVIVEDDADIEDQPPQDDEDELMFPELVDRCEACNGGSIHGRYCFWCRFDDTDDEEKNENDDSLVLADYEGEDLPTIEWNRENPQLVEGTVFQTMMDCRNAITTYHILTKNNFEVVKSEPGKEE >cds.KYUSt_chr1.1890 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10870203:10875461:-1 gene:KYUSg_chr1.1890 transcript:KYUSt_chr1.1890 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSFRVASVVPLPPEIQTDSVDEGFECCFGEFSPRALHTSSSVLTTVVATEVVAPVVEILPELQDHCGKSSVVLPVELGHLVPLAVDIAPSPSPSESCELPSSVDSGGPSIRLPLFDREAMLASIDQAVFVKKLSGLLACLEAASPGSGEAIACLIAEEASTGKIKKVEKALRRIGKKGGAIARATAAAPEQKGGQASVLQDPVVSSVEESSFTFEFKRGSKRARKAMPPAEARIGKENWGEGLSNKPNAVPTRTPATKETSEEVEFTHCAPSIVARLMGLDTVPRSKKVLDRCQSDIQSNMRLKLSEGVQEVARVSCEDRPCRSSGDELPELKDVFEVTDMENMAMRNALQSSHEKPRPRSNDADLEFVRRKFLDAKRLSTDEGHRNSKEFGEALEILYSKKDLFLEILQESSTALSGFPGHILGYGGLQGSPHTSNGADGPLSGKDNLRRMEVECESEDSLSSMYLKETSAVPLEHLEPKERKGSRKFSQIVVLKPDLPRKSLPARRTMYQQDIIHFTAPANVQVLEPEGYTPEQKVRKQTAKRGSRTKRSGGEHYLAVGCQREKVSSASHDETLPISSSTHSAGSSVSRKARRHLSERWQLACQSNVKNSVPTDTRTLGEMLELTGRDVTKVTTQMVLPDPNSSHTNAQEMPAAPLGISSKDGWKTGIYCEDDSRGGIPRNFPRSKSLPASSTTATKLPGRRHPAPSPNLPILKDILNTPADDSGIAHVRKRSPIRKLKQKNGRVIFHAGKENMLPEKEIYVTSEKTRHSICTSDLPRASNIEHPLDVTCTDDQEEIDLVIPHDDVRNFEGQIGWTGQNLATSLPKPEQDITNHNQDKIALKEGESQLMEGDIAEIEQQTVKSIHTLSSGSYGFSSPTGSPQDSSGDEAAYSGIFKSVNDGLRAQLKMLKMGDQVDACGDDSDAVSIEECNDIDISDYQVREEQLPIFRDEEDRDCTFVQEMLGTACDSPVYPEEWQFSSDVFLWLENKYSKLLLWSRSDRKLLFELVNSILADMTAPGSSLHSKMMMNSQPEIDWRKMAEKVWQTTLLMRRSYEPFDLDSVQPLPLDHQPELGVFGAEIAGMINDDVLEELVAELVA >cds.KYUSt_chr5.19752 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128227566:128227949:-1 gene:KYUSg_chr5.19752 transcript:KYUSt_chr5.19752 gene_biotype:protein_coding transcript_biotype:protein_coding MWGHGSQYRRGPDSLRGTQPAAMLRLPCFCCAPGCRSHVDHPRARPLKDFRTLQTHYKRRHCSKPFLCRKCGKALAVRGDWRTHEKNCGRRWHCACGSDFKHKRSLKDHIRAFGQDHVERPPPAAKM >cds.KYUSt_chr5.35649 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225262910:225264023:1 gene:KYUSg_chr5.35649 transcript:KYUSt_chr5.35649 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVDEVVQASAVEGKKDEKKEVKWLKHYSSEQSILTVGDGDFSFSLALATAFGSGENLVATSVNSYDYLAVSYSNAEPNITELKRMGARVLHDVDATEMKSHAYLKLDQFDRIVFNFPHAGFAGRETMSHVISSHKDHLRAFFRNAGGLLRADGEIHVSHKTGHPYDRWEIEQLASESSLVMFKKEPFWQFEYPGYNQKRGAGARCDKNFPISGSVTYKFSMERNEDDESAPIHLTVALDLMKLEAVTSSA >cds.KYUSt_contig_257.227 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:1801898:1803394:-1 gene:KYUSg_contig_257.227 transcript:KYUSt_contig_257.227 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRPLPLPHFTLPPLAGEDLAFVTALRSHLSSSPPPAPSSLSRFLPHLNPLRLTHLLLSPPRAPHNLLASLLPSPPPPLPFALLLHSLPPRRSSDLLSSLLPSLPHHAFPDLLHHLLLTARLAGHVAAVPTIDVLFSNCARRNKLSHATLTFRAMRAHGLLPRVWSCNVFISAALRLKRPEIAVSFFREMRRCRVSPNVYTANMLLRAFCDLGRVVDAAKVLDEMPDWGVDRTVVSFNTLIAAYCGDPGGLEHALELKKKMEREGLPPNEVTYNTLIHGLCNKKRMQHANRLLSEMRAMSVTPNTVTYNTLIYGYVMLGDNGAASRVQEEMVRNRVELSIVTYNALILGLCQDGKIKKAEHLVQQLDRQKLEPNASTFSALIIGQCKAQNSERALQLLDAMKKTGFHPKYDIYKMVISTFCKNKDSQGAVDVMKDLLSRCMAPDKVLLHEFFDHLSVAKKLHLALDLQLADKGARFIPAAYYTGDYRNKGEEKNTC >cds.KYUSt_chr6.1089 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6922218:6922652:1 gene:KYUSg_chr6.1089 transcript:KYUSt_chr6.1089 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQISPNLNPRTAAPTPLAWVTAEAMLRLNARPELSRLIPQPEPAGSGATRESTYFGCGTFAVRHLKSGQPQIDPRTATGSHRRCLRDPGMTPRLPHAGDLTSALERSWTGTSMTGEVDHDELVELSLFPLPLVLFLYALQIF >cds.KYUSt_contig_4329.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000855.1:669:1120:-1 gene:KYUSg_contig_4329.1 transcript:KYUSt_contig_4329.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHSGGRYILAAGRACEEDGLCQAIPGHRLKPRVTYRVAGWISLGDGTGKVDGAGDAVVRINIRLGGDGEEGVEEEKCLLVEGGAVCAKAGKWTEIKGVFRLKPSPMLSGVAAVHIQGAPAGVDVKVMDLQVLAVDRKAWFKKLKKKTH >cds.KYUSt_chr5.2187 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14912401:14917950:1 gene:KYUSg_chr5.2187 transcript:KYUSt_chr5.2187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III homeodomain-leucine zipper (HD-Zip III) protein, Age-dependent leaf senescenc [Source: Projected from Oryza sativa (Os12g0612700)] MTRQRPWPPSPPPSPRLRFLAAAPTDSGAGMARLSPGCGAAAPQVDTGKYVRYTPEQVEALERVYNDCPKPTSLRRQQIIRDCPILSNIEPKQIKVWFQNRRCREKQRKESSRMQTVNRKLTAMNKLLMEENDRLQKQLSRLIFEKEAATKSLKTHIHNASAATTDTSCDSVVTSGQHHQQQNAIVPRPQRDANNPAGLLAIAEETMAEFLSKATGTAVEWVQMVGMKPGPDSIGIIAVSHNCIGVAARACGLVSLQPTKVAEILKDRPSWYRDCRCVEILHVFPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSCLEDGSLVICERSLTQSTGGPSGPTTPNFIRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEIPYGAGRQPAVLRTFSQRLSRGFNDALNGFPDDGWSLLSSDGAEDITITVNSSPNKLVGSHLSPSPLFSAIGGGILCAKASMLLQDVPPALLVRFLREHRSEWADPGVDAYSAASLRAGPYAVPGLRAGGFMGTPVILPLAHTLEHEEFLEVLRLEGHGFSHDEVLLARDMYLLQLCSGVDENASGACAQLVFAPIDESFADDAPLLPSGFRVIPLDTKTDMPSATRTLDLASSLEVGSAGALRGSSDAANTRSVLTIAFQFSFENHLRENVAAMARQYVRAVMASVQRVAMAIAPSRLGTQIQMKHPPGSPEAITLASWIGRSYRAHTGEEIRWSDTEEADSPLKLLWNHSDAILCCSLKPAPMFTFGNNAALDMLETTLVNLQDISLEAILDEEGRKALCSEFAKVMQQGFAYLPGGVCKSSMGRQASYDQAVAWKVLSDDVSGAPHCLAFMFVNWTFL >cds.KYUSt_chr3.2123 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12315089:12316260:-1 gene:KYUSg_chr3.2123 transcript:KYUSt_chr3.2123 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLMHAAGYPAPPDFRAPGGWRPSASGVPIPPPPTGGGALEAAIEEVRVTLSDEQRADPRFFPDNHETWIAFFRRRYERELAAYDGHPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGSSWIPKRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNEAAAAAANQLAEEEAKRAEDAAVAEAIAGSPKDLRRSDERRMLDPAAARQLAARAAAPSSARNAAPEEVIKLEESSDDDIYRPSPPRAGDDGQGTSRWYEAPPPQDDAGSSDDDDGGDYTTFYRHFGM >cds.KYUSt_chr4.1747 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9337840:9338844:1 gene:KYUSg_chr4.1747 transcript:KYUSt_chr4.1747 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMSCLYIILTLHESVSESVSNLLPTRAALASWKSWVTSRPHVRIFIDTAILVVLSYLILLDINLSFLWLAIFPLMAIVFIRALYIKFSRGKDTSESSNTAEKTNRKTMELKIIVMVTLGALFVMDQLPDHVAEGFAISQFLLFLSTTVAALTRMTMKLPDGATLPGIEPASEMLDKSLLVLLLVTAHTVAAEWLGEDVVLVCLPEVIPVLLWFSLHVDRKPGSSSIISVDKMKPRQKSLVFLGAILVLVAVFFTYLAISMDESGLSSCCTTFLVSYGVSGILTSFLGFMLSSWPRHKVTAAGRDGASGMLKVWACSLLIAAAASLLLRCLQ >cds.KYUSt_chr5.1254 pep primary_assembly:MPB_Lper_Kyuss_1697:5:8649894:8655116:-1 gene:KYUSg_chr5.1254 transcript:KYUSt_chr5.1254 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAHAALFPARCRCGSSSSMMPTTTASVRVLQPPRRRGRSRASSLLRLGIGETAAGRWCRRRRAAFRVRAGVGVEIATAVEVINDLGFDTLTFLGVTVLVVPAFRVVKASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALAKFAFGMGLPQVLLSTLAFAAFELPPNGAIGTKVLQFLFNSKPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELATRFGSATLGILLLQDIAVVPLLVILPVLESQNVVETSMWPMLVAESLKALGGLGILSLGGKLVIRRIFEFVAESRSSEAFVALCLLTVSGTSLLTQWLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLIREWPNVLSLLAGLIAIKTLITTALGTRVGLTLQESIRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPFLNELGKKAAGIIDERSETKEKPAEVANYGATEPIVILGFGEMGQVLAKFLAAPLSFGLEQDTEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPAVMQSAGISSPKAVMVMYTGKEETVESVDRLRQAFPAVPVYVRAQDISHLLDLKKAGATDVVLENAETSLQLGSMLMKGLGVMSDDVSFLSKLVRDSMELQAQEALNNVKEDTMESLQVRVSDLVETNGNGTGMIPREQSLGLSRRPEVRVMKAAPESPIPDLNGNVESDDGVKYCLLETADDDEMVEPPAEARR >cds.KYUSt_chr7.24230 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151059676:151064987:1 gene:KYUSg_chr7.24230 transcript:KYUSt_chr7.24230 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVTLSEPIMDRSGKDWRIEEIKAHEKRQIDGKAHQKRQIDRETRFNDKEDDFRGVDEGSRAEIGDVAAEIYEAIREHKCLVVFNNGSDNTIDMDDFETLHIVNCGDMKGVFPVEPMFLTKIATDQRKGVLEFPKLRHIYMHELYMLQHICEANIFAPKLETVRLRGCWGLRRLPSVAQDSRPVVDCEKNWWEKLEWDGLEAGHDPSLFKPHHSSHYKKPLPRVSVLRFGAAKKDR >cds.KYUSt_chr2.15242 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96160750:96162733:-1 gene:KYUSg_chr2.15242 transcript:KYUSt_chr2.15242 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCSIRHGEHHGARGTENAGRTQGSRQGRSRRDDVVLWHAHASRPCRSWRHWVTWACTGLGNASFCLANGVHERDQEREVNLQDKGMQEEAEVDNGIDGHRYFLAFAETHRSIVSLPVMKRVLQNARCLLHGERSEYLLRQSSSVNRQVSRGNMKVHMEDELEIPYPPWFRWLSWTTSHLTRSVTADEHPLNLNVDPPELGLSSRIEAIVFVAVMDVVSHLHPSALRR >cds.KYUSt_chr7.15707 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97546323:97548437:-1 gene:KYUSg_chr7.15707 transcript:KYUSt_chr7.15707 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRAALRRLIPTTGLHPLRRRAPPPPPVDESPLPDPYALLVHDPIDLLSALWRRAFAHPLPAPFPNLSGYAARLDLWLLSYQRACAHATGSFPPRQAVPLPTLHSLLRLRAAALRRHPAFPWGASTHLLLRSPADAPSTVPVARHKLAARLANAPPPFQDHVVQELLLLLLEPVFEPRFSPKSHAFRPGRGPHTAIRSVRSGFAAYLWFITADLTTVVDALSSDTILSCVEKSVSDRKVLSLLKSALNAPVRPGSVPPREQDLDGLAKKRLKRKVLRKSRKKKVLNEDEPKPDPYWLRLFFGFAPEQACHVPDYGHCGILSPLLANVCLNELDWWMEERISEYFRPSRHDSIWKEAGDEGCHNPSWPEFVPSSGKEKTRKMDFLRFGSHVLIGVRGPREDAVAIRSQLMEFCETSFGLRPQNSSVDIEHITRGIEFLDHVITRRVIYPTLRYTASGGNIVSEKGIGTLLSVTASLQRCIRHFRKLELVKGDRDPEPLPCSPMLYSGQAHTNSQMNKFLETMADWYKYADNRKKIVGFCAYVIRSSLAKLYAARYRLKSRAKVYKIASRDLSRPLRESTNNDAPEYSDLLRMGVVDFIEGVQFARMSSIPSCDYTTFPRNWVPHHELVLHEYIKLQDPKFFCGLHKTIKRQEISSPQDDVSRMVWHYKVHGVYDNNRTSKKVNEWRSNDEAVSGDTQLLLDE >cds.KYUSt_chr1.37692 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230119965:230122063:1 gene:KYUSg_chr1.37692 transcript:KYUSt_chr1.37692 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKERSSSLRSATFSAKSGETGGEDLRRLRKTCTRRPWLSSRIRWRWTPSGLATQAEARWDQYWDAEDKCGRGEREMDDETDGREISPPPTTAPPPNDPDAGFDPIEEWLLDDFDFDFDQAMETELDKSFGLGDEAAAVPDVMEPMGPIGAAVPVSEEQGSLSGSGGLGVDPAKEVEVTAEKDGVLGLFDAPRGVELEVKKEAVMLSGGLGRELSPDHQLPVLGDSDSAAKGDSLEGAIDAQMGTFSPN >cds.KYUSt_chr7.17476 pep primary_assembly:MPB_Lper_Kyuss_1697:7:108261614:108263330:1 gene:KYUSg_chr7.17476 transcript:KYUSt_chr7.17476 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLESFWSFVNCTDRSQQRLGRKEKNREREHRRSYFRRWRFGRATARRRNFGRNFGRRLQRRHNKNGGLHHRRAPLGAPRRRYSPGGVFHRHHNRNYPRGNRWVQCRHSPGAPRPSTRVVVPREEIPAAPEVGAVAEAIAAVPDAVAAPEIAVGLEVVAEHVVDAEYEDGASASNITADVDDLLPPPPAFVVPPMEWLLGGPSTGWLIDDPEIDFSDEEFEALPPLLYYQRHGSGPCLPSPTPSGEEPAHFAPLGYDPLPEMEEENDVAAAAHVAPTLPDLNLPAAEEMEENEDVSPAPSLALPTPSLSSIGVSTMRSSPRAARVRRS >cds.KYUSt_chr4.20256 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127421112:127425132:1 gene:KYUSg_chr4.20256 transcript:KYUSt_chr4.20256 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDHYVYMCLLPLFLLVLKPRLSGQLLSPHIKSSYTCSISLANISFSIRAFRYRTHQNITITGSHLHHRQRKKKHEQWRLSYLLPFRLLKIMLRSSLLFLIGTSMSLLYVRSISEDSLRRYVSYASESCIQELLAASDSGRGAGDGEDDGWKTLAYCNGVEISKRQLGTGQMFRSRWLLHAVSPEQFMAVANAVDAAKQWESDLVDATYIKELGDDLSIIHLKFGDASKLFRRRDLVVYERRQTMDDGTLVVAVASLPKEIAAGLLPRDSRGRSTVGRGLLLQSGWVVEKLHDDDGADSCLVTYVVQLDPAAGWLPRCIVSRLDNKLVTIIAKLKKLAHTTTCTSAAAVHAAASSCSSDEACDPGE >cds.KYUSt_chr6.27099 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171897250:171902609:1 gene:KYUSg_chr6.27099 transcript:KYUSt_chr6.27099 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDPAKTPARRLAELAHGGDLGGSGGRAASPTAGEWAMRDSRMARGRESSSCMPGGSPAARVSQQGEEAKCRGGDQQHVLRASPSSCAGRRRGEPRSRRRRRARFVALEGRVITAAHFGGAVLYIAVAVPVVKLLPASTSLTCCFCLELKGEKWVYRDALSVGNQEEEARERTS >cds.KYUSt_contig_605.2366 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:9865266:9865847:1 gene:KYUSg_contig_605.2366 transcript:KYUSt_contig_605.2366 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRAREMGHALNRTCGADDPAAFRAFADGLYGKVTGPLSWRADGGRSCYAWTGISYDLGRVVWLDISNQSLNDIIASRCRRSTSPRKCCAGRRRGGQLLVHLLRFHGSLVGFKISLFCRLLKMVILLMVHGQGEPDLLVVSEDGEDRDWLLCLCFLFHLRLQCLRVCLVLRLVVVIAVLFCWSQFSLSSI >cds.KYUSt_chr4.48919 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303067649:303072058:1 gene:KYUSg_chr4.48919 transcript:KYUSt_chr4.48919 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAGPYHQPTTLEEVRTLWIGDLQYWADENYLYSCFAHTGEVQSVKLIRNKLSNLPEGYGFIEFISHEAAERVLQAYNGAQMPGTEHTFRLNWASFSSGEKRPDAGPDHSIFVGDLAPDVTDYLLQETFRVNYSSVRGAKVVTDPNTGRSKGYGFVKFADENEKTRAMSEMNGVYCSTRPMRISAAIPKKSAGSQLPYGAAKAVYPAAAYTIPQAQTVMPDSDLTNTTIFIGNLDPNVTEEELRQICAQLGDLIYVKIPVGKGCGFVQYVSRASAEEAVQRLHGTLIGQQVVRLSWGRSPANKQDQSAAWTQQADPNQWNAYYGYGYDPYGYAQDPSYAYGAYAGYSQYPQQVEGATDMAPAAGSHVPGTEQNEVYDPMNLPDVDKLNASYMSVHGSAMLGRHLWLKTSPLSQQA >cds.KYUSt_chr4.4867 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27893306:27893815:-1 gene:KYUSg_chr4.4867 transcript:KYUSt_chr4.4867 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGHHANHVLDDDKEEEEADKDEEEEEADMVDIETNNSSTKKRKWRSLPSPTPAVVEVDVDHASAVESAPTSASVFMFGSGVCSFTSAAVAGSVAPTRLQSSTPAVEDDAVPPGHLLIPAHGSPTHDSPATPPSSPRTGARYRLLVLRAPLSKTSSASPSSSSSRPR >cds.KYUSt_chr7.4302 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25766901:25767581:1 gene:KYUSg_chr7.4302 transcript:KYUSt_chr7.4302 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRECCSSIRENILCYCLLTVAFVVLTAVAVIIAAFGVLRQVTITVDDASLTRFELATAPATGLAYNLSLVLAVRNPNWAMTMTNKEALEAAYFFDDQQFERVQLSDKGHKYDASKTTLHRLVSGSDSSVVTLGNAGVAEFGKQKANGTFELKVKVTGKLKYTAFYTNCKIEATCSPIKLKVVAPSSENPEPGVVVLFEKVKCTFSPIACPDFSVSGAGISPPNF >cds.KYUSt_chr2.55484 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346014819:346019653:1 gene:KYUSg_chr2.55484 transcript:KYUSt_chr2.55484 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGTAAAPAAEAPPKPMTPEEEALRRNTDCVYFLASPVTCKKGNECDFRHSEGARMNPRDCWYWLNGNCLNPKCSFRHPLVSEASFCFLYFVQPIDGMFGAPTTGIPAASSNYAAYNSGKQMVPCYYFQKGNCIKGDKCPFYHPQSAGSNPPEQVVKASSFPVEQPQTQKKDFLGIKEFAQNKHIITQQAGPVIDGSSKITVNRPTANSAKTATAAIQAELASNVVKSVPMSERVQNSMPAVNKSFRTSSEEELYQNNLPLESDLAQEWNQSYKTPLQDDLSENSGDADDEDFDVLVHNDADGAAYDGEDFGRDIYQVEDYEYAPADFEMPLHHERELFNGMGEQGTVGQMYDGYERKRHRTSSERNMDRPSHSERRPRHRETAPVEIDGSDLRHRLRRRKINGSSVVSPERSGEQRRRDEHYRERAFDGHHTHRDRHQGSRGSTLSSRLQGRIKLPGRSPDRLDTRAETERDRRQLRDRLSPVRHHMDIQGGRHREVLHHQERTRRRSSERRSSERRSSERASSGRNAEGQHLRRNVTDSLNFATRRDFGPESRKANGSVEPGASLDFEGPKPLSLILQRKRQAAVSNGSSAHNVKEDKSALVSHGQPEPFVEAEKEGYENIASSEEYKSRSGDEEYKEEGHIPVDGHGQSSSHSDKPEAEDIIEVDLAGTQEADNYEQREGESDYEATEGHEYKFEDENAYPEDDEEFEDDDDDDFARKVGVVFS >cds.KYUSt_chr3.35989 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226214410:226216651:1 gene:KYUSg_chr3.35989 transcript:KYUSt_chr3.35989 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPETGTAAGRKTWPDECKSLWQIAGPVILTGVFQFLIGFVTAAFVGHIGKVELAAVSIVNGVIEGLAFGLLLGMGSALETLCGQAVGAGQLHTLGVYLQRSWIICLATAAALLPIYAFTDPILRLLRQSPAISAVAGRYARWCVPQLFAYAVNFPMQKFYQAQSRVWVMTLISGAVVGVHALLSWLVVARLGRGLLGAAMVGNVSWWLINAAQFVYVVGGSFPEAWTGFSQKTFASLGGFVKLSLASAVMLCLEMWYYTAVLILVGCLKNPEIQVGAVSICMNYNIWTLMVSVGFNAAVSVRVANELGARHPKAAKFSVVVAVITSVAVGLIFTLVTLVARKQLPRLFTSDDLLVKETTKLGYLLAATISLNSIQPVLSGVAIGAGWQSLVAFVNIACYYLVGLPLAAVFGFKLKLNATGIWVGMLIGTILQTTILFVILFKTKWEKEAMLAEERVRAWGGSVELPTIEETR >cds.KYUSt_chr2.40555 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251950929:251951318:1 gene:KYUSg_chr2.40555 transcript:KYUSt_chr2.40555 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARFRGGFAGRGMANSANGRKRNSEEAALTEEDDEKDTASSPEKLPLIDDSTKNNAESDARKMLELGGVEPVDGVEKMDTSGGSEAAPVPPPPPAYIKNKDKKQRKENVIGNNLAPSAASLEEDRRA >cds.KYUSt_chr5.27678 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175175718:175179084:1 gene:KYUSg_chr5.27678 transcript:KYUSt_chr5.27678 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTAEHLDPAAAASAPASVAEVNAWLASLAAEGGGVGGRGGGGAVASELSLGPDPTPRGVSYLRALAAASQARSRAAGIAATGLRAQAAEYRAEAARLREALERAGLARDALPPPAASAARAVAAVANLLAIRDTETSSFVVASADLWLRRAEVEEKRDKVHKESKALLDYTRKAITKLTELKKMLEKFKNDVEKQQVEQMTDWQTKLAMMDSKERQYVLQVSNYKAMLNRVGYTPEVNHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTPGL >cds.KYUSt_chr5.23079 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150510982:150511335:1 gene:KYUSg_chr5.23079 transcript:KYUSt_chr5.23079 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASLQRPHVRIPATRLRSQALRVCDRQHPGHATAKRATPTTPRPPRKPSCISVRVEQLGPYLTGSSSSPTAASPSIFLALVLTARPRPSLSSPTAYTSSAPSAMRQVLLDFQPEP >cds.KYUSt_chr2.5023 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31133745:31134385:1 gene:KYUSg_chr2.5023 transcript:KYUSt_chr2.5023 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIAPSPAPSPVPATRQKLSVFEPLDARIKELTSSQAELLGRIQTLKQMDSVSLSGGSEMALQCRGTEQDIENREYLSFPVPHCKCTVTAMNNANS >cds.KYUSt_chr4.53351 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330305955:330309240:1 gene:KYUSg_chr4.53351 transcript:KYUSt_chr4.53351 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPGRRSRSQPRWSLSEPPPGLFPAGGEDLLRFLAVLAIAAGVAAACSLFNHRPNPNPNPLCDSDSPYAAYDSCEPCPENGRCVDGKMMECVQGFKKYGNTCVEDGRLTRTANNIAELLHRRVCDDHARALCGHTGKISFKQLDISNMADELLSNHPARLTAAGIQVVKDRALQSVRGFLETTSTDNEAQAFKCPDLVAQLHRPLHCQVRQWIAGNVVFVVTFAILVCEILEEAAINAKIGISECEPWVVTSWLRDHLLVTRERRNALLWKKVEELILEDSRIDQYPKVIKGESKVVLEWQASGSLSGKIKKMQGAPQGKTMSSSVGVIRLAEEICGSSSKDKEEERSARKEGTNAFIM >cds.KYUSt_scaffold_719.449 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:3033002:3034531:1 gene:KYUSg_scaffold_719.449 transcript:KYUSt_scaffold_719.449 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSFLELFLSVLCFAVIYILHIRSKRKNPVIPLHWPLVGMLPALLGNLPRLHDWVTSVLTACPLNFRFTGPPHSGMELFLTSDPANIRHVFTSNFPNYPKGPEFAEIMDILGGGIFNADGDSWRRQRAKAQLLMSGPRFRAFVSRCSRRKVERDLLPLLAHVAGGAGEVDLQDVFLRLTFDTTTTLVFGVDPGCLAIGLPEVPFARAMDDAMDVLLVRNVLPLSWWKLVRWLGVGYERKMVAAWRDIDQFIGDTIAKRREYMKNRGGIEDDSADLLSSYIDDEDQEVVVDAYLRDTTMNLMLAGRDTTGSALSWFFYLLTKNPGVVYKILAELDTVDQSTTTQDGMVIFDPDELGRLVYLHAALCESLRLYPPVPMEHKGVVAAEALPSGHEVQPGDKIMVSLYAMGRMEGVWGKDCREFRPERWIGEDGKPRYVPSYKFMSFNSGPRTCLGKDMAFVQLKAVAAAVVRNFEVEAVPGHVIEPKISIILHMKNGFKARIKRRQVLHS >cds.KYUSt_chr1.42991 pep primary_assembly:MPB_Lper_Kyuss_1697:1:262871539:262881652:1 gene:KYUSg_chr1.42991 transcript:KYUSt_chr1.42991 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEGPAKGLLLPEWEELKGSEPEEEKPAMAEAVVVLFPDVHGHVSISCSHAVQYRTSPFVNSRRSTNIVVVAENNDTTKTKKAMRRWLAVTDPTPTLMHGFLVFEVSWRDVHGINYFNDLLTDTSLALEARYINKWEFHNAEQAAGCTSQWFLGHALETLSLRGYLFHYHHQHHHHHQHRRSASAEEEEGEGHQNDLYMPPSPVKREHEDVVVNKASSSGDEKYSLSPTLRRRRSWRVRTRFRKNPPPDEEDAEPLCCTSPILQMQRYNDILLLLRFHDASLPFKLRQIIMSDIRLLTLLESGLPSWVIFFQSYPLLCHLYRPWMRPLARSLYMLASLVTVIIGFYDLYKNVPLLKSAAARICGPLFDWIETWDMVTRIQYLGTILFLRNLRRFLQGLLTLLNAARALLRAVVAPLADLGLFELASTVGLLASNAWDLVVDLAEVMWAPFDVVLDCTAGVVASMWPVLQVVVLPARLAVALAGCAGSVLSNSYNFFKDIWETLSSIFQLNHMSEAQQSTFDMTTLKTLWNDLFSQIFRAMRGILNGILVFFYSCNRHRLRIEAATLFASLESEIGFDLYYDAPLGPPLSDVLLSDPTLKRGSIKVELPPEHIPPGSTEAFPDFQSFLPDLLLLPIVDCPSCF >cds.KYUSt_scaffold_2697.917 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:5803920:5804432:1 gene:KYUSg_scaffold_2697.917 transcript:KYUSt_scaffold_2697.917 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKGFLLLFALLLAAALVIAAAEEKTQAKKEDPTADLQDYYRGGGGYPGRGDYPGRGGGGYPGRGGGGGYYPYPGRGGGGYPGRGGGGGYCRWGCCRRGYYGGCRCCGRFDEIPEPMYRPEAVVHH >cds.KYUSt_chr2.11951 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75953258:75953960:1 gene:KYUSg_chr2.11951 transcript:KYUSt_chr2.11951 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWSSSSARTSSLGSLGDDDDVVFVMKPDSSASGKGNSVVKFLCSYGGRILPRHSDGALRYVGGNNRVLSLDRSLQFYELQRKLRDMCGWEAMSLRCQLPTEDLDALVSVTSKDDLSNLLEEYDASSRDRLQPLKIRAFLFPRATPPLSPSTSTPSSRPMSAHVRQQHYRQTITPSPALRLPRQQHYHRRPHQHHAVHTGIQLQ >cds.KYUSt_chr6.18948 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119248167:119249648:-1 gene:KYUSg_chr6.18948 transcript:KYUSt_chr6.18948 gene_biotype:protein_coding transcript_biotype:protein_coding GRVKWDEENLNDIESTKPEREKITEPKTPYHPIIDEDEEICIEESVDKSSRADAITSALMEAVSSGKFSARDNWESCGNEEEAVNQDKDFEEHRKAHYDEYRKVKEHLQKGTLSGETDEDVNSKMPINKPSTC >cds.KYUSt_chr2.15286 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96387683:96388543:1 gene:KYUSg_chr2.15286 transcript:KYUSt_chr2.15286 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEHRVVAVAVVVGAVVVGLRLRGLAAAALAGVSPPRGWLVPVVVGGLEVDDGDSDAGWRCRRTAVPRDIVVGGWSGAGGELACGGQVQQPPLLLRLLGRCRSRPARSGAASSARFSSSMSCSDLAIASAIAASSARFASSSASWLAAAASFFVFFRPLCGMEGCSRMTRAPLLRPLVAGGDAGSFLTRTGVEGDDASSLFTSAKDDLDADPEDDELAAMRRGCQTLPRRRLADALDADRGGIVSTGELPPSMCSTTASSVRPGALHHRRRPAALLRAGGGGGPS >cds.KYUSt_chr5.40618 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256353104:256355008:1 gene:KYUSg_chr5.40618 transcript:KYUSt_chr5.40618 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQQQHQLEQWLSAGGGVGGMLRPTKSAPCSPVKPLAAPGPSQAAMLRTHSDSFHVAHKVPVGDSPYVRAKRVQLVDKDPEKAIALFWGAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQILLLKHKLQLIHQGHAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALIIGPDNNKMCNLGICLMKQGRVHEAKDVLKQVRPAGVDGLRGADSHLKAYERAQEMLRDLEVKLVGRPGWEQLAVDKNWLFDALRMGSSSSIWQPQPCIDHLMPPQPRAGDQFADENAASKKMAPPPPQQQQQLLQPNLNIDARPFYSHRMPPLAAKPQPQNAPRQQQLLQQQKAPAQMHHDPMGNLKRTRSGTAMDKAAAAAVGEKTKEQSSNNEADKDVNGGRRKSLTAEERWPELPDHSAFDEALVASVIAPILDDDENCNKNAKPVAAPSAASCCDTSPAALKEKVGKRLRIFQDITQTLNAL >cds.KYUSt_chr2.14179 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89675452:89675865:1 gene:KYUSg_chr2.14179 transcript:KYUSt_chr2.14179 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSTPMQLAEYVAAGCSAMVVVAVGSSVFYFVRGTVRSSSRGCRLAGGVQAVVTNRPRVRRWAAYSGIFVATFGVMVDMCDVRGPASMAVAAGTTSALFSVRRGSDAAVRSGLKGAVCGGVAFIAIDNIARFFESR >cds.KYUSt_chr2.8707 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54732630:54733418:-1 gene:KYUSg_chr2.8707 transcript:KYUSt_chr2.8707 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIYRETIAVKVGNVDEIHSFVIDLMLQTHLRKGKGVKMDVMDCLWNQIFLRMVEKRSPAFGPFIMKLISEVWRQKFEGAILEPFSTLTVHKRKNLLIKDHELLASTSASAAPSASAAPSASAPPSAGPADPPTDRRFAGIRLLDGFTPHMALGGPPAHSAYDPMLEPSWYTKFKIKVKKTFCLQLDIQERMYDAYVAEKKARRRQKSIMEKLGVEVSPPGSEENILPKPQWISAHSQWSDGEDGPSYDVDSDVAEDFLDG >cds.KYUSt_chr7.31665 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197232816:197234847:-1 gene:KYUSg_chr7.31665 transcript:KYUSt_chr7.31665 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVEMRRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIVFSGRGRLFEFSSSSWYVLCLTRTTCEAGMDVELDSKSETSCSFVFSSNLWSANASDLHLDPHPADLT >cds.KYUSt_chr5.43147 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272191210:272194132:1 gene:KYUSg_chr5.43147 transcript:KYUSt_chr5.43147 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRRTTTKAAAELLLRPARCAGRLARFLGDGAAAAASHDTGAAAEFLPWRNGGGVLHRAASIDPTAVVEAGAVVHSGAVIGKEVVVGSGTVVGPSVSVGQSTRIGYNVVLSNCSVGEFCTIHNGACIGQDGFGFFVGEDGQVKKKPQVLYARIGDNVEIGANTCIDRGSWRDTIIGDATKIDNLVQIGHNVVIGKCCMICGQVGIAGSATLGDYVTLGGRVAIRDHVTIASKVRLAANSLATKDIQNPGDYGGFPAVPVNEWRRQTVNLRLLSKKHVVRR >cds.KYUSt_chr7.24933 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155562116:155565661:-1 gene:KYUSg_chr7.24933 transcript:KYUSt_chr7.24933 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVCSVRAWFISSVGVGWRLQAGDYGFVMLIWRGILRQLWGRNAEAIDSGRLIEDSSREPVVALMMGCTHKKQDSMLELSASYGSQVCINMDISDIVTLRDRLVGSHYPIELIMERGRRYECDEIQVVTVPEMSAMNPHVASGKRFRSKIVIDGVQPNKGWWFLSCDDCNCKAYEEGSVYRCRRERCSCKSASPRYADVVCHRCVHVLMFVDDCQCAFLHWF >cds.KYUSt_chr3.42031 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265368371:265370110:1 gene:KYUSg_chr3.42031 transcript:KYUSt_chr3.42031 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSYTLFFLSFVTLLVILVSLVSRKSTRISFSNNPRRPPGPWGLPFLGSLHHLITSQPQLALWNLAKKHGPVMHLRLGQVDTVVISSPAAAQEVLRDKDISFASRPSLLATYIMCYGNIDVAFAPYGAYWRALRKLCMLELLSSRKVRQFAPVRDSETMSLVKEIRATSRGGQPVNLGRLLVLCTSAITGKATFGERCDSDLRDRFMSAMEVVQANGSGFCVGDLFPSLGFVDVASGMRRRLQRAHQQVDTVVDKIIADAEVRREEKKTTTAGDDLLSVMLRVRDEGDIGFPIGNTNIKAIIVDLFTAGTETTSSTAEWVMTELIKNPKMMMKAQAEVRWMLDNKSPQNHENHMEGLHYTRMVIKETMRLHPALPLLLPRVCRETCDVGGFEVTEGTRVFINVWAVARSPENWHEAEEFMPERFQDSEVDYDKGTHYEYLPFGGGRRKCPGDVFATAALELIVARLLYYFDWSLPNGMQPDELDMDVTVGATARRTNHLHLVASPYKIPMEN >cds.KYUSt_chr1.35695 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217554765:217555787:1 gene:KYUSg_chr1.35695 transcript:KYUSt_chr1.35695 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALETAIEEVLATLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPAKNNAVGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNQAAAAAANQLAEEEARRAEDAAVAEAIARSLKDLVPADNTLPIDAALEWSRRDRERREEEQQRRMLDLAAARQLAARAAAPVVVRAERRAQGGDQARGEQR >cds.KYUSt_chr5.12233 pep primary_assembly:MPB_Lper_Kyuss_1697:5:79764801:79768363:-1 gene:KYUSg_chr5.12233 transcript:KYUSt_chr5.12233 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEVSNKRVILKQFVTGFPTEDDMELVTATVRLAVPAGSASVMVKNLYVACDPYMRNRMSEHNDAAYIEQFVPGEVLGIFGVSKVVASGHPDFKAGDLVWGMTGCEEYSVITHPESLFKINHPELPLSYYTGVLGMPGVTAYAGFFDVSKPKKGDYVFVSAASGAVGQLVGQLAKIAGCYVVGSAGSDEKVSLLKTKFGFDDAFNYKKEQDLNATLKRCFPLGIDIYFENVGGAMLDAVLLNMRMHGRIAVCGMISQYNLEKPDGAPNLFCLVAKRIRMEGFMVLDYFNTYTKFEKEMAGYLKEGKIIFVEDVVEGIEKVPAALIGLFSGRNVGKQLVIIARE >cds.KYUSt_chr7.36928 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230627294:230628568:1 gene:KYUSg_chr7.36928 transcript:KYUSt_chr7.36928 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGACIFTRSITFLLLLISVALAKDHDHGSSYLARGSSVSIENNTASTTTILVSPNGTFACGFYRVATNAFTFSIWFNGTAAKTVAWTANRGEPVNGRRSRLVFRKDGSLALLDYNGTAVWSTSTTATRASRAELLDSGNLVVVDPDGQPLWRSFDSPTDTLLPSQPMTRNIKLVSASARGLFYSGFYSLYFDSDNQLKLIYNGPEVSSIYWPEPFNNEWQNQRTIYNSSRHAILDQTGQFISSDRFNFNASDLGDKVMRRLTLDYDGNLRLYSLNTTSGNWLVSWMAFRRVCYIHGLCGKNSLCNYIPKLKCSCLEGFEVVDETNWSKRCRRKANITATQEFSFKKLTNTDFYGYDFQFNTTVSIQECRHMCLDNADCQAFAYRHSPQGERQCYTKVFLFNGKKFPKPRNEIYLKVPKGV >cds.KYUSt_chr6.29302 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185603235:185606372:1 gene:KYUSg_chr6.29302 transcript:KYUSt_chr6.29302 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARALLLPLLVISALFAQIRASDPLFHETFDESFEGSWVVSGKEEYTGVWKHAKSDGHEDYGLLVSEPAKKYAIVKELDTPVTLKDGTVVLQFEVRLQKGLECGGAYLKYIRVQDTGLDTKEFDNGTPYTIMFGPDKCGSTNKVHFILKHKNPKTGEYVEHHLKSPPSVPYDKLSHVYTAILKPDNELRILIDGEEKSKANFLSSDDFEPALIPSKTIPDPDDKKPEDWDERAKIPDPDATKPDDWDEDAPMEIVDEEATKPEGWLDDEPDEIDDPEAAKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKKPMKQNPAYKGKWHAPMIDNPSYKGIWKPQEIPNPEYFELDKPDFDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKFDVEKEKQKAEEAANAPSEELSEIQKKIFDVLYKIAFIPFLEPYKTKIIELIEKGEKQPNITISIVASVVVVLVSVLFRTLFGGKKAAPAPVKPVAKVSAPEADAAGSSGDKEEKEDDTAAPRRRSRRET >cds.KYUSt_chr4.6386 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37566671:37574375:1 gene:KYUSg_chr4.6386 transcript:KYUSt_chr4.6386 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPGDQAPPPDPSPTPRRTRPPRACNSRPKVLPPAPAPPPLKRRPGPGRPPRRDASEEDADADAAEDAAPPQCRVVTPLAEAPAAPAELPRWRLRGMWELASVLNFLHVFWPLLNVAAEFTAEELENAIVAPNRVLEDLHIPLLRSIPPVARMGMADGKWVTVLCRKLKDWWHLVAEGDLPIVTSQGTEIEMYKKLEPATRLLILKAICDIRCEEKLFSSSNRTEISVGKKLKIDYLPEIEKIHKSKERLLKKQQREALLLNSYLTFDRFTSGRSQRERKRVTYTFDDYDRSINEAIKAIKKSENSVQDVTTINRRVVDPTREALNNGTVSGPLPVCNGFSGESPLKSYSDQANDDEEKAEPLDRRRRERKRSQRYLLDFVEDVPDIDANLDSEDDIMGEAVYDEEYLRSRKQPKASTSEDDGEFRSDQEYSLSSEAEEGTRRSKRLPARSPQVTRLIAADEIQIGIKRNKRSARPHTNHQQQHLPGTGSGMPGKPNASDPDAGSEAAVKGVDISARSQDQEQRLLRIVKMHNPGRESNGVGGRFLHLNELAPVISGFDGAPAVQS >cds.KYUSt_chr2.1398 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8357193:8358584:1 gene:KYUSg_chr2.1398 transcript:KYUSt_chr2.1398 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSVASMMSLGSLAIQRTYLCSLVFRVLPKLLGYTPSSLKKLCKDVDPPQSDTIVGTLRELPQDVLMDIFATLEIPDLIRAGAVCPSWHSAYTSLQSLGLYNLSQTPCLLYTSQSAGDSSAYLYSLREKRSYKLTLPDPPIRTRCLIGSSHGWLVTVDERSEMHLVNPITCEQISLPSVITIEHVTPIFDECGLLHKYELSWHAGIYDAFDPPSIYDLDKLRDEFQLKAFVFDDTSTGSYIVVLIHNPLRQLSFAKVGADKWTWLPPYDVYDDCTYKNDILYAVRTTGDIHAFDLSGPVVTMKMITGTSDCLSTGSSYIVQDPWGGLLVICRIFEDYELLPERAPVFWKTGEINMYEVDVVASELKEINCLNAHALFLGHNQSLCLSPKEYPSLKANHVYFTDDSFFWTIGLKNNHRDMGILNLDNNRWEHLILPQLCSNFPAPIWITPDLRRLNLASEAA >cds.KYUSt_chr1.7353 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45053288:45065014:1 gene:KYUSg_chr1.7353 transcript:KYUSt_chr1.7353 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRGARAGSPAPAMGEKPGRGRKDGAWQGKAVHTTMKRVKVYRLKDNGKWDDQGTGHVTIDYIEGSRELVLAVVDEEDNDTLLLHKITPDDIYRKQEETIISWRDPEKALELALSFQEAEGCSYIWENMSNIREDLQSKILRSHAAGPKPALKSLVPSRDKSLSSVNAELKELPPLELSSLSAILKVQSTFSALSISAIFDKILSDEFILDIIGVLEYDPEAHIVQRHRAFLKDHAVLKKVFFLREFCTVSKSLQPAQQIQLSRDLADRGLFDIVSDMLRSQDKVFVSAGTDILLHFLNQDPNLLRSYIANHEESSGEDISLLGLLVKGIVTDFSGEMQFLEILRILLDGYSTDTATQCLQRSIMGLIDKKYLDKLIDIIESSCLPKSIDRSTFGSVGVGTRVEEYSAKPEILLHICEFLCFCVVHHPYRMKVNFLKSNSMEKILSLTHQRERFLVVAAVRFMRTVIGTNDELLISHVIKLKMLKPIIEAFVENGNRDNLLQSTILELLEYIRKFVDRAETEETTGVGHMRKKAEERGLDKEEEDYFNTDSNEEDSSAQTTQAQQQWVKPGDRSETHHIPARPKSSGLVDLDGDKDYNPPPKRPVEADEALNIPMARHRSLDGKVKDGNVRKKPRLEGTIRFSKINVLANVAGKHLDLEDAQPPLSPASSTINSDGNVGVREASPGSPNQQPPESFDYIAGDSCSEMVVDAAEATDSDP >cds.KYUSt_chr6.32097 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202736784:202741705:-1 gene:KYUSg_chr6.32097 transcript:KYUSt_chr6.32097 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVFFLPATAMLLLSAIAAHGLTVKAGCKGSCGGVDIPYPFGIGAGCFRPGFEILCSSNTSYLAGKTTLSSGIRVLSLSVMPRPEVRVLLPVAFQCFTAANRRTGHSFGEVDFNPVGVYRISSTHNELFVLGCNTLVYISSGPTGRYKYSYYAGCVAFCNDSQSARDGACAGVGCCRVDIPPGLTGNSMHLQESVGTWSHIDQEFSPCDYAFIVEKGAYQFKVDDLTKMPTTQAMPMRLDWAIRDSDIQSTGSMYTCAQVVNKTGYTCVSNNSECVDSTNGPGYICNCTAGYEGNPYLLNGCKNINECARPEEFPCNGECHDTEGSYNCFCRRGYQSDGDPKENPCNPKFSRTAKLALGLTFGVSSVIVAILTTVIKLERSKRKELFRKNGGKALQDVTGLVIFTKEELRKITDNDSNFLGKGRFGNVYKGTLRDNTVVAVKASIKVSKETKDEFTEEVKIQSKMIHKNILKLIGCCLEVDVPKLVYEFAANGSLEDTLYRRKRPLSLNLRLDIAIGSAEGLKYMHSDAPLVIRHGDIKPGNILLDENFTPKISDFGLSKLLTLEYCIADSVVGPFAYIDPVYRKTGLLTQKSDVYSFGAVLVELVTREQNDNGQERIKRFCRLYAEQGSAQAMFDKEIETDEDIFMLEQIGKLATECLEEDTHNRPYMTEVVERLVMLRRRRKHGITQDRSDPEDTITHGSESTSASVLVPSTPDCYRPT >cds.KYUSt_chr5.2937 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19238416:19242107:-1 gene:KYUSg_chr5.2937 transcript:KYUSt_chr5.2937 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGGGKGKGAAKTAKKRVKKLANIVTSVKKVVGGPSGSTSRQRDSPPRDSPPRDSPPPASSPPADAPRRRRRTRRPTSRPLAEEEEVEADVEDGGEEELHELVHPSFICHSDEERHAETRASQEEEEVESSSEDEAMTYDDDDGRQWVEPEEYVESEEELLNAPAITRRQRGASGLPDLPYAHSHLELEPVGSRVFAYKNDVTPPRTYSSILGCIMRRNFPGIVKLPSGERTVAWYWEDYKYAKNPSPEYRDMQEQVQCLFWKYFTMQPGKHEKCKAVLFNICTKMVTDMHYDARVSCVLNWYAEKRNVRISKSQARNKHLHAWQYMQVVPQYVSSNKKCYAAMVKRWTSDEYKKKHEEGQTYRAMMDGASHVQGSLPLEVARRREAKKTGVDPNVFEFWETMHTRKKPHPTTGSMWVNKGSELRSTKFVQKFKEVHGDDADPRTSDFDPEVAVLAGEGQRNGRLWIADGNIPPETIPTLSQLRRGRTSSQPAIEKRPRLGTIAMEEIRNEVAEERRRREDMETLVSQQQQQLAQQQQALIQQQQMLEVMRVQMQSLIPTGGTGPHPPPFTFPWSQSSVGGSNHAAQGEVEITPTPRIKRKQSKKKLGVPFAVCIHTAKIFAVCMNTAKITRGSSLCTRSAHLVRPNGLCRAP >cds.KYUSt_chr5.36770 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232484149:232484409:-1 gene:KYUSg_chr5.36770 transcript:KYUSt_chr5.36770 gene_biotype:protein_coding transcript_biotype:protein_coding MGPACDLLGPRRASGVASLVCALALALAAVYASSPAGFVALRFCAGLSLSNFVANQHWMSRIFAPSAVGLANPAVQISARWRRNQC >cds.KYUSt_chr1.956 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5050669:5057382:-1 gene:KYUSg_chr1.956 transcript:KYUSt_chr1.956 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSIGKSVLNGALSYAKSALAEEVALQLGVRRDQLFITNELEMMQAFLMVAHDEGVDSMVVKVWVKQVRDVAYDVEDSLQEFAVRLQKQSWWRIHQTLLDRRRVAKQMKELRANVEDVSQRNMRYHLIKGSSSKPATIGGQSAVAEGTTMSGTAEVMRLREKAKVDLVRLINRKDNDLIVIAVSGTSAGHLGKMSIIKDAYEDPMIQKKFECRAWIGKLMCPFNLTEFLQSIIEQFHVNFLQESGEKEKEKEKALDLQVLRKMRRMKENSLVREFKRYVNEKSYLIILNEIHTIEEWGQIKPCFLINKHGSRIVVCAEQVGVASLCVGPEDTAPEYKQLLAYHNLYAFYEKGSRDGTNLTEAGPSSNVGTTVSDNSANRKMLNRTGTILEVLKESQLIGRETEKEEIIKQVTTEDSQLQVISVWGMGGLGKTTLVRDVYQDEKLSGKFPKRACATIMRPFNVNELLQNLASQFGYNNVPEMDKELLGKKYLIVLDDLSSNAEWDTIIPHFPLTHTSSRIIVTTRVKDIATHCSKNHEKIYTLRSLEDDKALDLFTKKIFGKATNMDEEYPELVEHANLILKKCNGLPLAIVTIGGFLANQPKTVVEWRKLNEHISAELVMNPEIGIIRTILMRSYDGLPYYLKSCFLYMPIFPEDYMVGRKRLVRRWSAEGYSREVHGKSAEEILDGYFMELISRSMLLPSQQSIHGVEGIGSCQVHDLIREIGISKSMEENLVLTVEEDCSSNSQRTMRHLAINGNWKGDQSEFESIVDMTRVRSVTVFGKWKSFFISEKMRLLRVLDLEDTTGLHDHHLTDIGKLLHLRYVSLRGCDDIFHLPDSLGNLTELVTLDVRGTRIIKLPRSIVNLHKLSYLRSSRKPEVEDRSYDDIFEDFPKFLDNRPCIMFLLTGIACCCRINASEIFDDDIDLNYRDACTSLCCHSLPFIAMRLDLYGVLVQSGMRKLKALHTLGVVNIARRGKDVLKDIEGLIQLRKLGVTGVNKENGQELCLAIVGLSRLESLSIRSEGEPGLSGCLDGEFSFPEKLQSLKLYGNLVKLPDWIQGLRNLVKLKLRSSMISEHGDAIQVLGYLPNLASLHLLAKSFKRSNACLTFRPHMFPNLVVLELDSLLIDMEHINKVLFLKFEQGATPKLELLKFCRADINSRTLSGLPSLASLKEVLLEGSYTDKELAYLRAELAENPSRPVIKRV >cds.KYUSt_chr4.51170 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317273803:317274408:-1 gene:KYUSg_chr4.51170 transcript:KYUSt_chr4.51170 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDAHVNVASKDVEGKEAAGSSSSLEHIDEDDDFFQIEGPILSSQFSLDADKSAPMSRDECPDPKRIPSSVFERSKSGTPTDWSVTSNESLFSISVGNTSFSKDHKFLYGKSGEMGNPNDPLPPLPPLPKQSPVSSSSLIQREVLPTTTGEASSIVKQNAPTEKGGADTDCINTSSHRSDGSTTSFAFPMYGLSTTLLHF >cds.KYUSt_chr2.51179 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320034032:320039171:1 gene:KYUSg_chr2.51179 transcript:KYUSt_chr2.51179 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLCGQPESRSRLVFNVYVYAMQETKRGISITFLTRYRRMSEEDSPSAAEQGRRPTPKLNERILSSLSRRSVAAHPWHDLEIGPEAPTVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFVPRTLCEDNDPLDVLVLMQEPVVPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIKRFFEDYKKNENKEVAVDAFLPATTAIEAIQYSMTLLESVGIFCHAKLLRDTRITLEIARRPSWRLQEMLLQPLHRILGSCLTPALS >cds.KYUSt_chr3.41186 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259945191:259946868:1 gene:KYUSg_chr3.41186 transcript:KYUSt_chr3.41186 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAFSQPITNYLIKHYNMKANAATDLANIFSGTSSFSPVVGAFVADAFCGRFRTLLFGTIAGFLGMVAITLTATIRQLKPPSCGVLARQAGTCAGASGLQRAVLYVAMGLLVVGMGGTSPTSLPFGADQFDERRHKEGLRRYYGGYYVFTMMATFLALTVIAYVQDKVSWGHGFAIPTALMLATFAVFLVGTPWYVYVPPEGSIFVSVARVAVASGRKWRLRLPHPNDAQRQEALLYSEPPPAAGRVIVFRLPLTLQLSFLNKAAIVTDADEKRADGLPARPWHLCSVQQVEEVKCIVKIIPIWVSGVLWFIGMVEISNYTFLQALTMDLHMGKSFSIPPVSIIAIFYLSVALFVPIYELLIATVAQRLTKTEGGLTLLQRQGVGFAISVLSFVIAAMVERRRRDSALGHGGTSPLSVFLVAPQLVVMGLSAAFSMVGQMEFYNTQFPHQMRTLGNAAFYCAQGAGNYLATLVVNVVNARTRRRGGGGWVSDDINEGRLDYFYYAMAVFGAVNLVYFLVCSNFHRYKDY >cds.KYUSt_chr7.20868 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129364453:129365911:1 gene:KYUSg_chr7.20868 transcript:KYUSt_chr7.20868 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAASRTCRSWRSAAREPELWRRIDLRGYSRPFRETISLDRMARLAIWFSAGQCVEFMGEHGWVDGDLLLFLAEWAPLLTSLRLPMVYRHNQAFVEAIKMFPMLEELEFCQCNDRNVTWIIRTFATCSPQLRHLKYVDRGGYLLADNRDALEIARMHRLSSLQLFHGNLDNKGLTTIIDNCPHLEYLHLHYCANVVIDSSMQAKCARIKKKKLYPYVYDDFTKYFEPGDCTSHCSSFRINRNYGDEVDFQYLYAEDHDSVDCDHSSYFSRAEETDFEEHERSFINGTRRRRHRYLRI >cds.KYUSt_chr3.30500 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191158581:191160586:-1 gene:KYUSg_chr3.30500 transcript:KYUSt_chr3.30500 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDYSWVRRSRFSHSVVRSNSGREQFGAFIEQFNRGAAQRQRGRGSDSGFKLHGMNLQPATTTTRASASTSSSANPAAASTSFSASTSSLANPAAASTSSSANPAAASTSSANPPAAFTSSASANPPIARTRSLDAKPRTDPSSSSGSEPTQHSDHGKEAPANGSAGSNGVFKGANLFVDVSCGPEALQVPGQPLDFSFHPDEQSMRLQRVCSSPSPFPAREIPAFDAPPLLSARSSSLKVTGEERTAMLRARSPLPSRPIPELFQEAKSESKRFSTPPPRRKSLSPTRGAPPAAGPVKAPGKVKHKKEHWDNAMARAAALKVLDRWTVDRSKLLIGPRFASGAHSRLFNGIYMEVPVAVKFIRQPDEQEDAELALQLEKQFNTEIATLSRLQHRNVIKLIGACSSPPVFCVITEFLPGGSLRAFLHKQEHKSLPLDKIISVGLDIANGMAYIHSQGVVHRDVKPENIIFDAEWCAKIVDFGIACEEAYCDPLANDPGTFRWMAPEMMKHKPYGRKVDVYSFGLILWEMLTGSVPYEDLTPFQAAFAVFDK >cds.KYUSt_scaffold_1854.425 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2299541:2300558:-1 gene:KYUSg_scaffold_1854.425 transcript:KYUSt_scaffold_1854.425 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGPWGIAGGKPGDINRNPQRLVRISICITECINALEFMYDDQDGQTTKVGTWGAYNYRNEYGPYGKQDGTEFSLPLRQGRCEVVAFFCNYGATLESLGVYVRPRKTGSLVQVGPWGGHGGNSTDLVRANMPNRLQRITIHGGERSGERIYGFSYAYIDKKGKKIEVGPWGSTSKGRKREFTMNGDNYVNFISGTHDEYGITSLMFIDFNEDVHGPYGCAAGHAFSLQLPEDGAAVSFFGRAGTNSLVGFGAYVALQDD >cds.KYUSt_chr4.7371 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43801957:43809146:1 gene:KYUSg_chr4.7371 transcript:KYUSt_chr4.7371 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGKGAAAAGESSRVVLAVNGVRREAAGVHPSMTLLEFLRTKTPVRGPKLGCGEGGCGACVVLISKYDPATDEVTEFSASSCLTLVGSLNHCSVTTSEGIGNTRDGYHPVQQRLAGFHASQCGFCTPGMCMSIFSALVKADKPGATSDAAPAPTGFSKLTCSEAEHAVSGNLCRCTGYRPIVDTCKSFAADVDLEDLGLNSFWKKGADRADVDKLPAYSAGAVCTFPEFLKAEIKSSLEHQTNDVPPVIAGDDGWYHPKSIQELHSLFDANWFDETSVKIVASNTGAGVYKEQDLYEKYIDIKGIPELSVIDRSSKGVEIGAAVSITKAIEVFSDGTPVFRKIAGHLSKVASPFVRNTATIGGNLIMAQRLRFPSDIATVLLAAGTTVTIHTASKMLCLTLEEFLEQPPYDAKTILLSIFVPDWGSESVIFETSRAAPRPFGNAVSYVNSAFLARTSGDLLIEEICLAFGAFGVDHAIRARKVEDFLKGKSVSAPVILEAVQLLKDVITPSGDTTHPEYRVSLAVSFLFTFLSSLSKDLVEPTKDIIPNGSDVNGIMNGSTEYLPEKNAEVASDDLPIRSRQELVFTDEYKPVGKPITKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKGVNFKSSLAAQKVITVISAKDIPAGGKNVGSVFPKLGEEALFGDPVSEFAGQNIGVVIAETQKYAYMAAKQAVIEYSTENLEPPILTIEDAIQHNSYFHPPPFLVPRPVGDFDKGMSEADHKILSGEVKLESQYYFYMETQTALAIPDEDNCITIYSSTQIPEVTQNIVADLLGLPYHNVRIITRRVGGGFGGKAMKGCHVACACALAAYKLRRPVRMYLDRKTDMIMAGGRHPMKVKYNVGFKSDGTLTALHMDLGINAGISPDMSPLMPSAIIGSLKKYNWGALAFDVKVCKTNVSSKSAVRAPGDVQGSFIAEAIIEHVASTLGADTNSVRRKNLHGIESLTAFYGDAVGDAATYSLVDMFDRLAASPEYRSRAAAVEQFNGTSKWKKRGISCVPITYEVRLRPSPGKVSIMNDGSIAVEVGGVEIGQGLYTKVKQMTAFGLAELVPDADGLLDKVRVIQADSLSMVQGGFTGGSTTSEVSCEAVRLSCATLVERLKPIKESMEAKSGAAAPWSALIAQASMASVNLSAHAYWTPDPAFVKYINYGAGVSEVEIDVLTGATTILRSDLLYDCGQSLNPAVDLGQVEGSFVQGVGFFTNEEYATNADGMVINDGTWTYKIPTVDTIPKQLNVELINSARDHKRVLSSKASGEPPLLLAASVHCAMREAIRAARTEFSADSPLTFQMDVPATMAHVKELCGLDVVERHLQSLSAAAAAKA >cds.KYUSt_chr2.46191 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288392196:288393278:-1 gene:KYUSg_chr2.46191 transcript:KYUSt_chr2.46191 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRRTISFPTPRARTDAAANGKLAAAYRVRSASLPCRFHPLVLHLDDDVAALRLIIARGPSSSSSSVLSAQPQSAPAVTAAAAQVVRVLASLSDLLHHPQAQDPLRRLATSPSPLADRLLDDFLRLADAHGTFRESLVALAALQSETRAALRRGDPARLASAARAQRRAARGLPRLAAAARAVASRPPAPLPADLQPETAALAAAVADSAVAVASASAAVFSGVSALSNAAAAARVQVASTPCWITAAQTSPRTSSHQRVWWVADLVRWMSRAKRRSAGRQSIACANDDDASTSSTSSTARLRPEARVEPEERARKAALELHDNLERCIASVDASGDKVFRALVNTRVSLLNILSPTF >cds.KYUSt_chr2.2354 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14226913:14227962:-1 gene:KYUSg_chr2.2354 transcript:KYUSt_chr2.2354 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVQDSIPMVSVAAAAAALYARASSSVLRLGFPRLVALLPLFPFLVAAPLAFTSSAIIRATVAFFLAWLCAFKLALLAAGRGPLDPALPVLTFLFTALLPVKLRQRRGGAGAGAAASTKASKPDLSLVSCAVKAAVIAVILRLYQFNSRLHLYVRLAMYGVHIYCFLDLFFPCIALAVGALGMETEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRARAGNPAGVLATFLVSGLMHEGMVYYLSLRRPNGGMTAFFLLHGVCCVAEGWCARRWTARGLPSPPQAVATLLVVLFVAATSFWLFFPPLCKDGVEEKLLEEWAAVAAFFLDAGKKITWYGQRGN >cds.KYUSt_chr3.180 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1101085:1105148:1 gene:KYUSg_chr3.180 transcript:KYUSt_chr3.180 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVQVQAKVADLHEAIHAKSKEDLDKDNLCDMGGSCLGVGLGTSPKKYYPARQRLTLPVQPGKSGKPVVLNQKASLSDYCEKGSGSLTVVFKDLGPQVYYSTLFFWEYVGPLFIYPIFYYLPVYKYFGYEGERVIHPVQTYAMYYFCFHYFKRIMETFFVHRFSHATSPVSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSDLQMKIGFGIGIVCQIANFYCHILLRNLRSPTGSGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLAVAAAIMTNWALGKHSRLRKASVPYSSLHTALLSSTVTKSHTFSLLTLFLVQLFDGKDGRPKYPRRWVILPPFL >cds.KYUSt_chr6.14703 pep primary_assembly:MPB_Lper_Kyuss_1697:6:92097195:92101837:-1 gene:KYUSg_chr6.14703 transcript:KYUSt_chr6.14703 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPYLPSLAPTCPGTTMLDIADKAATRSGPRLAQARLPSPYRHEERIHHTAPRMPLWSCGSSRPKSDVDECKILGTCNGTCHNTQGNHYCMECPTNTVYDSATTRCRSTKEQNLVLGIAIGISVGFGILLFILIVIFFIRTWKKDIQKELRRKHFRQNQGLLLEQLISSDENASDNTKIFSFLELEKATNNFDATRIVGNGGHGMVYKGILSDQRVVAIKKSKVIEQIEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHADTTSSFVLSWDDCLKIAAEAAGALYYLHSAASISIFHRDVKSTNILLDGNYTAKVSDFGASRLVPIDQTHVVTNIQGTFGYLDPEYYHTGMLNEKSDVYSFGVVLVELLLRKKPIFTSDSGLKQNLSNYFLWEMKEKPLADIVAAQVLEEATSEEINDVASLAETCLRLQGVERPTMKQVEHHERLDEAREKGQNAQLGDEENTLRSAPRRAATDVTTIRVGPPSPPDKVSTCQCLWIVG >cds.KYUSt_chr2.7631 pep primary_assembly:MPB_Lper_Kyuss_1697:2:47851954:47853847:-1 gene:KYUSg_chr2.7631 transcript:KYUSt_chr2.7631 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGLVWTVVAAVAAVLASWALNALVYLVWRPRAITRQLRAQGIGGPGYRFIAGNLAEIKQLRAETAGDALDVGSHDFVPMVQPHFRKFTEPAILPSQISIYRAPVVTLMVPCSAGRTFLFWFGARPTLCIADVNVVKQVLFDRNGLYPKNFSNPHIARLLGKGLVLTDGDDWKRHQKVVHPAFNMDKLKMMTVTMSDVAGSMMSEWKAKLDKGGDVEIELSSQFEELTADVISHTAFGSSYTEGKKVFLAQRELQFLAFSTVFNVQIPGFRYLPTKKNLQISKLDREVRTMLMNIIKSRLAAKDTMGYGHDLLGLMLEACAPEHGENPLLSMDEIIDECKTFFFAGHDTSSHLLTWTMFLLSTHPEWQEKLREEVLTECGNDVPTGDMLNKLKLVNMFLLETLRLYAPVSAIQRRAGSDLEVGGIRVPGGTALAIPIATIHRDKEVWGEDANEFKPLRFEKGVTMAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVILQRFSFSLSPKYVHAPMDVITLRPKFGLPMILKSLAV >cds.KYUSt_chr7.31400 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195558827:195559507:1 gene:KYUSg_chr7.31400 transcript:KYUSt_chr7.31400 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDQDEVWTHEDMGTMRLLLLTLIERCYLEAISRLPARDLRLTLFQFFLQFLGDSTYRWEIFLHREVILGHVYGIGDGRSGHVVAISEEDAGQGCAEPDCQTWRYWRYCRCNSNCSMSVKDFF >cds.KYUSt_chr5.3726 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24090887:24091393:1 gene:KYUSg_chr5.3726 transcript:KYUSt_chr5.3726 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSQSFAPGPARHQPQPYHLDPPPHHPRRRRREDDEPYHPYPQPRPYTNAAAIPYPHPRDPLAPSRHLQDLASAPPPPKRSRRAPEPPRHDPPPAAPTRQPEDGDALLSRDELERRSPSRRDGIDPASEARLRASYCAYLRCLGFRLGLCVLNRFPLLISSGDLRGC >cds.KYUSt_chr2.4746 pep primary_assembly:MPB_Lper_Kyuss_1697:2:29422016:29422282:1 gene:KYUSg_chr2.4746 transcript:KYUSt_chr2.4746 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLASDGAAPYSRATKRGREAGMDGDEKMGTGGRHGRSLTARSSMDGHWAMDKDEEVGTGRRGAGEALWVAGQEANEQILIFIKLKG >cds.KYUSt_chr2.10912 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69308463:69315694:1 gene:KYUSg_chr2.10912 transcript:KYUSt_chr2.10912 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAAENAELGGLHDEEEMVAGTAPPPPRKMQSLDFEHIGSLAAVAESLSPRSKWRMALRSVRIVIFQAKINVLLPFGPLAITLHYIPGNHVLARAKLTFSFLRLLPSNASQQGWVFLFSLIGITPLAERLGQLACYTGPTIGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLAHPDRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEAHYGKSEVSLSRFSSCIMLVAYASYLFFQLKSQRSLYSPIGEEEETTEDEEDEKEITQGEAIAWLFVLTIWISILSGYLVDAIQGASESLNMPLAFISVILLPIVGNAAEHASAIIALNLIIETDCAAVIEAFEDGSRISTHAVHHRGVILSSPLPPPAHSLHLKMSCRLKTTYSMLGLNDRALPPPPQPQPEPEPDADNSSDDDVDPRFAVWREAYFRRCGS >cds.KYUSt_chr3.28586 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178297977:178298273:-1 gene:KYUSg_chr3.28586 transcript:KYUSt_chr3.28586 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGMVWEDKNMSASGKETMAPAARTQRSGSGGHGGGYKAGNVQPALDPPSPRVAACGFCGIFSKDSKEAPQPRHRAGGKGKRR >cds.KYUSt_chr2.23508 pep primary_assembly:MPB_Lper_Kyuss_1697:2:143524305:143525449:1 gene:KYUSg_chr2.23508 transcript:KYUSt_chr2.23508 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCTDAAYSFGLIEIHDVQVEGGGSRGSDHREEATAGYTKEFYFHNLLLESYSFYWFRRYLTKVCIIGHMIWRKLS >cds.KYUSt_chr2.39716 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246476142:246480414:1 gene:KYUSg_chr2.39716 transcript:KYUSt_chr2.39716 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRLKSKRLGAKTKKTWGSKRKTKKKKTKKKKKKKKKKKKKKTEKEKVWAQGLGAETKADGMGAETKADGMGAEAKAKATCFFSKMFLSRLFGVGEVISMEWHGRVSVNTRIIHPENFSWTCLPSTAPTAMLRIDFRFSFGNLAANEECPIGEILYQGQTRAEITDRMREILSGSDLVIPTLDPFSLKEICLASGLFEGGRIKLSGDEALGKMFHLMQTVGIQDAAREHTCRIGGVEQVQGYFEDIVRELAKGYPLMVDIMPGKEFGHIKYHEKPLQPGGRIQTMTRSPAIGAPGESQHDTANIRAEPGCRSMPGNRDSLISTGWRSPRLNPTKFSSGLE >cds.KYUSt_chr5.31614 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200366343:200366858:-1 gene:KYUSg_chr5.31614 transcript:KYUSt_chr5.31614 gene_biotype:protein_coding transcript_biotype:protein_coding MAALINTTLSVPASPTGAVGAPRRSRLAVVARAVRCHEQGGQESSRRAVVFGAAAVVTAMTAAVSGPARAEDMAGGYVEMVVDDHVRGLGEWAVRQHNKESGEKDDVQFGKVVKAEGQVVNGMNYNLFIDGKDIRGAPGTYLAEVYEKAANRPGVQEILKLNEFVRLLKSS >cds.KYUSt_chr2.6071 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37824350:37824985:1 gene:KYUSg_chr2.6071 transcript:KYUSt_chr2.6071 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRGTTSKDADIAGTNRSGCSAFARSSPKPKSLKPIHEGEEDMPASQHTVAAARSQPSGHAPRPPTQSCHAARTARAEKQKREPPLRPSRAAAEPTPHVNSPLAAGAGQRPDHQKSSAAAPRPCTMAHLYHGLQVPAMATCHTQWPTTAAGSRTKLGAATTRPPCRGTPEIPQPPCNRCIEAPPGRRPSKRHCVPGTAVPASPPPPCRA >cds.KYUSt_chr1.6864 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42204712:42205243:1 gene:KYUSg_chr1.6864 transcript:KYUSt_chr1.6864 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGSGQKEVDGQSPSPLDPQPRPPAFASGASPSLGPLYSVESERGMRVLEVDVGALNRGLEKAIAGASISISTILQH >cds.KYUSt_chr4.12623 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77516478:77516921:-1 gene:KYUSg_chr4.12623 transcript:KYUSt_chr4.12623 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKNGTRKEAAANTAPAETGGSAAMGLRPRRRTGPRPRRSGEGDVGCLEAGEALAESAAQKPVACSLELEPAAMEELVKVAQVDEPMWVSSEPGQQRSHARSVPAPPASSPRPPATPPRRRPRLTTPMRVSSCLVGFGSWLCVGE >cds.KYUSt_chr4.19381 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121772084:121775835:-1 gene:KYUSg_chr4.19381 transcript:KYUSt_chr4.19381 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRRLAVLHSHLRPEVPPPPAGRGENELTEGQEAVVSTSPCAVAGDDRLSAAAGPVGDGELETCVFCRIIRDEAPAFKVYEDDVCLCILDSHPLAPGHSLIIPKCHFSSLEATPPTTHCHIIPRKSGDELWPTESFRRSSIEPNETSNLISCIKEQLDSSLKTVKTEAAIES >cds.KYUSt_chr2.18570 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116936581:116939612:1 gene:KYUSg_chr2.18570 transcript:KYUSt_chr2.18570 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHELLLTLAIVAVALLPSPAAEAYPWVICGPSTYTAKSHYLANINRIGATLPKNASQSPVLFATAKVGAAPDQVWALALCRGDANASYCFACLDQAFQDLPNACPFSKEATVFYDSCVLHYSNIHSRPTDGSTYSQSRPVRNIFNATVEPARFQRLVAALVNATVSYAVYNSTRLYASGEAGFDREFPKVYAWAQCTPDLTPARCRDCLVQNMDYLADLFTDSIGARVVGMRCSYRYDTVPFFDGPVMVRLAGTSASSPAPASAPASSGEPASAPAGVPNVLTPVTAAGKDPNYSTEAADIDSVDSMLIDISTLRAATVDFSEINKLGEGGFGSVYKRTLPNGEDIAVKRLSKGSAQGVEELKNELALVAKLKHKNLVTLVGVCLEQQERLLVYEFVPGRSLDKIIFDTERREQLDWVHRYKIINGIARGMQYLHEDSQLKVVHRDLKASNILLDNDMNPKISDFGLARLFGRDQTQAVTNRVVGTYGYMAPEYATRGNYSVKSDAFSFGVMVLEILTGRKNNDIYNSQQSENLLTMMWEHWTAGTVLSMMDLSMRSSFSESEALKCIHIGLLCVQGSPADRPVMSSVVMMLGSESVSLRAPSKPTFYGRNNVGANSSIASTSNLLDGPRDSI >cds.KYUSt_chr4.23919 pep primary_assembly:MPB_Lper_Kyuss_1697:4:150715366:150718235:1 gene:KYUSg_chr4.23919 transcript:KYUSt_chr4.23919 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSAATLLLILVGTVSVYTCSTLAGAALGRTLASSTRTRTKQPPAMDIALRASVSLRGLAEDFAKELWGGNHREGRRSGGAGDGAKAALSSPAARASVPLSRAELQDQQRQAMLAQIAYTNIQRDISMSDGVHEGAAAGRARPLSMHRRERSRGGGMASTEQQTARPLSRALRNFSGEISEGTKHGGRGVVKVGPWGGSGGLAFSMPGSGGGAARLLSVSVEHTDAVHGFSYEYLQAGVRRTSGPPDGDHYAPKGIGPVITTGSKIEFSSDEQLTAVEGTFGRCRGGWAMDEQLPEQVVVTSLTFHTDKGETYGPYGEETGTPFSIPAANGCIVGFWGRSGWLLDAIGVYITPCDAQRSCGKSDITFTVRKTGNVVGRQPEYVVAIRTSCSCSMKDVRVWCGGLEDSAVPLDASKVEVDDGMCVLKQPVAKGSPVIFTYSCEVPVNFRVFNTAPDC >cds.KYUSt_chr7.31828 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198124641:198126068:-1 gene:KYUSg_chr7.31828 transcript:KYUSt_chr7.31828 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFHRSSSAPAPASTLCIPREWDALLDFKAGLTDPGNFLSSWQGADCCQWKGVECSNGTGNVVTLQVRTPWNSPELIGGEIRPSLLTLQHLDWLDLSENDFGGKPIPKFIGDLRSLTNLVLSDSNFGGQIPPHLGNLSNLVNLHITDNNDLTVPQTYQLISPDLAWVSRLWKLQLLGLAGVDLSAAVDWAHSLSMLPSLKDLYLSFCGLRNTMPPPAHSNLISLESISMSRNPFNQSFSAINFVWNLPLPSLQALSMMHCGFHSSIPDAVGNLTSLEYLYLDGNHITGIDAFPLTFKKVKNLKGLSLTENFINMDVGKMLDRLPSDELEMLSLDNNNLTWSLPSRLGQFRSLTRVMLRNNKLSGEIPLGIRELIKLRGLWLSSNNLHGTITEQHFPFAGQLEVLDISFNQLVGPIPTFPPSLTSLDLSRNNLSGTLPSVIGKSMLQVVILFSNSFSGTIPCSLFQLQQLLYKQ >cds.KYUSt_chr1.35275 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215067116:215067457:1 gene:KYUSg_chr1.35275 transcript:KYUSt_chr1.35275 gene_biotype:protein_coding transcript_biotype:protein_coding MSQARPGPRSQSRAGAILCPLPRRGSRSPDAPPLVGCEAESDLYLRTPFLHRTRLARVIKELRRLAFSPTSSHASSRQVHCTGTLRLSHSKPPGGAPARHLHLCALDPPGSAV >cds.KYUSt_chr4.46779 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289198162:289212258:1 gene:KYUSg_chr4.46779 transcript:KYUSt_chr4.46779 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGEAFRGHFPVPAACRNGDSCPPDLGFAMAAALDGLSYRDFSVSSGGTSGEFEGISRVIIHHLPSLNEKIGPPLIILVSEHQVYLEFRPVKAKAASLPPGVTAERCWCGRVAKVKQVEDFSDQFGMKFFMCASYEHDPPRSSASSSTRPPSPPPLCKWFHWIDTEQPDWAREEVEEKQRRAWATFFEEERWEKVRANEKAERERQIQKLRAEQARNREVNQKRMDDEAARRYPNQAPPYTLVCRVIVFTLGIRFATFVHVLPLQADDIKDSLETRKYRFFHHRYCSAAFWEEERGTFDGDGLFHHNVLIGERCAATTIDGSSRINLISTEVVEKLQLPTSARTVPYLLHSSYGTLLISHSADVPITIGGHTEVVRCVVSPVPLDSCHVLLGNPWCHKFHVQSCRDVKKMSFKWNMKRRALLRAPADKFHEYHLLRKERTKEFCLSAEYKIMMDGDNSIICHRDQDVYHNPCKNESHTAKLSESVIKSELCDSTILEVERIHFEILKCRLLLKKGSLMYNNLHHGKRRSITATLLTCSYQFPSAYQFPVAPPPPAHILQPRTHPVEPVIIVFDDDEQVEPASVAADSLAPSPAYASSNGVLVVKTHTEYSAVARDSSSENFALLVHVKAPGIADAGAAGDAQPRAPLDLVTVLDVSGSMSGHKLALLKQAMRSTDLLRQITERLRAEFALKDLGTLHYFLGIEVVRRTDGFFLHQRKYAHELLDRAGMLNCKPAATPVDTKSKLSATDGSLAMDASFYRSIVGALQYLTLTRPELQYAVQQVCLRMHAPRDPHWATVKRILRYIRGTMDFGLSLHASTATDIVAYSDADWAGCPDTRRSTSGYCVYFGPSLISWSSKRQPTVSRSSAEVEYRAVANAVAEVSWLRQLLVELSCPVAKATVVYCDNVSAVYLSANPVHHRRTKHIELDINFVREQVALGHIRVLHVPTSEQFEDIMTKGLPTASFEEFRSSLCVRPGDASTAGGVEIHILVYPDVYLL >cds.KYUSt_chr4.53246 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329650460:329658068:-1 gene:KYUSg_chr4.53246 transcript:KYUSt_chr4.53246 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSPSDKAALRACQDQQELPNSTTRAFYYSKKGWGRKEQDQSRHDLSMDAAPRHGRAPKETNMILAFGEPVEGQKHAWEEVWRAALDRCQNQMSPMAGLATPPSSSLIGSCTVEQGSKDTTVWKSTISKPYRDSLQGVSGEKKTQVLDVERPTKMLEQRNGDEDHLAVSCAPKADQTLCGEGSPIAEPDSKDTAVGKTIISEPPSREILSNSLQSDGHGRASQGDESTDESTRTDAEKEHPSDELNVQPAGISPSVSYNEAVQDLSDDGNGLEQNVLDTCLKCGKSGQLLRCCSCPLAAHDSCFGSSGRFDDGQFYCPVCFYSKATKAYKRAERTLSEAKKNLSGFFGWKRFAKQQDEQSITGNQQRAAANCEKDHLNGRCASIRQASNHQAKEAANFSRKDEETCHGNPETHEVRSSSSADEVAHSSQNGISSIANRNIKAVKENHLMNSPNCEFSAKRGDISERNMSRRKEAVARFAPKGKGQISWIQIREHGDGVFHPKRLPNDLRQKWNNMKKRDEAPHEGAGLAVCRLQQEGRRAMSAGRCGPRAAGSAGDDEDKDDQRSKTMTVSAN >cds.KYUSt_chr5.9281 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58921414:58927113:-1 gene:KYUSg_chr5.9281 transcript:KYUSt_chr5.9281 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKPRPDDNYSSDGGDTTDADSSDESPQPPRKGLRANPILTRLSVSRNPSPLSAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVTAKGFKGSFADAASSAKIFAVLAGVQSLVSCSLRQLRGKDDVINAGVAGCCTGLALSFPGAPQTLIQSCLAFGTFSYIIEKLNKQQPALALPSATGLNDLKAGQTVLPPFTLHIPHDAMHGFSSFQNFLSKFRENK >cds.KYUSt_chr2.28936 pep primary_assembly:MPB_Lper_Kyuss_1697:2:177897414:177899674:-1 gene:KYUSg_chr2.28936 transcript:KYUSt_chr2.28936 gene_biotype:protein_coding transcript_biotype:protein_coding MREVTPRKAPPTPKVEPHCCKTVPSTMTDSTMPLLDKMKDLIDRRKSLQAMRKNIDEHMEKLRKLNHDGTVARVLLSKRLDDIRELIAKLKNMRADYEKTRNMQDFLAKLLDMKEDLTKAENMAVDINKDCAILEANTRLGGELVEMLRNEVDDYEIAFRLLGELQTYKKL >cds.KYUSt_chr4.26286 pep primary_assembly:MPB_Lper_Kyuss_1697:4:165265470:165269504:-1 gene:KYUSg_chr4.26286 transcript:KYUSt_chr4.26286 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDEESLLLYCDEDPFADDSTPPPTAPISPSSGAGSKDDGDHAHQAVDLNLVMEHKSRERCYAPARSTGYLHRLLDHGHQHGAGVSGARSKAVRYIIYVRTAFGRLGLAAATAFNAVNYLDRFLSIHCNLSWEVWMVELLSVACLSVACKLDEVSIPSLHDLQMEEVMSHSFRASAIRDMELALLKALEWRLACVTPCSYLDLLPLPTTASNCTSLLLRSLSDPSFLRFDASMLATAALRCVAILQDHAHLIAPQLCQQDDEAEECFKMMKALDTSLQNDPSSMNHYHHHYNYSTTGQMQGSPISVIPFEITDGDSTVNNRAATTTLPDLLPSSSSEPNTHAMASPKLFVLIGVIICMEITTTPAAAADGRIHLIIVNNCAESVWPGILATAGHATPQSGGFHLGTGEETTFDVPVGWSGRVWPRRGCSFDSRGLGSCATGDCGGVLRCAGAGGAIPATVVEMTLGTRQSPMHFYDVSLVDGFNAPVSMTPVGGGRGCGVASCGADLNVCCPSALEVRDREGKVAGCRSACRAMGGDKYCCTGEYGTPAACKPTIFSHLFKAICPKAYSYAFDDASSLNRCKANRYLITFCPPRPE >cds.KYUSt_chr4.48928 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303131269:303137938:1 gene:KYUSg_chr4.48928 transcript:KYUSt_chr4.48928 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALREAIDEVLFTLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRRGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTTKNEAAAAAANQLAEEEAKRAEDATVAEAIARSLKDVVPADNSLPIDAAPEWSRRDRERREAEQQRWMLDPAAARQLAARAAAPSSSRNAAPREVIKLEESSDDDIYRPSPPRAGDTGQGTSRWSSILTFIMKIHQQDTKPETADARAEKTQSSTERSNTLPPPPPPPAPGATPPPMLRVVSTLRPLLRRPLLPGPKHLPRPRRLARPFRALSSSAPLVASPPSVPGVDGITEEHLVRCAAAGRAPLRVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFRNFWSECPWDDDLKYAQAVCDKIDVPLEVVHLSDEYWNHVVSHIINEYRNGRTPNPDVLCNTRIKFGAFLEAIENLGFDFIASGHYAHVVHPSVQDVESSSELQLSKDKVKDQTYFLSHLSQPQLRRLLFPLGCITKDEVRSLAAQMDLPNQSRKDSQGICFLGKVKFSEFVERHIGEMEGVLIEAETGDYLGAHRGFWFYTIGQRQGLRLPGGPWYVVEKDVKNNVVFVSRNYYSLDKRRRTFRVGSLNWFGNFGPTNNEQLKCKVRHSPEFHDCTVTNEQTEENGDVLTVHLSEDDQGLAAGQFAAFYRDGTCLGSGIILDSWDEMSFPVCAKALETARMEDKSKLGKPVRIVNLEHMVKPEPERVKVA >cds.KYUSt_chr6.4347 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25171194:25171758:-1 gene:KYUSg_chr6.4347 transcript:KYUSt_chr6.4347 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRDGQRVRLYVRGTILGYKRSKSNQYESASLVQIEGVNTREDVAWYGGKRMAYVYKAKTKSNGTHYRCIWGKVSRPHGNTGVVRAKFTSNLPAEAMGRKVRVFMYPSSI >cds.KYUSt_chr1.11086 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67844117:67847569:1 gene:KYUSg_chr1.11086 transcript:KYUSt_chr1.11086 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKGELEQIALPAVHRAAPPLADVPEVDLAAAWSGGAEGRTAAARAVAAACEEHGFFKVTGHGVPVQLLACVEAAAAAFFALQQREKEAAMSAAAPGSPFGYASKRIGNNGDLGWVEYLLLGVTAAGASTEDRSADAPLSVPAEEAAPSEWRCSFRDLLNEYTVAVRRMACSVLELMAEGLGMAESNAFARLVLHEDSDSMLRVNHYPPRPEQKQLHGGAGGNGRVTGFGEHTDPQIISVLRSNATSGLEIALRDGSWVSVPPDQSSFFVNVGDALQVLTNGRFRSVRHRVMMNSIRSRVSVIFFGGPPPLETLAPLPELVGEGGRRRYREFTWREYKASAYRTKLAENRLCHFETTI >cds.KYUSt_contig_817-2.99 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000077.1:665405:666366:-1 gene:KYUSg_contig_817-2.99 transcript:KYUSt_contig_817-2.99 gene_biotype:protein_coding transcript_biotype:protein_coding MLKATFEDNMKDEAIARNHSTTKEAIAVGTSGGAYRIILTHFTNPGTNPCLAIPLKDVYMQYIPDQRYIRTLHPREEKQQKVSRSLRLQTLQVFVTPLLGLGCCRSFRNTTDVRLDGVVVGEAEQPARELLDAGDGWWRSLPRTVGGGARLCSLEAPPPLLLELSRTAGGDVGSPSTEDLAGDGRRGSLPAGEHAYAPGKPRLLCSWSGRGRPEGVRAH >cds.KYUSt_chr3.40986 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258712038:258714281:1 gene:KYUSg_chr3.40986 transcript:KYUSt_chr3.40986 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLNSVGLFLLSCTCLQLSAAAPVRPGISREAEALVNWKASLASADESLGSWSMANSTSLCSWTHISCDPAGHITDLILARTSLNGTLDRLDFSAFPQLKNIILSGSSLYGTIPEGIGNLTSLVHLEISDNPYLRGAIPRSIGQLKHLSQLLLSYVGLDGTLPEEIGNLTTLEMLFLDSVPLTGSIPQAIGMLVNLRMLSMQQNNLTGNIPLQIGNMTELYSLYFSDNYLEGQLPGTISNLINLQSLSVSKNQLTGHIVTKLGNSSSLDKVDVSYNKFSGLFPPSICMGNMLRIVTAVYNGFTGIHHQTFQNCTALVYVEFTANNVVADIRDIFGEHLVHLRMIAFSQNQLHGTLLTDEGEVFLCNYTSLDLIDLSDNVLDGGLSKCFWDIPRLGFMDLSNNSFNGVVPLSRTFPYALEYLRLANNHFEGPFPLALKKCKNLTTLDLGGNNFSGTIPYWISKDLTGLRFFRLSSNMFDGIIPRQILQFHKLQLLDLSKNKLTGAIPDDFVNFTGMAYERNDDSGYYHFEGNIQIVWKNVYYVYTTKIAGMVGIDLSGNVLSQEIPGGLATLLGLRYLNLSGNYLSGCIPEDIGNLVLLESLDLSRNQLSGEIPPSFTGLKSMSALNLSNNRLYGMIPMGSQLQTLVDPSIYINNLGLCGFPLEDCVNSSPSKQNERSKAEDREALWLYCFVAAGFIFGFWLYWGMLSFYETGRCAFYQYVDNMQEKFTKKVHNCISWFKAKALNEV >cds.KYUSt_chr3.44902 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283013361:283014129:1 gene:KYUSg_chr3.44902 transcript:KYUSt_chr3.44902 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAVKVIGAFDSPFSHRAEAALRLKGVPYELILEDLRNKSELLLTHNPVHKKVPVFLHGDRAVCESLLIVEYVDEAFDGPALLPADPHERAMARFWSRFLDDKCSKSFWLAMWTDGEAQKGYLKEIKESFALLEAQLEGKRFFGGDTIGLVDIAACGFAHWLGVCEEVSGVTLVTDDEFPRLRRWAVEYVSDEKIRSCLPDRAVLLEHFSAKKEMFMAMAKSMLPK >cds.KYUSt_chr2.50913 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318492191:318492811:-1 gene:KYUSg_chr2.50913 transcript:KYUSt_chr2.50913 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASRCTSCLCCPFKCLACGLFSCLCSILISLLVIAGVLALVLYFIFRPHMIAATVDSAALSTFTLTPTSTLAYNLTVAMTVRNPNKRVGLYYDGVEALALYEGQRFGFAPLDPFYQGTEASTKLAPAFGGQQPLDGDVTAANFRAQQSAGAFDVEVKLNARLRVKVWAFKVRGPRARISCPLSLPAPGAASPPAFKPVDCKVWF >cds.KYUSt_chr4.3645 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20685579:20688134:-1 gene:KYUSg_chr4.3645 transcript:KYUSt_chr4.3645 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLLRFLSACGGTSPHAPNAPSPASSDEGRDGLLWWRDLARCAAGDLSAALVQANHVLEDQCRLHSAPPLGTLLALFDGHAGHAAARFACDHLLPNLREAASGPRGVTEAAIRDAFAATEGAFIAEVSAQFDAHPDAATVGSCCLLAIIHNRTLFVANLGDSRAVLGRKPNRGGQVVAEQLSSEHNANMEPVRQELLAEHPDDPQIVALKHGVWRVKGIIQVSRSLGDAYLKDAKFNTDQIKPKFRVAEPFSRPIMSADPSIVSRSLQPTDCFLILASDGLWEHLSNQEAVEIVHSNPRAGSAKRLIKAALQEAARKREMRYSDLMRIDKKVRRHFHDDITVIVLFFNHDLLAKGNAPVQPLSIRCPLDN >cds.KYUSt_chr2.6409 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39968985:39972723:1 gene:KYUSg_chr2.6409 transcript:KYUSt_chr2.6409 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEKPSGGTSPLRQRARTEIPVPQILASRWRRLWKGTEDEEDKLSLLTDDTLLSILGRVDLPTAARTSVLSTRWKHLPWLLPELTIDVKDFLPSPHPKPMEVEHMDKAMSSLTNAIRSFLVAERAVTRLQLRFYLVSEHSQVVGPLVSEAIDIGTVKDLDLAIVDEKEPEDCYDEEMLQQACLVDGFFSAYPSVLRCLTSLSLCNICFARWDMHHVLFDCCNQLQHLTLVNCDAGVLSAWNIDAPKSKLRFLKLEFCCLLRLDVLCLPKLERLHWDTWVCRDAPLSLNSAPFLEELYSLHFCIEGAAQISLGPRYLAIG >cds.KYUSt_chr2.45255 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282209413:282211424:-1 gene:KYUSg_chr2.45255 transcript:KYUSt_chr2.45255 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVVYRRRENSWRGADSSVRFLGAAMSSNLPSKHHVRLATSSTGRSGARTGGMTLRATSPPPLTISIASAAGWDSRKLRLEGGDEELKEVVVVGDKAGDASERAADTYGVVFDAPPTDDEVHAAVASIQQVFENPSAADSDAVELQAIALPIAGLPSSGMFVNYFSADSDISEKQIVNSPSNIGLEDCMEPAALALNSTALVTRDHQNVLDAFQLLQEDSSVQKMVMALSTDKAVWDAVMNNDVVQEFKKSFQDAKETDNKGCSTTPPGMMKWVLENTQAKIKEFLEKILQLVHTLFQAGSKDYDLSDDLVRMSFMLSVFVFIVVTIARIK >cds.KYUSt_chr1.35428 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215997141:215998471:-1 gene:KYUSg_chr1.35428 transcript:KYUSt_chr1.35428 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPRRTLLKVIVLGDSGYVHKKFSQQYKATIGADFLTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNAKRSFNTLGTWHDEFINQAGPSDPKQFPFILVGNKVDLDSGSRRVVPEKKAKDWCASKGNIPYFETSAKDDYNVDTAFLCIAKLALENEHDEDM >cds.KYUSt_chr1.32387 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196610712:196612022:-1 gene:KYUSg_chr1.32387 transcript:KYUSt_chr1.32387 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLSGYAPPSPLQRNSPIQSQSAHPAPGKAMAFRRRLLGLSATAAAGLRRSLATATSHPPWAMMSVEVEAVGAPRVDVRLAEPPQVSQLCFPQHLVKTRGSPDPASGVLQKLAGVVRAVSGDGLLLLSYVDLRLRAPNGAEQEPTGFDPAHVPIVTRFVCNPVTRELSRLPDSICNPMGDLRCDGFMGLVTGANRGQGPPDRFALAVLQGNMMLRFLSETGEWEIVEASPCRLPLAWRMDLCPEAHAVGGRLWWVDLTWGAISADPFSDRPELSFVQLPTRSVLPAGAQGEDLASYRRVGVSEGRLRYVEVSQEEPFLISSFVLDEEAGDWTLEHRVVLNKIWGPSMWIPLQMKGTTSIVLLDPLNANVVYLMLMSQIVIVDLEREEVIESCAYSSDSYCIPCVLPPWLGQSRIPSAGTDSLCLILRLHSTSQF >cds.KYUSt_chr7.29915 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186257258:186265007:-1 gene:KYUSg_chr7.29915 transcript:KYUSt_chr7.29915 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNPNPTSSAPFELASLFNPPANPTTVPSPTGIFPGAPPGAPMASAPPGPYSYPPATPPFHRNPYLPYPPDPTSAAHFPAAAYTNPNPTPNPLPVPNPGPNPSARLMQLLGNAHLEPTSSPSDFSSGVPAGMPSAPPARMPSAPPARMASSKMPRGRLLGPGDRAVHDVDSRLPGETRPPQLEVTPITKYTSDPGLVLGRQIAVNRNYIVYGLKMGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDDENKPQITGKIEIAIQIGDAEIYHPRICWHSHKQEILFIGIGNCVLRIDTTKVGRGRDFNVEEPLKCTLDKLIDGVYLVGKHDGDVTDLSLSQWMTTRLASASKDGTVKIWDDRRALPLSVIKPHDGKAVYSVSFLTAPEQPNHINLVTAGPLNREVKIWASSDKEGWLLPSESETWRCTQALELVSSLEHKHEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPVSTRLDYIADFTVAMPILSLTGTYGSQPDGEQVVQVYCVQTMAIQQYGLEVSLCLPPTADNTSLGRDPVLSHVYDRPLEVASVESSSGTKPLSDHQGTDADTTAHVPSLTPTSNMNNAGSSADPSKGPSLGDHDGDQSSFDYSSKKVINGDGTSGQGAFGREDSVGKEDPTGQGVIPSAETTAKGSPHNVEVESKHVVEKKPDQNVKFEAAKETQIVHEKMERLNMSSERSVESISERSVTTDKYNVDDFQRSDPMLSKQHSGAGDGNVQSRTTEVPEKTDVSVASRNLQLPAATIQKVLHPQLMAMQTDMQKQLNTIVSAPIAKEGKRIETSLGRNLEKSVKANVDAMWARFQEENARHEKAEKERTQQFATLITTSINKDIPAMLEKSLKKEISSLGTNVARTTVPIIEKSLSSAVSDSLQKVLGEKVVNQLDKSISTKLEATVTKQIQTQFHTSVRQALQDSLRSSFESSVIPAFEQSCKTMFEQVDSAFQKGMSEHGAAIQQRVAAGHTPLAQTLRETINSASSITQSLTSELLDGQRKLLALVASGNPISHNSVLQPTNGPAPKLPEVDVPLDPLKELSRLISERKFDEAFTMALQRSDLFMVSWLCSQVDLQGLCTLNPVPLNQWVLLALLQQLACDIGNDTPVKLQWMKAVGMAIQPADQTIAVHVRPIFEQVYGVLAHQQSLPGISPLEANDIRLMMHVINSVLLSYK >cds.KYUSt_chr6.7450 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45311251:45311553:-1 gene:KYUSg_chr6.7450 transcript:KYUSt_chr6.7450 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALMMSPELRDVLAKVVAFILVQGLVYLILTNSSDVFSKNKILRSLSFRTMRSMRVRRLLAPLSDVPVGTDDLGSAPPPSPSYLSRSCSSRRGGDRQD >cds.KYUSt_chr1.28903 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174903567:174905599:-1 gene:KYUSg_chr1.28903 transcript:KYUSt_chr1.28903 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLATDERVFCPDCHRATEVVLNHATGDTICTECALVLDVHFIDEVSEWRTFSTDAVAGGDDRDPSRIGSTGDPSSTPSSPPVPRMFHVGAGIPGVAPHYISPPSTFNVLLGSYWFD >cds.KYUSt_chr5.132 pep primary_assembly:MPB_Lper_Kyuss_1697:5:896807:897883:1 gene:KYUSg_chr5.132 transcript:KYUSt_chr5.132 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCCKDTCCKKELVDDIAGWIKIYNDGTVERPAPPPEAQQLATSIPPYDVPCNGVTVHDISADPPLRLYLTAAAPLNGRRLPVLLHFLAGSFCITDPSWAMYHSFYARLAASIPVAGIVSITLPLAPENPLPAAIAAGYAAIDWLKSLARPVLPNEPVPEPTYDPVNRLRDVADLSRVFLIGDSNGANLALQVAAGFSSAEPGYWGPVRLAGAILLNPGFTRSAPSRSESTDPMNRYMNRELVGRFLKLALPEGATRDHPYIWPVVDATAAVPPLLVSIAMLDSLRDRQVEYCNAMRRAGKHVEVVLSAGVDHIFYLKHGLVDSEPENDETAARIAELIEDIGRFVGRRHCCVARL >cds.KYUSt_chr5.27755 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175741986:175742450:1 gene:KYUSg_chr5.27755 transcript:KYUSt_chr5.27755 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGDVRPPPITMAFTRLVILVLLPVVPAPPPSPTSVHITRLLCSGLGRIKGKRGSSAGRKKEEDIGGRTHDGVVERDWRRPAPPGTLRSGALERMAPPRSSAQLLPMQRQEELLRRDGGGSGRRPLAAAATRRSRGAGVGGVGRENGADIFTI >cds.KYUSt_chr5.40560 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256064007:256070017:-1 gene:KYUSg_chr5.40560 transcript:KYUSt_chr5.40560 gene_biotype:protein_coding transcript_biotype:protein_coding MTARCCLCSLRRFDYFLDKFNYTMGDINGGYGAAAGATFLVVIAHPTSTAAARSQMRSTVSANAAGDGSMATPKQLAPAQPPLPPLPTPTPTLTAAAADSAAGAKTHWAWRRRGPFLVDVGDGGRDLLLDAYGGSAGAGDGSGGSALRTPSSRRLSPAAHRQGLVVGGGQAHGLRHPLPPPPLAGSVAGGVTFTFTNRCGGTVWPGVLANSGSPLLETTGFALASGEARSMAAPAGWSGRFWARTGCAFDGASGKCATGDCGSGELECHGSGAEPPATLVEFTLAGGASKEDYYDVSLVDGYNVPVLVEAAGCPATGCLVDLNERCPQELTASAGQGCRSACEAFGRPEFCCSGDFGNPDTCHPSTYSQAFKAACPRAYSYAYDDATSTFTCSGSDAYSVTFCPRSGAIPSRDSSRDIVCSLKSSNGPLPRPGDVVNSAQVAADSWLASLATGESDAATTTSAASLLLQAALAAAVMSLLVSR >cds.KYUSt_chr2.31403 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193814141:193830601:1 gene:KYUSg_chr2.31403 transcript:KYUSt_chr2.31403 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFDRGYYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMENYRTGVGADEGSDIDSIDFDALASNLQDLVKGKDTLMPLVDFQEKKHTGWRQLKISSSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNESPDGQKFYSFDRSKAETVNFIEMYLRPPSASEEMKIDDWIKVTQCGIRYYLSLGDQRIVDKFFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTSRTVNRNQLLIAAETIDTLNESFLVLKGPSRKTVAAEASNIGLKGPWITKSYLEMILESKGVPRLNTPPPVSSKLLTESQEKKITVPKPIRVLTDRVANLDDFAQPWTRSPPKKFDQEPPLGKWQFIPDSSSRSKIQLAPLPDSYDLDRGLLLSVQAIQAVLETKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIALLTKNITEIRNSHKAKLPCFDFEKFTRSGFKEFQVSEECGVVIFEGVYTLHPAIRKSLDLWIAVFGGVHSHLIARIQRDKNRAGFSISQSEIMTTVFPLFQQYIEPHLVDAHLKIQNDFDPVLSPESSPFVLKSTKQVFYKDILKVLDASKVCSSVQNFTDVYLRLPGIQSNGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYQAVAYIEASAVIYQDGKILIEVDHLQGVTNPYIQIKGTNKEIVSSAASALSLDGSYTTKSYLQIILESLPADDNVPAGIHTQQAARLQELVEFIQSQGGSFNSDLSSPMRENSSTDGMIDDLHSRIKKLERWNTINMVLWTILLSALVGYSLYQKRRH >cds.KYUSt_chr6.3444 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19926165:19926869:-1 gene:KYUSg_chr6.3444 transcript:KYUSt_chr6.3444 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSTMPAIPHRKLVPARPWPTSMLAAVPRLLYHVAQAAPLDAGYLRPQCLAPTSTRPVPQRPSSTPARSSALESAGVRSSQTCADQQVTGSRRGACSSRGAAWPTRCRGLQPTGVDQNLLRPRSCTYRTLVETGAPPHRPTANSASAEISSSFLQEKRSSDRHPYASHMPLWGTTLSSQSTPPNGAQHGAVKQLGRRVDLDHGPDAATVGEDAFPCAALHREVKHDLGVVLQ >cds.KYUSt_chr2.47213 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295312950:295317728:-1 gene:KYUSg_chr2.47213 transcript:KYUSt_chr2.47213 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTKETLTLKTLETKLIITERKKEVKLAQLEARREDANRKAELEERMIKLKEAKTWKKIMTEEKEHMMMSKKGMDEEANWRGGRRPRRTSRIGRGYCVMVVRRLLSEPLLPGDKLVSNNGVFALGFFSLENSTADSYVGIWYHDIPERTYVWVANRDNPISSSLSGKLVLTNSSDLVLSDSKGRILWMAANNVTIEGDGAVALQLDTGNFILQLRNFTQIWQSYDHPTDTMLPGFKLWANYKAHTAVRIVAWKGPQDPSTGKFFLSGDPSTGLQILIWRETSLYWRTGLWHGASASDNNGYTWSQIVDDGEEIYLTYNTNNNSDRSHLKLDYTGDMMLRIWINLSWVVLFKLPGKGCRHYGSCGPFGYCDSTVSTLKCKCLDGFEPADGSSDNFSTGCVRKEALRCSGDLFFTFPRMKLPDKFVYVRNKSFEECTAECDRNCSCTAYAYANLSSILATSGPSRCLIWIGELVDLEKDSVIGENMYLRLAGSPGVQGNKETLRRPELGHLRTSQEVWDQMLEFRSIRCPALMYAHILFLADTARKSMLDWPRRFSIIKGVARGLLYLHQDSRTTIIHRDLKPSNILLDAEMNPKISDFGMARIFGGNDQQESTKRVVGTYGYMSPEYAMEGIFSVKSDIYSFGILVLEIVSGLKISSPHHLVMDFLNLVSCAWNLWAEGKARDFVDASVTESYSLDEVSKCIHIGLLCVQDSSSARPLMSSVVSILDSEAMPRALPKQPLYFAQINRETEEAREDVEHSVNGLSLTAVKGR >cds.KYUSt_chr3.39415 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248286522:248286932:1 gene:KYUSg_chr3.39415 transcript:KYUSt_chr3.39415 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGPSAAVVKPARPAAIPSAAAVKSASGRAPMIFHPNGRTPAAVFFATLFAILVLAAVICGSIFLLAMTLHYILEPPIMEVKHATLQSSLVPGAGGKALSASITVQTVVSTGTGVDYTVERYGLRLYFHGQMIGR >cds.KYUSt_chr5.6434 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39786578:39791645:1 gene:KYUSg_chr5.6434 transcript:KYUSt_chr5.6434 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSRKLLLPWPSSTEPVLAPATALRQSDGGEAGVVATKTGRQSSAAGWRRGAQHSPSECFLPAPKVQNPLRPLLPGRRTDCDDQPLLAEANANAAWELHMAETEEAQGVDRLTLLTDDILLSILGRVDHAVAARTSVLSKRWRNLPWLLPELSLHVRDFLPAPSPDLIEAQHMSRAMASLTKSTSSLLGNRRSDRTITRLSLQLYMTGNRSRDIGLLVSDAINREMVKELHLAIVDEKGLNDCENEDMLRRALRVDGFFGAYPSVLRCLTRLHLVNARFAKPDLHHSLFDCGRQLQHLSIENCDTGDCSVWQIDAPDSKLRIPEIYFPCWQRVEVFCLPKLERLSWEGWWYFHAPLLFGSVPALKELYLLNPATPNQRGFSLAELWIQPEGKQLRDAFSKLRKLCIHGIYVEFDLFWTMNLLEAAPSIETFDVEKYIGIIQALIPVVYAEASQHSLDLS >cds.KYUSt_contig_973.89 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:1119916:1120248:1 gene:KYUSg_contig_973.89 transcript:KYUSt_contig_973.89 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLHTQRRWAHRRGGFVTGGTGWSQKAGPVGFMGAKKSEWWAVDGELHEVGEGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDSVITTALSNIARLPPLAMA >cds.KYUSt_chr6.25034 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158588611:158589396:1 gene:KYUSg_chr6.25034 transcript:KYUSt_chr6.25034 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDHSALKKMRVDDAADSVMAEVPAPAPATASSMNQQLFWSQWQLLDSILPTGGFAHSCGLEAAMQSRIVNNPEDLRLFLIQAMENVGSLLLPFVYCASKSPDAVAWVKLDQLLEATLTNEVGRKASTSQGSALLRVAASVFTEIQALQDLRKTFLGSTSVSFHHAPIFGLICGLVGFDCETTQRAYMFVTMRDVISAATRLNLIGPLAASVLQHQVAPDAERMVQKWRDRDVSEASQTAPLLDALQGCHAYMFSRLFCS >cds.KYUSt_chr5.12182 pep primary_assembly:MPB_Lper_Kyuss_1697:5:79394990:79403780:-1 gene:KYUSg_chr5.12182 transcript:KYUSt_chr5.12182 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSYSSSSATAPGGGSASAGLKTYFKTPEGRYTLQCEKAHSAAVVHYNHGGKTVSQLTVAYLKERSTGQGSAPSTPSSSSGMRSAAARLLGTGNGGRALSFVGGNGVSRAVSGSSRMGGSLGTSTSLGGSQAVPNYDGKGAYIIFNSADTLFISDLNSQDKDPVKCMHFTNSNPLYHAFDSEAKEGHDLIIGMGSGDVYSMSLRQQLQEPGRKPVAAQHYNKGDKDGMNLSSRCTSVAWVPEHEGIFVVSHSDGNLYVYDKSKDGSTECTFPAIKDPAQLMVSHAKSSKSNPIARWHVCDGSINAFSFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSSDGKYLLTGGEDDLVQVWSMDNRKIVAWGEGHNSWVSGVAFDPYWSPPNSDGTEENVVYRFGSVGQDTQLLLWDLAMDEIVVPLRHPSGGSPTFGSGSPSAHWDNGLLCHINRAAIKWDMGRIVLETDSSILACAVQGTYYDLSPEGVIFRDIRASLRLNFISVEVAHVPQICNVDAHLLAAFGANQHEIRLLWPDVVLDDVNVVVASESAELH >cds.KYUSt_chr1.39852 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243937581:243943008:1 gene:KYUSg_chr1.39852 transcript:KYUSt_chr1.39852 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLNTVEEDEEESSALAGPDCSSHSHSHSLSLSHHSQASSAATPPPPQPHRAGVCLELWHACAGPVAPTPRKGAVVVYLPQGHLDHLADAASPPAPAAAAAVPPHVFCRVVDVSLHADASTDEVYAQLALLPETDEALRRMRDAAEDAGGGGDDGEEAGKQRVARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTQWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAVQLKNGSAFPALYSQCSNLGSLANVAHAVATKGIFNIYYNPRLSQSEFIVPYWKFTKSFSQPFSVGLRFKMRYESEDAAERRYTGIITGTGDADPMWRGSKWKCLMVRWDDDAEFRRPNRVSPWEIELTSSASGSHLATPTSKRLKHCHPHPEYMGPNGGGCPDFAESAQFRKVLQGQELLGYKAHDGTAVATSQPREARNLQYIDERSCSNGVSNNVLGITRHGVRTPLGVPYHCSGFGESQRFQKVLQGQEVFRPYRGSMVDPRMRSSGFHQQDGPYTPAVANNWHSQQHGFPFGPPAPVLQSQSSMSPPSVLMFQQANSNVSQFEFGLGHLDKNEGDRHARFVSAEGVGRAGQALSLQPHLFPGEVIDGHVTAEKLHTPPDNRDVAPNSCKIFGISLAEKVRARDGIGCGDANFPSPMQSLKQQVPKSLGNSCATVHEQRPVVGRAIDVQQWI >cds.KYUSt_chr2.48834 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305551024:305551926:1 gene:KYUSg_chr2.48834 transcript:KYUSt_chr2.48834 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRLLPSSKPAIARWCKVFFNLQAMPWRPYTLRCDWLRRCDPSGHVPGVVVVDRGWKLGMVNLDRAV >cds.KYUSt_contig_97-2.54 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:236260:236844:1 gene:KYUSg_contig_97-2.54 transcript:KYUSt_contig_97-2.54 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLLQESVDRLAAMAEPPASAAGGAGGPHRDTFLIMAAVLCFLLCVVGLALVARWSRLCNPSAFSVDAMAAKAPCKGIKKKALQSLPTVSWAAPEQSEQQQEVPECAICLAEFALGDEVRVLPTCGHGFHAACVDVWLLSSSTCPSCRRALVVSLPPATEPPTATRCCERPGVALQNSATGVAASRCRSSAQ >cds.KYUSt_chr6.466 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2920111:2923033:-1 gene:KYUSg_chr6.466 transcript:KYUSt_chr6.466 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVGMPALLLLLLLVFTAANVSASTGESPIKNVVILALENRSFDHMLGWMRRLLGLPIDGLTGAECNVDPTNSSLPPVCVSADAPLVVPDDPGHSFEDVLDQVFGSRPNGTADLPNMSGFVRSALSVNTLLSSDVMRGFTPSLLPAFSALAADFAVFDRWFSSLPGPTQPNRLFLYSATSHGAIAHDKLRLLAGYPQRTIFDSLAADGLPFAVYFKTIPTVLFYRRLRTVRNVATSLHLYDPTFRSHARRGVLPPLSVIEPRYFDLTGTPADDDHPAHDVANGQRLVKDVYETLRASPQWNTTLLLVTYDEHGGFYDHVATPVAGVPSPDGLRGPVPFFFKFDRLGVRVPTLMISPWIKKGTVVGRPPNGPTPTSEYEHSSIPATIKKIFNLKSGFLTKRDEWAGTFEHIFTQLDEPRTDCPETLPEVPFVRTRPAKEHGLLSDFQRELVELASFLNGDYMLTSFAQETQKKMTVKQADAYPVEPEVTLRRKEGEHLPGSQVLGVEDHAAPALPDDPKSQQHQGVAHRWDEAMESSGERRATLSQVGRRGGSMRPKAGKPGPQPRTPEEEMQQVLLAVAERAAGSRQDPMPMKHGAVGEVFFV >cds.KYUSt_chr6.3225 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18929234:18929647:-1 gene:KYUSg_chr6.3225 transcript:KYUSt_chr6.3225 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDRGYKDELSGGLSPGSGWIGCWAAREVDLLAGCVGFHGRQAIAAACALNKADWLQCSARWIDRPIGAAGLQELLQRIRSTEEEKKLVQTASPWLGRGFGTRIPPPNARGLQPRRISSAAMLPRPPPRRHPCSD >cds.KYUSt_chr2.852 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5063832:5068332:1 gene:KYUSg_chr2.852 transcript:KYUSt_chr2.852 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRLSALLPRSPAAPSSYQQKHRHILLPTPNEKPPALNLLRLFTSLAGSDGDRPFIAFVLGGPGSGKGTQCSRIASDFGFAHVSAGDLLRNEISSGTDKGEDILEIIREGRIVPSEITVELIRKAIESSTAKRVLIDGFPRCEENRIAFEKLTGTEPDLVIFFDCPEDLMVKRLLGRNQGRVDDNIETIKKRLKVFESLNMPVVNYYSSRGKAHKINATGTADEIFEAVRKLFSSLSLCKAGTGRLYSENSPSEALSIHSWDGGRKREENDGMDRHPDR >cds.KYUSt_chr1.24492 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146332732:146339467:-1 gene:KYUSg_chr1.24492 transcript:KYUSt_chr1.24492 gene_biotype:protein_coding transcript_biotype:protein_coding MEADTQSWTCWAIERISRGAGDREEAVVGDVREEEEDMDEAEAEKGAQDLDTVIDGDRDAAQDRGGGRIRDDAEARPIGTLVTGDTTEITDGLTGVEFELEATQRCKCVLNAVIERFATEAPPQAETSPCLPPCMDAGGNLEGEVLELEEWSQQKHRVEEVSQFDGRFEDESQFEAPVDSTNDEPFIDEGPAPEIIQPTEGGRGPSTQLCVEVDARPEIIRGVRVVSRPPSPDALVDGTDDEPLIDEGPAPEIIQPTEGGRGPSTQLCVEEDAHPEIIRGVPVVSGPPSPDAKC >cds.KYUSt_chr1.40644 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249247823:249251804:1 gene:KYUSg_chr1.40644 transcript:KYUSt_chr1.40644 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNSSSKPPAWAAGSGSGGYESGSDHEGAGGMRKPLLVQNTGSWYRMGSRQSSLTAGTSSMAIMKESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIKDLGLSISEFSVFGSLSNVGAMVGAIASGQMAEHIGRKGSLMIAAIPNILGWLAISFAKDTSFLYMGRLLEGFGVGVISYVVPVYIAEISPQNMRGALGSVNQLSVTIGIMLAYLLGMFVPWRMLAVIGILPCTVLIPGLFFIPESPRWLAKMNKMDDFETSLQVLRGFETDITSEVNDIKRAVTSSNKRAAIRFQELNQKKFRMPLILGIGLLVLQQLSGINAVLFYASSIFKAAGLTNSDLATFALGVIQVLATGVTTWLVDRAGRRILLIISTAGMTISLLAVAVVFFVKDTVSQDSHLYFVLSMVSLVAIVAYVIAFSFGMGAIPWVIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLLLNWSAGGTFVSYMLVTAFTLVFVILWVPETKGRTLEEIQWSFR >cds.KYUSt_scaffold_6468.578 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2798443:2799207:1 gene:KYUSg_scaffold_6468.578 transcript:KYUSt_scaffold_6468.578 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATGALGSLLSKLVELLADEYNRLKGLRKDVEFLESELRSMHAVLRKVAEVPRDQLDEQVRLWANEVRELSFNMEDVVDRFLVRVQGPDDRIKSSHKLKRLMKKMADLFTVGRTRHQIAHAIKDIKGQVEDVAARRDRYRINDIVVNPTATTTIDPRLLALYKDQKELVGIQEACSELINRLANGNNDVSTQELKILSIFGFGGLGKTTLAKAVYHGIKEQFECMAFVSVGRNPDLKKLVKNILFELDQKKV >cds.KYUSt_chr5.27236 pep primary_assembly:MPB_Lper_Kyuss_1697:5:172398190:172406152:-1 gene:KYUSg_chr5.27236 transcript:KYUSt_chr5.27236 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSLGAYHGYDSGFPYFTLKVKGIAPSRRTIHGGCTLETAGPAFDPLGLYKEDSSSSDYQSPLSTFFGILSPVFGSSSGSRKEKSSYGRGAAAAMEDSTIDIGDFFKGPLPGKFLKLLGFLALSRLGVYIPLGGVNREAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKILQYTRYASVGFAIVQAIGQVLFLRPYVNDFSTEWVLTSVTLLTLGSVFTTFLGETISELKLGNGTSLLIFTSIISYLPASFGRTVAEGFQAGNYVGLLTIILSFFFLVLGIVYVQEAERKIPLNYASRYSSRAGGPQRSAYLPFKVNSSGVMPIIFSTSSLALPATLARFTGLEILKKAAIALTPGGSFYLPTNVMLIAFFNYYYTFLQLDPDDLSEQLKRQGQDVPAHGVGQVRRDLALEPGCQLTFLYEGDNEMIVKVFDDTACRRHYHTGESGSDTDS >cds.KYUSt_chr4.1775 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9477674:9490568:-1 gene:KYUSg_chr4.1775 transcript:KYUSt_chr4.1775 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLDAAIESLLNVEKQCRLAGDVAGTRKAAVDIVELCFKDGAWKTLNDQIVVISKRRGQLKQAITAVVQKAMEYIDVTPDVDTRIELIKTLSSVAAGKIYVEIERARLIKRLAKIKEEQGKIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISTRVFDADTSKEKKKPKDGDNMVQEAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKSIYEIPSVKEDTAKWIPVLRKICWYLVLAPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVIQWTKLWEFFKDEYENEKNFLGGALGTKAAEDLKLRIIEHNILVVSKYYSRITLKRIADLLCLSLQEAEKHLSDMVNSKALIAKIDRPMGIVSFRTAQDSNGVLNSWASNLEKLLDLVEKSCHQIHKETMIHKAVLKVEYMPPEFSSHSNVNEKYDVYSLGIIIIQIMAGHSGYNEFREKGDVKQLIDLVNSNWRKGSNATSSEWNQIDTCIKMAIKCADHESKNRPTTGEIMQILKKSERRWFPVYWWGRTVSFIGKLSSHVIQDGAIDQQRRMPQRPVYLPPWRTPLSKLQLQMDSGSKKLLGIQPNELRFSSEANKQASCSIHLTNRTDHHIAFKVKNNNPKRYCVQPNISIVTPQSTCNVSGSVTSLTHQTKLLQCFVNLCTQVDTV >cds.KYUSt_chr6.8227 pep primary_assembly:MPB_Lper_Kyuss_1697:6:50468857:50471104:1 gene:KYUSg_chr6.8227 transcript:KYUSt_chr6.8227 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKVLVSNLDVLAGPLVSLAYPLYASVRAIETKSSIDDQQWLTYWVLYSFITLFELTFAAVIEWLPFWSYGKLFFNCWLVLPYFNGAAHVYEHFVRPMIVNQQVVNIWYIPKKEDSGQPEDVISAAQKYIKQNGSEAFEALVNKILLTIFHILRTFRLGL >cds.KYUSt_chr6.29410 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186402883:186405009:1 gene:KYUSg_chr6.29410 transcript:KYUSt_chr6.29410 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFRPRSPSFHDHGPSPGYQLLNEFKDRLGSETLSPELAHQLFGKLLRQPVKVPERALNGFFSALARAPPSIACPDGPALAIALFKQMAEAGQRPVTAPTIYTYSILIDCCHRARRPDLGPAFFGHLLKTGITADVVTFSGLFKCLGDMKRTEEALDVLLHRMPNDLPDVKSYSIILKSFCDDGKSQRALDLLRMMRKKGSDHSPNVVSYSTVIDGFLKEGEISKALDLFHEMKQQGVVPDVVTYSSIIDGQCKATAMDKAEVVLRQMVDNGIRPDTVTYNSIIDGLCKARAMDKAEGVLRQMVDNGVRPNTVTYNSLIHGYSTLGQLEEVARLLEEMKTQGIMWDVFTCNSFMDYLCKTGRIKEAAELFYSMAEKGHKPDVVSYNTLIDGFLKVGEISKACDLFREMIQQRIKPDVVTYNSIIDGLCKASEIDKAKVVLRQMVDNGVQPDIVTYNSIIDGLCKARAMDKAEGVLRQMVDNGVWPDTVTYNSLIHGYSTSGQSEEVARLLEEMKTQGIMWDVFTCNSFMDYLWKTRRIKEAEELFYSMVEKGHKPDVVSYAIMLHGYATEGSLVDMNDFREQMVRDGVVPSQSVYTILIGAYAKCGKMDAAMLVFEDMLKHGVNPNEVTYLIVIAAFCRMGRMDDAMDKFSEMIDMGVPHDTCVYECMIKGYFRQGDLVKANELFSEMKNKDIRRRPQKGSGGTYYV >cds.KYUSt_chr1.10397 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63701782:63705157:1 gene:KYUSg_chr1.10397 transcript:KYUSt_chr1.10397 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVQAKERLPADLLPHNVVLYQYQACPFCNKMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMVRIPFLLLLPSQLMCSAPPESQLHEMRFLVDLS >cds.KYUSt_chr4.36883 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226881450:226883346:1 gene:KYUSg_chr4.36883 transcript:KYUSt_chr4.36883 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARPEGMAAAAQRWTAGGEEILDANAAMETDRRPCRRKLKIPGISPFPPPTPAGRRKMNAIPWTQ >cds.KYUSt_chr6.29260 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185339943:185347620:1 gene:KYUSg_chr6.29260 transcript:KYUSt_chr6.29260 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVALHYISPPSTFNVLLGSYCMEELEMEQLLFLATSAGQGERPSIELSMLKKNALITSACPSLLPRLPPWKSSKEEQQRRAKIAARQHALPAEKQSTTS >cds.KYUSt_chr6.26629 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168824158:168825858:-1 gene:KYUSg_chr6.26629 transcript:KYUSt_chr6.26629 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHANAGAAAAGGGEGTQRTLNPYVTGNSVIAMKYKDGVIMACDTGASYGSTLRYKSVERIKAVGKHSLIGASGEFSDFQEILRYLDELTLSDHMWDDGNSLGPKEIHAYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGDEKYLGMVNMIGTHFEENHIATGFGNHMAVPILRGEWREDLTFEEAVKLIEKCLLVLLYRDRSSINKFQIAKITTEGSTIYPPYALKTNWGFAAFENPSQGAVGTW >cds.KYUSt_chr4.26519 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166514075:166515914:1 gene:KYUSg_chr4.26519 transcript:KYUSt_chr4.26519 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRFRLLLLLRACSLLFLELPSASASVSGKPTVHDDLDPAQVTNPAMPITVPSTNPAPTIITVPSTNPTIPIPSLNPLPTPIPAPSTDPTTTLPLPTPSTSAPAAPAVTNPVTTPSTFPPSAPFTNPAANPMVPAIGSTPPTVPVTTPVTAPAASGQQSWCVAKAGSAKAALQDALDYACGIGGADCSPIQPSGSCYYPNTLEAHASYAFNSYYQKNPAPTSCNFGGAAMLANANPSSGTCVLASSMSSPTSSTAGYNPGSTAPTTPSTNPVTGASGSDPGSSVLNASGSGISGSSDFGPGFPGEGNNSNSWRSILPSGWSWAGLFSMIALPYVGGLF >cds.KYUSt_chr4.12240 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74543659:74545554:1 gene:KYUSg_chr4.12240 transcript:KYUSt_chr4.12240 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLCAAQKSALRHVTLVHTQLHYRHLERARGAGASAAWFDVEVIPDGLSLEAPPTTLEAHLEALERNCLQPFKELLRALANRAGAPPVSCVVADSPMSFASLAARDVGVPDVNFFTASACGLMGNWLQKRRQPRRPGRLDAGDEGHAAQGDKDMPTFCHTAEADDALLRIHLHQMRVLAGSRAIVINTFHDIEMDVLGALAAILPPIYTVGPLSTIITSLPARGAGESTYASNLLEEDKECMAWLDGKEARSVVYVSYGSHATMSADKIKDFASGLARCGSPYLWVLRPDMAVDVEVGHDGLVVPWCAQEAVLAHPAVGLLVTHCRWNSILEAVLAGVPVLRWPMISEQTTNCRQVRTSWNIGAELPQEAGSDEIAALVREMLVGKKGVEARERTLEWKRLAQDAPKEGGSSYVNLDKFVEDVLLKGM >cds.KYUSt_chr1.9791 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59850848:59853908:1 gene:KYUSg_chr1.9791 transcript:KYUSt_chr1.9791 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDMLMEKVLCMNIVSSDYFRELYRMKTYHEVIDEIYYHVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKILWTWYEPYLKDDEEFSPGSNGRMTTMGVYVRDLILGQYYFDSILPRVPVPVVREVTANLEKMKLPTKLSGATGDSSRHGSEDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTFTQDDHRRSSSPFRRSRSRDSPLNGRSSCDRDTNRSSRDREHDRSSRDKDYDRDIRDYDRGSRDRDYHRSRHSEERRDSRREHDISRHRRSSSRHRSRSRSRSRSRSRSRSRSRNEPRSSPFGDTNKEKATAASNLAKLKDLYGDVTEKKDVGDAKPRHHDSCAEEVIMLGGSRWR >cds.KYUSt_chr4.10747 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65300926:65302728:-1 gene:KYUSg_chr4.10747 transcript:KYUSt_chr4.10747 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGINYLQLGILLFTATPHSPLYKPHAAHVSLLPTQPPLLAQLQLVASIAAPRIYLTMGACNSCEATAVAAVTGSAAVGEATAARVVLADGQLQRFPGGTLRRLCVLDLVDDDRPGLLSEVFAVLHDLRCGTVDARAWTHGSRVAALVFVREEDTGAPIHEPSWIRRTEFRLRHVLRGGARGARTVLVDAATVRNLDRRLHQLLNKDVEAGRCAPTEGPASIPRGRGEGRGGRGISEEGGGYAGDRGRRRPGQRGHEGLFAGLCGSAGSLRDIPHRLQPDTLASHALKAAAAAVVSGACFLCSADGLELGGSVAAVAHDEELQTGQLYFILPAATRRRPLQAEEMAALAIRASAALAGDHDGPLVFPDSATGVGAARSGVKGSRRRSRRTPSLGRDFVTDLGAIAE >cds.KYUSt_chr2.33833 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209076337:209084903:-1 gene:KYUSg_chr2.33833 transcript:KYUSt_chr2.33833 gene_biotype:protein_coding transcript_biotype:protein_coding GKFAADEILELILGHSHFLSSITYSVVSEYQSAFSATGSLLQPAPSILRSVDSPPTQENKFQICVAEKRKIEIIRLLRVLYDIKTRQQNNSLLNESRELCFLLLSVYGATLSETDLEILHLMNEIESYECKTIAEVDHLWGTAAVKYREELKLDYSTSEIHKTENTESNGRRRALFRENMPIDSKLCVTTVLQFCYKRSSRTSVFSLEQLRQDNFCDILKTTPRSVNMVQIYDPMFILRFSIHALFMGYIEPAEFSRVGLLAITFVSISSPDEELRKLGYESLGIFKKSLEASQKSKEKWHLQLLLTYLQNGIFEPWQRIPSIIAIFAAEASLTLLDSSHTQFNTISKFLMNSASVNLQSIPLFPTLLKSSSVNFKADRLWILQLLYAGSNLADDATICKRGSVLELFLAFCSSAVSDSESKRLILQVLTKCVKLPVLAQHLVKDCGLLSWISSVISSHDETLGSVSNSSSRIFGLALEVLNTLILSRFVTEWLQETALEQLSEISKYLYLLVEEGKLFKGNIPILTSMLNVIASTMRLSMKRKIYQPHFTLSLHGIFKLCQAIDCHSRSTELKLTMELGTDVVLMNGPLPILSEKDKSRTVMVVSWVTSNIFWFSNKKSVLEMPWEEPIKNECLLSKILRWLVASVILGRISCISPENSNCPGTLQTFLNRTYERVEMVDSHVANERLAVIILYLQDRVKRNSDTLPSVVTALCLLLLDRCSKQDLVDSRGQIERLCSKIHCPAESNPAWR >cds.KYUSt_chr1.30212 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182869125:182870027:-1 gene:KYUSg_chr1.30212 transcript:KYUSt_chr1.30212 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNLPAASTVVGMFKSNGINSMRLYAPDQAALQAVGGTGVNVVVGAPNDVLSALAASPAAAASWVRNNIQAYPKVSFRYICVGNEVAGGATQNLLPAMKNVQGALASAGLGHIKVTTSVSQAILGVYSPPSAGAFTGEADAFMGPIVQFLARTGGPLMANIYPYLAWAYNPSAMDMSYALFTASGTVVQDGAYGYQNLFDTTVDAFYTAMAKHGGSGVKLVVSESGWPSGGGQAANPANARTYNQYLINHVGRGTPRHPGGIETYVFSMFNENQKDNGVEQNWGLFYPNMQHVYPISF >cds.KYUSt_chr1.27667 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166726500:166730370:1 gene:KYUSg_chr1.27667 transcript:KYUSt_chr1.27667 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSRLDAADVSPVAALCRERRDLICAAGDRRAALAAAHAAYFRALPRVADALARFAHEHHAASPPGSPVLTLPPSEPDEPGAKKRSASSSTPHTDSGHSHLHFHSDSSDSDPDDYACDDEPGHGPGRAEIPPPAQHRQIPEPRPSRLEMPWDGRPEMPWEARQEMPWGHGPSYSSYPSSFPNVAVPSYHYMRASSAQPNTVYQEPYGYSNFATPSMPPSYSGYDYGYTNPMYGVPAPPESPAPAPPPPMPAAEVSPWDFFNPFDSYSQDIPHYNNGVAPNGSFSSSPNSSEVREREGIPDLEEETEHESMRMESLKARKAVESTASNRIDNVDVAAKVKVSMEHKECEIESVATSSVLGSGDESVCSCDCDNPNANANANAGKGGATPVGDDPGKVKRVSSDEHSSMVIGEDVAQPSFGTRDVAEVVEEIKEQFNSVVACGEDVARILEVGSIRNWIISQKAYIETLNGWLVKWLPEEKEETSDGIAPFSPGRLGAPGVFITANDWCQTMKRIPEDAVVDAMDAFAGNVHILWERQDEAQQQKMKSEYLSRDFAKRLKSHQKRQGLPEHPEVGKVVVPNAGNDRAVDSRMVALDALHTRLDEQRARHEETVKQIQESSATDLKSGLAPIFEALESFTAVTLKGYENVRIPTDSGRV >cds.KYUSt_chr3.37855 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238166300:238169195:1 gene:KYUSg_chr3.37855 transcript:KYUSt_chr3.37855 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAMAFQALTFSPLPLHLPTTRRRVRALAVTADQPPQSPPSEPANSPSRLLRELAERKKFVSPKKKHPPRRFILKPPLDDERLTQRFLNSPQLSLKSLPLLSSCLPSSPLSAADRAWMDEYLLEAKQALGYPLAPSETLGDGDDCPARHFDVLLYLAFQHLDPSSERTRTRHVRNGHSRLWFLGQFVLELAFSEFFLQRYPRESPGPMRERVFALIGKRMLPRWIKAASLHNLVFPYDDLDRMIRKDREPPTKAVFWALFGAIYLCFGMPEVYRVLFEAFGMDPEEESCQPKLRRQLEDVDYVSVEFEKRQLTWQDVAAYRPPEDALFAHPRLFRACVPPGMHRFRGNIWDFDNRPKVMNILGYPLPKNDKIPEITEARNIELGLGLQLCFMHPSKYKFEHPRFCFERLEYVGQKIQDLVLAERLLMKHLDAPGRWLAEKHRRLLMNKYCGRRDCQLEKMQGRQNPSADRTVEVWNQSRTAD >cds.KYUSt_chr4.20787 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130889159:130890870:-1 gene:KYUSg_chr4.20787 transcript:KYUSt_chr4.20787 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDVVGAPSACQSGLAALAAGLLKRFTAAMATAGGNLVFSPLSIHVALALMSAGAGGKTLDQILAVAGAPSRGELEAFVRDTVVERTLADRSATGGPCVAFACGVWSDKRFQLKKAYRDTIVQIYKGDAWTVDFQNHPVEARKQINAWVAKVTRNLISEVVNPQAQSKETLKVVANAIYFKGEWRQPFDKELTVDHRKFHLLDGSPVKAFFMRRLRLHEEQIACHDGFKVLKLPYKAIDESSPGFHWKQLASLPKFSMCVFLPDARDGLQSLLNRMTSRPEFLHEHLPTSLVPVGDFRLPRFKLTFQSSIVDVLKSMGLRLPFEPDTMDLTEKMEGAQLYVDDVIHKAVIEVNEAGSEAAAYTESDDDMGFSLFGDEPPPPKPVDFVADHPFAFFIIEETTGTIVFSGHVLDPSKEE >cds.KYUSt_chr4.51136 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317008348:317008647:1 gene:KYUSg_chr4.51136 transcript:KYUSt_chr4.51136 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAISVQLGTSKPQIVTQAEMAEARVPIAYSDQCAHLLIPLNKCRVSEFYRPWQCEPERHAYEKYVDQDINSIHTTILLEMRVKCTFGPLSLAKV >cds.KYUSt_chr7.13423 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82831324:82837241:-1 gene:KYUSg_chr7.13423 transcript:KYUSt_chr7.13423 gene_biotype:protein_coding transcript_biotype:protein_coding MYTCWIDAWSVLQKTTRLAPYTRAWYSVVAKHHSTFIRLSTAAIVFSCVTGSSFFNPSCAWISDSDSEAAMAGRGNAASNNSALIAIIADESSRLQQPMNPNLQFSENLNMQSKSEETTVKQIEDAFKEFTARDDIAIVLISQYIANMIRFLVDGYNKPIPAILEIPSKDHPYDPESDSVLSRVKHLFSADSSSERR >cds.KYUSt_chr3.31816 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199889436:199897271:-1 gene:KYUSg_chr3.31816 transcript:KYUSt_chr3.31816 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYTSDQGGVTDGIISFCPTVGVKCHGSIDTYAIDGTLQHREKFCPVFGGMHAGIILTWGNHNWFVSTMPIEQSKKKNFEDKGMSMASMVAGGDGTAGWLLGVVSDLKLVHPPPVATVPLGTGNNLPYSFGWGKRNPGTDCESVISFLQLVKEAREINIDSWHTVMRMKCPKRSPCDPIAPSDLPHSLHAFHRVPKTDPQDMEYSYTYRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLSNQKTYLKLACTQGWFCAKLSHPMSRNIAQLAKVKIMKKSGKWENLEIPQSIRSIVCLNLPSFSGGLNPWGTPSKRKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSPKGHGTRLGQAHGVRFKFHRGAAEHAYMRLDGEPWKQPLPQDNGKVVVEISHAGQVKMLATKDCIAKGIHESPAMSAVHTDSSSSDDSDDDFTEERRNFGAALSFHYLSDVKKTVELAS >cds.KYUSt_chr1.38901 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237783775:237784896:1 gene:KYUSg_chr1.38901 transcript:KYUSt_chr1.38901 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSCLVDDASSGASTGKKASPAALKPLQRVGSGASAVMDAPEPGTEADSGGAGRGGRLPSSKYKGVVPQPNGRWGAQIYERHQRVWLGTFTGEAEAARAYDAAAQRFRGRDAVTNFRPLVESDPDNAAELRFLAARSKAEVVDMLRKHTYPEELAQNKRAFAAASPSPPPHQNPATTSSSVVARDHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPAGAAVSGECKGVLLNFEDGTGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDAVGFYRSAAPGNNDQLFIDCKLRLKTTTATTTFINAQAPVRTVRLFGVDLLITTAVPRPAAPEQDEYDMAKMSKRSRDAGTVGAVWKKRCIDFALT >cds.KYUSt_chr1.24412 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145685600:145686841:1 gene:KYUSg_chr1.24412 transcript:KYUSt_chr1.24412 gene_biotype:protein_coding transcript_biotype:protein_coding MACEPPPPPSKSPPAAPTTISALGDDLLREIFLRLPSLPTLVRAALACPAFLRAVRSSPAFRRRFRDLHSPPLLGLFLDFFDSDTPAFRPVRLRSDPDLTAAVRGADFLLTRLPDDEGFAPEWSIKDCHDGYVVLISYNRTDGLAVYNPLTRSLHLFPTPPDEICANMCTEYHVLSSEEDQGPFRVICVGSEDYGAQAAILSSETREWQIFPLVFAPNMRPVLQPLDEKYTPDNGKLVNGSIYWVAESLATARVLNTATMQFSRINLPHVKGQEALVAGETRDGKLCVICTVKLTLVVWLWGTDNDGLERWMLDKTFPLEQDIDGLRGSFMDDHVILKVIAIEDGFVYVSAYCEVDLKLPGWFLSFCLETEELNKLCPIIHDDMYPYIMAWPPSLVLDKVNPRLETLFIGCLL >cds.KYUSt_chr2.19871 pep primary_assembly:MPB_Lper_Kyuss_1697:2:125131904:125132971:1 gene:KYUSg_chr2.19871 transcript:KYUSt_chr2.19871 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGAAAATVQPAAPGAGAGAGAGRSSSTSAGGAGGGGVADPRAEALRCPRCDSANTKFCYYNNYSLTQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRSRSGNGGGRPGGSCAVAAGGASSNAAGGGLASSTSSMSMSLQPPGSLSSALGLHGHGGSSLASLLLGSGGDHLGLFHAMQSAVSDATAYEMQQQQHQSQVDQLLGLGYGANGSQIQMKPWQQHFQDGAAGLFDGFYAPLLSGSIVPGLEELHVKAEATAGEQHNHQEKKAADGEQTWDQQTTPSSNVEANIMASDALMAAAAAASMNPAVSNAATAPTSSSLMYWGNGGIGGSPAPWPDMANCGSSIATFF >cds.KYUSt_chr6.21039 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132782780:132784237:1 gene:KYUSg_chr6.21039 transcript:KYUSt_chr6.21039 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIKAAAAAGSMVKLALAGGTLWFGASKTLYNVEGGHRAIVFNRFEGIKDKVTSPHTYPYLPDLLVAPLSPIRWISRWSHLRSLAGLPRENLAARPGGDLGVTGGSSDGASATPSSLAHYRILSPIGSGWELFVYGASWVSWKLEWLEFFVYLFPVSESRHLTLLGIPLVRSLVNVLTWAWSRFVQVQVGCHGFWFPDGEKHVVKLHGCITIAVEI >cds.KYUSt_chr4.3408 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19370289:19381298:-1 gene:KYUSg_chr4.3408 transcript:KYUSt_chr4.3408 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLAGHAPRAATLRRVEDYRGRVIAIDASLSIYQFLVVVGRKGTEVLTNEAGEVTSHLQGMLNRTVRLLEAGIKPVFVFDGEPPDLKKKELAKRSLKRDDASKDLLSAIEVGDEDSIEKLSKRTVKMTKKHNDDCKRLLGLMGVPVVEAPGEAEAQCASLCENHKAYAVASEDMDSLTFGARRFLRHVTDLSFKKSPVTEFEMSKVLEELGLTMDQFIDLCILSGCDYCENIKGIGGQRALKLIREYGCIEEVVPNLNKRYIVPEDWPYQEVRALFKKPNVTTEIPDFQWTSADKEGLVNFLAFENNFSSDRVEKAVEKIEASRDRYSPGRVKLLTPVADVQGSTTEKELPLLLIIEMAAIVEGPTPKYECLLFDLDDTLYHLSTGINLACRKNIQDYMCGHLQIEESQIAEMCLELYREYGTTMAGLKALGYEFDNDEFHANVHGRLPYDNLKPDPVLRTLLLSIPQRKIIFTNSDKVHAEEVLLRLGLEDCFEGVICFETLNPPAAPSNGLCHSQEDYVALSREPASDLDYLNGSDVIPKSPILCKPTIESMEAAIRIANVDPRKTIFFDDSTRNIASGKAAGFHTVIVGRAELVPGADHALESIHNIKEALPEIWEGQDWSACDADAATPAAVEMAIVA >cds.KYUSt_chr5.31029 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196462910:196466363:1 gene:KYUSg_chr5.31029 transcript:KYUSt_chr5.31029 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPSPPPPPPPRGVLSCLYSSCDSASHESKDMPKLLLDLIPASYREAANRLPDDPRGLDLHGLMSGAGNCVGLLDPVSNILLNTICELGASPSDPPPKRLKTTAVPKFSGKKKWHAIALKSCRGLIEFMVRYFGCLSKDQATRYLYWARADITLAVMLVERELGPLALEHARPDPSSERTQAALKMAALEARHPTPDRLVRLASSRIPHEDLSFLKVALLDSGRILHPDHVKAMSDILCRLDTPVCDAKLTILPHGGGAVFCVRKAGGTLVDEGITQKTSDLGDGYTTTTTRFQRVGDYTASLRPLEDMQSMVSDCFLKADAEKLRLSIPCGSNCEYLKSLQMRLLQMIQSFYIQVFSMLPTDHKHMIRSILVAGHCYGPGDPVSNIIINSIWYENCCPLPEADRKGIQAYDILNTLCMLRAQTRSLKGLLCLASTLYPESSEENRALKALCDERCDLSGRLRGLEGFPSRSPSPFHMAAEAAEHPLPAGLALLHEQLAQAPRKLAELCSVVSANSVLSADDFKRIVALLDSVFEITPPVKKDMSARAPKLFKEALNTLSRKRTAHGCKSEFIRSKLAQLLDDYNSQNISEPKYVLNFICGVEESGASFTACYRVNFMATSALQLENTLFFAEFWVPHPNLTKPNFCCPLLKPYPGRCYFGCASARKIVYPSSTEYFVKDITVYGTNATEDMLDTDFVYFNSIRDFKFAKEVNSYYAPGFRVQSRPIVLATDDELKILGL >cds.KYUSt_chr2.5699 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35282130:35283592:1 gene:KYUSg_chr2.5699 transcript:KYUSt_chr2.5699 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGREEALKPFEERASHAEARLAKLEALLLSKDGLGSGSETSSAAAKDVLSKLDAVSAECLAEKEKNRKLTMENEKLQYRISHLIRAVKEADSKQKSL >cds.KYUSt_chr3.6677 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38355916:38368019:-1 gene:KYUSg_chr3.6677 transcript:KYUSt_chr3.6677 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDGEDNADLSSDDSPWSDTAWSEDDDDEVRHSSHSPVDFDVLSRLFSSTSPSSLSDKPDGFAGLFELLQGSLSLEDSGNEDSGEGSGLESDDGLEEEAEDAEAGGESDSSEDEAGPRNTVGDVPLAWYKDEDHIGYDIDGKKIKKRDREGRIDTLLRNADSDTNWRKVIDAYNDEEVQITKEEAKIISRLLKGKTPHASVDPYPDYVDWFEYDGKGHPLSNAPEPKRRFVPSKWEQKKVVKLVRAIRKGWIKFDKPKDEPDYYLLWGDDTDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYVPTQEEIDSYQLMYEEDRPKFIPRRFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPRTCYLEFKGHSGPVRSLSVEATGQWIASGSSDGTIRVWEVETGRCLKVFNVGADVHRISWNPSPDKPVLAAIVGHDLLLLNAEVGDEETQMRTKQLLHIDESAPEEDADDKKPAVRWVRHDKFDGITLIHHKLSKKHSHHPFRKLPGLPVAAVFHPTQKMFFVATKKFVQIYDLQKAEVVKKLESGLREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTKPYKKLKNHSKDITNVTFHRRYPLFASSSEDCTAYVFHGMVYADLNQNPLIVPLEILRGHLSSDRRGVLDCKFHPRQPWLFTAGADSILRKPKRRLRKAQRQLEKAMNDPMSDENEATTKEMANLIELLLEQDEVYWAQRSRANWLHQGDMNTSFFHNYASARRKKNTITKMKNDNGTWIEERISRIPLNSGFDDFIAWGATSYGRYTHVITEALAVDRSASAILEHIMCTPDNDIQGFTTVNLKEVVITTCWYLWWLRRQRTHGESTPPMGIDTSVMGEAVALSNGLDLVIRMGCNRLMIESDCSEVVEAFNDRGQWWSAQTTIFTECVDKMATIGWVSGSFEAAPRRESTAALPQLPSKMAEGRPLQPSSSTSVLSGRRLKEKSNLQASRPRRRPLNPTAVGFRRLAPSGSVPAALRLTALR >cds.KYUSt_chr7.34705 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216689406:216690660:1 gene:KYUSg_chr7.34705 transcript:KYUSt_chr7.34705 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGARGRGRARRKLVLGREVDLISTLPDDLLLRILHLVPCTRGLCPARGCARAAWINTTLSTRWRALLIHLTDLTYHDARPQSVLSSIHRRVAHALPPPTAAAAANLNPPVQPPAIAVPHLDICVPSPYHNRPILTTEQRSHFEKGVRSLLGAAAKLAPSDLRFAVGGDPYPGGIQVNVPCFHRATSIELQGLDLAFAESSPKCFPSLQRLVLSGCRATISNWVRRSPRLLVLRVSTVTNDGLKIIIVRSKSLQELSVETKIPFLRNKPPLLDNVNIEAPLLKQLTMSFAAGGYYNNLLVSVSAPLLEKVSWKCAYFTVAAGLGRWGLLHVGLKPVETNGQGAAQELRFKALSVSPYWSYAS >cds.KYUSt_chr4.7227 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42747751:42749469:-1 gene:KYUSg_chr4.7227 transcript:KYUSt_chr4.7227 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVARSSLLALALVLFLCLSLAFASREEVGWRRGEEEGRREEWGKGQSSSEDGRPYHFGEESFREWARTRHGHFKVLERFDDELLRGSVGDYRVACLDAAPRAFMQPSHYDADEIFYVKEGEGVLVVLRNGRRESFCVREGDVMVIPAGSIVYSANTHRSRWFRVVMLLNPVATPGRFEEFFPIGGEGLESFFRAFSDEVLQAAFNSRREELESMRGQSKGEIWQASEEQIRELSRSCSRGGRGGGSSGSSKEEEIKPRSLPSQKPLYSNKHGRMHMITGDECRHLRDLDMEVGIANITRGSMLAPRYTTRATKIAVVVEGSGYFEMACPHKTDSSGRSERRERSEHGREEEEEQEQKKSRGYRQVRAQIKEGSVIVLPAGHPATFVAGNEGNLAVICFGVGASNDEEVFLAGRNSLLKQLDAPAKTILFGEQGREAADKVIGAQTESVFLAGPQQQRGGGVSDM >cds.KYUSt_chr5.35110 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221969923:221972659:1 gene:KYUSg_chr5.35110 transcript:KYUSt_chr5.35110 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAHQARTGLAGPKLARKALLPSCSRPAAAPSPPIRRRHTISAAREHRQRTVDAGSPPSPFGPRLGQIWVEQGPPAPPAFATPPPSSSAAVPPPTRRAGLKPPRRTAASRAAPRRQGAPAAPRVESAPPPPTPRAQRPAAHAGGDGRGEEGSVDEPDEAQDTVADEPDEAQDTVADETDEARDMVADEPDEAQDHQRLKWGKVKRISDTLKPSMTLANKIQRLQLCVSMLDENFDEKLPSKFKEMDNIVHIDEKWFDTTRMRNTSYLYPEEPKPLCSAKNKNIIGKVMFLTAVAKPRYSEDGVVTFDGKIGTWAFCQEYAASAASSTSGTTTCPSPTPQRTAPPLCAMLRDCESRAARGNRLIRAGYGGWLLYTAIAVGDAGFVRELLASWCSARESTVSPTSSTLPPGAGDRRPPQRKRNQGNREKFNPGQKSPIFLVGSGGAS >cds.KYUSt_chr3.46118 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290346129:290346413:-1 gene:KYUSg_chr3.46118 transcript:KYUSt_chr3.46118 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEGDWSSSERRLHVSARSADRSMIPEWASLPPELVQGIAYCVLSTDGGVDTYADMRAVCPSWRSAIANPSPSATVSVHATGSCWIIRHNFHD >cds.KYUSt_chr7.30916 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192559802:192568608:1 gene:KYUSg_chr7.30916 transcript:KYUSt_chr7.30916 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADGDQRWLVECLTATLDTARDVRAFAEESLRQASLQPGYGAALTKFIKHHWEEQEENFVPPVVSASEKVVIRQLLLTSLGDSNGKIRTAIGMAVAAIGQNDWPEDWPELLSFLLKLIGDQSNANGVRGALRCLALLSDDLDDECIPKLVPELFPSLYIIISSPHLYENSLRAKAVGIVHSCISMLGSMSGVYKRETLSLMTSMLDPLMEQFSIILNSPVLSQNPDDWSMQFEVLKCLLQLIQNFPRLPEAKISAILAPLWQTFVSSFKVYHLSTIQASEDVDSVGYDSDGSERSIESFGIQLFELWTTIVGNSLLAKVIAGSIKELAYYTISFQQITEEQVQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYEDYGIDSILEASQICFRESCELRQAGSADWWRLHEASLFALGSLSEHLCEAQDCGYNVRDLLEKMVIDIMGTGVQQYPFLHARAFSVVAKFSSLISKGICEQYLCNAAQAIASDVPPPVKVGACRALAQLLPESTQSSVQQNIMGILSSLVDLLRQASEETLHLVLETLQSAIKSGGEQSTSIEPVISPIILDVWAQNIADPFISIDAVEVLEAIKNAPGCLQPLVSRILPTIGSILSKSKIQPDGLVAGSLDLLAMILKNAPAAAVKAVFDTCFTFIIQITLESDDHGEMQNATECLAAFISGGRQELLAWGGEPGSTLKMLLSAASRLLDPELESSVSLFVGSYILQLILHLPSHLSPHIPELIAAIVRRMQSSDIAGLKSSLVVIIARLVHLSAPNVDQFVNLLLAIPAEGYGNSLAYIMSEWSQLQGEIQGAYQIKVTTTALAFLICTRHPELSRIEVNGHLVKTSAGITTRSKARVTPDHWTKIPLPSKIFSLLADTLTEIQEQVGDDADNVCEEDSDWEEVQNGDGIPHDMLHAASVPSNANPSVEHLNAMAKVFDEDEDDSYDDDLAKTDSLNEVKLLDFLTNTFVNLWESDRPLFEYLLQGLSNPQKVAVEKVLRK >cds.KYUSt_chr6.9967 pep primary_assembly:MPB_Lper_Kyuss_1697:6:61348295:61349064:-1 gene:KYUSg_chr6.9967 transcript:KYUSt_chr6.9967 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVDRSSPGGAFACFPSFPKYGGQNCPHDFGRTTKKLPPRGLGLEIPAAAAAVRRRCSRPHPRPRPALSFSSQASPPSLPGFPLPKSRPKHLPCPLPPIPGGAQQGHSSATAPARSEHSCARSEVAFRFPDADGGGFDEIGSEDMEKIAGGGADRDRARASPRLNPCVRSRASPRLNPCMRPSPAHVVLSLQRTSPTPVFPPPTLFVDPQQDLRRQKFPGTAAAVLKGRA >cds.KYUSt_chr3.10124 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60019673:60021556:1 gene:KYUSg_chr3.10124 transcript:KYUSt_chr3.10124 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAMACLLLLALGWATSAAAWREPVPWSADMVAAKGCDKKKHGKGGGVGAGGGAGGGVGGGGGMGGGGGFGGGGGVGGGAGGGMGGGAGFGSGGGVGGGEGAGLGAGGGLGGGAGAGLGAGGGLGGGAGAGGGGGAGMGGGGGFGGGGGAGGGMGASGGGGFGGGAGGGMGSGGGFGGGTGGGMGAGGGLGGGAGGGDGGGIGSGGGLGGGGGGGGGAGGGGGFGGGAGVGAGGGLGDDTGLGGGIGGGAGGGAGGGLGGGSGGGIGGGSGGGFGGSGGSGGGIGGGSGGGFGGGGGAGGGKGGGFGGGAGGGKGGGFSGGVGGGMGGGSGGGIGGGSGGGFGGGGGAGGGKGGGVGGGMGGGSGVGVGGGSGGGFGGGGGMGGGSGGGIGGGSGGGFGAGGGAGGGVGTGVGKGGGFGGGVGGGMGGGSGGGFGVGAGSGAGMGFGGGAGAGVGLGDGLGGGGGLGDGGGTGGGFGAGSGIGGGFGGGGGVGGGFGGGGSGGGFGSGGGVGGGMGSGVGGGFGTGSGGGIGGGSGFGKGTGSGGGIDRGSSKGVGRGGSPGGGGGVGKSVGTTVGLGAGGSTGGDGRAGHNIGARASGGGRNHGGKHHGGSYNSRKITKLGCLN >cds.KYUSt_chr7.36271 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226729827:226735891:1 gene:KYUSg_chr7.36271 transcript:KYUSt_chr7.36271 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQPSKIVKIPSIVQELVASVEEPPSQYVLPQQDRPSVAGSEMPEPIPIIDLSRLSDSDNSADETAKLQSALENWGLFLVMKVTREFYKLPLEEKQKYSNFVDGKEFRVEGYGNDMVILEKQTLDWCDRFYLVVEPESRRIYTLWPTQPPSFRDIMCEYTARCREITNLVLKNVAKLLNLHENYFVNMLDENATTYARFNYYPHCPKPEQVFGLKPHSDATVITIVFIDDTVSGLQLQKEGFWYNVPMVPNALVVNMGDAMEIQSNGFFKSPVHRVVTNAEKERLSVVMFYSMDPEREIEPAAELVDEKNPRRMDPTKILTVRFNFGGEFVHIGKELDYVGGDEAISEIERDKLSLHEVVGFLKDHMEVKESMKLYFLHPGKELVNGLVLLYDDLGCLKMSEHTIDGGVADIYVEYHGQEDVVSISSGSDFEHEIAGLADCESDISDVPEVMTAADDESVPTSTSVGQLENVLVPDDYVLTQVMSCPDKNASGRRSFAQNYVRRDIDTGSQGMTGNSQVINSSMQSQVEIPFCQDIVLRDADIDGTEKIGEEYSDSEDSDYVPHSDDSGEESEVVDLRKKAKQFKTKMRASQRWVDGENATGAVSIDLVANVEEVLEEINKEAEFETSDDDYSYDEEEEGHIVRKKTKYPRFDPNTEIPLFCLSYFVSSREMNLLSSCNA >cds.KYUSt_chr2.40892 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253996857:254003142:-1 gene:KYUSg_chr2.40892 transcript:KYUSt_chr2.40892 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDGARSPTRMLAEGHLRVATGGGAPADGGIAVRHLPHHHHTAKKGVGAKSEENNHGDAEFSPSQELDKLVNGTNKVPATLDDYRKLVVPVIEEYFSTGDVQLAASELRNLGSDQFHYYFVKKLISMAMDRHDKEKEMASVLLSALYADLLGTFKMSEGFMMLLESTEDLSVDIPDAVDVLAVFVARAVVDEILPPVFLTRGRALLPESSKGVEVLQIAEKSYLSAPHHAELVESKWGGSTYFTVEEAKKRIQSILREYIESGDTDEAFRCIRELGLPFFHHEVVKRALILGIENPSSQPLVLKLLKESTAGCFISSNQVSKGFSRVAESVDDLSLDVPSAKTLFDKLLSAAISEGWLDASFSKSAASDEDHVNASGEKVKRFKEESGHIIQEYFLSDDVPELLRSLQELSAPEYNAIFLKKLITVAMDRKNREKEMASVLLSSLSLELFSTDDIMKGFIMLLQSAEDTALDIVDAPSELALFLARAVIDEVLVPLNLDDISSKLRPNSSGSQTVQMASSLLAARHSGERILRCWGGGTGWAVEDAKDKISKLLEEYNTGGDLGEACQCIRDLGMPFFNHEVVKKALVMAMDKQNEASILALLQECFGEGLITINQMTKGFARVKEGLDDLVLDIPNAQEKFGAYVELATERGWLLPSFASAP >cds.KYUSt_chr1.10217 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62706383:62709206:-1 gene:KYUSg_chr1.10217 transcript:KYUSt_chr1.10217 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVEAAAEQVVAQLREDCATPAARLDGVAAAMEEEMRAGLREEGGSKIKMIVSYVDNLPNGSEEGLFYALDLGGTNFRVLRVQLAGKDKRVVKRDSREVSIPPHLMSGSAAELFGFIASAVAKYVADEGVNRALDGKQRELGFTFSFPVRQTSITSGTLIKWTKAFAVDDAVGEDVVAELQMAMEKEGVDMRVAALINDTVGTLAAGSYNDEDAVIGVILGTGSNAAYVEKASDIPKLEGELPKSGKMVINTEWGNFSSSCLPITEYDQALDEESLNPGEQIFEKLISGLYLGEIVRRVLLKIATQCSIFGDVSRTKLTTHFNLRTPDISAMHHDETPDLRIVAEKLAGHLKIANTTLETRKMVVEICDIVTRRSARLAAAGIVGIMRKIGRATPGNNSRTVIAIDGGLFEHYAKFRQCLESTLAELLGEEASKSVSVKLTKDGSGLGAALIAAAHSQYAK >cds.KYUSt_chr5.15529 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100316113:100316508:1 gene:KYUSg_chr5.15529 transcript:KYUSt_chr5.15529 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDPLAGVVLLFLLLLQAAHGGGVAGGASLDGYVPVRTVVYRSAPAASAAWTAAAYAPFELCMGCRCCPPGAGAANNSSSCVDTSCCYGIDCNIPGKPFGTCGFTPRTCGCGDAATGRNCSSAPSPPS >cds.KYUSt_chr2.39377 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244175005:244178654:1 gene:KYUSg_chr2.39377 transcript:KYUSt_chr2.39377 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFVAADGSAHDYGGGVTFPVVVTSLMAASCGLIYGYDTGVTGGVTQMDSFLSKFFPEVQSGRKSARSDPYCKYDNQWLTAFSSSLFIAAALSSLVASRVTRKIGRQAIMLVGGAMFLAGSIVNAAAVNIAMLIIGRMLLGFGLGFTLQAAPVYLSETAPARWRGAFTSAYNTFVVIGILSATITNYITNRIPGWGWRVSLGLAAVPGVIIVLGAFFIPDTPSSLVLRGHPDKARAALQRIRGRHASVDAEFKDIVRAVDEARQNDVGAYRRLFSKEYRHYLVVGLAIPVFYQFTGMIVISVFSPVLFRTVGFTSQKAILGSVINSITNLVATVLSTFIMDRTGRRFLFIVGGIGMMLCEVAISWVMAGHLGKHQGVTMPRSYATGVLVLICMCTFSFGLSWAPLRWVVPSEIYPVEIRSAGQAMSISVALCLAFAELQVFIALLCAMKYGVFLFYAACLLIMTIFMAAFLPETKGVPLEAMQSIWTRHWYWKRFVGDAKHGSQINNLNTN >cds.KYUSt_chr2.16175 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101752723:101753742:1 gene:KYUSg_chr2.16175 transcript:KYUSt_chr2.16175 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSTGERILVDLPELCYQYIFGSTSGGLIVLCDKRTYDVRLLNPLTRQLISLPNATTLTGSHERLLSGYSIKFQRVYSAGLADDSTVTLHFNQYWLLTAKPGDKCWTRLIIHYPINTGVVASLSFAGRFYCVTENAVMVVDTSADTPQLVVAAELGDRAVIKLYDQTAGLVDNDGELMLVHRIPYDNLNTLREGYQVHRVDLEARTTLPMDGLGERALFVGHGRLGKAPAVLLPARLSPYVCANTVYSCKHYGDYYWCRNRHGKFDYRPIIDVYRLPYGRIRGGIGDADADSCSVIEYVSRYVCGSNIIVQQPRHSQRLQQRRLLQLSALQCNMGSHS >cds.KYUSt_chr4.2299 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12849184:12849432:1 gene:KYUSg_chr4.2299 transcript:KYUSt_chr4.2299 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDCTPATPTSSTVAWCRVEDGAALHAGSLVKVVVVAARGRRGVGATLHAGSLVKVIVVLALAYGAGLYTGSSDIVDGGMV >cds.KYUSt_contig_1253.574 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3493609:3494435:1 gene:KYUSg_contig_1253.574 transcript:KYUSt_contig_1253.574 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAKVAIPAWARRFAASTLIYASFAMLWLGSAFGGFTVVGRRALGEDSPVLAAATTVALYAIRTKEPAVKGTRQILRKVVRDMLQDAVMVGLLATFPFMLLVGAGSLVMATSAVKESRREMIGSILVDVGLLGANAIHCFLILPITILRIWRMKY >cds.KYUSt_contig_824.141 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:875768:878832:1 gene:KYUSg_contig_824.141 transcript:KYUSt_contig_824.141 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPASDDDRYEDDLWEQRTHARILVSDSEAGGIIGRGGSAVTALKSTSGALVKVSRRGQLLPGTGRRVLLVSGLFHQVMDAAEIILQKILYQGGQVIDERATVVLVVPDACCGVLIGKGGTNIKSLAEASNAGIVISRHDKHYGLQDRLVTITGNLDNQLQAIFLILSELLEDDRYSRIYAGALFLGCEDYGPDEHVERYHSRSNTPIRSPDNNDDACESLTIAIADEHIGAVIGCGGRKIREIIQFTGAWIRTSAKGEFIAGTTDREVVISGTREAINTAEGMIMHVVSAARSRSNGSAERPPLKPDTTPQQEEQSNTSDLQ >cds.KYUSt_contig_1658.193 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1246128:1260606:-1 gene:KYUSg_contig_1658.193 transcript:KYUSt_contig_1658.193 gene_biotype:protein_coding transcript_biotype:protein_coding MLISHSSKTEHNGTLLLKVDREILRVRRLTWASMTCTGPALTLGRLGRSPRAQAWGGVSYMPTRSAPAPRRTPPRAVWAGPRPISDLGQADEDNTDGDIEVHKQSSDNNDDDNVNEDNMEEENLQPSSNPNGDDQDDSLLSMYDPRTWDNLDNSKRDILFEKGPLREPNLQFRNTIMLVREDIRKIFAIVGVALSDSETVEYVLAEMIRYQVRNEYGLADPDLYAPEEEDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMAAASRGHGLMLRLQQLEAEFPAVEKAIIISQTDHLNYLHDDGIEWHANLQLNQNLITIGDMPRFILDSYEECRGPPHLFTLDKFDVAGAGASLKRYSDPSFFKMGQTSSMIETDYFREKNPRKVKKKAMRRKGETLESLLVANSESQITSSKDRSSRKVPPRTTKLKPRHPQTISRICREQLEEVILSQQKILSNYSARYYHANFRSTDSSEIISSCGELDNFSAAAQSSAKLEMNKVVPLDESDTLVTASAPINGSVFLKVDDRQFLATQHEHAEREQICEGSLVEQNDIFSTSGRLQGGTDFKDEESNCQLRSVREDKLQSAVAPVNHDDGHCRLDEEGSDQDNFIDAVNTESESETDHDMESKRDLSTKMKASKLNYHGKEVESAAHVQISELGPAIDLSPGLNNPCNGRESTRADSFLLSDSSPSVVSDTKDTDSDSDSCRQLSSGSWINNREPFNDVELMDVSSSSSVTSDDNGNFETNNDLNGCKQNQEVSFLPPNDYHAAAAAPSSDEKLSQTSSCLDGLAISSSDYHEIAYRSAVNGQDNMLGGSSTMFGQPNDVLHDGGEIRVPDADDFLLHSSTPNQEQIQLSKNQFEECTSVDTVSQGTASKLVTRPDMDPVVHMKDLELENIAFPEEINMSTAPTSLDPDNIHKHLDGAVHSSMPYNPLYEADHDEIVEELQCLPAEDLYKHVAEDQDIFMLEKGPCSVRLDAQKEDPMQMSVELTHLSNVQTIPGLTESESTPQDDTEAHVNETLEPSPRVLNDVTKPSIIDAPPACNTAPLLGASNSCMEYRESTETGKGVEHGEVLVDAEVADESIPSMFEDDMVPWEEERNDGAKYTEKAEVLVINSREENCRHGAPSQSSSPFREDMETVEATCETVGSPEESGGHIYNESMLQPAILQQPVEIETSSETSGGNHDIQYVPSPHHLEESFCQEELPEETTLSAEVPCQCDLNKDVAVSMNSNMVGEQPSHVGQDLLSELSAQESFATNPFMDPRYRVSSTDPSPVMSYQPYCSEEEQDFLSELLIQHGHMKAEENQYPLNDDSLWESATPPDEAPLASEVMTEQDFGSFCHEYHEMNFTAATEGFDDEPTSDFNDNINGCVASVLDFPCSVSVLLTELDQKADCSKPDSPLAECSSTGDILGETSMPFSAREVPDGKTAGDDSGLSLHDEKCPELITPSVPVKIEQEQQALHGVVSDSGTGLFDNEKTGEIYGSPSGKVAPVQEEQEACANLVPRVVINEKLDALDAHFINSLPLEPALGARGLDELDVLPSSKPVLTQESDVHVLDELHSQTVSSSSTKKMADDPDVPRCNNEVRELDGTPSSNVQAEAQNGSYYSPEFNSRVTPYSSVNDKIDGQGAATSINDIEAEQGWETCASPELDTPPCNDLLVEKEPEVCGPGGLDSKISPCSLPDERIDELSCPPLSSSTLVENEFEDHVSGDPDSKIAPYSSVNDKIVASGAATSVSDTDVELGWEACSSPELDSQIAPCPLIDYKVSELDGTPLPNVQVDAENGSYYSPEFDSLTAPYSPVNGTAVEQGWEPCAPPELDFQIAPCPLSEDKLGELDGPSSSNVQVEAENGSYYSPELDSSITPYSLVNYKIDGQGASTSINDTEVEEGWEACASPEFDSQTAPGSLYSGAIAERSTMTFTSVMPEEDCWQSPVPPQTKPFQNVSSEDPQKPPPLPPLQWRLGRPRLGLLSTKDHMPDPVMRTTSLPALSQDIDNSLGSLDRMTEPVASVSSRDIEERYQSSIVADNDQRVESGISSTLPVVDAVAREHDRPFSEASGNIKHEGHVTSSQMEAEEHLNDSGITEHVTNQQDPQQDLLCSDVSDITEHVSHTDPSASEDDKRVDDHSATGSAHLDTVSSSAPERVSENGSYQEPQHGESFSGTSENEYSSNASYEQNNLKDQSSITSGVPSDTAKHTGSSSALGEGNSQESQLQEHDAENREGGLSEGLSPTAEQTVSEDYPHDDHNVGSEIIHQPNLLWPSNTNKYLGGLGDGSYTQAEQPPVVGWTVGPQMLHPNYGISMEGIQFEPEITDHRLTRKPISIRNIPRNPLVDAVAAHDRSTMRKVSELAPTTDKPDTNDRNLWLEQIRNKTFDLKPVGSAKPASMRAPARASSGNLRVAAIIEKANAIRQAVGSDDEDDDNWSDT >cds.KYUSt_chr2.39910 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247804405:247805268:1 gene:KYUSg_chr2.39910 transcript:KYUSt_chr2.39910 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLKMARRHYPPSLFLSQAQSHALPARPRLQILISFLLSALGAFAAYSRADGVWGTAGGAGFRRHPDVIRPWGRPTARMDASRAAAAPAGGGRPWGDGGGGGRAAEDDEGGEVSLREWLDRPGRAVEAPECSHVFRQVAEAVPVAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDASGSDASEEDGADHNDANDQDAQPPRRPNKNGAAQARDGQHKGFPLKSVLAMELNWYTRPTPASVRSCAPPPPDRHRGRARHPGERQCGKRRRGGAAPPFGGGRRR >cds.KYUSt_chr3.14434 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87663257:87663670:1 gene:KYUSg_chr3.14434 transcript:KYUSt_chr3.14434 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFSLVLLVVLAASPLSTTANVICIGVGTYTANSTYEANLRRLASELPAEISSSPQAQGLHALGYWPNRLRATWTCFGGSSSSCSACIAAGFRESEGECPYSKEFFFSGGDCQLHVADFRAFERFFRESWPSFFRR >cds.KYUSt_chr2.44595 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277458136:277459819:-1 gene:KYUSg_chr2.44595 transcript:KYUSt_chr2.44595 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQRQATVVSTRAQTPKPLPASMPSLPSPFAAGDCPADNFDPDYLYFLRHLRPDGDSYILELPPGGASPASVIKYECPIANSDGECVSDPSPGRGSTNRRTEQRDSSVDAPPSWLDSLVDIDEDYRVFLQHTRVVNNRLRLEIGGVVVDYEPDPEAAQSGGSSGIEEQSEKDAAVDSSGEQDKRIDTDEPVVIVPERNVCDWRADPTPAQRVEGQQAAGHRDAEPRVASSHRSQGVIWPPHINLRPDSDFKRRLMDALQKPFSRKEYLHLFDMASIRTHLVKLRQVRNDEKFYPTDDRGKSYFDHYPVIVE >cds.KYUSt_chr7.12683 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78060731:78063800:-1 gene:KYUSg_chr7.12683 transcript:KYUSt_chr7.12683 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGETTTAMRWPRWRRRHGWQLPLHPLQVRRPPSLHLFPIPSSPLRRFLGFNCRWLQLVGAAVFAVLVAAYYVVLGPYLGSTVAGTTLLVLFSFSAAAAAALYVRCTAVDPSDRTHAKKMKRQRDLARCGGGRGRTLPRLRYGYILWRYAMRLLKRVEVRVMNRWVRRSYLEQWNSSVQLDPMLPFAFTKLDDIVSPHATQDQDISFCPICDCEVKLRSKHCKTCDRCVDGFDHHCRWLNNCIGRRNYAAFILLMFFVLLMLVIEGGTAVAIFVRCFVDSKGLKMEMEHRLHIRLPKGAHAALSMAFVLFTLYSTAALGQLFFFHVLLIRKGMRTYDYILALREAAQAYDPFDDSDISSEESIDFDSPERPSFMSRIFCRKEEVNESSRKLSIRIDEKEPNDATRRKDDIQINPWTLINMSKEKAMAAAERARERIRQKLQSTTISPMKPLPLETKRGPLNPERSTTGKEIVPVFTKSWVSGSPTARLSSPRRRFSGSSSPKPQRSRTNFDIRLTEVSRELDTHISKQVMCSVVMKGIEDEGIHYEPVNGELQKSNAVQRNPVNDPVHRKNAIPNCLDEPE >cds.KYUSt_chr6.8541 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52488799:52490019:1 gene:KYUSg_chr6.8541 transcript:KYUSt_chr6.8541 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPPRLLSYPAMLLSPMPILFIAAGPLAAVHFPARCRLRLRFAHAVAAAAAAATTPENNFAVEDYLIANCHLTQPQALKASKNLAHLKSPDNADAVLAFLHGLGFSPKEVAAAVASNPRILCARIDRSLAPISAELRALGLSHSQIARLAKIAGRYFLCRSFVSKVQFWLPLFGSPERLLQASDWNYWLLTSDLEKVVEPNVAFFKQCGLSACDISKLLVAAPRLVTMHPEYVQDSVRRAIQLGVSPGSQMFRHAISTAGCIGPEKVDAKIAVLRETLGWSQEEVSLAISKAPRILVASQERLRRNAKFLVNEVGLAPHYIARRSVLLMYSLERRLRPRHLVVKLLKKRRLIEQDRCFFNVVAPTEDKFLEKFVAPFEDCIPGLADAYDSACAGNAAVTTAEQ >cds.KYUSt_chr7.7336 pep primary_assembly:MPB_Lper_Kyuss_1697:7:44034415:44035231:1 gene:KYUSg_chr7.7336 transcript:KYUSt_chr7.7336 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTALGVLAAMACLAAVAQAGNFLQDAEMTWGDGRGKVVDSGRGLDLTLDKTSGSGFQSKAEYLFGKIDMQIKLVPGNSAGTLSSLGSAHDQIDLEFLGNVTGEPYTLHTNVFAQGQGKREQQFRLWFDPTKAFHQGYFLGRQSRMLAVDGTPIRDFKNHEARGVSFPKKQPMRLYASLWNADDWATQGGRVKTDWTHAPFVASFRGWHLQRRDVF >cds.KYUSt_chr4.27020 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169706083:169706484:-1 gene:KYUSg_chr4.27020 transcript:KYUSt_chr4.27020 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSMDCWDSESIMRGRRWREARGTAPPTAPAAGSTLFATCNTNLYVADPTVSFLIATTTAGVSHGTSSIQSEAANFPEASRGDERGWGRSRCQVAGEESLWRRIRRWRKVPPALAEFVHRLIFLGILEWEP >cds.KYUSt_chr3.43867 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276908114:276909236:1 gene:KYUSg_chr3.43867 transcript:KYUSt_chr3.43867 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHRAAAYMFAVAMVLGVLAAIPAAVQSIGVCYGVNGDGLPTASDVVELYKSNGITGMRVYTVNDDTLQALSGSNLGLILDTGNKQLPELAANATYAAAWVKANIQSHQGLTIKYIAVGNEVPGQGGNPRDILPAMKNIRNALDRAGLGGIKVSTAVNSAVTQGFPPSKGIFNASASHMPPIAQYLASTGAPLLANIYPYFSYKGDTTHITLDYALFSSTTTVVTDDNGNQYQNLFDALVDTMYSALESAGAETVPIVVSESGWPSAGDGTVATMKNAQMYNQYLINHVEKGTPKRPGDIETYIFAMFNENNKNEGEETEKHFGLFNPDQSPAYPIIFA >cds.KYUSt_chr1.30660 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185655904:185656362:-1 gene:KYUSg_chr1.30660 transcript:KYUSt_chr1.30660 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPVEEEPAAEPAAKAPAAKKPKAGKSLPAGKTAAKEGGEKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_chr4.39789 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245699623:245700834:1 gene:KYUSg_chr4.39789 transcript:KYUSt_chr4.39789 gene_biotype:protein_coding transcript_biotype:protein_coding MLDANPEWKVQFKNFVLCMLAEITGTGRAKAKAGFAGNNTTSKSGAKVYSDASWKCKKIPGGVGATGIGVFLQYMENSQNFNVMVQASTPQATSVLQAEADAMLLATKLAELLNINSPTLLTDNQILAKAMASRKLDNPQLHWNVRETFAEILNSMLKTNSQVFHIKRDLNGVAHNCAHQDYVIQAVLCT >cds.KYUSt_chr7.3678 pep primary_assembly:MPB_Lper_Kyuss_1697:7:21939759:21942798:-1 gene:KYUSg_chr7.3678 transcript:KYUSt_chr7.3678 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSRHRVVRAVRSLGVPNNRSWCSQYDQYHGAATCLMNCAAQAITMSTTTTQLSWASGIPLSPATPTTNRMVSTELAPDNEQARAQEDSTPSTKLVVPTLTTPIVPASIGDIFVINNNSTKDVPPAMEEVLCQSWVSHLHICLHYELDREENFTSLQHDDRDDQFNSLGVSLNVLENFLKKPCTESEEATVSGLISKGVASVLKLWHIPWFSFLFLELTSEEPYCLALFQWDIAWQPEAEEQVSMRLDVLEMQCLRDMFLLRLAVTTYRRAKPRERLDVSAPVVTKFQQLNLMYYYQASKLRCLSCRGQRATYFHLEHAAHKVQSYMKFSFSDRYGERIDFKVGHLFYHVVHHTTQGASRCNGVTVYSHFHMCMGMSNGELLQWLANNLCGSNVSIIQSLHDEDQFWVLVNLDGEATQHRKSQWDPGSCMIALCSFEKRWTTLHYHAHLQWSPLHLADTFLNWWVAWTLDGLEFSMDHRQAPFEGGKNVIRVAFSDHVQVSPNYCIDIIYYRGDYRHDPSRTKKIHVPWDPGKSSLRYPWKLRLGDKPSFKEGGMLGPLPPRTSLGRVPWACNNGIGPTRSQYRVVIYKYPRRGSERDQGVVASDPSSLTAPPTRCWLVCQSSDATVDVLPKLVASVANDEDLNLETISVAPLAPTNYPTGGLAHGHDGDSPVVVSVVLQTMTPSSTACPHPSLNRRF >cds.KYUSt_chr4.1868 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10111097:10111565:-1 gene:KYUSg_chr4.1868 transcript:KYUSt_chr4.1868 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSASGGAAVVVGVLLLCVLLHAEVVESAVFNVGDRGGWSFNTNSWPTGKRFKAGDVLVFKYDATAHDVVAVSAAGYKACAKPARGAKVYKSGADRVTLARGTNYFICSVPGHCQSGMKIAVNAA >cds.KYUSt_chr4.48944 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303242523:303246775:-1 gene:KYUSg_chr4.48944 transcript:KYUSt_chr4.48944 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAEQSSRAIDGEACGPDAKALTAEAVTSVQTRCQAAPVETRADTLRVRAASAETQTWFASPRMALSLCVAPLELSVGESPWVYEWAIRIFLVRELADPAENGTIMIQFGHQVPNYDSSASDSPREVSGMSEGSLNEQNGQSGNRNGYTKSDEGKMMSALSLGNTDTAYTQPKPDRAHPFAMPYPYADPFYGSAMTAYGSHAIMHPQMVGMVPSARVPLPIEPAAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKSRKPYLHESRHQHAMKRARGTGGRFLNAKEKSEASGGGGAAMARPGHTGVPANGGMFSKHDHSLPSGDFHYRA >cds.KYUSt_chr1.25272 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151590177:151594543:1 gene:KYUSg_chr1.25272 transcript:KYUSt_chr1.25272 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVEVPGGAGGGGYSGRVTPFVVLTCVVAGSGGILFGYDLGISGGVTSMDSFLKRFFPEVYRHKQDSKVSNYCQFNSELLTVFTSSLYIAGLVATLIASSVTRRFGRRASMLIGGTVFIAGSVFGGAAVNVPMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAINNGFELSISIGILIANILNYCVVKITAGWGWRISLSMAAVPALFLTIGAIFLPETPSFIIQHDGNTDKARALLEKLRGTTSVQNELDDLVSASNLSRATRYPFRNIFKRKYRPQLAMVLLIPFFNQLTGINVINFYAPVMFRTIGLKESASLLSSVVTRLCATFANIVAMIVVDRFGRRKLFLIGGIQMILSQVAVGAILAAKFKDYGLMDKEYAYLVLTTMCVFVAGFAWSWGPLTFLVPTEICPLEIRSAGQSIVVAVVFLMTFVIGQTFLAVLCHIKSGTFFLFAAWICVMTLLIYMFLPETKKLPMEQMEQVWRSHWFWKKIVGEVEDKEDEKEQQAGKIALPS >cds.KYUSt_chr2.31500 pep primary_assembly:MPB_Lper_Kyuss_1697:2:194348235:194355302:-1 gene:KYUSg_chr2.31500 transcript:KYUSt_chr2.31500 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAARAECTVAPGQARPRSDKRRSTPHHCGEAHGGAITPGTPGSNCLNHLEEDYNSNDGAEIIGYDEPDLSAAFPQRYLGLPLTASKLRVCDLDHLVVKLEKRAPGWKGSLLPSGGRLILTDAVLSALPSHAMSVILLHGTTVDRADRPWRGMLWKGRSQCSGGDCQVAWRELFSGEITPWARWVRRWYGEFGIAQAPSALDTPIWRTFKKVFALYRQLTVVAAGSGVTVSFWLDNWHNAGPLFARLPALFSHCTQPTISVADALRASGLLLPLQPRLTAVAEMELDVVSAAMREVRLAGGIDARSLPGGGAFRSSDVYNLLLISGVSLPLNNVNWDNFAPTKVRIFFWIARHGNTRTRAFLHRLGCLTSDACPFCSAPEELHHMLFSCPRLGPLRAALGVPATAIANDLEGICDIFGAPIIHLHDATRHTVILLVLWIVWKSRNRKVFDNVLTPPRQLSSMVSAHCMLWLNRLPKKLPRLPVEAWCASVCEALNSLV >cds.KYUSt_chr3.25063 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155598650:155598940:-1 gene:KYUSg_chr3.25063 transcript:KYUSt_chr3.25063 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTPLIEVLRSNADDPRGAGSVVLQQRRGAFVLASRRRKLAATGEAESCELQGRRQMRWCAATRTAGPRRVWAREPARGRGEAMVAIMVVCDLG >cds.KYUSt_contig_1163.170 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000111.1:1038811:1040961:1 gene:KYUSg_contig_1163.170 transcript:KYUSt_contig_1163.170 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQPQHRLPPRAPPPQGFGGGLAFSPMQGIGIGIGASSSSQAAAAVAAAASARAAEQMAYEDAWKASHPDFRTPFASVEDAVTRLLPYHVFADYEGDDPDGGGTEEMSSDERWDNGVLATLEEQITEFEKQVVTFNVVARKHTELRLMLERALLQDEHRATERLRATLVVQHEQQQQRQKQQEEEAARASRQALAQAQAQVASAWPLVQPTASAWQHALAAAAGGRGEGAGPGQVAPAPAPAQSAAMHPQLDPATASAWLMMHQQQQQQLLQQQQQQQLQLSLGAQQQQQQQLQLSLGAWPAYAAPLAGDNSMGQAGPSTVWQEQAGEQTMGGAAAGGMAQPWWASGAQRREP >cds.KYUSt_chr3.32696 pep primary_assembly:MPB_Lper_Kyuss_1697:3:205474172:205475806:-1 gene:KYUSg_chr3.32696 transcript:KYUSt_chr3.32696 gene_biotype:protein_coding transcript_biotype:protein_coding METATLLPVALALLAIPITALLLNRLRFGKLPPGPRPWPVLGNLFQIQPVRCRCFAEWAGRYGPIMTVWFGSSPMVVVSTSELAQEVLKTHDQQLADRPRNRSTERFSRGGMDLIWADYGAHYIKVRKFCNLELFTPRRLEALRPIREDEVTDMVESLHKAGKGGKPVAVREFLGMVGFNNITRLAFGKRFVGADGELDEQGREFKGIVTNGIKIGASLSIAEYIRWLRWLAPVDEDIYKSHNDRRDRLTVKIMEEHAKALKISGTSKQHFVDALLTLREKYDLSDDTVIGLLWDMITAGTDTTVITVEWAIGELVKNPKVQEKLQEELDRVVGRDRVMSETDFPNLPYLNAVVKESLRLHPPTPLMLPHKASAAVKIAGYDIPKGASVTVNAWALARDPKVWDSPLEFRPERFVEENIDIKGCDYRVLPFGAGRRVCPGAQLGINLVASMIGHLLHHFTWSPPEGTRPEDISMMESPGLITFMGTPLQVVAKPRLDKEELYKRVPVDM >cds.KYUSt_chr7.4290 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25679317:25684688:-1 gene:KYUSg_chr7.4290 transcript:KYUSt_chr7.4290 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLSAVRAAGGRDDMEDVALLGSYDEEMGAPPPEEEEEQEAQVRVTGMTCSACTSAVEAAVSARRGVRSVAVSLLQNRARVVFLPALLKVEDIIEAIEDAGFDAEILPDSAVSQPKSQKALSAQFRIGGMTCANCVNSVEGILRKLPGIKGAVVALATSLGEVEYDPSAISKDEIVQAIEDAGFDAEFLQSSEQDKILLGLTGVQTESDADVLHDILKKMVGMQQFGVNTALSEVEIVFDPEAVGLRLIVDTIEIESNGRFKAHVQNPYSRGASNDAHEASKMLHLLRTSLFLSIPVFFIRMVCPSIPFISTLLLMHCGPFHMGDLVNWILVSIVQFVVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYAYSVCALIYGAFTGFQPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKFVEEREIDALLVQPGDVLKVLPGSKVPSDGVVLWGTSHINESMITGESAPMPKEISSVVIGGTINLHGILHVQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSILTFCVWFLCGSFGAYPHSWFDGTSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSSKDGIEQRKAQILSQWLLEAEDFSAVPGKGVQCSINEKKVLIGNRTLMNENGVSIPPEAESFLVDLELNAKTGILVAYDGSFMGLMGIADPLKREAAVVVEGLKKMGIHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFNRIRWNYFFAMAYNVVAIPVAAGALFPVTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >cds.KYUSt_chr6.30967 pep primary_assembly:MPB_Lper_Kyuss_1697:6:196301722:196302846:1 gene:KYUSg_chr6.30967 transcript:KYUSt_chr6.30967 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRRLSCSPAAPPLEDDNLLAEILLRLPPLPSSLPRASAVSRRWRSLASDPRFSRRFRAHHRRNPPHLGCFVNDFRNVLFQPALEAPNRIPPCRFALPIDQDDHFSLLGCRHGLVLILHLSRNQLLVWEPVTGDQYRLDIPPGSGDMFSAAVIRAAGDGRYFQVVLLGTNDMHLACASVYSSETGSWGDLTTTPLPPRDSIDEATCVYPDMPAVMVGDSLYWVVIGRPFGILEFDLGVGRPCIIPMPEDINAEEIHDMWLIRAEGGGLGVLLVSGFSLQLWKRQTNCDGVASWVLGRTTALDKLLPIDSDDEQIPFILGYAEDNNAVFVWTSIGVFMVWLESLRFQKFFESEYWFRYYPFEGVYTAGTPSVRI >cds.KYUSt_chr4.1319 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7008498:7009802:1 gene:KYUSg_chr4.1319 transcript:KYUSt_chr4.1319 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPHRRLATLTKQLTGHVNAGRHRDALAFFARMAADPALPPLADPSFAYAFPLALKSSAALRRPSAAASLHALAHKCGGLLQSPFVASALVASYGACASPEAARSLFDGLPCRNAVVWSAMISVYVRSGDVAAAAVALADMDVAPTASCFNSVIAAVAGSGQHPGRAIDLYRRMAGMGVKPSLITLLALVPACAAMGALGSVREVHGFAVRHGMSTSCHLGSSLIEAYGRCGSLVGAQRVFDLVEERDVVVWSSVVSAYAFHGHGDVAMSLFGRMELDNVRPDGIMFLGVLKACGHAGRADDALNYFDVLTKTYGVEASGDHYSCLVDVLGRAGRLQQAYDVIRTMPVRVTAKAWGALLAACRKYGDVGLAEIAARALFEIEPENAGNFVSLANIYSGLGMHEEAERVRRDMEQRGVQLSPGSSWMIHHKSRS >cds.KYUSt_contig_319.485 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3092022:3092615:-1 gene:KYUSg_contig_319.485 transcript:KYUSt_contig_319.485 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMAMSSFAGAAVLPAGRLGARSLSALGRHAFVVRAQTEKPSTPSPKPSPSIWDALAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGNSTGQAWFAYTVAVLSVASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEILTGAPFINI >cds.KYUSt_chr6.31744 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200563954:200570514:1 gene:KYUSg_chr6.31744 transcript:KYUSt_chr6.31744 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAARAALSRTPARFLQSRLRSSGGKMLSEEEKAAENAYIKKMEQEKRAVASTNNSHVRGSNESASGTGRGDRSPLPREWLRSLWVEELKKQKEAPVRGWGKRVEVAKAEGGGGEDPLGYFANAARALAKLKPLLSRANLLIARDVEWANLMFAFEQESRYIIMDPLFPQSLLRSRRPFVAEFTDAMGNEIFTVRRPFWFINSSIYTEVDGKEVGVVHRRWHLWRRIYDLYLGNKQFAVVENPGFWNWTFTLIDEDEKVLAQIDRNWRGIGFELFTDAGQYAIRFGDSGQSRKYGLASDIEELEVVRPLTLPERAVALALAVSLDSDYFSRRGGWGLPFLIATE >cds.KYUSt_chr3.36840 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231721046:231725813:1 gene:KYUSg_chr3.36840 transcript:KYUSt_chr3.36840 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEPTFPVPSASTMAAPAPAGPCSGGSKSVLDGMAGPSMKPVELEELAASSMKPVHLEEMAGPSMKPVELEELDPMGDVDKQRLKWETINEDQQVWDALDEQRNLSKNSEMLTVYAVSRGYLYWMLSFVFGCSNAMYRHACLFTETCFLIIAAGMADPKTKKIGTRAYLQWTPEMDTALLDTLVEHHNNGDTAQNGWKPHTYTACIKHVKETCGVDITKDKIQARIKTFDKHYEIISKMLAQSGFGWDSEKNMVEVYSDEVWSRYVEANKEAAGYRNKVVTNWLAIQTIYSKDHATGVGATTAAESVQEQFTPVPGESPEVPQKRQRTGEAILCMMGEMRTSFDEALKATEPLPMPKVTPPTEIYDALKKLNLEESDLLRAYGKLIINERLFEALKALPEEIKKPWLLSLP >cds.KYUSt_chr4.3508 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19996351:19998119:1 gene:KYUSg_chr4.3508 transcript:KYUSt_chr4.3508 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPVLGGESKLAYTWEDFEIAPYPGFTSAADAVIKKFWRNYRVATEHKERADVILRNMCRKLTRQRWYNPEDHVHRPLLCLSGRKVVPHWADNNKRAAFMELVNNWVGENPDFKAVSDRNKANRGNQGTHSAGSSSIDRYRERLGKKLGRELGEMEAWTHMKLVTPRPNEPRPAPEMYYGKAKENKERYCEEYAKLHPEVEDPMTEPVDETQLEEAYAVAYEEYLEKIKEHDLVKDAYVQWTSNRMASFTRFMMTGSGRGTTPEPPHSGPTPVFPSKEEFYIMYKRQRQLTPGLGESGKDAPDGTPMHPGRCSPGASAEPRHGSASGGSRRGSTSPSTVEIQRPHFTHSELDRLELDRHSGGAPP >cds.KYUSt_chr2.20061 pep primary_assembly:MPB_Lper_Kyuss_1697:2:126167838:126169274:-1 gene:KYUSg_chr2.20061 transcript:KYUSt_chr2.20061 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTIVLLPVWGVGHFMPMIEAGKRMVQCSRRAPSLTVLLMPAPTAQAASDIAGQVRREEGGDIDIRFHHVPHVQLPTDHTGIEEFISRIVQLHVPHVGAAISGLTCPVAALVFDIFCTPALDVSRELAVPAYVYFTSSAAMLALLLRSPTLHGEVEVELQEMEGAVDVPGLPPVPPSFLPRTMLDRKIPTYTWFVETGRRYMEADGIIVNTAAELEPGVLAAMADGRCTRGVHAPTVYPIGPAISISPPAEPRHECVRWLDSQPPASVLFLCFGSKGWLSTSQVQEIAHGLERSGHRFLWVLRGLPGDTSYGDREPTDANVAEVLPEGFLEKTMEMGLVWPQRAPQKDILAHASVGGFVTHCGWNSILESLWFGVPMLPWPLDADQHFNAFALVHGMGVAVPLEVDRKRDNYVEAAELERAVRSLMGGDDERGNAKEKAMEMKLVCRNAVEQSGSSYASLLKLSEKLLGGTVLPKK >cds.KYUSt_chr4.33557 pep primary_assembly:MPB_Lper_Kyuss_1697:4:205848028:205849380:1 gene:KYUSg_chr4.33557 transcript:KYUSt_chr4.33557 gene_biotype:protein_coding transcript_biotype:protein_coding MKACSVARIFGLWHPWNLCSVLLRVLRKLLVLPPSLMKKSLERKHIHPAHLEIEVGKLPELPQDVLMDIFALLEIPDLMRASSICSSWRSIHTSLCSLGLYKRPQTPCLFYTSESAGESVAFLYSLAEKRSYKLTLPEPPIRTRYVIGSSNGWLVTADERSEMHLLNPITCEQIALPSVITIEHVTPIFNEAGALCMYHYSPHTAEYFNDEPRSLALATLRNYLHNKAFVFYDASAGGHIVALIHSPYGQLSFARLGDNKWTWLPPHSDFQDCIYKDGLLYAVTLKGKIYAFDLRGPMVTTELILDMVEYCSNKYIVQAPCGDLLQIWRTREGSEDAGPPSYVINTTNIKIYKVDTRAKKLVGINSLDDHVFLLGHNQTLCLSAQEYLQLKANRVYFTDDDETYLYGCKDNHRDIGIFDLANSTCEELVSPQLWSNWPTPVWITPTLTRL >cds.KYUSt_chr3.35856 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225322339:225324472:1 gene:KYUSg_chr3.35856 transcript:KYUSt_chr3.35856 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPPLLADLVAKSHASSTAIRCSGGVRRWAVTGLAGAGRRDRHRRRRGFRVSAVATESRSSEGGAAEDYYAVLGVMPDATPQQIKKAYYNCMKSCHPDLSGNDPDVTNFCMFINEVYTVLTDPVQRAVYDEINGYAATATNPFLDDAPRDCVFVDEFSCIGCKNCANVCSKVFEIEEDFGRARVYNQSGNQELIQDAIDSCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLSGMGSSVDVFRMASRRWEKRQAKVLDKVRMRMSQDDSNKGSSWSDIWGSPTRYQKNEEDTKERVMRAAAAARRWREYSRKGTDRPPTFKLPEAASSKE >cds.KYUSt_chr4.18985 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119234554:119235967:1 gene:KYUSg_chr4.18985 transcript:KYUSt_chr4.18985 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDGRGGAEAVLLEDPEPDHKKAQAIAAQQAKDLHHPHGLRPAATHPALVLLALPLALLILLLVLVLRDHRRRRLQLHLQGEI >cds.KYUSt_chr6.33442 pep primary_assembly:MPB_Lper_Kyuss_1697:6:210124157:210130973:1 gene:KYUSg_chr6.33442 transcript:KYUSt_chr6.33442 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEAIVSLYDSVSGVWTNFVSTATTDTTFITRPSILVGNAVYWLFYGGDILVFDLERKTLGVIKMPLDNHTTNDGCFHILRMEDGGLGLAVLSELTIKLWERKLNYDGVVEWVIQKTIPLQGILPRCNAGETVIFVGYNEETNVIVLSTIIGNFMLQLDSMQTRHIIKRCHMSYDIFYPYTNFYTAGTSFCRYLELKLGLLSKKCGHDGGICVHDGGSCGLGDRSGVWRLELEKAYIDRSKDGTQLQFKSVTQNAYVAAENGGGGPLRANRTSASGWETFKLWRIDQNSFNLKVFNGQFVSAPGSNVAATAATPGQSERFQLVRNEADKNRMRIKAPNGSFLQANTDGSVTANFGESTTWGNDDPSVFAVTIVKGLQGEYQICNGYGKEKATQVMNDHWSTYIVEDDFAFMAANGLNAVRIPVGWWIANDPNPPAPFVGGSLQALDNAFTWAERHNIRVIVNLHAAPGAQNPNEHSGTRDGSQTWGDSNIAQTVQVIDFLATRYARRSSLLAVELMNEPLAPGVSLDSLKRYYQQGYDTVRKHTTTAYVIMSNRLAADSTELVNFASQFGRAVLDVHYYTLFDSKFDSFTVQQNIDYVNNNIANDLSAMTRRDGPLTFVGEWVAEWQVNGATQADYQRFANAQMAVYRRATFGWAYWTYKNVNNHWSMQWMINNGYISLQNA >cds.KYUSt_chr5.9100 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57735769:57735981:1 gene:KYUSg_chr5.9100 transcript:KYUSt_chr5.9100 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAGVFIPLVLFILLSPGLLCQIPGKCRFIEFGNFHTSAVSIVVHAVIFFSFAAIFLIAIGVHIDLGS >cds.KYUSt_chr1.39015 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238509398:238515227:1 gene:KYUSg_chr1.39015 transcript:KYUSt_chr1.39015 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRHLLRLLLAAVLLAVSGGLDPAAVGDDAYDASMCQKSFKCGGHDINYPFYLSNQSRLIDGVAYSRFFCGYPGMAILCDATTATATLRLAGGTNYTVLAIDYANHTITLADADALASNNSCPRPRHNVTIPPAAWLNFTATGNSTISFFLDCNLSAAADPPPPGIVPINCTGFAQGRSPSFLAPHLGAPEGNWSRACREVYVAPVLTEWLESAAYRPRLASGGYGDVLRRGFRLSWDPSAGPCYECELSRGQCGYDQVGGFLGCLCPDGRVRGPDCGEVIVSRFLLDRPSSFDLSVTIKLCHRHHDHSHNHSPVPVPTSSGTPTAPRRRPLNFSVAYPFAVDGLPWPDYCSSPGHRLFCINTTTLVIYMTSGGSFQVTGVDYGNHVLTVVDQTLADQTLADQTCPHNYHNTTIDTAAFAYTDQDRFLTAYVNCSSATSSLPLVDDVFSCVTGGTSYYRMDNGTLAADLLGVCSSSLVVPYDADMAELAGRRERLPRGRRERRVLGSKKQNKKAITYGKYTKTLALDIMDTILINSWYFVTVLQLAEASMLISHHHFAKPGTSVAAVVMFMLLLVVSFLYIRKRRQYKMTSSSKLLMYTMSGGTPRSRGSTDMESGSVHKLQTHHFSYEELEEATGGFSVTGELGDGGFGTVYKGQIRDGRVVAVKRLYNNSCRQVEQFVNEASILSLLRHPNLVTFYGCTSSRSRELLLVYEYVPNGTVADHLHGHRAAERALSWQLRLNIAVEAAAALAYLHAIEPPVVHRDVKTNNILLDADFHVKVADFGLSRLLPLDGATHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLAELISSKPAVDVSRDRDEINLAGMAVGRIQRSQLDQLVDMELGYGFDEATRLAMTMVAELAFRCLQQNGEMRPPIREVLDVLKGIQEGGAEGDKDGKTNKDGVPAVPCSPNTVHAPWDSLSTTPSISQ >cds.KYUSt_chr2.17564 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110624434:110625184:-1 gene:KYUSg_chr2.17564 transcript:KYUSt_chr2.17564 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELDKVLMLRATVIAAVVGLAAAAGFISNDALHSHGAAGRSLLQTKKDCPVTFEGANYTLITSRCKGPLYQPALCCSALADFACPYGIYINDQSTNCAATMFSLIHLYGKYPSGLFANTCKGDKDGIKCPDDVPQVQPGQEGTNSAALATAAQAALVAAGAVAFSLFIVLS >cds.KYUSt_chr7.39868 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247666357:247669051:-1 gene:KYUSg_chr7.39868 transcript:KYUSt_chr7.39868 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIPVGIRPYLGTVPRAVILKTNTGCSWMVKLREIKDVVCLDQGRTAFVAAHQVKLDRLLHNLQDAQGRRLQGHHLRLHHERGGPEVPKQGPNSFFQLPGPTWARSAAGRLLPSLLAKCLPNRQGLSSRTNCPNSSPPRRRDLASPRARALRPASAVSRPRPMATRNRTPLFRKYRDALRHVRAPAGAPSSSSSSGGGGPVIEMSSLLRHDRTYAPLSTDDPAASSSRGTVAVGLPPAWVDISEDISANMQRAKTKMAELAKAHAKALMPSFGDGVDDQRAIEVLTHEITDLLKRSEKRLQKLATNDSSEDSKVRKNVQRSLATDLHSLSIEFRKKQSSYLKQLRQQKEGQDGVDLEMNMNGSKSTFELEDDEFEDVGFTEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQV >cds.KYUSt_chr4.40524 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250151359:250154142:1 gene:KYUSg_chr4.40524 transcript:KYUSt_chr4.40524 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSAPAADQTGEAASSTAGVASTESVGEGDTDDPTVLPLVLRPGAETGTHALEVQTVDMPRAASTSPRLAMVASQPPPGQTVDFPRHAGPAPAQSPGSVYDLVETKAPLPAKLGPRSAAAKIPCTFDMVEPMSYLYVTVVKARDLPTMEITDSVDLYVEVKMGKFRGLTLMRHLEKNASPEWRQTFAFSRDHLVYRGDVRQFEVIVRDKEMLREDSIGLVVFDMSDVPSRLPSDSELAPQWYQLSDAHGNRLSRGGHGLGEIMLAIWLGTQADDAFPEAWHADAHSLSLEELTSTRCKVYYSPKLIYLKVSVITAQDLVASETGRPLEDTIAKIQMGNQIWRTSPRGGTANPVWEEDLMFVVRKPFVDPLVVTVEERVADRRDEPIGRVIIPVEWPHLPRNDLARSVPTKWFSLSRVVTTEASEDVATVDEGTLASKIQLKMSLETAYHVLDESSDYSSDLQPAAKKLRKSAIGILEVGILSARGLGGIKNLYCVAKYGAKWVRTRTLLATAAPQWNEQYAWEVFDLSTVITIVVFDNANLHHGHGGKDQRIGKVRVRLSTLEAGRVHTHHYPLLALSPSGLMKTRELQLAVRFTCKSWSKMLVQYGRPLLPKMHYTNPISVPQLDYLQFQAMQIVANQLEQSDPPLPREVVEYMLDPDSQFSHRRSKANYYRLTSLISVTVAAGKWFDDTCKWKNPSNTILIYVVFITLVWNPRIILPLVLMYMIMIGVWNYPWRPRRPPRIDMMLSQVELALPDELEEELDTLRPSNILPGELGVDSVLNLVLWYADPAHANELNEELDTSTKPDGIVRKRYDRLRRMAAKTQRVVGDLAMKAERAESLLRWRDPWVTPIFTTLSLVMAVVLYFFFFFCEGAVVLYLTPFWLVAMMMGLYFFRPPQIRSSTNRRNTNLLFNVYTRLPSKDDMLL >cds.KYUSt_chr7.5241 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31280595:31284276:1 gene:KYUSg_chr7.5241 transcript:KYUSt_chr7.5241 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPSLRSLLLIAASPSVAAGDSGLLLAARRHFPAALAAAGGHRIRLLHSFSGGRLPRPVELACCFGTAPAAVPVVPRSRNVGSIDKMRACEERIGQLVAKLEDKGIRIKQWRIGAFNRTLCPVPDGDSNVYQEKKDTGNGSDAGKETVQQFKANHAVKVYRKLCEEDLRLEPLCDELVTYFSERMISPETLRRNNVSQRKWNDKIVIAFTYRRDKVLVGCKYREISKKYTQASKIILATDADPPGQALAEELARRLGNERCWRVTWPRKNETEFCKDANEVLMFLGPKALKEVIEGAELYRIRGGGQPSEWESSYPCHLPRSRAAPPSPATKTVREAHLDLPQPPQALLPFLHLFLASSIFLHATIWLSQCRAELLL >cds.KYUSt_chr2.6977 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43526312:43534258:-1 gene:KYUSg_chr2.6977 transcript:KYUSt_chr2.6977 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSGIGSPLASPWEDRQLVLFSVAGAVLAWCAVRVLEWAWWRPRRLERALRSQGLRGTAYRSLAGDAQLLVRLNKEARSRTMPLGCHDVVPRAMPLFHHAMKEHGKMSITWFGPMPRVTITKPELVREVLSNKFGHFEKLTPTLGRIQRLLHNGLGSHEGGKWAKHRRIINPAFHMEKLKNLTGDVISRAAFGSSYLEGVRIFQLQGEQILLVMQAMNKIHIPGYLFLPTKANRRMKHISAEIGRILRGIITKRENAIKAGEATSDDLLGLLLESSMADCRGDGSSDAGMTTDDVIGECKLFYFAGAETTSRLLTWTMIVLCMHPEWQDRVREEVMLVFGASTPDYDGLSRLKIVTMVLYEVLRLYTPLTALQRKTYKPMELGGIRYPAGVALMLPVLCIHHDKELWGADADEFRPERFSQGISKASADPPAFFPFGWGPRVCVGQNFALLEAKMGLTMILQRFSFELSPSYRHAPFPLGMLQPEHGAQLKLMRLP >cds.KYUSt_contig_2566.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000386.1:2351:3409:1 gene:KYUSg_contig_2566.2 transcript:KYUSt_contig_2566.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATPLVEPPPVPQQLPLPVPVAVAPPLKPKKAGRCWKCADNSHATKNCKVIHYCLVCDSGAHPTIRCPVLKTPRPMSSLVGCGNDATLDLQIPDSLYKPQLSYSGAPTALVQVSGEGTVAAIDVQNLMARMCPGNPTWKWEAVPHGSNAFLIAIPTAEDLLRIDGMQMSVPKINAQVVVSSWVHQDVTPEFVMEPVWVHVEGVPDSLRHFLGLWAIGTFIGSTLDVDLYTLRSQGIVRIQVAMRNISVLEKDKSRDIAPCLEVLARLQLNGDDDDDASHGFEDEGFEDPAADLAPGSSHMEVDGHAAGHSSGTARYRLLRWLDPV >cds.KYUSt_chr7.4793 pep primary_assembly:MPB_Lper_Kyuss_1697:7:28953842:28955071:1 gene:KYUSg_chr7.4793 transcript:KYUSt_chr7.4793 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVPEVVTDLYYQVPKACFVSGVPFTLRGHDDNRVIPSFDTVPGPDGVQAKWIRFCDFLPFVDACRSYWLESESTEHPIDHPLYVYVMPYSPLRDSYYLHELIQNADPLPPDASASEHMAVQALILDHDQESAAADPRLMQGVVEVADGVTFTFTVVNYRLLLSKAQIFSIMSYVSNPLDECMRRMDLCANLLRGSAPGPSTEQLVCITDDARRRLAVALLEAYAQGDLAADPHDAIYTMFPAMHDEVYQEHCHHCQPHLYQEDPAATNTRLELAMDQLGSEEQDTPEDLPIRQAEYNLMDAESILEFHDYNVNVRAKFSNSRNIVATAINPTRNYIRECVSCHTLVGGTFLELLHISSSILISFFVPDDVEISED >cds.KYUSt_chr3.21507 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132013815:132029946:-1 gene:KYUSg_chr3.21507 transcript:KYUSt_chr3.21507 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRIFEYFVVCGLGPEIRALDGVKGFHGAEEMYMPAFIDQFPHSGHALYPPPPPQLPTCVLPAGVRIYSSGLDANDVSTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDITEAYQIPKNSFADKCICFVSHSPCFQVLRDALEEIFVLCFSPAGCSKPLWDIISHVVSNVPLPTPGKDRVLFAIDNCLLSAETPPKEWLPHADISFQPLVQCLDVDKLIQLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPIRWQHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSGVTMDGVVVVDLEYNRITTTEEIPPIPESEHNFLRGEILKLLQPNVVGIDCMKINLGSMSDHSLRAATKSWGQEHDFQLRLIFLRFFAQIMSGYRNFIDNALQTGFNAQAFLKKRSRVTNQPVESMSMIMQFIETQGFLDYLERCNNAEEFTNNLLDKLQDATGRGQNPLAIFPSHVADPEIITIADSEIEDSEPGSSYCYKSFPANARSEEQEEKRKAILALASGASKQVPSSPAVRVNGGPKAESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAITLASSRDDMSTIRDALEVSAEMYRKDPNNVQDYVQRHLLSLSVWEELRFWDGYFEYLMESCSNKSTNYVTLVTAQLIVMATHMAGLGLPDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRVGYWGVPVGKSQPLPSYSMASPRALDISDESEQPAEASVLGRSWVHSMFSRDRSLRASSFNRASDPKAGATAGKPDLAAAQKKTQTNMRILRGHTAAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKVWDPSLRGSELRATLKGHTRTVRAISSDRGKIVSGADDQSVIVWDKQTFKLLEELKGHDAPVSSVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCQSSVLCMEYDDSTGILAAAGRDVMAHVWDIRSSKQMFKLQGHTKWIRSMRMTGETIITGSDDWTARVWSLTRGTCDAVLACHAGPILCVEYSPSDKGIITGSSDGLIRFWENEVLINENLAH >cds.KYUSt_chr7.18188 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112793759:112795036:-1 gene:KYUSg_chr7.18188 transcript:KYUSt_chr7.18188 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPTVAKSPPALIPPAGPTPGGTLPLSCIDKTISGLVNIIQVFPPSSLSADHDDQGAAVAAMRSGFARALVPYYPVAGRITPSGLAVDCTGEGVWFVEAAASCALADVDGLESFPLPIPGELLLPRPPPGEKLDGLILMAQATRFTCGGFAVGITFSHTVFDGYGAARFLTAVGELARGLPAPSVAPVWDRHLIPDPPSPPPPFFAVVTEFSRLVTQVADISAESIQRVKKEFKQAAGEGCSTFDAVTAVVFKCRALALAGALPDVADVRVSFPAGTRHLLRDVLPAVDGYYGNCVYLGCVGRISRAARESALAELVGAVREAKEAVAAGFADWRRGVYRYDVPLDYSTAILSDASRLGFDEVDYGFGEPAFYTYPHDDKANFAATLLVVRPPAPRRGGVRVLIRCVEEPHAAIFAAELAKFA >cds.KYUSt_chr4.8931 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53670168:53673322:-1 gene:KYUSg_chr4.8931 transcript:KYUSt_chr4.8931 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHRPISLPANPVPTKDSARGSNLPNPSPCHRVLHFYVPIIEGREIISVDSRGVLVASPSDLDDGPVIFVDIDMDGRLFTEGYHVPGEPFESMDQLIHAAGVHLLTGSCRHDLPQYEEQQHVGGTASSSSQMPSPELRRLYSEAFRRLLFTQRQCLNWPDGTRKKLLESQIDERCDWKRQLVQALVDKYNDYRHLMGDRAYKLKDVVLYNSVFGANDHTRLYGHINFTMKGAEDSDCGIDDLFFAEVTIKRAEYSELMGLDAPDYLERVKEEMTLKPFRPKYLDVATMHED >cds.KYUSt_chr3.47547 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298181594:298184674:1 gene:KYUSg_chr3.47547 transcript:KYUSt_chr3.47547 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKTKTRRRIGKEVRSLETLVKEAAERRGRYKIDDNVSKASNATVDPRVCAMYKDLSQLVGIAGPTAELVNLLNSGVAGAPLQELKVVSIVGFGGLGKTTLARHVYDTHGKEFHYRAFVSVSRNPDVVMVLRSILMQVGYHEHLPKDAQCLIEAISTFLHDKSLDFHDGNFPAILSTRGWSWDALGRLIVSRIPSRVTGENHVVRVYRDGRDSSMALLISIPRTSTASTNSCGDSGTPWRRPHWCHIGGSGSPSNNTLVLAVESKMASHPRNFSLKPYYRSISKRNNHERESNARAKSSLSSIRAGVASVQSS >cds.KYUSt_chr2.1842 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10983573:10985989:1 gene:KYUSg_chr2.1842 transcript:KYUSt_chr2.1842 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVRAPYATGDIHNSSPHLHNGYSRINGNSIETQVPSSSVAAYENNDCRGKLAAEFKKLNALHRKLEENPCDLAPIHAYESYKKKREEECQACNVRFCPSQLQRFGNTSLPKEKTSIRAQQCQAEVNADKVITFDDLSEEQRQCYEVLKNKRKEEFELLEIKLKEEHKAYKKKLEEEDLQFFHAMIKKNPQDNVTLVEEIKSSPRCSNQVEPSEISKQEEEALHSNKIHEENKNDSASMVNSVIEAESESTCAESTESRDASFSEKDHGAIMLDFYGCTGAYMLPYELHAKEVNEHERQENIAEQCSVEKEHQSKGAHDPGKHDDKALENHP >cds.KYUSt_chr3.3791 pep primary_assembly:MPB_Lper_Kyuss_1697:3:21569527:21573963:-1 gene:KYUSg_chr3.3791 transcript:KYUSt_chr3.3791 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPQQLLLLLLVSLLAACAPRRADAWGKEGHIMTCKIAERYLSEEAKAAVQDLLPASAGGELSTMCPWADTMRFRYHWASPLHYANTPNVCNFNNSRDCHNSKGVQGMCVVGAINNYTEQLYTYGDSPKSSYNLTESLMFLAHFVGDVHQPLHVSFEEDEGGNSIQVHWYRRKANLHHVWDVSIIDSVMKDFYNKDMDTMVDALETNLTLSAPVNHHATFSCSLIIIQF >cds.KYUSt_chr2.49000 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306687864:306690257:1 gene:KYUSg_chr2.49000 transcript:KYUSt_chr2.49000 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTHGSRCPGSNISIPYPFGVPGQIPSPAQGFQITCGSLGPMLPIGNNVFTILNISLLDGSVSIMASAASRSPRCGGNYASFSLDRTNFTFSDTRNKFTAVGCNMVAMLLNGSSSYSGGCASFCSTNNSIVNGACSGVACCQAPVPKGLKELSLEFTSIPTRLNKGNSTSACAEAFIVEQNSYVFSTANLNISSNSSQYRPVVLEWSIDGGSCEEAAKHSASYACKENSYCYNSSNGIGYRCNCTKGFQGNPYLQAPGGCQDIDECIGRPCTHSCINMEGGFNCTCPSGMSGDGLKNGSGCNGIGTLQISIVAGLALLLLLLILGFWTHWLVKKRKVAKKRQRYFMQNGGILLKQQMLSRRAPLRIFTSGELDKATNKFSDNNIVGRGGFGTVYKGILSDQMVVAVKRSQRVDQSQVEQFVNELVILSQVTHKNVVQLLGCCLEAEVPLLVYEFITNGALFHHLHNTSVPMSWEDRLRIAVETASALAYLHLAAKTPIIHRDVKSSNILLDGSFTAKVSDFGASRPIPQNQTHVTTLVQGTLGYMDPEYFQTSQLTEKSDVYSFGVVLIELLTRQKPIYDGQMDEVKSLAMYFSTLFHENRLLEIVDSQVAEEAGMRHVKTVAQLALRCLRLKGEERPRMIEVAVELEALRRLMKQHYVLKGEEEPVLWESRCHREMNLCAQSSFIHDGTVKDESMEIILLPSGDLSC >cds.KYUSt_chr4.41561 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257166058:257172881:-1 gene:KYUSg_chr4.41561 transcript:KYUSt_chr4.41561 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLPGLLQQEVTIPKTGDGTLPATAIGDRMESKALASTGTSSAKIMAVKKKIEEIQGKDIYPRGQQMLIYKDKVLKDESTLDENQVTDDEFLVVMLSGLYYMPVLGVISVLYYALDYFDKLNAWGNNCMNLQSKASAFSGTLSAKIMAVKNKIEEIQGKDSYPRGQQMLIYMGKVLKDESTLDENEVSENEFLVVMLRKPLPLCLYLVNLHFLSPLLPHWIAATTKVHKPFEPREFGSMEDFWGFYLGQHSKPVTRRCHFAGTLASLVCALLAAATGRASLLAACPVLGYGMAWYSQIFVEGNRPATFGHPVWSLLCEYRMFALILTGRIDAELARLRIRPPSDATVHHE >cds.KYUSt_chr7.37871 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236181404:236183094:-1 gene:KYUSg_chr7.37871 transcript:KYUSt_chr7.37871 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCEIDGQHLTSAAIIGHDGSIWAQSPEFPQFKPEEIAAIMKDFEEPGTLAPTGLFLGGTKYMVIMGEPGVVIRGKKGTGGICVKKTCQTMILGIYDEPMTPGQCNMVVERLGDYLIEQEF >cds.KYUSt_chr5.19404 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125885172:125897018:-1 gene:KYUSg_chr5.19404 transcript:KYUSt_chr5.19404 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSAARCFPLLHETLLLSFKPRVFPDCLFEVDAKRTDPAAPIIVAAFEGDIPTIKRLAKQRKREGGSVEAVEGIKGSQSSRRLGALHVAAFAGKQKMCKFLIKDLRLDVNAAAEHDLFFPDLFGIADILLSRGANAGADVNAGSPATPLTLAATDGLADCIKCLLEAHADPNIPDEIGRMPVELAAIHGWKECVEILFPVTSRVARFADWSIDGIMQQCSHGNLHKSEEPAFKALGDAAFKRKDYTHASALYTKGMDTDPKDSTLYAKRSLCWLRMGEKDKALDDANTCKCMILDGSNCFPEQGAALIPTEDYGQASEALISSLKLDSGSGLVDEVSGFHVGAGIPGVAPHYTPPPSTFNVLLGSLLCAGISMDEVRKKLFSVSLSGQHKDYLDACSEGSFTSKEVEARWDLLDRINENAEGWENNEGYADEPPFKPHPPKEGNEEKEEKKKKKGTKKRKKKKKKNKKKEVTAYPRVNEITLGNRKYVAPNDYCDNESEYDDLPIPFTYISNHDLNEHTTFDIANLWETNSENDDDNNCHSVSAIHASSHNDIESPKLGEEVFENPFATDHYVFDTSPSNNNDGMVIDKPICER >cds.KYUSt_chr3.37665 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236949783:236952020:-1 gene:KYUSg_chr3.37665 transcript:KYUSt_chr3.37665 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTALHDSPKASSRIRAVPSSKLCAVFAEIRRTSQLRSGAERARRRLGGEMGLTFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKNQLIHDTRHLLFHYIC >cds.KYUSt_chr7.31884 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198470712:198477556:-1 gene:KYUSg_chr7.31884 transcript:KYUSt_chr7.31884 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSLASEPTRASSRKRIPSAKALAQPEPEPEHQSSGKRPLKMASSAKAKASSAKKKTTKAKATRKKLRTCAKEGDPDGDDICAEEPDEEELALAEENELAEQEEEQAAVGPPTRTRRRPVIRRRVAKPRRVQGSGNHDHEFVGEPIPQDEAIEAWPTRYQSSRAKVKARAHYRQAKIEDTIYSLNDDVYVKAAEGKTDFLGRIAEFFEGTDSHRYFTCRWFYRPEETVISTMILEKGTDHKHDPIRVFLSEEKDDISLDCILSKIKIVYVDPNLNSISKAEKLVECQFYYDMSYSEAFSTFANIPSENGPIISDTASKFSGDTVDLGETSAKKATLLDLYSGCGGLSTGLCLGAALAGVKLETRWAVDFNKFACKSLKYNHPDTQVRNEKAEDYLVLLKEWAVLCDKYVHNVVHSDSVDPLEDEEEDDGPLGEDEYVVDKVIGICYGGTGKENTIYFKVQWEGYDSDEDSWEPVGNLRAMLMSYVAVHHVKESAGLTASETVLPKYPLPTHKVVWRGGIPTSFSQSIVYDETQESTLKEALLLGEAISDLPEIGNHQPNEIMEYGGNPRSDFQQYIQLSRKDMLDYSYEHKVCSEDGKLLDHQPLQLNKDDLARVQQIPKKKGANFRCLRGVRVGEGNKVEFDPEIERVYLDSGKPLVPDYAMSFVGGKSKKPFGRLWKDETVATVVTRAEPHNQIILHPDQDRVLSVRENARLQGFPDYYRMWGPIKQKYIQVGNAVAIPVARALGYSLGQAYKGNSEGLDQALFVLPNSFTSLGQAAVPDVNIPSPVGELVE >cds.KYUSt_chr3.4945 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28141020:28143996:1 gene:KYUSg_chr3.4945 transcript:KYUSt_chr3.4945 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHGAGPNLFVQEADWYNEILLGAVVPGDWWRGMPHPMRSWLRNTVGGFLLYFLTGFLWCFVIYYWKRHAYIPKDSIPSVEAMKKQIIVASKAMVVYCALPVVSEHMIESGWTRCYFHVSEVGWPMYFVYLALYLIFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKENTLSPFAGLAFHPIDGVLQAVPHVFALFFVPAHFRTHTALMFLEVIWTSNIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTVWMDWMFGTLREPEDILKKD >cds.KYUSt_chr5.20143 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130911876:130916975:-1 gene:KYUSg_chr5.20143 transcript:KYUSt_chr5.20143 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYAAEWLGCGLCEQREKRHHCGSRCAHDGKEGHVDMANKRIQKELMDLQKDPPTSCSAGPAGADLFHWQAMIMGPSDSPYAGGIFFVNIHFPPDYPFKPPKVNFETKVYHPNINSSGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHLYKNQRSRYEETASAWTQNVQVNTSKHEVDLGGRMARPTQGSIHGGTTSGSVHGTPRVDLHPTATKDFHGHVPVRHRSAAQMGLASKPSSVSLSPLPCRRGVSRRRLTLVPFGDDGGEVPEGCGEVQGLARQGGGRGKGADGRGFPSANSEPDPWRSGGGLPLAGVGEARAEEEAACGARSAADSGHHDINLCIGSFGPIPIEIAPHMSFIVSRRFSSSSGSSDEAGAATLWHGPCGGEAWSEEEIPEQNPPRQAQGQGGGVVHNSAQRHEGLLQANSACWAARLSHASSISPFHGDGRSKSPNAANVGADLRSERGFTQGSCGSNLVVCFQLPNLADGEDSASFGGREEGGLRLQPQ >cds.KYUSt_chr6.16563 pep primary_assembly:MPB_Lper_Kyuss_1697:6:104248870:104254203:-1 gene:KYUSg_chr6.16563 transcript:KYUSt_chr6.16563 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSRDVSAEGGPENYITSLPRRNRDVCQYPPTRAPPNCIQIDGYGPRLQDLFDNVFGAAKLPSMDTVRYVPLLITADFNRGPSRNVLHNLIIQVHLLISKPPCMRDSFDQKLLKSSMACCSSRNVRFQNDLEIQHLKASSLESPSTSRKQSKLPHDPRKCNLRSRGCPERSCRSLKDRVLSRAFSEELDSLMHSGNQLLFDPRGRVIHLWNKIFLTACLFSLFVDPLFLYLTGTQQNMCIEFKYSLALTLSMIRSLLDVFYAVHIFLRFRTAFIAPSSRVFGRGELVIQPYKIARRYLSCTFCFDLITALPLPQFVIWVVVPKMKESATANRKDILRFSIIFQYLPRLLQIFPLTRQIVMATGAMTENAWASAAYNLILYMLASHVLGALWYLFSVQRQEACWREACHLEAPMCQTEFFDCNTVSSNRTIWYELSNITSLCTPSNSFYQFGIYAEAFDYKLTTSAFTEKYFYCFWWGLKNLSCLGQNLATSLFIGEITFAIVIGVLGLVLFALLIGNMQSYLQATMIRLEEWRTKRTDMERWMHHRQIPQPLKQCVRRYQQYKWVATRGVDEEALLKDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERTLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSYTTQGGRSGFFNSCRIGAGEFCGEELLTWALDPRPSEYLPRSTRTVRAVSEVEAFALVAEDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRHKRHRASMEQRMPEAGDARTGGRVRCRRHSIDGKALKKPMEPDFTEEQDD >cds.KYUSt_scaffold_2697.261 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1731744:1732376:-1 gene:KYUSg_scaffold_2697.261 transcript:KYUSt_scaffold_2697.261 gene_biotype:protein_coding transcript_biotype:protein_coding MRANAPQSATRRLAVAASTAMVATAALSARRPAAPPPALAAEAAVVPTPSPPGTVPRWGTRSYVRERFFEPGLTSEEAAARIKQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLDLDLTTAMAGVPELRRPDYVRVANELVDNMTEFDRFVRTPKVYESYLYYEKTLKSLDDVAEFLA >cds.KYUSt_chr2.54226 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338381270:338386008:1 gene:KYUSg_chr2.54226 transcript:KYUSt_chr2.54226 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNMGGWYEAAEGWYETAEEAHDPRRTAAEEEADAVHHREMAQLNAAIEERLTELTHDSREHEASVRAGRRCLDDLIERKNELIAQEAARRLLLQMPSPDRCAHEVGVEAELGRHARASASPVGEQGGPGRWPRPLEAREAVERAAAHERKLSGKRTITPKEAERARALATRTDRRRVATEEHRRATKLHLRTSSIVPRKVYGRVAEKDYIVKMITEEISDGVVVLPIVGIAGVGKTTLAQLVYNDTNAQFDQRIWVWVSHNFDEVRLTRDILDSFSGERHPEINCFAKLQEMLRSYANSKRFLLILDNVWDGMNKVLAPLVSSHVNGNVILVTTRNMSVAQSLGTLKPVKLDALANDDFWLLFKSHAFGYENYDEHQSISNIGRKIAEKLRGSPLAAVSTGDLLRKKLNTAHWRDILTNEDWKSMQLSRGIMSALKLSYDQLPYHLQLCFSYCSIFPYSYQFLGEELVSFWIAQRFVKCNNSSQSVQGIGRCYLIDLVNLGFLQEVKREESYLGSQTLYALCGIMYDFAMMVSKVDCASIDGLQCNKMPQTLRHLSIVTESAYNKDLAGNIPGNKNFEENLRSVVISVRKLRTLVLLGHYDSCFLLLFQEIFQNAHNLRVLHMSATSTDFLKRGYDEVDGAIPQVLSKLYHLQVLNVGSYTDPTIYNQINNLVSLQHLIVHKGVYSSIGSIAAFQEPDGYKFQISSGFEIAQLQSTDEFVQHWMHVKTLEEACEAVLRNCELSEKLILFCKETYADIGMELVCPHPGIKDLQISGLFSNTFAAFQTVHIGGCAEWRILPSLGRFPFLTKLKLSGLVEIKEVLVPSLEELVLDRMPKLEICSCTSVEGLNTRLRALQIEECKALKEFDLFESGDKFRMEQRPWLPGLRELVLSDCPHLSVSKPLPPSTISCELHISGVSILPSMKGLSSEKLYIGNLSEEEDLEDEIDVPSNDLTILNDQILAFDNLRNLKSMRIAGCRNLMSFSLEGFSHLVSLKSLEISMCSQLFCSDMMSDATLEDLTAANWKAFPCLESLSIESSGIGGKWLSLLLRHAPDLEELYLAGIYDLSSGEEDDALTGLAQEGLVHIPLNLTSSLKKLTCKVCRHLTFNCSEEGFSGFTSLQELIISWGCAELFSCLLHKDGNDLTSLKELVIEWSPGLESLQLHSCTALEELTIKYCGGLTTLEGMESLGRLRHLAVSSCPGLGPCLEGFSRQGCELFPQLETLETDDPSVLTMSFCNHLTSLQELKINHCPILLLDLPARLHLLTSLKRLKLYNCRGILRLPETGLPLSLEELEIEHCSKELADQCTRLETSKLRVKNFRCW >cds.KYUSt_chr6.32708 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206020446:206023599:1 gene:KYUSg_chr6.32708 transcript:KYUSt_chr6.32708 gene_biotype:protein_coding transcript_biotype:protein_coding MPMETRDGGSRLEPASLPCSYVPLPDDAEAAVVGRGRRTGPLLAALLLALAAVLLAVATLAGVILVGQLPATGVVMPNQPATVDEAMSTTSSSRGPESGVSEKTSGIPAEHGGMLGADAAGSNAFPWSNAMLQWQRTGFHFQPEKNWMNDPNGPVYYKGWYHLFYQYNPDGAIWGNKIAWGHAVSRDLLRWRHLPVAMFPDQWYDINGAWSGSATVLPDGRIVMLYTGSTNASMQVQCLAFPSDPSDPLLTNWTKYEGNPVLYPPPHIGEKDFRDPTTAWYDGSDGMWRIVIGSKDDRHAGMALTYKTKNFIDFELIPGVLHRVPATGMWECIDLYPVGAARGIDMTEAVAAASNNGGGEVLHVMKESSDDDRHDYYALGRYVAATNKWTPLDADADVGIGLRYDWGKFYASKTFYDPAKKRRVLWGWVGETDSERADVAKGWASLQSIPRTVVLDTKTGSNLIQWPVVEVETLRTNSTNLGSITVDHGSVFPLSLHRATQLDIEASFRLDPLDVVAAKEADVGYNCSTSGGAAGRGALGPFGLLVLADAKRHGGDTEQTAVYFYVARGLDGSLRTHFCHDESRSSRANDIVKRVVGNVVPVLDGEALSIRVLVDHSIVESFAQGGRSTVTSRVYPTEAIYANAGVYLFNNATGARVTATSLAIHEMDTSYNQAYMASL >cds.KYUSt_contig_1181.324 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2219078:2220711:1 gene:KYUSg_contig_1181.324 transcript:KYUSt_contig_1181.324 gene_biotype:protein_coding transcript_biotype:protein_coding METVQQSELQGRQHAWWRPLDTMAFCSSSSDMSNSDGFFPQLQWDPLLWYFGLGDDHRAIEDELGLFFPKCTSQCMESPCSQQEEETVVPEDQLDELLRNFWDAEEKEQHLVGLNTSCVAASSSHDDDDLLALCSALPVGSTSPEKAVTGTQPDQSAPSSSSSRCSVTRHAGGGGAAAAQHQTTRTNCSSKRSTAQEDTDAWSGKRSRTASSSGAGPTVVRPFAVVKPGGGGVDGQATLAEINERVLSRPTRPVPHPVGEFACAPRTLAGGDDRPAPSGKAVAGFTRLHTVGRGTITIIRTSG >cds.KYUSt_chr1.2463 pep primary_assembly:MPB_Lper_Kyuss_1697:1:14587064:14587588:1 gene:KYUSg_chr1.2463 transcript:KYUSt_chr1.2463 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGDRSPHGSRNVATVSRVQGDESGKPYRPLPIPSGKVITISQDSEHYAISQGLKNIKTDNSKKMKNTLTRGTISEVMDEDERRSDSDACRATLEVIAGKADTLGQNVNLTGCEEGGRSATNGQMSEFLFSEEEGYTVNNILAESRHRDGSIYKDMDLWWKDDYRIADRNESK >cds.KYUSt_chr2.9329 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58761375:58762359:1 gene:KYUSg_chr2.9329 transcript:KYUSt_chr2.9329 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRAARTAATVAAGARRKPGLLPVAVAGISSSSGAPSGGRRRKGQRRGEAKPQPQPQPEVPSKKKPNARSAKDRKARPVEEAQGQEIEFELVKLQPEKPKRVVKWRCATGCGACCKLDKGPEFPTPDEIFSDFPDHLELYKSMIGPDGWCNNYDKTNRTCNIYEDRPFFCRVEPKVFDEFFGVPRSKFDKEACSACVDNIKMVYGQDSAELGNFKRVIKEESKKHEESMKEVQLLDT >cds.KYUSt_chr7.10384 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63714853:63716918:1 gene:KYUSg_chr7.10384 transcript:KYUSt_chr7.10384 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAIVVLLLAVAFVSATTVAEARHHHRDGYARVFDRQQADLVDALPGQPAEVGFRHFSGYVTVNETHGRAHFYWFFEATHDVSKKPLVLWLNGGPGCSSLGYGALEELGPLLIQKGIPELRLNPNAWNKDANLLFLEQPAGVGFSYTNTTADLERFGDDIAAHDAYTFLVNWFERFPQFKGHDFYIAGESYAGHYVPNLAAKIVEQNKKVHKSRHINFKGFMIGNAAIDEASDDRGMVDYAWDHAVISDELYANITDNCRFDAGQSSDFSSSNPNPATAACDSAMNSFYEAFNDIDIYSLYTPSCTATTSSMARQARPLHRRSPNSDNGKPLRPRYNAYDPCLDNYVSDYLNRRDVQDALHANTTGSIPYGWSACSDPLFQHWQDSAASTLPVIKKMVDAGLRVWVYSGDTDARVPVTSTRHALRKLGLATKKQWREWFTSDQVGGYTVDYEGLTFVTIRGAGHMVPTVTPVQASQLFAHFLAGEEMPQKPIVA >cds.KYUSt_chr7.21510 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133405729:133408563:1 gene:KYUSg_chr7.21510 transcript:KYUSt_chr7.21510 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATVPSPSPDPTPTTTPPSPPQHNPPSSAVHGSEIAPPDQQLAVVAEDGKPGPGGGKLVAEAMRKHAAPRSSRFHGVTRLKWSGKFEAHLWDNASQVQGRKRKGKHGSYVTEESAARAHDLAALKYWGINQHTKMNFNVSDYEKEIEIMKNMNQDEFVAYIRRRKDGKWQARIGRIGESRDTKDIYLGTFETEVEAAEAYDLAAIELRGVHAVTNFDISNYCEDGLKRLEEGCEDGLKRLEEGREDGLKRLEEDREDGLKRPEEGREDGLKGPEEGREDGLKGPEEGREDGLKRLEEGCQDGLKRLEEGCQDGLKRLEEGPSEVTNLEDQPEVTKLAGQ >cds.KYUSt_chr1.1666 pep primary_assembly:MPB_Lper_Kyuss_1697:1:9391119:9391550:1 gene:KYUSg_chr1.1666 transcript:KYUSt_chr1.1666 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVKLGLLILVASVFVGICNGLCRYEVSTYTSNEDGAGTDAPIIFKLYDKEGLITTRTASSLGGAYERGSTDTFTNHGERCADPCRITLALHWTAYLIPGSTWIPDTVDVNVYEDGLKYQRHFLFVNKVYADSTRVINACK >cds.KYUSt_chr4.9397 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56708094:56714127:-1 gene:KYUSg_chr4.9397 transcript:KYUSt_chr4.9397 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASNLGDAQGIPFFIDNIIRFHVDAGIPGVAPHYTPPPSTFNVLLGSYWFDKPWFLNETAKWEKITIVAAVSCTLLAAWNLSKGHPHYDEPPAYPYLHIRNKEFPWGPNGLFETKHDH >cds.KYUSt_chr7.9096 pep primary_assembly:MPB_Lper_Kyuss_1697:7:55400186:55400636:1 gene:KYUSg_chr7.9096 transcript:KYUSt_chr7.9096 gene_biotype:protein_coding transcript_biotype:protein_coding MACLCLSAWRIQPSTHVWSREAGQDGDVEWAQRRVIHLEMLVPRPGCCSTPDWDGMSGGLLVGSADGAIFLSTHAGSYTVDITSGQVRKITNMRFTDKIIPYTSFYTLVSLNMKA >cds.KYUSt_chr2.29278 pep primary_assembly:MPB_Lper_Kyuss_1697:2:179988570:179991510:-1 gene:KYUSg_chr2.29278 transcript:KYUSt_chr2.29278 gene_biotype:protein_coding transcript_biotype:protein_coding MWGMEKKKKKEEKKEKTCLIPGGHWLVHSEIRGWLVMKELGGGGRRVASKDEDEEEDDNDEEEDDVPPTMVKQEPACEDYGVLTAAASKDEDEEEDDNDEEEDDVPPTMVKQEVLVPNGYDEAAAIARAMWKSPRPRRMRCDINRPR >cds.KYUSt_chr3.16046 pep primary_assembly:MPB_Lper_Kyuss_1697:3:98630555:98634617:1 gene:KYUSg_chr3.16046 transcript:KYUSt_chr3.16046 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRISNLRVEHSRRAALSSNKKSFRDELKFQLDMYKNMENSISGMIEEQNEYVGYNSDDSEMDENLQHEADWSEDEFDIVYDEFDNDDNHGEHTDDQNDENHGEHTDSLNTSEAQFDTQLEYDYFGESDLDTGHTDDVEGASVPEDSVDMSQIVMPSASQPEKTQKGSNGNEYPDNNRDLYSMIKEMAFISEAAAFSFYNRYAKDYGFSVRLDQKPKAVITDADAAMIGAIGEVFSGVSHRICSFHIEKNMEMHLPNKSLNEFRTLYYTTSEQVFEVRWHAFYRKWQSPKTRIWMKRMYNKRKLWAAAYLSEGFWLGMKSNQRNESLNSCLHLHLDGEMTIVDMIMHYDNAIVRLRENEAHDDCTASQTTPVPITNFRELEVAAAKIFSPPVFYIIQEEYDLLNSTIDGIISRREGYAKGKAYGPLRSVHENEDASGPCDIVIGDPLKVSTKGAPRQEAQKSLMTKNGRPLGHKEHGVQVCGACQEEWHNRRNPICIFHPKNVLQQEG >cds.KYUSt_chr6.10218 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62843830:62850381:-1 gene:KYUSg_chr6.10218 transcript:KYUSt_chr6.10218 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERLRGGSKAWSLSGDEEHGIRGSAAARRSEERQDGLHAVVLQKDERGVPASLARMLHFTWELALCAVCVLACVAASVYLIWRYEGSGRAAAQGQSSEGPQPRPPGIVYNDETWRTCFQKIDPRVLMVYRLVCSAMFTTLGAVMFTDTIYWLIIFPNIIHKRGGDHVTFWNIMPHSFNFIFLLGDGALNSMALAITNQVPDTQLDLKDTRNLEVRRKNKQGVRTRGVGEEEAVCSL >cds.KYUSt_chr3.24184 pep primary_assembly:MPB_Lper_Kyuss_1697:3:150095043:150096961:-1 gene:KYUSg_chr3.24184 transcript:KYUSt_chr3.24184 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRDVQIRMATQLNEQSDKEALPSLLIQVPSRTIAGFDCVGRDNAAAPLDTVICIPAPATPPPAAPVPAYDDAQVPYAVSLSMPASPSGFHLSQFRTASVRRDEEPAVSKPATLQAEEHSPRLLKQTRFHSQPILHASQQLNEGPRRADTTRDKRFDPFKTFSGRLERQLSNLRGHPIDLHSPDSNISEETDQVPGTDRYFDALEGPELDTLRSTEVAVLPSDEKWPFLLRFPISAFGMVLGVSSQAILWKALATAPPTAFLHVSLTVAHVLWYVSLALMGLVSCIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGAPIEMTQVHHGVWYLLMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYIVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKINGKFDNGARVAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGASIATITYATEVTNLLTRTLSIGLSGIATVTVAGLLVTTMFHTFVLRDLFPNDVSIAITRRKPKFSKILAHFRSSSSDMKDLVLSVSKSSNCDSDSTTDTDPSVTKGKAEP >cds.KYUSt_chr4.953 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4951614:4952231:1 gene:KYUSg_chr4.953 transcript:KYUSt_chr4.953 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRMQRGGTGRKRIDTARLIQNPAARQVAFSKRRNTLFNMAGDLSALCGVQTAVVVFSSSARGNCYAFGSPSVDAVLRRLDDVALPAAVGEEEDAATLMALRQELEDTKARVEAEKARIKAVEAGVKRAMEAARTQHWWEADVNAVGAAELPEFEAALCRLRDAVLRHTDSMHAADKNGSTSFTATTGRVDDALHADHTLYLNN >cds.KYUSt_contig_1181.1386 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:8995617:8996262:1 gene:KYUSg_contig_1181.1386 transcript:KYUSt_contig_1181.1386 gene_biotype:protein_coding transcript_biotype:protein_coding MANCRCGPCLSPRIASADDAAQNRTTLRVMCHSIGRFHRLQRAVPVSINGPQANSVEYQEGESRDVAPLKRGELGHETAAVGDGKERDERRIGRPDELHVVLAVIERLSSTMNSGAALQQKTAQKDSSLVLKIEQKGWGCATRLGSGRGALAAPTRCPQKQKATRSSAVAVISACAL >cds.KYUSt_chr2.12037 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76421601:76428573:1 gene:KYUSg_chr2.12037 transcript:KYUSt_chr2.12037 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTPAPSRPQRSPDEVEDIILRKILLVSLTPPSSPNPAVAYLELTAAELLSESRPLLALRDTAERVLIDRLSLPDPPATSPPPFAFLAAAFRRAADEARKISTIRDSALQARLKASIAHIRGLILSYARIVAGNPDTFPSPPNAPHPAAELLIFLLAEAADSLDPTPAPGAPPPPGFLDELLGNADYEAIEPVMAELYERLRQRVDKVSALGDFQRPLRVLRRLVGIPNCAKALVEHPKWIPKNQIMLIGEGRVMELYSLLGAFFHVSAIPDREFASQPDVGQQCFSEASSRRPADLMSSFATIKSVMNNLQDGLRDILLVLLKNLDTRDKVLEYLAEVINKNVGRSRMQVDPLKCASSGMFVNLSAVMLRLCEPFLDQMESKKDKIDVKYLFCNDRINFKDLTAINASSEEVSSWIESWSYAHAQDDSSGVARSVESQESTSSGKSSSVSLPSKGGPPARGSKKENFSFICECFFMTARVLNMGLMKAVADFKHLSQDLARYEDDLESNKVLREQSDSSPQLEQDIKRLEKIVAVLSQEKYCYEAQIIRDGAFLQRALSFYRLMVLWSVDLVGGFKMPLPSQCPKEFSCIPEHFLDDAMDLLALTSRVPKALEGFPLDDFLNFNIMFMSSSSYIKNPYLKAKMVEVLNCWMPQRSGLKSTASLFEGHQLCLDYLVKNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANTVAWNSRPVQEREERLRVFHQSENIVRFDMKLANEDVGMLAFTSEQIPAPLLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIVTIYVHIARGDKEAIFPAAISKDGRSYNEQLFASAGNILWKIGVDPQIIQEFTQLASKAKAAASEALDAEAILGDIPDEFLDPIQYTLMEDPVILPSSRVTIDRPVIVRHLLSDSTDPFNRSHLTQDMLLPDTDLKLRIQEFVRSQQSRKRPAADSKMGEPDGAVDMVE >cds.KYUSt_chr5.16184 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104207701:104207931:1 gene:KYUSg_chr5.16184 transcript:KYUSt_chr5.16184 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAFAYGDAAYKAVAAGRRHACAVDAMGGLVCWGDGNPDVLREELPADMTAMALGHNTTCILKGHGCRLEGGGE >cds.KYUSt_chr2.4479 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27727496:27733196:-1 gene:KYUSg_chr2.4479 transcript:KYUSt_chr2.4479 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVDGPPSSQPPPPPTVQSVLHLASRDPSAAVPLLRALPPPALADLLFSLSAASPPNHLSLLPALLSLSPPPSAASAVFSSLLNAPSWPTPALLAVASLLRDLPAPFRSRVPDCLAKVLSLLPTADVQDLPALAYQLLLLASKPLHPRAVLAGLLRFFGTRRARAPPSIARQVEGTVLMHVAFAVKQDPALAREVLAAVKADAAGVLSGFAVAVLLSVARVRRFSDGAVGVLRDAAATSRRDYRMSRRCKWLPDCLKEESTRTAHCVEKALLKAVHESIGGREHVVPSIVQVGFLLLGASDGDRGEEDAPGQGVMSTGEIGVNMLKSLFEIHEMARTEIIEQCKFRILSAKPQQCAPVLRLLGLLVRSHPFPMVEYVAHLKELLDYFAFMNDKISTGLINCILPLTKFNRDLKDYIILVVRKAMFKREDAIRIAATNAIVELIVTESEYRNNEANPLQDSSSQPSSSQLPEIHQEVGGVLFQELSGLLRRCLSQQVRVKMVLYEGLIRIVTSDPAVADSVLDFLWPHFLNYYIEDAECPLKMDSCFKVEDAKVCIVEPLDCLLSCVSCILRVQQNSKCSRPNDAYWKCFGFAPTQDNEDGRSSSRDLFMKGLSNIQKYLRKCITEDQKGQTQEAGSTSSSLEIVHCNNTGMPGIIEVFVDFAASKLAEASDESKEKLEKEILELVHAHSIFERKTSKNREKVARGRGDSRGAPDRQTNEPKENSNASFPKLHEKRGKFTNSSLYELLVMCVKLCNADNYDKCSQRPSQSKLNQWSSLLSFVLKACLEMFRSLTAKASDVTIGNLRRMMYEDVKKLAQPIVKLIWWLMFDSENGGFKNTTQGKKTVENKRDQLYLALACFKELFKLSVSEDCSSDMIELLISLAPSNIEDMLDADQLVDNDATENPENRGTNVFLNILKMLYARALSQSLLRESEPVTELIFGVSRKLHPERRHLIGHWAAGLCRKKTVQNPSTAQEVVKLAVHLMTAPDDMILVHEMATELEKLASGDEDSRDSSEAFLIVNCKTKNSLAAVFLHMVESSLIELEWCLGKLKAMLTLGYGSSNVDEDQPADERMQRVFWEEALYSRSTSVVHVLSSFTHMSLKDSQAEQFLKLTAKFYKLLASMSKSQIAPKGYKQFIPGLKFQKLAEVTCRMLTAPLYKFVATHQENQQPHKKGTLAKIKRESKCIPDLIFQVEDYEKYLIQLSKLAKVNLLRYAKRSVARDFRIQPKEKAAEEEREEKSEEEQHEENSTPVSAASPESDPGEDGEEGQDDPADENLQASSAQPDDAVQDSESDGEEEEMPAQRQRAKTNQMVQDSESDGEEEGTFARRKRAKTNQTVQDSESDGEEEEILSRRKRAKRNQIVEDSESDEEAEDE >cds.KYUSt_chr3.35149 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220625601:220632263:1 gene:KYUSg_chr3.35149 transcript:KYUSt_chr3.35149 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLTLMMMGLKGRSMKLGRRRNHPWTWRQWSEKMAEFASSGASQLARFEEKNEERMVVLGVSSARLRDVLIAGDERGRRVRVSSLGKNRAEREKMEGVWVFYIFSAVRWRSISAAGTRDVATVVAVSLSSACGRGRDEEDEDELVLFEFIWSEGLRPVLGCGLGWALGCSVDCSVGWRGSTLPGAPLWWCAGGRQFTTQRTTIERLLLLIRSTLMAHELEDGWPLGLGLGALNARAGVARSVGSASSTTPFTTPSHCVSSFASPDVDTESTWSLSRGGGGGGGITLATLIGLVDAMESRRSSRDARASRSGGKLRALLLSLCLRSTLESGPSIAPSLGQFLEMERRAGGRSGHVHRMLPWNRA >cds.KYUSt_chr4.20302 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127675065:127675685:1 gene:KYUSg_chr4.20302 transcript:KYUSt_chr4.20302 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSTQAPRSCRGDEHVGGANGPNHSGGQVDAGGRSLLDDLHQLKGDSRKPASPERGSWTPTAERRGPEVRARATLHHQLATVAGAADSNTRQKPGGQNTRNRRNQPRLDLADGDKQDPKLLTVAGEMGLEPGPPYWTARRRHHRNAAPPNPTTTPIYTPGQSTGVPPPSGRRGDQRRGGEPAGSPAEDETRLGRPGFSSPYCSRG >cds.KYUSt_chr3.6354 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36417125:36422323:1 gene:KYUSg_chr3.6354 transcript:KYUSt_chr3.6354 gene_biotype:protein_coding transcript_biotype:protein_coding METGVRMWRWRCCVVAAALLSVILPPATASLSPSGINYEVVALMAIKTELQDHYNVLDNWDINSVDPCSWRMVTCTSDGYVSALGLPSQRLSGKLSPGIGNLTRLQSVLLQNNAISGTIPATIGRLGMLKTLDMSDNHLTGSIPSSVGDLKNLNYLKLNNNSLSGALPDSLATIDGLALLDLSFNNLTGPLPKISARTFSIAGNTLICGVKSGDNCSSVSLDPLSYPPDDLKAQPQQGMARSHHIAIICGATVGSVAFVAIVVGMLLWWRHRRNQQIFFDVNATDQYDPEVCLGHLKKYTFKELRTSTNNFNSKNILGEGGYGIVYKGFLRDGSIVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTECERLLVYPYMPNGSVASQLREHINGRPALDWSRRKMIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHQETHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGVLLVELITGQKALDFGRLANQKGGVLDLVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKFMDFAADESSVGLEAMELSGPR >cds.KYUSt_chr2.20616 pep primary_assembly:MPB_Lper_Kyuss_1697:2:129571009:129571317:1 gene:KYUSg_chr2.20616 transcript:KYUSt_chr2.20616 gene_biotype:protein_coding transcript_biotype:protein_coding MELARVLQLVVDEAAAAPLSVSLSLRFSHSRSHLIPPPPFSQEPSGTAAVIKFVYGVADLAADRIVAWELFRLFKPYPSTARVSPASPHTPTSAPHSSSARR >cds.KYUSt_chr1.2316 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13627520:13630643:-1 gene:KYUSg_chr1.2316 transcript:KYUSt_chr1.2316 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTECAVVAVNRSGPNESPVGSRTRPSTPSSGHRPSTPSSGYRPSTPGGTRRRAVGTGTGGGGGGTPSTPRSRNGGGPFRSEPNSPPSARPRLSFDRSPRSADTKPVVERRVPKIGTPPPDKQLRREAELQGRLESAHEDLKKAKDQLAFVAGEKDRLLGELGEARHVADEVHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQRRDDEWQREVECVRGQHAADLETLVATTEELERFRRELAVANESKKAALGHADDAMKIAEVNAEKVEILSSEVMRLKGLLDSSTASEDSKIREAEAFIKSLESEISVLKGKLEEAKVLEEKLADTEKQTEELKSQLADAKKAESELRQQFEEWKLKAGSLEMELEELTLSEKAKSETLISTAQELDTTQSILQDRESEIEVLKGKTTALEIEVARLSADINESSEHLDASQQELFGLQTTIDVLKNKLEAAEEVASLALNSEKNANANIEILTEEKIRLINELDDARDREEKERRAVEDLTAALSEASGKAKEAHERLQNKEDDYEHALAQIGDLKLSLNSTKENYEVMLDEANYDITCLQKTVGTLEAEVIKYREECEAKEIAIVNSSKQSEEEIGTLKAEADKVVASLRDAEHKLETVNEEKEMLQEKLMHTESAVAEANMVVQEVKAEKEGLLEQLVQMESSVAEANKAVQEVKAEKEDLEERLMHTESAVAEANKAVQEVKAEKEGLHEKLIHTESAVAEANKAVQEVKAEKEDLQEQLTHTESAVAEANKIVQEVKAEKEGLQEQLMHMESAVAEANKAVQAATSESLQLKDRLLDKENALQSLTQENDEFRLREAEAMKKIDELSALLAEAMVKKHPEEEEKLVVVDEVHSSAREVVADSGAETEDTEGESDKKPNMELIVANGNSNRDMNQEEEKEDCKVAQKEVKMEETMVQESNKVVENQPWTDRKQETESSRDDQSSKDDSSTDHVNGTASAEVTSKVAMSPVTKQKKNKPLLKKFGSLLKKKSSK >cds.KYUSt_chr3.19676 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121142535:121145106:1 gene:KYUSg_chr3.19676 transcript:KYUSt_chr3.19676 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVATFVFSCLLFLSKPCARDMRLFLASICQELALSLLGFLAGYRLLGGVASTATAADAMPLMQSFKRKRPAAKVESAEDTGEPSVLDLPELAIDCILAKLPPAELRNMAAVCRSMRDRCRSDHFWESHMSRKWGSVLGTAAREEWRSYLSSSTAPGGGASCGSAGSAKHRRWLAALSCVCPVVSWMRPRADGAAGRSVGPVLDDSVMSWYLHMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDFRTDSFRARYPPHGRRTVVVEDGVHWDRIRAAPVETLAHDLHASDSLHQLRPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGNEHFCRCHLSDTVVLEFNQYTPGSRWRQSLVNRKDHREEGNEGVGFYGGIRKLHKKDEISKWKQLWPTDILE >cds.KYUSt_chr2.17553 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110578634:110579299:-1 gene:KYUSg_chr2.17553 transcript:KYUSt_chr2.17553 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAAAAANCRCSRVVYVGNIAFHAADKEVLDACELIGPVRSFRLAADAATGKRKGYAFVEYADDATAQSACRNLHGHLLRGRELRVGLADRTGASGRRRGDHDPVGMDDAIHAASLVDFSGQRHAAAVASVTRYLAGLSRHQLREAAAAFETNKLLKDTAMEMVQRLLDMAAADAAAEEAKRKRQESASAATADDHRAKMRKLEDGGKATPVPAGVACV >cds.KYUSt_chr1.6071 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37297229:37298629:1 gene:KYUSg_chr1.6071 transcript:KYUSt_chr1.6071 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSSSTTEPLNIVICPWLAFGHLLPYLELAERLASRGHRVSFVSTPRNLARLPPPRKDVDLVAIPLPRVEGLPEGAESTNDVPDEKRELHWEAFDGLAAPFADFLASACADASKRPHWIIADCFHHWASAAAVAHDVPCAILLPTATMLAAAPHQPPSASAAASVLEEHAAAEAARLAVPCYEREAVSTAYADQCASGMSVADRWFLALDKCMVLAIRSCVEWEPETFKLVAPLLGKPVLPLGLLPPSPDGGRRRAPGSSEDDTTLRWLDAQPPGSVVYVALGSEVPLSVEQVHELALGLELAGVRFLWALRKPTSATVVHDADPLPSGFQDRSTGLVTMGWVPQLSILAHAAVGGFLTHCGRNSLIEGLLFGHPLVMLPIFGDQGPNARQMEAKKAGLQVARDEDDGSFDRHSVAAAVRAVMLDGEAREGFVAGARRMQGVVADKERQERYVEEFVEQLRAYL >cds.KYUSt_chr1.38523 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235540423:235542539:-1 gene:KYUSg_chr1.38523 transcript:KYUSt_chr1.38523 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVNLGGTPHSLRETNFESLAAKELRVGSSHRPDPFVHQFFLMQERFRGYLVIVGGKAAGAGTSESAITLLSRGDGECVKSPWRKLQDRSRGRIEQGSKATYAVRTVMLVEVVRVRHGPGPVSLMVCLGVNPPPSSSHPPPPLSDVPAVLSPAEITAALRDLTTAVQEIRLFLAGPYGPPLPAALLPWQPTHQAASAAIAGPLQPTLLLLSPPPDARLLQSPLPLSTISGPSPTSAPGVRLLQQPAAFFPTGTLQQQQQLPPPPTPPLQLLSSPTLSLPFGGQLQQQQQLSSRPTPQ >cds.KYUSt_chr5.36304 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229457618:229459444:-1 gene:KYUSg_chr5.36304 transcript:KYUSt_chr5.36304 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLAILATELGDTSDFEHDGITENDVSDEEIEPEELARRMWKDRVRLRRIKERQQRLALAQAELDKSKPKQISDQAMRKKMSRAHDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVRFDKNGPAAIAKYEEENLVAADALSSVVRNEHSLMDLQDATLGSLLSSLMQHCDPPQRKFPLEKGTPPPWWPAGNEEWWVSLGLPRGELAPYKKPHDLKKVWKVGVLTCVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVRSIDNGVLEITYHSAREDRNETGNTHSSSNEYDVVGFEEAPLSTSSKDDEQGLPPAAQSSDENASREDRERPNAKHPNQAVRPKAGTKEQPKRKRARRSIVAIEQQVHGTDGAPENSRNLIPDMNRLHQIEAPGMANQISFNQVVITSEALQHTGVAQGHAYLPGSGVSRFDRFDRAQAVNATPVSMYMGGHPLPHEGSSRSESGNPFPLDPDSDINNLPSSYQTSPPKQSLPVAMMDHHVVPMGIRAPGDNSPYIDHVTGGGNPTSVPMQHLADFPFYSEQDRFVGSSFEGLPLDYISMNSPIPDIDDLLRDEDLMEYLGT >cds.KYUSt_scaffold_869.783 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:4877080:4877589:-1 gene:KYUSg_scaffold_869.783 transcript:KYUSt_scaffold_869.783 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAATATAGSSPPPKPLLLRPHASSTPATSSTTATTTVRRRASPSASATIRRRARTRPRTRRSKTLSGGGESFSGDDGDGPFGHGSGGNGGGGGWNYGFGSGSGQGWDSSEPGVPANRRSAAEVALGVVYELMCLIAFSNCTQFAVRRLAGLLAARVAALRFVPTVC >cds.KYUSt_chr5.21006 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136619774:136625035:1 gene:KYUSg_chr5.21006 transcript:KYUSt_chr5.21006 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVGDVSLAKRSRIIELSRRLRHRGPDWSGIHSYEDCFLAHQRLAIVDPTSGDQPLYNEDKTVVVTVNGEIYNHEELKAKLPSHKFQTGSDCEVIAHLYEEYGEEFVDMLDGMFSFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFISFPPGHLYSSKTGSLRRWYNPPWFSESIPSAPYDPLLIRESFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVVSRHLAETKVARQWGNKLHTFCIGLKGSPDLKAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKSTSAWGLEARVPFLDKNFINVAMDMDPECKMIRRDLGRIEKWVLRNAFDDEEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANEHVSDSMMMNASFVYPENTPTTKEAYYYRTVFEKFYPKNAARLTVPGGPSVACSTAKAVEWDAAWSKLLDPSGRAALGVHDAAYEDTPEKAPALSVDPVTEDVFRPAHVESLVPAAAV >cds.KYUSt_chr1.36160 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220418467:220420595:1 gene:KYUSg_chr1.36160 transcript:KYUSt_chr1.36160 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRQRTLDSVSSFPHRQDVKHKNSTVQIRKKEAVAGDDFRWPCCWKNGRGRYGDEDDPSPDAVQDGRIQPGAQNDVDLWCMILRNGRVALAPLTRCRSYGNLAQPHNVLYYEQRAAPGVLLVAEANAVSETARGYPNVPGLWSDEQVEAWKPVVDAVHAKGAVFFCQIWHTGRVSPTEFQPNGQAPVSSTDRQVTPHVGHDGTVLEFAAPRRLETEEIPHIVNDFRIAARNAIRAGFDGVEIHAANGYLIDQFMKDGVNDRTDAYGGSLENRCRFATEVIAAVTDEVGAGRLGVQLSPFADYVDCVDSDPETLALYVIRFMNGLGVLYCHVIEPRMCVNH >cds.KYUSt_chr3.12539 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74996669:74997469:1 gene:KYUSg_chr3.12539 transcript:KYUSt_chr3.12539 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGPIPLSRAEPTPLPHHISPDQRKTATAPISESRVLLFYSTFFRSKKRKRASQKRKGKRRERRGTGSAAAAAAAAAAMDLDALPDRHLLSLEQAVMLTDTDRAPRRVVVLSVEVRHAQPMLDIISSGIEKYNWITVDGNLGRLHQVSRLHLKLVIVNDVIIPLLVSDPTHQAASRLLLKMGWAVRHIARNVDFPHGDLYRAASSTGNLLRRHGAHIHKGTRQIDWLTGNIPVLRARIQELITMTVLIPADDEEAGGQEGGAGHE >cds.KYUSt_chr3.45218 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284881819:284883362:-1 gene:KYUSg_chr3.45218 transcript:KYUSt_chr3.45218 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFPALCYLLGHRFWSSGWMEVDFGGVVFIYHVVVDDSQWRGAAGYRRWTRTAGRGQDDGAVWCYGDIDGGSGTVNTLISLGDGFKIDGGCELCNVCNGGMADHSEHKDDAPSMGLDRWLQDVHPSRGVVMAVTITLTIFVAIVFISTCWCCFSDARRRRVAAANRQKVHPVSEAEFDAATAAPAPAAQV >cds.KYUSt_chr3.6195 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35454636:35456771:-1 gene:KYUSg_chr3.6195 transcript:KYUSt_chr3.6195 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPAQRTFFLVVLLLVLAAVESAAQQGKRYSVMDFHAAGDGSTDDAKAFAATWNATCGDSYSPTMVIPGGKTFLLSQIRLDGPCKSPVTVELDGKIVAPNFIWMTAAANLLTFYRVNNLTVNGSGQIDGNGDIWWTCFNQKKCHVRPILLAFASCNNLSVKNIHLKDSPDKHMTLFRCSQVQVNNVSVRAPGDSPNTDGINMAFSDHVYISNCSIQTGDDCVSILSGTSDVIVTNSTCGPGHGISVGSLGADGTTALVERITVSNCSFSKTMTGVRIKSWQGGSGKANGFLFENLNMTDVQFPIDIDQFYCPQGNCPQQDGAVAISDAKFINIQGTSSNPEAIQILCSKSVQCHGIYLYNVNLTCSGHTPQTRATILNAYGTIGGTVNPQVQFLGA >cds.KYUSt_chr2.47991 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300112179:300113368:-1 gene:KYUSg_chr2.47991 transcript:KYUSt_chr2.47991 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFARRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTMTDEERADPRHHPDNYTRWNSYFLQRWERELAAYDGPPPPPARNNAAGRRRWWGAPGRTLEAVIEHIEGGNSPVLTMPPLSASRASASRRRGSVWQPRRMAASSSSSGSASRSSLAPVKREEATSPSTPVRVKKEPASPPATVGRSSGALVIRERAFRAAERPEEDEERGRRKPARRGGGEARGGGRDGGGDRQRRPLDLAAARQLAARAAPTANDDVARYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQATQPKVPKVEDDGSDDGGDDYTVFYRRMGM >cds.KYUSt_chr3.34096 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213892975:213897668:-1 gene:KYUSg_chr3.34096 transcript:KYUSt_chr3.34096 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRRGGGRLPGNGDFAAMAARGRGGSWIKTPGQAAGRGQRAPVSSSSNASPAIARQAWNRNEGSSCPPWNNSTVPSDRRPTARDNPKPPSQTNSREPERKAVPNYVVTPPLANGWKWRSRPSGPESNKVNVPSSSFDPEIDSSDVEDSSDDDMSDEYDSDASAKSFKTRKMNKWFKSFFEEINSLTVEQVLEPNKQWHCPACKNGPGAIDWFKGLQSLVTHASTKGSRRVKLHRELAALLEDEMSGRGTTMVPSGEQFGKWAGLRGVTDREIVWPPMVIVMNTLLEKDDADKMHSQEKFFFDQIENIQKAMEEKEAEFDELLQVESAKFEKLLQEERAESEKLLQEERAKARQCDVDSGTSENCRLRKEQAQRFIDCHVKDVEDFKAERDELIKAHEKKKAELEKELDAALTALMEKHKPDTFQASNS >cds.KYUSt_chr2.28409 pep primary_assembly:MPB_Lper_Kyuss_1697:2:174386958:174389741:1 gene:KYUSg_chr2.28409 transcript:KYUSt_chr2.28409 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHQSIEKDVFEPLEARVTEIGRRFFGVVSSPGNGERGLIVQELPAKIRWEVQHLEELFEGIKEDKEGVYEGITSVSMAVSEWQRRLGIAYQNVGAPEPFEGMKWAFEHHEEWVEDDTTSGANNETLSFDEDELFESLRYLKTAEGGSEARLLESIKSVMQCINHVLATVRSRMDAANGSSRIVDHVFSPVLGLLKTIDHLVSEATARSNKSENYKFLAKIDEKVNCLQDALHVIDRNKREVQESFRMIEDLISPLLARLNDTCNDHLESPSFQDEIKHNINSLVDVLDMVDKKKQDGNANFTIVNAAFLPLLTCLSTFRHLSLEALAHEDKSGASVLLSSITDELSQLKHALQKVQEKEKGIYSNFDAIEQHIDEIFEGPIIDAEGSLKLRQMGGLREKLHMIHEEITNIWGKVNDSYKVQEVSIGSISAGHEASSYHPLSAADTFCIARESAQMRQLKVTIDSLHTRLRNCLMCLAVFPEDAVIKKRLLIHWWIGEGFVSSVSEGKKFFDELLVPKGFIKPVMKYHCDKVHSCKVQPWIRGILIESARSTAFVEINSDGSSKNDFTRTQRACLYDGKILTNFHHDVLTVYNIKQQYLELDKAWFSGKKCLSTLQLGRWQDSNYDPRAHHIEMNNVEFLKQFKSCKELKYLSLRGISSIVALPTSIGKLTRLVILDLKACHNLEDIPKEIVKLVKLEYLDVSECYLMSGMPKGLIKLSQLEVLKGFVLANTRSKDPCHLKELVMLKKLRKLSIRIGNSIDSDQFEKINEFSALRSLTLTWGTAVPSIPAIHDGNRVSGSSHAATTALKHAMPSVLPSGLEKLDIRCYPVAEFPCWVNPQDLKNLKKLYIRGGIISGLGEDKFWEVTVVRLMFLNLLNYAWTALQDTFKKLHILEVHECENLETWPDCQKGLWRKEMNGMFASLLP >cds.KYUSt_chr2.8062 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50709154:50712062:1 gene:KYUSg_chr2.8062 transcript:KYUSt_chr2.8062 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLVAAVLLCLVNYGVDLATAWEDKDFFKYCQPSKCSKHGPEIRFPFRLESNNTSSSCSTPCMALACSGQDTILVHPVLGPCKVTTIDYRYPGIKIIPLVDSLSPCPLQKLISRSIPYAQHQGHCDVYYQYYATLVCCSKEFTRSIHDADSVAGPVSCLSNVTHFSYLVDSFASISILSSDCKVCLDGVIPLPINYDIVPFSFKRSAEGILSFSEMAMEWENSMYEIGNSSKAGINCPECERQGKHCAFSSQRNQTFCMRHATSSVAAFVVLLLTVATALYLSLKTRYNEEIHLKVEMFLRTYGTSKPTRYTFSEVKKMARRFKEKIGQGGFGSVYKGKLPNGVPMAVKMLENSTAEGGEFINEVATIGRIHHANIVQLLGFCSEGTRRALIYEFMPNESLERYIFSADSKAYHQLLVPDKMLDVALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGISYKSDVYSFGMLVLEMVSGRRNCDPSVGSQNDVYLPEWIYEKIITGQDLVLISDMAEEEKEKVRQLAIVALWCIQWNPKHRPSMTKVVNMLTGRLQNLQIPPKPFVSSENQRMV >cds.KYUSt_chr4.51579 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320090898:320092780:1 gene:KYUSg_chr4.51579 transcript:KYUSt_chr4.51579 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKISLGLGSAILYLHQEWEQCVLHRDIKPSNVMLDAEFGAKLGDFGLARLVEKGRRSLTTALAGTMGYIDPECAYTGRTNTMSDVYSFGVVLLEIASGRKPGVVDAQEEYAIPLAQWVWERYDSGIFMDAIDERMRGKFDDQEAERMLIVGLSCCQLNSSLRPSIREAVSVLLFESPLPVLPARIPVAVHMPMVGTSAATGSSGDSIATSKLFQSFLVSMDVINSDMFVHTQELDGPECM >cds.KYUSt_chr6.22388 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141433542:141437015:1 gene:KYUSg_chr6.22388 transcript:KYUSt_chr6.22388 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVQTLASAVQLVSAMVSAVGALEQAAADAAEAPRRLQVLEDFVSDLELLMQQAKQKHAHKMHGPQLERQFQSLSRLMDQLRGNIIKARRALKKGKGKGLARVVWSSVVGDPLMKYIQLIRDDLNWWLELQKLSQSVGNAIASTAKGTPSLLRVKSEHGYPVSTKCNYVRELLEKDDGHRVVLIVGLSGIGKSCLARQIASEPPDNFVDGAIEISFGRWCSRAACSGSRSEYHKRLVRKICKFLVQIGSMTVNEDTAKDLEDVCCLLQTSLVGRSMLILLDDVWEQDIVDRFTKLYDNDCRYLVTTRDEAVYEIAEAEKVEISKDDIKRISKGILLYHSLLSAEEPPPVADGLLDRCGHHPLTVAVLGKALRKETRVEKWEKAISNLSTYATCAPGPVSYVNEKEVETTLTIFGSFEFSLEAMPANSRRFFMVLAAISWEEPVPEACLESIWSALMQDSLFPIVVSKLVEGSLIIKMEDQSMYHMHDMVSLYLEDKANDALHTVLTDSFPEYAALVAPWLFIFGKEGVKGSAEQKMRSFFSLLEFLEIGILLGSTTQALMACKSISEFEASRIGFRKILGPQIAELISVGSPALIVAVTKAITVIFFNADYANLAQSLETAGSVDKLICVLHGYEDSSTLANVSAVLAKVSEHVSVTIADEILASIPMDRIAELLSPENEEWHEIVFTTLASLIKVGNLKAVERMIEAGVDKKLLVLLGCGSEISQHHAIITLKTFCELGAPLQECMGPGLLIHLPWHARLTLERFVLSDQNVAPSPKPQYFEVLLHRILRTDNKDIIEAIQGLIPLAERANDPRVQDLLLGSNLSDRLAFLLQRREIENNQVRSQTAFLVMKLACTGGEPYVRRFLELDIVDELIVMMQSSTDDLQDSAYHALHQIVYAKGGSVVLQRFLKRGTIEKLVNLLDRKCVKTKDLVVQLLVDIAAVGTKPCIERMLSSKVIEKLVALEEAGEPFSGAVSRYIHGLNMCENIQSAERSVMKQHIMRKVRSAARGHNLEMGLVASVEACISEGTKGASSSRRKR >cds.KYUSt_chr4.54506 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336768916:336771646:-1 gene:KYUSg_chr4.54506 transcript:KYUSt_chr4.54506 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRAAFLLAVALTVLGLATTQAQLQNGFYKGKCGANDVEAIVQGVVKARFSREPAVVAHLLRLQFHECGVNGCDGGLLIDGPGTEKTAPPNLSVKGYDLIADVKTELEKRCPGVVSCSDIEILATRDAVRLAGGNAYAVRTGRRDRRQSKAGDVNLPGPESTVAQATAFFGKLGLGQMDMVLLLGAHTVGVTHCSAIKSSRLYSYGGKAGATDPSLDPYYAFVYKNYVCPNKPSSDDTVVFLDDQWSALKVDKSFYKMLQKRRGVLSVDQNLYGYGAPTRWMVDMLANTENFSWLFPQALVKLSEMPPRRARTGSNNSGNNNPNPDVRLLINAHAQLMQIINQFIANQKQNNNIHHSNNNNPPPGIDMLTRFLRLRPAKFSTALEPMIALDWLRAVDKDLVTVGCTESE >cds.KYUSt_chr5.6387 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39537155:39537814:-1 gene:KYUSg_chr5.6387 transcript:KYUSt_chr5.6387 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSPAVLPPVLALLLVVPLVVSTVGTSPTSTVPTIRSQRGKPNTVTSPPLVRALVQSTCNATTYYDLCVAAIVADPSSSTADLRGLCAIAVSAATANASATQAALAKNATTSAGSSRSERLPGGGVGRAQAQASLLLRSCAGKYGEAREALLEARESVGEEAYDYAFVQVSAAAEYPAVCRTLFRRKRVAYPAELAKREKALEHLCTVAIDIITLLS >cds.KYUSt_chr4.37091 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228138051:228138278:1 gene:KYUSg_chr4.37091 transcript:KYUSt_chr4.37091 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQFNICSNVAIAKKLDAAISMLLQEEGLRTFASTTMGKDGGGDAAMDPPAVGKCERIFSAVQLFDVESPIDDF >cds.KYUSt_chr4.44273 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274336018:274339646:1 gene:KYUSg_chr4.44273 transcript:KYUSt_chr4.44273 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRAPPRTSSPAPWRRRGRHGGFAAPRCASAAAPAATLETVSSSPASLSFPILVNGCTGKMGVSVAEAATKRGLHLVPVSFSSRENLDKTVQIGGTDVEIYGPSAREDVLASVIDEYPDVMVVDYTAPDSVNSNAELYCNLGVPFVMGTTGGNKQLLYKTVQDSNNYALISPQMGKQVVALLAAMETIAERFPGAYSGYRLEVLESHQAGKQDTSGTAKDMIACFEKLGMSCDMDRIVKIRDPEQQLYMVGVPEEHIGGHAFHLYHMTSPDDSVSLEIQHNVCGRSIYAEGSVDAAVFLYKKVQSMDPKRIYNMIDVLEAGDMR >cds.KYUSt_chr6.9510 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58685422:58685889:1 gene:KYUSg_chr6.9510 transcript:KYUSt_chr6.9510 gene_biotype:protein_coding transcript_biotype:protein_coding MLERISPNSSNPTGIHRQLPTSFRTTKVQGQTEREVAITDHRQNEEEALIERGLTVKAKSGQPPPRWRGGTPCQSSPDAAEEHRIEQPVGREKRSLIGEDAWCPSPPPPDLLQPETNGNEGENHEHPDPARRIWIPPSGITPRHGKKPARSTTKA >cds.KYUSt_chr1.32467 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197191046:197192359:-1 gene:KYUSg_chr1.32467 transcript:KYUSt_chr1.32467 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRRLAAVVPASLRRSLCTAASPSPSHPPWTMLYNRPALNESGAPPPPPGARASLDHFKASLVTQLSVPAHLIDPDGDATGFLGGTVRAASSDGLLLLDFDDARQFRHSWLHEFYAAGGPVEPDASRFVCNPLSGQLFRLPAPGMAVAKMGTPFGLLTDSSDGSHGPPDRYVVAQLCRGGCGELGSRRVVRRFLSETGAWDEQELVGPSTVPAGRKMCIDLKHEVVAFGGRLWWADVRWGVCSVDPFSDQPEHRFVELPHDSVLPDLAGMVGTSTLGRYRRVGVSEGKLRYVEVSNTRNPFVVSSFSLDEEGRCWTLDYKIKATSILPQGFEGLEHNIPCTAAIDPFNANFVYLNYGPRIVLVLDMAKGKNLGGFFLPERIGCQTLCPSGFLVPCMLPTWLESSHIPCAGIYDSLVRFYDTLDLDIETLVFALL >cds.KYUSt_chr2.28552 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175230460:175231254:1 gene:KYUSg_chr2.28552 transcript:KYUSt_chr2.28552 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKGSKMLQFVNYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRRLPPSKSSKTTGDREERRTLGLLLLRGEEVVSMTVEGPPPPDESRVKSSAAGGALSGTGVGRAAGRGVPTGPLLQAQPGLSGPVRGVGGPAPGMMQPQISRPPMPNLSAPPVAYPQVVRPPMNMQPMRPGGPPPMQMQFQRPPGPPQPYPGGPPQQFMRGPPMGPPPGRPGMPGMPGMPPPPGMRPPMPPPQFGQPPRHGMPPPPGPQQPGQNPQ >cds.KYUSt_chr4.40754 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251707904:251709989:1 gene:KYUSg_chr4.40754 transcript:KYUSt_chr4.40754 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGGNKGEGPAIGIDLGTTYSCVGVWQHDRVEIVANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGAADKPMIVVTYKGEEKTFSAEEISSMVLVKMREIAEAFLGASINNAVVTVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKKSTSTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFRRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMDPVEKCLRDAKMDKNQIHDIVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVTFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIERMVQEAEKYKSEDEQVRQKVEARNALENYAYNMRNTVKDEKIASKLPAEDKKKIEDAIEDAIKWLDGNQLAEAEEFEDKMKELETICNPIISKMYQGAGGAAGMDEDMPSAGAGTGGGSGAGPKIEEVD >cds.KYUSt_chr5.34458 pep primary_assembly:MPB_Lper_Kyuss_1697:5:218469900:218471881:-1 gene:KYUSg_chr5.34458 transcript:KYUSt_chr5.34458 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFSVVVLVILLGASLTSGATVRQKDVLRTFIKSRAHTLTSEPNTWADPNSSFKHLPTKCKSPPPGTREADKIAALPGQPPRVNFDQYSGYVTVSEEHGRALFYYFVESPYEAASKPLVLWLNGGPGCSSLGAGAFQELGPFRVNPDGKTLSRNRHAWNNVANVLFLESPAGVGFSYSNTSSENSESGDTRTAVDAYIFLLNWLERFPEYKGRDFFIAGESYSGHYVPQLATVITALYELGASSFNLKGIFVGNPYLDNYKNQRGVYEFLWNHGVISDEVWGNIIAHCTFGRVEGKACGEAKSSFRIGDIDRYNIYAPVCLESSNGSLHSSSYLAGYDPCIDAYVDAYFNNPKVQKAIHVRAKTEWSECAGIHWTDAPVSMVPTLDWLIATGLRVWIYSGDMDDVCPITATRYSVKDLNLAITKPWRPWYTPQSEVGGYAQQYEGGFTFASVRGAGHLVPSFQPQRSLVLFYSFLKGVLPPAFPKEISA >cds.KYUSt_chr4.22847 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143674724:143675937:-1 gene:KYUSg_chr4.22847 transcript:KYUSt_chr4.22847 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHYAALLRRAASLPSLPLVASIHAAALRRGVVLVPSLIHAYSACGDPISAHSVFDELPWQEQTLSARTALASAMSAHGRCQDVLLLFQGREGEMDDKAVTVVLSACARAGMVGEGREVFARVRRPALQHYTCMVEMLGRAGEVEEAEGLVARMEAFPFSDLSMTEISFPML >cds.KYUSt_chr4.49441 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306157120:306157626:-1 gene:KYUSg_chr4.49441 transcript:KYUSt_chr4.49441 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRSRASLISTARAALHLLSHFSPTPPLSAAEILLVVNVLDPAGGGPRRCSSSLTAPPEHLFGLLHEPHLTLAARHPPPRFVPTPAWGNPTMVVRRVLYSPPTARLLSISSASASFTSRAVRLTVGAVSGGRRCCKSLPAVLQIVLWFCYFSISVVLQCFWRGLRQ >cds.KYUSt_chr4.46507 pep primary_assembly:MPB_Lper_Kyuss_1697:4:287487606:287488595:1 gene:KYUSg_chr4.46507 transcript:KYUSt_chr4.46507 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTNTLSPSSSSSPPASGSGEKKKMVGGGAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPDHFDFRRYNGLDAYVDDLLAILDALRIPRCALVGHSVSAMIGILAAIRRPDLFAKLVLIGCSPRCVQILFFHASHRCRTVDRRSLKDRRICMNRFLNDSDYHGGFELEEIQQVFDAMSANYAAWATGYAPLAVGADVPAAVQFSRTLFNMRPDISLHVCQSVFKTDLRGVLGMVQAPCVVVQTTRDVSVPASVAAYLKAHLGGRTTIEPLATEGHLPHLSAPSLLAQVLRRALARF >cds.KYUSt_chr4.44752 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276969029:276974530:-1 gene:KYUSg_chr4.44752 transcript:KYUSt_chr4.44752 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEEAGGGDQFPVGMKVLVVDDDPTCLAVLNRMLVQCRYDATTCSRATVALSMLRENRGGFDVIISDVHMPDMDGFRLLELVGLELDLPVIMMSADSSTNAVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKFSGNKEHEHSGSLDDTDRTRPTNNDNEYASSANDGADDSWKSQKKKRDKEDDDSELESGDPSNSSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGMANPYGVPASSAQMASLGGLDFQTLAASGQIPPQALAALQDELLGRPANSLVLPARDQSSLRLAAIKGNKPHGEREIAFGQPLYKCQNNSYGAFPQSSPAAGRMPSFSGWPNNKLGMTDPTSTLGNVNNSQNSNIVLHELQQQPDTMLSGTLHTLDVKPSGIVMPSQSLNTFTASEGLSHNQNPLIMPSQSSGFLTSIPPSMKADSVLPTSQSPNSLLGGIDLINQASTSQPFISSHGGNLPGLMNRNSNVIPSQGMSNFQTGNNLYLVNQNSMGLGSKPQGVLKTESTDSLSQSYGYGSNPMDSGLLSSQSKNAQFGFLHSPNDVTGGWSSLQNMDNYRNTVGPSHPVSSSSSFQSSNAALGKLPDQGRGKNLGFVGKGTCIPNRFAVDEIESPTNSLSHSIGSSGDIPDMYGFSGQM >cds.KYUSt_chr1.19860 pep primary_assembly:MPB_Lper_Kyuss_1697:1:116910425:116937868:1 gene:KYUSg_chr1.19860 transcript:KYUSt_chr1.19860 gene_biotype:protein_coding transcript_biotype:protein_coding AAKDARYDKEALAKFFRMLIRRRYFSNELPAPSPWSLTPREDTILPVETVNDEELFKRKSYGGQRYKYNGVVPLPAQRNLTPPLTLYPQQPMSSSSENYRYHRLNLSRTCAIRSSSVGGRTGLCLGHCSQCKPLSCKCKLGWSSQPPPDSRQHFRPCSSASEAVLTAQSDIRKLFVANEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRAFRFLQKPLAQFISVARAPKSKEGYASIGGGSPLRQITDAQGEALMEALCGKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELFKFPKPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVELIMEELEKRGMTNPCTLAYQSRVGPVEWLKPYTDETIIALGERGVKSLLAVPISFVSEHIETLEEIDVEYKELALQSGIKHWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSKRDMLPPPVIVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGHGFLHQWGILPPLP >cds.KYUSt_chr2.2416 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14613932:14619543:1 gene:KYUSg_chr2.2416 transcript:KYUSt_chr2.2416 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLASFDPGRESKELSAKVEADGSWIFAWPQEFSLVVLFTSFGASSVGSEGRATTFYFKDNTFLQSTKKQLLRAVTAAALYAWPSSSLLRPGLPRLIALLPLFPLLVAAPLAFPSSAIVRGLAAFFLAWLGTFKLALLAVGRGPLDPALPVLPFLFTALLPVKLAPASSNKAKPVSLVSCAVKVAVVAAVVRLYQLNHRLHLYARLALYGVHTYCFLDLLLPCIAAAAAALGMEAEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRALAGVPAAVLATFAVSALMHEAMVYYLSLQWRSDGMMAAFFLLHGVCCVLEGWCARRWAARGWPAPPRPVATVLVGLFVTATSFWLFFPALCKDGVEEKLLQEWAAVAAFFQDAGGKIPWYGQLN >cds.KYUSt_chr1.41399 pep primary_assembly:MPB_Lper_Kyuss_1697:1:253915374:253918395:1 gene:KYUSg_chr1.41399 transcript:KYUSt_chr1.41399 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSSPRVHMSRGVADPVQLASCRCRWACRAAARAVPPPPPRRRTPCVCFVAAPSQTGIAAIDVARLPERVSVSSLLEVVSDDLLKLNNNLKAVSVLSRSLLIKFAQDCFAKDALIDWLLGAHIDHENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLPELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRIAVLAGDFMFAQSSWFLAFCLTDVRCDNLSSLSPL >cds.KYUSt_chr1.33822 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205490906:205491247:-1 gene:KYUSg_chr1.33822 transcript:KYUSt_chr1.33822 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHPQFSALICLHVNLSMSSRTKYGARDARGAQADTVRAKIPVHEAGGRSAAPRGLRHLLVSVVTPAKPNPNHELVFQVPTNQPDPGSQTFINKYTSRSLSSAHKHSPPRLA >cds.KYUSt_chr3.30830 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193444529:193446684:-1 gene:KYUSg_chr3.30830 transcript:KYUSt_chr3.30830 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGSLAFRTLSKPIAGRLKHHAGVHPKFRGFIIGLAQVTLASDVLSAYENSSVRIRSPFPLRCLDGRACVTYCFQANHRLATNMQRRLHGRALDIHIRPLNEEKAIQSAADLLGELFVFSAACAAIIYEVQRSARSEARKEEIRKQELEAIKKRLDELDMEKQIMMQKLDEMEMRTGGGGWVFPKLLNFSLAQPAAQGGGTQQPTAV >cds.KYUSt_chr6.28068 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177955070:177956659:1 gene:KYUSg_chr6.28068 transcript:KYUSt_chr6.28068 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPLKGTLPAPISPTKPSRLARHITLQGPVHPAYDLMLERCCMFADFGRLLGYTFSATGLGFLATSFLARHRAPYLPSQSVMQVLLAVPPRHVILMVGCACACLPVKTKMEYYDLQLGFAKTVLKSGDERTKTELAKLILNKHSDDKSLVEAVKRHFVADHVFSDQHQEKPRLLRWRERGTYLDGALLEEMKEYEEELKEHKAKLQKLKKELEALLKRMMKYEANNSDDEAESSVNVGLFQEDPLACILGTPGNIKETGDR >cds.KYUSt_chr4.19040 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119597620:119599001:1 gene:KYUSg_chr4.19040 transcript:KYUSt_chr4.19040 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPRIEPNPACLGLPEEIFGWEILVRLPAKDVVRCRAVCRPWRRLASTRDFLLENHLHQPSLPLVLLSRRQRGCTWGDLRAFHHRAAAPEAQLQPVVQIDDSTAITVQTSRDGLLLLSVCTFSPYIERGFICNPTTRQIGQVPEIQGPGSKKLASIQTRAHARSQRQNFGLAVAGYDPNRPQDFHVTGLYRHPPTGEYRLLLRMRGLCREKEPCYVFALGCNQVRPRRVGRPPEQAAFFDASVLVSGNLHWSWWPLPVKKDQSEKTITVFDTTSELFRLMRGPVVQTSTAYLYEVDGALGIYSCNDTIATVDIWSMEDYDSEVWSHRYHVQLPVAEITRHGRRDVLVVHEERDVFVLYNSGRTLFLVDTDGKLLTSLQLDDGIIIPATHRIKQSLVQHSFFSALQDAPLNAWSFI >cds.KYUSt_chr2.2600 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15602986:15608265:-1 gene:KYUSg_chr2.2600 transcript:KYUSt_chr2.2600 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLQICLNLWTSLPLVRSPEPGVFLPVVATLFALSLLADRISDPHPSGSGVLRNRITYGNWIEIQFYADGLGALNLNKWAATEERSMPINSGAIFSPYHTTLDLVRLMPHENTAQRRRRICFGSSILLEELSRRVGTDTGSELVEGQRRQALRHDIRKLLRGRHVKNSNVTESNLLSNEVDIQLDVLSASMMNGVGGEVDNRNVVTEDNNSLVNWTRELGEKLTKPGVLDDGVGHGAILSLSARARDSGLSLRRPRNKRRAKVDGPVQPPLPRYAINIMPPSLTENDLQLALQNSVPHPPPPPPPPFNPWAPPPLPPPLAAPAYVPPVSNRPWQIPEFVVLDDDEE >cds.KYUSt_chr5.9606 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60990738:60991958:1 gene:KYUSg_chr5.9606 transcript:KYUSt_chr5.9606 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTEKRAKSAVTGTPPHHSSSVGTKAIPNYLRPSTGSCHDACKHGGHHEFEEKEAAKPKPRPRKQPSAPDEQKRRLMKVRSVSRRRVGDLSRPTRDSTEAVGEIVEWKDIVAYDAVPVPADGKKKRDMMKGKNPCAKTTEPEVGVKKQTESLNKRLAKTVRSTLTGKTSTNPQAANEARASKASPSDKSMAGKSRKAPKANNTSTTLPVEKKVVLLQETAKGDATASVKPGKTLNPPDLQEHAAAIAESSRPIPAHRRAKSMSISSRSVRFPFMRQPSKKNSDTFKLRSKSTKAPILPSEEEKPPTRLRFRKGRAAGEESSGGIQLRLRSLRRRGSGVSGGVAGAGFVVPEVTLRHQKTLEKKKSRRLYNNLIEETATKLAKNKKSRVKSLVGAFETLISKIGK >cds.KYUSt_chr3.23794 pep primary_assembly:MPB_Lper_Kyuss_1697:3:147305023:147311882:1 gene:KYUSg_chr3.23794 transcript:KYUSt_chr3.23794 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPTAGTRRCMGDAYAGLECDGIAMENGAAMADGGSRRCTDDEACAQTSRCARENRHGRRATRSDENLGLGGGCFLARTWPGRAEVRLHGGAEGNQNRERGAEKGGSHGHGVHGDMHDGRALARSSSPAGKQIRAGSFLLLFWEVRKLSGEGVRPGDGGERLRVEVNLEGTDFCFLGVTGCLRLNGTLSWGLHEIMRNALDGQRRCRCPGSSGEATETGKSRGKAGSSRLLTERLLAKVFGLETRDDGEHGRRSREKNLTTTRT >cds.KYUSt_chr2.35180 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217105657:217107735:1 gene:KYUSg_chr2.35180 transcript:KYUSt_chr2.35180 gene_biotype:protein_coding transcript_biotype:protein_coding MADAQCFKLVIAAASPGRVSSETRRWRFYKSAVVAAKVNSRCYVPKLRCYKWCFRRHHPVAGAASDQRRCYMQRPPVLPFGCSYAAKLQYLLSLTSSPGRSFLLSARIAGRRWRGEGAGVYISSTRFSLWSCVAYGVDAGREVADPSSRIWHCIVFFLDLMELRRSEPKVWWRREMKISVNKTGSGGSGGQRCSDKLLLLPRPCGEREEWLLAASDRLPHLQQGSKSASRRRSTTASLSPYSLAEGRPLQPRATHRRGSSAVPESLHALVSGVPQ >cds.KYUSt_chr2.49896 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312071830:312076403:-1 gene:KYUSg_chr2.49896 transcript:KYUSt_chr2.49896 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRRGGRGRGPRRNAEDERPGKNADDDWPSLVDMVLSWSLEDVMDEDVFKNKLKRIPSVFNNIKGYLGSYTSPLLEELRAEMLSSLESISTLSFVKVSWIEQKKYSESYDIAFEADSQNTESRNKPESNRRSVGDIIILSDVKPENISDIAQNGSPYCIAFITDGGDEDDDSPPAMYVIKASGQIDAADEISQDGKRRPLFAAHLLNIVTYIRIWRCLDYTTLRRNQNLIQEMVHYPRVANIPPKHANDFASVDSMEIWSELSTMDLNNSQNDAILNCISAMLCSTSSSFSLIWGPPGTGKTKTISVLLWLMRKTKHGILTCAPTNLAVKQIASRFLRLSKENSVDTRCLGDVLLFGNKQRMCVDDDLKEIYLHDRVRKLLVCFAPLTGWRACMSSLYGFLENGYSQYLQSFADQREDENISFLGYTRKRFTAMYPELRRCFKQLLFHVPKSCILEVNYNNIISLLKLLEDFNTLQRKITRDEMKNVFMYTDAPRKSSMAKFSKAVITLGNTRIKCLELLNMLLTSLKLPITSSKRTIREFCMDNASIIFCTVSSSSKVASNNKLELLVVDEAAQLKECETLIPLRLPALKHAILIGDEYQLPATVKSKVCEDALFGRSLFARLSSLGHEKHLLNVQYRMHPSISIFPNTRFYGGKLLDAPSVMQKEHHKKYLPGSMFGPYSFFNIEDGWEDVDELSHSRKNMVEVIVIQEILQNLQKDIAFGFWETRQPYPEVVLSGKI >cds.KYUSt_chr1.41124 pep primary_assembly:MPB_Lper_Kyuss_1697:1:252273780:252274442:-1 gene:KYUSg_chr1.41124 transcript:KYUSt_chr1.41124 gene_biotype:protein_coding transcript_biotype:protein_coding MSESVDTPESGGGAKEQERFLPIANIGRIMRRGVPENGKIAKDAKESIQECVSEFISFMTSEASDKCMKEKRKTINGDDLIWSMGTLGFEDYVEPLKLYLKLYREVFPFSPSALFPLSALFLAPPDATCELILHRMFALRMMMGRQDEAVE >cds.KYUSt_chr2.44220 pep primary_assembly:MPB_Lper_Kyuss_1697:2:275012802:275015135:1 gene:KYUSg_chr2.44220 transcript:KYUSt_chr2.44220 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVLLPPNMLYLKPYAVMHETLYLLQQEKCSIDELRRIPPYMLLALPRGETSKSSGVGSICELELHASLTMEGTIPMSSNTRIAVVTGGSKGIGLEVCKQLAASGITVVLTARDETRGTAAVEQIKQLGHSDVIFHQLDITDASSVARLSDFLKSHFGKLDILVNNAATDGIEHVIDPVYGVMPGGETFDGMDAHQRTVWMWSTCRETHEKAKQGVQTNYYGTKRVTEALLPLLQSSSDGRIVNVSSNFGLLRLFRSEDLKNELNNIDNLTEERLDELLDMFLKDFEAGAVDARGWPAEFSAYKVAKAAINAYSRILAKRHPELRINCAHPGYVRTDITRNSGILTPEEGARNITSVVLLPEDGPTGAYFHEGPQASFV >cds.KYUSt_chr7.25654 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160052520:160054166:-1 gene:KYUSg_chr7.25654 transcript:KYUSt_chr7.25654 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDRTELAAAMADAADITSSSGWDFTCDYEVDCGSEEHAYIVYKTLAVDKELQPDKVRREMAVSGGKLLVHFEAVEARFLRASFSAFVDLMVLVTKLVEEYGDVRQA >cds.KYUSt_chr6.26223 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166171870:166173429:-1 gene:KYUSg_chr6.26223 transcript:KYUSt_chr6.26223 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAACADEEACMFALQLASSSILPMTLKNAIELGLLEILVAAGGKSLTPTEVAAKLPSAANPEAPDMVDRMLRLLASYNVVTYYLKDAVLDGGIPFNKVYGMSAFEYNGTDPRFNRVFNQAMKNHSIIITKKLLELYHGFQGLGTLVDVAGGVGATVAAIAAHYPAIKGVNFDLPHVISEAPPFPGVTHVGGDMFKEVPSGDAILMKWILHDWSDQHCATLLKNCYDALPAHGKVVLVECILPVNPEAKPSSQGVFHVDMIMLAHCPGGRERYEREFEALAWGAGFAGVKSTYIYATVWAIEFTK >cds.KYUSt_chr7.13775 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85022544:85027273:-1 gene:KYUSg_chr7.13775 transcript:KYUSt_chr7.13775 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVADEARIKAFEEGVASSGAPRAQVTPPSSLLALVRLHLRPPPSWKRMFDLIGNSCVQVGLVVGKLSASSDRALVYSLLPTPPTDAGEPACSLRAAAPSTGKAKSKGGGKGGAASSSDAPPTLQFDVDWVAEHARQVSRMLLGGMSVIGIYVWASEGSFKATSPSVLSQVIRVVSQAVPWYGSDFDERLLIHLSYSPRRWACRICEMASGSLRPCDFKFSKLLTSLQTFRCTYNFETRLNVVQAESFKKVMSKAISHLTKEVQNAKALVDGVLLSDDMTITSESSHKVDFLVPFKTNLPAGECSLEGVAGLLVFAGSVSALAYLGPKESITEAISDLKADIVASLRSRLDIILDDDEVDDDSTTNHVENSPSQKATQVIFHELREPYSFSFPRRILIPWLAGSYICDYLQQSETTKDALERCKEVISLETNLEDSSILEPEVAAASAMLESFWDVVPGASSRARAEPSPKDGPSEQPNSSRRAQVSSFSILAALFVLLVALLVGYMFAFSTNSKT >cds.KYUSt_chr3.34300 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215185935:215187400:-1 gene:KYUSg_chr3.34300 transcript:KYUSt_chr3.34300 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVACGGGGVLARPLPGHSHGARRSFLVVASLEEASPAPPRNAVAVAHEIAQAQAQAQVDEYVASTAILPPLPHVNPFPLTVFREPILSPDPVNTVQVKFVLHRQCAFGQRFLVVGDDPALGLWDPTKAAALEWSEGHVWTATMDLPANRAVEFKFLLQDPSGHVSWQHGHNRTLQVTETSNTFVVYEDWDDAKCQQVLQVTYPSIGAEDVHFAGSCNGAILPDDNETHENQQTDKVMTDVARTDGGSSPQREKKRANGANGPQFTLEKDHKVPDVLRRRANMAVHTLKDEGAPVENRAPAGMFENDMAWVSKALQRLLRSLGLQIGTTET >cds.KYUSt_chr7.2242 pep primary_assembly:MPB_Lper_Kyuss_1697:7:13065500:13067067:1 gene:KYUSg_chr7.2242 transcript:KYUSt_chr7.2242 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSLWAVHVVLGADPAVADHARTAVATYIAEGRRPPLPADANDIDAAARCWIWGLTTSSYAPEDSEGEHGAALPGNRPMLKPLKLLQNMLPQFLKQLFQEIMLVEQVSAAANDYDSVLVEGEGWMREFDLLRRGRPNPTCAGGGDHPGRAGQRGRSPKARRPAMQAWVSWFYKT >cds.KYUSt_chr7.12700 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78240342:78241690:-1 gene:KYUSg_chr7.12700 transcript:KYUSt_chr7.12700 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVPQMSDQPMNAWLVECEWHVGARAEVGSGGVLRAVEAGAAADWKRAIVEALGKDGSSDHNLMALVEGIGSDAIGDLPSLITGSIDPSYFFHYVYATTCDLFKTLDRETPKATIVINTCQELEPATLAALGAYDVVQIGSVLLVDDEADLFKQDDAKYIEWLDAQPASSMVCVSFGSLARMVKRRAASGPQGEREAVPLHCPEEQQGGARRG >cds.KYUSt_chr6.31511 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199137562:199138229:-1 gene:KYUSg_chr6.31511 transcript:KYUSt_chr6.31511 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQAEHHNYELSHLNSLALMCEVVIGIPNTIDRHGRATIVLDIITKTELHAVKLSCLRRLMHVLTVSGIFDQSLPVSESYTLYTFTPASSSMTMTQLPAIYNNLVKDIVLNEDSGIFHGLSSLMNVGGGHGISIMSIAKEFFRITFNMLDLDQVILKST >cds.KYUSt_chr7.35454 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221527464:221528633:-1 gene:KYUSg_chr7.35454 transcript:KYUSt_chr7.35454 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKPRCPPPQSAGQGTTHTHTDTGQIERDTLAEAEHSREKKVMGMAAGEEPPATLPPHTYTIGYALQPKKVDTVIRPSLVALAAERGMRLVAVDPSRPLADQGPFHLLIHKLYDQAWRAQLEAFSALHPSVPVVDPPAAVARLLDRASMLGVVAEVNAAGRAGSLGVPLQVAIHDTSDLADPDLLAALRFPLIAKPLGVDGSAGSHAMSLVYRRDGLAGLRPPVVLQEFVNHGAVLFKVYVLGGHATCVRRRSLPDVPAERLLDLDADAAVPFANVSSLATVHADTEDDVGDDAEMPPPVFVDEVARGLRRALGLHLFNFDMIRGRKAAGGGGQYFIIDINYFPGFDKLPGYEVALTDFFDEMIRSAHTGSGTGDADGAMPPTFSADV >cds.KYUSt_chr6.31537 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199295636:199297563:-1 gene:KYUSg_chr6.31537 transcript:KYUSt_chr6.31537 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDEDKDGPHSRPALPGGADEYVRDSIRASLGLPVPDRSLRLQLLASEDLRRRLQDQVFSLEEALHAAARRIDLLKKESAMNAEGIRRCVEEKEAMAAARAQVAAQAAKLDKEVTLYERDLERAMESCDDLARENDDLRARLKDANDLTALKNEVQVLQRDREILKTNLNKAEEEVKLLFEENRTLDEANKRLLSLLNRSERKHSASNSTKQKRKSSSLKDTSPVSLAIDFNSVDASRHPLSPLPLNSPDCRVHKK >cds.KYUSt_chr7.23505 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146416788:146421049:1 gene:KYUSg_chr7.23505 transcript:KYUSt_chr7.23505 gene_biotype:protein_coding transcript_biotype:protein_coding MAHITLAPCSAVEAQRQHLTSSCLSVLQDCLRLKAMATALVLSFVLPVQSRLINPVRQRTCPSSLVIQFIPLAELLVKTVAIPYTCGLSISATIPLKRKRILNQFFEAPAAAAAPPALTAGAAKKGGMTKTKAVGPRGAPPSKVKTKAISRIGLAPPPPSKNTTPPPFVPSAATPAPPPPSIDVDKVFDVESTTSYMDMLNESAVDLHAGIGAFDGEDYVEGIDDDQVEDDGDEAVDDEEVVEVDPAAAGSSTTPRPRTSNYTEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSASMDQVADLRMSSMLWSRLPCMPCSLKLKVAECRVVLQAYDGRGRGDAQVNLEKALKISWPNMRSVGWWTPTPPGRA >cds.KYUSt_chr3.14426 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87619052:87620815:-1 gene:KYUSg_chr3.14426 transcript:KYUSt_chr3.14426 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPGWRCTCLVEDCVVILGDDFDCIWEHTPNCRSCPGVEHVGAGADGGRDHGHALDAAIEEVRLTLSDQERADPCHHPDNYTAWNSYFLRRWEQELASYDGPPPPPPRNNAVGRKRWRSVPGRTLDAVIEHIEGGNAPVLTMPPSSRASASHHRGSSCQLLVVRFGVKVDTDLQVGAIIGAGTSGERKRKPVKVEEVHDAEDAAILEAIMVRSLQNLVPANNAMPLDQACAWWREQWEKQEAERQARLLEEVARYRRPATPPSGAPIPVMDLETESDDEWYKPSPSPPRGDPDQGTSSQVATAPLRFDDGSDDDGGDGDKDYTVFYRHFGM >cds.KYUSt_chr1.39938 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244514827:244516110:1 gene:KYUSg_chr1.39938 transcript:KYUSt_chr1.39938 gene_biotype:protein_coding transcript_biotype:protein_coding MICRLIILPAWLLFAGPMAPETQPHLQPPPSWSAIPQDLAVLVLRLLPAYVDRARFAAVCPQWRAAARLPLPPPQPLLALPNGTFYSLPYTKPFRFPGCGFAGYQSVCGNWLVFSRDNGCFLVDPFSGATMTLPALSCIRLQPPNAVAKWSDSDDGRARFADPYITWMHISDESHKLHISKLIMCSPNLVAALVGIGHTTQILMCKPGALSWSVRAYDECKGFEDMAFYQGKLYAIADDENLLVVNISEDHNTGDPQVSKTAQVIKGDRCPWYHAVFDDNMMHNQKIYLVESRGALLMVRRAIWCKVPERGVDREIVARRNEFEVFKADFERSRWDKVTTVGDDQLLFLGRRCSMALSVSQYDIPGDSILFLDDDEENRVEYSYEGENTSFSTYHLRFRFISSALSKISWKRGDDMRLAVWLFPQDR >cds.KYUSt_chr3.21114 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129474415:129475461:1 gene:KYUSg_chr3.21114 transcript:KYUSt_chr3.21114 gene_biotype:protein_coding transcript_biotype:protein_coding METRRRPRFVRRRQTGFHRHGRGTGFRRHSRGTGFRRHIHGRGLRHRSGLGRRRRPFHWNRSRHGGRRHGNRRQAPRTGRCAQAVSAQGTQAAQAAPPSPPAPLQSAFHIPPMEWLLGGPAAPFLGEEDDFGAARAPPLFPGNFPGAVFNPPSPTPSIDLPEYDFSPGYGPEPDTYLDLPTPTLALSDENLEYFMPPGYGPVPGLEPLPQEEETSAPVAAAPLAFDLNVEPEDEETSAPVAAAPLAFDLNVEPEDEETCAPVAAAPFALDLKAEVGPKDEEAGAQAPRPAGPATPPPEARRLLRRFAVAMASRQPGFRAGSWNPALLGFSNEPERGESSAGRRPAEHR >cds.KYUSt_chr3.33413 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209781079:209785317:-1 gene:KYUSg_chr3.33413 transcript:KYUSt_chr3.33413 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARSLRKASVPPSLLSDPSPGCLQPTRLAVHVNGEGGSCSAYFASGGRVYKIEISMEEEMLSRGKQSLLIPINAQVISSSVVDRCPHRSEIQSVVLAEGEGDRCLILGTVDSYGHLIVSHLDTGTDDIDRASYSVPPRDSGVGEGSWAGLCFSPTHQSTVAVARELCKSIDIYDQDIHLRSLRTLWHPSSVTFFQCFPQGSDSGSMLAITEGSQLSIWDLRMHNNGGCVQRVSGPVGGILYSVCSSASGLIAVGGTDRAVTIYDPRKWSALSRWVGCSKYEITGLSFSSVDQSFIYVQGVDYEITCGHWSKSERAFSFRGDSNWLGFSKCADTDVVAGWCESGSIFVADVRQDLLSAIGF >cds.KYUSt_chr1.2389 pep primary_assembly:MPB_Lper_Kyuss_1697:1:14063776:14067783:1 gene:KYUSg_chr1.2389 transcript:KYUSt_chr1.2389 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVNFSGARVHRPDGAGGEDGASPDATEDEEEQQSPATASSDPDSRHSFSADENGAHDDPAEEDDSGMGSDELELTQLGDAGAEMSQVDDQSLPVPLDLLDLPDLAPILSLDAWNTLLSDHDRLRLAALLPDLDRDAFARTLVELLSGANFHFGSPLAALLDRLKGGLCDPRVALYRRGARFAERRKHYYRLQGYHNSMVRGLWEARDCWKGCQGFGLDERIRALDAMRAKRKQKAARAVSETDSETERFLARPKTDKKKAGKERSKGLLRLGGGSKGLGGEEYSGGAAGRDFSDHSRQDNAYAYDTGVMHRGGKPRRSVDGLDSEDLGGYDRDLPRVRSQKPLVKPVKKKEFASAYDTNPYAKSYRDNHTGSYYHGRNAAGNQPVTLAASFEPPYSEAARSAKYAERDRIYGGNSFHSNRALKGDEMDWPAASPADSLNDWQRGQPAGDYRSRVPQVGHGGKVKSYRNTEQQMNGAHSGSDPRDRVSQGKIKVKPSSSQHGRIGQKDSRSRAAYVQSEETESDSSEQLEDGADMNPAEQQPELRYSELHRPAYGAKKSNKHGKTARTIYPAAPAEFEPYHTQGRAGQRGKITEPDYLRDVHVEVAEQISEVMRPPAARSERKRKGVSNLDMHGYDNSELHDSNEKANESFRSPESMRLASRAGYEVQDSNGDFDGSERVNVPLASCSSGSKRQKGRVEVTSLDEHGDYSPSDPKAAEISGSLKKKSKKKTDTVTDATIVAEPAPVVPEVIVVPVEPEKPKKKYVPITPTIHTGFSFSVVHLLTAVKKAMVTPTEDTPVAPMVTPTEVPPVAATVTPTEAPPVAAMVTPTEAPPVAAMVTPTEVSPAAAKQPDGEESRKWFNSEEPSKTPQEPTVTEQAQQANEIGDTSAAEQTAPSNSPAVTVQELVNRIKTNPGDPNILETQEPLQDLVRGVLKILSSRTAPLGAKGWKSLVSYDKSNKSWFWVGPLPSGTSYSDPNEETSAEAWGIPHKMLVKLVDAFANWLKSGQETLKQIGSMPPPPAPNPANLDLKERFKDLRAQKSLNTISPSSDEARAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAASRLPGRTGTRADVCTLLKDSQYLNHAESNKEPAVNQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRKDSLDPSEAGAGNDDLEDDGTPNAKKQKKDDAEPTASGEDKDGADLVILDPSNGGLEGDFDLDVILSSTNNEETGKPDIGISRPSIGATAGNTANDNSARIPEQFYSMALPVDSTSKEFNNA >cds.KYUSt_chr4.47891 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296560314:296561795:-1 gene:KYUSg_chr4.47891 transcript:KYUSt_chr4.47891 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLAGGRAKDGKRDRPHAEAMPVTALPGATPKEKRWSFRRPVVLAPEQREAADSVGASRSSAASEAGFDQKTRAVAVAVATAAAADAAATVVRLSSRKAAPSPPAGFLVEAAAAVRIQAAYRGYLARTALCALRGIVKLQALVRGQLVRKQAKATLRCMQALLAAQSQLRAQRMRFLQEHQPHQTPPPRPRPSPSHPRHRRSSYEMDRSSDDSAKIVEMDNGEQPAARRGRVKSGDRHCSTVEYHYQHGGRCSPAPSAMTEMSPRASSWHVEDHLSFGSSPRSQTQNASELPFPSYMGNTESSRAKARSQSAPRQRAAADALERQPSRRKGAEHRSVPRGARMQRSSSQQQAGSAPRPSFFRPWSTSVKLDSSTASLMDSECGSTSSVLTAATTVSTVYSRTRSLVGFEVRNLCPKPLTEQLHTSS >cds.KYUSt_chr3.12790 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76707799:76708970:1 gene:KYUSg_chr3.12790 transcript:KYUSt_chr3.12790 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAPSDVEEEEAEAEVEETKVEEEEDDDEFEWSDDDGPHPDETADQRRALVESEKKLRDDARAREEAQIRRAVELSLRAVQRGRADVDAVFGGAASAHRPTHGEPARAARAAAEGRRRWGGAVERTAGRLCDWSCSKAVAVWPDMYLHCIGNSVSPKAHSTPTSWLVGLFLYLRPVSLTHSNPLLTSPRHNRKHKRRRRVADFSDARVSAATSSAGPDLTEIAKKVDALEAELDAKSQVLPHRRPGG >cds.KYUSt_chr7.24344 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151777701:151780337:-1 gene:KYUSg_chr7.24344 transcript:KYUSt_chr7.24344 gene_biotype:protein_coding transcript_biotype:protein_coding MARDFMSYYSCSNPVDSLGWTPIPGIALDTLFLVVIQLLAVIVLSSLFHSFLRRYNQPTAISQILAGMVVGGLGIRNAIVHVDVDNVEDMYNGYISAARILYMFLVGLEMDIAALRSTTHRCVAFTYATVAASLFLAAIVSSGMYGSMMHSPVRTPEMLAATLMVALTNTSSIAVARIASDLKLTVTENGRLLVAAAIGTNIICVVGDGVLSSTRMAKEKSQDLSLGFVALAAAGLAVWAVRPAVTRVNQRNVGQHHVKTWDLAFMIAAIWIVGNFPQKLGFDGLPTSFALGLAFPREGPAARSVSDALVPTVNGLLLPFYFATIGMRMDFNSMSGAIIVPGVLMMLLGLVGKAIGAAVASAYLNIPLCDALRFGVLLNVKGHVDTMNMKFAKSEGVWAEQALYAMIIGNLASTLVAGPAAAVVLRKEKEAYARRHQALESLGEERELRMLTCSHSAHSTPALLSLVELLVTEPETQPAVQVLHLFDGGQKRAAAAAAAASSTTPYHQQIIDEYDAGRDAITDMNTVVDLYWRATGVAFRQIDIVGRSASRDVDAVCRCAADAHAALLLLPCFKEQRYDGKMACRLEERRELNHGVLARAPCTVGLLVDRPYRSIGASFQVPCSVDTSTRTLLHPCSDRAVTHVIAAVFLGGPDDREAVSVASRLAENPNIGLTVFRFVKRSTYDTVTSSTSRAAAIAAGDDLEQPLNEGDADERFMWRFYELYASREMAMYVEKVVESPADVVETLDAMAGMFSLVIVGRGGRQPVELLAGLDRWAEAGREMGAVAEILASNASMEMGSVLVMQQHTVVVPVRR >cds.KYUSt_chr3.11199 pep primary_assembly:MPB_Lper_Kyuss_1697:3:66762914:66764585:1 gene:KYUSg_chr3.11199 transcript:KYUSt_chr3.11199 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLVYVRKVVGCLVEHENKVLLRRRKIEPAYGLWYLGFCRGRQGQPGARPERGVPPYLQGELGIFSLIRFNVDNEEKNGKLWPCSSNPLFVVYQSQQCDS >cds.KYUSt_chr1.4245 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25793626:25795008:-1 gene:KYUSg_chr1.4245 transcript:KYUSt_chr1.4245 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSDADAALFAAVLGQDAAHHLATTTQHLHGTVSSPAELQARCARLQDFVERGGTWTYGIYWQESCAGAGARPVLVWGDGHCRDAPPPDADPAAAGAPEEAGAVYKNLARKRVLLRLHALYGGGDDDGGTDDALRLDRVTGPEMYFLASMYFSFPEDGGGPGRAMASGHYAWVSVDPRRLPGSAPASGWYVRASLAQAAGLRTVVFVPCKGGVFELGSVVDIRENPDVVRAIQSAFRVKATSSDDHLRIFGKDLSRSASMPSVRTTGCEDTCALRLGGQAMVKEAPKGMNFTKPGGDERRLLKRERKTPEAPLNQQDAEQQRQRRDELNKRLYALRAVVPKVSRMDNFSLLSDAVAYIQELEARLRDGVAGPGPAPARQSVEVKAMQDKVVLRVTTPLEAHPISGAFNAIRDSQLSVVAADMVVAEDTVTQTLVVRSAGPERLTADTILAALSRGHVGR >cds.KYUSt_chr4.45745 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283091525:283092997:-1 gene:KYUSg_chr4.45745 transcript:KYUSt_chr4.45745 gene_biotype:protein_coding transcript_biotype:protein_coding MCAISSALSSASPADHPPPPMPSPPFTNPLPKPVLLLALAVAATLLYAAGLHATLPRDRPAPHPGPATIRDGHTALALRLAKQLASASGNTTTTTPKDNVAFSPVSIHAALALVAMGARGATLQQLLAFLGAPSAAALADSGRRVVHRVLGDRAASGGPRVLFGGGIWADASCGALKTAFRDVAVQSYKSEARTVSFANGPGEVANEINGWVKKATNNIIHSIVSPKDIAGGTVLVLANAVYFKAKWEVPFESSNTRPGSFHRLDGSRVDAQFMSQTMYAAQYASCSDGFKILQLPYEHGRGDAADTRYSMYIFLPDERQGIASMLDAVTAGPDYLYTVLNKTAANTVTVTLPKFAISFKQDLVDDLRLLGLSLSFSSESADLRGIFDKEWHPFIAKLLHKAVVKVNEEGTEAAAVTVVMMDGAGREPPVKFFADHPFSFFIVEERSGVIVFAGHVLDPTI >cds.KYUSt_chr2.26922 pep primary_assembly:MPB_Lper_Kyuss_1697:2:164755987:164761760:1 gene:KYUSg_chr2.26922 transcript:KYUSt_chr2.26922 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWRAVASRLSAAADSHLLPRAISKGPSPLPLPLSRNFAKAAAAAAAAATSHSPFAAAAGPRPEVRVNSLFLSKPCSLALPPDSPLRAEDPHYEGIKRFMLTLLLFYSKQSKSIRGANAVYHRITSHVDRPDIYEVFQLEKTFKTTFSLLVLHMWLVLRRLKEEGKDGVKFGQYIYETYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVKYDTAMSQEASQDDLVDIIWRNVYADEASQPMDAAAIPAVQASPFSDVDNFRK >cds.KYUSt_chr1.23259 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138073365:138075540:1 gene:KYUSg_chr1.23259 transcript:KYUSt_chr1.23259 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARAPSLLHLHPPSTPAHAFLLPQTTPRPTLHRRRLAARVRAANSDPPQQVNLSVLRFTLGTPSSSSARHRNRALRPTGFALSPLSYTPRGAIAGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSAALPYLGRFLEGAGAAGRVPLPEGSRQVFVIPDDLSAAQKEDMAWATYVLLQNTNTTSVLIAVGDVLCIRGYWDPPADIPKNDMIEWFKGQMEQAGLVNLSSALYLPSFSASGETKAEGVILLASNASYAYSEKDRVWIRAVANKFRHV >cds.KYUSt_chr2.12098 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76727507:76729906:-1 gene:KYUSg_chr2.12098 transcript:KYUSt_chr2.12098 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMLLVRKLSGKAVSKFDKVVVLKPPKRPSGSTAGTALGSTSKIGDIEKFARLVQSCADVWSLKKLHARVLAHGFGWDVALGSKILGSYAHLGALPDSRLVFQRTVNDDLALWNSAMVDYFRAGYLEEVIALYRRLKLHRVCLDGKTITFGLKSCTELRNLFLGQGLHVDSLKLGLSADKFVGSSLIGLYSKLGKMDDSHKVFEDISDKDIVAYTSMISGYSDVVDSIAWSAFEIAGDMIRNGLEVNRVTLVSLLQVAGNLEAIREGKSVHCYSIRRGIGVSDEVLETSLVDMYARCEAYDLASALLKNSNGSVASWNAVLSGLIRTQQSWDAIQHFSVMLHDHKVTPDSVTFASVLSACAELCYSGYAASIHAYLMKRTIPMDLVLTTALIEVYSKCKRVARSRHLFDQLTVKDTVSYNAMLYGYLQNGMADEATALLNHMMKECMAPNSATVLCLVAAFADQRDLVRGMWIHGVAIRHGFSSDMGIANQILHMYSICREIVAAKIVFDSLEKRNLVSWTAMMKGFLSLGQGDEVVRLYQLMQQHGEKPDSITLTYAVQAVSQLGHLKGVKQIHCFVYHAFIEKDAIIANSLITAYAKCGRLDLSEALFYSLEHKNLDSWNTMISAYGMHGYYIKVLEMFKKMVEKKIKPDELSFSSVLSACSHAGLVKEGWSIFQSMTSVYSVHPQEEHYGCIVDLLGRAGHLEEGYKFLKLSLLTDKSSIYLALLSACRTHGNTLLGHIISKEILEHGPHNPGTYALISDVYAQEGQWNESANLRASTNGSGLKKLPGSSLMESV >cds.KYUSt_chr5.19869 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128886998:128895026:-1 gene:KYUSg_chr5.19869 transcript:KYUSt_chr5.19869 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPILKSARDFEDDDPTAAAVTAARVGVLLRHSGSDLTAAATATDGEGNPTPSKKNAQEIPTPQFDDVESYERDYTRTFAQPSCYIRGRGARADIGEFVEYDLDNEDEEWLEDFNNERKNLNPEKLEVLLFKLEFLDHKARERAGAITPTFIGPVPVLLQLDVAMEALQYLSVRYAVFQAVYNFWKAKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRLVRRNLDQAKALMGALIKREETKREFMECEVNLQRIQMQYKHEAQLVDDGTALSGFQQVSSRYGSSDDDYADSDDTTTEQPYYRPPVLHPRYSDNKLSVIPTLRIKRERELKRRPQNNGWAFKRDPEEPVLLFTRPLDPGKLVAAGIKLPPDPPIENGDTMPPFRCRVLNLHKSLAPNGQKWTRSCGGRAVMHSSMLRFVCTFFLVDMR >cds.KYUSt_chr1.35424 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215990740:215992900:1 gene:KYUSg_chr1.35424 transcript:KYUSt_chr1.35424 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPIRPPTFSELVEGTDKCKLDAMHKERVEALIVYDPKKELLVPSRFCSFHLAGFDLDEKCEYLHSEITAVLANVPLGPPYQPDPSRPRKLLSTYVTTNEHFEMADSSINVISLRVVRAGPDYTYPIEVYGKVIARDEVDHKCVFLFDRERMDAQLINSEKDMLALTGPYRALVTLAWMYFEFDLKIKGEGEHDTGVQFSKGVISHYYNPYHKRIIYQLPSFQSTVKLVLERVSLPVAASIEVNVVKEGHDATVVHYDGKITAGTTRNYRQHMVVYDSSVPSSGGLVSGNGSLVLNCNLVAVKGYVEDPALEEDEKLVLYVCFLDAGCEIEDEDYQRSEQVDDDDEEEGEQDEEQEQDVAQVEDEEEQDEEQEQDEAQEDDEEEDPKNVVTLRYPLRETIWENGSCKLKVKVNWTAVPDMPERTDFFHRYTLLPKGYQEPDYRWGFSRIN >cds.KYUSt_chr4.17796 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111491858:111495407:-1 gene:KYUSg_chr4.17796 transcript:KYUSt_chr4.17796 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAPPRAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALEAAIDEVLVTLSDEQRADPRFFSENYESWIAFFRRRYERKLAAYDGPPPPPARNNAGGRRRWWSAPGRNLANVLTHIEAGNSPVLGMPPPEAATVSRRHGSSWMPRRMDPSSSSSGSRSASRSGGSMPATVKKEWPSPSTFKKEPASPPPSRGRSSGALVIRDQPSSPQRGRKRKSSKKEAAVAAINDAANKLAEEEAKRAEDVAVAEAIARSLKDLVPALPEDAALEWSRRDWEREQTEQQRRLLDLAAARQLAAAPPPLQPPPTTSLATAGLRHLHPASRSPSSTSKPPTANAPVLLVVDHGDTASRLPLRPPSDASVSVPWSNPGLAAITPE >cds.KYUSt_chr7.24250 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151219164:151221481:-1 gene:KYUSg_chr7.24250 transcript:KYUSt_chr7.24250 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEADYCNRALLATVQGGRPPVSTEALVQAMEQTCGVQRRHCKIWSIHFRSNGQGSRARYCSSVPCLSRGTGSQSRVEVTFPADFFITFASAEDCTRVVERSGSFRCGGATIGFRRWHRSSQATGSKLQFFTKLGIERMPAYAWEWEAVSQLVNNLGGQLAEILPATDRWCMTLTAWMRNPSGVPKEFDFEVPEPCGPPDAHVFLDDPASRLPPQAPTFRRTLVHPLIIHVIEVVDRTMSHMDVQRESIDGDEDMIRKHTYPWWGGMVNGTGRGPARSGGHVFANPGRDSTSGGWGRSRSRGLLPPAGGMLVAQHLQGGHPVTPTPPGAPSSTSAALTATSSATRAVTPTLPPHRQGGLLAEPTPGTASACSAAHTPIGSAALGLTPPSLGTWSTTSTAVGSAALAPTPPSLPLRRPMPLMLQRQSPLFGSPVRPHSPPPASPASMPRSAPSKGLPDAFLNTVGHSDRSSDQRVLGHVSIDKGVKRALLPSLSQPMDVDLTNSASTGPGCVSGLSNGGAPSADQVQRAVKVYTRRKKQGGRPPAGPRPKEPREVIIGPRTVQETIKQGLCLSPEASILGPRPTASAPVRRKKTIPQDFTPRRSERLIKKGDGKSKGPYHNAQCVLAKRLGLTQEDEEVTDDALEQYLKLFNKPLAPQHLRAIAALFVPDEVDFDEPAYSGFQAFLPPEEIEPCA >cds.KYUSt_chr5.42301 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266658360:266660192:1 gene:KYUSg_chr5.42301 transcript:KYUSt_chr5.42301 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAPAALTAVVAAAAAQRLLALLALAMEAVNSGADIFSEDQQEIIKIKSETVPENKDGSDDDDDDDEDEDDETGDADADDDDAGEDFSGEEGGEDDDDDEEADPEANGEGGSDDDDDEDGEDGEDDDDDEEDEDEDEEEEEDEDQPPSKKKK >cds.KYUSt_chr3.18372 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112963357:112967239:-1 gene:KYUSg_chr3.18372 transcript:KYUSt_chr3.18372 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKERPEPSVASVEVSVYRTRHSPSWSFRWDNRTHIEDIMENATLFSNHSSGSIRPELKSGSVAPTEGHHNEDSLSDVRGVKWQNSDKKMEAFKRLKSVPRGVQSTANSSPLEANSCKSLDMVTVASDIKTSKSLPSTPPIVSRADPSSSRCHSLHVDSFSMRKARRSPGHQLCRQISDTKIPSLKSFNESSYVEERPSSSILSACSNDTFAGGSQHGGSSDGWSTRTFSDLVASSQRDRWSVDSELFGSITSKTARSNDSHATAPSPDQGICKLCLKLLKERSTWSAHELGVVAVLFCGHAYHANCLDSITVESEKFDPPCPVCTHGEKGTAKLFGKLDSKVKSRKSKNLMSDTDIDRSSKHQKKSMREPSLAVVGEVDEWLPGVADGHGNRLSRSPLQASLSFSDAANIACSPGQFSGPSLLPMRCCCRSYSASSAAFPTASSSYSFITSGFGMKRAPPACL >cds.KYUSt_chr6.2586 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15016164:15017916:1 gene:KYUSg_chr6.2586 transcript:KYUSt_chr6.2586 gene_biotype:protein_coding transcript_biotype:protein_coding MFALISLHCFLYGCNLFMWKRTRINQNFIFDFTPNTALKHQDAFLMSASIICMAVAALVINLFLRNAGASYANVVPGALIVLSMGLLVCPFNVFYRSTRYCFMRVMRNIVLSPFYKVLMADFFMADQLTSQIPLLRHMEFAACYFMAGRFRYVEEHDTNQLANAGKYVSAMVAAAARFKYTATPTPFWKLMVIISSSSATTYQLYWDFVKDWGFFTPKSKNRWLRDELILKNKSIYYVSMVLNLALRLPWTVSVMKIRVSKNQTRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >cds.KYUSt_chr1.35484 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216393726:216394115:1 gene:KYUSg_chr1.35484 transcript:KYUSt_chr1.35484 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVLLLLAATLAAVGSVRGQNPPAAAPAPPPSPAAQCTTDYLKDLGLCVDFRLGRADLRGKNLALERERCCREVRGKPSATECLCAAFGRAGVTDPTELAGNVNAVRIVCDLSEVSGLVCPASTSD >cds.KYUSt_chr4.45537 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281820202:281822645:1 gene:KYUSg_chr4.45537 transcript:KYUSt_chr4.45537 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTWIGYEDSMFGPDVSAFTVAEYGAHKVFAPMPVPAYTQQLFGEIPTPGIGNANFIHSTPVAADAFPFVGEVVQATTMVKQGNPAFSTPLVMHVDDVKLSTNVQEAPSLFQEMPVYDVYEEDGNGSLNLFVELCDTAGQQKQYNSEKPIYDHEEPHCAATLESDNEQLAVCFNNTSDNAFSQEGTYFRFPHGPLIHDVRSIELTKEKSTQAVSILSHREPNNLALGDAERFVGSSVFRKVAHVQDDRSLTAGVADPVTEYPYDL >cds.KYUSt_chr4.38184 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235435717:235436781:-1 gene:KYUSg_chr4.38184 transcript:KYUSt_chr4.38184 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIDSTCSTPFASAPSSPGRSPAIFGGGGYFFSAPASPIHHLLFSSASGATNVGAHGGHLGDAEFEFGGPGEHMISADELFQNGQIRPLTLSPLPDLDPGSDGDGEDDAEAQRPARRREPALRSGSVHRRARSMSPTRSASPRLKLLNALVPAPDLARSTGAELEAPPPVTASSRSSSSSSTSSSSSASSARGSRRWVVLKDMLLHRSKSEPGSAHAHDTPAAAAAAVKPEKSWQFSHSWASREKVIARLRGARVSPPSEPAAAESGGVGAGEESRTTTRGQGRGKGRRRSTTVAAAHERLYAAPNRAQAEEMRRRTFLPYRQGVLGCLGFSSRGYGALRGFSKTLSPVFSR >cds.KYUSt_chr3.33594 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210946588:210947247:-1 gene:KYUSg_chr3.33594 transcript:KYUSt_chr3.33594 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEQMHARRLLSHAAGAAATPAVASTPGPSGTPRSTPFGSADDTVITILSLLLCVLVVALVLRAFVRCGYRFYYGQTEPLPLGDVEAGMQMAALQALAGAVAVASASSKKKGKGKGAAIRAIPTVEYSAEIELAVCSSTECAICLTEFAQGERVRVLPRCHHGFHVRCIDRWLSAHQTCPTCRREPFSTPAPQTTVEEPAPAAVQLQVVVEAGQSEQI >cds.KYUSt_chr2.12405 pep primary_assembly:MPB_Lper_Kyuss_1697:2:78642881:78643495:-1 gene:KYUSg_chr2.12405 transcript:KYUSt_chr2.12405 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNMTQDDYVQLCLMALASAASASGGVQPAEGQWLQVTPLSSAPPAACELRYRCSVCGKAFPSHQALGGHKASHRTRAAAAAAVLPLHVSASSADETTASSTTSGSGRHKCSVCHRSFATGQALGGHKRCHYWDGLSVSLTASASTASGSGSCLRDFDLNLTPVPERATAAMRRWGEEEEVQSPLPIKKRRLSVPSLELNLTT >cds.KYUSt_contig_915.136 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:818395:819305:1 gene:KYUSg_contig_915.136 transcript:KYUSt_contig_915.136 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVKKLQRACTALGDHGDESTLPTLWDSLPSIAVVEGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGTREYAEFLHQPRKRYTDFGNSSNFLLIFKLYDADIRVKCMAKEPSS >cds.KYUSt_contig_786.512 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2904969:2907761:-1 gene:KYUSg_contig_786.512 transcript:KYUSt_contig_786.512 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGGVVVDGGRAAVAAARRPEQQQRHIGTAAHLAAGGLAGVVSKTCTAPLARLTILFQVAGMHSDAAALRKCSIWHEASRIVREEGFGAFWKGNLVTIVHRLPYSAMSFYSYERYKKFLRMVPGLDDPNYAGVVNLLGGGLAGVTAASVTYPLDVVRTRLATQKTTRYYKGIFHTLSTICKEETGRGLYKGLGATLLGVGPGIAISFYVYESLRSHWQMERPNDSNAIVSLFSGSLSGIAASTATFPLDLVKRRMQLHGAAGAAPIDKSSIAGTIRQILQKEGPRGFYRGIVPEYLKVVPSVGIAFMTYEVLKSLLSSIGEDDEN >cds.KYUSt_chr2.41355 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257190835:257195753:-1 gene:KYUSg_chr2.41355 transcript:KYUSt_chr2.41355 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGALECSVCHSKVVAPSPRSISRAYDKHKSKISSKQRVLTFLLVSGDCVLVGLQPILVFMCKVDGKFKFSPMSVNFLTEVAKVFFAIIMLIIQSRKQKVGEKPLLSLSTFVQEARNNVLLAIPALLYAINNYLKFTMQLYFNPSTVKMLSNLKVLVIAVLLKLIMRRKFSIIQWEALALLLIGISVNQLRSMPEGAKTFGLPVTAIAYIYTLIFVTVPSFASVYNEYALKSQFDTNIYLQNVFLYGYGAFFNFLGILGTVILEGPESFDILRGHSRATIFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISIVFISMHQFFSPLAKVKDDKPAGTSELEKHRLSDSSFVNMTAGADDASLLGPTDDDRKPLLPT >cds.KYUSt_chr2.13102 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83118077:83119229:-1 gene:KYUSg_chr2.13102 transcript:KYUSt_chr2.13102 gene_biotype:protein_coding transcript_biotype:protein_coding METTVLSLAKSVLNGAVAKATSVITEEVALQLGVRRDVAFINDEFEMMQTFLLAADEERGEKKVVRTWVKQVRDLGYDVEDCLQDFSLHLEKPSWWRFPCTMRKRRSIANEIIDLRARVEDVSHRNLRYRLIEDPNSKPTTAADRYLSSTAAVFGIDGARRAAKLENDKVDLVDLITKDDKDLRVITVWGTGNDLGKMSTIRKAYEDPKVTKKFRCRAWVKLVNPFDPDEFLRSLVRQFYGNSDLIGTPKQGRTMGVHISKSMAAECDMENEFDRHVNENQYMIVIEDLSTISEWDWIKLYLPNTNKGSRILVSTQQIELASLCVGQPNQVSELKQFSSDQSLYIFFHKEVTACSPFKWS >cds.KYUSt_chr4.42967 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266153606:266154508:1 gene:KYUSg_chr4.42967 transcript:KYUSt_chr4.42967 gene_biotype:protein_coding transcript_biotype:protein_coding MMLISEYVRTTNKPSASFIYKNTLLGVRPSPTVAAFSPRGPCSFSPGVLKPDIVAPGLNIVAAWPPITILGSGPFHIKSGTSMSTPHVSGVAALVKSVHPDWSAAAIKSAILTTADIADSRGHPILNEQHRMASAYAMGAGHVNPAKFVDPGLVYDLGITEYAGYICALLGDQGLAIIARNPWLSCTKLPKIPEAQLNYPSITLPLKSVPFTLNRTVTNVGPADSVYTLKLYTPKSLTIRVSPEKLVFSKAGQKIQYSITVTSHANDEKKFMEGSLSWVSRNHVVRSPIVAAADLDSPPL >cds.KYUSt_chr3.13729 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82812555:82813055:1 gene:KYUSg_chr3.13729 transcript:KYUSt_chr3.13729 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSRGGAPIPPPPRGHALDVAIEEARMTMTDEERADPRHHPDNYTRWNSYFLRRWERELAAYDGPPPPPPRNNAAGRRRWWSAPGRTLEAILAHIEGGNFPVLTMPPASRASASRRWGNVW >cds.KYUSt_chr4.48527 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300546018:300547622:-1 gene:KYUSg_chr4.48527 transcript:KYUSt_chr4.48527 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARDGLDDEVLQELRSQATRLLLKEDWAGYISVCTRIVDAAATDRRILCSALAHRADGRARLGDLSGALADCDAALAADPAHPAALLAKGAVLRGLGRYALAADCFRAVLPAGSGADDVRELLEQCRRLDAQARSGAVDLSDWVLAGFSGKCPDLAEHVGPVEVRRSAHGGRGVFAVKGVEAGGTLMISKAVAIGRGVIPDAADGGEKMVVWKDFVDKVLDAAEKCPRTASLIYTLSTGEEQEEELDVPDMALFKQEPEELGDGVPETGGQEALDVDRIMKVLDVNSLTEDAPSANLLGNSGIINCGVGLWILPSLINHSCHPNARRTHVGDHTVVHASRDIKAGEEVTFPYFEVLMPVGKRREAARAWGFECRCDRCRFEDEDTVLRQELVRSENELVNGGGDMGALVVRLEDKMRKSMVKERRKAFLRASFWSAYSALFDNGRLLRKWERRVPSEAVIAFSVASAVGGCESVLKAMLRGANDGNSCGNRLEVEDKVVRIGRATYGKVVKRQAMRALFRLRLEGDNSKSF >cds.KYUSt_chr1.9172 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56130474:56135700:1 gene:KYUSg_chr1.9172 transcript:KYUSt_chr1.9172 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPASPREEDGGGGGSGRPKRRAEKRRMHGSTASPEPASADGTSQTCGKWHPEESHRPEIGDAPVFTPTEEEFKDAIGYIMSIGPQVEKYGICRIVPPSSWKPPCPLKEKSFWDSTEFNTRVQQVDKLQNREPTKKKTQPPVQKKRKRRKKLRFGMSSRRPSAKDSDEKFGFQSGSDFTLDEFEKYADDFKQQYFGMKGIDEMSLSEIKSGKKNWRPSVQEIEGEYWRIVVCPDDEVEVDYGADLDTATFGSGFTKPSVLDSNKQDPHSSSGWNLNNLRRQPGSVLSFETEDISGVVVPWLYVGMCFSSFCWHVEDHFLYSLNYMHFGEQKVWYGVPGDNAVKLEEAMRRNLPRLFEEQPDLLHELVTQLSPSVLKSEGIPVYRVVQNQGEFVLTLPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQCAVELYRDQRRKTSISHDKLLLETAQEALTQLWMNLDNSKTGQKKYLWLDSRGINGVLTSAVQTRVKMEGAAREANALLQCKKMDQDYDSTDRECFSCFYDLHLSAVSCQCSPNRFACLNHANLLCSCEPDKKFVLYRYSMEELNALIAALEGDAAAMYQWRQYNADLVCQSGSMQQKIDFSKSAELSGPVIDADIDCSFDGCHDLDKPVGYPKEKVVQNKCVDLNIEDPSSSCRIKEELTCSSSTEKLDKDKMVIDCESLGTSNPTSHSFDLSCPPGTPTENPTPASKATEKLFGVDIEYGVAKALHSQVCQVAKPSSIQSDQVSRATILRHVVEPLDYGTVMVGKNWCNHQAIFPKGFRSRVTFHNVLDPTRTCCYISKVLDAGLLGPLFRVAVEELPEISFTHTSPIQCWDSVRDRVNEEIKKQHRAGKSGVPALLSTDSVDGLEMFGFFSPPIIQAIEALDPNHKCLDYWLSKCTPPLKRLPLESMMAATVDGTNNSPIKLLGVDITSKESEQSSFSNNSCAEEVKLGRFLKRAELPEDSELVVMNKAIGSSIWSGSRQSAG >cds.KYUSt_chr6.15025 pep primary_assembly:MPB_Lper_Kyuss_1697:6:94105846:94106467:1 gene:KYUSg_chr6.15025 transcript:KYUSt_chr6.15025 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKVYSFEEVRKHNTLEDCWLIIHGKVYDVTPFMAEHPGGEDVLLVSTGKDATVDFEDAHTEAARELLPQYCIGEIDAATITAELAQPSKKAWATKPKAGPTSLWITLLQLAAPLLLVAFALQNYTSITTEY >cds.KYUSt_chr7.15501 pep primary_assembly:MPB_Lper_Kyuss_1697:7:96415565:96416665:-1 gene:KYUSg_chr7.15501 transcript:KYUSt_chr7.15501 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSRSSSWVAMTLLLFVALAHTADADHLSAGYYARTCPNVERVVSSVMAKRVGGGRMAPAVLRLFFHDCFVNGCDASVLLDATPFSESEKDAEPNMSLTGFTVIDEIKAALEHDCPATVSCADVLALASRDAVTLLGGPTWNVPLGRKDSRFAAEKDFTMKNLPSPNDNLGELIKMFGDLGLDARDMTALSGAHTVGMSNCEHYRKRIYGTSDTKYNIDPSFAEARKQMCPPQGSSGDAGKAPFDVQTPRKFDNAYYRDLIAHQGLLNSDQALYSGGGVDSLVVRYGSDGDAFGRDFAKAMVKMGNIPPPNGMPTEVRLHCSMANY >cds.KYUSt_chr4.48480 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300230242:300233256:1 gene:KYUSg_chr4.48480 transcript:KYUSt_chr4.48480 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTATGGGAGVGAARSPRMRGAVVVKDHQIHSRPVGCAGVAPITADLTPAGVGGARAAPPGSPVNGVGAEEPLAEDVVGQSLVGPSVPSPNVVGVEPVPGPAEISPLEVGCGQGTCVARQRPSPPLQPNPSVGGGPAPVLKWRWIPVGTLDLTHSHAATLLDVRVWLRSTRFSGQIPRRLSAAAAVGSPLLGMERGRGEQRVGSSKRSFDEFNSDEGRGYEREVRERRSFADEGRRRDRDRDWDRERARAPEWRRDAGRWREEEDRLAAGPSGVREQHKKKWGNNRQGAQPPKAKSVSHSTPQAPSAPTPPPVALSDAAEVVPAGKKANIKCFNCSRDGHYQSGCHFPAHCGVCDLDGHTTGMCPKNSKKASMQWYGYAIDGVGFHCLELEEEALPVGAGRGTDHAALVIAVENTMTCELLTQDLKALVEDNWDWRVRRISDTDFAVVFPTKASLNLCKNLCKNAGGIALPISKISVLFADASATPQASLALTKIWVHLSGVPEVLRSVDLLLEGTKMLGRPRVVDEESLAVPDGPVRMLFHTHAPDKIPPSILLFINMQGFRLGVSVECSKASASELSKPPPPGPSNGEDDQEDDDTEDQSRSAPHWKRSNLKRKDKGPMGDVTSNKAGGAEAGKDGSADKSPPPTEQLQKPLPFQPQVFPSKKPGSKSSVGSSSVPIPSIKDHSATKPASAPPKSNLKPIPFNQYGSNLTESELFPNAKKCLSPVPQDEEPPSENLAQTSSESKSEEPISPTILKRQRLSEEDREEVGWESPEDWEADQETLAEKIAKLKRKQDGEVDNPPSRNKKKPGVKPTRAAVTSSPITATRRSTRGKGASSEHVLLTASKRAAEKDQGTPSAPSAPDSFLVLPSVSDTHLWGVARDAGLGLDPSAGSLSPLLSLVRAKELAQARITEAIVKAKLKEEEVQKQKLAEGVANPASAAQDLATDDSSNMAGADPERITLAEIARASRGPRRKKEVKFTGPRPNLRDTPARQARASAGVA >cds.KYUSt_chr1.1690 pep primary_assembly:MPB_Lper_Kyuss_1697:1:9549013:9550208:-1 gene:KYUSg_chr1.1690 transcript:KYUSt_chr1.1690 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSRDYYEYETDSDCPPTPEQQDYSDLEDECVQSHLLNVPEYANTALEHFNSQDKHKIKYHLIKAIVSCKIVYDGFYQHVNFTAKSDLENSKEEFFFAELRRDHDIQAWVPVCIVALQENERVGGFSELVGNGGVDPRHCFGCGDIVKHPLDGSLYEAGHYLVENSFFHRYIEVLTATQPCDVQD >cds.KYUSt_chr1.32475 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197235323:197235622:1 gene:KYUSg_chr1.32475 transcript:KYUSt_chr1.32475 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVAGGFARTALMSSSRRIVGSFRPPPTPPHSRRLEESVAVASFMAVEALLVEDPVVIVDFVVVDVLLVDVPIMVVAGAAAARDAPTPASRRRLLPRS >cds.KYUSt_chr5.751 pep primary_assembly:MPB_Lper_Kyuss_1697:5:5137346:5139345:1 gene:KYUSg_chr5.751 transcript:KYUSt_chr5.751 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAGVRNKVSLGFASSNCQRRGVHQIGTLVPPEKKEMPETTTVVDEDYGIPSPKNAKFLRTPDEHRRNSRQLAMDLRWGLCHAQLAVTEIGDGKSMN >cds.KYUSt_chr2.53872 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336231577:336234365:1 gene:KYUSg_chr2.53872 transcript:KYUSt_chr2.53872 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGRGPTCGVHPAPIGSRPACDVEMWTGFPASRQPFDYPLNGPQHSTFQMDSELLAGDLPELQAAVPIDERLPHGMIDFMMGGPKRDEAAPTDAGDEDGDDDDGDEDGDFAEGEEDISEGEEYDNLKASDTKKKQVGEGEENGEEDDEEPEEQEGGGGDDDDDDDDDDNEDDDDEEDAGEDDEDGVEEEDDDDQDDEEEDDDEDSLQPPKKRKK >cds.KYUSt_chr4.30461 pep primary_assembly:MPB_Lper_Kyuss_1697:4:191150732:191155262:1 gene:KYUSg_chr4.30461 transcript:KYUSt_chr4.30461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to TaWIN1 [Source: Projected from Oryza sativa (Os11g0546900)] MSPAEPTREESVYMAKLAEQAERYEEMVEFMERVAKATGGAGPGEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNDAHAATIRSYRTKIEAELAKICDGILALLDSHLVPSAGAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAESTMNSYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDTNEDGGDEIKEAPAPKESGEGQDAFLD >cds.KYUSt_chr2.6774 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42234119:42235541:1 gene:KYUSg_chr2.6774 transcript:KYUSt_chr2.6774 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRVSLFCFLAIASSLLHCARSDGSDDKLLKGINSYRSTLKVPALTENNNADCLAEQLAKQFKSHECTNTTGANTVPGTEPEFPDYPKYLEHCHLNASVIQDGQVMPACVPGLVADVVLTNYTKSQYNQYLNDTKYSGVGIANEGDWVVVVLSTSTGPGDFSPAPPGSNWAPSVQPFSQLIVLLVGFVILLMK >cds.KYUSt_chr5.560 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4054551:4060990:1 gene:KYUSg_chr5.560 transcript:KYUSt_chr5.560 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDVGEEEVHVKLTMEQIEKLIGEDDPELGLEAMDPNRKELAMLAATRRIRTRPQESLQDVPIHTIDVGTDEDEYDTVLTHIQDWMRTVQSLELYGKPVSPPLVDLKDQKYYIIRLQKEGDPRHVDHLFQSSDMYYIGFMPSGQKYFFRFKDIKLPSWIPSLALPENSSHGAIVDTIYGSHWWDEIFSTCLKCTKDNCIKISKSRVKALQRTTLLLAEPPRILSLKGKVKKVIRTGVPDEVGSSEEGIHNWMTMSKVGFVYKIKPTYLKSMKLLHRFTEYNLFNIEKNEFQPWKIIGPQGEILVFKFEETLVTEIIKKQQDEALNTICRILEEEPSPSGNWKRCIHRSQHPDILIYLAYLLTQGEGYSFEIRRDAGLLLKRSLREEFCAMVPAYQDYIKSQLIPCIWKDNALIQSIAGDVITEVLEIIHAEKWDELITSLQMCIASGVFEQLKGAMNLIFKISVELPHELERDVAVLDEDNVAVLDADGVALLEPVFIFLTTCLEMLKSTHLDIRRLALQCIDNYIMRPSLLDMPVDKYLDALFWLYKRNVGTTDENGLEEMSAIVKSMGSIAQRCINMKVSYLPKSLRHPIGCAQFEEIIRVLHEKRSHSDCSVQSSVSLALDTIGKVVYAVQQSLCQFILPVFRGCTLLLAKEHDKNKLYTCLEISSDVLKHGLSAGNEIPSAQGMSAGIQSMIVQDDESLARMQNIIASQPGNGPVVALSHRQLHLRAAASDGVLDHDATSGSASMPDTCWTDGLHHNNRVDSPVAVSLLFKTTPPCQSSFALELNIMAPARCLKKFPEGVTFEFVPATMPCSVLGPSPAISVSEDVSLYDESLHPTSTNAVPRDTGVGVAAACAIRSSGYTTLHFRLVFILAGIFTRPNSKAAMATI >cds.KYUSt_chr6.6941 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42039641:42043211:-1 gene:KYUSg_chr6.6941 transcript:KYUSt_chr6.6941 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAHAAGDSLEASSSASSTGSASRRSRKHKGFHLRRRPLSVRAGGGGGSKGATADGVQDLALPLGMSFAAVLAQVLNKSSGSGNGLQPDYLSKMCTSAVKESLTNIYGDRFDSFMGNFEKSFGSTLRTLHLLNEAPVYEQDIPQCSHGDGSPVTETKLSGAESQGPISGVQQNPLVNSMNKQIILHAGVNQQLVQLPRSRSSPECDQDILNVFERSLNEQVRSNELKELEIGLNMRRLQLKQSHLALSSYSHMLEKIKISMGFQKAAFREEKLKTQMEDTRHAEFLKRLIDMLLTAVVFMSACFGYGTYIYSYQRITAVTAACAAASRRSAMTGSNMPITFNLVLLGGVCGFAGRFCVDTLGGDGNVWLIFWEILCAIHLLGNSYPSLLHRGLHGPISVTHRSKAVGLPYWVRRYIFYAAVALILPCLAGLLPFASLSDWTEHAVESIKSRFTGSDIET >cds.KYUSt_chr7.21645 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134271104:134273332:-1 gene:KYUSg_chr7.21645 transcript:KYUSt_chr7.21645 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDRTAAISCPSSSPYHRPTQEKVESFFARDAKPKHPAELQVNELRIRNRFVVLSKLITGVWAAHREARKIATAMLSSVTNQRKRATISCDGGLLPDEMIVEVLLRLPVKSIMRFRVVCRSWAALFSTDEFCRLYMATPKAAPPKLLFVSPTATSDSTEVYSCCPSGPKDELLFTLDSACGNSMQLVMPATCHGLSLLLDDAAPAYYICNAATRQSHVCHLSAA >cds.KYUSt_chr5.24218 pep primary_assembly:MPB_Lper_Kyuss_1697:5:157528347:157528667:1 gene:KYUSg_chr5.24218 transcript:KYUSt_chr5.24218 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGPRPGAGKLMHTPSSLLRSPTVSNCSFQAVLLEDPEPDHKKAQVIAAQQAKDLHHPHGLRPAATHPALVLLALPLALLILLLVLVLRDHRRRRLQLLLQGEI >cds.KYUSt_chr6.4073 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23632182:23632712:-1 gene:KYUSg_chr6.4073 transcript:KYUSt_chr6.4073 gene_biotype:protein_coding transcript_biotype:protein_coding MEYASSTSRLCCWLAALVLLSCCPSPTAAAPGGRKLLEISLAQQFVVPQTHLRAIHGLRPLKWSSDLADQAARWAGGFTRDCAAPSTSGVNVFRGVGDVGRTWQPSDAVAAWAEQASHFDFGAGACAIGNICAQFEQLMWRMSTQVGCATVQCASGETLMTCHYWPRGNIMGQRPF >cds.KYUSt_chr7.18076 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112143624:112144461:-1 gene:KYUSg_chr7.18076 transcript:KYUSt_chr7.18076 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDAPQFSYTVRHRSPDYCRLTVDAGARARSGELRERDEVAEIHGYCSTNYAGSGWRGRWGGGGCWRRARGWRGGLDAVGKGQEFIVEVAYGDETEGAWKKVAVVDSSAGFDASMTEVVVDSAAAAAHRLLRREDRDGGRFLCSGHPLGRSPPSLASTGAEIGGEDQTTGRTWSTAGVGGEDQPTGRGSGARQGLGERTVGG >cds.KYUSt_contig_444.112 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000041.1:645687:650070:-1 gene:KYUSg_contig_444.112 transcript:KYUSt_contig_444.112 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGSVCGRKEDMDEKPEMRLVAAGFERRRERPSLGREALQRLTVLIRVSPATVVARSSRSQESSMVDGELQYLRVAMGHENESFEDFVKSHDACQEDLMFFPKNKSYGLASVAGNADKISALQHEFEIVRKRMDAEAKKAYKLHD >cds.KYUSt_chr4.40112 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247673572:247674891:1 gene:KYUSg_chr4.40112 transcript:KYUSt_chr4.40112 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLTLALLSLHLLFSPASTAETISANSSITDGQTLVSAGGVFELGFFTPPISTSRFLGIWYRDIAPLTVVWVANRQVPITGIAASLVINGTGSLVLTDRSGRVFWSSAPSNVTGSSNPVAQLLDSGNFLLQDANGTGAVLWQSFDYPSDTLLPGMKFGWDLTTGLDRHLTTWASSSDPSPGDYTFEIDVRGVPEGFIWYNGTAPVYRNGPWNGLRFSGEPEMEPDNGNFRFEFVANRTDAYYTFLLDGGGNDKVISRFVLNQSSLQRYVWLQQQQAWSLYWSLPRDQCDRYAQCGAYGVCDATASPICGCAAGFTPASPREWALRDGSAGCARTTPLNCTGDGFLPLRGVKLPDATNATADASVSLDQCRQRCLANCSCLAYSASSIKGGESGCIVWTSPLIDIRQFQSGGQNLFIRLAASDLRMNPSSTFLSSPF >cds.KYUSt_chr5.8933 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56768248:56771859:-1 gene:KYUSg_chr5.8933 transcript:KYUSt_chr5.8933 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKATPSPPSVPSDAPPAPPPSTMDVDKVFDVESTTSYMDMLNGFAVNLDAGIDAFDGKCNVEEIYEVEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYIEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDRYHQLKPRTKSMADRSYRSLEGRWNIIKTACSRWSAAMDQVADNPPSGCVPEDYPKYAQQRYKDMAGSKNKEFQFQHCFSILQHLPKWKLLDNEPKCKKEALLTMDDEAEDMSGRNTGKPEGNKKAKERVKVELEAATFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLANVQARREDAKLKAELDMKLIAAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWNETKADIIARKKAARQARAQDLTDSASSSEFSAGENRIQRPLPTSAGKGAARRARPFHSASTFFSSQAPPMAGTARTDADPDISPQEAPESMERLQRVRANLRLPDRPFLAPSAAHDRGLHALCLLAFVRLDLSVSGAPRPDLVAELVANYKCTPGCNGGRSSVRGKEIEVSLDAFAETLCLPGRPTFRPPAGVDPAAVALAAQEFGQVYFPAPTKYRGLVDAALGAVKDGRAHEIDWKVLIWDRVMAEMEHLIENNIAAADADMVSYYGLYLQRLIWAQRPELLRLPPKKIDATLDMASNMDIVPYQMIQATPKQLDAGRKQPGEEDNDMEAMESLNQTLLAKERNSNDELQQARKAFIEALQKFTNGRSHIVVKRMGEIDPRAFANAYRASAPDEDAQLNSAVLCSKWQAEIANPQWHPFRIVTVDGKLEEILLEEDEKLCKLKEEHGEEIYALVTKALLEINEYNPSGRYPVPELWNNKDGRKAKLVDVVHFVLKQWQSRKRKR >cds.KYUSt_chr1.38080 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232543523:232548094:-1 gene:KYUSg_chr1.38080 transcript:KYUSt_chr1.38080 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPHPSSAGAAPVQPHVQDQATGAEPNGNANALGTPNGAAPELAKEVRRERDDGDSDAGEEQEQDQRDDEEGGGGNDDEDRDDDDDDSQSSQSDGADMDEFILVKLMDIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCKSRRSLRDDPNFDALILALYPDIDKYEEEELAFGEEEKTRNKKIQESIAETYRRQSEALVKKRSTAKAIATSRKTRGNMRTKRRGRNSSPDIAPTDIDEEEEREENGNEGSKESSSVDDRSPEVKVKRARRWPVPRSSPAKIIGSVESSYEENDDMGGARDILATSPLRGEMLAWGKNGTRSQTRHGSAGGSSGRMVKGGRVAKLVDHLRNADEFDSKLNLYLVLAPLDGQSMPKLEKSYLSCQPTFCVRHLCQFVALQLSRQAKEVEIYFRKNSRDGSFAIEETGTDEAMLDRFDGLERLKEEKSLSELYPSFASGAGDLELLYSLKTQG >cds.KYUSt_scaffold_3611.262 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:1456592:1461490:-1 gene:KYUSg_scaffold_3611.262 transcript:KYUSt_scaffold_3611.262 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVTEDYDEDAATAAALKVSKAEDEDKWTYQDLEEVIQLSSMVVEHNASLPPPQPQPPHAPPLGESEAAAGRPAAAPESLRDTGAWRGAEEATAAEGERGRVPRLPPASSSLQPSSFGGICLVELSCVDSSHGAAGGGGCAAEKLLVVVVVVGDHGKVRWGRKLLRLVRAAQFCCKFSFFALPLRVVVVVVVVGGGEWRMITFVDSAAGERGDDRCLDPQLWHACAGGMVQMPPVGSKVYYFPQGHAEHAQGQADFPPPGGRVPPFVLCRVAAVRFMADPDTDEVFAKIRLVPARPQDAGDADDAIAAPAQEDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSSFVNQKKLVAGDSIVFMRTDNGDLCVGIRRAKKGGIGGPDLLPPPPPPAGATGGNYGGFSMFLRGDEDGSNNSKMMGARGNNKARARVRPEEVAEAANLAVSGQPFDVLYYPRASTPEFCVKAASVRTAMRTQWCAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKRVSPWLVELVSNMPSIHLAPFSPPRKKLCVPFYPDHPLDGQFPGPMFHGNPLGRGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHGLHQIDHGAPPRIAAGLIIGHPAARDDISCVLTIGNHQNNKKSSDVKKAAPTQLMLFGKPILTEQQITLGNSGGFSPTAARKSPSDGSAEKSANNSDTPSPESNQNGHTENLSCGGVPLCQDNKVLDLGLETGHCKIFMQSEDVGRTLDLSAVGSYEELYGRLSDMFGIEKAELMSHLFYRDAAGALKHTGDEPFRLYECAIAVPGSSVQECLNLYAPLQFLEVLCKNV >cds.KYUSt_chr3.22812 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141088177:141088680:-1 gene:KYUSg_chr3.22812 transcript:KYUSt_chr3.22812 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRFAAACGALSQYVKEAADHRAQPARPAPSPSPAVRPLPLMPGADVASWGEEPEADPASRAAAAQLTIFYGGRVLVLDDCPADKAAVLLRLAVAAAAAAKAKPETEPQVGARGDVLVAAADLPVARKASLQRFMDKRKGRLAARDQPYRRPDAARRDHLALAL >cds.KYUSt_contig_319.1452 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:9749026:9750827:-1 gene:KYUSg_contig_319.1452 transcript:KYUSt_contig_319.1452 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLNLPPQVVMYLDLNFSPHEEEEEDVEMPKAEDVEMPEAEDVQILEAEDVEMPEAEDVEMPEAEDVEMPEAEDVEIPAAHAQRRELPDSHRFAAYIALKALGNDRQIAKSDKELVATLLKTSLSTIERIWRKGREQEIKQLEVDVSNQKKGHCGRKRADLGLSRMPSIPLNKRSTLRALARELGVAYATLQRRFQWGKIRRHTSTLKPALKPENKIARLKFCTSMIDQTTIAEAEPSFLSMENIVHIDEKWFYMTKRSRKYYLLPEEQDPVRTIHNKNSIGKQDNARTHVLPNDPVFLAAVKESGRDIKLLQKPPNSPDLNALDLGFFSSIQSLTVQYAPTTLKELIESVEQAFDGYDVDTLVRVFITLQTVMIEVMRVEGDNTYDIKHMGKVKLQREGNLPMILAFDGTYYQKSLEIIANHEAALDSLNKQEEEQKKDNKIKECNIKRKARRTGPRVIVDTETIIPGSLYATWLDDPSDLVSKRRRISSLSQEIVVKLPSHHKIHEWSQPM >cds.KYUSt_chr1.35114 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214004311:214007800:1 gene:KYUSg_chr1.35114 transcript:KYUSt_chr1.35114 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGLTKLLADHAPKAMREQKFESYFGRRIAVDASMVIYGFLIVVGRTGAEMLTNEAGDITSHVLGMFNRTIRLLEAGIKPVYVFDGKPPEMKGGELAKRYTKRADATEELSKAVESGDAEAIEKFSKRTVKVTKQHNEDCKRLLRLMGVPVVEAPCEAESQCAALAKNDKVYAVASEDMDSLTFGAPRFVRHLMDPSSKKIPVMEFEVSKILEELEFTMDQFIDLCILCGCDYCDSIKGIGSVTALKLIREHGSIEGILENINKEKYHIPEDWPYKEARRLFKEPDVTLDIPELKWTAPDEEGLINFLVKESGFNQDRVTKAIEKIKSAKNKSSQGRLESFFKPTVSTSVPLKRKIGKMVVSITHHQFTIRLLGPV >cds.KYUSt_contig_1181.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:199696:207278:-1 gene:KYUSg_contig_1181.26 transcript:KYUSt_contig_1181.26 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVHYPILSFRGFQLESWKKQGVSHIAKAGVTHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTAVELRSLIDVFHGAGVQCVADVVINHRCADKQDARGVYCIFEGWTPDDHLDWGPDAICSDDTSTDVGFDGWRLDFAKGYSPAVARAYIDSARPGFVVAEIWSSLRYDGDGKPSHNQDQCRKGLVDWAHAAGAMAFDFGTKGVLQAGVQVELWRLRDGVGKAAGMIGWLPEKAVTFVDNHDTGSTQSLWPFPPDKVMLGYAYIRSHQSPTQGSPASGRLTSSHASVVARRSLLSWRRSGQGTASAPPAPLRILVAEADLYVAIVDEKVLVKIGTRYDVGDALPSDFHPAAHGKDYRVWEKGSLRTSNEYVMIFLETIIRLCNDGFFSSISRFSASTSDSFPIS >cds.KYUSt_chr7.6491 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39199296:39199652:1 gene:KYUSg_chr7.6491 transcript:KYUSt_chr7.6491 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQQQQSQRQQSVGFEDYLPVMAERLGEEGLMRELASGFRLLQDPELGLITFASLRRNAPLLGLGGMSDDDLRGMLAEGDLDGDGALSEMEFCVLMVRLSPELMDEPRRWLDDAIA >cds.KYUSt_chr1.31279 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189779120:189780306:1 gene:KYUSg_chr1.31279 transcript:KYUSt_chr1.31279 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAGVIAIVLLAAVASTLAVAGLSPDAVALQAFRIGLQDPDGVLQSWDPTLADPCTWFHIICDANNRVTHIMLGYSNLSGPLSPELAKLDQLRDMMVRASNIQGTIPEEFGNLGNLISLDLSNNSISGPIPASLGKLSALRFLDLSNNDLCGTIPTSGAFQNLPPSSFANNPRLRGPGQQQDDDGC >cds.KYUSt_chr5.26585 pep primary_assembly:MPB_Lper_Kyuss_1697:5:168390940:168395262:-1 gene:KYUSg_chr5.26585 transcript:KYUSt_chr5.26585 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVHYLPSRLSKFIYELLCGKPSDRIFQLPSALAAPRSVLSARKMGNVPFTKRALKTLCGKISSEQADDNVRKTIEVFWAAGPEFTYIVQVDDDSEMVYKVGQPMEKHAAKIYTRTMFEKFQDFLYKSGSYYVDQVVPGERYVAKHFDSDIREKWCKVQYEVSLYLNYMEVVRLGDVNVDAYTTSMESIKVVEPKLVKVAVEGDGLGLEERLSAKKARLEGAAAQILCKHIPQDNGGGDAISLDAALLAPSKNISGGRPTSSRDRPLSQIVDEPVVQPPLVAPPAPPLHQRRPRPRHQRLPRRRPLRSQSAPPRRSTSAGPALATSACPAAVLYGRNRPRPSRYRTRPTAIRCEPSSRSKDPPPPVDTSAS >cds.KYUSt_chr4.12689 pep primary_assembly:MPB_Lper_Kyuss_1697:4:78128651:78131365:1 gene:KYUSg_chr4.12689 transcript:KYUSt_chr4.12689 gene_biotype:protein_coding transcript_biotype:protein_coding MWSALRSTRKNYGPNSSSQDDASKEGTTSKMLSSEGEKEKKDVLDTNKKAQLKKASGRCKAFLLRLDKASMIGAIPIIFLNTSHYPSRIHHLSGLSPAHPLCIPTSMPL >cds.KYUSt_chr3.35140 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220563995:220568929:1 gene:KYUSg_chr3.35140 transcript:KYUSt_chr3.35140 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHAYSRLGSLGGAAAAPSPPSSPRRAWGRKASAKGAATSAAARAAAAGAGAGRARRAARAVLAALLRRQAVFLFAPLLYVAAMLLYMGSLSLDGVPRIISRPAPGSMYRSPQLYARLRADMDADNATDALATVWRHAYKGGVWQPCIRNNTYGLPEPNGYIYVEANGGLNQQRTSICNAVAVAGFLNATLIIPNFHYHSIWRDPSKFSDIYDADHFVQRLKNDVRVVDKVPEFIMERFSHNMSNVFNFKIKAWSPVEYYRDAVLPKLIEERLIRISPFANRLSFDAPPAVQRLRCLANFEALKFSKPITTLSDTLISRMRAKSTENNGKYVAVHLRFEEDMVAFSCCVFDGGDEEKKELDVARERGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTSIYLASGKIYKAEKNMAPLLEMFPLLQTKETLASDEELAPFKNFSSRMAAIDYSVCVHSEVFVTTQGGNFPQFLLGHRRYLYGGHSKTIKPDKRRLAILFDSPRIGWKSLRRQLLNMRSHSDAKGIQMKRANESIYSFPCPDCMCRSNKSEHSKPIQAR >cds.KYUSt_chr3.29848 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186735617:186736021:1 gene:KYUSg_chr3.29848 transcript:KYUSt_chr3.29848 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAGAGKSLIQTFRKFFKKPWEITGPCSSPEYRSAVPGALEYRQTCPATLRDDSPRAVIPTSDPETVYDIKYFPRDGRRNRPPVRRTLLRKPDLQLYMAAKQFDPAKDFPPPYVNTAVEEDYNAVGGGYTQ >cds.KYUSt_chr1.38915 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237910920:237912925:-1 gene:KYUSg_chr1.38915 transcript:KYUSt_chr1.38915 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDHELFWESFGTPDGRLKSPLLPQAEEMPAPAAAAAARLGPPPPYLRTHGGKVARLHMLDWIVLVLLGAIDVGLNLIEPFHRFVGKDMLDSLLYPLKDNTVPVWAVPVRYARLPSMLLQIPHIPSFNARLIEPCSPSAAANGWMQILAVLVPMLIFAGIYIKRRNTYDLHHAILGRLSLHCVSLLFSVLITGVLTDAIKDGVGRPRPNFYWRCFPNGVPNYNNITGQVMCHGDAAVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIRVFDQRGHIAKLCIVIMPLLLAAMVGVSRVDDYWHHWQDVFAGGILGLVVSSFCYLQFFPSPSGEHGHWPHAYHHLILNPEVENQVQPTDRHQPLPRDLSIVPFAVGMEMRTSARALDSMEAGSRTR >cds.KYUSt_chr4.41734 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258089048:258089977:1 gene:KYUSg_chr4.41734 transcript:KYUSt_chr4.41734 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAIANAQLLVSCQFSSNDKAAEISKFFETRTTAQFEKTLKQSLEMVRINARWAEGIRSEPGLAQTLLVGFAVVVDKKNAFLVIFVNVEDKPSGVLGFGYLSASACKAAMATLAGLFMRYYSSSLLVDWEAEADPAYGDYAVLPILAAFFPALRFLLDRFLFEVVEG >cds.KYUSt_contig_1181.876 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:5804037:5805027:-1 gene:KYUSg_contig_1181.876 transcript:KYUSt_contig_1181.876 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGGKNGAGSLYAALGVSSDCSDAELRSAYRKLAMKWHPDKCAAAGSSGSGAVEAAKARFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDGAGDILGDILEAMNKTPHEKGEGESLEDLQMQFEELFLRPDAYARGSSSSSSSFRSGVDDAGKSSKIRGARK >cds.KYUSt_chr4.38440 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237049395:237050309:1 gene:KYUSg_chr4.38440 transcript:KYUSt_chr4.38440 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAPTPRARPKRATAPLDAAARARLAALPRSADDSSGSEHDAAPALSSLVNEYLLEADATVRSATILAAEASSDPEEDPDGTTSSPAAAADTLEEIKASLDPDDELRRRLVFAVADAMRGLDDLRPNLSAFRRAVMSRLRERGHDAGLCKARWDKSSVMAAGSHEYIDVVVTGGESEKRYVVDVSFAAGFEVARPTVEYEAVRAVLPEVMVARPEHLKRVVKLAASAARRSLKRSSLSVPPWRKRRFMMAKWLGPYKRTVNSVQASAGTALPSSGMAGICRTIVGFLPPPAVVGTSSDRLWG >cds.KYUSt_contig_7377.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001496.1:120052:121620:-1 gene:KYUSg_contig_7377.26 transcript:KYUSt_contig_7377.26 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSISIAILLTVCFVGFYVPVPSLASSSDDFLGCLMTEVPSQLVLTPGSPSFKPLLVSSIRNARFLAPATAMPPLCIVTPTNASHVQAAVRCGRAHGVRLRVRSGGHDTEGLSSRSQRPEVFAVVDLAKLNNVHVDPQEATAWVDAGATIGELYYAVSKAAPGLGFPAGVCPTVGVGGHFSGGGQGLMMRKYGLSADNILDASIVNADGILLQDKKAIGDDLFWAIRGGGGVSFGIVLSFKVRLVPVPPTVAYFSVTKTMDQGAVEAVTKWQTVAPALPDDLTVRVNVQQREANFQSLYLGNCSAVVATLRDQLPELNLTRADCREMSWVQYVAYIYFGDAINNAPLEALLLNRTMTLGRFYKNKSDYVREALTKDAWEKIFTWPSGAAEGQLVLEPQGGRMGSIAEGDTPFPHRAGVLYNIQYLESWVGGNNTTTPSWINTIYDFMEPLVSQNPRAAYANYRDLDIGVNQVVGGVSTYESGKVWGERYFGGNFQRLAMIKGKVDASDYFRNEQSVPPLLS >cds.KYUSt_chr5.20673 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134270235:134280305:-1 gene:KYUSg_chr5.20673 transcript:KYUSt_chr5.20673 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMGKKQREHELFRAACEGNVRLLKKMARSLDSGCLGMAAVLAAAVDENGDSVLQIAATHGRLDVLRYLVEDLRLDINKPNNTGDTPLCYSAFAGKAAATRYLLDHGADPLVGHCDIVELLLSRGVDVNLDSARGTPLHVAAMRKQYATMKILLENHANPNKVNLDFTPLHFVIYEPVPSESLLCMKLLIKAGADVNFVDTCGLTTMIFIVKHSLPILLKCLLDAGANPNIPDEFGRTPIEYAAYNGRRDMVEMLFPLTSPISMVPEWSIDGIISHVKSFGLKPKDKRKCVKKIRELRRKASEAFKREEYLIAGQLYSCAMEFDPSPDDYATLLANRCLCCLRSGENIVGALRDATWCRMARPRWPKACYRQGAAFMSLKEYKKACDAFADGLKLDPTSDEIAHALREAREALKNARCSQNAATPLEAGAPGPSTRAVVPREEIPAAPEVGAVAEVVAAVPDAVAAPEIAAGPEVVAEQVVDAEYEDGASASNFTADVDDLLPPPPAFGVPPMEWLLGGPSAGWLIDDPEIDFSDEEFEVLPPLLYYQRHGSGPCLPSPTPSDEDPAHFAPPGYDPLPDMEENDVAAAAHVAPALPDLDLPAAEETEEENEDAPPAPSLALPTPSPEARVLLRRFASAMAARPAGIRRGTWSPEALGLTTRLAELYLNEAAHHSISSSVEGSSRR >cds.KYUSt_chr7.24402 pep primary_assembly:MPB_Lper_Kyuss_1697:7:152121084:152123544:1 gene:KYUSg_chr7.24402 transcript:KYUSt_chr7.24402 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLVAPLLFLLGLLLLSSAAATAAAGDEEPLIRQVVGNADAGDNDLELDSHFVSFVQRFGKTYKDAEEHAHRLSVFKANLRRARRHQLLDPSAEHGVTKFSDLTPAEFRQTFLGLKTSRRSFLKEMGDSAHDAPVLPTDGLPEDFDWRDHGAVGPVKNQGSCGSCWSFSASGALEGANYLATGKMEVLSEQQFVDCDHECDSSEPDSCDAGCNGGLMTSAFSYLLKSGGLEREKDYPYTGKDGTCKFDKSKIVASVKNYTVVSVDEEQIAANLLKHGPLAIGINAAYMQTYVGGVSCPYICGRNLDHGVLLVGYGAAGFAPSRLKNKPYWIIKNSWGENWGEQGYYKICKGSNVRNKCGVDSMVSTVSAINASEE >cds.KYUSt_chr3.2945 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16933745:16936146:1 gene:KYUSg_chr3.2945 transcript:KYUSt_chr3.2945 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLPNTLQNFSFTAGDEHVKRLTLRLESDREAWIGPFLNRHGNEDRNLVDLMLSHPAARRAEELRLLLEPNEWSSDADYDNETYRRPETIYTVTIDALRAERRFVQPSRSSHLTAADSVDSSPSGGRSGGGRTGDPRIRRVYSVGLSRDPAGGAVERAAVLDGLLRAAVLSSDGGAPVELGRGAAVISVLGALRSGGARLLFPVPASVGRRIRRIKFLRRRIQPEGTAVVLPRLSSLRLRHCAQDLNSLQRVIDAAPALADIRLESVVIDATKDAPSRSKHGREDWFRQYEYNNGDRQAYMDRQGNWVSLSPYKEEKGDDNDYNNDYETGDECPTATVLVLDRCKWEEKDHDKNKYGYHSTKRDTVIDMVIHAPRLRRFTYKGLLRPFTFSRPPPELEHVDLHFFMDDHNYRHRNRAQNRDLATFWQFSQSFTSTKEMRLSVDHLEDIAVLREETQVELLPTFRRLERVEFQGVHRPKGKTAAVAIGNLLRCCPVLSALRINLTTEHHDVSKDHRWTDEFLERKFRSDCSKSVDRLDRCGFQPNTISQEGDYDDEVCEITTLSPGQFQCLRNSLKRVSLQFRLEQSNCLGLRLIKFFAKNARVLEEMHIDGGNRKLHEHMNPKIEKWVTNSAERRNSGATSFVVLPLER >cds.KYUSt_chr5.13614 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88444628:88444936:1 gene:KYUSg_chr5.13614 transcript:KYUSt_chr5.13614 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRVQPGNRLWRRRPATSSTECGSALRAIPLQHNGALHARVHGLVLLLQAAPPPEDDRRGSSCPRGCRFRVAPVVGARPHLHGGSLVFQQPALSGGGRGY >cds.KYUSt_chr5.11020 pep primary_assembly:MPB_Lper_Kyuss_1697:5:71459874:71466082:1 gene:KYUSg_chr5.11020 transcript:KYUSt_chr5.11020 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMVEGYVGPSELHLRKEFTALRKARVLQDPETCSTWRSPLSSRSLVATSSIAHRSGMRDSLAPKHVESPSAPPKSGKTCKKVYLHNWRQYSNKSSEAGIKLDEQLSSEFNLETPCNSIGDELSTNIGELDLEALSRLDGRRWSSCKSQDAIDIAVHAADLATSDRRSLSQKYRPRKFSEIVGQDIVAQSLSNAIARERIAPAYLLQGPRGTGKTSAARIFSAALGCLDTGDIKPCGACKECTQFFSGNGTNLIEVDASNRKSINKIKHLLDRIPPSATASRYKVFVVDECHMVSSKVWSAFMKFLGEPLPRVVFIFITIDPNNLPRAVISRCQKYIFSKIKDIDIVCRLRRICMKENLDVELAALDLIAINSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGIDPIALMSQLAACIMDIIAGTYKLAVSTCNGVGIGGRSLTDAELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLGCGHSSDMSQPRSSTREHPKAANDAVTEAGRESSSSRVTSNSLSAFGVSKKAIDPKASSGQSSPQALASYSSRSRVNNVLAYGECRKGPSLQHETPKHQVPLEAGTLWSHLCPIMGFCLDCGQELGTIGVRAIEGRTIAFIAFEDHGIMSRAQRFLSSITNSIETVLRCNVEARVGLLPELISAGLTSGAVPKGRRTESDLLSCSSNSDRLRGALNTSRRSLDYCDEVKRELGLHPSSISSLNSGISKARGLEFPSQMSNISMVDEQRLESAWLQAVEKYTPGMKNQARPDRHQVLPQVVGSPYQRRSPMTLVVPSSQSDEDLAHEIKALKIVESYGSRKDCREQSKTGYAISPSKLHGIDYLENCDNESM >cds.KYUSt_chr6.10501 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64985934:64986740:1 gene:KYUSg_chr6.10501 transcript:KYUSt_chr6.10501 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRFSIKRVRKELSVLWVDPPAFCRPGASPMTDPYHFEVIIDGPAGSPYAGGTFPLDVVLPEDYPFKPIKLTFKTKVYHPNIDTEGEIFLDIFKDNWSPALTISKALLSIVSVLYDPLLDLPVRRGVALQYSHDRATFETKAMDWTRRYATVPVVSFYPAAKEGHSRGLRARRAGQLLTALARFRVRPIQVHVAPSLAC >cds.KYUSt_chr6.14784 pep primary_assembly:MPB_Lper_Kyuss_1697:6:92529187:92532177:-1 gene:KYUSg_chr6.14784 transcript:KYUSt_chr6.14784 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRGLLLAAVAGRHASAAPAHRSLAVRELQILAQPEPVRLKKLSAPDSGIVELTLERPEVKNAINWELMRRLRGAIGKIEADATAKVVLVASSVPGVFCAGADLKERRLMSSSQVQEYANSLRSTFSYFEALPIPTIAVIEGAALGGGLELALSCDMRICGENATLGLPETGLAIIPGAGGTQRLPRIIGRSRAKELIFTGRRCDAAQAVMMGLANYCVPAGEAYDKALEVAREITKKGPLGIKMAKKAIDQGMGVSDMGSALAIEGECYEQLLHTRDRLEGLAAFAEKRKPVYTGE >cds.KYUSt_chr1.27899 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168213996:168220674:-1 gene:KYUSg_chr1.27899 transcript:KYUSt_chr1.27899 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAGAGAGAPYASRTAEEVFRDFRGRRAGMIKALTHEVDKFYQLCDPEKENLCLYGFPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVSFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGAAKKQTKEKPPNNTHKSNKPSMKMPSRPESHSKAPKMLAPPKDEDESGEEYGEEEEERDNTLCGTCGTNDGKDEFWICCDNCERWYHGKCVKITPARAEHIKHYKCPDCSNKRARA >cds.KYUSt_chr3.44157 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278768145:278768888:-1 gene:KYUSg_chr3.44157 transcript:KYUSt_chr3.44157 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKIAVVPVLFLVILMVVVALVPVANADACNDECYKGCEKDAKKNDVHSCTITCDDMCHLKAKSQLYAAASPDEKAAVAKTFEKEASDAISEDAAAPTPGFATLDSGCLRECKNNLCHGGKDNPACTTRCDNVCREEVEGLAFAGKTYAQSPAADNKAIIEAIDKQAGGPHDNVETLADICISDCTKLCEKDPACVAICENGCRGRSVNLAFTLSTSTEKESIKKDIEAAAAAATATASKLVGAV >cds.KYUSt_chr6.34170 pep primary_assembly:MPB_Lper_Kyuss_1697:6:213970398:213973548:1 gene:KYUSg_chr6.34170 transcript:KYUSt_chr6.34170 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDPAPRLTPIQPANHNAAEDTVGRVQPLLAATQPLDAGRTPAAAASGFDFEPLAAGQTNPGQKKRCGWVVDWWASSQITRGHNRELEKKPPRVNFPSLQATAAN >cds.KYUSt_chr7.15745 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97743603:97746887:-1 gene:KYUSg_chr7.15745 transcript:KYUSt_chr7.15745 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKPQPTSKKPERRSPRKHPTKSAVAMPDSASPAAAKPDPEMPPRRSLAGGGGKLKKPLTGKLRAAAEERLTQLRAKFRLHPPAAPPASLAAHEAALRSVGLLDFARLDLQSEPARPDLVSQLVAYYDPAQHRSFVWDTRLAVSRTDFARALSLPPRPAPAASPPDDVDPAALVSAVLEFMRVYVLPAFTGADMCVLPPEVAAAEQAVKDESAHRVDWAGLIWGLVEKEILELPKRDDGVCYFGPYLQRLILAQKPDLLKPLEDEEKREAALEVVSLEDDDDDGDVAKSPDDLEPRDANADVTSNPVEEASEMDVAPVRSNILDESEPGDADGDTKSKILEEPEIKGASSRINDLEALKPGDADAEMSSKEILEESKIERAHNNLDELEAGDAAADTSSKEILEESKIESAHNNLDELEAGDADADMTSKEILEESKINLDELEAGDVDDDLRSKTLGETEADGAHLRSSNLDEQLESGDADAETRTKNILEESEIEGAQPLKSNNLDELESGYVDADMKETMEESKIELLSSKNLDDLDSGDVDVDTRSKTLEESETEGARLMSSNVDELESEDVDLRSKNMDDLESGDVDANARSKISEASMVVDDDANDMNLDEPNVGDELGLDFVAPEPLAVIHEARTSNDEVPGKKLCEGDEGVSVSPAQEDGSPEMVSMTQEEVLAVAEEDVEEECEEERGTAGWISSANDDDSMDAEENAFVQNLDESDNEEGEESEQDGFEGYRGGMEMGWRMEDENGGEGATNSFPGMQFENLNKGNGMRDVMSFDDGFSEKLGSLHGMGSTNLLQAMNSIPTEYNGTENVHDLPSGEFLAMGADAHKNGVDLGTGSSFLFGNNGKRHIGDIDGYNDQMEAQQQFLQGNQQKRARNCNNSVSSGSAFFNANISDPIHTLLLKASISYEQKDRDIQEALSQKQYMANLLQEKDTIIQTLNAARFEQENKYQAKLRYFEHDLNVMGQLVSGYKKALKQSQASFSEYRKKFPSNKFRYHDVPNSGGLVVSVNELERKRQEEEQQKLAAANEMIENFQHQWFSKAEDWTNQIVSLGSNIEELAREIDLLKEKREAKFATVATEELVL >cds.KYUSt_chr3.38568 pep primary_assembly:MPB_Lper_Kyuss_1697:3:242892691:242896223:-1 gene:KYUSg_chr3.38568 transcript:KYUSt_chr3.38568 gene_biotype:protein_coding transcript_biotype:protein_coding ADGQKKKKGRGVVKSVKAAQKHFASGSAKLNITFSETLGGTIGMNYRSFKDDVVVIMKRKVPLIGVRRWSDIHPSVHRLIVADVIDRWDLEDTPETEEKILRIAQERYRGWRSTLSSTYKAFKTDDARLANVPEDLQPEEWEWMINYFGNDEKFQARSQVNSDNRKKQKTKHRVGSKLYAQLSFEKRNLETGEEPDCVALWELTHTKNGTWSNPESQEVYPRGYGYMAKPKTGSERFRMQIEDQARVAAETLQRNSELSQHVSELEEQLEVERANMQQSIDFERSEREQLEVRLQEERDAREKMVEEERRSRLEFEKNLMAKFQQQMAKFSQQMGNQQVLKKRNEKENINSNLQTTLLKSSSPNRNLGAKSNLISTNSLLQAATLNSRMYKAMFYNRV >cds.KYUSt_chr3.38770 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244275653:244277203:-1 gene:KYUSg_chr3.38770 transcript:KYUSt_chr3.38770 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSPNNFTTSFCLTQQNASICTEQELDNYLHPYYYGIEEVALDGDELELGSSRAPKATKVDYFSSPYQSSWPPAQVDVESSRVRKKQFRDVLESCKQKVEALEALESPPAGGSGPFQLDQGEMVVSVGDGGGGGGGADGMRLVQLLVACAEAVACRDRAQAAALLRELQVGAPVHGTAFQRVASCFVQGLADRLALAHPPSLGPASMAFSVPRSSCHDGARGEALAVAYELCPYLRFAHFVANVSILEAFEGESNVHVVDLGMTLGLDRGHQWRALLDGLAARAGGKPECVRVTGVGARLDTMRAVGRELEAYAEELGMRLEFRAVDRTLESLHVDDLGVETGEAVAINSVLELHCVVKESRGALNSVLQTIRKLSPKAFVLVEQDAGHNGPFFLGRFMEALHYYAALFDALDAALPRYDARRARVEQFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSMPIKMATKAREWLEENAGGSGYTVAEEKGCLVLGWKGKPVIAASCWKC >cds.KYUSt_chr5.42542 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268045237:268046643:1 gene:KYUSg_chr5.42542 transcript:KYUSt_chr5.42542 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDELTVRRGTPSLVAPARETPRESKPLSDLDDQNCMRIYLVGIYAFRGHASRQGVDPAAVVRGALAEALVHYYPLAGRLREEAGRKLVVDCAGQGVAFVEADANMALDDLGEVRYPPFPRSEEFVYDDHVYMANLPPGLLLPEIIGQPLLYVQVTRFTCGGFIVGTRTCHCLTDGSGVAQFLKSVGELARGATSPSVPPVWSRDIFNARQPPCPSFPHPEFQEPAGGDDRLMYTPPHELERVEFSFGPEAIAALHSRAAPGKKVSRFDLVAACLWRSRTAALGYAPGDEVRCGMPVDARGRRPADFGREIPKGFYGNAIACTVACCTAEELCGRDLGYAVGLIREAKAMVTYEYMQSLADRMVLEGRPVPEAKRTFTVSDLSNVGLEDVDFGWGNAVYGGPPMNALYQLPGGATFFRRRKNGSGEEETYGAIYLPKDCVARYMKEVEALTTTITKLPTETALRARY >cds.KYUSt_chr4.8075 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48176491:48176871:-1 gene:KYUSg_chr4.8075 transcript:KYUSt_chr4.8075 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRGATSKSSAITGNGRGRCRVFTRNTPKLKTCSPDRRVEGSKPLVDADNQLAPQLPPADGATKARTPAGNTTQESVALARSHEESGFPRSTRAEAQEHHLDDASKEVTTPKKGAAAVGSSPQP >cds.KYUSt_chr1.31323 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190051031:190051677:-1 gene:KYUSg_chr1.31323 transcript:KYUSt_chr1.31323 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPMGHMPNSIPPPPGHTTQFMPGPPRFPMPPPPHMQTMPTMVNPIRIPQPPPPLPPQPPAEEQPPLPDEPEPKRPRTDDASLIPEEQFLAQHPGPARISVSVPNLDEGNLQGQVLEIPVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLSLAYYNVGPGVVINLALRERGGRKK >cds.KYUSt_contig_2197.120 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:688635:689211:-1 gene:KYUSg_contig_2197.120 transcript:KYUSt_contig_2197.120 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTVTGETLTLEVESSDTIDSVKAQIQHKQGVIGTSDGHDDDRHHQLPSLVFAGKQLDEEGGRTLADYGIGKESTLQLTLGLRGGYPYNGRGYYPWFEDRSLQALALSYNLKKMICRKCYARLPPRSTNCRKKKCGRSSDVIFFSWNDKF >cds.KYUSt_chr1.30980 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187650303:187651724:1 gene:KYUSg_chr1.30980 transcript:KYUSt_chr1.30980 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPPSSNIHPKIQENARFNPYFKDTPKDAEFLNTPLVNYEYMEACFANKLATGRFAMGSNEPLGKPIDVEGLEKTIDLEGGETNGEGSAQGEIPSDFGAQGLGATTPSPSASTNSKKRKRVLHDEDAIQVTNMSDALRDVAGAINNTCHSETHPDLCKTVMDLTNFDLDERLAVLDYLTEHKGKGLNFIKMEAAVREASFKRIIAKNPDLV >cds.KYUSt_contig_2649.53 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000435.1:337907:338236:1 gene:KYUSg_contig_2649.53 transcript:KYUSt_contig_2649.53 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSAAELLAVVGHTHAHLDFTSAAELLAVVGHTHAHLDFTSATRLLTAGGRTHAHLNFSSPANVIFVPCCVIVPGSLLRWLQAAYAIAALMYNVCSVVIRNVRDLSS >cds.KYUSt_chr3.34612 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217068530:217070000:-1 gene:KYUSg_chr3.34612 transcript:KYUSt_chr3.34612 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGRSPGTLRRVQPEQRVAARGVVLIDGDDDEYYGSSAACDPNGHADDALRVAIQTVPRGRTRPKSPKPERQHPQPNPKMSDQSAAAAAAAGDDGIFTRCLLALYILSPVTVFLLRFLSAPYGKLSRPGWGPAMPAPTAWCLMESPTLWLPPLITPLPLLLAAAPLALLPPTLFALHYLQRTFLHPLRLVQLRRPPAPFPFFIALCGFSFNILNAYVQARSWTLHSAAPASPFALARCLAGVALFAWGMRVNVAADRHLLRLKEAGGGYRVPRGGWFDKVTCPNYFGEIVEWLGYCLVAWSPAAWGFFLYTCANLMPRARDHRQWYLNKFGEEYPAERKAVIPYIY >cds.KYUSt_chr7.460 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2513655:2516885:1 gene:KYUSg_chr7.460 transcript:KYUSt_chr7.460 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTKWWRDTMLPWRLVGIQCLVLLLLSTMGATALSTGHDGDERALVAFKEKISDHSGVLASWNRSIGHCAWEGVTCSESHRSRVVALDLHSQGLSGTISPAIGNLTFLRTLNLSFNPLYGEIPPSIGSLRRLEYLGLQGNMITGTIPSNISRCTSLWRMTIADNKGLQGSIPSEIGHMQSLGVVQLYNNSLTGVIPSSLGNLSQVVILSLAANHLEGSIPEGIGNNPRLRFLQLALNNLSDLLPLSLYNQSSLFGFYVTDNNLHGRLPADLGRSLPNMQLFGFGNNQFTGVVPPSLTNMSTLQVFDVPNNGFSGVVPSSLGRLQLQLMNIEQNRFSGQLPTSLSNLSTNIQELDIFNNNISGTIPSDIGNLVGLEVLVLGVNLLTGIIPESIGKLTQLQELYLGSNNLQGSIPSSIGNLTYLSKLGAGFNSLEGLIPSSIGKLTKLSQLDLSRNHLTGSIPNEIMQLPSISISLSLAHNLLEGPLPSEIGNLVNLRRLHLSGNQLSGNIPASIGGCIVLETLLMDGNSLQGNIPPSLKNIKGLTVLNLTNNKLNGSIPGDLSNITGLQELYLAHNDLSGSIPELLGYSTSLFHLDLSFNNLEGEVPKGGVFGNLTGLSIVGNNELCGGIPQLQLPKCPTSKEGLPKSLRIALPTAGGILVLLAVLALVGFTYRKFKAGLKKELLPPPLTEIDLPMVSYDEILRGTEAFSEANLLGKGRYGTVFKGTLENFVAAVKVFNLQLSGSYRSFQDECEMLRRVRHRCLVKIITCCSSINHQGQDFRALVFELMPNGSLDRWIHPDIESQNGSGTLSLSQRLYISVDLVDALDYLHNGCQPSIIHCDLKPKYGEGLSVSTYGDVYSLGITLIEMFTGRNPTDDMFRDGLSLHYFAEAAALPENVMEIADPNIWLHDEANDSNDTKYIARAQKCLAGIIQLGVLCSKQLPRERISVSAAAAEMHALRDAYLGMNRCQII >cds.KYUSt_chr3.38763 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244214464:244216203:1 gene:KYUSg_chr3.38763 transcript:KYUSt_chr3.38763 gene_biotype:protein_coding transcript_biotype:protein_coding MSHARNRGREYGSDGGPAARYLEPKFNLFAKQVSTNTGMAVPHIDIKTVIATTLYLKSYGGGLFILYSSFGAFLLLVYLALITPVMYDFYNYEMGSPQFFQLLTQFSQNLALCGALIFFLGMKKSIPRRQSKRRTVKTKTT >cds.KYUSt_chr5.3727 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24102098:24103189:1 gene:KYUSg_chr5.3727 transcript:KYUSt_chr5.3727 gene_biotype:protein_coding transcript_biotype:protein_coding MASALDRFQELKAFDDTKSGVKGLVDAGISTVPAIFHHPPGSLALNDTHDHHFGIPVIDLAGAWTPSRRAELVGEVKSAAETVGFFQAVNHGVPEAAMSQMLAAVRSFNEEPAEAKRVYYTRDEGRRVRYQTNFDLFQSPAANWRDTLYFEMEPNGPTSEEIPPACRGVVTDYTRSVRMLGGTVLELLSEALGLHRGYLEHDAGCLEGMNIATHYYPACPEPCVTMGTTRHSDPSFFTVLLQDSVGGLQVIVEDEKLQPVWVDVPAVAGALIVNIGDFLQLMSNDKFKSVEHRVVSKSVGPRISVACFFQSHGAAASTRVYGPIIPEGDLSPELYRSTTVEEILQHFREKGLDGSSALQHFRV >cds.KYUSt_chr7.30819 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191875845:191877149:1 gene:KYUSg_chr7.30819 transcript:KYUSt_chr7.30819 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSCPLGLVFFLLCFFSPRRPTEATLVQSLPGFDGVLPFLLETGYVTVDEENGAELFYYFIESEGDPGRDPVLLWLTGGDRCSALSALMFEIGPLKFITEPYDGIVPRLRYHPYSWTKAASIVFVDSPVGAGFSFSRNPKGYDVGDFSSSLQLTTFLTKWFTEHPGYIANPLYVGGDSRAGMLAPLVAQMISEGIEAGMTPTINMKGYLVGNPSTGEVIDLTRECHICMESE >cds.KYUSt_chr4.31022 pep primary_assembly:MPB_Lper_Kyuss_1697:4:194095987:194096247:1 gene:KYUSg_chr4.31022 transcript:KYUSt_chr4.31022 gene_biotype:protein_coding transcript_biotype:protein_coding MALPWLPWRTAAAGEVLEGERLLLVLTAPVRERKGDECSGGGAEEGKEAKARVGLQEAFILRRTLHGGRRIKLQVDVAYADVLPYS >cds.KYUSt_chr1.18926 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111117926:111119350:-1 gene:KYUSg_chr1.18926 transcript:KYUSt_chr1.18926 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNASLPPGVRAERCWCGRLAKVKEVVDFSDKFGMKYFMCASYDRDPPRQTSSSSSRPASPPPLCMWFHWIDQEQPEWARREVEEKQRRAWARFHEEERFEKAIANDKARKRETDTKIKGEQARNREVNRSGWMMRLHVGKLFSKHAGMPGRTPVELRQELLRLQARFEKKKPKDEKEQEEFLRYPYTWASSTEDDEEVFTPRSLAKSNEYFKGKSAASACVDSDDDFMPPSKKGKASSSSKSKKKGKK >cds.KYUSt_scaffold_1700.589 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:3679360:3679994:1 gene:KYUSg_scaffold_1700.589 transcript:KYUSt_scaffold_1700.589 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRWWRWSLGFSMLLAAVLQLLVVLRWSEPDASGGTTASSSLIKAFFESPDLGKIVVDPSLSSHRGGGDWERISDDPAFGRSAGSPLDWLWRPRLCFGYCDDLFCNWIHGDLQNPIQFASWVARLLLWLLQASPDLFLAPSFNLRREALFTLPFDGKDAAGDGGKCIAGEVFPAVL >cds.KYUSt_chr6.32041 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202421170:202433040:1 gene:KYUSg_chr6.32041 transcript:KYUSt_chr6.32041 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRRQANRRGRQRKDPSPAAADSTIEENSGTKGEDEEMPQASAHVEQNENGVELADQETPPPQAPAVENSVEKVDEEAPPASAETGGRRSSLRPRKTPAVIEEKGEGEELPEVTAKVLVCYSRRGRRRGAQPKNLAATKENGETQGEEDEMQKAYAQGNSERKGEEEELPQGGMSGRGRRQGRQHKTLADAEENDEHPVKDEINEVSTERGGRRVRGRGRGRGQGKVTVPKAPAASKENGVKEGKEELTSAQENGTEEANEKQRPRRKRSRDPVADPSLDPRTTRLRQRRTAPVVADAPNKVEKVDKWKISDEDKIKCSLRIAHYLLPWLKDLFNEQMKERRVEATIEGIDTCEVKIPKVNCEENERIYCCSRELRDGLSPGAAAASGMVLTQPGVEGKEGLQHESSDDNVPSQNLPNGQDDVLMVSAAPVEDSAPGLRQWRVNSNGSIPCPPIALGGCGDCILELKSLLEESVISDLLENASSVVSKEGMLEVGGSKCSCFTDSGEMSNGTSQKLACRDNSSDNYIYCPNARDVQNGALDHFQEHWLKGQPVIVRDVLELTSGLSWEPMVMWRALREVKDKDEHERLVVEALECLLWSEVEINIHFFFNGYSRGVVSPEGLPMLLKLKDWPQHSSFEERLPRHGAEFMSALPFREYTDHKCGPLNLAVKLPEKVIKPDLGPKTYIAYGVAQELGIGDSVTKLHCDMSDAVNILTHTDVIKLKPERVTAIEKKKKSLAIEEDNRNLQASQTDPDCESIALSELINVPRPEGFGLSSSIQQPLSDVVLDGRKGVHNDVEADGNFTVGQSTTEGDVDHVDLSISKEKTEGIVDQKDRVDHGSSSEDKSESPDNTEGTSEPTGGQKRRRHGCQSSNASKKKKKTTTEYKVQGTSISLEPKDDDVPFVEGNQPEGGALWDIFRREDVSKLQEYLLKHSEEFRHYNYEPVKQVIHPIHDQCFYLTNEHKRKLKEEYGVEPWTFEQNLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVQECIRLTEEFRLLPKGHRVNEDKLEIKKIAFHAIKKAIEDINKKGDKESDEVEDQPGPSEPAEMEDDEKQSAQAMSGAEDEPAEIEEGQEKSALYRSVVGDEPAETKEHQKESGQAMSEDEVEPAEMEEPQVKSAQDLSEVKDGSAEMDEHRGQSPRDMSEANEEPAEMEDHQKQSVQDMCEVTDGPVEGEAEQQSADWMPERAELECHQEQQTGGMPEGKDPAEIEGHQKQQGDEVQDVSGDKGEPAEMEEHQKQPALAMSEVEDGPTEMEQQEDSAQDMSGGKNEHLEMDEHKDNSVEDMPEAKDGPVDMDEDQEQSAEDMVEFEDKPVEMEEPQKQSAQAMSQVVDESAEMEDHQEQSAQDMPEAKDGPVEMKELKELSAQEMPEARDGPANMEQGQSVEDTSELEAKPVKIEELEEQLVQDMCEAKDGLAEVEERQKQLAAGLSEAKDEPGETEEHQKQPAEAMPTMP >cds.KYUSt_chr5.20498 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133226976:133231123:-1 gene:KYUSg_chr5.20498 transcript:KYUSt_chr5.20498 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVATMVVGPLVKIVMEKASNYLLDKYKVMKGMEKQHEILKRKLPAILDVITDAEQAATHREGAAAWLEAIKKVAYQANEVFDEFKYEALRRQARKMGQYKELGFDVVKLFPSHNRFIFRCRMGKKLRKIVLAIEVLVTEMNAFGFKYEQQPLVCRQWRQTDHVIFDPKEIINRSRARDNKNIVDILVGQANNPALTVVPIVGMGGLGKTTLAQLVYNEYEIETHFDLLLWVCVSDGFDVDSLATRIVEAAPEKKDDSKKAAVASKQRPLDTLQNILSGKRYLLVLDDVWAREDRKWEQLKASLEHGGMGSVILTTTRDKRVAEIMGTVKAYNLGALEREYIKEIIETTAFSRLKKEEKRSAMLVNILGEIGERCAGSPLAAIALGSILRNKTSEEEWKAVSRRSNICTVESGILPILKLSYNDLPPHMKQCFAFCAIFPKDYEIDVDKLIRLWIAHGFIIQEEQVRLETIGKQTFNELASRSFFQDVKQVQATVSEIVRGRACYSRTTCKIHDLMHDVALSVMEMECALATEDPGNIDSIVATMGPCQREWLPNSARHLFLSCKEPGRKLNISLENISPAIQTLLCDSGMISSLHHPSKYSSLQALQLCSEKRSFPLKLKYLHHLRYLDLSKSYIRALPKNMIILYNLQTLNLSGCEFLRELPRQMKYMTALRHLYTHGCRMLKSMPRDLGKLTSLQTLTSFVAGSGSNCSDVGELGHLNLGGQLELHHLQNVIEEDAKAANLVMKKELRELTLKWADSEQTSCRDDARVLEKLEPHDGLHAISIHSYGATTFPVWMAMLQNIVEIHLFHCKKLQWLFTCDSDASFAFPNLKDLTLQDLVCLERCLERNNVMQGDKIIFPRLEKLFILRCKKLTALPGRPTFPNLQHALILGCPELTTTAKSPKLGVLNMEGSEGELFPWVARHMTSLTNLELQSLDDSTETTSVAAKHGLREVLDGREKWNDHDFPLAVLVLKNFKSGVKELCACFVHLQDLSIWSCSALVHWPEKEFQGLASLRRLLIRKCNNLTGYAQASVEPSASTETSQLLPRLESLEIWSCKSLVEVFIIPASLRRMEIHYCKKLVFRSGRRLQQGQSASPIYQGPSSVPEVSSPSSPGANVDHLEELILSECDGLTGVLHIPPSLKKLEMKCCNGLTSLQSSLGERPSLEHLLLSSCNTLSSLPDGPQAYSGLQYLYIIDCPGMKTLPISLQQQLGSLQREYIDAHYYGKFFQLIQTGKRDPLENLPGS >cds.KYUSt_chr3.34290 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215085003:215086214:1 gene:KYUSg_chr3.34290 transcript:KYUSt_chr3.34290 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQSPAATHPQLPTTSSSSSRRTHRSAPPCPRAGAAPAAAESLLPPELRAESLPRHVAVVMDGNSRWARARGMPSAFGHEAGRRALEDTVRLSRAWGIRALTAFAFSHENWSRPKLEVDFLMGLFERVINDSVAEFLRDGIRLRVIGDCSRLPASLQRTAREAEEATRNNSQLDLTLAISYSGRRDVVQACRSLAEKVRAGLLRPEDIDESLFAGELETSRGSDELLPCPDLLIRTSGELRLSNFLLWQSAYSELFFTDTLWPDFGEADYLEALCSFQSRDRRFGRRNL >cds.KYUSt_chr5.4912 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31095159:31095694:1 gene:KYUSg_chr5.4912 transcript:KYUSt_chr5.4912 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTLALQGYYKEMIAQRPRQTEYGSYGKASRKSDVFSYGIMLLEVFTGRKPEDAMFVGDLTLRRWVQQLFPAELIHAVDTRLLHRSSSWYELHDNFLAPIIEIGLLCTKDSPKDRIKISDVVLRLTKIQIAYTKWTTRHNDL >cds.KYUSt_chr7.37451 pep primary_assembly:MPB_Lper_Kyuss_1697:7:233718088:233722060:1 gene:KYUSg_chr7.37451 transcript:KYUSt_chr7.37451 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLGLVLAIALLAAVSVALLPPVSAAADNDDYAHCEGAVKSWADSAAEGDDGADKLNLKDLLFFLHIPRTGGRAYFHCFLKKLYTGAQECPRSYDKLRFDPSHPDCKLVVSHDDYSLTSKLPKERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSAKSMTTRLLKKTRGVSTLDIWPWKYLVPWMREDLFARRDARGIDNTRSSNKVNPYDVDDMAMPLHQYINDPVAHEIIHNGATFQVTGLTNNSYFDGAHMVRHCVRKHPDLGRFVLQVAKNRLDRMLYVGLTEDHEESARLFAHMVGAQVLSQSGILNLDIQEDPPSGTDPHSSMLDQEDEETNEHMNSTDGWSSNDALNSTDDDHGKGNMTVGKLMETYESCIASLRKSQSNRRKISLKKVAEANFTKAVSSEGD >cds.KYUSt_chr3.20402 pep primary_assembly:MPB_Lper_Kyuss_1697:3:125544891:125551318:-1 gene:KYUSg_chr3.20402 transcript:KYUSt_chr3.20402 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGWNCQGMGKSLGSPKMLHLAKMIHVAKVQHTNHGRPFLHTELPTSNQDSTYSVPDKEELWETLKDMKRNASPGPDGFNVEFYISTWSWIGDDVTRLVRSFFETGQTPSWVKSGIIFSKHVDQATAAAIRQIFPVNLIDSSFIHLGHPLILPSKDRAAAYDFVLDKFKNKLTTYKADQLSHPARLALIHSVFASIPVYYMSNILFPKKLLAKLTAIIRVFWWTGIRKDKTSPKIFSDATWRKRQMFAGNSGLERTGIGVFLQFADSGDRRLTVMIQASAPPADSVLQAEAKAMLLAALVAQALHIHKPTMLTDNKNLAKAVASKKLDSVHIHWNCRDTLADILATASILHAQVFHIKRDLNDIAHNCAHQFDPGLALLHRDRHPSGYQEEMSIARGVEEQLDMNTDVKMDVKLDMELDMKISHGRAREEREACARGEVDVQAGPAPG >cds.KYUSt_chr2.43268 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269203090:269203746:1 gene:KYUSg_chr2.43268 transcript:KYUSt_chr2.43268 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPSRQYLHALGKQGTGRQWPWRKRTSAAESLQAAAVLDLAARAGPDDEATVGGAEQGKASGTSRGPQRNGGGVGWGTCRRNSVGRSWRWAGGCAASGEDRTAAKRVTWPISPCAASHVDLPFAASSSSALDPARSPAYTMAAESAFEASARRMGLAACRCCKGSRRGRQHGLKASSKSQIRSCFFNEKVMRMHLLFLLPFEMNLICPDAAKWFRLL >cds.KYUSt_chr5.6709 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41600184:41602250:1 gene:KYUSg_chr5.6709 transcript:KYUSt_chr5.6709 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLRLLLHLLLATLAASQQFTYSGFGGRGNGGAPNLTLNGVTELQPDGIIRLTNETSRLLGHAFYPSPLRLLGPPGSGSNRNGTAVSFSTAFAFAVVPEYPRLGGHGFAFVAAPDPRIPGALPSQYLGLLSAADLGNATNHVFAVEFDTVQDFEFGDINDNHVGVDLNSLVSNASASAAPVNLKSGDTVLAWVDYDGDRRLLNVSIATKSEKPAAPLISFHVDLSTVFLDQMYVGFSASTGLLASSHYLMGWSFKLGGGAAPPLDLSSLPSLPQPKPDRRSRTTRILASVFSAFVALVALAGAGAYGAYRYKNREVIEPWELDYGPHRYKYPELKHATRGFRERELLGCGGFGKVYRGVLPGTPPTVVAVKRVSHDSRQGLREFVAEIASIGRLRHRNLVQLQGWCRRRGDLLLVYDFMPNGSLDMHLFGDGLRAARLTWDIRYKILRNVASALLYLHEQWEHVVLHRDVKASNVLLDGDMAGRLGDFGLAKLYEHGANPGTTRVVGTLGYLAPELTRTGKATTAADVFAFGALVLEVVAGRRPIEPRAAPEEQVLAEWAWERYAAGETEKVVDARLEGAFDAQQAAAAVKVGLWCSHPVPVARPTMREVTRYLDGGDAAEVPPPPPPPPPPPVCSGEVGYDDFVHSFPSSSFERAAAAGGVEPLSQTSVATFPFSSLSNRSSYVSV >cds.KYUSt_contig_988.453 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2643290:2643616:1 gene:KYUSg_contig_988.453 transcript:KYUSt_contig_988.453 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRTLTLGQQPARGHGGAQYELAKLRQKTEEEEEAHVRRATKVSVQSELGMESAWAMYTACLKEGERFLDCIDPSWPDDDEAEPSMRSAPVAAIPSSRTMRMTGKY >cds.KYUSt_chr7.34940 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218390275:218394407:-1 gene:KYUSg_chr7.34940 transcript:KYUSt_chr7.34940 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPVHQDITGASMVQHGPPEPTVHVCPQLSSGREPAPAATMGTREVYEEKLRSGAHLHRDPTINPGLGSARCPRCLSLLNPTANSGEGDWAITSVLHDATSVTGAGAGAMLSAVHGFNTGIPFVQKHVKGPKWLHLLVGVPPLILFSGASALFGSYALPTFAQLTVTSYYAASSASHYAISQITRQIEKAHLSDTDEKS >cds.KYUSt_chr2.38490 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238641868:238643709:-1 gene:KYUSg_chr2.38490 transcript:KYUSt_chr2.38490 gene_biotype:protein_coding transcript_biotype:protein_coding MHRINSRNSGKKMSNSAALARPRPSPTARAVVFGVFFFLVWFSASDCVLAAEHPDYADALAKSLLFFQGQRSGRLPPDQAVTWRSDSATSDGSAANVDLSGGYYDGGDNVKFGFPMAFTATMLSWSIIEYGGRMEGRVHDARAAVRWATDYLLKAATATPGVLYVGVGDADADHHCWERPEDMDTPRTVYSVSASAPGSDVAGETAAALAAASVVFKAADPAYSRRLVTAARDVMAFAWQHQGKYSDHVGGGLSNYYPSYSGYTDELLWGSAWLLWATKNTSYLADVLSLGASDGVDMFSWDNKLAGARVLLSRRVLVNADKRLDAFKRQAEDFICRVLPKSASPSSTTPYTPGGLMHRSDNANLQYVASASFLLTTYAKYMAGSKRTFSCQNLPVTHKTLRALAKRQVDYVLGANPLNMSYMVGYGDRWPRRIHHRASSMPSMAAHPARIGCQEGFDSYLYASGDNPNVLTGAVVGGPDQNDAFPDDRADYARSEPTTYTSAPLVGCLAYFAGSYKNK >cds.KYUSt_chr2.39571 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245509899:245511370:1 gene:KYUSg_chr2.39571 transcript:KYUSt_chr2.39571 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAMAPLQGGLVEFSGLRSSSSLPLRRNATSEDFMSAVSFRTYAVSTSGGYKAPTEAKLKVAINGFGRIGRNFLRCWHGRGDSSPLEVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDNAISVDGKVIKVVSDRNPSNLPWGEMGIDLVIEGTGVFVDRAGAGKHLEAGAKKVLITAPGKGDIPTYVCGVNADLYTHADTIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDAAANELKGILDVCDEPLVSVDFRCSDVSSTIDASLSMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANQWK >cds.KYUSt_chr4.50056 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310068893:310069507:-1 gene:KYUSg_chr4.50056 transcript:KYUSt_chr4.50056 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMGYLHRLQQLQQLVFHSKIARLHSTRVFHLHSSSSSGASLQEVADAKGGRSRARRRGPVSSTGWPVGTRRRGARDSAGTRRRGTRDSAGARLRGARDSASARLRGVRDSAGAKLCGAWISGGGAEFRRHQAARGAEFRRCRRGAIRRWESPAARLHGARNSGVVRGTIAARDPPAGTSCSKPAHAILGDTIGDSIVMFSKY >cds.KYUSt_chr5.31068 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196701532:196703856:1 gene:KYUSg_chr5.31068 transcript:KYUSt_chr5.31068 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVFRREQLLQELHRERIRHDMIMCELAETERVMTACLAGRGSWHGRLLMTPWEQTMYRTSRSTEETSWWHKSPSVPAIAPVYPRVERSPSPLLQPRPVDNAQKEECGRPLAVAPSAPPVFEQCPSLSKQPSVPEALVPTATSVVAKPSQPAFFSKEVIQESRSAVDHEHGAEVKARNGIQLLQSGIQISEQLKRAAIDQEHEEEAKNTHSVQLMGREVQRSEQPTNAAVGERCIVEAKDSCGIQLMECEIKSEQLKRAAIGQEHEVGTHVRHVVQVTESQFRGTEQAKHGPVDQKHEQEVKSHDVQLIESEIHKSEEPKREAFGQEHEAEENDRHVVQLPKISEQPNLAEPTIKDHTENERRQLPQHYALAGKAKSLPNEQRRPGFNKSKQTLCEQPDTEITPSGVKGRQVLAQNVEAPPPKRHKPSEEWSCTHCQVRLTCQEDLMRHQAGDQHRLNVAALQSRQEPLEVTANSTAESYRVPQFLKRLVRPTLHNNLENSEAQKAKRASGFDLHNHFRGRSHQENTHAVAHKRRTEPFQGHGHQESTQALHTEEGGKDGSKRAADGTGTEDRRKKFVTERSSWPFCELCKVQCNSAKMMLSHLRGKKHRENLQARH >cds.KYUSt_chr6.15130 pep primary_assembly:MPB_Lper_Kyuss_1697:6:94805208:94806479:-1 gene:KYUSg_chr6.15130 transcript:KYUSt_chr6.15130 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARFLLAMPLLLLLVLQHAVAAVAAPATFPGDRAALASLKSAVDAATIPAYSCLASWDFARDPCTSFPCGLHCYTPPNSSYQRVAGVALDPAGYSGTLPEPVFASLPFLQTLSLRDNRFHGALPAGVPLPPSLRVLDLSGNAFSGEIPGSLFTAASSLQELDLSRNAFTGPVPPQVASLGALTWMELQHNGLAGPLPRMGEMRSLAHLDVSGNKLSGPPLDALPRQVLSVVARNNSLSGPLQAAAFHALPAMQVLDLTGNALTGAVPGAAFEHPALEQLRLGSNRLGAVEEASNGGASRRLVEVDLSGNRVAGRLPGCLGVMPRLAMVGLDRNRFVGGVPGTYAARISVEEVTNGMVPFAKLTLQGNYLCGALPRLMRQIKEGGATVSLADNCLLKCPRKFFFCQGLRQKDHATCPKCEP >cds.KYUSt_chr2.15549 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97866748:97871313:-1 gene:KYUSg_chr2.15549 transcript:KYUSt_chr2.15549 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSSSTWSICFKPNKSTLTARIRLSGTYPLLGNTLPNPLTEPSLRGQLSHHTTVQSGPAGHPSNASKLNLLPCMPVGNAEFSIWFAAAAANAQPALQKGAKSIIILTLWRLWKTRNDAIFKNLAPNRLALVQSILDEACQWSDAAVVMLSGQLADGFATIFVGELIDRFGHFKLWHAGGSILVAISFSSVFGSCLPCKLTGTNSTTLETVGYSTFAAIFNVGWAVTQVAHMSMVNCMTSNPTSRVALVSCRNAFTMIANLSLYGIALLMFSLLQSVSVIVQYRWIAYASISLGSCFVAVFLIGTKEPGLNQSCQNKSLSRISWAHWFKKVLYFQVALVYMFTRLVTNVSQAFLAFYVINDLGMHQSSKALVPAIIYVCSLMVSVMLQETRWSSWRLKTYFSAGAMLWILSGVGIILLPSRMHNLMYALSITIGAANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKVSCGIALYILESYQGSTKISANQDLAYGYSVTRLGLGLVPAVCSLLSAIVAYTMDLPDTRRRPLLTPLLA >cds.KYUSt_chr6.819 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5224215:5225348:1 gene:KYUSg_chr6.819 transcript:KYUSt_chr6.819 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQQLMLQPCGHTVKRRRRRGQSQPRQRQHLYLVVDDWERGYSVRKIDVDAFDSEAHAEPFDSEADSDAEALDLDEDAETLPEPPVIRFKAPHCRLWYFGGHGTNILAMPVSSRADNAFPVIDTKTLGLTPCAHPDGHKVYHKPVFASVGGELYMMVESSVCVLGAPPPAPHNKDTQWSWTILPDLAPVKSSCITSSALHPDGHTLFVSEVKSTFSLDTEKLSWSCQGNWVMPFKGQAYFDNELDAWVGLCRHKGGIGYVCCCDVPPVATAAKCGSMPAWKLGKDQLFSQDNERHLGANLLYMGNNNYCLIQSLLHKKYNQRCQGPRRLVLYVTKFGLKYDKNGELRTTRLSARSYQMVEGHELNDLCSTPVAFWM >cds.KYUSt_chr5.2932 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19198341:19200201:1 gene:KYUSg_chr5.2932 transcript:KYUSt_chr5.2932 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPWVRLLLLLAVFAACHARRFIEEDVDGSMTTQPLRPSVISCSTSGNYTDRSPYHVNLYRLLSAVPMAADSNGFFNGTFGVAGDEVFGLFMCYASDTDPECQDCLDRAPGGIMRLCPRSRTVRAVYKSCTLQYSSESFFSVADLSITDSVDLSFPPQPEQTAYQSQYDRQAYENWDNGVVLVGYVVDTAGLSQTRFQLIRRLTVKAGQVAMRIAEGTQQFTDAQWVRAVVQCTRDLPASECTRCLSNYTDQLPRMFPNNSGGAIKGPSCYLRYAILANKPRTVRLERYRYSEQYEKEMVERESYRERERVAQRRKVVTIASVVTISVVLVVCLIVMLVWFLRHRWRKWVVLARVAMRSYLEKLLKLMAYYRGKRVYQDELDQGEGGFGCVYRGFLKDTHLHIAVKKVSKSSRQGWKEFVAEVRIISRLRHRNLVQLLGWFNGGDEGDLLLVYELMPNGSLDAHLYKPDHLLPWTVRAVRRAQGDVKPSNIMLDASFSAKLGDFGLARFVCDGRGSLTTGAAGTLGYMDPKCLFSGTASMESDVYSFGVVLLEIACCRRPAVARDDDEGAVIHP >cds.KYUSt_chr4.12235 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74514182:74517636:-1 gene:KYUSg_chr4.12235 transcript:KYUSt_chr4.12235 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGYLADVDAATSRSSAKRVAAVVGKFSDEKKQLVRDIGFGGILQMPQINKTPRKFTVWLLSNVDISSRSIVVNGQCKVKITDKAIERVLGIPCGPKKLIGLETNVVEEKNDFIKLAIGSLSTDAMETCGLKVAEDVLTLDYPIGMNKEQKDRFKVLYLDSLDLSTFALPPDKLPRIALFDLVLLKRMINADQENNISYCNSDSSTAGGTNVTVQLEECPLRRLGHREKTKKLFVEEIEACSKRIKVEQDSASAIQPGKCDPFILSEHDITAIIENGSGNSMCPSDNNLKQSMFSKLKCKQRGFAPNPWMGGAAKSRVRTGATNDLYDWLENNNSSTLRRNWIVHALPKYIELDGFNLKDIFIKKRRFTAEAFDIAIRRTIQLDSSMVPEGILTLLAIKDFDGSKYVERHTEESVEEFTKLILYEMVSLEGNYGKQPEGFIQTII >cds.KYUSt_contig_1790.67 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:391673:395172:1 gene:KYUSg_contig_1790.67 transcript:KYUSt_contig_1790.67 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRRRRYIRHRRSAPRHDTVRLLCAFLGLGSSPYGLSSCSPWIRRFSISRSLFGGHQRRAAWSVSLPWLPWSLLGRPLGLPGYCLQHKLGRGSHDKVQQFMAITGASVLKDSINVLCSTAFSSGVGASGSSEDHEMSESESSAAAAAATLRRSISTSNAPASSDQLDQTPIALTESSWFERTGSSATARTSGQPLVKEVDATRTAASTTTTLARTVVSTTARTVASTATLARSIASTTI >cds.KYUSt_chr4.6945 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41104449:41106099:1 gene:KYUSg_chr4.6945 transcript:KYUSt_chr4.6945 gene_biotype:protein_coding transcript_biotype:protein_coding MFRETGPILAQRDYGARPNKPDIVQTRCRWIIGDVTEVLDHNTWKLGKIAKIVENNYFVIRLADCIQLKEFHISSLRVPVPQDPPTATVHCKQFPAADKANRRGKQLPADVLRRSGKKRKSSAVLDSPPQPRQRRFMSQHQNAPGAEQHRYAHAREETAECSVASCSANDDVAYGGVGSSRHRCCSVGRGDAMSVVAAPCTSSSSDDDGVLSDGPAMDVHELELEAYRSTVRALHASGPLTWEQESLLTNLRLSLNISNEEHLLQLRHLLSS >cds.KYUSt_chr5.30245 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191776613:191776951:-1 gene:KYUSg_chr5.30245 transcript:KYUSt_chr5.30245 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEHDMGTGLLNVVGKVIHVQLIDVGLGGHGIRMLQHAPGAVDLAVVARRAEDGLRGGWDGAALSGVEHGIHEHEVVGGVVGIGAEDELVGASGGRGLQRDPLDGAIIGRD >cds.KYUSt_chr7.38353 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239037707:239045756:-1 gene:KYUSg_chr7.38353 transcript:KYUSt_chr7.38353 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSQSHGKGKGPTGKMKKKSAADSAILTMWGKASKAKKIDERSTPSQPESSLQLVLFQAPDAGLEPESSGANPVPIMVDNEEIDEDDDEPTQADLGVLEHDPGKRIPISRYAVNDQDRVRMRYIELGPCQPKNHKFKWDELLRKRLGGASRGTVIREPHAPRPPSPPCGRLRLVKPKLESEWVLP >cds.KYUSt_chr6.7169 pep primary_assembly:MPB_Lper_Kyuss_1697:6:43469767:43476982:1 gene:KYUSg_chr6.7169 transcript:KYUSt_chr6.7169 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGRGEEDDSEDEEEAAETYDSEEEEEERGGKRPRRARRSGVETFIDDAASEDDDDEDEGVDEDDDDDEDYGGGGGKGSKRMKRASILIDDMAQVDDDVEEDEEGEYEPGFIDDDLPDNPDEGVRNPRRHSVPMEEEDEDDTDKILAHLADKYGRQPQFDYADEVFTEVDQQALLPSVKDPKLWMVKCAIGHERETALCLMQKFIDRDDLQIKSVIALEHLKNYIYVEAEKESHVKEACKGLRNIFASAKITQVPTKEMTDVLSVTTKSVDLSRDTWVRIKLGIYKGDLAKVVDVDDVNQKAIVKVIPRIDLQALTDKLTKKLNGLKVEKKKKSFVPPPRLFSVGEAREMNIPVERRRHRDSGEYFEVVDGLTFEDGFLRKTVSIKAISTHNVQPSLDELEKFRRVGDDINEDVASLSTLFSNRKKGHFMKGDAVVVVKGDLKNLEGCVEKVEDATVHIRPKQSGLPKTLAFNATDLCKHFNPGDHVKVVSGAQQGATGMVVKVEGHVLIILSDTTKEHIRVFADHLVESSEVTTGITKFGDYELHDLVLLDNLSFGVILKVESEAFQVLKGVPDRPEVVLVKLREIKYKIDRRTSAKDKPGNTVSTKDIVRVVEGTCKGKQGPVQHIHKGILFIYDRHHLENSGFICAKAQSCLLVGGSIATSTGMGMDTEDPRLGAFRSPARILQSPGGLPPRGPYMNSGGRFGGGGRGGRGHNALVSRCIKIKSGPYKGYRGRVKEVNGALVRVELDSLMKIVTVKRDDIAEPANVETPVRESRYSLGSETPMHPSRTPLYPIQTPMREPGATPMRDVLQTPMHNQAWAPMSPRNEAWAPMSPRNESWAPMSPPRDNQEDGNSGTWGTWGISPAYQPGTPVVRPFEAPTPGSGWESAPGNGFGDATFNAPTPTAQPMTPVPASYQPQTPGGQPMTPGNAGMDIMSPAIGDEGGSSWLLPDVMVNVSRGDGPTSGVVKEVLPDGSCRVALGPSGNGDDVTALPDELEIIRPKKNDRLKIMNGSLRGVIGKLIGVDGSDGIVRVEGSLERSLHLWFTYLNSGSLLNKLSFVPKKIVDG >cds.KYUSt_chr6.15753 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99130925:99132267:-1 gene:KYUSg_chr6.15753 transcript:KYUSt_chr6.15753 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSHVVSDLCIGKPAVRVLPQSTPIAAALATLRAGADPFVFVDAASSDAKKTATAVSVVKVSVAEILCYVCGDSGNLVDPAAALSRPVSMITAAVGDHGVTRRVDPQTSLLDAIDVLLANKSHSLVVPLHARAGRKKHHHHHVSSSSATYCVLTQQDIVRHLFGSISHFSPVAALTVASLGLICRDVHALHVDDDALDAIPLLRTSIAHGTAVAVVADDNALVGEICPGVLGSCDVESISAAFAALSTGDVMTYIDCYFSPPEFLLRSVRARLTEKGLDAMRDLMDAAYTTDAAALSSSTSSSDEDSPLARSGRARRMSSGTGSFRWRSTEDVAACHTGNSLVAVMAQALAHRVGHVWVVEEVTGALVGVVSCADVLAVLRNHLRPECYSDEL >cds.KYUSt_chr3.28747 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179407999:179409600:1 gene:KYUSg_chr3.28747 transcript:KYUSt_chr3.28747 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLDLSWALQSIEHMTYAPRQYVKVVGINSSSVPTISTAAPVSSNTVSTILFRLFCCISTGKGSARLVQYGSRFLIPLSLVVVANRARRVPAHSRGGQGGDARAVEDGSGRGASVIAGRAAAREREQERDHEGKGRRQRDWNRAVVKEERN >cds.KYUSt_chr3.32319 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203068954:203078753:-1 gene:KYUSg_chr3.32319 transcript:KYUSt_chr3.32319 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPLTCFSSELRNGFGDSAGKVFDHVDDSGDAVFSGLGNLSSNMTDDADESVFDRTPAVEKGNMGSPVFDYTPLRQVLESEPVEATPVMEETQSQSVAGTQIVTQDYMVEEQLAKEKERQAAKLAQHQEKLTTYYRKYPAKAKSAKGNAVVNDVPRDGEAAESTRDECAAEIIPPKVRKERVVCRKIKENSPLGKAAAAAAAQARDPLQHTYAPGATQEDVAADKATNPVRRSPRVAATVQSTVASERVSSPGKSRLGHRKRKIDVDETYVPDSTEDGVAAGKCNAKKSRTELVSDESDFEAPAKGKKVGRKPGPSKPVVLEGDSKKVLKRPTKKTMARKRKADEDLDGEKTRFQQTIRCSLGEVRSAAAMLKQQHRLKVEQAGFGCVFQWVLEGNISRVLMCYLMKTIDTSTMKLACGSGRVLEVNRDTVHQVFGFPIGGDTPILPAESGHDESLALLKQEFGFESNASIEPKDLHQLLSDLVEDPEKEDLAVKVFFAILFSKLICPGSATRVGREAAMLVNMDYDKMAKMDYCHLVVDELKRAATIYQDPDIPQAGLEGCVVVPTVMYLDSIFLPPHSVMHTRTPRANFLHEKPLKAIYKMDIQKNGGPELMKYKFGRIVWKGRNQIAYSYRYRVEDLIVNLNEGTHCSEPDAGNANEHPAGGHCEIPISQIANVNTKDNHQSASTSAGMPSAGVIDQIGSLLQKVEYLSRLGQSAAEAEVNEKCVEKDGLPDDSDDNSSVDRYYVKHNFVHPGNPFTGKGFSIPEDLVTCQDGNDAKDAAQLPGIGAVVSETSAEAADDVGVLPAAMDCKSVVAHGREEVGVAAARGELPDSSLCSTPPLSLQPMMIKIKEVYESVCKLRKDPIRSKKTLFRNDQCDCTIGQIAEAFKPNGFLCSLTVSVGTYLLGQKYKNSDKMVVPYNCSRQIFERNLSSRLVRNIFSVDAPERLDHKKVILFGTFDPPDPCAPHIPGHFCVVALNLEKERFELLDSLRDINDPDGKKLLHTMASGIKKLWSLAGNSKGDHFHPKSIDHFKLHYVNSPRQATAHDCGFFMLQILQSYDGESMCLFSQKDILNIRMTMLYSWLTGGDFNIDLKDVLGVDPEVNFLLLYTFHAGPSLGIEEDNFSLFETRVIHTEVRIIPEENFDSLVSKSKKIIQRLHSNTRKSSRNKVPDTAEKGKADVVLLDDDDDSDDFVTQLGKKLTVKPITKDVRRCSPTSSDDFETQKPRQSSAKNLVLSNKRPTKLSNKFREEVPNFVPYVFPHLSKAKQMMELILSKEYIKAHGSVPLVKFCNDFDDPILVDAKYMYKLFGRCEMLESDMMDRIINYWKDDPGMKYIFESGSRVLMSPHTIPYLLDFAPFKLRDSNGNPLPRQPFDVMSAAKMFKRYVRPNENLLKAKLIIIPHFMHDHHSVYVMNKYTGTLDILDTRRYTGLAHTSRSRHHEDRVEIINRMVALLKQVYGVAEFNKYNGNIQWDVVADRCSYPKTPAQGANECGFYALRICATYGGDKIADNIKNQDRRVEDWKAEYMYQLLFHPKNEILAEQWPSMLKDLMLLIGLGYRCN >cds.KYUSt_chr2.43150 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268549042:268552423:-1 gene:KYUSg_chr2.43150 transcript:KYUSt_chr2.43150 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAISAAGSCLQPLCECLDGTGMLDAAAREVASFLRLKSNWGDLDRAKELLQAVETTVRARVTAEMDKLNVCDPQVQVWLTRVDELQLEDIDEEYGRLLKYSCLSQCTLHAHRRTSVGRRVVEALEEANKLIEEGRRFKEFGFKPLPRIVDPLPQIKTFGLETMLSQLHNLFEKGESNIIGVWGQGGVGKTTLLHVFNNHLDKKIHDYQVVIFIEVSNSETLNTVEIQQTISERLNLPWNEAEPVGKRAKFLVKALARKRFVVLLDDVRKKFGLEDVGIPTPDTNSQSKLILTSRYREVCFQMNAQRSLIKMQILDDDASWKLFLSKLSKEASAAVEAGPQNVTDHAMAIARSCGGLPLALNVIGTAVAGLEEGEWKSAADAIATNMDNIDGVNEMFARLKYSFDRLTPTQQKCFLYCTLFPEYGSISKEQLIDYWLAEGLLLNDCEKGYQIIRSLISACLLQASGSMSSKVKMHHIIRHLGLWLVNKSDTKFLAKPGMALDNAPSAGEWKEATRISIMSNNITELNFSPKCKNITTLLIQNNPNLNKMSYGFFRTMSSLKVLDLSHTAITSLPECDALVALEHLNLSHTHIMRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLHKLRVLNLFRSHYGIRDVDDLNLDSLRELLFLGITIYAEDVLKKLNMPRPLAKSTHRLNLKYCAEMQSIKISDLNHMEHLEELYVESCYDLNTVVADADLTTSHLQFLTLSVLPSLENVVVAPMPHNFQYIRKLVISQCPKLLNITWVRKLQMLERLVISHCDGMLEIVEDEEQYGAQMEMQEHASHKQEEHTVVETSWIESNDKGHNDFPKLRLMVLTELKKLRTICRPRAFPCLETLRVEDCPNLRSIPLRSMHNYGKLKQICGSSDWWKNLQWENGVEETRLESKYFIPI >cds.KYUSt_chr4.6017 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35318028:35319337:1 gene:KYUSg_chr4.6017 transcript:KYUSt_chr4.6017 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCRCPLPVVKVLAFLTLAFVGYFAWRAMHVPLNRLDTWVDPDPASPAATPGYRPIMGTLTPNEL >cds.KYUSt_chr6.12008 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74756675:74758297:1 gene:KYUSg_chr6.12008 transcript:KYUSt_chr6.12008 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHLIPNHAAGLTYANAHAGAREGHNHHAADRAAADPFEGFPDAVLGLIVSKLPFRSAVAASSISRRWRGALAAAPALDIDFAAAFPAAPRRRTAFAAAATAALAPPPPHHPLRRLRLALDGFFDQLFAASAAAHLAAWLAAAAARGVSHLDLHLPRSRLAVLPPSLLACADLTSLTLRLDRYALPLPPLGRLTRLSRLRLASVHLPGSDDFFHDLFSRCTLLASLTLEQCHVGALRLAGAPRLRELDIANCSWEQQSCIAIAEMPALRVLRYSGAMASRHIVTDAYSLDEVVLATEKPQVKLQGPNLRELLPLVGNARSLVLSPWCIEKFARPGDWSKVRLDKVTRLACIIERREEGALSIAPLLTSCPNVKELSVSVVPSQSKRRRCSGDEVQYDVVCGRGVTVRNLRAIRMEYIDESKSGLELVKLLLKNAQVLETMTIVPSMDGLEQAMFRRRVLKFRKASKNASIQFCASA >cds.KYUSt_chr5.14391 pep primary_assembly:MPB_Lper_Kyuss_1697:5:93426776:93428398:1 gene:KYUSg_chr5.14391 transcript:KYUSt_chr5.14391 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLSNRVSREDIAAGDHIYSWRSAYIYAHHGIYAGDGMVIHFTRAAGHEIGTGTFMDKFLFSSSPATGDGPPCEKCGHLIKAEGVIMSCLDCFLDGGSLYLFDYSVSPTFFLAKARGGTCTMAPSDAAQVVVHRAQHLLNSGFGMYSLFKNNCEDFAIYCKTELLIETAFSLGRSGQMASLYAGFSAVASSPLRFMTTSVAGLAVVTSSMYCVGRYVSDMGVRRDVIKVPVERLVEHWVQNVTLAPAPSQATVQATQTDATAPGDSPELLKELAKKECVI >cds.KYUSt_chr6.2943 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17277865:17287276:-1 gene:KYUSg_chr6.2943 transcript:KYUSt_chr6.2943 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAIRASKTAAEERAVVRRECAAIRTAISERGQEELRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAVGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSSPFIVGLALCALGNICSAEMARDLAPEVEKLLRSRDVNTKKKAALCSVRIVRKVPDLAENFMGLAASLLKEKHHGVLISAVQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRMLGQGDADCSEYMNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNILMRAITVDTQAVQRHRVTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDVADPDFKEDLTAKICSITEKFSQEKLWYLDQMFKVLSLAGNHVKDDVWHALIVVISNASELQGYAVRSLYTALRAYSEQGSLLRVAVWCIGEYGEMLVNNVGMLEAEPPITVTESDAVDAVELGFNRYSADVTTRSMCLVALLKLSSRFPSMSERIKLIVAQNKENMVLELQQRSIEFGSIIQRHQSIRSSLLERMPVLDEAAYLVKRASATQATTPAYKPASRVTPGDLKLPNGVAKPAAAPLVDLLDLSSDDAPVPSTSATTTPNDFLQDLLGIGGTNLPTAGVPSASTDILMDLLSIGSSPSQNGLLDSTSPGQEKKPVSAVPQVVSPVPEPIDLLGSLSSSASSSGTKSSTVVPQVVDLLDGLSASTSVSGLEDALPSITAFQSATLKIVFDLRKQLGKPQETTIHATFTNLTSTTYTDFIFQAAVPKFIQLRLDPASGNVVPASGKGSVTQGFNVTNSQHGQKPLAMRIRMSYKVNGEDRLEQGPFKGLKNPLDRSLPPRVAGGTPQDPGMGFEPTNQPYQLFGPPKLESQALATALGR >cds.KYUSt_chr3.2776 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15983503:15984063:-1 gene:KYUSg_chr3.2776 transcript:KYUSt_chr3.2776 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQTIVTLTSARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPGVESQNEVYLPEWIYERVITGQYLAPQREMAQGEDETVRKLAIVALWCIQWSPRNRPSMTKVVNMLTGNLQNLQMPPKPFVSSASSLMQ >cds.KYUSt_chr4.33618 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206274128:206276144:1 gene:KYUSg_chr4.33618 transcript:KYUSt_chr4.33618 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLRQELENLDKDADSRRNAMKTLKSYAKQLDSKSIPHFLAEVSDNKAPGLPSGEFTISLYEVLARVHGRNIVPQIGNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSAPEEEKASIISSLCKPLCGALMGNQDGAGSGAALCLKALVESNNWRFASGETVNEVCLKVAGAMHDKSTRSNSHMGLAMALVKHNGLIAEAYARSLVRSALQILDGHTAESSSQKRLSAIQMINFFMKFVDPRSISSELGKVVDVMEQCQNDHMPFVRGAAFETSQTAKNIAAQKGSRHEVSSSPMVGSNFRRKRDKSPCRSLWNAKGSPGSSTMSASPVQFQSPESHAVDSSIMYGSTITESPISVGQSSCNFDQSRRANRRLWSNDGVDVSLKDGLFIKFCSSSKCLEDDLGEVCDSEVTETNFDYTDTFTGFVSASPNKIISRDNTPSPQASERPISIDDVKIYTTPRKLLRSLQSSYDFDSARHEEQSIAKLNCSSSSSSSPSDVEHNELVESSEDIQSEHSESKSEERNNEIEIAARPSANTGTKIVCNEDKPGFSSSEVENISCKELSEAELSAEHIEQDVCVSRSRGKARKYKTIFSLLLSLTIIIISTIALLIRIESCEDYTGLVPT >cds.KYUSt_chr6.2845 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16727045:16732995:1 gene:KYUSg_chr6.2845 transcript:KYUSt_chr6.2845 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKTHKRFTYISTEYVLKQENAFQLACGIKKKNPVETAKERRRRGGGDEEAMMPPALLGLPRSSSPSVSSSPLRHGRRSAVQRHRSPFPSKPAAGVCYASQAVELLPSLYPGTGIVVRDARLEDCWEVADTHCGSFFPGYKFPLDLVLRLDRYIALLSGFAVPPGCTRTCLVAVNPAAVNGAISIECGDLTDAEFHGKYGVSKGSIAGILTVDTVADYLPRRGPLKQRRTGIAYIANVAVRKEERRKGIAKMLVAEAEARARSWGCRSVALHCDVSNLAALRLYKSQGYKSIRVPEDAKWPAPKIAEGVQYDFMMKLVPKN >cds.KYUSt_chr5.38254 pep primary_assembly:MPB_Lper_Kyuss_1697:5:241907932:241909221:-1 gene:KYUSg_chr5.38254 transcript:KYUSt_chr5.38254 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGDEIQLVCDLSDIKLLVRRWRGPSPPLVDVFCLPLRDCPAAALLLRRLARHDRWVTSNLSVVEYAASLDRYLQTMAAVRLPRLLLADTNSDSDSDIDPDEDVHNAVLALADHIDRAMEMAVQVGGSVVAEVAGLAQICQKLPSAEDTSRDLLVEGTKAEVARIRSATIRFREPPDPQVSVYIQVRASPPPPRLGPPPPSPTPSPLPSPPTSPPLDDRFRSLPAGLPARRTEVWAPEHEIVDGLDGIRALLKCSHQPPLLLVNSLATRDCPQAFALLHRLTKHAQWNVPELHAIEHASKLDAVLKILSKVTIPRLLLIPLNDRNEAHLEPLKNNMFNAVVEGAEAGGSIVGELKWVEESARKLEANFLELNPISQFARYFEIEICRVRNCLIRFSDPPEPEAALKDFFASPSPPPLGSPPQTPSP >cds.KYUSt_chr3.26286 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163562524:163562967:1 gene:KYUSg_chr3.26286 transcript:KYUSt_chr3.26286 gene_biotype:protein_coding transcript_biotype:protein_coding MACLDGDLTCGKSGCRSRPLRDASMFGHTIPEVVVASAVRFTTAICVREDDEHDETLLNQQIYWTNIDNFGKKTTGDEKVEFGLGNGS >cds.KYUSt_chr6.886 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5605492:5605857:1 gene:KYUSg_chr6.886 transcript:KYUSt_chr6.886 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSASAEAAASCRPKKMTCLCSPTNHPGSFRCSRHRTPHARQPSSLSSTPGRASQQQAAVPGKSSGGTRTTTSKGRPVLRAHLQRLLASPPSSAGGSDHRRCREFKPRLSRLGRLAVNA >cds.KYUSt_chr4.24468 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154057818:154059675:1 gene:KYUSg_chr4.24468 transcript:KYUSt_chr4.24468 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYTDKISGDEMLSDSFPYKELENGVLWEVDGKWVVKGAVDVDIGANPSAEGGGDDEGTDDQAVKVVDIVDTFRLQEQPPYDKKQFVAYMKGYIKSISKTLEGEELDCFMKNVQPATKYLLGMIKELQFFVGDSMNLEAGLVFAYYKEGATDPTFLYFAHGLKEVKC >cds.KYUSt_contig_2860.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000546.1:24154:28411:1 gene:KYUSg_contig_2860.8 transcript:KYUSt_contig_2860.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFRLSAPSFCRRDESSSGNQEPVNGHGQREDSKNGASDGAPSAVAAQGGADASSPSRLPAAPVDRPQERVRQQAGGGSRGTNGSSSATVGVDQKGELPAAIPPPEPPAPSSAGSSSPDSAWPFGAGTVSSAAVDCKEVFDRLVRAQAAINEAGRGSAKEGEKKKKKPEVEQVESSHHKKALWSKLRNYFTRKIVVREEWEMYKEHVGHQAFKKLIMKVMGWKRQGRWNSIASPNSYRKEKLLAFFSTYSKTENTREFTDHIMMAALARALEVPLRLERLHGVGSDEDNIYTGPGDVSVTLLYTGNHYDIIYPRPVLVEHPAD >cds.KYUSt_chr5.20638 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134084525:134085268:-1 gene:KYUSg_chr5.20638 transcript:KYUSt_chr5.20638 gene_biotype:protein_coding transcript_biotype:protein_coding MINNYYTGVHHSYAYAQIVDSLRSGGASKRVLPKYGGFAKLCLEERENVTEVHIIDTQEFEGAPVAKITLPRRVPYGFHGTFVHSNMTGHVNTGPAKT >cds.KYUSt_chr6.2275 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13329031:13329650:-1 gene:KYUSg_chr6.2275 transcript:KYUSt_chr6.2275 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIACPAYPWPQDASQRGPKVFMQSDCAACHSMLPYAGLREAAAAHGPLVAQAAEIIVAAEEAQPAAVARPLYGRAPDITTVVTKIQDGLRCNLYSTGGAAMCQDLKRKIAPPSSVWMQFAQPYLRPFQAA >cds.KYUSt_chr1.933 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4935428:4938767:-1 gene:KYUSg_chr1.933 transcript:KYUSt_chr1.933 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTRMPAGHHVVLAHEQGRRTRHCVGIAAVRLHGFGYFLCFRLHLVKMKGCSLARPFYLSWWYLPRLQEQRELGTLCIWTTLRCQPHKSFKHMRYNRKGTNHSSTWWLQRVGSYVAEWDGATTHVWPNDEQFDPQEFDAYLQRYTAATRVRLIPPTDPAEAPHASMHDMYPTQSTAGGRQHAGQLTADLQDEGQATRDLLGYHVQP >cds.KYUSt_chr6.9011 pep primary_assembly:MPB_Lper_Kyuss_1697:6:55617225:55626605:-1 gene:KYUSg_chr6.9011 transcript:KYUSt_chr6.9011 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPTTFNVLLGSYWFDKPWFLTEGKLAAACRGASAIRSAGAKVKEEWPGVVLKGRTAYEGWKNSVKTGNDFITLCQDTGKLIVFASAPFVIIKIAYTEHIRTAENADPDSRYEKTRATTLAFLQYMDPGLAGLTAFVANGFKTPEPETKEEYLDSETGKQILDHLTDIASKVDSLGQVPSGGKQQQGARGDALQDKMTEKDLQDKDLPITVGALGGHPTGKDKAATGGPAELLNKGAGTGAPAELVNKGAGTEEAAEAVVNKGASSGAPAGVVNKGASSGAPAGVVNKGASSGAPAGVVNKGAGTGVPEVVSVGGPTEVENEVTSADVPVEANKDATDLLLSPCRPHFSGLCGAESPPAGPLQPYPPRIASLVTGAARATVVTRSCWLSRECATTVAGTCGRPASMGRPASSRTSSSRLSGREQCFGKFTSQSFTFLILALQFLNL >cds.KYUSt_chr7.12668 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77955833:77962597:1 gene:KYUSg_chr7.12668 transcript:KYUSt_chr7.12668 gene_biotype:protein_coding transcript_biotype:protein_coding MASERNGGERAENGGGAPLEMPEIRFTKLFVDGRFVDAVSGKTFETRDPRTGDVIANIAEGDKEDVDLAVRAAREAFDHGKWPRMSGSERGKIMLKLADLVEQHSEELTRLESLDAGKLLMVTRVVDIGSCGRALRYFAGAADKIHGETLKMSRQFQGHTLREPIGVAGLIIPWNFPAIMFFSKVAPALAAGCTMVVKPAEQTPLSALYFADLAKQAGVPDGVINVITGFGPTAGAAIASHMDVDMVSFTGSTAVGRLIMEASARSNLKPVSLELGGKSPLIIFDDADVDMAIDLAISANFFNKGEACVAASRVYLQEGIYDRFENKLADRMKNWVVGDPFDPRVNQGPQVDKAQYERVLSYIEHGKREGATILTGGKPCGQKGYYIEPTVFTDVKDDMIIAREEIFGPVMCLMKFRTMEEAIAKANDTRYGLAAGVVTKNIDVANRMIRSIRAGVVWLNCYFVMDGDCPFGGRKMSGFGKDASIHALDKFLAVKAVVTPLYDSPWL >cds.KYUSt_chr6.30535 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193463205:193463606:-1 gene:KYUSg_chr6.30535 transcript:KYUSt_chr6.30535 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVHGGCSGGGSVATLTDHGGCSGGGSVATPTAHGGCSGGGSVATPTDHGGCSGGCSVVTPRPPPSSPSLSKIKLSGNLADTFFPNNTEKQVHGVLPLDSPDAAARGLPRLCGQGALRAGAARGPHTRRIC >cds.KYUSt_contig_686-1.1154 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:7091742:7092271:-1 gene:KYUSg_contig_686-1.1154 transcript:KYUSt_contig_686-1.1154 gene_biotype:protein_coding transcript_biotype:protein_coding MKANWWPLSSDRNPDASSTSSRRPCLELAMALSVNLEPSGEVPGAEDDGHDSLDDHRGIIAFFVTMIPKHRT >cds.KYUSt_scaffold_2697.174 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1216394:1218295:1 gene:KYUSg_scaffold_2697.174 transcript:KYUSt_scaffold_2697.174 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAVLMARHSVPNYTTVGHGPTSFSLDFRGSASDNCSRGNSRGVRRSAHVSAQDDACRLVLGLGPPPEPSSVDYQQPAGGAGQSKTPVTLFGRSLSFTDPGTMSHGLHDQRGNAEAIVRRSEAPEGNIISFTAVDEGSTSSRRSSGGYMPSLLFDRVAQADAHDDLLDYTDNASGHAQHHLQFSPEPSATTMTETSFGVNSDAVTGVTNPGQPAHRRHPKKCRFKGCSKGARGASGLCIAHGGGQRCQKPGCYKGAESRTAYCKAHGGGRRCMQLGCTKSAEGKTDHCIAHGGGRRCGYNDCPKAARGKSGRCIKHGGGKRCAVEGCIRSAEGKVGFCISHGGGRRCQYPDCRKGAQGSTLYCKSHGGGKRCVFDGCSKGAEGSTPLCKAHGGGKRCMYEGGGLCPKSVHGGTSYCVAHGGGKRCAIPGCGKSARGRTDFCVKHGGGKRCKVDSCGKSAQGSTEFCKAHGGGKRCTYGTGCEKFARGRSGLCAAHATLVASQSSQQRRRDGGAGKSGGGSLIGPGLFRGIVSLSAAAASAMNYEYSSSGVSTVSECDGSPVATAGRQELIPPQVLVPLSMKSSSPSVPSPSPSDRRREGGELAVPEGRVHGGGLLSLLGGSFRNVIDVDDL >cds.KYUSt_chr5.28365 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179652748:179655790:-1 gene:KYUSg_chr5.28365 transcript:KYUSt_chr5.28365 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSISGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVKEHEASSARGRHPSHVSDDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFNHPNLLPLLDHAIIAVKGDWSHEAYLLFPVYLDGTLFDNAQAMQSRKEFYSVVDILQIFQQLCDGLKHMHSFDPPYAHNDVKPGNVLITRRRGKAPLATLMDFGSSRPAKREIRSRSEALRLQEWAAEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIMNVQLKWPRVPSPYPDALHKFITWMLQPQPAMRPHINDVLLHVNKLVEKFSA >cds.KYUSt_chr4.23512 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148016385:148016747:-1 gene:KYUSg_chr4.23512 transcript:KYUSt_chr4.23512 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAAQIVVVAIVAAMLLSAPYAANAAISCGQVSSALSPCMAYAKGGASPSAGCCSGVKSLANSAKSTADKRAACNCLKKLVGSISGIKAGNAASIPSKCGVSIPYAISTSVNCNSIN >cds.KYUSt_chr5.37214 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235343566:235344549:-1 gene:KYUSg_chr5.37214 transcript:KYUSt_chr5.37214 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAFQAYGGFPGSGGKTKDGEVLLATKKMSDAFFIEEDEAEEVLTESSSIGAPSPSSSSIGEDSSSEAGDDGEEAEVESKLKQEPGLGCLEALEDSLPIKSGLSSFYAGKSKSFTSLAEAAAREAVKELAKPENPFNKRRRILATWSRRASCSSLATATYLPPLLAPDHALHEGDEGEEDDSDGSDSDEQPQEGHRGKNGREAPALPPPMLSLHSQMGGAGAARRSGSFRSPRSYSLSDLRNGVTGAASYNNQ >cds.KYUSt_chr3.45634 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287470269:287470724:1 gene:KYUSg_chr3.45634 transcript:KYUSt_chr3.45634 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTTSGHFSSLFASFDKDSDGKISAAELRLCMKAALGEDVSAEDAESLVNSADSDGDRLLDEEEFLRLVGRPEAETTADDEERCRELKEAFAMYEVKGEGCITPASLMLMLNRLGSHQGIEDCRDMIQRFDLNGDGVVCFDEFKVMMDA >cds.KYUSt_chr3.49472 pep primary_assembly:MPB_Lper_Kyuss_1697:3:309069215:309070130:1 gene:KYUSg_chr3.49472 transcript:KYUSt_chr3.49472 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGDEMGDTMLLDAAIVEEAQQWQRPVELAATPHKAHHQPTKSYTTLPPLMEYELPHAPNLGLTLSRLKEFRDANRPPTDWVQATALGRANPKSIRA >cds.KYUSt_contig_1307.80 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000126.1:542741:544292:-1 gene:KYUSg_contig_1307.80 transcript:KYUSt_contig_1307.80 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGGFMEKAKPYFAMIALQFGYAGMNVLTKVSLNGGMSHYVLVVYRHAFATVAIAPFALILERKVRPKMTWSIFFQIFVLALLGPVIDQNFYYVGLKYTGPTFACAMSNILPAMTFVMAVIFRMEKIELKKVRCQAKIFGTVVTVAGAMLMTLYKGPLMHLAWTRHSQASGGGEAPAVVDPTGKEWFLGSLFVIIATLAWASLFILQTHTIKQYTAQLSLTTLICFVGTIQAVVVTFVMERRPSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIKKTGPVFASAFSPLMMIIVAVMGSFILSEKIYLGAVLGAVVIVVGLYAVLWGKHKETQEKEATPLPVATNGHGATNTEMIGAAGARNDRDATGPTRPASNGRGGGSASAV >cds.KYUSt_chr4.34634 pep primary_assembly:MPB_Lper_Kyuss_1697:4:212785921:212788361:1 gene:KYUSg_chr4.34634 transcript:KYUSt_chr4.34634 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKNQKTRAYSKRFQVKFKRRRQGKTDYRARLRLTNQDKNKYNTPKYRFVVRFTNKDVTAQIVYATIAGDIVMAAAYSHELPRYGLTVGLTNYAAAYCTGLLLARRILKYRDLDAEYEGNVEATGEDFSVEPAGERRPFRALLDVGLLRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKQLDAEVHRKYIYGGHVADYMRSLAEEEPEKFQSHFSEYIKKGILADEMEAIYKKVHAAIRADPSMAKSSKEQPKTHKRYNLKKLTYDERKASLVQRLNALNSSAAADVDDDEDDE >cds.KYUSt_chr2.49443 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309423970:309425775:1 gene:KYUSg_chr2.49443 transcript:KYUSt_chr2.49443 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLNLRSDRRPPQAQRAFSRQVSLGSGVAVMGIDRGGRNGGRAGQRALPRSGKSLSVLNNHSGGLGQADGVARRGGDFSMFRTKSTLSKQNSLLPSRIKEELDDVDLAHVEGQSAGRPDVDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPIGCFGVCLGLGSQAILWGALAASPAMRFLRVTPMINVVVWLLAVAVLVATSVTYALKCVFYFEAIRREFFHPVRVNFFFTPSIAAMFLAIGLPRAFAPATLHPAVWCAFVAPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWEEAGKFLWAIGMSHYIVVFVTLYQRLPTNEALPMELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMALFLYMSLVVRINFFRGFRFSIAWWSYTFPMTTASLATVKYAEAVPCFMTRALALSLSLMSTTMVSMLLVSTLLHAFVWRSLFPNDLAIAITKDRQGGAKPYGKGRKASKRVNDIKRWAKQAPLSLVSSITKSNSADKDEEERTD >cds.KYUSt_chr3.37815 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237869180:237876128:1 gene:KYUSg_chr3.37815 transcript:KYUSt_chr3.37815 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTTYPRVHEATQTATSGQPDFGRREQNSTMVITFISSESRKLTWIMVLLLILVMMIQVHGQSGFINIDCGWKNSSAYIDNTLAIVYSFDGEFVEGGLNHEILPEFVAGVGNDQQKTLRSFPDGSRNCYTLPSTIGKKYLLRATFTYGNYDRLNKTGDGSLFLFGLHIGVNFWEAVNLTNWDPSLTVWKEVLTVAPDNSVSVCLINFGSGTPFISSLELRPLQETMYPFVNTSVSISYFRRIRFGNITDFITRYPTDNYDRFWESWASTSYPWINLNTSNKVNSLPGSDAFSVPSAILQKASTLDRNYSFISINVAAGPNQDAKSLQLLPIFHFAEINGNNPNRRFDIYSTGELLFSDFSPSRFQVDSMHQNGRFLYNPSASFLLNKTRSSTLPPLINAFELYSLVRMDNLTTDSNDVNSMKEIKKHYNLARINWNGDPCSPREYSWEGLTCDYSKSNKNPRIVAVDLSTSGLKGGLAISFMNMVSLENLDLSHNNLTGAIPDYQLKSLKILNLSNNQLDGPIPDSILQRVRAGLLDLRLEGNPICSKVKDTYCSTKKKKSIHILLIAVIVPVVLISLLVVMCILWKLCWKGKSGDNEDYAMYEEETPLHIDIRRFTYAELKLITNNFKTIVGKGGFGIVYYGTLENDDEVAVKVLMETSIAESKDFLPEVQTLSKVHHKNLVALKGYCQNKKCLALVYDFMSRGNLQQLIRGGDDYSLNWEQRLHIALDSAQGLEYLHESCTPSIVHRDVKTPNILLDKNLVGIISDFGLSRAFNDAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVFSFGIVLLEIITGQPPVVIEPPRTFHLPNWVRQKIAKGSIHDIVDKRLLGQYDANSLQSVVDLAMNCVENAAIDRPTMTEVVSRLRVWLPSVSSDKQSVSATPRRTDSMDTEMRRKFHLLISAAGNEESSFMSGYTSGTSELISGTSELMPLSGR >cds.KYUSt_chr1.5796 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35676818:35678161:-1 gene:KYUSg_chr1.5796 transcript:KYUSt_chr1.5796 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLLALLLLATTAVSLAASAVFPKEALPTSSGYLPIDPSTNASLYYAFYEAASPLTPPAATPILLWLQGGPGCSGLVGNLFELGPYLVTPDGAALSRNPFAWNRRFGLLFLDSPLGTGFSAAPDPALIPTNQSVVAAHIHAALQAFFDASPSSRARPFFLSGESYAGKYVPSTGAHILSTNPALPAGRRINLRGVAIGNGLTHPVAQVATHADSAYFTCLINARQKRELEALQAAAVALTESSARWRDAADARGKVLSWLQNATGLATLYDYAKQRPYATDAVGAFVNRAEVKAALRARQDVAWEECSDAVGAAMHEDVMKSVKPEVEALLRQTRVLLYQGVRDLRDGVVSQEAWIRDLRWGGLQAFQDADRAVWRVTGSGDDEEELAGYVQRSGALTHVVVYGAGHLVPADNGRATQEMIEGWVTQTGLFGGSRLGSRGLMPA >cds.KYUSt_chr4.20313 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127754513:127754884:-1 gene:KYUSg_chr4.20313 transcript:KYUSt_chr4.20313 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSGSPKTKPSTLWRIGRDDAPKPTPDSGSAATARRRRWGKADPPAIFLKPSMNPADLQPPPTPPEGERREQLLNNLTTSTTALERTLSRRWSRRTKIRRKASATAAPARLGRPSAQIHRR >cds.KYUSt_chr6.10326 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63599843:63603581:-1 gene:KYUSg_chr6.10326 transcript:KYUSt_chr6.10326 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVEFTAMTGGGLIEQLGASSRVAVVDEKVLYCPTSALPTRAENNVAFACSSTFGNRGEYKYRMYLEVQCVYTFREEGTATASEVGWAKDWNFVGMSPSLALRDGSKVKVVDVRASNEEEELFLLRACARDFRIAAVATSLDLPAHARTLDGAYEAVRAARRPRTVGAVAVALLNLRRRAGLGGRVSAVPRGRRHDDANPYRVCEAFRSWSRATMPRGVLVARDGAADVAYVVKHLNGGALPPKREAFLQLCVASFYDVYDLKVLAEWREHLSPAANLVVPCSDRRFEVKDLTTPLLFPYDATSMKGTIAGSLAIGGEGPRPWMALQPCSDWRLEVKVLAPGRQHRYGVCRRFVLQPLPELLILASPRATASPRAPGADHLHD >cds.KYUSt_chr5.37547 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237254993:237257686:1 gene:KYUSg_chr5.37547 transcript:KYUSt_chr5.37547 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRRLALAVLAVALAAAATAAEGYNITKILGEHTEYSQFNKLLTETRLAADINKRRTITVLAVANGDMGDLAASGRTLQTKRHMLQMHVLVDYYGGKKLHQLAHGVTACSTMFQESGAAQGMSGYVNITQRRGGKVTFTAENAEDSSSPSTYVKTVKEIPYDLAVLQVDSVLASPEAEAPVAAPAPVNITELLSKKYCKSFAGLLAADAEAFSNINATKDNGLTLFCPVDSAVAAFMPKYKNLTAKGRMAILLFHAVPDYYSLQLLESNSGKVSTLATSNVAKKDYSFDVDKDGDTASLDTKVGKVASVTATVKDDDPLAVYAISKFLQPKELYKVAKDLAPAPAPAGPKKKTTKKKPSAASSPSDDDDESADSPDTSDEDAADKDNAAAPSVLAGWVATAAAATVAVLALAA >cds.KYUSt_chr3.47783 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299448818:299454379:-1 gene:KYUSg_chr3.47783 transcript:KYUSt_chr3.47783 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQKLVLVLTILVAVCSLAAALDAKPKGPPTPKLPSKYQTIHPGKSGKRNQVAACDDPKDKKKPCTASCPKNCPDECIVYCEACMTFCLCDFYPGMSCGDPRFTGADGNNFYFHGKKDQDFCIVSDADLHINAHFIGKRNPAMSRDFTWIQALGIRFADHSLYMGAQKTIKWDDDIDHLELTFDGRPVTIPDETNGQWQSKAVPALTITRTSMNNGVRVELKGVFDILAKVVPITEKDSLIHNYGVTTDDSLAHFDIGFKFYDLTDDVHGVLGQTYRTNYVNMLSVTANMPIMGGAPNYVSSNIFATDCKVTSPANMATQQQTMAAAAIAALVAVLFLVAAVDGNEPGPPPPEPLRKYQTIHPGKKNQVLTCSKPTDHSKPCVATCPSRCPEECLVFCPTCTTYCLCDFYPGFTCGDPRFTGADGNNFYFHGKKGQDFCIISDAGLHINAHFIGKRNPAMSRDFTWIQALGIRFAEHRLYMGAQKTVVWDNEVDRLELTFDGMPIDIPDEINGQWQSTTVPVLTITRTSQANGVNIELKGVFEILARVVPITDEDSRIHSYGVTEDDSLAHFDLGFKFYNLTDDVHGVLGQTYSTNYVNKLSVSATMPIMGGGPSYVSSNIFAADCKVARFGRQAGISMITTRAT >cds.KYUSt_chr7.959 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5078036:5085239:1 gene:KYUSg_chr7.959 transcript:KYUSt_chr7.959 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRPRMPGFGDDGGGGRPGGMGQGRGARPGPGRGGFYPQPFQYQQGGGRGGAAYKPRGAMPPPAQQWRPAAPAPVHNNGAGPPAPIAPELRQAMVDAAPREPADVPASPEVVAGQQLSALSMEEAGADLVVQPPIPLPSDSYKFPHRPGSGRLGTRCLVKANHFLAELPDRDLHQYDVAITPETTSRAVGRAVMEELVRLHKATYLGGRLPAYDGRKSMYTAGPLPFTSKEFHIVLLDDDDGSGAERRQRTFKVVIRFAARADLRRLEQYIAGRQAEAPQEALQVLDIVLRELPTSRYEPYGRSFFSPDLGRRRSLGDGVESWRGFYQTIRPTQMGLSLNIDMSATSFFEPIPVIDFVGQLLNTDFHSRSLSDAERVKIKKALKGVKVEVTHRGNIRRRYRISGLTPQATRELSFPIHQGGTVKSVVQYFQETYGFAIQHTYLPCLEVGNQKRPNYLPMEVCKIVEGQRYSKRLNQSQIRALLEETCQRPHDRERDLLQMVNHNSYHDDPYAKEFGIKISERLASVEARVLPAPRLKYSETGRERDCLPRVGQWNMMNKKMVNGGKVRSWMCVNFARNVQDSVATGFCRELARMCQASGMDFALEPVLPAIYVRPDQVERALKARFHDAMTILGPQHRELELLIGILPDNNGSLYGDLKRVCEIDLGLISQCCLTKQVFKMNKQILANLSLKINVKVGGRNTVLADAVSRCIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYNVTHDPQRGTIHGGMIRELLISFKRSTGEKPQRILFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQSSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNDLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPESSDSGSIASVARGTSSSTSRSTRAPGGGVVKPLPALKDSVKKVMFYC >cds.KYUSt_contig_815.319 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1884738:1885007:1 gene:KYUSg_contig_815.319 transcript:KYUSt_contig_815.319 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTFRSNSCNAGKSQAAPPFSVSRTSSAPAATGAGSKDDAGERRALLPPRRPEGGTARKGQKKPKRRVQWKDTHGKKLVEVLEFQPR >cds.KYUSt_chr2.55100 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343526295:343528317:1 gene:KYUSg_chr2.55100 transcript:KYUSt_chr2.55100 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTMASAAVALLFLAASSASASPMDYGGAFDKCLQFFEAQRSGKLPAERAVKWRGDSGLTDGYLQGVDLVGGYYDAGDHVKFGFPMAYAVTMLSWGVLEFEKEMVAADDLNRALDAIRWGTNYFLKAHTEPNVLWVQVGDGDSDHLCWERAEDMSTPRTAFKIDASHPGSEVAGETAAALAAASKAFRHYDSMYADLLLMHAKQLFSFADTFRGRYDDSLQCAKKFYPSASGYQDELLWAAVWLYEATGDETYLDYVVRNGEYLGGTGWAVREFSWDNKYAGIQVLLSKVLLAGGGGDYADTLKQFQAKAEFFVCACIQKNGGNNVKTTPGGLLYVADWNNMQYVSSASFLLTVFADYLAESGDTLKCPDGEVKPAEILGFARSQADYVLGKNPLSMSYMVGHGDKFPSHVHHRGASIPSVDAVSDTVGCMAGFDAYYNSPGADPNVLHGALVGGPDFNDGFLDDRSNYQRAEPTLVAAAPMCGVFARLAAEPASSGAGDSTPGYQPPASHEGGAPLEFVHTVTNSWTTNGVEYYRHAVTAKNTCGQPITYVKLHIKELTGAIYGVSATQAKEMYEFPSWLSRLEAGAKLDIVYIQEGGPPAKISVVDYKTG >cds.KYUSt_chr5.22029 pep primary_assembly:MPB_Lper_Kyuss_1697:5:143795963:143797910:-1 gene:KYUSg_chr5.22029 transcript:KYUSt_chr5.22029 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVLLFLKPFDVYPPRPLAGASFPTIPPPPPPPRAANPKILSYLDDRCRVHKDTIDLCKSVLQSMPLDWISVQRNHLANPIRDVDLVITVGGDGTLLRASHFLDSSIPILGVNSDPTCSDEVDELTDEFDARRSTGYLCAATARNFEQILDATLAGSKNHSELSRISVKLNGSQLPTYALNDILVSHPCPATVSRFSLRKRSNMETSRLINSRSSGLRVSTATGSTAAMLSAGGFTMPISSRELQYMIREPISPTDADKPLLHGLVKQEQHMLVVWYNQEGAVYIDGSHVTHPIQHGDTLEISSDAPILKVVLPEYLLNQASL >cds.KYUSt_chr7.22862 pep primary_assembly:MPB_Lper_Kyuss_1697:7:141878121:141880700:1 gene:KYUSg_chr7.22862 transcript:KYUSt_chr7.22862 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKAMRTAGEAAGKKAQVSAWGRSKCTKSDLNKLHKYGLLSAKMEAKLTGDKVESCLDEGWRDHVFLTCLPPFPEEGKVSHASVETEGDTPKGSVEEEIDGTNADAGSESSRSATSLSSSHSKDKKSAGKRKRSDDDDEDSSSSNTPKPKLATPTISAVPSSSHAPMNLDEGETLKDPSDDSSTSLSAFVKAPTKIVIPQEEIVESPKSTPVAERPRVHPKKKLRKDNANKGIEIIENPPAPSLDDEETKNLLALTDVFNAPQDPALDYRRAATKSGVEVAMAMVMAHEVVDWDMVSSSLPSDAAGEPKPLVSFLKKSKSFSKQVIPLVHPTSAPPKDSSGAAPSSSTTPLEVQ >cds.KYUSt_chr4.22232 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139711011:139711397:-1 gene:KYUSg_chr4.22232 transcript:KYUSt_chr4.22232 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVAFLREAELRLLRCTLPATASQPPPPSPPPAHPLGPVAASALAAVEHGDYAAALASAAPHILRNSASIHDSPAQFYGDLAVATEVFPRGDVGGAADEGFECRCALVLSAAVAALLAFTQQNVTG >cds.KYUSt_chr7.41112 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254697578:254699580:1 gene:KYUSg_chr7.41112 transcript:KYUSt_chr7.41112 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLILQENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGAYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRSLVQQLGHELEQDEPRGYRNPHVGSPMANSPPGVWAQYGSPTDSNLLQPFNNSPTGNGMSPIGISPSMMSNAMKIAPIGKDSNWSKYDQVFSNSNHSLGAAFQHSQSYQDHKSEHMSSSPGTLTGPEFLWGSPKPYPEHSQSSMWRPPSIGHAMSSSSRPQGQGFLYGSHQTSLFGSSDQNRHHVGSAPSDAPFESHFGFLPESPETSFMNQVRFGNIGNIGTNRNGGGLMMNMASRASLNPVSSLSGSLSDNNSANFRPILSSPRLSQPFFGNPTYQGPGYFGLDSSMDRGRNRRVDSSVFQADSKKQYQLDLEKIRKGEDTRTTLMIKNIPNKYTSKMLLAAINR >cds.KYUSt_chr4.10676 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64804122:64807249:-1 gene:KYUSg_chr4.10676 transcript:KYUSt_chr4.10676 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQDRPAPVAPPPQRPRRNKETRKSESTAAPAPPPPFLYLFSSSLPAPDTLETLCSRRSFSFLYQPRQPPPPPPPLPPDESGGGGDACNGRQIGGRESPMEGVEESSREAVQSCHRVLSLLSNPQGQLVPHKELVDATGEAVAKFGSLASKIANGNGRQGHARVRRSIKKPMPMFDSKLFLESSALAPRGAAAAAAKASQTTPDTSLRLCPRYSQQVEGSSSKAPVRIPAQFPQRLLLENPSVGSNGPARGPPVQLVQPVSVAPPAGTPAPALPAAHLHFLQQQQSYQRFQLMHQMKLQSEMVRRGALGDQGGSGKAGSLKFDGSNCTGSSPRSFLTSLSMEGSMMSLDGSRSSRPFQLVSGSQTASTPELGLVQRKRCAGREDGSGRCATGTRCHCAKKRKLRIKRSIKVPAISNKVADIPADDFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPTMLIVTYEGDHNHNRAAAQPA >cds.KYUSt_chr1.2222 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13014184:13018002:1 gene:KYUSg_chr1.2222 transcript:KYUSt_chr1.2222 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLVPVRKGTATDRQDRRYFGRGAHIKRKAKRKRGFVAGGAGRADSVGLPPRHLGHRQSIRRKGVGSMNEALQGEVGIRSRFSALRIRQIMRGLTPRQQGYVAKYGFAHFNRIGKFTVHEPLAEWIMGKINPPFSEFRINADKTIVFTKSLVQKILGVPSGGRPIVLHGEKTDKIEELRALYLNNGLRASIPHAVSLLKNNEDEESFMRTFFLIALAAVLTPTTGNTIDLDYLWPLEDMSKVQDLDWAVHITEHLMDDVQKFQFKSREEKKTNFWVGGCLPLLMIAYMDHLDLPRGRIVDREINYSVPRICHVSKHDFQFIAIADLHRQHYKFATFGILPFRKRTPYTDNPKTETEVAEDDLHIFSKDQVLPGQCDLKVDEEKINELTQEIQPEILRFTEASIHHSPFGRTDVCSNQEEDNAREYKSFESDDHPTPPEADYGIISKERALATAAHFPDENGTVTLQVLGKSEKWRPRFFIEKGTCMLAGNWLDFVCDNQVQVGDICIFVPAKGGESSAFMVHIIRAEVTHPRAVKRVRSSHDSSVDGEE >cds.KYUSt_chr5.20428 pep primary_assembly:MPB_Lper_Kyuss_1697:5:132774277:132775426:-1 gene:KYUSg_chr5.20428 transcript:KYUSt_chr5.20428 gene_biotype:protein_coding transcript_biotype:protein_coding MERAMHSYRAMQPHPYHTAPAAPTKHASGHGGGTEEDNQSMLLLSLWPPGHHHDAASSSACSSHSAAHSTASSPWFNQSPAYNGGSGGGFLFHEQDPNVTISLSIAPPCGGNLSSCGGSFAAPVPPPSVSAAGGNQVPSQYWIPSAAEILVGSTQFSCAVCNKTFNRFNNMQMHMWGHGSQYRKGSESLRGAVTVGTTTAPPPSLMRLPCYCCAEGCRNNIDHPRARPLKDFRTLQTHYRRKHGARPYACRRCGKRFAVRGDWRTHEKNCGKLWFCVCGSDFKHKRSLKDHVRSFGGGHAPHIVESVAIDDDEDDDDDMHDDGDLHLPDENGHVAGDGSDMILL >cds.KYUSt_chr5.39225 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248238346:248240126:1 gene:KYUSg_chr5.39225 transcript:KYUSt_chr5.39225 gene_biotype:protein_coding transcript_biotype:protein_coding MREGFARALVPCYPIVGRIAQACPGDLVVDFTSERRGHLLYRWIGSVFGALGRVMLCITVLSQAGAPEKTPVPSRRSEDMKVLLRRHQRRATGARICWWPWEYTAAELRE >cds.KYUSt_chr2.38995 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241779579:241780876:1 gene:KYUSg_chr2.38995 transcript:KYUSt_chr2.38995 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHVQSDEAAASAAVFASRYVQEPVPSYELGEKSISKDAAYQIIHDELLLDGSPRLNLASFVTTWMEPECDRLILEGMNKNYADMDEYPVTTELQARHLSHSSIFISAARACEIICDRSNTDVCVQNRCVNIIARLFNAPLGTGETAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSQGCYVMDPDKAVEMVDENTICVAAILGSTLTGEFEDVKRLNDLLAAKNKRTRWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYPGVGWVVWRNKEDLPDELIFHINYLGADQPTFTLNFSKGMVDLFSPELTDQHNF >cds.KYUSt_chr2.8166 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51396100:51397471:1 gene:KYUSg_chr2.8166 transcript:KYUSt_chr2.8166 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMFTRYMVIEHSLPHAGQTYVYWFGDTPDVFIGDVDMVRQVLSNRMGLFLKNPMNEHFARLLAKGLPLIDGDDWKHHRKVVHPAFNVDKLKMLAVTVSNCAGLMVCQCEVKLKKAVSNVEIEMSIQFDELAADVISHVAFGSDHRAANGVHLSQKELHFLAFSSIFNVLCHIPGFRYLPTRGNLKMRKLQKDVQDILTNIAKNRVAAKDTAGCRNDMLGAIQPHRANPEESKLGCIKVSECAILSFPIKTIHCDKDVWGEDADEFNPETFENGVTMASKQPNAFMPFPSGPMRESGKTLP >cds.KYUSt_chr4.29792 pep primary_assembly:MPB_Lper_Kyuss_1697:4:186996092:187014322:-1 gene:KYUSg_chr4.29792 transcript:KYUSt_chr4.29792 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGEAPDLQAQLAAAVQALNHGAHPSARLAANQWLLGLQRSPQAWALAASLLASGDYPAPSADLLFFAAQMLRRKIQSPGAPLPDPAGQLLDALLVAARRFCLGPPRLLTQICLALAALALRAEGGVDGLFARMPHLPDPALLELLTVLPEEVAQDQSGDTGVDSASRCRYTRELLAHAPAVLDFLLAQSEKPDAAHEPSRRILRCLLSWVRVGCFSGTPAAALAVHPLLTFAFNSLQAPFSFDVAIEVMTELLSQYQDLPQAFLSKIPYIREVLLLPALANRSEKIVAGIASLMCEVGQAAPGLVAEGSNQALALSDAILRCVAFSSEDWGIADSTLQFWCSLAHFILGIDVQSAERNATQETFLPVFSSLLDALLFRAQIIDTDEHVTDGVCSLPDGLAQFRLNLEELLVDVCLLLGAPAYINKLFSGGWGFVSQSIPWKEVEVRMYALSMVADTILQDGSPFDFSIIMHFVNILSSGTLAELHGCQFVVYKSFGDVIGSYSKWLSSSQSNIKPLLLFCASGISKSLSSNSCSLALRKLCEDATTFIHEPQNLEILFWISEGMDEGNLRIEDEEEIVSAITHALCSILDKEPRKNSLARLLYSSYSAVEKIIDIDRDYLLRQNPGAYTKAMNVAVRGLHRMGALFSHLTASVTSGLIDDDIILVLLGIFWPLLEKLSQSSHMENTSLSAAACRSLSSAIHSCGQHFQILLPKILECLSTNFLLYQRHDCFLRTAANVIEEFGHKEEYSAACVRTFETFSSAASLSNLNSSYTCDQEPDLVEAYANFTSAFIRCCPKEAVIASGSLLESSFQKAAICSTAMHRGAAIAAMSYMSCFLDVSLTAVLESPGCLDGSRGVVLLQILARCGEGLMSNVLYALLGVSALSRVHKSATMLQQLAALCSLCERTMWKAILCWDSICRWLQSTVKSLPSEYLRQGEAEMIIPLWLKVLQDAASDYLHSRTGDNGRNHPDYMQGKDVKTKLGAVTCFLHQHTEEKREVANQERLGQETRRGRDFGVCRRSAVTPRSFPVVLDHHLGNADMEFVMFLSPFAGMVTGYKNTIDGTYKQGAAVEISGRSNCNGHVDQAKKLRIDLFRAAAAVWSRQAVRSILPPDSYRLTVILVADQPPDSCRQRLTGVR >cds.KYUSt_chr1.36882 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225027377:225030391:-1 gene:KYUSg_chr1.36882 transcript:KYUSt_chr1.36882 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAGGGGGGGSATGKSPRSSSRHRQFRDRAKTRVDDLQEIFSGLQSARQESRSADAAVLEEQVHQMLREWRAELSVASPASSLQNSLGNSQGAADPPSETLRLLQLAIEEEDDATSKLAIPRSSRLSHGLGQDDQNLNAELQVQGENVAGGAPVTQQSLGHEVMGDYSGEVADVANALFNDQMYYDHELSIDDFLHGSNPVDLNNQQEIRHLEHEQCNLPLDLQPPSAYVDANSSVQNTGDVLFDMSDLLTTMSPSPSQYLGPKCALWDCGRPVWGSDECQDYCNPYHAGLALNDDGLLGTMPVMRPRGIDLKDGPLFDALCAKLQGKNVGIPVCEGAATSKSPWNAPELFDLSLLEGESLREWLFFDRPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDFAGLKKSYYMDPQPSTTYEWHLFEYEINDSNALALYRLEFKASDAKRTKLASNSLNEIQQQMGKLSANSPVDNKRTARSKTKANQKDSSASTNPVRNTPNKLGASSAYETVSLNTPNQVSSSSAYKTNQVSTSNAYQAVSEVDPMSFLNENVVYGPHLPYG >cds.KYUSt_chr6.22210 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140326982:140329024:-1 gene:KYUSg_chr6.22210 transcript:KYUSt_chr6.22210 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFRAYGDGGCLVSAPAELSGMFCRGGAGGVVQQQQQQRKRSLVAASAVAAAAAAAEYVRASKKVRQLTQPSLDALPDECLFEVLRRLPGGRERADSACVSRRWLALLASIRASELGHATAAPPLPDLNEEFVMEEDDSSSSSPAAVERALEGKEATDIRLAAMAVVAGSRGGLERLAVRGSHPARGVTDQGLLAVARASPNLRSLTLWNVPLVTDAGLAEVAAGCPNLERLDITACPLITDKGLAAVAQGCPDLVSLTVESCSGVGNEGLRAVGRCCAKLQALSIKNCAHVRDQGISSLVCSASATLAKIRLQGLNITDASLAVIGYYGKAVTDLTLARLAAVGERGFWVMANANGLQNLRCFSVTSCPGVTDLAIVSVAKFCLSLKQLCLKKCEHVSDAGLKAFAESAKLLENLQLEECNKVTLVGVLACLVNCSQKFRALSLVKCTGVKDICAAPAQLPVCKSLRFLTIKDCPGFTDASLAVVGMICPQLEQVDLSGLGEVTDTGLLPLITSSESGLVKVDFSGCKNITDVAVSALVKAHGKSIKQVSLEGCSKITDASLFAISENCAELAELDLSSCMISDSGIASLAAAKQFQLRVLSLFGCTNVTQRSVPFLGNMGKLEGLNLQFCNMIGDHSIASLEKQLW >cds.KYUSt_chr4.27957 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175664867:175670927:1 gene:KYUSg_chr4.27957 transcript:KYUSt_chr4.27957 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAEASGDDRISALPLDVRLRLLSMLPVEDAVRSSELSREWRGLWKKMPSLRLVGLERFETAEGFNKFVNHLIVLRGHLPLDKFKIDVRESEAAEGYPYANLWIQYALICKVRVLSVFCEAVVDDCIQLTVPLVSERLTTLNFTDIDFEKCSALVDSKLSLDFSGCPLLKSLSMDKCSIYVDKISSKSLKRLLITFSFLSHSRTWISAPSLVSLELSDCCGQAPVLESMPFLQSAFIRLNENQGSCDVMYPPVQKCAHRSCECCYGYHLSGYQSVLLNGLSNATHLELIAHPEVGVPSAMKDDGNHDPVEQTFACPHLKEKRYAKAGHSGHTLAASDPLAAATGGGSPIAEGDMGQWKMESAARAAGVARVDESPICDQGGDLASGWWRRPSPVMPWPAADAGLGQVVFGRNLDLI >cds.KYUSt_chr2.36472 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225154526:225156008:1 gene:KYUSg_chr2.36472 transcript:KYUSt_chr2.36472 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLVSCFSEHAVRISDVACSGSAAVNAAAVAAAATAGRPADGAAAGGTAAAAMSGVTTVYRSRLSASGKDLLVDVTWSRSPDGPALSVAVHDAGARHHHSASAAAAPRHLHRRKGSGTFTAGSCVVGVFWDYASARYDGARGPEPVSGYYVAVVADAEFVLLLGDLSRGYVERLHGGIPVAASRMARRRERFVGCGRWSTRARFLDSGAEHEIGIGLDGDAEAWVAVDGRKVVQLRRLRWNFRGSHTLFLDGGAPVDVTWDLHGWLFQPIDPSAASSSSAVFTFHARGASETKLWMDDGAAITSGEQENEKPLSPAPARAKQQQNKEAAGAQPSGQGFCLLIQDASPECEAVNGRNTNKGCNFLLELLLPSHCTLVTTLMNCSMVVTCLETDIHCGGSFIQLCPTEQSQEHNT >cds.KYUSt_chr6.6377 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38644205:38646950:1 gene:KYUSg_chr6.6377 transcript:KYUSt_chr6.6377 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKWARSPRSSADGDDDKRRAPRWGGAVRPSMVLVGFLFTLSLLVLVFGGRWGGSLPSTSPSSSSPSSTTPETTVRHVVDGTGAGTAPPQINYSAETTIPPKNVTAPSAKTLSQDRLLGGLLSAAFDESTCQSRYKSSLYRKPSPFPLSPYLVKKLRKYEARHKKCGPGTKSYRKAIEQLQAGRNVDSSECKYVVWFPCNGLGNRMLTITSTFLYALLSGRVMLVNVHQEQEGLFCEPFPGTSWVLPAGFPEGNPGKLHFDAPESYVNMLKHNVIRGDTPAAALPPYVYLHVEQSRLRLSDNIFCDDDQATLGKFTWMILKSDSYFAPALFLTPMYEKELARMFPQRESTFHHLGRYLFHPTNKVWGIVRRYYEAYLARADEKIGFQIRIFPEKPIKFENMYDQLTRCIREQRLLPELGEPALVNATDNNDGKVKAVLIASLYPGYYDGIRGLYYENPTRTGEVVAVYQPSHEEKQEYTSNQHNQKALAEIYLLSYCDKIAMTAWSTFGYVAYGFAGVKPWILLRPAWDKEVSPVACVRSTSVEPCLHSPPILSCRAKRDVDVAAVKPYVRHCEDVSFGLKLFDN >cds.KYUSt_chr2.46008 pep primary_assembly:MPB_Lper_Kyuss_1697:2:287092621:287095889:1 gene:KYUSg_chr2.46008 transcript:KYUSt_chr2.46008 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKRRRQQHHGRWVVPPVAPAAAAFAAAGLLLLVVAFHCFLSPPLGGGGGRRPNPPFLLNKPAELRRNLVGTVDFTVPSGGSKLREELWASKAAHNFVGCSDATKDFADAKAVTQSNRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPKLDQASFWKDASDFEEIFDAESFISSLSNDVKIIRQVPDRNGKAPSPYKMRVPRKCTPNCYENRVLPALVKKHVVQLTKFDYRVSNMLETDLQKLRCRVNYHALKFTDPIHKMGQMLVQRMRAKSGRFIALHLRFEPDMLAFSGCYFGGGEIERRELGEIRKRWKTLHASDPDKERRHGKCPLTPEEVGFMLRALGFGKDVHIYVASGDVYGGEETLAPLKALFPNFHSKETLASKEELEPFLSFSSRMAALDYVVCDGSDVFVTNNNGNMARMLAGRRRYFGHKRTIRPNSKKLSALFLNRTSMSWDTFASKVQMFQKGFMGEPNEIKPGKGEFHEHPMDCICAREQRRTGHSKPYLNKIVGEGAENHTSGAEFEWRDMDYGENTPLAGDSSNDTDPDYGRIGGSDIPELEDIISD >cds.KYUSt_chr5.5876 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36572322:36578513:-1 gene:KYUSg_chr5.5876 transcript:KYUSt_chr5.5876 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGCASRAPGIRLIKAHGSRVYMESPSRITDSLATVQYLAVHVTDPPSIHVVIGSGFLMGLPGSGSLLGRYGSGLLILGWTSSFMINSNWAARWATCLITICGPPGLAGSRHCRWYTHEKCNPFSADLFGTGSTARRVPLLCSSSSAPNYSQLEDPKGGYCEQVWEDCKSASISNSPFQPRNAALTGSASMLTDFWQSENDFCASFSGTPNNQTVCFNGLGVSLNTRSSPSPTGICLEKIDNGSYINMVSHPDGSSKAFFSSQHGKIWLTTIPEKGMQDGLQIDETSPFLDLTTEGHLNSDLGLVGVAFHPDFANNGRFFVSYVCDGAQSPKCAGRCSCDHEVECDLSKLGSDNNGAHPCQYHLVISEYAAKGLPSSFSEATYADPSEVRRIFSMGLPYVSNHAGQLLFGPTDGYLYFFTGNGGIKGDPFNLSQNKKSLLGKIMRLNIDELPELNEVANLSLWGNYTIPKDNPNADDSNLRPEIWALGLENPWRCNFDSGRPFHLYCADDIQDQYKVVDLISKGGNYGWRGVYEDQHVGYPPWAPQITKPTEGIIFPIMGYTVSSIPEKMESASIVGGYVYRGSADPYLYGRYLFADKYTSTMWTGSDGGGTSTSTPLRCSEKTPIPCKDSSNGPLGPIFSFGEDKNLDVFILTSEGIYRIVQPSLCGYAHPNSATTDGVTPSSGSDGMPASVKVVLSVLSALIGLSGLAVWRCYCKNTALCCNRNAQVTNSTIHIDSGSTVNIQMTDNKIIRRSAKSGQLQKVKPEEHRGR >cds.KYUSt_chr7.32445 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202089969:202093017:1 gene:KYUSg_chr7.32445 transcript:KYUSt_chr7.32445 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPTRPTAVVDVALGGSRARRVGLRRSHASQPHRLAPTKNIAGRGRATVVSGCGTKVPCQLCGIEGHLASRCHRRFKQDFLGIGNDGSGNEKQAALATQGSTSSYPVDPTWKTGAHPFEVDHRGALEETTMTGSSGERRSGVTRQTGTKEMVADMRLGALDLMVSMLAAGNHRRVAGDVDLREAAAVIVGIKNQRGRRSGKRSARCAYSP >cds.KYUSt_chr7.35578 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222329304:222331516:-1 gene:KYUSg_chr7.35578 transcript:KYUSt_chr7.35578 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAMNTTKSKEQQEIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVAAMLLSWLITLYTLWQMVEMHECVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVCICYMVTGGKSLKKFHDVVSPDAPPIRTSLFIVIFGSAHFLLSQLPNFNSISLISLAAAVMSLTYSTIAWVASVHHATTRGAGAVVDYSMTASTSAGRTFNFLNALGDVAFAYAGHNVVLEIQATIPSTPDKPSKKPMWKGVIVAYIVVAICYLPVAFVGYYAFGNAVDDNILITLEKPRWLIAAANMFVVVHVIGSYQIYAMPVFDMLETFLVKKLRFKPGWPLRLIARSLYVAFTMMVGIAIPFFGGLLGFFGGFAQAPTTYFLPCIMWLCIKKPKKFGRSWCINWFCIIIGVLLSVLAPIGALRSIIMNYKSYKFFS >cds.KYUSt_contig_686-1.1321 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8282878:8288238:-1 gene:KYUSg_contig_686-1.1321 transcript:KYUSt_contig_686-1.1321 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPEEIKARVVVHDAGAADEWARPELEAFHLPSTSQSPHFFHQPHPEPEQSIPAPPAAAATTNNASRSPPPPSSPPPAPFETEQLSPNAKPSSEDEKKPSPPAPAAALRDLFRFADGLDRVLMTVGTLGALVHGCSLPVFLRFFADLVDSFGSHADDPDTMVRLVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLEAALKQDVSFFDTDVRTSDVIYAINADAVIVQDAISEKLGNLIHYMATFVAGFVVGFTAAWQLALVTLAVVPLIAVIGGLTAATMGKLSGKSQDALSSASNIAEQALAQIRIVQSFVGEERVARAYSAALAAAQRIGYRNGFAKGLGLGGTYFTVFCCYALLLWYGGHLVRGHHTNGGLAIATMFSVMIGGIALGQSAPSIAAFAKARVAAAKIFRIIDHTPGISQDGDTGVELDSVTGRLELKNVEFAYPSRPDMPVLRSFSLTVPAGKTIALVGSSGSGKSTVVSLIERFYDPSSGEIMLDGVELKDLKLRWLRSQIGLVSQEPALFATSIRENLLLGRDSATQVEMEEAARVANAHSFIIKLPDGYDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQAGAVSEMGPHDDLMARGDSGAYAKLIRMQEQAHEAALVSARRSSARPSSARNSVSSPIMMRNSSYGRSPYSRRLSDFSTADFSLSVIHDPSGHRMGMGMEKLAFRAQASSFWRLAKMNSPEWGYALAGSVGSMVCGSFSAIFAYILSAVLSIYYAPDPRYMDREIAKYCYLLIGMSSAALLFNTVQHLFWDTVGENLTKRVREKMLAAVLRNEMAWFDMEANASAHIAARLALDAQNVRSAIGDRISVIVQNSALMLVACTAGFVLQWRLALVLLAVFPLVVGATVLQKMFMKGFSGDLEGAHARATQIAGEAVANVRTVAAFNSEDKITRLFEANLQRPLRRCFWKGQIAGIGYGVAQFLLYASYALGLWYAAWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMHSVFETIDRATEIEPDDVDAAPVPDKPRGDVELKHIDFSYPSRPDIQVFRDLSLRARAGRTLALVGPSGCGKSSVLALIQRFYEPTSGRVLLDGKDIRKYNLKALRRAVAMVPQEPFLFGGTIHDNIAYGREGATEAEVVEAATQANAHKFVSALPEGYKTCVGERGVQLSGGQRQRIAIARALVKQAPIMLLDEATSALDAESERHVQEALSRAGSGRTTIVVAHRLATVRNAHTIAVIDDGKVVEQGSHSHLLNHYPDGCYARMLQLQRLTPHAAAVPGPSASNA >cds.KYUSt_chr2.36976 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228738777:228739760:-1 gene:KYUSg_chr2.36976 transcript:KYUSt_chr2.36976 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCVLTHLLSSPSASPSPSSLHRLISAAAPAVSSNPGFAVEDYLVATCGLTRAQALKASTKLSHVKSPSKPDAVLAFLAGLGISSADVADLVAKDPLLLCTSVDKTLAPNVADLTGLGFSVSEIARLVSIGRAGFRRRSIVSKLHYYLPLFVSIQNLLRALKFNDNILKYGLERSQRATKLNLAVLRECGLSVCDIAKLFIAVPWMLTASVERVRAIVACADGVGVPRESRMFRHALHAAACLGKGKIADKVEFLKKTFRWSDAEVGIAVSKLPFVLLRSKEALQSRSEFLISSWGWNRHTLLIGRQCSLITWRVVSDLATMS >cds.KYUSt_chr3.48446 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303050450:303050794:1 gene:KYUSg_chr3.48446 transcript:KYUSt_chr3.48446 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWFDGTALALGPVFTVGLGVQLMGSEGVPANSWNPCGPVVVEEATGMATQGPEREGKGQVRGVWESKWRGEAMWSMGKHTTVLACGRRRRGWGKEKSDSPSIVFRCPSLEAL >cds.KYUSt_chr5.19487 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126404187:126404852:-1 gene:KYUSg_chr5.19487 transcript:KYUSt_chr5.19487 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFVKSPTGRTICLKVHPSDTLYTVKAKIQEQHCLFFDGVQLEDNLTLADYGIQHKSTLDLQESMQIYVMETLAGRTITLQVDSLDTIDEVKSKIQANEGFPKGRQCLIFANKQLEDDSTLADLNIWKESTLLLVLHPANTGGTMPIFVSTTEGKRLRLQVDSSDTIDDIKVKIYEADGTRPIQQRIIYNGKRMRDECTLADYDVQKEDTLHVVPCLCGC >cds.KYUSt_chr3.31444 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197504693:197508480:1 gene:KYUSg_chr3.31444 transcript:KYUSt_chr3.31444 gene_biotype:protein_coding transcript_biotype:protein_coding MFADNLRTLLFALPDAAAPTGFASLSNGTGRNRVFVRGICRGDSSESQCLADLRSGTIDLIASCTAASRRATGFYDKCIVTYADINASTAGFSFEEDELSEILYDGRRVADPDNYEKTYYALMKRLVARAASGNGSASARTSMFATGEAEYNRSIPNGTIYGLVQCMRDLSAAECGRCLQAAVPQLPTCCAGYQGGVVRSFNCHLRIQLYTYYDLAFDAPPGAAAPSPPLSDEQRHRKRRSEHIILAVALAVGALLVLVVALVYVRQRRRRIEAHKEQSDNAADGLDCFSLQVLKAATSNFSIQNKLGEGGFGEVFKGGLQGGKEIAVKRLSQNSAQGFNELKNELVLANRLRHRNLVQLLGVCFQEKLLVYEYMPQGSLDSILFDPEKAHQLDWTRRTTIISGIARGLLYLHEESPLKIIHRDLKPSNVLLDLDMNPKISDFGLSRAFGGDQSIDITKRPVGTLGYMSPEYAYCGQVSAKSDMYSFGVIVLEIITGRRNNRSLEDAASRSLLSYVWEMWSTGSVEELVDPSLGGRYPESEALYCVQIGLLCVQENPSARPDASEVVLMLNTHSTSTALPAPSRPAFCFSQPGVVALAGGNPTSSYPSTLDGTTSSGQLPTPGFSENDVTISELQPR >cds.KYUSt_chr1.9642 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58850697:58851059:-1 gene:KYUSg_chr1.9642 transcript:KYUSt_chr1.9642 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSACLVSFAARPAVGTTTFRPRASASTSAGAKRVRAGAPKGGKWWAPLVGWSGRADYIEAAAPAVVADEEDSAGRSFVGGLTEEKARQLRARMAEMESFHDAMYHSAIASRLARTA >cds.KYUSt_chr5.28125 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177999008:177999247:1 gene:KYUSg_chr5.28125 transcript:KYUSt_chr5.28125 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGWLSQRSIRRMHLKLRRIELKISRNHHRVQIEGFKPSIPIRQGILFLLTIYHITQSLEIANRHGLLQKLPGVVAPF >cds.KYUSt_scaffold_719.418 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:2828066:2829888:-1 gene:KYUSg_scaffold_719.418 transcript:KYUSt_scaffold_719.418 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYLERRNGLHDDSVAPEFSGRSENNHKHLTVAAPEVQSDLDLVNYRRPLQADEKKSRSCQSCHSSQCSCPELCTTLPAKMMVLEFLIRSLRHPTRTHNVSDLDDLISDGTGTGDVTLCPSEKMMLDSLHALVNAKTRPKSPSFFLPGAKMRKTRSRSHNITQSEILKLISPETWEMSSPAASPLKKSTAELRVHEKMVPSYSDAACMISDQTALPSHSNPPSSSLSAGLLQCIWKDGLPHFELSLDDPMAVYTASLIRSPGNDKAVDYVYLFRSGEQGKRDWMGNSSNVSKLVGKMKVSSSLLLSLDSSTSVETEFILYGSPDDYLRQVHSTYSATKGKGLVKRVAEIMRSPNASASPKHVWARSGKSPLQQFDDLRSDMIEGEPGSAGESGLVSLTADDLPTNQEIAAIVVREQRRGRREEPAVGGWGLKFLEKAGIAHPEESNDTSIQNKNARCISVIVPRGYHGGVASRNGGPSGLVERWRFGGSCDCGGWDYGCPIRVLNNDGGSSSPQDSKSVELSLTGVRSKEPVLRLVNVTEGLYIIYFDPSLVSPLQCFSAGVAVVHSRAPQLYPKL >cds.KYUSt_chr3.39609 pep primary_assembly:MPB_Lper_Kyuss_1697:3:249594662:249595615:1 gene:KYUSg_chr3.39609 transcript:KYUSt_chr3.39609 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRSPDPNPSPPVVLPRCRRGGAFGSVFKGLLPEGTLVAVKKLEGFRQGEKQFRAEVSTLGTIRHVNLIRLLGFCSERTRKLLIYEYMPNTSLDRYLSGSSNQLVLSWSTRYQIALGVARRLHYLHERCRDCIIHCDIKPENILLSDTFVPKVADFGLAKLMGHDFSRVLTTMRGTVGYLAPEWIAGTAITTKADVYSYGMMLFEIVSGRRNAIKRQDGTLDFFPLLAATKVTEGELEGLVNTLLDCNVNPAEVERACKVACWCVQDDEGARPSMATVVQALEGLVEVNVPPVPRSLKLLMDQTTYVEFYSKLPSE >cds.KYUSt_chr1.18330 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107168482:107171862:-1 gene:KYUSg_chr1.18330 transcript:KYUSt_chr1.18330 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGDAFRGHFPVPAACRNRDSCPPDLGFAMAAALEENRNWHFGISSIDVPMNPAQRKRYRDRDRYLGMTPIQREAYLQRNREYKRAKRDNNASGSSAQFITGQTNIQSNMCHPDNGTCGTVSQSGVYASSTSLEYQDADIALKNSSQRNISENGDDMTVEYGQTQDMLEGDDEDDESYILCGRGKRVNTYVA >cds.KYUSt_chr6.2523 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14650962:14652852:1 gene:KYUSg_chr6.2523 transcript:KYUSt_chr6.2523 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRHHSAACSPLLQCPVQARSRQRLLPHSPHRAPLPSPTHHGRGDPPPSQPHHRHATHDTAATPRTSSLRLDLTAHRRDLAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRRDAIITAYRDHCLYLARGGSLVGARRTAQGRARGGRGARSPRAARSRRRGPWSASRRTPCGHWRGRPHLAYMSSKAVATTWSQARAGRVSTSAWMRRPAAASARCARERRAVGTAVGRERRRRGPGRLPAGGGGCAGGGGCVGGGGCVDGGVAASAAWVRRRRGCVGGSALCVARLRKNQGIWGFLARALSVNEEKTLSYRLVPPTVATVVVLVAVIIVAGIGVAVHSGRRVSTRGLGLRVAQAGATVGHDALESPAAPPQPTAGLVEVRRRRTALLMLGKRPLTPIDEEAFPSRAVVGMPPGIPPLLGVSSK >cds.KYUSt_chr3.46165 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290553887:290554768:-1 gene:KYUSg_chr3.46165 transcript:KYUSt_chr3.46165 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMKPDNDDDDTRLFLHVPTGRFRRLRLPVLRDHILVGATDGLLVLGEKEAPHLARVLNPFTGDMLLFTAPLPEDFKHIEYTALKGGSHCALVFLISATVFCAVPASQVFTDTDFTQEASGPGHYLDSIVNFHGNIYCADMHGWLFELITPEELEVIDQVPPEMDVCREEEFGELRSYLVESDGELLLVCYTELSFKVFRVNIQYMLLEEVKSLGSCALFLGEDRCVSVDADNLPSVDGDCIYMLDYEGMGNMCVYNLRGDTVDIISRKHYLNRPFSLITVLLQYCDFRPLS >cds.KYUSt_chr4.7326 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43534763:43542364:1 gene:KYUSg_chr4.7326 transcript:KYUSt_chr4.7326 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALASSPLVHLTAAARLRFPKPRTSAASSGCSRWGSPRGAYLDWRPLRRCDRMRRFSVDEGGGGGEADGEKRSDEEPAAAAVETKAGAAEEVASERSRSGSFSSSSSPSSVTPGVSSEPSLLSFSVDSIDTVKLLELLGPEKVDPDDVKAIKEKFFGYTTFWLTREEPFGDLGEGVLFVGNLRGNKEEIFGKLQRQLRELTGDKYNLFMVEEPNSEEDDPRGGPRVSFGLLRREVSEPGPTTLWQYVISMLLFLLTVFSCIELGIASKISSLPPDIVSYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQLFHEVGHFLAAYAKDVKLSIPFFIPNFTLGTFGSITQFKSILPDRKTMYDISMAGPLAGAALSFSMFSVGLWLSSNPAATSDLVQVPSNLFQGSLLLGLLSRAILGYSALHAATVLIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKSALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLLCQRTPEKPCLDDVSDVGTWRRSALIVSVFLVVLILIPVWDELAEDLGVGLVNTF >cds.KYUSt_contig_60.369 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2188172:2194412:1 gene:KYUSg_contig_60.369 transcript:KYUSt_contig_60.369 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRPAGSRAGGAAAGGVGRKKVASGAGVLSLRALREELARQKEEEERRAREEEMEMEAQAEKARREEEEKKKPTEEEEKQREEERQRRRREERKREENRRLEAARRRLGVTIVAEGGAAAGDGDRKRPVYASRRPKLQSKSREDVQSEVDGGGIQSSMQHSEEENINASHEDDIITVNNVLKLREASCAESSEQGRTINGDDDDEDAWDNKSLDEYDVMSDGNSRLYGGEETEENHSISSAPVLNSDNMAQEIVEDILIAQDVASSNGSGDERELRAPICCILGHVDAGKTKLLDCVRGTNVQKGEAGGITQQIGATYLPVENIRKRAGLKPEVAIKVPGLLVIDTPGHESFSKMRSRGLSLCDIAVVVVDITRGLEKQTIESLHLLKRHNVRFIVVLTKVDRLYGWKSCPDAPIVKALNSQSDDVQSEYRWRLTEVVTQFKENGFNTAPYYENKKMKQVFNIVPTSAIRGEGVPDLLLLLVRWVPEIMIEKLVYDNSVECTILEVNGDKDLGTTIDVVLINGALHQGDQAIVCTKQGPVTTIIRDLLTPHPMKELKAKGVYKHHKELRAVHGGLQHAMAGTSLIVVKPGDDLRQAEAAAMQQMNITISATDENEEGTAAEVSRVKTCKEGLYVQASSIGTLEAIIDHLQSSNVDIPVSDWNLGPVHKQDVVKAAAMLNRKEEYAAILAFDVKVMPEASVLAAESGVRIFMADTVYMLVDSYVEHINGLNEAKKMQYAAEAVFPCTLKILPNRVYHNKDPIVCDVEVLEGVVKVGTPICVSVPSKDPICVSVPSKDRRENIIHSLGRISSIQTSNGSQIFSARNGLVSIKIMGDNPQEKSRSYGRHFDDSNELLSQISRKSIDVLKEYYRDEMTDENWQLICRLKKQFKIA >cds.KYUSt_chr5.4673 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29779858:29780112:-1 gene:KYUSg_chr5.4673 transcript:KYUSt_chr5.4673 gene_biotype:protein_coding transcript_biotype:protein_coding MRILGGQTADNVYLDDYNADLAGDEGMGDRRQALAVVEQGRFEVLAMRRAYELSTPMEVTQREQSQVDRENERHRGKGHSLLQG >cds.KYUSt_chr7.7767 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46859334:46862053:1 gene:KYUSg_chr7.7767 transcript:KYUSt_chr7.7767 gene_biotype:protein_coding transcript_biotype:protein_coding AVQDGVDILNLSVGPNSPSTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLILGNGKRLGGLGVSPATHGNKSFGLISATDALLGSSATKYSALDCQRPELLNKRKVQGKILLCGYSYNYIAGTASIKKVSQTARSLGAAGFVVAVENSYPGTKFDPVPVNIPGILITDVSKTKDLIDYYNSSTARDWAGRATVFQATVGIADGLAPTLFNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYAGEGFAMMSGTSMAAPHISGIAALIKQKYPKWSPSAIKSALMTTANTIDKGSHPLRAQQYSTSEMLTLTRATPFDYGSGAVNPKAALDPGLVLDATHQDYITFLCSIPDVDQSEVSNITGSHCSSSPKGQRPYDLNIPSITVSQLKGTQTVKRTVTNVADEAETYTIMTRMSSEIALEVSPPALTVLPGSSREIAATLTTRSVTGTYSFGEITMKGDRGHLVRIPVVAMGFK >cds.KYUSt_chr7.7385 pep primary_assembly:MPB_Lper_Kyuss_1697:7:44324017:44325444:1 gene:KYUSg_chr7.7385 transcript:KYUSt_chr7.7385 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSLHDSINPKPQSEGIAEEYPRQLALSVGTLSAQDPSSADPVMSSAASSTPSSSSSPILGSPIRFGSYEFTTHNDSSRSTFSDLQGNMEMTFGSVHYNLKLVSGQRERRAVRGAHERVQYSQPGEGRPRGSSYQFRAHVVPPHRAPTSTPTPSSGTRSTSCGTCSLYIDGTPIRVFRNNEANGVPFPTRQPVHVFASIWNAEEWATQGGRVKTNWSEAPFVAAYRRFSASSACVWRGNGATTRCGGDHLPSSASSWMGQTLDWWSWMTLNWVRMNYMAYDYCEDRKRYPHAFPTECIIPIGRI >cds.KYUSt_chr6.31928 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201710980:201721986:1 gene:KYUSg_chr6.31928 transcript:KYUSt_chr6.31928 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNMQTTGPPQPPRPPMMGSAAPQQNMGPPMPMQFRPVGPPQQPPQFMQQAPQQFRPAGQPMPGVNMGMPGQMPHFLQPGQHMPHSGHVQPASQAVPMAYQAARPMSSAPMQQPPQAVFPGGHMPTMGAPMPPPSYTFQPTSVPPVAQPWGTAPGQGVPLVPPMVQPGHQSLSASSPPVSSTDPSSSDWQEHSSGDGKKYYYNKRTKQSSWEKPAELMTPLERADASTEWKEFTTAEGRKYYYNKVTKQSKWTIPDELKIARELAEKISNQQPDRETETTTDAPIGSASVPAEPSSLPPNQSSSLVGISASSTQDTIANLPPGAPPVAGQSYNGDISSSYGSMQNGGASTAAVTPVTSSMGVSSVASDAETSRNNYESSSLTSTADTKVGASAEDLEEAKKMMPTAGKVNVTPLEDKTNEEEPVIYANKLEQKNAFKSLLESANVEADWSWEHAMRVIINDKRYGALKTLGERKQAFNEYLNQRKKIEAEERRVKQRKARDDFLTMLEESKDLTSSTRWSKAITMFEDDERFSAVDRPREREDLFENYLVDLQKKEKAKAAELHKRYVVEYRAFLESCDFIKANSQWRKVQERLEDDERCFRLEKIDRLDVFQEYIRHLEKEEEEQRRMQKEKTRRQERKNRDEFRKMLEEHVADGTLNAKTHWRGYCAQVKDSHAYLAVASNTSGSMPRELFNDVMEELDKQYQDDKTLVKDEMKSGKIHMTASWALEDFQAAVTEDEKCKGISNINIKLIYEDQIEKLKEKELKEAKKRQRLGDNFLDLLYSIKEITAASTWDDSKALFDDTQEYKDLGGETYARELFEEYIVRLKERFKEKERMREEEKAKKEKDREEREKKKDKEKERKEKDRKEKERDREKEREKEKGKDRSKRDEMEIDGDDVEIHGSKDKKREKDKEKKHKRRHHDTTDDLSSDRDEKDESKKSRRHSSDRKKSRKHTHASDSDTESRHRRHKRDRDSSRKNGVNEELEDGELGEDGEIH >cds.KYUSt_chr3.32013 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201229845:201233818:-1 gene:KYUSg_chr3.32013 transcript:KYUSt_chr3.32013 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTARVVVAATLLAAAAAAASPNSTSPPHSHFLVKLSQWREDYLSEAASQAGTGSVRRNTVLAWILSFLAASVSSAGGVGGGSLFLPILNLVAGLSLKRATSYSSFMVTGGAVSNVLYNLFCTRGLIDYDIALLFQPCLLLGVSLGVVCNVMFPEWLITALFSLFLAFCTAKTWRAGVKIWRTETVAARHDHKVPLLIGGGLPLPGQVGGEGSINGGSLAGDRPRFPLKDIAVLLVVWLSFFLLHVFIGDKHGKGVIKIKPCGIAYWLVTIFQVPFAVAFTAYIVYAKRNKQGRHENEDGKEPNSFVTKTETLPPLALPLAALVTGSLSGLFGIGGGLLLNPVLLQIGIPPQTAAATSSFMVLFCASMSMVQFILLGMEGIGEAAVYAGICFVASLTGVILIARAVKKSGRVSMIVFLVTAIMALSTVIVTCFGALDVWTQYIGGAYMGFKLPC >cds.KYUSt_chr3.11237 pep primary_assembly:MPB_Lper_Kyuss_1697:3:66965122:66965412:-1 gene:KYUSg_chr3.11237 transcript:KYUSt_chr3.11237 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIWAAFASSVPGLVVSALSVSDLMTCLCLVGKASGSLLHRKKTIRWIMTTPRRRMDDVDVDDDVDVDVGVDSMMTSESLSSAYIAGDVDKEKQR >cds.KYUSt_chr4.34336 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210718828:210719346:-1 gene:KYUSg_chr4.34336 transcript:KYUSt_chr4.34336 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQVPTESPATELVEHLLTEIFLRLTSRSASSAGCLISDPAFLRCYRTLHRAPPLLGFLHQTSWLSSARFVPFTEFCPLDLEGCYPLNCRHGRALLYDEESRDLLLCDPMIGEKKHIREPDIQRDYFNAAVLCARANCDHLDCHGGPWSAKAHVGKNGVEVIPIEHICSTL >cds.KYUSt_chr4.8076 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48178138:48181102:-1 gene:KYUSg_chr4.8076 transcript:KYUSt_chr4.8076 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGVDATGRYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSLRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQMYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASACFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDMPPRGLKMAGTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEYDEEEEEGVAE >cds.KYUSt_chr5.283 pep primary_assembly:MPB_Lper_Kyuss_1697:5:1881574:1885709:-1 gene:KYUSg_chr5.283 transcript:KYUSt_chr5.283 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHSGIELDGDISLHKQMASKMDLRGAKDSDGNTALHWAASRGCLESCRFLVEECGLDVNAVSKLGMTPMSYAAHEGKTQVIRYLLDRGVDPAMPDERGTTPLHNAALQGHCEVVKLLLSQGVPVDPIDHRGAPLQLAVSKDHAEVLKVLLEHSADDEVSMEERIAQWKSRGKEAFAKEDYFNAMYYYNLVTEIDPYDATIFANHSRCWLHLKNGDKALEEARKCKMMRPRWSKAWYREGAALSFMKDYKGAADAFREALQLDPKNEDINKALRKAEKAAEELQCNISGCQCKI >cds.KYUSt_chr1.39583 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242152022:242169065:-1 gene:KYUSg_chr1.39583 transcript:KYUSt_chr1.39583 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPHLQARDYIGLGPAAPASSSCSSSSASGDAGPHLALSLGLPGRDEDAVDAALTLGPAPAPPRGAGAKRGFNDSLDRPAAKDAAASAAREVQKGAAAGAPPAAKAQVVGWPPVRNYRKNTLAAATKTKPEIQAKSEAECCYVKVSMDGAPYLRKVDLKTYSSYENLSLELEKMFTCFITGKSNSCKTSTRDILTDGSRADALQDQEYVLTYEDKDADWMLVGDLPWDDILHVINIDLAGRGGEEEDEDGVDDAVFCDQFLPNLWEAIFLSRPKATPWPIQVPATDSGDSTSFARPFLRFAVAYYGCVEASGSVPAFSHDGGVADLWLDGGEREGPDCFSSSFSELDREDINNTVGQIGLRPWQQGDVVLRGLEQVKTLWDMIFNDSSLEEGEEDDGQRSWAWFLEVAIALNPKLGITWMILLQPHTIDRSLGHDILLDEDIPTSLLPPSLSTEDEPAVKLKSNEVRIEPMTRARAKLLKQQVNLFLNDTLIDENFILPKSYYLCIIRYEEETSIARGGEEQLDVKMDVKLDKELDMKISHGRAREEREECARGEEEVQAGPAPGRTGLAPAAWSSARSTGRQPAANRRECIVSGVNRKLRSFPVGDRLTGPPTGPAGPQPGRPDSRPDQSESVSTRSILDIITWREYEALRNEMRREFRTKDDELKGTVDEIKQTMDATNVTVTGLADQMTDIQRNIADMRLAIENLTAQQQQQDDDEDPELEDDAHNARGALRGHRPRGWVPLGRNGRGQDEEDGLGKPKFSIPKFEGGADVEEYLTWELKIEKLWSLHPNYSEDKKIKLASSEFDGYALRWWDSLVRNRDEDGAQPIRTWHAMKEVMTSRFVPTNYMRNIFDKLTLLRQGVKTVDEYYMEMEMLMQRGRVRESLEMTMQHFVNGLKYDVKGIVRHYTYTNMNQLLHHAREAESQLAEEAKVKGRATGGGRFTPRAPSTATAPSTRFCSLLYSA >cds.KYUSt_chr1.9249 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56563156:56564480:1 gene:KYUSg_chr1.9249 transcript:KYUSt_chr1.9249 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAADPGPAKAEAVGPQGEMDLPVADPGPVRTESELVQCPYCDSEAMHKLAQFLLPGLAAVCVDSTTGDLFRSPSAVAVDLRKEMVDHITQKSETFIADALIESEANQNPENEMPDDPYEIVSIFMDDFSSTKRNIIGHVSGWLLSDSRDDKIDDFVQEMEMTKFWPLERREAIAEVLLKNVDLKTKFHCPEKYENEERLADHKAQCSFRPVICPNEGCRAKVSVRCMQDHDAACLFKIIQCEQNCQKRLLRRDMDRHCVTVCAMRPMKCPFGCDSSFPETNLGEHCSESLQLHLLKVLQAIHKKGFTADELKDRALQLEKSDDHGKLAKARDSRSLTNIVKDLEAKMKSSS >cds.KYUSt_chr7.9461 pep primary_assembly:MPB_Lper_Kyuss_1697:7:57708914:57710207:-1 gene:KYUSg_chr7.9461 transcript:KYUSt_chr7.9461 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFRQPRPAGDASQSGGAASSSSPRRLFASSLFASSPSTSGKNPWPSEPDDMEKKRWDSMESWSMLLDTAMAPSSSSSGGNSGRREEWMADLSHLFIGNKFAAGANSRIYRGIYKQRAVAVKMVRIPERDEVRRAELEEQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSPETILKLALDISRGMEYLHAQGVMHRDLKSQNLLLNDEMRVKVADFGTSCLETRCQATKGNKGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSSSCPPLLNNLIKRCWSANPARRPEFSYIVSVLDKYDHCVKDGTPIMVHQELRIWSSFVKIFRMGCVANNLSIPVHS >cds.KYUSt_chr4.10272 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62209540:62209998:1 gene:KYUSg_chr4.10272 transcript:KYUSt_chr4.10272 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPVEDEPASDPAAKAPAAKKPKAGKSLPAGKTAAKDGVDKKSKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_chr5.20271 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131815897:131820249:1 gene:KYUSg_chr5.20271 transcript:KYUSt_chr5.20271 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRFNPLSNRCARPRADGVALELTESAPLFPRIAGDSVGVAREKGGSSVVGTEEKGAAGHRGTGNKADNKDPGDSLAGLDGSAGLEISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRYGAVKSETPGAGAVVPASIDQFPDSERTDPSTPAPTSESPSRGAAFKRDQTEATRSPCHDELLTADSNCHSGSPTASPKHERAAKRQRGSDAEFPEADLSLPQHIFESSSGPEFQQCSMPYYSGH >cds.KYUSt_chr7.484 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2671729:2673474:1 gene:KYUSg_chr7.484 transcript:KYUSt_chr7.484 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHARRRHAVARCRALITAAAFALALAALLCFSLVPPGGMLAAPARALQLVPARRLHVRLGAVKGYTTTATATPAAGGRATKAQPDAAVLLPDWEALVLLRADAADAAGNVTCRFRGGATSPARALGRLPAPGRSGRRAYACAVPEPARRHKTLPAPQLVIASSSTSKRTVGAARGGGRSTEMLRWSGRLVYESAVVDGGDVLVFAKGVNPRQGVNRPASDIQCVYYRAGDHVVATLPAATSAQQVFRCPPPPTPVQQESQEEIRVTLAVTGQEPLPSLAVYNPPRAASSTAPEKKLICACTMVRDVAKFLPEWVVYHAAVGVDRFYLYDNGSEDDLADQVHHLNAAGYDVSTVAWPWTKAQEAGFSHSAAAHRHSCQWMAFVDVDEFIFSPQWNQSNNPDKSTMLRSVVSSAEPDVGQVSLGCADFGPSGQTSNPEEGVTQGYTCRRRTQERHKSLLRLDAVDDSLVNSIHHFALRPGFQGQWNKQVRVNHYKYQAWEEFKVKFRRRVSTYVADWTDPVNLKSKDRTPGLGFEAVEPVGWTHKFCQVNDTLLRDATRRWFGAGFRNGPARRQTGTSSSS >cds.KYUSt_chr4.8593 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51601311:51602528:-1 gene:KYUSg_chr4.8593 transcript:KYUSt_chr4.8593 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSGDVASPWSSLPMDLVRLVGWRVLAGDLLDYVRFRAACTHWRSSTVCPLGRGITDPRFHPHRWMMLPDGHGVHPAHGKKRFFNLSTGAFARVGHPFLSGLCQVLDSVDGLLLLQRPYNPTVWLLHPFTGDAVNFPPLVPLLESHWDMGQNRSAELNFVASFSISADGTVTAMIVHQYIMHVFFATTKDQEWSISEWCLPPLSAPISFQGKLYILEPLVAHSTEQHILRLDPPCYEHDVVVPSFMPPKRIATCPTGIISCLLAECDSEILLVGYDDTLLPTSKLVVYRLADLSMGKAAPITSIGGNTLFLDIKMSVYITYIGKCMTSSSRAMPIIANNTIICNHYGYPWQYDLGTGIWSQIMGECVDQGQGGTRGCNCNLIHHIYQSCHCKYRPVGDWLMI >cds.KYUSt_contig_4233.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000834.1:111195:112054:-1 gene:KYUSg_contig_4233.6 transcript:KYUSt_contig_4233.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKEAAAAAGPVEEGRSKTVVVVGVDDSDHSYSALEWAVRYVATAGVATELVVVHAKQAASSVVTMGGAAVAGDMVRYVEEDLRKRADEVVEKARSLCVANSVEGVVEVIDGEPRHVICNAVEKHGADLLVVGSHGYGAIKRAFLGSVSDYCAHHAHCSVMIVKQPKHKK >cds.KYUSt_chr2.5265 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32699771:32700440:1 gene:KYUSg_chr2.5265 transcript:KYUSt_chr2.5265 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPRPAVDAPVAAGHGDKATPPAHRQRMKTALDLLHGIRVELTSHENEKEVKAGLPQLLLVCARRQSQGQAARRTCCSWAFLDSDEDAQGYGVLDMADQEEELAHIDPYTTTPTNLKFERIFRAAEGLKLFLILSVSKVAAMEGLFKFLVKLFLLFLLCYFFPKTKLLCSNNVLLHY >cds.KYUSt_chr2.5677 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35062128:35080872:-1 gene:KYUSg_chr2.5677 transcript:KYUSt_chr2.5677 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTENEHYTDEEEALVGDAEAGSGSEGEEEEADVGQKRKLPTKGSKRGVVYLSRVPPNMNPSHVRQMFSRYGEVQRIYLVPEGQGHRKHSNVHAKAYSEGWIEFAKRSVAKRVANLLNGEQIGGKKRSPFYYDIWNIKYLRKFRWDDLVGEIAQKTHIREQKLNLEITTAKKQRDHYLSNAENSRTQKFIRDRIKKKQKTEGKESNDVPETKIDRPIPRQNRSVEERGPKTKPKLSKSILAGHTFLALVKNAAAAVSPSASASSRRLPSLHAIYTKLGFHAYARVTNGFIQAYCATGRVTDARRVFDEMPRPDTVSFNSMIHGYALAGDVRSACHLFDHVPAPTPVTWTSMVTGFCRAGDVESARRVFEEMPERDLVSWNAMISGHAGNRQPVEALCLFGRMMEEGFAPNRGTLVSALSACASAGALETGKWVHAFVERRRLRWDVFLGTALVDMYAKCGAVDLALDVFTTRLKARNTCTWNAMINGLAMNGYSAKALDLFRQMELDGAVAPDEVTFVGVLLACSHGGYVDAGREHFSMIYNKYGVRLILEHYACMVDLLSRSGHLQEARKLIAEMPMKPDAVVWRALLGGCRLHRNVKMAETVITEMEATCSGDHVLLSNLYAAVGRWNGVEDVRRTMRSKGIEKVPGCSSIEMDGSIHEFISGDKSHPSYDDIHGKLVEIGGRMQQQGYVKDTAEVFYDIEDEEKEQALGHHSEKLAIAFGLIGAPPDATIRIVKNLRFCLPPNLYKGQELHSDKENTQSSTKKINLFKVNMYDSRSMITPGVISMVFTHCILAEPYLQPATRHESRIKYFSPYNVGGKLEASVLFLSVYLPVVFAGNLCGPASELCCTFVSEDMVKSLNTVTLIFKLPYYTQWGQSLVIAGSEPALGSWNVKQGLALSPVHQGNALVWSGQVSVAAGFTSEYSYYVVDDHKNVLRWESGNKKKLVLPEGVQDGDVVEIRDWWQDASEALFLRSAFKDVIFSGNENAKRALQSSSLSKSLDPEDIVVQFIISCPRLAAGSTESPWRGAGVAVPIFSIRSNEDLGVGEFLDLKLLVDWAVNSGFHLVQILPINDTSVNGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPGDIKEEILHAKKQLDKKDVDYEASLATKLKIAKKIFNLEKDKVLNSASFKKFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLDKLVGEDTLHHDIIRFHYYIQYHLYTQLSDAAAYARKNKVILKGDLPIGVDRNSVDTWVNPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQLAKYFTAYRIDHILGFFRIWELPEHAATGLVGKFRPSIPLSQEELLGDGIWDFDRLSRPYVRQELLEEKFGSLWTVIAANFLNEYQKQCYEFKEDCNTEKKIIAKIKTSPEKSLWLEKEDSIRRGLFDLLQNIVLIRDPEDSTKYYPRFNLEDTSSFRDIDEHSKNVIRRLYYDYYFARQESLWRQNALKTLPVLLDCSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNCEFGIPSQYSYMTVCAPSCHDCSTLRAWWEENEGRRSRFYKSVIGSEDEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTTRPAVEETINDPTNPRHYWRFRLHVPLESMLEDKDIQATIKELVTSSGRSYPGKKVDAAGGSGQKLTNGKA >cds.KYUSt_chr1.29466 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178414160:178415302:1 gene:KYUSg_chr1.29466 transcript:KYUSt_chr1.29466 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRAKDGGAKSFPSPASSSSASSSEFEFTVTLSPASKQRSAAQLCPADDLFYKGQLLPLHLSPRISMVRTLLLSSASTSSASASDSTSTSRDSNGSTSSTFSADCEALLLPDSAPCSSRPSSATDDDRHLNLLRGTASFAGLPPAKRAGNRYLSSFATRFSSVFLHRGGAPPADKKPSGGNKSLAKEVIKKYAKKVKPLYEKLSQMPKSQSNQPQPQPPAQQQQQGFKKPFSFSIRKKRGDDDQAAASPAVAAAEASAGKYAHSNSFSGNLRFPRQKRCAASCPSSMRSSPNHSGLLSFGGAGGVGFPDVPAAAAAAMSGSIGVGHVSLSKSHSSSMEELQSAIEGAIAHCKNTMGGVVYMCPRKGTSSSAAGEICAF >cds.KYUSt_chr2.31680 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195421580:195422125:1 gene:KYUSg_chr2.31680 transcript:KYUSt_chr2.31680 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFACLAAFSLALASLSSPAAAMSIPSHNHGAAVGAPVVPSWKQFLQVQNDARGAVGVQPLTWNWTIELDAMRYANELRVPCSLSPIAWPTDGVYGRNLYRAGGHHNAAHAAAAWVDERRWFDRRANACAQGKTCGDYTQVVWNTTTHVGCARRTCKNGLDTVAVCNYFPPGNYVGVAPY >cds.KYUSt_chr3.30506 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191218228:191220414:-1 gene:KYUSg_chr3.30506 transcript:KYUSt_chr3.30506 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGHPSTREEEDSCFIATSYDLDRERLDWENTAIVAWVIAAPSGTDRTDVEDVFRRKYRLRPSELLVSSHFPEQYLVKFSSAELRNEVMRTERCNFKLDGLEVHFRPWRAVSHAYNADLHFRVHLVIDGLRPFAWRPEVVDQLVGRKCAVQRLDDGFTTMEDTSSFGLWVWTASPHRIPKVLWCTFINKGAGGLSSKVRIEEDRPDQWKRGVSFRILLHIDRIEDFTGAPVLDGGEPVTDFRPASHSLPRCHLGTIDGMPVSAGSGSIMPAPIPALGELGPAYNRVRDYEDWHERERSLTREEREDRARSRPREEDRRVSHRSPSRGADRHRSRSAAQEDRRRHCSRHASRCDDRDSRKRHRRNDDDEEDRRGPRREQPRRASSHSMRAKFSSSADGRRGVGGPDRSSGRSSGRYEGRSRHSTTRRVTSQGSGTGKVPSELSLPSIAEGELDAAPLQPMDGSAVTHHVAKDTCRDWVVAIKDVPEGLTTGASRLASPPPVVEASFSLPSSWDEDGENRAPGMLLPSPRHDAALQPLSALSEVPDSWEDNISTVQVEAPVTDAGVVSRGDMLDVNPAPNDDSGSQNANMSMAQDSPMAAMVELFVRPRPPLLPLPAPRSPLPRLGKEKPPTEARRSARLVGKPKMHAMDKAIHVLNSKMGVAAEGMTLLEARKAYLDKYKSQLPNKTIDAFVKLFKLNICSMTEADESLIAMAGPGGCETAEQGLIV >cds.KYUSt_chr2.45401 pep primary_assembly:MPB_Lper_Kyuss_1697:2:283110671:283111624:-1 gene:KYUSg_chr2.45401 transcript:KYUSt_chr2.45401 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEFDMPKFLRVHKSGRVDRLGGTETVPPSPSGDPANGVASKDIVLDPAANITARLYLPDAAAEPGPGKKFPVVVFFHGGAFFIESTASPIYHRYAASLAAAVPAVVVSVEYRLAPEHPLPAAYDDAFAALKAVVAACRPDGAEPWLAANGDASRLCLVGDSAGANMAHNTAIRLRKEPVDGYGGRVSGVALLHSYFWGTQRMGCEPLYFPFDMDRVWDVACGGRFGRDHPYINPAASPEEWRQLGTGRVLVTTAELCWFVERARAYPEGIKACGWEGEIELYETKGEEHTYFLFKPDGENAAKELAVVADFVSRS >cds.KYUSt_chr4.41385 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255983971:255984483:1 gene:KYUSg_chr4.41385 transcript:KYUSt_chr4.41385 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLFDPFSLDLFDPFDGFPFGSASSSSGGGSLFPSFPRTSSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERNKEQEDKSDTWHRVERSSGRFLRRFKLPENARAEQIKASMENGVLTVTVPKEEAKKAEVKSIQISG >cds.KYUSt_chr1.31515 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191099288:191108154:-1 gene:KYUSg_chr1.31515 transcript:KYUSt_chr1.31515 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVYVLQLGNPSRMHAVDTTSLYVRGRASLEVLTRHLPRETVADDYALRAWLEVSFYHATRQHEQIRVGAIFAILDLRKGWSSPSETLSREVLYTVQVGADGVPHTPRPGDSVVGLGPSAHELGPCSEAEHMSLGKLGVQQPGGSISGNRFPSNNLPASMSQIPHGHSGISNRGGMNVGGNPVFSSSMNAIGGSGQGLSSSLNVGNRNSAPGLAASSVLGNLGPRITNSVGNIVGGSSIGRSISSAGLSMPGIASRINSSGNSGSGALNIPGSNRMSSMHQASPQFMNILGSSYPTPGGTLSQNQVQAGNTSFGSSGMLHDGNPGDNSPFDINDFPQLTGRPNSAGSGQGQYGSLRKQGVSVNAIVQQNQEFSIQNEDFPALPGYKGSSSDYGMDLHHKDHLHENANIMQGQHYPMGRSSGFNLGGSYPPRQQHQQSTTPVQNSLDNIGIRPINSPNPSSNSGSYEQLIQQYHQPQTQNSLRSQTSSGPQSYKDQSLKSVQGTQTAPDPYSLLALLNLIRSKEPGPTSLALGLDLTTLGLNLNSQDSLYKTFGSPWSNEPAKGEPDFQIPACYSAEQPPALQPFNFPKFQIMTLFYIFYSMPKDAAQLYAANELTTLFSNMTL >cds.KYUSt_chr2.47761 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298738940:298744260:1 gene:KYUSg_chr2.47761 transcript:KYUSt_chr2.47761 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFHCSLRPSMCSGRRRPSSISISPTRLSHKIMSTAKPYFKELQQQFALRTGAVNSISKRLLGAFVESTFTLSHQPLRPTEGNFAPVKEIGEIQEILDIAGEIPADFPEGVYIRNGKPSILPSSSLKYQGHGAESDILHRLSNAGSNPLFGALHSSKSIFGKSHDIWVEGEGMLHGLYFTKSSHNTWTISYTNRYVQSDTYRLEKELKKPCFIPSTDGDLPAVLIAAALNILMYGKAFKNISNTSVFEHAGRVFSAAENDNPHEIDLYSLGTLGSWNVEGEWKMPFTAHPKVIPGTGELVIFGFNLDRPFLTVGIVSADGKKLKRKVDIELDRCTYCHELGVTATLIEFEKDSYARIGVMPHYGDASSIIWFYVQPFCTIHLVNCFEEDDEV >cds.KYUSt_chr2.41576 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258602556:258604422:1 gene:KYUSg_chr2.41576 transcript:KYUSt_chr2.41576 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVRLLLLCLLGFCVAVSHQKTDSCAGDLAVARLIPFDTSAFHCITLWKQEDFILRYKNTATNQWSFVLSAPDTRSWVSVGFSGKGAMVGSSAMVGWSSSSSGGKAVAKEYYLQGRSTESVTADDGKLTLVRNKTATVSSSGRLYLAFELSTDHPQPFLIYAVGNQGSLPSSPDFTLQEHRDMGSRGFNYASATLSSGGGGSSDAGFPAERWHGLLSMMGWGVLLPIGIMVARYFRRQDPYWFYGHMAVQGVGFLVGIAAVIIGFRLDDDGLKNIDVHKAIGIAILVMCSLQVTAVLARPDKMSKVRRFWNWYHHNIGRIAILLAIANVFLGLTIAKEVSAYIVSYGVFVAVWVVAVAAFEFKRFYEDDD >cds.KYUSt_chr3.29002 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181335777:181339098:1 gene:KYUSg_chr3.29002 transcript:KYUSt_chr3.29002 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVEANAAAEAPEGKNWKRKGKHDKEKPWDEDPTIDRWTVEKFDPSWNEGGLLEVSSFSTLFPEYREKYLQDAWPIVKGALKEFGVSCELNLVEGSMTVSTTRKTRDPYIILKARDLIKLLSRSVPAPQAIKVLNDEMNCDIIKIGSIIRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGTFKGLKQVRKVVEDCIKNIKHPVYHIKELLIKRELAKNPALATESWDRFLPNFKKKNVKQKKPNTKEKKQYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKKWQDKLDKQSEKSEEKKRKREAAFVPPKENTQSTKTTDDNNGIADIAKSLKVLPLNRTKLQAFSGVPVLFCSLTAVM >cds.KYUSt_chr6.22454 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141882962:141885391:-1 gene:KYUSg_chr6.22454 transcript:KYUSt_chr6.22454 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDMEAAAWAWRGWMTWRTAVALLLAWVVLHVAARVADALWWRPRRLEAHFAAQGVRGPPYRFLLGSVKEMVGLMVEASSKPMSPPTSHNALPRVLAFYHYWRKIYGPTFLIWFGPTPRLTVAEPELIREIFLTRADAFDRYEAHPVVRQLEGDGLVSLHGEKWALHRRALADAFYPDNLNRLVPHVGKSVAALADKWRAMAVAGGSGEVEVDVAEWFQEVTEEAITRVTFGSSYNDGRAVFGLQNQLMAFAAEAFRKVLVPGYRFMPTKKNWLSWKLDRDIRRSLTSLIVRRTDEAVAVEAEGEEADSGSFRDLLGLMINAGERTTARVPVAKAIPVHDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQERARREVLDVCGADEPSKEHLPKLKTLGMIINETLRLYPPAVATIRRAKVDVQLSDGCMIPRDMELLIPIMAIHHDTRYWGQDATQFNPARFANGAAKAAKHPLAYIPFGLGSRMCIGQNLARLEAKLTMAILLQRFEIRTSPNYVHAPTVLMLLYPQYGAPLIFRPLTSQSLNPVSS >cds.KYUSt_chr4.53005 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328570172:328571020:1 gene:KYUSg_chr4.53005 transcript:KYUSt_chr4.53005 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWVRARSLSCKSRAVADEVCSPPPLPKKHPPPPVKGAVELLPAKESRRSRSSEHDRPARKKKTTSNYGKKKPRQPAQLAASPAHPSSPFLAMTELPEGHSSRRIVELIFASGWGPHAPEVEVEALFRVHSTARAVSRFEDARAAARARGAAADDARCAADGNEVMRFQCRPADGEDSVVCAAVATTKLSAVRTFDGSGAADASATGGSGGRRGMLVCRVIAGRVRRAVDPPCRAGEYQSVDAGGGELVVLDRRAVLPCFLVVYRVKPALDGSPSCCRSR >cds.KYUSt_chr3.31802 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199817819:199822857:1 gene:KYUSg_chr3.31802 transcript:KYUSt_chr3.31802 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEETTQLEKRPRVDVDALGHSLAQAATVAKVLDDDNLLREIIVRVGFPTTLVRAALVCKRWLGLASDPKFLSHFRKLHPPRLLGYYITERSVLNGQLHAPRFVPMLPQPPELTAVIRRLERYNFRAHMILHCRNGSIFTKRRSGAKRTHGVHRPLCAEKVIQIIRPLPPALDHNYYKFIAVFSKEEGSGLSYLYMLAGKSTAQVYMLQDGAWRMHTSASCLHGEHFGSNALLVDNKIYVPTASGDNIIVWDLTTSSFSTIQLPPGVKYRNFLSMFSRADDASSVYLIHVEKLQLAVWLHKGDNWFLVDTICLREMLDTLGKAGHILENERAYNVLITQVGDNGQFVFLQMCHCIFYLDIKCRTMCKVYKNTRLDTWASSIHPFMMIWPPTFPAIKDDPAREKEEAAPWRIKAGEKEVEAPQRMEGHTMLHNDYFADNATHANNFRCRYRMSKGLFVNILHGIREFDPYFKLKHDAACVIMHNMIIEDDRKNQARSHVGPYECQCPLAEVDHELPADFGDFLAMHAEIRDNNVHDQLKADLVQHLWMIKENATH >cds.KYUSt_chr5.39371 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249158713:249160779:-1 gene:KYUSg_chr5.39371 transcript:KYUSt_chr5.39371 gene_biotype:protein_coding transcript_biotype:protein_coding MDEARSTALSIGLIDESHRRKTPRALELTSTRDLDLELGWRGLSAGRSERQGEDLQYMAGCWWGAPDSLTCFLQGRELQYLCPAIIFRPLDSNLTIQVVCEASTLLPVGVRAYMASGVWNSNFEAAC >cds.KYUSt_contig_990.448 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000093.1:2254359:2263131:-1 gene:KYUSg_contig_990.448 transcript:KYUSt_contig_990.448 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVDTAAAAGKGKEKVEESTAAAAAAGGENGRFVAYPARMVEHKAVVEDAALFTVALEKLHAHMGTKLKTLSCIEVGAERQTTQKRKRGSNAPSDPALSSDAVDVDVVIDGKFEHGYIVTVIMGSNSTKAVLYNCTEEPALPTLAPTIPINNNDLKGRLRRRRRRKKLSTTDPKHPKPNRSGYNFFFQDQHRKLKPEYPGQDRLISKMIGERWNSLSTEDKAVYQERGVQDKERYQTQLAAYREEMRTGQPISNSVPIISNVVPIQQRFPQTEVTIDEVDSKVSKGDMLLSNQGCNSSDKSNDSGGRLVEDDALHMETSPELGMETTDSPGQLDPSAHGARFELRRRENPKENEKLNTPPN >cds.KYUSt_chr3.22667 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140059198:140061220:-1 gene:KYUSg_chr3.22667 transcript:KYUSt_chr3.22667 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAMSSSLPLPLGILPGGIVVVPSGADEERADPRHHPDNYTRWNSYFLRRWEREAAAYDGPPPPPARNNAAGRRRWWGAPGRTLEAVIEHIEAATPRCSRCPLYRHRGHRRAAVGEACGGHGAWLPARRLRIGVKVILGAGEEEEATSPSTPVRRQEGAGVSAGDREAQRRRPRHQRTTFRAASGRKKTKKEAAASRLAEEEAKRAEEAAMAEAIAGSLADMEEEKRADDAAPGRAERGPAAPGGGATAAGCWTRPPHANSPPAPLQPPTTMSRGAAVCDTSIRRRCPRRRPRVLRRRRVQAIPGWGDAGRATASRAAQPKVPKVEDDGSDDGGDDYTVFYRRMGM >cds.KYUSt_chr7.22448 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139039250:139043089:1 gene:KYUSg_chr7.22448 transcript:KYUSt_chr7.22448 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPPREDPSSEVVYMDHLDFPPNQHVINYSIPRVCFVTSSDFKFVVQNDVDRKILNNKTVFGRRPFLELSNTPYGVAAFSNRHHVEEPVEQPVQESEVNPSASLNEWLVFPTSQDLERMAALLIDIDAAMKEGDGPSVHFPTGGGVEDENMDGADGHDDEGTANHADEEIPAADSEETDNDDFVFEARDADMDPRTLVVDMPQSAVLLDSSTGGDVAGEQVAVDSPVRSPMNSPFARIPEGISVEAWNRAPDPPSMDLFSQDPDEVVVPEQQITIPAEEITPPADVASVVKLDDTSYEQPKTMEATTPPIPSTNAEDHLGENVSPQHPTNTDVVTKDASTGAKTVEKKTRYKRAAKGELSPPKLKKIKVSQDVVPSLIDNRDNQSDDVDLIMNEDLQQ >cds.KYUSt_chr7.16135 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99991362:99993107:1 gene:KYUSg_chr7.16135 transcript:KYUSt_chr7.16135 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHANAAAAPTAGGGEGTQRTLNPYVTGNSVIAMKYKDGVIMACDTGASYGSTLRYKSVERIKAVGKHSLIGASGEFSDFQEILRYLDELTLSDHMWDDGNSLGPKEIHAYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGDEKYLGMVNMIGTHFEENHIATGFGNHMAIPILRGEWREDLTFEEAVKLIEKCLLVLLYRDRSSINKFQIAKITTEGSTIYLPYALKTNWGFAAFENPSQGAVGTW >cds.KYUSt_chr3.43517 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274619794:274621211:1 gene:KYUSg_chr3.43517 transcript:KYUSt_chr3.43517 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRTADALRRVAIGAALMISLSEFDLGERMRGRKEGLLDSLGTAREIQDLEFNSAPSKASHGLQSDDFSEKLELDLQNAQQNRMKPLIEAAIVDLCSSINSSTVFPKKMLIADLGCSSGPNALALVLIAVEAIHNHCVQFQQPLPEVCVLLNDLPENDFNAVVKSLVTLRQSNEPVVVTGVTPGSFY >cds.KYUSt_chr2.31239 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192786547:192787082:1 gene:KYUSg_chr2.31239 transcript:KYUSt_chr2.31239 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGYTGEGGDSIMSWPRSTSPTSSEVQLARQADDPWYIAYQDESTQWCSQRMDLEEKVANLGDELARKNLMIFELKRIVDEEKKNSELIRKEKLRVETDLAVFDQVVENLEHELKVMGEEKSRFICAVLLGVIPVLAVMWFW >cds.KYUSt_chr4.49838 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308721526:308724669:-1 gene:KYUSg_chr4.49838 transcript:KYUSt_chr4.49838 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGQPPWLELAAELPMPVSSMTDSVHMVSCARELILVHRRLPRRLSWNNKFKRSFEVYRVELDAKTLRRVNNFGGSGHALFIGMSCCLWCLSWFSLRTPLEGHLDEQFRQVEDLQDEASPNFVEEVAVLFFKDSGRLISNLEQALMKSECMSFKDNCGKGNSMLKQGDPEKIMDGTDFYPDDDEDLSRKHKFKTWRGKIDDTGPGLYGEA >cds.KYUSt_scaffold_869.919 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:5806703:5818084:1 gene:KYUSg_scaffold_869.919 transcript:KYUSt_scaffold_869.919 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSHTMVVVLPLGVGIKTLAGQADRASSDLGVELKTSMLRTKSARGSTSAVVVVLDTNEVYIVVSLSTAGDTQVICVDPTTGALHYRGKRGEDLFDSEAAALNHITNGSRFLSKSTTYAKAVLGYAVLGSYALLLVATQLSATVPGLPGGGCIYTVVESQWIKIQLQNPQAQGNGELKNVKELADLDIDGKYYFCETRDVTRPFPSRMTLREPDEEFVWNGWLSRPFKDIGLPGHCVILLQGFAECRSFGGTGQQGGLVALLARRSRLHPGTRYLARGLNACSGTGNEVECEQLVWAPHKGGQIIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPYNGTLQYYQRLGRRYGNKSSDEVSATRQKKPGMVPIVCVNLLRYAEGKPETILVEHFKESLKHLKSTGKLGNTWIQLINYDWHATVKSKGQQQTVEGLWRHLKAPTMAIGFSEGNYYSVKQQLKECKGSIIYNDDGGFCMESIQNGVVRFNCADSLDRTNAASYFGALQVFVEQCSRLGISLDIDAMFGLSASRYSEHNGRSARSLPPGWEERFDSVTGKSFYIDHNTRSTSWEHPCQEAPQKPWKRFDMTFEQFKSSTMLTPVNHLAEIFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFGKFSAAQNVKITLQRRFHNYMNDSSRQKQFEMFLGLRLYKHLPSIPIFPLKVLSRPSGCMLKPVPSITPMADGGSSLLSFKKKNLTWVCQQGADYVELFIYLGEPCQVSQLLLTVSHGVEDSSYPATVDVRVGSSIDALKLVLEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPDVQESTYLPLLYDFEELEGEVNFLNRVVALSFHPSAMSRTPITLGEMSNLLKQIEVLGVSLPWEDMLTNSKYIAQILELTHEKTYDLGLKDVANSSSPKSDVRGSERTCTGSSLSVQPGGSGNFVDFLTGDIVISNQSKVTANTSFGYEEGANFFDDEFDVNPFASTSQEPVAEVNSQVEDRGSTQFYLEYLELLSGNNKGKSLNFEQMMKLEIKRLYLDLSAAERDRALLSIGVIPATVDPNRSVDYSYLLKLSTFADRLALLGHAVFEDRANASIGLEKVSGHAIDFWNISENGESCSGGACEVCTVSSLKASATSGNASLFVECSQCERTACKACCAGKGAFLLLSNTYRDLKMYGGSQGGGYSALADSSVCKSCCSEVETAGDSAPLFSLLAPLGSGAYRSYWKAPQGNTTVELPVVLSGLSDVSGVAIIVSSCGYSSSDCPIIPVEAERLTDNDLVLEQYLLPNSPGIAGFRLDSFSVIRPRVTHSPLPSESDMREFSLTRMEDRHVNLAILHIQVTVVQESGKLVVEEYRLPEVKANTPLYFDFLDLQQDCRCVIFRLLGDVTAFVDDIADIDGLNLRNLPLASGLSLSNKVKLYYYADTYEMGKIGSLSAV >cds.KYUSt_contig_2087.253 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:1523864:1524971:-1 gene:KYUSg_contig_2087.253 transcript:KYUSt_contig_2087.253 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARFLSHAFPHDLTAFKPMEDPSSSHLFQDCAPAVPGIGNNTTVLSDLPRSELTCNDNYGFVPRKRPRMTTEEPAAGLAELARQRLLLLQQQQQAAAMHGLLLPCDAQSRAVDSGAASTSGRMANAAAGVSQGLSALLYNQGVETDALIRLESERMRAGLEEARRRHATAVLAAVERAASGRLQAVEADLERARYRNAELEERLRQMTAEGQAWLGVARSHEAVAAGLRATLDQLLQPACAGAVEADAEDAQSCCFETAGDNHADDTACKAVAAAAPSCKACGQGEACVLLLPCRHLSVCGACEPAVDTCPVCAATKNASLHVLLS >cds.KYUSt_chr6.2612 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15243487:15249986:1 gene:KYUSg_chr6.2612 transcript:KYUSt_chr6.2612 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKPAIRPRSKRRLELSCPDEAVAPPPERRRTRRTNGTVRPREREAPAPASRVARSPSPPYGKRDSEDAAAAPPPAHRAAAEAPDPPPKRDTAYVRTAYVLRNRHVPDTSGREPYIPARHEKRQAAVVFVPEGVEMTGLHGKRATRGSRRSTPLGRSMTEAAPPPARRTKRRLLCGERDPEDVAPAPPPPKRSRSLPAYSDQGPTDVSVAPPPAPRAKAPAPPPKRDESSIRAGWPPTTAYVLRSRRVPARYEERTKAKQDELWEAPACARVALEQYNSMNQGDEHELVKAVDVHSFVCCGMWLHANFLARRKGAKNCVDLVPKYFFAELNLDGFGLLCASCVKIDSVESKNLGGCGECPGKIRHPADGTYLGAQACREPAAGGGLEVAFSF >cds.KYUSt_chr3.34601 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217026994:217027380:-1 gene:KYUSg_chr3.34601 transcript:KYUSt_chr3.34601 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPPESGLEEEAGASPGSGHGNAAEEAILKPVKPEAGAEDGVHIHIKVTSQTAPEVFFRAKRDMKMQRIIDMYCGKYSLNPKAVVFMNEERFIRGAQTVEEAGLEDGSTIDVNMAQLGGSGRASV >cds.KYUSt_chr2.26544 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162624444:162628376:1 gene:KYUSg_chr2.26544 transcript:KYUSt_chr2.26544 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLPELPLDILMDIFTLLEIPDLVRAGSVCPSWCSAYTSLCNLGQYKQSQTPCLLYTCETDSESDARLYNLVEKRSYKLTLPEPPIRSRYLIGSSNGWLVTADDRSEMHLLNPITMEQIALPSVITLQSVAPILDETGAVYKYNFWTRATHPPRTFALDELRRYLHRKAFVFYDTSAERYIVVLIHNPRGQLSFAWIGDNKWTWLPPDRYFHDCVYKDDLLYAVAGQGEIFAFNLRGPIVTEKLIVDVAKDYIWENIYIVQAPCGDLLQVWRLEAENGYGGDVDNATYENHTGKIDIFKVDTTAEKLVKINSLVDHVLVLGLNQSLCLSTEEYPQLKANRVYFTDDHQLYLFGHKNNRRDIGLFDLKNNRRARDAQNAPERAWTRSGRASTRPRPDAADLPSLSPFTHSLATTPGSSQTRSLSLPCPVADAIVDDLRGGTAETLTSPARSCPPLLRHDAHKDRLMTHHHPLSLPCPFAAQRASDMDNPSMPRSTSSTL >cds.KYUSt_chr2.1263 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7476461:7478979:-1 gene:KYUSg_chr2.1263 transcript:KYUSt_chr2.1263 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHTVQPSANKLKSVGAMSMKAELMERHSRLSSHEITTVAGRPAVSTLAEKAAVSSATSNAVTPAGPPPPPPPALPGKALPPPPPPPALPGKAPPPPPPAPGAPAPPPPPGAAAAAAAPPPPPPPPGAAAAPPPPKPSGPPAPGPPPPPGPRAGAGAGPGPPPPPLKKGGPPGGGPPPPALPGGPKKGGPPPLKKPGAAAPVADSSKTKLKPFFWDKVAASPDQAMVWDQLKAGSFQFNEEMIETLFGCNAVDKKSSDGKKEPAKEATQFVRILEPKKAQNLAISLKALSVSAADVRTAVTEGHELPSDLIQTLIRWIPTSDEELRLRLYNGEMSQLGQAEQFLKTIIEIPYIFQRLEVLLFMASLPEEAGSVKQSFETLEVACQELRHSRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGVDGKTTLLHFVVQEIIRSEGVRAVRAAKEQNSSISSVNSTDDLTEDVGEDTEHYKQLGLAVVSNLGEDLQNVRKAALLDADAMTIMVASLGHRLVKANEFLNTSMKSLEEESGFQRKLVQFIEQSQVQVTHLLEEEKKLRSLVRTTVDYFHGSTGKDEGLRLFVIVRDFLVILDRVCKEVKEAAAKLAAANKKEAAAAKAAAAPATRGRQPSQTSMSFRDPRQHLKPAIQGRRGKAHSSSSSSDSDD >cds.KYUSt_chr3.49132 pep primary_assembly:MPB_Lper_Kyuss_1697:3:306927297:306932092:1 gene:KYUSg_chr3.49132 transcript:KYUSt_chr3.49132 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGHAEKHRVAVIGSGNWGSVASRLIASNTAKLPAFHDEVRMWVFEETLPTGEKLSESINQAHENCKYLPGIKLGTNVIADPDLESAVKDADMLVFVTPHQFVEGICKKLVGKLRPGAEAISLIKGMEVKMEGPCMISKLITDTLGINCCVLMGANIANEIAVEKFSEATIGYREDKHAANRWAKLFSTSYFLVAVVEDIEGVELCGTLKNVVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLFPSVRDNTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDELEAEMLHGQKLQVEIITEIQNVNEGIGPTKLFDERSSVNWFTNAVIPGNIDPVQDLLEIMSGLIWLFIAKDFSSLYLVAEKKLHDNLVP >cds.KYUSt_contig_402.379 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2362209:2364206:-1 gene:KYUSg_contig_402.379 transcript:KYUSt_contig_402.379 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQHTTPDDSDKAAEILKVEVMILDEKYLGLPIPEGHIKEGKLKSSKEKLSKKCSAWNEKYMSAAAKEALIKSVAQSISNHAMSVFKFPAGLCDDMSNIIRDFWWGDEEDKRKVHWMSWDKMAKPKSQGGMGFKDLRMFNQALLARQAWRLINAPNSLCARLLKSKYYPSGELSDTAFGQNVSPCWQGISHGLELLKMGIVWRIGSGSKVRIWRDNWLPRGNHEPIGKASKQRVKWVSDLIDTSTRTWDEPLVRSLFHPPDADEVLRIPLSSSVDEDTIVWAHEPNGIFSVRSAYRLGMQVANDGNQKASSSNHMGDRPLWKLIWNTNVPPKLKVFAWKLASNALGVQDLRCKRNMELKPTCTICGREPETAHHAVITCTKPTALRQQLRKPWSLSNEDLFKYTGENWVLVLLDQCNAELRAKLIFLWWRSWHLRNNAIFGDGKCGIQQSANFIQSYLSTFVQANSQEAATDAKGKKCLLPPNAETSKKRECTQAWTKPNPGWLKMNVDAGFCAQNNTGSWGAILRDADGNVILSAWGFLQHCLDATTAECIAMLEGAKAIVAYTPSSVIVEGDNALVINELKLEGPGKSMLSNIFAETKNTLQMLPGFEIRKINRSANVAAHSIAKFASLVGSGGLLLGSVPPCVLDQTQIDCKHIDMSNDMS >cds.KYUSt_chr7.28130 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175721245:175722952:-1 gene:KYUSg_chr7.28130 transcript:KYUSt_chr7.28130 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSYFQSAPLSHEPLRHKEFLFHGLYMQQRLEGSPSQNMNTTVNPNLPLQFGNIVANDWTIYDGLGTGAKLVARAQGSHMGTSETEGTWFICFNIVFVDERFKGSSLKVLGHFEDPAHGEWAILGGTGEFVNAQGVVSFKKVMEMDNGKTTVTELEIRAACLNFSPSLSVPVKMGPWGGKGGFAQDMIVKKSVRLESVTINSGSLVYSLAFSYVDNQGKRHTEGPWGGTGGKIQTIELGPTEFLKEVSGTIDTVVPAQLTISSLVLVTNVRTYGPFGPVRGQRFNLTVPENTCVVGFYAKSGGALDSIGVYSGPIQNS >cds.KYUSt_chr4.16853 pep primary_assembly:MPB_Lper_Kyuss_1697:4:104886956:104887780:-1 gene:KYUSg_chr4.16853 transcript:KYUSt_chr4.16853 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFNYTTAEDFKDIRFGACLLDIVEELKLPVPLIKGSLLKRFNKEGCWGVKAVQHGRDTEPKTDDIEINLVSDSMEKGLSIVMQELIGRLCGRHSTELKGHYSFTFGRRDEKGVPIELSEAGRKVVKRQRLHFQDLENHINTLEEESCFELLRNDELCGQLKEKDKKIQELEEVIKAQEEEFQAQDKKFQNQEKRVQKKNEMLRKQKQEIDSNEVEFEADHNLIEKLRAEKRDLQEKNEELAKELKEYKAGFLEAGLTFEIVEEEVDVDEEAK >cds.KYUSt_chr7.1049 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5685917:5687822:-1 gene:KYUSg_chr7.1049 transcript:KYUSt_chr7.1049 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHPLSDEFGGGGMMLMSPERTPPSSPPSPASCCSAVDGQDDFLEHEVSRMDTLAGIAIKYGVQISDIKRSNGLVSDSQMYARKALLIPLPGRPMPSSVRFNGSTETSKRAWAQNNQQNRDLDSLDSSKSSQQRSSLAMSSLQSYYGLSSQRSDDMNCSTEMSLYRKGSSLDETLLNPFSPPDRMQSTGRSRNSEDTTNGAGVMQSSGATKEKQDGSIRRRQKVEVNTQDDVLSNSIKMIKSFLPRPISSIRLNMMDTSSSRHPAVKTSGSFLDGFKSSVRKSPSAPSFVDTEHNSGVSMWSSSKWTFNHESFTRPLLDGLPKPAPARRTKAALD >cds.KYUSt_chr1.32363 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196450163:196451488:1 gene:KYUSg_chr1.32363 transcript:KYUSt_chr1.32363 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQHVRELWEMDEIVEEIMFRLPPDEPGNLLRASLVCKPWCRLLSGQGFRRRYSEFHQTPPMLGFLCSGPTFAEFVPTTDFCPRDPDPLHCYSVYDCRHGRVLLQFMDDGDALYFLISSGIPIILEYDLGKSRLSEIHLVSGSEIICPISPILMVAQDGQLGLTDLYNFNLYVWWREVGPDEVAVWSKSRVIDLKALLTIGDPEVATDLVGSVEGTGIIFAIIDLVIYMIDLKALTSKELSTELYQDGLLWPLFPYFSFYSPQGVVAEASIETGHGNEEA >cds.KYUSt_chr1.29405 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177942476:177943235:-1 gene:KYUSg_chr1.29405 transcript:KYUSt_chr1.29405 gene_biotype:protein_coding transcript_biotype:protein_coding MGGADCNSTDFGAAAYWDARYSSPSTGGKGRGGFFDWYQAYPALRPILRACVPTSSRVLMLGCGNSLLSEDMVKDGYEDIANMDISSVVIEQMKEKHMDIPQLTCTVFPTTPFS >cds.KYUSt_chr2.24155 pep primary_assembly:MPB_Lper_Kyuss_1697:2:147340711:147347191:-1 gene:KYUSg_chr2.24155 transcript:KYUSt_chr2.24155 gene_biotype:protein_coding transcript_biotype:protein_coding MVARNNKYTKYLQFPRIRKFLDEVTLMSVAAFARVRAVAFSRVQVVVCELVWAAAFSRVQAAVFAWVWTENTRGIEEDVMDEASASDPAVFVHPRRKRILDDAPFEFFSKEAIKSVKRDFSKFCRSSNVWKEKPNVSNKNTLHCGTHFDAISEEQKQALHEEFGFKLSGKTTDGIIDIAQSLNSSQLGNNNLLQRVAHSVLLFLSNSRPWYISSNTVPTDTPVAFVSNAFAKNRDITGSKKAPITIGDTTPKATLIEDFPEVTITKSFSFKYHQKKLAVDVDSVYDRTVHQKSSTFCKSKEMPGATFKAQPEVVDLENVDSVIKEQKSADSRFIILQPKNTLVFPLAHNPRFPVSDDDIMHFSAIVELAYTNRIQNYISLGQTLMPDGHIDNFIIPCFCRKLFEDNHPSKSGRHYFFSYIGESILQLSNQMHESLVRTSFLGAASASKGKRLDFSDRIDNFIILWNKIFYTDVPNFKSFTVMTPNMPRQKNAHDCGVFQMKSLEVFDPTKSLLKEFSSNDINHLRIQYANRLFFHSGNKADQSLVTNFYVKVQS >cds.KYUSt_chr2.44584 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277392732:277397443:-1 gene:KYUSg_chr2.44584 transcript:KYUSt_chr2.44584 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDEMAQWDKADGGEVANGGAGRLEKILVSVRLRPLSEKEIARGDLSEWECINDTTVIARSAFPDRPSAPTAYSFDRVFHSDCDTKEVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITECTVSDIYDYIGKHEERAFVLKFSALEIYNEVVRDLLSSENTSLRLWDDAEKGTYVENLKEVILRDWNHLKELISVCEAQRRTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLAASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSYMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKHLQRELARLESELRSPAASYSSLEALVKEKDSHIRKMEKEIKELKAQRDLAQSRLQDLLQVVGDNHVSKRPLASGRNFTFDVPQPCEDQKSTSESSEVVDTVQNFRFQGRRAAQRELKPQQSENDGLYAPPSSYSVSSPPFSGMPPTSIRDDVSHVSNEDSDDVCKEVRCIETNETERKDGLDSSAIGSDSLQDSHVGTSMHGNDDSGHHDVSPVTLEQHLETVRKPFANLVQDLGSSTRNPSSSKGIGRSKSCRSLMGSTMFQDLEKDDCTPPSRRFMDFPGRPEGCQTRGSALNFDAESETLSRAGSMLSEITTTRGAPKANGSVAGDTEFTGIGEFVAELKEMAQYQKQLGGQYANGEVAEGTIRSVGMDPIMDGLQSPSRWPLEFEKKQQEIIDLWHACYASLVHRTYFFLLFKGDPADSIYMEVEIRRLSFLKDTYSNGGMENKVVAGSLSTSLVSSAKKLQREREMLCRQMQKRLSLVERESMYSKWGVSLSTKRRRLQVARRLWTETKNLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQSTRRSSNSWRYGRSSLD >cds.KYUSt_chr1.42054 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257694477:257698662:-1 gene:KYUSg_chr1.42054 transcript:KYUSt_chr1.42054 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEIFVVSKGDNAQGSCYHYALLDDCTKPLVSELSKIRALRFMKCDKITVHDAAFSSANSLRVLDLSECIIHKLPDSIGVLKQLRVRRGDGHCSSSLVLLQHIDPVVLKLTKLENVKSVEEVHRINLAGKTQLEDLTLEWTQDAERFVDHKILMENLVPPITLKKLEICGYSGMSFPAWLMGQLPNLKHLVFRGMANLEEWNTSYSNCGEHVIGQLEIHDCPLLRMKPLPPKAWDWVISNSDNVLSSWEECTRPHADATSSVSPVITTELSVKNCKVPMHQWRLLQHFPGLTSLSIKGSVDLTGSPDVIQHLSSLETLTLQDEDLEELPKWLNENKGQLTKLTLIDCNSMASLPHWLGELTSLKKLRLWGCAVLSYLPKSIQQLTSLHTLDITRGSVDLTGSLEVIRHLSSLKTLKLRDQDLEELPKWLNKNKGQLTKLELINCNSMTSMHWYRSNHVRRLPPDVDLPIVLSELPSWAQSELINNPDVRRQEEMDKVIWFHSRKKTFVKLHLVLENVALEAEHHLFRCSSVSG >cds.KYUSt_chr4.6041 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35430677:35433011:-1 gene:KYUSg_chr4.6041 transcript:KYUSt_chr4.6041 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFSQWFVNPRRNPLARIHMLTISSRLKNYGLRYDDLYDPYFDLDIKEALARLPQEVVDARIQRLKRAMDLSMKHQYLPDDLQALQTPFKSYLSDMLALVKKEAAERQALGALPIYERTLP >cds.KYUSt_chr1.27678 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166779590:166782920:1 gene:KYUSg_chr1.27678 transcript:KYUSt_chr1.27678 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFVFVVGDVVMLMTGVTDGVMVVFNFVLGDMVMLMTDMNGGVLVVFVFVFGGVVMPMTGVTGGVMVVFSDVVSNIVMLLTGVNGGVMMLFVDVVMPVTGVTGGVMVVMVMDMFAAGIETTYVALEWAMSELIKNPTAMRKLQDEVRKIEPSGGFAKADRLGATITPYLKAVVKETLRLHPPAPLLLPRECMQDATVLGNHVAKGTRVFVNAWAIHRDPDSWHAADKFLPERFLQSEVDFRGRHFQYIPFGAGRRICPGMQFALSTIELALANLVRTFDWELPDGMAPEELDMSDAPGLVTPRRVGLRLVARPFEWEQ >cds.KYUSt_chr3.28780 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179620810:179621124:-1 gene:KYUSg_chr3.28780 transcript:KYUSt_chr3.28780 gene_biotype:protein_coding transcript_biotype:protein_coding MKMASTRPATVAMALVVVAVMTMAAAVQRAEAGQNCICECVKLCMRTHIPAMEKQCQGKCRETSCIRSCEEACDKKGFPKIPSEGVQTCEIEPLSADEQKMLHK >cds.KYUSt_chr2.49005 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306705513:306706707:-1 gene:KYUSg_chr2.49005 transcript:KYUSt_chr2.49005 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGRWSRRGAFAVAGWQLRPLVLFLLSVMPQGALSRGLALSPQSPLELKRNITCKNVPHPFGARGSLPGFEVTCSQKNEAMLQVGNNSYKIQDVSLDGGFVVIFAGAIRQVCYDRSGKSTQTTGTGNFSLQGTPFSFSKNNRLVATGCNYKLVANFSDSVLGDNPRQTSCSSWCNGNSNAVNCLYGVACCEAPVPMDAPQEFTLTLDKTSGQVTGNENGNCSAAFFLHQDDLDFMDGTDSGQRPLKDLLLPSRDRRMILDWAIGGGTCDQAYNMGSLYCNNMSGCIDAPRGAGYLCKCNAGYSGNPYIENGCAAQADEETEI >cds.KYUSt_chr7.10539 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64693853:64696033:1 gene:KYUSg_chr7.10539 transcript:KYUSt_chr7.10539 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNGENSYAVNSRLQEKAILETRPVLRKAIEEVYTSLSTRRSTMVVADLGCSSGPNTLLVVSEVIGAICAYTQKAEKRARDVEVQFFLNDLPGNDFNLVFRSIEHFENPGGKEAPPHYVAGLPGSYYAKLFPRQSVHLFHSSYSLMWRSKVPEEISSCTHLNEGNIYIGKTTPPIVIKLFQEQFQNDFELFLKLRSSELVSGGRMLLTILGRKSEEMLMHGDVSTLFELVAKSLQSLVQKGRVEKEKLDSFNLPYYAPSMKEVKALINKNGLFDVEHFKLFESNWDPQDDSEGDVVLDCASSGANVANCIRAVLEPLIVDHFGKDIIEELFMVYASTVAKHLAKAKAKYHIIMVSLKKAMR >cds.KYUSt_chr4.52463 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325404142:325409107:-1 gene:KYUSg_chr4.52463 transcript:KYUSt_chr4.52463 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDALQALEDGDPVGSGSLESMVDKQQLSPVSVMDFPFHDEDDGDERSDAGTCSPSFLQRQHDLERTSSSSAHEPLPLPLHKIRRLDGLAQAAVDPVDLEPRFIASDDSRPSSVSGDTQAQSDSSSASTDHDRSSATTSTTTLSTDEQHHRGADDEEGDPDHARSSETTSTTLSSTDHDSASATTSTTLSSDDQHRSADDGEREPDEHLHYRLLEGLLKDDDGTVATSDAAAERLLLDFLAEGLGRLRELKVGQVVGTVRPSSDHDEWALVRAAKEWLLGAGTRWGVEDVLFAGPAALADMDRERRWMCVGEEEREVSVAVGELLMDALVAELKLAIYQVLDHVHTDVTRPGDPEWIAVDAIVQSWLLDVLSVYLQATIHAASTSARSIWLAVESIFRNNGMTRAMILAKAFHLTKQLDRPLSVYITELKAISDELCDLGYPLSTHALLIQLVSGLHQRHETVGKLIQQEAETLTFAAAVDKLSMDEKMSGNVPTGTATATALLSYRPRSPAPTPTHSGQGSFGSPGSPSPNKGNQKRKRFSNHGGYNSGSTSQVPPQQTQQRPTWTGMVQAWQMPMRGVLGPRPVPLPQAHTAFAPA >cds.KYUSt_chr5.16062 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103521394:103523637:1 gene:KYUSg_chr5.16062 transcript:KYUSt_chr5.16062 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRADGGKDEQCSGSSAGTSGVGDCDLRRRRQGRRQVEMRLEESSFFFDISLEVSLLLHRPGRNLESVKLPARHPRPSTARDRSRNEARFVHRSLHAVGLGALDLGRQAVGVVDGDEFLQSSFRDCTDKYVCQVWEHRRDMGCVPADEEEGRSCLEHDGLGARHHWKWYECVCPCWPDGKVGVKLNDNTCIGILCSCAHTGIVKDGRRYFRNLIQLCDIIPRVEHCGCMVDMLRHSGLLQEAHQHQEHQLINDMPMQGNAVAWGVLLGDCKIHRGTEIHRGTEIQYTVANIVDPTSRKGKQGIILLIGALIEAREVSLVSSMRDNWDLAKALEKLAELITTKGDGGGGYAGGGAIVPHTNIGQKLELPANEIKLEGAANYLRWSRRALLILNSKGLDERVSGEAAEPADKASPEWKQWNAINSLIVAWLLNSLVPNIAASVEALTKASEVWDTLSNLYSGKGNIMLIAEIEDKVHDLQQGNKTVMAYVAEL >cds.KYUSt_chr4.20264 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127495995:127501784:1 gene:KYUSg_chr4.20264 transcript:KYUSt_chr4.20264 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSDTCLDVPVSIYSVQGSNSGTPVKRTVRRVRRSSFSKKGAMRNSTVSKLLDLHVNSGEQSEDTETCNSENHELLQKGGYFSRSTSPLFPASGCLSSSNPSKLLKMARREGSSFSCTPVSTSSYYRYRGRNPSTVGSWDATTAASLDEDGLRSQRCAIPYWSKRSKHKGSERSCSPSLSDTLRRKGSSLLCGSRTMHRRKRSSGSNKCGYLKKSSQGEPLLGDSCHFSYSSFDSASEGVSTIFGELDLEALSRLDGRRWSSCKSQDGIALPVSGADHAVSDQRSLSQKYRPRSYHEIVGQNFVVQSLSNAIIRERIAPAYLFHGPRGTGKTSVARILSAALSCIANGENKPCGTCVECTDFFAGNGINLIEVDASNRKGINRIRHLIENIPPSATSSQYKVFVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFITIDPENLPRAVISRCQKYMFSKIKDIDIVCRLRKIAVKENLDIELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEENLLDLLEIAMSSDTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTSGEGNGGRNITDAELERLQQALKILSDAEKQLRLSSERSTWFTAALLQLGSGRDSETHSRSSSKQSGKAASETMLDAVRESSASRSASHPLFTIRGSRNDHRTASGHSSPHGLASLSSRMRPSDNLIYGQCRSVDRVLLDSAQTRNSTEQGSIINGSSDSLVQIWRACIENCHSKTLRQLLCDHGKLASIKEYEGYLVAFISFEDSKIKSRAQRFVSSIRNSMETVLRCNVEVKVGLMSEFLAGQLKLENDLEERVESDVLSCSTNSDRLKGILNPPRSLGYSEEIDKKLEKYSNASAAGGVQPDITQGNTGMHRTRGQEVPTERSKTATVEEQRLESAWLQAAEKHTPGARPEKNQVVPQTGGGQYHRKSSMATVVPSRNIDKDLSNGLRALKISDSHGPQKDRNVGRENGFVISPSLLHSNNDLANCDNESV >cds.KYUSt_chr5.43112 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271950131:271952192:1 gene:KYUSg_chr5.43112 transcript:KYUSt_chr5.43112 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFSKHSIFFLLLVAIFLDVSSATSRLATEETDPMILRMMEGRFQRWKTEHGRTYATPAEERRRLRVYASNIRYIEATNQDAGAGLTYELGETAYTDLTSDEFMAMYTSPPSPLSSSDDDGATIITTRAGHVGAGSGGYQVYLNESDGAPASVDWRASGAVTEVKNQGQCGSCWAFSTVAVVEGIHQIKTGKLVSLSEQELVDCDTLDDGCDGGVSYHALQWITTNGGITAEDDYPYTAKDDICNTTKLSHHAASISGFQRVATRSEASLTNAVVMQPVAVSIEAGGANFQHYSNGVYNGPCGTQLNHGVTVVGYGQDEETGERYWIVKNSWGEKWGDRGYLRMKKDIADKPEGICGMAIRPSFPLI >cds.KYUSt_chr5.42854 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270072755:270076477:-1 gene:KYUSg_chr5.42854 transcript:KYUSt_chr5.42854 gene_biotype:protein_coding transcript_biotype:protein_coding MERSWRTGSGEHRSGASGRTGPRSRASKVRLDLGKPEVRSVWPDEDEGGRNRRRSMAAMVKKRRWCGSFKASWVDSLQREVEDVEALLVVVSDRRGMDQSSGAMARLQTELAPLSEFAVHETDTALEQTELAPLSEFAVQETDIALEQTELAPLSKFAMEALEQTDLVPTQPILDLVIQTRVFCSRAPGVRHHLVLGHHQVVGHHVSLLLLPLLIVCATTLLVDRCSKQAMEDQYMEDIAFGARFDDTDDVEKNENNDNLVLADYEGDDLPTIEWNKEDP >cds.KYUSt_chr7.22061 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136658286:136658546:1 gene:KYUSg_chr7.22061 transcript:KYUSt_chr7.22061 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEFSAPPEHLRAEPRIPAATQVLDLVVFPGCCAEFTDRLAQIHATSIVEKTPSYGDLGPGLRFLPPLQKQLDGTEAPVEDGLVE >cds.KYUSt_chr3.25514 pep primary_assembly:MPB_Lper_Kyuss_1697:3:158396510:158404026:1 gene:KYUSg_chr3.25514 transcript:KYUSt_chr3.25514 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARAGSRRLSSISALVPRKLLTPPAKADALPNLFVPGLGKQTTVGGLREAFLKSGQNAHVRKEYRKVLPSSIRPCASYSTQASENKPKQEKTDLTTTEDPFDAPTYNIPEKPVTFAEGASYSVVILAGLGVAAMAGYAVFKELIFEPKEYKIFGKALARVQSDSQVTAKIGYPVTGYGTESRNRAARQRIQNRVWTDEDGVEHVEVAFFIRGPHGAGKVFAEMFKDDSDRTWKFTFLLVESNDDDTASQGSAGGGAAASSAVALSMAATEADGEEMAMVAASEAEVVGSAETEENVQRILLAIDNFTRKVSEMLESGRAMFKDLAADFEDRLCTIHKERVEKWEEEIRELRARDAANEQTRAILHNAQLQLFHIRQ >cds.KYUSt_chr1.28988 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175409035:175411232:-1 gene:KYUSg_chr1.28988 transcript:KYUSt_chr1.28988 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMQLDDDLFFAELSKRISLLITDDDDADFAVAAAAAVQFPDAMHLPPGFSMSPHVPSMLAPPAYTLFHHAASYGSAGDAAGRTWQQPQQHQNQQQCGSKGTGVFIPRSTPGGGAHSKRKGRNWSGSKAHKARAQAEGAVAAGTPVKRR >cds.KYUSt_chr2.15716 pep primary_assembly:MPB_Lper_Kyuss_1697:2:98939201:98942568:1 gene:KYUSg_chr2.15716 transcript:KYUSt_chr2.15716 gene_biotype:protein_coding transcript_biotype:protein_coding MACAASPSPLPVATLPARRRSTTAAVPACHYYPPSSRLPRRSWLLSGNAPGARLRAKADPVEESLAAAPAPAPTAPSEPLPRPQTSTWNWKGYNIRYQYAGTAGPALVLIHGFGANSDHWRKNISVLAMENRVYAIDLIGYGYSDKPNPREFEESFYTFETWGEQLNTFCAEVVKTDAFFICNSIGGLVGLQAAVMQPQTCKGIVLLDISLRMLHISKQPWYGKPFIRSFQNLLRNTVVGKLFFNSVATPESVKNILSQCYHDTSAVTDELVQFILQPGLDPGAVDVFLEFICYSGGPLPEDLLPMVKCPVLVAWGEKDPWEPVELGRAYGSFDAVEDFIVLPNVGHCPQDEAPQLVNPLVESFVKLHS >cds.KYUSt_chr2.3331 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19876594:19883350:1 gene:KYUSg_chr2.3331 transcript:KYUSt_chr2.3331 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWPLLVLAAAYALCRVLLLLIPPTVPSMEVDASDVLEEVNQNKEDSFIYIPRKGKAAQTDKVRCYEPATMKYLGYFPALTPDEVREHVAQASKAQKIWSKSSFKQRRQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRACGRSMLHKRAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAVFSGNAAVIKVSEHASWSGCFYYRIIQAALLAVGAPDNLVHIITGFGETGQALVSSVDKIIFVGSPGVGRMIMQKASETLIPVTLELGGKDAFIVCEDVDLPNVVQVAVRAALQSSGQNCAGAERFYVHKDIYPTFVSRVVKIIKSISVGPPLSGRYDMGAICMIEHSERLQNLVNDALDKGAEIAGRGSFGNLGEDAVDQFFPPTVLVNVDHTMKIMQEEAFGPILPIMKFSSDEEVIQLANDSKYGLGCAVFSGDQKRAIKIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYVKTMIPKPIQYPVSENGFAFQQLLVETLYGISVWDRLRSLVNLLKMISEQKATATRKKKPINRD >cds.KYUSt_chr7.14819 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91622535:91625824:1 gene:KYUSg_chr7.14819 transcript:KYUSt_chr7.14819 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPMVSSQLVLSRLYAIHVAADDIHDLICKISFVFGSTRSISPPRTYHPVYKIVTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >cds.KYUSt_chr7.8480 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51366701:51368376:-1 gene:KYUSg_chr7.8480 transcript:KYUSt_chr7.8480 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPLPLRSKSLKKSRGHPSCSLSLLLLIAGVQFLVIGKPFPSLLMDSRSFFNLAEEEFVPEPHVSCEFNDTRSDFCELAGAIRVRGSTSEVFIVTPRRGTTAGDVVGANATWIAADATSWKMKPYTRKGESRIMNGITEFTLRLASASEAPACDVMHEDVPAVLYSNGGYCGNYYHDFNDNIIPLFITTRHLGREVQLLVTYKQAWWFGKYGEIVDGLTRHEVVDLDADARVHCYRSVTVGLRSHKDLSIDPRRAPNNVSMVDFKRFLMWRYGLPREHAIRTEEGDEERRKPRLLVVTRPSRRRFMNLEEIMAAAEDVGFEVTASDLMTPAKKPGDEIVKDDSGQARMAEASATVNAFDAMLAVHGSGLTNLVFLPMNAVVMQVVPLGRMEGLAMDEYGVPPRDMNMRYLQYNITAEESTLSELYPRSHPVFMDPGPIHKQSWSLVDEIYLGKQDVRLDIARFRPVLEKALKLLR >cds.KYUSt_contig_1158.157 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:987548:988408:-1 gene:KYUSg_contig_1158.157 transcript:KYUSt_contig_1158.157 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNGSRRTKTEQWLFSGRWRGTVKETRHAVASEDKPPNPAMVQKDEDICLEKSRVHLPGLGQREIIDIAPGRKSMPEMEINMKEVVAVLGVKVMAADMPPFMQLHAFRCAKRSHDSLDKFSSRQVAHDVKKEFDKVYGPTWHCIVGTSYGSFVTHSRGCFLYFSMDKIIVMLFKTKIRKVSAS >cds.KYUSt_chr2.52557 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327897375:327897935:1 gene:KYUSg_chr2.52557 transcript:KYUSt_chr2.52557 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSPAGNAADNASSAPPPPLAVDVIVGVLTGVLLALFLFLIYAKHCKHRGPGGARGARGRLQGLGFAASSTCDRCRSGLSLSVVDALPAVRFGDMGGAAAEAQPECAVCLGAFDAAADELLRVLPKCRHAFHADCVDTWLEAHSTCPVCRRRVGKEDAFAVIPEMDAGYPGGETEMQIVVHRPA >cds.KYUSt_chr4.8434 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50494612:50495880:1 gene:KYUSg_chr4.8434 transcript:KYUSt_chr4.8434 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHAAPPPSIPASSHAAPPPPPVPSSDADADCDATLPVDLLLEIAARSDVVSVVRCAATNKPLRRAILDRGFRRLLSHRAASTTGFDPALLRGVSYRLEDSEAARPVRVVQPIPSTEPSLVRFDEPLVFEPVASRDGLVVLRRLRPRPLFGQSLEEGPPGSVLRVVNSVTGETSVLPSVSIRDYRKHALISVEDRGRSFELLVADERLRTQIYSSRDGAWSPVRPIHLHRGSRPFHDSCPLVIGRTVHWLCNPEPLPPGRHLSGPEPYIVAMDVDTLQATVIDLPRGCTSRMTASMSHRGLLLAATVDGKLLVVVSETQVISMWTLSPPTEEDPSLPGTWRRQVLIDKQDWGVHSSVQFEGFGQRSGTAILYIGRVGLIRLNVATKEAHVVFYRTETAYVSQVCLHEINLPSLLQAMKPLS >cds.KYUSt_chr4.3111 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17798297:17799926:1 gene:KYUSg_chr4.3111 transcript:KYUSt_chr4.3111 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATGFAPAPTSAWPRSRRRRLRCRCCEDTLGVPRRRAPANGGNGPASRDQLHFPELRPLPYPAPPPRPRRIVLVRHGQSEGNVDESAYTRVPDPLIGLTPKGRRDAEDCGRRLHRLFSDGSDSDDWKVYFYVSPYRRTLETLRGIGLAFDARRIAGVREEPRLREQDFAWLTHVYICRRAGNFQDREKMRAEKEVRRRYGRFFYRFPDGESAADVYDRITGFRETLRADIDIGRFQPPSPPGSPAAPEMNLVLVSHGLTLRVFLMRWYKWTVRQFEGLANFDNGGALVMQTGEGGRYSLLGHHSADELREFGLTDEMIDDQLWQSTARPGELNYNFITNGPSFFTHFS >cds.KYUSt_chr3.26264 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163397911:163399378:-1 gene:KYUSg_chr3.26264 transcript:KYUSt_chr3.26264 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGYLIAASRSSRALAAAAISQASRVHQHTTISPLLSRLGPVARAFSSSPGAADVDPGVSVMEGQVSIEQGNCIKFFMATEFCEFSPEIEDHFEIFENIGGFNVTIVTSACSKEETSLLWSGFLLKDEGLAFQGAKNEKAGYFLGFSCCIISQLLVKFGFSSRL >cds.KYUSt_contig_319.1493 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:9918863:9921230:1 gene:KYUSg_contig_319.1493 transcript:KYUSt_contig_319.1493 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAFLVAAMVAVAVSAAGVGGDFAADQKECSDKLVSLATCLTFVQGQAPSPTPDCCAGLKTVLQTGRKCLCVLVKDRDDPGLGLKINVTRALGLPAACSAPANISDCPRLLNLPPNSKDAQVFEQFAKQQAAAQSSPSGGGGSSAPSTGAQKNAATRMRWLGVGGVGGAARAVPIFFFLVCTAIPLALPFLLLLG >cds.KYUSt_chr1.30703 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185891820:185896061:-1 gene:KYUSg_chr1.30703 transcript:KYUSt_chr1.30703 gene_biotype:protein_coding transcript_biotype:protein_coding MENANGVVDWNVDIIGPDGGSTCSELAKTEDPDATECSSSFGDTLSGSEDDAKPSEISDIEVDSPFCRYPHNGDAASLLDAAAADNLDRLLKKKKVTDHWRNYISPLMWRCQWLELRMKDLQSQVSRYDRELAVLKHEKELQTKMIELDCSSSRSVPFSSHCCRKTMKRKRRIRNEENNNAASYISTHTILSYYEKEKEKTEGDGHSVDVDGNLADDSTKGNNDADWLLGNGDDATVEQILLSIQSVQDRVSSLRSILKKEMAKKSTGLVLKVNTRVNGAQSSNCSQGKGKVGMREISHQDPSDCDMDDTDMPDSAVSSYGEANNMDIFESTMNLLSAEDPDKIGELHQSSEDVLINNQPAEEAYQNFEVISHPSQRLRVSVKREPVCVKREAVAYSEDESVAAPTAAIVKEEGTTSFGLQGILKPCYTGKRKGRKPKMQRHLQLDEQMSQVWRSTAPLRCKIFCWLAGLTKCPSCSQDKDTDQLLVCCLGAWEVWAFFLLSEDVPSFRSLVCALGQPGMVADVGVRPE >cds.KYUSt_chr1.10056 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61664789:61665364:-1 gene:KYUSg_chr1.10056 transcript:KYUSt_chr1.10056 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAAVTVAIFLLVTLSASYITSALRPSFGTCQASGYLPGKAGHCERSNDPDCCEDGKRYPQYHCSPPVTSSTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEMVAALSTGWFKNMARCGHRIKITANGNSVYAKVVDECDSVYGCDDEHNYEPPCQNNIVDASPAVWNALGLDQNVGELAITWSDNDN >cds.KYUSt_chr2.9269 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58353204:58354058:1 gene:KYUSg_chr2.9269 transcript:KYUSt_chr2.9269 gene_biotype:protein_coding transcript_biotype:protein_coding MQADNRDRGPAAGAAAGQGKAPTICSRLQRAFQARPAFRPLLRLTGHSQDGGAATTGGAPATTHSGAPTPIVLPAHAPAPSHVGKAPKPTAPVAHGGAPPPIVLPAHAPTPSPAGKAPKPTAPVVTLPAVPSGKPHAGKVPASASTTLSATANKVGEKVAGWMPAPAKGDDKPAGKVPATSTPTTVSATANKVAEKVAGWMPTPAKGGDKPAGKVPASSTPTTVSATANKVAEKVSGWMPVPTPPAAVTTERPPADAQAEDKAQPTKGRVRVSSRVRKALSSSK >cds.KYUSt_chr2.9793 pep primary_assembly:MPB_Lper_Kyuss_1697:2:61951800:61956508:-1 gene:KYUSg_chr2.9793 transcript:KYUSt_chr2.9793 gene_biotype:protein_coding transcript_biotype:protein_coding MHCCNKLQGCKKWDDLLHTLLKDGEDGPIDPAGASTGRPIGNKKAKADRNALAVLVAMDASIEKMITSFSLENKEAADRAAVVWKAILDNQDAKIELERERVEAAKMEAHAAAMKANNEATQLLLAKMSQESKILMADMEKMEPLARAWHEMYRERIGQEVMAARAAYFFVPVAMDNVVASESKKRRCDEPELQEPPASRDGAGSGDDVNLELISRLPDEILGSIISLLPIKDAARTTLLSSRWRHLWCSAPLNLSVDLKLSVQERKFIPIVSKILATHLGPARRLSLDNICLRHDLYSKFDSWFRSPTLDGLEEFEFYGTIMPPRPLPPSALRFAPTLRFASIGGCDFPEINAAPALLLPRLKHLKLWNVTISEAAIHHLLAGCTMLESLQLEELHGFSSVRIVSPTLRSIGVSVSYRISETDLVFEELIIEDAPCLERLVPFGAEGGPRRIRVIAAPKLMEMIPISLIASVRTVKILVLQSIGPNLDSIVGLLRCFPCMEKLYIQSCPFKDIENMRQYDTVDPMECLELHLKVIVLNTYQGKRPDVNFAKFFVLNAKVLKANCSLLAPGRSKNGTEYFFTATTYTVAERQGHLHREKTKHRPLWRGKATCIVTS >cds.KYUSt_chr5.37307 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235898483:235901139:1 gene:KYUSg_chr5.37307 transcript:KYUSt_chr5.37307 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSDSALTLQSLPGPPPRSPPRRYPYPHGGGGEDRISALPDDVLLQVIVRFRCARAAALTSAVSRRWRGLWRHLSELSFRDIPSDAASTALRQVVCPALSRLEIEIPEEHRIMDPARVSALLHAAARLAPEDLVVDLWGHCKDRDIPIHIPSFQRATSINLRVVNLYLTLPAGGIDEFPLLERLSVAGCRFDGMAEMINRCPNLRVLEVCGCWGLDTVKIHSPTIEELVLDNNGVLGNLDVVAPVLEQFRLQATMGRDFNVLFSAPMVRYLWWWCSCGQRNVGIGEAWYLRSLDLWTEESVYVLQLNIDFSVRLPNLLGMNLVGSIDRSEHKRRME >cds.KYUSt_chr3.36708 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230882501:230890050:1 gene:KYUSg_chr3.36708 transcript:KYUSt_chr3.36708 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGAEAASAAAEGMASAAYLAGDAVREARELVAELCRHFYLQGWVTGTGGSITVKANDPAVPLAQQLIVMSPSGVQKERMVADDMYVMAADGKIISAPRAKPWPHKHPKCSDCAPLFMKSYLMRGAGAVIHSHGMETCMATMLVPGAKEFRMTHMEMIKGIKGHGYRDELVIPIIENTPYEYELTDSLAEAIKAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLLDAAVKMYQLGIDWTTPEHGPINSAKRLRTVISPDVPNGAHAAKSSKRCVVLDIEGTTTPISFVTDVMFPYARDNVRKHLISTYDSEETKEDIELLRIQVEEDLRNGVVGAVSVAPSDAGKEEVINSLVTNVESMIKADRKITSLKQLQGHIWRTGFESKELHGVVFEDVPGALKDWQSHGVKVYIYSSGSREAQRLLFGHSSHGDLRQYLCGFFDTTTGNKREARSYFEITKSLGVDKPSEILFITDVFEEAVAAKSAGLDVIISIRPGNAPLPENHGFRTISSFSEI >cds.KYUSt_chr1.37371 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228303191:228306715:1 gene:KYUSg_chr1.37371 transcript:KYUSt_chr1.37371 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPSVLFPAGLVCFLYLFAFFLSLPLAICDGIEIEIDRQALLCFRSQLSSPAAVFASWSSNASTELCDWHGVTCSAQPPRRVVAIDLASQGIKGSIPPCVANLTSLSELQLSNNNFHGAIPSELGLLSRLTNLNLSMNSLEGNIPAQLSACSQLQILGLWNNSLQGEIPPSLGQCKHLQDIDLNNNNLQGSIPSAFGDLPELRILILASNRLAGDIPPSLGSSSRNLTYVDLGMNGLTGVVPESLANSRSLKVLRLMSNSLTGEIPKAIFNTSSLTAICLQQNSLVGQIPSFTATSAPIKYLYLSSNYLSGTIPSSLGNLSSLLYLRLTQNNLVGSIPESLGHIPTLQVLTLSVNNLSGAVPPSLFNMSSLTFLGMANNSLVGRLPSDIGYTLPNIEGLILSTNMFDGPIPASLTNASHLSQLYLYNNSFTGSIPFFGSLPNLEEIDLSYNRLEAGNWDFVSSLSNCSRLTMLLLVGINLQGELPSSVGNLSTSLQWLWLSENKISGHIPPEISSLKSLTMLYMDYNHLTGDIPTTIRNLNSLVDLTLAHNRLSGQIPDNIGNLVKLIALKLDGNNLTGRIPASIGRCTQLQKLNLSYNSLDGSIPREILKTYLLYEFDLSHNYLSGEVPEEVGNLINLKKISISNNSLSGNIPSTLGQCLVLEFLEMQDNFFVGSIPQSFVNLAGIKKMDISQNNLSGNIPVLLTKLSSLQDLNLSFNNFSGAIPRGGVFDNASTLSLEGNDHLCASVPTSDRSFNAECEALRNVRHRNVVKIITTCSSVDSTGADFKAIVFQYMPNGNLEKWLHPKGHESEKYILTLSQRINIGLDVAFALDYLHNQCASPLIHCDLKPSNILLDHDMTAYVSDFGIARSLFTTLNAHEDGSTSLAGLKGSIGYISPEYGMSEEISTKGDVYSFGVVLLQMVTGCSPTDEKFKDGTTLHETVDRAFPKNISGIIDPEMLQHDSNAAYVMESCVIPLVKIALSCSMTSPKARPEMGQVCNEILRIKHEASNMQVG >cds.KYUSt_chr6.19157 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120513193:120516000:-1 gene:KYUSg_chr6.19157 transcript:KYUSt_chr6.19157 gene_biotype:protein_coding transcript_biotype:protein_coding SDLHSSVLVNFSITAVLFGPWACLIMAVGISALVLGLWPVHVIWTYYCIIRTKLVGPVVKLLLLISASGILVLWLIVGIVGSVLAGLAYGFLAPVMATFDAVGEGKERPLVHCFVDGTWTTITGGCTVVRDLKDMLLDSYFSFMDDLRLHEPPGGKPFEIRVLDIPGAVFAGAFGLLVDGIMFTLIAVYKFPVMLFKGWKRLIEDLVGREGPFLETVCVPFAGLAILLWPFAVFGAFLASIISSVPLGVYAAIVVYQESSLFMGLSYAISSVSIFDEYTNDVLDMAPGSCFPRFHYRKNQASAESGHLSRPASFKDKQDGRKAPIRVTSFKSSFEEFNPFKALDQLFEDCKHRGVALVAEGVITQEDIEETKSGKVGSGVINVGLPAYVILNALLRSAKANSDGLLLRDGCEITSDNRPKNAIFDWFFDPLMVIKDQIKADNFTEEEEVYLQKRVLLISDPKRLKATLPHLPSLNERKQAEIDAFARRLQGITKSILRYPTSKRRFDDLVKALSEEHERAMGGSRSVSSRSQFQRLRSGVGRMLSQRSMGRATSVRGNDQEAQLTNNARAAYSEEQ >cds.KYUSt_chr3.27678 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172887133:172897086:1 gene:KYUSg_chr3.27678 transcript:KYUSt_chr3.27678 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLLLPAVFLVFATADAYTAPCSNGTCGGQNIAYPFWLDNLGPNCGYPGFGLFCEENTPILLSQQAQHRYRVLRIDYTNHTVSLADVDVWNTTCPRLIFDLSIELNPYYSSLQLTRSNSNLTLLYNCKSGVSRPSAVKLEGCPEQNTTWYVLPDDGVTVKAYGYGCEAAVTTPVRSSHRLANASLGEMLSDGFEMRYAAESEQCVACEQSGGRCSYGRRKDDGWLEFTCFCDDGANERQCENFVDVNDGQRQQRGNLSDGRQVAVKILQASKGDGEDFINEVASISRTSHVNIVALLGFCLEGSKRALIYEYMPNGSLERYVFNSNSRDAISISWEKLFHIAVGIARGLEYLHRGCSTRIVHFDIKPHNILLDEEFCPKISDFGMAKLCINKESIISIGGARGTIGYIAPEVFSKHFGAVSSKSDVYSYGMMVLEMVGAREKNINDSESSSQYFPQWIYEHLDDYCVSASAINGEITEIVRKMIVVGLWCIQLTMHHLLLPAVVLLFAAAEAYTASCSNATCGGQTISYPFWLANSGPNCGYPGLGISCQDNTPILDHQFHQYRVLRIDYANRTVALADADAWNTTCPRLTFSLSRDPNSWLQLTRSNSNLTVLYNCKAKLSRPSAVKLDGCQDQSNTWYVLPDDGVTGKAYGHGCEKAVTTPVLLSSLHRLATNPSLGEVLNAGFEMRYGANSQQCGACEQSGGRCRYGRIEEHGGTEFACVCDAKSDHCGT >cds.KYUSt_chr4.7490 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44620199:44624396:1 gene:KYUSg_chr4.7490 transcript:KYUSt_chr4.7490 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAYGAVLLLALALATAATAADTAPREEPTLPSSPTTASADATAATGSGPGAAGAGISSNSVLVALLDSHYTELSELVEKALLLQTLEDAVGRHNVTIFAPRNQALEQDLDPEFKRFLLEPRNLKSLQTLLLFHVLPARHPAGAFPAAAGSHATLSGEDLELAAGANGTLRVARSAVTRPDAVLRPDGVIHGIERLLIPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVLPGAPPVLPVWDAMAPGPSIAPAPAPGPGNGKSHFDGHSQVKDFIQTLVLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDAVLLPEDDTKPATPISAAPVRKAPAVTGTSRSKFRRGLYNLADELANVAMEAHRAGPSTFATCLKYAQHAANPDRRDED >cds.KYUSt_chr3.41546 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262091950:262093463:1 gene:KYUSg_chr3.41546 transcript:KYUSt_chr3.41546 gene_biotype:protein_coding transcript_biotype:protein_coding MCWVFRPSGDTSRNRSVSDTTREQQQSSSRAEATRVFAIVPLPLSPISASIQEKSPPMPPPTPPQTVYLRRSDTSPPDAASDEEAAVAIVEVEGGDGVDLAQVGRALGLNPATVRLNGYFLSRGSGHVSLSVTWGALLAFFDARGLPTGDDPAAPVAVHGRPAPSHPLTEPGAIRSSKRKSRLEIENCSKKSKLQHHSEALSKCSEEILSDDITLGLKRRLRLDEATPSKKIKQVDYNSVNGAGREQPVKFSCSFINGHSKRLSDKEMVTSLPLKRVRTAM >cds.KYUSt_chr1.28996 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175454705:175461555:-1 gene:KYUSg_chr1.28996 transcript:KYUSt_chr1.28996 gene_biotype:protein_coding transcript_biotype:protein_coding MYKHQNEDKDFPFMHCYNKLQGCKKWDGLLHTLLKDKEDGSVDPAGASTGRPIGNKKAKAERNAAPVLAAMDASIEKMITSFSVENKEATDRAAVVWKAILDKQAMKIELERERVEAAKMEAHAAAMKATNEATRLSLAKMSQESKILMADMEKMDPLAWAWHEMYSERIGQEATWAKLSLAARARFPRTEEEERADARWVADDEALRVAAKAAAKKDEDTEMVEAAADGWHETADGWYEAAEEGGAAEEDPVAAEDLAPANINAAIEERLADLTRVTKEHEATRRPAVADQATSSAGRTGSSLCEQPATSSSLPSRAIGRLLRRRSAADKSACAGGTRTTRPGPPPRPPGGADRCGTRRPAGAGAMREADGAAAGGGARARPRCEGGKEKDEGLRRATQLPKEQLKQQRKSEVRKMDEANSYEEQRRRQIEQNKRKLDELRVHKLSAAVRQAAAKPMPAKLRMPRNPRLDAPTRWSGRIASLLEQPDYRVRKANGDVKNESPDPVPVPVYATDEERAYAFTKAEQLNGQLGSDHPTFIKPMSHGSAKKSRHLNIPGHFRQYLPVHDEMMVLVDEANDEFDVLYRISHTHRMCLNGWRTYSAYHELADGDCLVFQQIERRKFKVRILYGDYSSTPCIDWINCIEPGGSEVIRKRHVVSLSGILS >cds.KYUSt_chr6.775 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4918237:4918731:1 gene:KYUSg_chr6.775 transcript:KYUSt_chr6.775 gene_biotype:protein_coding transcript_biotype:protein_coding MVMELTSEEFLLSCSDFDPKTLGSLSDLIVRSLHQNIPDGDMEQLNQKQIIVSGYKRWADRFPRVRHVVHQHVSV >cds.KYUSt_chr4.51204 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317538191:317544213:1 gene:KYUSg_chr4.51204 transcript:KYUSt_chr4.51204 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLRLAALLLLVTVVAVFAASAARADLVISRADRKVDLTSHIVRILTSLKVENVGSEPVSNVLLAFPNIQAKNLAAIRAFGTEGKVKGLSTVVPIEVVQPSGAPPELTFFSASLHKALEKGRILHLDVLTVFTHSLQPFPEEIGQADSQLVVFQDSSHYLSPYPVKVQTLSIRLPGGRVESYTKHANTKLVDSELKYGPYEDLAPFSYNPMIVHFENNNPFAVAKELVREIEISHWGNVQITEHYNIVHGGARLKGEFSRLDYQSRPYVRGVSSFRHLVAKLPPRAHSIYFRDEIGNISTSHLWSDAKKTQLEIEPRFPLFGGWQTTFTIGYGLPLQDFVFSSEGKRFLNITFGSPMEEILIEKLIVKVVLPEGSKDIDVSAPFPTNQRQEVKYSHLDIAGRPVLVLEKPDVIPEHNLHFQVYYKFNNISLLIEPMMLIIGFFLLFVACIAYMHTDMSISKSSPSYLAKLQWDEVQATVQQIQGIFDQCLAVHDKLETSLHDLSRTGDTKSCKAARKAADAQFKELAKELKPLLMSVQSSPQSYHIWPKLEDLAAKEREMQDKLMARHSTVVDSFEKKLRGQDIENRIASQQQKIAALRQEVESLLEYLSEI >cds.KYUSt_chr5.24189 pep primary_assembly:MPB_Lper_Kyuss_1697:5:157314534:157329259:-1 gene:KYUSg_chr5.24189 transcript:KYUSt_chr5.24189 gene_biotype:protein_coding transcript_biotype:protein_coding QRVRPTIHDVITSKIKSYTGEASKSNIDKIGRETTSDVSHSHGPGTLYQLSKQKTKNGALAVATQLVCSPISPAMAPTGDAQQAASKLLGSIFECLVDILENHITVGELLEQKSSTEVENVNTPHVANGDTSWNPDSEYSQATGGFSVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRDGSEGLSFAFRITDAAISAQNEGQGWRRSSTVPQEGYGTASVIPDQGIFLAASVYRPVFEFMNNIGLVLPPKYSQLGNDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVNATSVYSSVVENGRPVLQGLLAVDIIAKEVLGWVRLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRSDVESLMRLDPANASLQNSFGQLENYIPEAEGVEVEIELSDLLLDMGPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFISPSTTVDNKSHMHQGCHTRSTSAIPKNLASLANEYRRLAVDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYVAEPKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAMPSIDSEAVQQRLDRVRTFYELLNLPFESLLGFIGEQEYLFSAKE >cds.KYUSt_chr4.52692 pep primary_assembly:MPB_Lper_Kyuss_1697:4:326978268:326978851:-1 gene:KYUSg_chr4.52692 transcript:KYUSt_chr4.52692 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYNAVYMSLNDRKASTRNIEDAISLSICKSGMSLVDLTRDDDDAGSNSAVRANLPTLVVYIMHIDLTVPALADALHSVTPSLIGYYHY >cds.KYUSt_chr1.30566 pep primary_assembly:MPB_Lper_Kyuss_1697:1:184984930:184985418:-1 gene:KYUSg_chr1.30566 transcript:KYUSt_chr1.30566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 40S ribosomal protein S4, X isoform (Single copy abundant mRNA protein) (SCR10) [Source: Projected from Oryza sativa (Os05g0368300)] MIIFATHVSALMRLYSVLQFKLCKVRSVQFGQKGVPSLNTYDGRTIRYPDPLIKANDTIKIELETNKIVDFIKFDVGNFVMVTGGRNTGRVGVIKSREKHQGSFETIHVEDALGHQFATRLANVFTVGKDKKSLVSLPKGKGVKLTIIEEARKRNAAAAAVA >cds.KYUSt_chr2.47156 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295020700:295020978:-1 gene:KYUSg_chr2.47156 transcript:KYUSt_chr2.47156 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTCKLCDTRSMKMASRESYENGVVVARCGGCNNLHLMADRFGWFGQPGSIEDFLAEKGEEVKKGATDTLNFTLEDLAGTQVKPKETYGEN >cds.KYUSt_chr7.37802 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235710504:235717308:1 gene:KYUSg_chr7.37802 transcript:KYUSt_chr7.37802 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSRPVLSRARPLLLAAAMGSSSGRDPSGHPAVGRLRELFRGGGDAADGWDKSWESGITPWDLGKPTPVIQHLAKSGTLPNGRALVPGCGMGYDVVALASPERFVVGLEISNIATEKAKQWSSSLPNADWFTFLVADFFKWRPSEPFDLIFDYMFFCALDPSFRLAWAETVSRLLKPDGELITLIYLISDQEGGPPYNNTVADYQKVLEPLGFKAVSMEDNELAIKPRMLLWQLTVCPLASAVCTILSSRAMIPVDVFDLSRAFQGYEKLGRNSLSVASAALYGVDKMRLDTSALDNHFAAAGNEFSGVLATDTNLPSLEFPEVATCNDFDGFQKATKEMLNHKKGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGVKCRLHELANKRRISIAGSSKTLANILYSYRGMGLSIGTMIAGFDETGPGLYYVDSEGARLKGTRFSVGSGSLYAYGILDEGYKFNMPIEEAAELARRAIYHATHRDGASGGCVSVYYVGPDGWKKLSGDDVGELHYQYNPVQEAFVEQQMAEVPAA >cds.KYUSt_chr5.28321 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179410662:179411057:1 gene:KYUSg_chr5.28321 transcript:KYUSt_chr5.28321 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTAVATRTTRGAQEKKSQGCRRTAEKASMGMPSVKARAMPGFQHTPPGSCCFDDVGMPPEHMRAFEAYLEKVVPVDMIVASRREEDARLRRGGKPRSYDDDVKEKLKLWAKAVAENTTGVRVINSCMRG >cds.KYUSt_chr2.34516 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213158739:213162892:1 gene:KYUSg_chr2.34516 transcript:KYUSt_chr2.34516 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDEGNPGTVPVNPGTAGSKPEEAMLVDSMQIDVPVFLVREAPTWVEPIKEFLINGTLPVGETESRRIQRRSKAYTIINGEVYKRSVTGVLQRCVEPEEGKEMLVEIHQGECGHHASSRAMVAKVFRHGFYWPTALEDAEDLVRKCNGCQSRQQAILLPLLLSQSKHNSRDSCLRAPSLGPDSLRSPKTAACPPELATAAFSVVVAAVRMGKRDEGAAARFEMLAYRPSAIGKHQVVLDGAVSRRPGIDEEAKSSGRDLRCGAGGGRCDGGQMWGREQDAGMSPQRGRSTARRWKGFSASTSPWGDKLQPNIVYAMEGEKRTPGLVLRLQPLVLYPGSISGVTEVQMQLEVFSVWPYFTATTSSLSSWQSIISTQNFALNQDILVRCIHSRPCPLVIKFSLKFKSFRLVSAEGFRYRPKRKPSGTAHLRVKRRLMMKTDTVEFTSNATAYVTKRFLSSITKRLFPAREENLRVYFPLLTFVTDPAPQPPCKAAAPARRRLYEASEVWRLPSTAPAKESKGSSLLGSHLIASVQSGS >cds.KYUSt_chr3.23452 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144983294:144983880:-1 gene:KYUSg_chr3.23452 transcript:KYUSt_chr3.23452 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPYASSIPCLTKPRPPPPGFISPPPARSGLHLPTRSTKTRRPIVGAAGALPSSSMAGAQPQTRDVPQEGAEEAMKLLFVEMGVGYDQHGQDITAAAVRACKDAISSNSIPAFRRGSIPGVNSEQMKLQIKLGVPRPVQHLLDFQRIKAVFP >cds.KYUSt_chr7.21736 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134805792:134808513:1 gene:KYUSg_chr7.21736 transcript:KYUSt_chr7.21736 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLIPAALCQPRKGNDRITTLPGQPEVSFAQYSGYIGVDGMGSRALFYYFVEAEIDPATKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQMLVKNEYSWNKEANLIYLETPAGVGYSYSADAAYYQGVDDKMTAMDNMVFMQRWLEKFPQYKGRELYISGESYAGHYIPQLAEVMVEFNKKDRIFNLKGLALGNPVLEFTTDFNSRAEYFWSHGLISDSTYRIFTSVCNYSRYVSEYYGGSLSPLCARVMNQVTRETSQFVDKYDVTLDVCLSSVLSQSMILSPHRQVGQPIDVCVEDETVKYLNRRDVKKALHAKLIGVKNWAVCSSVLEYEFLNLQIPTINIVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLARDLGLKATIPYRVWFEGKQVTTQT >cds.KYUSt_chr4.203 pep primary_assembly:MPB_Lper_Kyuss_1697:4:1143895:1145770:1 gene:KYUSg_chr4.203 transcript:KYUSt_chr4.203 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIVAVHVVAMAAAVCARDLNQTMAATAQGWRPRGRPLATALIVFGDSIVDPGNNNNLPVTRMKANHAPYGKDFAGHVATGRFSNALLPPDLIAQRLNLKQLLPPWLNVDHTPEDLLTGVSFASGATGFDPLTPQIVNVFTMDQELEFFDTYRQKLVGLVGEQETRRIIDGAFFFVCTATDDVANTYFMTPYRAFDYDIPAYVDLLLVGAEAFLRNTSARGAKKMGFTGMPPIGCVPSQRTIGGGVQRRCDARRNYAALMYNKALQELIGRLNADPGFHTHVVYFNIYDIIYELAVHGERWGFTEMTRGCCGSGLIEVTQLCNTQYMGVCDDVDKHVFFDSYHPTQRAYEIIVDHIFKYYVPLMHL >cds.KYUSt_chr4.22729 pep primary_assembly:MPB_Lper_Kyuss_1697:4:142982246:142983610:-1 gene:KYUSg_chr4.22729 transcript:KYUSt_chr4.22729 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVVDEAEGRDITNRGMLLQLKTLSEGVYLGYYMLDRLKVQSLGQESFEGDEVSHQNHSFTDCTFNTAKRLRFASIFKNTPVASGTGSTIKLKSVLESLEAKIADMREFVILLGSCPRLVRQPSSTYIYMDKCMFGRHIEKEQLINFLLCDDNHDCINISILPVIGPHIIGKTTLVRHACKDERVQDRFSHMFFFKGDDLQNGEFAVMCKAASGKCLFVVDFSLYVDEAGWTNFQSYLQKLPGIVYKIVVIGRAEKIAELGTTQPIRMKFLSQEEYWYYFKSIAFGSMDPDEHPKLASLGMQLATGLYGSFIAANIIGGVLRANPNAKFWYNILLRVREAAPKHRSFSGHTKDILERHSPKFTKFSSVGGQVQGYLVYGLREAIPGQSELPKLSWQELLSGVEAPDEDKFDVVAWRSRIPPYSDYIISFEKQKPRRKAGRMKHLARRKHQHTC >cds.KYUSt_chr1.1387 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7545823:7548579:1 gene:KYUSg_chr1.1387 transcript:KYUSt_chr1.1387 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNSAGEPFPEVRRQYPFIAGAAEMWCAGNPFHRRMHKVSLHDGDEDEDEVEDAEEEVEMEVEDAPGGVEGGEEVDGYVEGELAEELWTGEGASCLVAAAAGGCLIHVGSVRPSRLPSGILGCLIREHYPGLVKLPDGVFHSSTCKENECCHVVGNHLRKLIPEMYYEARVRCVINWYAQVKRIPLPKSNARLRLMTREQYNLVPPQWCASKKACYAQIVNDWASPAFLASHRDAQRRRRLLVGGVHRQGPLAFGAYVEKQRKEKGEDVNEFDILCQSRMKKVAKEGESPWLNENFNNKRIAYCDKFKQVKGKDADPYKEPIDAEAKWDEERHRAEEAERELAEVKATLQSHNERFASYDQLFAMLRAAGAPGDPIGWFSQPVAPVGCKPCDPSW >cds.KYUSt_chr6.10507 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65018746:65023023:-1 gene:KYUSg_chr6.10507 transcript:KYUSt_chr6.10507 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLLLAAFSLLFAVATPIRDITDACSSQVQDFEHLNGTALHLPLHHPRGPCSPAAVPSDLPFSAVLTHDAARISSFAARLAKTPSSSAARPITTTSASSLYRPDSSLASVPLTPGTSFGVGNYVTRMGLGTPAKPYVMVVDTGSSLTWLQCSPCRISCHRQSGPVFDPKTSSSYSSVSCSTQQCTDLSTATLNPAACSSSDVCIYQASYGDSSFSVGYLSKDTVSFGSNSVPNFYYGCGQDNEGLFGQSAGLMGLARNKLSLLYQLAPTLGYSFSYCLPSSSSSGYLSIGSYNPGQYSYTPMVASNLDDSLYFIKLSGMTVAGKSLSVSSSEYSSLPTIIDSGTVITRLPTSVYTALSKAVAGAMKGTKRADAYSILDTCFIGQASQLRTPAVTMDFAGGASLKLKAQNLLVDVDSSTTCLAFAPARSAAIIGNTQQQSFSVVYDVKSNKIGFAAGGCK >cds.KYUSt_chr5.37230 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235420887:235421384:-1 gene:KYUSg_chr5.37230 transcript:KYUSt_chr5.37230 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDKKSTTTNRRPTLSGEEEATSSVKPKAAAPDALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPVCYGKPTRRGRPQRRPSHPRRPVRQGTADGRRAAATVAHATRVLRQASRRWGSGRRSPRGDEEGPPPPPRPEGLCPGGATGGGGGGGLGAEG >cds.KYUSt_chr3.12835 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76947414:76948280:-1 gene:KYUSg_chr3.12835 transcript:KYUSt_chr3.12835 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSASHALNKALPWCDTSRWLLASSLACRGGEERGAGSNRQLQVRHREVPSSLLLSHGGSWWSGLLSGELLWWEVASESILGGEVNKCSLPLFLSSASTKRWLLLAGRGGEEKEMSWLLLHRSRRRPLQCCTAGLMVFFLLSACHGGEGKDEEHRKLVVYQRCSGECLELLLPWAVHKRRPQFAAAIFGQEADPASLGSLACLSVFFLCVRNISSLVASAQASARPSGFVPGLDRGGRCKLFVAGGELGLDCVSAIFFRVKSGYVKDLVVISFSSSVLLVKLCPPP >cds.KYUSt_chr4.825 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4314902:4315807:1 gene:KYUSg_chr4.825 transcript:KYUSt_chr4.825 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAVSFDWPCPGWMSLMAIKNDVIATDHEGRTLFYDGSLRAIRAMSPITYPMPSSISFTVGDELYVLGREPGRSRQLQPFQRLRLKDWRWSRSEPLPTVNIDRSDPTYFPYKLGVEEAYDPYALAAHTVVDDSHIWVSTLGAGTYSFDTDGGRWSKIGCWALPFRGRAEYVPERGLWFGFSAQDEHLCAVDLQQERPVLPSRVFPLLHVWEDQPPRPPEGWTLTASHLLPLGSSKLCVSKFFRRTQGQPSSEHTHRKKADSLVVLAGVEIINDPAGTGSLHIIRHKSVRYNVSGNVKPL >cds.KYUSt_chr5.8231 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52059461:52061926:1 gene:KYUSg_chr5.8231 transcript:KYUSt_chr5.8231 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQPLVQATHTLRSENIDNRIYYDHSNSNAMDLQSTMTIDQRDSIALESQYSSVTRAISSYLRSPHESVLQIQYSRMRLLTVNGLRFRHLRLAILAICAVFLLWKWEKGSLYSPDLLRPEPLALNRNTSMDEGSSSSNPLVKSIAEVGKVVTAAPPPLTMIHNAKDATGKKETSPSEKKGCDYRNGGWVPDDRRPLYSGLKCKRWLSESWNCKLTQRKDFTYEKFRWQPEGCEMPMFQAAHFLRRMQDKTIAYVGDSLGRQMFQSMMCMVAASGKDHSDVEDVGSEYGLALARRAKRPGGWAYRFRSTNTTILYYWSATLCDLEPLRLSNPAAGYAMHLDRPPSFLKKNLHRFHVLVLNTGHHWNRGKLKANKWEMYVSGAPNVNGKIAVIWKAKNFTVHSVMKWLDGQLPSYPHLKVFYRSLSPRHFFNGEWNTGGTCDNKAPLSKGNTVFQNRSEDADAEGAVRGTRIKLLDITALSRLRDEGHISRYSIRGTTGVQDCLHWCLPGVPDTWNEILAAQL >cds.KYUSt_contig_60.327 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:1929844:1930077:-1 gene:KYUSg_contig_60.327 transcript:KYUSt_contig_60.327 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVLREVGRKRSGAAGIGGTRGFADCAAAAMGVAERREGGGGTKAVNLFTAVNQALHIALDTDPRSAQRLPTLES >cds.KYUSt_chr7.7950 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48037610:48045632:-1 gene:KYUSg_chr7.7950 transcript:KYUSt_chr7.7950 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRKVFPACGALCYFCPGLRARSRQPVKRYKKILAEIFPRTPDEEPNERRIGKLCEYAAKNPIRVPKITVYLEQRIYKELRTEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRIIGCETLFDFAVNQVDGTYQFNLEGLVPRLCELAQEAGEDERATSLRAAALQALSAMIWFMGELSHISSEFDNVVEVVLENYKPQKAQNDDQVTTDPYNETAQQAQKTEQNTSPYVISEIPSWESFVNAKGGVNLSMEYAKDPKFWSRICVHNMAKLSKEATTFRRILESLFRCFGNSSSWSPDNGLALCILLDMQLLVESTGQNMHLLLSLLIKHIENKAMLKQPEIQLSIVEVAATLAELSSCQASAITIGAISDLVRHLKRTFHITLGSKDAELVKRNESFRKAIDDCLVQLSKKVSDAGPVLDMMAVMLENIASTAVVARSTAAAVYRTAQIIAAVPNLQYQNKVFPEALFHQLLLTMIHPDHEARIAAHRIFAIVLVPSSVSPLIQASATSQARTRDMQRTLSRAVSVFSSSAAIFDKLKKDKHPDNSQGEDTGNTKRQNLPVSQSRRGSMRVPNFSMKKGPSMALRAPSSVRAPSIALRGPSMALRSPSMAVKEDKGSSSKSDDEMDSLLLKLSSRQITLLLTSIWAQGVSPENTPANYEAIAHTYSLLLLFSGCKASIFEALTQSFQVAFALRSYSLTEAESLPPSRRRSLFTLATAMIIFSSRAFNVVPLIPICKQMINERTGDPFLHLVDESKLQAVKDSLDDPSKIYGSPEDNTNALKALEAIELSESQSRDSIVSTIMNNIANIIDAELHSVRSQLLSDFSPDDMCPMSTQFFESPDENSSGSHEDAHHEEGMLIDLGHDHDIFGEASESTSATASIPAGDLMSIDQLLETIGADPAHHTESAHLSADMPFMEMTSQCEALTTGKQQKMSTFMSFQPSSQPAPMPSQQPGQMELDFFSDPPLPQAGVQSTNPFLDDNWAAFPQHMDAGNPQSADDPFEQHFLKLPAASPYDHFMRAAGSTGQS >cds.KYUSt_chr2.51747 pep primary_assembly:MPB_Lper_Kyuss_1697:2:323224694:323229212:-1 gene:KYUSg_chr2.51747 transcript:KYUSt_chr2.51747 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIAGCVESLLRGALEAGETTASLATVLQRAQAQLGVDLSHKAPYIRDQMDLFFGPRLQPPPPKPYTTPPPPPAASSSTPSTLLQSQQPQPPLPQLQPQQQLQQLPLDFQQQQQQFAAVMQPQYIYQTMPPPQQLSPVLSATTNAAVSTQPPVPAMAFYPPPPLAFRYTNALAGVAAGGTVSFQHPPGPGVGVGGVASPTAAPPAGADNNKESGTKRKRGGPGGLNKVCAISPELQAVVGDTAMSRTQIVKQLWAYIRQNNLQDPDDKRKIICNDELRIVFETDATDMFKMNKLLAKHITPLDPTKEAKKFKAPSPAPQQMPPVNQPYVVVSDALAKFIGVQGTVPHDDALKYLWDYIKANQLELIDLLEAFPDFSALALVHFVVLAVHAADLGLQRCQIGLTLHTNNAAAVAIHIPTDVLVEILVRLPWTSRQRLRLVCRTWRDLVHQRTTEMQECRDAVPLVVTTKSAYLLLPPLLVQDLHTSRAVVQRHGSLGAQ >cds.KYUSt_chr1.31850 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193223358:193224530:-1 gene:KYUSg_chr1.31850 transcript:KYUSt_chr1.31850 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRKFRLCDMLPNVWFYKLRDMGSQGRGGLRRSASARCYREVPQQPSWNRGSSERWNREVDVQQPRPSLSSREVDNQQEEPVTPTKGSPLCPLPRRASYYYSTRDREVPRTPRPKDAQSPTRSSRRRNRAGHSPEGARQVSAPVPVHGKEPALDTPGSSCRRRDMSIKNDGGEPWRPTVTAPPDGGLDVKVITSESEIIIDLPNVDTPGRRLRPILTRPARRQPQPREPDGSHVDLADVTVRERDKDLPDGGHADHADVTARASSTAEKSSVSKPSRSSASSSSGHWCLKTRVKGPRLAATARRGKPPARNRMAPVIAESYPVVMVTRDPRTDFRESMEEMISAKGIRDAGDLEDLLACYLSLNDAEHHDLIIEVFEQIWMSLANSKP >cds.KYUSt_chr4.25512 pep primary_assembly:MPB_Lper_Kyuss_1697:4:160373463:160375038:-1 gene:KYUSg_chr4.25512 transcript:KYUSt_chr4.25512 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSLAVLAASLPWASPAATPGTAFDNWLTATQTDYNVNQALYAKKAAGDNGTTIDESLAKAEDNKTTYVVDPKGSGDYKTINAALEAIPEGNTRRVILDLKPGEYREKIFLNLSKPYVTFKSDPLNPATIAWSDTAATVGKDGKPVGTVGSTSVAIESDYFVAYGVVFKNDAPLAKPGAKGGQAVALRVFGTKAAFYNCTIDGGQDTLYDHKGLHYFKDCVIRGSVDFIFGFGRSFYEGCKIISIVKEVAVLTAQQRTKTIEGAIESGFSFKNCTIKGESGGQIYLGRAWGDSSRVVYAFTEMSKEVVPVGWDGWSIKAPESSGIYYGEYKCSGPGSDAKKRVGWAQDLTEAQVKPFIGTHYVFGDSWILPPPTGKSAPSTKSAGGAKSSAASPAESPASSPKSAATDSPASSPKSAAKDSSASSPKSSASYSKASSTKATSEKKAL >cds.KYUSt_chr5.15267 pep primary_assembly:MPB_Lper_Kyuss_1697:5:98615707:98616986:-1 gene:KYUSg_chr5.15267 transcript:KYUSt_chr5.15267 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPPGFRFHPTDEELIVHYLSRQAASMPCPVPIVAEVNIYKCNPWDLPGKALFGENEWFFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILSTPASENIGVKKALVFYQGKPPRGVKTDWIMHEYRLTAANCKTTKRRGSSMRLDDWVLCRIHKKSSSNLPNFSSDQEQEQESSTVEDSHTTNNHNTVSSPKSEALDGDGDDQVQLQQFCPMAMTKSCSITDLLNTVDYAELSQLLLDGGGGSSSDAAGAIAAYQPPESPLIYGQPPWQQTLNYNNSHLDVPQLDDGRDYANGNNKYNGMKRRRSSSSLLCNQLQLPADQYSGMLIHPFLNQQLHM >cds.KYUSt_chr7.9356 pep primary_assembly:MPB_Lper_Kyuss_1697:7:56944945:56945788:1 gene:KYUSg_chr7.9356 transcript:KYUSt_chr7.9356 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHVLPLLLVVVFGAATAMAASGDDAMPPIPEFHMDIPPMPQPADIPPPSPCLNSLSPVCNGVSTQDSPNLAPCCTVFKKLFNNDPTCVCDAVVQAQKVAKEYKLNGTMYDGLETFRLCDMPTTSCEPGKPGSENLGSAAPNGRSIGAFQTMLLLPLSCFMLLL >cds.KYUSt_chr7.30443 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189554927:189555365:-1 gene:KYUSg_chr7.30443 transcript:KYUSt_chr7.30443 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSQNLSFLLDLEESGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKAGNGQDTESPCKDARTERVESYATKANSVCVFMTPSLIA >cds.KYUSt_chr6.549 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3396379:3398802:1 gene:KYUSg_chr6.549 transcript:KYUSt_chr6.549 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVDTSRFEGVPMAPPDPILGVSEAFRADTSDLKLNLGVGAYRTEELQPAVLNVVKKAENLMLEKGEFKEYLPIEGLAAFNKATADLLLGADNPAIKQGRVATLQSLSGTGSLRLGAAFIQRYFPDAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIQAAPEGSFVLLHGCAHNPTGIDPTPQQWERLADVIQEKNHMPFFDVAYQGFASGSLDEDAYSVRLFVERGLEVFVAQSYSKNLGLYAERIGAINVICSAPEVADRVKSQLKRLARPMYSNPPVHGAKIVANVVGDPTMFSEWKEEMAQMAGRIKNVRQKLYDSLSAKDQTGKDWSFILSQIGMFSYTGLNRTQSDNMTDKWHIYMTKDGRISLAGLNLAKCEYLADAIIDSFHNVN >cds.KYUSt_chr3.9795 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57571685:57572425:-1 gene:KYUSg_chr3.9795 transcript:KYUSt_chr3.9795 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAAAPFLEPREPAPPKTVQLGQPGWAVWAILSLVTGGFAWGLYRARHDAHDLVYVVGDYCITYYGLWLLYVCLRKHQLLRGDDDDPAAATELRRVRIVPWAISLFLSFPMALSVPSTVPSLQPKFSIWVLAVLAIGLGLYFAVAARSSDVPRVGDATELRRARLLPWAILLYLAWWVWLLVLNAVPSLAPPFGIWVLDLLVMGLGLYFLGAACRTDARVDDDGARRPEPEEVLHDHELSPEHRV >cds.KYUSt_chr1.40327 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246981229:246985613:1 gene:KYUSg_chr1.40327 transcript:KYUSt_chr1.40327 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTVGRPTSWPGLPAMPRGSLSPRAHRLLTHALLPPSRGITPVPEGQTNFLPETPPPHITSHHIASPARRPAPLRRARSLAMSISLRLALPTARPAPPLRPRARNAGCAPAPPASALRTDALRSEYGLWTAFLALLVRLFFSVPGDLELPLSTMLLVSVAPYQVMDLSVLLLAEATGIHKSFLVPLFALQAPPGVISWIKSEYGPWTAFLALLVRLFFPLPGELELPLLTMLLVSVAPYQVMNLRGTQGGAIVSLAIAAYLAFQHFTRTGGIGKAFVQGSIIATLAITCITVINVILLF >cds.KYUSt_chr6.12004 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74715962:74717001:1 gene:KYUSg_chr6.12004 transcript:KYUSt_chr6.12004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNRGSWTPQEDMRLVAYIQKHGHSNWRALPRQAGLLRCGKSCRLRWINYLRPDLRRGNFTPDEEETVIKLHASLGNKWSRIAACLPGRTDNEIKNVWNTHLKKKASQSQTEQKPATDKNNREAANGDSNDAPVPSLSSGSSSTAITTTSNGSSGSDTEAGEQCETTNEPEMTDMLPPLELELEPDIDILDMLVDAPEEACPAAAPMLPSSCSTSSLTPSVGGLEELLELPEIDMDTDIWSIIDDDFARVPCSTTNASQQEAAKEWWLEDLERELGLWGPTEESQPQVDPHDQIGCDNVCS >cds.KYUSt_chr7.40058 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248800600:248813316:-1 gene:KYUSg_chr7.40058 transcript:KYUSt_chr7.40058 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDTATYPGSRPSGGGKTSTPAMSVYDDHTRCGGAPRAVSGWPREAKEDDGLSHGGSYAGNMASPFGATSTAGLMAAPTGIASDKKPFSASSISLVSRPRNARPQRKCSFRVKAAKELYFNKDGSATKKLQAGVNKLADLVGVTLGPKGRNVVLESKYGSPRIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVIAAGANPVQITRGIEKTAKALVEELKKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFERGYISPYFVTDSEKMTTEYENCKLLLVDKKITNARDLINVLEEAIRGQYPILIIAEDIEQEALATLVVNKLRGSLKICAIKAPGFGERKTQYLDDIAILTGGTVIRDEVGLSLDKADKSVLGTAAKVVLTKESTTIVGDGSTQEEVSKRVAQIKNLIEAAEQDYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKETLENDEQKVGAEIVRRALCYPLKLIAKNAGVNGSVVTEKVLSNDNTKFGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEAEPAPLANPMDNSELPDLQLPEVVLNGLEKFNLNTSR >cds.KYUSt_chr3.18527 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113907541:113908041:1 gene:KYUSg_chr3.18527 transcript:KYUSt_chr3.18527 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSNLSQLICFMPEEARAEINQWFESVTAGRITELVPQGTINSYMVAVLGNAIYFKGAWRSKFDLLHARLRPPRPRALHVERRAGACRSGYKALLQMLGSRPALLDDSSSLMTQVPVGAFKVPVVRLVESGR >cds.KYUSt_chr2.23629 pep primary_assembly:MPB_Lper_Kyuss_1697:2:144214292:144217701:-1 gene:KYUSg_chr2.23629 transcript:KYUSt_chr2.23629 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSFGCHRPYSCAKATGLQSHAPSPCAALLLQTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVHDGYILPKLYAKVHHCVSCAIHAHIVRVRSRENRRSRDPPQRFRRREDGPRPAQSAPRPGGAPQAAGATSAAAPRT >cds.KYUSt_chr1.9691 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59220894:59223757:-1 gene:KYUSg_chr1.9691 transcript:KYUSt_chr1.9691 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIGEVVVAESCLVTPSTETPRRALWLSPLDLFYANRGHIPLVSFYRPSCSGATDFFDVTRLKTALSKALVPFYPLAGRLDVGQDSRLQINCDGQGALFLVAHTSSLTVEDLSDFKPSPELRRLLVPHLNSAEAAPIICAIQGGLSEQPVNTPICVVHRCGGVAIGAALHHLAVDGNAASYFFRAWTAFCKDGERAVVDLPCHDRALLSAREPPVVRPDALSILCPSLNLSEASGPVATEVFLLGKDHVSALKRICGGATTFCAVSAHMWRCMCLARRLLPGSTTRLVFPANVRRSLNPPLLDRYFGNAVIILATAGKTQDIIAPGGLASVAGRIRGLIGRMDDEVVRSAVDQLELAKIDGRPARGSLPATDLRVISWLGMPLYDMDFRWGKPVAALRVESNYGGFVHLMDASPQDGGGVRVIVCAEAAILSDFKRLLYANLQHSVL >cds.KYUSt_chr3.10945 pep primary_assembly:MPB_Lper_Kyuss_1697:3:65187648:65188208:1 gene:KYUSg_chr3.10945 transcript:KYUSt_chr3.10945 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTLSPVHASCTLWPVAVSLLLMELWWLEPQTLNGGDLAPLLSSAMVATPRCGPAGSVASSTSRPAGQEGCLFATPSWRSIVTPSPSGLVPGVGDVGRDAEFLVKLRWRRTQGLDCFLLFCLGV >cds.KYUSt_chr2.458 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2762285:2762824:-1 gene:KYUSg_chr2.458 transcript:KYUSt_chr2.458 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFTKGKARHEIADAIKEINKQVQEVASRRDRYNINNIDTNPAPVATIDPRLRALYTEVTELVGIAGGRDEEVMKLLMDDGDGMSTKKLKTVSVVGFGGLGKTTLVKTVFDKIKGDYASSAFVPVGRNADAKKVFTDILLAVDKYGSPPTGLDERQLIDKLREYLENKRYAHLNNCH >cds.KYUSt_chr4.27286 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171373407:171382942:-1 gene:KYUSg_chr4.27286 transcript:KYUSt_chr4.27286 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQDHDGRRRQEAEAAAESSVPLLEKKPGDVPYYVEGCPGCAVDRRKATDPGIPYGSFIYIWVVILCTAIPISSLFPFLYFMIRDLHIAERTEDIGFYAGFVGAAFMFGRCLTSTIWGIAADRIGRKPVVIFGVFSVVIFNALFGLSVTYWMAIATRFLLGALNGLLGPMKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLALPAEKYPNIFSPDSLFGRFPYFLPCLCTSVFAAAVLIGCIWMPETLHKHKVNENRNQSVESLEAHLIDPKEKVEQSNSPDTKKSLFKNWPLMSSIIVYCVFSFHDMAYTEVFSLWAESDRTYGGLSLSSEDVGQTLAITGSSLLVYQLFLYPRINRVLGPIKSSQIAAGICIPILFAYPYMTYLSEPGLSIVLNIASVIKNNLGVTIITGTFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQHAFFFPGDQMVFFLLNIIELLGLLLTFKFFLAVPDKSDSN >cds.KYUSt_chr3.42156 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266390657:266393176:1 gene:KYUSg_chr3.42156 transcript:KYUSt_chr3.42156 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGSSDAEAELLRGFKTMAVARQDPAAAVYEVRLNRPAQRNALSPEAFAEIPRAMALLDRLPAARAVVLSAAGPHFCAGIELGGPGNPLAAPPGTDPVAAADGLRRAILDMQAALTAVELCRKPVIAAVHGACVGGGVDLVAACDIRYCSKDATFVLKEVDMAIVADLGALQRLPMIIGYGNTAELALTGRRITALEAKEMGLVTRVFDSKQELDAGVAKIAKEISEKSAWAVMGTKAVLLRSRDITVEQGLEHVATWNAGMMKSNDLMEAIKAFVEKRKPVFSKL >cds.KYUSt_chr1.22412 pep primary_assembly:MPB_Lper_Kyuss_1697:1:132617705:132619675:-1 gene:KYUSg_chr1.22412 transcript:KYUSt_chr1.22412 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRHSNTPLGGPPPWPTDAGELQPLQIWAKAPSTEALLHCSLQSTPGTWPAAVSTTSITPQQPAALSCSKVQPTPPTPHTLPGTAADVAETARARRRRSMPSSRCRPGNSRSSAAGTSTLRSPLPPRLDAEPRAGVAVAAQTSLSPRIRQISTKRHAPRRAPRARGDDPAAADALVFGLQRHVTLQRAPKEDRGAVLKTTSEGGCNHAIAREEANLAGDGT >cds.KYUSt_chr1.25813 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155206099:155214785:1 gene:KYUSg_chr1.25813 transcript:KYUSt_chr1.25813 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSAVSSSSRFAAVVVVVAVVLASSAVCCRAQLANNYYAGKCNNTNVEAVILSAVKARLSWENKIVAGLLHMIFHDCFVQGCDASILLDGPGTEKTAVQNTGIFAYDLIDDIKSELEAACPGVVSCADIIVAATRDAVGMCGGPSYAVQLGRRDGMSSMSWKCSDLPPPHVDIPTAAAMFANKGFNSFEMAALMGAHTVGVTHCSLIQDRLYNFNGTGAADPSMDPAYCGILQKYACPQGQSFDNIVYLDDPNSILTFDTSYFNQITKQRAALGVDQGLGDDPSTAWMVRRYYRPSTGLLPEISLIVCWGQSRAPGSLPVVASWRPPWGRLVVPAGVHIRTGFITTSGGRVVFGLIQRLVSLDFVSDNAGSFTNDTKFPRNGDVILFGSHRVYLGTVPEPRYPSVVLVAPDTPSSAATHGLRTDRTAGSVEVMMAGAADAGKGAAGENNAPTKSACSAKPALKPDENIVGTSNVPPLATPLQAAMNMLSTPISPNADAAATQDELEAQR >cds.KYUSt_chr1.23012 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136540437:136545202:-1 gene:KYUSg_chr1.23012 transcript:KYUSt_chr1.23012 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVDDKVGYFQAITGIPDTDLCTEILAAHNWDLQLAVSSITGNPSSPEPSTYAPPPPPPLEDDFVPLPPLAPAPPPPPQQQQQPGIAWRLVTLPFYVVSGGVGLITGSIRLGVWVAGGVLSRSLSLLGLAQGGGDGLHGLPPSAVEAVDFAAEFEHEFGPGRGPRFVAEGFADALQRAHREYKLLFVYLHSPDHPDTPAFCGGCLCSEPVAQFINENFVAWGGSIRRTEGFKMSNSLNASRFPFCALVMASTNQRIVLLQQVEGPKSPEQMITILQRVVEECTASLVAGRIEAEERLNNQRLREEQDAAYRAALEADQARERQKREEQEILEREAAEAERKRKEDEEAQARAVQEAAEREAALARRRQEKAMALGAEPEKGPDVTRVLIRFPTGERKERRFHSSTTITSIYDYVDSLDCLKAEKYSLVSNFPRVTYGPEKNSQTLVEAGLHPHASLFVEIEQ >cds.KYUSt_chr6.16396 pep primary_assembly:MPB_Lper_Kyuss_1697:6:103133084:103137555:1 gene:KYUSg_chr6.16396 transcript:KYUSt_chr6.16396 gene_biotype:protein_coding transcript_biotype:protein_coding METGMVVREEDSEQDTLTTTTHVGTACLPAAESFEVEPQVTPRIGGRVAAWRGDVQNRSGERKHRGEDRFDLGIEQQNRVERCYWLEIWGFRFRVGAGIPGVAPHYTPPPSTFNVLLHSY >cds.KYUSt_chr5.14973 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96735296:96737863:-1 gene:KYUSg_chr5.14973 transcript:KYUSt_chr5.14973 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTKTRKLVKGLAKPAPAWLKAMEQAPPVVFPRTDGEIKKIELPEDVYVKKFFRKHPDSLYHDAVKISGFAPPPARVFAWRVLELKEQGVNEEDAMAVADMEYGAEKKAKKQAYKELKQIARREGKAPPPNPYPSAIKEIQAEEKKYVKDRFYNPKVLEIVNKMKLDRQMFLQDRASASGDGQ >cds.KYUSt_chr2.3857 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23229554:23235581:1 gene:KYUSg_chr2.3857 transcript:KYUSt_chr2.3857 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARDLADGGLQRRRDRALVSSLPIPHVSLSSSSLLLATLAMALKSDACICDGQAAHFLLGCGPVSSGIADLTKDLHHRDNSMFQSEEVVLLQGSELQHVDAQMALEAATEGVDFDVSMLQRMLKKLVKASFPNTEYSVHPDSCI >cds.KYUSt_chr5.31838 pep primary_assembly:MPB_Lper_Kyuss_1697:5:201875984:201876614:-1 gene:KYUSg_chr5.31838 transcript:KYUSt_chr5.31838 gene_biotype:protein_coding transcript_biotype:protein_coding MWDAIAAAVPGKTPEDVRRHYELLVEDVDGIEAGRVPLLVYAGDAGPDDGGGSGGGGGKKGSGVDKGSSKSAEQEHRKGIAWTEDEHRGANIVALRVWLTQELKGQDCLIGDSADQLVYWS >cds.KYUSt_chr5.358 pep primary_assembly:MPB_Lper_Kyuss_1697:5:2453933:2454616:1 gene:KYUSg_chr5.358 transcript:KYUSt_chr5.358 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVLQQIALVLLVAVAATDAATITVVNKCSYTVWPGALPGGGVRLDPGQTWPLTMPAGTAGARVWPRTGCTFDGSGSGRCITGDCAGRLACAVSGEQPTTLAEYTLGQGGAQDFFDISVIDGFNVPMSFQPVGGASCRGASCAVDITKECLPELQVAGGCASACGKFGGDTYCCRGQFTDNCPPTKYSQFFKGKCPDAYSYAKDDQTSTFTCPAGTNYQIVLCP >cds.KYUSt_chr7.28603 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178370475:178374337:1 gene:KYUSg_chr7.28603 transcript:KYUSt_chr7.28603 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGNTSSTLDIAGTASAASVDKSVGGSRFSPGSPTLVWIRKELVQTRSFTPADCFPARAGFLPKPKVIRFSELWGAVEGKKSFVEVIGSGQGMFPMIQPNMWNMPLNQWSQFFGNQQIPQPGFNPLMMLPQGVPPSIPQSNSQGSSASMIPSQQQQGSGASKNKKKNQKSAASDGSKNSGDRVGANLQLSVASGPGPALDPKFKNVTCYNCGELGHYVGLCTRIKRCFICSKTGHHMDNCLMWYSLLPTAQYWGSANPGLGFFHVEVEGPEAVQWLNMDNVGMVVVKEGEISAEELEKCFNDMWKVNWFWQIRQIAPKKFLVRSFYKEVRVKVAVRDKTKIPSNKLFEMEQCFFLIDFLVETEDDAIDVEDDDDEDPGQGNEDDDVDEDNEIGDDFKTMDKGKNDGTNSRMETEPSIPPAGRSGANSAVQQNLESFVQGKVYGKEPCVLDNNALVLRNAEENIGKNLLQHFDEESDEEAEVATHKGVELMNNNSTHVKPPVAWKEKKQWGPVQATRMNSRIPRDGKTVIEKAQDLKKAKNLEIPKGNKIHGFSNSFAALDNPILAVNAKNAGISLGHRNLNVDSVINKIKEVETKRLVDFHTSNPVSFLPSDISLSREELRFGLEDSGERRRKKQICQLQGDEGMVDDNKEDMVSQEHNEMLNAPFSEKEVKDAIFGSYAEGAPGPDGFSFLFYQHFWELIRDDFMAM >cds.KYUSt_chr6.25038 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158619115:158624138:1 gene:KYUSg_chr6.25038 transcript:KYUSt_chr6.25038 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAVVGPTLLTAPFTRSPKCFRQGPHRAIHFHFLLCSKAKSRASAMTSPTPPPSSSLRELLARERSESQYALPSPPRRTLSSRHASPPPRGDSDAVGTVVSMLSGYAGRFSKDPEFRRALREKCAPWLAPAASERREHAVLADLELAIESVERLADAAPSPRDSKIRSLRNSIRLLGVVASLHAPPHPTAAEDRGCAYTCGVPNAHLAACAQLYLAIVYRMERDSDLAARHLLQVFVEAPRLARQSLLPDLWAHVLLPHLLHLEVWLADESELAGCDDDDGRGSSKTRTLQRLYDDQMDSGTAQFAMYYKEWLKCGADTPPDAPSVPLPSLPGEFGNGEKHSLSMRTSSINRNLYNAVFGTAFEQENVKDAKLPDEAGFVLDMDVQLDENPPSFKMEKLAHRNIGLQEKCSGIRKESTTRETAPTPRKSYSFRLLPCRGDLSRNVISHPKVPKKGIASVEKELDGSEVAVTLGRAVSIVSNSDSLTQCEYAVHEIARACSNLGGGPNLGTWLACPSFIQGLLEVTFTSNDDAVLESAILIMGELVLKNEVNRQILLNADPQLEVFLRLLTLRSNGLFLKATAVLYLMKPRAKQMLSMDWVPLVLHILECGDEVQLLSSMKCSPKMAALYFLDQLLTGFDIDRNVENAKQMIALGGLDLLMCILELGDTRESKICISLLTTCIQADGSCRYYLADNMKKEPVVQLLVRNQKTSAAALNLMSELTCLNRTAQMVEFLKELKNGGCLNTMQILLVYLQQAPLVQHPLAAVMLLQLDLLGDPLQYSVYREEAIDAIIAVLEHSLHSRKVQQQCARALLLLAGRFSSSGEPIAEAWLLKRAGVDGSLSESFRRTEIFKNKGARAEEEKVVEERLKKLALVLLNTGNKRFLIALSNCISDGIPTLVRACLVTVTWMSSSLSPLHGCNTFQPLACSILAPELLDRLSYDRVLEERVLASLSLLNLVRHPECLEKLFPLKKDITESLRDLAEVTWTAKELLFACCRRQTDT >cds.KYUSt_chr4.27190 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170858114:170860642:-1 gene:KYUSg_chr4.27190 transcript:KYUSt_chr4.27190 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIISLVLVITVSFSLLLPCHLAATASRHSLLRGASIAVEDHETDFLGSPDGTFRCGFYLVSPTVFTFSVWFAGAKESAVVWTADRLRPVHSKGSRLTLDKHGSALVLTDYDGEPVWNSSWAGARAASRVRLDNSGNLVVEDANGNKLWQSFDSPTDTLLPRQPLRATTRLVSRADGGSDGRLLSSGYYSLGFSDYAMLSLFYDNGNFSSIYWPNPYNNYIDNKRRIYNFSREATMDALGQFFSSDNANFQTADLGAAGMRRRLTLDADGNLRAYSLHATKGTWAVSWMAFGNPCIIHGVCGANAVCLYAPAPSCVCAPGHERADRSDWSKGCLPMFRQHDCATPTKLMELPHTDFWGYDLSDGERTTFDDCAKRCRERCSCVGFQHKEHSNMECYLKSVLFNGRTFPGLPGTVYIKVPADFVVPKIHVHQWQEHVQGQGPLHFLEDNVTGCTTPQGYLLLNVSALSQDHGRDAAAKPVWPYLYGFLSAMFVVEAIVIGLGCCCLFSKKGLFRPSSPVYPMDEGYKLILLTSSFQRYSYAAIKKATANFADVIGRGGSGVVYKGILEDGRVVAVKALTTSISRCHGEEEFEAELSLISRIYHMNLARIVGCCSQGKHRILVSEFIENGSLATMLFPDDNDDHEVLGWSQRFRIAVGVARGLAYLHSECLQWIIHCDMKPENILLDRDLEPKITDFGLAKLLDRRRDGSGSGARTAGLNNSNPSRRIRGTRGYMAPEWVSNLDVSDKVDVYSFGVVLLELVRGVRVADGGENTDVRAVTKAAREKMRSGCVEDLVDERLAGEFSRSQVEVLLGTALSCLEEERSRRPSMGAVVQALISVEDA >cds.KYUSt_chr1.38164 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233126505:233138951:-1 gene:KYUSg_chr1.38164 transcript:KYUSt_chr1.38164 gene_biotype:protein_coding transcript_biotype:protein_coding METSTPQSPSPSPARSPGRPAKPRLFIQEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNFRVVEGSDFVITRVAFRDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKSQSPHDEGFLEYLEDIIGTNQYVEKIEEANKQLEVLNEKRTASVQMLKLAEKERDSLENAKNEAETYMLKELLLLKWQEKATTMASDDATSHVTQLKDNVTDLEKNLTSEREQIKQNTQDLKKMESVYNKHVKRQEDLENNMKTCKDQFKEFERKDVKHREDLKHLKQKIKKLEDKAEKDVAKIEGSTKEIEESSNLIPQLEEEIPKLQEQFNQEEKVLEQIKESSREETEKLRAELTKVRTELEPWENQIIEHKGRLDVASAEKELMKQKHDGARAELTDAQNQMEIIKEKIKTKDTHITELQGKIEKHQSEASEARKVESECLKEEETLIPLEQAARQKVVEIKSTRDSEKNQGTVLKAILQAKESKEIDGIYGRLGDLGAIDAKYDVAISTACGGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQSHHLRKLKEKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTVVANDLDQATRIAYTADSEFRRVVTLDGALFEKSGTMSGGGGKPRGGKMSTSIRESVSEETVINAENDLSKLVDQLNRLRESINDAKKRYRSLEDAKSRLEMELAKAKKEVESMNAQYSYNEKRLDSLKAASQPKDDELGRMKELDDIISTEQVELKKLAKSSSKLKDKASELQQKIENAGGQVLKDQKAKVANIQSELDKTSSDINCHKVKITTCEKLIKKLTKGIEEARKETENLVSQKDKLMSVFKETEKKAFLVQEEYKKTQEMIDSHKEELDKTKEDYNKTKKVVDELRASEVDAEYKLQDTKKLAKEWEMKVKAFGKRLVDIQANLAKHMDQIQKDAIDPEKLKETLSDEHLNEMCDLKRAMEMVALLEAQLKDLSPNLDSIAEYRTKARLYGERVDELNATTQERDDLKKLYDGLRKRRLDEFMAGFNKISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMEAV >cds.KYUSt_chr2.28186 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172990403:173001212:-1 gene:KYUSg_chr2.28186 transcript:KYUSt_chr2.28186 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSPPQAPPEPQPLEEEVLVPHQDLPNGAQPMEVVPAEPAVTVENQQIEDPPISRFTWTIENLSRVNAKKLYSETFVVGSFKWRVLIFPRGNNVEFLSMYLDVADSSVLPYGWTRYAQFSLSVVNQIHSKFTIRKETQHQFSARESDWGFTSFMPLSELYNPSRGYLVNDTCIIEAEVAVCKVVDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPAGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDGKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTAQIGKDIYFDLVDHDKVQSFRIQKQMPFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEEALTVGQLKEAANKAHNAELKLFLEVELGLDLKPLALPDKTREDILLFFKLYDPEKEQLRYVGRLFVKVSGRPQDILPKLRKMAGFLQDDDVELYEEIKFEPNVMCEYIDNRIIFRSCQLEDGDIVCFQKSPKPETADQYRYPDVPSFLLYMRNRQLVHFRLLEKPKEDDFCLEMSKIFTYDEVVEKVAEKIGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVDRLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPDAELRLLEVFYHKIYKIFAPSEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERLQKKLKVSDEDFSKWKFAYISLGRPDYFEDSDTVATRFQRNMYGAWEQYLGLEHPDTAPRKAHTANQNRHSFERPVKIYN >cds.KYUSt_contig_1181.797 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:5422151:5426654:1 gene:KYUSg_contig_1181.797 transcript:KYUSt_contig_1181.797 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKFSLSFSGQQRLRASSNGPTKIINGIITCLFFTFPFTFPFTFSILHESITEMSKIAFIDEIIPFFIFFHYNPSFSMLGPTIIAWHETVPKQFPCNAYATQSACAEVVVNLTRFADAVLSVQVKKLHPRTLLDGANGSLAAELCTGRAMEVRLRPGRTLRGGVRSHLDLFVTSAGEFRLAERQNGGNVEPERIFGGAAQAAWRHDVENVSLHDSDCGKLNFPLGSKDHVPELFAPPHHGREAQLVREDREAWERLEAERTGEEYMAILRCQTGMCVWKSF >cds.KYUSt_contig_3248.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000632.1:54990:56870:-1 gene:KYUSg_contig_3248.6 transcript:KYUSt_contig_3248.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGCEVEAGGINHHITVSSRPHPLKIWSRPDDLLLDAAPAVPGDAPPSSMSGSSRCRLVLRNVACRARPGELLAIVGPSGAGKSTLLEVLSGRLHPSNSGHLRVNGTPVDAAALRRLCGYVTQQDVLFPLLTVRETLHFSARLRLGPAAYAPAAVDALVSDLALSRVADARVKDLSGGERRRVSIGVEAVHDPAVLILDEPTSGLDSASALQIVAALRSMADSPRGRTVRRRARLLLADAGLRLPPHVDTVEFAIDSVDDLRVHLHRHQRRDRCTLQQLFHQHKLIQAATDDDDDNSSHGYANSWPREVAVLSQRFFKNVARTRQLFACRTVCMLIAGLALGSIFYDLGDDKAAERVGLFAFLLTFLLSSTTEALPVFLQEREILAKETSSGAYRVSAYAVANAAVFLPFQLVLAAVFATPAYWLTGLRRTAPAFGYFMLLIWLVLYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFITKSAMPAYWVPMHYLSLFKWPFEAMLLNEFGGRCVARAMGVCVATGDEGQVRPHAQGRRRTISIILLLAVLLLI >cds.KYUSt_chr7.20068 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124310535:124310954:1 gene:KYUSg_chr7.20068 transcript:KYUSt_chr7.20068 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDSEQAIGDANVAAATPQKVAPGIGFSDSELGKHVDAKAESDKTMGEEEKTVPETKDTAPDDTVEEEDDDRGHYYTTDEELKWSEDESDDDDVEFQVVVDRVTAKYNRYMQKLLARDTSVVFTDITFDSEGEAVYA >cds.KYUSt_chr6.30753 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194975739:194976914:1 gene:KYUSg_chr6.30753 transcript:KYUSt_chr6.30753 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPCSRPSSSAAPPLDVDDLLSEILIRLAPQPSSLPRASLVCSRWRRLLSDRGFRRRFRLHHRRSPPLLGCFVTTFDDLYFEPTMDPPDRVPRDRFSLESLRGGTFLLLECRHGLALILYRNQLQVLVLDPINGSQHRIAFPPGFDTVKARIHIHGAVLRAAADAQHFQVVLVGVEENDLQPQLARVFACVYSSETGGGGGWGDLISIPLPPVPLQGVPAMIYMAIPSVLVGDSLYWLLPGNFFQIIEFDLGKQSLAVTGVPVDMYGGTSYQCSLMRADDGGLGFIFLSDWSAQLWKRKTGCDGAASWELLRRTIELDKLLSLNLRREKVSGIVGFAEENNVLFLSTALGVFMIQLDSLQFKKISETNSFCVYHPFESVYTAGNIAFTL >cds.KYUSt_chr6.15655 pep primary_assembly:MPB_Lper_Kyuss_1697:6:98371074:98375083:-1 gene:KYUSg_chr6.15655 transcript:KYUSt_chr6.15655 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTLEVLLIGAKGLENTDYLCNMDPYAILKCRSQEQRSSIASGKGSSPEWNENFVFTVSDQATDLVIKLMDSDSGTADDFVGEATIPLEAVYTEGNIPPTAYNVVKGEHYCGEIKVGLSFTREVVFIALSRVVVFFSELERMERLSWVAVPPPPWKPQQFTTAEHTAGPPFPELLLPLDTSFLDGQATCSKNAGLVKNYLHAK >cds.KYUSt_chr3.6656 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38248170:38253334:1 gene:KYUSg_chr3.6656 transcript:KYUSt_chr3.6656 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLVSAATGALRPVLEKLGAVLGAEYKRFKGVRGEIKFLAGELTAMHAFLLKMSEVEDPDAQDKAWMDEVRELSYDIDDSLDDFMLLDDDNGISKADHGFIDKIKSLLKKTRSRSGIAKAIQDLKKQVVVVGERHARYKIGEAISRRGSAAVDPRALAIFVDMSTLVGMDGPKQEVIDMFTEQSESGCESTQQRHPKVVSIVGFGGLGKTTLANQVYKEMKGEFQCSAFISVSRIPDTMKILRAILSAVSGRGYEKTDDVNEQQIIIKISDFLEDKRYFIVVDDIWNVEIWDIVKCAFPKTSCGSRIITTTRINDVAQSCCSSSGDHIYNIRPLNMVHSKQLFHKRLFNSKEEYPSNLKDVSDQILQRCAGLPLAIIAISGLLANRPTTKDHWDQVRTSIGCGLERNSSIEKMVKILSLSYFDLPPRLKTCLLYLSIFPEDSIIEKQNLINRWIAEGFIREELGYTVDEVGEMSFNELINRSLIQPVRLKKLTGEVKTCRIHDTILDFLISKSIEENFVTFVGVPNLTIGTQRKVRRFSLQGGKEGNITLPKRLISSQVRSISVFGDLEEIPSLEKCNHLRVLDFQGIFLGRNPFANIKSLFQLRYLNLRNTDASNLPEQIVHAKCLWMLDLRNTNMKELPAAIIRLENLVHLFTDCDVKYPDGMAKMQALQTLKQIGTSLQSSNFFQELSQLKNLRKLRLEFDYDPTEVIKKHMKVITSSICQLSILSLDSLRIGREEDEETEIDLSLDDNFLLQQWCPAPRSLRELEICLIILYVPDWVGPLVNLQRLFLWVKKARQEDLFTLGGLPILSSLVIGVEGEVESSRMLTVSGANGFPCLKSFFFSAYELGVELMFLEGSMPKLEFLSIYFNAVNTSSGAFELGIQNLPCLDTLDCTLYGVSESSDWIIQAAKAAMERATATHRNLPGLAITTRKYYMNHWMVCAESRQHILKGARVGELQRSSEVLHTLTSTTDTHLSWDHPFVMY >cds.KYUSt_chr4.28777 pep primary_assembly:MPB_Lper_Kyuss_1697:4:180853015:180860092:1 gene:KYUSg_chr4.28777 transcript:KYUSt_chr4.28777 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLIHPILSNQALRQPDGELFIWGKNSSGQLGLGKSAGKIVSTPRKVDYLADVRVKMVALGSDHSIAVTEEGEALSWGAAGAGRLGHGHKSSILGFAMASNEYTPRLIKNLDGIKIKRVAAGMLHSACSDEKGTVFIFGQKTEKVFGRSNDVPRPSVVEEIKFSEEVACGGYHTCVVTDRGDLYSWGSNENGCLGLGGTGIVRSPEVLRSSLFKLPVSKVSCGWKHTAVISGEDIYTWGWGGANGTFFEEGHSSGGQLGHGNDVDYFEPMMVKFGKNARAVHVSCGFNHTGAILEYKN >cds.KYUSt_chr3.36171 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227439361:227443721:1 gene:KYUSg_chr3.36171 transcript:KYUSt_chr3.36171 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGNLDAAIESLLNVEKQCRLAGDVAGTRKAAVDIVELCFKDAAWKTLNDQIVVLSKRRGQLKQAITAVVQKAMEYIDVTPDVDTRIELIKTLSSVAAGKIYVEIERARLIKRLAKIKEELGKIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADTSKEKKKPKDGDNMVQEAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKSIYEIPSVKEDPAKWIPVLRKICWYLVLAPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVIQWTKLWEFFKDEYENEKNFLGGALEYLGCFEVLFKDHPEEDCGSSLLELAGLVTNLPSIVQLQEAEKHLSDMVNSKALIAKIDRPMGIISFRTAQDSNGVLNSWASNLEKLLDLVEKSCHQIHKETMIHKVVLKA >cds.KYUSt_chr4.10459 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63491981:63494545:-1 gene:KYUSg_chr4.10459 transcript:KYUSt_chr4.10459 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFRMPYLGKLSLVYSVVLPEISVPMWWGSRFVEVKRHHLRRELIIAIALASIAGVAIVLAALYAGIVWQRYRRAPDDFKDTQSTDTARIALVPILNNFNSFKSSKKCLVAMMEYTSLEKATGNFSDTNVLGVGGFGCVYKANFDGGFVAAVKRLGGEDQEYEKEFENELDLLQRIRHSNIVSLVGFCIHEDYRFIVYELMENGSLETQLHGPSHGSALSWHIRMKIALDTARGLEYLHEHCSPPIIHRDLKSSNILLDSDFNAKISDFGLAVSSGNRSKGNLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRRPIEKMAPSQCQSIVTWAMPQLIDRSKLPTIIDPVIKNTMDLKHLYQVAAVSVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRATLESPRVSQHRSPC >cds.KYUSt_chr4.17658 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110582368:110588464:-1 gene:KYUSg_chr4.17658 transcript:KYUSt_chr4.17658 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPTKPPPPPRPKTRGSYNCGRCGQPKKGHVCNLPSPAPAPASPSPSTSSTLRRALSFDDATPTSPDKKPRPHHDDGDDDDPMDEDDDEDAMDLGGRAVPTEVVLEVLRRLGPRGVLEAAAVSRAWRDCAARVWRAAEELRLRPAGGGLVAALLPRCAALSRLLLHMQSDVDATMLSCLAFSCSSLETLEITMADKAVNSMTGEELSRLVSEKHSLSSLKIGGCSNLGFINLSSSSLEVLWLSDLCSVSKSVINCPNMSELSLCFTQQSNDCTDLVSLVDGLGHSCPNLRNLHISSVQLSNEAVSALENANLRGLCMLSLILGSKVSDAAVASIVRSCASLDMLDLSGSSISDNGVGMICKAYPHTLSRLLLALCPNITTSGIQAATAQLPLLQLMDCGMSLRSNLQNEKQGAYFGEINGRIRLCPKLPTLKKQPMRQKLIIKHENLKKLSLWGCSAIDALYVKCPELNDLNLNSCTNLHPERLLLQCPNLKNVHVFGCQDMLIGAIRNQVLNEFAAAEPHLPCKRLADGSKRVQLSEFPEKQASVISRSFVSTKHHIRASAHSFVCFSHRRTKE >cds.KYUSt_chr4.50915 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315698056:315702256:-1 gene:KYUSg_chr4.50915 transcript:KYUSt_chr4.50915 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARRCTDLSSLSPPPALLSRLCSAASRLLQVSAPPHHTPISLGHSADHLAGGALNFHLSHTLRLCFCQTRQYGSRKEGDGGGMRNARSSSGSRPGFIDRSSWRCFDSRAVGIHPGAIPLNCWSVLQKLKRKERSYLAKQRNRQTQVSSFSTNCSEESGGDDQGDILRWKNSLKRDFTINSLFFNPFNNRVYDYVNGVMDLRKNKAAYLSDQMKGRSSDKDLMLMKLLANLDKFFSADWPCHSSLWLGLLAFHTALVNAPQDAQVIKAFAALMHFGTWDSAVEFLKQDVGAPAIFVPEALGPSQAKLDDNLMKQISQLASLVNSSVDTFTCLDSLKQSLARHSKASQFSGVVFVSARERSRVLGIFKGLDSDLTSYVETRGMHGIDYRLMEYGDAREVRFVLGKVILDTMCEESPPASTDAAAASAKPGADHTDGVHHPLSSLF >cds.KYUSt_contig_686-1.129 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:923085:924666:-1 gene:KYUSg_contig_686-1.129 transcript:KYUSt_contig_686-1.129 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVHVPEVSGSGSGNGSSLTLNPVQRALSRLSSSSAMTPRSPPPSYGNIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPEVRLADYFDVIAGTSTGGLVTAMLTAPNAQGRPLFAAKDINDFYLKHCPKIFPAVYGGPLGLLRSIRGPKYDGQYLHSVVKDLLGKTTVSQALQNIVIPTFDIKLLQPTIFSRYDALNDVSKNALLSDVCISTSAAPTYLPGHHFETKDKDGKIRAFNLIDGGVAANNPTMLAMTHVSKQILLGNKDFFPIKPADFGRFMVLSLGTGSAKVEEKYDATACGKWGVLGWLYNDGASPLIDSFSQASADLVDIQASVLFQALRCEKQYLRIQDDELSGDTSSVDVSTPDNLNRLVGVGKALLKRSVCRVDVETGKSVPDNNRGTNEEELIQFARMLSQERKARFQKKGASVTQ >cds.KYUSt_chr3.20104 pep primary_assembly:MPB_Lper_Kyuss_1697:3:124009813:124014876:-1 gene:KYUSg_chr3.20104 transcript:KYUSt_chr3.20104 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSSAGFRLLRRFLSTASEVASEAIPPVAKKEAHPVRKKAASKAAHGVAKDANKAAHPAAKVASESARPVEEDAKKAATKDSRPLYRRLSALGNAGEGSVSGVLNKWVREGRETRSVDLERYVKELRKYKRHPQALELMDWMVHTKGMNMSYTNHAIRLDLIYKVRGIEAAEKYFDGLPDPAKNHRTYGALLNCYCSSKKEEKATDIYRKMDELGISSSTLPINNLMSLYMKLGQHKKVASLFEEMKEKNIKPNNLTCCVLMTSYAALNKIDNVEEVQKEMEERNILGWSAYSTLASIYLSAGLVEKAESALKKLEGLVEASDGRQPFDFLMSLYASVGNLSEVNRVWDVVKDTFPKVTNTSYFSMLQALLKLNDADRMKQIFEEWESNHECYDAKLTNVMTRAHLKNGMAKEAELLWEKAKIKGGNFDSKTCELFLDHYMGTGDMKSALNWVENVTKLPKKTAKLDQEKIHKFSKYFEEQKDVEGADRFCRCLRLLGCIDGKAYESLLRTYLAAGQTSRSLRQQIKDDKIEICYDIGKLLTRMGDKGR >cds.KYUSt_chr7.27679 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172800952:172801992:1 gene:KYUSg_chr7.27679 transcript:KYUSt_chr7.27679 gene_biotype:protein_coding transcript_biotype:protein_coding MKASVKFRDDDRPLMRAKVPIGVLGLPFQSGLSAGGDPRELRFDLSTAFASGPALRLSYRPNDPSLPFALSIRAGVGALGSPARAPFSLAAEFNLLSANSGSPAFFLLLKPRLGDFSLSHTLRSSPPRTVGEASSDSKAQDQLNHKAFSLSGTAGTSGALLSGMRLATRSVLPLWGRASMRFNWGIRAPPGLQAAFADDRKATPVPVSKMPLLVISKISIEQSPRADADRKKDGGKAEASPPAVAATADASDGDEGFSLMRRQLEAMNAESGMLRRAVEDLRAEVGRARAVSVAAGGRLPPQPLHGFSAKPDRRGGGKEPAAENAAMPAPDDVGDELKRALEARRR >cds.KYUSt_chr1.1459 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7969756:7980828:1 gene:KYUSg_chr1.1459 transcript:KYUSt_chr1.1459 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPAFLLLALLLAATGDSLTVRPDCQESCGGVDIPYPFGIGTDCFHPGFEITCLNNTMPVLPASTGVTQVLELLVMPRPEARVMLPVAWMCYNSAGNATGSMEGGVNFNTDNVYRISNTHNELVVLGCNTFAYTMSWCTAHCDDALIAEYTFYSGCTTYCNDSRSARDGACAGIGCCHIDIPPGIGDNLMKFETWSRKDFSPCDYAFIVEKGSYTFRAADLHMNNQTSMPLRLDWAIRDSNINSTASLSCAQLSQANSSSACVSDHSECVDSTNGPGYVCNCTKGYEGNPYLPHGCTNIDECARPREEFPCKGVCRDTEGSYSCICRSGYRSNGDPRENPCNPNFTLAAKLAIGISLGISLLVVALLLVLIVVQKKKLDKLFEKNGGRILQNVKGLTIFSKEGLKKITKNNSELLGNGSFGKVYKGILPDNTMVAVKASIKVNEATKKEFAEELKIHSHMIHKNILKLIGCCLEVDVPMLVYEFAANGSLEDILHGNKKQKLSLDLRLDIAIGSAEGLRYMHLYTIQAIRHGDIKPDNILLDDNLTPKISDFGLSMLLKEEYFAKKVVGCMGYIDPVFMKTGLLTQKSDVYSFGAVLLELITGKKNVYDKNHSLIIDFCKLYEQEETGREMFDKEIAATDEDIFILEEIGKLAVECLKEDIEDRPDMTEVGERLVMLRRERKVQKIPNRSPSLFEYINMDDSANNTNISGTSKATCSVHSTSTSKDFDASP >cds.KYUSt_chr1.30951 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187469998:187477547:-1 gene:KYUSg_chr1.30951 transcript:KYUSt_chr1.30951 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDCVWSRRHIAFGNAGGTPVSPHGLGYSTPFDRAGMLLRVLLLVSSYSTTCSPGLPACGVYSSGDTRLADGLRSSRLQCTVEVDVACLHIPVDAPRNATTVLLQHQMSKILRKARKASRHEVDGHRRSNSSEATAEIDVPEADIGNRDGDDAEIGDDRRPDRTAGDQHAHPVALSPSSPVKYSGPC >cds.KYUSt_chr2.3380 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20203664:20204439:1 gene:KYUSg_chr2.3380 transcript:KYUSt_chr2.3380 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRDAGIGVGQNGDSASVGGENGRRKKVELLQEAIHGLLEENRVKQQQHGEEDGYIVTRDQEEDLLLSSLLSKDLESRKDVGLDDIAKDLNKIKRQNRITHILLGTIIVMTAAWQFNEVSFLLAVQRKLSNPFKSLGDMIKSSLKRGGQPMIEASPLPPVGVPDVSRSDLHMLAIGNSDGS >cds.KYUSt_chr7.31851 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198230426:198232227:-1 gene:KYUSg_chr7.31851 transcript:KYUSt_chr7.31851 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLKVEDEHTEEREQQAIPAEVNEVGRSHGVLARVENGVCGGWGKQRRGGVRSYGAIPAACASGRVARVLGGEEVNGEGRDVCPYAVMDPSKKQQLAAAPSPEKRPSTLLDAYEVECIRRELESLLLKQNAGERGAASGGSDDAAADILGLLRCRRRHGSKETTGASNTNRAPAPAPAPVRPAKKLSGGGTGLHLGKHAVKICGGAVAVSPAGANGRRPRRVGYREVEKM >cds.KYUSt_chr4.17905 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112200962:112203831:-1 gene:KYUSg_chr4.17905 transcript:KYUSt_chr4.17905 gene_biotype:protein_coding transcript_biotype:protein_coding MGLATAASVELFPYRGSRLAASRSPDQTLVAAAESGDPSSREATMFLGALPKKPSKEAAYKELRAHLYIMAGCIAAIRAAPYILHFLNREPEMTELKL >cds.KYUSt_chr1.8630 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52929225:52934444:1 gene:KYUSg_chr1.8630 transcript:KYUSt_chr1.8630 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADALEWVAYGPCGHREVCSTCVVRLRFVLQDTLCCICKTDCPSVFVTKAMGDYTRVISDFSVLPTGAVEGKVGEYWYHEDTKAYCDDADQYNMIRAMCRLSCSVCDNAEDQIGQGPQAKRRSRFRSIDQLKGHLFHQHRLYMCSLCLEGRKVFICEQKLYTRTQLAQHTKTGDSEVDGSDEVERAGFTGHPSCEFCRNALYGDNELYTHMLREHYSCHICQKLHPTRYDYFRNYDDLEMHFRKDHFLCEDEACLAKKFIVFQSDTEIKRHNAMEHGGRMSRSQRNAALQIPTSFIYQRNEQDQRRGRGRGRNAYHGRPDRDFSLSVRDGSTTADHALGSRVDSIAGPLQSLSVSSSSGRAETGQSSANGRVLEQLSFPPLQDQDIPDARMDAFPDETSFPALSEQQSRYALALNQSSRGSARLGDESLFPPLPGSSNKGSASTQQGLQSLAKNTLASRLQQRSKGTVKVLNSARPRTAENPEIVPHVSSSTQTWPTPDQGLVLSGSQVRIGTQSIRENGVMPPASSGSAWNSGGPNKMKHSVSTPNFVSGGPSVQPSSSTAYGNKNQLPQQSSQTLPVVEDVRQANKSLVERMRAALGMDEDRFSAFKEIASEYRQGVIDTSEYLSYVEQFGISHLVPEMARLLPDPRKQKELADAYYTNMRFKSFQENASGETITLKESKRKNKGKGKTPETETVPAKEVSELLADSFMDTVRKLQSDKMAQEGEAAVLSKGDYRSSKGRIPLAGGSSSGTNMSLDGDPGAISKVSGANRDVSKVGGSNSSNNSKQSKKTSKFLRARLGDNSLATLDFSRPDMSPERPERESQGQHTGAPVRGVWKNGAAQKLFSSNGRK >cds.KYUSt_chr2.45459 pep primary_assembly:MPB_Lper_Kyuss_1697:2:283478458:283482646:-1 gene:KYUSg_chr2.45459 transcript:KYUSt_chr2.45459 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCLGLRSVVLVWVAAAEAAAVVAASGPRQTVLALPSNMVPSPVTSKGHHWSSSSATYISRPKLVEVVNGLLGVMNLPSTCAIPYEHSAPEEVAANNAWLAKYPSALASFDQIITNAQGKKIALFLDYDGTLSPIVNDPEKAFMSPEMRTAVKNVAKFCPTAIVSGRSREKVFEFVKLKELYYAGSHGMDILVSSADSESKTNNDKETKLFQPASEFLPMISEVYKSLVEATRSIKGANVENNKFCVSVHFRNVDKKDWKLVAEIVDNVLKAFPRLKLTTGRKVLEIRPVIDWDKGNAVEFLLQLLQLDDPESVLPIYIGDDQTDEDAFKVMEFLNFLGMATQPNKGKGAWEGNEKEESVWEEAVRTVRKREKEEVARKEKEEHENKMAERARMQREYQEYIWREKKRNEKIKAERDRLWREKFQKN >cds.KYUSt_chr4.46944 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290468040:290469584:1 gene:KYUSg_chr4.46944 transcript:KYUSt_chr4.46944 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGQRWLPRLLLLAAMSVTPWLLIVYCHRSVFDAPPVSSPHPPSHLHFHSLQEAQLKKLPTATSSRALSAAARGGDAHRRSNANASDPCRGRYLYIHDLPPRFNADIVANCRHWYQWIDMCQYLANAGLGAPLDNADGAFADRGWYATDHFGLDVIFHSRVRQYGCLTNDSARAAAVFVPYYAGFDVVQHLWSNNATAKDGTPLALVEWLTRRPEWRAMGGRDHFFMSGRTAWDHQRQTDSDSEWGNKLLRLPAVQNMTVLFVEKAPWTDFDFAVPYPTYFHPAKDADILQWQHRMRGMKRDWLFAFAGGERPGDPTSIRHNLIRECNASSSCNLVQCRKGEKRCLVPSTFMRVFQTARFCLQPPGDTYTRRSVFDAILAGCVPVFFHLDSAYRQYRWHLPDDHASYSVFISEEDIRSGNASSVEETLRRIPQKVAEQMTETVIGLIPRLVYADPRSKLETLKDAVDFTVEAVIKRVNKLRKEMDDQGASSARGDVLMQTATKATRKVRSDN >cds.KYUSt_chr3.30150 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188704026:188706161:-1 gene:KYUSg_chr3.30150 transcript:KYUSt_chr3.30150 gene_biotype:protein_coding transcript_biotype:protein_coding MECENVAANGDGLCVAQPARADPLNWGKAAEELSGSHLDAVKRMVDEYRRPVVTMEGASLTIAMVAAVAAGADTRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVATAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISARKTSEAIDILKLMSSTFLVALCQAIDLRHLEENVKNAVKNCVKMVARKTLSTNDSGHLHSARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERDVQTSVFAKLAAFEQELRAVLPREVESARCAVENGTAAQQNRITECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNGEPLPIC >cds.KYUSt_chr3.12371 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73916542:73922151:1 gene:KYUSg_chr3.12371 transcript:KYUSt_chr3.12371 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFDSGDKGAAAPAPAPAAGDDGNSAPLPETVQIGNSPTYKLGRKLGKGGFGQVYVGRRISSPSLGDRNTGANALEVALKFEHRTSKGCNYGAPSEWQVYNTLSGNHGVPRVHYKGKQGDFYIMVMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPCGTLEEKRLFLVDLGLATKWKGTGNGHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGDNKGFLVSKKKMATSPESLCGICPQSFRQFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLIVEEDDEQPKKKIRMGMPATQWISVYSARRPMKQRYHYNVADDRLVQHILKGNEDGLFISSVSSSSNLWALIMDAGTGFSAQVYEISLHFLHKEWILEQWERNYYITALAGATSGSSLVIMSKGTSYAQQSYKVSDSFPFKWINKKWKEGFYVTSMATAGSRWAVVMSRNAGFTDQVVELDFLYPSEGIHQRWDNGYRITATAATLDQAAFILSIPRRKPNDETQETLRTSAFPSQHVKVT >cds.KYUSt_chr6.26156 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165710559:165711162:1 gene:KYUSg_chr6.26156 transcript:KYUSt_chr6.26156 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRSTWRKPCNPPRSPVTTSGGRRVVLITAPTLSKPSALPIPCTPSSGVCFAVLAGAVAGYVSSYDGDYVVVLLLGALFLAGMGFMLSNYRDREESWRIGRQLQRRRENGGCDPVPEELMRRLGALLEQGKISEEKMQRCHDLFVVEPVSDELVSRRWRTVSTII >cds.KYUSt_chr3.27827 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173712664:173715635:-1 gene:KYUSg_chr3.27827 transcript:KYUSt_chr3.27827 gene_biotype:protein_coding transcript_biotype:protein_coding MACGCKLLGASSLLFTVCLLAITADAAGPVPVRIAVYWGQNDGTEGTLATACSSGLYACQSAGVKVLLSLGGGAGSYGLSSTNDARSVATYLWDNFLGGSSSSRPLGQAVLDGIDFDMDTGDYAHYDELATFLSQYSKRGKKVYLTAAPQCPYPDASLGPALETGLFDNVWVQFYDNPQCEYPGGDLQGAWRTWTSSVNVSRAFYLGLPASKEAAGSGYVSPADLTSKVLPGVKAASNFGGIMVWDRYNDLVNGYTSQLQSKSNESGSDVIAGTSSLVGVCLFLLTFCVWYKKYYGKGSKNTPRIESFLQKQGTSHPKRYTYPEVRRMTKSFAHKLGQGGFGAVYRGKLPDGREIAVKILKDTQGDGEEFMNEVASISRTSHVNVVTLLGFCLQGSKRALIYEYMTNGSLERYTFGNSSAQDPNALSWERLYDIVLGIARGLEYLHRGCNTRIIHFDVKPQNILLDGDFCPKIADFGLAKLCKQKDSKISIGGARGTVGYIAPELFSRQYGAVSSKSDVYGYGMVVLEMVGARKQVIVSTDSSTKYFPQWIYDNLDEFCGTAGDIISSNATVLVRKMTLIGLWCIQLTPTDRPSMSEVLDMLESNSDDLQLPPKL >cds.KYUSt_chr3.5111 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28963237:28963782:1 gene:KYUSg_chr3.5111 transcript:KYUSt_chr3.5111 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPQAPPAALSAAGHDEAETKKLFSRFDADGDGRISPSELAAVAGAISPPPTESVRGREVGAMMDELDADRNGYVDLGEFTAFHAPGGRDLDAELRDAFDVYDINGDGRISVAELSKVLGRIGEGCSTEECERMIASVGVDGEGFVGFQEFKKMMSPDAAGAQAKPQPAASVTDDKPKTE >cds.KYUSt_chr2.38683 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239786084:239788706:-1 gene:KYUSg_chr2.38683 transcript:KYUSt_chr2.38683 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIIFALKSVPDKFKGWCHQNGVLLLVYDLMPNGSLDKHLFGRSDAPTLNWEQRYNVAAGVASALNYVHHDYDQMVIHRDIKPSNIMLDAAFNARLGDFGLARALESDKTSYTDKVGLGGTLGYIAPECFHTCRATRESDVFGFGAVVLEIVCGRRNSYHHPAGCSQLLDEAWELHGAGSVLKAVDPRLAGEFDEADAERLLLLGLACSHPNPWERPNAQAILQILTRAAPPPDVPPSRPAFMWPVRPFGLVNEDGEMSTSGSSATCSTVITASLLR >cds.KYUSt_chr5.18818 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121668436:121672847:-1 gene:KYUSg_chr5.18818 transcript:KYUSt_chr5.18818 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDRTAAMDGERRKLAARRWSRQRGGRRRVATAGCCVSAADATRRAQDRPGFAPPARDIHSSPCCAAQEFASAQSLASNGALVVVRLHRFLEEMIVLSSSPFSLWVSPNPSPLSEIHESGGGHQDVLLGEETSGSGQEGLAASGIRASSAPTRPLQLTWSSGRVLLPGAQSHPPLFEQCLLHIQASLSGSLFISLFCSSVQRNVVVEKALPLGVTTVSKIWIHENDSWRRVCARFLSIDVVSALEDAEEILRAVEYISKHDKMILIRLMWLEERREEIIIKKIKVNDFNMA >cds.KYUSt_chr4.15969 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98856127:98858211:1 gene:KYUSg_chr4.15969 transcript:KYUSt_chr4.15969 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLLRRLLLVAGLLAALLPAAAATFGPQPGAPCEPTLLATQVSLFCAPDMPTAQCCEPVVAAVDLGGGVPCLCRVAAEPQLVMAGLNATHLLTLYTSCGGLRPGGAHLAAACQGPAPPAAIVFAPPPSPAPRRKQPAHEAPPPPPSTDKPSPPPQQRDGAAHAKAVPAAPATSPLAPTAAIAPAAPQPPHSGASSEGITCAILIIIIGIIIWSFRQH >cds.KYUSt_chr5.43206 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272455635:272457052:1 gene:KYUSg_chr5.43206 transcript:KYUSt_chr5.43206 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLLGTSVGGKGGEATARSPPAWLRRAIDTEEAWAQLQFVVSMVLTNMFYYAVPLVSVMFSGHLGVIHLAGATLGNSWATVTGYPFVGMYNDDILAMPRGTNADVEERESKKKDFTFS >cds.KYUSt_chr2.50787 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317524878:317525957:-1 gene:KYUSg_chr2.50787 transcript:KYUSt_chr2.50787 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCCHDAGVKKGPWTEEEDRALVEYIQKRGGHVGSWRGLPKASGLNRCGKSCRLRWNNYLRPDIKRGNFSDDEERLIISLHAALGNKWSTIATHLDGRTDNEIKNYWNTHIRKKLLRAGVDPVTHQQLPPDHNGHLILDAASAAFLPETLLWAAAAATLGGGLDTGAIMQAQLLQQLLQVIGSNNGTTNLIANLAQVNALLNSSSNIVPSILLQDQMNKLSGANYLQPSYLGNISSFAEQNMMYQQLINTTACPGTSSSGADDPAAALVSRDVSPAVDQAPVGEFAGLLEPMMEMPDLCSLESSDSFWKDILEESYRL >cds.KYUSt_chr2.45686 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284947066:284948046:1 gene:KYUSg_chr2.45686 transcript:KYUSt_chr2.45686 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLQKPSPPLNRRAIFRIKIRTIFVVPATDDATTLDNATSLRASTITRPSPRARYTTPPRPFAVDVVDETPLHQQTSPGITSSKMIPQRVSDTDSAAIVRPKRSRSRVSPETCGKRRKICSHDTFNKVTTSKDAAIIGSDKVGSQLSSADRLTNLHMQLKRLIRRRGGRLHAAPRRSPWIPTTTAPSPPPEAEMNGGSPRRLKKPTNGRRPRRAPLPITTLIRTSPRAAMALDAVPCPGPEACHLFRPVAQGKRRCPAGGGVARDLSAAPPWRRRGRRMEEGWEGRRNLGFPPTATNGRHGICTNDMSFNVQMYKSSTSIDGRME >cds.KYUSt_chr2.31181 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192468535:192469098:-1 gene:KYUSg_chr2.31181 transcript:KYUSt_chr2.31181 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGRGCSSRYGSERLLYPVQVNGGGHGAADHLADLDEEDVWSVLAAAPAPDSGNGNGRQPEQDRRGGRWTAGGLSMAFEATAATAHHHHRPHVVASSAPVKVPEWPAARFSLSGGGEQQQQQQYYGVSCREDEGEWVAPHEYLQAQSGGRGTPAPSVFEGVGRTLKGRDLSRVRDAVWSSTGFFG >cds.KYUSt_chr2.7762 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48635005:48636885:1 gene:KYUSg_chr2.7762 transcript:KYUSt_chr2.7762 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQDDDHHHADEDSPLFRDSDSSRRRSGPSSTDPAMSSSDQDDDHTHDDDAPLFHDPRRRPSSSSSSAVGEVPVSQSFIKAASNVCFSFFVLAVLVVTVVAVTYQPPDPWLQSSAAITTSLSRVLPNSTFLHPDDSLLPTGEDFPSTSTSPSPSAAAAAQRDAADDQPTSPATSPSTCDPDAPLNCSDPRVLAAVRAFNAKAFFRKSIVFLSYEAPVPGPAPTQCDVAWRFRNRREKSWRRYRDYRRFTLTPGDACALDITSVGKFRSGKNAARPPTRPRNKKPHLAATPPPEADAEINDTIPILSSEAEFRRGRYLYYMRGGDHCKSMNQFIWSFLCGLGEANYLNRTFVMDLSMCLSGAHTEDGRDVNGKDFRFYFDFEHLKESVPLVEEGDFLKDWHRWDKKKKGSPGRMSVRKVPTYKTTPMQLKKDRSSIIWRQFEGKEPENYWYRVCEGRAAKVVQRPWHAIWKSKRLMNIVAEIAGRMDWDYDGLHVVRGWKAQNKKMYPNLDADTSPDAIVGKVTKLVKEWRNLYIATNEPFYYYFDKLRSHYHVHLLDDYKELWSNTSEWYNETTTITGGKPVPFDAYMKVIVDTEVFYRSKAKVETFNNLTRDCKDGINTCNL >cds.KYUSt_chr5.8317 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52563946:52565458:1 gene:KYUSg_chr5.8317 transcript:KYUSt_chr5.8317 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAESLITVEHAEASTAPVPAKMESAGGGKPAASGKPAMVLGIDDSEHSYYALEWTMHHFFAPGQTQQYHLVVVSAKPPAAAVIGIAGIGTAELLPRVELDLKRASARVIEKAKEHCSHVTDVSYEVKEGDARNVLCEAVERHHADMLVMGSHGYGAFKRAVLGSVSDYCTHHAHCTVMIVKKQKHHKKSSEHWGWGFHSKEA >cds.KYUSt_chr4.28699 pep primary_assembly:MPB_Lper_Kyuss_1697:4:180316767:180317192:-1 gene:KYUSg_chr4.28699 transcript:KYUSt_chr4.28699 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSRAEQQLALTRLQAEHRAAEMPAEAAVLEREVRRRAYTRAEAEAAAWLARRAAADPTEFRRTVISIGVMFSLGAALILASFLVPGISWRMQVQCWEAAAVCLIGVGDFVWRHPVVWLPAMRRWYHRWNHKPCNEALR >cds.KYUSt_chr2.42286 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263413898:263415229:-1 gene:KYUSg_chr2.42286 transcript:KYUSt_chr2.42286 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLSGSRLARFCFLASCIALAAHTSEASRRDLIGISIGKGGGISIGIGGGGGSSPPKQQPPSSEPQACDFENERIYRAYLVIQRFKRTITSDPQCITNTWTGTDVCGSYKGFFCEKPPNVNERALASVDFNGYMLQSASLVSFVDALPDLALFHANSNNFGGVVPNLRALQYFYELDLSNNKLAPCPFPTDVLGLTNSTFIDIRFNKFLGGLPAGLFCSFPIVEAIFVNNNKFDGKLPDNLGDSPVNYLSLANNQFTGPIPSSIARAANTLLEVLFLNNKLSGCLPYELGLLAKATVIDAGTNQLTGTIPASYACLRKVEQLNLANNLLYGVVPDALCRLAYDGHLTNLTLSGNYFTGLGACCWDLIKQGKLNVDRNCIPYAPNQRSHDECAGFFHRTKMTCPVSTHVPCYHKSYGVDAAAEEAKAAAEEAKYRTYSALKP >cds.KYUSt_chr4.44215 pep primary_assembly:MPB_Lper_Kyuss_1697:4:273890713:273893143:-1 gene:KYUSg_chr4.44215 transcript:KYUSt_chr4.44215 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAPAPPHVLILPYPAQGHVIPFMELAHRFLDRGFDVTFVNTKYNHDRVMAAADAATTTFTGLSSRLRLVAVADGIDAGGHENLVLLNAAIQVDIPPQLEPLLDGDGEGLGKVTCVVVDVAMSFALDVVKRRGITSAALWPASAAVLSAMVNARKLIRDGVIDDDGAPLNLKNNSFHLTESTPPMDATFLAWNYMGNPEAERMVFHYLDSIAQTAATKADYLLCNTFADIEPSVFTDATPTNIIPIGPLRTWRRPTRHAPLGHFWHTEDATCMSFLDTQPRGSVVYVAFGSISIMTAEQVHELALGLQASGRPFLWVVRPEQAGKLPVEFADDVDGSKKGKVVGWAPQEQVLGHPSVGCFVTHCGWNSTLEGIRNGLPMLCWPYFLDQVTNQTYICDIWKVGLRVASAEGGGVVTKQRMVELLDGLLEDESVKERVLKLKKMAETNMSEEGASLKNLNILMENFKR >cds.KYUSt_contig_534.268 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:1753291:1761974:-1 gene:KYUSg_contig_534.268 transcript:KYUSt_contig_534.268 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEAARLSPSLAAAFRSHRAPPAPPFLLRLPLLLPRRFLRTSASSSAASAGGDGRAVALPSSELRKRRNSSSGTSPPAEDSKLRSLRRLFARPDIAIDAYIVPSQDAHQSEFIAECFMRRTYLTGFTGSAGTAVVTKNNAAFWTDGRYFLQAEKELSHDWTLMRSGNHGVPTTIEWLNDVLPSGCRVGIDPFLFSSDAAEELKNAISDKNHELILIRDLNLVDEIWGESRPEPPKEPTRVHDIKYAGVDVPSKLSFVRSQLAENGCDTVVISMLDEVAWLLNMRGSDVPHSPVFYSYLIVEMSTATLFVDSSKVSEEVLEHLKKAGVNLKPYEAILSDVERLAEKGANLWLDSSSVNAAIVSVFRSGCARYLKKRGKTGRQTGKESSSDEPTTTSHGVQNSAVNGLYQVSPVTLAKSIKNEAETVGMKNSHLRDAAALAEFWCWLEEEVHKNVVLTEVQVAENLLEFRRKQDGFIETSFDTISGYGANGAIIHYRPTLDSCSSIGSDNLFLLDSGAQYVDGTTDITRTVHFGEPSPRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEENSFGIRIENLLLVKEVNLANSFGGISYLGFEKLTFVPIQIEHLFMRI >cds.KYUSt_chr7.34046 pep primary_assembly:MPB_Lper_Kyuss_1697:7:212643094:212643906:1 gene:KYUSg_chr7.34046 transcript:KYUSt_chr7.34046 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASKGAPLASLLKKLLAEPSASGALRPASLTAARRLLNTKGSEVRCYDDDDTSDESGSEYEDADDAGGRRRLARDFTAPSFFSQDVLDRFGAPTSLGRLLALMEGASSGAGLSPASASSPRCGGWSVAKEDDEAVCLKVVMPGLGKEHVKVWAEQNSVMIKGEGEKDPWADEDAAVPRYSRRIEMPADAFKMDKIKAEMKNGVLRVTVPKVKDEERKDVFQVKVE >cds.KYUSt_chr4.10120 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61217919:61226296:-1 gene:KYUSg_chr4.10120 transcript:KYUSt_chr4.10120 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKEDGVMKDSEKASSLMEVAKSSAGGGEDLGGAPPALAGKKRKDERASDNGGVAKRVLRSNSLKLRADAEPEGEAAAADGSRGESLEKGGCDEAMDEVQVEVDDAVQVAAKGSEENNVESSGVAVMEVAEGDGLDSQTSDKEKADEKTDTASHDVSGAGARRGSADDPQENKGTSQGEVIDPSATANDGELGTGLGTSNPSSGPESAQQDGAALCTEGVVLHSGDQGVEKGLASPVDETKRVSTPDIVFMRRKSLPRNASQTKCEEEPQVERRVTRSSTVRKKEVAGSSGKSSAKGASMETTKGRKEDVLHHYTRKVSNTESLKADHADLSKRSTSTKKHALNGKVTTRRNPGVTGNDDPANITENKASATAPKISLKPKGGGSIAKTTTKDAVSLVDQNVCSSAITEKNDTELTDSEGARSENKTAVQKSGLSVGAKIVASKKRLLESGSDKTGVESPVETPSMKKTKEMSSHTEVDQSTKSSGEMLTGKNSSSDKKGILKKRQHHSRAAESSRSVNPSIKTAIKLSHNEPDDDGTDISIANKRNCRGRREPGLHAVKEEEDSTESEELVTRKDRQKRKKAVHKQRSGSPKASYSKGNGKAENDAGAKSGDPGEQVTASLIEEKRKISDQIKTILLAAGWTIDLRPRNGRNYMDSVYIPPTGKGSYWSVTKAYAVYQSKQSEEKDGATSQSLSKQSPGSPGKTHSLPEDLLGKLKRVVVNKRRPKFELQKLRKKKHGLLKKSKNSKGKPKEGKISKERKKRGGCALLARGSKGGSSTDGFAPYEWKRSVFSWLIDLDVLPVNASLKCMDENRSKVLLEGSISRDGINCSCCSKVFAVHEFVAHAGGQVSKPYRNILVDGLDNDLLHCLISAWNKQSDSERQAFFDVSTEGNDDPNDDTCGICGDGGNLMCCDGCPSTFHMSCLELELFEELQSLLAVKKDLEPEFACRIIQCIHEDVPETVLDLDERVECNSKIAVALSLMDECFLPIIDQRTGINLIRNAVYNCGIHGTKLAEMPFIGTRHMYRRQGMCRRLLDGIEKILSSLKVEMLVIPAINELVDTWTSKFGFSPLEVSHKQEVKSINMLVFPGTGLLQKPLLNKSSPQEHPGPEGDEEAQGSEVMDSLNSSKGADTCQD >cds.KYUSt_chr4.53177 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329330864:329331375:1 gene:KYUSg_chr4.53177 transcript:KYUSt_chr4.53177 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGKTGYSSVESAALKSRKGLCAIFYLEHIEREEGGGGETSSEPEPVTAWLAASEMATVRMECGVPAPKKKVAGLRGMAEVAVDRRRGELGAGQDGEVHNSAPATGGVDSGIAGGGYREAGGGEEGRFTEP >cds.KYUSt_chr7.6927 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41762751:41767541:-1 gene:KYUSg_chr7.6927 transcript:KYUSt_chr7.6927 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAASSCSKEHQKIYSEWFAFADSDGDGRITGPDAIKFFGMSRLPRADLKQVWAIADSKRLGYLGFGEFVTAMQLVSLAQAGDEITPDSIKRDDLSSLNIPAMEGLDTLLARSKHLVKRVDQAGDGFPQAQGPSTDHWFTSKSSKKIPLTAVTSVIDGLKRLYIEKLKPLEVAYKFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTLAVQADMPFSGLTTFGTSFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYEFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQIDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVKESAVGPIGKELFEKEQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKNQMPTMMGKAKAQQRLIDNLEDEFAKVQREHHLPAGDFPFVDHFRDVLGGYSIDKFEKVKPKMIQAVDDMLGYDIPELLKNFRNPYE >cds.KYUSt_contig_7409.31 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001509.1:145964:148368:-1 gene:KYUSg_contig_7409.31 transcript:KYUSt_contig_7409.31 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHQQHLEDEDRLSSLTDDILLSILGRVSLRMAVSTGVLSTRWKHLPWLLPELSINVEDFLSVPCTEAIEANEREELAMVSLTKATRSFLADHQRESTISSLHLKLYLINTSLCEVGPLIGDAIDSGLLKDLDLTILDKTNPLDRSDEDMLQRAQDIDTFFGAYPSVLHCLTKLSIQNAGFDKLDMHHVLFDCCKQLKHLSLSYCDTGMYSDFKIDAPNSKLCFLEFDKCRFERLELVCLPKLEKLICGTWESHNVPVTFGFVPSLGELELSFGAAYYQCPFKLSELLHGTASIHTLTLDFQGEIVWLQPEIEELRPAFSKLKKLSVCGIFVEFDILWTTAFLVAAPSIEKLRIQVSDHPCEAGFRGGMYSDRVTPQWEMRFDGSENRLLKELEFGGFNSLEQQFTFIRSMLVRCPNLQKIILRGDMICEECDALDASLRNLKFPKKDEEEMVVERIRGGIFLPEIIFDEDWSLGI >cds.KYUSt_chr2.39487 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244835580:244848567:-1 gene:KYUSg_chr2.39487 transcript:KYUSt_chr2.39487 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGSGVDGEAFQGHFPVPAACRNRDSCPPDLGFAMAAALEENRNWHFGISSIGDALYSLRQSLKDANSVLQSWDPTLVNPCTWFHVTCNPDNSVIRVDLGNAQLSGALVSQLGQLKNLQYLELYSNNISGAIPPELGNLTNLVSLDLYLNNFSGVIPDTLGQLLKLRFLRLNNNSLSSRIPQSLTNISTLQVLDLSNNNLSGQVPSTGSFQQFTPISFGNNPNLCGPGTLKPCPGAPPFSPPPPFNPPTPPASRGGDSKTGAIAGGVAAGAALVFAVPAIGFALWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVASDNFSNKNILGRGGFGKVYKGRLTDGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPNEPPLEWEKRKGIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKIDLLVDPDLQGVYTEQEVEGLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAELAPRHNDWIVDSTYNLRAVELSGPR >cds.KYUSt_chr3.4278 pep primary_assembly:MPB_Lper_Kyuss_1697:3:24351566:24353978:-1 gene:KYUSg_chr3.4278 transcript:KYUSt_chr3.4278 gene_biotype:protein_coding transcript_biotype:protein_coding MAHITDEEIGRLLTSVMAKVQEQEETRKREERWDAMYRRQQSAEEYWYPNRNREKAQQEDWNPSDYFATRIWKTDDITSVPPMRYTDHLSSSCSPRDSLQIVSIKIASIRGGLRWPIQVFGMITARDVLDYRKRVIIYARARSNCQTITKEHPYLVLTGPTRAIVTCHDPGNIEIVLKVKGETESDDRDLSFLVLNLQSRGYCYFHGDYTSKRSTLELGFYHIDSAVEATISVRPMGKALLPPGGFQGVFTASTASGNFVEIVLLAFRDGKLPVADDGTINLSRRVVSIGYTREDQKDQLKVSIVARCAEHEQNATRNDLVFTPKIYGRSCGVFNLRTCRPIADEKHIQSSRLPAYANAYAIEVATAVFLNSIFKRDHRIDHARPAIDATTAPDDSTILRESIKLHPS >cds.KYUSt_chr3.18766 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115353518:115356439:1 gene:KYUSg_chr3.18766 transcript:KYUSt_chr3.18766 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAPADPKAESAKMDLLEDDDEFEEFEIDQEWDDKEDGNEAVQQWEDDWDDDDVNDDFSLQLRKELEEGSAPKN >cds.KYUSt_chr1.23614 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140617672:140621065:-1 gene:KYUSg_chr1.23614 transcript:KYUSt_chr1.23614 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVNASLGALGPLLVKLAAEKYAMLEDPDVQVKQWMSMVRELAYDIEDCIDKFIHRLDDDGRHSGFKEFFLKTTHQLKALGARRGIADQIDELKVRIKQVKELKHSYKLDEDTCSTLVVDPRLCALFADQAHLVGIDGPRDDLAKWMVEDKNIHSRVLAIVGFGGLGKTTLANEVYRKIQNNFDCQAFVSVSQKPNIKKTISDLIWKMPYPDGFTKDIDIWDVMTSIEKLRELLQDRRPTVKEEWEKIKRSIGSALENNQSLKGMSTILNLSYNDLSPNIKTCLLYLSVFPEDCVIARERLVRRWIAEGFICEERGLSRQEVAENCFYELINKSMVQPVSIGHDGKADACRIHDMMLELIISKATKDNFVTVIGGGQTRLVNHNGFIRRLSIQHSEKENAYALVKEDLSHVRSLTVSSSYYIRHLPGLIEFEALRVLDFEDCEGLEEYDLYNMGKLFKLKYLSLCCTGLSKLPSGIVMLCDLETLDLRNTCVQELPSGIVRLIKLQHLLVAVGTKLPNGIGDMRNLQIISGFSITRSPFFVVEELGNLENLSGLDIYFDCEGLEEYKKHETMLHSSLCKLGNHKLVSLRITRYGGSLGFLDSWSPLPFSLQIFYMSSDYYFKNVPRWIAPTLTSLAYLDINLTELTEEGLVTLGELPALLCLELWLKTGQQNRLITVQGTGFPNLKELGISGAVEAYVTFMKGAMPKLEKIGVPCLVPVAKTYGFYIGLENLTCLKQATIMLVKDKSTPSKNKAGATAIRKEAAIHLNHPTVYILGEPDCQKNEEDCNHRRS >cds.KYUSt_chr4.8312 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49713281:49714397:-1 gene:KYUSg_chr4.8312 transcript:KYUSt_chr4.8312 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTAEQRRCLGNFALVRQGQFGRVSWSAAEDGDRAASGASGLVESGHGRSLSPGAECGPLATPLVAGLSVAAAALGSRYMIQAWQAFRIRAAMPRVRRFYPGGFEREMSRREAALILGIRERAALDKIKEAHKRVMVANHPDGGGSHYVASKINEAKDMLMGKGKSGSVF >cds.KYUSt_chr5.4176 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26736498:26736785:-1 gene:KYUSg_chr5.4176 transcript:KYUSt_chr5.4176 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPPPSSPTAAHHDGDGGASARVTSRLYLHRPGPGAGALEKDAVLRRIRHRRRANRLQDTLRSLLVLQQAAPETDGDGERPPVWLDEDAFSSP >cds.KYUSt_chr4.7520 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44763447:44765877:-1 gene:KYUSg_chr4.7520 transcript:KYUSt_chr4.7520 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAAPKKSDSTRYYEILGVPKDAAQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDTYGEDALKEGMGGGGGMHDPFDIFQSFFGGGGGGFPGSGSRGRRQRRGEDVVHPLKVTLEELYNGTSKKLSLSRNVLCSKCNGKGSKSGASMKCSSCQGAGYKMQIRQLGPGMIQQMQQPCSECRATGETISDKDRCGQCKGDKVVTEKKVLEVVVEKGMQHSQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLTLTEALCGFQYVLTHLDGRQLLIKSNPGEVVKPDSFKAINDEGMPMYQRPFMKGKLYIHFTVDFPDSLNPDQCKALETILPPKPVSQYTDMELDECEETMAYDIDIEEEMRRRQQQQAQEAYDEDEDMPGGGGQRVQCAQQ >cds.KYUSt_chr5.32867 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208337471:208338445:1 gene:KYUSg_chr5.32867 transcript:KYUSt_chr5.32867 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAKRARESEATAAVDGAGEQAGISAVIPGWFSEISSMWPGEAHSLKVEKVLFEGNSDFQKVLVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRYSSVEQIDICEIDKMVVDVSKQFFPHLALGFEDPRVSLHIGDGVAFLKNAPEGTYDAVIVDSSDPVGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVTNCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPSVDFQHPVFTIEEDDYSTKSKGPLKFYNSEFHTASFCLPSFARRVIEAKAN >cds.KYUSt_chr5.41115 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259452554:259453408:1 gene:KYUSg_chr5.41115 transcript:KYUSt_chr5.41115 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPSKHARSRSGAGAALAAFLRSTAASFSSSTTNFAVRGKSSFNHRNAFSGPMVSIVPPEARGGGRRRGSKNQGGSGSGYRTPEASSPKVSCIGQIKRSKSSSKARKTKSRKAAKPAPLACGMDGGACPLPPRHPLPATSRPRTSLVRRMLFRRTRSRSSSSSSSTKPSCAATPDGFKGRRSSVAAAPAPAAGGLGQMKRFTSGRAALQDFDWTTDDDEQAEPRGSDADADGYDSYEDDGFVAHSAPLVLGGGVVASEPRKEVNLWRRRPMSPPTPLQLPSK >cds.KYUSt_chr4.42859 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265447614:265448499:1 gene:KYUSg_chr4.42859 transcript:KYUSt_chr4.42859 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARIPAFLLLLLGVIWSRAQLGAEAAGTTVFTLRNNCTHAIWPATLSGNSAAAIGGGGFELAAGATVSFPGPTGWSGRFWARTGCVASGASTLACATGDCGGAVSCSLGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVVATGAKVNSSTCGYAGCVADVNALCPAELQVTGKEGDLEGKTVACRSACEAFGTPEYCCTGAHGGPDSCGPTKYSKLFKAACPAAYSYAYDDATSTFTCGAGAQYLITFCPAQ >cds.KYUSt_chr1.26309 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158544521:158545186:-1 gene:KYUSg_chr1.26309 transcript:KYUSt_chr1.26309 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQPLTAAPSAGLLKPETRLHLVGLNPGRDVAGILVGVLTRIHPCAVSRSIFHAAAVCTVVSLALSLLTELRTCQDTGGCQGFMVQSMYLPSSPPLVN >cds.KYUSt_chr5.40076 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253176971:253178448:1 gene:KYUSg_chr5.40076 transcript:KYUSt_chr5.40076 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPRSLGRRRHSRSPRRSILGKRNTGALFPAAAPWASPLIEDGARRPLRTRRSPRYSQWFGCVAWRSSRRGARAGSAVLHEIGGGRRCEASPARRLLPRWSFAVVVLGIQTVVDCELDRNSSLATRRGSACSSRRIGVQRRGGHNNQVAGARAGAGKVCLGSGRLPGGQHWVTDLDFMALINSKYACCEHLYPDDDEDLSCKHKFKTWRSKIDGTGPGFHGEA >cds.KYUSt_chr2.7741 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48524868:48532221:1 gene:KYUSg_chr2.7741 transcript:KYUSt_chr2.7741 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIVELGVVPSALDPLIIYCDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEDGEVKICKVHTDPNVADPLTKALPRAKHHQHQNAMGVSASQLKFFSLMNESKANYPCLNCGINLNRLDRYLQMEQIEDSLGNFSGSDLFPENSFPSVTKTRMVKIHPESLQNIMHVLEVEYHRICDELGENERKNKIEISGSLEVKLDYMLNNLQEARKKRRGRWDEFVDVIHQLEGYSSSMRPVQFRPSAVLLSHRPNLSLKRLRELKYLLKLFQKDKNTPRHFKAIYLLCDDLNLDFAEEIFKSDKEDDGSTVFMLEDVVKRLEVLKKERLTNTANDSNVERKLMEEISKKKKVLSELVSQSHLNAQDYELDFSMHDVKAGKEDATLIIKKLHGLIMKLKKELKLRKDIIDGAEKILNTRSEEIQLLSFRSEIGGQTCEDHEDISMSPSISPPSSASVSSSDCKSNDSDYSPSLSASESSEDRKDSDYSPDSSQSDDNMQDFVVPETDSDSEDA >cds.KYUSt_chr2.49657 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310685730:310687754:-1 gene:KYUSg_chr2.49657 transcript:KYUSt_chr2.49657 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGIARGRLAEERKSWRKNHPHGFVAKPETLPDGTVNLMVWKCVIPGKQGGWKPSITVKQVLVGIQELLDDPNPNSAAQGFCNELYKKNMPEYKNRVRQQAKQYPSRV >cds.KYUSt_chr4.16245 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100794668:100797198:-1 gene:KYUSg_chr4.16245 transcript:KYUSt_chr4.16245 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRLLAVALLVAVVGFPAAEALGVNWGTMASHQLPPSTVVRMLQDNGIKKVKLFDADSGPLEALAGTGIEVMVAIPNVMLDMMTDYDTAREWVHKNVSAYSFDGGVNIKYVAVGNEPFLSSLNGTFLNVTFPALQNIQRALNDAGLGDKIKATVPLNADVYESPKDTPVPSAGRFRAEINGLMTEIVQFLNQSGAPFTVNIYPYLSLYGNDDFPLDFAFFDGTTSPVVDTATGISYTNVFDANFDTLVSALAAAGVGGLPVVVGEVGWPTDGDKHATAAYAQRFYAGLLKKLAANAGTPLRANQYVEVYLFSLIDEDVKSIAPGNFERHWGMTRYDGQPKYYMDLSGQGRNTALAGARGVEYLPREWCVLNTNSPPNNMTMLGDNIGYACTYADCTSLSYGSTCNGMDAAGNASYAFNAYYQVFDHDEEACGFEGLAVRTRLDPSTGTCNFTIQLETTSAAGPSSPPATATAVLFSVLAAMAVTLI >cds.KYUSt_chr4.35195 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216270525:216272113:-1 gene:KYUSg_chr4.35195 transcript:KYUSt_chr4.35195 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGAADEANTAPLLASKTEKPRRNMYAFGCATLASMTTILMGYNLALMSGAQLFIREDLGLTDEQVEVLAGSMNVYMLVSILAAGWTADLLGRRGTLVLANGFLMAGALAMSLGGSYAALMAARFVTSIGVGFALVVAPVYNAEISPASVRGVLSSLLDIFVNVGILLSYVSNYALAGLPVHIGWRVMYAIGVLPPVFLAAGVLCMPESPRWLAMRGRQADARAVLVRTSDTAAEAELRLEEIKRVVEAPQDAGVGVWRELIFQPSAMVRRILVCVVGLNFLQQAAGIDAILLYSPLVFKKAGMSSSHAILGATIAIGVVKTCFILVVSFFSDRVGRRPLLMASSGGVAMSLAALALTLCVGEPSPASAAACVTFVLASVAAFSMGFGSLPSTVSAEIMPLRLRAQGASLGMAVNRLTCGVVSMSFISLSAWITMPGCFFLYAGLAATACVFVFVRLPETRGRSLEDMDALFSK >cds.KYUSt_chr7.29214 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181781191:181783971:1 gene:KYUSg_chr7.29214 transcript:KYUSt_chr7.29214 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLEEMLPPRSPGRKDEQSSAVSKEVKRQLWLAGPLIVGFLMRNLIQMISVMFLGHLGELSLAGATMATSFAGFTGFSLLNGLASGLETLCGQAFGARQYHLVCVHKQRGMLILTLLSVPLAVVWFYAGSILLLFGLKDEDIAMEAGTYARWMIPALFAYGLLQCQVRYLQMQNIVFPVMLSAAATVLFHLAVCWVLVHGLGLGSKGVAIGIAISYWINVLILAVYVRVSSTCKNTWTGFSIEAFHDPLVFFRVAVPSALMVCSEWWLFETIILLSGLLPNARLETSVLSITLSTADCLYMIPSGLGAAISTRVSNELGTARPRAARLAVRVAMFFAISEGLVMAMILISVRHVWGHVYSDQEEVVTYVAKMVLLIAVSSLLDGIQSILSGVARGCGWQKIGACINLGAFYIVGIPAAYLFAFVLHIGGMGLWMGIICGILVQDLLLLAITLCTDWEKEATKVKDRVLCSALPTDLTT >cds.KYUSt_chr6.31177 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197537782:197541306:-1 gene:KYUSg_chr6.31177 transcript:KYUSt_chr6.31177 gene_biotype:protein_coding transcript_biotype:protein_coding MWNYSGPSNKTRVFKEDVDEDELKKVVHRLTSLTASDDIPITCRAKSFNKDHPTPSPFTREVIGLVTRYIGLEIEAERLKSAHAVERKRAEELEAKLEEAELAMRNQEDQWENEKSEMTARDAVIGQRLGHLNATLTKRTGVVEVLEEEQKVDPTLDALRLLEANNSRARNLLNSSRKTLIRLREQVLPEKPLAYDYSFEDLVNSFSGTATPIPVLEFRQAQCQAGVEVVIAMTMAHGEPIDWKNISLAYPKESDGKKSLQPFMRDAKKLARDFLVTAQPKKSLSAAPSASTATPEIAANFSSVPNPSDDMPLSPSRTPPRGIDTEGSALMRVMSVENSDRVAELQAEL >cds.KYUSt_chr1.37315 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228027521:228029424:-1 gene:KYUSg_chr1.37315 transcript:KYUSt_chr1.37315 gene_biotype:protein_coding transcript_biotype:protein_coding MPACAVPGHHHQSSRASDAAAAAMPPLLLRSPLSLLLLRRPRTPPPLLLRTNPPPPLALPRRRRLCTASAQLADPLVAPGVDEAVAGFVTGKRKATEVAHAVWRSIVRKGDTVVDATCGNGNDTLALLKMVADESGWGRVYGMDIQDTAIDSTSSFLKMAVDSQEMELVKLFPICHSRMEEIVPKDSPVSLVAFNLGYLPGGDKTIVTVPKTTELALQAASRIVSSGGLISVLVYIGHLGGRDELAIVESFASSLPVDTWVSCKFEMINRPVAPVLVLLHKK >cds.KYUSt_chr2.1211 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7133094:7137596:-1 gene:KYUSg_chr2.1211 transcript:KYUSt_chr2.1211 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFTAVLHLSIYMLHSVKREVRHAQGVHNVEGHKDVRAYLSPALLDAQLTPLGWSQVDCLREHVTKCGLAKKIELVITSPLLRTMQTAVGVFGGGNYTDEGVNPCDKRSSITKCRTLFPAIDFSLIENDEDVLWEPDVRETREAVAARGMKFIDWLWTREEKEIAIVSHWGFLRHTLNMYGKECHPTVAQELNKQFANCELRSMVLVDRRSVLIFVSLAVDALFFYNEDSLCNTAGCLDPIPILAISLGWTLAKLARTEHGKVDLDIIENMAVAHRAGSGISKALG >cds.KYUSt_chr5.29320 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185775739:185777715:1 gene:KYUSg_chr5.29320 transcript:KYUSt_chr5.29320 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRGLGGRGLLLLVLLLCCSALRCVVPDLTADRAALLAFRAAVGPGLPWDASAQSPCGWRGVTCDNATGAAGQRVVALQLPGAGLIGQLPPGTVGNLTALRTLSLRSNAISGGIPADIGSCVELRYLYLHENQLSGEIPEGFFSLGLLQRLVLSENRITGAVSSEFNRLPRLATLYLENNALNGALPPDLDLPNLQLFNVSGNQLSGPVPASLAARPASAFSGTGLCGGPLSPCTTTAAPPPPSPFSPPAPADQDSKNSKLSAAAIAGIVAGAVVALLVVVLAVIYLLCFRRRKTKADTSTETAAYGDEDLSPETVSVARRGAKGDVKRSRSRSAQMTTVGSDARKLVFVGGEPDVAYELESLLHASAEVLGKGWLGTTYRATLEGGVAVVTVKRLRELPIPEKEFRGTVALLGALRHDNLVPLRSYFYSKEEKLIVYDFVRAKGLSALLHFPNGAASLDFAARARVARACARGIAFIHAAGASHGNIKSSNVLVTDARDTAYVTDYGLVQLVGAGVPLKRVTGYRAPEVMDPRKTSQEADVYSFGVLLLELLTGRAPANSVPGSDAAADLPQWVRTVVQEEWTGEAFDAAIANETLVEEEMVRLLQLASDCTEERPDERPAMADVAARIEDIVGRALRKAASDSDEFHSVSADQSS >cds.KYUSt_chr2.54142 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337919574:337919969:-1 gene:KYUSg_chr2.54142 transcript:KYUSt_chr2.54142 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVCLRQPESVLASLRKPKTVKTLANQKAPPHPIPSLPQSPLPLRIDPEPPPRLLLRHPEQLPSRLSSSTYASPACCSAAVAPPVRFRAAAVAPLTLNLPCAGVGGAARGGAGTEVEEHGFGASRLLYE >cds.KYUSt_chr4.18780 pep primary_assembly:MPB_Lper_Kyuss_1697:4:117803315:117810528:1 gene:KYUSg_chr4.18780 transcript:KYUSt_chr4.18780 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVALLQFMMQLIATAMVGHLGEVELAGLHNSPDAGAGVASLLQLVAGASAAPPLSDGQPGGILRLTDATLNITILCRFRYLHGLACALETICGQAYGAEQYDELSLYTYRSIIVLFLVWVSVQVFPEGPDGGRDTNSGTRGKKSGRRDRPFADGQAVCTVCFWANGR >cds.KYUSt_chr4.27545 pep primary_assembly:MPB_Lper_Kyuss_1697:4:173096239:173103557:1 gene:KYUSg_chr4.27545 transcript:KYUSt_chr4.27545 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRGGEETAWEKEAAWEKEAAKNPLQTEEEMSEWESDPEAPNLLPISDDDDEDVVEEVTSEQGTAAALYGFHGVISVLFETPSGFAILGYDAAKLPEPEAWKIVWLRAFQPFEDKLHAINAAHVCEELDSMLKKHVVKGQLLAVGKQVYKTAIEEHVGINCLYSLPVRELMWGLEIQMRRFVPEEKSELTNEGFRMSKGMGDLLNRYNFEVNLNMVVTKRIIEMAGIVYECDRCVDKHNNSLRSVGKPQYVGDVYRFDESCHSSNASVFLSAVPKATVEDVEEGRTSVKKAHEAEQASKAASDHQIGHGINPAKIAELTECHTMLSANRKERQISQTLAPVDVLERYTQISSYPLNMTISPGILSMDIHPLKHCLYREHHDERQRRRNASWERSAAPDPARRHFVSLLLTAPSYQHRPLVVAGTIPTLFLCKLTGMSLHSERVERRDPPPDDGGARDCHLKTFLRRTATESEASLLAGRGKIARGRQRLLKSARRSSDDPGNTVFKKRNPDAQKGP >cds.KYUSt_contig_402.390 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2423358:2424026:-1 gene:KYUSg_contig_402.390 transcript:KYUSt_contig_402.390 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPTSPPPTRGRSSGALVIRDQPSSPQRGRKRKTVKNEAAAAAANQLAEEEAKRAEDAAVAEAIAGRRRTRCPPTTASPSTPCRSGPSAIGSAGRRSRQRRMLDPAAARQLAARAAAPSSRNAAPGEVIKLEESSDDDIYRPSPPRADDAGQGTSRWYEAPPPQDDAGSSDDDDGGDYTTFYRHFGM >cds.KYUSt_chr4.41582 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257294612:257295895:-1 gene:KYUSg_chr4.41582 transcript:KYUSt_chr4.41582 gene_biotype:protein_coding transcript_biotype:protein_coding MLAISKDKMDMDSAIRQTYDENCEDMVTHIGCVSLFCELGLASAEDLCAERISKDMVLDEELGATVLTHVGDCSLFIEHDMDTCPEFQASCFANNPCNHGVSLTKLLQSTGCTQDLKSIGSVSVYGFTPSILSQIIRERTTKGCARHILLEYTHAPPAQIVLIRQDIFSFHVEQLSASSLHIDWDPGGFTIASLPLNRTPFAEHNIVYSKNNLLMNFKGCGDNNTIVLAAEHYFQWVDLGIVMTFLMVAVASNNELPDMLSLLEGATTANAFESQLQYKQWDPGNLEAQYKQWDPGNLEALPWPDGYSVLNKNKWKFTLEDLYSFQSSNQFIDNLQQVGRKVESCQGVIHVTTRFLYMNWSSTVLQPERSIAWGQAMFFGGGNVMPIHYNILGSADMGCGLTAMGLTCGVVTIRTKLRLRCQGIEEV >cds.KYUSt_chr2.54110 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337687418:337687768:1 gene:KYUSg_chr2.54110 transcript:KYUSt_chr2.54110 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRSDSSAALLRLSSSAAFLAIAAELALPVPDSTVCAVAGVLVVLAMDCCCALSLTDLAVAAPSRTACAARAPTLLIMYLKLIDRFLALALAALAHWLWLTRLSLLALLVVVWTK >cds.KYUSt_chr1.33601 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204132936:204133166:1 gene:KYUSg_chr1.33601 transcript:KYUSt_chr1.33601 gene_biotype:protein_coding transcript_biotype:protein_coding MELRAGYGKCRLSTKRSGKQRAMAAHVDGGKSESGGSSSLSFRRRCARLIKEQRVRFYIARRCVAMLACWRERDYP >cds.KYUSt_scaffold_6468.967 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4707641:4709051:1 gene:KYUSg_scaffold_6468.967 transcript:KYUSt_scaffold_6468.967 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAEEPCGCISHAFDRAARHDPVRLSVVHAAPAAAAAADPSWPEERVQSAVSASNAALVVSSGCSRAEAVFKSSACPVLRLDGRRHPAVVRRWRGRGAALAVRAREAAGVLLRHVHLWFHWITQRCLRNRESFVDHLQEFLSAVLTGTTLIIPPNDWRANPASLANLIKGREYWFPYPVSFFLKVLDQKLQSFYLLK >cds.KYUSt_chr5.7835 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49407872:49408414:1 gene:KYUSg_chr5.7835 transcript:KYUSt_chr5.7835 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNMVAPLLVLNLIMYIIVIGFASWNLNHFINGTAFNRPGIAANGATFYFLVFAILAGVVGAASKLAGVHHVRTWRGDSLATTAASALVAWAITALAFGLACKEIHIGGFRGWRLRVLEAFVIILMFTQLLYVLMLHTGLFGNQFGTGGGYGAEHGYGDHHNKGMGVGTGAAATRV >cds.KYUSt_chr6.22232 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140454934:140455278:-1 gene:KYUSg_chr6.22232 transcript:KYUSt_chr6.22232 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAAYLLANMAGNPSPTKDDVRKILDSVGAEVEEDKLELLFKEVEGKSVAELIAAGKEKFAYAPSGAGAGVDSAPTAAASGGGAAAEKKKEEKAEEKIEEEEDDNMFSLFDD >cds.KYUSt_chr5.36844 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232989480:232997209:-1 gene:KYUSg_chr5.36844 transcript:KYUSt_chr5.36844 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVSGTIQTRSQSGAVLLNVDWGGDCPYPVPTLHSGRTSLTFRAVMAPKREFEPAANDHEASSSRCSGRPASQCRGATCTSPTAWHLSLDWVSVLPIPVSDRARIAEIRRRHTQLPADLREDPAYGDTSPNWFEVEPDERRHTCFTSATGPLRAHTRLRFKQRAPADDGAKERQPCAPVNKDGGADQADPYAGLWPWGDYFPGDAELRQEDFSAVQERFWRESKDAAAALRDMVAGAFRPLLDNFHHLRSLGTVYDTEDYHLGMPFGALIACIGFYKLWKMDPSTFLDAALGCAFYKLSIVSSQLRKQGFSNDLVTRVKFVLMLTMAVNDFKNNLCPLDAIRGPIYLLYALTFAYEVIGVKKQIKYAMATLVCFLKHPEGRRHLRELLPEVELEIVTRSGIVL >cds.KYUSt_chr3.26337 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163929772:163938932:1 gene:KYUSg_chr3.26337 transcript:KYUSt_chr3.26337 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVQSGRCGAWIYGLTLVQPPARPSVLLLEFEDMCGSSDSGSNGRTFGRIDTTLLLDRKEGCVGVEVMSSTTKFDWWDFRWRQAADKGALTTATPDVSSMIISTMQTIHLRVPVPLVPPREPDRNAEIRRRRRYLPLDLRADPTYAIDSDTRRTWCKTEKDPRRRAGFLGDRDFPFDHRTRKSSSPPQDDDADYNEALAYHNKEAMNDSDDYVACILQKWQLAMAKGREFEYPTTMTDDEVSEVDRPVQPPLPWYAVGTMLPGLTEEEAL >cds.KYUSt_chr7.11439 pep primary_assembly:MPB_Lper_Kyuss_1697:7:70212086:70214466:1 gene:KYUSg_chr7.11439 transcript:KYUSt_chr7.11439 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKLVVASLCLLVVLTQHEAAAAASKSCHGGRAAGMVEAMFVFGSSLVDNGNNNFLNGSRAVRADYLPYGVDFPLGPTGRFSNGRNTIDALGELLLLPAGGRIPTFADPATRGRAALHGVNFASGGSGILDDTGKATGEVLSLEQQITNFEAVTLPNLRAQLQRANDRKKMKGHDFFDRCYLPKSLFVIGSGGNDYLLNYFRPRNSEETRPDLSDFTRALVARLSAHLQRLYALGARKFAIFSIQPIGCSPVVRASLNVTAAVCVKPVNAAALLFNSELRSLVDAAGPSMPGASFSVIDSYKITMDVLDHPMKHGITETYKPCCSEMGPSGVLCRKGGPICSDRNEYLFFDGLHPTDVVNAWISRKGYGSVSPEEAYPINVKMLAML >cds.KYUSt_chr3.11049 pep primary_assembly:MPB_Lper_Kyuss_1697:3:65822296:65825969:1 gene:KYUSg_chr3.11049 transcript:KYUSt_chr3.11049 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEGSPAAAQAASAAAAAAAAKEAEYHQDVQKLVDLLSKLNPAAKEFVPSSAAATPRKALSADAPVFYYGSVGNGGIGAYPAGADTAGYIGNQQQRMRRNFVDNERRNGYFNHGRRRTNGRGRHADREESIRRTVYVSELDHTVTEERLADIFANCGQVIDCRICGDPHSVLRFAFIEFSGEEGARAALSLGGTMLGFYPVRVLPSKTAILPVNPRFLPTTEDEKEMVIRTVYCTNIDKTVTQLDVKSFFEELCGEVSRLRLLGDNVHSTRIAFVEFVNAEGAILALNCSGMILGTLPVRQDPLNPIHLCSLPEIQLRVYFAKLGTFALWFRVSPSKTPVKPRFSRVVSN >cds.KYUSt_chr2.19753 pep primary_assembly:MPB_Lper_Kyuss_1697:2:124315997:124322541:1 gene:KYUSg_chr2.19753 transcript:KYUSt_chr2.19753 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHTGNVPCLASLREHDGESFGHKVEKQWRKRISLSQTPFVAKERSYFAIDGNSAVDAARKTARRTKPAGADKATKAYTCGLGGNRALPDEVPLVAPGRRRQRSLGDSFSSSRLHQQETEVLQQEGGGGGPGLRSVFRRSAQQSR >cds.KYUSt_chr1.8602 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52709643:52714017:-1 gene:KYUSg_chr1.8602 transcript:KYUSt_chr1.8602 gene_biotype:protein_coding transcript_biotype:protein_coding MQASISLADTPYELTLRCIQLLGGLFDGELLSRARPLEARGRPEASSTWSSLSSTSNVYLEELQRACRSTPIVGGFISPKRWGEALPLDAWVPEAGGPLLVGLHVPMGRLQAADMFELARLADEYGSGERLRGCCEPAAAVDAVSRTAPVPLLLAASAVAASLPPPLMLR >cds.KYUSt_chr3.12268 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73229692:73230207:-1 gene:KYUSg_chr3.12268 transcript:KYUSt_chr3.12268 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLEKLVIESSSTSNNPCPDANGDDEGNKEVETVRCACGVAEECTAAYVVGVRAAFCGNWLCGLCGEAVKERMARDPFCGVEAALVSHEAERRDFNATRLNPTLSLAGSMRGIARKSFDRRRTTTTSASCQDRQLRTAASRAVALARSVSCDPRFLGDVDNGPAGDPCR >cds.KYUSt_chr2.30553 pep primary_assembly:MPB_Lper_Kyuss_1697:2:188231644:188234792:-1 gene:KYUSg_chr2.30553 transcript:KYUSt_chr2.30553 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQLFLFGTILLSCDVWRQDRVGWSFADLAFTSPAKLTKFPPNQKSIVSSRLAEESAAMGGGNAQKSKMAREKNLEKLKGGKGSQLEANKKAMNIQCKICMQTFICTTSEAKCKEHAEAKHPKSELTQCFPHLKK >cds.KYUSt_chr2.9580 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60469410:60470462:-1 gene:KYUSg_chr2.9580 transcript:KYUSt_chr2.9580 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMVDDPSAAGAAASSSFPDADAYGNGDSEDIDFPVDPISNAPFSSSAAVPAPAPAAAAAVGGERRPLFQRLWTEEDEIVILRAFAEFTAQRGTAFASHQYDTDPFYEEMRRRLQLDFSKSQLAEKLRRLKRKYRNCVDRLRISGNSFTFRSPHEQAVFEIARNIWRPASDKHGRDPGAADSDDDATVTATNNGDAKSPSSSKAQRRGRRRRTADFAADTSQTPQFTPASMPVKTEDSLPAFFPQVVAMDGADPVVVPSAAQPAINTESSVLTPLFKEMVRAMLGMGGCPSPLGLGAKVPEPPAGIPMEGDKWRQQRILELEVYLRRIDLLQDEVKSALEELKSSTPAT >cds.KYUSt_chr3.46925 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294773756:294773983:-1 gene:KYUSg_chr3.46925 transcript:KYUSt_chr3.46925 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRPDVASIGPRLPRHGVPDPRLPCPDAAGCRRPALRLPQASVPPRGAPPNATAEMMAGVRPIRELPAAMEAS >cds.KYUSt_chr4.16228 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100612034:100615585:-1 gene:KYUSg_chr4.16228 transcript:KYUSt_chr4.16228 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTLEGSEPVDLLKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVASCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQCVELLTLLLV >cds.KYUSt_chr7.8547 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51783100:51784781:-1 gene:KYUSg_chr7.8547 transcript:KYUSt_chr7.8547 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNYVVCIERYRHHQLLAARHLRIPNSTALANTLKHRLRKQKAKQKKEEPKKYASMKKLSNLITSSHKEATPEPINHLTTTQRQITHGVASATWDKYPGNPWAQVEERSPEEVFKTCTCRAAVSKRPAMSVWDKYEESLQCSGESPPRRISLTYQPKALPLKSKAVDGYEGIAYVSPIVAGETGYGAPASVPLEDSMITSLLQQLKDTSHTEAAVNNVNLVGVNLQASCYTMNQVHTAVNVHKDTINQVRTTVAPLGNTMNGVHAAVMVADGLVNLGSNDLLDGTGSSRSTAEKTPSDSVCSWEETEAFLMSQLEGEEQEGIGPGDLQPVDDAWKQMLQPVNVDVAPVAQTGDAPAAAQEPSTGNVLAYDPEFSFGDVLVWSPQFAGDLCHVPF >cds.KYUSt_chr7.10616 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65239649:65245881:1 gene:KYUSg_chr7.10616 transcript:KYUSt_chr7.10616 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSPTPDLPAAGGEIPALAPASAAASSGSGGTFTALLGLPTSQAIELLLPVAAPAPTFPSDPHLVDRAARFSSFASPSPSPPPPPPPASAANKRKAEPASKGRAAKKGKTTAAGGEDKGSGEDEKPAYVHVRARRGQATDSHSLAERARREKINARMELLKELVPGCSKVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGIDNFLTTECGRITGLNCKSGMDMEQVSWPDMGVNGARNLMQLQQQFWHGDLAHPQQPASQWDKREDVHPPVFSSTSSSLFGYDLASSGPQQQPASKLKTEL >cds.KYUSt_contig_605.86 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:500151:501788:1 gene:KYUSg_contig_605.86 transcript:KYUSt_contig_605.86 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTHRFGIKGKLAPRYIGPFKVLAKRGEVAYLLELPEKLSKVHNVFHVSQLKKCFKDPGRAVDHESIDLQENLSYKEHPIRILDEIERRTRNKSIKFLKPHHTIRPTFSPAKNSMQANPFSFFFTREPPPISGKEATPELHVFLFSPSTGYHAPLLSNTSSSKPYLPLFLLFQTVAAATISSARCKPKHTSPSTTTMAMASPSLYPSTGARPHRLLFDLHLFPELQERQGRRNPSPSIVYLPGHPFVFVTGTSPAASSSSTATPRVRSHLSFIPCTCSCISGSS >cds.KYUSt_chr6.5453 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32495529:32496419:1 gene:KYUSg_chr6.5453 transcript:KYUSt_chr6.5453 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPLVFLCILALVLPGSVVGVTFHVNNKCPFPVWPAAAPNSGHPVIGDGGFFLPPGQSKRVMAPATWNGRIWGRTGCDFSATNAAGRCLTGDCGGHLVCNGSIGAPPATLVEVNLHEDESKGSSYDVSVVDGYNLPVAVWTRPANRSSKCFIAGCAKDMNALCPPELQVRTSGGGKGKTTVVACRSACLAFGLDAFCCRGAYGTAETCRGSVYSRMFRDACPGYYSYAYDQAAVAPRCYAPEYVVTFCPSRWGAAPDRVAQL >cds.KYUSt_chr2.53546 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334040675:334042087:-1 gene:KYUSg_chr2.53546 transcript:KYUSt_chr2.53546 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNMTNQTVYKGKVAASQVRGEEVSSIQCISAGGMLSLVRRRLLALPFSTAAAPAPPSTLDAAAVLETLSLYTNDWRRALDFFHWSASPDGANLPPTPSTLSRAVDILGKHFEFPLATSLLLAHHHPADPSFLRPALRALLNRLAAANLVDDAVRAFDSTAHSLGLRDEASFHLLLDALCDHRRVDEAHLLCFGRAPPPFPPGTKAHNLLLRGWAKTRAWTRLRQHWLDMDTRGVAKDLHSYSIYMDALAKSGKPWKAVKVFKEMKHKRIPVDVVAYNTAIHAVGLAEGVDFAIRLYRQMIEAGCKPNAATFNTIVKLLCKEGRFKEAYAFVPQMHKAGCAPNVLTYHCFFQYLSRPQEVLGLFEKMLERGCRPRMDTYVMLIKRFGRWGFLRPVFFVWKTMEEQGLSPDAFAYNTLIDALLEKGMVDMARKYDEEMLAKGLSPKPRKELGTKAPGADSDSDNALGGVF >cds.KYUSt_chr1.37263 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227760085:227760501:-1 gene:KYUSg_chr1.37263 transcript:KYUSt_chr1.37263 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAPLAAVLLLVLVSATALAIAADHQAEAGEEDPEVMACKQQCALQRQFSAGERRYCTAACDEYGRMKKRVQEEGPKKERDRCLHECRAGPHKPGCERRCVREYERATGGGSGTRGHEEGAVAGRRFRGLWQHAAA >cds.KYUSt_chr7.10262 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62813669:62816095:1 gene:KYUSg_chr7.10262 transcript:KYUSt_chr7.10262 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTATRRHRGRGCCAEAAAAADDIVVVSRAAAQKEGWGKLPRLSRFEELPDYLRDNEFIRGHYRCEWSVRDALHSIFAWHNETLNVWTHLGGFFLFLGLAVAGDTEWPAAAAAPWIMTTSSNASSWAAKNSSSSASYISPVVGCGEHAVARWPRMVFLCGTMTCMLMSAAAHLLASHSRHFSQLLWQLDYAGIAVMMVSFYFPPIYYIFLGSFAAQIAYLSAVTLLGVLIVAALLAPERSSPRFRHLRAGLFASLGLLGVVPALHALWLNWGQPEFYLALSLVLAMGLLNAMGAGFYVARVPERWCPGVFDCVGHSHQIFHVLVLVGALTQYAATAVFIGWREAMAAAAGAPSALF >cds.KYUSt_chr6.6647 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40077420:40081896:1 gene:KYUSg_chr6.6647 transcript:KYUSt_chr6.6647 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAMDVEAPARPPTTTTKPNRRSPHDLLAETRASVEEVAARILAIKKDGAPKADLNELVAQMSLLLITLRQVNREILMEEDRVKGETEAAKAPVDSTTLRLHNLLYEKNHYVKAIRSCLDFQTKHPGIELVPQEEFHRAAPADIRDKTLAADAAHDLMLKRLNFELVQRKELCERHEKLEQQKSILMGTIASQKKFLSSLPSHLKSLKKASLPVQQQLGMLHTKKLKQHHAAELLPSPLYITYTQLLGQKEAFGENIEVEISGSTKDAQTFAQQQAKEANAGTLSNDDNNRMDDDAIDEEEDAQRRRSRSRKTSKEANNPAFAYQLHPLKVVLHVYDTEVSGTKRRKLITLKFEYLAKLNVVCVGSEDSEGVDSNILCNLFPDDTGLELPHQMAKLYAGEAPNFSEKESRPYKWAHHLAGIDFLPEAPLSAGESNRAARSADLSSGLALYRQQNRAQTILQRIRSRKVAQMALTWQLNYLTKLKWPQIEHQNTPWASRTPLCNLHSWSLTGFFPEPSACSALMVSEPAGSVDSDTERRSVTNWQETEGIAEDGELPLVVAAEHTSSGSIMSHSEVSPEVRNHSRGLSLITKSATPSKLSISHSFGRNEDDLDFLMDSDTELEELACADQETVQGTITIDKSWEDYASREFTMVLSKTLTNGPKVMLEAKIKISMEYPLRPPLFRLQLISENTVALKWHNDLRAMEAEVNLHILRDLSVSCEDYILTHQVMCLAMLFDMHFDEGNEKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRHTIYWRGADCS >cds.KYUSt_chr7.5766 pep primary_assembly:MPB_Lper_Kyuss_1697:7:34527535:34529020:-1 gene:KYUSg_chr7.5766 transcript:KYUSt_chr7.5766 gene_biotype:protein_coding transcript_biotype:protein_coding MEASIQPPTVDAAATTAATIYPTWVLLEKEAYYKDCDNATTAEAMTSTDHIVKVTFVLADPPAISYFCVHGPKLEHKDFLFAPRVVFSYKDLVLLRFALRPRSTVRESHPVQYLVYKAVHGKPLLTPIPTSHRPNNATSHPSILPFEDEDGNFLVADLAMTSTAGHYLLHIFSSKTKEWTTRPLELQASPATTDDLPSLAHKVIALGAGTIGWIDLWRGIVICNVFDSDPVLRFIPLPKPEFNFHLKGDPQQIRDVTCCNGFIKFIEMEHYPRPASVYSNNKRNCKTTKDLDSEDVLYDSELFFHSLVGLESPTTFPITWKIRTCYRNISWNLWCKGHTVHVDDILVHKPSYYMMLPELWDDSAGKFTLRNLTAVCPTLGIHGGDVVYLVSKVGHLRQKMPVASMIH >cds.KYUSt_chr3.8510 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49232103:49232381:-1 gene:KYUSg_chr3.8510 transcript:KYUSt_chr3.8510 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQGVARPIFAAAHKSHQGPLLIYTGSFQEEEPVQGRDMRLLDMDGNVVRVLKGVGGYGMLCNNSLDDFICVKLFDYQSFHWLFFTNNNLH >cds.KYUSt_chr7.1873 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10794709:10795578:-1 gene:KYUSg_chr7.1873 transcript:KYUSt_chr7.1873 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVAEVECDRIRGPWSPEEDEALRRLVDRHGARNWTAIGRGVPGRSGKSCRLRWCNQLSPQVERRPFTPDEDAAIAAAHARLGNRWAAIARLLHGRTDNAVKNHWNCSLKRRLAVAVELDRPCKRASVSPESSPSGSGSDRSDLSHGGGGVFHGQVYRPVPRAGGFEPADCAMSRRHEVVEEDGSEDPLTSLTLSLPGTDAVHHVFLNDSSNSNFHQPPSPSPSLPPAPAPYHFTPAFAAAMQDMIRDEVRRYMAGVGCGADLSMPQVVEGVMRAAVQRAAGVGRMQ >cds.KYUSt_chr4.11819 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71844712:71848947:-1 gene:KYUSg_chr4.11819 transcript:KYUSt_chr4.11819 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESTPPATVGTSQASPHYTTFSRGRRSFRRSSIHPGDPCHPCDPSGGSNASVGDERGEAATSGNACGPAIRYGHRAGDLRSRVAGRGGEEHRLLALLVGSSALDDLVHSLEQMEEQLKTLIVLSHYLETGRFRQFWDEASKSRNILEVVPEDFLKSFEPYQYVPSDFCQQTLLFKELEEINMTIGQASPVEQQGGVSKELIYMGLSAQSQRLIVCEAKKVLGYAEGGK >cds.KYUSt_chr4.44191 pep primary_assembly:MPB_Lper_Kyuss_1697:4:273746393:273746860:-1 gene:KYUSg_chr4.44191 transcript:KYUSt_chr4.44191 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGGLLARWAVGTEGRVRRRAVRLLSFGLGLLRVCSKSVSRWCLSLRGCKIEDAGAAALEGGNLVGWRASPRFWCWLGPWTWGCHGSQRVAFCGGVVAVTSVVALAMSGWWRGDAERKLSPWVFQGHDSGVHGRRLLLECVVVASPCPLSCSG >cds.KYUSt_chr4.1745 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9316973:9318118:-1 gene:KYUSg_chr4.1745 transcript:KYUSt_chr4.1745 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVVMVCPALLALVLDKVDHKVYGHRALMAMLTMAGVTLISGICPVLLFYFSQRFPAVGYVKPAVTAGLATLSYSCLLILACFIPQPNIVPNNIRIPVGALCGGFVLVRTVCYYFGKNVGTYGQNLHDILDESHEFLTGVTGILFLGLEGLALESNGNQMFPKGGMTLGTISFIFCALGVCLMYLEMTPPLYFNVRTWTVGFTLGLDILMAAGTFVLLIVTMVKLMGVPALVLLTPPVGIIGELVYRVATNKTVTTPDTDSKVPASLELTRVAFTGFLAVSITAISNTSPSRLTVCFLLFVAAAIVSGILWRLLTQSQIRSGLPYAASPAQIASPAQQAALRAQLVASSANLASFCTHFCIVIATILFLAMAGAARGK >cds.KYUSt_chr5.35987 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227332238:227333014:-1 gene:KYUSg_chr5.35987 transcript:KYUSt_chr5.35987 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMEFKAAILTTAVLAVLLCSPALAQKSPSVSLPPSTSPAPAPAPHYVDLAALLNVAGPFHTFLSYLQKTNVIETFQSQANNTDEGITIFVPKDSAFSALKKSTFSNLTSDQLKQLLLYHAFPYYYPLSTFRNLSALNPVNTFAGSPYTLNLTDDMGSISVQSMWSKPKISSSVYATEPVALYSIDKVLLPMQLFSKDPPLAPAPAPAPQSGASDLAPSPGGGKAGGNAKADSTSEAYRVGVSGLLATVAGCLMLMW >cds.KYUSt_chr5.16249 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104636549:104639919:-1 gene:KYUSg_chr5.16249 transcript:KYUSt_chr5.16249 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRGTLESIDFSSLSTLMTLDLSHNQLTGSTPSSMEHLRDLHALLLHFNQIRGSIPPALANLTKLTTLGLSNNKLVGSVPREIGNLARLKELNLSVNQLEDYIPTTIGNLTQLSTLHLHTNKFIGSIPPEMSNLVNLEDLQLGLNQLAGSIPTNLGNLTKLTMLYLWGNNLSGHIPKELGRLINIESLALHDNMLTGPIPDSLGNLTKLSLLYLFDNQLFGRIPEELGYLASLKDLDLSNNTLTGSIRGSVEHCSKLRLLKLSRNHLNDVIPIELGMLVSLQDVLDLSDNSFDGIIPSQLGSLNMLEALNLSHNFLNGSIPPSFQSMISLVSMDVSYNKLEGPVPLSRFFKEAPVRWFVHNNQICGVVRVVMVLQYKSKKSIVKSVNEPQITNLFTIWNFHGQDVYKKIVDATENFSDIHCIGNGGHGSVYRAQLPTGEVFAVKKVHMMEEDEIFIREVDVLLHVRHRNIAKLFGFCSAPQGRFLVYEYMDRGSLAASLKSKETAIELVWTRRLNIISDVANALSYMHHGCFAPIVHRDIKSSNILLDVEFRACISDFGIAKILDVDASNCTRLAGTKGYLAPELAYTTRVTEKCDIYSFGVLALELFMGHHPGGFLSSMANKSTQLEDLLDIRLPLPEAEIASEIFTLVAVAVRCIEPDPSHRPTMQEVIKVFSTTEGPDDYLDYLHTDIVIPSCWS >cds.KYUSt_contig_257.320 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2442953:2443642:-1 gene:KYUSg_contig_257.320 transcript:KYUSt_contig_257.320 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALLAEIVRRVTKRSDLNSLSLVSKRLFAIEAEQKESIRVGCSLCLKTEELVSLFSRFPNLWKVEIDYAGWTPSHGDQLDSHDLFVILSCCPSLTDLTLNFCSNIDDTGLGYLRYCEKLVSLRLNSATEITSTGLLSVAVGCNSLSSLHLINCEKIGSTKSEWLEYLGLNGSLVELVVKNCYGISLSDLLKLGPGWMKLRKLEFEMKGGVWDVHEGYYHMEMDG >cds.KYUSt_chr3.7159 pep primary_assembly:MPB_Lper_Kyuss_1697:3:41383217:41383735:-1 gene:KYUSg_chr3.7159 transcript:KYUSt_chr3.7159 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPCRFKKRGCRETLRYTEARRHEEEACSYSPYRCPFDGCDFCGFLLYGHILDAHDPELSTVVTLQKCEPFRAVLHHEDKSVFLLLNGGDIPTGRSLSVVRVCPYREAEEHEQVEAKYVMDVEGEGTSLCLTAPGPVPFVRRLDGYKTKVFMFVPDAFWGSSGSVTVTLYL >cds.KYUSt_chr5.11406 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74004348:74009208:-1 gene:KYUSg_chr5.11406 transcript:KYUSt_chr5.11406 gene_biotype:protein_coding transcript_biotype:protein_coding MLADLKLMDADPKMHCLAEPAMMANGRLQKQALLPPRIPFPAPQAELGPIARPRDAHHRQGHQRTSSESVLVDEQPSWLDDLLDEPDTQARPHARPGHRRSSSDSFTMFDGATAVASAGMCDNVFDGMRGGGQVGSWGRAPEFFPEPSSFGRPQVQAPQWDPRQMFLQGGAMPLPVRDGMPLQAREKSGGHHGVLPNGADMKGYGDPSHDQLVGAERNEGDAHLKHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQALQTQGVEVSAEMDFLGQQNIMLDLENKSLKQRLESLSQEHVIKRVQQEMFEREIGRLRSLFQQQQQQQHAMQQQAPAHSRSNSRDLDSQFANMSLKHNDSNSGRDAVHGLRI >cds.KYUSt_chr2.29431 pep primary_assembly:MPB_Lper_Kyuss_1697:2:181036273:181041057:1 gene:KYUSg_chr2.29431 transcript:KYUSt_chr2.29431 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSCVSRGLSRAKASASRLFSTTPSSYTVVDHSYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDNEGNCQGVIALNMEDGTLHRFRATNTIIATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVDIKGDNPDTVIPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISRPGDKQKPLENGAGEKTIEWLDKLRNANGSLPTSKIRLNTQRIMQNNAAVFRTQETLEEGCQLISKAWESYHDVKISDRSLIWNSDLIETIELENLLINACITMYSAEARKESRGAHAREDFTTRDDEKWMKHSMGYWENEKVRLAYRPVHMNTLDDEIEAFPPKARVY >cds.KYUSt_chr4.2844 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16198943:16201729:1 gene:KYUSg_chr4.2844 transcript:KYUSt_chr4.2844 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTKTEAFRGVGVEAHAKALHGPSVPTATTLVSGVSLPGGVIVKAARHLAKPGSANAIYSEIADASLSSFRAPAAKTTGAGSVFSRRFNSNGPERVVQNFEKNNPATSPALCEYVKAVVSIELRTRGGTANSATDSTTSSSDVKRVDEKKGKSDAVNDMSMNSTTNFSDVKGVDEAKAELEDIVHYLRDPKHFTRLGGKLPKGVLLAGPPGTGKTMLARAVAGEAGVPFFARSGSDFEERYVGVGAKRVRELFSEAKEQSPCIIFIDEIDAIAGRRQSDDATWRRQTLNQLLSEMDGFKQNDGIIILAATNFPQSLDSAVVRPGRFDRHIQVPNPDVEGRRQILEACMSRVKAQGVDLMILARGTPGFSGADLTNLVNVAALKAAKDGAEAVMMDHVEYAKDKIMMGSERKSAVIPDNCRKMTAYHEGGRVLVAIHTDGARPIHKATILPRGNTLGMLAQLPEEEDVYKVSRKKMLAKLDILMGGRVAEDVIFGADEVTLGALSDVREATQLATDMVTKYGMSERLGLVFYGNGDGGKKALVDDEVKELLDKAYENANKIVTAHHKELHVVADALLKHGTLTGDQIKLLVCPKNK >cds.KYUSt_chr3.44917 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283082104:283082862:1 gene:KYUSg_chr3.44917 transcript:KYUSt_chr3.44917 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAVKVIGAFDSPFSHRAEAALRLKGVPYELILEDLRNKSELLLTHNPVHKKVLLHGDRAVCESLLIVEYVDEAFDGPALLPADPHERAMARFWSRFLDYKKGYLKEIKESFALLEAQLEGKRFFGGDTIGLVDIAACGFAHWLGVCEEVSGVTLVTDDEFPRLRRWAVEYVSDEKIRACLPDRAVLLEHFSAKKEMFMAMAKSMLPK >cds.KYUSt_chr4.35123 pep primary_assembly:MPB_Lper_Kyuss_1697:4:215765260:215766130:1 gene:KYUSg_chr4.35123 transcript:KYUSt_chr4.35123 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDNVWNSHPKNYGPGSRVWYISLHIATVRNSQFSLLASGLETFNSRLVCSDDKTATRVCGNSHGLIRKYGLMCCRQCFRSNAKDIGFIKYL >cds.KYUSt_chr6.1874 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11210078:11210646:1 gene:KYUSg_chr6.1874 transcript:KYUSt_chr6.1874 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLASAIMTAASTQCVNGGGTVSYSDYNSFKYSALADLLSAVLQGVAIYLEIVKKKKAAKVVELIDKLLLALTSTSASLLFAVDDITSCGVGRQRSSSRVCAQAGRLSPQS >cds.KYUSt_chr4.17591 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110152939:110153313:-1 gene:KYUSg_chr4.17591 transcript:KYUSt_chr4.17591 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIGRPKATVGLYSYGWMATEAEAALEMEDLLHSAISSGFGGRPEAMMALYSDGQAAAEAGGDAEEGGSPQQLRFIRIKLNCKGKIPICPIAASRSKNTSFHLAHGRACDGVEKEKTPTTLGT >cds.KYUSt_chr4.12967 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79732703:79742100:1 gene:KYUSg_chr4.12967 transcript:KYUSt_chr4.12967 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAANLWVLLGLGIAGVLLAAKRLKRPARPDSGAFVSRLELLPPPQPPPPQARHPLTDLCFAIADAFHVSSYITSFGSLEWAKTHDAATQTSLVVSTLVDGGAICVGKTVIDEMAYSIHGENKHFGTPTNPAASDRVPGGCSSGSAVAVGGGMVDFALGIDSIGGVRVPGGYCGVLAFRPSHAVISNSGVIPVAPSLDTIGWFARDPIVLRRVGHLLLKLSYTDIRLPRHFYIADDCFEISKIPARRLTQVVTKSVEKLYGRQVLSHVNIGNYLASRVPYLRNYSNGNKNGDSKFSSLQALSSAMQLLHKHEFRDQHNEWIKSAKSAVDASIVGNLSDDADSPIDTVQAARKDVRLALNTLLKDDGILVIPTTLGCPPKINARELSSTSYNAETLCLQSLSSMSGCCQVTIPVGAHDKCPISVSLIARHGGDRFLLDTTQAIYATIQEQVEMLAKSNASSKEAMNEEAAEAAKEKGNSAFKEKQWQKAINLYTEAIKLNGKVATYYSNRAAAFLELASYRQAETDCTSAIDIDPKIVKAYLRRGTAREMLGYYKDAVDDFSHALVLEPMNKTAGLSISRLKKLFP >cds.KYUSt_chr7.37738 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235391609:235395654:-1 gene:KYUSg_chr7.37738 transcript:KYUSt_chr7.37738 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLVHLRLRLSLLALHPSRLPSSRRFSSTTSVPATVRPLSLRRGSRCRTPLRATQGEAAVPEGFEDELGRLLELLPGELRRRVEDHPERPALVEVVMDLGRPPLARFPSGDFLLSDRPISFEDLDQATAKVGDFGGDNRAGISRTLHRISAIRNRKGDIVGLTCRVGRAVAGSANLLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYEKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQEMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVASAHGITIENLTMNPSLDMLVGGIQSVTLGDEEANRRRGQKTVLERKGPSTFTYAVEIVSKTELRIHRSLEATVDALLAGRLPNVEVRKLGSNMSVQQDVSVHKEQFPPGPYQTASQFVVDSLSNARTSLDSAFHLDSAKGHMELSNESEAGFNLYAYGISEETALQAIRQLELEDMITLTYNISEADAVIALQSKLKKNSQIQAAVKSQDIPVFFVKTNTLVQITRALRVLVDDHMDELIDNEDKEEPRSSEETDALEEARLAVEQVVIPKGESMQLLPRPSTIISSQVNIVESFNLKWEVIGQEPNVCLRILPQFADREKGTASEQASAPGLTDSDNSDGTDYTQTGVARLPFLPE >cds.KYUSt_chr4.44611 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276320271:276322088:1 gene:KYUSg_chr4.44611 transcript:KYUSt_chr4.44611 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLAVLPCPRHQSLSERNDLKLPVHTIPATDHQTKFVMQKIVALLHPLHGILENILDIASEEKVERISEQSNLDPEDGLRFLEREALIINDLAKEVEIKLSQCREKERKEKSRMESMISSLMKENQDTRSMLEVATTEEVAENSLPMFRADGDQRRSAILQINEKGLQKVGFGFIMEVIGGESQGEEMSSCGASMVASNGRESKQEVDSLVSIVGKTLKNLHHEINNLRQAFDKSRSDCDHFISSLLNMLRR >cds.KYUSt_chr3.24865 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154349731:154354508:1 gene:KYUSg_chr3.24865 transcript:KYUSt_chr3.24865 gene_biotype:protein_coding transcript_biotype:protein_coding QPPYANANSERESDKMDAAVERLKTGFEKFKTDVYDKQPDMFEPLKTGQKPKYMVFACADSRVCPSVTLGLEPGEAFTVRNISAMVPPYCKNKYAGVGSAIEYAVCALKVEVIVVIGHSRCGGIKALLSLKDGADDSFHFVEDWVRIGFPAKKKVQKECAEMSFEDQCAALEKEAVNVSLQNLNTYPFVKDALAAGTLKLVGGHYDFVSGKFDTWEL >cds.KYUSt_chr6.19128 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120392696:120401355:1 gene:KYUSg_chr6.19128 transcript:KYUSt_chr6.19128 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDEFDLSDSSSSDESDLEELLQDDEMEATMLLLSVKDLEDRAKLLNRRRGSVFGRNHIQRNRLLVHEQLMEDYFAEVPTYPPHLFRRRYRMRRSLFIRIVKACELHSNYFKQRRNAAEVMGFSAFQKISAAMRVIAYGIPADYTDEYLRIGEDTTTESVRRFARMIIKLYGPTYLRAPNEDDTKRLMEINEKRDRIEAEFAKAQEAARKDIERAFGVLQARKRKMINGGVAELACRNRLRSQDIKVEVEEEEEEEEEEEEDYDDEEEEEDEDEDSDNNVKKSKTSSAVLDKEKKRKVPNNSSNYDAKEKNKHEKRLHDDKTKYRKASTTTIGEKKNELVSSHGREKGRTSDHDKDKRRNTPLPLDKNKDSLKEKSRKIPGIHSAGKKIHSGNHHKDKRTCMCRKCKRREKEKRKNMSTHYGEDKVGTYDYCKPPHLFNNNEMQKNELKKKKNTPLDLSRENKTQMMKDSKKENKRKKTPEIFNKSKKMRTKDKDEKAGSGDRWKTNKKTMEGQKVASGDKEKRNVTISFYKLIYDNFEKFLLLPPVVATKLMDLTNHRVNLRDSEGKFSEVRLSMVDGSLAFHQGWNDFVSYHSIKLGDFLVFKYTPRPQFSVRVFGMNSCERVCFSVEGQGGPERKGCHEPDKMENVAVSGPRRVAVNSKEDPSRVVSGVEHGSLIALDDTDGYLANGKYKPNGIYETWSKGTRGNQIILIADDEAPLTQKNEDTEKLKTLHTPSDMHAVSANTKENPKGAASGIFCRPSVDLDNKEGTLAIEECKTNCISSICSTEKTIRSEIIPVSDAAPLAQENDDAVELTAFPLHIEDTSMMKESEPEIATPAKCTEILDPDEDLRRKQEGNTVQLERTTAVDKSPKNSKMNTNGSVCSKDEVTGGLPSMEKWKMATVSGRAALDGTGLIKPEKLVKTDEKLAGNGSSLGLNSFEQSLQGYAGQAALGDTGLIRLEKPLKTDDDLRMKQEGNTVQLECTTVVDKCPNSSKTNTNGSDCNKHEAPGGLPSMEKWKKATVSGRAALDGTGLIKPEKLVKTDEKLVGNGCSLGITSFEQSFQGYAGQAAVGDTGLEKPRKTDDDLRRKQEGNTVQLECTTVADKCPNTSKMNTNGSVYSKHEAPGGLPSLERWKKATVSGRAALDDTGLIKPEKLLKTEDKLVGNCSSVGVNSVERSLQGYAGGAALDGTGLIRPEKRLKTDDKLVGNCSATGVNPVEQSLRGYADTHSRVQQTPGKISKSIHIKTEIDLFAHEKGPTVQPKAQMEQFEPIGTIACRQQMNSISGRADHAVSHSSEHHFFSQDGRKASHHVTPAVLLPVKDETFPAVLLPVKDEILELDDHSVLKTNLQFCIPSTTQTWLDLPTPLSIALRRKGRQDRNVVMLKDPMKRLWPVFYHQNSLFVGFTRGWKPFVAGNSLRTGDVCLLLKDLDEDELVYHVQIARK >cds.KYUSt_chr2.15946 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100387702:100393929:-1 gene:KYUSg_chr2.15946 transcript:KYUSt_chr2.15946 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHKQLASKSKTTSGSGASAPLQSAIVSTAGKPPRAQPARARRPPTSDVQAEGGEISDVGDESEDEWIEVDDDYQAIDEAEKIDMDDEMSEPSLGLGDGQNDNEFEEVRSIEMPKKAGKRKTTQVADGKAKVKRTKAKRSECWKYFKEVKAVPKKRPGEVVKKAKCLHCFELFAYGGGSTTSLNRHKDACPIILNKKEKLLRQGTIGFDPEKPGASLIVNNEYDHEECRLLERDSFDLFLPEFDKP >cds.KYUSt_chr4.55095 pep primary_assembly:MPB_Lper_Kyuss_1697:4:340093903:340117873:1 gene:KYUSg_chr4.55095 transcript:KYUSt_chr4.55095 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVRRRTTKDAAHQQPPLDWTALPDDATLHFFARLSYRDRAALAATCRAWRSLAASPCLWAALDLRRLDPSAAASLAPRCSPHLRRLRLAGCAAADAAPFLRAASLRSLRLSACRDLTDAALAVLAARHARLEELHVDPLDRVSCDALRHVALCCPRLRCLRLSGLREVAAGALAALARHCPLLHDLAFLDCLALDETALAGLASLRFLSVAGCTGVKWATASEAWAQLPSLAALDVSRTDVSPGAVSRLVSHSATLTLICALNCPSLEEEEARSPAAFANSKGKLVLTINSTLSESIAAAALFPHTTAVKPTELFDDDCHWSGGVPQLMTWLEWVLSQSFLRIAETNPRGMNQFWLDQGTALLLSLLKSSQEDVQERAATTLAAFAVVDDHNANVDPARSEAVMREGGIPMLLDLARRSRETLQSEAAKAIANLSVNPKVAKAVADQGGITILTNMAKSANRLVAEEAAGGLWNLSVGEEHKVAIEVAGGIKILVDLIFRWPEGTGVLERAAGALANLAADDKCSLEVAMAGGIHALVTLARSCKVEGVLEQAARALANLAAHGENNNNNAAVGQEAGALEALVQLTRSPNEGVRQEAAGALWNLSFDDRNRESIATAGGVEALVSLCQECLNASNGLQERAAGALWGLSVSQANSIAIGREGGVVPLIALAQSEVEEVHETAAGALWNLAFYSCNSLRIVENGGVPVLVNLCSSSGSKMARFMAALTLAYMFDGRMDEVALVGTSSQGSSKIVNFERARRLALKHIEAFVLTFSDPQMFSMAASSSGAAALSQVAEVLCIPEAGHLRCSAAEIARFIDTLRNPSSVLRACAAFALLQ >cds.KYUSt_chr2.1458 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8728301:8730424:1 gene:KYUSg_chr2.1458 transcript:KYUSt_chr2.1458 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRHQVDAVATSRDADSRFLCSYVDSLMSIKHYPQADVKGKQQAVVNYQEIKREWWFQQRCQKLARTWLKPDAGFLKLNVDGSYSEQAGNGGAGLVLRDDTGSVLLCACRYIPACTSPLEAELVACREGIAKTREWSDQPCIVEMDSVEAVRMIKSPGLDRSCFSHTVQEIKQSMQLDPR >cds.KYUSt_contig_2781.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000496.1:54043:56832:1 gene:KYUSg_contig_2781.3 transcript:KYUSt_contig_2781.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRICLLSSTGSIYGGNIMKRKKIMRMREKLQHVDAPMLGIKRILARTAPERRLWLNRSLGINVMDSPWDAPDQPDSPPVRLQRSSSVRLSCPASSVRRLLRVDNGELEVGMEVTRRRGSLQGCRLKSSCFAPIKCRARQRCCTLPRKPLTNHSPARSAPIRSSPARSASTRPCFFPNIEQFLDRSLPTAGLVAGQRTPPPRLRRVVLRAASVVSPPLAASLASGRRRHACTGWCCEILPLRRRGGVLAAAAARGRLESLSWVAGVLGTQSGDEGKGKLVDILA >cds.KYUSt_chr6.21400 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135073544:135078887:-1 gene:KYUSg_chr6.21400 transcript:KYUSt_chr6.21400 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPGPGQFPYDMLPPPRPEILEQKLAAQCGEMQKLAVENERLATSHMSLRKELAAAQQELQRLQAQGEAARAAEEQEMRGLHDKAAKMEAELKNYEAVKAELQQAHAEAQNLLAARQHLAADAQKLNKDLQRNFGEAQQLPGLVAELEAARQEYQHLRATFDYERKLKMDHSESLQVMKRNYDSMVTELEKLRAELANTANHDRSGTLYNPNFAHKDGGTSSRHSVGQIAYDGGYGVAQARTPPTGMPDPLSGSPAGNAPRSGFDPARGNAYDTSRLAGFSSSKAGGHDASRGATGYDVSRTPAVGAHAAAPTAHGSTAGAGYYGSSQATPPSHAWAPAAPTYGSVQVPASYASGPVPSSSYGATAVRPHGSAQALPSYGQTQAPSPYAHAQMQPSYGLAQASSHFVPTQGASPYGLAAQPPAYGSGQAAPKTGGSYQATHGRK >cds.KYUSt_chr7.32223 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200708672:200709469:-1 gene:KYUSg_chr7.32223 transcript:KYUSt_chr7.32223 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNMSLSSALAGKAVKNMSLAALFGEARVTMRKTAGKAKQVASSSPWYGTDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWAVQVVLMGAVEGFRIAGGPLSEIVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLVDHIADPVSNNAWAFATNFVPGK >cds.KYUSt_chr3.39500 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248884633:248885064:-1 gene:KYUSg_chr3.39500 transcript:KYUSt_chr3.39500 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKKFAQMTKKWERMAHLRRKRLNLVAADDECCTSVASEGHCIMYSADGRLFKVPLAYLGTTIFAELLRMSQEEEFGFGSDVRITLLCDAAETEYVMCLIRRNASEEVERAFLSSVVSSCHQGNGLASPMELSQQVAVCSF >cds.KYUSt_chr3.35947 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225870439:225875716:-1 gene:KYUSg_chr3.35947 transcript:KYUSt_chr3.35947 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWVDMIICLDEEMARESGAAPREKPDPPEAAGRGTPGPRRPRSPQFGGSFLPIGPIALPRFDRDVSSSPGCRSAAALLCPTVFFCASSPSAKMVELESDEEPLVHEEAMLEDDDTDDEYVESEDDSEEEELQAEPSKKAIYNKERLLEKLEDIAWPENVDWMHKLAIDHDQGEKVDVNDDLTRELAFYTQALDGTRQAFEKLQSKKVRFLRPADYYAEMVKTDSHMHKIKGKLLFEKKQIEEAEERKKARELKKRSKEVQAEKLKERAKEKKENIESVKKWRKQRQQGGFSKGKEDGPNINFEVEEGLKQHKKQRPGVAPGDRSGGKRGKQGKNSRSKDSKFGHGGRKGMRKQNTAETTNDFRGFNNQKGESGNKKRKMF >cds.KYUSt_chr6.25372 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160807683:160810749:-1 gene:KYUSg_chr6.25372 transcript:KYUSt_chr6.25372 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRVPGWVGGLVEESFFVGCEAHESRKKNEKNIFCLACCTSICPHCAPAHRHHPLLQVRRYVYNDVVRLGDLEKLIDCSYVQPYTINSAKVIFLKPRPQSRPFKGTGNVCLTCDRILQEPFHFCCLSCKVDHVVDQGGDLSNILLYRAGDGLPFPRFENLHVGDADSGQVTPNSILEDPVHHHNGYGYGGGGSGGSSDNAGNGDGGGETVVKRKKGGGFFPKIVLSLGNRRKGAPHRSPLA >cds.KYUSt_chr7.7547 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45494003:45494374:-1 gene:KYUSg_chr7.7547 transcript:KYUSt_chr7.7547 gene_biotype:protein_coding transcript_biotype:protein_coding MRTALAAAISGRCWRACASHRHAFSSALTVASAAVDAASMTLGVIPQHDAVMRQSTHICASMEATGPRIDTVERELYSLRCHHLHSKEAAATAQTGSAMIDRVSAKSAIFWITCASAVRWRRL >cds.KYUSt_chr2.47579 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297660968:297666300:1 gene:KYUSg_chr2.47579 transcript:KYUSt_chr2.47579 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLCFNRRNRPATAVPRQGAPLPEERIDAPLSVVHPRAAERWMEDVESREGRGETEEISTLPYVMLRQRRKVVKQKRRTLSLEQEELYNIVGRPNVISYSELRSATENFSSNNLLGQGGYGSVYKGKLTDGRFVAVKQLSEGSHQGKKEFAAEIETISRVQHRNLVKLYGCCLESNKPLLVYEYLENGSLDRALFGKRYAYLDWPTRFEICLGIARGLAYLHEESSIRVVHRDIKASNILLDANLNPKISDFGLAKLYDDKDTHVSTKVAGTFGYLAPEYAMRGRMTEKVDVFAFGVVVLETLAGRPNYYTKDEDRVYIFEWVWDLFENNRPLDMLDPRLEEFNGEEVLRAIKVALICTQDSPYQRPPMSRVVAMLMGDVEAPDVVTKPSYITEWQIKGGCDTNYMISSVSVQSNSAPRARGSSQILSSAIYEGR >cds.KYUSt_chr4.23085 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145285249:145288206:1 gene:KYUSg_chr4.23085 transcript:KYUSt_chr4.23085 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDRADGGQLRQCRRVGSADVELHAAMALADMAGVEHHALPTQHHAAAAALPQAATEHEEDEEMASTRLSLELGNVGLQSSPCSSSSSGAGQQHQPMHAAAAAAAVTGYGTSRPRNVLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLASQNENMKKVAKTSKKKPSSTPATTPMDIAPPAQQAEASPPSTPPQPSFMYSAPPPAMPVPYVWGSWPPCGPGAYDHVGNSAAGHAPPPLCIPPPCAWYYPVVAAADPRGSPTSYVQPLQETAGSPGGGGTTGGEGTDEDEDPCSLTLAIDAADKKSAAAGASAHQSSGGVVGAAVGLQVALSGREKAATAAEARRRRKELTRLKHMHAGSRHGAADHW >cds.KYUSt_chr4.51360 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318658123:318660615:1 gene:KYUSg_chr4.51360 transcript:KYUSt_chr4.51360 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLQQGSRATKAAVTTMEMGGAAAEEERAWSSWAFRAAVAGVAAAGLAGAGVLVWWAVVFHPATRQLWMVPVGLVLLGTPLLAWLSLFASSPACKGRRLGTHHDPPPPPPAISIRKWEQRVVEFSHTTINLRLRMVVPNLRKLEGCKKWDLVPLTLNDKKNGESGPMTTTSALARRPIDNKKAKTERSGARTLVAIGASNKKMASSFTAENKDRDERGVAMWKTMLDKQDVKIGLEREKVEAAKIEA >cds.KYUSt_chr1.36888 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225061314:225061691:1 gene:KYUSg_chr1.36888 transcript:KYUSt_chr1.36888 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTVSGIFAIHEAASRDKEVVYELTPTTRLLVNDEVKSNLFPILSLILDSSVISPFLGMHSWFLDECSTSLFKKAHGLNIWEMANQDDTYNQLINNAMVSDTNFLMDVILRECGDVFLGIFAY >cds.KYUSt_chr5.2219 pep primary_assembly:MPB_Lper_Kyuss_1697:5:15119878:15127921:1 gene:KYUSg_chr5.2219 transcript:KYUSt_chr5.2219 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGYISNLHRQFETKLLENHSIISTLTKTFKENVSNITTLRDDLASIVAAASGPSVKDSGEPPVVAQTDEAAHYIPDFSLLKHLSADEFMGFLKSEWTKLQREHEYEMHLKTEELFRLKRDFAKDGAVLPLRKERELEFIKSKLIQTISKMNDIIARKEGSDCFDYDEDGELCRLKDRIASLLEENERLRGLLADKRKEAKQLSSQVVDAQNSIARHSLSESKLSNQIQKLCGELDDLKIESHLKDLLEQSLLKEVFGNYENQIDDGNQEECLLQELIMEKEEQLRAMSRDRQKLKYENDQLVSIVGSTLVQHHEEFDLVNDELMMYREKVCEQELLILEFRSESSSMKSCLYEALQQINVCKEEICGLTKSLTSMSVALEEAKEQNASLDATIREMKRTSASCVDGHEGHLEFDLVSMEKLSKAYSDFESRISQSMKQSEIRLTSIMCQFNPLVQQVSVLKKKEFWYKQILEIKCSNLRKAEAEVDILGDEVDTLLSVLGKIYIALDHYSPVLKHYPGFAQKWEYGPGENLCGIGISCACMVSATRLDGDYPFHGKNTPLDQFEAFVIDDFCFRQWENNSREGQLAYDVTACKLKVIEGERDFVIQMNDKWNSFSLNEYGKFSHPFGCSKLSSTKICGELLLCIEEGEKNEPEVVPLTTPPNDGVMLIANAYPVEYGHIFLVPNPTNRLSPFWDKKMFGLITKIAAEVNSAAFRVFFDGTSIVPNHTDFQACYFANPLPVESASTVPCNYPEYQNVTDMKTRSGVCVYETVDYPLKALVFSSNNANALVNVVSEACLTLRENNTAHSLMISNSGSNVFLFPQVKNLATGCYLSAWECCGYFVYHAKVDFDRASEAEISSRMASFSPEDGAFEDLKNLCCAIADDLDTCACAE >cds.KYUSt_chr4.24059 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151486151:151487125:1 gene:KYUSg_chr4.24059 transcript:KYUSt_chr4.24059 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATSSPLLSLSGARRSPTLLRCSPASARPPPYRSSSYSTGAERQPVYLASTSSRGLSLRCSAATAGSTSTEGWLLEPAGDGDWKHIGYRVERRGPIEITSSEVVTVGRVPESADIVIPVATVSGVHARLEKKKDGSLVVTDMNSTNGTYINERKLVPGFPVAVNSGSLLIFGDIHLAMFRARKALFQVPAPDETGENDEQEVNNEVLASVTEETS >cds.KYUSt_chr1.33422 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203204985:203205212:1 gene:KYUSg_chr1.33422 transcript:KYUSt_chr1.33422 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAGPPEPADWRLHPQDGKVVVPSLRLLRPEVTTTGPRRHRPEVVVPSPRLLLPMVAVCGPHLLLKSSSPPAA >cds.KYUSt_chr1.9594 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58526697:58530432:1 gene:KYUSg_chr1.9594 transcript:KYUSt_chr1.9594 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGGIHPLPPPTPLVAAPLAATSLAAGRVALRAAEVLSKLGAFGLTLLLDQQRGESESSAKRRARAVELRTILTKLGPTFVKIGQGLSTRPDLCPTEYLEELSELQDSLPTFPDEDAFACIEKELGLPLDSMYSAMSPSPIAAASLGQVYKARLKSSGQLVAVKVQRPSIEDAIGRDFYLLRGIGFLINKYVDIITTDAVALIDEFACRVFQELNYVQEGQNARRFKKLYADKQDILVPDIFWDYTSAKVLTMEWIEGVKLNQQAAIEGQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHMVNRDYDAMARDYYALDFLKPEVDVSPIVPALKNFFDDALDSTVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGRFRWNRLENLLVQGRQDREFVAKDALQPVLKLLLGPDGESLRVLVVKEAVRVTEAITFGTLIDSYNAAPEFLKPLISSGNPAGPFKLSDVEREQMMELRGRVLRIWGLLRSNDSFDPSLLQPIVQVLQEPEARVFGSRVAGGVTQRLAARLLQQLLRIPPTPVPGSS >cds.KYUSt_chr1.4477 pep primary_assembly:MPB_Lper_Kyuss_1697:1:27519904:27527966:1 gene:KYUSg_chr1.4477 transcript:KYUSt_chr1.4477 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEIHAFGRSLRQESTVWSRGGDDVFSRSSRDEDDEEALRWAALEKLPTYDRARTAVLAMPEGELKEVNVQKLGAQERHALMQRVAWVGDEHERFLSKFKDRVDRVGVELPTIEVRYQNLNVEAEAYVGSRGLPTILNTYANVLEGLANTLHLTPNRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTSLLLALAGTLPSSLKMSGEIIYNGHTMDEFVPRRSAAYVSQHDLHMGELTVRETVNFSAKCQGIGHRFDLLMELSRREKEENIKPDPEIDIYLKAAATGEQKAEVVTNHILKILGLDICADTIVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHIIGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESMGFKCPERKGVADFLQEVTSRKDQGQYWINSDETYRYVPVKEFAEAFQSFHVGQAIKSELAVPFDKSRSHPAALKTSKYGASMKELLKANINREILLMKRNSFVYIFKATQLTIMAIIAMTVFLRINMHHDSVTDGGIYMGALFFGILMIMFNGLAEVGLTIVKLPVFFKQRDLLFFPAWTYSLPSWLIKTPLSLLNVTIWVGITYYVIGFDPNIQRFFRQFLLLLLMNEASSGLFRFIAGLARNQVVASTIGSFSILIFMLTGGFILARENVKKWWIWGYWISPLMYAQNALSVNEFLSNSWNKTIPGTNKPLGTLVLESRAIFPEAKWYWIGVGALLGYVLLFNILYTVCLTFLDPFDSNQPTISEETMKIKQANLTGEVLEASSRGRVKNNTIASTDTADWSNEESTSNNATVNSSPGKKGMVLPFVPLSITFEDIKYSVDMPQEIKAQGVAESRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKHETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPANVDSSTRKMFIDEVMELVELFPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGRHSCELISYFEAIEDVRKIKDGYNPSTWMLEVTSAAQEQITGISFSQVYRNSELYRRNKSLIKELSTPPEGSNDLSFPTEYSQTFLTQCSACLWKQSLSYWRNPPYTAVKFFYTTLIALLFGTMFWGIGRKRHSQQDLFNAMGSMYASVLFMGVQNSASVQPVVAVERTVFYRERAAHMYSPLPYALGQVAIELPYIFVQSLIYGVIVYAMIGFEWTAAKFFWYLFFMYFTLAYFTFYGMMSVGLTPNYNVASVVSTAFYALWNLFSGFITPRTRIPIWWRWYYWLSPIAWTLNGLVTSQFGDVTAKFESNGVQVSEFVESYFGFHHDFLWVVAVVVVSFAVLFAFLFGLSIKLFNFQKR >cds.KYUSt_chr1.40966 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251193522:251194864:-1 gene:KYUSg_chr1.40966 transcript:KYUSt_chr1.40966 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVLLPAHPVPFGFGFGPGPLGFVDRRLCSPALLLPTGDGLIHGGCSPFTPSPRSSPRGDGVFYRGCTPSPRSSPRGFTPSPRSSPRAASTPSSSSSGSRVYDNDTAAAATEHRLRMARFALQYQDAVNRYHLCVSQLADAAREADALRLHNAGLRGANNDLAGRVVMLGGNRGPAIALAGQLRRLHLGPMQAMPGAPPMLLPMPCPASPGPPMLLPMARPAFPGPPMLPIPRPVSQGPPMLPIPRPASQGPPMLPMARPASPAEKHAVLPKSISIRSTGYLKMARNGKHRVTKQANVGSRVFVGVDGAKPEEEQKGQLVTSTGGLEFEVYNQGMFKTELCNNWEEAGACRYADQCQFAHGIAELRPIIRHPRYKTQVCRMIVGGALCPYGHRCHFRHSITPADHPLLLAA >cds.KYUSt_chr6.20566 pep primary_assembly:MPB_Lper_Kyuss_1697:6:129677208:129677777:1 gene:KYUSg_chr6.20566 transcript:KYUSt_chr6.20566 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSASTASSSCAFVSAVFYSDQHRFPLPRSRPPFPCARSSPSTPRSSMHVFVPAHARTPSGLRCSFPSLLAAARRQGRLRHTRTRRQELRPRPCPPPPRALLWPPPRLAVSDVQQPRRTARAAAHGRHMHACADLLFVLVFFTEQAAPRPARQDLHLHVVDYPGHLSSPTPAAPLPPFPRRRAPPG >cds.KYUSt_chr3.5787 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32770909:32780827:1 gene:KYUSg_chr3.5787 transcript:KYUSt_chr3.5787 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGVREVKVSIDGSVFRDSHSGSVASVIRNSEGRLFHYSAPSSSSSPKAPTFSAPRRALLPFLPPRKLLAAGDGDMAPPFIYCPSDDSSNGTYVEPSLSSPSSSPIPPPSFWPLDAFLTIGGRAVRALVYADEKAVIKAAIEGNLGRLKGIVKSLTKGNGELSAIFSFNVDGANALHIAAYKGHLEAGADVNCKGSMATPLIFATMQGGYTNYIKLLLKAGADPNIPDDVGTLPIEYAALRDCIEEVEMLFPVTSPIPNAPDWSIEGVISYAKIEHEKPIEQRHLERRTALFKSQADTAFRQKEYKMASHFYGLAIAHGKSATLYANRSLCKLLMADGDGALSDALKCRMLRPKWAKACFHQAAAHMLLKEYKQACDGLEDAQRMDPGNAEIDVQLRKARELMKNTPGDDDPKSLNSINSGMGIVVSFNLSYPIPNSVVDVVLWRRGRAEVSALALAATVSSWIILGAGAGGGYTAVSLSCNVLLLLLTVLFAWSKAARLLNRPLPPVPDLQPAVEGFTSLLHSGLTDLSAAFRRVALGDPDSGGLFCRVALCLAAASLLGGLAQDLPTFCYAGAVVALTVPALYQRCSMERYARLACLNLYRYELVYQSFSLRCYLSARDYLIELLKEP >cds.KYUSt_chr2.7969 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49942129:49942942:-1 gene:KYUSg_chr2.7969 transcript:KYUSt_chr2.7969 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHNCSIVVLVLLPLLLASSPSAATDFDFFYHVQQWPGSFCDTKGGCCFPGNVKPAADFGIHGLWPNYAACRPAVGKNKTECWPEFCNAADTFDPLLVSDLKNGMDRNWATLSCKSNDSTGFWSHEWEKHGTCSNMDQHAYFAAALEYKARFNLTQILLDAGVVPSDEATYGVSSILEAITVATGSKPSIGCNKGVSGEMQLYEVYQCVDRTGTRPVDCPGTVQGRKCTDTVQFPAF >cds.KYUSt_chr2.40760 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253268732:253270955:1 gene:KYUSg_chr2.40760 transcript:KYUSt_chr2.40760 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSSLLTAWLLVLGLVCHCALHHVAAAAVTLSTASRWIVDEGGNRVKLTCVNWPSHLEPMLAEGLNKRPVGAIAADVAAMGFNCVRLTWPTFMVTNASYSSLTVEQSFQRLNLTDSLAGVRANNPDVVDMKLIDAFKSVVSSLGDNNLMVILDNHVSKPGWCCSPSDGNGFFGDGNFEPDVWVDGLTRMATLFSGVPNVVGMSLRNELRGPRQNSNDWYKYMQRGAEAVHAANPRVLVILSGLSFDNDLAFLASRQVTLSFARKAAFEVHWYSFSNGQEWAAGNPNEVCARIGASVSRRALYLLDQGWPVILSEFGVDNRGGNVNDNRYYGCAAAVAADLDLDWALWTLQGSYYLREGVQDLDEVYGVLDRAWSGPRNATALRRVQALQRPLRGPGYAEAAPYEVLFHPATGLCVVRSSLTRPLELGPCGETEAWTYAQQDGRLTLRDSPLLCLHAEGAGRAVRLGLPCPDDRSHWRLLSDSKLHVAANASSSSASGTGMLCLDVGADGRSVVTNPCRCLSGDSSCDPESQWFKLVSSTRSVAAREMLLAQLPIKLQSTKIRSL >cds.KYUSt_contig_1948.124 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:766185:771136:-1 gene:KYUSg_contig_1948.124 transcript:KYUSt_contig_1948.124 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVCPAPMQATSHGAFQGDNPLHYSLPLAILQICLVVVVTRGLAYALRPLRQPRVIAEIIGGVLLGPSALGRSTKFLHAVFPPESLPVLDTLANLGLLFFLFLVGLELDIAAIRRTGKKALAIALAGISLPFALGIGTSFAFRATIVKGAPQAPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAVALSGEGSPIISLWVLLTGVGFVIVIIVLLRPVLAWMANRSPDGEPVKEVYICATLALVLAASFATDVIGIHALFGAFMVGIVVPKEGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTNVATISGAKSWGLLVLVITNACIGKIGGTVIASLVVKIPMREAVTLGFLMNTKGLVELIVLNIGRDRKVLNDESFAIMVLMALFTTFITTPIVMAIYKPARPSVPYKRRTVEGSPSDADSELRVLACFHSNRNVPTLLNLVESSRGTSRRRLAMYAMHLVELSERSSAISMVHRTRRNAMPFFNSGDKDGQMVVAFEAFQQLSTVRVKPMTAISDLETIHRDVIDSAAEKRAAIVIMPYHKMLQQDGTFHSLGSEYHAVNKRVLRGAPCSVAILVDRGLGGHSQVAAKNVAFSVSMLFFGGPDDREALAYATRMAEHPGVSVTLTRFKPSRVQPDEESSAADEATVESFKAKVGAVTDGSVRFDDVEVSGKEDVLEAINSLSKCNMFVVGRTPPAEPLVDRPEELGPVGSYLASPEFKTSASVLVIKRYDPATNPASKRFDPRARPPVATEVEDDDEELGGGGGSASVVPVQWTPTHDAA >cds.KYUSt_chr1.33605 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204145760:204146333:-1 gene:KYUSg_chr1.33605 transcript:KYUSt_chr1.33605 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGYLTLPIFSVLAAIGYVYYTTVFLAVAGWLGLATAAGVANAAAFTALAAACLATYAAAASRDPGRVPPAYLPDVEDAEIPVHEVKRKSQKHSNSSISAQNCDQGVLMIEYGVILDPKSGCWILVPSTESGSSLKLEGFCG >cds.KYUSt_chr1.29749 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180133275:180135054:-1 gene:KYUSg_chr1.29749 transcript:KYUSt_chr1.29749 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGADLRVFRSEPVNSPEKFSSDGIYGLCRDAIGLSKLVQQQKLELGGEATAQQASVASSGRRLPSVSAAYADWIAAAGGDDLSLGFNAAAASAAGLWGAPASRQSAAALNYGIAAAGDVGMVLVAPTAFHHHHHHQHQQHQRAAAAAPDAGGGGDHSGFALLSAGQCAALDDQAKAGSAIQFWQAHSQPTTPPPAGAGASKKAAPAIDYGGGGSSGSGGSTTCTDCGNQAKKDCPHQRCRTCCKSRGYDCTTHVRSTWIPAARRREKQQHPGGDGNVSPPAAPAAAASKKLRLLSSQTTTATPSTSNGTSSSQQDAPFRDSLPRQLRAPAVFRCVRVTSVEDGEDEFAYQAAVCINGHLFKGFLYDQGADEGRAGNDDHASGHAHAAAVRSISDLHLGSASAVPPDMYNTVSGPLILGGLGYGNTIN >cds.KYUSt_chr6.495 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3128448:3128669:1 gene:KYUSg_chr6.495 transcript:KYUSt_chr6.495 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHFPEDKDAKRSAYFKHVKMYDSKGHAWDPHTTRVFDVVDKPDCYRATGLLIDFNMGYTFYYGGPSGCVG >cds.KYUSt_chr2.5594 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34595822:34596826:1 gene:KYUSg_chr2.5594 transcript:KYUSt_chr2.5594 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVQLVIREKYGRVAHAAISSGRVNGFSTAASPQGQRLAGKVAVITGAASGIGKATAAEFIRNGAKVIIADVQDDLGRSVAAELGPNATYTRCDVTDEAQIAAAVDLAVERHGHLDILYSNAGVSGSPLQSSVGALDLDDFDRVMAVNAGSAVACIKHGARVMAPGRRGGSILCTASVIGVLSYGSPILAYAISKATVIAAVRAAAGPLARDRVRVNAISPHAIATPLTVRSMAEMFPDAGEDALVRVVERDWSELDGTVLAVEDVARAALYLASDEARYVTGHNLVLDGGFTAHKGVSMPSLGGLSR >cds.KYUSt_chr7.9607 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58756816:58761048:-1 gene:KYUSg_chr7.9607 transcript:KYUSt_chr7.9607 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVWLSAILLAFLLAASPIAQVAGTQSEEDAAMAEVVESADLGYVGDDTPVSSDAPLTPAPGVETVCVFPKNAGKIVPAGEETELLVGLQNDGESTLNVVAVHSTLHLPYDHRMYGQNLTVQSFYNASVPVSVQATFPYTFAVSKFLQPGAYDLVGYIVYEIDQHPYQNVFYNGTIEVVEVGGLLSVESVFLITLGIALLGLFGLWAYGQVQQLSKKTKKGPKVETGTGTTDANMDEWLEGTAFAKEKAKKNK >cds.KYUSt_contig_1181.1253 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:8116546:8116791:1 gene:KYUSg_contig_1181.1253 transcript:KYUSt_contig_1181.1253 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDLLGVRTKPVASKRRRKVAPGGSGGGRRLAKAIADYLASDSYMYAPLVSDPQQPPPTPPPAAAHAAASPGELSILHF >cds.KYUSt_chr4.3943 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22618695:22621834:-1 gene:KYUSg_chr4.3943 transcript:KYUSt_chr4.3943 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRDFPFGAAPPTRPRSKEAPRRRQQAATHAQHNDADDRDDDAYAAYDNDDDYIEALAYHNEEVKDDSDDYVVAVFHKWQLAVAEGRIFEFPENMTDDEMAKLGVLVSENDAPVQPPLPRPRRLLHHRHSRSPGRLLHRRHNHIPGCLHRRHSSGRLHRRSPGCLLHLQHPWRARQYYELRVQADPNRHRWTSEQAENSAGRADLPPECGVEPDEVKFAALYRLHNLVTYPRDRHGNPMEEIYIEKALDLVLKFGIPIKSSKLCSGALIPERTIKIKSCLSVIHLPIEELTKLIGNGFPLIGTVPMGRLQSLISCQEVYYAPTLIPNKFNEGFHMVALIGSGLGPKHIAGTEPVHETYFVARDSGGIDAHASTSKGKSNREKGVGGDFLVWGSDLCEAWMVEIVDDYLPTKK >cds.KYUSt_chr4.28042 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176144301:176156439:1 gene:KYUSg_chr4.28042 transcript:KYUSt_chr4.28042 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPAGDAEAEERRRLRSLAAEQRRLAGPGVAREGSAVEALPPSPPPLSSVTLGRCLQALLLGYHGGLQWQEMSRLQIGNAQQLQEVTIVDYSVGNTRPHDPFSVALSLHVTMSYAQRLRFVTVLSIGAVADLTTTLLVGLCMFLSWSSYGLRVLCFLHYVFICLVPEVLICQKHNYLVDWSSLLLPPLPPEDATHQHRAFWLRCCFIRPILPRKTRRPSSSFAETFAASMETVKIPTDELLEAMLKKLGLPCPRLFDGMPKSSKASRAGGADRISALPDAMLQHVLSFLQAREAVRSCVLARRWRYLWKSIPVLRLTGRSPAKEFREFMDYLLVLRDRLSLDACLFNFSKASRGDMHCVNLWIRGNPMSSPSGKAAAAPWRRSLLEDVVEATWGEADVLLEAGHCDALPVLAAGQGLGLRGAMYDVVDATWSAASPSPKDPAFSSPTLLGS >cds.KYUSt_chr3.22444 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138699693:138700458:-1 gene:KYUSg_chr3.22444 transcript:KYUSt_chr3.22444 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSRYRNKDGRRIEEEEDEAGVVGFESVKENLGVKTLDNKCARVDASAEGESAGASGINREAMVHAARPWRRGERKARNLRRSALQAKQGSRATQASRGGRDELGCGAEGVRRDGLGGALLQRGNDETGEGRVEVATAGGVLAGSAGPVGDEL >cds.KYUSt_chr4.33244 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203688657:203691922:1 gene:KYUSg_chr4.33244 transcript:KYUSt_chr4.33244 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALAFLCYFFFFFCSHALVTPRSSNEIASDEFALLSVKSMLSASTSEDLLASWNTSSHYCRWPGVICGGRRHPERVVALRLGSANLSGRISPFMGNLSFLKELNLGNNQLAGKIPPEIGRLLRLQVLNLSTNHLQGSIPVALVECTNLTILFLSFNQLQGEIPVNIGSLRNLKILAISENRLSGQIPQSLADFHVIENLALSQNRLSGEIPPSLGNLSSLSGLALDGNTLSGTIPSSLGFLSRLSWLNLSFNNLSGVIPISIWNNSSLRVFSVQKNMLSGTIPPNAFSNLPHMQRIFMDSNQFHGHIPPSIANASDMSVLQLLDNLFSGGVPPEVLVFEGNKISGSIPKDIGEIPAALGECQLLRYLFLQNNILNGSIPSALSRLKGLETLDVSSNNLSGQIPKFLGDLTTLSYLNLSFNSFVGEVPNIGVFTNATAVSIQGNELVCGGIPGLHLPRCSLQSGKRKHKFPVVPVIIPLIATLLVLALLYKLVNWRKRSKINIPITTSVKGHPLISYSELVKATDGFSTSNLLGSGSFGSVYKGELDQPGESASLVAVKVLKLQTPMALKSFTAECEALRNMRHRNLVKIITVCSSIDTRGNDFKAIVYDFMPNGSLEGWLHPDKDDQPEQRHLDLLGRVTILLDVACALDYLHWQGPAPIVHCDVKSSNVLLGVDMVAHVGDFGLARIFDEGSTSLQQSTSSMGLRGTIGYAAPEYGAGNVVSTNGDIYSYGILVLETVTGKKPTDTGFRQGLTLREYVELGLDDRVIDIVDTQLSLELDNGLQMANDSLYRRKIDWLASLLRLGMSCSKELPSSRTPTGDIIKELHAMKESLESIQHVKDGKYP >cds.KYUSt_chr6.3196 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18724865:18728704:1 gene:KYUSg_chr6.3196 transcript:KYUSt_chr6.3196 gene_biotype:protein_coding transcript_biotype:protein_coding MFWEASVPMPWGDMSLDRVPVPPIPGSDRARIAEIRRWRTQLPADLHEDSSYSDTSPNWDLCGTPTPLTAILHPPLFGRRVSGGTGYRARYNAHASATKHNSRQVLLRPWQINAGAMGKKSNRDDRLSALPDDILVNILDRLNVPEAARTSILSKRWIRLSSELSHLIINAKDFVPQGLSNANVSLDDLVQMNDAAADVTKSMLTRRVPGEHTISLLSTTFYLRDAVPISIGHAVGNAMATHKIEKAEFTVLTEKGRRQVTVDDIMNYGAQFLSFFNECQVAFSGLTRLYLENLKFAESGMPSNILVTCKQLTYLGFLNCKTESLMTIKVEHAQLAELSFENCRFGKVELKWLPRLTRTKFAFWMTYKNLPLSFGHVPLLEVVTLATIALSWHEMVELSTLLFGTSVRELHLGFRYEKIWLQPECLTRRVAYAFHRLRIVNLDTIPEGYDLTWTMFILEAAALLEEFCMTVMDHPCEMKMDKEERSQGLYSEKKGVEWESPTSNFKHYHLTKLIIFCFESFMISHVRRVMKAAVNLKDVYLYGRLKCRLCRHLKPLKPTTFPPSKKHRCSMRNLLTQGIQSRARIRFLSSDEIRADHAAMILN >cds.KYUSt_chr7.776 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4241572:4242845:-1 gene:KYUSg_chr7.776 transcript:KYUSt_chr7.776 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQSNLCVSADVGTAKELLELADKVGHEICMLKTHVDILSDFTPDFGTKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILEWADIVNAHIIPGPGIVDGLKLKEELKQESEAERGKEEGDGEGKEEGKGEGFLPTAMVATPCCSSPRRWPARNALLLSAKVATVAGA >cds.KYUSt_chr2.41241 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256384406:256387354:-1 gene:KYUSg_chr2.41241 transcript:KYUSt_chr2.41241 gene_biotype:protein_coding transcript_biotype:protein_coding MITRRAAAVALRASLRRACSSHAANSDDPLFGLFDATAPHPEPRLLPKDFAFIKHPAPALPAAALPPPEAVLISKAVRAYGADFDGKAERFLRRYREFLTDSLVVAVLRAVRSPELCVRFFLWAERQVGYSHTGACYDALAEVLGFEDRALTTHRLLREIGEDDREVLGRLLNVLVRRCCRRGLWGEALEEVGRLKDFGYRPSAVTYNALVQVLASAGQVEMGFRVHKEMSASGFCMDRSTVGCFAEALCKDGRWTDALDMVEREDFKLDTVLCTQMISGLMEASLFNEAMSFLHRMRCNSCIPNAITYRTLLSGFLKKKELGWCKRIINMMMAEGCSPNPAFFNSLVHSYCSAEDYAYAYKLLNRMKTCGCPPGYVVYNIFIGSICGREQLPKPELLDLAEKVYEEMLVAGCVLNKINTANFVRCLCGAGKFEKAFQIMKEMMRKGFVPDASTYYKVITFLCQANKVDKALLLFEEMKRAGVNPDVYTYTILIDGFCKAGLIEQARSWFDEMTSAGCSPTVVTYTALLHAYLKSKQLLQANDIFHRMVDDACYPNAVTYSALIDGLCKAGEVQKACEIYAKLIGASDNVETDFYFENKGVDTISPNVITYGALVDGLCKAQKVADAHELLDSMLSAGCEPNQIVYDALIDGFCKVGKIDNAQEVFLRMTKCGYLPSVHTYTSLIDRMFKDGRLDLAMKVLSQMLKDSCSPNVVTYTAMVDGLCKIGETEKALNLLSLMEKKGCSPNVVTYTALIDGLGKAGKVDASLKLFTQMKTKGCAPNYVTYRVLINHCCAVGLLDDAHLLLDEMKQTHWPKYLQGYCSAVQGFSKKFLASLGLLEEMESHDTAPIAHVYGMIIDSFSKAGRLETALELHKEMMEVSSPLNMTSKGMYTSLIQALCLSSQVEEAIALHIEMTRRGTMPDLSLFVCLIKGLIAVDKWNEALQLCYGICQEVSPLLTYTVQTHTFIFFLYSAIDETLSAP >cds.KYUSt_chr4.2890 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16472540:16474478:1 gene:KYUSg_chr4.2890 transcript:KYUSt_chr4.2890 gene_biotype:protein_coding transcript_biotype:protein_coding MADYAGRHLRRRAGWCCSFAGVPQSPDHHHHRSLPSSANAAPGGGGGGFVGAGANARKQQLPPIPKSPSSFHSSPSSKLAGLIDYPRRILSPGRVSPIDPDADPPPLPPPPQQPAPFVAVREEEEGEREAEGLDLRLCLRGRDGGCVVVMELDSAVLCESSAFFAAMAPASAAAGGGKRIEVDGVENVAAFRDAVELMFEADPLRWLARAGVSRAIGVLEVASSIMFDRGIRSCLEYIEVVPWNENEEEKLKNVFARCTFDEALSKDVLARLRPRHKSSSSEDLTVQLIQSVTSSTNNGARKDMQSLINGLLSKSSVYQKDLSGLNKGSLYQICCSCLNLLVELFKEDSEPKCHPHGVLKVTDSKTKIERVSKQSENLNWLFEILVNNDMAENFVELWANQDELVRMHEQASPMFRYELSRISANVFIALGRGKVQCPSDLRSQLFRGWFTPMLMDFGWLQRCSKGLDTRVLEESLGQALLTLPLQEQQSLFEEWFQCFASSGTECPNLSKAFQVWWRRSFVRSWVEPCGSS >cds.KYUSt_chr7.37172 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232033879:232035987:-1 gene:KYUSg_chr7.37172 transcript:KYUSt_chr7.37172 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGYFQPHAGSCDDMPLDFHVQQNAHYDLQPVDGSFEPTGGSIVPFTAFYQDYYPQGAVQKALLDDASSIIHNSGPFLPLLTPKLEVSHLIGGGRLGSYKAYEMSRRFLPRKKASSKALKKANVVKGQWTLDEDRKLVKLVEQFGLRKWSQIAQMLPGRVGKQCRERWHNHLRPNIKKDTWSEEEDMVLIQTHKEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRTRTSAKNPKSGTLLQNYIKSLGIGSSKVIAPVDPKEPPLSPSSTAPPTQNMLQVNDNWTESNPSNTMVTEGIFSTDDGQTHSCEEILVPTCDDFSIDMCDGLFDTKEEAQYQVYSIDDDVDMDYIFNHIDYAIKVDHELDMEMTWDDDALVSTDSALSPLVTAFGPAEIKTVHVKEEVDLIELVKHTQACS >cds.KYUSt_chr5.42692 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268903012:268904352:1 gene:KYUSg_chr5.42692 transcript:KYUSt_chr5.42692 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRSRKRPSSPPAVAACARKRRRPTVIAPDASTVVTPYAGGACASASCWESLPGDLLRLIAWRILADDLLEYVRFRAVCTSWRSGTVCPRGRGVTDPRFHPRRWMMLTEGHGLYPGYGKLDGYVRFFNLDKGTFVRVKLPLFRKHCALDSADGLLLLQRDEDTVIHVLHPFTGDIAELPPLATLLTQLDGYLNGAPEWERWFSIRNNLCASVSCNAGITTVMLAFHHLSRVAFATSQDRHWTMTSWEVPRSVRPLSFRGKIYVVHNQTPTVDATSHVLQIDHPLQNEIGIGFQQPSPPRLVATCPPNVLRYPIYLVECDSEILVVGHSDSSFSHILVYKLADLMLGRFIPVTSIGDRALLIEERSLSVSSKSLPTVMAETVIYTHPRTRDFAQYQLGTGTWSQPIDECGLDGFAPGPRSLIHHVMSCCIRNVWFGSLQILFTGI >cds.KYUSt_chr3.27189 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169721037:169723444:-1 gene:KYUSg_chr3.27189 transcript:KYUSt_chr3.27189 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHQLMVLSLDKKALKQVNKILQGFLWAGRADTNGGHCHVNWARVCRPLRLGGLGIPDLARTAISLRVRWIWRMHTDPLRPWHGLDMHFSKTELDVFAASTYMVVGNGESTLFWEDRTTSPSRRWRRRTVWFEVLSWIRSTSGPPTDEGDFAEWWSLVVRTAPRQLRKGTSSVIMLTAWWIWKHRNAVVFDNARPSVTSLFNDIAADARLWADAGVREHHTYIHTYMGNAVPRIHHRHEATAPSSGTTSPVPSGRRHGGKAARDAPVRRPRGVAEDERKPGGGTTVAMTVKVVVTRKEAERLIARLEEQNAKERKARIAQITRRLRAGRDGGVGRGSPAPSCGGARTPPRLAPIQEV >cds.KYUSt_chr5.19201 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124334628:124338007:-1 gene:KYUSg_chr5.19201 transcript:KYUSt_chr5.19201 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGTKPDTFYTEQAVRSVASDIPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDTDDGEPVPVALHDIPGGEEAFELCAKFCYGIAISISASNFVPAMLAARFLRMTEHVAKGNLVAKLETFFDSCVLQGWKDSITALQAAWRISGWSESRIVQPCIDSIVEKILLPTSKVSWSYTYTRPGYTKKPHQSVPKDWWTEDISELDIEVFRSVVSTVRAARMLPPPLIGEALHVYACKHLPDPLYCASAPGVNGQAQSSSMAADAEETIAKQRRVLETVVTMIPSDAGSVTGRFLLRLLRVANYVGASSSTRAQLIRQAGSQLDEAKAVDLLIPLPSDTQAYDVGAAEAVLEHFLAQFQRPAAADERRRMSVAMEKVVRIFDEYLQTIALDGEFPIGKFIDLAECLPDIARNDHDGLYHAIDTYLKEHPDLSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTVGAGGSHAVAPPSRASVHAVSGLTAIDREDEPAPTDHKSDVHRPRRGAHAERAQGEAAAMTRSLSASTKTVARTERTAEERGSRLRNN >cds.KYUSt_chr4.46678 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288567954:288571124:1 gene:KYUSg_chr4.46678 transcript:KYUSt_chr4.46678 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKSELHDLLSKPSLTGIPLLVIGNKTDKPEAFPKQSFTEVMGLKAMTDREVACFMISCKNSTNIDSVIDWLIKHSKKKN >cds.KYUSt_chr7.3051 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18099859:18100806:-1 gene:KYUSg_chr7.3051 transcript:KYUSt_chr7.3051 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVAEILQILWQGGREKEVERGQTVQEDEFLDALGGEGVEPGREQVLELLHVATGEVDAAERARVRVEDAGDGRGDAPRVDDDMEDVGVDEDERGGAPDPALAAGERSGARGLQDGEAGYDVAEELVGEAPDAVYAIVGEEEESRASTEAGGSGRAQWASESTRAKSASTSSGVVL >cds.KYUSt_chr4.17030 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106253732:106254190:1 gene:KYUSg_chr4.17030 transcript:KYUSt_chr4.17030 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVEEEPAADKAKKTPAAKKPKAGKSLPAGKTAAKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_chr6.9093 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56214239:56222224:-1 gene:KYUSg_chr6.9093 transcript:KYUSt_chr6.9093 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEENCNWPFGISSIGLYAELCGDDSCINLFSDSQSAIYLTKNQMFHERTKHIDVKYHYVRDIVAQGKLNVCKINTHDNPVDMMTKPVHVSKLELGWVDWNFLEEAMRKFGFAEQWIKWIMACVKTVRYSANEPDVEKDYKGKTVVQSLGDGMPKKKEHPAAWTKPDEGWQKLNVDASFSIEENKGTWGAVLRDHEGKVLVTAWGLINHCLNAEMAEGIAVLEGVKSIIALASTHVVVECDNANVIKELKLKDRSNSQLAFVISDTKDLLSLLPGYKVQKVNRAGNFVAHDIASFCKNVGYGGVLLDSFPSCVLERVNMDCKGYCIVDSSG >cds.KYUSt_chr2.25260 pep primary_assembly:MPB_Lper_Kyuss_1697:2:154457585:154462732:-1 gene:KYUSg_chr2.25260 transcript:KYUSt_chr2.25260 gene_biotype:protein_coding transcript_biotype:protein_coding MERIPAAFVMDWSIDHGRSLRSRHLGAHHQALDADAPGICKLASWPTVPAGVASASSITPAESRVFSKTMLFRLATEFRPAVDDSIRSCIVRSLLPNEVHGDGSTPQSSIASCDFTGTATLSSRIPSLLRLMAMINSSIEWFAGTRLGRKEIPHCALCCSSVLTTKQSYDIVMNFTGHSSISDDTSRTDDIRKVNRVLNELAGSRFVVRRLGHPASRQPLSSRRGPIRTREWM >cds.KYUSt_chr4.37131 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228451023:228452588:1 gene:KYUSg_chr4.37131 transcript:KYUSt_chr4.37131 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRSDIARAASCSPRSGEASPAAAVTGTTSAPTSGEHSCAKKELVWLRFFKWNRGENPCESKQEPEEIDGLDWDDDKLAKEIDGCLRRLKDTPFVYYGGAIDDESFPFLDEQQLRVLNRRLALCRIRAYEEKYQDMDDEALLELFPPDDLDANEYYLDHERGFEWNHETSNEWATDDDFIVQGEYEKWEFYRRTCSTLEGDQEYVRFWEELSSKTELIEWYITRTTCELKAERLIYYHTLKIAAVHPNVYKTLIRSGCNEFRRSLQIDFIWSLPYADFLFEMWKLLTVEEI >cds.KYUSt_chr1.36530 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222860110:222860902:-1 gene:KYUSg_chr1.36530 transcript:KYUSt_chr1.36530 gene_biotype:protein_coding transcript_biotype:protein_coding MATHSGSTAACASSSTAASTTKPTTTSSSWSTTAYTSRTTTAKTQIQRRNHNTAICRTRCRAYGVAHPREELGILEELAVKRKNRDMR >cds.KYUSt_chr6.29173 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184850813:184852090:-1 gene:KYUSg_chr6.29173 transcript:KYUSt_chr6.29173 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPNHGHGAQLSLPDLRDELLEEIFLRLPGPAALARASTVCASFHRVITERAFLRQFRKRHPPPLLGLVDEEGVFLPAQAPHPSAPLARALVAAGDFTYSFVPEPDNNTGTPKTWFPRDARDGRVLLEHTSRFVLKTVFTDLAVCDPLSRRYVLLPPIPEDMTSQEEHLLEFRPMLAPIREGKDEADEDETSFKVICVARYRTKLASFVFSSITGQWRIAASTTWSSLGAVEPSWKRMYRYNYFRGCFYWTDLWRDKLLVLDTGVMEFSTVAVLTGTHVQLRNQPGQRICMSIVVDGTEGALEMLTLAGDYGPTSFYLYHTTQKSNGGSSIEWNLENVMELPRRWLCSTMGATEGFLFLRCDREAQLDGSALGFLPEDNHVNFLSLEVKTFELKQVCMAKYHPNCVHSYFGFPPSLSKSSL >cds.KYUSt_chr1.32679 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198436601:198436828:1 gene:KYUSg_chr1.32679 transcript:KYUSt_chr1.32679 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGCQAQAPAGGGGGANKAPTARSTPPPRRGHIKENIIKDVVAAVVRMAAGLVARADKNGGGGLPVAGDADGK >cds.KYUSt_contig_1993.443 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:2499697:2501213:-1 gene:KYUSg_contig_1993.443 transcript:KYUSt_contig_1993.443 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLFVGGRAAAMTAAVLATVAALLAPAAVAQLSTSFYSGSCASLESIVRSGMTSAVQQEPRMGASILRLFFHDCFVNGCDGSVLLDDSSTLTGEKNAGPNANSLRGYEVIDAIKSRVDAACPGTVSCADILAVAARDGVNLLGGPTWAVPLGRRDARTTTQAAANSNLPSPSSSAATLISAFASKGLDSRDMVALSGAHTIGAARCASFRSRIYNDTNINAGFATRRRQVCGPQSGATDGNLAPLDAFSSVRFDNGYYRNLLSRFGLLHSDQELFGSGGPVDSIAQQYAGNGGAFSADFVTAMIKMGNISPLTGSSGEIRNNCRKPN >cds.KYUSt_chr6.6720 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40483712:40484755:1 gene:KYUSg_chr6.6720 transcript:KYUSt_chr6.6720 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGYGGGGGGGVRHRTCRMYWCYECARALRIISYPSTDVFCPRCYGRFLHEIDPPPRPALPPPGFFPYHLAPSHYDGNPRSWVVHGTGVGGTPALPGRAFRQLPPPLSSAPGRVPAPAPPRRRVPSPPPPAPVPRRPSAAPAIDPGDYFTGGDLSSLVEELTQNDRPGPAPAATSAIDSLPTVRITSTHMSDDGGSHSQCPVCKEDFELGEAARQLPCKHVYHSDCIVPWLRLHNSCPVCRFQLPGSGAASNARRGSGGSNNGGRGGDNGQAGRREPPTMVRWGPLSWMWPPRGTEDPDDGWEYGRHGHGHAHGRPDAGDAGGNDLSALQSFVVVAISVFFFSFFV >cds.KYUSt_chr5.15222 pep primary_assembly:MPB_Lper_Kyuss_1697:5:98279200:98280274:1 gene:KYUSg_chr5.15222 transcript:KYUSt_chr5.15222 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKQQAIGAIFAPPPPSSSSAEPAANLAATGHLDQHHLYHHHDPMAAFSSNDRYNGGARGAYSNPEVTQTTITMRPSPFADVATDCSSSSYASSMDNISRLLGGFMKSSPPADVKPNIAVASDPFLSFERMSGTGADLGFISGIQHQQPPQPALMGGVSYHDETTGQQLLQHQAPLCSIEKWLLDEAAEQVADLMDLSDGCSSLPMLY >cds.KYUSt_chr6.17652 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111071794:111076179:1 gene:KYUSg_chr6.17652 transcript:KYUSt_chr6.17652 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVYAVMDSEVLVEDGGIRGQGESKAEAVVPAEMNSEVEVGGSDRKEESKDEAAVDAERNSEVFVQEEREDGGSKGKGESSEDEEEDGESSEASSSSEEEEEESSEASSSSDEEEQIAKKHDGVEDMVALIKEGELMVGINDDDDEEEEETLTGHTNSKHEVKVLPPVPKIEIQLEPHHKALPVGTISSIMGERVIVEGSVQHNPLNEGSILWITESRKPLGIVEELFGPVKNPYYLVRYNSVEEVPAGISAGTAVSFVTEFADHILNVKELYTKGYDESGDHVEDETDDPEFSDDEKEAEYKRSLRMAKRQTDRQFESKKRSGNKRKQPRDAGFHKDMPPRIHNLQTPGHQSKHRFQRSDLAASANNSASLLGPQNISTSLPIMAPSVSLNPAMASAIQFTDQKGGGFPNPSQQFLPQQPNLNWPGGFPSPLYPDMGINGAAFAANIMQNILSAANQYQQNYHNQSFGGFPNGMPMAPAQFMPQSRMPANPMPFGGPPVNPPFGPTSELGMGQGNPYNLQHLAGNQGQMPPGLPTTQGYGSLPPSHGDGGRNTREAGIHRGEVVEGITNRVTRRLAFFFFTERSSDFRTTFLKAYNCRYYMASTES >cds.KYUSt_chr6.16814 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105868538:105869254:1 gene:KYUSg_chr6.16814 transcript:KYUSt_chr6.16814 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKRGPWTAEEDMTLVAHIEQHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSSEEEDAIIQLHTMLGNRWSTIAARLPGRTDNEIKNVWHTHLKKRLESSGKPQGATSAQKRKPKKPVAVAASEGPASEPASSPEQSLSTSSDSDDSMATSVENTGSFTSGEFQIDDSFWSETLAMTVDSSMEAGDPFGCASPTSSNNEMDFWVRLFMQAGDLQSLSQI >cds.KYUSt_chr3.35739 pep primary_assembly:MPB_Lper_Kyuss_1697:3:224643074:224647535:1 gene:KYUSg_chr3.35739 transcript:KYUSt_chr3.35739 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHNNVNVPHRSPVSARLLKGTAPVANYKINGNAYDMPYYLVDDIYPDYATLMKTVRKPDTEEWQERAQHQVFEDQLQEKIDFAGRSVRSRAVLTSHFAMRHTGKRKTTAARAIRQANLVSQLQLHYIALPPPRIPHLARALPIHARTHEHTSTAMDGAGNGRKKLKHRLAAILSVFSRRSGGRKRRDDAAAPPPLALPSYARVGAGGNGKKVGGQHDRRLSVSARRAVPLIRITIDCAGRRSVDAADPSLLAPLDAKNMETSEWEGRQCPPSSPFPVAPLPPLPKWTKDRAGTTTRRLSTHSSRSRLLMSSSSSDDEYDEQSSRNLFSSRSFSSDSSDFYNCPRNKTTTRARASVSGPPCRAPASSARRGASQSCRYSFELPRGSTASDGGFAVVKRSADPYEDFRRSMEEMIAGWPEGGHGIQGEEQDAEGLLETYLVLNSPRHYPAILAAFADMSSSLSYTFLFEDSSSSDDLDIDELLNNDDTEHRIPILAAKELQDKANLKRKHGSTISHICIPWNRALEHATLMQELHRGRRLPDLNSPELLLSHRAASTTPAMSSSLSYEFLFEDCSSSHNSNIDELLNNDETEHMILILTTKGSSKIEPTSRGGTNL >cds.KYUSt_chr3.46676 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293391676:293394625:-1 gene:KYUSg_chr3.46676 transcript:KYUSt_chr3.46676 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASTATLTGHLYPLPSTLNSIPSTTRLAPSAYRPRPLRAVAAAAATLREVCAGRVPDHVIQRAEDVGYLVPTEVQEQSLPLLLSGQDCILHAQTGSGKTLAYLLSIFSVVDVGRSSVQALVIVPTRELGIQVTKVARLLAAKTCNVMALLDGGMLKRQKSWVKAEPPAIIVATVASLCQMVEKRAFSLGSIKILVIDEVDFIFGSSKQVNPLRKILNSYTAASSRQTIFASASIPQHNRFVHDCVQHKWTKSDVVHVHVNPVHPMPSHLNHTYVICSKKERLHVLLSLLERDAPKSVIIFVAQQSEKSKRAGNPPSSTLVVEFLRTEYKGALEVLLLEEDMNFNARATSFTEVKEKGFLLVSTDIASRGFDLPQTSHIYNFDLPKTATDYLHRAGRTGREPFSKSECTVTTLITEEERFVLQRFQNELKFHPRQLPLESMFTFSL >cds.KYUSt_chr2.37038 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229151842:229152573:1 gene:KYUSg_chr2.37038 transcript:KYUSt_chr2.37038 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSNHDFLDLRMSRSNKRSSSKGLDLKLNLSLTTRGDSSNNRAMANDEESSPSSCLSSENEHGLQWSNSPEATSMVLAACPRCFIYVMLPQDDPRCPQCKSPVLLDFLQDNTSSNNKSSNGSRKSRRG >cds.KYUSt_chr2.18532 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116717030:116726238:1 gene:KYUSg_chr2.18532 transcript:KYUSt_chr2.18532 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASLEAEHELPPWPICGPYPPSGNYAKNDTYQSNINLLAASLPRNASSSPALYATGSVGDLPNIVYGQALSRGDVANASACERCVATAFRGAQQACPLYKDVLVFYDLCQLRFSNRNFLLDDDYIVTSYTLQRSRLVGSAAAAAFDAAVGLLVNATAEHAVGESSRRFFSTGEEGFGDRSYPKIYALSQCAPDRTADVCRTCLRIIIGQLPESFSGRTGGGFFGVWCNFRYEVYPFFSGRPLLQLPQFVERPPASAPPVSRGAVYFFRSRRRRRPEADAFLPSTLRVATDDFDNSKMLGKGGFGMVYKGVLPDSQEIAVKRLGQTSRQGIGELKSELVLVAKLHHRNLVRLVGVCLEEDEKILVYEYMPNRSLDTIIFDFERKKELDWGKRFKIINGIARGLQYLHEDSQVKIVHRDLKASNVLLDLDYNPKISDFGLAKIFGRDQSVDVTHRIAGTYGYMAPEYAMRGQYSIKSDVFSFGVLVLEIVTGRRNSGSYNTEQDVDLLNLVWEHWTRGNVIQLIDPSLSSHPPVDQILKCIHVGLLCVQRKPASRPAMSSVNIMFSSHTVRLPSLSRPAFCIQETELDRLTVAPNDSTGLETPVDDDDEADDILDGQLLSSIIGNLTEVDLEHSELSSVYDLKASARGSRSSADQDLVRSDFIELNQEIGDEFLNETNWMRTIPEKKKKKKKKKKKKKKKKKKKKKKKKKK >cds.KYUSt_chr4.39779 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245652257:245653807:-1 gene:KYUSg_chr4.39779 transcript:KYUSt_chr4.39779 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCIGVDVAVEEDAEVLRFVAAEDSFPTGQHAHAPSRSIPQSKRSSCGTYPHRFPDIPPRRQHVPACSVFTRIHRSRFVGRRPPIHLRARIIEIHLAIKEVVVRELYADVGSVDRAAAFELSLRIDGMPPPSSASLAADARSPPSVTADEEEDSSPVGSCPDDDVANSSSALPHSAEILNNWDASRSTRPHLTCWAAPARFLSAASTVLKVSSSS >cds.KYUSt_chr5.38097 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240719609:240720331:-1 gene:KYUSg_chr5.38097 transcript:KYUSt_chr5.38097 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVSGGKRKAYGDADAFPRKRRAPAESPDWTSLHPDITNLIAERLLAEDVTEYMRFRSVCSHWRTSTATPRDATLADRRFHPRGWVALCDGNGVRPVEDAAITFFHTATSRIRRLSLPVLRGHRIVGITDGLLILLDTRAAVIRVVHPFTGVVVELPQLATFVHFVFSKQKSVTMDSIVWMNATVCVASPSSIAVVIWFPNMPVVICAQPGNKGWKIIHTRIQFSNTLPFNGGLYGVT >cds.KYUSt_chr4.12531 pep primary_assembly:MPB_Lper_Kyuss_1697:4:76719615:76722447:-1 gene:KYUSg_chr4.12531 transcript:KYUSt_chr4.12531 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTDLLNLDLSDCTGKIIAEYIWVGGTGMDVRSKARTLPGPVDDPSKLPNWNFDGSSTGQATGDDSEVILRPQAIFRDPFRKGNNILVICDCYSPTGEPIPSNKRYNAERIFSHPDVKAEEPWYGIEQEYTLLQKDTNWPIGWPLGGYPGPQGPYYCAAGADKSYGRDIVDAHYKACLYAGINIGGINAEVMPGQWEFQVGPSVGISAGDQLWAARYILERITEIAGVVVSFDPKPIPGEWNGAGAHVNYSTKSMRSEGGYELIKKAIKKLEARHIEHIAAYGEGNERRLTGRHETADINTFTWGVANRGASVRVGRDTEKEGKGYFEDRRPSSNMDPYVVTSMIAETTVLCNSNLSNGSM >cds.KYUSt_chr1.19016 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111689736:111691547:-1 gene:KYUSg_chr1.19016 transcript:KYUSt_chr1.19016 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRRMGQHISDRGTLAEPPSMRLSTAWLALFTRVDVHLLFMLVDGGILLSGSGGLHLKRSAATELRGKGIAAVGEEEDGQRSEGIGREDARSDWAKAARVSLRQSHFYMADVKDRNYPYGWRISTIRRQCKPKDVPAAVADVGNGCSIKIWEDPWIPRGISRLPATPKNNMLKIVSGLINPVTGQWDEGLIRDTRDNFNDVDSACILAIPTDSDIDDRLAWHFDSKGLISVKFAYSLFKCKRIKKIWRLCEQEEERIVLMEKITDNPQV >cds.KYUSt_chr5.16695 pep primary_assembly:MPB_Lper_Kyuss_1697:5:107430367:107433328:1 gene:KYUSg_chr5.16695 transcript:KYUSt_chr5.16695 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRHISLCRTLRHFFNCARSTRRVGFRESPTDATKVACGKLTANLPRGRARANLQWTREQRLIIAERDVRLHLEWARQFPEDVAAMEALYDTKEEEKAAAKKADRERRAKSAARKKEREQKAARNAEEKKNGRMRFVLPRVGRASWSTAGRERPARIGFFSSVFKLNLKDLFSDDHAASPPPSPAKMEMLPLLGPPVASFREPEFFRGDIAFAVSGTTLVGLGGKRTFTYDIKAQAAGSGPGTAATKYGAFLLPVGAHDVYALSIFPQWGGRGDRPHLEALSPGEGPTPAWRALPEPPPELQVSSDPGSGRVCRLTTCFTIGGRLWLSAQGLGTYSLETAGPRRTWRKEGSWEIPVEGLPAVYVPELGRLFGFCPRRRCLCACDMDARPPVVREVWEETWPGECIEIGYGIQPDGSLVYLGGGRLCITRLVNDQVASSALCVQAVQLQLRHRVQMVTRRIRCYTMPKIARRAYALQPSTS >cds.KYUSt_chr6.32011 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202254761:202255195:1 gene:KYUSg_chr6.32011 transcript:KYUSt_chr6.32011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGAPHRHYDHGGGLRRRHDYGRRHHCGLRWAQRRHYPGPGPSTRAVLPREEIQAMPVAVATVPEVAAAQEIVAVPEVAEEQIVDAVYEDEASATSITADADDLLPPPPAFAIPPMEWLLGGPSASSQINEEFEALPPLVYY >cds.KYUSt_chr7.40199 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249584792:249586362:-1 gene:KYUSg_chr7.40199 transcript:KYUSt_chr7.40199 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAPTLQVPKDADLLQAQSDLWRHSLCYMTSTGLRCAIKLGIPTAIHHLGGVTSLPDLITALSIPPAKLPFLRRLMRMLVTTGVFAKADSGSEGTELFRLNALSSLLVDGVDADEHHCQTSFVLAATSSHYLEASLGLADWFKKDFGEGPPPSPFEEVHGAPLLDEKTASICPELDKLVIEGVEAHDNLGIGTIMRECHDLFKGLESLTDCCGGDGTTARAIIKAHPHLKCNVLDLPKVIEKAPAHDVINFVAGDMFHTIPPAQAVMLKLVLLHWDDEDCVKILAQCKKAIPSREEGGKIIIIEVLVNPSLGPIIYESQVLFDVVMMTVTKGGQRDENSWAEIFKKAGFSDYKIVKKLGARAVFEVYP >cds.KYUSt_chr7.19468 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120750775:120752917:1 gene:KYUSg_chr7.19468 transcript:KYUSt_chr7.19468 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRWRPSHLVFAAAAAYLILISLKFRRVLDLATSDLSTSDLAFSSPSSTDHLPPLHLGISTSPSNATLFPVQPFWHRYDRVSLPDPGPISPIDFHLWYSLNFSDPIGCGITGEPGVQNDAAQVQVYRHDGEHVMAADK >cds.KYUSt_chr3.5833 pep primary_assembly:MPB_Lper_Kyuss_1697:3:33131458:33134506:1 gene:KYUSg_chr3.5833 transcript:KYUSt_chr3.5833 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILNHILASTPRHPKQKYQARTADDQLRLSNILFCRATLEHTRCVYNYNKGVSDSHFELWSVLVSRALSGQARRIYKKGATNDHFRILSILVCRALSECIRRKLIVYEKGVAIDRFQLWRILICRTMLYFISHKTIYEKRIADAQFQLLTLSICKAISDYLCCRPICKDEIAVDRFVNLPELGGELKVDSPLSHLLYLNIAFSELTRVEFHAVELRTFEYEGNNLLYLKGTLPFPPVSQDSAQPNPTPQAVKQALLYSRRRRRRLAATPRPRHLDPARNLE >cds.KYUSt_contig_528.205 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1311228:1312445:-1 gene:KYUSg_contig_528.205 transcript:KYUSt_contig_528.205 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQMGGSGQGMYPMMNPNMWNMQMAQWPQFFGNQQLPPPGFNPMLMMPQGVASTLPQSNSQGSSASQFPVQQQNSGSNKNKKKTLKGTASDGSKNSGDRSGSNLQMNLVSGPGPALDPKFKNVTCYNCGELGHYVGLCTRIKRRFICSKTGHHMDNCLMWYSLLPTAQYWGSANPGLGFFHVEVEGPEAVQWLNMDNVGVVVVKDGEISAEELEKCFNDMWKVNWYWQIRQLGPKRFLVRFPPSKRVKELVEYPSINLKKDGVVIYFVNWEGEAEPFEEFQEVWVRITGIPAKWLTWKTICQVSTALGVLVNIDWHGIFRSFYKEVRVKVSVRDKSKIPANKLFEMEQCFFLINFLVENEGEPIDLDDDDGEDPGHTYEEGKLEDDADIGDDFRALDKNKSGGE >cds.KYUSt_chr3.10073 pep primary_assembly:MPB_Lper_Kyuss_1697:3:59527401:59529528:-1 gene:KYUSg_chr3.10073 transcript:KYUSt_chr3.10073 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPGAAAPGMVVVSVSFRQRRSARLSPDPTSGPSSEFEDAWLPATCHPLHARSPEILTDQTTCPSEMPKKTTSECKCQNYRGGTLAKRHVAHAAVAGGGRACRREWWRHVGQLRPRCRVVGPAATGCGGTLTWQHASTGCGGTLTWHVSPCKRAATAGGGMSVHVSVPPQPVAAGPTTRRSSNVPPPLTAASPAATGYGGMCDVSLGQRAATVVLTFALRGGLFWHFTGTCGLIC >cds.KYUSt_chr1.29182 pep primary_assembly:MPB_Lper_Kyuss_1697:1:176609678:176611318:1 gene:KYUSg_chr1.29182 transcript:KYUSt_chr1.29182 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLTRSIPRHLLVRCLSSAHLRDRHFLLRFAALAKELSDKPAPLPPPPRPRSPHPYDYNRLMSAHAAFDSSAGSDRALHLLDEMRGLLHRQPDAACFTTVAAALSSASRPGAALAVLEAMAADGVAPDTVACTVLVGVYACHLQRFDAAYQVVWWMSENGLPPDVVTYSTLIRGLCSAGRVAEALGVLDLMLEEGCRPNAHTYTPIMHAYCTTGMIHEAKKLLDSMIAAGCAPSTVTYNVLITALCKVSAFEEVEVLLEESSSKGWMPDTITYSTYMDGLCRSGRVDKGFSLVDRMLSNGLQPNEVTLNILLDGVCRSSSAWAAKCLLECSAELGWDASVVNYNTVMRRLCDERRWLAVVKLFTDMPKKGIAPNNWTFNIVVHSLCKLGKLHYALCLMRSEEFVADVVTYNTLIRHLSLSGRSNEVYLLFHQMLEEGIGHNEITYSLVIDCLCREDKFTVALSCFYRSLEDGFFPSVISSIVRGLIVGGKLDELHTLIGWIRGQGFAIDVYMYQEVIIALCKSGYCQGTEMYKVCHILERMLSLR >cds.KYUSt_chr4.35347 pep primary_assembly:MPB_Lper_Kyuss_1697:4:217021669:217022229:-1 gene:KYUSg_chr4.35347 transcript:KYUSt_chr4.35347 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQRGIGEGGDEQEQRQKRFDLETETEEVELSLGLSLGGRFGPDRPRLPRSSSVACILAPEEAPPAALPRTSSLPTMADADAGGKLSTGGSNAARADGAEVEPSAAVAVEHSASLQVSAVAVEPSATHAQQGSTVEVESLATQQGSAVVLCSLAPHCHGHARREIPREGSRPAARYLGELASTRK >cds.KYUSt_chr5.28937 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183157445:183161852:-1 gene:KYUSg_chr5.28937 transcript:KYUSt_chr5.28937 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLSMGEAHRRIADYLSRLDDAISQSDGADLASLLAISSAPASTPLSDALAAFPDFPHLASDRYPHLSDFLPPLLRAIHSHSLRRFGDAYSSFEKAASAFLQEFRNWETPWAMEAMHMVALEIRLLAEKADRELAMSGKNPDKLQAAGSFLMKVFGALAVKGPKRVGALYVTCQLFKIYFRLGTVNLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALMYCNPQSELNMRKILKFLIPVKLSIGVLPRRTLLEKYNLLEYADIVTSLRRGDLRLLKQALDKHEDQLLKCGVYLVLEKLELQVYRRLVKKIHIIQRQKEPAKAHQIKLEVLVKTLKWLGITMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPV >cds.KYUSt_chr2.485 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2964544:2966366:1 gene:KYUSg_chr2.485 transcript:KYUSt_chr2.485 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRVAQVGASARTAESTTPRFDLILSLSLPHRRTPPLSSRRTRLASAPPEISSPLLRGFVGMSDNEKDAAEGGSAARGADWEVVTLTASAYAAAPGPGGGPVAEAEGKGHGAGSSSDALLMSDHFVFPPSEHENLPIETALEEAPAGEDVLQEESTSVEDTGFRTVVGGAGSERVLYYDEGRNLSADEAEMLGEHGSFRAEDGGHGSAVHDDDDDSQDRAKVTPDSKGRCSGGASGKYWLKKHMACLYDQAKETNALWSVVVAAAFVGLVILWRKDKLHIGCLKWRSSSAVRYADC >cds.KYUSt_chr4.22676 pep primary_assembly:MPB_Lper_Kyuss_1697:4:142642806:142644166:-1 gene:KYUSg_chr4.22676 transcript:KYUSt_chr4.22676 gene_biotype:protein_coding transcript_biotype:protein_coding MYESTLEGLEAAFSGITPYVKDGLLFYNKHAHYQSGITPLTLVVLELQENGKLIASDDPPIVFSTANLYGSLRDKSMKLVDGKIETGELQFAGKLNRTCTFADSHSKVLFQYAARHAPLRIKDLVASVQSNSMEVESTDAELQD >cds.KYUSt_chr7.7870 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47573341:47575170:-1 gene:KYUSg_chr7.7870 transcript:KYUSt_chr7.7870 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEGSQFDAKNYDSKMQELLSQGETEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTREDERMLFDIQKFYNVVIEELPANVADLL >cds.KYUSt_chr7.22594 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140186602:140190648:1 gene:KYUSg_chr7.22594 transcript:KYUSt_chr7.22594 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHETTSRKPPGLRLFRGAKALRNYQTLVLVLTFLAYTCFHMTRKITSIVKSELDPQTRVGSAWGRLHTRNTLNVGWYPFNTSDGSALLGEIDVAFLAVYSLGMFFAGHLGDRMDLRIVLTIGMIGTAIFTALFGAGYWLNIHSFYYFLVFQMISGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNISGSLLAAALLRYGWGWSFAIPSFIMALVGLVVFFFLPVSPEVMEIEIDDGEINSDKDTAKEPLLEPGQEVKHKAIGFLEAWRIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTPIGNEYLSDAMAGSLSTIFDVGGVLGGVLAGHISDRLNARAITAASFMYCAIPALFLYRTYGSMSMTWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISTESWSAVFTMLMAAALLAGLLLTHLVCAELKGKLSSVSKCVATCSDEVLLPWRLKYKLLCGDSFARQYLQGSKLEDRSPHNDHYRNRVVRSPQLHQSGTMFQLPSIWEKPKEDFMKVNFDGAFHEATGTGGWGFIIRNHRGEFVAAGAGRLSHLRDPLHAETLACIAAVKGAAVLGARKVIFESDCSNLGSPQGGTIFYDVVWKLEQNNDSASAGIGIFIQMEHNQHMYISAMSPPELSPLQAEAYGLELATKLAEVLQLREPRYYTAVLASAADARNITEAPGHWMIRAYIARIQASSSFHVNRIAHLHRISNLKAHHQARL >cds.KYUSt_chr2.8820 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55533976:55535852:-1 gene:KYUSg_chr2.8820 transcript:KYUSt_chr2.8820 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDERDITVRGLDAVRRCAKVYMEAYTSLLSLGLDPASLANLEKMYGKEITVADREMVEERADQMLTEAKDADVAFLVVGDPFGATTHTDLVVRARDIGVEVKVIHNASVMNAVGICGLQLYRYGETISIPFFTETWRPDSFYEKIQNSRRLGLHTLCLLDIRVKEPTLESLCRGKKVYEPPRFMTVNTAISQLLEVEELHGGSAYGPDSLCMGVARLGSDDQKIVAGPMKKLLDVDFGAPLHCLIIVGETHPVEQEMLEFYMIK >cds.KYUSt_chr1.40774 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250051352:250052449:1 gene:KYUSg_chr1.40774 transcript:KYUSt_chr1.40774 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVPSSLRALAPPALLPSHHYYPLRKNLSTCPSSPRAVRGLARRRGVALAASAALPSDAEWLERLPEKKKPLYTHSLPGIEAWLRSIGFAQSREDRAVWVAETPLWHARLSLDVTDLHIRYLKSGPGNLEKDMERRFSYALSREDIENAILGGP >cds.KYUSt_chr1.3126 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18944793:18945035:-1 gene:KYUSg_chr1.3126 transcript:KYUSt_chr1.3126 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLQYAATRRQRAATAGLFLTGALLIAVAARLSYANIEPQRAKAAERRKVLEAFIARKRAAVAGAGDSHSHSPPDPPKA >cds.KYUSt_chr1.18989 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111518637:111525948:1 gene:KYUSg_chr1.18989 transcript:KYUSt_chr1.18989 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCGRGYDWRGRGGEDRTRPGWGGTASKLHGVKAEAVELLLPGHVTVAEGHARCKANVTPNLDDEHEPNPSTFMERAKEAFELWDRTMELMYRTSIVLFKLALAGVVVLDCCRSQYLLMIVRVMHEPNPSTFMERAKETLELWDRTMTLINRTSLTLIKLALVGFVVLDCCRSQYLLMIVRVMLGLEPQEWFLTDDKEDECPTCGHALGERRNVCHHQQQQSAEEDDAGR >cds.KYUSt_chr4.19437 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122177979:122184019:-1 gene:KYUSg_chr4.19437 transcript:KYUSt_chr4.19437 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMDLKRACEAGILSKEKVPEKVALSMRVAKGRVGPPRGLGIAGKLTSRHNQGMAKPRVLAVTTKAKGQKTKAFLRVLKYSNGGILEPAKVYKIKHLHKVEVASSDPSGCTFILGFDNLKSQSISPPQWTLRNKDDRNRLLMCILNLCKELLGGIPKVVGMDIVEMAIWAKENTTTKVTQVSTKDGPIESVFGEVESQVTVEKDLVSQAEEEDIEALLGTYVMAIGEAEAFSERMKRELVALESANVYALMETESVVEEVLQGLEVASICVEDMDEWLGIFNIKLRHMREDIQSIEWRNNRLELQSVSNVALGEELDKLLVFLQIPPEYEESLTGGSFDEGNMVKNTEACEWLTSAIKNLEASNLDPCYAKLRAVREKRAEFVLLKCTFVRRAAEFLRNYFPSLIDSMLNDKGNFSQKGQLQRPDHADMRVWTKVVCPLCGNLTVIL >cds.KYUSt_chr4.44539 pep primary_assembly:MPB_Lper_Kyuss_1697:4:275855218:275862600:1 gene:KYUSg_chr4.44539 transcript:KYUSt_chr4.44539 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVSPNEEDDGEDEDEDRDKDLEVNGEDEDAEHAVFVSYLWICGGGAEELASGKDAKEKAWLADVSIQGLGPFQAAARLGRVDVCRCMVEELGFDINAGSKIGVTALSAAALDGKMDAVSKLWKKKACGTTISFNFSNSSNIKLDRGRDSCSSKCCQPRNEQDDTNTKAQLKLCGEKAVKRKDYRAASMFYTEAIELDPNDATLYSNRSFCHMQMTEAHKALFDANTCIELHPGWLKGYYRKGVALMFLKEYKEACDVFMGGLKLDPGNADMEKALREAVEAMKKDHFARKSLKPSG >cds.KYUSt_chr2.46380 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289784596:289786830:1 gene:KYUSg_chr2.46380 transcript:KYUSt_chr2.46380 gene_biotype:protein_coding transcript_biotype:protein_coding MECFRFSLISLLLFLALVTVEGAGDDRSTFIVHVESHANVLGTADDRTAWYQSFVPNNGRLLHAYHHVASGFAAQLTQQELEAMSAMPGFLSAIPDQTYTVLTTHTPEFLGMNVAQGRRNYTSKFGAGVIIGVIDTGIFPDHPSFNDRGMPPPPAKWKGRCDFNGTSCNNKLIGARTLVAALSSQNGTRVPPVDDFGHGTHTASTAAGAVVPGANVLGHALGTAAGMAPLAHIAMYKVCSEEGCDGSDILAGIDAAVGDGCDIISMSLGGPSIPFHQDPLAIGTFGAMEKGVFVSVAAGNSGPVDSSILNDAPWMLTVAASTMDRSIRSTVQLGDGAYFHGETLYQPGDSPSVSYPLVYAGASGKPLAVFCGHGSMEGFDVKGKIVLCEFNRNISAVIQGGEVISAGGVGMILMNQFFMGYNKLAQAHILPASSVDYYAGDAIRSYINSTANPMARISFEGTILGTTPAPSIVFFSSRGPSLQAPGVLKPDVTGPGVNVLAAWPFEVGMPSGPILPPKPYFNIISGTSMSTPHLAGIAAAIKSKHPDWSPAAIKSAMMTTADITYRSGYPILDEQYATASFFATGAGHVNPAKAADPGLVYDISPDDYLGYLCSMYTSQEVSVIARRPINCSTLTVIDDSVLNYPSITVKIPETWNSTTRIVVRRKVKNVGEVPSVYYAAIDQPDGVATVDVYPRVLEFTEANQEKSFSVVVSPGDGGASVVQGALRWVSEMHTVRSPISFMLP >cds.KYUSt_chr7.28856 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179653945:179657971:-1 gene:KYUSg_chr7.28856 transcript:KYUSt_chr7.28856 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIPSGSDYACEDSSVSEYISSNELQHSFEEPASDHRTEAPAICYHEEPDQPVFQPPHATNIENINSGFLHSSTEMLTNFSTENEKDTEDPYHGGPGLCYLEEPDQPASEPLLATNIDNVNSGFPPSFTEMLANLSTQNKKDMEDPHQLTGAEHTEEVLSKVRTSLWRLAKQSIPTTDIRHRRNMADHDRCQLCGAKDSWHHAPLDCAMARCVWVLVDEGVTNHIHNAEESGVADGRSKKSVVAPTERQPTWTAPPGGVVKINVDAAVGKNTGCGSIAAVARDEVGRFMGASAVVLPGETHTETLEALACREGVALALDIDARRVQVASDCNNVVTSLTLGTMGAYAHIVQESKTSENSFKFLVFVYESMKSNKELIC >cds.KYUSt_chr3.28032 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174958839:174965150:-1 gene:KYUSg_chr3.28032 transcript:KYUSt_chr3.28032 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAAADSSGEGIRHGGGEGGKDGRPEKDEAKKKVPLLGMFSYADRMDVLLMVVGTLGAMGNGVAEPLMSVLFGNVINSFGESSSSDVLRRVTKVVLNFIYLGIGTAVASFLQVSCWTMAGERQSARIRSFYLQSVLRQDIAFFDTEMTTGEAVSRMSSDTVIVQDALGEKAGKLLQLASAFFGGFIIAFTRGWLLTLVMLTSLPLVAIAAAVSGQMLTRVSNKRLTSYSDAADTVEQTIGSIRTVVSFNGEKKAIAMYNNFIKKAYKTVIEEGLINGFGMGSVFCILFSSYGLAFWYGGKLIIDKGYTGGKIITVLFSVVTGVTSLGNATPSISAVAEGQSAAYRLFETIERKPEINSDDTSGMVLENIKGDVELKDVYFRYPARPGQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGMNIKNLSLDWIRGKIGLVSQEPVLFMTSIKENIIYGKEDATLEEIKRAAELANAANFIDKLPNGYDTLVGQRGTLLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRIMVERTTLVVAHRLSTVRNVDCITVVRQGKIVEQGPHHELVKDPIGAYSQLIRLQETRGDEKRKIQDSGMSNSLSKSSSLSIRRSMTKDSFGNSNRYSFKNPLGLSFELHEDEITDNHRKDDLLDGKTLKNAPIGRLFNLNKPEVPFLLLGSIAASVHGILFPLFGIIMSSILKSFYEPPDKLRKDSSFWALICVVLGIASLISIPAEYFLFGIAGGKLIERVRTLSFQNIVRQEVAWFDNPTNSSGALGTRLSVDALNVRRLVGDNLAVIVQSAAALITGFVIAFTADWRLALVITCVIPLVGAQGYAQVKYLKGFSEEAKEMYEDASQVATDAVGSIRTVASFCAEKRVVTTYNKKCEALRKQGIRSGIVGGLGFGLTFLVLYLTYALCFYVGAQFVRQGKTTFADVFRVFFALVLAAVGVSQASALASNATKARDSAISIFSILDRKSKIDTISDEGLMLENVTGDIDFTNVSFKYPSRPDVQIFSDFTLHIPSRKTIALVGESGSGKSTIIALLERFYDPDSGRISIDGVEIKSLRISWLRDQMGLVGQEPVLFNDTIRANITYGKHGEVTEEEVTTVAKAANAHDFISSLPQGYDTLVGEKGVQLSGGQKQRVAIARAIIKDPKILLLDEATSALDVESERIVQDALDRVMVSRTTIVVAHRLSTIKGADMIAVLKEGKIAEKGKHEALMRIKGGVYASLVELRSNS >cds.KYUSt_chr2.47250 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295619892:295620509:1 gene:KYUSg_chr2.47250 transcript:KYUSt_chr2.47250 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLTAPCDAAQVQAQAQPIYDTDGHEVTKENFYSILPADPSMSGLCIYSVRLTPEDCRLFANIERCRPPRGDPVKVTPAEASGGSVPRLSSDVLLSFNNDTWSLCMMSPQWHVVDYFSTRQTHVITGHTLGAPVPEKTERTESFRFRVERHGNGYKLTSCVRKLCRDLVLFDYDGYRLLTVEKDSRQPFVVVFKKCPWPCHTY >cds.KYUSt_chr6.10614 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65773895:65774609:1 gene:KYUSg_chr6.10614 transcript:KYUSt_chr6.10614 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASATNHGRVAAVATGLLFLAVTMHQHVAGAAPAAAVVPVHHVVGGDPGWDVASDVLAWSADRLFAVGDSLWFAHEATDGGIAEVGGEAEFAACKVGNPVRMYTDGLSRVGLDDEGARYFVSADPAKCRSGLKLHVDVGAAVSAGASPRTEEDGAAATAPAPSASSGSPGVAVAPLVRAALCLVFVWGLLNLGA >cds.KYUSt_chr4.21198 pep primary_assembly:MPB_Lper_Kyuss_1697:4:133348179:133350558:1 gene:KYUSg_chr4.21198 transcript:KYUSt_chr4.21198 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELVPGDHVLPVFTGECKDCAHCKSEESNLCDLLRINVDRGVMIGDGQSRFTINGKEIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGLGATLNVAKPKKGSTVAIFGLGAVGLAAMEGAKMAGASRIIGVDLNPEKYEQAKKFGCTDFVNPKDHTKPVQEVLVEMTNGGVDRAVECTGNVNAMISAFECVHDGWGVAVLVGVPHKEAVFKTHPMNFLNERTLKGTFFGNYKPRTDLPEVVEMYMRKELDLEKFITHNVPFSQINTAFDLMLKGEGLRCVMRMDE >cds.KYUSt_contig_988.300 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1820826:1821365:1 gene:KYUSg_contig_988.300 transcript:KYUSt_contig_988.300 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLIPILLVLLVVSQVALASIVEETCAKVENISLRKELEAVCVTTLQAAPGSATADTHGLAVIATNLTLVNYTAAVATIKDLQRHGGWTDGQQAALATCRERYTEALNAMHSAIHALATGQKQAYEDNMIAARRASTDCTAAAVAADKEESPLRKVNADAEHLTVVAMVIFFLLYL >cds.KYUSt_chr1.2015 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11605639:11610192:-1 gene:KYUSg_chr1.2015 transcript:KYUSt_chr1.2015 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAALPVAAAAGRDKDERRRWLSRCALAVVGIMSTLLVYGVLQEKLMRVPYGEEKEFFRYSLFLVFCNRITTSLVSALVLLSSKKSTDPVAPIQKYCVVSLSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIMMKKKYGGKDYFFAVIVTMGCSLFILFPASMDVSPLNRGRESTVWGVSLMLGYLGFDGFTSTFQDKLFKGTYSSESSSSSCGLYEIQQNVFTVGEYTPVLRLVRAPPQLDAVGWGCHCIRSPVREKLLEKQATEGSGCKLIVARLYTEFG >cds.KYUSt_chr2.46442 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290277211:290278462:1 gene:KYUSg_chr2.46442 transcript:KYUSt_chr2.46442 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRIGTSFWELRFPTCSEVEELSSSPSEKETEEADIVFEDLDHNVAEATATISGEMGCLSDGNLERITKEIDELYGLCEELDVRALEDNWIMDGSFEVMSSPAPPVPDAGGITDDVATLSSSVESSRPSCFTAWKMSSDSPQDVAAGESQKLLKKAVAGGAWTNDDGDGTVRAQESNVKGHVMSERRRREKLNEMFVILKSLVPSIHKVDKASILAETIAYLKELEQRVEELESSGRPIKVTTGLRRHAVLGKKASASAGSKRKASELGDLPKEKEDGPSNVVNVTVMGKEVLLEVQCLWKELLMTRVFDALKALSLDVLSVQSSTPNGHLALKIRAQCAGSAAVAPGMISEALQKAIGRR >cds.KYUSt_chr2.36793 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227257142:227258734:1 gene:KYUSg_chr2.36793 transcript:KYUSt_chr2.36793 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPPIDGDEVADDKPRARSIVIKIRRRLPDFARSVNLKYVKLGICSGIFPVPASWTLLALAPPLVAAAACSLRRLDLDVGVLRSFDSVAWLGTAMLLLLAVCFLKRPRPVYLVEFACYKPGDEHEISKDGFLDMTESTGLFNADALDFQRKITKRSGLGDRTYLPSGIKSRPPQLSMAQARAEAQAVMFGCLDELFAATGIDPRRDVRVLIVNCSLFNPTPSLASMVVNHYKMREDIKSFNLGGMGCSAGLIAVDLARDLLQANPDSYAVVISTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNRRADVRRAKYRLLHTVRTHKGAADERFNCVYQREDAGGNVGVSLARELMAVAGDALKTNITTLGPLVLPLAEQLKFLRSLVLHRVLRARGVRPYMPDFRRAFEHFCVHAGGRAVLEEVQRSLGLDDVDMEPSKCTLHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVPAVSLAAGARGDRRGCNPWVDSLNSYPPKAYIS >cds.KYUSt_chr4.39366 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242868475:242874099:-1 gene:KYUSg_chr4.39366 transcript:KYUSt_chr4.39366 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAKAAAAAAAAIAGTESAFGRHLSSPGSSTVRKRLRDSSENLPTHFSIPGSSTLWKRLLDSSENPPTPAGSIRHIDPSLAKEILVLFETPSGFAIFSLKYDLNQPDVMKKIWAIFVKDYRSRKHVCLEEFQKFKDKSSAFNRGTAANKELAEMILRHHRPGQALAVGKPEYKRFIETSLDVPCLFNETVMEVMWGLENLMHSLVPQEEVKLTKEDRLPICQGIKIFLNHHGFDVKPEMVNEKVLVTACILVDAELIEDTNTKTLRWAAGKLKDVSGINTNDWTNVKIVKALKIIFEPILTTLAEIEMFAPKELETLLRDEDKYDDLIIQDTILNIDLELAQASQLKKNAMRNLATLLS >cds.KYUSt_chr3.32256 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202623152:202623531:1 gene:KYUSg_chr3.32256 transcript:KYUSt_chr3.32256 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRQRPSPSSKPRDHVEANGTDASTAGGARGEGGGIQPVPGGGAANRATDPQPRRVGDSGSAERGGGGAYSDSESSQSDGDMDE >cds.KYUSt_chr6.9552 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58942335:58942985:1 gene:KYUSg_chr6.9552 transcript:KYUSt_chr6.9552 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSMLKMMCLGLLSTFFVIVLVDVWLLNVPACAVDPLGGGADILGSDAAASDPLSTVVDPLADPAASGNLCAAAPDPLGAAFDPFSVVDPVDAPVASCTFRATAAEALRAIVDPLAATAASGIIGTDAASAPLIVVVDPLADPAAFGTLAAFVVAFDPWTVSDALFWVQAVADPWTVVAAVFTACAAAFPGALKGDLNGADVDFNVVVVGTFNVL >cds.KYUSt_chr7.26715 pep primary_assembly:MPB_Lper_Kyuss_1697:7:166765451:166767058:1 gene:KYUSg_chr7.26715 transcript:KYUSt_chr7.26715 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQYLYLGLVLVSLLVVLASRRRAAAHGVDGLRLPPSPWALPVIGHLHHLVGALPHHAMRALSRRYGPVMLLRLGEVQTLVLTSREAAREVMKTHDTVFASRRLSATTRLLTNGGRDLVNAPYGEHWRQLRKIAVTELLTVRRVLSFRGIREEEVSTMLHEVADAAAKARPVEMRARLSALVAETLVQAVMGVRCKDLDVLLSKLERALELSGGFHPADLWPSSRIACGLSSALRDAQECQDMVFVVLEGIIQEHIAKTGDGHTEDLLDVLLKIQKEGGLRFPLDTDVIKFLVFELFGAGSESAFTTLEWAVSELIKNPKAMQRATAEVRRAFAAGGTVVEERLGEVPYLHLVMRETLRLHPPVPMLIPRESREACQVLGYDVPQGTQVLVNVWALGRDERYWDAPEEFRPERFEHEAAAMDFRGADFELLPFGGGRRICPGMAFGTAIVEYALASLMLHFDWELDQPGPGVLDPAQLDMTETFGLTARRKANLWLRPVLRVPIPGV >cds.KYUSt_chr2.19145 pep primary_assembly:MPB_Lper_Kyuss_1697:2:120501361:120502201:-1 gene:KYUSg_chr2.19145 transcript:KYUSt_chr2.19145 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVSSSSFKSDLSCSEAEAQPEESSWTGYFVDFMMSEEEKKRQEASYCTYDQEEEVDEEESSMISDAASLAPAALLDRYKGLKKLKKKIFKALDHDDSLEDTASSPVNSPKVSALSQLEFSPKRRCNVRDLAKGVGIGYDHGREGMDYEDADEMIRGVRFLDQSQKGIAPCAELKDKGLCLVPLSMLLNYQG >cds.KYUSt_chr2.12396 pep primary_assembly:MPB_Lper_Kyuss_1697:2:78586601:78587458:1 gene:KYUSg_chr2.12396 transcript:KYUSt_chr2.12396 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTNPFRRATTVVLVAVLVLAAAAARADGALCVKSDKAALLAIKSALGNHPELSGWNSTVPCCSWPGISCDTTTGRVTELTVFALNISAPVPAAIANLSALQTVNLAYNRLYGRIPEFLSPRGALPALNFLRLDGNRLSGAIPPTATVYDLSLVGNNLTGPLPATFADAEFGDLDLADNQLTGDASMLFGAKKKMNALRLSRNRFAFDLGRVQLPEGLDILAIDHNMIYGSIPAAAAARKWLAFDVSYNSLCGPIPQGRYTHRFGPKHFVPNKCLCGRPLVPCS >cds.KYUSt_chr7.26074 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162786093:162787259:-1 gene:KYUSg_chr7.26074 transcript:KYUSt_chr7.26074 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMAILKSSSIDSDASGTTTSFLPNDVDLGGDLLAEIIRRLPLDSVARSRAVSRNWRAAISDGYLRRRLPLHMSMICFPDNDDPFGGGGSASLPLFACATEGRRLEERDIGFFPLHDRSVVCDACNGLLLCRAPGTRDFYVVSPVTRTWAALPRPAKDASLSVLAFDPFGTSPQQHYHVINFTGWRDRGAAVEVFSSETRAWTAHEVDFGGVPAGSLSGASVHCHDGAAYFLASDPDCAVRMDLAAGAGLACTVVALPEPSDGHGRLAHCGSRLHYVCSDGELLKVWALEDVQRWRLKHAVRVGGDIVEGGGGEVRFLAMHPEKSAVVYLWSPWKLVEYDLSKRELTGVAWEFAKGARNRVVKTWLVPSSCYLSDCFADAPAGGAMI >cds.KYUSt_chr1.19207 pep primary_assembly:MPB_Lper_Kyuss_1697:1:112781470:112782279:-1 gene:KYUSg_chr1.19207 transcript:KYUSt_chr1.19207 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFLAASSSSSASSPLSYLIPRPPSSSLVPQPQAQGGFSCGAALAGAYGGNGFGGAGVEAAAAVRQGGRHAGHPPLPRPPPRQCPRCRSANTKFCYYNNYSRKQPRYFCRACRRHWTEGGTLRDVPVGGGRKNRRNGGGNNKGGAKAPSTTTGTGTASSAAAAAAAGHVQGGIGSGALEGFVPADVLRQMLFQPASFTAVGGGSGGYSIDLGAWQQMAAATAAPQGDQDVGTVGGTPPAADANCGAGVQFWSGWQAQDDMSGFDAPF >cds.KYUSt_chr3.22341 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137937740:137939470:1 gene:KYUSg_chr3.22341 transcript:KYUSt_chr3.22341 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMDGIMKAIFSCIPSAPSSAADSCLSADFSSDFPGGGGGGEDRISCLPDALLSNIVSRLPVKDAARTAAISPRWRRLRSSTPLVLVDVDIFHDYVVGDGHGHDGLIDWRGLTSTVSRILSGHQGPFRTVHLTTVCNYAAARGGSALVRWLHLFAAKAVEELVLVNFPRWILDNTLPAEILRVASLRRLYLGLWDEFPDTDHLPRGAHVFPHLLELGFCRTDIKDKDLDSLLQRSPVLEKLALILNYATPRNVRVRSRSLRCLLFWMSIADGLDVAVAPRLERLILWNDCPGACFDKSFRTRVKIGNTPALKVLGYLEPSIHVLEIRNTVIEFGTKASPTTTVTSVKILGLRVRFGMHRETKALPTFLRCFPKVQTLHIMSAEADEPTGKLNFKFWQDVGPLQCLQSHIKKVVFKNFRGYRSELAFLRFVVERAQLLQNMVIVLADDSKEGVAEKLKPLACSTKRASRDPKFMILVRKGGSPWSFRVASDLSKSDPFDC >cds.KYUSt_chr2.55322 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344921682:344924031:1 gene:KYUSg_chr2.55322 transcript:KYUSt_chr2.55322 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPASPQATRRISSDPRQSPTPAKPQLFDIDETLLSYLAYYVQHRYGSSTDVQGFFVHGYLFSVWRTETYLRSRSLH >cds.KYUSt_chr2.17461 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110084943:110086097:1 gene:KYUSg_chr2.17461 transcript:KYUSt_chr2.17461 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSSHHLDASSASSTPRAGARSTASSCSNGNGNGNHHHHPPPHAPSSRAQPQPQPHGGPCVRIMCSFGGRILPRPGDHRLRYVGGETRIVSVPRTASHAVLTAALARLAPALFAPGAPGPTLRYQLPEEDIDSLVSVSSDDDVDNLMCELDRVHGLAAADVKPPRLRLFLFASSPDHASAGAFGSVLSGTGDASIDQWFLDQLNAPPPSSLDRTRSDASSILSENTDYLTGIDAASDDPNPAPPAAEQTKPDIETPHGDDDHDKPAPVLGAPLAPHFAETAPWPAPPPQYMQQPMYYYPPTRPVHYVDAAGRQGSYMPGPVYHHMVAGGGNQDLYASGAVYGVPHPVQPYRQVIYRPPLAAADDYPAPAEGKPTEGASHSS >cds.KYUSt_chr7.7513 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45286533:45286898:1 gene:KYUSg_chr7.7513 transcript:KYUSt_chr7.7513 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWARAAALCLAIAVVLQLGADAASVTPFSGRPRGPAARRWLPASPPMVAAHNAAPKPKTSPGGSGRTVAFDATAAEGARCKTSTARKSPPGARGAACAADDDDKRVVPTGPNPLHNR >cds.KYUSt_contig_2806.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000514.1:106856:107278:1 gene:KYUSg_contig_2806.11 transcript:KYUSt_contig_2806.11 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGAGELGAYDVVFLAALVGMAAEEKAKVIAHLGAHMADGAALVVRSAHGARVVPDRRPRGHRTSGFEVLAVCHPDDDVVNSVIIARKSNDDVHADGLQNGHGQHARRTVPVSPPCRFGEMVADVTHKGGLCQNEVAF >cds.KYUSt_contig_1253.278 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1856415:1857330:-1 gene:KYUSg_contig_1253.278 transcript:KYUSt_contig_1253.278 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFKAFLNSPVGPKTTHFWGPVSNWGFILAGMADMNKPPELISGSMTAVMCVYSGLFMRFSWVVNPRNYFLLATHASNESVQLYQLSRYVKAQGYLEKKEPEAQQ >cds.KYUSt_chr1.23375 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138780048:138787007:1 gene:KYUSg_chr1.23375 transcript:KYUSt_chr1.23375 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGTPFFIDNIIRFHVGARIPGVAPHYISPPSTFNALLGSYWFDKPWFLTEGKLTAVRITPSSWGSQRTRVERKRHTSTTRSKLEYGMEFEVLFRVSDGIPDITRSSGMVRRIRFIYRKSFYRFENDPMLLWKVLEAGQDIIQIADAVRSASGELISEKLNGELLPLCQFLKLAMRDVHLSGLHLSQLRRLEVGLNLVLNERIFRRIVPAIIVVATTTVVVAA >cds.KYUSt_chr6.28753 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182156188:182157347:-1 gene:KYUSg_chr6.28753 transcript:KYUSt_chr6.28753 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNEEDGAANNGFPRRSLHAWEGHLLHQAGYPCQPDTRPPGGGWRLSAGGVPIPPPPQGHAFDVAIEESRITMTDEERADPRHHPENYTRSAPSWAPVKQEAVSPSNNRASSGGGIVIREPSTARGRLRPKRETDTSGERKRKPAKVKVEEEAANDAEDAAILKAVKARSLQDLVPADNAMPLDQACAWSREQWEKEEAERQARLLEDVGRFRRPATPPSGAVVPVIDLEGSDDEWYKPSPSPARDGGRWGDPGQGTSSQAPPQSGDGDDDGDGDGDEDYTVFYRHFGM >cds.KYUSt_chr3.29959 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187548677:187560415:1 gene:KYUSg_chr3.29959 transcript:KYUSt_chr3.29959 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSWDAGLLCWEEGQREEAMGYYRRAAELGHPVGMCNLGVSYLEADPPEAKEAVRWFYPAASAGNVRAQYNLGLCLQNGKGIKRNQREADHMRAKKWLQLAADCGHKKALYECGLKLCAGKLFWFKNSAVTCVLVPRGVIPIATCLIVKGAEDVLNRKFVFEFSTLQETMYFVTDSEKEKEEWINPIGCSIVQHSRSITKTLTMRSSTTTAARPQQASSQHRFVDRSEPTGEAVTL >cds.KYUSt_chr1.38027 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232170814:232171316:-1 gene:KYUSg_chr1.38027 transcript:KYUSt_chr1.38027 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLPAASFFRLSLPTSWPAVNALLLRACVSLQNVRARPRRIPAFGEWNYYDHGGYGYGYAGGGDWPVTQYFESAMQAGGGMVIALPASPPKPAKKITLILSFI >cds.KYUSt_chr4.42424 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262534440:262538080:1 gene:KYUSg_chr4.42424 transcript:KYUSt_chr4.42424 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHGLATNPLRATRCPVTSRASTAPLGLVSSLGFDRGCKDKVKLFINVDRYTKHGAVLGTQRCSRITPFASAAFGDRADSSTPIFPRINVKDPYQRLGVSKEASEEEIRAARNYLISNYAGHKPSVDAIESAHDKIIMQSFFDRRKPKVDMKKKFRELSQSRPVKAVQARFQTPNSKVIWKTAVTFVLLGVLTLLFPTEEGPTLQVRILLCFLVSCHILDGISNSAYTAWSEKLGSEHCVRRLCVPFCIVNLPEVGNFVIGNPGVPILALSLYQAV >cds.KYUSt_contig_7377.34 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001496.1:190456:190791:1 gene:KYUSg_contig_7377.34 transcript:KYUSt_contig_7377.34 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNPIALLLTVCFLGFHVPAMSHASSSDKFLLCLSIHVPSQAVLTPTSPSFKPLLVSSIRNAKFVAPATARPPLCILTPTKASHVQAAVRCGRYHGVRLRVRSGGHDNH >cds.KYUSt_chr2.32706 pep primary_assembly:MPB_Lper_Kyuss_1697:2:201645160:201645366:-1 gene:KYUSg_chr2.32706 transcript:KYUSt_chr2.32706 gene_biotype:protein_coding transcript_biotype:protein_coding MDTADDVGFATIHPHLDASSRAAAFPNPKGGRGGGGTGGMVASGRSVHELLECPVCINSMYPPIHQVL >cds.KYUSt_chr3.39914 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251564387:251567230:1 gene:KYUSg_chr3.39914 transcript:KYUSt_chr3.39914 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMTPLSPASIYVLFVIALVALQGAPLRAGAADTLSVDQPLSVSQEPLVSKSGKFALGFFQPDNSTYWYIGIWYNKISKKTPVWVANRDSPISSPQSSRLTISSNGNMVIANNSRSAIWSTNISSIASGQTVGVILDTGNLVLALASNTSVVLWQSFDHLGDTWLPGGKLGRGKLTDGSTRLVAWKTYNDPAPGPFSIVLDPNGTSQYLLMWNSTQQYWTTGNWTGRSFTAVPEMISSDAYAHSLYRFDYVNGANGSYFTYEITDDSILMRFQVDVTGQIRFTTWVESAGEWTLFWSQPKAQCDVYSLCGPFSVCVDSSQPSCRCLRGFRERRLQAWLEGDHTEGCSRNTALQQCGLQGGTQKGKKDRFYVMPNVKLPSDGQDVVAAQNARDCELACLGNCSCTAYSYSGSCWLWHDGLINLRDMSSIGNGDGTILIRLAASEFTSTGNKKKLIVGVVAAAVVAAITVIALVAVFILRSRRVKALRRVEGSMMAFTYRDMQSMTKKFSEKLGGGGFGSVFKGSLTDATGTLVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCSEGTRRLLVYEHMPNGSLDRDLFGSSSGHGVVLSWETRYQIALGIARGLHYLHEKCRDCIIHCDIKPENILLDNALVAKVADFGLAKLMGRDFSRVLTTMRGTVGYLAPEWITGTAITTKADVYSYGMMLFEIVSGRRNARQRQDNTVDFFPLLAATMLAEGELDGVVDIRLGTQVDLAEVERVCKVACWCIQDDESARPSMAMVVQVLEGLVEVSVQPVPRSLKLLTDQGNDVELFSEMPPE >cds.KYUSt_chr1.679 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3658552:3659064:-1 gene:KYUSg_chr1.679 transcript:KYUSt_chr1.679 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSSTPPTACTAHPPRATDDDAGGGHQTLARAVVGVVVLSCDGEPPPHDVYGSVTAATTTVPSGGEPPLTLSHRLFHKAKGDQVRVPEEGAAMPLLLTEHVVVSGPLRAELTVSASLRGVNNAYYFGDHEIAEGSVVFATRLDGNDSAVIAGNHGKVRVDVTWSSMHE >cds.KYUSt_chr1.117 pep primary_assembly:MPB_Lper_Kyuss_1697:1:733308:738128:1 gene:KYUSg_chr1.117 transcript:KYUSt_chr1.117 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSKLYTYAACARRHSAVDDEGSRIGGPGFSRVVHTNDPAAAAAAAAAGYYRSNKISTTKYSAVTFLPKSLFEQFRRVANIYFLITALLSYSPIAPFRGSTAVAPLVLVLVATMVKEAIEDWRRKQQDVEVNNRKAKVFQDGAFAQTKWTRLRVGDVVKVEKDEFFPADLVLLSSSYDDAICYVETMNLDGETNLKLKQSLSVTSHLQDDESFASFGAVIKCEDPNAQLYSFVGNIEIEDQQYPLSPTQLLLRDSKLRNTEYVYGAVVFTGHDTKVMQNATSVPSKRSKIEKKMDGAIYLLLSSLVLISVIGSVFFGIATKDDLRDDGRVMKRWYLRPDDTTVVFDPKRAATSAVLHLFTAMILYGYFIPISLYISIELVKLLQAMFINSDILMYHEESDTPARARTSNLNEELGQVHTILTDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERAMAKKKGSPLIADMEIAVDQPEGRSVVKGFNFADERIMDGEWVNQESSGVIEMFFRLLAVCHTCIPEVDEVSGKVSYEAESPDEAAFVVAARELGFTFYQRTQAGVSLHELDRLSGQQVDRFYKVLHVLEFNSTRKRMSVIVKDEEGKTFLFSKGADSIMFERLSSSESSYRESTQKHINEYADAGLRTLVLAYRQLGDVEYTKFDKKFTAAKNSVSADRDELIEEAADSLERGLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQITITLDTPDIIALEKGGDRAAITKASKDSVVQQINEGKQLINASASESFALIVDGKSLTYALKDDTKGMFLDLAIGCNSVICCRSSPKQKALVTRLVKAGTHKVTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISSMVCFFFYKNIAFGVTLFLYECYTSFSGQTFYNDWSMSLYNVLFTSLPVIAMGVFDQDVSARFCLKYPMLYQEGPQNLLFRWSRLLGWMLHGVGSAVIIFFLTIASLKYQAFRSNGQVIDLSTLGATAYTCIVWAVNMQMAITVNYFTLIQHICIWAGIFLWYVFLLAYGAITPSFSTTFFMVFSEALGGAPSYWVVTLLVSVAALIPYFTLSVVKTWFFPTYHNKIQWLQHMAKDDDPEAELGMILRQFSVRSTSVGISARRDAKLVRVSSKGLPC >cds.KYUSt_chr4.7330 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43561950:43564277:1 gene:KYUSg_chr4.7330 transcript:KYUSt_chr4.7330 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTPLPLHYQSSTTDHSLHLCFPVPAVGQEIFVRRFAAPIMSPPNLGAWLCLLVLLAAAAPAAEALGMNWGTQATHALQPKIVVQMLRDNGIKKVKLFDADHGTLNALAGTDIEVMVAIPNVLLDLMTDYDNARDWVRHNVSRYHFEGGVKIKYVAVGNEPFLASYNGTFDKVTFPALRNIQNALNDAGLGDVKATVPLNADVYNSPTSNPVPSAGRFRADITALMTEMVSFLANNSAPFTVNIYPYLSLYLSDDFPVDFAFFEGQAAPVLDGGISYTNVFDANFDTLVSALKAVGHGDLPIFIGEVGWPTDGDRRATNALAERFYNGLLKRLAANTGTPLRPNHYMEVYLFGLLDEDVKSVAPGAFERHWGILRFDGQPKFPIDLTGQGQNTMLVPAKGVDYLPRTWCVYNGNAKDTSKLAENVNFACTFADCTALGYGSTCAGMDAIGNASYAFNAYFQVQNQRDEACDFQALAVPTQTDPSTATCNFTIQIAADSSTSAAHRRTQAGPFGALLVLALLHLFFVLH >cds.KYUSt_chr5.14931 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96566169:96567232:-1 gene:KYUSg_chr5.14931 transcript:KYUSt_chr5.14931 gene_biotype:protein_coding transcript_biotype:protein_coding MATISCLSPATRCSLLLTVAALVLVLSHGVHAHGGYRAGLSSSFDDSSCPSTRDIVRRVIQDARVTDARIPASLIRLHFHDCFANGCDGSLLLDDDISSGIVSEKKAPGNYKSARGQRGRHDGTKTNINSANDLPSPFDPVDILQEKFKNMGLNDIDLVALQGAHTFGRTQCQFTQRNCTARQDEGTLVNLDAVTPDVFDNKYYGNLLRGRAPLSSDQVMLSDHVAATTTAPIAHRFSDNQKDFFRNFAASMIKMRNISPLTGRDGEIRKNCHRVNKKYY >cds.KYUSt_chr2.2396 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14445569:14447214:1 gene:KYUSg_chr2.2396 transcript:KYUSt_chr2.2396 gene_biotype:protein_coding transcript_biotype:protein_coding MEQATYYLSLFLALLLPLFLLKLIRKRDGGRLPPGPWRLPVIGSLHHLAGKPLIHRALADIARRLDNAPLVYLKLGEVPVVVVSSHDAAREVMKTQDVTFATRPWNPTIRIIASDGVGVAFAPYGDHWRQLRKICIMELLSARRVQSFRHVREEEVGRLLAAIAAAPPGETVNVSQGLAVLITDSTIRAMVGDRFTRRDEFLENLRGGVKLLSGFSLVDLFPSSRLASFLTNSSRLAWENHTKSFELIDYAMKQHEELKAAAATNGNVKDEEKEDLLDVLLRIHKEGSHDVPFTMGSIKSLIVDLFSAGSETSATTLQWAMSELMRNPNVMRKAQAEVREKIKGKPNVTEDDLADLKYLRLVIKETLRLHPSVPLLLPRESTETSKVLGYDVPKGTTVFVNTWAICRDPKYWDAAEEFKPERFESGSVDFKGTNFEYTPFGAGRRICPGMLFAQSIMELALAALLYHFDWELPHGVKPEELDMTEQMGLAVGRKNDLYLFAKTKVPLDGTI >cds.KYUSt_chr5.2155 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14715612:14716133:1 gene:KYUSg_chr5.2155 transcript:KYUSt_chr5.2155 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTTARGTARARDGAELAVRLLDSGMVTRRRRRSTRASLAPARAELTQSSAMAELALAALEELARGCPWPELAQPRHGRARPRLPLEGARMRPPGPELAQPHHGRPTSSAFAHPSTRPTRAPPGQAAAGAPSPGSSGTDMVLPAKAGSGGRAKLRQARAGAARPREASARAR >cds.KYUSt_chr4.15399 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95099689:95102012:-1 gene:KYUSg_chr4.15399 transcript:KYUSt_chr4.15399 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRFKGVLDDMVRYTGRELALDYYLGRGPFLLGARGGRPVAPAYGPALPTVTAAGKKAAASVSKKAAPTVTAASKHWLLKTEPGEWSWSDQASAPGGVGPWDGVRNHQAMNNLRAMRCGDRCLFYHSGAGAASRRVVGVVEVTREWYEGEEGEATAGGAVDVRAVGEFQKPVGLAEIKKAAGEVEGMKDFALIRQARLSVMPVPVKIWDWICEMGGGFVQDGEVEDETVG >cds.KYUSt_chr3.18852 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115900747:115903914:-1 gene:KYUSg_chr3.18852 transcript:KYUSt_chr3.18852 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASNAPSKACTLLVASRRCIDSRPAATPAPRAETSPHAVYFAVPAHRRSRLLPRRPIGAGRHRQRKVYVKPPGSSSPTSSTAAAPPQVPCMTTLVVAGLADHLYSLGIQHGHSWSPLVSPPSTSPSTTTSRSMMVPSLARPVLATPTRAFIPDASPSLASSGQRLVFIGFDNVFIGIDSSTTALDRVADFVFAYSVLAKPEYAFVPDVRLVLTKLGQHLVLDGSDCIDFGIDHLHDCLGASPSLSSHTTSPAATSTLSPGHDINHGNPSRGSLDQGCNTHAFSYLDIGTKGYHLSPAPHQFSLQSKHPRRNSVHDAPAMTAGECQPVGSYLRLLSNKIYMGQKAEFLGWGAPAFHPAGSAHEHVFLVLGLTGLATWVTDDSGDCKTVVACAGGWQGNPIMSLFPTRNEARYCSEPDRSGVGVLDGCGEGDEQAGLRLGRRSVRSYVLLHHAVAGRHCRGPEVDDGLDVDKVVKAMMKRSAHQQALSASSSSLWTAADDDKFFDALCLQPADAAVPVNEIRPITSADRPNHSTYDDELAADSSETPMADYELTNAYCDDLLETDGEDLLAAEFDFDFGFDATTENPDTDSTCQEHASDSMVTETTVTDDKVKVCAYFDLLNWGWGIQEQQQEIDKTANIAVRVLPAEIQSQPSDFSEMETAMVEDDAEEKSHTIANTFQHLAIR >cds.KYUSt_chr3.14659 pep primary_assembly:MPB_Lper_Kyuss_1697:3:89124892:89125824:-1 gene:KYUSg_chr3.14659 transcript:KYUSt_chr3.14659 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRIAPVRIVGSGGGMARTAVVEVVGQQDIADLTKTLVHHPRASRIEALRLVGCWQRSDFRSRPGLLHGGLRLCPTEETVGGSVARLGKAEFMSEIPRERCVREEFVPEFLFSTIPLELE >cds.KYUSt_chr2.4323 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26599358:26605711:-1 gene:KYUSg_chr2.4323 transcript:KYUSt_chr2.4323 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNEEPYLKMKANEARQDLAPPSVSPQDSGMYSQHIDVQDEFFSQEGFTYTGFLLGAMAGSANNQEPYAQDSKSKDTKSELTQENDANSSSTLLLQQDDDPWNLTMFDNLELDQLYDNADGEQNKQRGKEKAEFYHDQESTSTVIRNHTQANSNEDQQKDITEEDIHIFLENEELADEGKEMDEDTQNDNSQLKPEMGMEFQTREEAQKFFNLYAYNVGFSVSIVSSYRTTSKKRNNEVIRFHVGAGIPGVAPHYIPPPSTFNVLLDSYWFDKPWFLIEETSLLYASHLPLGVPNERVLYASSS >cds.KYUSt_chr5.35263 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222885434:222889821:-1 gene:KYUSg_chr5.35263 transcript:KYUSt_chr5.35263 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRTLGASSVAALRPSPSRGPRRAALAPQGKTCARGLRWDARSERAVGARCVSAVAEKAPAEEEEEAAAGEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDPSMLADGSEMEIRIKPDPEAGTITITDSGIGMTKDELKDCLGTIAQSGTSKFLKALKENKELGADNGLIGQFGVGFYSAFLVAEKIVVSTKSPKVDKQYVWEAEADSSSYVIKEETDPEKMLTRGTQITLFLREDDKYEFADPTRIQGLVKNYSQFVSFPIFTWQEKSRTVEVEEEESKEGEEASEGEKEKKKKTITEKYWDWELANETKPIWMRNPKEVENTEYNEFYKKTFNEFLDPLAHAHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQDIAEKDDKADYKKFWESFGKFMKLGCIEDSGNQKRLAPLLRFHSSKTETDLISLDQYVENMPESQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDKEKESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLSAACKNEPESAEAKRAVELLYEAALISSGYTPESPAELGGKIYEMMTIALGGRWGRLEEAEATASETSVEFGSSEGTVTEVVEPSEVRTESDPWRD >cds.KYUSt_chr3.27302 pep primary_assembly:MPB_Lper_Kyuss_1697:3:170477782:170481856:1 gene:KYUSg_chr3.27302 transcript:KYUSt_chr3.27302 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPVANQAQAVLRGRLCDPAFVHSPLRSSPDTNYSKLKYLVDSSVSEACNNSVLLLGPRGCGKGAVLDMVLEDLKKEHPDAISVIRLNGMLHSDDNCATKEIARQLCLEHQLSFSKMASSDDNTDFMIDMLRECGLAHKTVIFVLEEFDLFAQGKQRLLYSLLDAMQTLTSQAVVIGISCRLDADQLLEKRVRSRFSHRKLLFVPSSLDGIQRLMEHLLMLDKDSSLPKNYVTEYNSRLTSIFGNKKFKGILGSLTDADATTSNILRFLFRVVSYMDMESGLLSMECFTHALSCMQRQPKLDSLQDLSILELYILVCMNRLEDKEQNSYNFNSIMKEYRSIQDAYKTSDKYATTVCFRAFEHLLDRELITFADSKGRNVALEYRSVKLLISSRELAQSLELNTTCPAVLQKLLDRERYM >cds.KYUSt_chr2.54432 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339607974:339609233:1 gene:KYUSg_chr2.54432 transcript:KYUSt_chr2.54432 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQAVEVEVPSYFLCPITLDVMRDPVTLPTGITYDRHAIHRWLRLADGATSATCPLTKLPVAPDCEPTPNHTLRRLIHSWCARNPGAAGDSIERISTPPASANRARLGLLVPRLADPKKPERETLAALREIRDVASESEPGRELVAAFPGATDALFAVLVSTSASSEADMALDAISSLRLAEPCLVRAVDRDGMALVDALVSMLQRDADAVSRARAAMLLADVTACMAPSRASALPEQVFAEAVRLLRENDGGASTAATKAALRVLAGATSYGRNRIKAAEAGAVAALVEVLLVDGGRRRAWCELALCALDRLCGCAEGRAALVAHGAGVAVVGEKLVGTSAAASGKAVRVLRSVARHAATAEVVREMVATGAVTKLCAVAVSPETQERTRERAQETLRMHAEAWRSSPCIHPNRYPC >cds.KYUSt_scaffold_1854.305 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1658089:1663270:1 gene:KYUSg_scaffold_1854.305 transcript:KYUSt_scaffold_1854.305 gene_biotype:protein_coding transcript_biotype:protein_coding MHKESTAPGLDADKIEVPSPKEESNSTNSEAATDTENFEISDDDDDDRNHKHRRKEAMPQSFGESTEEQAAGRPLKRRPMISGNGQPFGGADSRGEAQKDFIPKFKRRLGPGAHSRGGRMNQSFHSASAATRPPMTRGRGRNGAPWTQHDPRFNTLDMMDFASHMASQGPPPHPSLFMGAPMPSSGSAQNGSWGPHGFMPGMPNGMLDPFHPHGMQGPIQPAMSPLVDLGMPRQRCRDFEERGFCLRGDMCSMEHGVNRIVVEDMQSLSQFNLPVSAPNAPGLGIQSEAVTAHVNLTNLGGSKGVTAKDIKSGVADDALKLNGSTGSAVVNTDVYDPDQPLWNNENPEASCAGFAHTNDGVWNAETSSYEAGWEHANQGFAADGSKNSKSSVWGRIASKKKSGPSKTANTTSTSATGNKRSDYYDDMAPSTIQLKPASTKDTNGQSNSRMLGDVGRQSNRAPHKASRTLYVNGIPQESNRWEALLSHFQKFGQVIDIYVPANSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDRITEEGEGRVSTKPVLTNSALAQPSSSNRGNDLQSATPRASSGSSASGPGVGPKTLPANSITSVPPAPKRQESMELLEELRKKQDMLAQKRAELRQQLEAYAKQKNSGNPVKQTETSGKEVGPNAAGKVVDIRSMNTGTEGLQEVASTLEKKISGDLALSSPKYAPTSTQKPAVAVKQTSPLVAPPQNRFKLDNRTTSFRILPPLPPEIANESVLKDHFAAFGELSSVVLEDTEAHNHDTTLPSLSCSACVTYTTRQSAEKAFITGKSCKGHMLRFMWLTASPGSNNQSRFQKTSSLVGAAESPSPVAKISRIVTSGTSVIPHSESIPTAESSERFPVEISKVSSSSVECPPENDSTRNPLLTDPYVPQ >cds.KYUSt_chr2.3309 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19694707:19697371:1 gene:KYUSg_chr2.3309 transcript:KYUSt_chr2.3309 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHIFRSLASYLRREKLLKDKRIKVEEKLAIFLYMLSHNASFEDLQLEFQHSNRTFHKCIREFFNIIPVLNMKPTLHPVGTPKFNLKKTALGVRKTPPTNKKRKSPKATKARAQWNLGLEKSLIDILHEHNNDYYRAQNGWSGDTWNRMTQLFQERNPQVNFVKSQLQDKEKELKREYKMLKEARMQSGAGWDEKTCMIVAEKALWDNLEIGNHNFTSSSKASQLDEELEDERVEEAGSEFDEDVQILDEDPTEKKDEGTGSSASRVNVGERMEKKATGVPKKIPPKELKKPVKSDEMVQVVDRYVKMKEKQAEDEKAESSTFSIAKCIAAVNKIQDFTRQERVKASKVFKSLENRETFLTWVTEDEESAIMWLRDELQELT >cds.KYUSt_chr7.3853 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22972146:22975632:-1 gene:KYUSg_chr7.3853 transcript:KYUSt_chr7.3853 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPPAGQRFSGLRGARWRADLGVLPASADVPTNELRRAAADSRRRYANLRRRLLIDPHLSKDEEGGHDLVVENPLSQNPESTWGQYFRNAELEKMLNQDLSRLYPELGEFFQTAACQSMLERILLVWSLRYPEFGYKQGMHELLAPLLYVLHIDVQHFKQVRELHEELFGDDFVGQTFPDRPKLNRSERKNAFEGSAAKIRSLDDLDPDTRDLLLINDAYGAEVSMMLHLRSSLLGSEHATSCLVRLLNFPDDIDLKNLIEKAKLLQPFALERNLPTSLTGKSPLTPPNYWEETWKTLQMSVDKRSGGPIARMKGRGLLRRSNTESNVSRTKDAKSENSILTSTSQSIVDVPHNADIVPDNLVNSLSPMPIEHQQDRVNQGTAEIIRSTSNSLCEVGAIDGSSSAGMKNREAREYIARSRKISFRRRTDHGHDTRHTDEPCVSHDVKVVNEPDPLSVQNCKIDEPGQTNGKIDEVPITNQTSESVDYQPTPEHSLSFDAGPSLNVVDKELIGTLRSFGDSMVENIQVTMLD >cds.KYUSt_chr1.2244 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13145058:13149144:1 gene:KYUSg_chr1.2244 transcript:KYUSt_chr1.2244 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKVLVVGGTGYIGRRMVRASLAQGHRTFVLTRLEKVGMDIDKLQLLLSFKAQGAVLVEASMDDHRSLVAAVKQVDVVVSAMGGPHLLQQLKLVEAIKEAGNVQRFLPSEFGMDPARMGHALAPGRVTFDDKMVIRKAIEEANIPHTYVSANCFAAYFAANLSQIGTLLPPKEKVHVYGDGNAKVIFVDEDDAATYVIKSIDDPRSLNKTIYIRPPENILSQNELIAKWEKLSGKVLEKIPIPSDEFLASMEGADHTYQIVVGHVYHIFYEGCLTNFEIADDEEASLLYPEVQYTLMDKYMERYL >cds.KYUSt_chr6.11051 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68585870:68603528:1 gene:KYUSg_chr6.11051 transcript:KYUSt_chr6.11051 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAKEEVAGELAMEIESGVTAEDWRRALSRVVPAVAVLRTTAPRAFDTEVAGASYATGFVVDKARGIILTNRHVVKPGPVVAEAMFVNREEIPVYPLYRDPVHDFGFFRYDPGAIKFLKYDEIPLDPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLDRVVRALNLIRDCWDAFGIKSESVYIPRGTLQVTFQHKGFEETRRLGLGNETEQMVRLVSPAGETGMLVVDSVVPEGPAHKHLEPGDVLVRMNGEVVTQFLTMETLLDDSVGREIDLQIERGGTPLTLKLEVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHSIIKKFAGEDIESLDDLIAVISKLSRGARVPLEYVKYTDRYRNKSVLVTVDQHGWYAPPQLYTRNDATGLWNAKLAIPLESPFVVSAHHAGHMEANSNSVSPLTESSPMDLKCQHESENTADGCIKMQTDEEVAVDGCHSGEDSLVEKKRRRVDEEIASEGTISPFGDLDDIKGGALRHPSSVESSDLAQTISSNASLAEQVIEPALVMFEVHVPPICMLDGVHSQHFFGTGVIIHHSDSLGLVAVDRNTVAVSISDIMLSFAAYPIEIPAEVVFLHPVHNFALVAYDPSALGAGASVIRAAKLLPEPALRRGDSVYLVGLSRSLQATSRKSTVTNPCTAVNIGSADCPRYRAINMEVIELDTDFGSSFSGILTDEQGRVQALWASFSTQLKYGSSTSEDHQFVRGIPIYAISKVLEKIISGTPGPFRLVNGIRRPMPFVRLLEVELYPTLLSKARSYGLSDSWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIENACQKLDQSIDSDGVLNMTIFRQGKEIDIIVGTDVRDGNGSTRMVNWCGSIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGQPTPDLESFIEVVKGLEDREFVRVKTVHLNGKPRVLTLKQDLHYWPTWELTFEPETDMWHRRTIKALQPTEEEYILPPLSSLVSFVRWSNNISAKTRAIPFYGYAFCSDAFPREEKKRLGHERWREDDQRMNYAMAYPPGPPTETHFVRPGARTVTFSGTNSVHMIPPSPQGPQQQAPEPQQQQQAPPPQHEPEHQNQPEQSQPAPEQGAPPQAEQPRKRGKKKPPRRVRFGPDQQPPPQQQETQQEQQQQQPENAPGNGGGGGNAPGHQVHGPHGPAAGPQHHPAHGPPGYFRYTPSPLPRWEATPRRHEYFSGEYRYSYPTPVREGIYSLATDANRLTTIFSEENPNACAIV >cds.KYUSt_chr6.2803 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16499636:16502024:-1 gene:KYUSg_chr6.2803 transcript:KYUSt_chr6.2803 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQGGRRRRGSISSLPGGRDSNLLRQATPPRSPLASSLRSPLAGSLRSSQGGRRRRASLSSKPVAVPAACTLQPSPSGLLLDEKAWTQGRSLSPPLPDPSLAIVPIDEATPWAHPGFCNTLQCLDLNKLTCDSCNAEVCKDKRFVIDKAPDVVAFHLKRFATLDGSIDKIDKHVAYPSELDLKPFHSNPDKEGLKYDLYALVEHSGLPNFGHYVCTIRSSPTNWHLMNDSLVHSISETSALGQEPYILFYVRQGSFPWFSNIQEEAKVKKAKAAAPSKSLKSFL >cds.KYUSt_chr7.25917 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161886783:161891043:-1 gene:KYUSg_chr7.25917 transcript:KYUSt_chr7.25917 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAPVVLAAVLAMAAVVSTVSSSPAEGIQPLSKIAIHKATVNLRGSAYVRATPALLGDEDELEDTVWVTVKFGSENPSTDDWIAVFSPADFISGACPNPSRYPGEPLLCTAPIKYQYANYSANYLDWGKGTIRFQLINQRSDFSFALFSGGLENPTLVAVSKQVAFKNPKAPVFPRLAQGKTHDEMTVTWTSGYDISEAYPFVEWGVVTSGSGNPTRTPAGTLTFNRGSMCGEPARTVGWRDPGFIHTAFMRDLWPNKEYFYKIGHELLNGTVVWGKSYTFKAPPTPGQNSLQRIIVFGDMGKAERDGSNEFSNYQPGSLNTTDTLIEDLDNYDIVFHIGDMPYANGYLSQWDQFTAQVAPISAKKPYMIASGNHERDWPNTGGFFDVKDSGGECGVPAETMYYYPAENRANFWYKVDYGLFRFCVADSEHDWREGTPQYKFIEECLSTVDRKHQPWLIFTAHRVLGYSSNSWYADQGSFEEPEGRESLQKLWQRYRVDIAYFGHVHNYERTCPLYQSQCVNNEQSHYSGTMNGTIFVVAGGGGSHLSDYTTAIPKWSVFRDQDYGFTKLTAFNHSSLLFEYKKSSDGKVYDSFTIHRDYRDVLSCVHDSCFPTTLAT >cds.KYUSt_chr1.38817 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237147369:237151340:-1 gene:KYUSg_chr1.38817 transcript:KYUSt_chr1.38817 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSLGLSTSFLPGHDTRLRRRRRPAGLPAASFRPAAELGAEVGRQLVEAVGVGLPCTVMACGDVIYRSTLPHNDGLTITAGGTALALAAASYLWATPGVAPGFFDMFVLAFAERLYRPTFRKDDFVPGKKLGEGAFGVVYKASLTDPKAAEKQGAVVVKKATEYGAVEIWMNERVRRACASSCADFLYGFREKKAKGKGDEYWLIWRYEGEDTLSALMQSKEFPYNVETKILGNVQDLPKGIARENKIVQTVMRQLLFALDGLHSTGIVHRDIKPQNVIFSEDSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSLGIIYLQMAFPALRTDSSLIQFNRQLKRCNYDLEAWRNLVEPRATPDLRRGFDILDLDGGIGWELLTSMVRYKARQRTSAKAALAHPYFNSEGLLGLSVMQNLSLQLFRATQKDYSEAARWVVSLMARSGTEAAGGFTEAQLQDLREIKPKKDSAQRNVLASMLRVQRKVVRTINESMGELTSQSKSIWWSRWIPREE >cds.KYUSt_chr6.3146 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18411492:18413834:-1 gene:KYUSg_chr6.3146 transcript:KYUSt_chr6.3146 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSVEWWDESKLRVLVLSSLSLQYFLAVFAFGRRFSVPSWYRLLIWLSYLGSDALAIYALATLFNRRNNQQCNSAPGSRDIEVLWAPILLIHLGGQPFITAYNVEDNELWSRHIVTTISQVTVAVYVFWKSWSSSAEKSLLAAAVLLFILGSAKCVEKILAFKGASFNSLASHSEPAQSKRTTTRDREKELEEYVQQARDSIKASHPPLVDDSMEQLILPDKLFVDSAYPYSDRVTSLKFFFLLDDQTAYWSLKRGVSIVFNLLYTKYESTYTMLKGSMLCSICTWEITVVVSIVSISLFHYSHKNAYSRSDIVVSFVLLYGTFLLDIISTLTLLSMTGSVVKQTSDIVAQHSLIEFCVNSGICRFMKPCHSSQKPCHYSEDISSLIRRYVLRGWKEFITDAESYRKFNDVRGQWTLERKQCDERLGWSLERPFDESVLLWHIATDFCFHQKDTPRSNQCANRCREISNYMMHLLFVNPEMLMAGSRRNLFRTACDQLNEILACDAYSNKTELTQKLIDKLKSKEGSKETFIHDAWQLAEGLMDIHDETNMWEVIQGVWLEMLCFSASRCRGYLHAKSLGAGVEYLTFVWLLLAYAGMETLPERLQRTYMTRLSQEKKQQLENRGEETRKEPSSQNQAIEQVLKEEGNVADPASSEVIGLHSQEETVTAASASNDEGTAPVLDEIIVVVH >cds.KYUSt_chr3.6255 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35817375:35820984:1 gene:KYUSg_chr3.6255 transcript:KYUSt_chr3.6255 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGNLHVTIFEAQALSTGRASAAAPKFLRKIVEGLEDTVGVGKGASKLYATIDLEKARVGRTRMLANEPVSPRWYESFHIYCAHLAADVIFTVKADNAIGAALIGRAYLPVSELLGGEEIDRWLEVCDTDRQPIGESKIHVKLQFFEATKDRNWARGVRSAKYPGVPYTFFSQRQGCNVRLYQDAHVPDNFIPKIPLADGKNYEPHRCWEDIFDAITNAQHLIYITGWSVNTTITLIRDTNRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEDTANFFRDTEVNCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDSEMPNQGSEQRRIVSFVGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFATASITKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDILVQIRDLADIIIPPSPVMFPEDRDGWNVQLFRSIDGGAAFGFPDAPEDAARAGLVSGKDQIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYGWKADDIKPEDIGALHVIPKELSLKIVSKIEAGEPFTVYVVVPMWPEGMPESASVQAILDWQRRTMEMMYTDITQALQAKGIEANPKDYLTFFCLGNREVKQEGEYEPQEQPEPDTDYIRAHEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAFQPYHLATRQPARGQIHGFRMALWYEHLGMLDDLFQHPESPECIQKVNKIAEKYWDIYSSDDLEQDLPGHLLSYPIGVTSEGAVTELPGMEFFPDTRARILGAKSDYLPPILTT >cds.KYUSt_chr2.38676 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239736655:239740099:1 gene:KYUSg_chr2.38676 transcript:KYUSt_chr2.38676 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVLSVSAAIHPNVHSPEDVFRLYRGRRAGIVQALTEDVDEFYEKCDPDENALCLFGQPNGTWEVKLPLEDVPVELPEPVCGINFARDGTPKKVWLSMIAIHSDAWLMSMAFYHAGRVAFDRDDRELLFRLINTLPTVYETLKASSKKKSSSQSNSNSNPMKWVLQTPEEEDGANASGGSDDQAMTECAACQETYNADDGHFWIGCDHCERWFHGRCVGMTPEKADEIERYMCPGCSYKSKQNKMKAPRQVCGDDS >cds.KYUSt_chr4.51196 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317471732:317473779:-1 gene:KYUSg_chr4.51196 transcript:KYUSt_chr4.51196 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWSLLVVAWMPVLQVLLAGMLGACLASSRFNVLTSDARRSINKIVYIVFVPSLVFSSLAGTVTLKDVISWWFMPVNMSIIFLIGATLGWLAVKTLRPGEHLQGLVIACSSAGNWGTIPLMIVPAICNEEDSPFGDATTCKSLGISYVSLSMALGNFFIWTHSYSVMKRSAQLYNKGCNDHPTTNNILVRMSRTTQDAAIPCTVLILGGNLTKGRGRTTMKPLVVISIIVIRFVILPACGIGVVKAARELGFLPGSPLYHYVLLLQSTVPPAMSIGTIAQLFDVGEEECSIVFLWTHLVAALALTLWSTVFMSLVS >cds.KYUSt_chr6.32607 pep primary_assembly:MPB_Lper_Kyuss_1697:6:205525047:205526819:1 gene:KYUSg_chr6.32607 transcript:KYUSt_chr6.32607 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADAIPSSCRVTPFDKKHPPPAGHVFLSSLPPLPEAGDVPEVAADAESEIPEAVAGQDGAESDEDEASDSPRTVTSIPPANSQDEKTKGKRKRTDDGDSESSNASKQQITLHNTIHMDEEEAPEDPADDPAKNLSASIKIPSKTLCSQEETVNSDELERAKDSPWAPPKEKLKEHDANKGIKISENPPMPSMDDPIAREMMDMAIKHIRFRNEAESLKVSLKKSQHRADELEAKLEAAKKALEEARAKATTAEEKLAEEKSKMATHKADIRLRLDTLNASFTNKIGHSYEMPKNQKTDPAAGLSNCVGGEQQKEPEDLLALADIFNAVEDPALNYRRAARKTGVEISMPMAMAHGEVVDWDKVSSSHAVYKAGQLTSLVPFLKNAKRFSKKMISLVHPTSTRSVSATPTEVQ >cds.KYUSt_chr2.3875 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23401641:23406702:1 gene:KYUSg_chr2.3875 transcript:KYUSt_chr2.3875 gene_biotype:protein_coding transcript_biotype:protein_coding IKLGEFETELIEVNTNNGKLQRAYNELVEYNILLKKTGEFFCSAERSATQPQSEMLADQSGDSSLESPLLEQEMVTDPSKQVKLGFLSGLVPKQKAMAFERILFRSTRGNMLLRQESIDEPITDPQSGEKVVKNSFIIFYSGERAKSKIVKICDAFGANRYPFPEDLAKQLHTIQEVSGKVSELKVTVEIGLAHRDSILKNIGSEFEQWNNRLKKEKAIYHTLNMFSLDVTKKCLVAEGWSPVFATSKVQDALHRATTGSNSQVGSIFQILNTQESPPTYFQTNKFTSSFQGVVDAYGIAKYQEANPGLFTIVTFPFLFAVMFGDWGHGICLLLSALYLIIREKKLASQKLNDMVEIMFDGRYVILMMSLFSIYTGLVYNEFFSVPFEIFGKSAYACRDPSCGDATTEGLLKVRQVYPFGVDPVWHGSRSELPFLNSLKMKMSILLGLTQMNLGIVLSFFNAKFFKNSINVWYQFVPRLIFLNSLFGYLSILIIIKWCTGSKADLYHVMIYMFLSPTDDIGENQLFPGQKIVQLGLLLLALVSVPWMLIPKPFLLKKQHEQRHQGQHYTMIQATEDSVTQLGGHHENPHHHEEFEFSEVFIHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGYNNIIILVLGVTVFLFATIGVLLSMETLSAFLHALRLHWVEFQDKFYEGDGYKFEPFAFSSIIEEED >cds.KYUSt_chr1.39526 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241810000:241810838:-1 gene:KYUSg_chr1.39526 transcript:KYUSt_chr1.39526 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMTVRDVLYMYDVARQAYERFVSISGNPEQARNAVALLVWLDQGTVSALHHVPNISPAAVATVAAEANGILECLRHQEAMLPAIPLISVLCQDGDVDPRFFAFHQDLVVRGVAEILDGVGKLIFDDRLQVLLRRYQTGLVGNPPELMAPYRGKPVAVPEDCRSMFITFSKGMPLDREEIFEYFRQKWGDCVVRVLMEKTSGGNAPMYGRIIFKSEAFVKLVLNGQRLVKITIGHRQIWLRKYAPRPTNA >cds.KYUSt_chr4.14447 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89153709:89157499:1 gene:KYUSg_chr4.14447 transcript:KYUSt_chr4.14447 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLPPPSPPPTPTTITCLRDDLLLEIFLRLPRLVRAALVCRAFRRAVRSSRAFRRAFRALHAPPLLALFLEPTFQLAPAVPCPWRHRDPDLAAADFFDTRLPRHGDASAAGWEIKSQAPSCDGYLILHKVSRSAAYSPLTQALDLYLHHTDLQFYTLPSEDGRAPSRVVCVIHERGRWERAAVLSSDTMEWRFFPKNPLPLRGGAGTGRVLRGLIWWPNWMCGKIVVLHTTTFHFSLIDVPTPLRTKWDNLTYKLGETKDGSLCFVVVKDGALVAHHFLAAGDDHVVGRWMLYKEFPLHPIVKSLTGCSMEEEGCHVRVKVVAVIDGFVYLSVFYCKDTQPCELYLSLCLETSEMSELFNDAYRYNLDVHPYVMAWPPSLVQSKIWPLALRKTNLRYGPQGWCLRNRSRTCAVQLHGGWHRTSVLAWLPFLGNPSGYLCFVRRIQESEVKEALRRMKGGKAMGPDGIPIEAWRGLGDVAIVWLTKLFNLIFRSNKMPEEWRRSILVPIFKNKGDVQSCTNYRGIKLMSHTMKLWERVIEHRLRRLTSVTKNQFGFMPGRSTMEAIFLVRQLMERYREQKKDLHMVFIDLEKAYDKIPRNVMWWALEKHKVPIKYITLIKDMYDNIVTSVRTSDGDNR >cds.KYUSt_chr1.29203 pep primary_assembly:MPB_Lper_Kyuss_1697:1:176702335:176704422:1 gene:KYUSg_chr1.29203 transcript:KYUSt_chr1.29203 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGWMRDLMHSLEGELSRCLDAKVLRWLRVREMLTRIEMLIGSWKVLWLDKHSSLLDPGGVPDEAEPLERGTSLNWMDMNELILGCMSTESTSSVPLRRNGVGSWPVKLYWDDWQYLVTL >cds.KYUSt_chr6.763 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4858460:4859057:1 gene:KYUSg_chr6.763 transcript:KYUSt_chr6.763 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPKRDLAAHARASASLCLRRKRGNRSHEDLAMKRRGRPCRYKPDGLRPSPSLSQPSTALVVVPAPGPEKRRGRLPRSGKMRQLASLARLVVWCPAAEMEHKKVKT >cds.KYUSt_contig_554.48 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:470527:473163:1 gene:KYUSg_contig_554.48 transcript:KYUSt_contig_554.48 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLGGARRAALLPNVRRRATNSWAAVRDTFFSTKEVFENHRVVFTVGTSIASVLTAWAGYSFRHMQQSKIDKRLHSIEQSLRDTHRNDHDEIKKIVTSNNISTPACIATALTTSVVGYALGWRGGAWYARRAFRREQQKLMGQIKSHNRWHWRPFSKLKSRLRSRHASKSSDAPQLPGNSAEAPSISGASVKGQGSEASLSSTPPASVGSSSHAAAG >cds.KYUSt_chr1.10085 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61840269:61841330:-1 gene:KYUSg_chr1.10085 transcript:KYUSt_chr1.10085 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAPTHRIRRLLLPTAAAAAAGYGLYLLYHHHRRRVAAVLSLADALSQVGSDLADFLRSDSDDVPQSLLQLSKLAASDRVSSAASTLSESVASGLLRALSSHRDPSSPPLQDRILDRLLSPAGTGFASAVLGSFARNLVLSCRDPGEAKPKPQARGEPEWLTALCNDRGKEAAAELVRVFVSTAVAAYLNRPVAVRTCTSDQAVAANTKQEAMLKDLLVSVCNGAVETFVRTWREASNSQAEVTVVREVRDPGHGCVMERVSSTLAVPNNRRLVLDVTGRVTAETVRSLLEFSTQRVSAGARKSIVVARNEVAERGLVAVKYLSAKSMAIYTLCLAMCMHISVGMRFMLPA >cds.KYUSt_chr2.39518 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245084785:245087327:1 gene:KYUSg_chr2.39518 transcript:KYUSt_chr2.39518 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPVFLALLCLTAFALAGGADARKMVGVYELKKGDFSVKISNWGATIMSIVTPDSKGNLADVVLGFDTLGEYVNDTSYFGPLTGRVAQRMARGRFVLDGKVYHTYLNDGKNAIHGGHRGFSKVIWTVKEYVGGGDSPYITLYYRSFDGEQGFPGDLDVYATYELSSPYVLSIRTNATALNKATPVNFLQHVYWNLGGQGSGDILGHTLQLSASRYTPMDEELLPSSGRVDPVAGTNYDFLTPTPIGARIRKVMGGNVFGYDINFVIDGEGMRKVASVRDGKSGRGLELSANQPAMQLYTGNFLNNTKGKDGNVYNQYAGFCLETQAYPDAVNHPEFPSITVRPGQVYKHDMVYKFSF >cds.KYUSt_chr4.7447 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44273017:44277900:1 gene:KYUSg_chr4.7447 transcript:KYUSt_chr4.7447 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAPGRRGRRDPRSTAGVGARPQGLGFLQASTGNLGGITDDVDCDAGEGFAYLVAAAGIGAGESIRESLDMLKANFHEAESPDVKPVWQDTPSQTVDGAEEVTQKHNAQAQLDKYAEEHGTIIAQHQQKFRRYSRRKASKVGNVQAAAAAHPDDLPDEPCAAKRKAPWNSPQESPAAVTQRVSPAGATPRRSLRVAATSYATTTSHHSPSPGEMRLVKWRHTLDETYVPDADSDVAVEDAGAASQHVDDKLITNKRQRVAAVPSASGGKNPGNKKKARALERQHGQKNKAEAADAPTDRFQQTVRCSLGEVREAVKLLQECHRVKVREAGFGCVFDWVLEGNVSRVLMCHLMTKIDTSTMKIQCGSGKILDVNREAVHHVFGFPIGGDTAPRPSDSGHGASLAKLKEELGFERTGSIETKDLRSLLAKLVKDGTKIDLAVKVFFSILYNKLICPGSTVRLGREAAMLVDMNYKKMATMDFCQLVVDDLKRAAEKYQNSDVYQAGLEGCGVILVVMYLDSCYSVNHSVMHRRTPRASFLHQKPLRDIYNLDQIRSGGSDLSAYIFGKLAWKGRNDIVYSYRLPVEELWTGCSVPSSARSSEHVDSDREPLTRSQPEFLVTQAPILDSGSTPPSTLKSGGSRPISVMNKIVQLLQKVEDLSRSAPSIDDRLSRISGLFPPGHGPSTEAVKQVADHEASVLDSFTTAVSYLRKGFVDLGMKQDAICREYEREAVSIERQMKKQHADKAKAVVVTGDDDDDDFVPRAACKFTSKDVSKDVPVSLPSVSYVTRSGLVRGGIHRDKSTPGRNDSPSSKKSRKMKSKRDEAPRLEWARRFPEDVAATEAFYARKEEEKAAAKAKKKANREKRRAESAARKAARKEEEKKNDAGPSTIVLSSSSSFEWTTTPVSETTPSTHSSDYDWDSE >cds.KYUSt_chr4.5121 pep primary_assembly:MPB_Lper_Kyuss_1697:4:29447887:29450342:1 gene:KYUSg_chr4.5121 transcript:KYUSt_chr4.5121 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRAAASLATPPPGRRSASVVRATAFPAALNTRNRRRPQNVSGEFFVDERCIDCATCRWMAPEVFKRVDGMSAVAAQPSSGEDRTKALQALLSCPTASIHTDKPARDILQVQNTFPLPIDDDLPGVYLCGYHSEDSYGATSYLIIHPEGNIMVDSPRYAPRLVDKIEKLGGARYMFLTHIDDVADHRKWANELKCERIIHSGDVVDITADVEWKLTGNGPWNIGTDFELIHTPGHTEGSVCLLYKPLKALFTGDHVARSEESDDLNLFRMYSKQSVTLQLDSMRKLLDLDFVWYLPGHGYRVRYEDMQAKNSAIESLLADYTI >cds.KYUSt_chr7.39998 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248439279:248442431:-1 gene:KYUSg_chr7.39998 transcript:KYUSt_chr7.39998 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIQGWSDLPEGLLHSIVALLGSFFDLLAFAATCPSWRAAFSSYPCKSTLLPPLLLQPDVPVCSPRPRPFSNSLAPERSCYVTDLANQDTYTCCQIPLFAAFGDRKAPLSPLDNFYFGGASYGHIILSDNHQSCLVVDVFTGVTVSPPQLPVPADVYNHLYYAALTAPIASPNSHLLVNAAGHNFFWPVGSHSCLKRSPRNGTIKQIVVLKGQVLGMDEDRRLFTVDLVPRIRMQKIAVDWGGDMTSKWHLSQKWLVVCGDMVLLVGCQGCFPSTGDTLDAFRLDLSSQPAKWVKPNLLHLSSKVGQISPMACFTLLSLRCAPSLTFLPLLPPPALCVLPFLSTPPNIHSRHSCHLSSSNLLSLGALLVLALSATTLYLDYVMRHLYVHMLFHYADTYVGFAHYVLFVNVITQNLDASFVLLAIPTLKSEITNRKLLYYSHNKTKDFGQSETD >cds.KYUSt_chr2.6624 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41326136:41332155:1 gene:KYUSg_chr2.6624 transcript:KYUSt_chr2.6624 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLDKNDHDKAFIQLTLEFVTKQVLVKEIWVFERSLFHPDAQWRLCGQIKAMLLSVPAKVPDEEGLAKGLEEGWPALATSTRRPFGRVHKGDLLNLLCMVPIPKAYRPFFGKVPSLIILKTKITPMEYRVAIFDYTCCEVITKWPDHGDAIRRTVEEIDV >cds.KYUSt_chr7.1380 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7534890:7535195:-1 gene:KYUSg_chr7.1380 transcript:KYUSt_chr7.1380 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAILTRIIAMGFSSRSKLEPVEKVAMAEAPPPSKPKGRRGGRRNKQAGGRGRGRKQDERKLGTETTVDDHQARLPGSPSFRYYCDDGANVSKRRKGDSR >cds.KYUSt_chr7.3478 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20754972:20756477:-1 gene:KYUSg_chr7.3478 transcript:KYUSt_chr7.3478 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLFPGASNPRVRRQYACIAGAAGMWCTGNSFHRRTSKVEPGGSTHDMGAPAVLRQPTNKPPFTLAEIKQAIPPHCFERSLIKSSYYLLHDLFIVAALVYLAMAVIPALPAGLPRLVAWPLYWVAQGCVWLGVWTIGHECGHHAFSDYQLLDDALGLVLHSWLLVPYFSWKYSHRRHHSNRGSLERDENFVPQQKAALEWYEPYAPYIEGNAAGRLLLIAVRLFLGWPLYLTFNSHGRAYPRWANHFDPYGPIFSDRERAQVFVTDASLVTVWFVLFKLASAFGFWSVVRVYGVPLLVVNAWLVLIVYLHHTHQALPHYDSSEWDWLRGALATVDRDYGVLNYFFHHIADTHVLHHLFSALPHYHAMEATKAIRPILGDYYKFDATPIAKALWRETRECVYVESMGDGKGVFWYNNKF >cds.KYUSt_chr3.30438 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190690766:190697210:1 gene:KYUSg_chr3.30438 transcript:KYUSt_chr3.30438 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRVRKGSASPYTSYWIRVSYGPPRIQLPPKVGWDPAFLSWAGLHPSGSTATGPPDGPHATSSSMGHPGLPDLGFVDGTPMKYTHNRMATVRNLKIKTSTCKRIVKELRSYEKEVEKEADKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLEAALTDLKATLAELKESNEQGTEIGEAESTITEVEANGGPPSVKNRRNRGPKHGAKATRVQNGIQDRPVDGVECFLKVEFEHQYRGSSGLAALDYVGCVEEVVYDVLPLDKCLLLKGNEALGEVLEPCREDPSGKLHNAVQE >cds.KYUSt_chr5.7227 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45201974:45203401:-1 gene:KYUSg_chr5.7227 transcript:KYUSt_chr5.7227 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGGGAAPSSGDSTTDPSSPYFIDAAHPYAAAAASALTSHRSKSKWSQLSSLPLPDPLPASAVSAVLLLLRRRPHVALSFHSFSLRRLLPSRSPPPLILSASAAHVAAASRLRRAAISVLSSATCHYSPSQIFNALAATYRRFASAPFVFDLLLLAYLRSRRDPLAATSIARRLLASGACPLPATAAALFRSLPSAESALEMYHQIYTLPGTRTSRALQPTAQTFNSLLLAFYRQGKCEDFSIALDEMDRYSCKHNVGTYNIRMAAYCDGREMDNATRLWDEMVQGGIQPDVTTYNTVIAGYCGAGEVGMAEEMFKDMEIGGIEPSVTTFEWLVRGHCRTGDVDAAMLVCADLGRRGFAMAAEVVEEVVDTLCQKNRVEEGLRILRAEMRREDFTPSRGSYEALIRGFCEQGEVEVAMRLQAEMAGKGFKAGAEVYHAFVRAYQKTEDFEMVERLRKEMSVIGIEEEIGLPCMQ >cds.KYUSt_chr5.38624 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244402283:244403316:1 gene:KYUSg_chr5.38624 transcript:KYUSt_chr5.38624 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAACSARLLLRSLPASPRTASSSSSPASRLVAARCLALSRPCAAFARSAGGPSSSTGGAVRCQIGAVDWRSSCWGGRRCGGAGEWRSSVGRGRKRQAAMALAGSDCAARSRSGDDGDGVVAAGEKGGDADGDGVKAYRQRPGPTLTGSGDDGGVSGEEVEEAALLLIAGARHAGTSCAVGTISSCRTVAYVGGM >cds.KYUSt_chr7.11695 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71837447:71853954:1 gene:KYUSg_chr7.11695 transcript:KYUSt_chr7.11695 gene_biotype:protein_coding transcript_biotype:protein_coding MGASNNLHFYAQSCPRAETIVRQVVQHRVAQDRSVLPALIRLQFHDCFIRGCDGSVLIDSAGGNVAEKEADPNLTLRMLDVIDDVKAALETACPGVVSCADIIGLAARDAAAMAGRVRYQLPTGRRDGTVSSAAEVHLPSPSVSFADALSAFSNIGLDVVDLTTLLGSHTMGFSRIACSSWTGSTTSKKPARRTRTMDAGLRASLQGSCPPHTVTPQNESGDTIVPMNLLAPHGPFRLDNSFYRSVLAGKAVLQIDQELASDGMARLIAAKFAVGPRKFRKQFARSMVKLESVHVLTGEQGENYIEVDLVFAYSQMDGREGEKKRLLQKRVSALGHVSVPDEKKHRVEEVSQFDGRFEDESQFDAPVDGTDDEPFIDEGPAPEIIQPTEGGRGPSTQLCVEVDARPEIISLYRKGKTSVVGAMERKATTHVGSWLSLSCVPSPVKQEHGPISQHKKRNAIAELGRGPPGAETAAPLLKWMQNEANEAAVRTMVPPPSSENLLKHKATGQLGGDLLMNLKLPKSIAKDLNAGRRGKISLVMESEGLTVEGRYSVGPQDGRLAVTSRWKKFIDGAKLRIGSKLKVKIFRCRL >cds.KYUSt_chr2.39109 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242521318:242526269:1 gene:KYUSg_chr2.39109 transcript:KYUSt_chr2.39109 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPRWVVIPYPPPSMVGAPPPPPPQFAKHYAAGPPPPPPAAAGRRTPTPPAAGSGGNGCEDNKTIWVGDLQYWMDENYLHNCFGPSGEVVTIKVIRNRQTGQSEGYGFVEFFSHASADKALQNFTGHVMPNTDRAFKLNWASYSMGEKRSEVVSDHSIFVGDLAADVTDEMLMELFAGKYRSVKGAKVIIDANTGRSRGYGFVRFADDNDKSHAMTEMNGDSGSSTPGHSDGDSTNRTVYVGGLDPNVSEDELRKAFAKYGDVASVKIPLGKQCGFVQYVNRTDAEEALQGLNGSVIGKQAVRLSWGRSPSHKQSRGDSGNRRNMYYGSPFYGGYGYASPVPHPNMYAPAYGAYPFYGNQQLVS >cds.KYUSt_chr4.37666 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232200621:232202646:-1 gene:KYUSg_chr4.37666 transcript:KYUSt_chr4.37666 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRSGSSPGHLASPKSLLLLSFVSSSLLFSFLFSIFALRFGRPLHLPFAAPVGANGSAPVRGGGGAGADVEEEAFVGGGGSGGLGEGSAAEANRRGRAGDLPVGSGMEVDEAVPGGGNAETPAGADGAVSEGPEVAEARSYSPRVLDSEMGAKGVVPGGGVDEKLAMDSVEVENPGKDDAGLTVGNDSASHGAAASLEKLDRANSAQAVNLSTQDSGAGVGTEGEFLRRGHVEEKGDSSVQAAYASQQAGQWKASNHSAAENSSGEAPANPKKQDVDMIQEAARRKTDLARSDVAPCDVYDGRWVFDESYPLYTSNSCPFVDEGFSCEANGRTEQKYTKWRWQPAHCDIPRFDARKVLEMLRGKRLVFAGDSLNRNQWESMICLLRQAVSDPSRIREARGRRITKKKGDYNFKFLDYNCSVEYHVTHFLVHEGKSRIGQKRTRTLRIDTIDRSSSSWRGADVLVFNTAHWWSHHKTKAGVNYYQEGDTVHPHLDVSTAFHRALTTWASWVNRYVNPQKTQVFFRSSAPSHF >cds.KYUSt_chr2.7629 pep primary_assembly:MPB_Lper_Kyuss_1697:2:47844968:47847067:-1 gene:KYUSg_chr2.7629 transcript:KYUSt_chr2.7629 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSAVRHGLKPRPRLPPPASSPPPAPAPASPSTTTHRTSHTHASPATHASLLLRLRSSPALAEARRLHATLLTGGHRHGTILLAQLVHVYVRLGETCHALRVLDGMPRRNSFAWNAAIRGLVDAGRFPDALEAYRAMLDDRSVTADGFTYPPVIKACAALGAVEQGRLIRERVEAGVARGDAEPNVFVQCALVDMFAKCGCLGEARSVFDTMPIKDLAAWTAMIGGAVHTGEWLDAMNLFNRMRSEGFCADSVIVATVIPACGRAKEVHTGTALHGCAVRCGVADVTCVCNALVDMYCKCGCLETADYLFRSIRFKDVVSWSTLIAGYSQNRMYHLSVSLFTEMVSAAGLKPNSNTMASILPSLSELKLLGHGKEIHGFSLRHGLDQSKFLGSAFIDFYSRQGFVRKAETVFELMPKTDVVIWNSMVAGYAVNRDTDSAHCAFRALQKAGFKPDHVTVVSVLPLCNHHSRLTQGKELHGYVVRHCMSSVCSVSNALIDMYCKCCCLEKGKEIFQSMIERDTATYNTLISSLGKHGHEDEAIMLFDQMRRDGIAPDKVTFVALLSSCSHAGLIDKGLYFYDSMLQDYNISPDKEHYSCVVDLYSRSGKLDDAWKFISSLQEGAEIDVLGCLLGACRVHNRTDIAELVSKRIFEQNPSDPGYHILLSNIYADAGMWSDVTRIRTMIEERSLKNKTGNTLI >cds.KYUSt_chr2.11892 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75614018:75616044:-1 gene:KYUSg_chr2.11892 transcript:KYUSt_chr2.11892 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQTLKKIMAESQAAQAKWDEKRRLKKEISSAIYLNLTKEAIEVQRLDVEANKADAKAKMRDAEGRRMDAEAKIRAKDTRIMLADLGSMDDEIRATHDLPMAGPVVAGGLPPLLPTPRGCIGLTPPPASYVSRKRPGRATLSESWVKDKLAGGGVSSDRSKVGGGGESADRNPSKMPVRASLGSSWVEDKLLGRAGTSTSGGERASRPTWRDGWSKRAASRAPSANRFEKKAKQWTDEADDVLELETHQYAGPTFALSPDPSQLPIPFLFMKAH >cds.KYUSt_chr2.47927 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299608719:299609903:-1 gene:KYUSg_chr2.47927 transcript:KYUSt_chr2.47927 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPSLAFSFARFVAREERRLQTRRHRTFGLAARRRNFSLNFNRRRNFGQNFNRRRNFGRNINRRQSMNGGLRHHRAVLGVPRRRYGPGGGLRRRHNHRQHYNHVHRRVQDINFTGTPRACTSAVVPREEVSAIPEVAVVPAVVTAAPEIATAVPEIVAVEPEVAAEFENDASASSPTADADELLPPPPALTVPPMDWLLGGPSAGWLVDDPDRDFSDDELEAQPHPSVHYYMRHGYGSCLPSPTPSEEEPEHFAPPGYALMTEFFEPPAAAPVDALSPALTTNLQMEMEGNEAVATARARALVPDLNHPAAEETKEENEDAPPAPSLALPTPSPEARVLLRRFASAMAVRPAGIRRGTWCPEALGLTNRVAELRLNEATHHSSSSAEGSSGR >cds.KYUSt_chr1.24264 pep primary_assembly:MPB_Lper_Kyuss_1697:1:144853549:144854001:1 gene:KYUSg_chr1.24264 transcript:KYUSt_chr1.24264 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPVEEEPSAEKAPAGKKPKAEKRLPAGKTASKDGGVDKKSKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_chr6.834 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5275660:5279419:-1 gene:KYUSg_chr6.834 transcript:KYUSt_chr6.834 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAALGGLNPNPKQKPKPNPSANAAGLRSPVALADITNTGRPNPPRSVSVGDVLKENARLVQLLAQKDQLLAQRNKFIEMSSIEMNKFRSALQASRQQNLNLARANSQITAELNQAKDRLKVLQHELACATIVRKLKGSGLERKSSTANDQLQKQVTSQEEVNAAPFELAAVEAHQADSKATSADVHNSVETQTSIPCSTVLEEALPAKTNKRTSVSRRTSKRKSESCEGIKDTSKVQPSYKPDMQPPESSQHEDQRNTLRRRSSRLNPGSYEMSEVSCETLHMDTDVPSSSSFSVPELNNANDMGATQGNTAGHKENQNEMNKQPEQQVIVEEGTQEVDSRVAAVGDLEAHQNDDKAMDASPNNLPETQSSPQYNIKHPVPPQKRANQRVASKRKLEPSEGQKDSNIEEGITAKLHSTSSEPPHHEDMRKSKRQKSSRSNPVSCEVTEGTLETAQEDIVAPLPPSSSKISTEQSETGKQNARRPSTEPPEEQAAGRSSVEVTGRRSSMRGAAKAVSYKEIPLNVKMRRP >cds.KYUSt_chr3.35324 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221848420:221849741:-1 gene:KYUSg_chr3.35324 transcript:KYUSt_chr3.35324 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRPTSSSFRLSSSPLPASPPISPSRRRKAPPAPLAFPAPPSRPRAVAAAAYGGGGAPVDTQTFIIAASVVGAVSLSLVLGLKGDPVPCERCAGNGGTKCVFCSDGKMKADNGVVECRVCRGAGLILCKKCAGSGYSKRL >cds.KYUSt_chr2.52897 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330050124:330052655:1 gene:KYUSg_chr2.52897 transcript:KYUSt_chr2.52897 gene_biotype:protein_coding transcript_biotype:protein_coding MALATTPLLAPLALAPAPIRASQCSLLFLRAGPRAPALLSLRSPRLLAAKEPDLGGGGSEGGSGGSGSGGPQGGGEGEGDEEEEKMGEGLSMSQKLTLAYAALVGAGGVMGYMKSGSQKSLAAGGISALLLFFVHTQLPVRPVFASSIGLGISAALLTVMGSRFKKSGKIFPAGVVSLLSFVMVGGYFHGILRSSHV >cds.KYUSt_chr2.36181 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223496736:223497908:1 gene:KYUSg_chr2.36181 transcript:KYUSt_chr2.36181 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLLRAATGRRRGWWEAPLFAAEQRATLVNVKLKLVKDRALDGAVSRERHLRSAHHLLDLVSTRPGHRISCPELLADKSVHRLFGSAEAALAFLRRYRTLFALSRHGGRGVSLTDAALDLRQRELDCLNASEPDLLARLRRLLMLTLPRSLPLHTIDLLRWDLGLPRDYRASVLRRHPDHFALAQPEGDERVWLRLLSWDDRLAVSELEKGAAGGDTTCLPFPVSFTRGFGLRSKCMDWLREWQALPYTNPYADASGLDRRTDVSEKRNVGVFHELLHLTLAKRTERHNVSNMRKLLGMPQKFTKVFERHPGIFYLSRVLGTQTVVLREAYGGGSQLLEKHAHPLVAIREEYATMMRAALPPRRRESHNSCIELDDESEEGEENELSE >cds.KYUSt_chr2.13662 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86586549:86588728:1 gene:KYUSg_chr2.13662 transcript:KYUSt_chr2.13662 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLNANPGDAFVHKPDLEKHMDNPIVQQLQMELNKVIVDHEVAMQLDKVIADHELKKQFFIFEDLRSYWFSPRPHSEALSCAQVHFVTSTATQVNLLELQGLIYAKKLIVEDAAGAFAKIAVAPLERVKNLLQARTGGFQSLGIIVSLRKLWKYK >cds.KYUSt_chr5.43134 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272097525:272098245:-1 gene:KYUSg_chr5.43134 transcript:KYUSt_chr5.43134 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAPPRKPATIAQIYRPAQAQMGLAGWSSQPWQRGRLHRREAAPPTSRRHGALTVELRSASPPNVAGNHAAAARGANATTARRRRPPPSLPGLRPAGPPAAAEEEETSFLASMNPQYYVAIDAISGLDLPATNNLSKPPAQQVEFNLTVGVKSRNPFLRGFFQPGAIVTVTYLGVQIADGS >cds.KYUSt_chr7.6276 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37791434:37792351:1 gene:KYUSg_chr7.6276 transcript:KYUSt_chr7.6276 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr1.37123 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226813479:226815294:-1 gene:KYUSg_chr1.37123 transcript:KYUSt_chr1.37123 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLMLLLAMFTGSDALFCVCKNDASPAAMQKAIDYACGKGADCTQIMPTGPCNNPSTIVAHCSYACNSYYQKNAGMGATCDFMGVATLTGADPSAGACKYPASASGVGTGTGVGTGTGAGTGMGTGATPGAGMGTGAGTGVGTGTGAGGMGGTGGTVLAPPGSTTTGMPGSPFGGAYGPSGNSMSPDYSHAVGRHVATAGALMAPLLLHFI >cds.KYUSt_chr4.15976 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98906886:98907990:1 gene:KYUSg_chr4.15976 transcript:KYUSt_chr4.15976 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIVNLFSRELTTDVSIHVLLTDFRGADGEAVREAREKKGFFVRASEEQVQELRRQASEGGQGHLWPFGGDSRSTYNLLEQKPTIANRHGRLYEADARSFHALADQDVRVAFANITAGSMTAPYYNTQSVKIAVVLEGEGEVEIVCPHLSQDSERHQQGHRERHQQGQSERGKGRGREEEDDEREQQHQQHGRQQGRGSGSESESEEQQQEKYETIRARVSRGSAFVVPPGHPVVEISSSRGSSNLQVVCFEINAEKNERVWLAGKNNVLGKLDSPAKELAFGAPAREVEEVLRAQQDKGFLAGPDEQQGQGEEEWRHRGRGEEAVESFLRMATGAF >cds.KYUSt_chr4.5696 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33153246:33159524:1 gene:KYUSg_chr4.5696 transcript:KYUSt_chr4.5696 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVSPRRWSFCVKIHLHGDGGYCGSFLPSGMELILAPRKSGRHGGGQGQVCQICGDGVGAAADGELFTACDVCGYPVCRPCYEYERKEGTQACPQCKTKYKRHKGSPPARGDESEGVYADDASGSNYPPSGNQDHKHKIPEKMLTWRSDDVRHATKYDSGEIGLSKYDSGEIPHGYIPSFTHSQVSGEIPGASPDHIMSPAGNIGKRGHPFSYVNHSSNPSREFSGSLGSVPWKERVDGWKMKDKGMTNGTSIAPSEGRGNVDIDACTDYDMEDPLLNDEARQPLSRKVPISSSKINPYRMVIVLRLIVLCIFLHYRITNPVRNAYPLWLLSVICEIWFAFSWILDQFPKWSPINRETYLDRLALRYDRDGELSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFCKKYNIEPRAPEWYFFQKIDFLKNKVQTSFVKDRRAMKREYEEFKVRINSLVAKAEKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHGGGLDSTGNELPRLVYVSREKRNGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKAIREAMCFLMDPNLGRHVCYVQFPQRFDGIDTNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYDPPVKDKKPGFFSSLGGGRKNTSKSARSKNKKSHRHVDSAVPVFNLEDIEEGFEGSGLDDEKSLLMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATQETLLKEAIHVISCGYEDKSDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPNPPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLIYCILPAVCLLTGKFIIPQISNIASIWFMSLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKASDEDNDFAELYMFKWTTLLIPPTTILIINLVGVVAGTSYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDIQTCGINC >cds.KYUSt_chr2.6869 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42875197:42876267:1 gene:KYUSg_chr2.6869 transcript:KYUSt_chr2.6869 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADQGVPGGNGEALTLPPPLPLGVRLQVGGLTVAIDAVERRDGTVNRCLYSVIDRLLSARANPRPDSSGVRSYDFTIDASRGIWARVFAPVAAAAPLPVIVYYHGGGFALFSPAIGPFNGVCRRFCSQIGAVVVSVNYRLAPEHRYPAAYDDGVDALRFIDDAGAVPGLGDDVPVDLSSCFLAGESAGGNIVHHVANRWAAEHQPSAKSVRLAGIFPVQPYFGGEERTESELRLEGVAPVVNFERSDFSWKAFLPVGATRDHPAAHVTDENAELAEAFPPTMVVVGGFDPLQDWQRRYADVLRRKGKKVQVAEYPDGFHGFYGFPELPDAGKVLQEMKAFVDSNRTAPKSTTP >cds.KYUSt_chr5.33324 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211485549:211487487:-1 gene:KYUSg_chr5.33324 transcript:KYUSt_chr5.33324 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALDGSQVDGVWSNMIARTLHLPSTDIVVADVIVTPRVCHGIDGTTLHTSSLVADVAVAPRRYHGDGAARHAVSPGTDVVVAPRGCLGAALHAGRILEDVVAAPRVCHGVDGTALHAGSLVADVAVVPRRCNGDGAARHTVSPDTDVVIAPRGCHGVDAALHAGSLLADVVAAPRVYHGIDGAALHAGSLVADVAVVPRQCNGDGAARHTVSPGTDVVIAPRGCHGVGDALHAGGLLADVVAAPRVCHGVDGATLHAGSLVADVAVAPHGCHGVDATLHAGSLVKVVVVAPRRCRGDGTGRHAGSPGTDVVEAPRRCHGVGAALHASSLVKVVVVAPCGCVDGAALHAGSLLPDVAVAPRRCHGIDAALHGKPRQGRRRRASPVPRRWRRTARQQPSTDVVEAPLVCHGVDGASFAHVAGKR >cds.KYUSt_chr3.19594 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120605647:120607620:-1 gene:KYUSg_chr3.19594 transcript:KYUSt_chr3.19594 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLAVCITGSGDDQFVYSGFNGSNLTLDGAANITPSGLLELTNGTVRQKGHAFHPTPLRFHGTPNGTVQSFSLSFVFAILSAYPDLSGHGLAFFIAPNNNFSGAYPTQYLGLFNDQNNGATSNHIFAIELDTVKNYDLQDINDNHIGININNLHSLESHDAGYYDDKSAIFQRLVLISHKVMQVWVDYDAEATQINVTMAPFRVAKSVRPLISTTYNLSMVLTSPAYVGFSSSTGTVTAQHYVLGWSFGMNTLPPPIDTTKLPKLPRLGQKAQSKALQIILPVAIVVLLFVAGTTIFLLVRKNLRYAELHEDWEVEYGPHRFSYKDLFDATEGFKDKNLLGSGGFGSVFKGVLPVSRFDIAVKKVAHNSNQGIKEFIAEIISIGHLQHRNLVHLLGYCRRKGELLLVYDYMPNGSLDKYLYGKEGKATLDWGQRFKIIKGVAHGLLYLHEECEKVIIHRDIKASNVLLDNEINGRIGDFGLARLYDHGSDPEATQVVGTIGYLAPELARTGKATPLTDVYAFGMFILEVTCGTKPINKDTDMHLMLVDWVIEHWHKGSLTDTVDIKLQGEYDIDEARLALNLGLLCSHPFTNVRPTMRQVMQYLNKDMPLPELMPTHLSLCMLALMQNERFNPCIASHHSSATSFGTISSLSSGR >cds.KYUSt_contig_686-1.1067 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6566156:6568977:-1 gene:KYUSg_contig_686-1.1067 transcript:KYUSt_contig_686-1.1067 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRWVCGLLSLLVVAAAAAEGSAQPLIRLPTQDEHGAAPAPAPSAEEGVTRWAVLVAGSSGYDNYRHQADVCHAYQILKKGGLKEENIVVFMYDDIANNHENPRRGVIINHPKGKDVYAGVPKDYTGDQVTTNNFFAVLMGNKTGVTGGSRKVINSKPNDHIFIYYADHGGVGSLGMPNNPFLYAGDFIKVLREKHASKSYSKMIIYVEACESGSMFEGIMPQDLNIYVTTAANAVESSWGTYCPGMNPPPPQEYLTCLGDVYSVSWMEDSEIHNLKKEAIKDQYETVKKRTSSSNNNLTGSHVMEYGDKTFKDEKLFLYQGFDPANVNNTNRLPLPSLEGAINQRDADILFMWKKYEQLDRGSEEKLRVLKKIKETVAHRKHLDNSIDFIGKLVFGFENGASVLQAPRSSGQPVVDDWDCLKRMVRVFESHCGSLTQYGMKHMRAFANLCNNGVSEAEMKEASVSACDGYSSAKWNPLVLGHSA >cds.KYUSt_chr2.25862 pep primary_assembly:MPB_Lper_Kyuss_1697:2:158255335:158255538:1 gene:KYUSg_chr2.25862 transcript:KYUSt_chr2.25862 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAVMAELLEEYTAAVARAVERLLSAAPRILPRRVRFIVLRSLPFASRPQPVPPPPYASPVLLAR >cds.KYUSt_chr4.25900 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162908465:162909205:1 gene:KYUSg_chr4.25900 transcript:KYUSt_chr4.25900 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDLAAMDPPQGPPTAGGAPPSAAVVDVPVGGPPNAGDVSAMISATIPSKRKRFLKQFFEAPAAAAAPPVVTAAAAKKGGRMKTKAAGPRGAPQSKVKTKAVSRIGLAPPPPSKAMAPPPSVPSAATLAPPPPSMDVDKVFDVESTTSYMDMLNDSAVDLDTDIGAFDGEDNVEGIDDDDEEGEGDEANDDAEVVEVDPAAGSSATPKPRTSNYTEIEDTTLVRAWSRVGMDACTGMDQDGKRYW >cds.KYUSt_chr1.37177 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227210179:227212162:1 gene:KYUSg_chr1.37177 transcript:KYUSt_chr1.37177 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPVDPVMAYKYKAELLVKDYLLADSYVPYAAVLVGILMCKLAYDFTRFISSFHYKGYASLTKMQKVEWNNRGMSTVHAIFITVMSVYLVFFSGLFSDNLDGPVTSRSSSLSSFTLGVSIGYFITDIAMIYWFYPSLGGMEYVVHHMLSLMSTVYAMLSGEAHVYIYMGLITETTTPGINLRWFLDAAGMKNSKAYLVNGAAMVVTWLVARIILFMYLFYHMFVHYDQIKQMDTFGYFLVLIAPSIIFVMNLLWFSKILRGLKKTMAKRH >cds.KYUSt_chr6.17121 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107836635:107836994:-1 gene:KYUSg_chr6.17121 transcript:KYUSt_chr6.17121 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHQEEAHDHLLAEAPAPAATTTKGCATFWVCAEEEDEAPRRFAVPVTLLGHPRILELLVEAHEKYGYAHDGAIVVPCGVDRFQEAVDAARAQQRHHHHHHFRIPHLATCFRPSHIVA >cds.KYUSt_chr4.46514 pep primary_assembly:MPB_Lper_Kyuss_1697:4:287559872:287562126:-1 gene:KYUSg_chr4.46514 transcript:KYUSt_chr4.46514 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQPQYYGSTSQQPRDDVTGIVEEFFGGAIFGTDASLIPPNQESPYIFQTPSPADETRTEDEENQFHVGAGIPGVAPHYTPPPSTFNVLLGSY >cds.KYUSt_chr2.40487 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251579966:251585270:-1 gene:KYUSg_chr2.40487 transcript:KYUSt_chr2.40487 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGELATMPGRAVLTFLLLAVASAAYDSVGLEPVSRRSFPKGFVFGTASSSYQEDVRLMKDMGMDAYRFSISWTRILPDGTLRGGVNKEGIRYYNNLINELLSKGLQPFVTLFHWDSPQALEDEYTGFLSHNIINDYKDYAEVCFKEFGDRVKHWITFNEPLSFCVASYAMGVLAPGRCSPWEAGKCSAGDSGTEPYTMCMKMQAVQKGKVGITLVTNWYVPFSHSKSDNAAAKRAIDFMLGWFMEPLKRGVYPQSMRKLVGNRLPQFTKEQSELVKGSFDFIGMNYYTANYAANLPPSDDLKKSYNTDAQANLTGVRNGVPIGPQAGTTPGTSWLYVYPQGFRDLMLYIKENYGNPTVYVTENGIGEPNNKSLTIQQALKDHARIDYHHKHLLALQSAIRDGANVKGYFAWSLLDDFEWVSGYTVRFGLHFVDYSDGQKRKRYPKQSARWFKKFLKR >cds.KYUSt_chr1.1491 pep primary_assembly:MPB_Lper_Kyuss_1697:1:8261546:8263644:1 gene:KYUSg_chr1.1491 transcript:KYUSt_chr1.1491 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDFDVDCFLNLDAMDEEISVEALLEAADANAQLQLDPATLGQPLTVPNAQVFPDVQATASLITLLLYSQVPASPPVHHQQALPESSSAYGFDEQIMMQAPITHVNHPDVQQHLQHPQAPVQHHQMLVGSGFHDQMHMPAPIPHFQTNIQAAPLDQVPETGVLLQNFLDESTLALDIHGFDEEMLMTASEDEEEFPDLPSPDSFPMYDIDEAFISDEVNQADANVIPYHGPMEDEERFVPLAPGRLQCGDCRVVRQIRVQTDTEEGLIRLHGTTNGRFEHAILDRTYIGNDSEAPRAERLYVDFSRRTGEWVLNFIANIIWSLKNETVGVVEDSDEPVNTPPIVNDAYQLMEIAMLKIIDSTPEYAQMAGALSLPRAAQPAPMPPPPPLTTQPAPAMEAASDANVSHKIIKPDIFESRPFVRIEQSSDSARVHSTRQQERREEQETRQYLHDLKEKAQMDLDVQKQALAVKRFCRKKQWTYRLNLIKRINKKIIKMEKRALTYPLSRLLKIRDTFDKLVVEKENLVAHITTAMNNESGNKGSYSVGKNDDEAGPSSTKKVGVL >cds.KYUSt_chr2.16001 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100778169:100778951:-1 gene:KYUSg_chr2.16001 transcript:KYUSt_chr2.16001 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHPCGKRHSNTNMYLLEPPLRHTRAARRPLWSACHRPRGTRSSGSSAPPTKAAPPRRHPQPRLTATTAAINKRAAQALQRAAAPQQVVDETTMQARGRRPGILFSGQPPELQTGRPCRLHVVGGTTPTHHATTASQGRRPGLLEQRRPSRPKSSGSRSAGTQTRPPRKAPRRGRSPPAEQPWPPRRRQAAATAAPIRARRLGFHMPDRECPHEPVSTTRTGPPNHLRQRDAATAAEESGGRRRRQCRHGRGGSAAALG >cds.KYUSt_chr6.4338 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25100005:25113555:-1 gene:KYUSg_chr6.4338 transcript:KYUSt_chr6.4338 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQSIPLDPGDSDGVVLLRVLGRLLLAVCPASLHLWSASHHKVRLARLDRSPESLASHGPNAHAVWSPDGKTVAVLTSSFHLYIYKVQLLGKPLIVGGKQLPGLCIATLSQIIVEKVPLANDISITSNFACDSKSTLFGLSNGHLQVVSWNAEFSDSFKLRCSACSPEKTAAVVDALVFDPPSSRGGSNVRPAPCCTGDFAIVGVELSVKLRLLVALYSDCQVALCTVGKKGLKQTSGVRVERWLNTGDAMCTSVASDQQVLAVGCARGVVELYDLAENARHMRTVSLFDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQAGSNSALSPMVKPSAQKFEPLMGGTSHIQWDDYGYKLFAVEESLSERVLAFSFAKCCLNRGLSSTTYIRQILYGEDRILLVQPDDTDELKILHLNIPVSYSSQNWPVLHVVASDDGMYLAVAGSHGLVLYDLRNKRWRFFGDVTQEQKIQCKGLLWLGKIVIVCNYVESSNTYELLFFPRYHLDHSSLLYRKPLLGRPIVMDVFQDYVLVTYSPFDVHIFHVIISGELSPASSPVLQLSTVRELSIMSPKSPPVSMRLIPERTDEQFLKRDSNGSSDLLSRQPSRCLILRVNGELSVLDMDDGHEQSLTNSVELFWVTGSQMEEKGNLIKEVSWLDYGHQGMQVWYPSHGANPFRQEDFLQLDPELEFDREVYPLGLLPNVGAVVGVSQRMSFSTSEFPCFEPSPKAQTILHCLLRHLLQRDKHEEALRLANLSAEKPHFSHCLEWLLFTVFEADISRPSASKNQPSHKSESPKKSLLEKTCDLLRNFSEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENANTDSEKLSPRFMGYFLFRSPYKRQSSDLKSNSVKELSPHIASVMNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGEKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDMRLWKAYSITLQSHDVFREYLDLLSALEEELSSVSDLTLQNGPLS >cds.KYUSt_chr7.38216 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238281877:238282854:1 gene:KYUSg_chr7.38216 transcript:KYUSt_chr7.38216 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLKLSIAVTCALLLSSACHGLEVGYYKKSCPRVEAIVRDEVKKFVYKNAGIGAGLIRMFFHDCFVEGCDASVLLDPTPANPQPEKLSPPNFPSLRGFEAIDAAKDAVEKACPGVVSCADIIAFASRDAAYFLSRMTVKINMPAGRLDGRISNFTKALSNLPPPFFNITQLIVSFASKGLDTEDMVVLSGAHTIGISHCSSFVSDRLAVPSDINAALASSLRRQCPANPTPANDPRVHQDVVTPNALDNQYYKNVLAHKVLFTSDAALLTTPATTQMVLDNANIRGLWEDKFKKAMVKMGAIGVKNGFQGEIRRNCRVVNRY >cds.KYUSt_chr7.13540 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83416171:83416890:1 gene:KYUSg_chr7.13540 transcript:KYUSt_chr7.13540 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGAGATAVDRRRRAYEMLDAAYPDRGYALRWCEQAREMYRADGDTKEARLLLRSALRCGRVTDYASVYKAWIAMELQDGDVGAARGLFLEWGRRIYEGAEDGGGGEIDFWCKFINFEVKNGGADRARDVAKAAVEACPSDPTIYAKNLKVELLFRHGDRGVLARHLDNFAMDVDCKDWLVHYQVGASSHDDHDHHDSKAKRLGGGGFFLGRMCRGVKRLVHPHGYQPLHQASTLSV >cds.KYUSt_chr3.28928 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180652765:180655645:1 gene:KYUSg_chr3.28928 transcript:KYUSt_chr3.28928 gene_biotype:protein_coding transcript_biotype:protein_coding MRQESRSSLTLGELACMALFPLLAVVDAVALAAARCFHQRPPPMLSFVGAHARQRARTSGRLTFGELADLAHESRCFSVNEVEALYELYKKISCSIINDGLIHKEELQLALFMTPSGKNLFLDRVFDLFDEKKNAVIEFEEFIHSISVFHPNTPMEDKINFSFRLYDLRQTGFIEREEVKQMVVATLMESQVELSDEIVEAILDKTFEDADTDKDNRISKQEWRAFVLQHPSVIKKMTLPYLKYGYACA >cds.KYUSt_chr5.42450 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267564282:267565706:1 gene:KYUSg_chr5.42450 transcript:KYUSt_chr5.42450 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSCTFLEILLAIVLPPLGVFLHYGCCSVSSSLPYSPPSLCPALILDWIHAGPRQSGKIPADEFCDQASRRSGSRRPVIRETLRRFRLFPGGCPRVALPIKQMEFCICLLLTILGYIPGIIYAVYVLVALDRDDYQREYYAVA >cds.KYUSt_chr2.14066 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89024684:89029696:1 gene:KYUSg_chr2.14066 transcript:KYUSt_chr2.14066 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRPRPRRAAPPAALEVDDLLRKIFLLLPPQPSTLPRISAVCKQWRSVVTDPRFLRGFRDHHRIPPVLGLVMGYTGHPFFRSNLSSPDLIPHECFFPPDILNHYYMELMGCRHGRVLFFDRRLLKIMVWDPATGDRRCVAVPPRFDDKEIVVFNAAVLCAASDEGHVHGDCHSSPFQVILIGIHLEENRAFPPIYSSETRTWGDAISTVGIQYTNTYDMSGMTSPATLVGNSLYWLFDWYKDGMLIFDLDRQSLVCIEMPDLLHYSCSSIFQVMPADDSTSIIRLAILHYQEFEMWERKVGCDGVAGWVLQETFQMNTILGLERMGGRNNLILGYDEDDHVIYVSTDIGVYMIQLETMQFKSLGKDNFTTTHYYPYRSFYTAVCERRISGICAPVAKDDTCMAAASRGVGAVGGKFGKSKHIVQGMDADLVPSRVLTQTRIDVLFKKEMETRSKLDKAWAKWFRANGIPGSKADCPHFRSAMKLTRQLAKVHHPVAPRWIPPPLNMSKVNTDGAVAKTSNRGAVAAVCRSHAGVYLGASSVVFEGITHPGCLEAMACREALALTADLGVKGVMVASDCMEVIQGLKSN >cds.KYUSt_chr7.35693 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222970647:222971910:1 gene:KYUSg_chr7.35693 transcript:KYUSt_chr7.35693 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFELALQKTTPTAVMVATGKGASLGVLNKGGNALQKAHKREVEKREVLKRQGHGEYREIIEGDFLAEVTRCKIMDKHLKALAPVYLGTKFIKLDAENAPFFVTKLAIKMLPCVILFKKGIVVDRLVGFEDLGGKDDFSTRALENILKRKD >cds.KYUSt_contig_402.57 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:282129:284461:1 gene:KYUSg_contig_402.57 transcript:KYUSt_contig_402.57 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFVMAAALDGFSSFRYEDPSQKPPRGYSNILGGLLRRYFSGIVNLPTGGCDVAWRWAHYSLAEDALGRGTLADYVVGKFWKYFKKAEGKENACDDVLHQLARKRVTGMHYEARVQCVHDWHTDRFVHMTKEDARDTLMQPWQYMQNPPQYVGADDRCFLAMVMWWTCPQYLKKHEEGKKKRAEMRGGSHIQGSIPISLHLQNEEVRTGVKPNVFAVLKKMKQRKTPHPETGSVWVNPQSETQCTSYVSKFKQKYGEDANPEAEDFDPEVAVLAGEGLKHGRLWLGDGVVDPAKVPSLRQIRRGRKSGQPEVESRPRASDLAVERLRAEMAAKEQVAQEHARNMERQILEYQQQQTQMMLQMQQQQQMMQQQQAQMSSLMSQTALSYPPGSIPAPPPYSMPWMPPPPTQSPGTPVTVNNLNIIRSMNRSD >cds.KYUSt_chr2.45126 pep primary_assembly:MPB_Lper_Kyuss_1697:2:281271973:281274802:1 gene:KYUSg_chr2.45126 transcript:KYUSt_chr2.45126 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVCRTDTRPNIAGDICRWRDISDDSCLGRLMQCASSCSLATRSTFSEAAMVVGEGEWRMQDSTLPGLGLAFLLAAFVVMAQEERSGQEPQSSSAYPQPRRPCLAKMVRRLATTYSMLGRNGHQSHSPPQPEPSQDEEPCLADFDEQILADVDRRAATKEEDEYDEK >cds.KYUSt_chr5.1296 pep primary_assembly:MPB_Lper_Kyuss_1697:5:8910491:8913770:-1 gene:KYUSg_chr5.1296 transcript:KYUSt_chr5.1296 gene_biotype:protein_coding transcript_biotype:protein_coding MEASARGGMAGESDVLGSGPQSSEGSKSGLGEAGSNSGLGEAHPCEGSNSGLGETSPDFSGASFSLDDNEINAYNGILNIQAPDFTTVMAIVHGEGYWTDVAWYFQDSDFVTLLNFMNSLGPETVEDEDFLDFANVVSFANSLGKESDEEDDMVRVLLNVDDVSEEL >cds.KYUSt_chr6.19586 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123361988:123366331:1 gene:KYUSg_chr6.19586 transcript:KYUSt_chr6.19586 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFNPFGGKAQAGLDGRTIDVKNVKITVRNAIAQGGFSCVYLACDALHSSKQYALKHIICNDSESLDLVMKEIQVMNLLKGHANVVTLVAHDVFDMGRTKEALLLMEFCEKSLVSAMESRGTGYYEEKKALLIFRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDKPEDRGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQVLNGNYRIPEQPKYSTSVTGLIKDMLEASPNARPDITQVWFRVNELLPPELQKSLPDGASAAISMGLQDEGAYKRTHVAPKRSPPPPPRGQTDSSSSHGSANAADAPLGAFWATQHAQGSQVADNKKPMFDEEPIKPSPSSKYNQSRVDISSSTPGDRHGHSGQALRNTTSNIVSSNGLMGGSDTNLFMEPQSSVKNKASQSQSKTISGKDPFHSFVADFDANNLHLGTAATGKTSELEAEVSNLKEQLKKTTLEKAEMTAKYEKLSAICRSQRQEIQELKRTVAETTPPPSSKVSSRIPEFGSQEKIEGTVWELEQGMLAGNSSLPSSEAKTWQAFPEAKAQARPKVDHATNGRQNLTRTTNAGPSPDAWGFSTSSGSTAAAAQINRTSAQGSSSQRFSTGVAKKVDQPSGWAGF >cds.KYUSt_chr2.8486 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53369408:53372096:-1 gene:KYUSg_chr2.8486 transcript:KYUSt_chr2.8486 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRLSLPETAAGGAIPDPFPPDLQWPFGRLEFLTRDEVRSSAYEIFFIACRSTSAGGRLAFATGDNHEGAKTVAGAKNMVVVSRLKQSLGLRSRKTRSMVGAKPMTSAEIMRRQMGAAEQTDGRLRKTLARCLVGPQMPKKVESLVLPMELLRRIKTSEFADADEYRLWQLRQLKLLEAGLVSHPFAPVDRSPAASSLSEMARSTELQIDVRALSATVMALAWRSVEVCHWADGYPLNVHLYLSLLRAIFDLRDQTVVLDEVDELLELIKKTWNVLGLNRMIHNVCFTWVLFERYVTTGEIEQDLLSATLVMLRHVSEDAMKAERELGYSRALSAIASMYAWAENKLMDYHGGFDKNATKNVVTLAVLAAEMLSQHVPAAAAAAGSSFAAADLIERCIESSARRAFTKLDESGDAGGNSMMVEVEDDPSETLMYVAAQTKEMARVEKDVYAPILGQWHPCPATIAAITLHACFDTYFKRYVSKMAGCLSSESMRALQTVSELDKYLVQMAHDDDGHGGKQLIPYDVNSIVIGLVTEWMDDRLRIGAECVRRARDSEAWNPGSKNEPYAQSAVDLMKLAKGTVDGLIEIHQRWPMSEPLQHLADGIDRLVHQYASFLATSCGAGTKEGYMPSLPPLTRCSQDKRLLHQLLLNLNCGVGGSNGEAAAAAVTTPARAMTRVRPTTSGATQRLYVRLNTLHYMLGVLHSIDRSLAQHGKQRHIIGHRRVRSSSFDRAYPAVESAVVHVTELYKHKARAEPGFKLIKPQRQHSYIIHTRTRRDTTRWRDAPHNHQHRLQDLDRTRYKVSDQDHQMERRRPNAKACHLDTPDATRLHLAPEGSTMN >cds.KYUSt_chr3.42387 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267992154:267995499:-1 gene:KYUSg_chr3.42387 transcript:KYUSt_chr3.42387 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPAEKVDEMAGGVSGGGDWVYIASDAMESAGFPASFPLMSMEHAELLDVHAAFPPSSSNAANANATALPSFQDFASGDVGDLSLTELEAFAGGMEWDDEDEDELDQQNVDPSSLGLSIPLESAAAAVASPGAGSGGGNGRGKKKMTAKNLMSERRRRKKLNDCLYMLRSVVPKISKMDRASILADAIEYMTELLHRIKDLHAELESAASSALAAGPASASFRPSAPTLQPFPGRMNPSPTVPMVEVRAVEGQIVSIHMFCARRPGIVLSAMRALNSLRIDIEQGVISCFNGFAMDIFRGEQYRVGPPLIPEEIKAVLLQYCADL >cds.KYUSt_chr2.4181 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25311605:25323476:-1 gene:KYUSg_chr2.4181 transcript:KYUSt_chr2.4181 gene_biotype:protein_coding transcript_biotype:protein_coding NPSKEIFSELDEINAQGPIFPRSFQKSEEETKWGHEVVTHQGGAAQVPRWRRNLWCCAALHSITNDLHVILDSYWFDNLGFILVENLLLYASHLPLEVGEITLHGNARYMEKDAHGPLLGRFLRRSSQLSHGELNHENDKVKKLFFCIIYKFGRQRGDPRGDPTGTGYQGIDLEAGGRNKRTKRTAPKSDDVYLKLLVKLYRFLVRRTKSHFNAVILKRLFMSKTNRAPLSMRRLVKFMDGKGDQIAVIVGTITDDKRVYEVPAIKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >cds.KYUSt_chr5.2043 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14009000:14010454:1 gene:KYUSg_chr5.2043 transcript:KYUSt_chr5.2043 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAMAPSSLSFLSAQPLHPRRLHRQSAAPTPTVRCAAKAAADAPALRPLPPPKLVRCPALDRQAARANRLRFARKLLTLLLSKPRGFLPLRVLRRCRGFLGLPRRRPLVPFVLRYPTLFRLFQAPTSSPLSPSLSTLAVGLTPAAHALAADLAALRGADLAPLLAAKLHRLLLMTPHRSLLVSKLAHIAPDLGLAMDFRATLCPRHPDLFAFHGHALQLADPPPPPPAPLPPPLRPAAQTGRLIDRPRRFPHLPLRRGLNLRRAHRDYLLRFHSLPEVSPFEPLPQDASPEMAERRACAVLREVLAMTVEKRTLVDHLTHFRRDFGLPNRLHALLVRHPELFYVSIKGVRHSVFLVEAFDDDGRLLVEDALLVGRDRLEELVREGKRIRRADRNGVFAVDGDSDEDDEGDDNVADVFSSEVDGDFADLFEDSVAGEDWNVVGDGGGIEGGDEDDAESDAMEEFWVKKAVAQGLVDSGSEHDVW >cds.KYUSt_chr2.3955 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23814647:23829534:1 gene:KYUSg_chr2.3955 transcript:KYUSt_chr2.3955 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRPRSGPRYGHNSYGGYAGNGGYGNGGYAGGQGNGGYGAGAYSNGTYVGGHGNGVYGYGGGDGMYLPYGRGAAPSYGMGGRPLAAAMPSTSYGLGRGWVADEHLRGAPPPPMILPEAMRAAEAAAHEVVLRLHPTEPAERRRHEIIGYAKRLIGTTFGCEVHAYGSVPLRTYLPDGDVDVTILTNTSLDGTFIEHVRCLLVSERWNEDAQFIIKNVGFIDAKVKLIKCVIDNVVVDISFNQIGGISTVTFLEEVDRVIGKNHLFKRSIILIKAWCYHEKSIHGSNKGLLSTYAVEVLILYILNLHHKSVSGPLEVLHRFLEFYSKFDWDRHCVTLNGPVPMPAPGRSFTEPDAFSDELLLSNESLKSSLDRLIVLPIGSDRPDAEFVRMYVNILDPLQGGNNLGRSISEDGSKRIKLAFECGARTLGQILKLPAELIPDGIYSFFATTLGRHGRGERPDLGGSVLCRSMPNSGNLNGKDVSSFENCYVVENEKRSPNSLVELDDKESDVKINKHQSSGLTNFRSHSPSSSETGNNSIIQCNHDSATNADVPFARSYIQQNHIVASSTQANSLDVPCYCVDKSNGTDVSEAKLQLHPFTPSNLLDLSGDLGLPLKCLVSFQYNIEALFDKLLDSVKEASLAGVLDENCFDGPISRFLSDYDGRKLSTVKRSQGTRDVPQQSITEAQADVYEFFSSTHIPSSGLPSFPAAEAYHHPWFENTEDTPQSFEAGMYIPDMNFSLPPRTDTLPMGSTFNPAIVVEKENYPFSSPYTTWDNRRTRGTGTYIPKTPREWKEKSWYDRRQNQRQPDQVNPWNGHAAVERALTNGGIKQLPTSETRENGEQRHENGSMEMRTLPPPRIVLPQLPTSETRENGEQRHENGSMEMRTLPPPRIVLPQLPTSETRENGEQRHENGPMEMRTLPPPRIVLPQLPTSETRENGEQRHENGWMEMRTLPPPRIALPPPRIVLPHHGGGSQRNLPVSSTGQPSPPAVTMVTPAATMATPAGTTANSQSITSQQHENLEFGTIGPFSATSLTAKFIEDFPPLAGTKVAMKSPKAGASAAKSWPAEATGATVQSPKAGVSAAQSISMDEVRKKLFSFSLSGQHKDYLDACSEGSFTSKEVEARWDLLDRIEENAEGWENNKGEESGYADKPPFKPLPPREGNEEKEEKKKKKKKKKKKKKGTKKKKKKEEENKKKEVTAYPRVYEITIGNSKYVAPDDYYDNESEYNDLPMPFTYISDHDLKEHTTFDIANLWETNSENDDVNNCHSISTIHASSHNDIESSKLGEEVFENPFAIDHYVFNTSPSNNNDGNSENYANLRE >cds.KYUSt_chr3.37382 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234920599:234922512:1 gene:KYUSg_chr3.37382 transcript:KYUSt_chr3.37382 gene_biotype:protein_coding transcript_biotype:protein_coding MARISEASIKFSPCHRRREFTATPPPPPPAREMAALHLPLRAILSSPHPPTRRLLLPLHAHLLVSGRLAAAALTSLVSLYARVPALHPVLPLLLTPASPLPCFNAALSLPHPLALTVFRRLRLAHSPDPFSFPPLVASAPSPHHLLAIHALALRCGLAHGLFCASALLRSYLSFGLADHAHRLFDELPHRDVVVWNTMLNGFAKLGCFHRAMECFLRMRSDGTADISSFTVTGILSVCTATADFQRGTAVHGMVIKSGFDHDVSVGNSLVDLYGKSHKVADAATVFEGMAEQDRDLFSWNSMLSALHYSADHVGTMRLFARMRRAAVWPDAVTVAAVLPACAQTAALKVGREVHGYIVSSGLACDGALSVFACNALLDMYAKSGALDEACRVFGWMRQHDVASWNIMIDGYASHGRGHEALELFCQMTEVEGLVPDEVTLLAALSACSHSGLVDEGRRFLKGMKEEFGLEPQLEHYACVADMLGRAGRLDDARKVIEEAGAVGAGAWRTYLAACRMHGDKERAQDAARMLMTTEESGSGGWVLLANTHGWDGNFEELQEVRGEMRRRGVQKAAPGCSWVEVGGGNGGSGTVVHAFVSGDKAHPEADMIYEMLHTLISWMRDCSDSSIRTPFYSIECS >cds.KYUSt_chr3.14590 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88705998:88707714:1 gene:KYUSg_chr3.14590 transcript:KYUSt_chr3.14590 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNTRGNMAFNGTLDRNQSGISAKTAAHSQIPSDKKSRQKKKEDPSDRTNPRHGTSHPTLGTVLASTSETSGNTATPTKATSRSINSNFGSPRSNSMDSSISGQVKHHTGGDFRWDAVQLATSRDSPLSLVHFRLLKRLGYGDIGSVYLVELRGTDTFFAMKVMDKESLISRNKLIRAQTEREILGLLDHPFLPTLYTHFETEKFYCLVMEYCCGGNLHSLRQKQLNKHFTEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDGGHIMLSDFDLSLRCSVSPMLVKSSSVHAGPNGVVKGLADTEGISNANAGCIQPSAFFPRMLSMSKRNRKTKSDFSLHGLHTLEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGMTPFKGNGNRATLSNVVEQPLRFPENPPVSTVARDLIRGLLMKDPHKRIASKRGATEIKQHPFFEGVNWALVRSAHPPSVPDPVDFRQYGAKEKKGAERGLAATPSSLSSSAAAAAKSATRSVSPGHFEYF >cds.KYUSt_chr4.1958 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10746092:10752110:-1 gene:KYUSg_chr4.1958 transcript:KYUSt_chr4.1958 gene_biotype:protein_coding transcript_biotype:protein_coding MLPELPAAMLATSSELQDPGDDLNQLVSLLRQRVYTGEPFAADSFIQEADVYSAAPADLVRHHALGTDLGEGKGMAWYFCSPADYHQTRTPFARRRKRMVGDGGGAGGTRWHVEKAVSILGPDNKSVGAYKRTLSYVQKIKNPPGTARKPSGNKSLGWIMVEIELEQKEGPDVPDQQLVLCKVYRSPRTDTGEAGVPCIPAPPPAASMSPSSCHSQKVAVECKTTLDYNFRSPGVGRKPALSPRKPGTQCRPPMGGKITTGVAIVKKGSVTISSAVHVVMKFCGSSMKSADHMKEMAKLVFNFPAGGGNPVVVLSAIGKTTTNLLVAAGKALSCSTKEASKIHELAITKEMHFRMIDDLGLDRSIISGSLDELERVFMAIAMMEELTSKTRDYIVSFGENVSTRIVSAYLNKLGKGTCQVWKDVDGVLTCDPTVCTNAIPLPNLTFDEAVELGLFGALSMQLAMEGGISIIIKNSYNPEAPGTVITKTRDMSKSFSIFGNLGISVDCVAISEGKLSLIAIPPKLSSRELAQLELDIVVEELQKISVVDRLKDRSVISLIGNTQMSAIILVKASNVLWSTGVKVQMISQGSSNSDVLKVSLVVHDSEAKYCVQALHSAFFENSYLSELERAENKCQIPAYSSTSASSGIIVKRKAVDDHPEASTRQRTTSAEPSGHEETEPRLPEGDNGDPTEVFQCISDDNIPPVTSPSMCYADMDMDRLLSEEMPIAGDASGDWSKYFFGVFDHFDGGYDTNWMLSGGNDGEGPSGVGSGVPEDDANPVLPEQPNDPLAAS >cds.KYUSt_chr2.2596 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15590370:15591838:1 gene:KYUSg_chr2.2596 transcript:KYUSt_chr2.2596 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVPTSRLHWRPRRRSCHHAHWLPQNEVPYYDDTESKSISSESNAEEEEEERDEEEEEEEDQKKEDEQEELAPESSMEKEKNEFALHRKGWEFSFKHGDRTFNLITSLSPMVYTHCTPSCLPRDTDLVPSLQVFSIKIAKIKHLQWPLHVYGTVAARDYVDQKRNIIFFRPRSQSQTLTKNASTFFLSIHDPYLHLTGPRRGLLSIEPVHIEIQLMLKEGQSWPEDRELVTRAYRYNNPSNCDYFISHLTNYLCKIEMCFERLVQSRQATVLAVRVMQGSPFKYGGQVLYCASPYEDDPSKMIVLFDSKYGNTSLDNNGTMSMDPDGYLDLSRRVVSVQGRLKIFIHTYSRSGAILATGRVSFRAKDCQTSQARCVLHNRRKGTDSAVKITVAWSRFARKISNIEIDCFTNL >cds.KYUSt_chr3.33263 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208750059:208751624:-1 gene:KYUSg_chr3.33263 transcript:KYUSt_chr3.33263 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSEISMKASSSMASEASRNTDPGQQGVRYNSMDLSYFARPGQSIPSCPPFFAPQSSSFCVPDDSGAKVGNPFESDPSLNTPISDWDPQAILSNLTFLEQKIKQVKDIVQSMSNLGSPVVGGSCELAAKQQLVTADLTSIIIQLISTAGSMLPSMKSPLLSSNPAVRQLNTYGSPMGFGSVGNQRPSTNREVTVPDMTKTSDYEELMSTITTTHDEKDDLIKCPNPCGGEGSEPIPMEDHDVKESDDGGEAENLPPGSYVVLQLEKEEILAPHTHFCVICGKGFKRDANLRMHMRGHGDEYKTPAALAKPMRDSGLDHTPVTRYSCPFVGCKRNKEHRKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALHMDDIKATGASEQPQGSEAVMDDMVGSAGYNFPGSTSDGIQNLDMKVAEDTRGYFSPLNFDPCFGALDDFTRPGFDISEDPFSFLPSGPGSCSFGQPSGES >cds.KYUSt_chr1.26875 pep primary_assembly:MPB_Lper_Kyuss_1697:1:162162810:162168105:1 gene:KYUSg_chr1.26875 transcript:KYUSt_chr1.26875 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEDLGVSAFINIVGAFIFLLLFAVLRIQPINDRVYFPKLYIAKKRTHDHRGARRAINLNLCTYFKFLSWVPGALRMNQTELIHHAGLDSVVYLRIYTLGLKIFLPITVVALLVLIPVNVSGGTLLNLKKDVVFSDIDKLSISNVSPGSNRFFIHLLMAYVFTFWTCFMLYKEYSNVAFMRLHFLASQKRCADQFTVIVRNIPRVSSHTTSETVEEFFRRNHPDHFLGQQAVYNANRYAKLVKRKERLQNWLDYYQLKFERHPDKRPTGRTGCFGFCGGEVDQIDYYRARISELDKRMASERQKVLNDPKAIMPVSFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWENLAIPFFSLSIRRFLISIAVFALVFFYMIPIAFVQSLANLEGIEKVAPFVRPIIEVKVVKSFLQGFLPGLALKLFLYILPSVLMIMSKVEGYVSLSSLERRTASKYYYFMLVNVFLGSIIAGTAFEQLYSFFHDPPSQIPRTIGVAVPMKATFFMTYIMVDGWAGIANEILRVKPLVIYHLKNMFIVKTERDRERAMDPRNIQLGENLPSLQLYFLLGLVYAVVTPILLPFIIVFYAFAFLVYRHQIINVYNQEYESAAAFWPQVHSRIIASLLISHVTLFGLLSTMKAAYSTPLLIFLPLLTIWFHKYCKSRFEPAFRKYPLEEAMEKDRMEHASEPSLNLKTYLANAYLHPIFHMFEQEEQKEETTLEVRIDKSQQQQQQQHHVRSSDTQYEERNTETHYYHEERSSSQYQYQYQHEETHMRSEQSPPHFVYHHEIQP >cds.KYUSt_chr6.25783 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163341003:163343075:1 gene:KYUSg_chr6.25783 transcript:KYUSt_chr6.25783 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSCGPDLLDWVGTDISASIFHLLDHPADLVRAAAVSRPWRRFGEQFSPSSPMAIDSLYHRRLPEFPPFTAVIENNLSKSLCLRLCPEVATVAAVAEVTRSASSPAVPVPESERDFRIYSNLAGAVVSAPRNHDVAIFTHCIGASSTDQFPKETMEHTLDEDYIVNFRPSYWSSGGSDSPDEPESLTYRLNHDICIVDEIKVQPFEAYFQHGDPIYSAKAVRFRMGHYKLPRGSESFVTHKDENKMVNADKNYMWTYTSPEYPMSQENVLQSFKLPRPVLCIGGVVMIELLGGVQKQNADDSAQVKGRSLSPMFMFDISDPEGYSILKYLPNAKEDMKQDDTKESLEWLSLIGRYNKMNQIAVVNALMGPLFMNEYDVDDVSDDDFFE >cds.KYUSt_chr1.5393 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33274883:33280966:1 gene:KYUSg_chr1.5393 transcript:KYUSt_chr1.5393 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGVKIANFGVWNREEEGRSRQDPAHRPADRLQDRPGRCHTRSDRAPGRPDRPKPAPRPVPSGPVPGTSARQAGRTRPQTGQAGAQAGMIGPQTGQAGPTGPLTGPAAADSHALAVLTADSVDVFSPFRDVVWKEDIYRDYLHIVTGSPFDAIKWRLSSSATSVEFHFWESHIDGGFEKCKALDSTFGGQTEFDIAYRRVDDVLAEWYHDFLIVQGIIGVRSSWADFKQFLRARFRVKSTELDKAVVCSNTTVEEVVPVQAVTEERKPGSGTKGTTVTVEEDVPLSGLNMQLKKVQDDACKTVDKVDEIECDVLPLEVCGLLLGRPWQYDRNVTHAGRANTYSFMHGGKQRTLKPMGDDHIKSDVQLVVRKEKLHKPKVQHEVHDVPSIDVGDVSAIPVDDKPVLFGDKPNEATLVVDVDVTASATVPVCVDASIQTDDVCADGVSVHMAQMRVGGVGGERVSGDSGQWHSRARSTAVQFSATPRMNRGNDGRVRQLCGPGIARLQGCTKKVHIQQHRVPSRPQKKKVLAPKSKLMWRRKEAPSVVSSQARREGGCGVVGRQNLKTARTCDVHITSPFSEDPHALGITLLEKGGGEDDTGMKAEEMDETRSGVCEVEKVPGIGVHGDESDNSRTGLGDESLSVSENIFVGSRSDNSVSSEHGREANINMDSDNDYERDICEVAFEENSNESHVDDGDDANLNQACQAEDVMELYMMIKEMTFPSEEAAFAFYNSYAKDNGFSIRLDKDYEDYGDVLVFDSTYKMNRYARFEISWRHSDFGKKAGMWVRNFCHGMA >cds.KYUSt_chr3.48890 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305467029:305467253:-1 gene:KYUSg_chr3.48890 transcript:KYUSt_chr3.48890 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFRSIQEERRRASGLLFLGLPSLASRGDGAEETRATRKMAGLQPPRRRLQIPPSTSTGNHVAAGKMGDDGSI >cds.KYUSt_chr7.27085 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169294495:169304978:1 gene:KYUSg_chr7.27085 transcript:KYUSt_chr7.27085 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETGYYDVLGVDPTASESEIKKAYYIKARQVHPDKNPNDPQAAGKFQELGEAYQVLSDPAQRQTYNAHGKSGISTEGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFGDDENIDTKKLAEKMQDVQKEREEKLAEILKNRLHLYVQGNKEEFVRLAEAEVSRLSDAAYGLVMLNTIGYVYSRQAAKELGKKAIYLGVPFVAEWFRDKGHFIKSQVTAAAGAIALMQLQEDLKKQLGAEGHTTEEELEMYMENHKKVMVDSLWKLNVADIEATISHVCQMVLQDPNARKEDLRLRAKGLKTLGKIFQGVKVNTVEGETSQMKNIDNMNDNAGGSPDSSPKQESVFNPVPNPPLTQVQASVAAADEVHRVMMMHSPAVPRIRDPVKGLFEQQHRLVSQLHPSPSYSRDPSATAGDSCSPPMASQDGGWGDGGDSLFEGMVLFAPSLSADPAAAAEAPEPPKPPTPRPAAAAAADADTDTSAASQPLDEDLFSDLTLLAPQPPLDQAQQPQAQDQSHRPASPVSPAPAPAAALSRQPSSSALRKKKRAVRIGYGRSPQSAPALPPTVAPPTTTTTTTTTATTTAAAATAIPTASSSGSLPVPDASPHDAAPPIPSQHPDHHQADNGDEQLASVLDPKASSSDAKEEDVAGGAAVGIEERLALLRSRMSSKLDAIQQRAAAVAAKRRQLAGRQRKVAEDVASAASKHKDLERELEEACEAEDFERAERISDSLAALETDKDRLLTALRDAELVYDSVDLELQDVLESRIAMEEEAAALLEQFVKDATAHADSVSKQAEEMSSKEIEEWQTSMEILQIKKLEMEVETELVLSARSGLEGSVEHLIEDDKREKDMLSKKGDTLTVELAELLELVRLKEAEIAENNARIQEVQERISAVVSRFHGSQSDIDMKINSLQEAQNKIDQDTELLVLKKNEIDNFVSSTEQKDSDLREIINACSSEAKACQQSVELRRKLASSILKSRQDRIGLLKREEEISQDIQMLRQQTTDARTSLQGISSRRAGIQQEIATFKQKLSFMDKRGPELEAEKKVAAAARNFKEAGRIAAEAKALYSEKEELHAKLEKAGTDLEIIEKDITATTDKIQECEGLIVLKEQESAMTSYKRLRLDSSAARAELTAATETDDNEEVEILRKEAEAAESKALELKTMYNLQPDGDEYTFQPVVPIAFITNSTWQQLAEMASSFGLSPEE >cds.KYUSt_chr6.26249 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166351728:166352801:1 gene:KYUSg_chr6.26249 transcript:KYUSt_chr6.26249 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRVGSAAGGGAAGRWAGGRRRGDRREEAELAGRGTLSLNIHIPFLSAATPSHQKKPFTLHLIVVLPARLPLRDRKGRLPRPAPLKSKSESPLRDNPARAHPAGIRHLPPPPAVRPSDKSIADVRFLEVG >cds.KYUSt_chr4.47694 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295281161:295282811:1 gene:KYUSg_chr4.47694 transcript:KYUSt_chr4.47694 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPESPTSSSAPVLLNIGGRKYATTVETLTQREPDSMLAAMFSGRHTLPRHPTTGAVFVDRDGKHFRHVLNWLRDGSIPALSDSDYQQLLKEAEYYQLLGLDDYINEKLASKKADGSASAEAELTRKDVIKCIQAQKIRFRGLNLSGLDLSKLDLSEVDFSYACIERTNFSCANLHKAKFKLVEAARSSFEQANLHECEFTGANLQEAVLDRANVQSANLQGSSCFRIVLPSITIVSLE >cds.KYUSt_chr1.32950 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200032521:200033731:1 gene:KYUSg_chr1.32950 transcript:KYUSt_chr1.32950 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLFTPSHATVSGAHAALRRLVMVRASTSALTQAARWRALAVRAQASAPEPERLSPAKGGVGQAMLPRAALKVGAGVALALALGLGGASWSARSGGAGAILVQPVMVCTLNAVTDGAERGSSTPAAAATVMKTSVDALSDSLFRREDAPRDRGTLMDLVFEQVTKEHIGDRGKLTSLLQKEWSASRDSERKLNLGLLLTDVLINQREWQRAKEVCQQLTGRYQRDSRPYLHLAVINMMMAVETMLSPETATADDIEKMSKNAMEAWKDFKNKYELAKGSTESST >cds.KYUSt_chr1.38102 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232696621:232697832:1 gene:KYUSg_chr1.38102 transcript:KYUSt_chr1.38102 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYLRQQFPTDATDTVHCLREGNALPTAFDIMHYVNVALTIDAMPWLPMKCLDDRCNALATDAVPAMPCALLIAAMPWLPMERLADRCNALSSDATPCRSLQCPGYRCSALPTDEVYRLPEVIALPTDSVDYILEGSALPTDAIHYVDAVHLLPEPALP >cds.KYUSt_chr4.22599 pep primary_assembly:MPB_Lper_Kyuss_1697:4:142069920:142074441:1 gene:KYUSg_chr4.22599 transcript:KYUSt_chr4.22599 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQVITEFFAKSLHIILESRSPYESSRSFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVMMHRESTNTTSTEGGKIIERWVIQYETSKSGSGSKSHSRKARHSSAEDHSLYRSTYKGSTVLFRSLHLVVRLLPAYNLFRELNSSGRIRPLNLSHKISSFVEPFTRAEDAEMRHYTFAPIETLFGCLSLSVSYVPVLEVVAAPERTTPMPTELIMDYVGSPTTDFLRNFSSLPSDGIAPPSAAMARRHSWSIEHGARPSASPSPASMNSEPGGRLQPRALLHEHPPHSTGKKKTTGYEECYPSPPLSPSPSHSPPATYPKNPLFRYESAPVVIPTVRGGGGGSGLPPSPSLKGKHQSSQNYLTPSPDGNSNTRKDLVRFAEFEKNKAPQKVLSFGKDDLGYFHGLKLTRTSSKLFITDELDERELAFAWEDRDIIIDQLNRACISDRGCQGANQDTGGSVMRTPAATIGALVRMLKTAPGLREGRPSITAPPPVPQESSVQRVMTGEHGDVASSPALIQSKTAADALEELKKYKAIRESILNRTKVLPQDPETGEKPVNGDP >cds.KYUSt_chr5.27477 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173992941:173995449:1 gene:KYUSg_chr5.27477 transcript:KYUSt_chr5.27477 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDSGEGQAAPAPAPAPEPRAIVTHVIFDMDGLLLDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKATESARIFVDECGLTGLLTPEQFLEERESMLQELFPSCVILPGVLRLIHHLHANKIPICVATGSHKRHFALKTQNHQEMFALMHHVVMGDDPEVKAGKPSPDIFLAAMRRFEGNVEPSNCLVFEDAPSGVGAAKNAGMYAVMVPDPRLDVSYHKQADQKLRKEFENLVFKNGEALEDFSLRVSSIVSELQLLGDDIDELKEVQKFLRVVPGQYAEMACSIETRPSRN >cds.KYUSt_chr5.35535 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224552837:224553121:1 gene:KYUSg_chr5.35535 transcript:KYUSt_chr5.35535 gene_biotype:protein_coding transcript_biotype:protein_coding MPELLDDATEETAPSGVAVTGPERQGFLPENGTSPPHALSALRPELTRSPTKGGLASRSARIHLREASPATQHRQPCRQRCTGASSHHAKMALG >cds.KYUSt_chr5.5281 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33144029:33145041:1 gene:KYUSg_chr5.5281 transcript:KYUSt_chr5.5281 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTHSTPGHRPRGALVDSTLQIYSIKVTDIEDDSGLNWPLQVYGVVAARDTVDRNRNILFSRQRNNCQILTPEDPFLRLTGPSRAIVTEPAYVEIELKVKGTTKSEDSVLMRHRWYYSSSYQGLRTLYTPLEGDYCTMVLSAETLGASVQATIVGIRVRVPEGSPNPFEYGGRVVCSSLPRRKGELPDSEHIAADPSFRQVVLQDGAMTTCSKGYLNLARHVVSVKLRGKLELVIEARSRCGAMAGQVVVSIDAQECNITENICHIGDSELEITVAWSRLVEDKAFVSTL >cds.KYUSt_chr4.53352 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330309468:330310640:-1 gene:KYUSg_chr4.53352 transcript:KYUSt_chr4.53352 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSMASLCSFLSSPRPSPDLATVLLSIAPCRPLRRRWSCRAGSGGTYDYRSSNPVQAPPDLHFLPEPVPEHNNSSSRLRIFSGTANPTLAQEIACYLGLELGKIKIKRFADGELYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVVPYFGYARADRKMQGRESIAAKLVANLITQAGAHRVLACDLHSGQSIGYFDIPVDHVYGQPVILDYLASKTICPSDVVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRLGHNQAEVMNLIGDVRGKVAVMVDDMIDTAGTMSKGAELLHREGAKAVYACSTHAVLSPPAVDRLSGGLFQEVIITNTVPVPPHQQFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >cds.KYUSt_chr7.14667 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90597901:90598335:-1 gene:KYUSg_chr7.14667 transcript:KYUSt_chr7.14667 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDESRLLKAYGELPDTLRLNEGVDVDGPEDNVDDSDYIQFEDEDIDKI >cds.KYUSt_scaffold_719.525 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:3579554:3582731:1 gene:KYUSg_scaffold_719.525 transcript:KYUSt_scaffold_719.525 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLDKSLGPDATGAMMSTLVAPDEEEEGRRRPSRRTRGLLTAASTPGTWPAMVSYPASPKTAPTARVDGKTVRLFQCLFCDKTFLKSQALGGHQNAHRKDRVGGFSDPYGDGLFGGATRSTGAPWDSASGRSMCANIASHRSGAPASTSAADASRLERWGGRAPRMAERAILLGSSEARDGVVRASEDSDADETLDLELHL >cds.KYUSt_chr7.21311 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132014282:132022926:-1 gene:KYUSg_chr7.21311 transcript:KYUSt_chr7.21311 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDGDCGVRGKEEVRQERTRRWAAATPILVPVLSSSPALDVVFRRSDGSEHAPARMGRTGTVVVGGAWGERMEKVAVQRGSSGASAGEGRRQPAGLEISFSGADGKIKCSSCCTAGKEGRKIGLKVKAYKGLSVCKAPVLEASLFGSRGQLRRGAENQGDLIWSLGRCRLSGKQKSMCQLKRQREIGAGSSTFDEYWANEIVVGQAADEVVSVAARRAEAGGYSYGCARMWLSMGCPPAPGKGVNGSLVDGRRSYDRELDRFEDGLRQCIDDVIPDDPCGWGELGI >cds.KYUSt_chr6.3101 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18135399:18135929:1 gene:KYUSg_chr6.3101 transcript:KYUSt_chr6.3101 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDRHRRIDHLAAMLPFPRAATSHAPDGHRVSSSASSPAFLAFVILLAALFLICLCSAAARRLCSRFSASASTRPLTTLPPRRCRGSVPDMDPAALAGSLPVRAYAGGATGDDVCAVCLGELQAQEQVKAIPACGHVFHPPCIDRWLFLAGTAGRASCPLCRCPAAALKPAAPAA >cds.KYUSt_contig_402.212 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1181823:1184210:-1 gene:KYUSg_contig_402.212 transcript:KYUSt_contig_402.212 gene_biotype:protein_coding transcript_biotype:protein_coding MANDNGAVRNSHRSKQQHAEGPAAMLAIGTANPTGVVVPQDIFAENIFRVTKSDHLIELKEKMKRICQKTGIDKRHFHLTEESLAAHPEFLDRQVPSLDSRIDMADTAVPELAQCAAIKAIAEWGRPATDITHLVFSTYSAWQAPSFDHQLATLLGLRPTVCRTILSLHGCYGGGRALHLAKQLAENNHGARVLVACSEITLVCFGGPDGGNLIGSALFGDGAGAIIVGAGPFAEGERPIFEMVSATQTTIPRTEHVLGMQVSGGGVDFHLGIQVPMLIGQNIEKCLVDAFSGFDDAPCSWNDLFWAVHPGGRQILDNIDNELKLDAGKLAASRHVLREYGNMSGATIIFVLDELRRRRKEDSDNLLLPEWGAMLAFGPGITIEAMVLRCPRASPLSNN >cds.KYUSt_chr3.30485 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191061938:191063839:1 gene:KYUSg_chr3.30485 transcript:KYUSt_chr3.30485 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDMSLSNMVLSFLEEGETERWPEDNNEDVDEGSSGGSTAESRAFWQAQHSQLHEALAKTSTAESRIRAVAEDAMEKTRAVCSCARRAAAGDCRSCLLRRVAERLRDAGYSSAICRSKWTRSLDIPAGEHSYVEAAVQTRSGKAVRVVVEPSFRAEFQMARAGADYRALVAALPEAFVGRADRLRAVVKAMCAAAKQCMKDNGMHLGPWRKHKYMQSKWVGTPQRDAATAAADDTVTAGSLSPEKQPKFRASMLSFDFGRAAAVVVA >cds.KYUSt_chr6.14720 pep primary_assembly:MPB_Lper_Kyuss_1697:6:92166260:92166775:1 gene:KYUSg_chr6.14720 transcript:KYUSt_chr6.14720 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVRHGPARPQHLRSPRHQLVNAAFRPPALRPAKARTTSPHRFRPSTLAQTVSGTTRSLRRPHQVATIERIPPQIPRKPAPRASSSQLTHRTAARPARNCLRLHIPRLPPCCYAWRVKGMLSPAALHWLLQLDDRNRHLRPLQGPARGASCPGLLTNGYLARVSHPATLH >cds.KYUSt_chr7.9711 pep primary_assembly:MPB_Lper_Kyuss_1697:7:59392291:59392803:-1 gene:KYUSg_chr7.9711 transcript:KYUSt_chr7.9711 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQASAGPLAVEVLQVCSGCWVVVVCRGGDPGQRQRHGERGGQRCWRARWCAPASLPVKEDSAGGCLLRVKALPDLVSAGDGDASGRRSPSWRHSRGAPTSPAFEIRALRVKTQALASAGAGDGGGLVASLLGGVVFGGHELHSARVVFAGLRCLTVCVGGCRDGGLG >cds.KYUSt_chr6.16791 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105613364:105615736:1 gene:KYUSg_chr6.16791 transcript:KYUSt_chr6.16791 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTCLRSNNGKMTWRAKKVTKMSEKVATGILSGVVKVTGHFTSSIAYSKAGKKFFSLLPGEIVPRLCSPEPSHDSHQPIPCGGPALHPVVAALSWTTSGSHRADEHRERLHDVFHSEKRIWLVFEYLDLDLKKFMDSSPKFAKSPALMKVSK >cds.KYUSt_chr3.4628 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26215186:26218151:-1 gene:KYUSg_chr3.4628 transcript:KYUSt_chr3.4628 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSKKPRLVLTPNGQLKQEAADHETSREVVVDDATLPVTNMAIMIDKTMYDCPLCYRPLRPPVLKCRAGHGACGSCSENHARKCHLCADGAEYEHIHWLDSYVMAAKVPCPNEPFGCRTLVPYCLVDDHLLECWHAPCYCPEPGCTFPGSPLMLYDHLKVHHDWLITSIAFDKKLDLEIDEAERRRLLATENGEHLFLLVITERVGGGCEVRLVRVCGKDAGPWGYWYKVWTNAPMDPWYRDRKPILMLEDRVRSCAVPSEEAAMEVGTRYLSVQLPDMHPRGGFALRVRITSNEDHIATQPHAKLLDRPAVFVLANEGRQDGQPAFVSAGEGSQEATESGNASLAATSPSLMEKVPLDIAESQKWPSPPTSAPLANLASTTPKALTSEDGDTQLLATVSPLGMEKEPPDTAESKNYRSSPTSVPLPSLASTTPEALASKHGDTKLVRAKHPKKNCHTSIPRRSPRLRECFNNPVEELVDDHKSPCMLDPAEESVAPTDNA >cds.KYUSt_chr5.43059 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271465246:271467920:-1 gene:KYUSg_chr5.43059 transcript:KYUSt_chr5.43059 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRSPRLHPQIHATGNGVGMTRRGKSPADPESLPLNEDMLREILLRIPPQPSSLLRASAVCKHWRGQVTDPRSTLGPPDRIPPERFDLQHQIDRRSGIRLGLLGCRHGRVLLLDDKCNKVIVCDPITGEHHRLDVPPAFRGFNIYGAVLCAAVDQGHVHGSCHSSPFNVVLMSLSRGGDKDEYDDEHDYGDTSPMACVYSSETAVWGNLISTMDRCELDEVNPGILIGNALYWSSKSVIPNRNVLHLDYLADDVVEFDLDRQSLAVIKGPPCLNGSLRHQIIRAEDDALGLAIFSHGRFEVWQRWVSCHGGTTWLLHNAFEVHTLLGLPPQIEGSMRTMEILGYDEDNRAIIVFVDGNVYMVQPMSMQFRKLYESAYPIKCHPFACFYAPGEVPAAAVPMCYPEELAEHMPWSI >cds.KYUSt_contig_2825.70 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000528.1:435246:439490:-1 gene:KYUSg_contig_2825.70 transcript:KYUSt_contig_2825.70 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPADHPRVLGGSRSLKGCQRQPFVNLAHLPLPIDLWKLGFCLQWWGTHRFLLGQPILRPLLCVSPCYPGLPLAARPVGLWWRLVVQLVWLLGAAVSNVAVPTSSVAPAGSGPVVQPPRFDHGSSSGAASGFGDRRYDGFDDQDFGRFQEGYFEGNNGYGNNYGSQNRVAALSARAQKKIDKISHAAKDYPLLSMPKPVAITYGVSRNELMFHEVPTSSEVTFKHDSGKVGSISVTGGSLSAVEIINELQWIIPGNHQWDLTPTEDGAFKTIFPSKADLARMTKIIKVPVQGTSMFLNFEEWSTAELDRFYVTPVWVRVHGVCYKERCDYLSLFGVGSLIGKTKEVDMLFTRAHTTVRMLVEVTRVEHIPTTTVDHMYDGQGYGLIFKLESNLTKIKEDVLMSDAPSSDDATNDEIDCDWADIGDVKSWSASKVSSLVPRKLWSDYDEEDDDGLPSPLSPLNVNFVIAMKREAHITNAADKLIMTPTVSVCSAETTLGLSAEETEMLQPIAMAGRQRTWGFFRLRLVHGSMTWHGKEKRKKKPPAAPGSYLLLSSLSFPYLSSLSLFSDGPRHGLTAQDHAVQDLAAFSSDGRAQVFPAFLLQRLRLGPRRLLPLMAAPRSSSPSASDGPAQVLATFLL >cds.KYUSt_chr3.44403 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280156608:280156901:-1 gene:KYUSg_chr3.44403 transcript:KYUSt_chr3.44403 gene_biotype:protein_coding transcript_biotype:protein_coding MWEATTKHAEQCEAGDRVYAYTAGQTGVVGGATVYVNSICQLVKIELAGVECVPQHLNRAQKAYVHQLLLEAFEQRASLQEADILLHASHSSARSGQ >cds.KYUSt_chr3.43975 pep primary_assembly:MPB_Lper_Kyuss_1697:3:277633092:277634462:1 gene:KYUSg_chr3.43975 transcript:KYUSt_chr3.43975 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSSRISSGCGDLRIVKELHRQFILLLRLRDGCGLFDPFGDFPSAINNVRLAQGGAAAAARRRHGQERGKRRQEELEGDDNGLITEISRGGGALSSDHRSSEKHHQPRPALDLAEKPGFNSKPNRQSAENYS >cds.KYUSt_chr7.37301 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232838261:232838998:-1 gene:KYUSg_chr7.37301 transcript:KYUSt_chr7.37301 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLSAASPDSAPELAKPSLPTTWLILHALFCATSMAVGFRFSRLVVFLLFLPTPPLNPAAHLVSLVTPPLTLASSNATATITTTTNHTHHRHHHHHSRRDRSLRAPPGPPRPRLRPQVAPPDPSELLKAHHILAAVQTAQRSSSRRGNGPPRPVIAITPTVIAKINGEASTPTLSAPPRRATSQRSTSPRRGRPQSRVPRHLAAGRHQLLLKMRLECCRGGGGDYRDTEMHGGAAAENLLGAD >cds.KYUSt_contig_1145.45 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000108.1:212811:213969:-1 gene:KYUSg_contig_1145.45 transcript:KYUSt_contig_1145.45 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAVAVLQTRATIWRTRCCKADATTGGGGAASRRGDAAIRHGGPATGGGVLQAGVATLQSGMAVLRQVGGGAARNFLAGCGCISTELASGRTRVLQAMGRGATTVVRRCCKCSTVVMQRPAVGAAKGVLETDAAGDLHLVDAGDLHLVD >cds.KYUSt_chr7.2103 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12090781:12097539:-1 gene:KYUSg_chr7.2103 transcript:KYUSt_chr7.2103 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRVTPKAPVANSSHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPDEQIDKLTRWHRIAMVRKLSSEQAASGITIDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQVQSLSAMDGDDNASDTEANSDLDSFAGDLENLLDAEEFDDEDVGTADLRSDKADGTRGLKMRRCPTQALSNEEIQDDQAEAALVKKLLEESGNDTKRKKQPAGMANYGTSMYNNQGANKTKQGKSSGYGSASTPKESTPRGAKEVEYSFTEGALPSKLKTKPMGDANDIILVKKKIVPGKDGFKLGHMRTNKLCPKYGEDPETSEMDAISFRHNPLDVVSHVQTKAPGKRLLAKVSPEVPETEGPESIEKIKPVKFRCGPPDKFLERSMSVAGSSVSDKRTMDGTNLKSTGKVGKIKIFNKIKSEDYPPDTPKPSVVIRPPAEAEKDAPRKKVIIKQPKGHVDQQRAIEIRSGQEPRKIRKIAELSTLEKANREEDHWYAGEPSQMNSSGRLGSEGNRKNKVMGNDESWRAFQEQRERQEQRLIEARMYEASREEELQKAKKKNKKKKKHEFRDDDVLDHRPYRNDRKVPERNRAAKRRTPADMTEYAPSAKRRRGGEVTKKIMPIYVSDSILFPYNYDFHWILLDIQPDKGIVEVDYMRDILQPKEHLLGIAGELAGLLLLPYIALLPSPDQNRRHHPSPRQSLATGNLRLQRPVEPDDRGPNHLADEKDMEVEGGSGSLLFSATACTIGTDYRDVVPSFQIQCLYFGLSPISATSKLHHILLVSSSGLLLDHAAS >cds.KYUSt_chr5.26937 pep primary_assembly:MPB_Lper_Kyuss_1697:5:170417471:170421085:-1 gene:KYUSg_chr5.26937 transcript:KYUSt_chr5.26937 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVAGKPSREVPPKVSQRQVPVDQAAGLSPIAVKPSNEVPPKVSKRWMPVNRSAGTLHEAGNPSNEMPLKVSKQWVPVNQPSPVGTSRGAGEPADRLSDLPDVLLHHIMSFLKAWEMVRMSKLSRRWRNLWASAPCIDIRVGDHDRPPEDLAKFVHRLLLTRDVLTPVDTLRLRSVGEDDFYETYNNGHVKRWIRSAIKRNARVIQLNGHLSKYIELDHKDFVSSHLKILKLSYTKLDDEVMKQLSSHCPLEELYLKSCLIDVHEVLSFSLKSLTMVKCKFTMNLLVDASNLMFLRCIKPEKWVPVFKNSGSLVTGSIMLDDSLLSREFQKYHEDDDEFPQTSDEDENNDNRNVMCDGKNSDGSHNEGFLDSILYSGFGNDFYDGYSDNIYDDYSDDIKDNYDYGSDINSDDDTYEYSEIANDSEDYFGNCSGGLSFSKGGNNSGHSANYGFNDYKTLGGQNIIHSLLNARSIELLGHSGENARHLTWGVKKV >cds.KYUSt_chr5.39637 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250762114:250763728:1 gene:KYUSg_chr5.39637 transcript:KYUSt_chr5.39637 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYAVAVLLTAVLLVFCYVIKKARASNRKLPPSPPRLPLLGHLHLLGRLPHRSLRELHARYGTDGGLLLLQLGRRRTLVVSTAAAAADLYRNHDLAFASRMPSAAVSKLTYGCNSISFAPHGDAWRRSKKMAVIHLLSPRRADSFAPVRAAEAAALVAGIRREMAAASGDGAVELRELLYEYSNAVVTRATTGAAGATAERIKRLLGNSAAFMSGLQAEDVMPQAAAKVVRWATGFEKKLDGEVEAWDEFLSEIVAEHMEKGDGGPREEDFLDVLLRLREEGAAGFELTDDYIKSIVKDMIAAGTETSSVTLEWAMVELVGNHRAMAKLQEEIARVTGDSPAIEENHLNNMEYLKAVQKEALRLHPPAPLLIPHESTAPAVVQGYEIPAKTALFINAWAVGRDPAAWGERADEFQPERFLGGGSAADVDMRGNDYQLLPFGAGRRLCPGISFAMPVLELALANLVRHFDWELPVGTHLDMSEASGLTTPPLAPLRLVPKCRALA >cds.KYUSt_chr1.27978 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168769305:168769625:1 gene:KYUSg_chr1.27978 transcript:KYUSt_chr1.27978 gene_biotype:protein_coding transcript_biotype:protein_coding MERRARKSGSLAGGGGGRTRGAEGKRFMAPEGQQRAPAATGGYFTAELAVLFLCLTALLVFLPLVLPPLPPPPSLLLLVPVGLMAILIALAFVPTEGRNSIASSCA >cds.KYUSt_chr4.5221 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30083972:30088186:-1 gene:KYUSg_chr4.5221 transcript:KYUSt_chr4.5221 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain containing protein, Nuclear RNA/DNA binding protein of the STAR (Signal Transduction and Activation of RNA) family, Flowering time contro [Source: Projected from Oryza sativa (Os03g0815700)] MSGLYSQQGFSPARNLSPQIRSNPDVDSQYLAELLAEHQKLGPFTQVLPICSKLLSQEIMRVSNSAHNPGFSDFDRHRFRSPSPMSSPNPRSNLSGNGFSPWNGLHQERLGFPQGNSMDWQGAPPSPSSHAVKKILRLEVPVDSYPSFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDKLRGKPGYEHLSEQLHILIEAEFPASIIDARLRHAQEIIEELLKPVDETQDFYKRQQLRELAMLNSTLREDSPHPGSVSPHPGSISPFSNGVVLGPWVDPALVDVDLHDRLERPEYCSNPVLNAISVRCDLGPGMIVSAWHHRWDASGCLRVCVGVIL >cds.KYUSt_contig_2278.16 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:133674:134479:1 gene:KYUSg_contig_2278.16 transcript:KYUSt_contig_2278.16 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNSCGVAFVQVVFEHGEERPVIFSSFQPDAARLIRKLQDQYPVFFLTDGGTQLYADPRRNSLDEAIRLCRAGGLQGIVSEARAVFRHPSAVARVKEAGLSLLTYGQLNNGPEAVYMQHLMGVDGVIVDLVKEITDAVAEFCAAVAPEPDQENTEVGRFELLDMAAPVKMTPQFSQREISFLLRLIPELAQ >cds.KYUSt_chr4.26435 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166088042:166090730:1 gene:KYUSg_chr4.26435 transcript:KYUSt_chr4.26435 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRRLSELLMVSCFLLQAISAHAITRHYKFNVVMRNMTRLCSTKPILTVNGKFPGPALYTREGDNVLVKVVNHVPHNITIHWHGVRQIRTGWYDGPAYITQCPIQPGSSFLYNFTVTGQRGTLFWHAHINWLRATVHGAIVILPKLGVPYPFPAPHKEAVVVLGEWWKADTETVINQAMQLGVGPNISDSHTINGHPGPMSDCASSQDGFKINVENGKTYMLRIINAALNDDLFFKLAGHKLTVVEVDAVYTKPYKTDILLITPGQTTNVLVTADQSAGRYLLSVSPFMDAPLQVDNKTGTAILNYANTISATARLTFVKPPPQNATPIASKFVESLRSLNSKEYPANVPQTVEHSLFLTIGVGVNPCRNCINGTRVVGAINNLTFVMPSTPILQANYYNIPGVFTEDFPATPPHKFNYTGSGPKNLQTMNGTRVYRLPYNASVQVLLQDTGILSTESHPIHLHGFNFFVVGRGVGNYNPKTSPLTFNLIDPVERNTIGVPTGGWAAIRFRADNPGVWFMHCHFEVHTSWGLKMVFVVDNGKGPSETLIPPPKDLPQC >cds.KYUSt_chr7.29832 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185682940:185687059:-1 gene:KYUSg_chr7.29832 transcript:KYUSt_chr7.29832 gene_biotype:protein_coding transcript_biotype:protein_coding MHAACANGDDKPDLVGACPHSRLEGKEVGQEGASPRRYLSVTVDNLISSKAQAQVNCYDPDRTMPAAATSGNRLAIHTSCGRRPEQSMSQRDILVHDRTRLSAVLERSAASGAMPPVPAISFPPISLAPVPGPAAPPSATIPDRPDLETVEFVVVVGFGTPAQPSTLMFDTGSDVSWTQCQPCTGHCYKQEGPLFDPSKSSTYGVVPCGAGACKFAGGRCNGTTCLYSVHYGDGSSTAGALSEETLTFTSSRAFSSFVFGCGTTNLGDFGEVDGLLGLGRGMLSLPSQTTESFGGSFSYCLPSFNSTPGYLSIGTTPVKGQVQYTAMIKKAMYPSFYFVELTSINIGGYVLPVPSTVFTKTGTLLDSGTSLTYIPAKAYALLRDRFKYTMQGNKPAPGFDELDTCYDFSGQSAIVIPAVSLIFSDGAIFDLNFYGIMIFPDQTQPAVGCLAFVGSPAGMPFSVIGNTQQRSTEVIYNVGGQRIGFVPNSC >cds.KYUSt_chr1.30577 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185048372:185049381:-1 gene:KYUSg_chr1.30577 transcript:KYUSt_chr1.30577 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVPDLRRIAASRWRILFCSRRVIADKDEGESERASSAGRLDIVIAIELSDQPTGYSIAMDSSNATLTAAKAAPTPQLVAASKPVPAKVEQLPAAAADPASASATTSTDIVETGGEEEEQVEKFYALLANIRAMRAMYARGSGDAGACTDDTASASEVCGGVRKRARWAEQPWWPSFRMEDFEEAPDGSVSKKGRRDDVGAASSRWPGKETT >cds.KYUSt_chr6.10818 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67104621:67107729:1 gene:KYUSg_chr6.10818 transcript:KYUSt_chr6.10818 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELPELQRQIEVVQSAQSRVRLLEWSCWIHKLQERNLTDFELLHTDSGKHASHHWRGAVRTTSDHHSAKSPSTVGGPEVDRIHERTSYQIVLEKEHPYADDFIVDVSNINDKVYQIVEEE >cds.KYUSt_chr2.13400 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85003318:85009811:-1 gene:KYUSg_chr2.13400 transcript:KYUSt_chr2.13400 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLVPFSRERSDVASEIQRRLCAVSVVVCPQSRVVSFGLTISVRNGDQTAVLLWILNDPQLWWYSERSDVTSEIQRCYPSHPVAGSRRLPPVVVRFAFISYMSLRTEMFQQHWMVAPPNENLEGLMSFDDLDSTDGSKLEKYARDMLDPSLSWKDVEWLKSITSLPILLKGIVTAEDARKAVEVGAAGVIVSNHGARQLDYAPATISALEEVVKAVAGAVPVLVDGGVRRGTDVLKALALGARAVMVGRPVLFGLAARGEAGAKHVIEMLNRELELAMALCGCRSVAEVTRDRVVTEGDRLRALL >cds.KYUSt_chr3.21409 pep primary_assembly:MPB_Lper_Kyuss_1697:3:131309908:131316597:-1 gene:KYUSg_chr3.21409 transcript:KYUSt_chr3.21409 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVLCRWDVGSNFLEQIQGHSMAYPIAGDGFRRINLLCEALPEDRSQSAYPGSKLRTSQEYHLGQLKAKIAKLRTQLLEPPKGASAGGEGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNSTAPLTHIDEKLCYQILHEYKIHNAEVLFREDATVDDFIDVIEGNRKYIKCVYVYNKIDVVGIDDVDNLARQPNSLVISCNMQLNLDRLLARMWEEMGLVRVYTKPQGQQPDFGDPVVLSTDRGGCTVEDFCNHIHRSLLKDVKYVLVWGVSARHYPQHCGLSHGLQDEDVVQIVKKKVITCYAEFQYKCIWTLNNCCSDAKHVICNLQETDEGGRGRFKSHTNAPDRISDRVKKAPLKT >cds.KYUSt_chr7.38376 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239133739:239141168:-1 gene:KYUSg_chr7.38376 transcript:KYUSt_chr7.38376 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGRPIEVLMEKVLSMNITSSDYFKELYKIKTYHEVIDEIYHQVDHVEPWMTGNCRGPSTAFCLLYKLFTMKLTMNQMHGLLKHPDSPYIRAIGFLYLRYAADPKTLWTWYEPYIEDDEEFSPGSNGKMTTMGVYVRDVILGQVTAHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRGSSPVRKTLPSIRERERSHDGDHAKSPPRKHRSQSRELSRDTERDRSDRDRDRGRYKDREHGRRSHDVRDRDYHRSSYSERDVDRRGRDRRDRDSDRNGRSSSRRSRSRSRSPSRGRTNGDSHRSSPFGKAPESSNLAKLKDLYGDASNAKDDAGDTRAHRDSGAEEVIRLGGARWRITIVQAFSTTQGVPRGSVCPLRLDHVNAKILPPGLRRPLDYRHGRVPIHMVEHDESRGYLVWDPAMGNLHDLSESDIKWLVYSAAVLCAVNGCDHLDCHRGPFRVVFAAIEDYMYMDFILASVFSSETSAWSVPDCQRGGEGLFRFEAKWLEEDNCEEVVKNAWLHADCHGAGSVAGNTKEVVEELKDWSRTSLGNLEKRIARTKKNLERRGMDIDPICLLCNRLNEDGCHLVLRCKTAKHLWRNFGLEKIRKDLITCSDSKDFITHVLHLRSDLKLWTIALLWWRWKDRNKIVAGKKGNSEVKLLQLINQTVNDFEQFCTQKCTKLTKPDPKWESPSGDELKLNTDGSFSTET >cds.KYUSt_chr3.5309 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30167593:30169359:1 gene:KYUSg_chr3.5309 transcript:KYUSt_chr3.5309 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMAQEEMEGEGCTGSANRCGNLTISAPFWLADLESGRSCGFLDFEITCFHNTPVLPSSKPFSYGFEIMNISYEAESMRVVDLGKLRLLPPASSSCNMPIWNTPEKLHRQFRIDPVSLNMIIYNCTNASPAARHNGELVETRMRCVNESHVFVSLERGHDEMKGVDGCDAVGLPVLGDANGEANASDYDRLIRNGFTLRWDRVTPPPPTLARKVQKPVTCPSKGWPRKSWMTITAGPFSHRAHIKDGSFFNQGIILSYHLLHFRTAHEHRSIPVYLLL >cds.KYUSt_chr2.5757 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35722166:35726436:-1 gene:KYUSg_chr2.5757 transcript:KYUSt_chr2.5757 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVLFGSFTEDEAKLFQGKPVAEAKSEEKAWEPAEIQFGSLNFSTASLEKATNHSTKGAVHPTKSTTGHTCSTKKETVASGLPNGGAVQFNGCPAVGSPNNGALIKNVKSEATVPSAGPVSNAKEAEAVVPSAGRPASNVKKAEASAPSAGPVKNAKKAEAPVPPAAPVKNVKKTEATVLSPGPINNVKKVPLAGHANNVKKTEAKVSSPGPVNSVKKTESVVPSGVPVKNITSSTPTQGSTSAMQVTENGSTGVDAPIIAAPVDESTPSLNKEAYQNKPVLPHGLKNTGNICFLNATLQAFLSCSPFVQLLQDLRNRSIPKVGYPTLSAFVELISQFDVLDESSVKKEENVAIISAKVINPAMFDQVLRNFTPDVPAGTSARPRQEDAQEFLSFAMDRMHDELLKLNGSNSKEGMVVSASDDDAWETVGKKNKSAIMRTQSLVPSELSAIFGGELQSMVKAAGNKPSATIQPFLLLHLDIFPDAVHTVEDALHLFSTPESLEGYRTAAGKAGVVTARKSFQIHTLSKIMILHLKRFSYGNHGCTKLYKPLYFPLELVLNRDLLSSPSSEGRKYELVATITHHGSGPSRGHYTADAKHAGGQWLRFDDNMVMPINVNKVLHDQAYVLFYKQV >cds.KYUSt_chr3.29822 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186569324:186570719:1 gene:KYUSg_chr3.29822 transcript:KYUSt_chr3.29822 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPSADRDDTLDTVLEVPIPDEMLINVTGNDKRRGAAGANMRAWLKTQAFDHATVDGPAAAAANAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIQASTAKYIMQQYIAATGGQAALQGVQSMYAVGKVRMCASEFHLGDQNVTAAQGRAEVGGFVLWQKCPEVWYFELIMAGHKMSAGSDGKVAWRQSAAENSHVSRGPPRPLRRSLQGLDPRSIANLFSDAVCIGEKVINGEECFILKLEASAATLRARSSAAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGARRSENIFWETGMESVISDYRHIDGVNIAHGGKTTVTLFRYGEGSVNHKRKLEETWTVEEADFNVHGLTSDYFLPPSDLKKEGDDQHAG >cds.KYUSt_chr3.49043 pep primary_assembly:MPB_Lper_Kyuss_1697:3:306437370:306438886:-1 gene:KYUSg_chr3.49043 transcript:KYUSt_chr3.49043 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVSCSDEPCPLPSEEKSKEEQRCSGAMEVEAEPSYNYGFLPTFRHQPYGPPPPHPPEEGELWEYFPCPFCYIEVEIPFIPNHLQEEHCFDTRNAVCPICAENLGKDMSAHFRFQHSHLLKRRKPSRHSISPWPAAPGKEPYEERNPYMMSRPRQDPEPDQLLSQFICGGGNEQSELESHGGASSHMSVRSAAISDAQRLSYRVSQVELEERLQRIEFLREIIASTIL >cds.KYUSt_chr3.7979 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45852467:45855958:1 gene:KYUSg_chr3.7979 transcript:KYUSt_chr3.7979 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEADHQQPGRPAGRAADPPAVLGLQVSALIDHVAHVDWSLLDRVPGDRGGSQQVSFEELNHILNEVNALILPSSDGLSPVRTMAGGSVANTIRGLSAGFGISTGIIGARGDDDQGVLFVNNMSFSGVDLTRLRAKKGHTAQCACLVDASGNRTMRPCLSSAVKLQANEFTREDFQGSKWLVVRYAQQNLGQIVEAIRVAKQEGLSVSLDLASFEMVRDYRSQLIALLETGNIDLCFANEDEAREIIGEGLTFDPEEALAFLSKYCKWAVVTLASKGCLAKHGKQVVQVPAIGESNAVDATGAGDLFASGFLYGLVKGLPLEECCKVGACSGGSVIRALGGEVRPENWQWMYKQMHAGGLVPPELKN >cds.KYUSt_chr7.29244 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182023981:182024301:-1 gene:KYUSg_chr7.29244 transcript:KYUSt_chr7.29244 gene_biotype:protein_coding transcript_biotype:protein_coding MASAREIERDERENNRELGRRLTTSREKVGEDGVDGGAKRRSSACTAAPQIRLGGGGVLEQLRLRFSEEEEGATAASSPRSAQRTAANSNGRDGDFRPVFFGLRTN >cds.KYUSt_chr7.23527 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146664135:146669720:-1 gene:KYUSg_chr7.23527 transcript:KYUSt_chr7.23527 gene_biotype:protein_coding transcript_biotype:protein_coding SREAVSWDPQGETNGFPVVEAFGSFTMDLFTAKSDLDLSVNFSNDMDGQFARFDQISVIRKLTKVLRKHQSNGRCYGVLPIISAKVPVLKVTDKGTGVECDISVENKDGMSRSEIFKLVSSIDERFQILCFLMKFWAKTHNVNCPKDGTMSSMAIISLVAFHLQLLAVEGLWEHGLCASNFDGCWISKTWGRGVGSLTVEDFLDRSQNFARAVGKAELRTIHGCLRKTVSKLSDFFKGNIDAPTLKIRIFGALNQEDVPARQPSLKPGKSKRKMDSQHEKQKKKGKHTAQPRRSATGATPKLPTPAVVFPLPGHYAPILPTPTVLMPLPPVHQVGSSQPIYQFGPLPQQHPFPPSRFAYGSPQAHLHPGVHMIGQPQGSFIHPNPGARRHQHSPHMLASLLNHGAINGSSHPYGFNGAPQIQYDGNGRLLPYGINPNYYRGA >cds.KYUSt_chr3.36340 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228601556:228601927:-1 gene:KYUSg_chr3.36340 transcript:KYUSt_chr3.36340 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLVLFLVVNLALLASAAHACAPYCPTPSPPPPTLTCSVNTLKLKVCANVLNLLKLNLPVPENEECCPLLSGLANLDASVCLCTAIKAEILGIKLNVLADFTLLLNQCRKTCPDNYTCSI >cds.KYUSt_chr4.33620 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206283708:206286782:-1 gene:KYUSg_chr4.33620 transcript:KYUSt_chr4.33620 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAAAMAADPQLLAAAVEAAIASRSPLLGRAAHARALRLLSPELPPFICAHLVNLYSKLDLPAAAASALASDPSPTVVSFTAFISGAAQHARPLPALSAFAAMLRLGIRPNDFTFPSAFKAAASAPPRSDVGPQIHALALRVGYLPVDPFVSCAALDMYFKTGRLGLAHRLFEEMPNRNVVAWNAVMTNAVLDGRPFETIQAYFGLREAGGMPNVVSVCAFFNACAGAMLLLLGDQFHGLVVKCGFDMDVSVSNAMVDFYGKCRCADKARMVFDAMRVRNSVSWCSMVVAYAHHGAEEDAFAVYLGARSAGEEPTDFMVSSVLTTCAGLLGLDLGRALHAVAVRSCIDANIFVASALVDMYGKCGGVEDAEHVFLNMPQRNLVTWNAMIGGYAHIGNATNALAVFNDMITSGETPPNYITLVNVITACSRGGLTKEGYELFETMKERFGIEPRTEHYACVVDLLGRAGMEERAYEIIQRMPMRPSISLWGALLGACKMHGKTELGRIAAERLFELDPKDSGNHVLLSNMLASAGRWAEATYVRKEMKDVGIKKDPGCSWITWKNVVHVFHAKDTKHEMNNEIRALLAKLKRQMQASGYMADTQYSLYDLEEEEKESEVFQHSEKLAVAFGLIHIPPGVPIRITKNLRICVDCHRAFKFISGIVAVKELFGQE >cds.KYUSt_chr5.6738 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41749923:41753057:-1 gene:KYUSg_chr5.6738 transcript:KYUSt_chr5.6738 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEEKGHGDRSLLFIGDEDDDLGVDRDGGSPPSSDAGSSFSERSDDGIDEDPGGGDGSGSGSDDDADADGTGTQGRVPNVARQQAAWPQSYRQSIDMLSAVQSPTVSSIMAASPSLTKFGNSFIKAGSSFFLNKKAAAEGSLPLTRPLLPPSLSQLSQQGPPAARLSTDSLPPRPPAPALQAPTMQQRPSAACLKSNYIELPPPSSKCSSSQSIINGLNVLCGVGILTTCFGIKEAGWLSLLLLPLLGACSCYTGLLLKRCIDSSPTIETYPDIGQAAFGISGRIFVSVVLYLELYACCVEYITLLGDSLSSVFPSAHLAFTGIYLNSHNLFAITMALAILPSVWLRNLSLLSYLSAGGVVATMTVIVCLFWVGIGDGVGFHPSGTALNLTRLPVALGLYGYCFSGHSVFPNIYSSMKEPSQFPFVILFCFIVVTVVYAGVAATGFLMFGENTMSQFTLNMPQQYIPSKIAIWMTIVNPYTKYALTMTPVALSIEEALPKKMRNYLAGMCVRTALVISTVIVALSFPYFALVMALLGSVFTMLVALILPCACYLSIKRNLVPLWEVSLCITIILIGMVCACIGSYTSIKQMIGGS >cds.KYUSt_chr2.12593 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79966323:79971418:-1 gene:KYUSg_chr2.12593 transcript:KYUSt_chr2.12593 gene_biotype:protein_coding transcript_biotype:protein_coding MTDASAATAMGSGRELANPPSDGISNIRFSNHSDHLLVSSWDKTVRLYDAEANVLRGEFAHPGPVLDCCFHDDSSGFSAGADHTVRRLAFASSKEDILGHHDAPVRCVEYSYAAGQVVTGSWDKTIKCWDPRGVSGPERTLVGTYAQPERVYSMSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCFPNGTGYALSSVEGRVSMEFFDLSESAQSKKYAFKCHRKSESGRDTVYPVNSIAFHPIYGTFATGGCDGFVNVWDGTNKKRLYQYSKYATSIAALSFSKDGHLLAVASSYTYEEGDKPHGPDSIFIRGVNEVEVKPKPKALAAPQ >cds.KYUSt_chr7.25221 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157306973:157311654:-1 gene:KYUSg_chr7.25221 transcript:KYUSt_chr7.25221 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGGYGPVMAPAELSQRAAREDEASLQPGEEMALLRDRLDSLRAYLRDADRKRRAGDGFGRDCVRRTRGIAFDAEDVLDDLILHRQVSLKSQEYQSWRTPVRYFTGFITQIIARRGLSGQTARTKGMIDQISENQLYGRAEDTPSVTPASSTTAIAAWQADMENTVFDKDLEILKRMLANEYEDSRHQRFISIVGESGAGKNTLVKLVCNQISTKMDVVIRCDMQPGSSIGDLLTNVYQMALRQSADQCYELEVEKAGIDSIGDKIHGLLSGKRYLLILGGTSSKSTLNFVRASLPDNNGNGSRVMLILDTASEEVACHANTMNREGFNGVHLMTRLDQEKSMQLFYWKVLRKAQYEPWFLAYEKRRSQEQEVYQGGYHSMRRNQKEEEQEQRDNNVQSSVHNVTGGCPMAIVLLAGLLRFKENPVQWDAVMHPSGSSGYHYQQQQLGRTKRGMETIFWTSFEDLPDDLKSCFLYLAAHDTCQDANEIVQMWIAEGFIINKSPYHRKTLEEVGHDYLKELVLRCLVELEETKPDGSVGLVRVHRSILGFLQSEITEAGFMEIVHDVDVHDVIVPSPSVRRLCVHSDKSTMYTTHHKFPKLRSFICQVDEKRHKEAEAQQRWKKRVHNDLKFLRWSKFLRVLSVKGLRLVELPDEIGDMIHLRYMRLDCPDLRCLPPGIGRLINLQTLNISNTQVEEIDQSFWKIKTMRHVLAKSLALPAMCDDNDEEEAGSELQTLHGVKPVASGSGEWSPLDNNMTRSLRSLEMHGFEHVKHGGPAFEAALQNMHLLRHLSLQGDDIPSCVFTEPSLRCLQTMELSGNVKWDDIVLPVTDRPLRKVRPNLVQIKMNDGAISHMPQSIKQQLKEILVQG >cds.KYUSt_chr5.8757 pep primary_assembly:MPB_Lper_Kyuss_1697:5:55449074:55464456:-1 gene:KYUSg_chr5.8757 transcript:KYUSt_chr5.8757 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSRGHVDQFFAAKKRRPSARKDEPSSTHGSPGAAKGSLAGYLVRSPPAAAAAAAPARSPGIGDAGARRSLSAAMDVDNSATAMNVDNSAAGCGDDADMKRFTMEFLSHYCSAIPPAMPDAGKAEPGDKQHKRSAGHSFLVPCDERSAKKQCLPNVLKESGQGAKASGEGLAAVQRCSFTPNTAQKKVGFSGETPKSASSLISPGEDFWNAAMEFADGVSALADKGPKRHCNVAEDKSSCALALGSKALPRSGNDELNCESTVGSNPMKQIDKFSNTVHLAAANIQHESNSPLPVKHLNFFHEDEIQVSGLEGKEKGVPVPGSVQVNKGQVTKSSFHRTENLMDSVDDMKRVISDPDTDSPVMIPGEGLFKSKTAGGDLNQLTQGGTKSIAASKPNRDSKSVFASQRVESSTPTSSVPPKDHSKLSSWLPPELCAIYMKKGIPGLYPWQVECLLVDGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSQKIAILVLPYVSLCAEKAEHLEQLLAPLGRHVRSYYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEDGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGETSGSSSGKTGATHGLQIIGMSATMPNVGAVADWLQAALYQTSFRPVPLEEFIKVGNQIFDKDMNIVRVLPKVADLGGKDPDHIVALCNEVVLEGHSVLLFCSSRKGCESTARHIAKYLKVASGCSKEVGSEFRDAASAVEALKRCPAGLDPVLEETIPFGVAYHHAGLTVEERDIVETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCRPEEVKRITGIVKSDCPALQSCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFDDVVKSAQDSLRWLCHKRFVEWNNETKIYSATPLGRASFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPINVDVEPDWELYYERFMQLSTLEQSVGNRVGVIEPFLMHMAHGGAMPIHGRPKRNTSGGSGGNALINDQSLRVSKRFYVALMISRLAQEVPVTDVCESFKVARGTIQGLQENAGRFASMVSAFCQRLGWQDLEGLVAKFQNRVSFGVRAEIAELTSIPFVKGSRARALYNSGLRTPVTIAEASVPEIAKAIFESTWSGQDDHGLRRMQFGIAKKIKNGARRIVLEEAEAARVAAFSAFKSLGVEVPQFTTPLLPASEDSPPRDVMVSPVGDHAKCHESALGTHIGDERDMCSNYVAQRASTEIVREDMHPVFSIQVKESEGIANSVNIATMQEEASPLSTTIISQSLSRNVADKGPVNACNFPGGFNSFLDQWSSVNDFSFDLHFVRRSTKRSLTIFEILGLAVCWENSPVYYCNFPKDLTPTGINESVEMWEEFKRRWSRIIGIMQQKSVRKMTWNLKIQIQALKSPCVSCQRLARLRLDHKTLNNIDVVDSTYVLLPPISVYNGLDICLVAWILWPDEESKTAPNLEKLVKRRLHSEAAAAVNRDGRWRNQMHKAAHNGCCRRAAQTRALGSVLRKLLVSEKLNDLVETIEGPLVNVLADMELWGIGADMDACLRGRHIIIKKLKELEKEAYKLVGKSFSLNANADIADILFTHLKLPVPKGCEKGKLHPSTDKQCLDNLRDLHPIVPIIKEHRTLAKLLNGTLGSICSRAQLCSQSQRYIIHGNWLQTSTATGRLSMEEPNLQCVEHVVDFTTRKNDEDFTSTSMVDHHEINARDFFVPTQDNWLLVTADYSQIELRFMAHFSKDPVLIELLSKPDVDVFTMIASRWIGKEESLISSKDRESTKRFIYGILYGMGANSLAEQLECRPEEAAQKIQSFKRFFPGVSSWLQEAVASCRQKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMLKLHSVITNGSSAVDSMDGLMQKFSGIRGHCHLLLQVHDELVIEVDPSMVAEAGKLLQICMENAASLLGIIFGRTYQIMARDAVMPYEGDYPAIDHSEILGGKSMRSPSNFEDIH >cds.KYUSt_chr1.29259 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177059123:177061331:-1 gene:KYUSg_chr1.29259 transcript:KYUSt_chr1.29259 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLAALGFVVLLSIGFTNASRMLASSSSASGGGGGGGGGGGSDGGSGWGSGGGKGGGSGYGETYTYGGRQQNYAQGAGGGGGEGAGGGSKGGAGSGSGSGIGSGTGASGSASAPTGNGYANANGNGGGEGQGAGADGTSGKGSGEGGGQGSGESGVALAPAPAAGGVSYSDAGGSGTGGGGGDNGNGGGKGAGVGQAASDDTSGGSASGQGSGDGGGIVKGVAQGPSVGVGSGSGSGGGQTGSSVRCAFSHQNTSVNSLVGVNSTRSTLVGNYTRVLQAPLRLRFNHQAQSCMALSHDVCQCMGQGRDQLYSKTICFRSSCSYRTYVYAAKSSRHQADLLHFTPSSSPTTIYTNNSATPTASSSSSIKVFVNANGFCVAALLHLDE >cds.KYUSt_chr4.4900 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28048201:28048722:1 gene:KYUSg_chr4.4900 transcript:KYUSt_chr4.4900 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGMKRAREEEPVSLALSLNTDSTSSTTSADSSGGSLAATQKKRPRRGRVVATSGEGEFVCKTCGRAFATFQALGGHRTSHLRSRNGLELGVGVARAIRDRKRSEEKQKHECHICALGFETGQALGGHMRRHRDEMALSAGADNQWIILQPDQEEVVGHAAHRPPVLLELFV >cds.KYUSt_contig_1253.857 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5329964:5334652:-1 gene:KYUSg_contig_1253.857 transcript:KYUSt_contig_1253.857 gene_biotype:protein_coding transcript_biotype:protein_coding MARERLKAVGEVLDRGGEGEASRLGEAGATEDELHGLALGRNIVKNLHLAAKVTNVQNSVCDYQEPLYDVQELRSIAPSDMKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCAQRNIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITVIVGGSFGAGNYGMCGRAYSPNFLFMWPTARISVMGGIQAAGVLAQIENNKKRQGVEWTKDEEEAFKAKVVEAYDKEGSPYYSTARLWDDGIIDPADTRRVLSLCLSASAKPVPEDTKYGVFRM >cds.KYUSt_chr4.16642 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103465586:103466920:1 gene:KYUSg_chr4.16642 transcript:KYUSt_chr4.16642 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPPAHHLEPWARQPQQPPRAHRVRAPAHQTVQESSSVGASAARDRRRSSSESHRRSSGGGGTEDLRGKLVGHLRDAADRLRAPQPSPPLPPPTPTPKKPEPEAEPETMAPPPAQEPDPEQQPQQAEVAEKPWKLRERTRRRPAALTSWPASTPSPSRRRKRAPFSVSLAPEEIEEDIYALTGGRPRRRPRKRPRAVQQKLDITQLPFHLDITSIGRYALCSLNYIKVEIHDGPYNVIKNWNYTGLVEAIHNAEAKCWTAKRFILAYTNRLA >cds.KYUSt_chr7.13980 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86372796:86373654:-1 gene:KYUSg_chr7.13980 transcript:KYUSt_chr7.13980 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSAARCPVDDAVADQRSAEEEAVVSGVETVGASTDLDMDFDFTVDDIDFGDFFLRLEDGDALPDLEVDPAEIFAEFEAVAAGGGVEVELPDQQVPCAELLAAVEDVGSASPTGDVENVVFAEAGDEKGECNNQTDEDGNMGGDRPVVPDAKSPSSSTTSSSTEAESRHRSSGKSSHGKKKAKVDWTPDLHRRFVQAVEQLGIDKAVPSRILEIMGINSLTRHNIASHLQVRTGMKLTWKFETEWK >cds.KYUSt_contig_2087.44 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:262772:266201:1 gene:KYUSg_contig_2087.44 transcript:KYUSt_contig_2087.44 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMGQKRRPLVVMASSSTAAAQAAGRGGANPLAELTDRFRALETGVRSWMAKQPTHIEAAVTTAFGAVQGGALGGLMGTFAPEAGAGLPVPQPPPGLDPKALATFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSIVSGMGTPNPVVNAITTGMAFAVFQGGFFMVGQKFSKPKAQSEDTNYSRGRNMLNQLGLQNYEKNFKKGLLTDETLPLLNDSALRDVQIPPGPRLVILDHIKREPGLAKSK >cds.KYUSt_chr3.9777 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57477883:57478428:-1 gene:KYUSg_chr3.9777 transcript:KYUSt_chr3.9777 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATTSFLVTRDPPPPTKTTHLGQGHGPALEGWVRWAFPQILCVLVVFPFAWGLRRAGYDVGVLPGPTAYLLAVLCFCLWKLELLRRDPGGDTAAAAREWRKVGLATLSASRRLGSMVAVHVASPAPGLALRVALWVLAGLAIVLAVYLAFEARRGDYGTDDDGRWPEKDLHELSPEQRV >cds.KYUSt_chr2.54174 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338118142:338119647:1 gene:KYUSg_chr2.54174 transcript:KYUSt_chr2.54174 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSGWSRQGPAVATSAQKRQLPAAEKHLSANKQGRVASADHDPEENGDDQIKKQMRNLQQNRDARDALEITRGRREQRPQSHYPPVKHMPFAARFSPPKSTTIDACLKRTLGDVKFSWKWHVADMLDGAEHADLNGLIDTFVRLFALQTGVLVCWFAGLGQRTSSLPGSLFFSSWTTYIFSAEDFVQLAFLFC >cds.KYUSt_chr4.7654 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45715359:45716039:-1 gene:KYUSg_chr4.7654 transcript:KYUSt_chr4.7654 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKSSSDLTLLDFWVSPFGQRVRIALAEKSLPYEYVEEDLLAGKSDRLLQSNPVHKKIPVLLHGGKPVNESLIIVQYLDEAFPDTPSLLPADPYARAQARFWADYVDKKVYDCGSRLWKLKGEPQAQARAEMLEILKTLETELGDKEFFGGEHGFGFVDAAFAPFTPWFMSYEKYGEFKVAEVAPKIAAWAERVGKRESVAKSLYSPDKVYDHIGVLKKKYGIE >cds.KYUSt_chr4.144 pep primary_assembly:MPB_Lper_Kyuss_1697:4:819328:819768:-1 gene:KYUSg_chr4.144 transcript:KYUSt_chr4.144 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRDQDLDTNIVRWGLHHLLDGGGAGYGHCARLPQTPTTDYAPTPPPPQTLHALLGGPGHCAHHPQPPTTDYAPQTHHALLDSGVEVTIHAVESDEVIAHALQEELAQVAMAEAEGGSADDEQRATVLAQQWFRPEIVHHLPSGG >cds.KYUSt_chr2.14692 pep primary_assembly:MPB_Lper_Kyuss_1697:2:92629967:92635877:-1 gene:KYUSg_chr2.14692 transcript:KYUSt_chr2.14692 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAVAAAVFALVLRELLWSTAAEHADGASAPRRSLHQPFFPIQWSPPPPASGGAVVPPPPAAAAAASTSSSGRSAPSVTNIIAIALTGGLVALAVLSYSCVLLWRRVADNAGDDDERAAATAKAVPARVPSDVGSSSRRHRSPPPSSTASDAIYLDPLTSSMEVRQHRSSPDLRPLQLTATTLASPDLRPLPPLKRAGAAQPPPPPAATPPLTGTTEYSSSDEDHATFYTARKTAMSSFSRSTSQRSTLETAPPAPAPLPVSVAPPPPPVPAPAPAAPPPRANHPRPPRPPAPPPLPRQRLLRPMPAESPPPAALANLSLTSPPDTSSALDRGAENSDVQRGSTQPPSLKPLHWDKLRAISGRTTVWDQVKNSDSFRVDEAAMESLFPKNGAAAPAAAGSSDQGAPTRGPWKQPQSRLLDPKRLQNVAIMLKALNVTADEVIGALVHGNLEDKPEFYETLAKMAPTKEEELKLKRNSGDLSKIDPAERFLKSVLDVPFAFKRVDAMLYRANFDTEVNYLKKSFGTLEAACSDLRSSNLFLKLLDTVLKTGNHMNDGTNRGKASAIKLDSLLKLADVKSADGKTTLLHFVVQEIIRSEGFDSDQTATDPGSASKEQFKKDGLKVYAGLSVQLSNVKKAATLEMDTLSGSVLRLTTDLEKVKLVLQLKETCPDQGSSAKFFETMDTFLRRAQIEIESMKVAERSALQRVKETTQYFHGDATIEEPHPLRVFMVVSEFLLILDRVCRDVGRTPERVMMGSGKSFRVTAGTSVPPRRYEPRRELSSSDEDSSSS >cds.KYUSt_chr2.46174 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288245764:288249053:1 gene:KYUSg_chr2.46174 transcript:KYUSt_chr2.46174 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERSLDDNSQWRDSGDKSCLLKTSFTYKGEAPLPAFLFVSPSGWSDLPMDLLLSILQRLELPQALAFAAVCTSWCSAAIAAGIPCSRRPWLVSWGNFLEQRELQLKSKMKWVPAAATCKFRHLLDADKVYNVNFPKGCFVACCGASHGWLFLVNDLSNLVLYNPFNSCMIPLPPITDFSCVTAVYDSAGNLEHYLFMGDTVYQANYLGAWFYQKAVLSCSPSNGGDYVAMIIHRDSDWLSFVRAGESKWQTASMLDLSKLDRYTDCAYHNGRFYSVTLQGKVDKWDLNAPDGPTKEAIIEHRHYAPVITRQLVSTPWGDLLQVRVIYTNAKSRYPDNVKFQIRKVDLEGSRNVSIKDFGDHAIFIGLNHSACLPTERLPGVQPRFIYFSAPWMLPTIDLFGRIRDWGGVRAYNPKTRTFKRVFPFGGMCNSLSTVYPSEEDKDEEAGREEDGSSKAAATKMTTTTQTVELHMVGFAKDTVLKQRRWNRIAQEEALLTRRGGAGRHPAHGALLGCHLSLVGWIFGCLHGWIDLWLSAVVVVAL >cds.KYUSt_contig_1358.50 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:330536:336154:-1 gene:KYUSg_contig_1358.50 transcript:KYUSt_contig_1358.50 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSTAAAAAPVPVPEGVLHRRIEFHLARRPHAALAVGGGGFRMETLNPDAAGGAAAAAAAAGAARSEGEARMPEKAESAVLDPELTVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLRSGRHKSSCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESASAYEKSLVHKIFGGRLRSQVKCTRCLHCSNKFDPFLDLSLDIGKATTLVRALANFTEDELLDGGKKQYQCERCRQKVVAKKRFTIDRAPNVLTVHLKRFSPFNPREKIDKKVDFQPVLDLEPFVSDSKGADYKYSLYGVLVHAGWSTQSGHYYCYVRTSSGMWHNLDDNQDAIVSNGVISGTSSRGPVSVKEVKDLTESLKQDDSTVKELPMSKASTSSTTTMPPKPAECTSRKRKHSNASVSSENDTQELKDTQQFVGTSCASDDDHNIDIRNRKSATFASAELPKLDLSSSANQAHPRNNIDAKKGAISQHVGILTKDLMAEVTVSRWDDGGLPNTEAREYKNSGRNSIGYVLDEWDEEYDRGKTKKVRKAKEDDDDGMNPFQEEANALSAQKTRQKSYQPRPGKKPSRQHR >cds.KYUSt_chr3.46212 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290837371:290838342:-1 gene:KYUSg_chr3.46212 transcript:KYUSt_chr3.46212 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSAALCFSAAAVATTTSTGGRSSSRNRLWVVCCESSRKSDLLSSSLAAKVLGAPTTFDAEKLTVQFARQDHHLRPAFPRAYTLSHCDFTANLTLAVSEIITIDQLRRWRPDDVFAEWKQMTSCSGGVGEMTLHLHCLVSGRNPLQGLAAGFRYYVFSKELPLVLKAVVHGDGALFADRPELMEAQVWVNFHSASTKYNRIECWGPLREAAERNLLDGRLDELQSEISKRRRKWNIFNALVSLFL >cds.KYUSt_chr1.11757 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72535046:72535747:1 gene:KYUSg_chr1.11757 transcript:KYUSt_chr1.11757 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRVEGTVKWFNVTKGFGFITPSKGGDDIFVHQSAVKVVDGGYRSLNENDVVEYSVIEESDGRAKASDVTAPGGAALEGGSRPPSDGGGRGGGGGYGGGGYGGDRGGGGYGGGGGGYGGGGGGYGGGGGGYGGGGGGRGCYKCGEEGHISRDCPQGGGGGYGGGGGGYGGGGRGCYKCGEEGHISRDCPQGGGGGGGGYRGGGGGGGGGGRGCYKCGEEGHISRDCTSRNY >cds.KYUSt_chr4.42696 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264329446:264334558:1 gene:KYUSg_chr4.42696 transcript:KYUSt_chr4.42696 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDQFMDLVKLSENYSRGANLKNYRWPELSTPIAIAAVEVEDTNSWKWFLETLKGDLGIINTTPWTIMSDKQKGLINAVKDVFPESEHRICVRHMWQNFQQLFKGDVLKNQLWKIARSNTVALFDTHMEEMRILNVDAHAWLDNLDPKTWVKAFQSDLPKCDILLNNNCEVFNKYILEARELPLLSMFERVKSQIMTRNYTKLKDAENWCGPICPKIRKKVEKNIELSNNVYADPAGDGLFAVGELDQTPICNTSTDTQPDLLVNQLIDQRPVHRNIEVRPTPNSSFISAAQNLLDQRAPTQSTSTTIRQGELAQMLLQMQQEKAKANEDRKIAILEAKYAAEVKKAEEAAEKKLEQEKRKAEQVHAKAREAAEKREKRRQDAELTKKAREETRKFTAEQHLLMPLEFVAAYAHALNAIWYAAGLGEDLPLPFSGVSWVDQCDIAVEMKPTSERLLSLEWCGDASCTTPETFLGGLGLWSGMISSAPAPPTITMGPRPPSERTIMLSTRNRGGEYAETDGPPVELALDMVVKRRRSFWQLATCGGARAVRSSN >cds.KYUSt_chr5.19180 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124170218:124179487:1 gene:KYUSg_chr5.19180 transcript:KYUSt_chr5.19180 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQKMDAPMGGPEPEGRHGCARRCGERAKGQGRGGDAPVVLVDVGDKHLGRQSRPAGGARRAAGRRDGGRLSGTVVAGAGDVPELLLPSTTPSCALLPTTSPTRSCPMRVFWGRIGLTLRLGSDEHPKQLGQAPRQPAGGSHHSQHDGEGQRKVLVSVMSSPCRNRGVGDAHPRGRPLRCCMVVALVHLTCCCWISEQADAAAIHHSRDLYKAGEGSFSLEARGGKICLICTVPHFGNKAAKSVYHSSYNPINALASSMLWKPIWSSNRIQSHVTFNQTRSGFFELENGNQELKGDLKHVDINSTNSADL >cds.KYUSt_chr1.36915 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225175226:225175435:-1 gene:KYUSg_chr1.36915 transcript:KYUSt_chr1.36915 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWAPVFIGLVLFILLSPGLLFQIPGKGRIVEFGNFQTSGLSILVHAVIYFALIAILILAVGVHVYLG >cds.KYUSt_chr2.8680 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54527220:54528191:-1 gene:KYUSg_chr2.8680 transcript:KYUSt_chr2.8680 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAPTPSTKYFWGDFPEPDEYYASLGLRHSESYYQSPSGRLFTHSFHPLSADVKAVVFMTHGYGSDSSWLFQHIAISYAQWGYAVYCADLLGHGRSDGVHGYLGDMESVARASLSFFLSVRKAAAYAPLPAFLLGESMGGAATLLMYLRSPPDAGWTGLIFSAPLFVIPDGMYPSRLWLFLYGLLLGFADTWAVLPDKRMVSRAIRDPEKLKVIASNPRLYRGAPRVGTMRELARITELLQESFGEVTAPFLTLHGTDDGLAAPEGSKMLYDRAPSEDKSLILYEGMYHSLIQGEPDESRDRVLADMRAWIDERVRRYGS >cds.KYUSt_chr4.8972 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53880827:53881942:-1 gene:KYUSg_chr4.8972 transcript:KYUSt_chr4.8972 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELLGGTPMDQQLKCGGANAADQHHPSLPMVLQPISSNPSPTSSSTSSRSSTQRSPSATSSPQGPPGPEQAPLRCPRCNSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKPRPMPAPVAKAQPSSCKSVLGVGTAPSLGLGMGVGVGGPMSWASAPQAATAQLMALLNSARAGYGGGNVHRLLGLDTMGQLQVLPGSAHGMSPSLWPPQPTHRATMPPPPMHLDSQLGMGSLGLGQGHHDLLSGLGLKPPSSSPSQLATSYYSDQLNAVVSNGNAVRPPAYDSPASTYPCSTAMCSLPPAASPVSAAPPSHTVGMDHQQPPVTSFAPQEMQYWSGGPASMSMAWPDLPTLNGTFP >cds.KYUSt_chr7.3049 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18094077:18095171:1 gene:KYUSg_chr7.3049 transcript:KYUSt_chr7.3049 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFLDTARVSRATCFPNLRELGFISVFMESRDLDFILDRSPVLETLRVGENMFKIPLRLVSQSLRCVQIVGCSFEEISVVHAPCLERFIYSGGWGFGAASNKMSIGHAPKLQLLGVIKVGAKASPSIMVPSVRILALEVCFGVRNDVKMIPTMLRCFPNIETLHLMSAEADQPSGKVNLKFWNESGTIECIRSCIKQLVFHYFKWGRSELAFLKFFLGSALVLKEAVLVIAHTSFTSEEDLHSNVAPLGSMKRASAGSSITVFINPKPEGDNMRRYKRSSEFSVGDPFAI >cds.KYUSt_chr3.19736 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121457387:121460177:-1 gene:KYUSg_chr3.19736 transcript:KYUSt_chr3.19736 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTADLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVMDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQMYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPHNVKSSVCDIPPRGLSMSSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEAIQDE >cds.KYUSt_contig_195.242 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1597261:1601151:-1 gene:KYUSg_contig_195.242 transcript:KYUSt_contig_195.242 gene_biotype:protein_coding transcript_biotype:protein_coding MALESATARIFLAACIFILPVFFLSHHVAAATSFSFDFSNPSKYSLGDLRFEGDAALNGDLVDLTCNSKTSDCSGRMSYDHPVAFYDIKTGEVASFSTTFTFTIKMWPSTTLKGDGMTFFLSGYPSSLPSGSYGGGLGLTNSAKTTPPAGADRYVAVEFDTWADAWDPTESYSHIGIDLNSLTSVSTTRLKSYDLTGTMTATITFDKDTRMLEATLTYGAGNSLGTPNSPATASVKTQLPDKLDALLPPVVAVGFSATTGINSELHQIHSWSFKSTLAATGRKNQALVKGGLATLASALLLATWSSLSSWKMKQKRQSFGKGSQVKRLEYKDLSTATCKFSDKNKIGAGSSGQVYKAVLRNKEVAVKKILQDSQGKFKDFLAELGTIGETGHKNVVKVEGWCCSISNFMFWCLDRQNIQLFIVYELVTNGNLHEHLYDRKEVLPWAMRYKIVKGLCSALQYLHHDRPKYILHRDIKPSNILLDDEFNAKLGDFGLPRTAQHNDASSVRPTQVAARYMDPQCMKDGDANLRRSSDVYSFGIVLLEIAHGKYDAALFQKLHTGLPQTFVEDFADEKLDDQFDKGEMARVIILGLRCSEQDVSKRPSLDAETLRYLEKGGELRAARIHEDEPHPAIAPV >cds.KYUSt_chr5.12314 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80337263:80341949:1 gene:KYUSg_chr5.12314 transcript:KYUSt_chr5.12314 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGLLVPIAVSLLLQKRRKVEKKRGVPVDVGGEPGYAVRNHRFEHPVETHWEGVTTLAELFEHACKEYVYMPLLGTRKLISREMEASPDGRSFEKLHLGEYEWKCYAEAFKSVCNFSSGLIQLGHQKNERVAIFAETRAEWQIALQACFRQNISVVTIYASLGEEALCHSLNETEVTTVVCGQKEFKKLIDIGWQLDTVKRVIYINEEGISAEVSLAKNSTSWTVASFEEVGKLGTEAPVDANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPELGKKDIYMAYLPLAHILELAAETLMAAVGASIGYGSALTLTDTSSKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDANGGVAKKLFDIGYSRRLAAIDGSWLGAWGVEKLLWDRLVFTKVRAILGGKIRFVLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWAEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNEAKTSEVYKDDERGLRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALAMSPYVENIMIHADPFNSYCVALVVVAQSELEKWATQQGLAYSDFSDLCQKQEAVKEVLGSLATVAKQARLEKFETPAKVKLIPDPWTPESGLVTAALKLKREVLRKKYENDLAELYA >cds.KYUSt_chr2.29041 pep primary_assembly:MPB_Lper_Kyuss_1697:2:178458439:178459598:-1 gene:KYUSg_chr2.29041 transcript:KYUSt_chr2.29041 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHSENAAENMVSGIMDAIADKLPKQKSVRFSEEGSISSQAKKLFGGDKSVHHILGGGKSADVLLWRNKKISSSVLGGATAAWVFFEWLDYHFLTIISFVLVLGMAIQFGWSSFAGMLNGSPSNVPRVELPEEVFANIGAAVGAQVNKFLGGLQDISCGRDLKQFLMVIAGFVVASFIGSWFNLISVIYIGFVSAHTLPVIYEKYHDQIDEMLYNMLGLLGSQYQKLDKGVLSKIPKGSLKFKKSQ >cds.KYUSt_chr6.11096 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68837310:68841980:-1 gene:KYUSg_chr6.11096 transcript:KYUSt_chr6.11096 gene_biotype:protein_coding transcript_biotype:protein_coding MWWEPAQNLPPPPRPLLRQQATSQDSFYQPQHIPTHSDEHVPENGGDMEIEQGCWQGTRLQQRKLACCSDLGRAALLLIDLGIFLLMQVALDQKTYELIGTDYPDLAMMLLSRAQRSSESEGQEWRMLLTQRKQRGISIPEEASSPLSLASCRLPPPVSNVMFTAMLQRHPQAHHFPALALAHCPYRPSMVESLWMERGEGLGIRVYGVPHDSR >cds.KYUSt_chr2.5346 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33169426:33172913:-1 gene:KYUSg_chr2.5346 transcript:KYUSt_chr2.5346 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGMLREMKGEVGAISRRGLLRSRTPSVRRVAAVAPEEATRQGCCWAELPPELLREVLARVEEAEPRWPRRRDVVACAGVCRTWRGVVKEIVRVPEESGRLTFPISVKQPGPRDAPMKCFIRRNRSTHTYYLYIGLTEALTDDGKFLLAAHKAHRPTCTEYLISLDRSDTSRGSYAFIGKLRSNFLRTKFTVYDAHPPHAGAVVSNRWSAGNYPVSHVHYELNVLGSRGPRRMRCVMDSIPVSAIEQGGTAPTQTGFPFSNDNSSASIPFFKSKSSRMDSFTAPLSSQDQEERQLVLKNKSPRWHEHLQCWCLNFHGRVTVASVKNFQLVATDESAPTNQEHDDTTLQFGKIGKDLFTMDYRYPLSAFQAFAICLSSFDTKLACE >cds.KYUSt_chr2.1384 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8280060:8285210:-1 gene:KYUSg_chr2.1384 transcript:KYUSt_chr2.1384 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGDAKPSRYRRRLAALFFLALLAAGVAGQQQRQAPPLPPELRGVNDQLTGLTKDVARTISESFSFCVADPVDDWNQAFNYTSDLGFVRQCLAQTRGDMAQRLCTPAEAKFYFTSLFNAKGEKNLFLKTNVNCGRTSWAPGCEPGWGCSAGSNPVPSSGDDIPQRTTNCQPCCEGFFCPRGLTCMLPCPLGAYCPRATMNVTTGLCDPYEYQITLNSTNGCGGADKWADFDSTEEIFCPAGYHCPTPTSKDSCTSGHYCKLGSTTENKCIVKRSCKENEDNENIIILGAFLVGAIGVLLLIIYNCSGQFLTIRERRKAKSRENAIQLARQQLKAHEGWKAAKQLAKRHVHGVQDHVSRTFSRRRSFRQPADPESQRVQESRLMGSVKAEEMSDSAVFSAQRTSEISEVMPSVIMDISNDGEIVMDKPAPKGKHRSTHTQIFKYAYGEIEKEKVRQEENKNMSFTGVIDMVKEQQKEITRPLLKVEFKNLTLSLGKRKLLRSVTGELQPGRVTAVMGPSGAGKTTFLNAVSGKVTGYQMTGSVLVNGKHGSIRSYKKIIGFVPQDDVVHGNLTVEENLWFSANCRLPARMSHRDRVLIVERVIDSLDLQGIRNSVVGTVEKRGISGGQKKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLKALRHEALEGVNICAVVHQPSYTLYNMFDDLILLAKGGLIVYNGPVKTIEEFFITLGIHVPDRVNPPDHYIDILEGIVKPESGINAKHLPVHWMLYKGYEVPSDMKDDLKAMGEESPQIGPDRSLSGSTPHCLPGGVRDAFSHERNRLDNHLSKPDDMSSRRTPGILKQYKFYLGRVTKQRLRDGRLLGVDFLILGLAGICLGTIAKLSDPTFGMPGYIYTIIAVSLLCKIAALRSFSLERLQYFRERESGMSTLAYFLARDTVDHFSTVLKPIIYLSMFYFFNNPRSSIGDNYTILLALVYCVTGIGYTFAICFSPGSAQLDYPYIPEDVELSKVGTRGLHNCKREKVSWSLADNSLRLAIQEWL >cds.KYUSt_chr4.12617 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77475038:77485735:1 gene:KYUSg_chr4.12617 transcript:KYUSt_chr4.12617 gene_biotype:protein_coding transcript_biotype:protein_coding MINYNAMDVKWEIDEMMARCVQEEERLKVDGIDHVNQFGHSQKKKYRKFVNEYVKPKPYKFKEKGQSSKGSQQKKPEKAPNAEGNNSNACHFCGKGGHRRKDCFGFKKWLKERAPVADGARKTRQQKDQGQQLRVYSRRQRAANTTPVDTPVTVPDVTSNDAFVENNQQSQTVINVPNNEPLRRSQRARKPAIPDDYLTYMSEDTNEPVLDNDPTSFKEAMESEHSSKWLDAMKDEMKSMSTNDVWDLVEIPEGAKTVGCKWVYKTKRDSKGDIERFKARLVAKGFTQREGIDYNETFSPVSSKDSFRIIMALVAHYDLELHQMDVKTAFLNGDLHEDVYMAQPEDADFAGCVDTKKSTSGYIFTLAKGAISWKSSKQTVTASSTMQAEFVACYEATGRAEEPPQQQEQFHAAPSPFREEPQQGDSLNEQKERKLKPQEEHQNEMGAQPMDFTDGIEKIRVNKTGEFENGFDSVHGDHSVTPGSPGPRQALVALEGGGFLPLDPEIPLQPGSGRSKRRQLSSGRSLGNLEPVEEEFPLEQELRDFKLRRLSNIGPTPDLLEETEPTQTPNQKKSNLPDEITESIHTHLKLHFDGPSAPQSESLSHLTVEMNTAQAARLFYQACGPVPRRAPRRPCRGGGLRRRDGALLLGTSSPLAAADPFIISLAFKACTAAAADATSLHASAVSSAVTSVYVATALADAYAKAGRLAFALRVFDEMPRKNVVSWTALVGALTRRHDTLRSFAQMRASGVPCDSHACAAALTAPLASRTPPACSPAAAVRCTRSAPSSASTPRPTSPTRSTRAAATLMARWLPSDAWGSRRYVSEWTTLISSYVQTGRPKEAIQAFVAMLRGEAPSDSASPNEYTFAAGIAACAADISCVHLGEQLHSQAARGGFASARSVANSLVTRGSPAVSRQRMPCSGKVLPRMLSLGARSYGAMHAQEGLAEEAFALFTEMRRHHCPCPNEFTLANLLSVCATSETLDAHRQLHALAVASGLEHHEMIRSALADIACCHAGEVELGLRYLGAMSKSYGLEPAKAHYGCVVDLLSRAGRTNEAEELIERMAPNERDGVVWTSLLRARAARGAEETAKKAAERAMEAEPWGAGAHVAMANLYAGKGQWRDAAEERHMVKQKGVVKEVGWSSIAVGGEGRGVGVFAAGDRTHPQDYVIYEMLEFIYFGVGLARYLPDQMNLTSELEPMVNS >cds.KYUSt_chr4.41452 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256416177:256416641:1 gene:KYUSg_chr4.41452 transcript:KYUSt_chr4.41452 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRADVFDPFSLDLWDPFNGFPFGSGSGSLFPRTSSDTAAFAGARIDWKETPQAHVFKADVPGLKKEEVKVEVDDGNMLQISGERNKEQEEKSDTWHRVERSSGKFMRRFRLPDNAKTEQIKASMENGVLTVTVPKEEAKKPDAKPVQITG >cds.KYUSt_chr6.23407 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147820156:147824214:1 gene:KYUSg_chr6.23407 transcript:KYUSt_chr6.23407 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPSRLFPFLLPGENPISFGMGGVYASRVVSFLKAPFWVLHAGSGNKNAFKALIVAEYSGVDVDLVKDFQMGVSNKTPQFLNMNPIGKVPVLETPEGPLFESNAIARYIARMEADNPLYGSSLIEYAHIEQWIDFSATEIDVNIGKWLYPRLGFYQYVAASEEAYVAALKRALGALETHLASNTYLVGDSVTLADIVMSCNLYLGFTRIMTKSFTSEFTHVERYFWTMVNQPNIKKVMGDVKQAESVPPVQKRNAAPKEKKLKEVKKEDTKPKPVVKAEEEEEAPKPKQKNPLDLLPPSKMILDEWKKLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDFKYNEENTVSFVTLNKVGGFLQRMDLCRKYAFGKMLVIGTEPPFKVKGLWLFRGPEIPQFVMEECYDMALYEWRKVDISDAAQKERVSAMIEDLEPFEGEALLDAKCFK >cds.KYUSt_chr1.11247 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68861246:68861452:1 gene:KYUSg_chr1.11247 transcript:KYUSt_chr1.11247 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADDVGFATIHLHLDASSRAAAFPNPKGGRGGGGAGGMVAPGRSVHELLECPVCINSMYPPIYQVL >cds.KYUSt_chr7.39272 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244306005:244306265:-1 gene:KYUSg_chr7.39272 transcript:KYUSt_chr7.39272 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERFLTALVFCEAPLDGYGTSVTATRTITKLVSGGSAAKPATANKADAEKEQGFFSGKPTAPQRRAVFDLAFDGINCFDTVVMH >cds.KYUSt_contig_2609.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000410.1:61998:63678:-1 gene:KYUSg_contig_2609.14 transcript:KYUSt_contig_2609.14 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDGDRWRLSFPAADDGRFTVEQGPSTSSARWSIEASAGGAQRPKIGRRQESRRAGPEDEAAAMVSLPDELLLEILFRVKDVPAALFRCAAVCKGWRGLVAEPTFLRRCWLGINRLVRLAVCNLLTGACHMLPPLKSGSGSGFSDGDWNGYAILTDEDCRSGDELARHRRPSTSSAFFKAVIVSSYRDGTSYGVRTFSFSSGEASWEVRTGCSVGSTSPENRSWSPNGAVVRRGTAHWLFRYCSEPCLHILNLDTRTGRTSLTKFPILMRRHRDGQLCLAFAASGALSLLWMRLGGTQIEIWEQKEENGGNSEWIRARAIELKQPKENGPRSVLRVVGVKCGTLLVHDDDETVYAADLETGAMEEALDWPFGWWYHIHDIQIVPVEVDWLTICFSPWFHVHLSWPFGWRVCKVTLVQLKWIASH >cds.KYUSt_chr3.6215 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35573301:35574257:1 gene:KYUSg_chr3.6215 transcript:KYUSt_chr3.6215 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNSASSVISLVDKSQPQVKVKKAWCWKCADHSHMTKDCKVKHYCYICDKIAHPTACCHVLKAPRPFAYIVGSGLAETFFIALPNAVVRDELTPTNSPVARVVVTGDAVPAESVARQVARRCFDSPGWKWEAVAFGENEVSVPSFDDLNRMDGIQVGVPESTSSLSITAWQSSEVPHKAELDQVWLHVEGIPHTLRHFLGLWAVGSLFGKTLDVNLLSLQRRGLVCVLVAMLNSSVLDRTISEPGSYATSDDVVKLKCFEFHFRRKPTNFIPDPDFVPFLWEKRNDGNDEGGAHEAVDDDAMDTTEGWNDPLATVAS >cds.KYUSt_chr2.14454 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91427413:91435091:1 gene:KYUSg_chr2.14454 transcript:KYUSt_chr2.14454 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCCRRWLGALRPLAALLLLLLLAVAAAAAAAGSPDRTAPSRPPLVLPLTLSYPNATRLAASRARRALADGGRPSARMRLHDDLLTNGYYTTRLYIGSPPQEFALIVDSGSTVTYVPCATCEQCGNHQDPRFQPDLSSTYSPVKCNVDCNCNNDKSQCTYERQYAEMSSSSGVLGEDIVSFGTESELKPQRAVFGCENSETGDLFSQHADGIMGLGRGQLSIMDQLVDKGVIGDSFSMCYGGMDIGGGAMVLGAMPTPPDMIFSPSDPVRSPYYNIELKEIHVAGKALRVDPTIFEGKYGTVLDSGTTYAYLPESAFVAFKDAVTSKVHLLKKIRGPDPNYKDICFAGAGRNVSKLSEVFPNVDMVFGNGQKLSLSPENYLFRHSKVEGAYCLGVFQNGKDPTTLLGGIVVRNTLVTYDRHNEKIGFWKTNCSELWERLHIGGAPSPGPSGDPSSQADFSPAPAPSGLPEFDVGLITVYMSINVTYPNLKPHLHELAELLAKELEIDSRQVRVMNVTGQGNSTLIRWEIFPAGSSNAMSNATAMGIIYRLTQHHVQLPQNLGSYQLLEWNVQQPISR >cds.KYUSt_chr5.37326 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236025542:236029549:1 gene:KYUSg_chr5.37326 transcript:KYUSt_chr5.37326 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLVARLLMGSAGLDFGVPGLDGAFFDNLCAGAGAGFAAEMPSFGGGPFGPAEGTAGGELGAASREGSSVSDPAWAYGGANAKKRKGKEAAAAGFAKVGEETRPDSKKCKIEEGAVRPKVEEDAAAGASDGSAGGERGRKQAKGKSSRSKQAAAAADEPPRDYVHVRARRGQATDSHSLAERVRREKITIKMKFLQDLVPGCNKVIGKALMLDEIINYVQSLQQQVEFLSMKLSTVNPQLDFTTLSTLLHKDMHQALGPSSSSGFPLESSGGVFPFCDQADLFQSFSSGAMGNQCSMGLLDMAVPDAAQYPFQKQQQDFWEANPQNSLQMDNAQGQENGVLAPNFDAETSQRFQEIWAVSDESRVRLRYLLVKVQLFYRLRASTKGSSSFHGAKPRDVPGGRTDASTSSSDLRSHLVRLRLQPGGPRAAMAIGQRWQWHGVDRTMIDGTVAREVISVSSLCAGSETLEKQIECLVLASIGSIIRDEGEGPVA >cds.KYUSt_chr2.18856 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118577447:118581389:-1 gene:KYUSg_chr2.18856 transcript:KYUSt_chr2.18856 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAHAEAHGSARPGQATSRPALQHPAPLRRDCLNHLEEDNDNPEGADIIGYEEPDLSGDVEGVDYLIVYGTGEAEPVVSCSSPLAVDSMIGAKLAAPTPSVLLPPSPRLRGRRLSPTAASCLPDTGTGPPVTAGSDQERPVPAGQDAAAVAGTVSSPPRSRASSQLSRWSRARAVRSGRRLDWPATRGSAAVSVPTHSPPPSYEEAAAEEEDDDVCDVSEVDGEAAANSIYMVSDGTGSTLEQSVNAVLGQFEHCLVDRRCATSTHLFSGVNIHPLYCLIFSQFTLDFDPRDTVRCLLCTKCFLFVAM >cds.KYUSt_chr4.33146 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203060511:203063942:-1 gene:KYUSg_chr4.33146 transcript:KYUSt_chr4.33146 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPLQFHPPWAWLLARGPTLEQGRVHGAAMEPPVWPALPPSEPDVCGMAGPTSARISSRHPLSVPQSYSVRSSQGSQLALRAANPLFPCVKLSKARAVVAAAMEVSKASLGLANRQPSKDVLETWRNADAVCFDVDSTVCLDEGIDELADFCGAGQAVAEWTAKAMTGTVPFEEALSARISLIKPSLSQVEDCLEKRPPRISPGIADLIKKLKANNIEVFLVSGGFRQMIKPVAFELGIPTENIRANQLLFGTSGESAGFDPTEPTSRSGGKAVAVQQIRQDRGYKTVIMIGDGATDLEAYLVSRDLAHREFQFYPESNTEIKDRSDPSISTSAFCMIVQYYP >cds.KYUSt_chr7.28402 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177280535:177280873:1 gene:KYUSg_chr7.28402 transcript:KYUSt_chr7.28402 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTDIVPNVHSAIDSSNKTLLKSDALYTYILDTTVFPNEHECMRELRLVTDKHRWGYMQSSADEAQLLGMLIKMAGAKH >cds.KYUSt_chr2.46812 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292666142:292677612:-1 gene:KYUSg_chr2.46812 transcript:KYUSt_chr2.46812 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQPAPGLVPARAMFCKTQKGPGHGPVTGPVWTGLVRSCARSNRAVDRMARRQPAPALVTSGGYYCTINHAPVKARSQLQFGPEGEGERVGDVEHAETSTATLLRPQGLRPIRHGGHRDKVDGEKMDCGARVGNWGEFIADTSLQLPRPPGVATVLGKKAEFTVLTSILIDFTPAAAKFATGLQAEAVNGGELMGAAQVPVSALKDASMIHHLRRAMAPTDDTGNGEGQARWGSLALHRKGALVNKNMAGVGAMLANLLIKWDLDTYILLRIRVVMGFLLILHYVALGFLVVPQNTSRVSWARVLDAMCDMLLVYVMGAMQAAPFKNEMFPIWAVLLVSSRSCTNFMSKYDAYFELRNMLKLWAAALLNVRHGSKSSRASFWFFWGMLVIKILYRIRARKLTSKSFWHGRSSELVLVYMGSVDHNLSNFNLDTLEGCKYLVYGDSKKNITRGLRPSISDLKSVIGLDNIWLHDGSVLLHIINERKNTVKDLTLAFALSRLLRCRLDGGRLHEDTISMTRELICSRIIRADDNVDRVLAGILLMDLSFLGQHIHTGYPMVFCQGLRSLYLHALQCVVQLTCLVWLLVDIFPHYNTDVLITVPTVAVILGMKMFEAFSCISSNWTLLLLVCNYVSCRNNKRLEHVFERMISSILGLKEDLPVSFSSRYDFLQSSNYSEGKLRVDPLNKHLLAGRSIQRTRCNTVIAAVIRALARYMDEEGSRLPRHCLPSPRVSDRAQCYWSACLQLPSCAHLILVWHIATSLCQVKLEQDQGYTNNRGLFRFFVRNTRPDLAGNFSKANTVANCLSRYCLELLISKPNLLPENIFASKKLLLDTIQHANDLLRSCNSPQSKYDKLVALSQAVPAGSRQDIELSGNILIQGAMMGKKLIDSEDEESRWEILAEVWADILIQIAPSSKAEAHGTALQFGAELVTLVWALLCHCGIEKSELWPEER >cds.KYUSt_chr3.35839 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225270679:225271343:1 gene:KYUSg_chr3.35839 transcript:KYUSt_chr3.35839 gene_biotype:protein_coding transcript_biotype:protein_coding MEIALSTLLSLLLLLLSSPTAVFSAIAPAGAGDDASDVFLPSPAAAPSPALAPGADEHTSDCGIYIVFVSRADYVDSADYDARLLASVLGRLVETFVWPATCDHLINEVFLFCCSTEEAKKAVIYHYSGLGFAARLKPNQAEQLSRKEGIATFKDKTYHIENDGGLPSRFFEENI >cds.KYUSt_chr4.21771 pep primary_assembly:MPB_Lper_Kyuss_1697:4:137061882:137062442:1 gene:KYUSg_chr4.21771 transcript:KYUSt_chr4.21771 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKASLLLLLLLLLLCAAAAMLPAPASAADDGVTKFKVYFHDVLAGKSPTAIRIAEAAATKGSSTFFGAMVAIDDPLTTTPAATGSAKSKDEVGRAQGTYTFADQATFGLLMNMNFVFTAGDYKGSSLTIYGRNEVLSAVREMSIVGGTGKFRMARGYVQASTVDNGAGETVVEYTVYVNPAATT >cds.KYUSt_chr2.46601 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291325240:291326889:1 gene:KYUSg_chr2.46601 transcript:KYUSt_chr2.46601 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIKPLGIQLFECVRGGPLSFRSSQALVLVLTFLSYASYHATRKTTSIVKSVLDPKTANLGMLHWPSQQYLQDLQGAANNTALTSGWAPFNGDGGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTVGMVGTGLFTTAFGAGYWLNIHSFYYFLAVQMIAGLFQSTGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWSWSFAVPGAMIALVGLAVFLFLPVDPEVIGIEDDRHVRDYEKNDTDVPLLEGRSDSRDEAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYLSHTEIGGEYLSDSAAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFCAIPALFFYRIYGSISLTWNAALMLLTGVLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISARSWSGVFTMLMVSALIAGLLLSRLVVAEISAKMEARRRTEPASDLPVSSLEEP >cds.KYUSt_chr5.17834 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115219334:115223630:-1 gene:KYUSg_chr5.17834 transcript:KYUSt_chr5.17834 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSCFLLLLSLHIALLLLLLIPCYGQVGNSCSSARDCGVGLYCGDCAASGRKQPSCIRDLAIQPTSIVKGLPFNRYSWLVTHNSFSIVGEPSRTGVERVTFYNQEDTVTNQLRNGVRGLMLDMYDFGGDVWLCHSLQGKCYNFTAFEPAIDTLKEVEAFLSENPTEIVTIFIEDYVHSPMGLSKLFTAADLMKYWYPISEMPTSGQDWPSVTDMAAKNHRLLVFTSDASKEASEGIAYQWSYLLENESGDPGIEPGSCPNRKESQPLNARSASLILQNYFPTIPVQSEACKENSVGLPQMVQTCYAAAGNRIPNFIALNFYMRSDGGGVFDVQDRINGLTLCGCNSIAACQLLKSHREMYAGWGTSGCMQEHWSTKYWSTESNHLFLFYKWKRDFRNYRIQIACFKDQQHFHLEELCCFAKSGVDS >cds.KYUSt_chr3.32926 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206870790:206872731:-1 gene:KYUSg_chr3.32926 transcript:KYUSt_chr3.32926 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHVASDGDDRPAGRPSPSAVLSLLPVFLFGLFRPSLLVPCLGDLGGNHGQCRHRITGDSEPFETSPFTPKEIDDDDDDDDDDVIT >cds.KYUSt_chr2.40876 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253893532:253896117:-1 gene:KYUSg_chr2.40876 transcript:KYUSt_chr2.40876 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGDGGSAPPQTAKVEAASAPEPPPRGPDVMPHEECLSGIRAALNHPTVRFLRERMDQAGCPVWPLLIRAATCAESSGGYASKHGIRANHLSGDCHYKRELLKGFMKIRGHEPECVKRRSLDSVKQNPFCSEAAAKDAIEAVWDICYNDTRPFDRAP >cds.KYUSt_chr7.231 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1262793:1267347:-1 gene:KYUSg_chr7.231 transcript:KYUSt_chr7.231 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASTSIKIQSFPSLTIYLLQPQNPVVNQRASQQAAMAPPSLSSSHLLITASLPKPSSLRPPRIPLSSKPIPALLLALAAAPTLPALAVDAPSPPPAPAPQLQAEAPTPAANPFADTLLTAPKPSAASTDLPDGGQWRYSEFLGAVKKGKVERVRFSKDGGVLQLTAVDGRRASVVVPNDPDLIDILATNGVDISVAEGDAASGPGGFLAFVGNLLFPFIAFAGLFFLFRRAQGGQGGGPGGLGGPMDFGRSKSKFQEVPETGVSFQDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVRILEVHSRGKALAKDVDFDKVARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEQKRKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQNNVTTGASSDFMQVSRVARQMVERFGFSKKIGQVAIGSSGGNPFMGQQMSSQSDYSMATADIVDSEVRELVEVAYSRATQIINTHIDILHRLAQLLIEKETVDGEEFMSLFIDGEAELFVA >cds.KYUSt_scaffold_869.257 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1555135:1561867:-1 gene:KYUSg_scaffold_869.257 transcript:KYUSt_scaffold_869.257 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCSGVIFIISLAALLFLLSPSPLATHHPATTAAGPIAYILPSVPGLSGLYPPPTNSTSHLSWRLLRPILSRSDAIPGTAAGVLEAAHAWRNLTVAVAVAAAAAATRSNPEALDANCRASVDGDLRTRGVKIPCGLTEGAAVTVVGVPKQGAAGFRVEMVGGGGEVVACFNVSLGAAGMVVKQSSWTPEGGWGGWERCPPLGDIGSNTSWQLSPVDGLVRCNQQVGTNVIQGSNNTTQNVTLNQPEDEKRPKGRAHFSGSFSIVEGEPFTATLWAGAEGFHMTVNGRHETSFTYRERLEPWSVAEVKVSGDLELLSILANGLPVSEDVDMASVELLKAPPLPKKRIFLLVGVFSTGNNFKRRMAMRRTWMQYESVRSGEVAVRFFTGLHKNEQVNMELWREAQLYGDIQLMPFVDYYTLITLKTIAICMFGTKIMPAKYIMKTDDDAFVRIDEVISSLKKRDPHGLLYGLISYQSSPHRNKDSKWFISPKEWPVEIYPPWAHGPGYVISRDIAKFVVRGHEERILQLFKLEDVAMGIWIQQYEDSGQKVNYANDERFYNEGCDTDYVLAHYQTPRLMMCLWEKLQMESQPVCCQ >cds.KYUSt_chr1.29580 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179112733:179115387:-1 gene:KYUSg_chr1.29580 transcript:KYUSt_chr1.29580 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTPNHDVGEGKVVESFGGLRSYLAGAEESKLAVVLISDIYGFEAPNLRNIADKVALSGYFVVVPDFFHGNAYDKGFEEAKPVIDVLKEQGVSVVGAAGYCWGAKVVAELAKANEIQAAVMLHPSFITDDDIKEVKCPIAILGAETDVTAPPEQVKQFEQVLSSSSTGIGYFVKIFPGVSHGWTVRYDNNDAAAVKSAEEALADMTDWFNKNLSETEVAALLP >cds.KYUSt_contig_257.348 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2694307:2695767:-1 gene:KYUSg_contig_257.348 transcript:KYUSt_contig_257.348 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPDALLAEIVKRVTERNDLNSLSLVSKRLFAIEAEQKECIRVGCGLCLKTEELVSLFSRFPSLWKVEIDYAGWTPSHGDQLDNHDLFVISSHCPSLTDLTLSFCSQINDSGLGCLAYCKKLVSLRLNSLPEITSNGLLSVAVGCNSLSGLFLINCEEIGSAEWLEYLGWKGILEELVVKKCKGISQYDLLKFGSGWTKLQKFDFEMKSPWGVHSSCEYGFDPMYNAHNPTRYDFCCESLKDLRLAHFKAGTEVGPRFILGKCKALEKLCLEYVYGLNDNDMIVLSESCDNLKSISLWLKPVHNDDLDGDGFRTAVTDNSLKALALNCPMLEAVELTFADFDTFYPSEIGFTQKGLVVLMQSCPIRALVLNGANFFDDEGMMALSSAPLLQKLELVDCSEITDVGLLLIARSPRLINLTLRLCELVTDVGVAELVRSQKLESLIIEGCLRVSEQAVLGTGRSVQYSGETASLGEVKRIYPLLYG >cds.KYUSt_chr7.40302 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250242934:250249603:1 gene:KYUSg_chr7.40302 transcript:KYUSt_chr7.40302 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEKLRRWYLTGRPAVTEMDVLVWSVVRYGVTSRSNFSNELIISEKKDKEAVRAYDMATVCAVILLPLLITVQHLAAADVFCDNLKVVAAMLPKNTSSSPLRFATATFGQAPEVVYALALCRGDVVNGTTCADCIACGFNILQELTPPPQQCAYPGAYYGECHLAYSTDNILGLSYNATGDDVVELQLWNIMNFTSDAHLMAGLVKELLVETVEMAASATPRRFATGLTYGGSTFPIVRSMAQCTPDMSAGDCLACLRHLLAKVNSTMALRIGGQIHVIHCYFRYETYAFYNGPPMLRLGQAPAPAPSPDSTPSKNKRRMNKLWTIPIVVLSLAAAGFLSFICFSRWLTSRIIVERYYTGSSCQLSTIQLLEATNYFSDENKLGEGGFGAVYKGQLPEGLDIAVKRLASHSGQGFIEFKNEVQLMAKLQHMNLAFELWEEGRWIDIIDVSIGPAGHSAEIIRKGTLCNVAPVAARPAAPAAATLDKAEALVATGRGIIWLWHSVCGDA >cds.KYUSt_chr2.6823 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42589423:42595839:1 gene:KYUSg_chr2.6823 transcript:KYUSt_chr2.6823 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAARRLLLLQRCAAGRRLLGTAAEASDAIYVGKPSPVATRDETSVAMPMSFMTGSVVGKRFYRDATVRRADDGNGWTVMLDYRTLKTPAKRQLKLHSRALAMAVAAEWEFQEQDGIRPFTMPLMKLACTALERVPLTRAKIINNLMQRFHQDLVFCRSPADDELTKGVYEKQKEKIDPILDWVNTEFGVKPVVYTSFLGGKQDERLAKAVETVLKDANDRELASIDAMASAAHSLVIPLAIFRGRLGIEESIELIRLEEDHQVDKWGLVEGGHDVDIADLKVQMSSAVVFLHLSRRQ >cds.KYUSt_chr2.43531 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270807038:270807268:-1 gene:KYUSg_chr2.43531 transcript:KYUSt_chr2.43531 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPIDSILLDKSNPSYSQPAPERRAPPWDEAAFAHPGTKPTPLPPPPTAASPPTAAVACELKDRDAPIHCFRYSA >cds.KYUSt_chr1.42279 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258839833:258844229:1 gene:KYUSg_chr1.42279 transcript:KYUSt_chr1.42279 gene_biotype:protein_coding transcript_biotype:protein_coding MRARTSPLAQHNAMAAASLLFLIILATVSSFGNCASQVFNGSCFPAEKAALLSFKAGITGDPANRLVSWQQGDYDCCQWTGVTCSGRTGHGVKLDLRNPSPTQYELFGFEDAQSHSLRGQVSSSLLGLRHSLRHLDLSMNTSLGGAMAMPGFLGSLQRLTYLNLSRMGFHGIVPPQLGNLSKLVQLDIGRSGDDNLYSNDISWLPRLRSLEHLNMAFVDLTGVDDWVHTVNALPNLVVLILQRCRLTAPSALLHHNLTVLEELDLSDNSFNTLAVPNFVWDITSLKSLSLLDCGLSGPFPDELGNLTLLETFRIAYNNFKGMIPGTLHNMCNLRSLQLGYNNIGADISEVIERIPKCSWRNLQELSLAYANITGEALTFVSNLTSLRNFDVSDNQLSGSVPVEIGTLTNLTVLDLGNNNLSGVISEDHLADLSNNKFSGAIPRSLQNFKALTGTAIGRIPSGHQLDILKADDPASMYIGNPGLCGHPIPRECPGPPRDLPANNDLESWRKHGLSQMDFLLGLITGFVASAWMVFCGLLFMKRWSCASPFRVAFTFISLLVVGAKIAS >cds.KYUSt_chr5.15924 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102614327:102616681:-1 gene:KYUSg_chr5.15924 transcript:KYUSt_chr5.15924 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRQVFSLMFLCFHVLLHTWTSDAAGSWVLQDLNCSTSGNYSATSAYAANVNQFLSALPENAVSKNGGFFNGTVGEGLDTVYGLAMCPADYSRADCSDCLAAAAGSDADGLPSRCPGSTTVIAMFERCLIRYSNVSFLGTPEIGVAYAMDGKLLNTAAGMFAPTVEQNLNDRTDDAILVPQRFASNSRAPFVLVQCTWDLPPDKCKECLNELTKIATDITVIKTDGQRKSYSCTVRYSNTSFMVVPLAAPASAPPPRSIDVPTDLPPPASSSSSSRSRGLIIGGAALLALLVLVLIWSVLSWCMLTRTRDSFGSETRLKPVEYRDLSIATNGFSKENKIGQGGFGVVYRGILKEKPVAVKRILKDSNGEFKDFLAELGAIDGTGHLNVNLKLFLVYELVSNGSLQEHLHEKTEVLPWATRYKIVLGIGSALRYLHHECKSYILHRDIKPANILLDDEFNAKLGDFGLSRVAHNNVATSVQTMAVGTMGYMDPQCMKNGQVNFRTSSDVYSFGIVLLEIAHGENNPDHVRQLQKDKPVKTFVMEASDKKLAGKFDETQMERIFLLGLQCSQHEEDKRPSLIGAMKFLENGGELRPATPDERHDTAHLVTLS >cds.KYUSt_chr1.39972 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244745518:244750564:1 gene:KYUSg_chr1.39972 transcript:KYUSt_chr1.39972 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGQDDPEAGGGGGKTMGYASSGPLPTSEPPHLRGQDPGQYQYGTFHPPPVGYPQPAPPPGFAGGYHNQQQPYAHAEPYHAQGYQAVPGSGYGPVAEGRPVRMHRLPCCGLGMGWLLFIAGFFLAAIPWYVGAFILICVRVHDHREKPGYVACTIAFHQYQVVGRALPTPGDEQPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKANGQMLAINEIFEKNPTTIKNFGIWLRYQSRTGYHNMYKEYRDTTLNGAVDQMYTEMASRHRVRSPCIQIIKTATVDFKLCKRENTKQFHNSKIKFPLVFQKVRPPTRKLKTTYKATRPNLFM >cds.KYUSt_chr4.26985 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169476559:169477596:1 gene:KYUSg_chr4.26985 transcript:KYUSt_chr4.26985 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAMSRLVKATIAVVILAMLFMPAAMAATVASFDATQSQRIPLPDGSVRGPESVAFDGKGQGPYSSVSDGRVLKWNGDELGWTTYTSSPDYNSDACRFAKLRPATNPETLCGRPLGLQFHHKSGNLYVADAYKGLMRVGPGGGEATVLVSEIDGLPLRFTNGIDIDQITGQVYFTDSSMNYDRSQHELVTNTHDATGRLLVYDPQTGDVQTLQAGMAYPNGVAISYDRTHLVVASTGPCKLLRHWIRGSKAGTTEPFADLPGYPDNVRPDRKGGYWVALHGEKNERPFGVDNHLLAVRVGRDGKIVEEMRGPKRVKPSEIMERADGKLYIGTMDGPYVGVATRT >cds.KYUSt_chr4.53597 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331576369:331576833:-1 gene:KYUSg_chr4.53597 transcript:KYUSt_chr4.53597 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRNKILEVSVGLTTQICQFMDGEQFAAELRGAGLDDRAYVQCLASILRHYKYPEVSVPRMRRFVVQQVIWLMTSSPPRGGGGGFVVLLRDRELGMERLLEAIADTTSEVECYHVFSGSVPISRHRESFSAIVETALQLVAAGGGGGSEGSFG >cds.KYUSt_chr7.6681 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40258665:40265490:-1 gene:KYUSg_chr7.6681 transcript:KYUSt_chr7.6681 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASHPDGGTKTRREDGEGEAGAEDRLTALPEALRLQVLCLLPLKSAIRTGVLSTQWEALWTRRWPPPSSLDFRFAAYESSRPITEALERRGLQRLDRFALSFGIGELGEEDFSRCVDYAAACAVEDLRVHYVDPTSPSFDFQFRPQPEDPHLTRLSLRGITIGNPLCSCAFTALEVIQLRRVYVSDTTLLLLVDGCPLLRSLDLRYCAGLNFVSIMGAGAHLTSLTVAECPLLTSISAYGAFSLRSFRYSGAYIAAYSIPATSELTDLCICFRRPAREWMRVSCYAPEPQSDELRRNWLELLTNLANLTVLTLCSSALQKVSASARARSVAGNAAPCKLHNLRELQLLMFAMSNENMDDIYVFLMNCCGPRLERLFVQLPARGYQCASKKELSGIRIRGRDRPLEELFQVEPPEEAELDEDQSEAFENLMLLKMINFRGHDNEMRLVRLVLKKSTRLNQLILFTPTSNLPKGSRSRKNQPKGLKKDHMDTPQFIETKVLSLRKASPNAQIILSEPDDSAIEPLHSLHCNRLTAELVAVDTIMLGLLADVSEILVPRWRRNPWCCAALHPAAINLQRASWLLLIAAFESLRFAGGAHFLDHTIARIRRIQPTVAMGKKSWPFRKTKNDHEANGSRSGKMPWVGRYVRIEFVCRLWEENRPVPGQTLACLEEMHAAFRPAIILALAENDNKIDVVDFAGHIIKQIRVPATPEEEAVLPTNPGSSFLFQANNRIRMVDPDSGTVFTLPSDDLGRLTLSHPVRFVKTDYVLGRAASTRHHKVLRILTGKAAGRVDPLSSPFKQLCHVLTLDSIASFDLEKEQWRPAKLRGPPEMKTSIGTCRRVLAELNNTLVVVVYCDFDDRSMEFWFAEDLEKGLWVKRHAGESYS >cds.KYUSt_chr7.40401 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250850518:250853761:1 gene:KYUSg_chr7.40401 transcript:KYUSt_chr7.40401 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTAPVEVPVTGPVRTVKVTNVSLSATVQDIKEFFSFSGDIEHVDMQSGDEWSQVAYVTFKDAQGAETALLLSGATIVDLSVMIAPAPEYQPPPTASAPQMSGTRVPVGGDNVVHKAEDVVSTMLARGFTLGKDAVGKAKAFDDKLGFTSTAGAKVASIDKKIGLSEKFTMGTSVVNEKVKEMDQKFQVSDKTKSALAAAEQTVSTAGSAIMKNRYVFTSASWVTSAFSKVAKAATDVGTMTKEKMTSEEQQKGSGSSGGNSYTPIR >cds.KYUSt_chr6.30189 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191352705:191353808:1 gene:KYUSg_chr6.30189 transcript:KYUSt_chr6.30189 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTRNEPQQQPLRILFLPYFAPGHLIPAADMAAVFAARGARCTILTTPVNADIIRPAVDRANNANDSNPAIPIDISVVPFPDVGLPPGFENVRYMNQSHGPEHYGKFLHAALLLREPFDRFLAAARPGVDGVVTDSFFTWSQDAAAAHGVPRLVFLGISVFARSCFESTLRNNPLEACPEDDDDPDALVLLPGLPHRVELRRRQILDPRKRLLEWQFYESASAADRRSFGEVFNSFRELEPDYVEHFHANLSRRGWLVGPVALATDSRDVVATGGISTDGVADSCIRWLDAKPVGSVVYVSFGTLTSFSPADLVELMEPMYPQLLIHLLLRARPRFPVNTEQIGLRQRDFPLIPVNKQHSIDNII >cds.KYUSt_chr7.18764 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116254373:116255044:-1 gene:KYUSg_chr7.18764 transcript:KYUSt_chr7.18764 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQKRSRLSRALDTFYVVKDDVPSNTPPSQLGYYCECSAAKSGAAGRARLGSARLLSSSSVSGRLAGKVAVITGAASGIGKATAAEFVKNGAKVVIADIQDDLGHAVAEELGGSDTAFYARCDVTNEAQVAAAVELALAHHGRLDVMFNNAGIIGSTSPIESVDLADFDRVMAVNLRGVATGIKHAARAMVPRSQGCIL >cds.KYUSt_chr3.28990 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181258384:181260999:1 gene:KYUSg_chr3.28990 transcript:KYUSt_chr3.28990 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVFAGEGAAARGGCSAECATGSELPPDLGSRERGGDGCGKRSVYLMECVPLWGCAATRGHAAEMEDACAAVPRFADVPVRMLATSRELGGIGVDFDASELRLPAHLFGVYDGHGGSEVANYCRDKIHVVLRDVLRAGKGLGEVELGEVDVKEPWEKVFGDSFQKVDDEVSGMFSDCSREPRAEPVAADNVGSTAVVAIVCSSHVIAANCGDSRVVLCRGKEPIALSIDHKPDRKDELARIEAAGGKVIDWNGYRVSGILAMSRSIGDRYLKPFVIPKPEVTVVPRAKDDDCLILASDGLWDVMSNEDACKIARRQILLWHKNKNDGAYSDEGGGEPTMNPAAKAAADCLVRLALMKGSNDNISVIVIDLKSRKKPKGKS >cds.KYUSt_chr3.28170 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175770987:175775007:-1 gene:KYUSg_chr3.28170 transcript:KYUSt_chr3.28170 gene_biotype:protein_coding transcript_biotype:protein_coding MILLSRDDDSPIHDQSPPRENTPPPPPPRQETQPPPPKQKRKRSAAPPTAPKRSSTPMRARDSELLPHEQIEEQKAFLAPKKMFIPPQTVKHFAETRMKRPELRADYDRSLGQSSRASKEAKKVARLGQQDIRAVPHFIVEPYHDPETASMIERAARARGASVEYEDYYPTAQVVNKYRYGSDLVKPGELARLGTQMRRLHDWYLKACRRCESYITVYLRDEHYFRGEDEINLELEELFQLFNQDALDKAVIGCYCSFHFILLIIDLHLGVVNVMDSKRKEYAEWADMAAILRRAWKRFINTVPGEWKPELTFKDYPYIKQPGVLRPNPDQMDNPVLAEMIRDLPFLLDEPDLVRRLLHHTHARIDHYAAAIDEAAARLDTLRQMDRLLRQTLRTADEEIGGAAEAVEARLRAFLRQRRNFTQVLAFLLFVRALAFARTRSRLVPAVLLAAASAAAFPGLSTLVRLSVLTLGFLFASDQQPTGGV >cds.KYUSt_chr3.24914 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154635074:154639360:-1 gene:KYUSg_chr3.24914 transcript:KYUSt_chr3.24914 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLLRWGASSLLAHSSPPASRRLFSAIRRPPAAAHCEPGSRVMLKGMDYPELEKWVQSQGFRPGQAMMLWKCLYGNNVWAHCPDELAGLNKDLRKMISEHADLKALTVKDIITASDGTRKILFSLEDASVIETVVIPSARGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGSITNVVFMGMGEPLHNVDNVIKASSIMVDEQGLQFSPRKVTVSTSGLVPQIKRFLHESNCDLAVSLNATTDEVRNWIMPINRKYNLNLLLGTLREELRLRPKSIVLFEYVMLAGVNDSVDDAKRLTELVHDIPCKINLISFNPHSGSQFKPTPDEKIIEFRNMLIQSGLTVMVRLSRGDDQMAACGQLGEPGQLPLLRVPEKFLATL >cds.KYUSt_chr7.19639 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121725895:121729252:-1 gene:KYUSg_chr7.19639 transcript:KYUSt_chr7.19639 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIPAFAPPNPPTPPPKADPSSNLFVSGLSKRTTTDGLREAFAKFGEVVQARVVTDRVTGFSKGFGFVRYATVEDATRGIEGMDGKTQTTDGRGDFAAATIMGPSIWFMG >cds.KYUSt_scaffold_6468.970 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4713227:4713742:-1 gene:KYUSg_scaffold_6468.970 transcript:KYUSt_scaffold_6468.970 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQHTTSPPTPTTNHSMPATHHQNMQPPLLPQDTTTLCCSDPHPDPPPVQFLVGSVLLSTAVGPSPITETAAGPPPLPRWDTSPETSSTHAPILDMVIPESAPPDPPASPAAPPSALEDKQLSLAPTPTPARRHPHSQPLPDGLQSPIQESINAGFSHPHSFCRPLLPGS >cds.KYUSt_chr6.7377 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44833768:44836139:-1 gene:KYUSg_chr6.7377 transcript:KYUSt_chr6.7377 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQAQALIPSPPQLQHQASDPSQPPNSPGSQLHWLSQNEANYKPEEELHSHRPNGDLGSDPSPPARRASSPPPHPQGDNVVGRSDDAEDRGGGGGARRQAGPASHGRYVIQCLENGHRGECDWPVIDAAGEPPDNGVIVVAMPVASTVPLTKKEGIKSDGPKRRRGPAVLLEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRQSRRANNGGGGGGRSAGAPKLGRTEPNGRKSAAPLALVVAAPDVHEPVARLAAELS >cds.KYUSt_chr1.40300 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246787844:246788629:-1 gene:KYUSg_chr1.40300 transcript:KYUSt_chr1.40300 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPAFAGKAVKNLPSSTLFGEARITMRKTSAKAKPVSSGSPWYGSDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >cds.KYUSt_chr4.19913 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125239086:125245492:1 gene:KYUSg_chr4.19913 transcript:KYUSt_chr4.19913 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPAAGEEPQLPGALSRSSSASRLNAQAPEFVPRPPAPAPLPVPVQQPAVIHVFAGPPPPPPASFFVAGPPPPPRAPPPFEYYAAGGGAAAGFAAVLDHDAGLEQPLPLPPAQPQPQGRELNPDDLLHKITKQVEYYFSDINLATTEHLMRFITKDPEGYVPMSVVASFKKIKSLLQSNSMLASALRTSSKLVVSEDGNRVKREQPFTESDLEELQARIVVAENLPDDHCYQNLMKIFSSVGSVRTIRTCYPQTPNGTGPVTNRSAKLDMLFANKLHAFVEYDTIEDAEKATLVLNDERNWRSGLRVRLLNSCMAKGGKGRKGGHETDAHGDEDVSTSDQPNDKHLEETSQPSDALGEHVFEQYIALRNYLFFKLPLENFPRRALVIRAEDVAEAVDVEVEAEGVATITITTNTTTTTIRSTIIKTATIKVTTGVVAILLEPRLPATQSRLSSSRHSHRLRQELTNSNQGHECQMALGGSQWAEGSHKH >cds.KYUSt_chr6.22199 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140250920:140251912:1 gene:KYUSg_chr6.22199 transcript:KYUSt_chr6.22199 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGALPAAVRTVDSRSKSCNGRPIWSLDDYGETGVLGYGSFGTVIKARYYGTGESVAIKILTSTSNHDATLREADLLAACSGHRSIVQLRAMSLDPATDVLSLVMEYVGPSLSDVLRWRHSRPFPEADVRCVMRQLLAGAEHMHRCRVVHRDIKPDNVLVGGDRMSVKICDLGLAMSMTESPPYGRCGTRNYTAPEILLGKPDYDATVDAWSLGCVMAELLRGCPIFCGAEDDADQLLKIFEILGVPNKWSWPAYGSMPLAGELVTLPSIPHCNRLRKMFPEHRLSAEGFQVLSGLLSCDADRRLSATAALRHPWFTNNVDDAPECLI >cds.KYUSt_chr3.7931 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45504345:45508211:1 gene:KYUSg_chr3.7931 transcript:KYUSt_chr3.7931 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRQGVRANLQVVLVANVRYLSPWWHTMSSSALRAAAQKAGPAARKQALTLTEAAAARVRQLLDLRQRPYLRLGVKARGCNGLSYTLNYAEEKGKFDELVEEKGVKVLIDPKALMHVIGTKMDYVDDPLRSEFVFINPNSKGECGCGESFMTTGNKGSTS >cds.KYUSt_chr1.41931 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257111931:257115818:1 gene:KYUSg_chr1.41931 transcript:KYUSt_chr1.41931 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNQAADDKVRCKKRKKVYMLETKQCLLFARDNLPRDVYNEFVKTMTEIWKQCADPDGEVRSICVETCIEKALELLQGWAPVKQGFLNFTQGRSPLDGDDSVFGDVDVNALLQNPLDFLWRAKANLCFTPDANQNLAGTQIKATNTRKEGSEESLLAEEDAEDKVEPLPDWNTSRHEGILPPKVNPKNFKRCTPSYYLLPKNCITLQSSYRTKLGRSVLNDALVSPSSVASLEKTQNDYEKKVIQCEDDMYESDMLLRRFRATADFLANLQDRVCSVLRISGHITPLLAEAKEIHESKLNAGDEYLNPDIHKDISRIISSVRSSEEKLMMTWSEIVHPFLSANCLRSYLEESVAPSEACEQCGISKDFLSSISDALAANKLSLSRKEGELLRKTYNKCSSSCHSFAVEIGEGEFIPNLQTINSDSILGPGKEPARESCDVAAKGGHPGTDTSTSSSCAHRNEPEKKNELKQLSKRATKLHGVKGGTCCSLVVLCRLYQILYRRLQAARVLCTGDLYTEFKDQLCRLLDRSVDNCKFEDFCLKYLGPNSFKLFTLDIVINQVIKQLCIISSSDSLIQFLGNLGRPIPPKLLSQHQNVMLQSLY >cds.KYUSt_chr5.950 pep primary_assembly:MPB_Lper_Kyuss_1697:5:6523455:6532644:1 gene:KYUSg_chr5.950 transcript:KYUSt_chr5.950 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGRAEEGELGEFLEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHYPAVHIAGTKGKGSTAAFLSNIMREEGYNVGCYSSPHLLTIRERISVGEHGGPVSAALLRDLFDQAKEAIDESVESENGALTHFEVFTALSFLLFSRENIDFAIVEAGLGGARDATNVIRNNELAVSVITTIGKEHLAALGGSLQSIAVAKSGIIKEGRPVVIGGPFSTEVEQILRDRAFLTQSPVISACDSGVRSITRCIGWDYAKPYQSCDIVVKISNDRPLSMELHDVKLQLLGDHQRQNAVTASCTALCLRELGWDTSDASIRAGLERTQLPGRSQFLTQDEASILGLDGASTILIDGAHTEASAKALSDVIRTVEPEGPLAFIIGMADDKEHLAFTAQLLSGRRPDVVLLTEARIAGGTARSLPASSLRDIWIGVAHDQGIECVDIGVVTSDRIGHLAAASSDKPTPMMIGCGDGLFSHDLMKAASWLLHGVRRVEGGGAGAPAGLICVTGSLHMVSSVLQQLEQQ >cds.KYUSt_chr4.5046 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28959796:28963463:-1 gene:KYUSg_chr4.5046 transcript:KYUSt_chr4.5046 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDPHSYTDGAHPVTAHAALAFYLDFSASAIHASALLTLSAPHSGDLLLDTRALAVHSAATATDPADPIPFALAADADPVLGSALTLTLPPDTTSFLLTFSTSPAASALQWLSPPQTASGLPFVFSQCQSVHARSVFPCHDTPAARITYSLLLNVPARLSAVAAARHVARRDPVPSDHRGACDDALWCAQGRIVEEFHMAQCVPPYLFAFAAGAIGSRDLGPRTRVYAEGGDSLLDDAAREFAGVEDMVKVGESLFGPYDWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNMGIGWRGLNRMMERFKDNMEFTKLKPKMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFLKKYIATFKFQSIDTETFLEFLKANVPGIENQVDLDVWIEGTGIPPDAMEPDSATYRKICTLAAEFKSGKIPSEDEVADWSGQEWELYLENLSKDVEASQVIALDERYKLSESRDYEVKVAFLQLAIPTGCRCYFNEVEKCLKQVGRMKYLRPLYSSLAKCSEEEKMLAKRIFSEAHEFYHPIARSVVESILSKHG >cds.KYUSt_chr4.43896 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272099075:272102988:-1 gene:KYUSg_chr4.43896 transcript:KYUSt_chr4.43896 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIPREWTGLQQFPAATQTSLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVANVSAFQSEGLRPMMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLEKTIDVLLYVDRLDTYRMDTLDEQVIRAITTSFGKAIWRRTLVVLTHAQLSPPDGIEYNDFLKRRSDSLLKYIRSGAGIGKRESADYPLPLALVENSGRCKTNENGAKILPDGTPWIPNLMKEITIVVSNGSKSIHVDQKLIDGPNPNNRWKKYIPLILAVQYFFVVKGIRRAIHSDIANGKLDDWEQRYRDLVGSGNPVDLKVSSSRNPKA >cds.KYUSt_chr5.26859 pep primary_assembly:MPB_Lper_Kyuss_1697:5:169898336:169904480:1 gene:KYUSg_chr5.26859 transcript:KYUSt_chr5.26859 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEPDAPAEDKHPAPAASPPDVDKPPPPEAPDAPLAETKPGKSVVTATEPEPEPKVMEKKEAGPKVKLEKKDKEKVEIDATRRPAGASPDAPILAVPMLAVPCFIAPPGFAVRALFLFFLFARPSYYHGLNVPLKSFELFCSSDCEGDAGNKSEDEHPSAEPQPKRRIIEATTPNLTPVLRTVREQKMIVQAGKTSDGYRWRKYGQKIVKGNPNPRASPAARRLDPSGPGSSEMSARIGLLKLALCPSLLPTPTARAIASKPLPMQPPTPPSMKMPKWLKKTDNDAEVSPLRCRRTALPHRSPARRNLPPQRWRATSHCRHGSTAGAGVPAAVGADLCRHRDVSLPGGWHLNKARVPVLHASTDGQALEDEMPAADNSTAVHAPPAEVHAAGDPCVGAARARRAQTPPPFIDLVSGEEGDGSAGN >cds.KYUSt_chr4.18060 pep primary_assembly:MPB_Lper_Kyuss_1697:4:113280193:113286119:-1 gene:KYUSg_chr4.18060 transcript:KYUSt_chr4.18060 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGGMVASAVIKLVIKQIGSAIGGEIKLHWNMKKDLEHMKTTLEWVEAVLSDAETLSVTDNSARMWLKLLKDAMYDISDLLDDFEADTDLWAATMNMIKMPRKMKKMQKRLQKIADDRDKYRVLPETRNEEKQVPDIRETAGNVDEAEIIGRTDEKLEILARISGSTTQGTTILPICGIGGIGKTTLARLVFTDSEFKEYSRAWVYVSQKFDLKKIGNTIISQLSPGIPILDDLHSIHTRLQELFTGKRILIVLDDLWERDSSRLQELKAMLKQGEASKVLVVVTTREKHIAKEIGTVDPFELPPLSDQKCWDILKVKSKFETRQDKERLEPIGKEIAKKCGGVALAAQSLGHMLKSKTYDVNTRYLRGKKGATWFMMHDLVHDLARSVMADEYNLEGPNCRYAWLTDFSIGQLKQLRYLSLSCGEVPINPRVIGVLSKLNYLTIHSNGLRVLPASIGEMKYLMHLDLSCCSNLEELPLSFAEIRELVYLDLSGCSRVSGVPKVLSGLTKLQYLGLQLCQNLRGLPNVIINLIALRYLNLSDCFRHIFDDNSRDQTESFIDRICTLPNMKQLDLSENAYPLTIPDSASHLTKLVLDRCRQIIRLPEFVDNILFDYSGATMRNFYVYAGDTSSSNIHMLEHASAAELSIFCLENAKSPEEARSINLSEKHTILGLTLSWNSRENRSVDDMELVTELVPPTTLQRFKIEGYCSVGFPHWLMSMSNHLPNLVELTMEDLPNCKSVPPLCQLPNLRGIRLVRMKILEEWDTSYLSDEDSVNELKEVWIHDCPKLRIRPHLPRAASWSIRKNNNVLLPQRESMPHIDCLTVIAGDSNMPLHEWAFLHHLLSLRELRLFDCSNINLTISPDVCGALHSLQVLDVRNHEKLEELPNSMRQLTKLQSLGLCGCPSLRQLPQWIGELVSLRKLEMWSCSAIMTLPDSIQQLTDLQKLRIIDCNPELIKWCNADENRTKLAHIEQKASNLAFEGVGSVLSVSSVSPLSESETMKKRDDQDEDGRFGGRGYRI >cds.KYUSt_chr3.32552 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204628451:204631560:-1 gene:KYUSg_chr3.32552 transcript:KYUSt_chr3.32552 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRPSYRDGDRAAAGETGRHWRPPRAQTHGGGNVSVPLWERKFCTDACFIPWGKLCETKKLMSMYANIVDWDDSAAFEAFKDAKDRFYAVYHGQPCNIPLPDPNMYIDVVNPDEYLDPELIADLERSRRSVPRRDNTAPDGWDSFIFSDKPVPATGWGDGETTNTIGQQCSVNWDNYVEQPVEANCNQSSANWDNYASLPAETFVQQSSWDMYAEQQGQTSSWGAPVMPGTSHWDMNGDSQQAWNHDYGWGSAAVQTDSWGNQRDSQCVPDSQAQGGSYGHWKRRNNESGRRNSRNRERGGPIGSKAMKSKYQADEHGGANNSWRPCRVRDSMHYSYGQPGYGNQSLAM >cds.KYUSt_chr3.31752 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199569771:199570418:1 gene:KYUSg_chr3.31752 transcript:KYUSt_chr3.31752 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKLWNDKRERELLESLADLYAIIRATEKLERAYVRDLVSAADYEADCLKLISQFNSLSSSLAGVVTIPRFVQAYRLDCPAALNRLVQSGVPATVELRAATASSSAPASTAASASAIAQCVQSFITAMDAVKLNMLANDQVRPLLHDLSTSMGKLGTVLPPDFEGKVKVNEWLAKLHKMGAGDELTEQQARQLNFDLDSAYSAFMASLPTAGL >cds.KYUSt_chr2.810 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4770989:4771949:1 gene:KYUSg_chr2.810 transcript:KYUSt_chr2.810 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLVLCRLKPDVLADLAGRFRLLDSQASPLPLDAFLVAAAADDDPPRAAVVPGSGVVDLPECARRGVAVANAAGIYSADVADYAVGLLIDVLRGVSAGDRFLRRRGECLLPLVGSSLRGKRVGIIGLGSIGSGIARRLQAFGCVVSYHSRRQKHDTSYTYHPTILDLAASSDVLVVACALTAETRHVVDRVVLDALGSGGVLVNVARGANVDEAELVRALAEGRIAGAGLEVFEDEPNVPAQLLGMDNVVLTHHQAAFTPEAMADLDRLVVGNLEAFFAGSPLLTPALVFH >cds.KYUSt_chr6.12402 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77520938:77522977:1 gene:KYUSg_chr6.12402 transcript:KYUSt_chr6.12402 gene_biotype:protein_coding transcript_biotype:protein_coding MFTENYEFPPSGTVTLVTDSLVRSAAAADAAPLAMARPSRQTLGLTSLRRLDVISRSPPPPASVLRRAAAVALPCAVLYRAVLSHWNAPPPVQNDRYRRLWRDLDPPRAPVMVPGEYGDLDPISANDPMGDELTTALEKLAQLLVAKNADSTSTDGGVARVPQAEVVMELMPNEVKLEGMSNYLSWSRRALLILRTKGILGTFREALLNQRIRRVQIGRNEV >cds.KYUSt_chr3.27377 pep primary_assembly:MPB_Lper_Kyuss_1697:3:170889948:170891235:-1 gene:KYUSg_chr3.27377 transcript:KYUSt_chr3.27377 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPMEIEIEGNPMGNNSQYKMIEVLGRGSFGRVYKMMHIATNKIVAVKHLFYPGDQDGLNPHFIREVANLKKLSACPQVVTLLDDFTLDGQTGRSQAAFLVLEFMESDLERFIQRYDPMNPTPASTVKWVFVNYKCPELYLGERNYSRATDMWSVGCIFAELVTTKQLFPGPEADVMPQIFRLFGTPNEAIWPGVTSLPKWSQYAHLQNYPTGDLTQEVHRLDPVGVDLLKASLFCNL >cds.KYUSt_chr5.17250 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111472850:111478281:1 gene:KYUSg_chr5.17250 transcript:KYUSt_chr5.17250 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPLARSVHGTNPQNLIEKIVRSKIYQTTYWKGECFGLTAETLVDKAMELDHTGGTHGGNRRPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTGTIADVYQYLEPLYNDYRKIRQKLNDGKFTLTHVDEFIDELLTKDYCCDTALPRIQKRWVLEASGTLEPRRSALEDDFEEEEEDKEEGEPMDVDEPNTREKEHHRGRSPAKERDRERDRDRKHERHHRDRDHDRDRDYDRDHGRGRDRDRDRDRGRERERERDRDRDRHRIRDDDYSRDRDRERDGRERERRDRDRGRHRSRSRSRDRRDRDREDGEHRRRRGHGSGSPRGPAEDDGPREDPKKRKEKKEKKGGGNGPDPNDPEIIAMNKLRASMGMGPLK >cds.KYUSt_chr2.46483 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290604026:290605435:1 gene:KYUSg_chr2.46483 transcript:KYUSt_chr2.46483 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSLESVAFVAVPFPAQGHLNQLMHLSLLVAKRGISVHYAAPAAHVRQARSRVHGWDPDALGSIKFHDLDVSTYESPPPDPTAPSPFPNHLMPMFETFTTAARAPLGVLLQTLSATHRRVVVVYDKLNSFAAVEAARFSNGEAFGLQCVAISYNIGWLDAGHRLITDHGLQFLPIDVCMSKEFVEYVFRTEKELQEAGGVASAGLVMNTCRALEGEFIDAIAEYPEFKDQKLFAVGPLNPLLDASARTPPGKTRHECMEWLDKQPPASVLYVSFGTTSSFRVEQIAEMAAALKGSKQRFIWVLRDADRADIFAESGGESPYEKLLSKFTADTEGMGLVITGWAPQLEILAHAATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDADFLCKYLKVGLLVRPWEKHSEVVPAAAIQEVIEEAMVSDNGMVVRHRAKVLGEAVRASVGEGGSSSKGLDDFVAYITR >cds.KYUSt_chr2.10067 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63690941:63703369:1 gene:KYUSg_chr2.10067 transcript:KYUSt_chr2.10067 gene_biotype:protein_coding transcript_biotype:protein_coding MHESHDTENLPETPPPPIPSRGIREIASGTLPERGIISRRTLRRHGRLRSDVFHVGAGIPGVVPHYISQPSTFNVLLDSYWFHVGAGIPGVAPHYISPTSTFNVLLDSYWFHVGAGIPGVAPHYISPPSTFNVLLDSYWCWGSCDGAVPLRLQERSRCSALSVVFSAGRGGEGVEKGRVLACFGSELIKAPGLGVLPAPRFVVDGAFFVGVHVKGAPFRWREVDEDEDYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGAALLRRMNRYGLLSEDQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRVDTEKHVDFSLTSPLGGGEPGRVKRKNQKKAAGGGGGDGDEEEE >cds.KYUSt_chr6.1277 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7979424:7982947:-1 gene:KYUSg_chr6.1277 transcript:KYUSt_chr6.1277 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRESTATSGPNYSPFYVQHRGMGSPPAPGPAGAAFHAAPPGGYRQQLDAVSAGYAFQQQPFGAPAHIGQGGFHHQNASSPHMLPPPQQQEQQHQGADGGGMGGGAAADGKGDQQGSEGGQDEQVKKKRGRPRKYKPDGSATALGLSSPSPSTPHSSGSGMGTMVATPGSGFGPGAIVTTPASGGSGSGALAEKRPRGRPPGSGKMQQLASLGKWFLGSVGTGFTPHVIIISAGEDIHARIMAFSQQGPRAICIISATGAVSTATLLQDSDSGSVTYEGRFEILCLSGSYLVLEEGGTRTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGGSKKSKAKAEQHMEQNQEQNGGEEDAPTMALSDHNMPPNPMSGWPPGLMNQMDSGSPMYGGPQKNQAKSEQDMENEEHDGGGSEEPLAMAPPEHNMNMPPHPMGGWAPAGLMRQMDSRSSNIDINSIRE >cds.KYUSt_chr7.830 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4482860:4484118:1 gene:KYUSg_chr7.830 transcript:KYUSt_chr7.830 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTQSTNQALLDAQLNLLDNTFGYIKSMALKAALDLRIADAIEHHGGAATLPQIVERVMLHPSKIPSLRRLMCVLTVSGVFGVQPTDGSSELFPHFVASYLELGTWFQQELPEPCIFKLAHGEPLWKLAEHDATFDALINDGMVSDTSFIMDIAIKESGEVFQGITSLIDVAGGLGAAAQAMSKAFPHVEYTVLDLDHVIAKAPTGTNVKYIVGDMFESIPPANAVFLKWILHDWGHDDCVKILRNCKKAIPPRDAGGKVIIMDIVIGAGQSNVKHREVQALFDVYMMIINGIERDELEWKMMFSEAGFTDYKIIPVLGVRSIIEVYP >cds.KYUSt_chr2.7131 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44668986:44670227:-1 gene:KYUSg_chr2.7131 transcript:KYUSt_chr2.7131 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSPSTNLRLKVGYYKRSCPQAENIIRAAVRSATSKNPGIGAGLIRLHFHDCFVQGCDASVLLDPTPTNLQPEKLSPPNVQSLRGFEVIDLAKKALEKVCPGRVSCADIIALAARDASLFLSSGKINFQMPAGRLDGRVSLSSEALQFLPPPFSNLSQLINIFKAKNLDEDDLVVLSGAHSIGVSHCSSFTGFLAPNPPAMDPAFAAKIQSKCALRPNVSSDPTVMQDIMTPNQLDSRYYTNILKRNVLFASDAALLSSQRTTIKVLENAFIPSRWEMKFAKAMVKMAAIELKTAANGEIRRMCRVINN >cds.KYUSt_chr4.20000 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125722924:125728277:-1 gene:KYUSg_chr4.20000 transcript:KYUSt_chr4.20000 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNPRRATLLLAAGAAAAGAGGLLERRDPTTAVTVSASTPLRHLLSTASTGLLSSNPLFSPWQGRFPIIISFASALVPPTNLSNQGSGGNSDHSRCLSRNSIAEAAAAVAPSVVNISFVQETQWEQTKRVGSGTIIDPDGTILTCAHLVPDTESTKAVLSGKVTVTLQDGREFEGVVLNADCHSDIAIVKIKSSTPLPAARLGSSSKILPGEWVVALGSPLCLQNTVTAGIVSCVDRKSSDLGLGGIRREYIQTDCPINVGNSGGPLANLDGEIIGVNVLKAKSGNGLGFAVPIDSTVKIMEHFKKNGRAVHPWLGLKMLDLKPTIIAQLKERSSSFPDVRKGVLVSVVTPGCPAERAGFAPGDVVTEFDGKPVESVKEIIDIMWDKVGRQCKVLVQRAHNVSVILTVTPEEADDQQLSA >cds.KYUSt_chr2.7727 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48465614:48466072:-1 gene:KYUSg_chr2.7727 transcript:KYUSt_chr2.7727 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVFMARDRPPRRQYERLRGDLCGGEEVGGDSRPAAASAACRWTKARRPLRLRRRVGMAPRAGKRGAVRLLMRLLFVLVPARRAAALLAELVRRVVAAAECPAIVFSSQWGLPALSHSASGGASRRARLRAFYLQRSLSAGSSSGVASPC >cds.KYUSt_chr2.38440 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238282018:238283847:1 gene:KYUSg_chr2.38440 transcript:KYUSt_chr2.38440 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQKISNSGWAAFDRRLRGTADGGEDVDANKFPALAGSRGSSLASSSVAESNNMPKAKPFASVIRPPVECAAVSNDKRNKHPIDHTQKTNFGVNSASDNIKLLKDAHSWADSNLIEDVLAGVNNDVGQASVLLKAMVSPDLLPEEGRTTCQPAFEMNKAHGLASENATAGSKYPNESKLLPMQNVVPIPLEPELEEFDDDYLNHRKDALKIMRAATRHSQAASNAFLRGDHAAAKELSLRAQEERSAAEKFNNKAAEEIFHLRNSNNGIWKIDMHGLHASEAVAALERHLHMLEFQPQGNSPASTDELDKSEPTIAGSDEVPADKVVVLLRPRQSVLEVITGIGKHSKGQASLPAAVRGFLIENGYRFDELRPGVFNVRPKFRRR >cds.KYUSt_chr4.47719 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295402336:295409706:1 gene:KYUSg_chr4.47719 transcript:KYUSt_chr4.47719 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLSSDLPNGAPAAADRKKSRENERRRRRRKQKKNKAPSDVAAAAADAADAGEDDVPDSKPPVEIEVEYVPEEPDLADGLLADFKSIFEKFTFKDSPAAAEDGEKKDEAGADAAKKGSGSDSDDDEQDGQQKKKEGGISNKKKKLEQRMKIAELKQICNRPDVVEVWDATASDPKLLVYLKSYRNTVPVPRHWSQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLSSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPSLKIPGLNAPIPLGATFGYRPGEWGKPPVDEHGRPLYGDVFGILQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEEEEEEEDEPMEDDEMEEGIQSVETMSSTPTGIETPDAIDLRKQQRKEPERQTEKQLYQVLEQKEERFATGALYGSSHTYVVGAQDKAGVKRVDLLKNQKSDKVDVTIHPEELEDLDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKHEKDGKASKKKDFKF >cds.KYUSt_chr5.18721 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121097171:121106494:-1 gene:KYUSg_chr5.18721 transcript:KYUSt_chr5.18721 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLSTVEETCVRDKSVESLCRIGAQMKENDIVDFFVPVVKRLASGEWFTARVSSCGLFHIAYPSATDPLKTELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIREAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGADITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLVPILDQSVVDKTVKPCLVELSEDPDVDEISKAYYLNVLIRRLFISNIEAATIFASVQSAIGFHHEYDAPLGPPVFDVVVDLKSGHMYAIKYKNISNYLLHLLLFESH >cds.KYUSt_chr4.6911 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40900593:40904140:1 gene:KYUSg_chr4.6911 transcript:KYUSt_chr4.6911 gene_biotype:protein_coding transcript_biotype:protein_coding MRETAKDNERAYTSTPIHRESPQATFGGRGDCHRCEAKAEEERPGWMTWLAALSLPRISQAERREEIKDLYVRMSLISQNAVQKRRLEKTGAEDDIDEGTGSPVALDAEVGKKARSHHAGKRNKEKELKARQSQEAEEMKQLENSLFGAIYAPPQFGTEAGAPLPAQGQDGPLFFMDRSAGDDLPVYEEDLGSDDEMVDKGRKPAWVDDEEDRTEVDIVKVARLRKLRKEADERVISGREYEARLRGQHAKLNPFTGWADMDRKAPLPDAESDEEEGGVDNTLRSNDELVVKGTAKLLPGMLDFSRLVNANAQDPSSGPINSVQFHRNGQLMLVAGLDKHLRFFQIDGKRNPKIQSIFIDDCPVHKASFLPDGSEVILSGRRKFFYSFDLVKAAVSKIGPLTGREEKSLESFEISPDSKTIAFVGNEGYILLVSTKTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLRTRKCMHKATDEGSLTGLSLCTSPDSSYFATGSSSGIVNVYKRDEFLGGKRKPLKTIENLTTDIGQMKFNHDAQILAICSGKERNGMRLVHVPSFTVYQNWPGPRFSLQYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQNA >cds.KYUSt_scaffold_1259.296 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1960138:1964766:-1 gene:KYUSg_scaffold_1259.296 transcript:KYUSt_scaffold_1259.296 gene_biotype:protein_coding transcript_biotype:protein_coding MESIRRHLLPRSPLVLSMNPLSAAEAEPSPVIIGGMVLDIHAKPSVPPHPGTTVPGMVKYIAGGVARNIAECMAKLGTQPLMISVVGNDMAGDFLLKYWRSAGLCTDGILQVHDVTTPVVSNVFDGNGELIAGVASVQAVETFLTPSWIYQFRRHISNAPLLMLDANLSPQSLQAACKIAYESGVPVLFEPVSVVKSSRIAPIAEHITCTSPNEIELVAMANALSTPGKYKFVKLDQCNNNMEAVDYLFEMLSPAMFFLLEKGIKLLLVTLGSNGVFICCKEHVNIVKDQQKCNMTTFSSQLLEKLEGCFAPSMPVNLSGEGSSRTCVFHLPATSASVISLTGAGDCLIGGVLSSLCGGLNIMQSVAVGIATAKASVESEANIPANFSAASIAGLLHKSLSVS >cds.KYUSt_chr3.32817 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206249511:206257089:1 gene:KYUSg_chr3.32817 transcript:KYUSt_chr3.32817 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFPRVVVVLNDYLMCGTMSSKIVWQFRDAGSTTQGKKINNALTTTKTAKKGTFNYHIHVTSISHHTGEKGLAKELFPQMVATPTAFPLPASCYTRQLPNCTEDRCKMLCGGTVDGAHGVLILCREHVTLLLSHIPLSHRPPMELGTGKYLRNHHSALLRARSARPGAASTRSSAAFEEHGGRPEANHFGARRATILPRDSQAKADRVPLHAAAASTHAMAATSSPRHL >cds.KYUSt_chr5.660 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4695784:4696554:-1 gene:KYUSg_chr5.660 transcript:KYUSt_chr5.660 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLRHLGGRRQVFRRNERHRYGFRWYGGRRHGPRRGRRGGDGAGPSNAQAIPPAPLVIPEAQPPPAWILAGPAVPNLFADEQMEPENDDMDVDDPALAAPPPPLPCPVHGWACPRLAQQGIHVEEEAEPEVPEASSRAASPDLPSPTPAHELATAEPAQSRLDPPLHAPAAARDAVLDNDAGGSAAAAPPPPRRLRFIVPRAVLQASRAGRRSGDWSPARLGLSNGHSNGVAPGTQLPGGSSDEDEGGRGINRRR >cds.KYUSt_chr6.20330 pep primary_assembly:MPB_Lper_Kyuss_1697:6:128075091:128075723:-1 gene:KYUSg_chr6.20330 transcript:KYUSt_chr6.20330 gene_biotype:protein_coding transcript_biotype:protein_coding MLEASLASVLLFAAEDDQRLTLCGGPKGKLQTGALYRLCRIGGVSFPSASFIWNSHTSSWVKFFVWLLVQSRIHTRNILLRKTIIAADGASCPLCTAKLETPSHMALQYPMVAPFRVSLGVLILRDARVRGFHLLQMPSEVAVETAPAFALLCCWHIWSGMPLFFAQPARPPLPLLRKMCRDDAALWRGRFPVDAHVCVDAWLNLHASRL >cds.KYUSt_chr7.37445 pep primary_assembly:MPB_Lper_Kyuss_1697:7:233662851:233663347:1 gene:KYUSg_chr7.37445 transcript:KYUSt_chr7.37445 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTRHSPSIAVVFLVVVSVLVAGAAADASADKSDCSIAQTAFSECTGYIMGVDEEITPRCCRGLDAVKDLASTKDQRRALCSCILSEMLAAGKIKSGRVAALPAACGIRGIAFLPTNLGFDCSRIS >cds.KYUSt_chr1.10311 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63259562:63263328:1 gene:KYUSg_chr1.10311 transcript:KYUSt_chr1.10311 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGDGGDDGEDDDDGDGDDVQLDDGDDGVDFPLPEGISPADLSPPESSFLSGVLRPAEAAVILREIFGDVRFSSFKNTGSLMLKGTNEFLGRHGEKWVGAISYGYEKPPVACKPAVPYPTTSCSVGEGKTPAMDGGKKSRDSYKQPQERRERKSCTGMSGDAKKGGRGGKFTWEGADGYTDEDLDLIGTKDAGAGASDNKKS >cds.KYUSt_contig_686-1.157 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1185303:1188432:-1 gene:KYUSg_contig_686-1.157 transcript:KYUSt_contig_686-1.157 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDHIKSDVELVVRKEKLHKPKVQLEVHDVPNIDVGDVSAMPVDDKPVLVGDKPDEATLVVDVDVAASATVPVCVDASIQNDDVCADDVSVHVAQMRVEGVGGERVSGDSGQRHYRTRVLQSSFPRHRGCIEARMDVISLTFVEILGKALHVGIGAVRSSLITPEDVETTVAPSDDAVFAPTDRQPGDAAIVGLHALDGPVREVDLVVPVGWTHLRRRRRRVPRFVQTPSRSSVTPSSSPPIEFFAKSSSSPLRPPSASSALPPPWSPERRSYLAVALLSVAGAMTDPRPPAAVTFQPQQQQFPQPSLGQYQQQQVVPPPAVAPGQVGSRNKRKKKKGGQPVQVVQQSQFPQQPELSVGGVGGMVEAAAALPEVSAGLVAPFVSVPAVVSDEAQGKGKKSGKCWKCAVDSHATNDCMVQHYCLVCDNFKHPTLRCPSLRLPRPLAFVAGEGTDNTLLCLPDSVHKAHLAPTCSPTALVSISGDKVSAKAIQDLMKRICPLNGQWKWEAVAHRDDAFLIGFPTAADLQRVDGFQMGVPAHKGTASVTIWKP >cds.KYUSt_chr6.27618 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175273638:175274501:1 gene:KYUSg_chr6.27618 transcript:KYUSt_chr6.27618 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAAGGRRRRNKSRPEAQLEFLGVRRRPSGKYGAQITDSNAQRWLGTFDAPEDAARAFDAAAVRLRGAAAETNFVQAPTAFNDDDAGVAAHGPSSPVKKKARRPDDGADFRGVYRRNSGKYGAHIRDSKSKGKPYKSLGTFDTAEDAARAFDAAAVRLRGPAAATNFEQTPTSAAADEDVALHRPSSRSRVKKKTVARQLEARAVFRGVYRTSSGKYGAHIRDSKTKGKPYKWLGTFDTAEEAARAFDSAAVRLRGGQNQLRANTHIGCCSAPFLKGGEEGGGK >cds.KYUSt_chr4.3128 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17911299:17912343:-1 gene:KYUSg_chr4.3128 transcript:KYUSt_chr4.3128 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSEVFRSTPGSFIRHVSKPAIRRLPGPWRPRHYCTSPTSTATPLVLRWYHNPWKVAAAVSVVSVAALIAFCHRCLEVVPCTNRAHFVFLSPRTERELGDSEFAEYKEEVSSQILSELHPDSVRVRLIADKIIHAAQRGLGVGSRDAVILRVTGSRQNGKPWRPQPQTSHLHGLNWEVIVVEDELYATAFSMLGGKVVVFRGFLKDFSTDGEIAYAIAHEVGHIIARHHSDITRSTWVPMPLSAYFCKKTEKEADHIGMLLLAAAGFSPHIALAF >cds.KYUSt_chr3.46106 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290275153:290287524:1 gene:KYUSg_chr3.46106 transcript:KYUSt_chr3.46106 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKPTTPNRANETEKEKGRPDQMGEFRARAPGKIILAGEHAVVHGSAAVAAAIDLYTQSTFHLLPASEDGGAGAVEVDLRDTGLVFSWPCSRLREALGDKPAGVNAPRPCSSDELAAMAKLLEQEEIPEDKIWLVGGLSAFLYLYTSILGYRPGKAVVKSDLPVGAGLGSSAAFCVSLSGALLVAAGAVSAQAGNGGTEWGSMDRDDLELVNQWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGEFTNLRCTNPVKMLITDTRVGRNTKALVAGVSERVSRHPDAMASVISAVNSISEELSSIVESAAEDEVNTVRQFYENLVPSYTIYDIECPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWLTFSCNEECDSHDLPAKAKRFDSFFKQLYSISLASSNEYICKDFFLYVACHQYGLFATSTAQSNDSAASEGAIHGVPSMEKITFHLVRLEDGAILDEKAFRNDFINLAHSIGAYLYEDLLCIVSLRYQTIHILQIRDSGNLVEVRRIGAFCQEDDELYLHSHGQVAHGSSFLPGIKQRLLSFIFRKTWNEEPDQALRVQHLKKKFYFHFQDYVDLIIWKFLDRRHLFIKFGSVDGGVSRTTDQNLAFFAVYNMETTEIVSLYQNSSEELYSLFETFYDHFHANPQNSLHGNFISSHANNVHALDQLRTIRNKASSTSQFVKKMMSPLPYTCQSQSPSPYFDLSLFRYDEKLISAADRHRHCTEHPIKFISVKQPNVVKFKIKPGSDAGSSDGRAKRISSFLFHPFFPLALSIQQTYMQATVVNVHFRR >cds.KYUSt_chr3.44966 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283258040:283258818:1 gene:KYUSg_chr3.44966 transcript:KYUSt_chr3.44966 gene_biotype:protein_coding transcript_biotype:protein_coding MSESPVKLIGCFASPFVHRAELALRLKGVPYELVEEDLSNKSDLLLKHNPVHQKVPVLVHGDRTAICESLVILEYVDEAFDGPPLLPSEPLARATARFWASFVDKQFRESWIAMFSADGEAQVASAREVKANLTLVEGQLPEGKTFFGGDSVGYLDIVLGGIAHWMEMFEEITGVPLLADEEHPALRRWAREYTADEIVRQCLPDRDRLLAALTPKRDTFVSIAKTMATQK >cds.KYUSt_chr3.33191 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208248489:208249397:-1 gene:KYUSg_chr3.33191 transcript:KYUSt_chr3.33191 gene_biotype:protein_coding transcript_biotype:protein_coding MESETAEVLLPRPEQPVSSVDHLGRPASRGSSGGWHAALFIIGVEVAERFAFCGIIGNLIIYLTGPLGQSTAAAASAVSAWHGAAMLLPLLGSAVADSWLGRYRTVISASIQYILGLGMLTLSTVLAPGEPPGCAGKVPGSAWCATTSSAQVALFFFSLYLVAIAQGGHKPCVQAFGADQFDENDPRELASRSSFFNWWYFAAYGGNTVPVSVLNYVQESVSWSSGSSSRAWPWLSPLPSSV >cds.KYUSt_chr7.39995 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248426582:248427001:-1 gene:KYUSg_chr7.39995 transcript:KYUSt_chr7.39995 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAYHALWGVDHHVPDPDSHAVDIQVDHHLDVHAPDNHVADIEVDHHLAVHVPDSHAVDIQVDRHLVAHVPDSHDVDIQADHLDGVPLALRDPDSDHHGLGVAHHRGHPVEAPNHGHRLVAEEAVEELSQVAVRNPVR >cds.KYUSt_chr3.43204 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273081337:273083725:1 gene:KYUSg_chr3.43204 transcript:KYUSt_chr3.43204 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLERVTAALFDFCVAVHPRSIRPVRRAFGSSTGRHSSKLLTMSDLSQLPSDTDSEGKSPGWRHWWDRVATPSSGDDSPPPLDNAEEWEAVEEEAEEEGSEEAMAAAGRAKAEADAKAKAKVKAQTVSIVDEEEDTSSSDASTDTASSEEVTSKAGSAIVRTTRRGHQRRNPESTRAVEREAIAAVPAEVPMPLTIPDIPPAKRKKKDPTCLEISPVFPATATAGWSSLPDDLVRRIADSFLATNDVDCYMDLRAVCPSWRAATDDPKTNTSDPRFCPRTWIVLDEVSQSDDMRILLNTDTGRFLRKKIPLLLDYYVVATSSGFFVLADRSPPHAARVFNPLTGYTLRFTVPMAPDVRVAFVGSWGRGKASSPLCLVLLGDLSCKLYTTVPDSEAVVSYDCQQEVYNFFQKAIVGGAYPHIAGLGFAPAFAELLDLLRSLHADFVKVFFNDLPEDANDIRLFLVGLALHMVLVIKIQGTLFVFKMNTELGKVEPLQSISNFAIFIGHRRCLPVDATKFPGIEANCVYYTKHLGLSAHICKYNIKDKKVERISEAREFVKQDKQFVLVAHRPFTIIQLLCSYTINTPDSQLALQQMSEGVEASRSNSGDSDLDD >cds.KYUSt_chr4.12369 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75555335:75557410:1 gene:KYUSg_chr4.12369 transcript:KYUSt_chr4.12369 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIGCAIGGVPAGAPKPLDAPFRNYVNHHGLEEVSKMFSTSVDLDQTVKDFEKMVNDFNGNPVKLVWNEEKHQGQDLGDEDVDEGESEHEEDEADGKACNKDKGKTGTADTALTVPASELLYFVSVKENAVI >cds.KYUSt_chr4.44007 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272812431:272823911:1 gene:KYUSg_chr4.44007 transcript:KYUSt_chr4.44007 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPPLVILSSSDDDDGAGARRRAPPARRTRTPATAPPPKQEPSSSRKKPRRGRGGSGGRGRRGASDSAPSGSLKAEFDMLSEDFSECLTDLGMSGSMRQTEELWVDKYKPQSLAELAVHKKKVEDVKKWLEEKLEAPKGTFGGLSLVLTGQAGVGKSATVKAIAAEIGADLCEWTTPVPTLWAEHVHANSGLQYVSKLEEFENFVEKIRKYSLLSLASTGNQRKLIIILIDDIPVSSGKASMARLGKCLTGLIQSTQIPTVISLTHYHKSEANDTSMWNSEELESLLHGAGAHKIVFNPVTVSSIKKILSRICKQESSGTTEEIVHQIAASSGGDIRHAIMSLQYYCLNPRKLKSALAKNATLLDLKDCGTLAPGQDCYSLSFAKSSPCGRDETLTLFHALGKFLHNKRETDGDVNIDPESFSLKEKLRRNPLKMDVPEMILSQAHGKVRTVADFLYENALDFIDDDAIDDAWVVMSYLSDADCLLAGSPITSARWIVNESNESDSMSQLIAASVAARGVLFGNAHASPSRFYLPLVLALRYGEEIEYIMDCFGLGIGQSYTTRLHLSVNLFCLDVGVIRLAFRTAYYLLYSIAKETFLYSITVISSVKAQSSNTYLPLNSHFKMSNQNSFCAAVFMLQFFYPTLAYFPLNPVSTPMKVTLFLQGVGKSSLVHLLLNGSSIARPSQTVGCEVGIKHVTYGSAGGSSNNISDAERNFFVELWDVSGHERYKACRSLFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFIAPLGSGGPGGLPVPYLVIANKADLVPRDGTRVRNGSIVDVARQWIEKRGLLPSSEELPLTDSFPGNSGPNSAAKEARYDKEAVIKFFRMLIRRRYFSNEPAVPSPWSLTPREDSVLPVATLKDDADTFQRKSYSGEEYTFNGVLPLPAQRNLPPPPTPDPQPPVFSSDNYRYHRYSSPSLTEISSNRTSREKFNV >cds.KYUSt_chr5.20820 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135205531:135206838:1 gene:KYUSg_chr5.20820 transcript:KYUSt_chr5.20820 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRRRRSNKLKTASTKRKSDILPPGPTSVHHIPDHLLELLLLRLDSSLTILRAAFTCKRWRRIVADTAFLTRFRSLHAAHVPGHYHVVDPYREKLTPDENNHVFVPNPSTAGAIDRRHFSLDFLPELVDSSSWELADSRGGLLLLYRSRRNRSWRFRFPDLVVCEPLSRRYQGIAYPPEMRLHLCFGAFLLDGASVDDTGGCIGMSNFRVIVAVYEYVNYVRGAPRACVFSSGVDGGWSLGQSAASVPLPAFGVLNFVGRTRWSFYWAMERDGVVLALDDTTAEFSLVAFPDDVVGMTHEWSTFWVVGGEDGAVRVVRVIDRDLVVFARLQGSDEWVKEKLVRLLEAASGLPGRDETDFQQPAVIVAANDTSVLLTPRGETWLFSVELDTQEAERTHERNKNAGPAYPYELPWPPALHACPAADHRRPRRCR >cds.KYUSt_chr6.34044 pep primary_assembly:MPB_Lper_Kyuss_1697:6:213361090:213363626:-1 gene:KYUSg_chr6.34044 transcript:KYUSt_chr6.34044 gene_biotype:protein_coding transcript_biotype:protein_coding MMSITTTAASSPLCPAPVSRGGRRRCVVRAQAGGEADKSVEIMRKFSEQYARRSSTFFCSDKSVTAVVIKGLADHKDTLGAPLCPCRHYDDKAAEAAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGEDQSRGAASTSAGDYATWGSGNLRGDAETEVDPPKEEEYAPAAIAVEHGGVKLMTKDSGGEVVPSLGYRRRENKLQKLLKSSPSPRRRRAALLSLAASAGQEKEDIEDATGRTTTALGTGICN >cds.KYUSt_chr7.31409 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195609711:195612190:1 gene:KYUSg_chr7.31409 transcript:KYUSt_chr7.31409 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTIGGRRKGAKVMQLDGTAFRVKPPAYAGAVLRDHPGFQLLESEQVKLLGVRARPLEHDALLRPGRLYFLVALPKPVAPPRRAWSGALHVGARERLESLMLTRRSTSDLTFPTGTAPASPAASDGGPVQLRMRLPKAQLAKLMGESRDSAEAAAKIMQLCAANAAGSGAVTPERFAPRTPERFVPTPDWGTGVRLPQTPEHSPRFAPTPDWGAGRFSQTPEQSPRFAATPEWGATFMIPTPERDTGMMAKTPAERWSALTGTPGGKVSRKEKRTRFVALPDEIIA >cds.KYUSt_contig_2402.37 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000325.1:229680:231046:-1 gene:KYUSg_contig_2402.37 transcript:KYUSt_contig_2402.37 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHLRVQNELRVGIGMLFGRNGRKGPHILHNLDALDDLWTDHIGEGSSCEVRIEDEGASCKNPRGDREKGQRRVPFFVCLASIPKSPKEKLLLHLRPSPRPGWRGDAPLLHRSATATTTPLPHGDPSLALQRISINFGNSDKDHDDEKLLFLNPLLLLLQPLTNEPRMATTASFPCVPCCSTTSTLLLPQLPLL >cds.KYUSt_chr5.39217 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248173007:248173726:-1 gene:KYUSg_chr5.39217 transcript:KYUSt_chr5.39217 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIKREMSGESGLSCSGEYHSPSTSPEQQQGHSQKQTAWTKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGSRLWVGTFDTAEIAARAHDAAMLALAAGDACLNFADSAELLAVPASYRSLEEVRHAVTEAVEDFERRQELGEEDALSGTSSSTPSSSSSLTDDEEASSQADNSPFELEVLSDMGWDLYYSSLAQGMMLMAPPFLAASAAFGDYGEVNLADVPLWSYQS >cds.KYUSt_chr1.25922 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155993587:155994195:1 gene:KYUSg_chr1.25922 transcript:KYUSt_chr1.25922 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPPHSSAMRGLPSQRGLHPDIFSDKNCRVGSCENSTSAKTKNSEGQGVLRRPSRCASPAATTCPGSNVCPDTMSLRSSVRQEVWCFWLLCLQSRARDFISPPYPGALRLELRNFAVLPVCDDDNEHFLVVFPDLRFNTLVPHSHYSLHILHIYLPRSDSKAWCTQVAMVAGDTETQNNIMRQATFMMPQAWYMQHPAS >cds.KYUSt_chr2.29309 pep primary_assembly:MPB_Lper_Kyuss_1697:2:180211836:180217899:1 gene:KYUSg_chr2.29309 transcript:KYUSt_chr2.29309 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSDDDDDEDFEFDEALKEDLDLLSRSCIAAGADPDAAVARASSYLAVPAAGAPADGFSDDEEDEDEDEDLALVRSIRENLHLNKASPSSPLPSSPRPICVWPPSDTEDDDEDDEETLRAIQRRFSRYHSGESTRDPVPSDSNLEAHGTSTGQLENLKNETAKGGDDGFTAHQPGEEDVVKQNSTGFPRAAMLLVNALKKNRAFQKFIRRKMISIEAKIEVNKDLRDRVKCLMDYQLGCKRSFGKFLCQKVDPRVRLISSRRQSIQPEKNRYKMSALLHGPSENLHVSKYKTVLKQFPMSLQKQSWSDMEKDSLAKGIKQQYQETLIKDSMKNASSTGDFSAVNMAYALTNTVGNFEVTPEILRTVLPLVNWDYIAAMYLPGRSGAECESRWRKTLLPERTRVGRWSEDEDKRLIVSVKLFKSGSWNKIAQFIPGRTQSQCSERWRNVLDPDIDHGEWRPEEDSKLLASVHEIGACWSKIAGAMIPHRTDNMCMRRWKRLCQEELPLVVAANQVKKSIFQTNFVDRETERPSIGPGDFPSLVYSKVERSDENTASDQVKKSRKGSRRSSEDDLPANDPSKCSADVAAVKTKKRKSRKKSSGSGSERPTGEQDITLFDGVNNSSSGYTKGRKRKGTTDKNVVVQKRMRGSISVDNEATLDILESPIGVDNEAPRKRTRGPNKKTGASVSVGKEVTYNKKTGASVSVDNEGAVKKKMRGSISVGENKVVKSRMRGSISIDNQGSTMKRKRVSRKSVKDNSTADGVVNACELELPSVPPEASAERDIDTGNLNKMKRKSTARPKQINMVEGTASKYSRLADCISFACGNRMSRRTRPSGENLQIPTSAISAGPDPVDNGSMANASADD >cds.KYUSt_chr4.10848 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65823163:65830737:-1 gene:KYUSg_chr4.10848 transcript:KYUSt_chr4.10848 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGDIIGNLTGGLKNFHLKGSVSLMRKNALDFNDFGAAAMDSVTEFLGRGVTCQLISSTVVDSNNGNRGKVGTEASLEQWITSLPLITVGESKFKVTFDWDVEKMGVPGAIIVKNNHTSEFFLKTITLDNVPGRGTVVFVANSWVYPKGNYRYNRVFFANDTYLPSKMPAALRPYREDELRNLRGDDTQGEYQEYDRVYRYDVYNDLGDARQVLGGTKEFPYPRRCRTGRKLSQTSPDRESRPLPLLQSIYVPRDEVFGHLKQSDFLGYSLKALVDDALIPAIRTYVDLSPEEFDSFADILKLYEGGIKLPDIPALEEMRKQFPLQLVKDLIPMGGDYVLKLPKPQIIKEDEKAWMTDDEFAREILAGVNPMMITRVTEFPPKSTLDPNNYGDHTSTITEAHIGKSLEGLTAHQAVADNRLYILDHHDHMMPYLIKLNNLDDTFLYATRTLLFLRGDGTLAPIAIELSTPLIQGDLTTSKSTVYTPATTGVEAWIWQLAKAYVCVNDYGWHQLVSHWLNTHAVMEPFIIATNRQLSVTHPVNKLLVPHYRDTMNINARARELLVNAGGIIELTVFQRKYAMEMSSVTYKNWNFAEQALPDDLIKRGMAVPDKSDPSKVKLLLEDYPYAVDGLAIWHAIEQWVQEYLAIYYPTDSVLQGDVELQAWWKEVREVGHGDLKDAAWWAKMQTVPELVKACTTIIWTGSALHAAVNFGQYPYAGYHPNKPSASRRPMPEPNTEEYELLASEPEKVFIRTITNQLQTIIGISLLEILSKHSSDEVYLGQRDTPERTSDAKALEAFKRFGTRLEGIESEVVALNGNPQLKNRNGPAKFPYMLLYPNTSDHSGDAAGLTARGIPNSISI >cds.KYUSt_chr2.9450 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59530922:59534857:-1 gene:KYUSg_chr2.9450 transcript:KYUSt_chr2.9450 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPSLNRVLVEKVVQPNKTAAGILLPETTKQLNSAKVIAVGPGVPDRDGKLIPVSLKEGDTVLLPGYGGTEVKLAEKEYVKLIHHMNLVLPPLLPTELDSGGDDDEDNAAWEARHLAMAFQDDMRDKAKRARDAAEEDKRNVHRAKLASIRLEMARHGFDIVDYSDNELDLSDEDNGEEE >cds.KYUSt_contig_444.320 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000041.1:2107158:2113366:-1 gene:KYUSg_contig_444.320 transcript:KYUSt_contig_444.320 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSTVLGFFGFGVGVTIGLVIGYFLFIYFQPTDVKHPLIRPIVELDTKSLESMLPEIPHWVKNPDFDRIDWLTKFVEHMWPYLDKAVCKTAKEIAKPIIAENTAKYKIDSVEFETLTLGSLPPVFQGMKVYTTDEQELIMEPSIKWAGNPNITIIVKAFGIKASVQVIDLQVSALPRITLKPLVPSFPCFAKILVSLMEKPHVDFGLKLLGADVMAIPGLYAFVQDMIKTQIANMYLWPKVLEVPIMDPAKAQKKPVGILHVNVVRAVKLMKKDLMGKSDPYVKVKLTEEKLPSKKTSVKRSNLNPEWNEEFKLVVKDPESQALELTVFDWEQVGKHDKMGMNVIPLKDMIPDETKSVTLNLLKSMDSNDPVNEKFRGQLTVELTYNPFKEGDADLDTCDESGTIEKAPDGTPEGGGLLVVIVHEAQDVEGKHHTNPYARIVFMGEERKTKENLGYVVISLADVISNKRINEKYHLIDSKNGRIQLELQWRTS >cds.KYUSt_chr5.22411 pep primary_assembly:MPB_Lper_Kyuss_1697:5:146213534:146214889:-1 gene:KYUSg_chr5.22411 transcript:KYUSt_chr5.22411 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGQTTEDAQCTHGEGPAQRGPHAVFPTSVPYCTPEAETAAAEKMATAAAAAQAQPGTDAREWDEAAYRRGILRERDLSCRTLFRAAFFDQPDDSDPDVLLAAASSDGSLASFSLSSCIAASAPNHASPQPVAAALVDPVCIVQAHSGPAYDVKFYNDLHQPLLFSCGDDGRIRGWRWHEMQSCLLPLYLQGDHVEPVLDLVNPQHE >cds.KYUSt_chr4.31798 pep primary_assembly:MPB_Lper_Kyuss_1697:4:197026687:197033614:-1 gene:KYUSg_chr4.31798 transcript:KYUSt_chr4.31798 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFDGYRKVSVFYVSSKEKPTLGGQRIKTRKRNIAAPLDPASFSDAIVQIYLDNAGDLELVAKSIESSDLNFSRYGDTFFEVVFIGVRTQPGTIKPEEEGERHPYSLIDCAAQRESILPYVLFIQKTLRRRPFLIKSLENVMRKFLQSLEFFEENERQKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFITEFFKEYLKENSLDDLIALLKKGKMEDNLLEFFPSGKRTSEALSEHFTKEGLTSLVEYNDKKMFEVKLKEIKSTLTTMISEEAEISEVTEVVKQQVKDAQFPDLEVVRMLWDVLMEAVQWSGKNQQQNSNSALRQVRSLMHLICSVFSLHLQVNAWAGLMNAFCTSGKLELELIYKVQTQCYEDAKLMKLFPEIVRSLYDQDVLAEDTILLWFRKGSNQKGRQSFVKTLEPFVKWLEEAEEEE >cds.KYUSt_chr3.2966 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17066494:17069396:-1 gene:KYUSg_chr3.2966 transcript:KYUSt_chr3.2966 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLKAASPTRLAYFDDMWALRSPATVLSLHQEEGGRRAVVLDATIFHPQGGGQPADTGVISAATGAPRFLVEDVRVKDGVVFHYGRFEDAAGDGCGPELDKGQSVTLEIDAGRRSLNSRPSVEYKGVIPPDQLQDKKTQLEKQANELISKGAKDSTPRIVKFGEYPGCPCGGTHVADVADIGNLKVTSIRVKKGVTKVSYSINP >cds.KYUSt_chr2.19405 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122009087:122010645:-1 gene:KYUSg_chr2.19405 transcript:KYUSt_chr2.19405 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSAAASPSYAKLADTYKKAAATAASVTAYAVLARGMAHELLPHDLRAVLSWGASLVRARLELPPAERRTVVVRRLEGGGVNCVKFNTFYDDVQAYLATRIDPWSMSRICLSGGGSKKVMSMDGGDSMTDVFEGVHFRWKSVAQDGRHTPDSLELSFDTEHTDMALGRYVPSITAVLEQARCRDCPLKIFMNEGISWRGISHQHPATFDTLAMDPPTKQAVVADLDRFLKRKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAVANHLRFNLYDLDLSQVCYNGALQQLLIGMPNKSILVIEDIDCCFSATSRDRKTPARTQVGNKPARTQDGDKPAGTQDGDDADDDPDGCSSRQQNITLSGLLNFIDGLWSTSGEERIIIFTTNYKDRLDPALLRPGRMDMHIYMGFCCWEAFKTLARNYFLVDDHALFQEIQGLLAEVEVTPAEVSEMLLRDEDVDVALKGLVEFLTEKKRGEKDAGEA >cds.KYUSt_chr2.2714 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16221605:16222250:1 gene:KYUSg_chr2.2714 transcript:KYUSt_chr2.2714 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSRVAGGLLRSLVAGVFGAAGTVVGAVYGLLAAAFVVQDDDGFLRGTLVGAIAGALVSVDLAHSLLAIWCCSSVDMRIKRTVSAISGLPALADPHSGRGARVLFDRTSFGFSPPVAVVVVAKGAAVDGSCCPICLQEFEAGGETAGRLPACSHVFHLGCIRRWLLCKSHCPMCRHAINDQ >cds.KYUSt_chr1.26247 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158109382:158110089:-1 gene:KYUSg_chr1.26247 transcript:KYUSt_chr1.26247 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSPRQPGLGAGGARQQAATPIPFKVRRDSHLIHKHGSSASTSPPSSSSTNSSVSSSPGAGSQQRPAPRPKQQQPVIIYTTSPKVIRTSPRDFMSIVQKLTGQESAAAAAGSAVTGGAAQDESSSSSTESCANANAHAGAAPAPHPGHYMADPPQLMPPPASMDHAHFMPDIPLFAPAGAPEHLLCASRGGLYGHFQPAMVDGAANMHDAAGAVYSPSMVEAMRTTFPDYQLT >cds.KYUSt_chr4.7752 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46191689:46192993:-1 gene:KYUSg_chr4.7752 transcript:KYUSt_chr4.7752 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRDSPGDSPGDARAPPAAAAHQPPLLLVQSTSATTPVRRPDPAVLTLSVADSLPVGSHPEGPSSEGGGANVNRRSRLAAWSPGKVFQDYVLSRFSSLPSHSPVHPCPSDEIWVTPPFLSPCFSAASLSSILSFFFSGPGLGLVAAAVEDGVFHVVVGSPAVARFIVDVGPRHCARFRLGFHHGLPHARACAAELSVQAPPVMLSKRRRGRRPLPPWSRMPASSSGFGGRGLIPLPRARFGEGSAPQLPVASAIASSFKDNASAPLSPTSGAPRSAHATLEPRASANGAPGSPAAHPPAINAACSPLPRSYLDAARCPPLPSPKTPSAPFKNLSLDGCFRCLSVRHQVRSCRDPIRCRGCGRSGHRLKECTMPFPQPTFVPVRSSPRPANPSAAPRRPATPYPASLLTPLSPLFCARRARSSSPPVAWARSL >cds.KYUSt_chr1.16288 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94643908:94645773:-1 gene:KYUSg_chr1.16288 transcript:KYUSt_chr1.16288 gene_biotype:protein_coding transcript_biotype:protein_coding MESNISTCAICNGDMRRGIGGSGFTADCSHQFHFRCVLASSTSQACPLCSARCRELPSFRSSKSTPSPPASRVPAQPFFRPKEPRVFDDDDPLVRAPRPLGDRHHSAAGSTSSSGSIAVALNTHCEYSSLPRDATHENFAVLLHARAPGSGCMSIADAPPRARAPLDLVTVLDVSGSMVGSKLALLKQAMGFVVDSLGPADRLCVVSFSSGASRLMRLARMSESDGGKALAKRAVESLAAGGGTNIGAALRKAAKVLDDRLHRNAVASVILLSDGQDTYTVPRRGQDADYDALVPPSFAYTGDGYRSAPVHTFGFGTDHDAAAMHTIAEATGGTFSFIENEADIQDAFAQCIGGLLSVAVQELRVDVACANTGVRVRSVSSGCYRSRIDEDGRAASIDVGELYADEERRFLLLMDVPRARVTSDVTHLMQVSCAYRDMATGLPTNVDGEDAVVLRPSRAASLERSAEVDRERVRLEATDGIAAARAAAERGAHEEAVEILRKKQRAVARSAAARAGDSMCVELSRDLQEMRARVADRRRYELSGRAYVLAGLSSHAQQRATSRQMSCDGETAGRGAESGRATTQMSTASFSYMTPAMMDMLDRSRRSRELRSKDRRRSANY >cds.KYUSt_chr5.9704 pep primary_assembly:MPB_Lper_Kyuss_1697:5:61675922:61677821:-1 gene:KYUSg_chr5.9704 transcript:KYUSt_chr5.9704 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAFPLVFQFPHRRKPPPIPPRPPPVRRYASPAAAAAAVPPLPPASAYVHLPFCRKRCHYCDFPIVALGSSSPFPRGDGDGDGDDPRISDYVRLLLREVAATRPVSDDGVPLETIFFGGGTPSLVPPKLVAAVLDALRGKFGLSACPEVSIEMDPGTFDAAKLRDLVGVGVNRVSLGVQAFQEGLLRSCGRAHGLREVHEAVGIVTGCEGLQNWSMDLISSLPNQTQDMWEESLRCTIDARPTHVSVYDLQIEQGTKFGQMYTPGVFPLPNETDSANFYKIASKRLSEAGYKHYEISSYCKTGYECKHNVTYWQNRPFYAFGLGSASYINGVRFSRPRRMKDYADWVQKLEDGTWCHESSTSELKDMAMDSVMLSLRTAWGLDLHTFSKSFGKNLTLSLCTMFRPFVESGLVIAMDTERQALQLSEFDVDLENKGDTGSRVAFIRLSDPDGFLLSNELISLAFGIISP >cds.KYUSt_chr6.1546 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9443628:9444728:1 gene:KYUSg_chr6.1546 transcript:KYUSt_chr6.1546 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGKLRAVKVASGGGSVMCVPRWGPWTRALERRPRSANGLDVAHLLFSVECPSRRSTSATACGPSCFVEVGARDLLAPDGVATAAAAIGASIRALDVAGGVMAGAEGWFRKILSLVPRRPMSVGGSPRYGVYDTDFGLGRPSKVELVSIDKTPGTVSPAEGTDGKRGIEVGVALPGGRDGAVRRVLRRWPAAAMRDLAARHCNNKRKTTVVVFAVHIAKSQLLLVYTTTTE >cds.KYUSt_chr5.4087 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26311564:26313700:-1 gene:KYUSg_chr5.4087 transcript:KYUSt_chr5.4087 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAKDFWSLCRVHTHGKGATWRKLVLLGSQWVCLEIPLPCVLGLGRTAKMMQQMQQQQQMMQQQQVQMSWLMSQTVLSSPPGSIPAPPPYSMPWMPPPPTQSPGTPVTVNNMNIIRSMNRDYVSQGNDDEAGGSNEGGQG >cds.KYUSt_chr5.41795 pep primary_assembly:MPB_Lper_Kyuss_1697:5:263579576:263583984:1 gene:KYUSg_chr5.41795 transcript:KYUSt_chr5.41795 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHRYMLSRASVPQHSIIRRFAGKDIAHLGDLISAISKLSRGAKVPLEYVIYKDRHRNKTAVVTIDQHGWYASPQLYTRSDANGLWTAKLAIALESVIGTLSSSGDLDDIKADLRQSTSLEGSDLAGTISSNSSLAEQAIEPSLVMLEVDVPPMCMLDGLHAKFFAGAGVIIYHSDTLGLIAVDKNTVIIPACDIMISFAAYPIEIPGEVVFLHPVHNFAFVAYDPSALGAGASAVRASELFAEPALRRGDSVYLVGLGLDGSLQVTSRKSTITSCKAVDIRSGDRPRYRAINMEVLELDNDFGSQFSGVLTDDQGRVQALWASVSSQIFGSSISEDDQFVIGIPIYPIIEILQKFISGAPGQFRLINGIRRPMPYVRLLEVELYPTLLSKARHSGLNGSWVQALTKKDPVRRQVLQVKCCFAGSKAENLLEDGDLILAINKEPITCFLDIENACQKLDQSIDSDGMLDMTIFRQGKEIDLIVGTDVRDGNGSTRMVNWCGCTVQSSYPALRGLGFLPAEGHGVYVVRWSRGSPSHRYGLSALQWIIEVNDQRTPDLGSFIEVVKGLEDGQFVRVRTIQLDGKPRFLALKQDLHYWPTWELSFDPETATWHRNNISIAAERRAIEVRKT >cds.KYUSt_chr4.8296 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49607013:49612434:-1 gene:KYUSg_chr4.8296 transcript:KYUSt_chr4.8296 gene_biotype:protein_coding transcript_biotype:protein_coding MERCRIWWPRQQRQPELEESVSTRYVMFGWLFPHAGSVDIVVSAFVSEGEILRSFPSLDTLQATILSSDKRMPITLQESAAFTILGDCVMHLPRDFEACCVKEKYRLLQTQAVKTKHSAKNQDSSVVLNGSLGSEDQEKSRNNRKWECDCSVLDGFLDTCKKSVVKGGNWVHFCCKPEKCFKCNVNQIPMLQHLYLDDQNVEINHCHVILYDVPIAGRNHFSQGDDAPHSLKPPFKKPNWICDLQKRPPVLDLDPIVLALNCSNAARVPVAWKTANNSSAAHFLLATVFEALVQLLQHLTGIVLASVLTIVYIFIQLFRKCLSHVSKYSMLQKVFRHSWKNMHLRCCHILYLPVSLQDTSLSSSVNVEYAHKAAIKKHALWSNIIMDLLMGFILGTALLLNMETICSWTFSLLHYMTDAVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFILGGFLKHIIQGLAFSGILLGFTVPVSFFIDIIQLATLHVTLLQWLISLIYSRQIQTVTSLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLLPTASIFYIFFSILSSTIICLCIVLEIAISIIHCTPYAALFLWVTRRQRFPAGLLFLPVLFSSVSTDDDDALPVEYCSTSASGEKKTDIHVHSVPLVSELNCNYNTLGQVIGPHYQKVFKGIALPFCKQLAHGILRGA >cds.KYUSt_chr4.4228 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24217254:24219248:-1 gene:KYUSg_chr4.4228 transcript:KYUSt_chr4.4228 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPALAGATHSFPAFVSGNHLPSAYAPSHSPARRASLVVLAKAKVSTPQADRIARHVRLRKKVSGTTERPRLSIFRSNKHLYAQVIDDTKSCTLASASTMHKSLSKDLEYSAGPTTEVAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAARENGLEF >cds.KYUSt_chr5.33932 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215628259:215632937:1 gene:KYUSg_chr5.33932 transcript:KYUSt_chr5.33932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os09g0456800)] MMAGAAMAQQPHKGGGGVTRGFGGGCGGSGGPAPFLTKTHQMVEERGTDDVISWGEQGRSFVVWKPVELARDLLPLHFKHCNFSSFVRQLNTYGFRKVVPDRWEFANENFRRGDQSLLTGIRRRKATTTTPQSSKSSGSGVKLGFPPPLPPLPPASASTSGAGNDHSSSSASSPPRLDLTSENEQLRQDNHTLASELAMARRHCEELLGFLSRFLDVRQLDLRLLMDEDMQGAAGGERDHAHEAEQEHIPEEKKVKLFGVLLKETTTRKRARCDEAVASERSIKMMRMGEPWVGVPSSGPARCGGKN >cds.KYUSt_chr4.39593 pep primary_assembly:MPB_Lper_Kyuss_1697:4:244400570:244407979:-1 gene:KYUSg_chr4.39593 transcript:KYUSt_chr4.39593 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPRTRQPKQGFLKSKRAAEPTAMLDSDDDEIDAFHKQRDVIPLDVDDARESEDDDLEQSVFDLEGISDNETGDSEGDEDEGDEDGDMGKADYAGWDKGYIRQLERAHREAKKIAGDEDKIDESEEEEKDENIWGKGKKTYYDAGEHSGDEEDYEEILRLKKEKDSKLSMEDFGLEDNRSYGEDKPPKVSSPQTKVTDDASSFESYAKMKEDFAVLSRDEKLSAVYSSAPELVGLLSDLNEAHEELKAIGPVTDVALGSLACGITPPAAICDLPGVNWGVTAGQGKQKGTIQPLEVKRACLLAHCQAITFYLLMRAEGLPVQDHPVIARLVETKSMVEKMKLANINFTRQKGDTDEHHVPESSALNKVDIKTSLDKKEGIASILPALNKFVSGDDDVPKRDDIGERRRKHELRVLARVGVEDDDLPEDGDDAKEKPDQSSDEDDNDDDGDSAESEDEFYKDVKRQRTEKLLSKQRVPIGEPLEEESEGDGKRKISYQIEKNRGLTRSRNKKLKNPRKKYRLKHEKKVTARGGQVRKIKKPSGPYGGELSGINANVSRSTRLRG >cds.KYUSt_chr4.22067 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138770151:138771533:1 gene:KYUSg_chr4.22067 transcript:KYUSt_chr4.22067 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARTQLSTRLMNVSLAALCRGGSLARAESVLVDAIRLGMPPDVVSYNTLLAAHCRASGLDAGIAVLRRMREAGVGADAVTYNSLIAGAARAGLTVRALDLFDEMLQAGIPPDAWGYNALMHCLFRSGHPEDAYRVFADMAERGVAPCATTYNTLLDGLLRSGHVSNAYRMFRYLQRAGLPVGIVTYNTMINGLCRSGRVGYARMVLRELGRTAYAPNAVTYTTVMKCCFRYGRFDQGLETFLSLLEGGYVPDLFPYCTVISALVKKGRMEEANTYSELMIRSGFRLDSACYNTLIYLRCQEGKLDDAFELLSMMEEGGLESDEYTFSILVNGLCKMGHIEAAQKQLCYMEMRDMESNVVAYNCLVDALCKSDQVDAAIKLLHDMKLRDDFTYTSLVHGLCKVGRYHMASKFLRICLHEGNSVLASAKRAVIAGLRSAGFKNDVRKVRSALRMARLLKP >cds.KYUSt_chr2.30897 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190332442:190336880:1 gene:KYUSg_chr2.30897 transcript:KYUSt_chr2.30897 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVHQSHTGAPLESSPDKNRVSSLPGDNNAPHAALPFRATAVKPAASQAIPDSNHRAGDMPPKELPGFYYDPDKNRYFPIKGPIPGAATRRPRPPPPPAEPLPPPTACRRKRARQSELLHAREMYGGGVMLSKNSRSTFKHHCQYAQASEPMAWKYQGTALVADRALEELRTMVQTPSGLCDSKILVTGSMNGSIRLYGLGSALANYEDEMEFSPQPAWTPLGKEKAAANSALANIWSLETAFLNLASISLNADGRLERVASFDRTVWTADCNLDGTQVVLGTNNGAALLNLETGALSWLHRCKSDILSLQFVHSGNVVLCGLRNGSIVPIDVRQRQNSLSSSLTSPITTGTTVPMLSARHNARGRNQSDKAKSSRVIRMSSAVCSLVALSSDEHYFLGSSMDGLIKLFDLRLIQKGAIQSYAGHVNSHTHLPLVVDPSETLLMSACE >cds.KYUSt_chr6.3067 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17961057:17964410:1 gene:KYUSg_chr6.3067 transcript:KYUSt_chr6.3067 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSVCIGCLIPLFLIPFVNALPYLFDLLLSKVYRMLGWEYRKPERVPAACPFNPAANKTAGATGESEPLVNPHLASGSKPLVEPQVAGAEGKKDD >cds.KYUSt_chr2.38362 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237761381:237762760:-1 gene:KYUSg_chr2.38362 transcript:KYUSt_chr2.38362 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTECRLHAGGSTDDRLSALPDDLLHRILSFLPCQRTVQTTVLSKRWAGLWRSAPCININMVYFSTRSRHRWQKIEDLVTNFLIFHNAPVLDAFRIAMDGVIADDWLPAINRWVRRGILGCPSVLEIEFFDTGIPDSPFGDTIRPGPFELPDIGSTSSSRLKKLSLVGVSLGSSFAELLRSGCPVLEDLHLEDCCTEFNHIQSHTLKKLTIHICYRHSVGTLAIKAPALVELVLDPDLSMPEYTQKNEFSLADGSTNSLVDAWVVFPNDMSPRSRVMLLGSLSNVTKLELTACFSAELMLDNELGQFPIFSNMRTLWLRGCVFGNRDGKCSTLDIFLQKTPNLKKLTLEHQRVVYLLMLLSTYYPGDSFVVHLLLILPEFLYTAMLLQKYISRGGAQEEKGQDK >cds.KYUSt_chr2.55275 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344600531:344602952:1 gene:KYUSg_chr2.55275 transcript:KYUSt_chr2.55275 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVSALGKQDIAVGRYALKVRNETGARVQLHRCHQACRSSASTRWSRRSPPPPTRCTAPAPAPRAAPCSAARALHALLAVSAAPSARWPTTFVVNQLLALYARLAALPDALALLRATPRPSVVSFNTVLSALSRGCSPTPRAAFRLFAQLHASGLRPTAPSLCALLRAAGAARDGRAGAAAHSQALALGFLASDIVPTALLQMYCECGAPRDANRVFDEMPTRDVVAWNCAIHCSVRYGSLARALRQFREMVRCGLAPTESTLSSVLSGCARAGDSRGGRALHGWVVKSDELDPDTPLQNALLGMYAGSGDLGTALRVFDRIGTPDLVSWNTLIAGFSGAGDGWSAMDAFVRLKDAPFGEPVAPDDYTFAALVSAAAALPAMHSGMPIHAQVVKSGFESSVFVGNTLINMYFTNDRAESARVLFDSLPEKDVIMWTEMVAGHSSLGEGELALKYFISMLQEGYKVDSFSLSSALNSTAELAGLKQGEMLHAQVVKSGYEANICASGSLLDMYAKNGALEGAYLVFCTIQKRDLKSWNSMIGGYGNYGNSEMAFKLFGEMIRDELQPDHVTYISLLSACSHCGLVEKGKFYWYCMMTDGIMPGFKHYSSMVSLLSRAGLLEEALDLLMKSPFAKKCPELWRILLSSCVALKDLSIGVHAAEQALEQDPDDMSTHILLSNLYAAAGKWDVVAEIRRKIRGMVVEKEPGLSWIEIKKMVHVFSADDEFHTQIDDCRDELLRLKGNMELLDSSEKELLSSG >cds.KYUSt_chr1.4593 pep primary_assembly:MPB_Lper_Kyuss_1697:1:28152756:28157683:-1 gene:KYUSg_chr1.4593 transcript:KYUSt_chr1.4593 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVLSWNKELAKKQCDNGSTPLHSHLSRADFMVQILLESELSAAYQPNGSGSFPIHIAASKGRLEAVTILLKMCPDCVQLCDIMGRTFLHVAVENRRYNVVSYACRTPSVAPILNTQDNNGNTILHLAVQAGDLSMNPQVIIRKSLGFAGATYGCYGASLLDEQAIFQPDNDRQSEEMKDSTQTLGIGSVLIATVAFGATFALPGGYRADDHPNGGTPMLAGSLNARPYYPGPLLGDPPPRFPTPRGLPRPSVSSDAFSPPPSSPPSRFPSTPPPLRRLPRLTPSSALPVNPFTAKAAFIRYWNRKVHSNRLHPAFFFAKLSPLSAPDAAAFSSLAAAGQLGSRLPAFSVAASILCPATSDAIWSGPSSVAAVTSGSSPASNSTTAPFKNYDNGNFSSYGNSGGGGTCQHPDF >cds.KYUSt_chr3.40689 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256688122:256692228:-1 gene:KYUSg_chr3.40689 transcript:KYUSt_chr3.40689 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCRDVALSPCLIARASTPSRSRTSLTPRDPDTEILAVPVSRDDLAEKVPRWEKGSSSGSEHHPVLWVAFVRKYLGHFTLALYDMEVKRMYPPLLDDYMCTPDLVEIMSITLLS >cds.KYUSt_chr1.40340 pep primary_assembly:MPB_Lper_Kyuss_1697:1:247043658:247046144:1 gene:KYUSg_chr1.40340 transcript:KYUSt_chr1.40340 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHSDRGGGGGGGGTELFICFTSRPSTASSGLRPSASSKAFSPGRGGGETAPPALRPSLSRRLRNSGSLKGGQSPMFPPGTAVPSGGRRGRAAGMEPAEPSSPKVTCIGQVRVKGGKRKPKHASAAALRSRSRRGVGGGLGSESSFRRGGVDDRDGPGGKNPSQGGWVYQIPVNICEALKTFGSCGGRSLCSPSRDRPRSAAADKKRRRAPAAGSWLCGAAMARCLLAIQEEEEDVGKGSAVGPADDARGSEVGLVMQGWDVEDDDEEEFVVGEVEVDKEDEILLVGGKEEEGRVSVCIPPRNALLLMRCRSDPVRMAALATRFWGSPAAANVGQVVDNQGDNGDQKQEEEQGHHNVDNECQEEEAARNSMVSVNDAVCRDCGVVQDGGAEAGDAGQVEAEAEESSEHADLEKEEIDRGNREENDDEPAVEVQIVRKDVGLEVASAGEAANKSESQAPGMVEVAADAKEVADVPRPEKEEEEVKGRRSVSSCSPSTALKEDRKLRRLGSKKRVSSSSRASSSSDRVDRRHSFSAEMEARRSSFSSVKDSRRASFSIDRDGRRWSFTIEQEHLVAEPKVLMASRKGKKNSSEPESEKDCAALVVAPNSVEEAQESHDDGKEEAAKDGEEEGTTQGAEVSTEVEKVETIIDEKEAVEVKQDRRKKSGELPDCLLMMMCEPKLSMEVSKETWVCSTDFVHWKSHQGQNRRQQKAAATGSNAAASEENKDADNAEETSLVKDTEESRAPAPLRSASMPPQVVVQKPPPKPAVEKLKLEPPMVASVAAAYAPLVLKRCKSEPLRSSARLAPDACFWKDRHRPLNATGIGF >cds.KYUSt_chr6.32718 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206108135:206111296:1 gene:KYUSg_chr6.32718 transcript:KYUSt_chr6.32718 gene_biotype:protein_coding transcript_biotype:protein_coding MADLIVSSAYSAADSLVGRFTRILEDEAKLLFGVQGDVLFIKDEMETMFGFLKDVSFSFSMDGRGEVWVKQIRDLARDSENCMDTYLNKLARGARDRGPSSWLWQIQTLPARRDLAIQFQELRSRAQQVSERRSRYGIENPRSRDGMSMPHAETLFPEAGGVDAYEKECHRKRRFLVREEEPLSEILEGDTDLLVRWLTEPEPGDTPPLEQLTWPKHEMMPRQSSVPLLDLVTEEGDEIPSWYRPPEQLTEPQEETQQQQQILCLEWKAELGNEVPQQELRLEWIEPGNQAPQTQQDLRLEWKTGQGNSNKKTPPRVISLVQQRSGDNLNHAKKVYDHSIIRQSFGIRIISALPGAAAGGGHSVVLVTTRTYALANSFSPYKVFPPLDPLIDFFFAKAVSLLQGKPGHDTKRPELIRNALSKCAPDMFCLKVFLHVLYVDPSKMENSEFNIHSSESSENIRQLSLLWYNSLPLRYKNCLIYLSIFPKDGTIIRRTSLVRRWTADNLITGRNGSSALDEAESCFNVLLAANFLLHSDISASGKVKSCKVNGLISNFICDIAREKKFVDAELHPDLGSRISVHNRSQLQQVLATSRVSRQNYSCWNIQKQSTENQDGQRLDELTKFFENLPAFARLGLIRVLDLEGYDGLKNNHLNNICKMFQLRYLNLRRTKITKLPRHLENLQQLETLDIRETTVSSFATKAVVLPMLKHMLAGCTQSSNEDTELVSTVCLPDGTGRMTNLQILCHVDVSSKEDHLIEIGKLQQLRKLGVVFRSRKSNFKHLLQAIEKLNKSLLSLSIHVEITDGHESTDINTAEPAAFSPPKFLQSLNICGITRGLPRWIKEHHLLAKLTLRQTRLTEEDIKVLGKLSGLRCLRLLHWSYDETKLTLQEEEFQSLVFIRIECSNITGISFNKTPKIEKVVWKFTDMRSIQGIGRLPSLNQLELIGNCDPSAIKEELSTHPNQPVITHNGQEINGSGEDN >cds.KYUSt_chr2.37316 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231084315:231084815:-1 gene:KYUSg_chr2.37316 transcript:KYUSt_chr2.37316 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGIYHDGVAAAALYHGELGVVVVDTYAREVPAHAVGSGMEAGVASGELGAAVSAEEVSSGEQGAVVNGEQEVASGEQVAAVCGEQEAASETAAGHSEPEVAARDEQGVVLVELRDAAGGVRGDHGAWMNCHGPGGHGACDQMHCCGTPWEPLLVSSLGGDASRG >cds.KYUSt_chr4.1388 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7338115:7338954:1 gene:KYUSg_chr4.1388 transcript:KYUSt_chr4.1388 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGHGAGEKKGIMENIKAKLPGGHSDHHQTGGNYGQQEHTGTATHGAPATSGTYGQQGHTGMTGTGTHGVGEKKGVMENIKEKLPGGHGDHDHTGGTYGQQGHTGTATHGTPATSDTYGQQGHTGVTGTGTYSTGEKQGVMENIKEKLPGGHADHEHTAGTYGQQGHTGTGTHGTPATGDTYGQQGHTGVTGTGTHGTSEKKGVMENVMEKLPGGHTDHQQTTGITGTETHGTTATGGTYGQQGHSGTTGTGTHDTDGTGGKKSIMDKIKDKLPGQH >cds.KYUSt_chr4.26973 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169393133:169400708:1 gene:KYUSg_chr4.26973 transcript:KYUSt_chr4.26973 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTQKKKSNYKEKMARRKEESKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGADLRLADAQKISIEKSKYLGGDLEHTHLVKGLDFALLNKVRSEIKKPDAEDGKDAKARETKEDRSISFRTAIAKSVYQWTVKQQQSMIKTNEMFLPGRMAFIYNMEDGLNNDIPTTVHRSKADCPVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGKNNLAGGDYDEAVRRQTNGSALKQHSEKELLPPPPPLPRKSNFSEKEKHKPSVPIAREDDDDIFVGDGVDYTVPNKEMSRSPISEDMDESPHNHQNQSHLTEPAYGPIRPSEPAYGPIRPSEPVYGPIQPSEPVYGPIQPSEPAQAWQQQLDGYDPIQAQMAAAGYQGEWPAYQYPEQQLAYPEQYMQQGTLGYDVLADPNIIQDPRLMTQADKDKGLGSVFKRDDDRLKQLREKDAREKDPNFISDSYSECYPGYQGYNQEIAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLSNDLHKINKILARKKGDKDGGEGHYDDDMPSGKKQRA >cds.KYUSt_chr4.4622 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26524628:26525184:-1 gene:KYUSg_chr4.4622 transcript:KYUSt_chr4.4622 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHWWPMHSAPLRGGWSCRRAIHLLRAPLRRVPALVEGGMDNPKVAKRCLTDVPVTDVDQLRCSLRGCSALVAPKKVSAESSHTHEIQNRDEERGVEKDDRYDMWSTVVIEREIFHVTNPVWFHVLTLSVNQNVTTNHVRN >cds.KYUSt_chr4.17586 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110113380:110116388:1 gene:KYUSg_chr4.17586 transcript:KYUSt_chr4.17586 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPGGGGGGGVGGGEAGDMRGRHRIQAELKKLEQEARFLEVSELLELLTSLGIGGLKVRKTCVDANAGFCDHCQVTKRLFPLNEFLEQSLLKEWYEKGTVGYISNIED >cds.KYUSt_chr7.32395 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201793981:201794250:-1 gene:KYUSg_chr7.32395 transcript:KYUSt_chr7.32395 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWADQWDTGADPSPRRGSGSSGDGKKQGGDRVDKTKAAAVTGLKKVKAGTAQGFQWIKDKYHQKKNAGGGKNSNKQGGGGSQIAAGY >cds.KYUSt_chr7.33171 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207084845:207086008:1 gene:KYUSg_chr7.33171 transcript:KYUSt_chr7.33171 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSGFSSEHPWPDLPPELLGLVLSRLPSHADRVRVAAVCHPWRSKARMLRPLPPLLPWLALCDGSFLSLPDGAVHRLPVPGDVSSRFSTGGMLALVHGDGTYSLMNPSPARTTPLPELAACLQRKPIVQKVVVSDHLVAALVKVKKLNNTTTTKVITSARGQQCDTAVKWPVPAGSFINDIALFKGKLYILTTEVEHYQHELHILDGRREQTAIRRIPIVVDDYEESWYNPYSTDNYVPRYYLVVSGDRLLMVERRINQPPMFPRDSGIVKRTRHFEVFEAADLSSGRGRWIEVDTLMGRALFVSKGCSESLPAIGQCGVQEDCIYFMNEDDAYTDKHEKIRENPMLDSGVYNMRDKTVMPLLLEAAPTPATGDGLWSPTWLFPET >cds.KYUSt_chr1.2969 pep primary_assembly:MPB_Lper_Kyuss_1697:1:17755455:17756652:1 gene:KYUSg_chr1.2969 transcript:KYUSt_chr1.2969 gene_biotype:protein_coding transcript_biotype:protein_coding MDEARVKLTCAAAVVLHGDKPLVDQVTITLADARELLATMAKGLCLNLTETLVFKELARVAVAFAGLESQCQDNCANAHGLLGAMEGLRHVAKFFLGVEAAAELRPTPAMPADAEPLSTQEAKATFLWDLAKEFAALVTDIKWRYAARAVPAVNESGVDKRRYVSVDADEDLVSHLTGTCADALALLGQMVHKVAGGGFPFTDVAFQEVVSIGKSFVKLQVECAHGSVTSDAVIDAMQALKKMAVVFAGTGVASALRPDSPWSSQGLNDGQNKVAYMWDLSAAFSVLFYDVKFRCVDPKSLK >cds.KYUSt_chr5.30846 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195348541:195351795:-1 gene:KYUSg_chr5.30846 transcript:KYUSt_chr5.30846 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPPYGLAALWSGRLVAPLRMLFENRNWRFGILSIDAIDGNGDLDGALEPPWLSGGPLRRMRQHFLLHESDAADESTVRYMLVSHSFRSIYAANLMTSTNNDTEPSTHRTMLACPRSSPAVPDLATCTGVSGVEGGKWWWVVMVCPYRRGEATWKGRRGDCWRGRDWVPEPWRD >cds.KYUSt_contig_319.1012 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:6725824:6727695:1 gene:KYUSg_contig_319.1012 transcript:KYUSt_contig_319.1012 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDTGAGTSPAAAPKGRLSVVFTAPFARQVAVGRWFTVFASFAILTASGATYIFSIYSKTLKSALGYDQQTLNTISFFKDLGANLGVFSGLINEVTPPWVVLAIGAAMNLVGYLMVYLAVDGRTARPPVWLVCLYIFVGANSQSFANTGALVTCVKNFPESRGVVLGILKGFVGLSGAVYTQLYLAFYGDDAKSLILLIAWLPAAISVVFVHTIRIMPYPRRRGGQETSVDPFFCFLYISIGLACYLLVMIVVQKQFAFSHAAYAIAATALLIVLFLPLCVVIKQEYKIHREREIDAANEPPPTITVADAHGLQMSTNSKADEQQEQAPPPSSSSSCMGSFGGCVKTMFRPPARGEDYTILQALVSVDMLVLFVATICGVGGTLTAIDNMGQIGQSLGYPAKSINTFVSLISIWNYAGRVTSGFASEALLERYRFPRTLMLTVVLLLACAGHVLIALGVPQSLYAASVIIGFCFGAQWPLVFAIISEVFGLKYYSTLYNFGGMASPVGAYILNVLVAGRLYDAEADKQPGGGFAAGSGRDKVCLGVECFKRSFLIITAATAFGAVVSLVLVWRTWSFYKGDIYARFRDGAGDGRLPVDQRRTPEKEDSAPADGTNAKGSEAR >cds.KYUSt_chr1.8332 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51261076:51262026:-1 gene:KYUSg_chr1.8332 transcript:KYUSt_chr1.8332 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNAAEAGGDAAQRTRAGTNGVGASSNRIQVSNTKKPLFFYVNLAKRYMQQHGEVELSALGMAIATVVTVTEILKNNGFAVETKIRTSTVEIKDEMRGRPIQKAKIEIVLAKSENFDELMATAAAEAAVGVVDEQN >cds.KYUSt_chr6.26944 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170860987:170863172:1 gene:KYUSg_chr6.26944 transcript:KYUSt_chr6.26944 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTIILLVLLTAHQALATAAGDAHPGYAGAEADTCGPSLGGSSSSSVGAAVRRHGPELEEYGGGRIIDITHAYMPGKPAYAPGATVGPVVRLKESMENGSEYNLSELHMECHTGTHLDAPGHFNQAHFAAGLDVDTLDLEVLNGPALLVDVQRDTNITAEAMESLNIPKGVRRVLFRTLNTDRGLSWKEGGDMSYVGFTEDGAQWLVDNTDIKLVGLDYLSVASLDHAASAHIVFFKNADIILVEFLKLDNVKTGIYMLHCLPLRLVGSEGSPVRCILIK >cds.KYUSt_chr2.54886 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342269096:342271994:1 gene:KYUSg_chr2.54886 transcript:KYUSt_chr2.54886 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAARVDDLVAAAGLMSFCTTGPDFWANLYHVCRQTLAHVYVSFYSSTVLHPRLRPPLAVVCIRAHASDFLGAPRLGASASTSPIASPPHMLLPAQHLAAGDLQQQHLTGFASWPPQQ >cds.KYUSt_chr4.46777 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289189576:289191519:1 gene:KYUSg_chr4.46777 transcript:KYUSt_chr4.46777 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGQATFTAECSHTFHFSCISTSVAHGNLVCPLCNAQWRDLPFVRPTSVPQPPPGHILQHRQPPPAHIMQHRQPPPAHILQRRQLPNPTSDPVVVFDDDEQVEPVSGPTGNRQTAPAGAASNGAVVVNTHAECSAVARDSSSDNFAVLVHVRAPGMIETDAAPRAPLDLVTVLDVSGSMSGQKLALLKQAMRFVVDNLGPNDRLSVVSFSSDARRVTRLARMTDAGKASCISTVESLAARGGTNIAEGLRTAAKVLDERRHRNPVSSVVLLSDGQDTYTSVTRRGGGANYEALVPPSFLRTDGEWSAPIHTFGFGNDHDAAAMHVIAESTGGTFSFIENEAVIQDAFAQCIGGLLSVVVQEARIAVTCVHPGVRVISVRSGRYESRVDEDGRAATVRVGELYADEERRFLLFLAVPRAEAADGNNTMCLVKVVCSYKDAATGGDVSVTAEDVVVARPENAGDTARSVEVERERLRVEAAEDIAAARAAAERGAHEEAVGILENRQRVVAQSGDGDAVIVALGAELLDMRERVSSRQSYLRSGRAYMLAGMSAHAQQRANSRQMMQEPVNQSANSDGLPRMVSFRAVGSAAVQDEATLSYSTPAMRAMLLRSRNASAMQEPVNQSEKQSTVGDDAQSSQPEANKT >cds.KYUSt_chr1.28121 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169626803:169627851:-1 gene:KYUSg_chr1.28121 transcript:KYUSt_chr1.28121 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWTPPDCTTFTDLMLDGSPIDLEGVSPTHRRTNVASSPIPRVLWSSGAPPPGPYGPYAPPPAPPGPYGSFLPPPYPYPQAPQNAPPVGSGSGTVPPYPPPSYGAYPPPPYPYAPYGPYPPPPSEAPSSESNAAETIVPPRAKRLDWTVQEEEKLVNAWLFNSKDSVAGNCKTGTSFWGGIAATFNATADPSRHRTSKQLKDHWNAYNKEVSLFNAYHIQETNMRQSGADDDMVMKAAMESLVKAKLFKQWNKVKDRSTVDMNEAEKRKHAKAMKMLEKELGLEDDDDEEEEQEQEEEE >cds.KYUSt_contig_662.375 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:2149613:2154580:-1 gene:KYUSg_contig_662.375 transcript:KYUSt_contig_662.375 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLQYRKDAIPVEQDLERGGSATRRTRIPIMSILLQHRKDAIPVEQDLERGREWKKLSSSILRKEAVTRCSGGDGGDDDDDDGDDDGDDVQLDDGDNGVDFPLREGISPADFSLSESSFLSGVLRPAEAAVTLREYPLGLRILAPVRDPQIIMKHAK >cds.KYUSt_chr2.49307 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308524524:308525381:-1 gene:KYUSg_chr2.49307 transcript:KYUSt_chr2.49307 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSRGPNVLAPEILKPDITAPGVEILAAWTGASSPSGLEWDTRRVQYNIVSGTSMSCPHVSGIAALLRQARPEWSPAAIKSALMTTAYNLDSAGGVIGDTSTGKASTPFGRGAGHVDANRAVDPGLVYDAGVEDYITFLCALGYTDDQVAIFTRDGPATNCWAHAGSSVGDLNYPAFAAVFSSKKHKVITQRRMVRNVGGNTEATYNATVTSPVGVRVTVNPPKLRFNVTKETQEYEITFKRAAGSIKEAYTFGSIVWSDGEHTVMSPIAITWPSTSKIADI >cds.KYUSt_chr2.32700 pep primary_assembly:MPB_Lper_Kyuss_1697:2:201552035:201556703:-1 gene:KYUSg_chr2.32700 transcript:KYUSt_chr2.32700 gene_biotype:protein_coding transcript_biotype:protein_coding MYELGFGIGQEDVGNAFVTQYYNILHQSPELVFRFYQEASHIGRPATAGADLDTVTTMEAINEKITSMDIARAEIKGVDAQDSLCGGVTVLVTGHLTGKDDVCREFVQSFFLAPQEKGYFVLNDILRYVGQGEPAAATTPALPPQQTAPEFEAAAAPAAAVLPNGTVDAPAETATRDLDAQPQPEPDLTEPAPHEEEDPKEEVYNPPNEVEVPVVEEIPVAEVIDEVPNNVVPASVPVSAPPVPQEEAPKKSYASIVKVMKAVLPPNSAVPYRPAPPKPEKQAPPAPAPVVDAPAFSPNPESSTIQDPEVDALAVYVKNLPLHATPSQLEEAFKTFGTIKPDGIQVRSHKIQGFCYGFIEFEDASSVQSALAAVAEEGFHRVEVVTSEVKG >cds.KYUSt_scaffold_869.990 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6316009:6316659:-1 gene:KYUSg_scaffold_869.990 transcript:KYUSt_scaffold_869.990 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPLVGIAVRGCCVCGRGAERAAVQATARLSGAVVACERAPCAVVTLLLPGCAHTHVCRGKTLWAACFHVRRDVPAHARMAEAAVACAATCARSDENGTSSVRTARTAVMRGRAMGVTYEGECESKVALAVFEAACGKESCTVWHTEDFCAAAHTNVVVEAAAALTFCATSTTRPSAPEVGSPCRRGRRR >cds.KYUSt_chr3.21191 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129892370:129898295:1 gene:KYUSg_chr3.21191 transcript:KYUSt_chr3.21191 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGALAKSDGKDYPGNMTVFVFLACLVASSGGLIFGYDIGISGGVTSMDPFLIRFFPSVYAKEQEVVETNQYCKFDSALLTLFTSSLYLAALVASLFASVTTRKFGRRMTMLGGGVTFLVGAILNGFALNIAMLIIGRILLGVGVGFSNQAVPLYLSEMAPAKMRGMLNISFQLMITLGILAANLINYFTAKISGGWGWRISLGLAAVPAVIMAGGSIFLPDTPNSLVARGKEEEARAMLRRIRGTHDIGLEYDDLLAASEASKAIDNPWKTLLERRYRPQLAMAILIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSSVISGGVNMLATFVSIATVDRLGRRKLLLEGGCQMIIAQFLLGTLILIKFGTDGVANISRSYAIGVVFCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSMVVVFNMAFTFIIAQIFLMMLCRLKFGLFYFFGVCELLMTAFIYFFLPETKGIPIEEMDRIWGRHWYWKRFVEDGGNRKVQMASNAIMGDSDDEYFFKNFIDTSSDEESDDDFFTEAALIIHEHNVSQIPVFRGSLPGRTAALDRKRECGHDQLFHDYFYHKTLFMPAMFRHRFRMSRPLFTQIMDGIKVHDNYLCAKVDAIGKACLSSYQKCTVAIRMIAYGVAGDFVDEYTCMSESTCLEAMYRFYRAVIGAFGEQYLRQPNAHDTTRLLSINASRGFPRMLGSIDCVHWE >cds.KYUSt_chr1.34769 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211780932:211784325:1 gene:KYUSg_chr1.34769 transcript:KYUSt_chr1.34769 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATATATTGPLQDSARNELDEKYEPVRDIGSGNFGVARLMRNRSNGELVAVKLIERGHRIDENVYREIVNHRSLRHPNIVQFIEVILTPTHLAIVMEYASGGELFERIVDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSQAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLCRTEYDGKMADVWSCGVTLYVMIMGAYPFEDQDDPKNITKIIKRIAAVDYKIPNNILISLDCRQLIQLIFTSNPTKRITMREIKRHPWFLKNLPRELTEAGQSTYYMRSSSIVPPFSKQTTQDIMRIVEDAKKRPRSSIAGYGYGDEGYDEEEKKVETNEPEQNDEEDECDKKVREVLESGELDMSSLRI >cds.KYUSt_chr7.14729 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91072876:91076632:1 gene:KYUSg_chr7.14729 transcript:KYUSt_chr7.14729 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIQSIECVSSSDGMEDDDAMSSNLPLPLPRPFLKASAAAAIAAASIGVVPTSGAGAAPGPLIPPATSVHELLECPVCTNSMYPPIHQVSACFSFLIDSGILGWSCQFPGLRSSPRYYTLGCPEIFPYYSKLKHESQCNFRPYSCPYAGSECSSVGDIPFLVSHLRDDHKVDMHSGSTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWK >cds.KYUSt_chr3.36296 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228293681:228294572:-1 gene:KYUSg_chr3.36296 transcript:KYUSt_chr3.36296 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGDVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFFRIEVLDIINNVAWNTRNYRYVGDVSRLQGGCDAVTPPPSNPTDFGLSPGTEVGVGRKGLSGASRKVNGAYGVATVVVQDQGFLPAPMHPTCSPVGTCVGAEAAGIQEPQGGESLRGRRRSDLRDLT >cds.KYUSt_chr2.51362 pep primary_assembly:MPB_Lper_Kyuss_1697:2:321128269:321128914:-1 gene:KYUSg_chr2.51362 transcript:KYUSt_chr2.51362 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVLKLDLHDDKHKQKALKLVSGLQGIDQISLDMKDQKMTVIGTVDPVDVVAKLRSKLFPAAQILSVGPAKEEKKDDKKDAAAGGDKKDDKKDAAAGANKTQQVMPVYPHHWYPPPPQPRYVVHSAEDDPNSCVIC >cds.KYUSt_chr6.16231 pep primary_assembly:MPB_Lper_Kyuss_1697:6:102165893:102170600:1 gene:KYUSg_chr6.16231 transcript:KYUSt_chr6.16231 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLPSLPSLATSEPPPPTPLSIPRHYAARSLPYVRLPSTSPALGLVVGRELGGGAVVGMEAGQFDVGAILDLDICQDISDREENARMPLAIR >cds.KYUSt_chr3.12969 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77848880:77852075:-1 gene:KYUSg_chr3.12969 transcript:KYUSt_chr3.12969 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCFARTRHLTQYMGKAISLLRPPPPPEQQPPRESGMMELRKRPRPRLLDPDFVSSPPPTPPRKRPAAAAEDPAPSKRARLCGSYYIGSPVVGLQPTRCCRLAAPLARASFIPRSRVPFNWYEPDMWTEVAKYLHGADLVHLAATCHWFRHLLFGEDSIWCYAFFRDLSLRTDDARILKLLIPRPFHRSWRLLYVNAFNNTHAYCFRQPKKHIEWFRIGGFLMDTSRMLLTATLALPRGMPPADENPRFSISLTGACLLTNVRPGIWIADMHMVRCPVCNFKKCKGTMQVLEARHCELYLEKKFKDGTWEYEDLGNHFSDGPLDNAGAAIFNCDQIHTPHIGNILNAKSWIRERSNLLPRARFTPIAVAMNSNLKPNHGLLSKFKAMRYSKDGEIVSVRITQQLL >cds.KYUSt_chr6.18008 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113334011:113340834:1 gene:KYUSg_chr6.18008 transcript:KYUSt_chr6.18008 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIVRRRKHLLDHVDRPILSSSFPTFQHGTFGFEVQPRIAQRFLQQSPGDSKCDKEKEQYSVNLTKGILPGLGNGFPHRPAHVISHYGYRIGRNEFALPFGARGLLQSVRRASTATAGQPKLDVEEEQSEDQKLSKRKKEASPEECDQAVEGLSTAKAKAKAKQLQESLKSSQSIMQKLWARLLGIGPALQAVASMSRADWAVKLKHWKDEFISTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPVAVFIVVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARIEYAKFLQDTAKEMAKEVQTSRSGETKQTAEDLDEFLNKVRKGERVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGVRPFGTDHYLRFMLRKKLHDIKNDDKMIQAEGVESLSEQELRLACRERGHLGLLSTEEMRQQLRDWLDLSLNHAMPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERKRKLEFLEMQEELIKEEEKKQEKEEKAKQQREEKAKLKEQEAAEEDLALKEMTEPTAREEEELREGKQHDKEQLCNISRALAVLASASSVSKERQEFLSLVNKEIGLYNSMLEKEGTEGEEEAKKAYMAAREESGHADEDAAEEKVSSALIEKVDAMLQELEKEIDDVDAQIGNRWQLLDRDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKDGKIRVEDIVKLASQSEENNEDQEEESRQQQ >cds.KYUSt_chr2.33414 pep primary_assembly:MPB_Lper_Kyuss_1697:2:206247709:206248875:-1 gene:KYUSg_chr2.33414 transcript:KYUSt_chr2.33414 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPMPPDSSTAITPPLNPSHDYSKESRGIAGSKDHGVASSTGGISAGRPDDPDLQSGKARVETEKTQPCRIVPLSGKPYFACVLCKSHVQAPFQVVVPRSLASFLPSKSTPATLMWQGRSWEMRFTGGRLIQRLDAGWKSFALDNALRLGDGCVFELETADGESVVFRVQVLRAEIPAGIRERAGGYTASSPLLLD >cds.KYUSt_chr1.1851 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10682181:10682912:-1 gene:KYUSg_chr1.1851 transcript:KYUSt_chr1.1851 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSALLLLLPLMLTLSSTASAAQYAVLRKVPGGPDPITSGSPPPPATSEHFPVLRKVPRGPDPITSEPPPPPPATSENFPVLRKVPHGPDPITSEPPPPPLVTGEHFPVLRKVPRGPDPITSEPPPPPPATSEHFPVLRKVPRGPDPITSEPPPPPPATSEHFPVLRKVPRGPESITSEPPPPPPATSEHFPVLRKVPRGPDPITSEPPPPPPISLSELRKVPTGPNPMRSDSPPASPPHVN >cds.KYUSt_chr7.31874 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198413656:198417903:-1 gene:KYUSg_chr7.31874 transcript:KYUSt_chr7.31874 gene_biotype:protein_coding transcript_biotype:protein_coding MKETKLGMSFKKDDKFGEWYSEVVVNSEMIEYYDVSGCYILRPWAMEIWELLKEFFEAEIKKLKLKPYYFPLFITEDVLQKEKDHVEGFAPEVAWVTKSGKSDLEAPIAVRPTSETAMYPYFSKWIRSHRDLPWKCNQWCNIVRWEFSSPTPFIRSREFLWQEGHTAFATKEEADEEVLHILELYRRIYEEFLAVPVFKGRKSEMEKFAGGLYTTSVEAFVPNTGRGIQGATSHCLGQNFAKMFDIRFEDEKGKRSFVWQNSWAYSTRSIGVMVMTHGDDKGIVLPPRVAPIQVIVIPVPFRDADTVAISGACESAVYTLNQAGMRADLDARDNYSPGWKYSHWEMKGIPLRIEIGPRDLANKQVRIVRRDNGVKADIPSTDLVEHVRMLLDEIQDSLLETAKQKRDACIKVIHAWDEFTTALNEKKLILAPWCDEEEVEKDVKARTKGDLGAAKTLCTPFDQPDLPEGTVCFASGKPAKTWSFWGRSY >cds.KYUSt_chr2.18119 pep primary_assembly:MPB_Lper_Kyuss_1697:2:114216538:114222755:1 gene:KYUSg_chr2.18119 transcript:KYUSt_chr2.18119 gene_biotype:protein_coding transcript_biotype:protein_coding MANHPAAGVLNNVGANHPATGEVNNHGANPPAAAAAATAVETAAALAARRIRKKKDMDIPPAAPAAPVANPPLPPPAVVSVVNIVAVNSPAASAGDQECSIYVCWILSRYLMNKLSIIALPFGSLGWDLHFEKRTGCSRPFIEPLAHIVNVYDTKKTFGNKKIVRVAAVPMATVSTSATLMAIIGFTSPSATSYRHVALAIPI >cds.KYUSt_chr5.23528 pep primary_assembly:MPB_Lper_Kyuss_1697:5:153238185:153238385:-1 gene:KYUSg_chr5.23528 transcript:KYUSt_chr5.23528 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLRNSFRAASRGVDRGAAVLQRRSCALVPVANLWALGVHPANDSPPAYAATAGLHLRHGDLSGV >cds.KYUSt_chr1.37916 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231546448:231547508:1 gene:KYUSg_chr1.37916 transcript:KYUSt_chr1.37916 gene_biotype:protein_coding transcript_biotype:protein_coding MCISRYTPKEECYKTPDQFSWWPRYLTSFLGNQGPSLSVPRRDGLTPSLQVALLYSDLVKMVKDWLHIHDFDPSSWGEFDNVELWWSSIVLAHGGRRKAMATLFMLVAWEIWNERNARIFKHGNTMPTIMFDRIKSEVGLGFLPVLNIWVFSLRENVVAARITKSPPSKGNYSQRYRTS >cds.KYUSt_chr1.36182 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220571197:220575557:1 gene:KYUSg_chr1.36182 transcript:KYUSt_chr1.36182 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGICKQVERRRVVALIKAHIAREREEALIKLEPTDLIDLSYRIDVVATPAPPGPKAPAEEARFRSREAGSNLERRGAEAGTVGKGGVMPDATTEEIKKAYYSCMKTCHPDLSGDDPDVTNFCMFVNEVYTVLTDPVQRAVYDELHGYAATAANPFFNDSAPKDHVFVDEFTCIGCKICANVCPNVFEIEEDFGRSRVCSQTGSPELIQDAIDSCPVDCIHWTSAAQLSLLENEMRRIERVGLMNAGMGVSVNVFRMERIRTRMINQKNSDPNSSWSDIWGSSTRYQSNDEFRGKFPLAAARPVTLPSKGNLARVLDGGGICQRKPCPAIQLHIFLIILLTMRSTEVHMRSTESNASEVVTHDLFMPLLQRHNEDQTEDIPQDNARSGPHERLNTDQESAHCKLATHA >cds.KYUSt_chr4.6186 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36335891:36336803:-1 gene:KYUSg_chr4.6186 transcript:KYUSt_chr4.6186 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGSLPPRPGSKDVVPSADGSALPEPEWEVQPRSPADHRAQPGTASTHPEESHPVVPSLASEKKLHGKPEKKWALPTTAAPPTGHKHTSTNRQWPQTPPISPKAAPSARTATPPAPPRPNQRSRLSPGEVGEGRGEGRTTMAPPRRANICMAPVAAAEQAHTYKSSSIRVALHEGS >cds.KYUSt_chr7.29907 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186161991:186162974:-1 gene:KYUSg_chr7.29907 transcript:KYUSt_chr7.29907 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVALKKCCAVGVALDVDTSSLVSGEMASHVLDPSLDWLVSSPSGEGTLGPGGEVQTAPMDLGLVAAGREGKGTIKLTPSTTTAMPPVVAASSDKEIGMLEVGMVPPVARGRPTRMIPVVAGANKEVSQTVRKSSRHKGMVANKPFMEKAKLRAAEKNLVSGNFTSLDSYSDAHLAAVASDSCVVFTPSAGTLMEAISMIRAKERVQDALEEVAFCKEQEAMPRAAREAAQPTAEDGGGQPEQVDGLGRVTRTVGEAVGCQQNVSTPHREAVDIPECTEGVPSRTVTPATSSPGPELDPVTDKRTRRPRRATLTVRKGQGKRRTSK >cds.KYUSt_chr5.34277 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217360809:217361759:-1 gene:KYUSg_chr5.34277 transcript:KYUSt_chr5.34277 gene_biotype:protein_coding transcript_biotype:protein_coding MPMELPAVTDEVAVDCDAFRIYRSGKMDRLCRPARAPTGLDPATGVTTKDVVIDAGTGLSARLFLPARSADPSKNTKLPVLVFFHGGAFLIESAVSPQYHGYVASLAAAAGVLAVSVEYRLAPEHPVPAAYDDAWAALRWAAEAQDEWVAEHGDGARLFLAGDSAGGNMVHNVLIRASFEPAPRVEGAILLHPWFGGNTAVVGEDEAMARDMAVVWECACPGAVGGADDPRMNPMAPGAPGLENLRCERMLVCAGQKDWAAARGRAYYAAVTTSAWRGGASWVESEGEGHVFFLQKPDCANAKELMDRVVAFIAGH >cds.KYUSt_chr2.44953 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280036368:280037370:-1 gene:KYUSg_chr2.44953 transcript:KYUSt_chr2.44953 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPKHVKATWDDVAHRVFLDVCIEEVNANNRPVQVLNAIGYKNLVENFNKRTKRNYDRKQMKNRWETLKKDYTVWKGLIQHASGLGRDPITHTIDASDDWWTHEIQMCPEVAKFRIAPLQDEEDMRIIFDKNVVTNVTARVPPSSEDRASQSRINIDEVEGSGCEGEDETLVTPSRARGTKNKICPYSPSPAATPRLRTGSGSVSRLDRVIDLIEKKAKAKEEEKSRNSVTSPGPAIDPVREEIRGMLALIVQDGAKPGSDDYFYATQIFLTKEYRDVFTCLEEEVEPTVRLDSIRRTWAQKNKA >cds.KYUSt_chr7.25145 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156871747:156875409:-1 gene:KYUSg_chr7.25145 transcript:KYUSt_chr7.25145 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTASSEDAVQPPPEAKGAQGEAKRKQSDAPVRGGGGGGGGRGGGRGGGGQGKKRKNKEVCIYGNYRNYYGYRIDHNVGEDPRLEIFRKEWFAGKDCLDIGCNQGLVTIGLAMKFECRSILGVDIDSGLIETAKWNLRTIARAGKVATKSAKVHNSSDSTTQSCPEVVSEMSNGNISVHKQHDLFEIVSFRPDNFVQSTHRYSEQYDTIMCLSVTKWIHLNWGDDGIITLFVKIWSLLRPGGIFIMEPQPWISYKKNRSVSEVARENFYDICIYPEKFREILLDKVRSVPATLSMFSSMSLQQTLSKP >cds.KYUSt_chr1.3347 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20188331:20188582:1 gene:KYUSg_chr1.3347 transcript:KYUSt_chr1.3347 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSGVSHHRALKIALFSQGTEKLALGRANAQAWTLHHSRHCRTSKIRRSSPHGIDSAPVTSTGAMGHWGVTSIIGRPRCVA >cds.KYUSt_chr3.28132 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175511299:175512732:-1 gene:KYUSg_chr3.28132 transcript:KYUSt_chr3.28132 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAATTMAPRKLVVLYPSPGMGHIVSMIELGKIFVARGLAVSIVVIDLPHNTGSSATGPFLAGVTAANPCISFHRLPQVKLPHVESRHIETLNFEVARAANPHLRDFLVSISPDIFIADFFCHVARHVASELGIPVYFFFTSGAEVLAVLLHLPVMHSKTTANFQDMGEELVHVPGIPSFPASHAMLPILDRDDAAYMAFVNVCSDMCRSQGIIANTFRSLEPRAIEAIVAGLCTPVGLPIPPVYCIGPLIKSEELGVKRGDECIAWLDTQPKDSVVFLCFGSLGRFSVKQIREVALGLEASGQRFLWVVKSPPNDDPTKKFENPSEPDLVALLSEGFLDRTKDTGLVVKSWAPQRDVLMHDAVGGFVTHCGWNSVLESIMAGVPMLAWPLYAEQRMNRMFLEKELGLAVAVEGYDKEVVEAGEVAAKVKWMMDSDGGRVSRERTQAAMRQAKKAISQGGESEKTLTGLVEAWILA >cds.KYUSt_chr6.9849 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60662931:60667099:-1 gene:KYUSg_chr6.9849 transcript:KYUSt_chr6.9849 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELAHGAGAPRKRGESWGGVLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDITHSAGNEEIYGVLSFVFWTLTLVSLLKYVLIVLRANDGGEGGTFALYSLICRHVRAGLLPGGGTSEDLAAAEHNRGDGAVSRARTLLERYRLLQRMLLFFALLGTCMVIGDGVLTPAVSVFSAVSGLELSMEKETHKYVGLPVTCAILICLFALQHYGTHRVGCIFAPIICVWLLCISMIGLYNIIHWNHHVYQALSPYYMYQFLKKTQTGGWKSLGGILLCVTGSEAMYADLGHFSQKSIQIAFISVVYPALVLAYMGQAAYISQHHSFENDYHIGFYVSVPEKLRYPVLVIAILAAVVGSQAIITGTFSIIKQCSALSCFPGVKIVHTSSTVHGQIYIPEINWILMILCLAVTIGFNNTKHLTNAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLVFFGSIEVLYFSAALVKVHEGAWVPITLSFVFMIVMSVWHYGTIKKYEFDVQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVEPEERFLVGRIGPKEYRLYRVIVRYGYRDVQQDDLEFEKDLINSIAEFIRNGGPDQNGSLEGADKASEKLSSIRSGSIPLWEEDGEVDGSISSNKEINQQTVAQQRKKPRFVLPKSAQVDAEVRAELQDLMDAREAGMSFILGHSHMKAKSGSSFVKRIVINFIYEFLRRNSRGPSYAANIPHASTLEVGMVYQV >cds.KYUSt_chr2.31366 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193607501:193609761:-1 gene:KYUSg_chr2.31366 transcript:KYUSt_chr2.31366 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEIGWLRPAGGARRLGVVLFLLAGFTCTPKAGICGRAHQQRPRLANAIHGQGRPSAHGYESSLGFLFLNRKILDLVVGITASFTPSGLVPEGVAGARAWRYYSTYGHVATLAEEIKKGADSVADVEVTIWRVPETLPQDVLEKMHAAPKREEHHVITARQLAEADGILFGFPTRFGMMAAQMKAFFDTTGGLWQSGALAGKPAGVFFATGTQGGGQETTALTAVTQLTHHGMLFVPVGCTQGAGMFVMDEVKGGSPYGAGTFAGADGNRLPSDAELALAAHQGKYFAGIAKKLKAV >cds.KYUSt_chr4.51273 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318019754:318030201:1 gene:KYUSg_chr4.51273 transcript:KYUSt_chr4.51273 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGERYQGQQYSHIYFTRLHHMRTLLHALVPSRKPNVPVTTVLGLEEGKDCVLVGTLFKHMKLKPSILDEYSKERSAVPLVKPHNFMHPEDNLILEDESGRVALAGAIPPAAYVTGVVVALHGKETSAGNFLVEDVLEAGLPPQTVLPSINEDKYVVFVSGLSVGSSTFNPLQFQLLIDHITGHLGDENEQTVASKIVRVVVAGNSVHIAPRYLNGQTVAAKDQPRIAEPIKELDIMLTQLVSSLPVDIMPGCNDPANFSLPQQPLHRCLFSGASTYNTFSSCPNPHEFELDNVKFLGTSGQNIDDLYKYSDGKDRLEFMERTLTWRHLAPTAPNSLGCYPYTDKDPFLVESCPHVYFVGNQDRYESRLLQGSEKQQVRLISIPRFCDTGIAVMHRHGDHTITSGQKRSLPCESETDRRPGADHDRASQRRWDPTYPRSATAIFHLGLAFPALKSLLLLPVSRSHSTRSPTSCCSPTATVPFNHSIPSSDPPDPANPMATTPARQSQSSGGAAAAVSVQHVAKASSDELLRKFADPDDDAKNSLTPPRRSLALRRKRSSRRVASGLSARDSDAAGTDLAAPKRRRSIGGSTDWRAGLLLPTTTGPSAVRKGGGGGGGARRGGGGGGAGRLDEAGIALFLAALERTWRKTVRGASKMFVERHRTSHVQLISDMV >cds.KYUSt_chr7.14127 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87313753:87314832:-1 gene:KYUSg_chr7.14127 transcript:KYUSt_chr7.14127 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAEKSSPPASAIIANTSRGYHILKIDGYSLTKGTPTGESLYSCQFTMCGYRWRIRYYPNGDRSDYADYISLFLMLDQTVAKEVKTKSRIRFVDSTEEHPSLTSSNVESFHGQHRYSCWGRSKFIKRVDFEKSKHLVDDSFTVRCDLAVINQIRSEEMPAPKFVSVPPSDLNQHLGDLLATGKGADVVFEIAGQTFAAHRWLLASRSTVFRAELFGSMKESGTADVIRVDDMEAQVFRALLHFAYTDSLLPETQTKEEEEDVMCQHLLVAADRYSFERLKLVCEEKLCKYININAVGTILALAEQHHCDGLKKACFHFLSSPTNMTAASATDGFQHLSRSCPSVMIQLIAMSSRSHSA >cds.KYUSt_chr4.22364 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140498623:140500960:1 gene:KYUSg_chr4.22364 transcript:KYUSt_chr4.22364 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFAFFHSLPGFVGGLFKHDSTTRALSVELWAVVPAVLVLVQFAVSSMGPRLAIARQINPGIELLRILSYYSVSYTLGLMKPSVSKQAPASTGDDFFQICAVLIVTMQDSARIGRPYRPKEMSLVDLLSSLWSANQLRSHTALDLKVPLWVIWCIHASRIILYYISSNGAAGANGENMKLVSDYMALSGRTGDNASPETMVGYKYLVLGEDRQEKKMEKTRFRFLVEETRPEELITVDKVWTWSRDNPGGGGARSNDDRLLGRHTDSDNKFKDVCLSFALYKLLRRRFYGISMPEAKDQSSRRLVSDGILDNSNDPNYDRLFRVTEVELSFLHDFSYSRHAVVFARGFPYHRQLLSTCMIGAVLYLAYAVRDIPSISLAHFLIFIVVFRELLEIWVYVMSQWSKVLVVCHYIRIKLKGSEGWLPRLHSRILEKVARILFRIIQRGQWNQEIQQHNLLMAAARLQTRNISFVQLRSEVKTKIFESLKALIIPGVEENDASTQMRNNAALTSYLGNAFADSEGSLPPLIEHFSDKLHGDTHKILAWHVATSLCQIHLLEEARRDQDGLYTLPTSSFGDPEGFAALWPHYNTAATLSNYCVHLVAVALLPDNGIVASKVLHAVRQEAWVALRGCRTWKQTHDCLMANAWAPDPTPDGTTIVKIGAQLAAELLTRYGGRDELWERLSKFWTGYLLCLSASTKASKHQIHLQGRGELTTHLWALLSHAGFLGPNNGHGQQLLDPVDLDSA >cds.KYUSt_chr3.42764 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270328504:270329825:-1 gene:KYUSg_chr3.42764 transcript:KYUSt_chr3.42764 gene_biotype:protein_coding transcript_biotype:protein_coding METRGRKKKRDTKRGNPFSQLSDNLLVEIISRVPFPDHRKKLSRSTLAGFFYKTDNLCCRAMNRHYESVMGNWCPGIDPSLSFSPKYKLGRPSPTKKMATSTVVPKAAAPGDLVSREKPEIASTHGREAGGKWRRSCWPLPATSPSGVLR >cds.KYUSt_chr4.24726 pep primary_assembly:MPB_Lper_Kyuss_1697:4:155605197:155613436:1 gene:KYUSg_chr4.24726 transcript:KYUSt_chr4.24726 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKACADGKNCRRIKTNAWMKFIWSGSQGGRRRCRSGHAGPPFFRALPIPGTSATTARTAAISASAGTAATGTVPRLFFASRLRDFVQRLNSSEMQQGFAGFALKAAVVAAVLSLLFIPSLAHCRPSLGPVPPPPPPPSPSPSPPPPPALPVLCSDCLSPCISKCSVSVPATCREYCVNSTSYCQTCMDGNDSACRVLCKRDCAGSCPPALCTDCYPNCTSACSVSVPQICSESCAPNSTGPFPCQTCLDGYGKQCRDSCETDCVGAVGPATCLAILSPTPAPAPAPGPPVLCRDCVSHCGSTCAVSVPPKCRQYCDNTHCDECKSAFIHEHCEAECCSNVDGTCSSCGCDRTADRACYGACTSISCQPCLEGDGKTCREDCNAHCTDTCIND >cds.KYUSt_chr2.12346 pep primary_assembly:MPB_Lper_Kyuss_1697:2:78287410:78288600:-1 gene:KYUSg_chr2.12346 transcript:KYUSt_chr2.12346 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAVKKRQDENAAAAEPTFQSVALVVGSTGIVGTSLLDILPLQDTPGGPWKVYALSRRPLPPWSPPSSPAVTHLHLDLADSAAVADALEPLKDITHVFYAAWSSHPTEDENRRANSAMLRNVLSVVVPNCPALVHVCLQTGRKHYVGPFEAIGKIPAPDPPYTEDMPRLDYPNFYYDQEDVLFDEVSRRDGAVSWSVHRPTLVFGFSPRSAMNVVGSLCVYAAICRKEGSVLRWPGSKVVWEGFSDASDADLIAEHEIWAAVDPFAKNEAFNCSNGDLYKWKLLWPMLADHFGVEWAGYEGEENRFKLEEAMAGKDAVWAEIIQENELISTKLEEITNWWFVDTSLNLEIEHLDSMNKSKEHGFLGFRNTVNSFNTWIEKMKVFKIVP >cds.KYUSt_chr6.12776 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79794682:79796769:-1 gene:KYUSg_chr6.12776 transcript:KYUSt_chr6.12776 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSTPSSPPSPTRPGAVGLLRGAAGRRDAPLTAALHAALLKSGALHSSQPLTASNSLLHAYAQCGLHSHALHLLDETPRRDAATYSTLISLHCRLGVPLDAFRAFVDMLAQDDGAVRANEFTVAALLQACGLAKVERLGRMVHGYLVTNGFCADPFVVGSLINMYAKAGDVASARRLLLRLACRDVVSWTAVISGCVLNGMLADALNVFVMMLEDGVLPNNVTMLSVIQACSLMGPSGLFSPVHALVVRLGLEDDVSVGNSLIMMYAKNGLVEEAALLFEDLYLRRGNVCSKADVLGALIYGCTVSGSLLHGREIHAHLIKLSALPSISIENCLMGMYARFEQVDAAYFVFKGMKVKDIVSWNTMISCLARSDYVNEALELFSALHSGGGGGGGLVPDFVTVLSVVQACSSAGSLLQGQMLHGYIVKFGFVHDVSICNALIIMYAKLGIIDSAEQIFRKMDVKDLVSWNSMIHAYGIHGDGHSALKYFRQLTDVGVHAPNAITYLHVISACSHSGLISEGYKCFESMRKDHDIEPAMDHYACVVDLFGRSGRFTEAEEFIRDMPVPPNSSIWGALLAACQLHGNVDLAEKAAKELLVLEPDSDIWRVSLSNIYASAGRFKDSTKIRAEMKRVGLKKEIGWSFVDVGGVEGYKFVSGDTRHHDAEKIYAVWHSMKKHMSDVAGDVHQLSLVSVN >cds.KYUSt_chr2.37434 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231856314:231857307:1 gene:KYUSg_chr2.37434 transcript:KYUSt_chr2.37434 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKGSVKKGPWSPEEDRKLREYIHSYGTGGNWIALPTKAGLRRCGKSCRLRWLNYLRPNIKHGGFSDEEDRVICGLFARIGSRWSVIAAQLPGRTDNDIKNYWNTKLKKKLHLLIAAAAGGLPVPAPSPPPPAVVHPCGGFFLEQQHHHATSAASSGLLVSGSPSLCSVDNGGLYFDLSTYGNGIIRNDSSAVGLESFVCSGLQLQQQDTTQGSHHLFPEAAENGTGHNVMTGDGLLLDHHQRRQYYGESSNSSYEHEQKPLMILPSGGRRGSFFYGDCEAADGATQLMERLAW >cds.KYUSt_chr4.28593 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179652920:179653958:1 gene:KYUSg_chr4.28593 transcript:KYUSt_chr4.28593 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALQLVQLVLFTLLLLPQLLVSVSCAKSCFWPSSARDDGGCLSWRVMVEANNARGWRTVPAPCVGYVRSYMTRGQYGRDLDSVMEQVSAYVDQIAAADDGLDAWIFDIDDTCLSNLLYYQAKRFGAYDPLAFKNWASQGACPGIPAVLQLFMTLQDKGFKLFLLSGRDEETLGSCTSENLESEGFSGYERLLMRTPDYRGQSSSVFKSAMRKQLVDEGYRIRGNVGDQWSDLQGDNVGDRVFKIPNPMYFVP >cds.KYUSt_chr6.1992 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11840026:11846394:-1 gene:KYUSg_chr6.1992 transcript:KYUSt_chr6.1992 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRLVASDEVGELVRQPLDDEWIALEDDDKLEHHFLLFLQIPTTPRPRPYPSHHPLPPLGLPVSSSPTPAPATTTDDGDDAGGGVAAPPPRPAPPLACLIGRHLRLQIRRPAGARSPRYASEIFPGLKWRVDLTDGGLWDAGFSGADGARYMSARAPAVKGAGHLVRKGTGGRSSVSGIVATVFGATGFLGRYVVQQLAKMGSQVLVPFRGCEDSHRHLKLMGDLGQIVPMKYNPRDVNSIKASVAKSNVVINLIGREFETRNYGFEEVNHSMAEQLAMISKEHGGIVRFIQVSALGASASSPSRLLRAKAAGEESVLKEFPEATIMRPATLIGTEDRILNRWAMYAKNWGFLPLVGGGSTKFQPVYVVDVAAAIVNSLKDDGTSMGKTYELGGPDVYTVRDLAELMFETIREWPRYVNLPFPIARAIATPRELLLNKVPFPLPTPSNFNLDQINALSVDNLVSEDALTFADLEIKPHKLKGYPTEFLVCYRKGGPSFGSTVSEKMGSADVAPRF >cds.KYUSt_chr7.12813 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79034067:79036401:1 gene:KYUSg_chr7.12813 transcript:KYUSt_chr7.12813 gene_biotype:protein_coding transcript_biotype:protein_coding MALMVGASDSSGERDGSNGRCRRKLHIGSRDARADFRRPPLRRSSPHPWNPVAAVQAGCGARRRKFGPGQRSPKTAATPWEQLGKRRCETGWRDDGVAAALRRYRNYGSASLERVRRRSRQLLRNDRSQGPSLLLHPILSRISHGGPCLRMFGTGKTGVCLRPRRAPESGKLGTACRLLLLPQLHAPPSERLPGLNDDIDQNTNNLSNFINVDNIKHGTINHDYNGITLGYIDIGIKCYTTSLASTLVE >cds.KYUSt_contig_2087.337 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:2034865:2036322:-1 gene:KYUSg_contig_2087.337 transcript:KYUSt_contig_2087.337 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKEEFKAAWVHMLSTYALEKNPYLQQIYETREKWAKPYFTGIFCARMTSTQRSESANHMLKTYVPPGSAMHVFVKQFNKLLYDRDAEESFQEKRTPLSREKWCKVQFRVVVSEGYYTCECGMYEHMGMLCCHVVKVLVHLRFKDIPAFHVMKRWTIDARDLLPLHLVPYQKDQGLVTSFSFRHSQLYLNCMEVVRLGDVNVDAFTIAMDSIKVLVPRLKKVAVEGDGLGLEQRLVAKKARVEGATSKVQCGEGDRVSVEGNALSFDAGLIAPSKNRSGGRPTTSRDKPPYETTSKRTRFCTVCRLPGHKSTTCPDRPPGAAKPRKEARCSNCGLTGHRKTSCIKKKIQCLR >cds.KYUSt_contig_662.196 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1213129:1217905:1 gene:KYUSg_contig_662.196 transcript:KYUSt_contig_662.196 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSSAASRVDFYGFLDRMRRPAAAGLFRSIKSFLASLSLDAEEDGARVQAFYAAMEAAFREHPLWANATHQEIDHALEGLEKYIMTKLFDRTFAASAEDAAADAEVSERIGLLQRFVRPQHLDIPKVLHNEAAWLLAVKELQKINSFKSPRDKLLCVMSCCQVINNLLLNVSMSNDRTPSGADEFLPILIYITIKANPPHLHSNLKFVQLFRRETKLVSEVEYYLTNLISAKMFIVNVDGRSLSMEENEFQMHMETAKLGTQICAASLSSLQGLATSTRGLQKQTDTEGSRFPFMDSETESLTPAELKQLHGLYRQVVTRYTSLSKALRKLSIDEDQLLASVDDDP >cds.KYUSt_chr1.25560 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153427472:153428161:-1 gene:KYUSg_chr1.25560 transcript:KYUSt_chr1.25560 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGTPDSKSGNRIGESRQPSSPSKQSPAARSMTAGGAGAVRRAVDRACAGARVARRALTRFAPRPSAFGAAADAEAAAVRGVRNARTFRYHYAALQWALLLASLAAAGHRASVLFLMAASKVLIVCVGFLGAFPRLALLRRLVAAAFVALVLADIVAAGAVANLMAALAVGVPVIVLHASFRVRDDLEGPSPDNGEEEEAAVVEKKEDGDVEAGPTRRSTAVTPRSPK >cds.KYUSt_chr3.48690 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304357782:304360944:-1 gene:KYUSg_chr3.48690 transcript:KYUSt_chr3.48690 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRLVLPALLVATMSAPVAATLTLMPGCVDRCGNISIPYPFGIGKDGGKDCFRKGFEISCVNNSVPVMAGADKDIQVLSLSLSPHPQVRVVLPVAWQCFNVTGDQTGVFNGGVGVNPEGVYRLSSDLNQLVILGCNTFAYIMSGESGRFNYQFYTGCVAVANDAGQPQDDACNGIGCCSVGIPPGLTDNVVNFRNTALWSHADQEFCPCDYAFIVDKGYYNFKKDDLLHMDVNRTSMPMSLDWAIRENGSLTCAAAASAPGYACKSVHSECFNSKNGPGYICNCTKGYEGNPYVVNGCTGVVGGILLLAFLSFVIIIRKERRMRHELYRKNGGPTLEKASIIKLFKKNDLTQILKSSNIIGKGGFGEVYKGLVDGVPVAVKKPISGNHMESSQFANEVIIQSKVIHKNIVRLIGCCLEVDTPMLVYEFISQGSMDDILHGGEKKPLGLDARINILAEAAQGLAYMHSQANTVILHGDVKPANILLDDKFVPKISDFGISRLIARDNEHAASIIGDRTYMDPVYMQSGLLTAKSDVYSFGVVILELISRKKATHRDNNTLVNSFLENHKKGKKSTELFDTEIAEGAEDLLQSLAELAIKCLELEVDQRPTMTEVAEQLVAFTRNHQV >cds.KYUSt_chr6.10294 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63404385:63408144:-1 gene:KYUSg_chr6.10294 transcript:KYUSt_chr6.10294 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARSFAGEAAKRSQELSLEAAKRSSVLVSETAKKSKEIFSETATKSREIAAEATKQADLLAGQIMHLASDIPVPSIPPISAIPPLPSAAESAPDAAELERYGITEDLREFVNGMTISTFRDFPLQDEPEMSNVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRIYFLLVNNYVTPYEMKYFEELKVKADEEKNVSEKELSQTPQVTTSPEQKDTKVPNKTSTSSSREQDLDVFLLGDLGSDDEGPDDGDDDGLDDDFDKIDGATGLESDDEDDEKKPAAAKAESGKE >cds.KYUSt_chr2.44884 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279559494:279562542:1 gene:KYUSg_chr2.44884 transcript:KYUSt_chr2.44884 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFRQAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPDELSRSELERLTRVFTQKIHDLIGTHTDIPAPDMGTNAQTMAWIFDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEYGKSISGSTFVIQGFGNVGSWAAQLIHEKGGKVIALGDVTGSIRNMAGVDIPALMKHRNEGGHMKDFHGAEVIDSAELLVHECDVLIPCALGGVLSRENAPDVKAKFIIEAANHPTDPEADEILTKKGVIVLPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNMELHKYMNSAFQHLKAMCKTHDCNLRMGAFTLGVNRVARATLLRGWEA >cds.KYUSt_chr2.54037 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337318226:337318498:1 gene:KYUSg_chr2.54037 transcript:KYUSt_chr2.54037 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKTKASVRATVSQGKKQLPASAAAVVEKRRAEKAEEGLRTVMYLSCWGPN >cds.KYUSt_chr2.43196 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268840837:268844896:1 gene:KYUSg_chr2.43196 transcript:KYUSt_chr2.43196 gene_biotype:protein_coding transcript_biotype:protein_coding MNILERIRGGGGGDKTTALEMPRKLESWVEISESVSRLCTFDAGHGGGVSVKVIQDNRLVHDKLVDSFLNKFFPSGYPYSVNEGYLTYTKFRALQHFSSAMLHVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHAGKLICSGMGARMDSEPKSWRIFADVLYDFGTALDFISPLCPQLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLSSTVCSTTQGKLIVGPLLSAVHIWGVVQEMRATPINTLNPQRTAMVVADFIKSGKVSSPAELRYREDLLFPNRLIEEAGSVKVGQPLRRVLSPGRVEQLRASFPEEKFLLCPKGDKTYMVLEQSATGEDALRGWLVAGFASEMERSGAGSRDAVLNDAYQKMESVFPLFVSEVKSRGWYTGQFLDGNHSRIAYAKSE >cds.KYUSt_chr2.38283 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237114642:237124859:-1 gene:KYUSg_chr2.38283 transcript:KYUSt_chr2.38283 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAGSFNYWAGLLASFEVRAAAPQEAGGVLVVATGPAGAPLAAFADDGDNDVEADILRQSSKKRALQKVEELQKKAIEEDPSVFAYDEVYDDMKEKAARPKMQAKVVRQSKYIEALKEKAEQRKREQDIVYERKLQKERSKEDHLFSDKDKFVTSAYRKKLEEEKKWQEEERRRLIQEERDDVTKKKDLSDFYFGLAKNVAFGARTHDEAAEPEKLDTKADDIQASKSDAEGSARSPKRRRESSEGSEKAHESKSAEEPATTVPKDSAAARSTEKDADASAAASQASQSTQPAPITDEHYKRSSDALAAARERALARLVNGKAFVDVSPEELLQKELQSSLETVDVKSDSAKSEIKEDTEQQVFTNGAAFKPNEDSSTGPTESSKPGPMLSVDTIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPVYRQQLEEMMSNMGASPDQWDNRMVDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDQEGVKVRL >cds.KYUSt_chr4.22929 pep primary_assembly:MPB_Lper_Kyuss_1697:4:144263437:144263778:-1 gene:KYUSg_chr4.22929 transcript:KYUSt_chr4.22929 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSKIMAHTESLEQDPRWATFMTFGSASITGGWVSITRGCSTTGVVGDTGMGANVAAAWAVITSLPILLRYTSCGREGGSWSSGRELGRWAGEMKLRTGVKGNWGIEVGSWG >cds.KYUSt_chr5.12770 pep primary_assembly:MPB_Lper_Kyuss_1697:5:83293618:83293956:1 gene:KYUSg_chr5.12770 transcript:KYUSt_chr5.12770 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIQPAIQAFKDTWPEKPHVEREGRAVTLGRMQTLDIEPASSVPTKPPDDASSTQHTVTAFPPLDHCGPALRLGDDFGGGGGWRDWHAGGRCAVSQGRGEAAARGMESSIA >cds.KYUSt_chr3.40160 pep primary_assembly:MPB_Lper_Kyuss_1697:3:253119515:253122062:1 gene:KYUSg_chr3.40160 transcript:KYUSt_chr3.40160 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLPLYKTHAIGVDEAPPQQVSPSLSIDRALWSVQLRRARAGPVIDRAYSFCRERYNYNRLEMQRSRSWGSRPVVAHGKVQQSSGELKRTSIEMADNVVATFYYPPTGAMQVSAAELGETKLSKQGLDDDGRNKRTGTMWTASSHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYFTSSLLSDCYRSGDQSTGKRNYTYMDAVNANLSGVKVKLCGVLQYANIVGVAIGYTIAASTSMLAIRRANCFHGKGHANPCKISSTPYMLIFGVAQVFFSQIPDFDQISWLSMLAAAMSFTYSSIGLGLGIVQVIANGGVQGSMTGISIGAAVIPMQKVWRSTQAFGDIAFAYSYSLILIEIQDTIRAPPPSESTVMKRATGVSVAVTTVFYMLCGCMGYAAFGDAAPGNLLTGFGFYEPFWLLDIANAAIVVHLVGAYQVYCQPLFAFVEKSAAQRWPDSKFVTGEVEVPLLRGKVNMFRATWRTAFVVATTVVSMMLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPKWSTKWVCLQMLSVCCLVISLAAAAGSIAGIKSDLKVYHPFKS >cds.KYUSt_chr3.26962 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168188439:168192071:-1 gene:KYUSg_chr3.26962 transcript:KYUSt_chr3.26962 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAGQGPASRKLARDQPSRMQPREAGSRTGQERPKQEVAQLHRGEPDRTKPDGPPEPEAGPVQVPFEEDNKDPRIWFHVHNYHECMFSILKRINDMLFKNSHICCLCSCFCCTDDVSWDAANELVVGWHVTGPKLKD >cds.KYUSt_chr5.19394 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125835511:125839238:1 gene:KYUSg_chr5.19394 transcript:KYUSt_chr5.19394 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAALLAVVLALSCAAVTEAAVGVNWGTLSSHRAPPQVVVDLLRENRIGKVKLFDADAGVLRALARSGIQVMVGLTNGELASVAGSPAAADAWVSQNVSQYVGRGGVDIRYIAVGNEPFLTSYQGQFQSYIIPAMTNIQQSLVKANLASYVKLVVPCNADAYEGSVPSQGAFRTELTQIMTQIASYLSSNGAPFVVNIYPFLSLYQNSDFPQDYAFFEGSTHPLVDGANVYYNAFDGNFDTLISALSKIGYGQLPIAIGEVGWPTQGAPSANLTAARAFNQGLINRIMSNKGTPLRPGVPPADVYLFGLLDEEEKSVLPGNFERHWGIFSFDGQAKYPLNLGLGNPVLKNAKEVPYLPSRWCIANPARSLEEASNHLKLACDSADCTTLYYGGSCYGIGQKENVSFAFNSYYQRQKQDPKSCDFDGHGMITYLDPSVGECRFLVAIDDSKSSAVASCGGGCCGVFCGVSILTLWVLMYLRMMGSA >cds.KYUSt_chr6.15888 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99892502:99905773:-1 gene:KYUSg_chr6.15888 transcript:KYUSt_chr6.15888 gene_biotype:protein_coding transcript_biotype:protein_coding MHASRDAHWAAVKRILRYVCGTMGYGLSLHASPSTSTDLVAYSDADWAGCPDTRRSTSGYCVYLGSSLVSWSSKRQPTVSRSSAEAEYRAVANAVAECTWLRQLLSELSCPVDKATVVFWDNVSAVYLSANPVHHRRTKHIELDIHFVREQVALGRVRVLHVPTFLSRQAVYQEEDMAVHGKVTNARVPTAAHAAVVVARQGLRRAGYRALHTGDQVQGHRRRDFYVVLLAGPVAKDAAAESWPAILTNQHRKSSQPGHSIQVPHRLSDKSILADPSMGCGASRDPGVGRQGRPKGVGEVVVFLPGLRVPRTVDFSQSLGDHLDKSTVERLSALRARVVEMAMQESATALKPRRKAASTRHGGSSTANLLQALEEYLSVLLGLVKESSELRNKVQFVWSNQEDDAEETSMADAWYEVLSVLHLMAMVCFLQANSLLLPRSYSDGYGPRVSEESRRATVDVFLKAAGFLDCAVNQVLLQIPPEKRRALPVDLAEGNLKALSLQGLGQGVDMQLGLAIDNPKATLAVKRRLACELVKYWQQIKDSIPELPLSDGWAKKHTLFVKWKYAEAKAAAYYFHGLILDEGDTEKSHEMAISSLQASEEFLKESERASEAFHSTPPTSRISIPFGTTKYLLDRIPKDTLSKLQNNQHLYTQGRTSNTGTNRIITPPPPLPDFPLALNPEEYELPQLDPLWKKEVNH >cds.KYUSt_chr1.4629 pep primary_assembly:MPB_Lper_Kyuss_1697:1:28347125:28355890:1 gene:KYUSg_chr1.4629 transcript:KYUSt_chr1.4629 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNQDPGVSLRTAGWLHRPVDSLMQIPDKIQNSLKTGGGGDVKAQMSSEKVRGLCSAAAADVRLEKQLQAWRNDPIWTDHPPEIKVTVPQGSLCNLNLRFEAGLPPDAVYNIIIDPENKRVFKNIKEVVSRKVLLDEGSRQVVEVEQAAIWKFLWWSGILSVHVFVDQNRRNHTVKFKQGRSGFMKKFEGCWKIEPLFVDKEACLPLDPNTLEEYDSCTAGRGRVGSAITLDQLIEPAILPPQPIACPLIDLRAAAMSGQQQPSSVPPVPPVPPQPFRFWLPYRSNVGSWRQQPRPMPASTRPQPPGPPPPPPAPAETAPRAPLTRDAEEDIPIQADSSDESGTIAVEALDSLRSRGARTAVADLELTLSRSPPPATGTSGDGGRGGDDAKITISGFPRARLFDGARAPYRREIEDGLKSLAGRETPPAPRPPDQGYRVITLAGHNVGASMVLGDGPSGAPPPPRTANEPEGSSARPSSSVAANVNSNVQGVNNSTMEESTCSSGDPGVRVDIKNAHEKPVVVAPSKEPQEKPKEPARTVPPQGSKWQRGGPGAAEEEAVPSRSDDRGAEAEADRLPVPVRGGSCAGRERPRQQERGRRREREHEMSGLDLNATPTEDVEMDDVELLFCTQAVPEPVVGKSQDPDSDVHEAVVANSDRYSGRGHEHNGEQAATAGNQSFPTTTFPTDTTTNPDFAEGGSDGEAVGITEEILSSPQEPFLGMRFDTLADARAHYNAYAAKLGFSIKNNTSKKKAHTNELEKQQFVCNKYRAPKTEEQMEQERMAFTEDVSPVELDDDNDEEQEAGPSKKKKTASKFGVKRKRETIKQTKCRARMFVKLINNKWEVTYFIAEHNHPMIVKPSLIKYLRSHRGIPRDEKEFLRCLHNCNLDIGRMMEVMSEFYGEDCIVPYGPRTISNLRSSFRSENKGLDISDTLEYFKELQQKDPEFFYEFSFDSENRVEHIFWVDSLARKAYAEAYHDCISFDTTFCTNIFSMPFAPFIGINRHGQSFMLGCGFLRDEKEESFEWLFRVFLKAMKGKQPTNIITDQDWAMRNAIAAIFPLCCHRNCRWHIMKKANEKLGSFLGRRPGLAEDFNECVDESMTVEEFEANWAELIQKWDLAQNETFIWLKGHAHTWVPCYFRDRFFPFLQSTQRSEGFNAVLKRCINPGNSIKHFVRQYEKLQAKILGKEGNNDYRTDELEVQPRTTFPIERHAMAVYTRDIFHRFMLEFGLIGRYDVQVIGTNMFELIPNNLRCYPYGSRNYYVNGSGGAYNCDCCKYQRDGILCCHVLKVFTHVGINAIPERFIMRRWTQQAVEYVPMHTGPVQDDVMPEQSRQKVRFANLSTSFVQMAKLGSESDQAEAIARRHIKEMRAEFVQLQKEMQELPEKDHDCTIHLGITHCKHCWYPWVDIFRFCTFFGTTTNIKHP >cds.KYUSt_chr7.11297 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69448335:69460430:-1 gene:KYUSg_chr7.11297 transcript:KYUSt_chr7.11297 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRNPSKEIFSELDEINAHGPIFTRSFQKTEESTKWGHEVARRFHVGAGIPGVAPHYISSPSTFNVLLDSYWVSAETQAPRLRVQRPGVAGEMKGASVPAVVGMPSPLFLWRFKVVLFLLWGFCCCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYVKVFDLAQTHLSHREIWRSATWLTLIVPTSMTAYLYLYSHGEVLLAASQPVFLYAILLIILLSPFDMFYLSSRFYFLRTMLRILLPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATIAWFEADSICGSHSVAIPLVLVLPYLCRFFQCLRQYKDTKEKSCLLNALKYSTAVPVIFLSALKYHVFAEQWVSFYRPLWLISGVINSLYSFYWDIKRDWDLSILTRIFMFKSPSTWTNLLYGRSWVYYWVLGSNLVLRCTWTYKLSSHLRHNYLTVFAITALEMLRRFQWVFFRVENEWNKMTAKQNFEMSSDMPSEADRLLESSSHTAYSRF >cds.KYUSt_contig_319.312 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2182848:2192945:1 gene:KYUSg_contig_319.312 transcript:KYUSt_contig_319.312 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPAGHWVPYVEAFVDVSRSPADHVRPPLPISPLLFSLPTGFSNLNACCLALGSASVVALAALVNKDKLTLFDLVSKMDMYLTTTDHIVRSRGIMLLGEIMSQISLKWLDVNAITTLSDFFISRLSDWQALRGALVGCLALLQREPSVGTIMIADVKRLVESLIDYIPVQSLAAADRKLCFQIICCVLDHYPEAVKTMDDELLMWICQSIDEEKDPECLKLSFHLVEVVMKLFSDPSGLASQFASDLFELLSKYFPVYFTHGAGDNVGLTRDELSRALMHAFCASPYFEPFAIPLLLDKLSSSLPLAKLDSLKYLDNCIRCYGADRMVSHTSAIWFKLKEVIFSLSSDQLLSTGSPKDAEKIKNQIVSEAKNCLKTAVTCIHSADRDIFINLILLDEDIVNNIHSVTTEEKSICRSSDDLNQLQSLGSVISIIAESSTYFCTRVFQAHFTRLVDILGSSASFKSQHLNICNGSSSAAINYGALYLSVQMLSSCREVAVASRGDFPPVKSANESWWLILEEKLDPFIHLLGKLLSIDSQPIQSAVTQKYVSCAVKGLLILATFPEHCSLLLANAYEYILLMLTSVVARKYEKIHLWRLSLETLTSIGKFTVESRASKKEMIYNSIVVDKIIPLAKSCDTSMPLNLRLEACFEIGTTGVSYMLRVARSLEEAVITNISQVNEGMECAEYVAHLIDCYSSQLLPWLFTSGGVNELALSFAMRLWDEIRNVATLDRIRSQGLLDSLMMGMKFLVGVCTEEQQSLIVQKACSIISSMLSLPVKSMMHDLSSVEELVPAHSIQDTALVCLLSSVIVGLRPQTHVPDMIMLINLFSVFLLNGQIPAAHALASIFNKYLHNSEFSDENKLDKMLDVILGRCFSIVLASSTLKMSHSSAATSDDANCSGMSASICLRTDILCGLAWIGKGLLMRGDEKVKDISMFLLKCLVLNQISVGIPPHQEEHSDNVSLNASLATSAADAFHVMMSDSEAKNVFWKISTLLSVFLHNLFPILTRWLFGRQRCNVLLPCLAFPIQKSIACGHRSYEQQSRHLMIRKGPSAKRLFDVDKHGNRHLLRGAFSCNYIVVWELTGHIMLVFWMERSFQDEIQGTTEESRMSGH >cds.KYUSt_chr5.13007 pep primary_assembly:MPB_Lper_Kyuss_1697:5:84816826:84818265:-1 gene:KYUSg_chr5.13007 transcript:KYUSt_chr5.13007 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELENAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >cds.KYUSt_chr4.18885 pep primary_assembly:MPB_Lper_Kyuss_1697:4:118571693:118572148:1 gene:KYUSg_chr4.18885 transcript:KYUSt_chr4.18885 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYFARALAAAVSDGTTISFLTTADSIAQLWKAGALLGNLRFVEVVDGLPAPSGQMPMLPPPRRMELFMVAAEAGGIRHRLEGAQASGGDARVSCVVGDAFVWMAAEAVAATGAPWVPVWTAASCALLAHIRTDARRQDVVDQGTSCTSE >cds.KYUSt_chr3.5484 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31026902:31027486:-1 gene:KYUSg_chr3.5484 transcript:KYUSt_chr3.5484 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLLLPLASLLRSATATSGGGNTSCTQESCGNLIIRFPFSLAGVQPLYCVYPQFDLACDTGPGRAQAYLSNTFRERLFRVDNKSYASNTMVAAIAGNGACLVSDFNVSGSLALFPFTISAANNRLVFFYDCHVPPELRLPLLPRLCGNRTVGAYISGRWGEGDTLPQGIRANCSYVSAPVRGRMEPASLYYE >cds.KYUSt_chr5.22248 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145241518:145242618:1 gene:KYUSg_chr5.22248 transcript:KYUSt_chr5.22248 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPSPMWCGSCFESASSLDPFFHTAANPCWWSGVAAGLPFSGADGEWAAEQRSGGAGLGSWASDGAEVRLCRSEQLGGRRCRGAEARVWAAGQRKEKGCNACIDAACGLSPLCTSDLEDEHSLKRKEVKLQLLNWNSTINHPHQPFEASPGCNVISNYRTRDLIVTNIGEVLNAELKSYVDTLYMTLRQIVGNAIYTIEQDLILKFSCTRLCCYDDMHGVGTVPVIRVDPPVVDDNATVEVPQIQQQGHLRLSSVMDIQYLQLNSPRNQCRSFLGLGSAIAASHPPSSPTIPDLPLPPPPPPTARS >cds.KYUSt_contig_1181.440 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:3049026:3050012:-1 gene:KYUSg_contig_1181.440 transcript:KYUSt_contig_1181.440 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSPPPADATAAGAEPEAEAATVVWYCYECHATVSLPAPPPAPSPSRLLLCPRCRSDYLEENPNPSPSSPPPPPPPPPPGLLTDSGSSSGEEDTDGLDGLAHSAAHAHLSQLVRRLYDDPTYVATAAAAAVSALRQQGRIAGQGQPPATPASIAALPTVEVSEPAAVCAICKDDLPLAAAARRLPCGHLYHSTCIVQWLQMHNSCPVCRYCLPSADLEEVEPLDQTTTQITIRFTTNRRRNRGSNDAAAAAPVATSPTQLAQAMTGEGGSGPANSAETVSSEWPPPPESDAVMSEARQEEGFLDWPPPPESDAVMSEAPQDEDLLD >cds.KYUSt_chr3.15409 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94332483:94335291:1 gene:KYUSg_chr3.15409 transcript:KYUSt_chr3.15409 gene_biotype:protein_coding transcript_biotype:protein_coding MGACSIRCGVLDARLQADVCHAYQILKRGGLKEENIVVFMYDDIANNPLNPRPGVIINHPNGTDVYAGVPKDYTGDQVTTKNFFAVLLGNKTAVTGGSRKVINSKPNDHIFIYYTDHGSPGSLGMPNLPWLYAGDFIKVLREKHASKSYSKMVIYVEACESGSMFEGIMPQDLNIYVTTASNAVESSWGTYCPGLNPSPPHEYVTCLGDLYSVSWMENSETHNLKKETIKDQYEVVKNRTASSDYITGSHVMEYGDKTFKDDKLFLYQGFDPANVNNTNRVPLPGLEGAVNQRDADIIFMWKKYEQLNGGSEDKLRVLKEIKETVAHRVHLDSSIDFIGKLVFGFEKGPSILEAPRSSGQPVVDDWDCLKRMVRVFESHCGSLTQYGMKHTRAFANLCNNGVSEAEMKEASIGACGGYNSAKWSPLVLGYSA >cds.KYUSt_chr3.8601 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49922263:49928027:1 gene:KYUSg_chr3.8601 transcript:KYUSt_chr3.8601 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILSNETWELSERPHGCKPVGCKWVFKKKLRPDAVQSSLFGRGGTIVSAALDSELGAVASGGGGGYGPYGAYGYGGGGYEPYEDHRQRADGCLRKCSEVWLGFEVFEMLLPKGDLIYSDVTGAAVPHPPDFVNPIAFRLIPLESPPLPSRLPDPTTAARPSPPATMSKYGTIPTSSSSAAAAGAPHLGGASPLDFISRAKARGATALATRRPWRELADPHALGLPPTLADAYLRVRANLAHFAMNYAIVVLVVVFLSLIYHPVSLIVFLVCMVAWLGLYFLRDDPIVLFGRVVGDGAVLAVLAAVTLGLLLLTGATTNILTSLLVGFVLVVVHAALHRPEDNVDEEVGRWYAPMPQQPSH >cds.KYUSt_chr7.37214 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232258915:232259756:1 gene:KYUSg_chr7.37214 transcript:KYUSt_chr7.37214 gene_biotype:protein_coding transcript_biotype:protein_coding MIINGIARGLQYLHEDSHLKVVHRDLKASNILLDMKMNPKISDFGLAKIFGRDQIQGVTSRVLGTCGYMAPEYVMSGNYSVKSDVFSFGVLVLEILTGRKNNDSYQSQDLLTTIWEQWTAGTVLEMMPSGIKSSSTESDALRCIHVGLLCIQGDPADRPMMSTVVMMLGSNTFALQAPSRPPFYATNEGASSSTTASLSNSSSAHPVPDIIPSSFAN >cds.KYUSt_chr2.55110 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343642174:343643935:1 gene:KYUSg_chr2.55110 transcript:KYUSt_chr2.55110 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGANPANSSPLTPLGFLERAATVYGDCPSVVYHDTVFTWSQTYRRCLRLASALVALGVSRRDVVSVLLPNVPAMYEAQFGVPMSGAVLNSINTRLDARTVSVLLRHSGSKLILVDPALLPVLGDALRLLPPGHPAPRVVLVEDPHEKEFPPAPAAALTYERLLETGDPEFKWVRPASEWDPMILNYTSGTTSAPKGVVHCHRGIFVVTMDSLVSWAVPEKPTYLWTLPLFHANGWSFPWGMAVVGGTNVCLRRVLAGEVYDTIARNKVTHLCGAPVVLNMLANAPEGVRKPLPGKVQILTAGAPPPAAVLHRTEAIGFDVSHGYGLTETAGLVLLCAWKGEWNRLPAAERARLKARQGVRTPGMAEVDIVDGETGRSVPRDGATMGEIVLRGGCITMGYFKDEDATRAAIRDDGWFYTGDVGVMHPDGYLEIRDRSKDVIISGGENISSVEVESVLYGHPAVNEAAVVARPDEFWGETPCAFVSLKDGAVASAAEVIAWSRERMAGYMVPKTVVFRAELPKTSTGKIQKYVLRNLAKEMGPTRRGVSSSKM >cds.KYUSt_chr7.25622 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159904983:159906538:1 gene:KYUSg_chr7.25622 transcript:KYUSt_chr7.25622 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFVNGEAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENMQTSQNREAPPGQQGFNGPPPGHNPGNMPPPPPPPGQNHGNNPPPYTQGGPSNYQPQMQNPQAGYTPGGAPQMPNPQAGYAPGGAGHMQNPQTGYTPGGAPQMPNQQGGYMPSGAPNYQQGGPAGYQGGPQGGNQFNQGGNMPGGPAPAHPGGNPGYQGGNTPQRDGYGYPGGYNGSAPSGYNQQ >cds.KYUSt_chr6.3173 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18589992:18591312:-1 gene:KYUSg_chr6.3173 transcript:KYUSt_chr6.3173 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIHLLCMQFFMGDGSLDIGHAVASTIATKMVESAELALLTHQEGRRCTNDDLLAYGLQFRSFLHACPNIFSGLTRLKLENLRLGESDFTKVFSLSKRLEFLCLFNCFAGILSVLEVEHQQLRELEIFSCDLERVDLNWLPNLTNLTFSCWASHHDLLSFGYVPLLQAVTLSNTAVSWHKMLKLSEILGNATISELHLNFESEKIWVKPKGPRELSKVFHKLRLVNLADISEECDLAWTLFVLQGAPSLKELCLMVSNRCEMTWDKEGRKEFEFSEDKKDAGLEWETSFKHHNLAVLRIFGFQFEEKFVNYVQFVMESAVNLKDIYLYERPICETCMNKKQKDRYPWAKK >cds.KYUSt_chr2.7423 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46585390:46585980:1 gene:KYUSg_chr2.7423 transcript:KYUSt_chr2.7423 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLAASSKLSLSVVVVLFLLGLASAAAHGRKRIVSSSSDEPCTKMTLYLHDILYDVVNNTANATSAEATKPTALSKSTFFGELVVFDDPVTERNALPVGKEVPAARGQGFYFYDKKESFNAWFGLSLVFNSTTHRGIINLMGADIMSQKTRDISVVGGTGDFFMTRGIATLQLDANEGTAYFRLKMDIKLYECYV >cds.KYUSt_chr4.14521 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89684223:89684931:1 gene:KYUSg_chr4.14521 transcript:KYUSt_chr4.14521 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHETVVSEAASSMTPMGNTAGSDKCDNPSKAFQDCINHYDSDISKCQFYLDMLNDCRRGGAGVGASL >cds.KYUSt_chr5.36138 pep primary_assembly:MPB_Lper_Kyuss_1697:5:228502584:228503078:1 gene:KYUSg_chr5.36138 transcript:KYUSt_chr5.36138 gene_biotype:protein_coding transcript_biotype:protein_coding description:A20/AN1 zinc-finger protein, Response to multiple biotic stresses, Regulation of abiotic stress response [Source: Projected from Oryza sativa (Os09g0486500)] MAQRDHSKEEPTEVRAPEITLCANRCGFPGNPATQNLCQNCFLAVARPSSPSSSSMPAAVSVSTPAAVVDRPRPATQVELSPSSPAVDQAPALEVKPAKTSVNRCSSCRKRVGLTGFRCRCDRTFCGEHRYSDRHGCTFDYRAAARDAIARDNPVVRAPKIVRF >cds.KYUSt_chr4.36189 pep primary_assembly:MPB_Lper_Kyuss_1697:4:222354925:222355413:1 gene:KYUSg_chr4.36189 transcript:KYUSt_chr4.36189 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCTPATPGVVVAPYKCGGDGAALHAGSLGTDVVAVLHGCGVDGATLHAGSLGMDVVAVLHGCGVDDATLHITDVVVVSRGSGVDGAMLRTGSFVLARRQVYDDRLTIGVAASSVGYLSSCQSRRVADVFDLQ >cds.KYUSt_chr4.45112 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279378463:279385519:1 gene:KYUSg_chr4.45112 transcript:KYUSt_chr4.45112 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPPPPPPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEEKCSPKEICDKYHAIHDEVYKWFDIKFDKFGRTSSPQQTEVCQSIFHKLMENKWLTENTMQQLYCDTCQRFLADRLVEGKCPTEGCNYEAARGDQCENCSKLLNPTELIDPKCKVCKNTPRIRDTDHLFLELPLLSDKLVNYINNTSVAGMWSQNAIQATNAWLKEGLKQRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITASYTPDWEKWWKDPDNVELFQFMGKDNVPFHTVMFPSTLLGTGENWTMMKTISVTEYLNYEAGKFSKSHGIGVFGNDAKITNIPPEVWRYYLLMNRPEVSDTLFTWDDLQAKLNSELLNNLGNFINRVLSFVAKPAGAGYDSIIPDAPNAESHPLTNALAEKTNKWVEQYLEAMEKVKLKQGLKSAMAISSDGNAYLQESQFWKLYKEDPATCAIVMKTSVGVVYLLACLLEPFMPPFSREVLRQLNMSPDEDLTFCDDKGDTAKAKRPWDFIPAGHKIGKPVPLFKELTNAEVEAFRSKFAGSQAERSSKAEADAEAKKVADKLKGTKLSEGSSKKKQSGGSKSKTAEELSVSKLDIRVGLIRKAEKHPDADSLYVEEIDVGEEAPRTVVSGLVKYIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAVVGEKVTFAGHSGEPEASLNAKSKTWEKLSADLHSNGELVACYKDVPFSTSAGVCKVKTIANGEIR >cds.KYUSt_chr1.32757 pep primary_assembly:MPB_Lper_Kyuss_1697:1:199011165:199017699:1 gene:KYUSg_chr1.32757 transcript:KYUSt_chr1.32757 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQSPSMRRNQNVALLVHSPTSLMIVADIKNNKTNFIITSNYLELIHWDGEKPIPAHLRLQPPELHEKERNSHRPPDNSANIIGFKTEGTPADVVVMMGAKYIMDYLMDSMTIETVFPSPLVPSRKCTFVRSCRELQNGKCSLLTCPSNSKGKKTLKKLANGMLVSYGNSIVAIPEDIWTTVHGNGTDQDIKIAHRRNDGRNNTAIVTVSASFQLPMPLRATFDHLRNNMMRPEWDVLVKGGVVREEVHVTSSAEADDAVSILHVKNTRENKENIMILQNSCYDVSGSFIVYSPIDIQLMNKIMSPGDMAESKVSIYPTGFSLLPAIGSTQGGIGLGDDGETLVTVGFQILLKLARVMKATSK >cds.KYUSt_chr5.17906 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115722181:115741134:1 gene:KYUSg_chr5.17906 transcript:KYUSt_chr5.17906 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTISRIRLENFMCHSSLHIELAQHVNFITGQNGSGKSAILTALCIAFGSRAKSTQRAAALKDFIKTGCSHATVVVDINNQGEDAFKPELYGNLIILERRITESTSSTLLKDQHGKKVAHRKDDLNEIVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVNELLETIRDQLNNADSIVQELEKSIKPVMRELDELREKIKNMEHIEEIAHDIDNLKKKLAWSWVYGVDKQIEEQTVKLQKLKERIPACQERIDRSTAVIDELKKELIEKEEIVNSLRDKTREVNMMKKSMEDKIAEVVKQTIELEAEHVRGTRLLEKMNVQLKKLQAQLHDFQTQHMQSTQAEASQIEEDIKTIQGEIDNLHSSVTRLREEEKKFSEELSGIQKSITDITKEKLASEFWSVAVDCACGRLLDAFIVSCHKDLQLLRECANKVHYGYLQIIIYDFTRPRLLIPDHRLPTTEHPTVLSVIQSENDTVVNVLVDQGNAERQVLVRDYEVGKSVAFDSRIQNMKEVYTADGYKIKREPEEHRLANKKVHLDDAKRASVENNSYTAVDTTELEEEIKQGKIDIEQKELLLQKISVRLTATIQDVNDNKASYKTYMDSMNAETGSFSSANDELDVANRKLDAAQQEKTHYEGLMKTKVLPDIKMAEADYANLQQLRQESFKKASVICSESDLEALGHVAGSTPEQLSAKINRLKHRLDQESRRFNEHLGKKGISGFINVDYKNKVLSVELTMPQDASRDTVRDTRGLSGGERSFSTLCFTLALHGMTEAPFRAMDEFDVFMDAVSRKISLDTLIDFAVAQGSQWIFITPHDISMVKPGDRVKKQQMAAPRG >cds.KYUSt_chr7.5254 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31346016:31347214:-1 gene:KYUSg_chr7.5254 transcript:KYUSt_chr7.5254 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRAANGVAPGRTWEPLRCFSAVHSEDGPAHANGYLAAGVMSRETPDVRKPIQEDASIDQELDSSQL >cds.KYUSt_chr2.33558 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207262914:207263570:1 gene:KYUSg_chr2.33558 transcript:KYUSt_chr2.33558 gene_biotype:protein_coding transcript_biotype:protein_coding MHGASSSAAAAPRRPRHDTTLTLGLPYSLVSPRQHARKPRLVVRSSPAGDATPCTDCGKRFPSWKALYGHMRCHPDRQWRGITPPAGGAGRFTVQEREVAASLLTLSAASPGTGKGKKSIAAASPSAMESCGTSASAAPDRQANSDHGHRCSVCNRGFTSGQALGGHRRCHLERDVVVIATAGSSGFAMSETVVTTVLDLNLPPPATPLVLDLKLGYV >cds.KYUSt_chr4.11506 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69964663:69967044:1 gene:KYUSg_chr4.11506 transcript:KYUSt_chr4.11506 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSLSARRLPSKPPASHRGANPYPLFANPRITRRRLALSGADAPRRASAQSPPPRAAAGEGPSGSAAAAEDPVLVGVNDDRVPLEGVIQVEKPGGADAQAKLVSYAKVGLLAGGDLLCLLVFSAIGRLSHGLPALDAETFKTADPFIAGWLLSAYFLGGFGDEAKGSNGVGNAVTVAAKSWAVGIPLGIAIRSLASGHIPQTPFILVAMGSTGVLLTAWRALASQVLSTGQKKDDVYKKGNPFELFEVGIYV >cds.KYUSt_chr6.6540 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39468361:39469308:-1 gene:KYUSg_chr6.6540 transcript:KYUSt_chr6.6540 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRATPTALEESTLRLASMNLTVGGEPPAIKPPSTTPTTTTTVRKRTPGRWRRIPRLWYHPPPHLPETKAMIDKGNLATLENIEFIRNMREEDCHLETPEDVRDFHAFKEKMVRSLTLIVNATPETIFLSYKRFSDTKPEHLTAEAMEAHILPQFPDRCRQAEHFAKLALAHYNNSKKSRKFKLATTLLSNCFSESSGTTYGHVNFTAVLEENAAAQPTSETKTKRLFFAELMLVPQLLADPNAEPMRVVHVYVIDDKYCYGGCKKIFRKIDHKMRREMDYERCHACSDLIKHPEGHLFGGGHDSTRMSYFSAV >cds.KYUSt_chr1.4844 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29825862:29826608:-1 gene:KYUSg_chr1.4844 transcript:KYUSt_chr1.4844 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAVGHLSRSASRAIAKAANGFHLLRIEGYSETEMVLPGQRISSEDFTVGDYSWRVDCYPNGRDTSTKSNAMSVYLQLTDQAQRVLRARYKFSLLDRAGNAGYELPAETASFTSVSSMYNYQYRSPVVLAAVDEQGPGCGHEGFIGREELERRREDLIRDDRIVLRCDVGVTQIEGSCLAADELSDEEEDEQYVAPGYGYGPPPQRRLRRRTDDDEYVKWCLTEEPRRSRGYRQVRSRGFGHPNWY >cds.KYUSt_chr3.1357 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7574481:7575059:1 gene:KYUSg_chr3.1357 transcript:KYUSt_chr3.1357 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGEVGKRALECILMPAMFAAKNVRAQRDRLLQLRRRLQPHADAAAVQELAADLFKVYSTGLKHGAGYLTSCLRLAYDSDADISFCNPAFAFIPDEQLYAALFAHRLPTRPPGTQTEAFARIELAYHAVNLASGHHVPRCIEFLVGERPPSGSGTGKPDGCIVGYPDNTVAAATNHIFKTRVAAMLPLLA >cds.KYUSt_chr4.54226 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335294960:335295331:1 gene:KYUSg_chr4.54226 transcript:KYUSt_chr4.54226 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSGGLLAVAMLAALLAGARCAPAKVTFTVEQGSDEKHLAVVVKYEGDTMAEVELREHGSNEWVDMVKADGDLWRFDSEEPLQGPFNFRFLTVKGMKNVFDDVIPAKYTIGATYTPEQY >cds.KYUSt_chr7.27539 pep primary_assembly:MPB_Lper_Kyuss_1697:7:171948996:171953013:1 gene:KYUSg_chr7.27539 transcript:KYUSt_chr7.27539 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVGEAVAAGSNGANMLERFIHIAKATGYLPGNQQIVENETIYEQLEEDEDDDDDDDDSDEEDDEEEDGAEDGQRENDGEGYDSDATIDHGTEEEKSHRGPTLLKGFWKHVNPNCKIDVEFNDNGQPCGPNTSQFSNFIGSLVKGKEISMAATSWSKVPRSEKMHLWETVKAFFNVEERHRYWVLKSAGKKWKDFKCYLKKKHYKSKLSIEENVANGCGQKLPEAQWDWLNEINDKLNANPELHGEEPNPNDLYSTLFPKAKKSTSSLLHPLRISTPKALLCHHVFFLLCLVGSFLPVLPLPRADAGVGPGGGPRGLHSPRHRRRGRVQSRLFRWSEDDKSSTDGESDLRFLADGETEEESDDDRFSWDDFTSFEEEEEEEDDTSSDEPPAKRFCPWPGNLSDFDSDDDVDEEDEDNEGPAGGRGSSDDEPAGSSADSGDDGDDEGSDGP >cds.KYUSt_chr2.52749 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329110425:329113668:1 gene:KYUSg_chr2.52749 transcript:KYUSt_chr2.52749 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSGRVPEAISWIPRDGIGGGGVSVLIGFERVLPTEEKEENHSGHVGVVFFFMLQNCVSFIQIKPGPFVTALHQGPVEVWTGPVDPLLAPCSRGMVRLGALGVHHATAVDDLVGSPAALVLLALVATVAVAAVAAFGCAKGAKKPRRQDNNGVYYYGKGHPPPPPAGAYGYMPQQQKQGRSDLGAGAGLALGGAAGLATGVIVGEALSGGGCGGGDGDGGGCGGGCGGGCGGCGD >cds.KYUSt_chr1.19078 pep primary_assembly:MPB_Lper_Kyuss_1697:1:112013638:112014771:1 gene:KYUSg_chr1.19078 transcript:KYUSt_chr1.19078 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATSPATAPSSFPSTARKSTLTTLRVSRKLKLAPAALSSDPSPAFRSVTAFAPATVANLGPGFDFLGCAVADASLSLGDTITATLDPALPPGTVAISAVTSPARPDLAARLSRDPLRNCAGIAAVATLRALGVRSHAVSLELAKGLPLGSGLGSSAASAAAAVKAVDALFGSLLSPHELVLAGLESEKAVSGFHADNIAPAILGGFVLVQSYDPFKLVQLPCPPALRLCFVLVTPDFEAPTSKMRAALPRHVDMGQHVRNASQAAALVASVLLGDAAGIGSAMSSDGIVEPTRAPLIPGMAVVKAAALEAGALGCTISGAGPTAVAVIQGDEKGEQIARRMIDAFWLAGKLKATATVAQLDRAGARVIATSRLK >cds.KYUSt_chr4.52992 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328489283:328491377:-1 gene:KYUSg_chr4.52992 transcript:KYUSt_chr4.52992 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVAVIGAGAAGLVAARELRREGHDPVIFERAAGVGGSWLYDPAASADPLGAGGVHSSLYASLRTNLPREIMGFLDFPFVAGEVSGSDPRRFPGHGEVQRYLEAFARRFDLYGLVRLRTEVVRVRRSASTGSWLVSYCSRKLAGAGRDEHEGEEEEEEFDAVVVCNGHFTEPRLADITGYRPSGMDISRDIAGVAKEVHVATRSAPREVQSTTAHPNLWLHSMIDHAEEDGTVVFQDGSQVKADTILHCTGYKYKFPFLDESDDAIGIFVDENRVGPLYKHVFPPQLAPHISFIGLPFKAIPFPLFQLQSNWVAGVLSGRIDLPSQEEMMQDVETYYLEMEACGCPKRYTHGLQLAFEYEDWLVEQCGLENIEVWRKEIYVGAKPKMLDRPESYRDKWDDDHDLLAQAYNDFKEYI >cds.KYUSt_chr6.34207 pep primary_assembly:MPB_Lper_Kyuss_1697:6:214271233:214274987:-1 gene:KYUSg_chr6.34207 transcript:KYUSt_chr6.34207 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVDSRILNFLHSNQAHHHAQGELPPLPTHVAVDVPLRPTGQPLGPDATGGSLREVVDDDDIGAPGGDWYTTRCTQEFHRVVGLPPSAADAPQSEDDDSNAEGDGAVELESEAEDQATASFSPGVTPPSSNNPSSSAAVVEPPVIVAIKEDEAGLRFLFFKAKAMPLSGGPASSSAATPPPGTAISTEPLAIAPPPVATKQFTLFSYYALIMASGVVGPKAPSSVVAPSAMATGSPVSAIQQEAPAMPVVPLPSIEVVVLVEMSPQVELENVVEAKANIGSDRDLLSLGRDNRFSFHWKRMEEIDLALCMIFQHLAPGEVLPHEYEAFLGVLQDVPIVIDWWKSDMAWIYCDGSEESQREAAAALDYCKVFSARIQREVDLDSFYASDGPPEDLSNEELQVDYASPTPFAAVSKGRLFNSRLRFVGE >cds.KYUSt_chr1.26364 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158813951:158817797:1 gene:KYUSg_chr1.26364 transcript:KYUSt_chr1.26364 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFVSTIDGDYHELAEDAKTACHQLEAYIEYKRCEGVAEIIVAPSMAEGFRSIVQTMGLGNLKPNIVVLRYPEIWRRENLTEIPSTFVSIINDCIIANKAVVIVKGLDEWPNEFQRQYGTIDLYWIVRDGGLMLLLSQLLLTKETFESCKIQVFCIAEEDTDAEELKTDVKKFLYDLRMHAEVIVVTMKSWESHLESSSSGGQPDDSHEAYASAQRRISTYLSEMKETTQREGRPQMEHGKQVVVNEQKEQQQQKGSEMAKSKNHTAHNQSYKAHRNGIKKPKRQRQASTKGMDPKFLRNLRYSRKHNKKGGEEVQD >cds.KYUSt_chr3.43168 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272885984:272887206:1 gene:KYUSg_chr3.43168 transcript:KYUSt_chr3.43168 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWWRCGGRGCVQPCPVGIAGSILVAIVQAAAGAGDGEGDGQADSAECSVNMDEKKMDWTKRYGKSRLNAEKTKCMQEIVCGCSGKPEDENTKFCRCECPPKLGLRRTSDSR >cds.KYUSt_chr6.12472 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77963572:77966565:-1 gene:KYUSg_chr6.12472 transcript:KYUSt_chr6.12472 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSLGDLVGGTSGDALMPHAVSARKETPPCCDVEPACVHDRTQKEHGMGSRSSVRVVDSCLVPPSAETPRKALWLSALDLVLANRGYTPLVHLYSASDLAAAATDWFNVAKLKKSMAKALVPFYPLAGRLSTDSDGRIEVNCNAEGALFVVAHSDHTVEDFRDSPELRKLLCPRVQQSSVILAAQVTFLRCGGAVIGTAGHHAVVDGASMFHFIRTWARYCRDGERAAIEPPFHDRRLLRARSPPIVRPETVPMFCSRLAMHEPSPTSAVATEVFAFSKDQLRALKRHCGGASTFCAVSALVWRCVCVARRLDPDTVTRMNFPVDVRRRLEPPLPDGYFGNGVVNVFATAAVRDVVSETLASVANRIKGTTERFDDGLLRSAVDYFEMEAEKGGRPEHSGNLPETELRMNSWYHLRTYEADFGWGKPRVMTRAEAVRGGWVYLLDAGCGDGSAHLLVSLEAATLEKFQRAIATCGALANAHARL >cds.KYUSt_chr2.50957 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318806286:318808032:1 gene:KYUSg_chr2.50957 transcript:KYUSt_chr2.50957 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETLTLTALLKAAAAAHPSRRAIAVHGKIDLTHADLDALVDAAAARLHGAGVRPGQTVALCFPNTVELVVTFLAVIRARAVAAPLNPAYTQEEFEFYLSDSEARLLVTNAEGNAAAQAAAAKLGLVHAATTTLVSPAGPLHLSGLPDAKENGAQQENGLSCDNDPSDVALFLHTSGTTSRPKGVPLTQRNLAASVQNIRAAYRFVETDATVVTLPLFHVHGLMCALLSSLASGAAVTLPAGGRFSASTFWADMRAAGATWYTAVPTIHQIILDRHASKPEGYPALRFVRSCSASLAPVILERLEAAFGAPVLEAYAMTEASHMMTSNPLPEDGARKPGSVGRPAGKMELAVLDEQGREVAAGSPGEVCVRGANVTAGYKGNPAANAEAFAYGWFHTGDIGVRDAEDGFVRLVGRIKELVNRGGEKISPIEVDSVLLSHPDVAQAVAFGVPDEKYGEEIHCAVIPRDGVSMAEEEVVAFCRRNLAAFKVPKKVYIADDLPKTATGKIQRRIVSQHFFVPPAAAATKA >cds.KYUSt_chr5.5875 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36570391:36571454:1 gene:KYUSg_chr5.5875 transcript:KYUSt_chr5.5875 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRDMPLPRLYELLHGQEIAKELDVQGRGIPATVASTTYMGMNALHAAGGRGRLPAYRYLVEEVGMDVDKPDTAQDFTPVVHAVTNGCLPAVKYLVNHGADVHQQRSEGNTTLLHTAALLGMKEGLNSLH >cds.KYUSt_chr6.14932 pep primary_assembly:MPB_Lper_Kyuss_1697:6:93552174:93555607:1 gene:KYUSg_chr6.14932 transcript:KYUSt_chr6.14932 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQWLAEYPHQAADKRPRKRPRLAWDATPQLFPPPKAIPMLYCGQELINGNFTTAFLPPPPIYYAGPPRNLSPPWRPDDKDGHYVFALGENLTPRYRILSKMGEGTFGQVLECWDLENQEAVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVREFARQILESVTFMHDLRLIHTDLKPENILLVSPDTIRIHDYKIPIRQSKDGSVFKNLPKSSAIKLIDFGSTTFDHQDHNYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPIPKHMMARADRRAEKYFRRGLRLDWPEGAASRESMKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDERLKARQALQHPFFTRSHRRCGY >cds.KYUSt_chr2.813 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4776104:4777773:1 gene:KYUSg_chr2.813 transcript:KYUSt_chr2.813 gene_biotype:protein_coding transcript_biotype:protein_coding METTPQSRADEKPLVFLAQPLFPEFAAALAGRYRFVLAADADSATSAEARVLLVPGLAPVTAQHLARLPALQLVAGTSVGVDHVDLDTCRSRGLSVTNAGPAFAVDSADYAVGLVVAVLRRVAAADAYVRRGRWAADGDYPLATKVSGKRVGIVGLGNIGSRVARRLAAFGCAVSYHSRSPKPSSPYTFVPTLLDLAVGSDVLVLSCALTDETKYMVNREVMEALGKDGVLINVGRGGLVDEPELVSCLRDGALGGAGLDVYANEPAVPPELFGMDNVVLSDHRAVITPESMRGVLEVITANLDTFFSGRPLVSPVQL >cds.KYUSt_chr1.34706 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211363061:211363387:1 gene:KYUSg_chr1.34706 transcript:KYUSt_chr1.34706 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFAGGVEQGAGRVLKEAAGRCLRCGGAADLVETEKVLKLFFVPAWRWKGKDPAYLCRECGLLAPGSLGGGGGEPGALLPRADRCGACSRAVDPQFRFCPFCGSAL >cds.KYUSt_chr6.25603 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162284632:162287034:1 gene:KYUSg_chr6.25603 transcript:KYUSt_chr6.25603 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYLSSYEMLKFTAKSDTKIPLTHSSLIVSFCHPALASAFPTASMDPHGADLPCIVQALASMDPNDAAERPCIVQALAASPSKPQAAFPAAAAGDHPTPPAPKSSRTRATSPRRTRSGGAPEWTAAETLALVATVAAVDDDGWARSVSAFQKWAIVADNLASSAGPSSRRKGRAAGECKRRWEALVAEYGAVRRAGGRYWGMGAAARRKAGLPEGFDAEVYGIMDALIQVEEAFLAGAGGAQPTQVRKEESGDAGVGEEEEVDGEDGEGEMQVDGDANAPEEDAGAEAHNKHKKSQTDAWELANKLNENAQHIHMLLNGEANEEAGKNHVPGDGISPEAMETSRQKADELIKSLGGLVSYLNQFTDLIKENGIEDVVGVN >cds.KYUSt_chr6.5743 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34522548:34525855:1 gene:KYUSg_chr6.5743 transcript:KYUSt_chr6.5743 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPVVGRRGGFFQPRDEVSHFAIGDFALSNDHAMPLFQGRDKSKMYPANPGILPQGGGTARNSTTVYGGGTAADVVPHGKGNFCAQIQNKDVAVTMSAEAGLFPAGIGNVSDIICSPPQGDTVRSQDEHRNKGSDLFLFDWPELAPLEGVDTELRFHDDAKDLQLTINMATPMNTQQPSKNKEKESPLNRSSSEEIQHFPRVSDADLLCPFDDMLVSTSSSTMYRNDEMIPSSATARSWPDDVASAYAPQSSTKKKKKPHATTPDMFLDEMSENPLEMYFPQVKQPQMHHQLLPEVCFAGDGAGLNSSGLELGSKGRGCSGGLRESPLPSSIAKAAPVKNLRFQKLQEGMNQLDVGTKTCIRDALYRLANNVEQRHCVDQNVGSSSAASRQVYLIKTSSVWTETQGSPMDRSVAQLLLQKPLYRKTTGRTSIGWHVRSSRVETAKEIGTLRESSSLPTAVGTAKKAVGIGCSDGDRRRSVVGIVLVGDCPITVGTDWPSAQIVVPTVIPSA >cds.KYUSt_chr5.15409 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99556959:99560031:-1 gene:KYUSg_chr5.15409 transcript:KYUSt_chr5.15409 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAASRLRSHSPFGSPHAPCRLFSPPPVRVEPPVTATEARRLVRLVGVEALKRRLRDRAGEAIGYGELLDACVEAGAARTHGEAEGLARAMDDAGVLLLFRDKAYLHPEKVVDLVRKAVPLALEVENDPRKEEFKQLQERKDDIDKLAHKQVRRILWSGLGFLMTQVGLFFRLTFWELSWDVMEPIAFFTTASGLLVSYTYFLVTSRDPTYQDFMERLFLSRRKKLCAKQKFDMNRYLELQKHCKCPLEGPKLHNFYGLYSK >cds.KYUSt_chr3.14930 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90894977:90896863:-1 gene:KYUSg_chr3.14930 transcript:KYUSt_chr3.14930 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWTLLNRVALIWDDAETEDREEAAVKLSWSPTLSRLWVARSIHPDRDNFDRHPYIAAHDARGLLLHVSSPPGIGLNLDPNPAGLFVVLPLSAFVPGVTAEFFMATDAAVRVPERLDVPDVWSIKSVGLLSRVGADGVEYVIAELQSVNDADEIANLLRFRLGSAAWVQDKVNCIGFNPSCIDQVISHQGKLWWVDLRKGLIVCDPFQIHPVLVVLDLPNGVTRRQEDESECDDIAKKRYVTVSAGILRFLQVTGDSGIAKTAVMMWTLVSDPDTLSPSWQPEFMIDTGKIWPCEIPALAIVHPDNPELVYFFVQGKLVSVDISQNTHLNVFNNLSHFNLLGAWHGEVAPPPLSWRYLIAWKNSNSEECHPWTAFVREQGMSSFCEYEDEVSASEGHKRRSYLGKLCRQGVKAGKLFASEFGVGVTSLGSKLLYLNEEITVAKSFFKHVGEFKCTGEGYVATTARNSAKASKGVSKVLGGAASALSYGGKACRSAGFTLQTLHPSAQTGMCIVEEKTLNSLQEAKDVIKEWKDSKDPVSVDVPRLMETGTAIEIMELFKECGALVLVENATILREKAGHVLDDDWHMV >cds.KYUSt_chr7.29323 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182520849:182526568:1 gene:KYUSg_chr7.29323 transcript:KYUSt_chr7.29323 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTYALRLTPPPPPLRHHAPLLPQLRRRTAAKVAASWVPAAGDNSDDGVGGWWLPEQPVEQGRAGFGRALAVGLGATAAIALAGITWRSPSSRKCLEQLVGAPLHYVQERLSIPESTDVPEDDASVREPGTTDVSRITVDETPDASSVDSNGNHIPAGGGRISFTVPVDPMHEEALSILKKLQIIEKDASSSEFCTRREFARWFVKLCSKLERERMHRIIPDLITSGSFESAFDDLNFDDPDFLYIQSLGESGIVPSKLSSFFGNRNSNFLPESYLSRFDLVNWKVLVEYPFASELDQKMLSKNDHTLDLSAWPDVSASVLTDLFGHNHSIVSKVFGNTRRLQHHKPVTKAQAAAALTSGRMEEVIHDELNRLEAENQSRRSVMGEIMEELINRGDIKKYWEVKMKKEQDREFEVDKHLQGVLHELANEKTDREKQIAVLLKERTALECQNQELATLRSEVDGMYDRLATESLEVMADEENIEKLSSDVSSKHQAVTEAKSFLEAEKEALTMLRSWVEQEAERVHQRAEVLEKAVRRWRVPAD >cds.KYUSt_contig_2431.28 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000336.1:157502:157876:-1 gene:KYUSg_contig_2431.28 transcript:KYUSt_contig_2431.28 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVAFERTRASSVLDLGCGELKLRVFPGVHQWGTRDDGSRLAPAIFGRRATLHNHKMMALLPPGARPLQRIFSYSLRALLSIVVPSGMSPAAAVLARMTSGLAEDPIAFRRFVEGFLVQIFRS >cds.KYUSt_chr6.11325 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70155544:70157873:1 gene:KYUSg_chr6.11325 transcript:KYUSt_chr6.11325 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQRLPLLLKVAATAAAGALAIVAATRLLRDDAVSSLRRDIRDAVSALAPSDEDGNDDSADDHDAPAPSVLITGFRAHGKSSLVNTACRALAGEEGPLLLRAEASPPGGGSDGTRKRLRVKAVVGGTDGEMGAEEAAVELLDAPPLPEAARLTRDDITAAIGEGSPECVVLVLRCDAPSKERNAAVKRLPEISAAVRAKGLNLIIVLTFKKAMRSVRQAEELLREVSFRARTDCVYFIENYTWSNNGPNLRHPPMIKNDFATHFTVLTIIRQCLEFIKLNRSQSKEKDVKRLNQQADAKTVPKVK >cds.KYUSt_chr2.49321 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308634102:308641903:1 gene:KYUSg_chr2.49321 transcript:KYUSt_chr2.49321 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGEARRDSPVARHRIPVQNRHHSAESRTDPDSTELRAPAMAAASVAGATSRAVESHRIPSPELSPQASSSTPSSSSRAAEAEARPVSCDGGAEEDVLHLDSPWVAAAEADSRLEEAAMAAVATGLRLCAENEAEADEIRDNLQRQDDEASGKAATRGMVEMLSIKVLYSDVKSCPKCRMAISKTKGCNKVVCISCGQAFCFLCGEAAIGGYAHFRGNCGLFEKEKDTRDWEKLMEQLARQVERQPVGSTVKCPKCRQKIYKVVREGPYTDTLVDMLQFSRECLQKGMERIVHVHHVEKDAFLKGNIEPDPEEVDLVFDVSPSFAEVVAQVRVELNWNEPNDGVELERRHNVGFGMHTRWKIMRINSEQRWSIYKETVAGSQDKALELFATKTVDARIELDLNRPSSPIRERTPPPMSQEEATQSPIVQSPIAQQPPLDNEYDEHDDGDDGFEMNGNNIGDLDKYWTQEEMDHSIPYSRCYASDSDDDGPEEEVDEDGFTAKEAERAEIFKKVTGRDIRVPLFRDVSLADGAVVDGGKSLLLGARPLSKRDVDGRTAMISKGLTFDTFLELKIWLKEFSIKHHRPYLVVHSDLKKRYTLKCVDKSCGQTFCFRCGKAIIAGYAHFSGRCDLFHHKEKDTTDWGKLLEQLETRNRDDDKYIFCWSCQASYCTLCKKQVQFAGKQSEHWGSQDCVKIKF >cds.KYUSt_chr1.34484 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209933206:209933880:1 gene:KYUSg_chr1.34484 transcript:KYUSt_chr1.34484 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSSPPRHSRMLLPMAPTASPSPAPAAPGISTPYAWPPAVASPDAESALAWPNTNAILVLALLVCALFCAIVIHVVLQCAFRVASRAWYGPYGAIGTPQEPAPRAGGGGGGGDSRKRGALPCLAYSAGLDLAGSSRAECAICLAEFARGEQLRVLPRCNHGFHAHCIDRWLDARPTCPTCRQAPFAEPDVECVLAAGRARPEPVVAVVRVIVDGGRARRVEI >cds.KYUSt_chr6.3565 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20577575:20580594:-1 gene:KYUSg_chr6.3565 transcript:KYUSt_chr6.3565 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPPGAQPRKRRAAPHAPPPGVMKAAAGSSDRNARVGKRARAAQPSPTLRQNIRAEYHNIINGPPPLGLRLRKSESFLDLLQKILSKANSTTGQPVMDNIHSAPPMMEDVKSEAPTASDRLKASNFPAKFLKIGDWEYTSQYEGDLVAKCYYAKQKLVWEVLHCGLKSKIELQWSDITALKATCPEAGDYGTLDIVLSRPPIFFQETNPQPRKHTLWQPAADFTGGQASMHRRHILRCTSSLLSKNFDKIVQSDQRLYQLSQQPVIILDSPVYESRSSIFENPTESDGPSTKFPHFAPPHVVSPVLENDGVKHLTLKQPDPFFQPMNLGIVVPDVQDKNPSLCNLSVPELRSSISMDDLINHIDSYITEHQAAGDPPLPNTEVPAKELLQIAESLLSDTHGPPSADENYLMARVNSLCCLLEKDTPPATVSILEQKGTTDVAEAVSDDFDGRTADGVLPPVIPRNDSFADLLENLPRVASVSQFLFNIAEDSSLQF >cds.KYUSt_chr1.10805 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66155892:66162373:1 gene:KYUSg_chr1.10805 transcript:KYUSt_chr1.10805 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMRVESITRDMLFCYMAPFPSGIMSPVVDLFVRELWKPIKKQFGYCLKPESKVRKLAKAADDLKENIDLVKEKIKLGELEGKKPRVQATRWIDDSAKLVEDESYRIKNTYDGRSTHIFGCSWNCFFNYRISSAATKKKADADEFKESTPNNDSIFSLLPPVGRELPLPPNIMGQNRYMKEIVACIKQGTMSFIGICGMGGAGKTTLLKQLNNIFSCAAETHEFDYIIYVDVGQQQDLDTVRQNVASQLGLVIGKDESTTFRSSSLYNFLKERKFLLLIDDLWQTLDLVQIGIPEGGRLIGLQNRQMIVITTRLQHLCHRIQVHGQLIMLQRLEFEEAWNLFDANAGCNRVTNSSAQIRVYAKSIVNMCGGLPLALKIVGQAMASKESEHEWKHVMWDSSSIPAAAMSAPPLPQTLEELATKQQEDHDAVVATLTSLSDENQTARAERAEDRATLKTIAETLQKLQG >cds.KYUSt_chr3.37071 pep primary_assembly:MPB_Lper_Kyuss_1697:3:233180522:233182076:1 gene:KYUSg_chr3.37071 transcript:KYUSt_chr3.37071 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLHAAASSSPLAGPSPPARAAFRPLASSPFLRLARSSPDLRRCRRVDAPLRALPAGGPAPRARRFVGALAADEPATSSEPGDDDAEKENIEIEPEEAQEVWREMLKQFKGEAIRMQALTTEAYAVYSKRAREVLLEASEKLKIQADKAQKDLSVIAAEVGEEGQEYLKLAAQNSPDSVKDVTETINALGKLNGPSEYADYHVGIPFGTYLTVGGFLNFMLTGSVSALRFGFVLGFALLALGISSLRTQRAGGRQPRLLLKGQAG >cds.KYUSt_chr7.39475 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245423366:245432040:-1 gene:KYUSg_chr7.39475 transcript:KYUSt_chr7.39475 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFRTWSLFSCSVRQRNGTNWFPEREYSSNMRNGLVRPNRRNREERLANAETNPSLSSLSLLWFFSKVNTEQRGGQDREPKPYWISIEEEEGPPSWDPVPDPWSCLPHPSKVDKSRASWNPALEKSLVELLHEHNTTYHRSQNGWTTETWNLMVSAFHERHPHVKFTKSQVQDKEKDLKRDYRILKEARKQSGVGWNEAKGMLQADPHLWVNLATSLGDRIKKFKRKAFPLYDSLGELYNGQLAEGKLCFTSTAGPSMEIEDVESDDDYEVHGDKCYDEDLQIIDDEKMERNTPHVAAVERNKSHVAAVERNKSQVTAVERNNSHVAFVERNKSRVAAVERNMSHVDAVERNMSHVDAVERNMSQVAAVERNTSQVAVVERNKSKVGGSRVNRKNSPKKRSTDGLVGVMERLVQIKEKEAIKEVAQEFTITRCMEALKTLEGVTPDEKITALEVFENAHNREFFVNLVDDKDGTAILWFRRQLASFRKLKMKSYWSIGVANDLGASNSVVDVCPKNLVKARFPPRGNPLVEVGYAFVALLTGDLSEAFVAVGLAFVATTLLQT >cds.KYUSt_chr3.7603 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43755258:43756253:1 gene:KYUSg_chr3.7603 transcript:KYUSt_chr3.7603 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDKAPRVVEDLFGIVQILSDGTIVRGDEAVLRQKATYPDVPGVQWKDVVYHAVHGLRARVYRPASSPPLAGSSSKLPVLVYFHGGGYCIGSYAQPPFHSFCLRAAAELPAVVLSVQYRLAPEHRLPAAIEDGAYFLTWLHGQAGGGADPWLAESADFARTFISGVSAGANLAHHVTVQLASAQLAVNLVRVVGYVLLSAFFGSAERTAAETEPPADVSLTVDMSDQLWHMSLPVGATRDHPVANPFGPDSPSLTAVDLPPALVVVPGSDVLRDHVRGYAARLTEMGKTVEVVEFAGEQHGFYVLQPFGEAADELMRVLRRFVYEGHSA >cds.KYUSt_chr2.27793 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170675066:170675647:1 gene:KYUSg_chr2.27793 transcript:KYUSt_chr2.27793 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASEIDPALEPQVVDVVVDDESSSAKGSEEEAPPEGAKVETEADEGECEFCLYMKGGACKEAFVSWDDCVQAAQKEDSDMVERCSEATVNLMKCMEANVDYYGILLQAEQHVPDHAEAATADADKNKGEESAPSPATDETKKEEAVVESAASGADAKEEVVEQAASSTADEESKKEEAAVEKVKSLSLGN >cds.KYUSt_chr3.37619 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236716560:236717372:1 gene:KYUSg_chr3.37619 transcript:KYUSt_chr3.37619 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAANGRNRGRLASILLARQPRFTDSTNDSTATAKSKDGYTMAVSFWIADPPQLSLFSIHCSKPPASRHKAYPDFLVLPQVVGADGPFVLLRAGFYAGAGSREYFLYKAAGDAPPSLERIPTPDEFGSDGDDLRGVREFGILGQGGHYLVAALRDAPSSSGDDYQLRIYSSERKSWSTRTLQNPCPGVDRVIADKVITLGQGGLLGWVDLSHGLLVCDLLRLQDPDPTAAAGGGVSFFIPMPEPLPGNRYKEKYPILLNIRHKCPALN >cds.KYUSt_chr5.20418 pep primary_assembly:MPB_Lper_Kyuss_1697:5:132701425:132703430:1 gene:KYUSg_chr5.20418 transcript:KYUSt_chr5.20418 gene_biotype:protein_coding transcript_biotype:protein_coding MAREMEKTRKVTSPKITSTSSGPKSPPRNGGSPPHKKNITEPRSKNEQQSFRKGGPDSATHDESRRHSPTSETSPKKSTKHEQPLSYCRLHTEERAIRRAGYNYQVASKINTMEIIRRFEDKLSQVMEEREIKMMRKEMVPKAQLMPAFDKPFHPQRQLFNIYMIL >cds.KYUSt_chr2.4534 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28050853:28051593:-1 gene:KYUSg_chr2.4534 transcript:KYUSt_chr2.4534 gene_biotype:protein_coding transcript_biotype:protein_coding MPINTGSKQQHEAPHLAAAHLLTHTAQSSSGSMAASKGLLAALLLIVTVSFAPHDAEANKAPLALVAGVVPCSAGSSINAATVPAFPNAAVQLMCSGKVMASTKTDHSGAFTMNMGTVTTQLLAPLLGNQCKVVVVTPLAACDASLASVTGTLTAPVQLLGDSGSGSGSGSGGLGGLGGLIGLIGQIVGGLLGGIINIIPLPFSVV >cds.KYUSt_chr2.30550 pep primary_assembly:MPB_Lper_Kyuss_1697:2:188203479:188210543:1 gene:KYUSg_chr2.30550 transcript:KYUSt_chr2.30550 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAKVYADVNVVRPKEYWDYEALAVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYINNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDGLNAYLNKYRIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRTRAQSYLDNRSGSFAASLQLVSGRPAGREREADQRAEHSAEDSEHGKVVVHTCSEEYEQEYDYTTLEDCAFATTSDLTCEHLSLLLIVFAVLPHDALFESRVISTCLP >cds.KYUSt_chr5.17014 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109633171:109637461:1 gene:KYUSg_chr5.17014 transcript:KYUSt_chr5.17014 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRAASAAGEDGNERVLSYGDVVLLRSDLAILRGRHFLNDRIIAFYLAHLSSAFGANDDDGADLLLLPPSIPYLLSNLPDPESVAMVAEPIRLASRRLVLLPVNDNPDASLAEGGSHWTLLVLDATAGASRPRFVHHDSLGFVNVPAACCLADALRPLLPDADNGAPLVEGPTPMQVNGHDCGVYVLAVARAICSWWRDRQGQQQEGGTDWFDTVTKEVDAASVKAMRAEMLHLIARLIQEKEEEEKKKKNMDREWKMQSQEKITVVKPVPFRPFSSFNSFSKLLKDFSTTGSTQITCPEESVTVRRPKVTRFTPPPSDLSKGIAVTMDGGLDATREQMVINTEQVVSCDHMTVFHNINKPIHCVKNRLSYDGYNWRKYGQKQVKGSEFPRSYYKCTYPTCPVKRKVETTLDGQIAEIVYNGEHNHPKLNSPEKPVSSTSTEIVVTDTHDSNGAGAEGQLGGRNCGFSNVAVASRSSCDCSDEFGNTSQVCDCKRSRKEKQSSMANDLTAYVKGAFQLPTEHETAGDASFRWRKYGQKAVNGNSFPR >cds.KYUSt_chr6.13756 pep primary_assembly:MPB_Lper_Kyuss_1697:6:86123943:86131798:1 gene:KYUSg_chr6.13756 transcript:KYUSt_chr6.13756 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKAEELFRKVLEGGSKKQGRLLGLDVGSKYVGLAVSDPQNKIALPLSVLGRTKTNIDLMADDFKTLVKKYSLEGLIVGYPFNLQGQSSPDAIQVSLLVGELSKTGKLDNLGYTYWDENFTSKCVEALLNPLKLSTPVETKTMTDKFAAVCILQGYLDNMNRAMRSTDDCKEANAAATYDSPSSCIQGDRSRQRIGPVMHLRHQVDAVATSRDADSRFLCSYVDSLMSIKHYPQADVKGKQQAVVNYQEIKRERWFQQRCQKLARTWLKPDAGFLKLNVDGSYSEQAGNGGAGLVLRDDTGSVLLCACRYIPACTSPLEAELVACREGIAKTREWSDQPCIVEMDSVEAVRMIKSPGLDRSCFSHTVQEIKQSM >cds.KYUSt_chr6.33210 pep primary_assembly:MPB_Lper_Kyuss_1697:6:208859657:208861504:-1 gene:KYUSg_chr6.33210 transcript:KYUSt_chr6.33210 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGTSRRREIRRIEDATSRQVTFSKRRTGLLKKAFELGVLCDAEVALVVFSSTGRRYEYGSAPDLQKTIDRYLNHTKGSIPTNEKALPASVQMCRFEATALKQKIDAIEANQRKLSGEGLGSCSAQELQELELQLNKSLTNIREKKAS >cds.KYUSt_chr5.18265 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117981158:117982111:-1 gene:KYUSg_chr5.18265 transcript:KYUSt_chr5.18265 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSNAPSFFNFLKEGLLLPSRNRRLFTAVSAFTLAATALIVLANDLALQPLADEIKIDIKALNTTEPGSLEYAKLVQEIRNDARELLLVGAGYLLLALVVSAAVRIVVLFAAVSTYSGEQPATTFGALLGKAKPQIKGPLLTLAFIYVLEIVYVALLVAMVGLVSFLAVKQYFVTLLVASLLVIAASVCLVYFSFICSFSVVVAVAEPGCYGAAALGKAWRLVKGKKRQVVLYVAVTAVLAAAVSPVRTLATTCAVNSVALGLLLGIVYAVLLALAQLFTICAMAAFYYERRESVDNQLGATGYAKLSTEEANA >cds.KYUSt_chr4.25810 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162361702:162362719:1 gene:KYUSg_chr4.25810 transcript:KYUSt_chr4.25810 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSRTGYGTRRLAWWARLLSGSQAAMVTMHSKACFAAPIVLLHASTAERHLLLQRRHIRRQEGLGWGAIEPVILRHFLLQLPHRGWRGGDRSIFHRGLQGDDNKALVLLAATCHDIQSRGCRFQDEGEQETKVDQGREQD >cds.KYUSt_chr6.11923 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74203432:74211145:1 gene:KYUSg_chr6.11923 transcript:KYUSt_chr6.11923 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Flavonoid biosynthesi [Source: Projected from Oryza sativa (Os02g0697400)] MITVAAPEVQQPQIAAAAAAVEAAAPEATTIFRSRLPDIDIPTHMPLHDYCFATAASAPDAPCLITAATGKTYTFAETHLLCRKAAAALHGLGVRHGDRIMLLLQNSVEFALAFFGASMLGAVSTAANPFCTPQEIHKQLVASGAKLVVTQSAYVDKLRHEAFPRIGEALTVITIDEDDGTPDGCQPFWALVSAADENSVPESPISPDDAVALPYSSGTTGLPKGVVLTHGGLVSSVAQQVDGENPNLHMRAGEDVVLCVLPLFHIFSLNSVLLCALRAGAAVMLMPRFEMGAMLEGIERWRVTVAAVVPPLVLALAKNPGVEKHDLSSIRIVLSGAAPLGKELEDALRGRLPQAIFGQGYGMTEAGPVLSMCPAFAREPTPAKSGSCGTVVRNAQLKVVDPDTGVSLGRNLPGEICIRGPQIMKGYLNDPVATAATIDVEGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELEALLIAHPSIADAAVVPQKDDAAGEVPVAFVVRAADSDIAEEAIKEFVSKQVVFYKRLHKVYFTHAIPKSASGKILRKELRAKLAAPATA >cds.KYUSt_chr3.45595 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287247101:287248459:-1 gene:KYUSg_chr3.45595 transcript:KYUSt_chr3.45595 gene_biotype:protein_coding transcript_biotype:protein_coding MPILRLSVLVIVFATFWTPSTAVGSSTLLLARSRSLSPPNTGAPLTAWAASIAAQSAADASRVATLLAVPLLDVRRKSKGHSRGRSFVPIAPGRQILSIPNYVARARIGTPAQTLLVAIDPSNDAAWIPCSACVGCAPAATSPSFSPTQSSTYRPVHCGAPQCAQVPGAASCPWGAGASCAFNLTYGSSTFQAVLGHDVLALEDGTGAAASAYTFGCLRVVTGGSVPPQGLLGFGRGPLSFLSQTKDTYGSVFSYCLPSYKSSNFSGTLRLGPVGQPKRIKTTPLLYNPHRPTLYYVDMTGIRVGGKAVAVPASALALDPATGSGGTIVDAGTMFTRLSAPVYAAVRDAFRRRVRVPVSAPLGGFDTCYNVTVRVPSFVLAFSGGAAVNLPEENVMIHSSSGSVACLAMAAGPSDGVNVGLNVLASMQQQNHRVLFDVANRRVGFSREFCTA >cds.KYUSt_chr5.22810 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149004094:149005242:-1 gene:KYUSg_chr5.22810 transcript:KYUSt_chr5.22810 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDYYEILNVDRSATDDDLRRAYRRLAMRWHPDKNPEGKSDAEAKFKDITEAYNVLSDATKRAVYDQYGEEGLKDPPPQPGGSVDDIFAEFFGSTPFTYSNNTRAKQQQQTAWDGGFGRPYDQGVGAGAAAMAAPPPVESKLACTLEELYTGVTKKMKISRNVVDATGRMKTESEILSIEVKPGWKKGTKITFPGKGNQQWNQLPADLVFVVDERPHDVYRRDGNDLLAEARVTLAEALGGTVVVLAALDGRELAVDVGGGEEDGPVVCPGYELVVPMEGMPIAREPGRHGSLRIRFDVAFPDRLTRPARAQIKRILELEAAGG >cds.KYUSt_chr5.43145 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272165672:272172824:1 gene:KYUSg_chr5.43145 transcript:KYUSt_chr5.43145 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTYCSGSVDWRFCLVSTSSRLPDGLEKITSSHPSGWALLRCSKPNVAMVAAVTRWCLKTGGFRIRSLYLGGDDEDDGLDCDLGPTSATGSSTACTSTSAASPSAGRVWALPRGPHVAGSFRDAELADVLPAASLRSAVSGALLRRPHLATSFRDLDAELADVLPVAALRLSHDLAGHLELLRAHCRQRAAAQYHDPDEAALHDRHLASLYQFEFELEHPPDPTPAQVAPLQHRWTDEPTELLAEADADAEDSPVGMRLCPDAPLSCTWWWCRLMQFVRLMQLVRLMQFVRLMQLVRMRRLQLPRCSFREDADPADMAGAIFMSNSETREHCFGTGVFGLPPEYERFVARVRQGMPLFLFDYTERKLYGVFEATSDGGMDIRRGAFRFTGRTYPAQLPTGDDRSYLTPYVPSYPHLSQHNANLEDDYDNCEQCKAIYASEHRHLNRAKSLTPELTQQGIPAYPEAPEVSAISQQKECFAGYIPIPDCAEDFEKDQPRRDFNRDVSGSSGSGHDTGAYMSNQPYTNHDVGAESNITVPSQRQQKTVFSRLSMKPQPLPQEIPGPSLNQLLYSLSKRTEQWSSKTRSPTEDVCKQLVRAQDIDRPYAPSELNLPTELEEESVDPPFLNFKRRSKAASLDANGGNEGSVKPKRRKLVRPSFGQSNSTASSGKELQENAVVEMNHSPAKTVGKELQENVIMEMTNTSVETVGNKLKENVTMERYHSPVKTGGNDFQENVIMEMTHTHVKTVENKLQENVMMERNHSPVETGGNKFYIDLNEPASVDSDLVEDASIVTPPPVAVKTQIVKPTDVDINQLSCSNSKEVNSKQDQSSGSGAPTEKITLDLNITDLNTMDEAKLQAILGSSLLQALDKLRNGKSNDSEKANKSSLCGKNSVVKMEVKADTSTNRRCN >cds.KYUSt_chr6.22336 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141090244:141112812:-1 gene:KYUSg_chr6.22336 transcript:KYUSt_chr6.22336 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRELLAVVEAALLSPAPPSPAQRVELLHALRDAAPAFRALLSYPVRPASLVAELLLGPKASDRTQVEAKEVRLPDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVSANREWVLYGREPLEIYRLAAGLWYMERRDLITSLYILLRSVVLDQGLDADLMYEIQSQMEALFSDGLRQRIITLVKELNREEPSGIGRPSSESYVLDFRGALVERRAIVSRERLSLSHCLALSALIKLMGPKEVKDTFSILKDCAAEVNENTSVELQITYGILFSLVVTFVSDALSTSHEKASLPSDSSFRREFHELVTRTCNNTTVEGFVGIVRLAWTVLLMLTQDQNSARDSVINASSGVVADIWSCLDIICRLNAFEFLRERVMQTAAYQNDDDDIVYMYTGYAHKLMMCFLSHPTCRDKIKEIKEKAMNALSPYALPRDHREDLNISGEQTGQPTKQPFVSLLELVGEIYQKEPELVHGNEELWTFVVYAGEDHTNTQTLVAFLGLLSTLASSEVGAAKVYELLQGKVYRSVGWNTLFDCLSIYEEKFKKSLQSATSMLPDFPEGDAQALVAYLAVLQKVVENGNPSERRKWFPDIEPLFKLLSYENVPPYLKGALRNSITAFVKVSPQLKDAVWSYLEQYDLPVVTVSPGQHTATQVYDMRFELNEVEARRESYPSTISFLNLVNALIAEERNISDKGRRFMGIFKFVYEDVFGPFPQRAYGDPREKWELALACLEHFRLVLRMYDIKDDDIYAAFSTSGPSTSNASIEKQLPVLELVKDFMCGKVAFRNIMNIVLVGVDTLINERTTQTYGILLEKTVHLSLEIFILVMERDLVLADVFRPLYQPLDVVLSQNHRQIIALLEFVRYDYLPEIQQCSIKIMGILSSRIVGLVQLLLKDNVSKSVIEDYAACLEYRFDDFQVIENTKDDVGVLILQLLIDNICRPAPNITHLLLRFDVNGPIERTVLKPKSHYSCLKIILDNLEKVTKPDINALLHEFSFQLLYELCLDPLTCGPVMDLLSTTKYQFFSKHVETIAVSPLPKRNINQALRISTLHQRAWLLKMLALAVHVSDISSSVYREAVLAILNDTFGHCTENMKNASMFQSPGTPINTSNGPMNRNKVLELLEVVQFRCPDTSMKYPQLLSNLRVESKIEEILRNSATSEFGGVYYFSERGDRLIDLDAFHQKLIQMSQELHTQLSESEKGELKESFHHMLKWAWRYNKNLEEQAAQLHMLTSWSQTVEVAVSRRMSLLEDRSQLLFELLDASLGATSSPDCSVKMAYILTNVALTCMAKLRDERFVCPTGADSDAVTCLDIISAKQLSNAACNSLLFKLIMAITRNESSETLRRRQYALLLSYFQYCGSILDSDVPPSVIRFLLLEEQEGDDDELTLQKVLKEQSELARANFAIIRKDAQAVIDLVTKDAIHGSEAGKAISFYVLDSLISIDHDKYFLNQLQSRGILRSCLSDVSNYFSKDMSFSSEFSQRFCTADAQFSLLLRISHHYGKYGSQILLSMGALQNLSSCNLLGYQKKGSSRLNSNVVKERAVEIDKKRSLTAPVLRIVTSFTSLVDSADFLEVKNKIVREIVDFAKQNQSIFNSILRENISGANAFTLERLYMVVSILSKVWAYEENDECSYVQDLFSMMHSLFSLDFGSLNLIQSPNMIENQKSELVLFGLCFGLISYLYFLATKKNMRFQISVGDNSEHGQQQPTLQMVSDLLNSVTLALERVGEEKYLLLNKVRDLNELSRKEVDEIIKVCMKQDCISPNDNIRKRRYIAMIDLCCMAGNRDQLITLLLQVAECAITILLVHFHDEACAEDLSSFSDELLPILERLENLKEDKVGRNLKLFHRSVSTLKETTVRSMAM >cds.KYUSt_contig_7513.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001572.1:91362:92030:-1 gene:KYUSg_contig_7513.11 transcript:KYUSt_contig_7513.11 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFPIPITSSPLHLSIPSLPFPVPPPGTWPPPTSASPVPDATSTPSVPDVTSTPPRARPDLDATPSPTPSCPLSASLSFYTPARFRCTAAAVRVPGRRPGLLVVRAAKGTFERTKPHVAAALTGAVLMDGAILVCSGADGTMLQTKEHIVPAYAHAAARACHPRPYAYAAARPPRRHVATHAACAHTRMPPPLPVLPSVLQLHGRHNGVHSGRTQRLLFPS >cds.KYUSt_chr7.39189 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243859088:243863444:1 gene:KYUSg_chr7.39189 transcript:KYUSt_chr7.39189 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTFEAGTGGTCGGGQGHAGIEGHSGFTKTSHNDFCQHGMHDTTYDTPIVASLRQVLPIGEVGEEEASVVVGVVNGVEEEEVEPRDGMHVNFLQNLNRKCNNGAKVSRVFYNFQMLLSLGEVIGIHPMEAPKLAILLALATAAAMMNPSQAQNLPQDYVSAHNRVRAAVGVAGVSWSTTLQAYAQSYANQRIGDCRLQHSGGPYGENIFWGSAGKDWTAADAVNSWFSEKQDYDYGSNTCSAGKQCGHYTQIVWRASTTIGCARVVCNNNRGVFIICSYDPRGNFVGQKPY >cds.KYUSt_chr3.14160 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85791578:85792688:-1 gene:KYUSg_chr3.14160 transcript:KYUSt_chr3.14160 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHASLVRERPPLPSNLYVHCSGAALAERPSTLAMVDDLILFHVYVGCAYRARSALPSYFFMHRVDPNRPSLELLPQPPPQDLVRKYHAFGIFPRGGEEDHYTIASMIPHQFKDGIFTLRLYDSETKTWSSKKLSVESPQEDFPEPSAGSLFCDMLSGHHTLRGVPLPLPLKLTKPDGDPSDEELTSGRTSRGIAFVGGHLRLVEVRVKVAPVVYDQDTGSPRHRIENWTITTWTNKEMSNSYNDWRMDGTFQASDIFLGDHLESELPPIDLQNLFVSDPIIGMNGNDMHVVYLTARENWRHPKSWVLAIDTRDRKVQSVVATPGPLSEEMRYYDANYCTCTIISK >cds.KYUSt_chr1.40525 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248363089:248364729:1 gene:KYUSg_chr1.40525 transcript:KYUSt_chr1.40525 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSPAAEMHVFKKPKPLRLYDQQAPLVGGDGGGYDLDLDLINHLPDAILGTIVSLLPTKDGARTQAISRRWLPLWRSHMAPLNLVANYQLSDDKSRVAVVSKILSDHPGPARRFSLNPTCSQSFQAEVDGWFRSASLAGLQELQVTNLPMKHYPLPQHALVRFSPTLRLLRLFGCQFPSLVAPLSFPFLEQLILYDVGISEDALETMISGSTVLKSVSLHGMRFSRLCISSPTLMSISFYALRSKGAITFKELVIRDAPSLERLLPIFPEEGPATIRVIRAPKLEILGFLSKGISTLRLGTMVFQKMIPVRLTSKMRTMKILALDVGENLDTVVDFLKCFPCLQKLYVISHQGNDMNNVRKYDPANPIECFELHLKKVVLKNYDADKKACIDFANFFILNAKVLKEMEIGILKEQTDQWMYYHGTKLQVENRASRDARVKLGRDRYAIPGNHGHTHDLSLADPFEKSLCGHNKSVRYSIL >cds.KYUSt_chr1.4616 pep primary_assembly:MPB_Lper_Kyuss_1697:1:28270546:28274809:1 gene:KYUSg_chr1.4616 transcript:KYUSt_chr1.4616 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTEMLVAAAVSQVARKIGELVGAAQGEVQLCCNFSDDLESIKDTLVYLEDLLKNAEKNSFGSDRANLRHWLAQIKALAFDIEDIVDEYYSSKEQFEGSSSYAKKGSLFCSLSNPIISKVSMVHKMKSKRELLQTRQHLPTQYHFISHINSAVDFYEKQTTSYRNSDITIFGRDRDLGNLMDMIMQKSVNETSIISIVGPVGLGKTSLAQLVFNDSQTKAFRFRIWVHVSMGNVSLEKIGRDIVSQTTERIEGNMQLQSIKNVVQTILNKYSCLIVLDSLWGKDEEVNGLKHMLLTGRQTESKIIVTTHSDKVANLMSTSQPYNLSALSEDDCLKIFSQRAMTGHGDPLFREYGDEIVRRCDGTPLVANFLGSVVNAQRQRREIWQAAKDKEMWKIEEDYPEDKISPLFPSFKIVYYNMPHELRLCFVYCSIFPKGSPIDKKKLIQQWIALDMIESRHGTLPLDVTAEKYIDELKAIYFLQTVEGHQVTAEIVNTSEEMLCMHNLAHDLARSVAGEDILVILDAENERNNRYCDYRYAQVSASSLQSIDSKAWPTKARSLIFKTSGTDMEHIGEVLSVNKYLRVLDLGECSVNEIPSPVFQLKQLRYLDASTLSITTLPPQIMSFNKLQTLDLSETELIELPSFLSNLKGLNYLNLHGCLKLQELNSLDLLHDLHYLNLSCCPEVRTFPDSIENLTKLRFLNLSQCSKLPTLPDRLLQSFGSLCSLVDLNLSGFEFQMLPGILGNICSLQYLNLSKCSKLEELPQSFGQLAYLKALNLSSCPDLKILGSFECLTSLQILNLSNCPSLEYLPLCLRKLQNIDVSGCQDCIVQFCSQSSGSSPSHQLSEQAEQAILSCDMSETITENPASIGDLKGKKKLASRVKKETEVITKVHSFTYLS >cds.KYUSt_chr1.40861 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250680949:250681818:-1 gene:KYUSg_chr1.40861 transcript:KYUSt_chr1.40861 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLRTLSPASFTGEVRSAVSSLLLSPGGASALDTVFSHLPPPVSIPPLGSSVYYRQCELLRSFAATHPQHQHHAAATSSSSSASSFPFPGTGHDLGGAEAASLRSTKTYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAYKLRGEYARLNFPGVMDCARDDGCPDALRPLRDAVDAKIQAIRVKMARKRARARLLREENKQSSPSADAESAQLPPTAAARPVLSESAATSETTTTTTTSSYGSPEGAFSAAEAECSLEKMPSFDPELIWEMLNF >cds.KYUSt_chr7.36726 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229514174:229514935:1 gene:KYUSg_chr7.36726 transcript:KYUSt_chr7.36726 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPVGSLLLAAIVAVLVLVPGSYGSRSNCPLPDPGHGGGGSGGGGQHPPHHGKPPKHHGGPPSGPKCPPCHRPPMPRPPPYAPPTPPYIPPTPPYGPPTPLPPPYVPPYVPPSPPYVPPSPPYIPPSPPYVPPYVPPTPPYVPPTPPYVPPPTPPSPGHGKTCPVDALKLNACVDVLGGLVHLVIGREARSKCCPLVQGIADLDAALCLCTTIRARLLNINIYLPVALRLLITCGKHPPSGFNCPTVLDA >cds.KYUSt_contig_2437.106 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000337.1:549562:550732:1 gene:KYUSg_contig_2437.106 transcript:KYUSt_contig_2437.106 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGYEIIMVPSWDGWTDERRWHSMAANHHEPVARSYIHHGPCRRQRQVDGRSSWGEMGSSSRMLLAAVLLVGVASQALARSLDGNNLSEQKYGGGGYGGGGSGGGGGGGYGGGGSGGGYGGGGSGGGYGGGGGGYTPTPTPTVPSHTGSCDYWKSHPEKIIDCLGNLGSILGSLGEVCKTFYGSKMETLKDALCNTRTDYYGDLLREGAAAYINSVAAKKTKFAYTGYEVKECIAVGLTSEFAAAAQAAALKKANDACHY >cds.KYUSt_chr4.54059 pep primary_assembly:MPB_Lper_Kyuss_1697:4:334395432:334396478:1 gene:KYUSg_chr4.54059 transcript:KYUSt_chr4.54059 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALMDGELRSLLKVSAAVWAAMSYARVAAARTSPGAGRLAALMPAVVLFYGIPFAFTTTGFRGISGFFLSWLGTFKLFLLAAGRGPLDPSLPLAQFVCSASLPVKLRQSTSSGKAKKQDPASAATKIILSGGLIPFILYAFQFREVMSRWQLLLLYTTLIYFAMDFLLASVQGVVHGVLGMEMEPQVDRPYLASSLRDFWGRRWNLMVPAILRPSVYGPVRARFGVAAGVLASFLVSGLMHELIFYYLMWQPPSGDVAAFFVLNGACAAAEACWGRHAGWWRPPRVVAVPLTLAFVGGTGFWLFFPALIRGGLDELMLKDFQGMLALMEQGGRWLAGAAGPALSTG >cds.KYUSt_chr3.31927 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200534890:200543524:-1 gene:KYUSg_chr3.31927 transcript:KYUSt_chr3.31927 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVEWSSNEFHDSSSRNYNNYNQIKWNYISDEPHLRSEIDRLHVDDRYSLARIMSRFPFLFPLVPSIINLLLHLKRNSSTASRRDTEIDPFISMADEWWSPSQRSHGTSACSAAPLMDTGHAAACGWTSPAAESTSSITFQDPRRSSNTSHQPLADAVSSLADPRMDWTQAFLNGRSDAGFQAMLQDDMAASTTTRSLFRAHQPSAIETVMNNPFRDMGHGFGLLDQVPAASSPYSTAPMEGMFDNAAAPVAAGHNVPMFGECQSTASYDASSAGMQMQSGGAQLQYLSGSGSYMPFGGAALSSHLLLQALQPKTSYSSNSSNLMAKSAEHACSPAGRKIESDSPAAAKRPRIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEANEYIKFLHDQVASLTYPYLKKANPLQQFQPKGSENAKNDSGEPKKDLRSRGLCLVPVATTYTIASETVPEFWYPTFGGTFRNFMMNYNMQCMKKTLPELFSMLKPSEVEIKKQHQVLMVNKTTSFKKQGKPKNNDDFKKSAKKVVAPTKKPKASLKPNTEYFYCNSDGHRKLSCPKYMPYLKTGNIRKKAIFYIHVIDVYLTSNRSSAWVFDIGSVAHICNSKQELRNKRGLKRRGDAARWKWIQYQCVRLACSLSGYPRD >cds.KYUSt_chr5.11203 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72646988:72654480:1 gene:KYUSg_chr5.11203 transcript:KYUSt_chr5.11203 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWFIPAYFKNTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHHISPPSTFNVLLGSSWIIMLHQENSEVFVRHHSEQLACGNLRGTDDGRSNFLPEKYHIATAYLVNTASTGKEVTVDHNKRPASNDFPDVVDCKRTKQEYQTISEKDSVADVDINVPEYKSHRTCQRIFDAPGYGSEESEDEGVDSPVHFSLAHTYVEDYIRSASYYQREDIYSSVGLCTTRKTVPIGPNYQAELPECASGKKSTVDDYADVSSSSHLCDGSEVESDDKWIRNCVVPMPGSVELSSVLNPVCCKEDCSCIDIGSIECVRKHVKEGRGRLMSALGPNTFKELGFFDIGEEVALRWTEEEEHLFQDVVSLNPASLGRNFWDELLLAFPFKTSKELVSYYFNVFMLRKRAEQNRFDPMNVDSDNDEWEGSDDDEFAATEKGDNDLLLESLTDQDDGACTQVPLEGNLHEESSEDEFDRSSVERQENYCKEGDAMVSRLPVLSFVDHNQETTMFDADAQDDSCTSFEAHHVGVADGTHTDIAGDHYGDDAFGGVEDHGYFGSHCDPKAWDIGFTSVWEKDEFLSTSNVIEEVFGKGSCENGKNSSTDQGIM >cds.KYUSt_chr5.39347 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249026972:249028782:-1 gene:KYUSg_chr5.39347 transcript:KYUSt_chr5.39347 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNTYAVHVSSETHKIEAWCQSDEALARQLQDEEDSRDAAATRELAGNISLETPSPAVEYIPANNAAQVAREDNVDPDNMSYEACPVCNEEVFG >cds.KYUSt_chr4.27087 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170154434:170155030:-1 gene:KYUSg_chr4.27087 transcript:KYUSt_chr4.27087 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSSVRLMRVVVVLLLLFPVLLKANEAPPFSVKAQLILAACKSVGEGSTYFNVQFCEGAIGSVIGGSADLDYQVFGGIAVRLLAENATSTKAKIDRLLRGGGGVKLKAEDDVAVARCLQSCQSLYGGILYDWLACTAAVKTRLFGEARRILEKAAAAAKECEDGFGKNNVASPLSAEDDDAFKLAKLGVAFLRLA >cds.KYUSt_chr2.48922 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306132206:306134154:-1 gene:KYUSg_chr2.48922 transcript:KYUSt_chr2.48922 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGMEGSYSYGGGDATAAAAVSRDPKQRLRWTPDLHERFVHAVARLGGPDKATPKSVLRLMAMKGLTLYHLKSHLQKYRLGKQTKKSTDLELPNGGGFSSQNMNFPIGASPVVPAGGGGAREMPLEDTLRYQIQVQRELCEQLEVQKKLQMRIEAQGRYLKEILDKAQKSISFEANGSAGLENTRSQLMDFNIALPGLMENGTHVYEENSEHLMKAISDDNLNDNNLDFQLYHVQSQQAKNVKCTPKTEDLLLLDLNVKGEYDLYSRGMQGCESDLKINQQRK >cds.KYUSt_chr6.5190 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30768604:30768840:1 gene:KYUSg_chr6.5190 transcript:KYUSt_chr6.5190 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEGPQLRPGHRGHPRTQISPGRRRIRDFAPSAKVAAASASPSAYLYCASSSFVVTGSASLLWSMDALIFDGDGVIL >cds.KYUSt_chr2.6587 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41132650:41136909:1 gene:KYUSg_chr2.6587 transcript:KYUSt_chr2.6587 gene_biotype:protein_coding transcript_biotype:protein_coding MMACYPVGAAAHCHCPLPLPHRTPATTALLQRRLLHPWFQPRRPPSSAGLPWGRRRAVDPDDDFLTLDLDEFEGLDGEEDDEDGSSPWEGALVYRRDAAAHHVEYATTLERLGLADLSTSHSRARAAAMGILPSTKTKPRDGAETTPVLVSVDVTRRRGRLRLDGIVRTVITLGCYRCADPAPQGIFANFSLLLTEDLVEEPDVVDLGTVYEEDATKFPSVTGSQDEDDEDIDWDDRVHFPAGEKEVDISKHIRDIIHLEITLDAFCSTSCKGLCLTCGMNLNTGSCSCGEEEELQDKDAKRVELEYGMEFEVLFEVSDGIPDITRSSGMVLEGSRKVRKKSLRKEESRRDSTSPWPANPRGGESKVDSTKGAGHPALMEGWESHLRWESHLG >cds.KYUSt_chr3.18258 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112133580:112134573:-1 gene:KYUSg_chr3.18258 transcript:KYUSt_chr3.18258 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFVVSYVVTGLAFWSTAFLVMRALMPKRSYEFCNRAVSTMHAVAAVGMSCLSVADWSCPVCPLNAPSSQRQMKSLAVTLSYMIYDAACCHLNGDVRLDNTVHHLVSIVGIGAGLAYQRCGTEMMACMFITEISSPLLHLREMLKELGVKDTDLNLTVDILFAATFSVARMVGGPYLTYVTLTTDYPILIKAMAAGLQLVSAYWFLRILRMVRYKLGKKRPAAAPKLADN >cds.KYUSt_chr5.37386 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236385490:236391634:-1 gene:KYUSg_chr5.37386 transcript:KYUSt_chr5.37386 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAAAAAAIEGGHAEMRYKEDEEGRAEMRQLRRPDTLAGGSYGPKDAGVRGGEGAGRPWPLRLEHAVSELPHPIRCRLVAIAMDGKELSSISPCAARRKREGGGGGEGAHEQHLFGEAAADEVVVGLRSSSSGLWQASASAPQEGDQRPPVAIPAPLPPARGTVASPRSSPTARHQVSPWGSTARSATTRSPPPWGAAGEEAPARFESTASLSEVEAKDGSGRSLRRRVDLLQDLEWMLRAVPELEVEGEGGGTGRDWRQGDWRGSRHGELRSDFRRRSVGRRCNYGAKTARFAANHES >cds.KYUSt_chr2.7452 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46756669:46757529:-1 gene:KYUSg_chr2.7452 transcript:KYUSt_chr2.7452 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRSGRGQRSPPYGKKCPRSRARRDRIRDDAGELDSNGGTTLPDDVLSAVFARFSDKADVVRCASTCRAWGRVVTKEAAILFLSHGLPPLPRLALGFFHTKQPGRASSRCLTAPGSSASARFRRLSSRVLATDSSRTLSSRRITHRPPHPRSSSGDTAALLPRLSGVDHPGFYACTLLCGDDLDPPRLSRSFFVVLIVYNRPTYTALRPTPRTTGAGAWSREVKRPGPRIKDAKLQKFGQGLVLRGAAYWPLMRTVFAVRLDS >cds.KYUSt_chr4.23695 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149334370:149334996:-1 gene:KYUSg_chr4.23695 transcript:KYUSt_chr4.23695 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKQPFTPSSAIRHRRRRRCLLGTLAALLALAVVLLVLFLTVLRVRDPTIRLVSTELTGVAPRFALLPAPSLRLNVTLILTVSVHNPNLASFAYADGGHADLSYRGAHVGDAQIGPGAVPSRGDAEARLALTLQADRFLAAGDARQLAEDMEGGALPLDATTRVPGTVVLFGLFHRRAVAYSECSFAFAVAEMRVQSQECSGGTKL >cds.KYUSt_chr7.18577 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115093608:115094308:-1 gene:KYUSg_chr7.18577 transcript:KYUSt_chr7.18577 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRGKGISSSALPYKRTAPVWVKTPVAEVDELIIKAAKKGQKPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPDIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWK >cds.KYUSt_chr2.10453 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66293035:66296212:1 gene:KYUSg_chr2.10453 transcript:KYUSt_chr2.10453 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSNVELEADGGLHGAGLLFPRDKRRADCLKKPEESCAVWPSPRRAGGLDSVSWMASLIPLRGGRRACPKAIGQQCDDGERTLSWTVIVQQGDGGDLEEEMWTLLGHHGEREACWTSRTAAGRQWREGGRRREEGDLEEEMWTLLGDDGKM >cds.KYUSt_contig_1253.1028 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:6507518:6518522:1 gene:KYUSg_contig_1253.1028 transcript:KYUSt_contig_1253.1028 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLQDYFYVIGQEITGDAEILTGFIRHGHGYEPPIDEYEEEDEDEEEEVQEDLIDADTGVTTTTTRNRRTGVTRGPRWQSLEDECLIDAWKQVRFRPITGANQSSGKYYKRIFYSFNEKNHYGGYATMHMIRNEGSLSHHWNIIKAACSKFHGYYEKIKIRKESGKTMVDCMIDALKMCKCQNEDKDFPFMHCFNKLQGCKKWEAIRHTLNKDGVGEDGLVNPACASTGRPIGNKKAKAERNAAPTLAAMDASLEKMISSFSTQNKEAADRAAVVWKAILEKRDMKIELEREKVEAAKMEAHAAAMKATNEATQLSLAKVSQESKILMADMAKMDPLARVWHEMYHEHIGQEVELNSSSGVKCKKGKANTESNGDASTKRCSAGSSVKKNLEENEPEAIGESDAAGMDWEEGHVSVVDCKEGYSHDLGETVTVEFTDVPSSAEKKSARRHTAEEKELAELVHKVHLLCLLARGRVIDKACNDPLIQASVLSVLPHHLLLNGVDIQKLDANNLRPLVSWFHRTFSVVAQSTDRGSFESNMAFALQSHVGTAEEVCAISVALFRALNLTTRFVTNMDVVGLKPDAKATGTPNRDVPRLSTRELPCSSVVAGHNEFNTLSPAQSEVNTKESFSMIKQRCNLGNLKNKSACRRSLSKNLSNCKDDQSASTSKDESSSRQCSLTSSSSDIPKRKGDVEFELQLQMALSATASEIQENKLAATSSQSTGTLLSSTPPLKKLRKNAEVASNSSAVWSRNGAPLYWAEVYCGGQTMTGRWVHVDVVNDITDGERQVEAASAVCRKPLRYVVAFAGSGAKDVTRRYCLQWHRIVQGRVNPEWWDNVMAPLEQLELAATNDSEEMELQTRALTEPLPTNQQAYKDHHLYALEKWLHKNQVLHPKGPVLGFCKGHPVYPRHMMKRRNGDKLRKGSRRKLRLSLVFQYGASHNVAEVGSADYIACSATNSIQSYSDQESKINLTKPDARYFFCSTSGHCAGGVKLHGACCDAGRAVGDTLGD >cds.KYUSt_chr6.11312 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70076182:70078682:1 gene:KYUSg_chr6.11312 transcript:KYUSt_chr6.11312 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHLRSGLPLLQAHLAAAESAAVAQVSRGLATQASKPSQKQIKVPEALYGGTGNYASALFLAAAKANSLDKVESEIRDIVAATKKSPMFSQFLKDSSVPKETRVKAVTDIFSEAGFSDVTKNFLLVLASNGRLKFVERIADRFVDLTMAHKGEVKVVVRTVVPLPEKEEKELKETLQDILGKDKTILVEQKIDYSIMGGLVIEFGQKVFDMSIKTRAKQMESFLRQPVDF >cds.KYUSt_contig_1181.430 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2968115:2969398:1 gene:KYUSg_contig_1181.430 transcript:KYUSt_contig_1181.430 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEAAVADAGRAPELLLGLGVGAGTGDEGEKRRREVTAGAGWWAAAASPEPSVRLSLVSTLGLRWPSETGRSEPSAQRGFDVNRTPSVAPGAAGTEEREEEDEDGGATAAFSSSPNDSGGSFPLDLSAHGRLEAAAAQAGGERSSSRASDDDDGASARKKLRLSKDQSAFLEESFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCDTLTEENRRLHKELSELRALKTAQPFYMNLPATTLSMCPSCERVASNPAASTTSSPVARASNAAAAAAAPEQRPSSFAALFASPRGFPLAPQPRPPPPASNCL >cds.KYUSt_chr3.20305 pep primary_assembly:MPB_Lper_Kyuss_1697:3:125049931:125050790:1 gene:KYUSg_chr3.20305 transcript:KYUSt_chr3.20305 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPDTDPKYPTNKLFLNNDKYRQYGLWERYAELYPTDDPVYTVGESHHSKDWFFAHVTRKVGDSIVPTTRQIQFHLDHVVPDGTYTLRVALAAAHMSRLQVQVNGAARRGAGTFGTLAFGEGNAIARHGDHGTQWSFEFPIRGSLLRQGDNAIQITETRALSIFFGVMYDYIRLEGPAGSR >cds.KYUSt_scaffold_869.665 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:4207211:4208602:1 gene:KYUSg_scaffold_869.665 transcript:KYUSt_scaffold_869.665 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAWLRSAIHLFSSQGPASAPPPHLRRLLQQFPFRSPSASSGSWQRSAAWIAPFSSLQVQARSYPGSGWDRPPKTKRHLYVVLSDHLKKGFEIHKLDIDEDDLDGNSRSTGTMPRCLPEPLVVRVGPPIIGVHMQFAALGSSIIAIGPCTEGTPPVKGVTVIYNTKTAELAASNLLPNGLFFGDGCDLAVAVGNKLYAFESYSKNFECPDCTVSFPGGLHCLRVADHPKAAAAADDQRWKWRPLPNLPRFSWSWNNSSPPQLPFHAQSITAHTVHPRGRTIFVSAGGWKVDVGTFAYGTRSGKWKRCGDWVLPFRGPTHYDINMDAWVGLHHLEASNNWCNGSETINGHLCACRVTSVARASHQPPEWKVSRETLFQEDPDWRRISVQLVYMVERREYCLVEHLRQVEEGVIKMKYVLRLTFFLVKYGEDGELITTMAQRPARLYEVPAYDESFDTKAFWM >cds.KYUSt_contig_2197.121 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:696249:696665:-1 gene:KYUSg_contig_2197.121 transcript:KYUSt_contig_2197.121 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFAKTITGETLTLEVESSDTIDSVKTQIQDKQGIIRTSDANDDQHHQLPSLVFAGKQLDEEDGRTLADYGIGKESTLHLALGLRGGYPSTGRGCYPWPMDRNLRALALSYNEKKMICRKYVHIHASSFPISVSMKY >cds.KYUSt_chr3.9744 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57309838:57312340:-1 gene:KYUSg_chr3.9744 transcript:KYUSt_chr3.9744 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRYIPTCDSYVFCGREENIEYALLGCQHALESRLGSPLPTATATAAAAVSSPPRRRTIPPGPSVPHSSSGAAMGKRRRSIKKQPESPPPPASPATHAPCPVPSPIPSPVPPAPEQAPEVLVPPDWASAAAFISSDIPPPVVLVCGPANSGKSTFSRLLINSLLPRYGRVGYLDTDVGQPEFSPPGCLSVHVVDEPIADLRYPVFREAERCDSPLFLRVKLDK >cds.KYUSt_chr7.36993 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230994693:230995583:-1 gene:KYUSg_chr7.36993 transcript:KYUSt_chr7.36993 gene_biotype:protein_coding transcript_biotype:protein_coding MHFNAFMSRQFKGTQSISSRPPGVLVAPTAVGTAEDGVRVLILLGIFEGLHEQRPPHRQPAVVWDPKREVDDGSTSGLKKPKPEVEEAAWTPPPECRAGQLRFAAADPEDFPSQQARMLTSFNDELPATDDFAEAWSRSEAKKEAASSSRWRTFHRADVATPAKAAAPPCHRQSMDTLSSTQS >cds.KYUSt_contig_554.50 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:491724:494049:1 gene:KYUSg_contig_554.50 transcript:KYUSt_contig_554.50 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASASAPPVPAAPSSNLAGRFPHSFLSSPIRARRPVVLGSGSSRGRFRAEATQREKVRQGETVVEESFPVREAAEGPPEAVALTDDDDWVVRLEQSFNIFLTDFIIAILDLLYRDRDYARFFVLETIARVPYFAFISVLHLYETFGWSRKADYIKVHFAESMNEFHHLLIMEELGGNSEWIDRFLARFSAVFYYFIAVGMYMLSPRMAYHFSECVERHAYSTYDKFLKVNGDNLYDVFVNIRDDEAEHCKTMAACQTPGSIRSPHSTESRTETDVKKSLANED >cds.KYUSt_chr2.4085 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24677178:24679854:-1 gene:KYUSg_chr2.4085 transcript:KYUSt_chr2.4085 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCISLVLLVALAATAASAQLSSTFYDTSCPRALATIKSGVAAAVSSNPRMGASLLRLHFHDCFVNGCDASVLLSGNEQNAPANAGSLFGFGVIDNIKTQIEGICKQTVSCADILTVAARDSVVALGGPSWTVPLGRRDSTSATGNTGDLPGPGSSLAQLQAAFAKKNLNTVDMVALSGAHTIGRAQCQNFRSRIYGGDTNINAAFATSLKANCPQSGGNGNLAALDATTANAFDNAYYTNLLSQKGLLHSDQVLFNNATTDNTVRNFASSGAAFSSAFATAMVKMGNISPLTGTQGQIRLSCSKPEKVVGVEEEEEEEEDEEEKGKVRTTG >cds.KYUSt_scaffold_1259.275 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1709147:1709551:1 gene:KYUSg_scaffold_1259.275 transcript:KYUSt_scaffold_1259.275 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVGYRAGGQVAGEAGVEEVRVRQRPWLTGTVSRSAGLAAVAQNRGSTEKLLAGGRRVAQRRDGGAPGRQSSGEEGEAGLGLGAQSGEEGEAGVGPDAQRFWGSSDSSVQGRWEEGELVQVGRFFWWIGVRE >cds.KYUSt_chr3.29910 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187152845:187160008:-1 gene:KYUSg_chr3.29910 transcript:KYUSt_chr3.29910 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGRRLTQFQTLLAQQALRSATPKPRAPPIPHSRFLHCPSPPAAASRSPLSHFLRRSSGSAGTVLPASAAAVRTAAKRWLTAGSLDLFSLQRRRSPGLLSSSSAFLRRSPWARWLPSPDQVVLTLIGANVAVYMLWQVADPSFMGRHFTVSLENFKSGRLHTVLTSAFSHTDTGHLFSNMLGLYFFGSSISSMFGPSFLLNLYVAGALVGSTFFLIEKAFRAPRKQVYVGWDRSRQFGLGQGSASTHLGGALVAALAWARIRKGWF >cds.KYUSt_chr4.28276 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177660941:177663919:1 gene:KYUSg_chr4.28276 transcript:KYUSt_chr4.28276 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVARSRRSASAAAARLLPIPPPSAPFTTTTDASTTDPDAVAAEVATLLSRCSGDWKLAIATSDLHSRLSPAVLSSLLRPNSSPPLHPKLLLDFFYWSRTRLAPSVPAADAFAHLAVHLCAASLFPQANGLLEQMIRTYPSAPLVLSSIQRALTDSSSTSVLNVLVDTYKKARRVRDAAEVVLLMEHADMAPSLRCCNGLLKDLLRGGHMDLFSKVRGFMASAGISPDVYTYSTFIEAHCKASDSDSAAKVFEEMRERGCSVNVVTYNVLIGGLCRCGAVEEAFRFKKEMVESGLVPDEFTYGALVNGLCKGRRSSEAKSLLDEMSSSGLRPNIVVYGTLLDGFMREGNVAEAFKIVKEMVAAGVQPNKFTYDNLIRGICKAGDMDIASKLLKDMLKVGIMPDTMTYNFVMEGHFRQRNNEAALQLLTEMRNAGSSPNVYTYSIVANGLCQSGESKKADDLLEEMIQKGLKPNAFAYAPVISGHCREGNASLACDVLEKMIKANVLPDLYCYNSLIVGLSNVGRMVEVTEYLAQMQDRGLAPNAFTYSGLIHGYSKIGNLEKAEQLFEHMLSSGLKPDDVIYVDLLEGYFKSNDNEKVSSIIQSMSGQGVSPDNHMCGIVIHNLSMSGSMQLAFTVLSEIEKTGFVPDVRIYSSLLSGLCKTADMEKAFALLDEMAKKGLEPDILCYNTLIDGFCKSGDILHARKVFNIILDKGLVPDCMTYTALIDGNCKIGDITDAFDLYNEMLARGVVPDAYVYAALTAGCSNSADLEQAVFITEEMFVRGYASVSCFNTLVHGFCKRGKIQETLKLFCVMMDKDIVPNALTIENVINGLGEAGKLCEAHTIFVELQQNESSQSATTQFSLLFMEMINKGLIPLNIIDDVIQAHCKEGDLDKALMLHHAVMEEGSLMSCSTYIALVDGLCRAGKLTEALDLLKEIQKLGIHPNEDQCLMLLNDLHTSGYIQEYNKVFDAMLCHKWLQKDKHCNLAGVI >cds.KYUSt_chr3.21637 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132948353:132954740:-1 gene:KYUSg_chr3.21637 transcript:KYUSt_chr3.21637 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQSASSQVASEPGATEHRVVITNKHGEKLVGILHQTGSNKVVVFCHGFTASKKSGVIVDLADAITRQGISVFRFDFSGNGESEGEFQYGNYRKEADDLHSVVSYLNQDKYDVTAIVGHSKGGDVVVLYASMYDDVRTVVNLSGRFYLEKGIEERLGKDFIDRINKEGYIDVTGKSVHGSSDEFIPAEDAYEFAKHIPNNKLRVIEGANHCYTAHRKELSSAVVDFIMSNKSDSIIVDLADAITKQGLSIFRFDFSGNGESEGEFQYGNYRKEADDLHSIVSYLYQEKYDVTAIVGHSKGIEERLGKEFIDRINKEGYIDVTDKSGKVLYRVTNDSLMERLNTDMLATSLSIGKECSFFTVHCSADGIIPVEDAYEFAKHIPTHKLRVIEGADHCYTAHRKELSDAVVDFITSNKVSATGMFTAVLCFVNRAI >cds.KYUSt_chr5.31644 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200490234:200491070:-1 gene:KYUSg_chr5.31644 transcript:KYUSt_chr5.31644 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGRLLVLLAVVLLAVSIAEHKAFASRTEEQRQDNEHQGGHGSLKIYECKPKCDYRCSDTKYRKPCLFFCNKCCRTCLCVPSGFYGNREECACYNDWKTKEGEHKCP >cds.KYUSt_chr2.5323 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33023252:33024490:-1 gene:KYUSg_chr2.5323 transcript:KYUSt_chr2.5323 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYSARHRGTPLSGSSLIVGLTSRSGVIPITPRQDTVGPMCRTVSDAVQVLDTIVGYDAFDAAATGAASKYIPAGGYVQFLKQDGLRGKRIGVPNGFFQGYGEAELRVYQQHLDAMRNHGAVVIENLDVAANLTALAVDIASNEFMAVRAEFKLSLNAYLADLRYSPVRSLADVIAFNNANPVQERLKDFGQRNLIAAENTHGIGSVERAAIRRLEELSANGLERLIKEQQLDAIVTPNSSASSLRAIGGHPGIVVPAGYDEQGVPFGICFSGLQGYEPRLIEIAYAFEQATNVRRPPMFKP >cds.KYUSt_chr5.20223 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131438717:131441826:-1 gene:KYUSg_chr5.20223 transcript:KYUSt_chr5.20223 gene_biotype:protein_coding transcript_biotype:protein_coding MYEMFLHIFLVIPNPTDAVSSSLQSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGTNISGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEERATAFGLMKIDDEGRIVEFAEKPKGEMLKSMMVDTTILGLDNERAKELPYIASMGIYVFSKDAMLRLLRENFPSANDFGSEVIPGATEIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQSRYLPPSKVLDADVTDSVIGEGCVINHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETENDKKVLSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINVDDVQEASRESDGYFIKSGIVTVIKDALIPSGTVI >cds.KYUSt_chr7.19725 pep primary_assembly:MPB_Lper_Kyuss_1697:7:122398046:122403606:1 gene:KYUSg_chr7.19725 transcript:KYUSt_chr7.19725 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKWHAVAVLGIACVVATAVAVDNKNLAIAGTATAPEDASSLGKVASSGGTTYHHVWPPMKFGWRIVLGSFVGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAMPKCMITGAAVSTVYCNLKLKHPTLDMPVIDYDLALLIQPMLMLGVSIGVICNVMFPDWLVTVLLIILFLACLKDVETWKIETLIKRNYIAICSTWYWVLSFLQLPVSVGVSTYQAVGLMQGKRVISSGANKQTSLKAHQLLVYCSFGVTAGVLAGLLGVGGGTVMGPLFLELGIPPQVSSATATFAMMFSSSIAVVEYYLLRRFPVPYALVFTGLAFFAAIVGQRASRKLINLLGRASFIIFILSFFIFISAVTLGGVGISNTIHKMARHEYMGFDNIC >cds.KYUSt_chr4.3300 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18734928:18751680:-1 gene:KYUSg_chr4.3300 transcript:KYUSt_chr4.3300 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYLEKAVEPPVPSTNGAGEKDRSSRRRDLEERGSKRPRSGEDGKEKVREKDEGRDRDFSSFQRHVSHVLTNDQVTALLKQKNKYKWEMPAVDIPKSKWVGTGENMQGAPDDPFVDVKGKLRDHWQNTLSDNLNSRLRFFSLCNSYRDIMHCNKKPFYLKGSKVDSSTMDAYIMHALSHVHRTRDVVIKNDAKLRNDANTDILDDNLYRDQGFTRPKDNAMGLFKKEFDESDDEEETENSSKPADFNLLFAGDVEDHFLFGIKYTKKSVKLYSNFYASDIIVASPLALKLVSSDVVVWKATEHVDAPIAVPMDGGTYHIMIYDMEKVPVLAFVAIASSNNGRKIVVVDHADVISMQNWSHLHSVLEQLNQLPSKEHVANVMRIRPWYLDQHARYYRQTIVLSSYLTPEMNALFNGSCSNYEGKVKLVTEFTGVLPKIQLEVRQVYERFDASSVGESDDARFDYFCTRVYPKIQESDEIRGGHHLVVYSLPGRKDFYPELVNMLGESPNPKCNVLFSPLDILKVDIAVILCKMELIYCSLHVLHLIFFFLAINLRTTIMTTSCMATLPGLETAASSIITLTALAWMELTLAFVGIDLLCLGKH >cds.KYUSt_chr5.28725 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181968512:181975492:1 gene:KYUSg_chr5.28725 transcript:KYUSt_chr5.28725 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAELLPEKVKVPAASAHGSLAGDMRHSLCPFQALVQYMIFAEVLVCSPPDIVGSVNKVTDILPPSGKAKSHKGQVYITDGSEHAIVTLWGEQADLFDADGFLEASSEEPVIVLFVGMTVSQYSGAFKSTSVTRWHVNVPIPEIAAARERFVFRLVSARKRSIAICCLFSNIAEPIIEANVTMRQGKTDPAASQNNESPPIPQAPNQVQALVNLQLCRRL >cds.KYUSt_scaffold_6468.579 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2801325:2802216:1 gene:KYUSg_scaffold_6468.579 transcript:KYUSt_scaffold_6468.579 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLTSMEELWLRYVDKCPNFVQELGKLTEPRNLNICIELPESWMCKTFVESLGNLQKIQVLSLHALNAKLSWEGYVPPPQLWHLTLTTVNARLPSWINSTLLPNLVHLEISLGDAMEAHDLVTLGEFPELLRLKRCGSDNDIPVVVGGNSFHKLRSCNMTAPLRFLPGAMPSLECLAFTVHVQPLKEANFDFDFGSLENLPCLREVSVFICCYPDKAEADKVEAAVRHAVHNHPNHPILHLTKFNDLAVTLMSTALALLLFT >cds.KYUSt_chr1.37681 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230028451:230030114:1 gene:KYUSg_chr1.37681 transcript:KYUSt_chr1.37681 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAISAIAGELASRFVSFLANKYHCCLANSEEKQLARLQQLLLRAHTVVEEADGRYITNSGMLAQLDMLAEAMYRGYWALGAFRYRSLQQTPMEEEQVSDSYPKRFRTVHGSARKKKATYLVNLQGVLESLEYVVSSMTEFVVLLGGCDRMVRRPYDAYLYNDNIMFGRHAEKQKLLNFLLQHGPPGGAPAVLPLTGGPAVGKRTLVAHVCKNERVSSQFSSILHLNGDSVSTIADHVSLLSGKVLVVVELVSDVDQEDWAKFCSTLASMDSGSKVIIISRCKSSEKLGTVKPIFLNTLPYEEFNYLFKTLAFGSEDPAQHPRLARIADEMARELHSDWSLIAANLRADVMRRNLDLHFWLCMLSRMRRFVERNFSMFGEHPQLLVLRRHQIDITDFLHSYSPLRIVPSCSTGSSRTKITEERQLLPNVRLGDLVADPGARPQGVAEDTPLPGRKRSAVHFYMRIQEFGDVPQARLLDDQANSQQELL >cds.KYUSt_chr4.14191 pep primary_assembly:MPB_Lper_Kyuss_1697:4:87398559:87405392:1 gene:KYUSg_chr4.14191 transcript:KYUSt_chr4.14191 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPRRAAAAAARRLRPLLLLLPFAALLCAATFSLHYPDRLGPTTVTVTVTATHAEEDARPHHLSSPRLRLEISRLDFRALNATAPLHAAAARAFRDGGRLLRDALSVSATATATAAPPPRCPSSVARSGEALRAAGGVLALPCGLALGSHVTVVASPRRVPGGGLAQFAVDLRGAGDAEAASTILHFNPRLRGDWSGRPVIELNTRFRGQWGPAQRCEGWRRSDEETGAYLPHLLPFVYLSLFTFRIHLVLMCASDATFHPHAAKSAQNHLDRAKGRIDGLVKCEQWAWNTGGTFEELKRMGLRNRVAGHRSRDLMDWPYPFVEGELFILTISAGLEGYNVQVDGRHVASFPYRIGFILEDATTVQVNGDIEVESMVSGALPTAHPKIVQRNLELLAELKAPPPEEPVELFIGILSAGSHFTERMAVRRSWMSANGKREVNEDLKKEADFFRDIIIVPFVDSYDLVVLKTVAICEYAARVVSSKYIMKCDDDTFVRLDSVMAEVKKIPDDKSFYVGNMNYYHRPLREGKWAVSYEEWPRAAYPPYADGPGYIVSSDIANFVVSEMEKGRLNLFKMEDVSVGMWVGQFNGTAKAGVEYVHSARFCQFGCVDDYLTAHYQSPGQMLCLWEKLEQGRPQCCNAR >cds.KYUSt_chr5.8704 pep primary_assembly:MPB_Lper_Kyuss_1697:5:55119960:55123703:-1 gene:KYUSg_chr5.8704 transcript:KYUSt_chr5.8704 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPLFLSMAVAHPLGSLPPGYASGTGRILPAGTGAVAAAANARGCPFRWTCNTCGVEFKSLQEQQEDPISTAISIPRTLAGNPYPFQSVTQTRRRSAVIFIPSLRAGTGHPGAVDQVMAMAYGPKRVQIVIADGQRTTQLNLLLGSIRRWWCSLAAARDVHQIIEYAMASGTVIAAEI >cds.KYUSt_chr2.53591 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334251265:334252763:-1 gene:KYUSg_chr2.53591 transcript:KYUSt_chr2.53591 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSSSSDGALPVVDLAPFFLAGVGDVDAARSRVTEAVREACMSTGFFRVVNHGVPPELMARALELSAAFFALPDEEKAKVRPAEGSSSAPLPAGYARQPAHSADKNEYLLVFNPELWLNVYPAEPAGFRNALEECYAKLTALGLLIQDILNECMGLPPGFLAEYNADRNFDFLTPLRYFPATSAEETNGIYAHEDANLVTFVLQDNVGGLEVLGSDGWVPAGPVEGTIIANIGDIVQVLSNNKFKSATHRVIRKPGAHRHSLAFFFNLHGDRWVEPLPLFAGNLGEAPSYRGFKYGDYMQLRMRNKTHPPSRPEDVIDITHYAI >cds.KYUSt_contig_1788.53 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000203.1:316511:317934:1 gene:KYUSg_contig_1788.53 transcript:KYUSt_contig_1788.53 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os04g0691100)] MDKYEPVREIGSGNFGVAKLMRNRDTRDLVAMKFIERGCRIDENVFREIVNHRALRHPNIIRFKEVVLTPTHLGIVMEYAAGGELFERICDAGRFHEDEARYFFQQLVCGVSFCHAMGICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGGYPFEDTKDPKNFRKTIARIMSVQYKIPEYVHVSQSCRHLLSRIFIADPRKRITMAEIKAHPWFLKNLPRELKEEAQQAYYSRRQAEAASAGGSGGVALAPAAYSAQSVEEIMKIVQEAQTVPKPDKPVSGYGWGTGASDDDDGNQEEEEEEDYQEDEYDKTVREVHASGDFGISKLQI >cds.KYUSt_chr2.10427 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66044964:66054456:1 gene:KYUSg_chr2.10427 transcript:KYUSt_chr2.10427 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVYGTGVFEFRHPRAAEYPLPADAPPAAAPASTGGSMTLLDIQRDRLTCVVAEHWGSPPAAAAAFDADLVKEIYTKELRVAGRGRKTVPLQRVMILEVSQYLENYLWPHFDPDRASFEHIMSMILMVNEKFRENVAAWTCFHTRKEAFKGFLWRVLKLKDEDRTISMAEKTNYLLFMINAFQSLEDELVRETILQVVSLKLWHTLSFGRLQMELCLNPELIKKWTKIKRKEAKEAKKAGQPCNPSEMLENKFLRNLIEEFLEILDSKVILSSQDGGEESVFNESLSGQVDDSSVLYCERFMEFLIDMLSQLPTRRFLRPLVADTAVVAKCHLSTLYTHEKGRLFAQLVDLLQFYEGFEINDHSGTQLSDDDVLQAHYSRFQAFQLLAFKQVPKLRDLALCNIGSIHKRADLTKKLLVLSDVELQDLVCNKLKIISEEDPCSGRRDFLIEVLVAFFEKRQSQKEAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGDTGFRGWSRMAVPIKEFRITQVKQPNIGEVKPSAVTADVTFSISSYRPQIKSEWDGLKEHDVLFLLSIRPSFEPLSPEEDAKSTVPERLGLQYVRGCEVIEIRDEEGGLMNDYTGRIKRDEWKPPKGEIRTVKIALDTAQYHIDATEVAERHGENVYGTFNILMRRKPKENNFKAILESIRDLMNETCIVPEWLHNIFLGYGNPSAAQWTNMPDLLETIDFKDTFLDAEHVVQSFPAFQVTFINTDGTENMHPSPPFRIKLSKKMREISHALPGNVNSSEITSKNNMVDDEGSKKEKLRVETYIPADPGPYPQDKPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVAKLARSLHLPEDVSYTCENAAYFWLLHVYARWELFLAACAPNKENPTFVKDRFPFSEFFSDTPQPIFTGESFEKDMHAAKGCFKHLSTIFQELEECRAFELLKSTAERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKYDNLLMEESAQILEIETFIPTLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAELYNWRYRELGDLPYVREEAIFHKANSGFSFEYQLVDVPDYRGRGESAPSPWFYQNEGEAEYIVSVYIYMRLIGYPANKISILTTYNGQKFLIRDVINRRCKPWNIEPPCKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLYEQCYELQPTFQLLLRRPDKLALNLEECTPFTERPLGEAGNIHYITDVEDIGHLVNFRLEHLRQMQSMQYFAPHTESVSNPPETVNGGVVLPNTKEGMEEENGDASAVLNNDKMDEDTSEAKDDMMQEASKMDEGNVEAGDVAIEDKMVEESADEAKDKMVEGSADVAIEDKMVEESADEAKDKMVEGSADVAIEDKMVEGNADETKDKKEEEKAAEAKDKMEDENPMSEDL >cds.KYUSt_chr2.12899 pep primary_assembly:MPB_Lper_Kyuss_1697:2:81705213:81705836:1 gene:KYUSg_chr2.12899 transcript:KYUSt_chr2.12899 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGGGGMMDDEGRVEHAQIPNSFGHELRACLRCRLVKTYDQFRENGCENCPFLDMDKDRDNVVGCTTANFTG >cds.KYUSt_chr4.4595 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26386676:26393403:1 gene:KYUSg_chr4.4595 transcript:KYUSt_chr4.4595 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMYALFFLQALLHSITIAASESIKRSIADGQHQSKPHGERVCYFHLDSHFLGYQTSRWLIGRQDMAFIASGPIKMLQVRLKCLLLPLVKCVGGLRVIASRGLSRDLCKELVEGCSSLDSSCFSISTVSGGITKLWHWSIACPFWMRFVYPRAAAHLHDLSPQYRTNVGPSDSGLRSELATTPARTRCGTAHAKEVDRAGLASIFPARMRSGTAHATEVDRIGRPRINLFLLLSAPCRHSRVVATRIRRKSFTRRRRRSCIAPIQSASSHLQANQSGPARAVIGDLQRSSGQDVIGDQLKDGVAWVSSRS >cds.KYUSt_chr1.4964 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30487982:30488389:1 gene:KYUSg_chr1.4964 transcript:KYUSt_chr1.4964 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAKAAWPATADSAQTAVDGAGRAAADGGGKPCRHELRRHATRVAWGLCRHPVSGLFWHLYLEVVLFVNSVVLYGKNSVCKNHHHHQVVDTVHLNHVGQYQQLAVEGDSGVEMQPVNTAQIPATLQSPAGSST >cds.KYUSt_chr4.26379 pep primary_assembly:MPB_Lper_Kyuss_1697:4:165772078:165784093:-1 gene:KYUSg_chr4.26379 transcript:KYUSt_chr4.26379 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPIPSRGIQEIASGTLPERGIISQRTLHRHGRLRSDEFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFDKPWFLSEGKLAAIPRWRQNPWRCAALHFFTNNLHVAFISYCSRSTLRAPGIRLIKAHGSRVYMESPSQNTSCLTTVQCRAVYVKDPSSIKTVLDPDTSWAFTDPASFVGRLRSGFLFLGWTSSFRIYNNWVAWATCHITICGPPGLAGSRTLDNFSGSTQRFKAMISSLSLLDSRLIHKLYRKCWPSNPHSTLNMHSIAKCRGKSMRMKMAHLKHHATGLKRNSQAHEEDNVFYKLVYRLPESLSWLLVSQERIKRPAAKKKQQKEGAVAANRFGVILEWEGVVVENDDPDLEPRVWYVLSLEEAKSFPPDAMLKEIEGMRTDQAISEVLNWSEDPKEIKRLTARKEVIYQKLRGRFYQLRPGVLDFLNTLVESDIPIAIAASRPRTSLEEGIKSVGLQVYFDVVVATEDFCRGKPEGEMFEVAAEQLGLEPDVCLVMGNSNLTTESAHAAGMRCVAVASRHPAYELHAANHVVRWLDQLSIVDLQRLANGEVLRSRGRRSDMDMEIVIEE >cds.KYUSt_chr3.12948 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77719628:77722272:1 gene:KYUSg_chr3.12948 transcript:KYUSt_chr3.12948 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDGDGDAPAAETAPSSVLPWGDCPTLYLSYGIASLQGRLPELTDALAAVPSFTTLSPPLGLDYFGVFDGHFGAAVANRLSERLHGAISEQIESELSALFPRFLHGSRGDVEGWWREAIADAFLAVDEEVLMRGSATGTAAAGATALVALVLKDYLVLANCGVSRAVISRGGEAVQLTAEHRPNRPDEKQRVENAGGRVDESTNTVDGVLPTSRAFGSSAYKQYVTAEPEVRAVARDPRDEFLILATAGLWDHVSPAAACRLVERKLRSRVRVTTPWVASLGGRGSPTALAKELAEHAVRAGSPDNVSVVLVLFRDFWDDPVAAPAAPVVSASGRVMRPRRASAKYRPNQWFT >cds.KYUSt_chr4.39881 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246264831:246266401:1 gene:KYUSg_chr4.39881 transcript:KYUSt_chr4.39881 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLGVQQPAAAVFGDTTLTKVFVGGLAWETHKDTLREHFHRFGDILEAVIISDKLTGRSKGYGFVTFKEAEAAKKACEDGTPVINGRRANCNLASLGAKPRAQQPPPHLLRPSPPTTPGPHHMPALPSPHHQPAPAIAVGSRGVSPVPWYYHPSTTPPQAAHYAAHQQYHGVLPFYPAAANYGYSPNYVADMSYNAKLGHGAAAPGAGGAYMQPQGHFSYPAAAQGGMIAHNGGMMPVYPYYHYQYHGSQGLGVPAAHFFPPVSAAAIPTVPAIISKPPVMVPPKGTAA >cds.KYUSt_chr5.32596 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206753951:206759943:-1 gene:KYUSg_chr5.32596 transcript:KYUSt_chr5.32596 gene_biotype:protein_coding transcript_biotype:protein_coding METVFPRRKAGLPVLLRLPLMLQNPEHITRLPSLPLRSSLTEGMVSYSAKKALSSTSKSEVPFREPRQSYPLCAAKWFVPGGAQAAGVGSSLPVLGYKDLIAFPFRFLGSLLKIASLNLVIELISLVSMARFQSDAYQDGRIQIVAETFHVGAGIPGVAPHYIPPLSTFNVLLGSYWPNVNSNNVPLASLVAQKEYVDVNFIKNNNHNDSRPYPANGNSYDRYASSNEEKMLEIERSTKSFMQSQYEQNKLFTKTMNEQSTLLKNIGNQLENLNREISGLQTKLANAENRISYMSASQSSLINKMAAKPENLDDKITTTANAIQVRINENIRLMAELRARWDREENEKLAKEKNVAKVWTITTTSNANATHVAAPPTNTNKRIGVSNVSTSNAKRRNCTKLLKLSETAL >cds.KYUSt_chr3.9520 pep primary_assembly:MPB_Lper_Kyuss_1697:3:55923983:55931370:-1 gene:KYUSg_chr3.9520 transcript:KYUSt_chr3.9520 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSHDRRPYRPPDRGSPPPHRYHNQQPHRQPPPHARQYAVILLRAAPDSSTPSATEIDALVAGLQSPPPDSLSVSSSGRQAARLLFRSLPKAAEAARELWSLRLDGLHFINPHLPDAPLAAHAYPLLASLFASHASRLLDSDLVSRCAARSAELAVSIQSVKHRLRAHNKLRDFDQLDLQKRTLEAEKDLVDDKIAEYKAAMNSIRRAMLCGTDDEEEVDEEGVDVFGIIREGEDVDFVRLHMMMLRECRRLNEGLPIYAYRRKILDHIFNNQVMILIGETGSGKSTQLVQFLADSGLAANGSIVCTQPRKVAGLSLAHRVDEESKGCYKDNFVSSYSSFFNSQDLSSKIIFCTDSCLLHHYMDDTDLSGISYIIVDEAHERSLNTDLLLALIKKKLLDRLDLRLIIMSATADANRLAEYFYGCQTFHVKGRNFPVEIKYVPDLSVEASLNTGLNSPRGACATAAYVDDVIRQVSIIHKGEDEGAILAFLTSQLEVEWACENFSDARAVVLPMHGKLSPVEQSRVFKSFPGKRKIIFCTNIAETSLTIKEVKYVVDSGLVKESRFVPTSGLNVLKVNWISQSSANQRAGRAGRTGAGKCYRLYSQSDFSMMEVHQEPEIRKVHLGTAVLRILALGVKDAQNFEFVDAPNPEAINIAVKNLEQLGAIKCKYNCFELTDIGRHLVKLGIEPRLGKIMLDCFSFGLRKEGVVLAAVMANSSSIFCRVGTDEEKHKADLQKVRLCHQDGDLFTLLAVYKKWEDGHENRNMWCWQNSINAKTMRRCQETISELEKCLKHELNIIIPSYWCWNPEAPTVHDKLLKRIILSSLAGNLAMFLGHERSGYQVISTDQVVNLHPSCSLLNYGIKPEWVVFAEILSVPNQYLVCVTAVDHDALCTIHPMSFIQQLEKQKLQIKVISGLGNNLLGKFCGKSGQNKQKIVSRLQEECRDDRLTVEINFKNNEVILFATEQHMEKVFCIVNNALECEGKMMRNECLERSLFPERCGSSPIALFGSGAEIKHLELEKRYLTVEVLHQNAHDINEMELICLVDSLVSGVVNIHKFARNFRIASDETKWGKFTFLKPENAEDAVSKLNGVEFHGSSLKVVPVYSSDNQGLPFPAVRAKLCWPRKPSKGLALVTCASGEAQSVANDCFALGIDGRYVNCRVSTKEENCVFVERIPLHVTEPELYDAFRSTTTRRILNIRLLRVKGAIAGPSVSVCEEALIREISSFMRNKSFPGQNFRVEVFPPEENDSMMRATLTFDGSLYQEAARALDHLQGSILPCCQPWQIIECKHVFHSTLSCPVRVYNVISLEVASLLENFRSQKGVSYSFEKTENGIFRVKLTANATKTIADLRRPLEILMKGKTINHPDLTLSAVHMLLSRDGVTHLKSIEQETGTYIMYDRRSLNIKVFGNQNHMAEAEEKLVHVLLQLLEKRPLEIFLRGHNLPPDLMKKTIEIFGVDLEGFKKEMPTVKVDLHKHRHLLKVWGSKEDKRRVEGMVSELIISVKSNALVQLPSENVGGSKEDKQRVDDNELSNDTCPICLCETEDPFKLETCAHVFCRACLVDQCESATKSHDGFPLCCLRTGCKKPFLIVDLKHLVSKEKMDDLFRASLRAFVASRAGMYRFCPTPDCRSIYQVAAPDAEAKPFVCGACYVEICTKCHLEYHPFISCEAYKEYKEDPDTTLREWRKGKENVKNCPCCGYTIEKADGCNHVECRCGSHICWACLANFRSSEECYGHLRSVHQSFVDIV >cds.KYUSt_chr4.10025 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60635473:60635997:1 gene:KYUSg_chr4.10025 transcript:KYUSt_chr4.10025 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLFLVFASILPITYTWPLPINENSNGNIPLRRHMLAGSCATGNPVDDCWRCDPSWSDNRQRLADCAVGFGRNAIGGKNGRLYVVTDPGDDNPLWPSPGTLRYGLAQDEPLWIVFGWDMTIRPKVELVVSSYKTVDGRGARVVVGEGGACFAVNNVSNVIVDTRFGPIANQG >cds.KYUSt_chr2.45009 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280515764:280516801:1 gene:KYUSg_chr2.45009 transcript:KYUSt_chr2.45009 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTGEVDDFDLALIRQHLLGTDGCFPATAASHQAPFCDDVTFPVLPLPSTVEPAAYQPMSFFTQHLEQQQMKGYIDLPREYVNSSAPGVETSAFRPPELAAPAPVMMIQFGGEPSPVALRAPPSLSISVPSGPGSFGWATAVAPPPPAAAAEDFRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTSKEAAHAYDRAAFRMRGAKAILNFPNDVGSRGAELWAPPAPVSEAAAAATNKRKRSQEEEEPDVEVMGVVINKAVKTEAPSPSSAQVLLDTPSSMSTWTASSTVTSAATTPEGGFPPTPSSSGWDQYWEVLLGGMPLLSPLSPHPTLGFPQLTVS >cds.KYUSt_chr5.11126 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72063655:72065435:1 gene:KYUSg_chr5.11126 transcript:KYUSt_chr5.11126 gene_biotype:protein_coding transcript_biotype:protein_coding MSESESAGASDNRVDAYSAYFLVLSLNEALAGKIGSFLLWVLFLILQTATKVVGSLLVAPPAEPQQEPRQEAARRHRSPPAGAHGSGGPADPYEPQPQPQLWDPPPSPYSAPVTDEYSLSSIHRRRASAPPASEDLVVSSSGYSRSGVAAVPAAPPHAVSLPPMLRTIKTPVSIAGNLPKLERKYSKIVDQYRSLDEVSIHGMR >cds.KYUSt_chr5.29694 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188260754:188263821:1 gene:KYUSg_chr5.29694 transcript:KYUSt_chr5.29694 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDQPAETFTVEEIVAANPYNPDILNDLEVFVNEQVSSQAYNLDANLSLLRLYQFEPERLSVQIVARILIKALMAMPAPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETGRFRQFWDEASKNRNILEVVPGFEQAIQGYAIHVLSLTYQKVPRPVLAEAINIEGLALDKFMEYHAANSGWVIEKGGRSQLIVLPRNEFNHPELKKNAADTVPFEHITRIFPVLS >cds.KYUSt_chr6.30543 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193514505:193518066:1 gene:KYUSg_chr6.30543 transcript:KYUSt_chr6.30543 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKKRNSAPPVSVTDRIGALPDSILHHLLSFLPAQAAVRTCVLARRWRHLWRYTTGLRIVALGEEGKVKDLHNFVEHLLILRERTDLDTVQIKFREFCEEDQPCVNLWVRFAVMCKVRALTLHIRHNELCLDDLPLVSQHLRTVELYRVALHKSFLDFASCPALEDLKMSFCIINACRISSRSLKHLTINVCFYVLDCRIRISTPCLISLKIHSYHARTPFLENMALLESAYVYLSNCCKDACLNHASDSFCGANNNACQNCVPIEEDRSRECVLLGGISSAKHLKLLPENRTVIFARDLKHCPTFTKLKTLLLNEYWCEGPDLDPLACILKNSPVLEKLTLQLFSTGPNHKVVMKGSYSSMERPSAISEHLNIVEVKCNVIDEKILKVLKFLTAFNILERGKIASAEPNCSSRISPAPASVSLLTAAFPSRFDSARSAGSRSSDGSGEHPIYVGRLLLASHPAVAPLLLAEATAPACACFD >cds.KYUSt_chr7.25473 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158972706:158974250:-1 gene:KYUSg_chr7.25473 transcript:KYUSt_chr7.25473 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAQPLLAAAHHDDESGECTTVIPGNGGIGPQESVVHDYNGADADEMSVSMQRRLEGSGASSVCVGDEQEDGEANKMAASMQRRLDVLPGKPHESEPFTIFRVSGPMRHRNRHLYEPQMVSIGPFHRGAARLSAMEEHKWRYLRDLLHRGDAPLASYARAAQALEPSARRRYAEPVSLPAREFAEMLLLDGCFILEFFLKGEDGAADALIDAAWAMQNVYSDLFLLENQLPFFVLESLYAVATHGRDHIALTTLLAKYLTVETPQNAATARPPDGETLHLLHLHYHWFLPSEEPAGGNGDNRKANEEEAFDEWLAKPMDERLPWLLPSASELEDAGVTFRAKKSPRSLVDVTFRARDGVLEIPAVESYTNRPVFANLLAYEQSRGRWELQRLMSYVVLMASVAAHGRRDVQILQRAGVFVKGDEETAAFYAHLGELCGGAGAGTPGVANNCYADMFRDVREYCGRSWNRHRAVLAHDYFSNPWTSMSAAAAVLLLVLTIVQTVYTVLPYYHH >cds.KYUSt_chr2.4111 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24854879:24877810:-1 gene:KYUSg_chr2.4111 transcript:KYUSt_chr2.4111 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEASSSSSAMAPAGSLGESVSEKLTGENFMIWRAQVMPAIRGAQLVGYLDGLIKAPETEIVSKDDKGEEVHIPNPAYARWILQDQTVLGYLLRNTTREVLVQVAGFESAAEVWASVIEMFSAVSQSRIVQLRTALAKTNMTGKAYFGRIKALADEMANAGQKLDEAQIISYILAGLDDQYDGFVASITALLKTAMKPHVVDMEIVEAVVVLDSAEAAAVAGATMMEDVAMGHNPTILEEGIILRTTVVEVAIPHMADNTRIVKVGEDVVKEELLAFHVGAGIPGVGPHYIPPPSTFNVLLGSSWPNVNPNNVPLASLVAQKEYVDVNFIKNNNHNDSRPYPANAREEKLEREASIPKKLEDGWEPIIKMKVKDFDCNALCDLGASISVMPKKIYNMLDLPPLKNCYLDVNLADHSTKKPLGKVDNVRITVNNNLVPVDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEGSTLVPESLVLRRTTSRRHQPSTCFLAPPGSINLGFFLTENLLLCASYLPLGVPNERVSYTPSISSYGIDTNWYSDTGATDHITGELDKLTLNNILHVPQATKSLLSTHQLTKDNHAFAEYWPNHFFLKDQDTRKILLEERLLDKKILALQTDWGGEYQKLNSFFQKVGITHHVSCPHAHQQNGSAERKHRHIVEESDFFLSNNGHGADSIDFPSEETRSASGSLGSASDHVPGADADSAVSPGHAASSARDLLQYLILLLRLSRLLLRLLVLLLDLSEEFSNPRLLLMVLSGLSFYGRNIDVKEVLTSVVIYFIIGFEIPIEVLLKIDSIHRAYLWAAYEKVSGGKWKAAYSSSGRQRSRRHRGACGDFVNFKINPLAQSSGGAHRGMEWDYLRYPRLQRHPLINLANANLYCEHLYTEAVLIVAMASSLSALLLLCLAASASAQLSPKFYARSCPKLLGTIKGVVTAAVRNEARMGASLLRLHFHDCFVQASAPSCFLLEGCDASVLLSDTATFTGEQGAAPNNASIRGMGVIDNIKARVEALCPQTVSCADILAVAARDSVVALGGPSWNVPLGRRDSTTASLALANSDLPPPSFNVSDLISNFAAKGLGVTDLVALSGAHTIGQSQCKNFRDRLYNDSNIDTAFAASLKGNCPRPTGSGDANLAALDTTTPISFDNAYYRNLQSQKGLLHSDQVLLNGAGSRRTRTLVGTYASTPSRFGRDFAVAMVKMGNISPLTGSQGQIRRSCSRVN >cds.KYUSt_chr3.36838 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231713069:231713907:-1 gene:KYUSg_chr3.36838 transcript:KYUSt_chr3.36838 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGPNLWSSTTTRERLDEIGKKIKREPDAASAAAGVVVAVAAPADQQAPRRLNLGLGGATNTATPCAACKLLRRRCAHECPFAPYFSPHDPHKFAAVHKVFGASNVSKMLLEVPEAERANAASSLVYEANLRLRDPVHGCRGAISMLEQQVNALEAELEAVRAEIFKHRYRQASVAGAAGLMIEDVHTTAAGDFMAPATQVVHASDVVSVAEAGQEVAGMPSTSTTVYASGQPSSTDYSSLNTSEHDAYFG >cds.KYUSt_chr4.832 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4351088:4357035:-1 gene:KYUSg_chr4.832 transcript:KYUSt_chr4.832 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGADSAHNASAGGVGVSAGGLTLPARFMWPHGGKSVFVTGNFTRWSEHAPMSPVEGCPTVFQAVFQLPPGIYQYKFCVDGEWKHDEGQTTTTGEYGVVNTLYLTRGFDHINNVVSPSTPGSMDVDSENFQRNVSVSDGALQDGSPRISEAAIQISRCRVAEYLNAHTGYDLLPDSGKVIALDINLPVKQSFHILHEQGIPVAPLWDTYRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQTYGRNDGQWRSNQHLVQATPYESLRDIAMKILQTGVSTVPIIYSSTSDGSFPQLLHLASLSGILKCICRYFKNSTGSLPILNQPVCTIPLGTWIPRIGEPNGHPLAKLRHNSSLSSALTLLVQAGVSSIPIVDENDSLIDTYSRSDITALAKDKVYTHIRLDEMTVQQALQLGQDANSPFGLFNGQRCQMCLRSDSLLKVMERLANPGVRRVFIVEAGSKRVEGIVSLSDIFKFLLS >cds.KYUSt_chr2.55490 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346087184:346090635:1 gene:KYUSg_chr2.55490 transcript:KYUSt_chr2.55490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0671300)] MDQPPNAFAAGGLFGQHIDGQNASPPSVIVIGGGISGIASARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRLLGLRLYRTSGDNSVLYDHDLESYALFDKDGRQVPQEIVTKVGEIFEQILKETVKVRDENANDMPLVQAISIVLDKNPHLRIEGLQYEVLQWCICRLEAWFATDVDNISLQNWDQEHVLTGGHGLMVNGYDPVIRALSRDLDIHLNHRVTKIIQRYNKVIVCMEGGTSFVADAAIITVPLGVLKANIIKFEPELPEWKLSAIADLGVGLENKIALRFDTIFWPNVEVLGRVAQTSNACGYFLNLHKATGHPVLVCMVAGRFAYEMEKLSDEESVNFVMSQLKKMLPGATEPVQYLVSRWGTDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIDAAEDCRRRLSTQLGISDLFQVGKIAMREEMAEVMVPLQISRL >cds.KYUSt_chr2.9112 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57254539:57263097:-1 gene:KYUSg_chr2.9112 transcript:KYUSt_chr2.9112 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPLPSLLLLLVLLPSPLAVAAAAAGPATWEALRAAAGRRAASPVTQEGAAAGVLRRLLPTHAPSFRFQIDHKGGVCGESSCFKISNVDGSRKDGAEILIQGTTAVELASGLHWYLKYSCGVHISWDKTGGAQLASVPPPGSLPRVKGTGVKIERPVPWNYYQNVVTSSYSFVWWDWRRWEKEIDWMALQGINLPLAFTGQEAIWQKVFKSFNVTDSDLDEFFGGPAFLAWARMGNLHAWGGPLSKNWLDQQLALQKKILSRMIELGMVPVLPSFSGNVPVVFRKLFPSASITRLGDWNTVDADPRWCCTYILDPSDTLFIDVGQAFIKQQIKEYGDITSIYNCDTFNENTPPTNEPAYISSLGSAIYEAMLRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPIGKMMVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGVLDSISSGPIDARTSYNSTMVGVGMCMEGIEHNPVVFDLMSEMAFRSQKVKVEDWLKTYSYRRYGQSNVEIQKAWGILYHTIYNCTDGIADHNKDYIVEFPDMSPSSFSSRFSKQRSISPPRKHPRFFLSEVSASLPQPHLWYSTKEAVKALELFINAGNDLSKSLTFRYDLVDQTRQALSKLANKVYLDAMNSYQMKDSSGLNFHTKKFLELIVDIDTLLASDDNFLLGPWLESAKSLGMTEDERTQYEWNARTQVTMWYDVTKTEQSKLHDYANKFWSGLLKSYYLPRASKYFSRLSRSLQENRSFQLEEWRRDWISYSNEWQSAKDLYPVKATGDALAISRSLFAKYFG >cds.KYUSt_chr4.28568 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179485894:179497781:-1 gene:KYUSg_chr4.28568 transcript:KYUSt_chr4.28568 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADAGGGVEQPRKAHRVAKSGAKAQKRKGKGKGAAGDDEGGERKNPKAFAFRSATKAKRLQSRSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPSDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDQFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLADRFEDVTPPESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVTSLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHLVQFSNTDDNDASRKKGKGNDVGVDLVKTLQNTKYSLDEKLDQSFINYFGRRPAAQSEDSVMTGNNSSRQNDQGDKILEQVGGSNISADTLERNEHSYSECSSDSEGDDADDIQPSDHGVDLREKVEFCNGRIRRKAVSANFQDDNDDDDDNDEGSDEDDGYNEDSGDDHLSEGSLSSDGSGEALDSDDQAENTSKWKKSLLARTKRSASLMQLVYGKPSTELDNDNSKEDSSDEEFFVPKGQKKQAQNELPSFDDIDAEDYSKFFKSELRDWSSGDLVESIRDRFVTGDWLKASLRGHEIDENGEGDAEIDGDFEDLETGEVYKSQATENAGKPATYDGSDLSGEEVDNDKKKSKRDQSEGGGYFDKLKEEIELRKQMNISELNDLDEDTRVEIEGFRTGTYVRLEVHGVPFELVEHFDPCHPILVGGIGLAEENTGYMQVSLKRHRWHRKVLKTKDPIVVSIGWRRFQTSPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQSLSSNKVPFRITATGWVQEFNNSARIMKKIKLTGAPCKIFKKTALIKGMFTSELEIARFEGAAIRTVSGIRGQVKKAAKIEPGDVLRRKGESTDGIARCTFEDKVLMSDIVFMRAWVNVEVPTYCNLVTTSLQPRDEMWQGMRTVAELRRANNIPIPHNKDSVYKDIERKVRKFNSIEVPKKLQAILPFTSKPKDRPKSKKPTTDRIPVIMDTDEKKRNAAIQQLKQIKHEKMRKEKIKRGLQKKAHEAQKAKTDLVTKKRQREDRRERYREEDKKQKRARR >cds.KYUSt_chr3.48093 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301104198:301106049:-1 gene:KYUSg_chr3.48093 transcript:KYUSt_chr3.48093 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSTARAAAAALVKNTGVAEADVVSGGCSRRGAAASGGGLVLTAADEQEHGFGGEIVPDLNTQPTVEDPLLGETSSVRKRKFEEFDDSEDSGHSYISCEVESGDAVSYNSDASSDNEVSKYNVLSYEKKVEEKIWAEGISAYMKPDGRYYCKFHPSKQVPRDGMREGLVGHVKDVHPKDLRDKANHAALRKVLEYYGQD >cds.KYUSt_chr3.43826 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276650308:276651042:1 gene:KYUSg_chr3.43826 transcript:KYUSt_chr3.43826 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRALPVATLALRILSLLFLIASIVIIATAKVRADDTFFDEPDTTFKNVYAYRFVLAVGVIGCAYSLLAISFVAINLAGRKKMIGGTEGGTVLLICADVVCAVLLAAGGAAGLGLTVEAQRLFGEFLDSSFKTFFNRADISSVALLLASLCVVAIVMLSAYSLTK >cds.KYUSt_contig_60.102 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:561108:577682:-1 gene:KYUSg_contig_60.102 transcript:KYUSt_contig_60.102 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPATLRHLLLPAPPHLAFGTPNPAPPLHAWLPISRLAPPRVAHASSRRPRRRDARARAVAAAGEEAAAPAEEASSSGPTKFSVKIPVGDRHILVETGQIGRQASASVMVTDGETIVYCSVCMADTPSDPSDFFPMSVHYQERLSAAGRTSGGFFKREGRAKDHEVLVCRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDCVAVTAAGIAMALSEVPNKQTIAGVRIGMINDQFVVNPTTEQMEDSELDLMMAGTDSAILMIEGFCDFLTEEKLLQAVETGQEAIREICKAIDVLVKTCGKKKMVEAINLPPPELYRHVEDISGDELVKALQIKQKIPRRKTLSALEEKVITILSEQGYVSKDESSGPIENVADIVEEEDEDEIIEDGEVDEGDVHIKPVSRKPHRQLFSEVDVKLVFKEVSSKYLRRRIVEGGKRSDGRSPCELRPINSQCRLLPRAHGSALFTRGETQALAVVTLGDYQMAQRIDTLVDTEESKSFYLQYTFPPSSVGEVGRTGAPNRREIGHGMLAERALEPILPSEKDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLETQQFGGDGTPLILSDITGSEDASGDMDFKVAGNDHGISAFQMDIKVVGITLAVMEQALRQARDGRKHILNEMSKCSPPPAKALSPYAPVIHIMKVKPNKVSLIIGSGGRTIKSIIEETGVYGIDARDDGTVKITARDIESLEKSKTIIANLTMVPKVGEIFRNCEIKTIAPYGAFVEIAPGREGLCHISELSSSWLAKAEDAYKVGDRIDVKLIEINDKGQLRLSCKALLPDANQEPGSNKQQVSGPTKEKIVYKDDIIKVTTRRSRRKKEGEPSAAENATSKTLENSTAENA >cds.KYUSt_chr2.7764 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48645182:48649460:1 gene:KYUSg_chr2.7764 transcript:KYUSt_chr2.7764 gene_biotype:protein_coding transcript_biotype:protein_coding MENREEELKIPLLGPRPARVENGDNSVIRGGDAGGREEEVGLGLGQRLLEENRKLWKVAGPSICTRFSGFGVTIISLAFMGHIGPTELAAFALVSTVLMRFCNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILSSCAVLMLPIFLFTEPLLVFIGQDPKIAAVAGRISVWYIPMLFSNLCNFTLQMYLQAQSKNMIVTYLAFINLGTHLFLSWLLTIKFHFGLTGVMSSMVISLWIPVSGQLIFVFCGACPLTWTGFSTEALTDLFPILKLSLSSGVMLCLEMWYSTILVLLTGYMKNPEVTLDALSICLNINGWELMISMGFLSATGVRVANELGAGSARRAKFAILNVVSTSFSIGLVLFVFFLSFRGRLSYIFTTSEEVVAAVADLSPLLAISILLNSVQPVLSGVAVGAGWQSVVAYVNITTYYLIGIPLGAILGYVLGYHVKGVWVGMLLGTLVQTIVLLFITIRTDWDKQFAPGGNLDDAELERLLPQLGVNVGLTSGDFVEDRNLDTVVGLVSRSSPRDAYKAEEWRRVAEEQGRVFVDLGSYTE >cds.KYUSt_chr1.15710 pep primary_assembly:MPB_Lper_Kyuss_1697:1:91377767:91382363:1 gene:KYUSg_chr1.15710 transcript:KYUSt_chr1.15710 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASTPKVVLGCVAFVIFWVLAVFPSVPFLPVGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFQYLGSMLSWKSRGSKDLLFRVCLVSAVASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSSATPIGNPQNLVIAVTSGISFGSFLFGIFPAMIVGVLTNVFILLCYFWKYLSVEKVRDQEAAAHELVVADDEVSSHRFTPARMSHASSVNGDADYMRSDSMTRGGVGDTLRSRSYNSEGDRDIQVAIRSLRASSMSQEMVEVSTVCDRGRDDGPRKVTRTTSHQRSVIIEDAPEKDACFHEKEKPQEEEEMKRKTWKVFVWKTAVYLTTLGMLIALLMGLNMSWSAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNRTGIPNALWELVEPHARIDSAKGIALLAVVILFLSNVASNVPTVLLLGTRVAASARAISPASEKKAWLILAFVSTVAGNLTLLGSAANLIVCEQARRAQFHGYNLTFWSHLRFGVPSTIIITAIGLLIVMDGGERAKKPILSEMTCHSVTSDIPDDKMDLGGGTKKRMLCEITSKSVCSDIPDDKKHRVEQNSILSDDKGEMACQSFKPPTPPCLLSMETDAAGPGTDELIPGIIVPHEEGCGLGLPPAVETGGATGAASVEPLVNGVVPREFSELEQDGLPIVKTDVTGVDAGVATDDPAPEGGFVLVEPVMTMPTVLTGGGPGAGRVEPLAKGVVPRESSEVDIGGLPSVETGGLPGAGIVEISANGAVPANSYELEHVPDVPSVEPDAPVNSGDYSAESDEQGSASRGQDVSCHSPEPELESATVAYRARTVLQALKIQLGGSQDQLLEAIVTTKDQPACFVISCILFDKLKHCN >cds.KYUSt_chr6.29605 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187635286:187638171:1 gene:KYUSg_chr6.29605 transcript:KYUSt_chr6.29605 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQIRPLPSSVDSRQGFSRGFPPPPGCLCASASLARWASVASAPGWLAISWLKSPLPASAWLGTFDSKEEAARAYGATAWRFGLQCHHMYFPDVDSRAEAEMLASQPVVLSHENIVEMPSLDWEDVADNWFGGCCTSFGGASEKLVSQYINAYGRLEGTTLLDATSIIIEKDYLEIDLVSRIDNSVTSIDFVALQEAMSNVTLESDQTTDRINSEEACHEKKTDYSHAQPPLLLEEGPCINNTERITENNGGTLSSDQCGTIQLDNGVDVNSENSTSEPCINNTERTTENNGGILSSDQCGTVKLNNSVDVNSKNSTSDCFVENMEQTSQEADSFLVDPWSCCCGSGDSGKAEYNTSEMPSTLENVRDYKLTKSISLGSSFIVKESNLVKDVNWIELLCSHCSSSLGSYPSQCSDALSDGRVRLFKCYTSSNLPVGSPHDVFRTVEEWSSKYRAEQLYMMGHQIDELTECLSSAMDKFPLSCSSLEGMSLSCLER >cds.KYUSt_chr4.17762 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111219230:111226893:1 gene:KYUSg_chr4.17762 transcript:KYUSt_chr4.17762 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIQARCHAGPVETRADTLRVCAASAETHSRRKYAPGGCGACVVLISKYDPCTEEVDDFSASSCLALVGSLNFCSVTTSEGIGNTKNGFHSVQQRLSGFHASQCGFCTPGMCMSIFSALTKTDKAAARPAPPQGFSKLTISEAEKAISGNLCRCTGYRPIVDACKSFAADVDLEDLGLNSFWTKGADPADVSKLPAYTSGSICTFPDFLKDEIKSSVNQMNYVPPPKKFKKTDKVLVANEDGWYYPKSIAEFQGLLDSNWFDQSSVKIVAANTGSGIYKDEDLYDKHIDINGIPELSVINRTSKGAQIGAAVSISKAIDVFSDGNLVFGKIADHLNKVATPFVRNTATIGGNIIMAQRLHSPSDIATVLLAAGSTVTIQVASKKLCLTLEDFLEQPPCDSRTLLLSLFVPDWGSANVTFETSRASPRPFGNAVSYINSAFLARLSEAASSATPLIEDICLAFGAFGTNHAIRARNVEDFLKGKVVSASVILEAVRLLKDAILPSVGTTHPEYRVSLAVSFLFSFLSSLANGIMNSVSVSSPGKHVKVVSDNLPIRSRQELVSTDKCKQIGKPINKTGVELQTSGEAVYVDDIPVPKDCLYGAFIYSTHPHARINGIKFRSSLASQKVIAVIDAKDIPSSGDNMCSNFRMVDDEPLFANSVSEFAGQNVAIVIAETQKYAYMAAKQADIEYSTEGLEPPILTIEDAIQHNSFYHPPPYLVPKPIGDFDKGMSEADYKILSAEVQLESQCFFYMEAQVALAIPDEDNCITVYSSGQMPEATQQAIGRCLGIPFHNVRVITRRVGGGFGGKSMKAVHVACACAVAAFKLRRPVRMYLDRKTDMITVGGRHPIKAKYSVGFMSDGTIKALHLDLGIIAGIWPDYSPFMPFSVTESLKKYNWGALAFDIKVCKTNISSKQSMRAPGGVQGSFIAEAIVEHVASMLSVETNTIRRKNLHDFESLKVFFGDSAGEASTYSLVTIFEKLAFSPDYQHRAATVERFNSGSRWKKRGISCVPITYTVFLRPTPGRVSILKDGSIVVEVGGVEIGQGLWTKVTQMTTFVLGQLCPAGGEGLLDKVRVIQADTLSMVQGGVTDGSTTSQASCEAVRLSCAALVERLKPIKEDLEAKSGLITWCSLIAQAITMNVNLSAHAYWLPDPTFTSYLNYGAAVSEVEVDVLTGATTILRSDILFDCGQSLNPAIDLGQVEGAFVQGVGFFTNEEYRTNSDGMLINDGTYTYKIPTVDTIPKQFNVEFFDSPHSQKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFSVCTGPANLPLTFQMDVPATMAAVKELCGLDVVEKYLRSLPATQA >cds.KYUSt_chr4.14784 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91241412:91241879:1 gene:KYUSg_chr4.14784 transcript:KYUSt_chr4.14784 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKGAPATIDPTHIRAALEGEQDAPRSSSMLPFSPEPQQRVVAADGDHAPDRRIAAGARKIERRQIGQRGPVSKPPPLHRRGIGEPERGLEFGPRRLEAGPKMSIWSLAGEVDVELVLPTPELLLRNPCRLTKKRKAPRHPCWEAEESLSRSRP >cds.KYUSt_chr6.19122 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120327492:120335639:-1 gene:KYUSg_chr6.19122 transcript:KYUSt_chr6.19122 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSDSDSSPKSSSSSSSSSSSARRRSPPRVRAHSDEGGSSDGVLVELPSQEARSPGADPDAGIFVSMPADDATSGETFEDAPDDLNTGGFRTARSLDESIAVIDYPDESSAAAECRKYKEEREVFARDTAALRRMLQGLVGQDASGSSHAEDPDERVLASPTPLHSMLDDCSRLVLELNSVARAREQEVDSLRSRTAEADVSREVVDAYLGSWREVSELAIARMVASVDAVVGKEAIGSEGADQDGISVFERKTLLLTERYRQVVLGIEQLEQVLAEVKPDFVSVGQCDHATILGIVSEELVSSKRNEADFMHKLNTLGEENKALSEELEKVKAARDAANAQAGKAKAEFEQMEHKFSTTKEKLSMAVTKGKSLVQHRDSLKQMLAEKTGELERCTVDLQQRSDALQAAEGRIEELKMLLDEKSAEQEKCLDELRETYSAWEAAKASIEQLNEENTTLASVQASLSLSDGVLQRIEEVISEATFPEDLLSLEVTDRLEWLVEQKKIADMIFSEHRKVKDILGSVDFPHSVLTGELDSQITWLVNSLSQAKDDAVRIQNESSEILQRLSAHESKLVSMHEEIDRLTIVLLEEKQEKDILVNEHSELMSLHNAAVDKLSFISSQNTELVKAFAVVSDVELGDNEPLESAKLVDQCLSNIQRREKSSPTDCESFEKLQTLVYTLDQELTLCKIILEEDMTDRSERMRLSGELQKMKEAMYGLKNEKDSLQKEFERVEERSSLLREKLSMAVKKGKGLVQEREGLKQVVDTKNSEIEKLKNALDEKISETENVKRALDRNNSEIEKLQHALGENNFELEKLKQVLDENNSETENLKQALDVKNSESDKLKRDLEAMNTEMENLKYEIVSRESANTDLREQIENLSSQVTHFESLQLDIISLSEEKGKVERMLEEAEVSRGILVDSISNIALPVDHPFEEPLEKISQIAKYIMESQAAKNHVELELDRANEQVTMQAGRLSDSLSTIKILEDELSKAKDYVSSTSEEKHQIQLQTAAVEKELEKTNEELAHNANKLEDANTAINSLQDALSQAKTDLTILSAEKNEAEAKHEMETSVLNAKLAECLEELDKSHGSLQTRSTEQYGYLEKLSTLVMDDSIVPLMVEEFGKNIGSLRDMSLTVKTMHEQLAAMGIQIDPVMEDPEFGTLFTLTDYDNFVAQRMRVSKTTMGNIDDTSSLSTIVEQFSNQAEYFSVFYKDLAGYMNSNISLLRRALQSANNNFSRTLEEHGRLKIELGNKDTQNRSQEAELLSLQTDLRAMSSKCIYCTEQIQIIFNGMFDLGYAIELATGNSSIVPKVEGTFSVLKDEDSGDYTKVVDSLLSSVNKLKSESQRLSELKGLVITLFDELKMRLKQAEGAAETASNDNHLYVKRVCELEKDLKTAHDECSAMENRIQEYHEREDVLKARELELLSLEHTQTTVERGITDEISKDQLEAIVEKINKLNIPSGESRLQREVATFSSPIDKLFFLIDEVDALQREVETLRYENEDLQLNLESHARENEQLKEVCRNTDSNRRELESKSSELLEVTVSMERMIQRLGYLSGKDALEDNKPTSTQSLLSKLEKLIIASSMESGNAKSVKQELGAKLQAREKTVDDLSAKVKMLEDLYHSRLVQPEISKDREFEASSSAIGSDISEIEDLGPMGKASISSVPSAAHARVMRKGSSDHLVLNMGTESERLIAADDSDDKGRIKSLHASGLIPAQGKHIADRVDGIWVSGSQILMNRPRARLGLLVYWVFLHLWLPFFVVPRRGGSKLDKRTNINRHRQADAMLFDADYFNDDTTHSPKEFRRRFRINKELFLKIVHREYDKYFMAKQDCTGLWGFTLIQKCTAAIRCLAYGA >cds.KYUSt_chr2.36433 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224870415:224871665:1 gene:KYUSg_chr2.36433 transcript:KYUSt_chr2.36433 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGERRDREEDDGEEEEEEAACTNTDANTSSANASTSSSSGSGARRNGGAAAAMARAWRRSRSVSGPTINLSQEYTLAIQTTSYNEIWAKIHVTVDGQRVDGGNDADDEDEEDRSTLAGVLRPDDAAVARALRDAPDTELTRLAADYLRSTHHASLLCLSLRRALRRARALYGPIADVLALIPHARPLAAPHCDCAFDAFLLFDGMPNPFLPPTSDFQSMHRSFAGLKNHLDHRLLKARRRRRLLRCATRGSGVCLIACATAAAITGLVIATHAITALLAAAPACAASRGSSCCSAPAWMRRLQQHMDRLDAAARGAYVLNNDVDTIERLVGRLHATVESDKVLVQLGLERGRAQHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARLFLVHHLNAQSDPYAELPLS >cds.KYUSt_chr3.47328 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296915962:296924283:-1 gene:KYUSg_chr3.47328 transcript:KYUSt_chr3.47328 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDDDPAFVAQGSITGIRLTVASNEEIVKAQPMNEEFPLKHPNQLSGNPALGLPLQFGRCDSCGAADLAKCEGHFGYIKLPVSIYHPSHVDELTKILNMICFSCLQFKNCKKQESVGKKSNFSACPHCQDLPPLNVAEVKKTNGAISLELRVPAREELEEGFWSFLDEYGFHTKGSSRRRRLFPEEVQKIMTKIPRETKRQLAVRGYIPQDGFVMSNLSVPPNCLRTFNVLDEALLCSPDASTSLLRKVLRMVHEINSSRVGPPTSQEHEVEAEDLQAAIADYIKLSGTAQGPRDVTFGNQPAAKQWQQKMKSLFISKSSSFSCRAVITGDPYIPVNVVGLPDKVARRMSVQERVTDHNIAKLQGMMDKGLCLTYEDANSNTYSLDVGKANKKRIILKVGETIDRRILDGDIVFLNRPPSTDKHAVQALYVHVHTDHTIKINPLICGPLGADFDGDCVHIFFPRSVIARAEATELFIVENQLVSSHNGKLNFQLKNDCLLAIKKMSGRIYSRREAHNFINAMLAGGMTPNKRLSGGPKWSFNQILETLLPEEDKLLVRDLVAGTVTLSSILSMKNLKEAIEFLNLLQPLLMESIHTDGFSISLRDFDVTNPIPKTIQNSSIDIDKFREPIVDFITHSSGLSWLVDPKSDSAVNKVVEQIGFLGHQLQRSGRLYSTSLMEDCLSKYESKCGSSTNGFIRSSFYNGLNPYEELLHSISAREKIIRASKGLVEPGNLFKNMMAMLRDVVACYDGTIRNLCGNSVVEFDAENLSSTVTPGDSVGILAATAVANAAYKAVLDPNQNNMTSWESMKEILLTRASSRTHENDRKMILYLSKCSCGESFCMERASLAVQACLKRIKVEDCATEFSIQYQKHIMQATPCLVGHIHLDKKQLHKMNITMEDVLLKCQEAIYKQGKKKGQGNQVLKRIALISRTIQMIMLIVVPYTVLLIKDICVHLPPGDSRVQEANIIWVEPQAACWIQNPDTEQKGELALEITMDIISTGESGGAWGTAMDACIPVMDLIDTTSMTCTGKLHGFHSYGCKATFQSLRIQAPFMKATISRPMECFQECAEKVYSDQLNSVVSTSSWGNRAAIGTGSAFEIHWNDPSQRAGNGNLGGYGLYDFLAAVETARATEDNTIGPLGSCLYDVDNLQEDEVLLLGGKSPISWTDKPKVDLMFPNLQGRRTERQQETRQIKSNWSPAANWQHNKHTATGFAGKVYTRRQPNSNWNSGATQQDDNPGWNQANAAGPQNFDITGSSSYGGWNGKPGNLGQGCGRGAVWKSEGSHLGGSNSRWKAQRANTTGAQNFPISGPPNSAGWNTRTSNFGAGGGRGAAWKSSGPSRGGRNSRNRGGRNSNTGQRGSSSFTPEEQRIHAQVDPIQKEVKRIIRDSRDGIKLSENDEKFIVENILSYHPEKEKKVAGHDNYITVDKHKKYHSSRCLYVKSSDGSSQDFSYKKCLENWIRIHYPHAANSFCRKYFQG >cds.KYUSt_chr3.25958 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161337528:161343375:-1 gene:KYUSg_chr3.25958 transcript:KYUSt_chr3.25958 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPRGPHRPWSDGLPPELLRVILLNLTCLADRVYFSAVCRPWRSAASGPDAPPRQLPWLLLPSPAAPSFFSLHSGATRRLYLPEKVRGARLCGSHDGGWVALAFDRWRGYAAVNLLSGARVLLPDRLRTNLPNLHGNGDCEHPMFIHTIIFSEAPSTKGCLAAAHVSSAFNIAFWRPGMDGHWVAYQHAVDVIQDMIYFKSKLKEGFHILSNTEDVVVYTPNGGPSAPLVMSRSSYQVQKRPDYKSDDLNLSRYLVESRGKLLMVLRQLKECRDPKGSPTFRFRIFEMNHGIAPGGGSVVSWVELHTLPGRLLLLGRGCSRAFEVSQFNRLEVGHIYYSDDTRFNISLALKSGTDPRGDSTYCPNLLLWDMWLEWEHELRRCAYFTVDMMMPPLETNDGEEQEWDDFEDYTALIKQE >cds.KYUSt_contig_915.28 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:139994:142239:-1 gene:KYUSg_contig_915.28 transcript:KYUSt_contig_915.28 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHRIESFSVRGMRTRPEMYFGRKTKITRETLVPDPDYRLPIAILGIAGAFAYADNLLAAAPVGLLGLLLLFQTTRVRFVFDDEALHEDIELFQCSVVTNDPTHLI >cds.KYUSt_chr4.54344 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335908236:335908589:1 gene:KYUSg_chr4.54344 transcript:KYUSt_chr4.54344 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFCKPAAVPDYTARLFVAADEDNSYVYRTPTGTRISYLGEPATCPPAPRKPRPPPASACRKRLFTAGDVITLRFDDLEESSALPRSGKPAARPLRGRAQQQLQQKDRWHHLR >cds.KYUSt_chr1.24166 pep primary_assembly:MPB_Lper_Kyuss_1697:1:144182528:144182755:-1 gene:KYUSg_chr1.24166 transcript:KYUSt_chr1.24166 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPIFSNNPDVVVLDCAVQLSLQHATVTSPPDDILKQCDIILLDGDVRIHGRIAHRQAISILRLQMHHMLVALA >cds.KYUSt_chr5.30294 pep primary_assembly:MPB_Lper_Kyuss_1697:5:192133637:192133837:1 gene:KYUSg_chr5.30294 transcript:KYUSt_chr5.30294 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSRTAPRDVVIAGTNRVGQGFHPEHHPAKASFTGMAGMAVNDAGQHAIADAQLVPFSDLCGTD >cds.KYUSt_chr2.51604 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322417616:322420995:1 gene:KYUSg_chr2.51604 transcript:KYUSt_chr2.51604 gene_biotype:protein_coding transcript_biotype:protein_coding MAASADAAATATAAARTLRWAGRAGHLGGVPRAAVIGAIGTLAKAYMALLSTTTVHNADALHRVVRSRPPGTPLLTISNHMSTIDDPFMWGFKGFPITDAKLARWVLTAEDICFRNAFMSYMFRVGKCVPITRGAGIYQEHMNEALEVLSTGSWVMPEKSFFGRRPPLPLCGKEIQIIVGEPVEFDLPSLKQEAATIPQDTSFGKEGLADHHT >cds.KYUSt_chr3.41403 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261209714:261215664:1 gene:KYUSg_chr3.41403 transcript:KYUSt_chr3.41403 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRANQTGFTGVRFHPSGRFYAEIRAAGEHIVLLMFDTAELGMCHCIDGLEISVHPNFNLTKLKLYWKIRALEIVGQSTGEKDLLKGAVVVRPDMVATVTLEAGRKRGTARKRAGQSQIRLTTTHFHTATPPPAMEDKASTTSSRRERHRGATAALPKGLDIGFCLTLEERKCTNGTKDNAFRKEAAPSGVAMVRTGQVFTLVLNRAPDAARPASWRRWTEMQTPTWTTTRKARSHTGLTVAEEACRPPSASPTASKSSGGEATLTGQPPLQPRPRSTPETELLAPPPHPEAAARTGPPKPRWSPAAMHNHNHEHQEQATRSYIDHYRLHVREDALDAARRPPPRQAPPTSAEMHRRHGEALPRTARHAPGKERPAAAGTARALPDGAR >cds.KYUSt_chr2.30395 pep primary_assembly:MPB_Lper_Kyuss_1697:2:187136672:187138325:-1 gene:KYUSg_chr2.30395 transcript:KYUSt_chr2.30395 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRNALSLRALLQMVFMLIAAFQAVSVQGGRVLEDFHRLDSEDLLPLERYTASLILDADVFLFMVVTIMVQLHD >cds.KYUSt_chr7.12491 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76925944:76927500:-1 gene:KYUSg_chr7.12491 transcript:KYUSt_chr7.12491 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLRLLAGAATLLLTVSPASAGSSAVDVGVNWGSQMTHPLVPSSVVKMLKDNGIMKVKLFDADSWPVDALLDSGIEVMLGIPNDMLDTMTSYGNAEDWVNENVTSYGDKLNLKYVAVGNEPFLKAYNGTFMKTTVPALKNIQKALDNAGVGDKVKATVPLNADVYVSPDDKPSSGQFRPDINDLMTDMVKFLHDHGSPFVVNIYPFLSLYQSDNFPFEFAFFDGGRSIQDNGGVSYSNVFDANYDTLVSALKKAGVPNLKVVVGEVGWPTDGNKNGNAKLARRFYDGLMKKLAKNEGTHLRSGKMDVYLFGLFDEDMKSIAPGPFERHWGILTYDGKPKFPMDLSGQGNDKLLVGVSGVEYLPKQWCVFDDEAQDKSKLPGNIQYACASGDCTALGYGCSCNGLDENSNISYAFNMYFQMQDQDVRACDFDGLAKISDKNASTKGCLFPVQIISAGGRAAPAMWWATLLASFVHIVAMSFVM >cds.KYUSt_chr6.390 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2407216:2410740:-1 gene:KYUSg_chr6.390 transcript:KYUSt_chr6.390 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGVLSMRPTASHEAPLEDLSIPSSFDGRGAATATGVRISGGVTGFNHSSRKRGTVVRPTFQIQRPRIGVGNEALATLSFRSSTSDPSSDDLEIQIAMAFGSKRKQLDDENDVEETHGDGADADLGHSGDESAEEEDDSADQAEKGQPSGSVPTKPLEKAFAGMERDAVDVQIMMFLCANGIPFNVLRSPQYYEMVAAIQKAPKGYKPPGYEKARTTLLDACKRKVESDLAPVRDTWYTHGVSVVSDGWTNMKNKPLINVMASNSRGSMFLYAEDFSGEVKTAYLATPAPSGGQRKAPNEDTEVIQGVMDALNRIAEDENEFALLREEFNTFIMKKGLFAMAVVQADAATMNAIDWWFNYGAQTPHLNEVAKKVLSQPISSSSAERNWSTYSFIHSVKRNPLNAKTADKLVYIHANERLKRRFSEGYNSGPHYKWDVDPDNSLLEDSSLKLEQLRWASLEDEPAEVEPPRKVRRT >cds.KYUSt_chr4.49816 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308557586:308560361:-1 gene:KYUSg_chr4.49816 transcript:KYUSt_chr4.49816 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGIALAPLLVSHHAPPSPRASVAAAAEAARRRRALRRVRCSATAASGGAGGEAGELSRATLLWRAAKLPIYSVALVPLTVSSLQPPPLHIADASSCDSDRAVILQLLAPQHAIQNSLSGTAVGTAAAYNQAGVFFATRYFGLLAAAVLVITWLNLSNDVYDSDTGADKDKKESVVNIVGSRTVTRNAANISLLLGLAGLFWAFAEAGDVRFIVLVISAILCGYVYQCPPFRLSYRGLGEPLCFAAFGPLATSAFYFSNSSRSISRYTVEQLVLSMDRQHGRVLRG >cds.KYUSt_chr5.27825 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176127679:176131234:1 gene:KYUSg_chr5.27825 transcript:KYUSt_chr5.27825 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAGAGAIAALLRAHRLPMLHSPFPPRSLAAVRSLRARLPLPRAPASAAPRFSLPNLRLGAASPRSFSTSCRVAPGGGGAVSASEAEKERTRQSELIFLGTGTSEGIPRVSCLTDPSKTCPVCTKAMEPGSPNRRRNTGIMLRHVTPSGTSNILIDAGKFFYHSAIQWFPAFGLREIDAVIITHSHADAIGGLDCLRDWTNNVQPSIPIYVAQRDFEVMKMTHHYLVDTSTVIPGAAVSTLQFNIIKEEPFTVHNLEVIPLPVWHGQDYRSLGFRFGDTCYISDVSDIPEETYGLLEDCELLILDALRPDRSSSTHFGLPRALEEVRKIKPKKTLFTGMMHLMDHEEVNGDLARLMETEGLDIQLSYDGLSIPVRL >cds.KYUSt_chr4.10276 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62242991:62244061:1 gene:KYUSg_chr4.10276 transcript:KYUSt_chr4.10276 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSKTAKVSTGSFEDRLSALPDDLLHHTLGFIEAGDAVRTCVLSRRWRHLWRPIPCLRITDIEAFRSVEKLIRFVDQLLLLRDAGSVLDECELDLRGLLRLDNGLVDLWMRRVLACNATVLRVHLYANLPASQEEPLVILDDQPFLSEHLVRLELSGVYLEERLFDFSSCPALEDLKIADCVLHTDKIFSRSSKHISIRGCQFVWDMYPTQLSAPNLVSLQLHDCTMIPILESMPALETASFNLGHVYEEYCDICDNGLELEKCGCGMIVTYWDDDNDRKRDFSVFLGGYIQKGSAVLPYI >cds.KYUSt_chr3.23752 pep primary_assembly:MPB_Lper_Kyuss_1697:3:146883886:146887576:1 gene:KYUSg_chr3.23752 transcript:KYUSt_chr3.23752 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDNSVDEDGGGVDGEAFWGHFPVPAACRNRDSCPPDLGFAMAAALEENRNWHFGISSIEVRIRMQNRRQEFYKGNRELYAPRGEIPCGAFKRLPKQHQLGFPWVLRLAPPLRRAGAIRVVADAGPALALDRGHGAVEIQFPTEEEGAAGNDEDDEAVDEREKMRRMRISQANKGNTPWNKGRKHIPGLCS >cds.KYUSt_chr2.2328 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14036307:14036789:-1 gene:KYUSg_chr2.2328 transcript:KYUSt_chr2.2328 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADLPKLPYLQCILRETLRLHPTAPLLAPHESSADCTVAGYDIPAGTMLLINVHTMHRDAGMWEEPTRFMPERFEGGKGEGQWMLPFSMGRRRCPGEALSMKVVGLTLGTLVQCFEWGRVSEEEIDMAEGSGLTMHMAIPLEASYWPREEMASVLRAL >cds.KYUSt_chr6.34181 pep primary_assembly:MPB_Lper_Kyuss_1697:6:214117534:214150562:1 gene:KYUSg_chr6.34181 transcript:KYUSt_chr6.34181 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLLREDRSFVIESDDEEDAGDDSDPPSSCANSYTDQWPQSYKQSIDILSRVQSPSLSFLGTLTPSGLSNSFLNSSFQGKTPEINSNLVKPLLCPTTSDEQKQQQQQQQHEDIRKSSQYLLPSRKPSLQQIPQDQKPLVIGHEVSPYQECSYTQGAMNGINILCGVGILSTPYAIKQGGWLGLVTLGAFAVLAWYTGVLLRRCLDSTEGLHSYPDIGHAAFGTTGRIAISIILYVELYACGIEYLILESDNLSKLFPDVHISIGSLTLNSHVFFAILTTLVVMPTTWLRDLTCLSYISAGGVIASILLVICLFWIGVVDNVGFENKGSTLNLPGFPIAIGLYGYCYSGHAVFPNIYFSLKNRNQFPSIMLTCFGFTTILFAAAAVMGYKMFGESTESQFTLNLPRNLVVSKIAIWATVANPITKYALDITPLAMSLEELLPQSQQKYSNIIILRSALVISTLVVALLVPFFG >cds.KYUSt_chr2.1469 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8820277:8820917:-1 gene:KYUSg_chr2.1469 transcript:KYUSt_chr2.1469 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVMASSATTVAPFQGLKSTAGLPVSRRSAASLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSAEALLKQIDFLIRSKWVPCLEFSKVGFIFREFGSTPGYYDGRYWTMWKLPMFGCTDAAQVLKEVDEVKKEYPDAYVRIIGFDNIRQVQCVSFIAFKPPGCEESGKA >cds.KYUSt_chr3.18231 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111970074:111978547:1 gene:KYUSg_chr3.18231 transcript:KYUSt_chr3.18231 gene_biotype:protein_coding transcript_biotype:protein_coding MELFDGHVQFRSGIPIDTRFLRRGCSGDTQMPGTALFAVVEDALVHNGFNPNVVFSPTNDEQQRQQVHGADGVAFIDCKVPLLFEGVGASVGEEEAAREEEDGDEDDEEDGKEKPGRRMPWRMQRISSRLTWLFIAELMESPKRFLGAIFISFFYLTVSLAFADHHESAHPIPVGHGPKLGLSPDFYKSTCPQADEIVVSVLKKAIAKEQRIAASLLRLLFHDCFVQGCDASVLLDDSEGVLSEKNALPNKNSIRGFEVIDEIKAALEGTVHTQSLVLTLSPLLPESGGPYWELPLGRRDSKTAYMTLANKNLPPPNATLHRLIKFFGRQGLDKVDLVALSGSHTIGMARCVSFKQRLYNQHRDNKPDVTLEKRFHYKLASVCPRTGGDNNITPLDFASPPKFDNSYYKLIVEGKGLLNSDQEACRKDVERAFGVLQAQFVIVQYPTLSWSHDQMWEVMQACVIMHNMIIADDRKNHARRHVGPYECEDPLTEVDHELPKDFAYFLAMQVEIRDSNVHDQLQADLVEHLWRIKGLSTNVMAP >cds.KYUSt_chr6.26658 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168974287:168974532:-1 gene:KYUSg_chr6.26658 transcript:KYUSt_chr6.26658 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLAVALLLLVALAYCDGRELNGKEQTLAAARGAGAGAAGVGEAKVLGLPDLPLVGTATGTSTITGPLVVIPGIPAIGP >cds.KYUSt_chr7.39768 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247169574:247171193:-1 gene:KYUSg_chr7.39768 transcript:KYUSt_chr7.39768 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRWTAPPLLARAFSAAAPAARTTVPLAHLAHLPSSLPPPSHCTVTPPVQPWPRRLTPRSFSRLIVRLPTPELAVLAFRHALFHASPPLPPSIPVFAAVLSRLPGASPDLLPPVLSALRAARLPAFSDRAFLPLLRALPPLPSLRLFLSLPSFNSHPSVRSFNALLHSLVAARRLRLAAALFRAAPTKLYITPDLVSCNILLKGLVRVGDLDAALKVLDEMPGWGIVADVVTYTTVLSAYCAKGDLKGAQKLFDDIIASGRRPDVTMYTVLIDGYCRTRKIQDAARIMDEMEASGMQPNEVTYSVVIEACCKEGKSAEACNLTREMLGAGYTPGTPLAAKVVDVLCQDGKAGEAYQMWRWMAKKNVPPDNTITSTLIYWLCKSGMAQEARKLFDELEKGFKPSLLTYNSLISGLCENGELQEAGHVWDDMVERRYEPNAMTYEALIKGFCKIGKPNEGVAVFTEMVTKGCTPSKFIYQVLVDSLSEPMHDDIVGKILETAALSGPDFLDGDSWEIFIRKVLSASDTWNNHLDLVLDT >cds.KYUSt_chr7.28758 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179237731:179246374:1 gene:KYUSg_chr7.28758 transcript:KYUSt_chr7.28758 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSAASAAERCAVGIHASPSSPASRPRSQQLQHHPAPPVRRRLAAAPLAVSRRSLLVPRAVAASTDRASPEVVGRFNLESNSELQVTVNPAPQGAIAEINFEATNTSGSLVLHWGALRPDRGEWLLPSRRPDGTTTFKNQALRTPFLKSGDNSTLRVEIDDPAVEYEAARAELTEELSRGVPLEKLRARLTKKPESSERDATATKELERKVSKQEKKKKKYSVERIQRKNRDITQLLNKHKPGVTKEQVQAAPKQPTVLDLFTKSLQEGDDCDVLSRKLFKIGDKEILAIATKALGKTRVHLATNDVEPLILHWALAKKAGEWAAPPSSIAPSGSALLDKACETSFVESELDGLQYQVVEIELDDDGYKGMPFVLRRGETWIKNNDSDFYLDFKTKVTKKAKDTGDAGKGTAKAFLERIADLEEDAQRSFMHRFNIAADLVDQARDAGLLGIVGLFVWIRFMSTRQLIWNKNYNVKPREISKAQDRFTDDLENMYKSYPQYREILRMILSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQAIIDYIKSDFDISVYWDTLNKNGINKERLLSYDRAIHSEPKFRSDQKEGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLSSGFPELLQFVLDHVEDKSAEPLLEGLLEARAELRPLLIESSERLKDLIFLDIALDSTFRTAVERSYEELNDAAPEKIMYFIGLVLENLALSTDDNEDILYCLKGWNQAMEMSKRKDDQWALYAKACLDRTRLALASKGEQYYNMMQPSAEYLGSLLNVDEWAVDIFTEEIIRGGSAATLSALLNRFDPVLRNVAHLGSWQVISPVEVTGYVVVVDKLLSVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHEGKVFSFKTTSADVTYREITESELMQSSSPNAQVGEAIPSLSLVKKKFLGKYAISAEDFTDEMVGAKSRNIAYLKGKVPSWVGIPTSVAIPFGTFEKVLSDDTNKEVAQNIQMLKGRLDQDDFSALGEMRKTVLDLTAPAQLVTELKEKMLSSGMPWPGDESDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKDDLDSPKVLGYPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDQVVLDYTADALITDSGFRSSILSSIARAGHAIEELYGSPQDVEGVVKDGKIYVVQTRPQM >cds.KYUSt_chr3.13676 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82498002:82503746:-1 gene:KYUSg_chr3.13676 transcript:KYUSt_chr3.13676 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHMVMSAVGIGIGVGVGLGLASAPWAGGGSGGPARAGVTLERVEQELRRLVIDGKDSKVTFDEFPYYLSEQTRVVLTSAAYVHLKQAEISKYTRNLAPASRAILLSGPAELYQQMLAKALAHYFEAKILLLDPTDFLIKLHGKYGTGVSDQPVTRSISETTLEKMSGLLQSFTRAPQKEQSRGGMRRQNSMTEMKLRSSDSTNSLPKLRRNASTSSDLSSLSSQGPSSNSAPLRRASSWTFDEKILVQALYKVLHSVSKKTPIVLYIRDVEKFLHKSPKMYLLFEKLLTKLEGPVLLLGSRIVDMDFDDEDELDDRLSALFPYNIDIKPPENENRLVSWNSQLEEDMKIIQFQDNRNHITEVLAENDLECTDLSSICLSDTMGLSKYIEEIVVSAVSYHLMNNKDPEYRNGKLILSAKSLSHALEIFQENKMCDKDTMKLEKHTDASKIAEKGIAPSAAKLETKPATLLPPAAPAAAAPAPPESKTEPKKAENPPPPAKAPDVPPDNEFEKRIRPEVIPANEIGVSFEDIGALDDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRSDQKILVLAATNRPFDLDEAIIRRFERRIMVGLPSAQNREMIMRNLLSKEKVDEGLDFKEIATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKKKLEQGGTPLDPSKIKEKEKEIVLRPLNMKDLKEAKNQVAASFAAEGSIMGELKQWNELYGEGGSRKKEQLTYFL >cds.KYUSt_chr3.7268 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42049570:42049941:1 gene:KYUSg_chr3.7268 transcript:KYUSt_chr3.7268 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRNHAILFVGVALLAAAACAAAESCDTTEIADQLDSFCRPDEEGYRRHGTACCEAVRSAVETSLTVDPLCICHLEEEPAFIMSDLTIQELPDIYVACGGPNSRAVQLVADRCSGTGQPCF >cds.KYUSt_chr6.26945 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170864440:170866208:1 gene:KYUSg_chr6.26945 transcript:KYUSt_chr6.26945 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALALLLPVLLQASHALATAAGNAHPGYTGADAGTCGPARHGPGLEEYGGGRIVDITHAYRPVMPGATVQPLLRLKESMEEGSDYNLSDLRMECHTGTHVDAPGHMNQAHFAAGLDVDTLDLDVLNEDGAQWLVDNTDIKLVGIDYISVASFDHLISAHVAFFRNAAPKGLDTALNIKRCGTEYIRNLQEQHILDQVPSFYRIDPEQIEEKAIGCSYTADDHRTQATAVATSTGD >cds.KYUSt_chr5.20165 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131082848:131096608:-1 gene:KYUSg_chr5.20165 transcript:KYUSt_chr5.20165 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGARWMQLSMANQMDAKPAANGCRRLAGGRAEHRRMDVHDAATLQNARETVDGSERTRSSPGERGNDTGRRIFMKIEYLMVNSWKECLETTLELTNMKHVEERRLLLDDATDLAVTVLFYTDGHRSPLLPLPRLRRRRVVPSWTNTRLVTYLLWEAPGCLVSLVSGGFLDIVVVKESASRDNHLSDTEQTSDKIQLNITGKSSSSSLPGATVRRTELVDGTTVVFSFSALKVRSGGCSWTTPRTSPSPSSSTPMVTARLYFLFRDSAVAVLFRLGPTPGCGTSVYRVLKRVIRPSVCFDPRKSWSQIAKSASEIRPDFDTGSTWLGGFLDIVVVKESASRDNHLSDTEQTSDKIQLNITGKSSSSSLPGATVRRTELVDGTTVVFSFSALKVRSGGCSWTTPRTSPSPSSSTPMVTARLYFLFRDSAVAVLFRLGPTPG >cds.KYUSt_chr2.34266 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211690434:211692299:1 gene:KYUSg_chr2.34266 transcript:KYUSt_chr2.34266 gene_biotype:protein_coding transcript_biotype:protein_coding MRASIDKCRADLRTHADGRNDKFDGRWREMLANQGVRIASSKTTAAAKKRNTDLAFLMGGGDMEPMDEETRNWYRGHRNDILRATPAGPSSSPPAPTSSRLTIYLADRGPLRRPSPLVHHPPPQPLRPRRVMRKPESRALSPERQSHRGMEDASSDFSDWELLSAASAAGGDDDDHDAVLVSGQGGHVLYDHFALHPSSSEAASEEEVESGFGSADRLDLISPEPPVDLAAAADSTAQLQLQLGGADVTAHGLVISASFTCGAATWTTARDEEPEEALGAAEIDAAARGRGEPDSVPAHHGRDGILDSDAAATADGVTLQLEPSENSGVQLEDPSVKLEDGGPDATTESSGIEAAATGDDGHAAPEEEPEQGKDGSAAPGCGESEGDGKNCSSPLAAAAAPVAGEGAERQLVVWWRLPFKLIHYCAWKVRPVWSISIAAAFLGIVVLGRRMYRMRRKTTGLPQIKIALDDKVSFSLTAVIVVSWADLCFA >cds.KYUSt_chr3.21069 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129242745:129243942:1 gene:KYUSg_chr3.21069 transcript:KYUSt_chr3.21069 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSEHQGKGYGDQHLRQQFLGESNQQINDHMMMAGGSDVFATPCPYRPTIQSIRSDMIQRSSYNPYDLESKHAIHGSTKPSPLHTTKIVEKCTLKLVDEYKHMLCQTNEPMSTFLQYITNFRDDCFIGEGGFELQILDVVRVPRLLDCTSGRMQNMGRCLARRHHGEVPVKKRASSPPWGRQRRDTPARLEALRFSGGSSFTGAAVASLRP >cds.KYUSt_chr2.45087 pep primary_assembly:MPB_Lper_Kyuss_1697:2:281026862:281027863:-1 gene:KYUSg_chr2.45087 transcript:KYUSt_chr2.45087 gene_biotype:protein_coding transcript_biotype:protein_coding MMERAEDLGLSLSLSSSLAPRTHHVAMLLHAPERRFLEMPLLPAKRSEVPGADDSSLRGGSDDEDGGCGVDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKAALAQQLGLRSRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPHRYMHMSPPTTLTMCPSCERVSNNNSSNSTAAATDRRNAVDGGAICHRPIAIRPQQS >cds.KYUSt_chr6.28516 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180641336:180641719:-1 gene:KYUSg_chr6.28516 transcript:KYUSt_chr6.28516 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMGYFRAPKLGGRKSSSPERDGQSLRSALLVDGETASVPKGYFAVYVGAEARRFVVPMSLLCQPAFRALMELAAEEFGFGQAGGLRIPCREEDFVATVAELLPAAESRQRRWSAAGGRRSTSVNW >cds.KYUSt_chr5.29544 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187313122:187315360:-1 gene:KYUSg_chr5.29544 transcript:KYUSt_chr5.29544 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRAKVREIFMPALSSTMTEGRIVSWTTAEGDRVSKGDPVVVVESDKADMDVETFHDGIIAAVLVPAGGTAPVGAPIALLAESEEDVALAQARAQDLSKAQGEEPPPPHAAAVAPPTVSPAPAPVAAPTKSIATPHAKKLAKEHRVDISKVAGTGLNGRITAADVEAAAGIQPKLKAAPPPAPAVYSAPPAVVLPPVPGATVVPFTSMQSAVSRNMVESLSVPTFRVGYAITTDKLDALYEKVKLKGVTKTLLLAKAVAMALAQHPIVNASCRDGKSFSYNSSINIAVAVAIEGGLLTPVLEDVDKVDIYLLAQKWRALLKKTRLKQLQPNEYSSGTFSLSNLGMFGVDRFDAILPPGQGAIMAVGASRPTVKADKDGFFSIKNEMLVNVTADHRIIYGADLAAFLQTFAKIVEDPESLTL >cds.KYUSt_chr6.7350 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44686392:44689453:-1 gene:KYUSg_chr6.7350 transcript:KYUSt_chr6.7350 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPSLPFAVTSTLPLCSRLAAVRRRSSVAAATGMAGVSAASPAGAQIGSFLSKKPYAPPSWASHLSLAPSHIFSLGQFPTPIHKWNLPNLPEGTEVWIKRDDLSGMQLSGNKVRKLEFLLSDAVAQGADCVITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDKDPGLVGNLLVERLLGAHIDLVSKEEYGKIGSVALADVLKKRLLEEGRKPYVIPVGGSNSLGTWGYIEAVREIEQQIQLSGDVQFDDIAVACGSGGTIAGLALGSKLSSLKAKVHAFSVCDDPEYFYDYVQGLIDGLQSGLDSHDIVTIEDAKGLGYAMNTTEELKFVKDIAAATGIVLDPVYSGKGVYGMLKDMSSNPAKWKGRKVLFIHTGGLLGLYDKVDQLSSLAGSWRRMDLEESLPRKDGTGKMF >cds.KYUSt_chr2.36902 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228139697:228143356:1 gene:KYUSg_chr2.36902 transcript:KYUSt_chr2.36902 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRLGRVAWACPAVLLLLLQLAGASHVVYETSLLETEAAAATVPPSIFELSTGYHFRPQKNWINDPNAPLYYKGWYHLFFQYNPKGAVWGNIVWAHSVSRDLINWVALETALAPSIDADKYGCWSGSATIMPDGTPVIMYTGVSRPDVNYEVQNVAFPKNSSDPLLREWVKPAHNPVIVPEGGINATQFRDPTTAWYADGHWRILVGALSGASRGVAYVYRSRDFRRWTRVRKPLHSAPTGMWECPDFYPVTVDGKESGVDTSVVSSSRVKHVLKNSLDLRRYDYYTVGTYDRLKERYVPDNPAGDKHHLRYDYGNFYASKTFYDPSKRRRILWGWANESDTAVDDVAKGWAGIQAIPRKVWLDPSGRQLMQWPVEEVEALRGKKPVSLKDLVVKRGQHVEVTGLQTAQADVEVSFEVPSLEGAEALDPALANDAQKLCGVKGADVEGGVGPFGLWVLASSKLEERTAVFFRVFKAAGNVNSTKPLVLMCSDPTKSSLNKNLYHPTFAGFVDIDMAKGKISLRSLIDRSVVESFGAGGRTCILSRIYPSLAIGRNAHLHVFNNGKADIKVSRLTAWEMKKPALMNGA >cds.KYUSt_chr6.969 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6150968:6153242:-1 gene:KYUSg_chr6.969 transcript:KYUSt_chr6.969 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGRCCDRRFLRLHTLQLRRQRPRLFPDYVTCFSTPTTVVETRLFPDYTTCSSTPTPVVETSSTSLLRHGAHFVMFPAPRMPGTGNTGSAFVPDTFPSLANSRRTSRLHRLQQRLLRHRLYDCLDRVTDFLYVYLVLAKPKYAFVPDVRLVLAKLGPHLVLDGSDCIDFGIDNLHDCLDASPSLSSRTTRLRLHRHRHPPTTSTTAIPRAAPSTKIGHGEAKGRAVDGLLDALAKDEKSVLSALGRANVAALVQLLTAPAPAVREKAATVICQLTEYGGGVCEALLVPRPPARSRTSPAVPEALHALADEGIVRVMVGLLDHGAVLGSKEHAADCLENLTSAGDGFRLAVASDGGLRSLLLHLDAPSPRESAVRALGNIVCAVAPDTLVSLGALPRLAHVLRVGSPGAQARVDDGGAGGEAEEELRASTAAAPVRIRRPELRFVAGPHPPLIFLYYMWEPAYVLKWA >cds.KYUSt_chr6.2004 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11909786:11913966:1 gene:KYUSg_chr6.2004 transcript:KYUSt_chr6.2004 gene_biotype:protein_coding transcript_biotype:protein_coding MEATASASASAPHLLHCGGFGRTRVAGLVSPLPRRSSRRRLRRVLAVATDPRPPPPASRQRAAAITSTRFGDVSQEIKRVRKQMEEDEQLASLMRGLRGSNLRDDQFADKDVTMRLVEVTNTEGLPLVYSPEIISAYWGKRPGAVATRVVQLLSVAGGFISGIISDLIGDKIKENEVTRAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDIAMALLEEELGKPWQEIYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLKRFPQVALDVVGLVDEWAARFFEELDYINEGENGLRFAEMMREDLPQVVVPKTYPKYTSRKVLTTGWVDGEKLSQSTEDDVGSLVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFITAAKSGGGEDMQGNMAGLGAIGFQPTTGLVPAFPVATSQPENPIQARAALGFLLSDRGDFFREFILDEIVKAIDAVSREQLLQIAGSFGIRNPTPVFGMVPVRSSALLPTITEEDRIILNNVEKVVKFLTAGTASPTANGDVNIVSVVQELLPILPSISSKILPDILSRLSSRVFARVIREAFL >cds.KYUSt_scaffold_1259.535 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3600081:3604080:-1 gene:KYUSg_scaffold_1259.535 transcript:KYUSt_scaffold_1259.535 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLCQSLLVSVVVVVLLQILKLSLRPRARTPPGPWKLPVIGSMHHLLNLLPHRALRDLAAVHGPLMMLQLGETPLVVVSSREMAREVLRTHDASFATRPRLLAGEIVLYDCADILFSPSGTYWRKLRQLCTAEILSPSRVLSFRHIREEEVRSQVEDIHAAGPSTPVDVTMTFYGLAIGIVSRASFGKRQRKKSAQEFLSAIKSGVALASGFKIPDLFPTLRSVLATATGMRRTLEDVHRTVDSTMNEVLEERKAVRASCGASASAVEENLVDVLIGLQEKGGLGFSLRTNSIKGVILDMFVAGTGTLASSLDWGMAELMRNRRVMDKLQGEIRQAFRGKAAISESDIEASGGLPYLKLVIKENLRLHPPVPLLVPRESVEACKVDGYMIPAGSRIIVNAWAIGRDSRYWEDAEEFRPERFEDCAVDFMGSDYEFLPFGAGRRMCPGISYAVPVLQMALVQLCYHFDWSLPEGVAEVDMTEADGLGLRRKSPLRLCATPFVSESKHD >cds.KYUSt_chr1.41212 pep primary_assembly:MPB_Lper_Kyuss_1697:1:252821330:252824922:-1 gene:KYUSg_chr1.41212 transcript:KYUSt_chr1.41212 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMQFSSVLPLEGKACVCPVRSANNGCERLKVGDSSSLRHEMALRRKCNGTRGGGAANGAQCVLTSDASPDTLVVRSSFRRNYADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFRGTADAVRKFIWVLEDYYKHKSIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASEYGLVKFDSSGRVIQFSEKPKGADLEAMKVDTSFLNFAIDDPAKNPYIASMGVYVFKREVLLNLLKSRYTELHDFGSEILPRALHDHNVQAYVFTDYWEDIGTIRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKEAIISHGCFLRECTIEHSIIGVRSRLNSGSVLKNAMMMGADLYETEDEILGLLSEGKVPIGVGENSKLSNCIIDMNARIGRDVVIANSEGVQEADRPEEGYYIRSGIVVILKNATVKDGTVV >cds.KYUSt_chr4.49815 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308545165:308551885:1 gene:KYUSg_chr4.49815 transcript:KYUSt_chr4.49815 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRSAARPPRPRCLNPARKFVRWFPPGMIDMISVIEELSGLTTRELGEMLKESESFVLQSKADDGGPKQVDMEKLVSSLPLHLLAVCLDLGRGSDLTYVLRGMRFLHSLSELATRHTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKENHLGASPFIHTSLVAASLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHEDMRILSARLLTYDTKAFSAGPFDSQLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELCRNGGILSLSLTILKLGVPECLKGSMDIASSISRLKAKILSILLQLCEAESISYLDEVATLEKSMELGQTLALEVLDLLKTAFGRKQKPAASSHHKNYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILATPHDDFVSSWCSVNLPVMEDDANLDYDPFGAAELALAAASNMLTEAKANYSCPFRSISMPSIAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQKYLLSESPRPSLDHPASNEATTVCRNLGSLSHYAKSLIPNNLLNEEDVQLLSEFAYKLQLWCKSQVGQRTLQVAKSDTSSQMKEDQQLVQQPLPTSANITSVPDSNTDNPPQVVKNIEESTATPSKQEGNARDETPRNRASVNGGLLQNSVGQNLIHLGVARTAMPCYPGASAATSMEVKRCKSADHFRTPETTKGSGLWDDDDERRPKRKKRTIMNDEQVNEIENALVDEPEMHKSATSLQAWAEKLSGQGSEITSSQLKNWLNNRKAKLARIAKERGGPYDGENADKPSTPASSSPSSPSGDSSESAGEESYLPPSRVLRHIQGARAMTPDTSEPITQAELNQNIMTNRPFTRSFSFEPGRLVLLIDNEGNEIGRGEIFQVEGRAQGKSLLESHICIIDVTELKIEKWRELPHPSEASGRTFQEAESMHGGVMRVAWDVVRLAPVLL >cds.KYUSt_chr6.2223 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13054718:13061108:1 gene:KYUSg_chr6.2223 transcript:KYUSt_chr6.2223 gene_biotype:protein_coding transcript_biotype:protein_coding FVTCHNWSKMDPKKSIDDKFSKLHPSLLVDTRIGIVGAGPSGLSAAYALAKLGYCNVTLFEKCQTVSGMCQSIDIDGKTYDLGGQVIAANSAPTITHLAKEVGAEFEEMDSHKLGLIDSQTGKARDLEVAEDYVSMVSLTLKLQDEANKSGRVGIHALSGLASDSTLQFLKQHGINSVPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSMWEKLSHSLPFEVLCDTEVLRVKRHNCGASVTIKTNNGDEQVMEFEKIIFSGALGFKNGNTYRSSSLTDGEKEVVELNDLERELFSKVQTVDYYTTVVKVNGFEHMPKGFYYFEEFMEDPATIGQPVAMQKFFDDTDVFLFWSYGNSADIKGSFVAKCVTDIVSCMGGTAQNVLLQRRFKYFPHVSSEDMKDGFYERFESQLQGFQNTYYVGGLLAFELTERNASYSIETVCKHFAIDVEQTVTPYVKRLFPLSRNGNPSPPRDLGELEGVQFPDLPSLDGYLEYWGIHRVTKKKAIYTWINEEGKIMNQRTYQELHENASHIAHKLLTSTKPVIKPGDRIILIHLPGLEFIDAFFGCIRAGVIPVPVIPPDPMQRGGQALLKVDNVSKVCSAVAILSTSPYHSAVRVGYVKNILTLAKGQKCSAQWPDLPWIHTDSWIKNFRRNPDIFNSDNVLSMRTKPQPSDLCFLQFTSGSTGDAKGVMITHGALIHNVKMMKKVYRSTSKTVLISWLPQYHDMGLIGGIFTALVSGGTSILFSPMAFIRNPLLWLQTISDNNGTHSAGPNFAFELVIRRLEAEKGKVYDLSSMIFLMIAAEPVRQKTVKRFIELTQPFGFLEGALAPGYGMAENSVYICCAFGECKPVLIDWQGRVCCGYVEQDNIDIDIRIVDADSLTEHQDDGAEGEIWISSPSSGVGYWGNEEMSQKTFCNQLRNHPNKMFTRTGDLGWIIDDKLFITGRIKDLIIVAGRNIYSADVEKTVENSSEVLRPGCCAVVGVPEDVLTQKGISIPDSSDQVGLVVITEVREGKTVSEEIIDNIKARVAEEHGVTVASVNIIKPRTIPKTTSGKIRRFECIKQFVDNNLCLANGTHPSKMSVFWSLPTGTCTERRGSFLKQTVDSTIRPRYGSNVKSPKEITEFLTQIVSDQSGIPKDKISPTESLPSYGFDSIAVVRAAQKLSDYLGTPVGAIDIFAARCISELASFLENLLAKSQPLLASDVSCSTEDDESFVVSTDFTTNLSVLATGILQLLALTYVCFMLLLPAYLASSAYMSMLYPVNLVKSSAMKYLSSLVMAPIAWICYVLLTSLSLSILGKSFLQPNYALAPDVSIWSVDFVKWWALNKAQGLATKMLAVHLKGTIFLNYWFKMQGARIGSSVIIDTVDITDPSLLVVEDGAVIAEGVLIQGHEVRNEVLSFRRIRIGREASIGPYAVLQKGTIVEDGAMVLPLQKTEPGKSRYQTKRASSDMKEETRKATMLLEHLVCIYAVAFLGALSSATVYMLYTHFSATEASLQHFSFACIAGAFHWLPAVMSSYPVIVQEMPTSALTFALSIAFAYLSYGIVLSLLTSIVNTALAPRTGIKQNVRAGLIQRRINIAVHLRFAKMLAGTEAFCMYLRLLGAKIGRHCSIRSIDPVANPELMSIGDGVHLGDFCHIVPGFYSSKGFTGAEIKVQENTIVGSGSLLLPGSVLQGNVILGALSVAPENSLLHRGGIYIGAKYLTLVKNTLLAEDERIAVMDPVYKKIVGNLSANLAISTMNVKSRYFHRIGVSGRGVLKMYQDMTCLPKHKLFGAGKSFPVIVRHSNSLSADDDARLDARGAAVRILSDDGDEVPLLDLTLKSGKSFYARTIADFATWLVCGLPAREQHVKHAPHIREAVWTSLRDTNSYTIVVVVNEQMP >cds.KYUSt_chr1.31645 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191900311:191901504:-1 gene:KYUSg_chr1.31645 transcript:KYUSt_chr1.31645 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIVVDPSSGVPELHCYNQGAELVVGNAGVELWSLDWGLSEGSLKRIQLPHAQEVALSLQLVSFTCGGFAVVWATNSLIGDGNVGAMLVRMWSELVRTGSISGGAPTHDRSVFGPRDPPTYGPSVAGMFMPWDHETEVNALTAEESFVERLYYVEERDITMLREKASSIGSGVPTRVQALSAYLWMALAGIVGTSKLPHVEERRCRMLWWIDGRQRFSSPELRASLRDYIGTVTSYVVGDAAVGAVVGKPLAGVADMVREAITSVDYDELYQQMVDWMEVHKPAKFLERSTFGLGSPTLAQTFWSSFPGDTDFGFGEAALAMPVHASLRRLCSGFICISAKPADPGTWILSAFIWPRLAAALESDEQRIFKPLTAEYLGLTRGKYRLRAATRPRL >cds.KYUSt_chr5.28418 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179976375:179977565:-1 gene:KYUSg_chr5.28418 transcript:KYUSt_chr5.28418 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVAVKKMDLPLSKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKNRFVVYEFMPKGNLQDILNGIGEMRLDWGKRLRIALGAARGLAYLHSSTAVGVPVVHRDFKSSNILLTEHFEAKISDFGLAKLMPQDLDLYATTRVLGTFGYFDPEYALTGKLTLESDVYAFGVVLLELLTGRRAIDLSQGPQDQNLIVKIHQMVGDRKKLRKVVDRDMGKGSYTMESVSMFAALAARCVCFESAGRPAMKDCVKELQLIMYANMKI >cds.KYUSt_chr3.4756 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27024333:27025187:1 gene:KYUSg_chr3.4756 transcript:KYUSt_chr3.4756 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNPWLHKTQTHPGRIQTGEPPPNRNHHHPPPTMPPASSVVTTASSASSSDISLPSSPSPSPQHSPDPYAFSSLDEINAAPPAKKKKPRNWSGTPGSARAWPTTDEAVLLEAVAAYKEQHGRLPSRADLPAALAGRLPHISAEQAGKRLNSLRKRYDDSVRRLRRGTVPVTDDDVRVFRLSKRIWEGVPKRQHRRPRAAPHHERRPFAELQEMYPCLAAEVEAIDARCGMPGFMRTAFERIGDEQAAELEAKVKRQRLAQLKADVRRAELKSLVLRTIIAEME >cds.KYUSt_chr2.50361 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314902712:314903056:-1 gene:KYUSg_chr2.50361 transcript:KYUSt_chr2.50361 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFFFEADTVGVEPGQRHAPPLDLRACALCAKPLARNSDIFMYMGDTPFCSDDCRDEQINHDAALARQQASARRKQQQQAQAQAQRSGREAPAPAPVSAAAVSTKANVSVAS >cds.KYUSt_chr2.53558 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334107320:334109213:1 gene:KYUSg_chr2.53558 transcript:KYUSt_chr2.53558 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQSSSSGALPVVDLAPFFSTAEDAAAARARATEAVREACMDTGFFRIVNHGVPREFMARVLELSAAYFALPDEEKSKVLPAEGSSSTPLPAGYLRPPADSPDKNEYLLVFNPELVFNMYLAEPAGFRDVIEECYAKLTNLGLLIQEILNECMGLPPGFLADYNNDRNFDFLSLIRYFPATSAEDTNGVSPHKDNTLITFVLQDDVGGLEILGSDGFVSVEPVEGTIIVNIGDIVQVLSNKKFKSPTHRVVRKLGTHRHSLAFFVNLHGDKWVEPLPQFAANVGEAPRYRGFKYGDYMQLRLTNTTHPPSNPEDAAGITHYAI >cds.KYUSt_chr4.33664 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206547671:206548957:1 gene:KYUSg_chr4.33664 transcript:KYUSt_chr4.33664 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLTPPHATVTSAESDNALDDFDDASNVLDKSGSLGPLLDTTISRAKQIQNAENTITPVSSPQSRGNPSDDLDETYIELDDDFIEECHATRDASAMKSLLARRVLKGNPTIDSVVVFHKHARCVCTAAWMPWPSIHSPPPLFSQRRPHRTLDLLHIGAAALCSNSSAPPPARAAAARPPLVPRSSFSALADASPSCVRLCPDLIHAECLAAGSLRATLSQLVLNRCVPAPPSPSCTTSSPAAGLAIRRHKFCTTPSQHPPPV >cds.KYUSt_chr5.3770 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24355262:24356341:-1 gene:KYUSg_chr5.3770 transcript:KYUSt_chr5.3770 gene_biotype:protein_coding transcript_biotype:protein_coding MASALDRFQELKAFDDTKSGVKGLVDAGISTVPAIFHHPPGSLAHNNTHDHHFGIPVIDLAGAWIPSRRAKLVSEVKSAAETVGFFQAVNHGMPEAAMSQMLAAVRSFHEEPVEAKRLYYTRDADRRVRYQTNFDLFQSPAANWRDTLYFEMEPNGPTLEEIPPACRGVVTDYTKSVRTLGDTVLELLSEALGLHRGYLEHATGGLEGLKITAHYYPACPEPHLTMGTTRHSDPSFFTVLLQDGIGGLQVIVEDEKLQPIWVDVPFVAGALIVNIGDFLQLISNDRFKSVEHRVVSKSVGPRVSVACFFQSHGAAVYSPIIPEGDPSPQLYRSTTVEEMLQHFREKGLDGSSTLQHFRV >cds.KYUSt_chr5.21829 pep primary_assembly:MPB_Lper_Kyuss_1697:5:142585937:142591007:-1 gene:KYUSg_chr5.21829 transcript:KYUSt_chr5.21829 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAKSYNIILATLRLRQLLIAKNRPVADFPGRFHSDSLFKMPNAENKLFRMTSADANIAALHKEWDDARCPICMDHPHNAVLLLCSCHDKGCRSYICDTSYRHSNCLDRYRKMKVNHMDSSSQPSSSLPRDTSNQTVEQRSRFGLNRESSRLLIDVPEFREDLGHQHVIHSSAVISGQHEATNHNQEPNLTLEAHHGEGSEPAESAEASSFNQLICPLCRGAVKCWEIIKDARQYLDEKPRACSREGCAFSGTYGALRRHARRVHPKTRPADVDPSRRRAWHRLENQREYGDILSAIGSAMPGAAVFGDYAIEGGDMLLHDREGSGPSERSGSLLTTLLLFHMMSSSPVRSGDELRVASRGLRRQRRRYLWGENLLGIPYDDDEEDNLDEEVQRPRSRRRFRRSRSEERH >cds.KYUSt_chr6.21668 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136718316:136718671:1 gene:KYUSg_chr6.21668 transcript:KYUSt_chr6.21668 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAPRTAPATVKTRLCKNHDMPEGCKWGDRCHFAHGEGELGKPMLFASFKTRMCVRFDATGKSKISAS >cds.KYUSt_chr1.8693 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53313491:53314084:1 gene:KYUSg_chr1.8693 transcript:KYUSt_chr1.8693 gene_biotype:protein_coding transcript_biotype:protein_coding MGPATARLGVLIAVALLFAGFAPSATARGRGKGGHGHGHGHGGPAHGGPVNPLVAGICLHTPFPEVCKLTAGRHAAKYHVIDNQAVLNMQVDAFAKRTAAARKFVARASRKGSPAQTQALTFCDTMYMNTQDTIGAAQRAITFKDKGTAKIMLQLAVQDFQSCDHPFQQASIPNPMLKIDGELNQMANNCMQLASMM >cds.KYUSt_chr4.18836 pep primary_assembly:MPB_Lper_Kyuss_1697:4:118219081:118220508:1 gene:KYUSg_chr4.18836 transcript:KYUSt_chr4.18836 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNDGQGHQIAEEHVQAEEKSQGQQQEQKQEHYLAKDDGGVEVEKQRRKTTADADGLIAGLHHQEGLQQARNLREDVQQARNQPEAKWEFQKAIDQTQQKQQDQLAARQLRQQQERRPGRGRQNGIGHGVRNPGLPVDRTAHPIHAGDAAPKTKEKEVAVKPAMRTARAPAKMAGEQEEKVSRFI >cds.KYUSt_chr3.47477 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297815009:297818206:1 gene:KYUSg_chr3.47477 transcript:KYUSt_chr3.47477 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGWPFFLLLLFSSCVSNHLVNGERVFLFPQSHKVSSIVSKRYRTAYHFQPPKNWINDPNGPMYYNGIYHEFYQYNPNGSLWGNIIWGHSVSTDLINWIPVEPAIERDIPSDINGCWTGSATIISGDQPIIIYTGADKENRQLQNIVLPKNKSDPYLREWTKAGNNPVVQPVGPGLNASQFRDPTTGWIGPDGLWRIAVGAELNGYGAALLYKSQDFLNWTRADHPLYSSNASSMWECPDFFAVLPGNSGGLDLSAEIPNGAKHVLKMSLDSCDKYMIGVYDLKRDTFMPDSVLDDRRLWSRIDHGNFYASKSFFDSKKGRRIIWGWTNETDSSSDDVAKGWAGIHAIPRTIWLDSYGKQLLQWPVEEIKSLRRNKISHQGLELKKGDLFEIKGTDTSQADVEVDFELTSIDNADPFDPSWLLDVEKHCREAGASVQGGIGPFGLVVLASDNMEEHTAVHFRVYKSQQSYMILMCSDLRRSSLRPGMYTPAYGGFFEFDLQKERKISLRTLIDRSAVESFGGGGRVCIMARVYPVVLVDDGGAHMYAFNNGSTTVRVPQLRAWSMSRAEHK >cds.KYUSt_chr4.39459 pep primary_assembly:MPB_Lper_Kyuss_1697:4:243491922:243497534:1 gene:KYUSg_chr4.39459 transcript:KYUSt_chr4.39459 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWFTPAYFKKTQVSKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFNVLLDSYCVFALDGTKLLLMLDDRGLTAAGSLAANASSRACQWRSSRFELGGLPALEDGRLFLALLTGGLLILIWARWRAAGPWSGCSCMQAAVAVGRRALTGVPPGTTSGAPFAEVGDEVGQGSGRSGDGLRRAPQPPRRGAGALFRARKRERDLCCGKRKKEKRALFRSWEKEDGLEKGCFTSFGLRL >cds.KYUSt_chr1.16345 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94996155:94996631:-1 gene:KYUSg_chr1.16345 transcript:KYUSt_chr1.16345 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTAPLRAKKLPMVRRHSLHNLLWAMAAIACKRPDTRRYISKTKRGEERRNGGPAATHFFLELDFPIPTSSSSPAPSWTPALVADGAAAPSKFGDRRSSMLQPSSLPPNPSWLDPSSLATASKTEPSAPIPSASVVPAWAEMLDSPPYAAPGEGIS >cds.KYUSt_chr5.21022 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136721439:136723217:-1 gene:KYUSg_chr5.21022 transcript:KYUSt_chr5.21022 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQLLRYLRPRRFAQATRRMTAEIAMAARERDRDRELLIIPVTSTEPVGISAGGGDDSEPTTPVMIGSPSARGHHHPSTGIEAFSRVIRSWTWKKFMTGCVILLPITITFYTTWWFIRFVDGFFSPIYIHLGINVFGLGFATSITFIFLVGVFMSSWLGASLLGLGEFFIKKMPLVRHIYSASKQISAAISPVIIRHPRIGEYALGFITSTVTLRSANGDQELTCVYVPTNHLYLGDIFLMSRADVIIPDLSVREAIEIVLSGGMTVPQIISAVEGVVGPGKHGSVVKDP >cds.KYUSt_chr6.33018 pep primary_assembly:MPB_Lper_Kyuss_1697:6:207929042:207929599:1 gene:KYUSg_chr6.33018 transcript:KYUSt_chr6.33018 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFSGETLGAIGQATSGRFWILRQDGDEEVDSDAGESCASLDDLHGFSMDPNYLAEVSPVSGRDLPSISSSRGSKRIEKRRLQREAAITLCGQVSSSSSLDSPSRSTTTWTKARLARSKRPVLEPSTFCLDDELESAAWTVVQHRKKKEVHRWGRIRRNGRRRSSPAKVIPSGYVRFSNSLCSA >cds.KYUSt_chr3.42417 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268226742:268230424:-1 gene:KYUSg_chr3.42417 transcript:KYUSt_chr3.42417 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYLTALTTLFSYGLLFAFGHFRDFFRRILDAGKSSNLKGYAPICLGYEDFYTRRLYLRIQDCFNRPIASAPDAWFDVVERTSNDGNKTLKCTTNTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSVSTCSSRVDGGNTKLHTELEELVARFVGKPAAILFGMGYVTNSAIIPILIGKGGLIVSDSLNHISIVNGARGSGATVQVFQHNNPAHLEDVLREQIAGGQPRTHRPWKKIIVIIEGIYSMEGELCNLPEIMAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHACPAHIYATSMSPPAVQQVISAIKVVLGEDGSNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISASQTREDLIKGLQVISKVGDLVGIKYFPVEQEKPASVDKLKKLQ >cds.KYUSt_chr4.23723 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149482125:149484678:-1 gene:KYUSg_chr4.23723 transcript:KYUSt_chr4.23723 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAQDKATGQLVALKKTRLEMDEEGIPPTALREISLLRLLSSSMYVVRLLAVEQATKGGQAGGKAVLYLVFEFLDTDLKKFVDAYRRGPAPKPLPTEVVKSFLYQLCKGIAHCHGHGVLHRDLKPQNILVDKEKMILKIADLGLSRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDIWSIGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPTEENWPGVTHLRDWHEYPGWKSQNLTRVVPTLEPEGVDLLTKMLQFDPASRISAKAAMEHPYFDSLDKSQF >cds.KYUSt_chr5.42049 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265087289:265088662:-1 gene:KYUSg_chr5.42049 transcript:KYUSt_chr5.42049 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPALACCTAVLTLVIFLPLSASDDRLVAGMPLSMGTAIISDGGAFALGFFNPSATTTPGRLYLAIWYNGIPEITTVWVANRETPATTSTPILSLTNTSDLVLSDGSGDKRVLWTTSVAAEQSSSPSTAVLLNTGNLVIRSSNGTMLWQSFDHHADTLLPGMNLRMKYSPREGGRLVSWKGPGDPSPGRFSYGIDPNTLLQVFLWDGPRPAARLGPWTGYVVKSERRYQVANSSTDIIVYMAVVDNDDEIYITYSLSDGAPSTRYVLTYSGEFQLQTWSSISMAWAVLETWPSSECARYGYCGPYGYCDETATPAPTCRCLDGFDPASTVEWSSGRFSAGCTRKEALRGCRDGFLAMPGMKSPDGFMLVGGNSSTMEDCSAGCGRNCSCVAYAYANLGNGRSRDISRCLVWTGELVDMVKIGAVPGTDTLFIRMASLDAGDGTKSLPLYYDFFIGN >cds.KYUSt_chr1.33083 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200795572:200797358:-1 gene:KYUSg_chr1.33083 transcript:KYUSt_chr1.33083 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDHANNHHHLQLAKYGGAGGNGNSIAGPGPGVSATGVARASRKNKPKKIPQRGLGVAQLEKLRIEEQKKMGGSGTATPSAHAGGLCQLPTLHHLPPPAPPLSALSRPAADGGAHCGFRPVLWGPVDPTKHPYKRSLCPQPPLPMVTTGLSLTAPSSHPTEPPSNQMYRSSTSSMAAPTEEEIRETAGVDRSWPFMFEGMTSFRTTNKALLPPPPPVPTFAIRTASDSGLADASPDLSRYEFRATNYFSGLTDEKFDQQAASSSSQPFYTFLPVGPVRRERAPSECKTDVSEGSVDLELKLWQG >cds.KYUSt_chr5.7154 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44642073:44642489:1 gene:KYUSg_chr5.7154 transcript:KYUSt_chr5.7154 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEQHEHGAPPPQIPSHSVVVDIGPDGEAQKVGESAHVEVARVTADHKVKVEVAPASSRPRAPRRRRWPSMAYARVGLPSKAWRNGISWGLRRSSRYGGARGRAAAAHRPRTCGLGNACVALFPCGAALPPRAEKDR >cds.KYUSt_chr5.40774 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257427219:257427988:-1 gene:KYUSg_chr5.40774 transcript:KYUSt_chr5.40774 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWIRPEVYPLFATTAVAVGICAMQLVRNITTNPEVRVTKQNRAAGVLDNHDEGKRYSQHGVRRFWLSKRRDYMQALDNVPTDPKHE >cds.KYUSt_chr3.5306 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30142109:30143011:1 gene:KYUSg_chr3.5306 transcript:KYUSt_chr3.5306 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHAPAELRLRPLLLFMALLILTGASPAQPQPPDDESCAPAACGNLTIKYPFWLIGRHTSSCGFPTFGVTCSDDPTGATPPTLSGSYLRLIDIRYGDRTVVAFHARLASGGACRAMRFNVSTSLALSQLAVSAANWELFFRANCTRAPPPPAEALRLDCPGAGTWSVYAGRRYQPGDGGIAPAAPPAPPAEPAGCSHTVVPVLPGSELRTWDDYPGIVGRGFMLEWTVPGDCAACNATGGRCRYEDGANAFGCLCPGGSVQPATCGELLSLTLLLPLRTIHFVLTIPRAHNRLAKAAIN >cds.KYUSt_chr5.13904 pep primary_assembly:MPB_Lper_Kyuss_1697:5:90405121:90408791:1 gene:KYUSg_chr5.13904 transcript:KYUSt_chr5.13904 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTCLHVDAVFQDAAVLHYDVFQDTAALHLPSGGKSTAPACLLHHQWRTGPPRRWSPGQLGPASLHTDSTQKVEGLFNCIAITLIWHLQIDDVATVVWRSAAPLKCKIFCWLARRKRLPTNERRFRHHLTASAACLSCNTVEDTDHLLLFCPRATEVWESFHLGFDPGAYASFSDFCLQHSSSYEEATINTAIAWSIWKRRNALTFNNINEDLSFVTRRCIQDIRLWAFRCNTPSSTSFLNSWCNGYDPP >cds.KYUSt_chr4.37267 pep primary_assembly:MPB_Lper_Kyuss_1697:4:229444948:229446461:1 gene:KYUSg_chr4.37267 transcript:KYUSt_chr4.37267 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAHERDSSSSEEEVMAGDLRRGPWTVEEDILLVNYIAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGSITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAAEAAQPAAADTPLPWEQEGIYESPELPVDACWPAEYGVEQLLNTTSAPEQSGTTTISSSPSTDSGAGAQPSWPAAVDGAEWFTTACDASSATAMCHTDQLIQQQQQQQQQAPCQVGEAWTPEQLPGLGFPELGVADFEIGSFDIDSIWSMDDLWYTQQPQQLV >cds.KYUSt_chr1.27188 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164027711:164035379:-1 gene:KYUSg_chr1.27188 transcript:KYUSt_chr1.27188 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLLVALAVFVAAVAAAALDTSPVPFDAGYAALFGGDNLVRSPDGRGVTLKLDRYTGSGFVSKAAYRHGFFSASIKLPGDYTAGVVVAFYLSNWDEHPKNHDELDFELLGNRRGHGWRVQTNMYGNGSTSRGREERYLLPVDATVADAHRYAIAWTPNNVVFYLDGVPIREVVRVPSMGGDFPSKPMSVYATIWDGSNWATDGGKYKVDYAYAPFAAEFSDLVLSGCHGAAEECQVDLLTHDVAVMAPAKRAAMRGFREQYLTYTACRDRVRYKTMVFPECDDLADGGSTFHEWGESKKTRRRSASPLLYSSRMQ >cds.KYUSt_chr5.40471 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255442494:255446324:1 gene:KYUSg_chr5.40471 transcript:KYUSt_chr5.40471 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPIGAVKYRTSLDVSPPPSVREGRFFSTSQSIHHLAVDPLDPSPSAGRSGGGRVGDPRLACVVLVGSVGASTGGAEEKLRSPALLLVQVGVFFGGGARGAPWWRTCLPCTRESEDSCRALCPGQILRRRIWVKNKMEAAVHGDRVDGGGGAGRRLYGAEWRRLPVRTGVSPDPRLWGVRAAARRRDVLVSVSVAGVQKDQFVISFWFLDCSLLHRDADKGGIAHAADASSLGEGPRDRLRDADTGWLQGTRSPHWKGVEAHIWLLVIGGEEHSNMFQQQLQEDVLSSATSSPASTLYSPTPYPATGTWVQELSSDQCSVRLISLLYQCASEVAAGAFDRANLCLEHIMQLASLDAPHTLQRLAAVFADALARKLLNLVPGLSRALLSASASADTHLVPAARRSMFDMLPFLKLAYLTTNHAILEAMEGERFVHIVDLSGPAANAAQWIALFHAFRGRSEGTPHLRITAVHESKEFLAGMSAVLAREAEAFDIPFQFDAVEAKLEDMDFDALRHNLRVRSGEALAVSVALQLHRLLAADDAGGGRRYCGAGGLTPLQIIARSSPSSFGELLERDLNTRLQLSPDASGLSPQSPMFSPAGQGRPKLGSFLSAVKALCPKIMVVTEQEANHNGALFHERFDEALNYYGSLFDCLECAAAAAHRGSAAEERARVERAVLGEEIRSIVAYEGGERKERHERAQQWAGRMEAAGMERVGLSYSGIMEARKLLQSCGRGGSYEVRHDAEGHCFFFCWHKKPLYAVSAWRPAGAGYHHAGGARSR >cds.KYUSt_chr2.13612 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86267637:86270736:-1 gene:KYUSg_chr2.13612 transcript:KYUSt_chr2.13612 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGRGGRGGGRGGTGGRGGYGSSNFNFNGKHEPHENFPEIPLPETKFAATAEEKALILSTLKLEEFIRNSCYHLEPDVPKKKNDDKEIERFSDRKRKTRNKRESLKSYLKLTPGNFPEELWKDSTRAQPVSKKLRWDKDADDKTFEAGENKAEKDGEDEDDEEEEEEVEEEESSDDDYNQNIEFDDDDDDWNQEEEAPTIDVLLLERRREEAERRSTTACTRGRIAPAPTLHPPLAGPLTGAVHGRLTAPSQLKLLTRRSFAALSVPSKPRRFLHLRCLRIRTPLL >cds.KYUSt_chr7.37887 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236262047:236266193:1 gene:KYUSg_chr7.37887 transcript:KYUSt_chr7.37887 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPIDAAAADPDPPPSRPTDAPAPITVPSPRAHLHHLLDRRDTPRGAAWEPERAMDGTAAAPAATPEAKLVAREAGYVLEDVPHVSDYLPDLPTYPNPLQDNPAYSVVKQYFVDPDDTVCQKIVVHKGGSRGNHFRRAGPRQRVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLSDMYGVTKILGIQGGYRGFYARNTINLTPKSVNDIHKRGGTILGSSRGGHDTMKIVDSIQYRGINQVYVIGGDGSQRGAGVIFEEVRKRGLKVAVAGIPKTIDNDIPVIDKSFGFDSAVEEAQRAINAAHVEAGSAENGIGLVKLMGRYSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLFRYIEKRLKDNGHMVIVVAEGAGQKLIAENMKAMGQDASGNALLLDVGLWLSQKINEHFKKNKTTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTVGQVNGRHCYIPFYRITEKQNKVSITDRMWARLLSSTNQPSFLSKQDVEEAKMEDERTAKLLDGSPSNPKA >cds.KYUSt_chr2.41673 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259287379:259288993:-1 gene:KYUSg_chr2.41673 transcript:KYUSt_chr2.41673 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSVWDGTLSLDEFSASAKALVSKWGQIEVDDSLPDWAWRPCCRMGVPSETEGFLALEGVYKIEENNSLDNDGGIVEHDTWVQSSCDNVHVYDFHIAYSFSYKVPVLYFQGHEAGGQLLTIDEIKKDLSSQSLKTLSESKWTFITREEHPHLSLPWFTLHPCGTSDWMKLLLDSLGDKDRSLQYLSAWLSVVGQAVGLKIPLKLYCSS >cds.KYUSt_contig_1358.42 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:279685:282205:-1 gene:KYUSg_contig_1358.42 transcript:KYUSt_contig_1358.42 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDGGGGGGAQDDFFDQMLSTLPSAWADLGATGKSPWEIAAGGEDLGAFDESALLTSRLRQHQIGGGEKPVMLQLTDLQRQGLAGGGEESGGAGFSPLPLFTDRSPPTSREDMDGGFKSPNGTGGDHAMFNGFGAHGGAGAVQPPFGQGGSMSGQSFGGPAASGGTTAPASSGGGGANPPRQTRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSEANSSAKSSNGGGSSAATAKANGGGGGGESGGGGGSGGTGMRVTEQQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATTTGTSPASLLSRPVMRHQAAAASMSPAAADDATARSVKVDAGAASGGK >cds.KYUSt_chr3.1993 pep primary_assembly:MPB_Lper_Kyuss_1697:3:11567559:11568287:-1 gene:KYUSg_chr3.1993 transcript:KYUSt_chr3.1993 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPDAPYLATGTMSGAIDTDFSASANIEIFSLDFQSDSPDLPVVAASPSTDRFNRLCWSRPGAVDGDSYSLGLLAGGLSDGSVAVWNPLGMISSKGQAKDAMVACPPQSDRAPRGLLLRRLRQLLHRRRRESPWSCSSSPSKTRTPSTSSSTSALAAYHRREVAHRGIKRARAPPACADVDLLAGGSRHRPAACRKPACSVHVDAGI >cds.KYUSt_contig_1158.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:82912:86379:-1 gene:KYUSg_contig_1158.15 transcript:KYUSt_contig_1158.15 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMAAPRRELAAVGKESRSRHRRIAWDSFPPSPLSLRPLHLESLLSPPGSSLPLLRRLRRLEAAGGRRQEAVMAGRGNIAANNSALIAIIADEDTVTGFLMAGVGNVDLRKKTNYLLVDNKTTVKQIEDAFKEFTARDDIAIVLISQYIANMIRFLVDSYNKPIPAILEIPSKDHPYDPASDSVLSRVKYLFSSDSSADRR >cds.KYUSt_chr6.3318 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19325596:19326076:-1 gene:KYUSg_chr6.3318 transcript:KYUSt_chr6.3318 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVLGRHKLVSEPQVDGSDVDWTIFAPHLSGPIFRGLDESASSTTEQRLLVSGVADQHGATTLVSGVEKIDTSREDPIAHLSPLYLDVESRGEIPC >cds.KYUSt_chr3.24104 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149609161:149610019:-1 gene:KYUSg_chr3.24104 transcript:KYUSt_chr3.24104 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENVEHGVGALADHGHVLTQEALRDLQLPEKEATWREKARRTPFHLDFIRHPERDVGLVVISLDLDAEDGNEKEAPGLGRRCDGEDPRGGGRRRWRAGRSGGGRAAVARHDSRLLRREIPGSPPQRPAQPDASPADPATSASDQASTTTSSTPPGQLAPRAFGSRRWLKTGEPVGGG >cds.KYUSt_chr4.7218 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42701203:42701811:1 gene:KYUSg_chr4.7218 transcript:KYUSt_chr4.7218 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRARCLFLAAAALLVASAYAQSGCTVALIGLYPCMNYISGNETAPAKSCCSQLSSVVQSQPECLCSALGGDSVGGMTINKTRALELPKACSVQTPPVSKCNDAGGASAPVADAPTTPELQTPVAADSGSKATPSGHLQQNGVSSLHGPAGLMFALAAAAFYTVSTV >cds.KYUSt_chr2.50871 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318119611:318120801:1 gene:KYUSg_chr2.50871 transcript:KYUSt_chr2.50871 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCGALCILLAVVFAAAAAEETEKQSSYIVHVAHKHAPLLPDRGGLVATGAYGSFLHDHIPVHMSVPAPRVLYSYSHAATGFAARLTGRQAARLASQRSVLAVVPDATLQLHTTLTPSFLGLSASSGLLPASNGATDVVIGVMDSGVYPIDRDSFAADPSLPPLPPGKFRGSCVSAPSFNASAYCNGKLVGAKAFYEGYELELGRPINETEESRSPLDTNGHGTHTASTAAGSAVADAALYGYAKGKAVGMAPGARIASYKVCWKYGCMTSDVLAAFDEAIADGVDVISISLGSTGSAESFDMDSIAVGAFSAVRKGILVSASAGNSGPGESIARNVAPWLLTIGASTVNRRFAADVVLGNGDTFPSSSLYAGPAWRNQGTADIRPDRRLKDP >cds.KYUSt_chr4.50678 pep primary_assembly:MPB_Lper_Kyuss_1697:4:313918960:313919307:1 gene:KYUSg_chr4.50678 transcript:KYUSt_chr4.50678 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHRSARRAELAPLQVQASKQAPETTTIKPPSRSWVTFSSRHPASKQPPEHVPQQPRVVGGGNGGASTEGPRIGSPPGEDGAVATPSTRRNSDRSITATHSTGEEELDPRRPNG >cds.KYUSt_chr4.13249 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81520329:81530695:1 gene:KYUSg_chr4.13249 transcript:KYUSt_chr4.13249 gene_biotype:protein_coding transcript_biotype:protein_coding METPIRTFCRATSATRTSSNKSSLEDYMATQGAGQVELRIELGIKYIIDDATSVDRAGSGILEYLFRSSTNSFPGAIIRDYEGKFVAASGIIIPHVSSVAMAEAMAMRAGLELANRLGFNRVIAESDSLETVEACNGSDRWWSESSAILADCVDFASSIGNVTYQFCPREANKDPTATSTRSTRPLGRDFRAAPLASARSVVAANTRPAPVRLIPFSRVAVPVRLVSTPRHPVQIRRSTPTAAIPFPSSPSALPSAPTVRPDCGHPVASLRPALCSDSPTPPVVRHDSSLLVPWARSPTRLRLPIRTRARDRLTLPVRKRKDTPKNIYAPKFERSDREMDRGRYGPHHGWENNSVIAAFPLLPTKGAEDSRSIGNVIGFRAPDGYGVINEPDFRAGGSYSGRRYVDDGFPSDRRGAFGQDIHDRNMYPPPPSGGTMWSQPRRNFDEEFATAKDYRRNKRIGSRDRGEFGAEFEDRYQSREDSFERDHQYSRRSCDSDYEKGRRESSWRRHDSFEHERERKGLSHERDGSPYALHSRSRSRERDNRSRSRSRSRSPRGKSHSRSQRDGFYDDNRFDRRREQEWDERRHDDIVTPSATVVLKGLSQKTNEDDLYQILAEWGPLRSVRVIKERPSGVSRGFAFIDFPTVEAARKMMESTGDNGLLIDGRQIFFEYSSKPTGGDSLEHVARPAYGRRSISAPCDWICTICGCMNFARRISCFQCNEPRTDDAPPADAASSTQPFGKRGSELGPTHVLVVRGLEENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGIRHEKNGQVLRVAYAKSTHGPVSGSSQSSNLAAAAIEAASFAQQYDAVGWAPKEYNAEDKPNSNTESQKDDSTPQSGFVWDEKSGYYFDSSSGFYYDGNTGLYYDSNVGVWYSYDQKSQQYVPCNESNNSKTTGDTVNESVKIPESNSGKKVVISAPAATVKLSEKTSLPEAVQAAANAALAAEKKEKEKAKEIKLASKISLLANKKKMNNVLAMWKQRNQEGQAANSAFDDKESTRSVADKLNSSASGVGFSLKPKPKSDAGNSRDMNLVAGYNSLGRGSAGSQVLDSDIKPRPVSNSLGTTIMGVIRGSGRGAIKSDTTFHVSSDGGSNYSTNISTSTSEIMTNAEMHTTSAPFKTDLCSLGSYSSSGVSGSAKRRFSEAPGQSQYRDRAAERRSLYGLSSSLPNGDGLDTTGDYPSRKGSSEMGSMPFPPGVGERSIGEIENTENYEVITADRAIDENNVGNRILRNMGWQEGLGLGKNGSGIKEPVQAKSGDVRAGLGSQQKKAADPSLEAQAGDSYKTIIQKKAIARFREMS >cds.KYUSt_chr7.10027 pep primary_assembly:MPB_Lper_Kyuss_1697:7:61432433:61434277:1 gene:KYUSg_chr7.10027 transcript:KYUSt_chr7.10027 gene_biotype:protein_coding transcript_biotype:protein_coding MAITNHYYFELTPEQRRNPQWHPDYSPTWESFFINRRERALSRHEEGGPPPSNFNEAGRRLWWRGRTLQGVMAYRGPRLRYPQSQPTRAHPPTFEYRDPDASDDDDGDYDDYSGDYYRTRHDRRTRRAALRGQKQRIAIARAVLKDPAVLLLDKATSALDAESECVLQEALGRIMKGRTCVLVAHRLSTIRCVDSIAVVQDGCVVEKGSHDDLVSRPDGPYSRLLQLQLHHG >cds.KYUSt_chr3.47400 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297382928:297383818:-1 gene:KYUSg_chr3.47400 transcript:KYUSt_chr3.47400 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVRRRPPVHAHARSCRPARSTTPFFFSYPPTQSSSEQERPRLFGQAPPPATASPSPKPSKSGFSISAPPFFVQTHVPSAVQEAPGPPLVLNTHCELPAVARGHARDSFAVLVRANAPGVAAVREAWIAVSCPHPDVRVRAIKSSGRHESCVVANGRGGLVRLGDLGAGEETRFLLFVNVPSASADDDGVTRLVRVSCAYRDDATGKSKVVIGEDAVVRRPAEVTSREDQKPSVEVQVERFRFQATAGPFAKYAGNERHHGFARKISEQQHKLPATTIPSSSWPAMMMPNSGSS >cds.KYUSt_chr3.7738 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44447407:44459408:-1 gene:KYUSg_chr3.7738 transcript:KYUSt_chr3.7738 gene_biotype:protein_coding transcript_biotype:protein_coding MKACHLVLSYREHVHEGSHRQCFTTGALHHNNPIQDGYARVTVEEIVQGFENPDIDIATPEGVKRLGDVKRRFILWREEIYQVSERGANKSTPYGGGGGGGGDGGGGGGGDGGGGGDGGGGASPNTPHSRQPTPPPPVLVRWVIGHRQALAFKNRKELAEKKAVKDEAESKLERGKEVAQLGEQSKQSIAPLIVQAADPDAPDIVAAAAAHGLTVTSAREQAAELAIWLYKYLVLARHSKTSSAATAMASTNVSSAGTGRAPVDAPAPAGLGSSKASSSALRLIQHKREALWFYRFISIGYDHVFNPGQYTEDMRDVAMDEHADLRRPNLKVVDVGGGTGFTTLGIVRHVDPENVTLIDQSPHQLDKARQKKALKGVKIMEGDAEDLPFPADTFDRYVSAGRLVPPSSGFKDVELKRIGPKWYRGVRRHGLVIGCSVTGIKTESGDSPLQGTSRPESEVVGSHKSAGSSERETESEASESAHSLPSVVSPRSKRKRGDVEDSGTSKAGQSPVEETVLEEEEAFNPYEEALVSSRNFTSSTTSGSIDSAHQPRAPSPKRVRVELGTETNLLAGSSTTPSLDDPLMKQLISLGTQFIGYRDSVEGLKGKMVQYFELQRPEGDRLLDALSLLEIHGDLARRSIADVRIAFTRLFPYFFPKKSTPEAFSELVKCYIPEEDLGLAFR >cds.KYUSt_chr6.31133 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197306363:197310090:1 gene:KYUSg_chr6.31133 transcript:KYUSt_chr6.31133 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGTAAEYHHDHPASPRASQSQRRRLAAVVAPLLLFLAAALSFPSALRLPALQFPPRLPLQQPPPPPAKRVAVCLVGGARRFELTGPSIARHLLNSLGDDNTGVDVFLHSPLDADAYKFSLLARAVADTTTLAAVRVFRPEPVEETPERARVLTAANSPNGIQGLLQYFHLVEGCLDLIRERESRGNFTYAWILRTRVDGFWTAPLSVDDAFPRSDGVYVVPEGSTFSGLNDRLGVGTRAASKVALSRLSMLPRLGEAGYTDLNSEAAFMAQLEVSEVAARERRMPFCVLSDRKYAYPPTGYGVPVASLGSPGPLSGAKCRPCHRPVACSEGCAVEQLEHAWSWTEWRNGTLELCDASGPWEDGWEEVFDQAAGKEAAEERLRVVRMGIEECKIEMEALRARTEQWDVPSSDEICRLGLGNSTTTSASRRSGPFSSSSKETSS >cds.KYUSt_chr3.13132 pep primary_assembly:MPB_Lper_Kyuss_1697:3:79032870:79033787:1 gene:KYUSg_chr3.13132 transcript:KYUSt_chr3.13132 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHQDVVHDIAMDYYGKRIATASSDNTIKIIGVSGTSHQQLATLSGHQGPVWQVAWAHPKYGSMLATCSYDGRVIIWKEGSKPDEWAQAHTFVEHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARSDGGWETTRIDQAHPVGVTSVSWAPAMAPGALISPGPSGQFEYVQKLASGGCDNTVKVWKLTNGSWRMDCFPALQMHRDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTAPKEGEQWEGRVLNDFRTPVWRLSWSLTGNILAVSDGNNNVTLWKEAVDGEWQQVTTVEP >cds.KYUSt_chr4.41003 pep primary_assembly:MPB_Lper_Kyuss_1697:4:253463526:253474879:-1 gene:KYUSg_chr4.41003 transcript:KYUSt_chr4.41003 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDNSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEENRNWHFGISSIGKYNKQRNNHKIVRVRSGTTGGRGDEARFVSRSSSHKREYVCVEEVLASHKRLDGHTTKEGLTFFLERAPQRCSPFSTKAPVKAVIPSQELVHVDILRYYRLRYRSRYRNRVQSLLDSKRYRSGTTTVPRWRRNPWCCAALHSFTNNLHVAFISYCPSLTVGIMEFDERCLMVQEPKFDCLLFDLDDTLYPMSSGISSHVKTNIERYMVEKLGIEESKIENLGNLLYKNYGTTMAGLRAIGYNFDYDEYHSFVHGRLPYDNIKPDPVLKHILKNMRIRKLIFTNGDMIHAVRALKRLGLEDCFEGIICFETLNPPCLLPSCDQAAPEIFDIAGHFARSGSVDELPRTPVLCKPNVDAMEAALRIANVNPYKAIFFEDSVRNIQAGKVLGLHTVLVGTSHRVKGADHALESIHNIREALPELWEEAEKTEDVLYTDRVTIETSVTA >cds.KYUSt_chr4.5029 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28816647:28817624:-1 gene:KYUSg_chr4.5029 transcript:KYUSt_chr4.5029 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPIPEITVLKNLSTEALKGHRFHVRPQLPAAAPVGIVEIEVGGADFAAPRVLPDLQVTEEELDSLHHLLDPGTMRRLHFPFYPLRPSPVLRFDRSSTIALALLLGIFILWAWRRRRGRGDDDDDDDDGGGGGGGGGAPPQAPGGGGAPPQAPGAGGGAPPQAPGGGGLRDALAGVTLGARGVVLRGVVPGPPGLGGGGRGLHEALTGVDAGPRVLIRRLVVGFIPGTPGISTSMSRAPGADAAMGNAARSSSPGISTGVSAGAREAIEDIVDGAVRLARLAAVRALLAETTVPGADMEAAHAGADTPAASGSFHPHPHPRRLA >cds.KYUSt_chr6.2052 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12261932:12263230:-1 gene:KYUSg_chr6.2052 transcript:KYUSt_chr6.2052 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSWLPVVVCALVLLQAVPAARAARAFFVFGDSLVDNGNNNYLVTTARADSWPYGIDTPDHRATGRFSNGKNVPDLISESLGSEPVLPYLSPELDGDKLLVGANFASAGIGILNDTGIQFANIIRISKQLTYFEQYQHRLAKLIGPDQAARVVAGALTLITLGGNDFVNNYYLIPYSVRSREFSLPDYIKYILSEYKQVLRRIHGLGARRILVTGVGPIGCVPAELALHSLDGRCDPELQRASEAYNPQLEAMLAELNAEVGNGAGGNGPVYVGVNTRRMHADFIDDPRAHGFVTASVACCGQGPYNGIGICTMVSSLCADRDQFVFWDAFHPTERANRLIAQQYVSGTTDYISPMNLSTIIKLDRHLHD >cds.KYUSt_chr5.42162 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265728468:265729304:-1 gene:KYUSg_chr5.42162 transcript:KYUSt_chr5.42162 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPFVFEKNWADNPPRRLPTTDMEFPPCFPPADPPQGWTTVRIESSHSRRPDGTPEILLLYGRPSSPGRHQPESPPHTATIHRRDQQVHRRCSPEPHQVGGATRVVRLAKVLSLDQLQDDQVYQQVLDELTQEARKFGDLLKVVLPRPIRGAAHPVASEDGKVFLEYACLEDSIQCRIRLDGQWFDGTKIIAGYFPEDKFAAGDYDYDG >cds.KYUSt_chr6.29459 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186716764:186719066:-1 gene:KYUSg_chr6.29459 transcript:KYUSt_chr6.29459 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPPSTQIHPKIQENNRFNPYFKMAESVEEVPDVGAKAKKTVKDTPKDAEFLNTPLVNFDYMEACFADKLATGKFAMGSNEPLGKPVEVECPENTIDLEGQDTSGEGFVGGQANFQSGVQGVGTTTPSPPSSSNKKRKRTSVLCEEDKIQVNNMSDALRHVAVAINNTCHTETHPDLYQAVMDLTGCDMDQKLAVLDYLTEHKGQGLNFVKMEAEVRQAAFKRIIAKNPDLV >cds.KYUSt_contig_3162.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000611.1:78683:80211:-1 gene:KYUSg_contig_3162.14 transcript:KYUSt_contig_3162.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPLLSSPASIVVLLLLAFFQGPVAGAITFTFANRCTDTVWPGLLSGSGSPPLETTGFALPPGQSRSLYGPTGWSGRFWGRSGCDFDGRGKGTCATGDCGSGEVECRGAGATPPATLAEFTLDGDGGKDFYDVSLVDGYNLPMLVQPSSPGCPDTGCLVDLNERCPSELSSAGGRACRSACEAFGKPEYCCNGAYATPDTCHPSQYSQLFKSACPKSYSYAYDDGTSTFTCNHTDYTITFCPQSNPNSGKGKHALRRPSHEQLEDDVWLGSLKKTSGAGALGASSWSASGAFQTTLAIAVMIMLSIAEAHPLFGFL >cds.KYUSt_chr3.34561 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216808850:216817636:1 gene:KYUSg_chr3.34561 transcript:KYUSt_chr3.34561 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKQLLDAPFALNGHCGDQPRHTPPAAAAAASSSSFPPSSSSTSSGVVASPQPDGAGETRRPFEALVGEILQRGAGSGNGVAAGDLEAVVCWAREVAVDPCAKRPADRPRKRQVLALRRARYLRMEDVADAAELPSFSKKRKYKTHNNHYEMPRKGSMPTRKSERLARRMVLMTSVLLTQRKKIGVGEHFQAKIPEWTGPPSDAELSCYRNDPDISKMLGSRTWPPEGEMSQTDIVVAGRGRPESCSCPYPGSYFCRQDHINAARDQLRSEIGQAFSEWKFDSMGDEVSKMWSHDDQLRFNGLERLVPSLENKSFWAIASKHFASKPRRDLVQYYMNVFLMRRVLSQCRLSLLEIDSDEDEAEEEEDEDQSDGSNSSQSFCFLVHAIKPGSRRLSLIPKHASAVDNGITSLDYHGGDVGRNVKIVAL >cds.KYUSt_contig_2885.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000559.1:14024:14370:1 gene:KYUSg_contig_2885.2 transcript:KYUSt_contig_2885.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRNPRITIPLEQYNKMMAILDRIDVLASAIELHGRIISAIRHRMEGRVHVTRNGVRLKNCRACGRQATTLEPADVALRNR >cds.KYUSt_chr1.17641 pep primary_assembly:MPB_Lper_Kyuss_1697:1:102694903:102696790:-1 gene:KYUSg_chr1.17641 transcript:KYUSt_chr1.17641 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKEGLRRGTWSPEEDQVLADYIAVHGHPNWRALPRHAGEFGISLFLSGRRATEYQRMRGLMVAVSAGLLRCGKSCRLRWINYLRPDIKRGNFTADEEDRIIRLHQSLGNRWSAIAAQLPGRTDNEIKNVWHTNLKKRVEDDQKGTAAKGGEGRQKSRKQAKANSATADGEVKHEQLTASPERSSSSMTCSTVTVTDSAAASSLPADNAANTCASNGHELVKEVSSAVVIDDSFWSSTDLAEVMDLGALDTDLSLKMSSRNEDMEFWLNILHEAGEMRDLAIL >cds.KYUSt_chr7.15565 pep primary_assembly:MPB_Lper_Kyuss_1697:7:96782691:96791679:1 gene:KYUSg_chr7.15565 transcript:KYUSt_chr7.15565 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDAGPGDPLDGIKEQTPCDLHEVFRKVSVKVAVGYVLPAFGPEGEPATWHGNQIPAGYARVGVDSVVPSWETLELEIPGGDGGLTLREYDLHEKKDDYTRHLTKVIDEKKDEKSKEKDIAGTSKSSARSAAEKKSSSTSAPLKAKQTTKGKKRKEVPLLGDQPKQSIPALKVFNVPKVYQEHGGFDMEEAARLAAACSVTVEELLSAADAALPTADIAPKFIYGADLVSREQLHKLPTHMRNLHQWYLDACKENIRYIVANIPFEYYYRKEEIHIEMNELWQLFNLEALDKSLMSCYCLLKISECKSNNIINIGFVDPDKIHVETRGRRGQGEVVEAREGMGEVKEKEGLVETLEDLGKGSSEDDEAGELDLNEDLGEEDGGDDGDGGGSTSEVAGRGGGSSSSNNSSSNHDSESRGPEGGGERVPTVRQYNRSKHPRLRWTPDLHMAFLHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKIEHESSHERAAMSSVFSPMNFHMRRGNHGFHDMFFQGAPGSALTSNTGVFASRSTSFFPDASRIYGLLQRRQPPLQTFDFKSYTSLRNQEWAFNQHNTAARAGAVDDHGPPKGLVQDMILRNKVGKPTSQLSDVMGASIATNLRSSAAATTSTAVPRPDGAMVGSINWIGSSSRPLTRTTSSAVTNGFEQGDHSLSFRWRGTAGASSNGKTSTTIPSSEPAVVREAGSPLVLLKQAVSMAPAKPNDELRNDAEARRMKISVAAATAVVRENGWTPELQLSLSPNMGTDNAGRGKKRNNAGQEVGSDSLPLSLSLSLLGGGDDGASRDSRRLEVATGSSSKKAALGLSTLDLTMSIKALE >cds.KYUSt_chr2.14971 pep primary_assembly:MPB_Lper_Kyuss_1697:2:94394203:94396614:1 gene:KYUSg_chr2.14971 transcript:KYUSt_chr2.14971 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSGKLFVGGISWETDEDRLRDYFGRFGEVTEAVIMRDRNTGRARGFGFIVFAESGVAERVTMDKHMIDGRMVEAKKAVPRDDQSIASKNNGSSIGSPGPVRTRKIFVGGLASNVTEVEFRRYFEQFGMITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPVARSPAGGQNFAMSRVHNFLNGFNQGYNPTPIGGYGMRVDGRYGLLSGARNGFSSFGPGYGMGMNVDAGMNANFGASNSFLGNSNGRQMGSYYNGGSNRLGSPIGYVGLNDDSGSILSSMGRNVWGNGNVNYQNSPTNMSSFVPSGSGSQVGLTGDTINWGGPTSAHGMGGISSLGAGNIGRGTGDNFGLPSGGYGRSNPTGTIGEPFSASANAYEMNNIDTYGNNSIYGDSTWRFTSSEIDIPPFDNDLGNIDPDIKSNMPASYMGNYTVNNNQTSRDSDVSIHVFVYRYI >cds.KYUSt_chr4.17346 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108468916:108472164:-1 gene:KYUSg_chr4.17346 transcript:KYUSt_chr4.17346 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSDFPDVKPKLKKSGSMGSSADAYVRADKIDLTSLDIQLEQRLTKKWGKADLKSQGPKADWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQEVAVKLLDWGEDGFATEAETSALRTSFKQEVAVWHKLSHPNVTRFVGASMGTTDLKVPANDNGARANLPARACCVVVEYLAGGTLKQYLIKNRRRKLAYKVVVQLALDLSRGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQVTLSHDMALRFSITYWFCMY >cds.KYUSt_chr7.11248 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69175511:69182927:1 gene:KYUSg_chr7.11248 transcript:KYUSt_chr7.11248 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTLYLPAAELSDKNPLQDTRTKVERRRSEAVAWLRALLRDSALPLPPPRASDHDLRAALADGALLCAALDKLPRPASTGGQGGEAAAAECDDVGRFLAAAERMGLPSFTPSDLDTGPVSAVVACVLALRDQFLPHAGEGWNCGVQQNGSKHSMELPRRENGQVTQNFEVTEDSKQMETMVQKVSKSPAVSEPLSPKVRPGQSSISRHAGRNFHEVFQLRQGGYSDLPSCKISEMMKSTSMDNAPTQSLLSVVNGILDEIIERKNGEIPYQLACLLRRIVLEIERRISTQAEHIRNQNNLMKAREEKYKSRIRVLEALASGNSGQIHVSSSATNDKEHVAEDPVQQIKMEKDKLQEKRRLVEEDLTKLIKDKENVTRLTKDKEDMARLLNDKEDIIRLMKEKEEMARLIKAKEDRVSLKKGKDEDRDQSANEPIAKPIVHKDELISLMKDRENDKNTIMRLKLELEATKSSYEESHSLLESKKEDVLKLRKDKENSDNVISQLRQELAIARRSHETYIQELKTTALQENRELEHRIKEVELKLEHSTNRGRYLEDLLESRIQTWEQKGIMLNQFVGLQVQNIQDLRLSSVSIRHEILNCQKSWSEELSGLGQSLKVLTSAAENYQATLEENRKLFNEVQELKGNIRVFCRIRPFLPNEDHKSSTTELIGDNGELILANPTKTGKEGSKLFKFNKVLGPTSSQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMINPDVSSYAESLSTLRFAERVSGVELGTAKMNKEGKDIREFKEQLSLLKDKVAKKDEEINQLQTHTPRTMSGKRADSLLKRSSSSSGVSYLGSKIQHRRTASCGKALGFVSRGSDADNFSEISDRHSEASSMQSVDDIHQQSEIMALSKLSEDETGPNSADPEIDCFGYADSEGRLSDISDSGLSMGTETDGSVSSMVEYALFPEQEKNASTWKEQKGAPNTPIDRL >cds.KYUSt_chr2.8599 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53938906:53940350:-1 gene:KYUSg_chr2.8599 transcript:KYUSt_chr2.8599 gene_biotype:protein_coding transcript_biotype:protein_coding MECPHCDSGFVAEMDDVDTLMSQFVGMDSDFHRDPRFGIMEAMSAVMRHGMGGMSREVDVRRRPSILSDLEMEFGAGPWLLFRGQLPGHVSEDNGFDVFVNGRRGVGMRRANIADYFVGPGLEDLIEQLTHSDRRGPPPASQSSIDAMPTVRITSRHLTGDSHCPVCKEKFELGSEAREMPCKHLYHSDCILPWLEQHNSCPVCRAYD >cds.KYUSt_chr1.11386 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69785427:69786287:-1 gene:KYUSg_chr1.11386 transcript:KYUSt_chr1.11386 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMNGTGGGGRRFKDRLAWLLRPANSFLRSSCSSSSSNSISTFTAISTSSATTTATATAPVQPFSSALGLLQRPQPEESTKTHQKKRACSSSRHCHARRRQFKNADDEVTRKLSTNPFGFTTTDDDNEDTDGDTETFLSSRSLLSSDSSGFYYTSSNLLPKDWGGRDHHRQRPQQAKRRRRRRRKRAASCVQSSSCGVRDQVRAGFRPVVMEEEELRKGLAVVRRSSDPYGDFRESMVEMIVERQVFGTSELEQLLHTYLSLNPARLHPVILQAFSDIWVVLRGC >cds.KYUSt_contig_402.385 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2390134:2394424:-1 gene:KYUSg_contig_402.385 transcript:KYUSt_contig_402.385 gene_biotype:protein_coding transcript_biotype:protein_coding MSREKSPEVCESSPTAPPLPSIDLNAQSYLDVDETMSGMINGGGGTKGGTQMQHDATQGGTQYTPNSIDEAPLFEDRQPQVTKGASRRWRMCAFARHGSPVTVAAASPSTRPARVTLRALPRAASPAPLLRIQLGRRPRGAFFLSRCQKGADRHLEPDEPEPEPELGREDGGPDSLDVMIKDLAEYSDYYRANKLGLRVPPRMFRKQDDEICEHMKKIIRSKTVPCGYDGKRINASLGQENPSNNQMAMTVCRRARSSLDIASMVMDITSVLGLGTAEISQHTTGQMVRMYAATFCDAAEAACLTGIEKDTILSFLGALGCLGAIAHILVEDISAKLKDGPWKNKIKFHLDIDYHEFCKKMDALKMEIDLAERNDARKAMDQVLWNGVRHAESYVSKLIKDALSH >cds.KYUSt_chr5.9359 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59365440:59367846:1 gene:KYUSg_chr5.9359 transcript:KYUSt_chr5.9359 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSIAKELLEQPQDGAPRPIRAAPPPFLTKTYDLAEDPSTDQVVSWSSAGDSFVVWDPRVFTEVLIPRLFKHNNFSSFIRQLHTYVHNLVAALLCNCMVFYDNRWWSLLTSCNLQGFRKVDPDRWEFANEGFVRGQRHLLKMIKRRKLPSKVPPSQHQAITSWLGVGQFGFEEEIDRLKRDNNILITEVAKLRHGQQAIKDHVQAMEERLRTAEQKHAQMMGFLARAMRNPWFFQHLVQQQDERKELEDAISKKRRRPIDNVPFYGLGVTSHSKQHDSESFDSGVLSELSEPRMPGLKNAQNIQEIGKGKIDEEKMDQANGQVELNNAFWAELFSDDFGDGSRLSELEGRRRQDIDELAQQLGYLSSTIPQ >cds.KYUSt_scaffold_3611.173 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:981093:982137:-1 gene:KYUSg_scaffold_3611.173 transcript:KYUSt_scaffold_3611.173 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPTPSCVIQAKLVLLGDLGAGKTSIVVRFVKGLYYECQESTIGAAFFSQLLPVSGRGGEGDATVRFDIWDTAGQERYHSLAPMYYRGAAAAVVVYDISSTDSYIRAKKWVDELQRQGNPHLVMALVGNKVDLEEKRKVRTQEALEYAERNGLFFVETSAKTAQNVGELFYELGDR >cds.KYUSt_chr3.6864 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39440073:39440890:-1 gene:KYUSg_chr3.6864 transcript:KYUSt_chr3.6864 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPTWSVTACLRYRGGLEIRAAAENVLPGWGHGGERLSFLLRVRRSLHLTVTSQCGRDPEPDKKPRGLKLLRFLRSSFARAPRVPSLLRRRKTPPQPRAAAVAKPGSRAPAILSVLDRALMWPATATTTTLCFLAALAVAAALRIMVGFMIPSASCGSWRCFLAKKFVRVLGPPLFEWLSRISLKLIKFLDPRWSWA >cds.KYUSt_chr1.31670 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192091919:192094828:1 gene:KYUSg_chr1.31670 transcript:KYUSt_chr1.31670 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGARSQYLSELLQEHQKLVPFTQVLPICSKLLSQEIMRVSCMLRQHQHQHGGDFDRMPMASPNQMHHHPSPPMPNFCGNGFGPWSGAHPERVAFSQGPVGWQGAPQSPSSYIVKKILRLEIPTDNYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPGKEEQLKGRPGYEHLDDPLHILIEAELPANVIDSRIAKAQEILEELLKPVDESQDYYKRQQLRELAMLNSPLREESPRLSPHPSPHPSPRPGGASPFSNGGMKRTKQ >cds.KYUSt_chr1.18085 pep primary_assembly:MPB_Lper_Kyuss_1697:1:105559685:105562482:-1 gene:KYUSg_chr1.18085 transcript:KYUSt_chr1.18085 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPCSSNEVSNTKKQVVESGAVDQVKPIRRKTKTVDSEAAGELRALQRCQGREKVRRKSKDVDHSVNDGFDEIEKITWKNVKKVAGRAAPKNSRRKKLENVGSEASSSGITDDEIELTAGKFRALQRCQGREVVSRKKRNSADYAAEAEVEETRETTRKNMTKVTARAAPKNSRKRKLDNVESEALSSSTTDDEIELTVEDLVSIAEEIVNAGKVKRQDGRTTKTARYEENSTCPPASTSADTGGLASSTWKGLMQCTAATTSKTPSERRIDKSNGYEELQQCPSGITMIAQYEENSTCPPASTSADTGGPASSTWKGLVQCTETTTNKTPSECRVDKSNGYEEPQQCPSGITMTGDGAQDMLNILLGTMWSKTAAYEKKSEPAAYEKKSEVMEPTTMNMNHAPMWSQTAACGKKSEAADEKKSEPAAYEKKSEVTEPMTMNVDHAPAPPRKKDWQTVPQVQGELVVVKKKSSLKDKIALFL >cds.KYUSt_chr1.33868 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205914094:205922691:1 gene:KYUSg_chr1.33868 transcript:KYUSt_chr1.33868 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDTGRGSLKEAVEALRVEDVGLLQGLGPLHVAASRGRLEVCRYLVEELQVDVNGVDKEGRTPLLFAIPSKGVGIAKYLLDHGANPNKAKHDGCSPLHEAVISGDCETVKLLLAKGAHIDLVAYCGTPLHCAATYGHDGIMKILLDHNADRNKMVNGKTPLIAAVDADSRKCMLLLIRAGADTKGALTYAMGNLHSEKLVSTDFVNCIKEDVAAERILPDDDEPVSKKKIRAAGFKKLANHSFKKKDYFSAAGSYSLAMVLDPDDATMYSNRSVCSLLMGDGDKALVDANECRKMRPDWPKACYRQGAALMLLKDYKAACQRFLDGLKLDPANTEIEEALRGMERIVHVHHVDKDAFLKGNIEPDPEEVDLVFDLSPSFAEVVAQVRVELKWNEPNVGVELEGRHNVGFGMHTRWKTMRINSEQRWSVYKETVAGSQDKALELFATKMVDARIELDLNRPSSPVRERSPPPMSQEEGTQSPIVQSPIAQQPPLDNEYDEHDDGDDGFEMNGNNVGDLDKYWTQGEMDHSIPYSRCYASDSDDDGPEEEVDEDGLTAKEAERAEIFKKVTGRDIRVLLFRDVSLADGAVVDGGKSLLLGARPISKRDVDRST >cds.KYUSt_chr6.2170 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12802777:12812487:1 gene:KYUSg_chr6.2170 transcript:KYUSt_chr6.2170 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARGGLLFPLILLLLPAACALHGCSSTVCPAPMSSTPIGSPCGCVLPLSVIVDIDVAPYLLFMHTAELEVEVAAGTFLKQSQVKIMAAIPSIQDDQKTRVTFYLVPLREHFDSYTAYLISDRFWNKKVQINSSVFGDYDVINITYPGLGPAPPAMSSRASGPTGSGEDPITANVNPQKKKKIDTWIIVIVSGSSLALIVACAVLIILLVKCRKFKRLHEAGSPPITPAVKRRHGGRSMSTSLVSSASASMFSTVATCAASVKTFSLSQLEKATDGFDSRRVLGQGGFGRVYHGTMDDGNEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICTERAKRCLVYELIRNGSVESHLHGADKDKGLLNWDARMKIALGSARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREATNGIHPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVGMSDTSMDPENLVTWARPLLCNKEGLERLIDPSLNGNFNFDNVAKVASIASVCVHNDPSQRPFMGEVVQALKLIYNDAEEAGGDSYSHRESSCDPDDDRQGGFVFDSGSGSWWHSGASGHLDYRDNSPFINMEYSSGRIEARQEHDDPNLVASTEHTKSSYGPLLYLMALRWQFTEGLCEQASLMNMPLISLPRTSDSKINKNPNKMTITCGIRKRTTISRTHLNIKLHKSVNRALIPKSNTSKNILNILFLSDRKAIRNRGNLNPKKIAKRTKISHKKLIMKTSLDKGNILRFITSNDHIINIKKEKSAPTRRSVNEK >cds.KYUSt_chr7.21993 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136267379:136269080:-1 gene:KYUSg_chr7.21993 transcript:KYUSt_chr7.21993 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLPPGFMPMRRIFSSGCCAPSSGAGPSGSSPVPVLLARRRSSVLDGGEGSQGPDCFLSFCPEDLVVISGVVSAVPGLNLLLAAEKIRGRSGQGGEKLRSTALDSRGPGNAYMELVLIRMHSVEAVVEPDRWSTPDAEALPLDSPDPGVQDRGGPPEFTRMCTAPLEALDDDDSSPVYTVLSRKQDDPTSRMRVLKCLSE >cds.KYUSt_chr6.12952 pep primary_assembly:MPB_Lper_Kyuss_1697:6:80758405:80760060:-1 gene:KYUSg_chr6.12952 transcript:KYUSt_chr6.12952 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSDAATAACRDGLTSSLLSKEELVLLVSVAEEQQPPVLTCKPPGRLAKAAKETWSLSLSVTFPTVPSMSAASAREEARSILGLAAPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGHHQLLGVTMQRTVLLLLAAAVPIAGLWTHMRPLLLLCGQDVGIAAVAETYILASLPDLLLQALLHPIRIYLRTQSINLPLTVCAALAIALHLPTNYLLVSVLGYGVRGVAFASVLANLNFLLLLLGYILLNGVHRRTGSFFALSADSFRCWGELVRLALPSCVSVCLEWWWYEIMILLCGLLADPQATVASMGILIQTTSLIYIFPSSLGFGVSTRVSNELGADRPDRAGRAATVGLALGFAFGAAASAFAFLVRGSWSAMFTADPAIAALTASVLPILGACELGNCPQTAGCGVLRGSARPKDAASINLRSFYLVGTPVALVLAFWYHYDFKGLWLGLLAAQLTCMVRMLLVIGRTDWATEAKRAQQLTGAGVVTTAVLDAKPSIVIDVVIEQPNDRC >cds.KYUSt_chr5.20763 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134894490:134905662:-1 gene:KYUSg_chr5.20763 transcript:KYUSt_chr5.20763 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEGGGKDQIDAVDLVRHHVVVQMRPISPPAMAGSGRKISMKTAATTASPELVRGKRLAGEALSPHDCLNRLEEDYDNNEGAEIIGYEEPDLSGEWSDMEETELPRVPPPPEHLTAGSCVSGGQMRGRSKISARSPATDHDVPDELLELVFLRLPSSLHLVRAACTCKRWRRIIAHAAFLRRFRSLRASPLVAGHYRVDTCVHWSRPPGCNPVFFLSPSPDTVDLRPQHFSLDFLPSRDGGSWDVADSRGGLLLVNQCPGDKGPVFQDLLVCEPMTRRCRVIPPPTWLRRKLTCGAFLLDGDADEAAGGRISLSNFRIIVALVLEGIAVALVFSSCNGDDSGWMVPIPLGGSLVTPKNSLYFDAQAAESIYWSTDEDEIVALDKDTEEFSYSLFPEEAGFCRHTFVGCDGGKVRLVCLDFSHLRVFIQAEGADEWVLEKDVELQQLVREVGDQDDGELQVNMLQRIISVAEGSVLLCTEERVKLVSVDLATMESKRVVQDNDKYLWPAYMSGIVHCHDGTVRIALTVLGAPHDLHTIKGSDEWMEKKSIQLQQLVPEVQVDDGEFILRKIVSVAKVSITFGTEEGVGLVSVDLATMEFRRVVHDQNEFSIPMCSGEPLVPQGARLRECARPVLAQLIAGASSRIFFSTSAFHGDRADLEVVRLSSLYHEVEQGKLPDPV >cds.KYUSt_chr6.7243 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44003308:44003736:-1 gene:KYUSg_chr6.7243 transcript:KYUSt_chr6.7243 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGKLQAIVGLYDVAAVELLDAGDGVEMCGFAGAVDAHNPHLLPALHLKDTSWMPSSHTPDGMVDAPLFIKPDLAVAESLERSADHPSAMPPSEVVVTYEDREALRRPWVASVGDGEGKGEMGGDRGLETLELRSRAGSL >cds.KYUSt_contig_1181.1368 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:8917309:8918670:-1 gene:KYUSg_contig_1181.1368 transcript:KYUSt_contig_1181.1368 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFTKMAENETSQLQRAIFAQYIMMKKLFMELEEEREASASAAGAALSMIRKLQKEKESERMEAWQYRRIAEERMNHNDKALEIVKEVMQQKELEIYYLRNQLQVYKQRLLGVGIDDCDIADETIAKNIPFFESSDVENLCHTIKRNFSLPILQLDKRLTEMDINKNDGSLRSARSRLGVYIQNFSENEPDQVSSDGNNLKGVEPKESSSTDMNSTEKHAKEPKILSNSILEHSYPSEQASRYSPFLMVSHQTDIRTPRAAHVGKDAEDTLHPDPLGPSCPNNEMEKTVAHHTGDMGTLKDPELSKGPAESTCTKDGINTEESVISPMVVQKDKGPHALSKLSATRKVGSMNNVDRHVRVSTGSSTPRAGIERTRSRLKRVQSEKRIELNEPKKSKEQIIMLKEVYEQLNMIEAHMRPSGSQETPKNDQSLDSVMEVLLFLDLNANFVDLLV >cds.KYUSt_chr7.11760 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72248755:72262204:1 gene:KYUSg_chr7.11760 transcript:KYUSt_chr7.11760 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYVDSRHAMTGYEDEEEEDPEEVEEEEEVEEEVEEEVEEEAAEGAPGGFGGEAVVGHGDAGGDDGRGDVPAEPADGSGKVFVGGVAWETTEEKFKKHFQKYGAIADSVIMKDKHTRMPRGFGFVTFSDPSVIDRVLEDEHIIDGRTVEVKRTVPREEMSAKDGPKTRKIFVGGIPPSLTEGELKEHFSSYGNVAEHQIMVDHSTGRSRGFGFVTFESEDAVERVMSQGRMHELGGKQVEIKRAEPKKPGGGDSSSNGRYNLRSGANRSSHRGGGGGGGRSVSSSSGAGGYGYGADYREAAAAYYGSAGYGGYGRGYGAYGGNPAFGSGYGSGYGGSIYGASPYGAYGAYAGAYGGGAYGAPGSYGAGGYGAYGGAGSMGGGSSTGRGSGRACHPAAASVLTPKPLEIVERREELYLDGMRGGEDALVVEEVVCMEEAVNMLMEHLVRPALGGRAAQDEKERTVAQQIHTAIIVYNYYHRKMFPQLGFADAKRFCVCACISAGEGLLAYLTLVHAREDNSGKEERLSATDIAAVQACEIAAKLDASKASPDMAMWPISKVAVLLLDRTRKKCLIEYGAITKGVCSIIENEFDATAGSSVATAMELLVVHFQIINELVMSRGILNLSMRFKESFNAIGIPLRLHSGAYIVIKTGQI >cds.KYUSt_chr3.18124 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111298116:111299801:-1 gene:KYUSg_chr3.18124 transcript:KYUSt_chr3.18124 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMGSLLVLCLACPLLLAGTVRANPWYSLFPQFYDHSCPKAKEIVQSIVAQAVAQETRMAASLGCDASVLLDNSSSIVSEKGSNPNMNSLRGFEVVDQIKVALETACPGMVSCADILALAARDSTVLVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRLRLNVVDVVALSGGHTIGLSRCTSFRQRLYNQSGNGLADSTLDVSYAAQLRQGCPRSGGDNNLFPLDVVTSTKFDNFYFKNILAGRGLLSSDEILLTKSAETAALVKAYADDVHLFFQHFAQSMVNMGNIMPLTGSQGEIRKNCRRLNNYH >cds.KYUSt_chr6.17329 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109085989:109090175:1 gene:KYUSg_chr6.17329 transcript:KYUSt_chr6.17329 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSVTRGDAKCKACHPTYTRSGRETCRCAHHTFLLGFPTDVSTARIIPAQNAKPLDQACAWSREQWEKEEAERQARLLQDVARYRRPATPPSGAAVPVVDLEASDDDLYRPSPSPPRTSGRWGDASQGSSQGASAPPQFDDDGGDGDYTVFYRHFGM >cds.KYUSt_chr1.19433 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114096929:114104535:1 gene:KYUSg_chr1.19433 transcript:KYUSt_chr1.19433 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKDLVLQRNGNARDIREIAAEATLREVRQSGHAYVELRRAGKRVIFFCTICLTECFSDNVLFDHLRGNLHARRYAEAKLTLFGPMPWPFNDGVLFFSNAHEDGDGPLVLDSSSSRNNGDLALVLHPVFSGTDAEVTSRLRDGSSSRRNGASGGANGGQNGRTAAAVAEDDALSSRSGTDAQLVIPSVLVKDVVLNLPARLLGHGNIAYKIAEASDGRKKISKIWCAWGGPEAPHDGSNGCNIYEQSGFAVVNFSYAYELGRKWPSDDQDLSISAGSFFVIDDAGHRGKRRKKSFSDQEASSEESNGQTNGTSQAIVAGSSKGTSCNLEVSPLSSKSMRRELRKQKRLAAEKAFHLFHTSCLLHWTILCQYEVLADQIAKKGKSKRGRKAKTAPKSKIESILCPECQGTGIHVEGEELEKPTISLSEMFRYKLKSIEAHKAWMKSPEVLKNCSTGLHFPSEHLEDSEVEAEEQPEISEAYGVSAVPYFVFCKDGKTVDTLEGANPASLANKVAKLAGPANVAESAAPASLGVAAGPAVLEKVQQLAQQNGSSAAESATALNKRLEQLVNSHPVILFMKGNPDEPRCGFSRRVVDILKQEGVEFGSFDILGDNEVREGMKKFSNWPTFPQLYCKGELLGGCDIVIAMHESGELKDVLKEHNIPLRPQGSKIEEPVISESTGEKSPEPIGLTEAQKARLESLTNSNPVMIFIKGSPEEPKCGFSGKVIHILKQEKIPFSSFDILSDDEVRQGLKVLSNWPSYPQVYIKGELVGGSDIVMEMHKSGELKKVLSEKGIIPKDSLEDRLKALISSSPVMLFMKGNPDAPLCGFSSKVVNALRGAGVSFGSFDILSDEEVRQGLKTYSNWPTFPQLYYKSELVGGCDIVLEMEKSGELKSTLSE >cds.KYUSt_chr3.5851 pep primary_assembly:MPB_Lper_Kyuss_1697:3:33283224:33286027:-1 gene:KYUSg_chr3.5851 transcript:KYUSt_chr3.5851 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVWWWITAAVAALAYMAVKLMEVLWWRPRRVEEHFARQGIRGPPYRFFIGCVREMVALMVAASAKPMPPPYRSHNVLPRVLAFYHHWKKIYGSTFLIWFGPTPRLAVADPDLIREILLSRAEHFDRYESHPMVRQLEGEGLVSLRGEKWAHHRRVLAPTFHMDNLKMLLPFIGRTVVDMVEKWDAMADAVSGDVEIDVSEWFQVVTEDAITRTAFGQSYEDGKAVFKLQTQLMAFASEAFRKVFIPGYRFLPTKKNTSSWKLDKEIRKNLVTLIGRRQEATDDERLQGCAKDLLGLMINAGSKGGRRGQPVSPITVNDIVEECKTFFFAGKQTTSNLLTWITVVLAMHPEWQERARQEVLDVCGAHDIPCREQLAKLKTLGMILNETLRLYPPAVATVRRAKSDVVLGGRYHIPRDTELLIPIMAVHHDARLWGPDATEFNPARFADGVARAAKHPSAFIPFGLGSRMCIGQNLALLETKLTVAIILQRFDFRLSPKYLHAPTVLMLLHPQYGAPVIFRSRSLQTSSDHQAEATPV >cds.KYUSt_scaffold_1854.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:82758:85685:1 gene:KYUSg_scaffold_1854.14 transcript:KYUSt_scaffold_1854.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPNVNLPGGGLSNSRRVTVPPVPREGRERRNEMCHYQFIPCYSLHEDPGFRVGQLQLGHVQLRGVRSATPSGVPREGSSMDNATGVPKLMSLELLEEITDGFSKHRKLGGGAYGDVYLGEHKDGVKIAVKVLKDVLDLDDEQFEKEYRNLAILEHKNVVRLVGSCNETKGEHVSLNGRMFFAEKIRRMLCFEYMCNGSLDNFIYDESNACNWHTRYAIIKGICEGLEYLHEKLKPDPMFHLDLKPANVLLDENMSPKIADFGVSRLFLEEKTGKTNSNLGTLGYIPPEYINGGLISTKFDIFSLGVLVIKIMMGQEAYFTIDEMSSQEFADLVHMNWMSRLQGPHAYSIQTRSCIEIALSCVEKDRRKRPSIGAIVSNLNQTEYGIQIFEALKNGLDHQVRSLMA >cds.KYUSt_chr4.32705 pep primary_assembly:MPB_Lper_Kyuss_1697:4:200610048:200613374:-1 gene:KYUSg_chr4.32705 transcript:KYUSt_chr4.32705 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVPPQFPPPPTGYHWQATPLPAPYAQLQLPAPPTIPPQQQHVGDSSILTNPGIYTRPPISHAPLDGVTGCLDIDDAIAMEMRDSAICEMSDSTICEMSDSTICELDECLHFESMSDTPSSMDDETPIMEKMYMVHEDDDITPCLLLEDEHGGHMEPTTSTT >cds.KYUSt_chr7.28272 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176560673:176566796:1 gene:KYUSg_chr7.28272 transcript:KYUSt_chr7.28272 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPPHGAPTVEEQEEAAGVGILLQISMLVLAFVLGHLLRRRRVYYLPEASASLLIESLLTLIHVRTMSSLRTNPSSQNIFIVILRFLEDFFGSMSIGLRGAMAFALALQSVHDLPEGHGQAILSATISIIVLTDQENSEGNNVGNIELNNVEGTSNANKFRLKLREIQRSTPSFATLDRHYLTPFFTSRNGDRNGDSDDDDHDPNGAPQRSNELQGVESHRLPTREMD >cds.KYUSt_chr3.24082 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149460828:149462420:1 gene:KYUSg_chr3.24082 transcript:KYUSt_chr3.24082 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSLRETDEESSVDLSLSFDLCLGYDGAHFQKRSSAGLVASSPKLDLQLSLSTGPPVSAVTYTNMVSPSMPVTNSSPALIGKGSLPSNWGFEHSVVSSSYASEATYAFPCPKIPRKEQCTLSSPVISSTMLTNFKSPAGCTSVDTNPQQRSMNTKTCQFPGCGKGARGASGHCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCEFLGCTKSAEGRTDHCIAHGGGRRCSNDGCSRAARGRSGLCIRHGGGKRCQKENCTKSAEGHSGLCISHGGGRRCQFPECAKGAQGSTKFCKAHGGGKRCTFFGCTKGAEGSTPYCKGHGGGKRCLFEGGGVCPKSVHGGTQYCVAHGGGKRCAIPDCTKSARGRTEYCVRHGGGKRCVFEGCVKSAQGSTDYCKAHGGGKRCSWGLAESSFGVDTEQCDKFARSKTGLCSAHSALVQDHCVHGGGTLGPVIHQLVADVKPDEMEVAAVKVDPILMQSPVEPLTEGRVHGGGLLALLSRGGGHTSAPGNFENGTSVMMAWM >cds.KYUSt_chr1.25675 pep primary_assembly:MPB_Lper_Kyuss_1697:1:154175151:154206229:-1 gene:KYUSg_chr1.25675 transcript:KYUSt_chr1.25675 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAFLLQKYLGNYVRGLSKEALKISVWRGDVELTNMQLKPEALNSLKLPVKVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATNVEGCSEDTVQEVKRSRVREMEMKLLESQQQLNSELNSSWLGSFIGTVIGNIKLSIGNIHIRYEDIESNPGHPFAAGLVLSKLSAVTVDDHGKETFATGGDLERVKKSVELESLALYFDSDSSPWSVDKPWEDLLPSEWSQVFEFRKQDISSTASKAHTYILRPISGKAKYTKVHVDEAKRSGQALQNAAVDLDDVTLSLSKDGYRDILKMADNFSSFNQRLRYAHYRPSLPVKSDPKSWWKYAYKVVTHETKKASGTLSWEQLLRNARLRKTYVSLYASLLKSDMSRLVVEDNEEINSMDRELDMEVILQWRMLAHKFVEQSAETYQRAQQNKQSWWSFGWSGSSKDDGDSKSFSDEDWERLNRIIGYKENTEYIPAQQDMKLMQFYFEIRMKHNASRLIIDGSECLADLSCEDFCCNLKMYPEAKVFDLKLGSYKLLSPYGLLAESASVVDSLVGVFSYKPFNEQLDWSFTARASPCYITYLKDSIDQIVAFFKSSPTISQTLALETAAAVQMTLDEVKRTAQQQMTRVLKDQSRFSLNLDIAAPKITVPTKFRPDDIHETKLLLDLGHLILRTEEIWDSYTSEEQNIYLNFNLVLSDVSAFLVDGDYHWNDTSKEVNLLPVIDKCGIALKLQQIQVESSLYPSTRMAVRVPSLGFHFSPARYHRLMEIVKIFQDTDSESNSSNLAHLWDQADFEGWSSLLTWKGVGNREAAWQRRYLRLVGPFIYLFENPESTTYKQWSSLSGKQIHQVPTELTNGVQNIVALHDSGQVNPKILEDTGALILLFDSEETRKVWQNRLQGAIYRASGSATVSNFPEAAFPSESHSFKGSLPDVVNIEKLFVAGILDELKICFSCGYEVELSMKGGDLLIGTILRSLEIEDQYFYPGSPEPRYLARSFINSSGTSDSFVKAQIVIYDQQSLQYNNLDTRVVVSVATLTFFCHRPTVLAIMEFMNAINLASAPDSDKDKNADDNTKSDNVVEEPISDLESEPNIKRLLSKGKNRVVFHLTSSMAEAQVLLMNEKGDLLVTLSQNNLSTDIKVFTSSFSIKAALGNLKISDDSLRRNHPYFWVCDMRNPGGSFVEIDFTSYSIGDEDYHGYDYSLIGKLSEVRIVYLNRFVQEITGYFLGLVPKSSDGVVKLKDNVTNSEKWVSKTDMEGSPALKLDVSFSRPIIVMPRDTNSHDFLELDVLYITVQNEFQWIGGDKNEMSAVHMEILTVTVKDINLTIGMDMVRGETIIQDVEGLSVEIRRSLRDLMHQLPVVEAAIKVDVLKAALSNREYEVISECASSNFAEAPHVVPALDGPPDETSTSESHISASSISSESIQDLSQDTETWIANKFSVSINLVELSLHSGSTRDSPLASVQASGAWLLYKSNTQEETFLFATLKGFSVFDDREGTKDELRLAIGKSSTVRDTSSSDGYDNPNELDSRERRIQKDLGLEPIPSMLIFDAILRKSSSSVSLCVQRPKFLVALDFLLAIVEFFVPSTRSLLSNDEDKDLLHMISPVVFTDKLYYQENSTFSLSPQKPLIVDNEKFDHFIYDGNGGKLYLRDREGKILSSPSTESFIHVLGGKTLQFRNVKIVNGEYLDSCISLGSDCWYSASEDDHVYLVRENVPENDGLQPTLNGEIPEDIVENESSDTSTEFIIELQAIGPELTFYSTSRNAGENVALSTKVIHARTDAFCRLIMKGDSMDMSGNILGLKMESNGIRVLEPFDMSMKYSNASGKTNLHLLVSEIYMNFSFSILRLVLDVEEEISAFLQMSSKKMSLMCSQFDKVTTMHGDAKDEVYSFWRPRAPSGYAIFGDYLTPMNDPPTKGVLALNTNVARVKRPLSYKLIWQSGPPTNELHRDDNDSENNVSNVDKLCSVWLPVAPAGYVAMGCVASAGTAEPPLSSVFCLTSSLISSCGLRDCIALRANANTSFWRVDNAFGTFLPGDPANVSLHVNAYDLRHMLFSSADASSKNSSGGKDSRRNDAPQVERSALTSGRLFEAVASFKLVWSNDGMSAPKKLSIWRPMMSEGMFYFGDIALSGYEPPNSAVILRDTGEDTFLRAPERYKLVGQIKKHRGRDGISFYYPQAPPGFVALGCVASKGSPTKEDFSMLRCIRSDMVTGGQLSEESVWDSSGARTSENFSLWTVDEDAGTFLVRSEFRKPPRRLALKLAGPPTSSTSDNIIIDAEIKTFSAVSFDDYGGMMVPLFGMSFDGVGFSYHGGPHHLNATVSLSFVARSYNDKCNSWEPFIEPTDAFLRYQHDLNTPGSPSQLRITSTRDLNLNVSASNTNMLSQAYLSWSNITLGDELYRKETSSPPESSILDVHQRRSYYVIPQNKLGQDIYVRTTEHRSSDITLLPSGDDRSIKVPASRDLLDSHLKGKSIRSYLLMITAIIADAEIHDGKGLATGEYMTAVRLHSGGSSVSGIQQQSARTCAAPGESSSQITSNVIWNEMFFFKVESEENYVLELLVLDAGRGQPVGIYSAPLKEVVQKLPFTSSYDSAKFELTLGDLMSTKTEGETVKPSGKIRFAVLVSGRASVQQGNRVGPSRSRTGYIQISPSKDGPWTDMKLNYAVPAACWRFGDCVIASEATVKEGNRYVGIRSLVSITNSTDFAIDLRLKGRNSQSEGVGGQGENTDKDDQIAIGVLEPGSSIPVPLSGLSHPVVAYTLQLRPDIHHERVQHSWSDVQERRSQTEFRNEQILDICVSDMYESENLLFCSQIDGSSSTCQGLWFCLSIEAKEIGKDVRTDPIYDWSIVIKSPLSLTYYLPIPAHYTISASRLDEEETSCSRGTLNPGSLMKVQNVDPRNPLYLSLVPHGGWESVHEPVPISHPTEVPSKFINLRSSLSGRTVQIMLEQSSDKDYLMARVIRIYVPYWISFGRLPPLTLQFIDITGRRDKRRYLVRPRAERSDKVLYDIEHEELVDGYTIASGLNFKDLGLSASVCRHGGGQFGALKELSPLGDMDGSVDLSAHDNDGNCTHILLCSKPCSYQAVPTKVIYVRPYTTFTNRVGQDLFLKLSAGDEPKVLHAYDRRVSFLYSDEVGSDKLQVRLMDTDWCQPLDIVKEDTIVIAMRKQDDTQKFVKAEIRGYEEGSRFLVVFRLGPADGPIRIENRTSNTTIGTRQSGLGEDTWIQVKPLSTRKYSWDDPYGQKAVDVSIQKGDVTSFHCVDLENPVASSSSFGEHGIKFNIVETADITILKFADCPRRQEGSPEPESELIASTLKQNETETGAGPLELIIELGVVGVSLIDHKPRELLYLNLQKVFISYMTGYDSGTTSRFKLIIGQLQLDNQLPLSIMPVVFATESMPDSNHPVFKANIAVSNVASNGIQVYPHVYIRVTDQTWRLNIHEPIIWALVDFYNNLRFVGTSSSSTVTEVDPEIRIELIDISEVRLKISLETAPTQRPHGVLGIWSPVLSAVGNAFKIQVHLRKVMHKSRFMRKSAIVPAIVNRIKRDLIHNPLHLIFSVDFLGVTKSTLSSLSKGFAELSTDGQFLQLRSKQGWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGVIGIAHGLGRAFVGCIVQPLSGALDFFSLTVDGVSASFVKCVNILNNKFVPQRIRDPRAFHRDGVIREYDSEEAAGQMALYLAEASRYFACTDLFREPSKYAWSDYYEDHFIVENKRIALVTNKRVILLQCLDLDKMDKKPSKILWDVPWEEVLALELAKAGYERPSHVIIHLKNFRRTENFVRLIKCSVDEEREPQAILLCSSIRKMWRSHQTGMKVVPLKVPSGQRPVYFASDDDRREPQNHARPLLSSRGASSNVEHRLINHTVNFQKMWSSEQEIRSRCKLLGKQVADDGRMFSIWRPLCPSGYVSVGDVAHAGIHPPHFAAIYKNVNGNFVLPLGYDLVWRNCAEDYRSPVSLWQPRPPEGYVALGCVAVSAFEEPPLDCAFCVNERLVEDAVFEEQIVWASSDAYPWGCYVYQVQSSSLQFMALRLPKEQSQQKPKKIAEWYLQQASEIL >cds.KYUSt_chr5.5276 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33132018:33134544:-1 gene:KYUSg_chr5.5276 transcript:KYUSt_chr5.5276 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGCSSHHHNCCPSPSPLQPVASAGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPKGQQATTLLDLMIIRAFHSKILRRFSLGTAIGFRIRKGTLTDTPAILVFVARKVNKKWLRPTQCLPAALEGPGGVWCDVDVVEFSYYGAPAATPKEQLYDELVDGLRGSDPSIGSGSQVASLETYGTLGAIVKSRSGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFSDDFDITNVSTSVKGVGLIGDIKAIDLQSPIGSLIGKQVVKVGRSSGLTTGTVMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQEALEEQRITIAAAAAAANSTATESSPVATPQENEKVDKIYEPLGINIQQLPRDGSANSTDQPNEFHVDTVEGMNNVEERQFIPNLIGMSPMRDAQEGNGELENLAELQNSPEDICFSLHLGEREPKRLRSDSTTVDIDLQK >cds.KYUSt_chr3.19988 pep primary_assembly:MPB_Lper_Kyuss_1697:3:123221044:123221256:-1 gene:KYUSg_chr3.19988 transcript:KYUSt_chr3.19988 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNQRIVRVNAHTGKTKKANRDGNDQRVLTRTDSSAVLARSTAVLARSTAVTTVLARSTAVLVGSNARR >cds.KYUSt_chr3.2089 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12148137:12150085:1 gene:KYUSg_chr3.2089 transcript:KYUSt_chr3.2089 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLHTAILVLFILPCLCTGYSGSQSRFTSIVSFGDSYADTGNLVRWADPVLPPLPFSNLPYGQTFFGHPTGRISDGRLVLDFIADALGLPFVPPYLGNEKDFTAGVNFAVAGAPALNLTYLQGQNLTLSPPIRHSLDDQLVWFQELKPSLCSRQGANCFGSTLFVMGEFGGNDYLSFLLSNRTVEQTGVYVPIIVDSISRGVEILIQRGAKYIVVADIFPVGCLPGVLTVLASTDKADYDRHGCLAGVERLLSRYHNSLLRRRIKVLRSKYPHTTIISTEYYRPFIAFLHEPAHFRLNRSTTLFSCCGAGGPPYNYNSSSACGQPGVMACAHPSEALQWDGFHLTDAAQKLIADGWLHGPYADPPILHVAH >cds.KYUSt_chr7.32084 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199787040:199787687:-1 gene:KYUSg_chr7.32084 transcript:KYUSt_chr7.32084 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVGQVGIGPTCHCVSGKILPPILHRPLPRSRRWRRHRHRASLLTLPSPRGASSAARGFKAASVSDRVDAISADPHGGPTTAVRIPRPLPPGVLTERNPFDLLRKEEDAADPAQEEEIEGPAAVGFNPAPVPGEEDEIQEAHGDAALPAAPADPAADDE >cds.KYUSt_chr4.16210 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100472158:100474311:-1 gene:KYUSg_chr4.16210 transcript:KYUSt_chr4.16210 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSGGGPLSPQAAAALQEGIGLVFGRWTALQMAVENEWGGRDSRAKADQLAASIFSWFANSNGPFYYDDLEPMMFDSISESLNADFEDGSVGEVAEQLLIMHEECVQNNFSSVEKIRNTRPQGNAVALSRQIANEDDNDSSDDDDEQSMGGNEAARSEDMAVDEPKPSKPTPDADGWTTVPSRRGRGKN >cds.KYUSt_chr7.24151 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150475830:150477420:1 gene:KYUSg_chr7.24151 transcript:KYUSt_chr7.24151 gene_biotype:protein_coding transcript_biotype:protein_coding METTTIGLGRDHRHPCEYVMPFVTGIPSNLADDHRVAMARLGKRQPGGQVHSIERAFRCEPSPPDAVRAGPVERADEVGFEDSFDVVILLLDLLGQILVCYWSTFRYLRCRWRCS >cds.KYUSt_chr4.2630 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14721351:14721809:1 gene:KYUSg_chr4.2630 transcript:KYUSt_chr4.2630 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALAAARPDDRDDLGCVASVNAVSLAHNRLLQARLRQLRQKHPGAVIAFADYHAAHLAVVKSPATYGFAERFKACCGAGGGDYNFKILSTCGSPEVGTACAQPARYVNWDGVHMTEAMYKVVAGMFFQDGSGKYFRPTFSSLLARKAQGN >cds.KYUSt_chr5.23999 pep primary_assembly:MPB_Lper_Kyuss_1697:5:156074271:156074585:1 gene:KYUSg_chr5.23999 transcript:KYUSt_chr5.23999 gene_biotype:protein_coding transcript_biotype:protein_coding MWPTPDGVRLDTEEDVALEMALGCGPASLLMAARIETADGDVRVDGREELEGVARRTHDQGSDASLASTQATDGSSGCCFLLERGRGRASNKKRRGVVAAGREK >cds.KYUSt_chr7.39904 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247888600:247889100:1 gene:KYUSg_chr7.39904 transcript:KYUSt_chr7.39904 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLAAVLVCLLAVPILGFLGSYTRRVYAQLAVHKIGDPAVAHRALIDNADDFSDRPAGIFPVSLATWRDGEPNENVTTVSYGRHWSALRCNLTADILHPTRLASLAPLQQDAARALVARLSSVGAEVADVREHITAAVFALVAQLCFGDDAGVDDVRAMGSNGA >cds.KYUSt_chr4.52865 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327936446:327937648:-1 gene:KYUSg_chr4.52865 transcript:KYUSt_chr4.52865 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSPSPPAAAMATPSNAAPGAASSYEQWRRAEEDGNNDLECGAVPMANVFLALGSSPRGLSSAAAASRLAATGTGQTRTWKQSRLLKLVPLAVETAAAVAMAIGLVRGGGYKAADASIAALVAADFITGFAERSSAEGAAAPALLSRLAPPSRRTWVRRDGVWSEQEAAELVPGDVIRMAPRDAVPADAILLVVDNGYSPQQIRIDQSALTGDPAPAAKLPGDDVYAGSACANGEAEAVVVTTRAQTHLQQAVLLNDATMYNIVVWMCGPVWNVFVVAVCFNFVVDVVAGKVSHNLGGEIVAMVFIAFLFAISGCFLSKLSESDSRRCRRRPERDPEELMRRLEVLWENGSISEETLRRCRDLFGVEPVSAELVTTLAEHFGWRAMGHEDGEDEDDRAA >cds.KYUSt_contig_1993.159 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:972260:976625:-1 gene:KYUSg_contig_1993.159 transcript:KYUSt_contig_1993.159 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTHGHLKFGTERYRPRRLSRLRHQGLLTSLFPKYLLPRRPLLPIILPELAMDMVTRSWSTSNPAPHLPRPRHDDALASPSPRRMLPRPALPHSSRSRARLGRDHHATAAFPTDATLPCYKRAPTALHSLPTTPLYLPKLPQNALRRYPGCSMRARLPPPWAPQDGGEERARPGRPLLSLSLPTPHPRSAQPHPPFFAARITGGCHRRRSAVGCCEEEGVAGECCGQGGRPPCLSLHRRHLPPPASHRRRAPPPARIALVSHFCAEIQLSGKSPEFMSNGLFFQKNYGARRADLGEAQAPQTTGRRGLEGGRAALACGPLGQPLTPSSGLLKGFDLKTRDGKSKSPETIQYAATVAKLRLGTRNSVLALHRDGELEEIIAIITTDASPSTSNVFPIHV >cds.KYUSt_chr2.12237 pep primary_assembly:MPB_Lper_Kyuss_1697:2:77490320:77492215:-1 gene:KYUSg_chr2.12237 transcript:KYUSt_chr2.12237 gene_biotype:protein_coding transcript_biotype:protein_coding MATFPQFRLHLPRFLHPMQGYQGRKGSADDTLPHPTLPALTAPAVAAAGAKTFGAWRRLGPFLVDAWWTAAGSPLLDAWRTDRDESVTKAAVAVLGDLADTLGPISKDLFKSHLFHVEFLTECQDMDDEVRDTASWTQGMINQAIVS >cds.KYUSt_chr7.23570 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146917411:146917620:-1 gene:KYUSg_chr7.23570 transcript:KYUSt_chr7.23570 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAASGRPGSWARPSKTVLLEPLILSEAGLCDMDAEGRYSTTTEAIAAVQATRAARSSRRSLVSAST >cds.KYUSt_chr5.11184 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72463526:72465979:1 gene:KYUSg_chr5.11184 transcript:KYUSt_chr5.11184 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHSCSIKLPSSVYSISSIHVTIGKDVNKTKGLLMPEAEWNDEHTTLICELFVEQVRAGNRPNTHINNTGYSLVAEKFEQRTQLLYTKTQLKNKWDKLKRDYINWKELLARGAGLGWNNGKGTIAADKDWWKNTCTELPGAKKFRRAGIKNENHLKMMFEDIISSVVDHSSPAADSLPSAPDSTLNVESHDRSDNNVESFSEDNHGSQLDHNDDTQLGNNDAIQQNHDPPSIRNDVEESHGTQLDRHDDTQLGNNGVIRLNHDPPSIRNKRRPIHVNTMESKKVESKRNKTETALLMQAQLKRIVELAEEAQSIFDKFSSQADSPRSDIQDVMTLVRECGARSGSDEYFIATELFVNLEQRQMFCTMETAEERLEWLRRKYNAKYG >cds.KYUSt_chr1.42791 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261866275:261868525:1 gene:KYUSg_chr1.42791 transcript:KYUSt_chr1.42791 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSALLRAAAAALRRRARIAAPLQSISPLTHTLTPPPFPFPFPDPTPIPAARQHLITLTRRPCPNPPSAAASSYYVDRILLPSTFSRPHSTDEGKEDGVPAAASWVERRLPEAARPYAMLARLDKPIGTWLLAWPCMWSIAVAAMPGELPDLQMLGLFGCGAILLRGAGCTVNDLLDRDIDNKVERTKSRPFASGALTPTQGVCFLGSQLLLGLGILLQLNNFSRVLGASSLLLVFTYPLMKRFTFWPQAFLGLTFNWGALLGWAAIKGSLDPAVILPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKQWISAFGAASIGSLALSGYNAELGWCSLSECDAL >cds.KYUSt_chr4.18239 pep primary_assembly:MPB_Lper_Kyuss_1697:4:114673054:114674340:1 gene:KYUSg_chr4.18239 transcript:KYUSt_chr4.18239 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVIVEASWDSLGLSSQAEESEMMEQLLGTFPSNGDESHHQELPWSVQASDAYYAHCNGSSNAYSSASSNSVGSLILDVPSDYGGFYLGDSNGLDLNMVQEQGASQFMDAILNPSYGNGDSSCEDLSMNLLDSIDDTSNKRKRQDQGKEADETRGRKCSRKADSKRAKKTMQHGGEDGAIAATTKGQSISCCTSEIDSQESPVASNPKGKTQAGRQPTTDPQSLYARKRRERINEKLKVLQKLVPNGTKVDISTMLEEAVQYVKFLQLQIKVLSSDDMWMYAPIAYNGMNIGIDLNLS >cds.KYUSt_chr1.31473 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190837865:190839464:1 gene:KYUSg_chr1.31473 transcript:KYUSt_chr1.31473 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAPAAAADSPGEAPPAAKKTGRVKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKVTTPPPSVPAVAPPAPPAPPPTTIDVDKVFDVESTTSYLDMLNDSAVNLDAGIGAFDGECNVEDFDDEEEDEGDEEVVEVDPAAAGSSSTPKPRTANYSEIEDVILVRAWSKVGMDACTGVDQGGKRYWQRIEDQYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCIPEDYVSFPCVHDVQTSQAIHIVLMMWKHLLIILLLCSPIAGQRAKVQEGGTSHMDDEAEDMSERNIGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARKELDMKMIKAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWKETKADIIARKKAARQARDQGESPASGGAGGDGSLDG >cds.KYUSt_contig_2821.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000524.1:32150:34767:1 gene:KYUSg_contig_2821.2 transcript:KYUSt_contig_2821.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVVRRVIPSDNSCLFNAIGYVMEHNRNKAYELRQVIAAAVASDPEKYNEVFLGKPNEAYCAWILDSEKWGGAIELSILSEYYGREIAAYDIQTTRCDLYGQEKNYGERAMLIYDGLHYDALAMSPFEGAPEEFDQTIYPVDRNRSIGPVEGLALNLAKEANRKRSYTDTGSFTLRCGVCQIGVVGQKEAVEHAQATGHVNFQEYK >cds.KYUSt_chr7.28305 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176726900:176729557:1 gene:KYUSg_chr7.28305 transcript:KYUSt_chr7.28305 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKFLNKKGWHTGSLRNVEKVWVAEQKEKEEQHKIEEYKKQLKEEREKAEFRAIQEQAGFKPRQERLEFLYESGLAVGKGSSDGFQALQQPAPAAAASSSAAPASAAGSSKETSLGALFEEKPQSANDTWRKLHSDPLLLIRQREQDAIARIKNNPIKMAEIKKSIEAEKIQKEEKKEKRKHKKHRHHKSKSKRHHSDSDETSDGKDEGRKRVPSAPEHKKEVNRSRHKKKDSRQESSDTEDDEPRKRRRGMSEDDQPKRGRLDTSEDDQPRRRHWEISEDDEPRRRRRDMQEDDERRRRRDMPDDHEPRGRRQEMPKHDDRSRRDRSDADDRRKHYSGSDRHHAYPKHDSSDSKQRSTGDVGKNDNSTSKHRSHPELGSDDRRRHDSQQGRELGSEDRRRPESQQGREIGSQDRRRHETQQGRDLGPEDRRRQESQHGRNNGSAVNRRRGGVHHMSEEEREARLRQMQDDAEVHEEQRWKRLKKAADDDLKEAATVTANQFRGKNFLQDERKSIFGAEKGGSATIEESIRRRAYYSQGGGDAHESNAFRR >cds.KYUSt_contig_680.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000061.1:52884:57076:-1 gene:KYUSg_contig_680.9 transcript:KYUSt_contig_680.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDNIAAPGDERQDPPPPNPSEVEAGGEEEAGEEKTLERAEELFDKGSKAIEEGDFVDAVDCLSRALEIRVELHGELAPECASTYYKYGCALLYKSQEETDPLGNVPKNAPDEESVKSTANKDNGNSKASSSNVKDAPSPDKGGLEEGQNSNEKDQEDVDGDSDKDGDEMGGDEDDSDLDLAWKMLDIARAIVEKSPDNTMEKVKILSALAEVSMEREDIDNSLGDYFKALAIVEHLVEPDHRRIVELNFRICLVYELASKIGEAIPYCAKAISLCKSRLQNLKNAKESLLADGGDSASADGGSKKSSVEDEMEVITGILPDLEKKLEDLEQAMATPSSQIEEIMKSIAAKAGGMQNAGSVPRAASLTSSQMAGVNNGFDSPTMSTAATSGSTGSTVTDLGVVGRGVKRANIKPISAEPCPKRLAVDASPSVKGDSSINSDAHPAAQDGEGSVSK >cds.KYUSt_chr7.39542 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245788550:245795308:-1 gene:KYUSg_chr7.39542 transcript:KYUSt_chr7.39542 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPLLLCFLLRFSQGLGVPDRIEEVVRAVVVVELLIGARGSYYINYKLMKKKVKQYGQQLQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGMLASRIEKLGKQRAILAEQPDISGIADLREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFSYRFTDYYVSSRSNHPYSQLQQVFKHVGVGAVVGALSRNLVDLQERQGSYLSIYDQPTTALKDPIIDMINSSVDKLTRSTNFLRFLGQHALIAHEESPSTAGEEEIEDQKYHFISLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLFLGNVCYAMAYDMNSLTVLIIGRLLCGFGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWRFKIYMVTFSQSTLPGWLMAVAWLLYLVWLCISFKEPNRADEVYDTQQNTAPGQRVDIEQVENGLAQPLLGNSETKENEEEDDDDDDEEDDSEECAQDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITSHYFKWNTSAVAIFLAILGLTVLPINAVVGTYISNMFEDRQLLMASQIMLLVGIIFSFKVTSTYSVVQYVISALITFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITAAGYLGIGSLLNVTLLPSLVICAVSIACTFLTYNSLF >cds.KYUSt_chr2.2680 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16017632:16020070:-1 gene:KYUSg_chr2.2680 transcript:KYUSt_chr2.2680 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYAAAAGLVLLHIVVAVVTAGDDPLLLACGAAAPITLPDGRVFLPDSNISISPAHASRTTTTAASSPLYSTARAFSADATYSLPVPRSPHRHLLLRLHLLQSAHFAVAAGDLQLISDSRAASPAAWGRYNYREYLLPHRGGGLLRLRFSPRPGSLALLNAVELFPAPNALLPLPFNPLRLAETFYRVNAGAHGAASSLNDSLWRLWESDAAYLLNAAAASSVSADPASVRYPQGAAPPYAAPAAVYAQAQEMADARVGSQRFNLSWAFPVDPGFRYSVRLHFCDIVGRNSTDLVFDVYLNNDTVLSSFDLSGSLGLFDAYFVDFVVAVPPGLGRILLQVGPPRVSYSQPNAILNGVEIMKLGDQQTVRRVDALTADQPALKGHKKKVAVIAAVTAGGAMFIICIVGMLLFLRRRRKGKEKHRSLARQPSSSIGLDTHTGISASNVSAARSCPSSGPSLGIGQIMQATNNFDEGLVIGVGGFGKVYKGVLWNDTVVAVKRGNPRSQQGLLEFRTEIEMLSKLRHRHLVSLIGYCHEAGEMVLVYEFMAGGPLREHLYGSALPALSWKQRLKVCIGAAKGLHYLHTGVSETIIHRDVKTTNILLDHNLSAKVSDFGLSMSAPAIDQAHVSTAVKGSFGYLDPDYFRRQQLTDKSDVYSFGVVLMEVFCARPAIDPALPREQVNIVDWAMKWQKLGQLERLMDPRLVGSVSIGSLRKFGETAEKCLAEYGVDRPTMGDVLWNLECALQQQESFLRYAGEASSSGTNGTQGFLGRTRRAGGDALDEGCSIVGDVTDATVGTTVFSQILDPRGR >cds.KYUSt_chr7.36838 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230203556:230205034:1 gene:KYUSg_chr7.36838 transcript:KYUSt_chr7.36838 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNDEQPPVHILFFPFLAPGHLIPIADMAAVFAARGARCTILTTPVNAATIRPAVDRANDANSHGTGNAPAIKISAVPFPDVGLPPGVENATGLTDQADRDNFWRAIQLLREPFDRFLADKHNHPLDAVVSDSQFQWSVDAAAEHGVPRLAFLGTCMFARACTDVMLRKNPMMDPSPDQDNPDAMVFLPGLPHSVKLRRSQMPDPRKQPKVFAFFKVVNEEDQKSYGEVFNSFHELEPEYVEHYQSTLGRRVWLVGPVARPDMDARGSGNTSNSLSPEADGCLRWLDAKPAGSVVYVSFGTLANFSPDELRELASGLDLSAKNFVWVIGTDAITNTAPSSEWMPEGFAELLAHGERGLVVHGWAPQVLILNHPALGGFVTHCGWNSVLEAVSAGVPMVTWPRFGDQFFNEKLVVEVLKIGAKDYASAIETHHLIGAEVIAESIGRVMDGDGDAIRSNAKELGEKARGAMEDGGSSYRDVGRLMDELMARRC >cds.KYUSt_chr3.40575 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255986965:255993741:-1 gene:KYUSg_chr3.40575 transcript:KYUSt_chr3.40575 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAGRLLPLLLLFLLALLLVDDDAAAASSVTYDNRSLIIDGRRRLLISTSIHYPRSVPAMWPKLVAEAKEGGADCIETYVFWNGHESAPGQVRPQPLHQFVVLHLVCSSQAHNGRGFHARLVNVLQYYFEDRFDLVQFARVVKDAGLYLMLRIGPFVAAEWNFGGLPVWLHYIPGTVFRTNNEPFKSHMQSFTTKIVDMMKNEQFFASQGGHIILAQIENEYGGDEQAYGAGGKAYAMWAASMALAQNTGVPWTMCQQSDAPDPVADVYTDHSGGCAAFLANIDSENDKVVTFRDIQYDLPAWSVSILPDCKNVVFNTAKVNVEVPQGDDPVGLDMLSMGKGLAWLNGNAIGRYWLRTSSTDDRCNSSCNYRGTFSPDKCRSGCGKPTQRWY >cds.KYUSt_chr4.47242 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292323708:292324223:1 gene:KYUSg_chr4.47242 transcript:KYUSt_chr4.47242 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVTGSPCGACKFLRRKCAAECVFAPYFCAEDGASQFAAIHKVFGASNAAKLLQQVAPGDRSEAAATVTYEAQARMRDPVYGCVAHIFALQQQVVALQAQVAQARTHLVAAGHGHPLLYQQQAAWQVAETSTTQSSSGCYSAHRSDDGSSMHAPAEMYCFGEQEEGSY >cds.KYUSt_chr1.35964 pep primary_assembly:MPB_Lper_Kyuss_1697:1:219098083:219099856:-1 gene:KYUSg_chr1.35964 transcript:KYUSt_chr1.35964 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAYPLQSSSSESELPVASRGVACLAVAGLSFTVPLRRGLGGWFFVKSESMKSDDDDVEVYVVASVAIDVDANVVPDAANANTEAAISAADADDADDAEGASIRLMISFKIVLW >cds.KYUSt_chr5.6788 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42032737:42034902:-1 gene:KYUSg_chr5.6788 transcript:KYUSt_chr5.6788 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPGPDDDSLYLYLSDLIPASPSSYLDLPPTPHHDHHHHLPSTDLDDLVAGGADSPSSTPEDLVLPYISRMLMEENIDDKFFYDYPDNAALLEAQKPFLDILSDPSSNSNCTSDDTNRAASPCSPSDGSVCTSAITNADSYDRRFHYDPVVDLDPAAFFSAGANADLMNSAFLKGMEEANKFLPSQDKLVIDLEASSANFFRGLDEGNKFLPTHNTKGAARTVKKEEAVEAISAISSISNSNSNLTSGGGGGRGRKNPYRDDELEQEGGRSSKQSAMQGDDVTAREMFDRMLMPSEEMCVEQMQNLRIAMQEAVAKNDAAGGGAGKDGKARGRRGASDVVDLRTLLIHCAQAVATDDRRSATELLKQITLHARPDGDGTQRLAHCFAEGLQARLAGTGGMVHQSLMATRISAVDMLKAYQLYMAAICFKKVSFIFSNSTIYIASLGKKKIHIIDYGIQYGFQWPCFLRRISQREGGPPEVRITGIDLPQPGFRPKERIEETGRRLSKYASEFNVPFKYQAIAAAKMESLRKEDLNIDPEETLIVNCLFQFKNLMDESVVIESPRDVVLSNIRKMRPHTFIHAIVNGSFSAPFFVTRFREVLFYYSALFDVLDTTTPRDNEQRMLIEQNIFGRAALNVIACEGTDRVERPETYRQWQVRNQRAGLKQLPLNPDVIDIVREKASNGYHKDFVIDLDQNWLLQGWKGRILYAISTWAANDASS >cds.KYUSt_chr2.32131 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198186429:198187871:1 gene:KYUSg_chr2.32131 transcript:KYUSt_chr2.32131 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPVVPRMKLGSQGLEVSAQGLGCMGMSAAYGDRKPEPDMVALLRHAVGAGVTLLDTSDIYGPHTNEILLGKAVQGGVRDKVELATKFGITLDDGKWEVRGDPAYVRAACEGSLARLGVDCIDLYYQHRIDKSVPIEITMGEIKKLVTEGKIKYVGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFLSSGPKLLDTFPDDDFRKNLPRFQPKNMEKNAAIFERVSEMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKIQNFNQNVRALSVKLTPEEMAELESYAAMDLVQGDRYNSTFLNTWKDSDTPHLSSWKTT >cds.KYUSt_chr5.20640 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134087852:134088902:1 gene:KYUSg_chr5.20640 transcript:KYUSt_chr5.20640 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMMMEMAAVSMEKPSGGTSPLRQRAGTETPVPQILASRWRRLWKVVGASKICVAAAGGEGQEVCDEGGVLVAGRPAAFPDAADEALERPASASSSHAGACFWGLPRCSFCTPAGGDRAFGSFVGVCYLRGAWGVSDAESRGGGGDADSFVFGAGRGTGGAAVDRGRSRAAFQTSGRDTGGLL >cds.KYUSt_chr4.34764 pep primary_assembly:MPB_Lper_Kyuss_1697:4:213534030:213540380:-1 gene:KYUSg_chr4.34764 transcript:KYUSt_chr4.34764 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLMKQAVAAQQQQQQMMQQALLMQHHQQHQQAAAGPPMFPAHHPHPGLVAAQQIEPIVSGNLPPGFDSSTCRSVYVGNISLQVTDSLLHEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRYAALAIVSLNGRQLVGQPIKVNWAYTSTQREDTSGHFNIFVGDLCPEVTDAALFAFFSAYSTCSDARVMWDQKTGRSRGFGFVSFRNQQDAQTAINELNGKWLGNRQIRCNWATKGANAGEEKQSTDSKGMVELINGPSEAGKENANEDGPENNPHYTTVYVGNLPHDINSNDVHRFFHLLGAGSIEEVRVTRDKGFGFVRYSTHEEAAVAIQTGNGQLIGGRQIKCSWGSKPTPPGTASAPLPPPALTPYTPGVSAADLFYERSLALSKMAANPGLMGQHASLRQAAMGMGAGASQAIYDGGFQSVNPQQQQQQQQLMYY >cds.KYUSt_chr3.6006 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34249981:34250424:1 gene:KYUSg_chr3.6006 transcript:KYUSt_chr3.6006 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGGGALAHRSAASPCSRCGGAGHFEPLCTTPAVSDATDGAAARCGVCGGAGHGGSNCATTETADIRCETCGELGHRPIDCPARAGIRCETCGELGHRPMDCPTRAADLDDPNLASVDYHSCATCGEPGHFAPACPDFSVDWSAL >cds.KYUSt_chr1.14912 pep primary_assembly:MPB_Lper_Kyuss_1697:1:86712916:86722707:-1 gene:KYUSg_chr1.14912 transcript:KYUSt_chr1.14912 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLAAPSPSHSGATSGGGASNRKRKLPPPSLSDATADEDDDTTAPSSPATSPSSPSRPSSPSSSHSDEDDDDSLTTFTAARLDAAPTSSSGRPPKPDSSSASAVTASAAAPKNDAASAAAGDGDEDSKGLFTDNIQTSGAYSAREEGLKREEDTGKLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNNVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITFDKERWQGYIKDYDGGILMECRIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKKEAGIPRRLMKPEDIPGLREAGWTPDQWGHSKSRSSFSSDYNTYRQQLTGLMRLLLKSLIDHTDAWPFKEPVDSRDVPDYYDIIKDPIDLKTMSRRVESEQYYVTIEMFVADLKRMFVNARTYNSPDTIYFKCST >cds.KYUSt_chr6.27413 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174073000:174074718:1 gene:KYUSg_chr6.27413 transcript:KYUSt_chr6.27413 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPTCESRNIVPVLQQFYVPRDECFNHVKKADFTSYLLKAISAGILPLARELFDTAVPREFDDFEDMYKLYEGGITVDKAITDGRFFILDHHDNFIPQLLKINSLENTFVYATRTLLILQDDDTLKPIAIELSRPHLDEIGTKVVGADSKVYTPPPLAGSESDNKVQDT >cds.KYUSt_chr5.31156 pep primary_assembly:MPB_Lper_Kyuss_1697:5:197328353:197337380:1 gene:KYUSg_chr5.31156 transcript:KYUSt_chr5.31156 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRRGPATDGVADAHRCTDPPPHGDAMLMMRDALLWQLHKDRLRQEIIVGELAKIERTVALRTTSGHHTTAMPWDSMPQHRGPIFGWEHYGDVSEENDVKLPPNNDRQTAESRFPKPVGEDRANKSCNTCKCGCNAGPQSSAFDDLKLQDPVQTVPPSKTSPAVKWELTGITIPVKKPKPKLPMTWSCTVCQVLVNIEKVVQKHCAGTMHQSNIATLESRIKAISGQKAKKGAKPSTGTDEVKTSSIRWSCSTCQANGLCQSDLEEHLKGTEHQHNIIASCKEGSNNDMVKNIAPDEAKSHKSNVPQHAEKAHSVGCSTCQVMCGRESDLQIHLKGKRHLNKIRAVLEESKNVAALCQEGSNNDVAKNIAPHEAKSNESNVPQHAEKPPLVACDICQVICGHESDLEIHLKGKRHLNKIRVLLEESKNITMDPEAHKTDLNQDSAPQRMEKTNCELDLESHLRDERLQLNIQALGEKTKQEKSNPQEIAKGRIPSSEWDCATCQDKCNSKAQFEHHCTNREDQRKINVILGEDDIAKVSSLHIEVPCKEGSNNDMVKNIVSREAKAHQSNVPEHAEKPPSGWSCSTYQGICNCESDLDIHLMSKRIPDIVKECRNMAMNSESQNTKVNPNSVPQHVEETNCQLNWESHPELRDERLQPMNDQALRETINQDKNNPAEIAKDQIPSSEWDCAMCEVKCNSKAHFEHHCAGRKHQQKINMTLGEGDIAKVSSLHIKVPCKEGSNNDMIKNIVSQEAKSNQSNVPEHAGKPPLVGCSICQVMCGRELDLVIHRNGKKHIKKIRALLGESKNKEMNSEPQKANLNPDTVPQPAEITNYELDLESHLTEERHHLMNDWTLHEKISQDKINPPELVNDQIPSSEWDCAVCQAKCNSRAQFENHCLSRKHQRKTQMVLSKSDIAKTGCLEALDELPSDGSNSKNELPSDGSNTENEQPSENMEEQKASNVCEVTMPIVIRRWDGPGLSGRKSDQFARHTVDQTTGRVWKECSKFPPPAPPLPHSAFATVSFSRVPVLSSRKQTTHSCLQFLPLAALLCSPGTRPMEFSRRGRATDVAADSNRCPEPPPHDPPASLPVVLRGLYALQADAMLVMSDALLWQLQKNRLRHEIIVAELAKIERAMALRAVPMPRHGMPQCRGPVNCWDRYGDVGEDVKLPDNDAQQSAESRSWKPVMKDRVDQCWNPCKCSSETVPPNKKSLEVKWELTGTTILVKKPKLLMKWSCAVCQVQVNSEKNLQMHSAGKKHKAIIAILESRIKETGGQKTRIEAEPSPGTDQINTLSLKWSCGTCQANGTCQSELEEHLKGTKHQQNITASSNVGSNNHAAKNIAPHESNESQHAEKPLSVGSCNICQTITCSDLEIRALAEQCKNMAVNSESRKGNLDPNGMPQHLEKMNGELGLESHLRGGRHQVDVQVLHKNINQQKNSIHQIAKDQEMCEAQCNAEPQFEHHCESRNNKQKINVTFREGDVTKVSSLHIEASCKEGNIIDVAKNVVSQEKSHDSNVPEHAEKLPSVQSCSICQGICNFKSDLEIGLMCRRHIRAKVKIAKDQEPTPEVECAVCEAKCNSGPQFHHQGKSRKNQQKFNVILNEGDIAKVGIESTCKEGSNNDLSKDIVSLEAKSEERDVLEHVQKTPSERTCSICQGICNCEYNLKIRTMAMAMYMKSRKAKLIPNGVPKHAKKMNGEFDLERRQLNVQALSENFNQWKNNLRQIAKDQEPAPEVESAVCEAKCNSEPQFDHEGKSRMRQRKFDVMLGKGNIAKVSSLHIESTCKEATNNDTSKDIVSLEGKSQQRDVPEHAEKTPSARTCSICQGICNCESDLVTRDMGKLYCKVTNSESWKAKLIPNSVPQHAEEINGSLDLESQQLSVQALGKKNIQKRINPPEIAKGQISSSEWHCTMCQAKCNSKDQFEQHCAGRKHQHKLILAVKATSCK >cds.KYUSt_chr2.39190 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242917097:242917486:-1 gene:KYUSg_chr2.39190 transcript:KYUSt_chr2.39190 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLTILSVLALLAVAATAQAPGAAPAAAPQVPPPPPATPPPAMAPPTPAPMSPPPAAAPVPVPTVAPTMAPTPSAMAPAPADSTISSPPAPTPDMAPTAEPTTPSSAAGLRPAFVLAAAAVAVYVF >cds.KYUSt_chr2.2284 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13843889:13845124:1 gene:KYUSg_chr2.2284 transcript:KYUSt_chr2.2284 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLALTDDLLAEVLARVPSPADLARASASCASLRRVATSARFLRQFRSLHAPPPLGVFFPDGAAFYPALPPNPTAPAARALAHAADFSFAFLPAPARAWLVRDHRDGRFLLDRAAPAGSTAFTEIAVCDPLFRRHIPLPPIPADLAASVENPYLQRGGDEGLPHSRSNEIFLAAPRRTSDNDNSSSPPFAVIWMACCRGKLVAFSFSSESQHWHTLSPPVHQALSMRRVMGVRLGQRNHAHGCFYWMITLTRRWLVLDTRRMEFSILDISPVLLGRTMMFSNQITTLESEQGRTTVVVSDLFRADKRCVLYFYTFMSFTDRWQLQHKITLPEEWGDRFRGIIGAFEQRLFIKLDHPKENLGDPVEQNVTYFWFDVKTMEVGRFTEISSATVNEAYLYTGFAPSLSLPSI >cds.KYUSt_chr3.38010 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239233599:239234964:1 gene:KYUSg_chr3.38010 transcript:KYUSt_chr3.38010 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVERHVAEEEGEGSSSAAAAAAGEEEDTGAQVAPIVRLEEVAVTTGEEDEESLVDMKAKLYRFDKDGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICANHLVISTTKMQEHAGSDKSRVWHAADFADGELKDEMFAIRFGSVESEFSALLPRLAHDAVSVLWHVPFAKLLLDTHVRTMVIVVVYAGDVLQPPKLIVHAGFDPT >cds.KYUSt_chr7.22788 pep primary_assembly:MPB_Lper_Kyuss_1697:7:141450564:141451385:-1 gene:KYUSg_chr7.22788 transcript:KYUSt_chr7.22788 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVRNLPKEEDPRETGLEWALNIRLTKMTSMRCKISFLWWRAWHLRNNSIFGDGKAMINDSACFIENYYNTTMQLNFGMPTPDRKGKCLLSPVAASRNEKDRRTTTQKWTKPPLGWAKINTDASFISANGAAHWGAIVRDDQGNTISSAWSPIPRCSTVEEAEAIAVLEGLRLASTVDTPCCLETDCKSVTDAWNRDTIKRSQAGIVINEAKHAALSFQNLKIEFIPRSANGAAHRLAAFSRSTGCNGVLYGSVPECVLDQVLSDCNQNNIL >cds.KYUSt_chr3.29260 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183062197:183066223:1 gene:KYUSg_chr3.29260 transcript:KYUSt_chr3.29260 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASGDDDRHHGVAERVNLSARRPFTEALRSGLSETFFPDDPFRGFGALPPRERAWGALKYFVPALEWAPHYSLDKLKYDLLAGITIASLAIPQGISYARLANLPPIIGLYSSFVPPLLYAVFGSSNNLAVGTVAAASLMLASIIEDEVLPEDDPERYLQLFYTSAFFTGIFQTALGVFRLGLIVDFLSRSTITGFMGGTAMIIIMQQLKGLLGMKHFTPKTDLISVVGSIFHYRDEWKWQSAVLGICFILFLLSSKHLRKKMPNLFWVSAIAPFMVVIIGGVFAFLVKGDEHGIPIVGDLKKGINPISISQLRFDTKNVEIAVKAGLMSGILALAEGIAVGRSLAMIKNEQIDGNKEMIAFGMMNIVGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCILLVLLFLAPLFKYTPLVALSSIIVVAMIGLIKVKEFVHLYKIDKFDFCICMVAFVGVVFFTMVIGLSASVGLSVLRALLYVARPATCKLGSISGTEIFRDTKQYPHAKSVPNILVLQLGSPIYFVNAGYLRERILRWVEDEENICKGHGQDLQYMILDLGGVTSIDNTGIGMLGEVHKGLDRKGIRMALTNPRLEVTEKLVLSGYIKDTIGEESVFMTVKDAITSCRYALQRSASKEGGSQV >cds.KYUSt_chr1.42083 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257850776:257852623:1 gene:KYUSg_chr1.42083 transcript:KYUSt_chr1.42083 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHRPLSAMAVAAFAAVSSIELPDRLSHHHRLVDASTDAVVSLPPASKLEASASSVTPLSGLHFFPRNHHQAFCLPKAPVASLPVINTVHQYAGLAKASGGTAAAVPSSSSPDVLYRWHLPDPAACSDVSSDDRSQTVVVLLGWLGSKQKHLKRYADWYTSRGFQVVTFTLPMSDIVSYNVGGKAERNVEMLSEHLADWVREEDGKKIVFHTFSNTGWLCYGVILENLQRQDPSALQKIKGSIIDSAPVAVPDSQVWALGFSAAIMKKHSVATKGAAPGDTRSDVLVVESHRDIKPAATEAVLLSALEKFFNVVLNYPAINRRLSGVMELLSSNQPNCPQLYMYSSADRVIPAKSVESFVESQQRRAEREVRSCDFVSSPHVDHYRSNPGLYTSQLTSFLEDCVLTCRREDSPSPQPLPA >cds.KYUSt_chr1.30174 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182635036:182636291:-1 gene:KYUSg_chr1.30174 transcript:KYUSt_chr1.30174 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASPPAGPAASSRASATRSRAGRLSLPAALPADGRGDSATTYKELGLYSWKRRIEDVVIRVEMTASNALKWEEAQRIKHEEVLQSRSLWDNPAKSHEALSALSDAIRAVDHLKDLLYKAEEAKLISQLAGMDVINGELFKQAYNISLDASEFLDRYEMYKLLKGLYDKEGACVIVTAGSEGVASEVS >cds.KYUSt_chr2.35872 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221767714:221771815:1 gene:KYUSg_chr2.35872 transcript:KYUSt_chr2.35872 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVSVRCWSENVEPTPASDTCTMVSKQGKGMCNIHKAIVTHDYEEHAERLVMLDVLDLQATTQLDQLGTMAPMAGAKHGRLPVTVLLVAACFSHLLLHPLAAAADAVEGRDGQRNTERISGSAGDVLEDNPVGKLKVFIYDLPSKYNKRLVTKDPRCLSHMFAAEIFMHRFLLSSAVRTVNPEEADWFYTPVYTTCDLTRAGLPLPFKSPRMMRSAIQFISKKWPFWNRTDGGDHFFVVPHDFAACFHYQEENAIARGILPLLRRATLVQTFGQKNHVCLKEGSITIPPFAPPQKMQAHLIPPDTARSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNALFDISTEHPATYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVDEEDVPKLDSILTSIPIDDILRKQRLLANPSMKKAMLFPQPAQPRDAFHQILNGLARKLPHPENVYLQPGEKHLNWTAGPVGDLKPW >cds.KYUSt_contig_402.24 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:75042:78439:1 gene:KYUSg_contig_402.24 transcript:KYUSt_contig_402.24 gene_biotype:protein_coding transcript_biotype:protein_coding MEENDLRTGILVKDGATSHNAEESHSLLEVCQQPELKCTSSDWKAPAIILGFECLESMAFNGVATNLVVYIRSVLHGGIASSASTVSLWYGTSFFVPILGAVMADAYWGNYKTVLISLTMYLLVDVYLLVEGYDQMSMHLAGHGTIVVWIQENVSWALGYGIATTCIALALVGFVVGTPTIRRREPSGSPVKSIFQVIAAACKNMDLALPADSSLLYEATSKNAHTSESKLAHTDDFRVIVPATRTCFASGVELTQLQRIGIGRFLMIFAMAMAALLEKKRLQSVQHGEQLSIVWQLPQYFVIAGAECFAVITQLEFFHGQAPDSMKSMLTALALLTVAVGNYLSSAMITLVAGVTRAWHSPGWIPDDLNEGHLDYFYWCLTAISFVNFLVYIYFASKYKLKKIIMHG >cds.KYUSt_chr2.48769 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305078183:305080435:1 gene:KYUSg_chr2.48769 transcript:KYUSt_chr2.48769 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGYTRAPPIAEDAAPSAILFVANCGPAVGLTHNDVAAAFGAFGEVEGVSAADDSGARVIVRFREPAAAGAAMAALHGRPCGRLAGRVLHIRYSVPAPAPKAPAGVSLRVALSSSELGIPGIHLVQEFVTAAEEQELLAAVDSRPWKRLAKRRVQHYGYEFLYETRNVDSKQFLGELPSFVSKILEKIVTFPGVKSCTTKLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRQYPKGSWRAPSMVNGTDEPSIQDPQCIRKAVFLPPQSMLLMSGEGRYAWHHYIPHHKIDDVAGQVIKRSLRRVSFTFRKVRMGLCDCEYGQFCDSQSK >cds.KYUSt_chr2.14767 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93037502:93037759:1 gene:KYUSg_chr2.14767 transcript:KYUSt_chr2.14767 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVLDAIRKSSGRDSYRCVSSDGSSHGSRRNLIDYTELPADGAAWSEFVQPAMTDVRVAEEHAMHARPAAVVAGSAYRRK >cds.KYUSt_chr3.41476 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261645459:261645746:-1 gene:KYUSg_chr3.41476 transcript:KYUSt_chr3.41476 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRCLSYCFIVPRCATDTCLQARTCGSACRSRRCAPWLWVFRRALKVVAFFREEKIAVKVQNLENTFRWSEAEPGIAVSKAPDVLTMPKDMLQR >cds.KYUSt_chr2.50142 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313548484:313561572:-1 gene:KYUSg_chr2.50142 transcript:KYUSt_chr2.50142 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSSATATASASGTKKRKSKSGALTHEEVKTLGHELLSSRAHLNHAPTLLALLSPSAPPKIALEALISLQSFFEPLLPSIPSASAAAADVASSDPELVFGAWLRQRFDEFVAALVELSVSPRSDDAIREVAFDAFMDFVKLGKDGRFHSAIYHKFLHAVVHATDSIDPLLELLGSKYSKYADVCYFTYTSLDKITSSLGSQTTGSGKDGLQNGDESSEDRNVICIHNIYNILVHIPALDFQKETKFDMWSTVGISLKGEKNSSEGSSATHINKRLKVKFTKAWLTFLKLPLPLDVYKEVLATIHQNVIPSMSNPSILCDFFTRSYDIGGVISVMALSGLFILMTQHNLEYPKFYDKLYALLTPAVFMAKHRSVFLQLLDACLKSSYVSAHLAASFAKRLSRLALSAPPAGALIIIALIHNLLRRHPSINFLVHWDDDTNTSREASQPKKVGADPFDNEETDPTKSGAMRSSLWEIDTLRHHYTPAVSRFVASLENDLTVRAKTSEMKITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPTSLFQESDFPGWTFEQTSVEGNENSTVETSGASPAKSKNIDARPDTVSIGALFTFNSTIGRVAKIAMAAAVSDINNDSSVLPGTNLVVQMRDSNCSGFVGIVQALQFMEKDTIAIIGPQSSVLAHVVSHVANELQVPMLSFAATDPTLTPLQFPFFVRTTHSDHFQMASVADIVNYYGWKQVTAIYIDDDYGRNGISSLGDELAKRRSKILYKAAVRPGARRGEMATVLVRVAMMESRVLILHANPDSGIPLLLLARNLGMTSSGYVWIATDWLGSFLDSSPHLDMDIVSAVQGVLTLRQHTENTRKKSMLASKWSALVKRDNVDSRFLINSYGLYAYDTVWTIAHALDAFFSRGGNISFSTYPKLRDIAGGGLQLGAMTVFDEGRLLLERIRQINFSGATGLVKFGSDGNLLRPAYDIVNILGSGSRTIGYWSNYSGLSTASPETLYMKPANRLRENQKLGLVIWPGETVTRPRGWVFPNNGNELRIGVPDRVSFRQFVSADNITDTVRGFCIDVFVAATNLLQYPVPYKFMMFGNGSENPSYTQLINKIQTNEFDAVVGDIAIVTNRTRVVDFTQPYIESGLVVLTSVKKHSSSGWSFLQPFTIRMWGVTGLFFLIIGTVVWLLEHRINDDFRGPPVKQIITVFWFSFSTLFFAHREDTRSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLTSPITGIDSLVASDEPIGFQVGSFAENYLPQELGVSKSRLRALGNPDEYKRALDLGPGNGGVMAIVDERPYIELFLLEHPKFAVVGSEFTKSGWGFAFPRDSPLAVDLSTAILELSENGDLQRIHEKWLNDRMTESQSQTNELDSDSLQVYSFSGLFLICGVACVITLAIHAGVLVHKYWEHTASSQQAVLSSTDGSSRSSRSRLQAFLSFADRREIDTHRASKEKAVALAGVGENSIGGVSAASSTTSVSTSTSC >cds.KYUSt_chr1.39285 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240312545:240313064:1 gene:KYUSg_chr1.39285 transcript:KYUSt_chr1.39285 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGRQGQRVRLYARGTILGFKRSKSNQYESTSLLQIEGVNTKEDVSWYAGKRIAYVYKAKTKSDGTTVRCIWGKVTRPHGNSGVVRAQFRSNLPATSMGKKVRVLMYPSST >cds.KYUSt_chr4.54524 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336869152:336870459:1 gene:KYUSg_chr4.54524 transcript:KYUSt_chr4.54524 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLSGPRKRLRKGLWSPEEDMKLMNHVFKYGHGCWSYVPKLAGIDRGGKSCRLRWLNYLRPGLKRGAFSLEEEHLIVRLHSILGNRWSEIAARLPGRTDNDVKNFWHSIIKKKLRRSGIDPVTHKPVTEGNSNRATVATATAATLKAEFFEAGHHLSNVPPQAMAESYLYVHSSNMDDGASAGAQASVIDHGCCSSISMAPSGDFTGYLELDTMHVHPPIIPVVSSSSSTVCSIAAVSSAGTVGTSATVEQCNNNQLWLESGWMDTFTDAATDKYGAGAGATLDDLKWSDCVFDARYHLHS >cds.KYUSt_chr3.37270 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234388635:234390095:-1 gene:KYUSg_chr3.37270 transcript:KYUSt_chr3.37270 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWSLQSNKEKRASLTQLVPCSSSATMQSEQVKMRFGRCPYCRAMIYQDPSAVIYYCSKCRTPIRGKNPEPADDTDYALAQLEILSFDTMSTFSDDTDLTSSTAELDLTTSTRDNGVAASSSSAYRPYRSAIRTGPRSGDLDRYGEPAEARGTGSSPMHSRVSELRPASRRTRRPASADLDDHGSGGDFDVPRTKSASCYGRRASPLSSQELDAAVMGLPGDARGNGPASAAAAAAAAARSPLGDPAFQQDLLQALESLRKLIVAVEEPLRVDAPRLDLAAGAPPPKTAPYSNGAPQKVTRRDSRILRRLESQLAHALPEEDKVRRHDKAASSPSLMPSASASSASSSRRGASSRQLICRPILGGTPFVACDKCEEMLQLPAALSVDRLARVQCGGCGETLAVTLPARRAGSATDRPRKIFSAPQPQPTGFGADDAEEQHTRAAARSRLSGEQLRQGPVEGPLHRMLGYSSVSSVLRSRRYDEHI >cds.KYUSt_chr4.36091 pep primary_assembly:MPB_Lper_Kyuss_1697:4:221847123:221851569:-1 gene:KYUSg_chr4.36091 transcript:KYUSt_chr4.36091 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPLQDVGLYLDLGCLPCLPPLSQWVFFICASMFYVVQTLHGVVRDSRTVIVSIHQPSKKVEAGHVGYWKSDRVKNKKAGNSIDDVVTEELEVPSGQNVDGRLDGYCKCAWTGFLREAPSNKKSDAAALLKEKDEIITQVMAEGEELSKKQAAQEATIRKLRAQTWSITLSPHSYEMPVFETNQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAESRVNTEAKVELESRLREACEKENMLINTIEELRNALTRQEQEAAFMEERLKRDHDDLQKRYQASELRYNELVTQVPESTRPLLRQIEAMQVFVLPQIFPFLATLSCEMTGQAILSPSRYCCASPLDVPSAIGGGAARGGAGTEVEEHGFSADLLLDE >cds.KYUSt_chr1.3896 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23792681:23794818:-1 gene:KYUSg_chr1.3896 transcript:KYUSt_chr1.3896 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPAGGGDGAALHANSPVKVIVVAPRGRRGDGAALPAGSPGTDVVTAPRQCVDATALHASSLDTDVVVAARGCVDGATLYAGSLLAGVVVPDRRCVDGAALHTCSLLADVVVAACGCVDGAALHAGSLLADVVVITPCCGVDDAALHAGSLLADVVVAACGCVDGAALHAGSLLADVVVIASRRFHGDGAALHAGMPCADIVVAPRGWWRWRRAARRHALRGCHHRAMWVVEMAPCCAPTCLARMSSSRSVGGGWRRVIYNPTSWSRWLMQMNFQLVELSRRSNIDVEEVSWPPSVSTVAAAEC >cds.KYUSt_chr6.8647 pep primary_assembly:MPB_Lper_Kyuss_1697:6:53184015:53185566:1 gene:KYUSg_chr6.8647 transcript:KYUSt_chr6.8647 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRHRSMVMRRTTSVASLPPAPKQVRQEGGAGDISARAGPSSSASAVGTGAAAAWPRSDADGLVGVVTAAFLAACFFCGKALGPGKDTYIYRGEVAFCSAECRERMIEQDEMMEQNCSLTSIREAAPSAPGGSDQSGSGPGDAVAAA >cds.KYUSt_chr7.8753 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53024635:53028934:-1 gene:KYUSg_chr7.8753 transcript:KYUSt_chr7.8753 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVEGSGGGGLGRKIPAGEVELKEKSGTAWSHSFLNQKPWHPLSYPNQRRKWIAEQIHTNRARRDEEVQREFAQEQEFFRQTALFSKKDKEKMEVMKAVSFMYVRPPGYNPESAKAAEIEDEKRKMDQGDAGEGAVAASTSSIPDNELDKTQSGPDKKRSRPKDVFGRSLPTEQEFEVLKNAPRLDTGAPARPKPFGVEVRNVRCLRCGNYGHQSGDRECPMKDIIMPNEESRLKRDDPLTAIKAQTDSSEPLKWELKQKPGMSPPRGGYNPDDPNQQIVGEDIFDEYGGFLGDIDIPALLTNFSTSKSKKSSKRKSKHKDSELGAYAEFGRHHSSYHSPTDSEPQKSIRTSASQRKKKYCSESSYSDAEVEDGKRRAKQKSRHRHKKKHVSESSSDSEVDASDLEPEKSNRTSASKRKKKYCSESSYSDAEVEDRKRRAKQNSRHRHKKKHMSESSSDSEVDASDLEPEKSNRASASKRKKKYCSESSYSDAEVGDRKRRAKQKSTHRHKKKHVSESSSDSEVEVDTRRHPKREHMKKKKEAMEITPSSLSRDKGYTVSKRHSREKQHNSDSSSSESRWHPTRWQDKRSHSDASSSEDNRHSRRSREKRHRNMPDFPDSNRPSQRSNEKRDYTDLSAHESDRRARRPREKSRYSDPSTSEYSDSDRRNSHRRRRRK >cds.KYUSt_chr5.36333 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229664349:229665158:-1 gene:KYUSg_chr5.36333 transcript:KYUSt_chr5.36333 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKSDCDCQSLGVFRGPVVSGSHDFDEHCMFGNDMYLTKGQVDFLNEHCNHFPTEEFEYYVYRMTKSAVIKNKCKLDIGKKFTAKYLKRFIDDAPGNAVTLSLEYTDSNARFKVTMKMAKGKAKNAIIATGWSKAMNTYEIKEGAICIFEFYVDMKGKLALMIHSLPDDCDDSDSSESSE >cds.KYUSt_chr2.33861 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209263752:209268056:1 gene:KYUSg_chr2.33861 transcript:KYUSt_chr2.33861 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKAGALDRRSSARWRVLVLCAFSFGLGMLFTNRLLDKSISTLQMELAAKRSTLELVRKGVPVTSETSQPRKKAFVVVGVNTAFSSRKRRDSVRETWMPQGEKLLQLEEQKGIVIRFTIGHSATSNSILDKAIDAEDAQHQDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNLKYHEPESWKFGEDGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERLKDVHARCGEGDSSVWSALI >cds.KYUSt_chr6.18880 pep primary_assembly:MPB_Lper_Kyuss_1697:6:118913728:118914102:1 gene:KYUSg_chr6.18880 transcript:KYUSt_chr6.18880 gene_biotype:protein_coding transcript_biotype:protein_coding MRISRRPKTNPGLWVFTPFAPKPPHAKSRGKLLEVEDVKATKLFRLANHFPINLTLPSGDLVTHGTKKRSSYTIYLHEDLHRDVKHRDKINDNVCKHRLDKGAPASHSDMLSHPRNKHGGHFVF >cds.KYUSt_chr6.1318 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8241999:8253735:-1 gene:KYUSg_chr6.1318 transcript:KYUSt_chr6.1318 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAVLFLVLAAAPLLLAAQSLKHYSTAKPSTTWINNAVFFDNRTNVRDIFTMVHHSRSTPYITAGFFCASSSSSSSSFSSSSTCNEFLFAVAICFTMYGVGPQQVVWSANRDRPVRENATLELTTYGNLVLRDVDGSHVWSSNSSGRSVVGMLITEIGNLLLFDHTNTTVWQSFDHPTDILVLGQSLVEGMRLTTSTSATNMTENQFYITVHPDGLYAYIGSTPAQCYFTDLMLRNDTGNDPTKATFNNGSLTIFGMYTTDLDILDNTIMLPQSDFCQYMRLESDGHLRLYEYDLFRGSWTVVYDVMQIDDCDYPTVCGEYGVCNRGQCTCPLENNSSSSYFKPVDDRKPNLGCTPVTPVSCTEIQHHRLLTIPNISYYDKYMNFDAINYEETTIDGCKQACLKNCSCMAVLFKGEKCVWVTKVFSMKSIQPETIGYNSSAYLKVQLSLSSENKTKMQLSPSNENKMKVILAATLGVVTTLVLLVIIVALYLQRRRKYEEKDEEFDFDQLPGMPTRFSFEMLRACTEGFIKKLGEGGFGSVFEGKLGENRVAVKRLEGARQGKKEFLAEVETIGSIEHINLVGLIGFCAEKSERLLVYEYMSRGSLDRWIYYRHNNAPLDWCTRCRIIMDIAKGLCYLHEECKRKIAHLDIKPQNILLDDNFNAKVADFGLCKLINRDQSKVVTRMRGTPGYLAPEWLTSSITEKVDVYSFGVVIMEIISGRKNIDNSQPEEDVHLINLLREKEQNNQLVDLIDKHSDDMVSRKEEVIRMMKLAVWCLQHDCTRRPSMSTVIKLKPEWLMHKPQSKRTGPKNREEPMPEKLWQWDAGANGPRLLPSEDPARMSWCTIESDPALDYTINIVFDYLGGLSWIRLLALDYRINIVFTYLDGLFWDVISRVVQNVQLFVSVVPVRESGVFTELIQEMQVKGVQVEELYSLDVDSLSELRPVYGLIFLFKWMPGGNDERPVVSDPNPNLFFARQVITNACATQAILSILMNRPEIDIGPELSNLKDFTGAFAPDMKGLAINNSDSIRAAHNSFARPEPFVSDEQKVATKDDDVYHFISYIPFDGVLYELDGLKEGPVSLGKYSGGPDDLGWLQMVQPVIQERIERYSQSEIRFNLMAIIKNRKDVYTAELKELEKKREQILQEMNEATATDTEPLNSSLAEVASAIETVGEKLIMEEEKFKKWKTENVRRKHNYIPFLFNLLKALAEKKQLTPLVEKARQQKAPSTSTS >cds.KYUSt_chr2.45279 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282392331:282400958:1 gene:KYUSg_chr2.45279 transcript:KYUSt_chr2.45279 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVQSARRYKYTSWIGHGRTASQKAGPKQAYSCCQPACFRCKAMEGGGGAAGSRATPASWDLGPQWAPPTSAYPHHFMPPPAGLQELTSLELGKRPCCAADGSGAGGGRASADGRRKEKAAAATAAVPRCQVQGCHLALAGAKEYHRRHKVCEAHSKAPRVVVHGAEQRFCQQCSRFHAMSEFDDAKRSCRRRLAGHNERRRKSNASEAMARGSISHAHGVASLVQGFAPYGALTTSPAGALSLLSSTRATPWLIPTNPSDVFSAHSSSAALDELIAENRAALLACHFFPERSGAARPAVPAAEMTAGGWHQAAYQQVHGVAGNGAVRRDQGGAPPPAGHVTLDLMQAPATAGARFRPMAPDRHTEDGDAGRGSGVWTPLQGAHVV >cds.KYUSt_chr4.33162 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203196052:203206889:1 gene:KYUSg_chr4.33162 transcript:KYUSt_chr4.33162 gene_biotype:protein_coding transcript_biotype:protein_coding LPSGTSFYGTGEASGPLERTGKRVFTWNTDAWGYGPGTTSLYQSHPWVLSVLPDGKAIGVLADTTKRCEIDLRQESTIKYSASSAYPVITFGPFDTPAQVMTTLSYAIGTVPMPSKWSLGYHQCRFSYMSSERVLEVIRTFREKGIPCDVVWMDIDYMDGFRCFTFDSNHFPDPKSMVDDLRSIGCKSIWMLDPGIKKEKGYFAYESGSENDVWIKKADGSPFIGEVWPGDCVFPDFTSERIRTWWARLVRDFICNGVDGIWNDMNEPAMTTTTKTMPESNIHKGDVDIGGVQNHSYYHNVYGMLMARSTYEGMVMSSTAKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSISMVLQLGLSGQPLSGPDIGGFAGNATPKLFARWMGFGALFPFSRGHSEAGTIDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYVSHKKGTPVATPLFFADPQDLELRKVETSFLLGPLLVCASTLPDKGAHECAHKFPKGIWLPFDFEDSHPDLPVLYLRGGSILPVGLPIKHVGEASLEDNLSLLVALDENGKAEGVLFEDAGDGYGFTQGDYLLTYYVAEVHSSVVSVKILKTEGSWNRPKRNLNISILLGGGAMISSHGVDGEEVHFVMPSELELSTLVATSEIDLKKHLEMVRPIPDIDEPSRLEGAEVSRKLVELKSGDWFLKVVPWIGGRIISMTHLPSDSQWLHSRIEIHGYEEYSGTEYRSAGCTEEYKIVRPLEQLGGDESAFLEGDIGGGLVIQRQISILKGNPKTLQIDSSIQARSVGPGSGGFSRLVCLRVHPTFTLLHPTEVVVAFTAINGSEQEISLEFEEVTLEGDMRPNGEWKLVDKCSGLSMINRFDQSQVNKCLLHWGTGDLKMELWSEERPVSKDTPLRICHQYEIYLVQPKLQINLQIVSM >cds.KYUSt_chr7.19838 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123001199:123002737:1 gene:KYUSg_chr7.19838 transcript:KYUSt_chr7.19838 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDANPAAAFSAFAADGKAPFQPLNPEDVRAYLHKAVDFISDYYTNIESMPVLPNVKPGYLQNELAASPPTYSAPFDVSMKELRTSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQLLRLPTTFMNRTSSGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSVGVSGLPRLTVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYGLDPAKLLQVMQADADAGLVPTYICATVGTTSSNAVDPVGAVADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLYVRDAHRLSDSLETNPEYLKNDATDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEDFVRADDRFEIVVPRNFALVCFRIKASGSMTEEDADEANRVLMDNLNKTGKAYLAHTVVGDRFVLRFAVGSSLQEERHVRSAWELIKKTTSEIMA >cds.KYUSt_chr1.8113 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49893858:49894499:1 gene:KYUSg_chr1.8113 transcript:KYUSt_chr1.8113 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQQQPQATAGRSRARRIAHRTRDSCVAVFANTLCSILLAVLLVAGVALFVTWLSLRPHRPRFNLASLSITGPVPGGQVAFNVSDRNPNHHIGIYYEGATRATLFFYDALVASGPAFAGSWYQPNMTTTSIAGVLDVVGPRAASPSWPAFSAALRAGRLPLRLQLTTAIRFRFNVFHSGRQRMYVNCDLLVGADGGLLPESVGAPCDRYF >cds.KYUSt_chr3.28903 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180428470:180437717:1 gene:KYUSg_chr3.28903 transcript:KYUSt_chr3.28903 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYSLLMGDSSVDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEENRNWPFGISSIGTNLVKDQKGKKPLCSRKKKGRPRAKKRRKKEKSFSRRQRHKADTSALNRLLKFRNMVVSNGNADMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRKEKTDNLLENAEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDASH >cds.KYUSt_chr2.27789 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170664918:170666173:1 gene:KYUSg_chr2.27789 transcript:KYUSt_chr2.27789 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPASSAAPPRRVVVCGGGVIGACTAYFLSTHAASPTVPTLVEKSSPACAASGKAGGFLALDWSDSTPALSALARASFALHGRLAAALDGASAYGFRPVHTLSICLPSQPTELASPHPLLPSWVDPSASAAPPRVLGTPDTTAQVHPGLFTKAVLAASGAEVVIGEVERVVVREGRVVGVAVKGRGVVDADAVVLALGPWSGRFDLVKEVFDVSGLKAHSIVLRPGNPDKITPHCLFLSYQPEPGAKMLDPEVYPRPTGEVYICGMSKDEEVPDDPATIVGEPDSIAMLHKIAGRVSSQLKTEEGAEVVAEQACYLPCTNDGLPVIGEMPGVKGCYVATGHSCWGILNAPATGAALAELILDGQAKIVDLTPFSPARFLKKKSRR >cds.KYUSt_chr2.20091 pep primary_assembly:MPB_Lper_Kyuss_1697:2:126373482:126373946:1 gene:KYUSg_chr2.20091 transcript:KYUSt_chr2.20091 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATPDISRKPERPPSKFPTDGSSIDFGTFDKHGFIYVPPVIGEQCQSAPVTEDSAAATQASSLQESDSSNKIAPPGDASPGKGDTPDPGESVGDVMADKLTERKLDVDSPEIITGGFHRAKLMDNLRCLAEINKMSNGIRRKKRKTSGGQAI >cds.KYUSt_chr1.17222 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100014592:100019676:1 gene:KYUSg_chr1.17222 transcript:KYUSt_chr1.17222 gene_biotype:protein_coding transcript_biotype:protein_coding MKISTEKNTIKCLKSDIKQLNSKLSELQALLEVKEDELSSLKRNGSSAEFRHKNLLKTTTAGSSLEGHNISGVYEESSTSSRTGQGLDSQTSSSAKSSTGDGELHGSFQMSNADNEQVLVTEEDFPEVKVGFQETFLGHNSSISSCRFSASGTNIASSSTDGTVRIWTYDSSTPSSKNATIYCGAEVSTLSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLSTSKDFPSVLDLKCSPVDPVFVSAAASRRHGSTIFDRTGFASLTVWHMKTWKPLTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGADGKIFEWSLHNQGQILWSRDCSRFCNPESFSKRMHEVALDSNGKRLLATSGLVRAPIYQVQGHESGLRTLPHSAPVTSVDWHPTLPIYVTGSADHSVRVTSIL >cds.KYUSt_chr2.2634 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15773227:15775611:-1 gene:KYUSg_chr2.2634 transcript:KYUSt_chr2.2634 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLNYVNPYTWESESAARKGKNKRRGPPGMTAMDRSINKMQKLNKGWEKEREEREAEFRLRCKLPERDVSLEPFTEQPFGALYDPDNDGGLCGLSQEVASELSSNVVAIALFDGGDVMLFACTGITLPDRTLYLERFVTSAHLAEVFNQRRNKEDDLNIKVRVPSKETFRGFLELYDQDIAIVTSIQGWRVSPVDLDPQEGDFQNTCLPAPTEIVAVGRSFGLCGLMATPGTLTNQLESTISGCCTTEAALGGPLVDLAGNFLGMNIHCGSANTCFMPRRAIFQRVYQFRLLKISEKLRCAYPPGLS >cds.KYUSt_chr5.18982 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122868250:122869515:1 gene:KYUSg_chr5.18982 transcript:KYUSt_chr5.18982 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSSTPSTTVPTPPPAEELHSGAAIDVLTGDDLRDIFRRLSLADLLRAALACHRWRRVAARCLPRAPPLLGYFFHPVSTAPPPPIEIEEPAPIHYDAVFAPLDASSPRLSLDFAPEASRFELYDCHQGLLLLQPTGSLPKSIIPRLLVLDPATRRSVLLPPPPRDTVPDDHRWRGSRYYAGSALLSRAHPSKLCFEAVCFAIDDGHPRAWVASVDSGECRWRALPRDEDVAVDFHPKWFEGRCVHAAGKMYWHICNSDRLLVLDPASLRFSYLLAPAELAEHYCTYRIGETPEDGRLCILAVANSSWLQLWVRGGEANKCSDNGWLVERDILHMRVVWEAVPGLPTDMAQRIFCVWPSDMDAGRTGKAFIRTFGYGRYSLHLDTGKIERLATTDGKEYGDPICAYFLAWPPAFLAPEYY >cds.KYUSt_chr5.27449 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173782107:173788834:1 gene:KYUSg_chr5.27449 transcript:KYUSt_chr5.27449 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLLLCHAPRRHYYSSSSSSSFSFALPLSSPTFAVFGANTGVGKTLVSAGLAASLLRSAGASPSTVLYLKPLQTGFPADSDAGFLFRRVPSLLRPSHPARLIASLDTLSVSPSVETPPRSPQEAAFSYDGEGEVVRKQLVCRTLYAWREAVSPHLAAEREGMAVEDAHVRSRLAACLVDGENLGNGDGEMWKLVETAGGVASPGPSGTLQCDLYRPFRLPTILVGDGRLGGISSTLSAYETLLLRGYDVSAVILEDRCLSNDKFLLSYLRNRVPVLVLPPIPEDPSDDLTDWFSESSSVFGLLKDTLQSFHFTRIQRLNSMQRKSKDLLWWPFTQHNLVPVDSVTVIDSRCGESFSAYKVKDNKMMMTPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENAHEPALRCAELLLGGVGKDWASRVYYSDNGSTAIEIALKMAFRKFSLDHGILVGSDNNITNERHIQLKVLALEGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLNPPTVFIRNETCTLSLPQWMQHSHLSPGDKCFPSLAEVFCKTRDSSSAADIYSTYISQQLSEYSLSSNREHIAALIIEPVIQGAGGMHMIDPLFQRVLVQECRNRKIPVIFDEVFTGFWRLGVESASELLGCLPDIACYAKLMTGGIVPLAATVATEAVFESFRSESKLTALLHGHSYTAHAMGCSAAVKAIQWFRDPSTNLNLDFDQMKLNELWDGTLVNQLSSLPNVRRVVSLGTLCAIELKAEGSDAGYDTLYLFDWWFI >cds.KYUSt_chr7.26343 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164462247:164465733:-1 gene:KYUSg_chr7.26343 transcript:KYUSt_chr7.26343 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVVRMGPCGGGGGHDRDMDMRGVNRVVKVVVRHGDTVDAISVLYERNGREEWTDLWGGQGGTLAEPDEHFTSVVGHYGEFDGSFAVRSLTFVGNRRSFGPYGQEDGVPFTLPAAGGKILGFHARSGRRLDALGTYVKMVADKGIEVDPAKIEAIQSWPQPKTVTQVRSFLGLTGFYRRFVKDFGSIAALLNELTKKDVPFVWGNAQQEPS >cds.KYUSt_chr6.12729 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79483141:79487915:-1 gene:KYUSg_chr6.12729 transcript:KYUSt_chr6.12729 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEYLEIALKKEIGANSVDDFVAAPSAKSFPRSSAPPPTAATHRNKSRVNHSNLVKLEGFCINSPTGDCYLVYEYVENGSLDLCLRDRARARRLDWRARLHVALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDAGMHAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLVDGLVTTKMDVFAYGVVLLELVSGREAAGDGGELLLADAEERVFRGREERMEARAAAWMDPALAEQSCPPGSVAAVMSVARACLQRDPSKRPSMVDVAYTLSRADEYFADYSGESVSVDGSGEIAAR >cds.KYUSt_chr4.10830 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65697822:65699067:1 gene:KYUSg_chr4.10830 transcript:KYUSt_chr4.10830 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASSGRLLRATTAKALHPDSRLPSSSSAASGHATGRPCRIPSLKFPSLWETTASHKMNRAAEQRAALITLGAATASTVEEKRRGLRLPGEANSVELLLPLAYEVARRLVLRKFGATWLALPRRCWAKVIEAVIHQGVVTCQSFTLIGVAGSLLGSVPCFLEGCGVVVESFLLQFRAMSQVVDQAEIIKLLIEALDMFLIGTALLTFGMGMYGMFYGSQSAVQKPIYQKLKEGARLQSIVQAKSRFGHAILLLLQAGVLEKFKSVPLVTGLDMACFAGAVLASSAGVFLLSKLSVRPQRC >cds.KYUSt_chr6.8033 pep primary_assembly:MPB_Lper_Kyuss_1697:6:49418710:49419354:1 gene:KYUSg_chr6.8033 transcript:KYUSt_chr6.8033 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAHEKLSCFLTPLFVTVTLAICSAGGDVSEQFVYSGGFASANLTLGGAAAVTPAGLLELTNGTLRQKAHAIHPSPFCFRNASTAARSFSASFVFGILCPDDDNCGHGIIVLFVAPGGYDFSSVFPSQYIGFINSTSNGAATNHIFGVELDTDQNNEFRDIDGNHVGIDVDGLTSVASASAGYFRGDDDKDGGVFVWGNGEAASASGVFDRTP >cds.KYUSt_chr3.9587 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56271526:56280320:-1 gene:KYUSg_chr3.9587 transcript:KYUSt_chr3.9587 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKHTQLLKDGEEPQELSGRAPLRPISTSKNRNHRFLSGAQLDSVSSSSPATMAEAAREHVEKILAEAAREHVEKIRRERYYIGRGEQNPLAEDMHQAVNYLSQELYSKDVHFLMELVQNAEDNEYPEGVAPSLEFLVTSNDITGSGASSTLFIFNNERGFSKSNIESICRVGKSTKKGNRDKGYIGEKGIGFKSVFLISSQPHIFSNGYQIKFNENPCAECNIGYIVPEWVESRPSLSDVKQIYGCSKNLPATCIILPLKDEKVTAVKQQLSNLHPEMLLFLSKIRQLSVREDNCNPRASTVSEIAISSEKNYQMRKNMHAESYTLHLSAQEDGNEEECGYYMWRQKFPVKPENRVDKRTEIDEWVITLAFPQGERLSRGKELSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILESVPNAFLNAFVALVKSRADAPAMSLPSMFRFLPVNPSLIPLLEPVRSGIKDKVLLENIVPCESHTSQKIFCKPDSVARLKPTFWDILGKARESGVDLKNLSTHGTYILSSHFDKSTHNSVLAFLGVKSVSSEWYAKCIEGSNLLKEVHEQLYLEIISFVVDNWQNFFCGTNMLSIPLLKYVDRNNAISFWSISRASQSSDRLCLVSEKKCISWLISWNQEFLSSGRFFLPPSTQTALEDFPRKSTIIQWLHSHAKVESTSVYNYGLTVASSLNTDRRPVIALAHFLYHSSQKGHLESYNLAELCRVMPVIDSYGNSVKTRSSILVPAKGSKWIELIGTNPWTNENYIELHADYKSAGCFAGNYTSEGQLLSFIKAQLHASDVPFIHPPNASFPTVSSPLTVGNAILLLEWIQNLKSRRVTLPARFLACVQQGSWLMTSAGYKPPNESFLSNSEWSNLLQDGSSFVDIPMIDQQFYQNKLHEYKEELKAIGVRSEFREASAYIGSRLMSMAANNMLTRENVYALLRLIRFLQQKVLSPSELINHVKDARWMKSTLGYMSPASCIVYDSEWAVASCISNQPFLDVKFYGEDILAYKPELKLLGVVVGFENNYQLVIDNFKFSSAAVTCDATVLILKCIRYVSSCDDFLKKLKELKWLKTSMGFRAPNESFLLEPEWECLLKVFDGIPVVDVGFYESKISSYKEELKKTGLIIRFEEASKAIGNIFKKMVLKSSLTKVTVLALLASYRQLRKQSPIPVELFNGMQNEKWLRTSLGFRSPSDAILYDEEWKSLSPIADLPFINDDDSHDGLSKEIHGYKHELKGLGVTTEVKDGARFVISGLNFPKHPVNISAATVLSLLRSIRSWLACTTKFPKDFGEKISSCRWLRTTLGYKCPDECILFDRKQSYIYKEDGPFIDESFYGSEIASFKDVLAEIGAIVDVRSGHGLIAQHLRNHKDTATISRIYMYLKECNWEPMDKKSDWIWIPNERESGAWVSPLSCVLHDPDNLFSSQLHVLGKYYEGKLLDFFSSALGVRHAPCAEDYCQLWSTWESLSSELSIVDCASFWKYIAKKWSKNTEKLLSGCAKVPVCVDGKIILSQKEDVFIPDDLLLKDLFDKLPQESFFIWYPSCSLPSASRARLNNIYGSIGVGAISKAVEKNNSFTLEDRSCRKVDQRELLSVGLLQIVLAFLADPALDISIEERHRMVSWLLNVVVLETDRPITVGYRVNLSGGRSVDVKASRMLRWERVNAKLYTQRRNGTASCYKEKIEFATNFADEIAQGLLFEMADQITSLAELIKIGSLVDFQEAAVEYLLRSRNLQLFPEDEAFLNAASRGKSLAN >cds.KYUSt_chr5.42912 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270404175:270411721:1 gene:KYUSg_chr5.42912 transcript:KYUSt_chr5.42912 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGDEHVTAAAGEETPLRKAAETTELSAPDGWTKKVNPTRPGKFEVIFVPPTGEEIKTKRALTQYLKKNPGGPAVSEFNWGTGDTPRRSSRLSEKVKPAESSEDERPAKRGRPSSSKKGKTGKQEDEEDEDEKPAKPAKRGRPSSSKKGKKGKQEDAEDAPAESEEAKVTDIDVEMKDAENAQEEKKEGDISQEEKKESDTAQEEKKDVPMVDAAEKTEEAEVKADVSELPKAAEAAEKTDEPEVNTEVPELPKGEDAAGETVAAEVDTQVSELPEVADAAGKTEDAEIDIEFSELPLGADAAEKTEEVSVLPSDVSAPVAENKGDGKPAESEVAAPLEGEKTENGPAMESTGQPAVEKKEEADSTINPVTPPPVEVNTDAPAAEAAKEADNSAAQKDDQTNGVSVDNNGQIQLAPIHPPLGAAYDHFNWYRSLAWEHEARCTIRGKWDFQPGEGPPDSEDEDEEEESDDEDVDEEMEDVVPPTAVKLDDIVLED >cds.KYUSt_chr5.29040 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183857336:183857692:-1 gene:KYUSg_chr5.29040 transcript:KYUSt_chr5.29040 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKRGAGKELAYDAPAGSPGLGVAASPLSMKRGRGRPKGSQNKTKTALSRKCWGEPAVAGRDPRGSAEKLGARSCRSIGCFASPEFTPPAGFVLKKEPSRGKLHEFFIIVLEFFTLF >cds.KYUSt_chr6.1598 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9732468:9734055:1 gene:KYUSg_chr6.1598 transcript:KYUSt_chr6.1598 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGPHILTDEVLEVIEGANGTPVLLITSSDGRLSAIAMNGVQTMQPTTNEVNGSTEKKLQDFRAWMLLIMSLIATVTFTAGLTPPGGFWSEDKDGNEAGTSIMRKKFPTRWAWYHGTNTMAFCSSLMTIATLAINFGNKKAAALRSNVFPSLVSSCFMSLAGSYISGTCRDLDGLGIDADALRLPSHLLAVFDGHGRAEVSNYCWGYFPELHVSSCLDGIKGTYCWPEYQSSSSKILDLSLLGSQ >cds.KYUSt_chr6.3480 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20098346:20099725:1 gene:KYUSg_chr6.3480 transcript:KYUSt_chr6.3480 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVKMWRLMETELSLMYDMLYTNAAVIHTWFGYTVRLVSPVAIVASLLLFKLTSKDAHRSADVVITYVLYGGALFMETTSLLNALGSSWTFAFLSTTRWRWLRYAALCNERWDRLRWSVAYLHHLVSIGGRSRYKSRRWSCMIGQYNMLHLCTRSESALLGRLAQVVGLGLNEWWNRGHYSWIIEMPDHVKDRISQHMNKVYTEGVAINSLGMLRNRWGEEPLIRKELLQGILKDSLGVEFQECVIMWHIGTDVFLAKSEGSIAADDEEASLDVEAIKVISNYMMFLLVEQPDMLPGLSQNRLYQRTCENLVNTRRSTYHRHNNDPSFCAKLKNLFRLQDDHASKSRVTDREELAKTIYDEYESKGFSHDSPRLPYVVKLAKQLLTMEENGTADSVKLVLDVWTDILVYASNKCSRKAHAEKLNSGGELTTILWLMAEHFYQIYLDKLIKKAKNNSS >cds.KYUSt_chr1.39595 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242294869:242295201:1 gene:KYUSg_chr1.39595 transcript:KYUSt_chr1.39595 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAATPLQHHLDLLLAALLCGGLLSVALLAAASLALLLLLPGAMLTLLALAVSDASRLIVPPAARAVDVAAAELRLARALAAYAVLGAAVRVGVALRPWTGALASRAG >cds.KYUSt_chr6.17823 pep primary_assembly:MPB_Lper_Kyuss_1697:6:112072387:112072879:1 gene:KYUSg_chr6.17823 transcript:KYUSt_chr6.17823 gene_biotype:protein_coding transcript_biotype:protein_coding MCKNTNDVAHQSLALNQETRTRQNEFMAARNAPVPPSGPEMEPVVAPAWEMPPITDEMFQNFDLSINPSKEIFSELDEINAQGPILPRSFQKTEGKTKWGHGAPPH >cds.KYUSt_chr4.40645 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250874755:250875381:1 gene:KYUSg_chr4.40645 transcript:KYUSt_chr4.40645 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMMAAATTSCSPRRALLKPVASSSSAPPPRPRSLLKQLPGLVATAAAAAAASPLPALAAQIEKAKLFDFDLTLPAITIEFLLLMVALDKLYFSPLGNFMDERDAKIRAELGGVKDASEEVRQLEEQAQAILKAARAEIAAALNKMKKETTKELEAKLDEGRQRVEAELVEALSTLEAQKEEAVKALDAQIASLSEEIVKKVLPSA >cds.KYUSt_chr3.32540 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204497697:204497939:1 gene:KYUSg_chr3.32540 transcript:KYUSt_chr3.32540 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKVPASRAGALGSGERGEAWATEMMPAPGCGGGKLEAEVVVGVTGEEMLVETVRLGVLLDCRYVSVDEGLRYLQHFLL >cds.KYUSt_chr6.2752 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16134451:16135314:-1 gene:KYUSg_chr6.2752 transcript:KYUSt_chr6.2752 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTGGEEALRQQYVLGEEIGRGRFGVVRRCYSAATGEALAVKSTPKAPLRDKSDPLDLALAEQEPKVHLLASAPPCSPHVVALHAAFEDAHAVHLVVDLCDGGDLFSLISARGRLPEHEAAGIAAQIASALATCHLRGVAHRDVKPDNLLFDAATGALKLGDFGSAEWFGDGRAMSGLVGTPYYVAPEVVAGREYGEKVDVWSAGVVLYLMLSGTVPFYGATAPEIFEAVLRGTLRFPPRAFTSVSPEAKDLLRRMLCRDVSRRLSAEQVLRHPWIVSRGGNAVAD >cds.KYUSt_chr1.5105 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31518647:31523066:-1 gene:KYUSg_chr1.5105 transcript:KYUSt_chr1.5105 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGKAWRHASMARSSAFTMALLGGDDIEDTRVTESGHLEYYLEYIDYPEEAKEWLQVFRMELNPECGNGKSSDWVEWLYEDCYWTAKIIKVLTKDVVQVSLLEPPLGEGGSYSAKFKDLRPALDWSIIEGWTVPRSQANGKCWYAACLIHPKPDIEESDADEEDALRSPIKIRSLDADRESELSNQRDTIMTSKRKLRPTTHKSSDPSQQEENRPSNQTDVRRHSLRVRKSAMGASGMIHQLAVVRKKLQPYELPEQNAVDEPF >cds.KYUSt_chr6.2834 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16687983:16690879:1 gene:KYUSg_chr6.2834 transcript:KYUSt_chr6.2834 gene_biotype:protein_coding transcript_biotype:protein_coding MGITRAHIVKNDSMEDKLGDYVGVKPRTVTGRSSVARHSSRLVAALTCLQLAFAIYATFLLYYMSPAVDLRGKPDFAWAARVAQRWKQSIMAGGEEGGPPLLPLQEVCEHETIEFEQKKSTDALMIRLKRELYDEVLAFQRRSFAAETLPELLRMRSRWKISDDDPASRPRVTVILNHFKRRTLCAQLDTLRRQTLPFHRAWVLAFGSPNEASLRRIVESYNDSRISFVASGYDFKYYGRFQMALQAESDFVYVLDDDMIPGTRMLEILCHVAGTDKYRNSVLGSIGRILPFRGKDFTFPSYRKFRSKEAGLYLPDPAYDIIVDRIVQVDFLSSSWFLATELVKTLFVETPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDAGDKETWGDSEHRLAYVSETTVIFKDIVQVRDEQWWRALTSGYVTQWAAMHPQKVDALFYAHSLGEVRALAPLMEKFRTTPGRKAYLVVSGGGHCPCEEAAAVLKWPKVVCKERRFKVFDLAVGAISGPSRSEVPVLQAVYSSMRGLVRMHNPSVVVAVADIDGKVEDALRMATEAAVNHTALVLLPRKTIPKVLWMATLRPASLPNWNKMRISVNIITQTRAGSLQRLLNSLRNAYYLGDEVPISFNMDSKVDAATLNTVNNFVWPHGGKTLRRRIIQGGLIRAVSESWYPANDDDYGLLLEDDIEVSPYYYLWVKYALLAYRYDPTVSLPELSSISLYTPRLVEVVKERPRWNPTEFFGKTKLGANTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKTNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQTSFSTNHMEPGAHISAKDNKLKHDKGDFEVPLVADDFAPLLPSGKMPPASKLPVLNLFNQPVSIKGLKSAGAKLRQDVITCVATQLVSVDHVTGLPKNCSAF >cds.KYUSt_chr7.3087 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18312747:18321812:1 gene:KYUSg_chr7.3087 transcript:KYUSt_chr7.3087 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKYLSDPEDEVAPLVWPENIGDKHNKQFKMENLENDHDALKDVNFDQTPVHADIHRLMEMANSEKGTSHMQYFVKHWEYKRANTVQLLNEELGLLSQQRKEIEEKKQQILEDQRCQDENYYAAIQQVPILDEVYKDEWKRPSKKGEDLSCNQELKIDAEYDSILYWKGRAMQLEKALEASLQRERALEEKLEQGITNLQSHEKLEQGIKNLQSHTPVEEFSGMLKRADYFLHLVLDSAPIVIAHQDTDLRYRFIFNHFPTLADVDVIGKTDYEISAGEGIDEMNNVKREVMEKGIATKREFVLNTPLFGAKTFVVYIEPVFSKSGETIGVNYVAMDITDQVKRREKMEDIRFREAIQQAKETELSRSLHVTEETMRAKEMLATMSRKIRAPLSGVLSIAEILATTKLDKEQYKLLEAMLSSGDLVLQLINDILDLSKVDSGAMKLEVTTFRPREVIKHVLRTAAPASLKKELTLEGYIGDDVPLEVIGDLIRIRKILTNLISNAVKFTHDGKVELMGGTLTVVSSEDEGSTFTFMLPCIIPVKVKEKLSDDPDDAPSSHNDIEGYFVFKPKLRPSVLSSGVPLTNNTKLSGSKIMCYDPTNILEDHKSLPNGFTSLKENSGKCATDASQSNGPSVRRIDEDQDDVSMVSSLSGADIREERKTCKPLEEKSLNMKSKCSPISIRANILLVEDNKVNVMVAKSMLAPLGYGIDIVNNGIEAIRAVQRCQYDLILMDVHMPEMDGLQATRFIRTFENTGCWDASVKPEDNQMLANSAISSDCAQEKKGKRVPIIAIKFPNANV >cds.KYUSt_chr6.7020 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42617954:42620074:1 gene:KYUSg_chr6.7020 transcript:KYUSt_chr6.7020 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFGTTQAAAIRSELNEIVRGAMVPLLAEAATLHDWNANATRLLMQMGDLVKTPSDPNPDVTSMRASQDNCDGICNGLASGMGCDHESASTEGKLQQYVPSAAGAASLCELEHVLMDNDKDDDEASIPEGVRPSEELGQVGSGRLNGGSGLVLDLAQDGTLFADDQACDKAPGGQDTFLASVFTSPCPPMLQVAAPLHSSATRAAPPDCTISSHSAKDEVARSSRRLAAKSSSGLTALEKAKMVMLKKSGAILKEEPTSISGLSKYMQIYKKPIPLAFVQAVEKLVQDVLRNKLLKFELVLGSAAFVVALFAVVPAVFGMNFEGVTIYKVPHAFEETLGITADYSDTRPFH >cds.KYUSt_chr4.9207 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55601293:55608046:1 gene:KYUSg_chr4.9207 transcript:KYUSt_chr4.9207 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDGTCVAAPMPIGPRPWSRAPPRREDANQMATSGTATKTETSSLILNHQHRRPFGARARTLTPGPERQSPDESLLGSGHLQFQFQICTFSSLLLEAAKHLALAHPKPLSPPRGFQITPEAMGKKVKAVPEWLNSPIWSTPPPAPAPADPFGADFARPVAPPPDPPPSVPPPPTYEQAVGSYAAREREEEGAGAALRAHLLADFKSALSKKTVNMGELRRLACLGVPDGGGDVRPPVWKLLLGYLPTERSLWPYELEKKRSQYSAYKDEFLLNPSEKLRRIEESKLSRKKELNVERNGLLPRLEVTNEEHPLSSGKSSLWNKYFQESEILEQIDRDVKRTHPDISFFSVKSNQESLRRILIIYSRLNPSVRYVQGMNEVLAPLFYVLSNDPDASNSASAEADTFFCFVELISGFKNNYCKHLDNSRVGIRSTLSKLSQLLKKHDEELWRHMEVITKVYPQYYAFRWITLLLTMEFSFNVCIHIWDAILGDPEGPPDTLLRICCAMLILVRKRLLVGDFTANIQLLQHYPQTNIDHLLHIANRLRGTVAS >cds.KYUSt_chr6.29051 pep primary_assembly:MPB_Lper_Kyuss_1697:6:183969802:183971604:1 gene:KYUSg_chr6.29051 transcript:KYUSt_chr6.29051 gene_biotype:protein_coding transcript_biotype:protein_coding MILAPAPDRVLLLLRLFAMWLGADCDEPSHDAIVPIPRRGPALYPAVGALEDRNIARDHDNQGLIVDNQRFVSSIADQTTHLADPTKLVSGSADGFAVEYESGNSIITLADGSVGTVKAMVNVCSVQYQPDCARSIAIDSADHKITAVIFTTYKPLIVP >cds.KYUSt_chr7.14673 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90631704:90633248:-1 gene:KYUSg_chr7.14673 transcript:KYUSt_chr7.14673 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFSAIVGDLVSESASFVISKCFQQQPGIDMTLQRLERVVMRVDTVVEEGEGRLITNQGMLRQLKLLRQGMYRGHYILDALRLRAIGEEEENDGGLSLSHSSSALYKFIPAKRLRFSRSTGSGSCNTEAQLFGTNNNIQEELQRLIDTLEDTMAGMNEFLFLLESYRQILRQPYGAYLLLDNCMFGRQMDRQRVLNFLLCSSATPDLAVLPLVGPIRVGKTTLVENVCKDESVRDRFSMILFFPEGCLRDEAVIDLKENNINGLVRHQNCSSQNRLLVIVEIAEDINQGTWRRLKSSITDMTPCGGSKIIITSRSNRIMSLGTTEALQLDYLSQEAYWYFFKSLVFGSTNPDEEPKLAKMAMEIALEQRQCFTSAHIIADILRDNFNARFWRTVLHCVRAYIQTHLHMFEKHPNLRVRVDEPVYYWRMEKSRGYFLISKYHQSDSSEEVPRISMRDILLERGGTLPRGEFEAVAWSSRIPPYYNYTISCKLQEPQLTVGRKKRLHQHEEHLI >cds.KYUSt_chr7.31522 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196366638:196369551:1 gene:KYUSg_chr7.31522 transcript:KYUSt_chr7.31522 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARAMVALLLLLSTAAVSRAQQHDYGDALRKSILFFEGQRSGRLPPGQRVRWRRDSALNDGAAAGVDLSGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRTYGPQEREAREALRWATDYLLKATATPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDTAHPGSDVAAETAAALAAASIVFREADPAYSTRLLDRAVAVFEFADKYRGAYSSSLHDAVCPCYCDYDGYQDELLWGAAWLHKASRRRVYRDYIKKNEVALGASDSINEFGWDNKHAGINILISKEVLMGKDSFFTSFRVNADNFICTLLPGISNQDHIDYSPGGLLFKVGNSNMQHVTSISFLLLAYSSYLSHANAHVPCGGAAAAASPVVLRRVAKRQVDYILGDNPLRMSYMVGYGARFPQRIHHRGSSIPSVAAHPAKIGCKAGAAYYASTAPNPNLLVGAVVGGPSNVTDAFPDARAVFQQSEPTTYMNAPLLPLLAYFSAHPSLPQAGADD >cds.KYUSt_chr7.35376 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220949791:220951414:1 gene:KYUSg_chr7.35376 transcript:KYUSt_chr7.35376 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRLRGTPASSSLAAAASPRIPTSRRSSQPEAPSTPRKENRMPPPSTAAATPRPPKTLSRTRSSLKDSSKVERSSASSSSSSSSVRESPRPPRSQASRAPAPSAADAAIAAARSRFHEQVVLAEIKTAAVVSPEPSRLGRSRVGVARVSSPSVTPGQVDFLSRIGHSVRGDRETSERSDAEDKDFITRAGGSGHKLGDAEITGDSDTEPSYVYVKRDHEEVGDEQEAEIFEETMTSSPSPSSEETDTTVKESPPTGAEAPPRDSSESLYSNVQSSFSQGSELDASAAGSPLPTPPPSGGALSTEELLESDAAVLRMKREQEEVDASEVDQSIVFLPSTCCTATSGAVPVAGRPQSPMEAVAGLKRFLTFGKKNGRGADDAVVVVIERAPPSAGDGSVSEGSASGDSTKPRACWSDAASDDLDKSYVISPHVRSLKSFVPPSPARSQLKEMALPAKSPRVPRSFFSFSSFKNKGN >cds.KYUSt_chr5.637 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4577004:4589103:-1 gene:KYUSg_chr5.637 transcript:KYUSt_chr5.637 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPMGRAALEAEIDAVLVTLSDEQHVEEHFFLDNYEARTHFFRQRNPTQEIFSELDETNAQDLIFPRSFQKTEGDTKWGHEVATPQGGAAKEGPAPPYGSTLAPESLVLRRTTLLHQQPSRGLHLLLVPRWRRNPGVAPHYTPPPTTFTWPSSPTWFDNLDFLLRENLLLYASHLPLGVPNGRVLHALSPFSSSSGSRSASRSGGSTPPSSTAAFVKKESASPPPTRGRSSGALVIREQPSSPSRGCKRKSSKKEATTAAAANQLAEEEAKRAEDAAVVKAIARSLNDLVSADNALPIDAALDWSRLDWERQEAEQQRRLLDLAAARQRAVSRSGEHGSCQKKRELQKLCEYNLDGSVDIKWPPTVKGRYVDIIFVKRSVVEVKWGVVEVWEHHSELADQLKGCQVRWPCGPAHKTKTDDQN >cds.KYUSt_chr3.28792 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179676303:179680070:1 gene:KYUSg_chr3.28792 transcript:KYUSt_chr3.28792 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHKLEATVGWSASAFVAAVLARLIRKGIELLSELDGAAVGHLRRLEGLLTSVWLLLDAADAGAIDFTQRPVQDLLNAAYSADDALDDLERALLQLQVGMAGGSEPGSNAGSPASVVGASRKPRSPMRFFLCFSPPRTAASSSGSAASSRRRSSSNKKSSVDLGGLGEAFEKLAQAAYRCTSMYEHVVPRKNYATILSVKPGDAASGAARDMYDIFGREAEVDQIVKKVRFGDDLHYRLGVGVLPVVGAEGIGKTALTQLIFHHEIIKAEFPVRMWVQVSGTLLVSKQLMVQMVHPLARDAHDTEDIRELLLEQLTGKRILLVLDGVTDVSDAQWRDLMEVLQQAARRSLIMVTTQSESAATALGTMPPLILSPLAFDDYWKMFKHFAFGSADESEDCTPLGDEWEDLEEEEEELSPIEQIAYEIAKKLDCSPLPARLIGRSLYFRQGEEGHWKNVLDDTLWEQQQIGGISPALWLSYQHLDPRLKQCFAYCAVFPDDYVFRKEELEQMWIAQGLIYSDDRAARLEDVADELFDELAERCFFQPLGRNRYVMHNMMQKLSQAVSASQCYMVTESSGKVPQEVRHLTIRTNNLLKLKMDLALQLSPSSENHFLQRVRTILFFADFSDSDDFLEVLAEIFSIAKSVRVLGLSCANITFLPAEIGLVRHLRYLNLSRNSITELPETVCQLHLLQVLDVKCNSRYLHPPNGTSSLIHLRHLHASALFLSDIPDIQNLSNLQELEALRVGRSTQLIALRQMMQLRGALHIENLRQCDVSEFKKGILKGMKHLNKLHLSWANSTGESKDIPIDEEVLECLQPHENVKVLKITGYTGIRSPSWMLKSSCSLSNATSMYLSDCMNWESLPPFHEMPCLEVLEIRKMHSIKEMGAVPQPSDAELFPKLKKLVIEDALHFTGWSRGKSTRNITFPCLSKLEIRNCPDLTTFPDVPLSLTIMIIENVGLHILPMIHDKQSSEEEEMSTSEEGRWTSGLTTLQIHHCHKLRSLGSGLLQQQHLLRSLEVLSIKSCDNIICDLADGFKDLTALRDLSLYDCPKLLVDKFHASLRTLEISECFIAQGGWVDEYPFLFSLWTLKISGCSHVSADQGSKIEPLDWLSSLFNVYSLQLENTLFVRLSMFDKLHSLEILEIDGSHAFFDGSLEFEWLEKLHTLSIRNCRELCELPENLYTLPSLEELCVENCPAIQALPANGLPASLKRLSISKCSPHLTQRCLDEELDQPKIAKIGVAYIEGKSIISTEE >cds.KYUSt_chr3.40458 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255029694:255031969:1 gene:KYUSg_chr3.40458 transcript:KYUSt_chr3.40458 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGADQEPWCSRGPISAPPTLPSPINTYLPNPHPGAEVHCRTRLPHPHGTGLPTRNMDKHAAAAAAAEDVETCEHERTGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTFYTSTLLANAYRAPHPVTGTRNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMVAIRRSDCVHQNGQGARCDTPGTVLMLAFTIVQVVLSQFPGLEHITWLSVVAAVMSFAYSFIALALSVAEWVSHGGHPSGRIQGAAAASSSKKMWDVLLALGNIAFAYTFAEVLIEIQDTLKSPPSEHKTMKKAAMYGIGATTVFYISVGCAGYAAFGSDAPGNILTASGLGPFWLVDIANMCLILHLIGAYQVYAQPIFATAERWIVSRWPDTKFISSAYTVSIPLMQRGSVTVAPYKLVLRTVIVVTTTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPVSMHIAQGKITKGVKWYLLQGLSMTCLMISVAVGIGSVTDIVDSLKASAPFKTVS >cds.KYUSt_contig_815.125 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:714474:716780:1 gene:KYUSg_contig_815.125 transcript:KYUSt_contig_815.125 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSLHVAVIGAGAAGLVAARELRREGHWPVVFERAAGVGGTWLYDPAASADLLGAGAVHSSVYASLRTNLSRECFGFSDFPFIADEGVGDGDRRRFPGHQEVLRYLQEFARRFDLYGMVRLRTEVASVRREASASWRVTSHSTSELAGGGGPVEEVFDAVVVCNGHFVTPRVANIAGIGGWPGKQMHSHSYRVPEAFHGQIERAEADGSVVFQDGNRVKADAIIHCTGYKYSYPFLDEEVVGISVDDNRVGPLYKHVFPPHLAPHISFIGLPFRFEYEDWVAEQCGKEMMEGWRKAMYLAARKNMVDRPENWRDEWEDAHLLSEAYQDLTKYF >cds.KYUSt_chr4.24060 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151489680:151490126:-1 gene:KYUSg_chr4.24060 transcript:KYUSt_chr4.24060 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSRPAPGTVQCFGRKKTAVAVAYCKPGRGLIKVDGVPIELIRPEMLRLKAFEPIMLAGRSRFKEIDMRIRVRGGGHTSQIYSIRQAIAKSLVAYCQKYVDEATKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARSRFQKSYR >cds.KYUSt_chr6.20534 pep primary_assembly:MPB_Lper_Kyuss_1697:6:129451006:129457401:-1 gene:KYUSg_chr6.20534 transcript:KYUSt_chr6.20534 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVIPKLLLLLLALSALAPGRAAATGVFQVRRKFPRHQGRGPGGDEHLAALREHDGRRHGRLLSAVDLPLGGNGLPTETGLYFTEIGIGTPAKSFYVQVDTGSDLLWVNCVSCDTCPRKSGLGIELTLYDPAGSGSHSGVTCGQDFCVIANGGVPPTCPSASSPCGYSIAYGDGSSTTGFFVTDTLHYNQVSGNAQTALANTSITFGCGAKIGGDLGSSNQALDGILGFGQANSSMLSQLANAGKVTKIFAHCLDTVNGGGIFAIGNVVQPKVKTTPLVAGMPHYNVNLKEIDVGGAMLQLPKSIFDTRESKGTIIDSGTTLAYLPEVVHKAILSEVFAQYVDMSFHDVEDFLCFRYSGSVDNGFPVVTFHFEGDLSLNVQPHDYLFQNGNDLYCMGFQSGGLQTKDGKDMVLLGAQAREEVHGRSRWPARRPRPRPRAPRGRGRAAVVEQLRPHARRRSRPPRPRRRSARFEFLLRIDDDPLAIKRLPDKFAEFADGVEPAHLQPTGGHLQLRRWTVEVLFDGQGKMYPHTGWDKFARDLDLEPAAASPSSTRGTAR >cds.KYUSt_chr7.35178 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219745596:219747629:-1 gene:KYUSg_chr7.35178 transcript:KYUSt_chr7.35178 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQPPTAPSAGPTLPLKPAVVLAAATLARRRSDPTVGGHMRRGRRFCKLAVACPVASHPPSNELRDPAVMVEGLGSLSLPLLEKISQAPSFLVTGGSVSGDAQCTDDVPDGEKMPEKMENHDDVRIEEMAPEKMETSLFGPSSASTCSGLSDVAIVASCEAEEGWEHVGRGRRSGHGASLEQSREGLERSLAFKSCAAGVVGDDDGWVQVGRGGRPGREPTYLLHEEGLECSLAFKRWARGRCFRCLERDHQVSTCRGPFRCIRCRRPGHRERFCRARFAAAPSEEVVTCVRSPVASAPCQRNRSPSALLHRPSSHRSWAEVVGLSVATVPPIPSPTSCEQFKVNAAMDSLFQSQVALMRMELLQLVDVRVEEASRPLREEVAALKLLLERVGVSLEPVEARPSDGLGLAKAQAPVALDSSEQMSSGVEEEHLYGCCSPRSPLSPPNGSVASERVGMVMIAAQALDNELSADADAGVCPSPETGRHVVAKSGDSTPVAGTHVSLSHESGEQVVAKSGELTPVPASSPPQSEPCQSPQPVVLADSKSEDIDEFLAPVLDITEELHELRGDSPMVLPSALCPFETIEVATTPSPPQSEPCQSLASLDHGAVLVPSSDALFAKELCGLLASLEAASPGYGKEIACVLAGKASEDMIKKVEKSLKKVSIRRIRRRPIREV >cds.KYUSt_chr2.15914 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100082489:100088254:1 gene:KYUSg_chr2.15914 transcript:KYUSt_chr2.15914 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASLYQCLVCLAVLAATATTATSHSQCLDNPPDLTAGGHQAGVVVDDLAGFKAYVTGDVHSHRAIVLASDVYGKFAVEVAKTNEVEAVVISHPYAVTADDMKEVKNPIEILGGQNDTVTPPTLVYRIVHTLRQRNEIPYYAKIFPRVAHGFACRYNATDPFAVKTGEVAEMPAISSCLFLDLFSKFRPSGPLTNWCSEISDVWMTDLAVDNALVVVGGGLRMLLPSVIAIYTSVLLRTISMGDCVSPICGGHGPSVRRPHCSPCSWYQVAVGADRRYQGHERLRCRRREQGMGVGTHLPDPRVFLGDRGWSLEWLVPYKIFPLEVRSTSSSLRGAGHHVMVER >cds.KYUSt_chr4.7285 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43136227:43136622:1 gene:KYUSg_chr4.7285 transcript:KYUSt_chr4.7285 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAITLLAALAAIVAVAALLPATASAASYTVGDSSGWDLGIDYGAWADGKKFRVGDTLEFLYSSGEGDHNVVVVDERSFASCSVPGNAPTFTSGDTTSYR >cds.KYUSt_chr6.7596 pep primary_assembly:MPB_Lper_Kyuss_1697:6:46318049:46322679:-1 gene:KYUSg_chr6.7596 transcript:KYUSt_chr6.7596 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGEEFAVGVVISAKTTLGEEFEGQIVSFDRPSNLLEGVGRAERGERRNVRVIKANYIQDFTVVGKYDDPLDPAACVLDLAAIHAREEAALRQAEIEAERIGVGVTPEAQRLFDALSKTLPVQWNKTDIVVMKEVRVCSPYLPENVSGGTSAANERVKKVLDFERKRLHVRVPGQL >cds.KYUSt_chr4.47999 pep primary_assembly:MPB_Lper_Kyuss_1697:4:297268715:297270276:-1 gene:KYUSg_chr4.47999 transcript:KYUSt_chr4.47999 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKAAAVVAMVVAFVLFAVASSAAATTIPDMSIISYNTAHGVHGLERTEAEVRAMYDHWLARHGRSSNALGEYDRRFRAFWNNLKIVDAHNADADAHGFRLGMNRFADLTNDEFRAAYHGAAARSLGGLAVGERYRHDGVEALPKSVDWREKGAVAPVKNQGQCGSCWAFSAVGAVEGINKIVTGDLVTLSEQELVECARNGQNSGCNGGMMEDAIDFIARNGGIDTEEDYPYTAKDGRCDHAKRSRTVVSIDGFESVPQNDELSLKKAVAHQPVSVGIEAGGPEFQLYESGVFTGRCGTELDHGVVAVGYDTTDDGKDYWIVRNSWGANWGEGGYIRMERNVTARTGKCGIAMMASYPVKTGPNPTPKPNPPEPTKPVTCDRHNKCPAGSTCCCAHGVRKTCLVWGCCPAKGATCCKGRDTCCPSDYPVCNQESRTCSKSKNSPYTVEALVRTPAKRRGSKLLITELMDSIFSELHI >cds.KYUSt_chr7.34507 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215418457:215418804:1 gene:KYUSg_chr7.34507 transcript:KYUSt_chr7.34507 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPAKYPRGKAKAARASQRSSAAGAVERKGGEGAQAAAAEEYAAGGALRPHYDGLLHPAQDRPAVEKKGRAQAAAAREDAAGRALRPHYDGLLRRMTSSDDTICPTPANSSASV >cds.KYUSt_contig_973.547 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:3992002:3992619:-1 gene:KYUSg_contig_973.547 transcript:KYUSt_contig_973.547 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAERRLLAHALLDHSNARFLLLSESHVPLFDFPTVYSYLVNSTKVFVESYDELGGTGRGRYKRGMAPAVAAWQWRKGSQWFELDRALAAAVVADAVYFPVFRRHCTRNCYADEHYLPTFLHIRHRGAAAKRSVTWVDWSHGGPHPSRFTRMEVTVDFLRWLRGGTTCDYNGRTTTVCFLFARKFLPNSLTRFLRFAPKLMGFG >cds.KYUSt_chr2.13769 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87272601:87274131:1 gene:KYUSg_chr2.13769 transcript:KYUSt_chr2.13769 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWIVVAAALLVLGCACGGATARPLVGAAGAKQPLQTSRPFNIAHRGSNGELPEETAVAYMRAIEEGADFIEADIAATKDGHLVCFHDMTLDDTTDVADHKEFAARRRTLEVQWANVTGFFITDFTLAELKTLRAKQRWSFREQSHNGISPIITFDEFINIALNAKRVVGIYPEMKSPVFVNQHVKWADGKKYEDKFIATLKKYGYGGKYMTKPWLEKPVFIQSFAPTSLIYAAGLTDLPKVFLIDDLTVRTEDTNQSYDEITSDEYLDYMKDYVVGIGPWKDTVVPPTKANRLATPTDLVAMAHARGLQVHPYTYRNENRFLHYNFRQDPYAEYDYWLNNVGVDGLFTDFPASLRRFQEWTTKTKN >cds.KYUSt_chr4.47561 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294421828:294423247:1 gene:KYUSg_chr4.47561 transcript:KYUSt_chr4.47561 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIQQQDVAGEIVIAGAGLAGLAVALGLHRKGVRCVVLESSPVRRTSGFAFFTWTNAFRALDALGVGDKMRGRHLQLEGVRVVSSSTGKVAQEMDLQVKGKLGPHEVRCVHRNVLLQALEEELPAGTIRYSSKIVSIDQDEHGSAKILHLADGTTIRAKVLIGCDGINSVVAKWLGLAKPSDSGRLATRGLAHYPDGGHGFEPKILQLVGEGFRAGVVPTSDTDVYWFFTWSPSPDGKDGAVDRSPAAMKQFVLTTMRGAKVSPQVLEAVERSEMSDVLAAPLRYRPPLSLLFASISKGNVCVAGDALHPTTPDLAQGACTALEDGVVLARCLGDAMAADGAGEEKERIELALRRYGGIRRWRSAQVIAASYVVGFFQESDHPVVSFVRDKLLSGVLARTLLMMPDHDCGKL >cds.KYUSt_chr4.29334 pep primary_assembly:MPB_Lper_Kyuss_1697:4:184086776:184088599:-1 gene:KYUSg_chr4.29334 transcript:KYUSt_chr4.29334 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLVPFFPCRVNRQATLPVPLPAILPGASSSLLVPPPPFSDTSIGRWSAGRHDPIASALTTSCRQSRHPPPSPPPPPPSPPPPPPDGPAVATAAAVIAAVLPRWSQPNPPPMLTRKDYTSYNLLGGGPPDEDPLLCDGVDPRPLHADMAPPAAPLLPMHHDDDDNEEEDGWGHWAMGNNVQQENEMEVDLDPNISFQGLLDSIHDEEMNVDNPPPNDSSSDITYSSRSFDLSFSDNSANHQLIIHDGPVLGILPNNPKHTMMKKKSRMDMLYLGLTISMLQDLAN >cds.KYUSt_chr1.21258 pep primary_assembly:MPB_Lper_Kyuss_1697:1:125419327:125424908:-1 gene:KYUSg_chr1.21258 transcript:KYUSt_chr1.21258 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGLAMSGGGREMAVSAAFTALLVVSILLLPSLLLTSGRYGPSTSSAKADWPFLDGGGGGQGYPVSFAYLISASTGGAEAAARVLVALYHPANSYLLHLDREAPAEEHRRLSELVSGQPVYGRVGNVWIVGRPNLVTYRGPTMLSTTLHAMAVLLRLGRRWDWFVNLSASDYPLVTQDDLMEAFSRLPRDLNFIQHTSRLGWKIKKRVQPVILDTALYEADRSVLLRPSRNITTNRRSLPTSFKLFTGSAWTILSRRFAEYCVMGWDNLPRRLLLYHANLVSSPEFYFQTVACNSREFRNSTVNSDLHFIRWDTPPKQHPLNLGPKDYRRMVLSSAAFARKFKHGDLVLDRIDREILKRRPPPRDDDDGDEAPAAAAAPGHGGQFFSYGGWCSEVGLCSNPREPDRKGVIKAGAGSRRLTVMLNKMLSERNFRKQQCR >cds.KYUSt_chr2.47215 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295329965:295331233:-1 gene:KYUSg_chr2.47215 transcript:KYUSt_chr2.47215 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPFLILLLSICFCKSDDRLTPAKPLLPGDKLVSNGGVFALGFFSLDNSTANPYVGIWYHNIAERTYVWVANRDNPIGNGLSGNLVLTNTSGLVLSDSKGRILWTTANNITNGSDGAVALLLDTGNFIVQSPNFTQIWQSFDQPTDTLLPGCKLWANYKTHTTVRIVAWKGSQDPSSGKFSLTGDPSTGFQILVWRETSLYWRNGLWNGATASDKNGYMWSEVVDDGEVIYTTYNMDNNTRRSHMKLDYTGNLLLRIWINQSWLVLFRLPGDGCRHYGSCGPFGYCDSTMSTLKCRCLDGFEPADGFSANFSRGCVRKEALRCHGDQFLTLPGMRVPDKFVYVRNRSFEECTAECDRNCSCTAYAYLNLSTILATGGPSRCLVWTGELVDLEKTGPIGASVYLRLAGSPGMYGSCLLLFF >cds.KYUSt_chr3.22683 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140192202:140194949:-1 gene:KYUSg_chr3.22683 transcript:KYUSt_chr3.22683 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDASTGGGGDPQRLKRIAAGAYDYENDARWAGYWSNVLVPPHLASRADVVDHFKRKFYQRYIDPDLVVEPMSSTSSAPSNKSATSSSSTPSSENVRARDSGIGCF >cds.KYUSt_chr6.21072 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132968057:132977622:-1 gene:KYUSg_chr6.21072 transcript:KYUSt_chr6.21072 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHLSARPGCEAQIELHNSPRLTSYPQNTVAGSEPPPDLQPAHFLATFSPTPSLSQALLPLLRRRDADLPPAPALLRRREARTLAFLPLQLSSVDEELELRHPHAILPPAPGPSSRLPHAMDELRRFVDAGLRRLLLDAELRRRLDAELHRRLDAGLRRRHGTSSSQRPPPLPRRVSASPSPRLYLLTGALLCLRRGDADPARRGGDVHLHDMNFDTVSEPSFGTTDSYNFDPDLFPTDRTNINKSNQNLHSTENGASVVSCEKSNYSVDEKTNSSEILSANKVSNNSFSCSDEKDGNCDLTYPLNGSIQQPNSCAPETRKRPKASITVYEPDMGVYLTSDMISSCEGQYASTTGSLQRSSSCGKSTGKGNMIHKKPPYPPGKVASSQKPQEVSTSYQYDGHDKNPCSKIEQRSAKASVSTSNNLQACAGISKLGASKVEVLKKPSKFLKTSLVGLINDNKRSKVLFRYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIHLLLRLHSKDCCSKNWCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQAACLDGLGGEKQVEPSLQETTLIQQMFGGRLKSKVKCLRCHHESERYENIMDLTLEIHGWVESLQDALTQFTSPEDLDGENMYKCGRCCAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPDMLDMVPFVTGSGDHPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVKAVSLNQVMSEGAYMLFYLRSFPRPPRIYIEKGLLPVPSSSKRHSSKSSKGSKQEQKQTESLLASDDQIRGIYDFRPEEEGYRQDQHAELRSRNLYHMDDAFTDSVSTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPITSIFGPYYAPDQSSGNFASCTRFSPSNPQTRKFYPEVMLSESRLVLLLLLQSIWNI >cds.KYUSt_chr3.31660 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199024071:199030064:-1 gene:KYUSg_chr3.31660 transcript:KYUSt_chr3.31660 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPSYIKKTQASKLGDAQDIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFNVLLDSYWFDKPWFLTEGNLLLYASHLPLGVPNGRVLYACHTLNYERCQGDVKIARVSQSSAGAVYNRLFPSSIAIHHQQQHKQQQSSNEAAPSILASSSSSSSKKMAGQLKAKILAAAAVAVVVASSLVGTASAAEGPAPGPTSSAPAAAPAFAAVSVAAAALGYLFC >cds.KYUSt_chr4.14171 pep primary_assembly:MPB_Lper_Kyuss_1697:4:87264823:87265350:1 gene:KYUSg_chr4.14171 transcript:KYUSt_chr4.14171 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSLLLLVAAAVAAAPLASAASGASPNGAANDLLPKYGLPRGLIPDSVSSYSFDETTGDFEIHLAGTCYVWFGDHLVYYEKNIRGCLSPGKITGLSGIQAKKLFLWVSVSGMVAHPKEGTLEFQAGFISEALPASLFDKVPSCGASAGAQLRGVAGVIRELGLLPVAEVWTCR >cds.KYUSt_chr5.28948 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183233025:183234650:1 gene:KYUSg_chr5.28948 transcript:KYUSt_chr5.28948 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTAIALADQVAAKTGSTKCLPECMELCSRVRKLAAALRLAARTDLYERPAERIIPVAMDALVMAGRCFEGHHSRLRRFLAFNFSLVPTVRRTHEVLDAALADVAWLLRFSSPHTDGDMLGLPNILCGDPKLFLVWDHIARVHTGSRAVRADSASSLASLARDNPRFAELIVQEDGVRPLVNLLKAGTDDGKEAAATALGLLGRDEESVDKLLRADVCFVYAAALKEPSMRVRAAVAEAIALLAHHNRRLQDSLSQTNAVRLLVSLIDETPEPMTSLHSVVLAKMRQLQGQQIEDAPDKARMKTMAAKALWKLARGHPGVCQSIAESGDILCFTRLLDKSGRRSELQFYSAMTIMEIARVAELNLALRQSAFKPGKAAVTEQLLRIVRQGQGESDDPLLLPCISSLGCLSRTFTASQISRVVGLLVELLDNREPSVTREAMLALTKFVCTGNHLHVNHSKAIVDAGGAQRLVLLVYLGDKQLQIQALILLCGVALNVPENEELAQAGVLDVLLWASKQVHLVHDVRVEALRTNAVGTLLD >cds.KYUSt_contig_1130.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000106.1:167550:168758:-1 gene:KYUSg_contig_1130.21 transcript:KYUSt_contig_1130.21 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPSPSAAAAAAEAAGNDSVVASAKPAKLLEAAANCDGVQGHALFFDAIVQLIPPRFYLSVDDEDRPYYQGLSKSAKAAMKAQSRANIKASRRARLDPAGPPSSTLDLLKKSVANQEAEDQEEEEQDKSQDEIQETEDEATTEADDDGNDDDEEEEEDDDEKEEMLMAPAALVSEDWSVTYEELRERLHRRITELRGNRCTRPEFLNKPQKEKSKKVKGSKVKNGKDEGTKRKREDADDAEGKDGKKHKKEADGKAPDIVYGNVLVDPKDARRRKKRKIKNKKKELEQAKRMQRAKEDPKKATKMAWDLATRRAAGEKVHDNPTLIKQSMKKDKKRQQKAAQQWKDRKKTVDSKRKEKQNTRTENIRERAQEKKARKIENREKKLMRPGFEGRKEGYVNK >cds.KYUSt_chr2.12486 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79267256:79268299:1 gene:KYUSg_chr2.12486 transcript:KYUSt_chr2.12486 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQNLAISFCLPLSDQKNKKPSMTSKDTVPQCRRGGGHADADNSASDSEAPDDFEFCIPSSGGLVPDGVDAADMCVAGEVFSGGKLLPLRLSSANAADMSALLLRSDSLDGAMTVASTSDFSSRSDSRSASSSSSSSSSVSRSASSKSASSESIAQSHSSKSASSDAVAPRRRSVTNSLFYGHPSPSPRPPRRTGGSMATSAARRSTGSAPPAAWGVNVIRLGVVGAPEVYAPRETESRKVGRGGSRSARFEQPRPAGKEPVTAVDKKLALGLLGAGLVCSCSADAVEPVSSREAASAAARRRRRNKAEEMKKGDVKSGRGTVRRSRILEWVEELSIAKPKNFVHK >cds.KYUSt_chr1.34300 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208811928:208813067:1 gene:KYUSg_chr1.34300 transcript:KYUSt_chr1.34300 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFYGFGMGMGGASSSWAPAEPQPQAQAQAQAQQILMPPPPLPQQMMVPPPLQPQQMTVPPPLQPQQMTVPPPLQPQQMTVPPPLQPQQIMMPPPLQPQQIMMPPPLQPQQIMMPPPLQPQQIMMPPPPQPRPWTKAEDKVFETALVHVPENVPSRWIYVAAQLPGRTAQEAWEHYQALVADVELIELGLVETPASWDEEEAAGGGGAGGASGSGLGRFRGRGGSSDERRRGVPWTEEEHRLFLEGLERYGRGDWRNISRWSVKTRTPTQVASHAQKYFIRQASAASRGDTKRKSIHDITSP >cds.KYUSt_chr6.26936 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170779729:170792513:-1 gene:KYUSg_chr6.26936 transcript:KYUSt_chr6.26936 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLFSVIILLLKIYAKKSCSGKHNPSTRIPRVSFPWLIPPPTAHTDLARVGVSRKTQELYLAVFVARYLDLFTDYISLYNTVMKARLHHHLRGHRLVHAPTPAGLWSTGQRCWRFTVVQSVLQHYSSIRPAVLHQGMNMIYKENSLKLPIGYIVAGLVTVMPLFHVGAGIPGVAPHYISPPSTFDVLLDSYWYLYGRSEEEAILFFAVWNYIYNFYPRDEESISQAWGRLKSLMLKCPIHELPRNVIVNNFYARLSGQHKDYLDACSKGSFTSKEVEAKWDLLDRIEENAEGWENNKGEESGYADKPPFKPLPPKEGNEEKEEKKKKKGTQKKKKKKKKKENKKKEVIAYSGVNEITLGNRKYVAPNDYCDNESEYDDLPMLSTYISDHDFNEHTTFDIANLWETNSENDDDNNCHSVSAIHASSHNDIESSKLGEEVFENPFATDHYVFDTSPSNNNDGMDTDKPTVKDNYSISYDDTVPPIFDDYYKECYDIGNSQNYANLRE >cds.KYUSt_chr1.19624 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115360700:115366794:-1 gene:KYUSg_chr1.19624 transcript:KYUSt_chr1.19624 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPRARYPPGYDYGGAGGGGRGGNGGGGGGGGGGNGGGGGGGNQNYYGGRNPHPQHHDYHHQQQQHGQRNSFPQQQQYQQRNSLSQQQQQQQQQQQHQQWLRRDQAAAQASGEAAARAAPRLDAVDTSSQDWKAQLNIPAPDTRFRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIEVMVSTGGTSLKDDIMRLYQPVHLLAGTPGRILDLTKKGICMLNECSMLIMDEADKLLAPEFQPSVEQLIRYLPASRQLLLFSATFPVTVKDFKQKYLPRPYVINLMDELTLKGISQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTAETYLHRVGRSGRFGHLGLAVNLITYEDRFNMYRIEQELGTEIKTIPPQIDLAEYCQ >cds.KYUSt_chr4.47381 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293218816:293222286:1 gene:KYUSg_chr4.47381 transcript:KYUSt_chr4.47381 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPEPEPEPPVPAWLRGLPRAPEYRPTESEFADPIAFLTRVERHAAQYGICKVIPPYHRPSRRHVFARLNRSLLASSDLSNPAAPDPNPDPTSSSAPPPPPPPAAVFTTRHQELGTPRRGRPPPQVLKQVWQSGERYTLDQFEAKSRAFSKAHLAGLRDPTPLHVESLFWKASADRPIYVEYANDVPGSGFAASSQAHLRSNRRRDPGTTHQQAAEDKSVGWRLSSSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHIEDHELHSLNFLHTGAPKTWYAVPGDRAAELEEVIRVHGYGGNPDHLASLAVLGEKTTLMSPEVIVAAGLPCCRLVQYPGEFVVTFPRAYHIGFSHGFNCGEAANFATPQWLKFAKEAAMLCHGSLALSNSLVGRAHWKVARCGSHPIIWWVSIAVVPPFQACAPPWVDFSSLLNRRFGPKIWNTGLVAIKNLRQIGSVDDYVENFLTVVCRCEGLTEAHQMELFVAGLHKSIRTDVKLMYLALLEDAMDHARPYRSTTHQMTVIPLWCPRETPVEAPGHLLQHSWLLRRGQWHC >cds.KYUSt_chr7.11914 pep primary_assembly:MPB_Lper_Kyuss_1697:7:73168012:73171340:-1 gene:KYUSg_chr7.11914 transcript:KYUSt_chr7.11914 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPQVRKIMDQIHGRLPDAMLIALRIHFGTLPASAAAGREDVACSLRQLQSRARPNPTPTTAPRAPLRLRSGSCYVPQHDEDSHFRHDVFGVVAVADGVGGCRAQGVDAAAFSRGLMENAFSEVATSELGKPVCPYEILDRAYRRTAASGTPAASTAVVVSLAGRLLRWAYVGDSGFAVFRGGKVLRRFQPQQWYFNCPFQLCAGNGVTKVGDAAVGEMAVEEGDVLVVASDGLFDNVSDSSLENLVWSTMLLGFTPEEVAGIMAFRAYVTSKDPKTDSPFSVASREQGQPRTGGKEDDITVVVAFIESQDSGFFVPQTLDGAVFGSNAIRRYGIYRATSFDLKLPTFALIKLLIVRMVATFFSDILLSNMQVRSDGWTSLLERLIPISQDMMSVFRDSGTWSRNSTLFHDQDILMETKVDISDEAQKERVNAMIEDNLELCAFK >cds.KYUSt_scaffold_869.1654 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:10666400:10666887:1 gene:KYUSg_scaffold_869.1654 transcript:KYUSt_scaffold_869.1654 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSHTILRPFGVNPSGPYHRRHAFRRSSVKATNRKESGSSKKPAADESRLEVLYDDGFGAVTMRDYFEAVRVMTKQDHGGPPRWFCPVECGQPEVEKAPLLLFLPGIDGVGMELILHHKSLGR >cds.KYUSt_chr6.16079 pep primary_assembly:MPB_Lper_Kyuss_1697:6:101167269:101168174:-1 gene:KYUSg_chr6.16079 transcript:KYUSt_chr6.16079 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTIANLAGASPLPTFAPRPRSRPNSVSPCPAAPAAPRRLAVAAPPRAFFSREPYQPQLPEPAGYSSTQAYGLVPMVVETTSRGERAYDIFSRLLKERIVCIHGPIADDTASLVVAQLLFLESENPLKPISLYINSPGGVVTAGLAIYDTMQYIRCPVNTICIGQAASMGSLLLAAGARGERRALPNARIMIHQPSGGAQGQATDIAIQAKEILKLRDRLNKIYAKHTGQKIDKIEDCVERDLFMDPQEALEWGLIDEVIENRPASLMPEGLSAVDPPHHGGGGGGNGRDRDMEEPSAV >cds.KYUSt_chr7.19319 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119851820:119855552:1 gene:KYUSg_chr7.19319 transcript:KYUSt_chr7.19319 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWTSQGKEVMIGSKGIIKRDEFVRVITKALYTLGYEKSGAVLEEESGITLHSQSVNLFRKQVLDGNWDNAVVTLNTLGLDENTVKSAAFLLLEQKFFELLRNDNLMGAIKMLRSEISPLGVNRKRVHEMSSCIISSSRVLVFSKLGIECSNSRFKLLEELQKVLPPTVMVPERRLEDLVEQALTVQREACYLHNSIDGLSLYMDHHCAKDQIPSRALQILCAHSDEVWFLQFSNNGKYLASASNDKSAIIWKVDESGELLLKHVLTGHERPVMMVAWSPDDCQLLTCGMEEAIRRWDVESGKCIHVYEKPSLGPTSCGWFPDGKQILCGLSDQSLCLWDLDGKQADCWKGQRISKTSDFAVAKDGKLIITINSDCTILLLNRDTKQERLVEEDCTITSFSLSEDGDFLLVNLITEKIHLWNIRSDPILVKQYTGHKRSRFVIRSCFGGFEQSFIASGSEDSKVYIWHRASGDVIETLSGHAGAVNCVSWNPTNPHMLASASDDHTIRIWGLKKASTKHRDHGSSTNGTQVNGSANGNGFVQQCNGSRSK >cds.KYUSt_chr2.20141 pep primary_assembly:MPB_Lper_Kyuss_1697:2:126615050:126616030:-1 gene:KYUSg_chr2.20141 transcript:KYUSt_chr2.20141 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGLLKVKVVRGLNLAICDPLSHSSDPYVVIHLGQQDVFDHDTFTKDDSMGDAEFCILDFVEVAKKDLNGLPDGTVMKTLHPDKDNCLAAESHIKWKDGKVTQDIFLKLRNTETGELVLHLEWVSIPGITH >cds.KYUSt_chr1.25248 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151468310:151471490:1 gene:KYUSg_chr1.25248 transcript:KYUSt_chr1.25248 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALAGLASRSLLRATRPISLSPPPETPETFPGPGPWDRAGVEIRLDRATLHQWLAEGGEASGQEEELGENLILFSGNDYMGLSSHPAIREAAAKAAQEYGMGPRGSALICGYTSYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSISSLLAVGRKPTGDERIAIFSDALNHASIIDGIRLVERQQEAVAFVYKHCDMSHLDFLLSSCSIEKKVVVTDSLFSMDGDFAPLPQLVELRRKYGFLLVIDDAHGTLVCGDNGGGAPELFDCENEIDISVGTLSKAAGCLGGFVACSTRWKRLIQSRGRSFIFSTALPVPVVASVHAALHVSRKEQWRRTLVWRHVQYFASLTKLDITSPIISIVVGSEEAALRAGRHMLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKRLVDALTSWLPGKHAKQSFSKGHAEQSFTTSSKL >cds.KYUSt_chr2.54234 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338425138:338426634:-1 gene:KYUSg_chr2.54234 transcript:KYUSt_chr2.54234 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLADRRGPHHLWAENRAALTTPSPNSARHALPRADTSKAPPPPALATPEDGYLTFRSTGLSNCNAIALRQRLSGELGQVRALLSRIVTWQRELDRQQQNQNLPAPAPPAKLREAMRRRCAQILAKLQKDKRSVWFNSPVEVEALGLHDYHAVIKHPMDLGTVRATLAAKKHPSHHAFAADVRLTFSNALRYNPVEHGVHTFAGDLLASFGDMYRAAVAWFEDERKRIAPVKVELPPAQANPRAAKVRMPKAREPNKREMSLEEMNMLKVGLESLPEEKMHNVMQIVQKRSAGNPELLGDAIELDIDEMDIETQWELDRFVTNFNRALNKSRRSAMINGDSAEINHAAGSEAVPAFVDNADVVEGENSEKTTVMAEQVDEYVDIEDEMPTATYKSVEIEKGSEVVSVSGGSGSGSSSSSGSGPGCSGESASEAGNARSLV >cds.KYUSt_chr7.34623 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216127798:216130521:-1 gene:KYUSg_chr7.34623 transcript:KYUSt_chr7.34623 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Positive regulator of ABA signaling, Drought toleranc [Source: Projected from Oryza sativa (Os06g0211200)] MEMPGGSGGGAALARQGSIYSLTFDEFQSALGGAGKDFGSMNMDELLRNIWTAEESNAIAAAPAAANVDAQPQQPILRQGSMTLPRTISQMTVDEVWRDLMGFCDDEPDAPLPPQPHPQAQPQRQPTLGAMTLEEFLVRAGVVREDMGGQTVVVPARAQAQAQHLFPPQANVVATTMQMGNGVVGQAAGGGMTVAAPTTPVVLNGFGKMEGDDLSSLSPVPYPFDTAMRARKGPTVEKVVERRQRRMIKNRESAARSRQRKQAYIMELEAEVAKLKETNEELQKKQVEMLQKQKNEVHNR >cds.KYUSt_chr5.27707 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175394891:175395921:1 gene:KYUSg_chr5.27707 transcript:KYUSt_chr5.27707 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSILLVAMDQMAMASLSDDIEVGWGQDHSFFYKAGTDDKQTLALCLDETHGSGFHTKEAYLYARFDIDIRLVPKNSAGTVTTLYLFPVDVPWENHDEVDLEFLGNVTGEPYTLHTNIFVNGVGHRVQHFRLWFDPAADFHTYSIDWNPKRITILVDGVPIRVFKNHDKDGVPFPSWQKMKLEGSLWNADDWATQGGLVKTDWSAAPFFANYRNLRVSSCQPSPGVAWCGDEPPESTWFEQGLDTAAMQNARDTHMIYDYCKDANRKEFPKECTLD >cds.KYUSt_chr1.8415 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51801346:51805540:-1 gene:KYUSg_chr1.8415 transcript:KYUSt_chr1.8415 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQRSSQTFRRSGSSGLIWEERFMAEDQNQKDQGATGETDVSSLEPKELRHSRSVGSTGAVQHRGRDRSERGGMSSSVNNQAFRTRHVPPALDPPSPKFPSCMFCGIFRKEEPSQPSKPRRIGVGRLSGLRVGDYPMPQAALYVTMAYLLTDCPFVRTIWGTSSVIMLTTWWIWKHRNAAIFDNMQPSVASLLDTIKAEAR >cds.KYUSt_contig_786.72 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:442507:445258:-1 gene:KYUSg_contig_786.72 transcript:KYUSt_contig_786.72 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVDTATPSSQPSSGSAPPFALASPLFVTHSIPVLFVVRFPALDPTRPDPNLVWHPRRIDPSRAFSGLVLGQDTNAAQPSAPSCSLLTAGRSQIETDGGKSMDLSNYPHIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCKDGSISGFYYDPNSSPFQKLELKCTNEKQSGFTFSSYELQ >cds.KYUSt_chr5.30509 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193502751:193505067:-1 gene:KYUSg_chr5.30509 transcript:KYUSt_chr5.30509 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSGFIPPSAAAAAAKAAARKKQQQYQQRRVTADSLWPGLRGRKAPPRSHEDFEADFRDFERDSCEDDAAAQDEDVVEQVPPPPPRFRFGAKAEAAALPPAALDVTQHDGSAAKPVKRNRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTPEEAARAYDAEARKIRGKKAKVNFPDEVPAAQKSTLKPIVEKSTLKPTAAKSAKLAPLPKTCEDEAFNHLSNGDNDLFAMFAFTDKKVHAKPAENVDFILPLKSLATTEAYGMNMLSDQSSNSFGSTDFGWEDEAMTPDYTSVFVPNAAMPAYGEPAYVQGGAPKRMRNNFGLAVLPQGNDAPQDVCAFDHEMKYSLPYVESSSDGSMDSLLLNGVMQDGASTGDLWSLDELFMAAGPY >cds.KYUSt_contig_973.550 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:3998882:3999541:-1 gene:KYUSg_contig_973.550 transcript:KYUSt_contig_973.550 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKNQKQQQQHVALQMAVAAMATPCTIKLLLFAIPLAIGFALGIVATLSLVSSTTSSALPGAALGLFFPPPTAKLSSSSPVRARQPSQPVLQVAPAVDAPSPPAYVHAAAVAVPDSSEGERPAGAASIKKEEDDDEELMSRAAAAPRSVVGAPKVAFLFLAKWDLPMAPLWDKFFQGHRGLYSVYVHTDPAFNASATDQQSAFYGRHIPSKVLNREVI >cds.KYUSt_chr2.35150 pep primary_assembly:MPB_Lper_Kyuss_1697:2:216802012:216802422:-1 gene:KYUSg_chr2.35150 transcript:KYUSt_chr2.35150 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_contig_6047.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001214.1:8537:12495:1 gene:KYUSg_contig_6047.1 transcript:KYUSt_contig_6047.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPGEHAYSWPRSEMIRGGNENAGAIDADTERLATLQLERLRGKEITAEQGGKERTFNLVGGRAPPGRAELGARSRSTSDFATVGVDLLGFREEFATGPSKPLPQPQLVESMVEAVATMEERLASITANLNDSRRLLASCAWRLGAKEMGGKAASTSSFASSTLPLFVGTRAPEQATTKSSPSSTLSAYRVVADGAIYADAALTTTTPTRCSTVGSAVNGSGNHAVVAFPTSGTKYLAATAWTDNGNNKLQGESGIGMSTRAGSSTHILANPLEGSTAGARAVHTDLLRNTSFPRFDGTNPSLWRVQCLEYFNLFNINRCLWVIAARMHMDGKAKEWFEAYKLCQVVSDWPEFIDDVEAHFGVGDLPPSTLIFGVDHLNVTVDSSRGISPNVMDKAAEPTELTTVTHLAEPVSPSDEMVLTNVGGVSMFLESWVEPAETVSSKTTMIELTEETSIFVGDSSLFLELDTDSSNKVFKGDVLTIVGCVSLFLELDTDVDHIYYDAEVLTQVSALSQFLEQIMDSHHEIDKSMCLDHGVGGVRVVPLAVPSTEGKLQREMQTGTNRATLFLPWDPGVWIIVAKIPELSTIWTSATRGLNQQQVILPEDTFSMKLKKKLSLQVTQLTTQQWDPGIFMLTIEKLHEGWGLLYRVYITVVPMLRHSPLLILADVALSGIMVNLIPQAFNVKLLRSEFAEQCCELTAIDQSLRFEDLNCWSGWFSVFQCDMWAHLYRLLFAPDQRTCLSSVRIYMAHAGSSGVLTCGKEPMEALYLMQTWCLCIMECIQQQMLDTDSCNLCPTTLYAEKLFWLALWDIMPFTECSVEVNSGYVSIILECFTCSVGLLDSCISLLSAFYCLCGIYRTQVHTFGKVSLYFPSRHPWSRIIKVVIVSIWDVLELLSAAEGCMEVLASAWMRCQHNLGHLELVVHMNQQDGPRCDFHLQSRLILFNVYQLSEMPVLVGIPWDPGGVHGSKLRAIAWGQAMFCRGGSVTPGILPGPCSSEGLMQSIKPHDGNHTVKGASVTAGRPLLGLAAGGSARGRGRGMSMTKSRCGRGDPEFTMAGEVNVVAICSARFSLGCCCCWRVKPRMRRMGSTSAAGGGAPAPAAAGRMVAGARTGRIAGGRQGFRVWARLNA >cds.KYUSt_chr2.37417 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231766333:231766719:-1 gene:KYUSg_chr2.37417 transcript:KYUSt_chr2.37417 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKYGGLALVLVSLVLLHGGVQLAAAAVWNVGDSNGWTFGVISWPNKPPFKNFREGDVLVFKYDPAAHNVIVVDDFGFGTCTTHPANATVYSSGNDRITLRRGETNFISGRADDCDKGLKITVFVRP >cds.KYUSt_contig_2088.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000253.1:100551:101693:1 gene:KYUSg_contig_2088.2 transcript:KYUSt_contig_2088.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSRLHWSTGDDEDQVALRLQSQLMVALPPPHDVISVDLKPAKDGDGVGVEMRIKKVKIKGCMLSAMLPWEYHGLKSLIPAICWRGADDDGVWHIGAWGAVPSRCTGEQEHRPATS >cds.KYUSt_chr1.38797 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237018245:237023612:-1 gene:KYUSg_chr1.38797 transcript:KYUSt_chr1.38797 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHWGVTALNPEDFDWVTHTDLLRAQITRAQDRFKKKADRNRTERSFEVGEKVLLKLQPYAQSTVANRPCRKLAYKFFGPFAVEKKVGSLAYKLTLPPEARVHPVFHVSQLKPFTPSYSPVFAELPRPPDLTTGELEPIAVLDRRLKKKGTEPVEDLWLSAFPIGTEWEHIDKIEEFNWNFQNIEKALEEGGELYGKTVYLFGSTEPQLLNVAKSEQQSEKMVDCPYPPSDKVGIISVQRENEEIVPMKAMKMNWVPYVPLEDRLSRIESLETKIFTLCCTQRRSALKHLKTERVKKFDYCMPYYMPLSPEDDHDTTVDIIYPLEHPIVQSFDWEMDNYKDFIDDLVEGEVLPEDERENFKKFLKEKVKERKTELRQAKEARKEAIDNMDPKLKNAFENIQFYKFYPVNALDAPDLSIQKKSYINRYYGKAHKWM >cds.KYUSt_chr1.19408 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113947066:113954869:-1 gene:KYUSg_chr1.19408 transcript:KYUSt_chr1.19408 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPYWIPSGTRAVPERYYRNALRYLARYRENRNWHFGISSIDKKKLCPMKGGLKKGKWSKEEDNLIKNHIEKHGIGRSWQGLSNTLGLKRCGRSCRSRWLNYLRPGLKHGNFTPAEDRVIYEMYSKKGSCWSVIAAKLPGRTDLAIKNYWNSTLKKRFPRAARSRRLRHRRTGSTSSDDTASAELALVAYDEESISPTALVVYNDEDSASTVPDLQLLGYNEAGSSSSHADAVSAGSPVQPPPPLVTATANQEPITAIPISRSVRMEPWTPPPPADDTSEEMNVDCGPMSPVPLGLMEPDLPRIAMEPDLPCITMGLPDTAGFDDIDSFLSFFHH >cds.KYUSt_contig_528.99 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:677869:684155:-1 gene:KYUSg_contig_528.99 transcript:KYUSt_contig_528.99 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKSRGAAGGLAAGEELLFRGTISRKWTFLLCLSSFCIGFIFTNRMWTMPEPKEIMRRSVLQVDKMNLASSHCAPKSISERKDVAGEVPRTEDAILTLDKTISNLEMELASAKATQDSILNGAPSPESTEKRKYFMVIGINTAFSSRKRRDSVRATWMPQGEKRRKMEEEKGIIIRFIIGHSATSGGILDKAIDAEDRKHGDFLRLDHVEGYLELAAKSKSYFAKAVSMWDAEYFVKVDDDVHVNIATLGSILARHRSKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGAWFIGVDAVHIDERRLCCGTPPDCEWKAQAGNVCAASFDWSCSGICKSADRIKEGSDNADLDEADEDPVVPFENGTIDKGTLV >cds.KYUSt_chr1.9723 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59432998:59434410:-1 gene:KYUSg_chr1.9723 transcript:KYUSt_chr1.9723 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTMDLARLIAGRDGACVTVVLTPVTAARNRAVLEHARRAGLAVDVAELEFPGPALGLPEGCESHDTVRGGDFTLFNEAVRLLAGPFEAYLRRLPRRPDCIVADTCNPWTADVARRLGIPRFVFHCPSAFFLLAVRNVAKHGVHDRVAGDFEPFEIPDFPVRAVANRATSLGFFQWPGLEKDRRDTLDAEATADGFVVNTCAAFEGAFVQGYAEALGRKVWAVGPLCLLDSDAETTAGRGSRSAVDAGRLVSWLDEKPLQSVLYVSFGSMARLFPPQVAELAAGLESSNRPFIWVAKEADDLDDGFDERVAGRGLVIRGWAPQMTILLHPSVGGFLSHCGWNSTLESLSHGVPLLTWPDFADQFLNETLVVDVLGAGVRVGVTVPITHKLLNPDAAATLVGRDEIKRALTELMDEGALIRARAKELATMAKKAMAEGGSSDRDLGEMLRHVGELAQRTEKGVPASSWRA >cds.KYUSt_chr1.18870 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110705473:110706864:-1 gene:KYUSg_chr1.18870 transcript:KYUSt_chr1.18870 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLKKTSSDATQPKSLSSEEQQEKVNEVRGLLGALTEEMPSFLSDTTIYRFLLAKNWSTEQAAKGLKETVKWRREYRPEAIFWVSKT >cds.KYUSt_chr4.23483 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147843335:147843862:-1 gene:KYUSg_chr4.23483 transcript:KYUSt_chr4.23483 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSSWWRLGGHRWWVRWALLAIVLWRSEPMMVGGAPSVPFLNNPGMWLELVISLRFGRLGDDQVRKMTRSGGIGEFFEVSPPEVPKRWRDAPPLSLTEGVTPRLGGFGPDGSSTSTREDLQLQLGIQRQPYPKWFVFGGRIGGRRIRAWQWRRWRRTGSRFQIFREVLYGKT >cds.KYUSt_chr5.9954 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63570661:63573472:-1 gene:KYUSg_chr5.9954 transcript:KYUSt_chr5.9954 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSLPSFCLHRIRSGRTAAAPPPICSKDENGDDAKAEEKEKGCGAVAIGRKVMVVADGGGDEARTALQWALSHSVRPSDTVVLLDVVRSTGKNRDDLRGYQPLEAMRSICQAKRPEVRIELSLVEGKERGPTIVEAARKQGVSLLVMGQKKRSMTWRLLSMWMAGGKGTGGNTVEYCVQHATCMALGIRRKSRRGGGYLITTRRQRDFWLLA >cds.KYUSt_chr7.23074 pep primary_assembly:MPB_Lper_Kyuss_1697:7:143373187:143375986:1 gene:KYUSg_chr7.23074 transcript:KYUSt_chr7.23074 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPSQPDLSLQIGLPASATPHGHDHRHAMGGRFFGPPTTSGLNPSMAPSLQLPFPIPPLPLPVPMPQHHATAPGHPGLYYQNPHSVAVPDGGMLRPIRGVPLYPTPFAPPPPHGGGASAAPCYCDPCHVAGAWRRGVGGCGARLVGYPAPKRAARAPRMRWTSTLHSRFVHAVELLGGHERATPKAVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDDNLLDLRGGGRPETAAARHGRLAANDHDGNIGVGAAHTGALWNSSSREEDWSGFPCDSNNESMQSLK >cds.KYUSt_chr1.19024 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111731720:111734633:-1 gene:KYUSg_chr1.19024 transcript:KYUSt_chr1.19024 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSGSRAPALLGKTRVPDFEWKIHDFSALVDTKATSVVSGPFHCCGHQWSLKVSPMHTKPFGGTPYVALGLLLQKKICMEKDHTVHAVFELSIYNHSDGMYCGAKVSHSFDFKNYMSNMECLIPLQELLRSSDFLVDDSCVFGVEILKLDVSSPEKKSDVVQKKATTVQNLFVQKKGFIKGTYTWTMNNFLELDSKHSVRSRSFKVGGHEWHISMYPCGDKYSTDCLSLSLHLHASDDLPPGSGMAVEMTLSILDQKNGKHFSVTSGLVVFSASSSWGWSNFFPLKNLRDPSGGYLIESNCVVKADFTIFGSSNHG >cds.KYUSt_chr2.11665 pep primary_assembly:MPB_Lper_Kyuss_1697:2:74187219:74190975:-1 gene:KYUSg_chr2.11665 transcript:KYUSt_chr2.11665 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLLPRLAVALALLVAAVPSAESYDPLDPNGNITIKWDIMEWTSDGYTAVVSIYNYQKYRHIQAPGWHLGWVWAKKEIIWSMLGGQAVEQGDCSHFKGNIPHCCKKNPVTVDLLPGVPFNVQVANCCKGGVLSSWVQDPVSAVASFQITVGRSGTSNKTVRAPKNFTLKAPGPGYTCGVAQTMKPPTKFISPDGRRTTQAHVTWNVECTYSQFVAQRGPTCCVSLSSFYNDTIIDCPKCSCGCQNNITKPGSCVESNSPYLASVVNGPGKDSSTPLVECTPHMCPIRVHWHVKLNYRDYWRVKVTITNWNYRMNYSQWNLVAQHPNFENISTIYSFNYKALNPYGVINDTAMLWGVKYYNDLLMVAGPEGNVQSELLFRKDPSSFTFGKGWAFPRRIYFNGESCVMPPPDSYPVLPDSSPRLKKTTFLILSVAFCAMVAFLHNHFVLDKYCGKS >cds.KYUSt_chr3.23957 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148642746:148648819:-1 gene:KYUSg_chr3.23957 transcript:KYUSt_chr3.23957 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAGTLPLSALLLLLVLSADAQPVEGLMPAGNATATEAAEMCTVFHGGLVDKAPVALFLDDGVLLPSASGAAPSPAPWRAPSPPPPPPPPPPILTDTRATTPGAAPAHKKGLSWRAKTWVLAVAMLLVVFLSRPEASPASRIDGSGADSPLDGNGGGGEFFYCAICMETVADILKFSVTSCGHEFCFTCVAQYVAAKLGENAARVDCPDPGCGGAGAVEPESCRGIISPDLLDKWGFLLCESAFGAGNKVYCPYRECSAPLLADDGGEAIAQAECPHCHRLFCGRCAVPWHAGVECREFQQLGQDERGRDDLLLRRLAGRQRWQRCPKCHMYVEKSEGCNYIKCRCGHSFCYRCASKVSALTHYCNKCKRFHVGAGISGVAPHYIPPPSTFNVLLDSYWTNIDPNNIPLASLVAQEEHVDVNFIKNNNFNNNVYRNNSSNNYRPYPYNNGNGYANSYGNSYNNNRNTPPGLEAMLKEFISTQTAFNKSVEEKLNKIDILASKVDSLASDVDLLKSKVMPNRDIENKIVTTANAIQVRINENIRLMAELRARWDREENEKLAKEKNVAKVWTITTTSNVDSSHVAAPPTINGKIIGVGNVSTPSAKRTKLPEIAKTA >cds.KYUSt_chr5.18429 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119016620:119022779:1 gene:KYUSg_chr5.18429 transcript:KYUSt_chr5.18429 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLTRLIRSSCSVSPSRLSSSALLKNGKAFSSDATPRDSARVDEPFKVEEAEPVKMPPPPSPDKLLVLGGSGFVGSHVCKEALDRGFVVSSLNRSGKPSISESWADKVIWNQGNLLEPASLMDSMDGVSAVVSCVGGFGSNSQMVKINGTANINAIRAAAEKGIKRFVYVSAADFGLVNYLLQGYYEGKRAAEAELLSKFTYGGVILRPGFIHGTRRVGSMKIPLGLVGSPMQMVLQNAKPLTRLPLVGPMLTPPVSATSVAKVAVRAATDPVFPPGIVDVYGIMRYSEQK >cds.KYUSt_chr7.37794 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235663176:235663604:1 gene:KYUSg_chr7.37794 transcript:KYUSt_chr7.37794 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSQTVEVVPRSGYCAATRAFRSKRADVPLPADPDLDVVTFLATRRHSGVVALVGAATGRTTTFAELRRAVAGAATALSAPPFSIVALILSPNSVHFPVAALAAMSLGAVVTTANPLNTAAEITKPLRHYVEQVVGGRG >cds.KYUSt_chr2.27896 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171195971:171197335:1 gene:KYUSg_chr2.27896 transcript:KYUSt_chr2.27896 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVAHGSPTHFTESVSGSSSTWVGRKEDKEEEFVQVSISNVGWDRTTRAGRGSTDISVCHDSFECSVSEPLGSPCMSYTGPNNAMQLVLRRAQEGPTMRESTPEEVAADASAELELQQEMLALGRIKMLFSSILKKLAPPLLREVESSSRLRAEAQPFTPRLDAALSLAETQEEMLEEGRQANSGRFKPEYTRSSFRNGHANNGILSQSGEEQKKIEDKPPWSDHLKSLKAQRRARRECFKCGDKYQPGHKCAKTVSLNVVEELLELLQLQPSSEEENEGDTSGDETLMKISYFISGHSCQEDNQNASFNQWQTSAHPCGFRKHRQLHLAEDCGTTIAKN >cds.KYUSt_chr5.12337 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80493868:80496740:1 gene:KYUSg_chr5.12337 transcript:KYUSt_chr5.12337 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGAKVADPGEQRRRLTVAGDDDRGDEREAAALPTTTMWRRRQAQEMSAMVSALAMVVAGAGEGASASSSSSTKRPAEQEAFTEEPWWSTSYGDGGAAAPPASISIPPDYTATPVQHSPASAAVAADEELPSPSSADSGGGGGQSTPRKRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDDAEAAARAYDAAAIGFRGSRAKLNFPESATIPQPRQQPPPAPMLAMPPPARPEALLESQALFGGEAYSQYARFLQGAAVDRSPLPGGSTPRTPARPLLYSFGADAASYHLQPESGGEGSGTAYPAVTSPAAWAAYGLYDHQQPQRREDPSG >cds.KYUSt_chr7.3960 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23694209:23696038:1 gene:KYUSg_chr7.3960 transcript:KYUSt_chr7.3960 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCASELELEAFIREHLAGAHVDAERDSPVYPGQGAVFSPGGGLPGLCFGDSNALGLEGSNAGHSWWSDGPHHQAISTTAAVSASPRGTISGNQALESESDSDSESMLNTEGGRCKRRGKSSDTRRIRRMVSNRESARRSRRRKHAQLTDLELQVEQLKRESAALFKQLTDANQQFTTAVTDNRILKSDVETLRIKVKMAEDMVGRSAVSCGLGQHGLAPFLNSRKMCQALDVLTATGLGFPGDSARFRGQTSTVQSTASLESLDNRMSTEVTSCSADMWP >cds.KYUSt_chr3.9978 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58747893:58767377:-1 gene:KYUSg_chr3.9978 transcript:KYUSt_chr3.9978 gene_biotype:protein_coding transcript_biotype:protein_coding DLVLSTVIAKPSQVESPRTLSDSGLPVFKCFSSIPGVKSLAWGHCCDASSKPEVPAFSEFLVLSGDDALTVHAFCHSYKSTVTVNGDTEELHGDWKEWFPTEGSLSEDGEPGRKNCFRSFLTTISGSVCNGKYQARFPLKSSLPHSAEVVSFSIYDITKSFLKFWSSNNTMEARMETDLLSHVPVTEASCSCQWECSKVLPSPSGHLIGLVLTPKESVSCEVHERNTNDILVAILELNHWGIQWNFVVDLQNVYNDVGPNPPWVDFQLSDIFLASLNAVGIVAIWNVKTGHPITSFSVLQRCRIDLEMPLGSTIPAVTNLDGESTCGGNGVGRMFKRLVLAPYSLLVAAIDEVGVVYVFYADDILNFKANAHENFGQPSMNQYGDNYAAWETAGRGIGTTFCSHQSSQQGSETLVSDFSERDNAGVVRARKRRKYWKGNENQLDSWPSGFSTTPQIKDVVTYPYTMAVCSPMRRVVLPPHRLQDDILSLSPFGLSRIFKGCNADGNKHITIVHTKLLMASRLLDERDINDGFLDRRLLFQKDFSVAGESAVCSFQGYVYLISQDGLSVVLPSVSTSSFSSCIDDIQFWQPGFSGGSACNALNLTSVNRPETRWKTWQIEVLDRALLYEGPSLADRLCWENGWDLKISRLRWVQLSLHYTNISDLEQSLYMLAEVNLAEEGVLQLLLASVYRLLCTRGSDYEAEVSSKLLVLAVRFATRTIKSYGLNKQKEDNSVKLHEMAFLLGVIRSIQGRVIAKNQNSIRMQGDDRNSLKIDKEVLQNDSSLPIVVVDGVSSGLPGGLDADDRQGSASTVFEFVPGSSRQLALTPVETSLTTQFHENNTDQGTTQVVRPVTQGNVKDMMNRWETNKFDLKAVVREALQSGRLPLAVLQLQLLRQREPCSSYDSEDAFSEVREIGRSIVYDLLVKGESGLAVATLERLGDDIESDLRQLMQGTVRRSLRLQIADEMKQRGYMRSNEWTMLETLALIERFYPSSSFWDTYLGRENVIHDAANIVTLPGEDKPVLTLNIYNHPAIECGDVDGAVLGSWVNASDYTDLKEFSQSNLSDGYWACAAVWSDAWDQRTVDRIILDQPSDMSAQSNLPWESQFEYFVAHSDVGGVCKLLDMIPDSVLLEGILRVNVDNSRVGYSTLSDVTVPDYKMYICDSEELEPVCMEVPHVKVFRSLSNNESTSWMRMLMQKELAKKHIFMKEYWQSTTEIIPVLARAGILINTEIGSKKESSMPFYASEMPDDDERHRACERALHKLVMRFCVQYDSPYLLDIYLDNCNFVLGEDSVPLLKEAAGDCKWAQWLLFSRVKGYEYEASFSNARWNLSQKMVNHGNLTAIEIDEILYTVDDMAERIGEMSALATLMYASLPIQKSICTGSVNRNRGLSSQCTLENLGPCLQQFPTLWKTLRSTCFGEDGYGCQNYSPTNVPGKSSISEYLCWRYNIFSCAGGDTSLLQMLPCWFPKSIRRLIQLYEQGPFGMQMLSSALSSEELFTHSVTDYIYNTTGYSEINALSLEASIQRSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRASQLKSANAGQVISTQSDVQADVQLILASLSQTERPVLLSVAPLAITNFEDSTLVASCTFLLELCGMCANMLRLDIAALQRISSYYSLAQQNKKYELSSQSSPGLHVLSHGADIAPALARALADDYVQSDHLHVLEQKQNSGAPKREQPSQPLIAILEHLERASLPLLDEGRTCGFWLFSGIGDASVYRSQQNEASQHWNLVTEFCQTHHLPLSTKYLALLANDNDWVGFLTEAQRAGFPIEVVIGVASKEIRDSRLRTHILTVLKHTQSNRRKSSSNTLSGSKESSFLSVDGDDPMEIFCILAVCEKQKNPGEALLNKAKQMQWCLLALIASCFPDVTILSCLSRWLEITAARELSLIKVDGISSKIAKNVGSAVEATNKLPSMSRNVEFRYNRKNPKRRRFLEASADSFTAGFLLDITCGPNATAISNPSDLNAQQEKRKPTSKDTKVPVDMDERLTSLSSIIAVLCEQQLFLPLLRAFEMFLPSCSLLPFIRSLQAFCQMRLSDASAHLTSFSVRIKDEASQSNSSKESSSITGLVVHTAVKAADAVLSTCPSIYEKRCLLQLLAAVDFADGGSSSAYFSRSYWKINLAEPSLCKDGDIYKWSDSMDDASLMAALEKDGRWEEARTWARQLESGDTARESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQTLFMRYSLPPLQAGLFFLKHAEELGKEIPAQELHEILLLSLQWLSGTITKSSPVYPLHLLREIETRVWLLAVESEIHLKADGECTPSAVTQNLAIGNTTSIIEQTADVITKIDNSMSLPSMRAAERNGMMDNNLSRHQHLQLFEYNSEATTTNNTRAKRRGKTNLPLRRGVNDNSGSSTSDSGDNSKFFHHSKIDEQARNLLSEEEFAKMEASLSGWEQHVRPADMEKAVLSLLEFGQITAAKQLQQKLSPSYVPEELVLVDVALRVADNGSNGDISLLCFDTEALSILQSLEIASRSNMIDPSQAMEKLAMKCGEGRGRALIRRIIAVVQTAKILGLSFSEAFEKQPIELLQLLSLKAQDSFNEAKFLVETHIMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVELLILSHHFYMSSSCLDGVDVLVTFAANRVDSYILEGDFTCLARLITGVSNFHSLSFILSILIENGQLDLLLQKYSSTDTATVTPASVRGFRIAVITSLKHFNPNDDEALSLVYTHFDMKHEAASLLESRAEQYMKSWLLRQDKERRNDELLKAMHDLVQTAEVLSTIDAGQRTHRACARASLLSLQIRIPDLVWIGLTETNARRIFVDQSRFQEALIVAEAYSINQPMEWAPVFWNQMPRPDLIELFVAEFVLVLPLQPPMLVELARFYRAGVAARGEQPHFSAWLSPVGLPAEWVNLGRSFRSLLRRTRDMRLRLQLATLATGFSDVVDVCNGVLDKVPEKAGPLILRKGHGGAYLPLM >cds.KYUSt_chr5.38598 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244183100:244183309:1 gene:KYUSg_chr5.38598 transcript:KYUSt_chr5.38598 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIAVVLFVLLSPGLLLQLPGKHHFVEFGNMHTSAMSILVHAIIYFALVALFVIVIGVHITTD >cds.KYUSt_chr6.3476 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20058314:20061603:-1 gene:KYUSg_chr6.3476 transcript:KYUSt_chr6.3476 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRLAGCVALVGRGDGWLRWRLAGRPDARRWPDAATAGCGGCTGSGEGASPAPIRERREHTSGGGGWMLVSLPSTYDLAASGPSRSGQPAATAHREPHDGALQADVGTMDAFTVVLLGRSLCNPVDGRVDLQPEGVEGCIMRRWSWRRRRHSCTLPPRLSMAAHWQPGFDGGEDLGMMQPGDKTLQASVHANREQATGRAAAAKEALEEVPEREARGLAMRRQRGPKEVAEQKAASDGEAATVEHEASGSVHVPCLSRPLLFPVLDR >cds.KYUSt_chr2.14933 pep primary_assembly:MPB_Lper_Kyuss_1697:2:94194950:94197264:1 gene:KYUSg_chr2.14933 transcript:KYUSt_chr2.14933 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMIGLDMDTEVVMSPAARAAVFSSPYSSPSTAFLLQRRVLTWAKETGSPAAVRVRVADRSFILHKDPLASRCGYFSQAMEEVSGDVELPASFPGGSEAFEVIGLFCYGDAVALDPFNVAAVRCAAEFLDVAGLGARCDLYINQVVLQSWDDALIVLQRCQPLLPVAEELLIVSRCVESLAFMACMEILDPEQSRDQPGVDDVAVRGLVGRRWDAEIVKELAARDLWIKDLIALPFEFFKRIVQALRRQGMKEKYVSPVVLFYANKWVLSKKTHKFWASTDESGDGGGETDANRRATEILQGVVELLPAEASGGAVPAAFYFALLSRSLTLQLTEETQKRLRGQVASHLQFACADDLPLPEQEADRSIADSPEVIAMESIVSNHVAMQRQGAEGVADLWDRYLVQIVGDPKLRPERLAELIGVVSAGDRKTHDHLYEAINTYLLEHPGVSGDEKASLCGNIECRKLSHEACIQAVQNERMPLRFIVQALFVQQMHTHRAFAERSDSFRYMLSGELIPGVAGAYTPSPGCPVPTSQPLSTTSPYTDAHTTVAMDGKLRARDDDASDYETASFRIQALEQEIISLKKTLQRHNTVKGGSVRKDGKEPSFRADAAAPAAVRRRAPVSGSCIGSMRWGSQRRCASRILRVFTRLAVFGRSRSRGKQSKCRAAAEQLSCL >cds.KYUSt_chr4.43702 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270960138:270965416:-1 gene:KYUSg_chr4.43702 transcript:KYUSt_chr4.43702 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVDEGDATEVAAASRWPGSSRRNHLLQFLLHASKRLDLRPIVKYSALEFFDARFLPALPRKMGFCGARSGRAVRSWLVEPLRDSNLQLFALVAVWIASKIHEMRPLAVKSLKALSDRIIVDQHFTCRDFADAELVFMEVLDYNIGCTNIAFIHLEELLIQFREISKLGDLVNMDVCMEILDILYETEDTSWLFNFACPLAASTLVTAYVISVPKQKWEFPIIPWVQFTTSYAEEEILKIVMTILMHVIKPDEIKEKNKRDFIQFTTSYAEEEISKIVMTILMHVIKPDESK >cds.KYUSt_chr6.28617 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181190149:181192576:-1 gene:KYUSg_chr6.28617 transcript:KYUSt_chr6.28617 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNLRLKVHGDGSTPQSSIASCDFTGTATLSSRIPSLLRLMAMINSSLEWFAGTRLGRKEIPHCALCCSSVLTTKKATVIGVLNELAGSRFVVRRLGHPASRQPLSSRRGPIRTREWM >cds.KYUSt_chr3.14150 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85695569:85697815:-1 gene:KYUSg_chr3.14150 transcript:KYUSt_chr3.14150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Rac-like GTP-binding protein 1 [Source: Projected from Oryza sativa (Os01g0229400)] MFRQQKPEPLRPACKEEKKKVGVHLEHQASLRGPDDPDDSPGLVKALAESANVVPGRRGGDYIPTVFDNFSANVSVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLTSRASYENVHKKWMPELRRYAPGIPVLLVGTKLDLREDRAYLADHPTESIITAEQGEELRKQIGAVAYVECSSKTQRNIKAVFDTAIKAVLQPRRHKEIARKETRTGAGRSVRRYFCGRACFV >cds.KYUSt_chr4.1306 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6916919:6922917:-1 gene:KYUSg_chr4.1306 transcript:KYUSt_chr4.1306 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRDAVSPAPADGEESAAASGPSVKRGPGRPKKDPAAVVASPDSKRGPGRPKKVPDADSAAAAASPGSKPGPGRPKKVPDSDAAATAASPGSKRGPGRPKKVPGEEGPAPEPGKNDKGEKTATPKKAKVATPKKEKEREKAEPPQEEGGNLKKQQQASGGAAAVKPAKKKKGVEVAEPGSGKKKGGKRGNEELPGEGEKSMPKKKKKQETSEGEKPMPKKKKQEPSEEGKLTPQKKKQQVSGEAEKPTPKKKKKQPASGEGEKAAPKKKKQQASGEGEKPTPKKKKQPASGEGAKATPKKKKQQASGEGEKPAPKKKQESGGAEKTTPSKGKVAPTPTKKPQVAGSAAKATPSKGKQNGINVAKSAKKSPGKPEKATPSKKQQPSSGAEKGTPSKRKRDDVESPKEAKIGKKQKAKAAAAVLPPAEPGTSIFPMSRVRLLMRDEDASIRPTSETVFLISKASELFLEAFARDAYQNAVRERKKSIGYDNLSVAVCNQRRYKFLSDFVPLRVTAGDALKTPAVDQS >cds.KYUSt_chr2.43257 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269109487:269111663:-1 gene:KYUSg_chr2.43257 transcript:KYUSt_chr2.43257 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRAGGEADEEGFSSSRGVTDGARGARRAWPGYLYTRAVGRCTPQFWSSGAEQWPNIVPPEAAVWKVFGSRSVDKYGPRLTLLEATMRTDDVGSPFTKLVKHGSAALINAYTRTGFPFGSWEVKALLLEALVSEDAAAAQAERFEQANESCV >cds.KYUSt_contig_1307.38 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000126.1:275186:275559:1 gene:KYUSg_contig_1307.38 transcript:KYUSt_contig_1307.38 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPHNGRRRPPPPPAIGSIQDAPAGWENNLEAIELARFAVVEHNAKANVLLEFERLVKARQQLVAGFMHYFTIEVKEGVGELQGARGIQAGRLR >cds.KYUSt_chr1.8591 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52623705:52624678:-1 gene:KYUSg_chr1.8591 transcript:KYUSt_chr1.8591 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERAAVLVLVTALCGLASHVVDAQYYSTSATATFYGGRDGSGTMNGACGYGNLYNAGYGLENAALSPVLFNDGAMCGACYTITCDTSKSSMCNAGKSITISATNLCPANYALPNDNGGWCNPPRRHFDMSQPAWETIAIYRAGIVPVTYKRVSCQKREGVKFAINGHNYFELVNVFNVGGSGVVTALWIKGSNTDWLAMSRNWGANWQSNAYLNGQGLSFRVQLDDGRQVTATNVAPSNWWFGGSYASWVNFY >cds.KYUSt_chr3.48131 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301254444:301257043:-1 gene:KYUSg_chr3.48131 transcript:KYUSt_chr3.48131 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAAARLASSTLRRRHRHLLPPAALLSPATAHPAAFLHSHATSFGTPLLLLLLLLAPSLASPAAPPDKDRPLLFRSPSGYKQVREDEKSKLVGNVFSSVASSYDVMNDLMSAGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRVVERIKSVSHRATQGTLTDLEEDTHIYVCDINPNMLDVGKKRAAEKGYNEEQCLSWVQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKKGGRFLCLELSHVDVPIFKDIYDAYSFSVIPAVGELVAGDRQSYQYLVESIRRFPKQEKFAQMIQEAGFERVEYENLVGGVVAIHSGLKL >cds.KYUSt_chr3.9773 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57461209:57462420:-1 gene:KYUSg_chr3.9773 transcript:KYUSt_chr3.9773 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGITLEHTRQDDKQHLGDVFKKGAAPEAVAIAGLGQLPAGQPATEDKALARNMSRHPASCSRPDRLHPSTPISTTATTPTRGWAAPAGRPPRATSSGHSRRRRRGHRPGKPPHLPRTWAAPPAVPALPERPSAAQPAAPPRAARIGPGRPAPPAVTNSSATTSHGRRASPRDSAPAAHRPPRPPTVVVPRRPNCAGTAADAAETAARAAAAPCRAPAADRGTRAPPLRAPPLNARPCLHALTRSREPASPPPPLGASLGPRIRRISTKRHARAALHGREEMTGRRRRRPGLCRDALRRRREGWEEEGDPRRRRLGFPPGRSRERLGRSGYVLIVTLFMESSLSSTIFSLDAPHMLEAELLHVVPVGPLHREAFTSLPILPSLAVTDHMMVDVLQPWLTNL >cds.KYUSt_chr4.39029 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240959707:240960816:-1 gene:KYUSg_chr4.39029 transcript:KYUSt_chr4.39029 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKSLSLFKSQPEAASRPPPEGRNSGYLVVKGDEDGDADETCCWGQCGGTRVRDLPFPQDRVLTLRYTEHHGESSTTYTDSVVFVPVPDAPLASNRYYAVVATGKRKGLVRTCSSEEDMTPCCFCRCISDVKPRLFDPADVYQQIEIIQRRRGRFTAKAVAADGFPHFLYRKKYWRVYASKTKNHFDLGDAPGINAALRSRQLADASLPTAMSTAVGKWYCPFYLVKEDGVSPSEQMDRGPFYEVALEQRWEQIHAGSNLHSTRVLFGGSLEARQVVSSGAERHGDGDGYVWFRAAAGQSVGVCASVWERMRWEEYRGGWVDEEEEAGTVAAGRSVLVERFIVKRMDGSVVVAFDFMHLNKIRGKQE >cds.KYUSt_chr5.11059 pep primary_assembly:MPB_Lper_Kyuss_1697:5:71697602:71698474:-1 gene:KYUSg_chr5.11059 transcript:KYUSt_chr5.11059 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGAQGELPMPMPMHAAAPPYLGLQHHEHHHHHAGSNGRHLSPPASPAMAASGAEEEYTKNRQLVAVVPAGAGGGARYRECLKNHAATIGGSATDGCGEFMPAGEDGSLDALRCSACGCHRNFHRKETDGAGSRHMHHHHHLMGPLSPLAAHRGGLLMAAHAPPTRMVMPLSAMHTSESDEAARPLAPARKRFRTKFTPEQKARMLGFAEEVGWRLQKLEDAVVQRFCQEVGVKRRVLKVWMHNNKHTLARRHHQLQDHHHLPGAGVDHGDPSCGRSTSPSPPQLRLE >cds.KYUSt_chr5.16251 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104642122:104647594:-1 gene:KYUSg_chr5.16251 transcript:KYUSt_chr5.16251 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPMSCKILATILMMATAAALVSEAADLPSLERQARALLAWKATLNNESQDSLQSWGNISAPCSWRGIRCGMHKLRRRRPLVTSISLRGMRLRAKLDSLDFSTLRTLTSLDLSHNELTGSIPSSMGLLTELETMLLHANKIRGSIPPAFANLTKLRFLFLQENQLSGEIPRQIGKLSNLLSLNLSSNHLVGPIPCEVGHLRHLVKLDLSQNVFSGLVSFCPPNSSIQNSMDDFPSQYVPKVNLGNLTILSISRNNLEGPIPKDIVNFFNLKYLDISHNNFSGSIPSQIGGLTKLTTLYLYHNQFSGYIPRIIGSLVNLEDLRLNNNTLSGSIPTNFWNLSKLVALHLHRNQLSGQIPSEIGDLVNLEDLRLSYNTLTGSIPTHLGNLTNLSTLYLGQNHLRGKVPQELGYLVNMQDLQLALNNLTGPIPNSLGNLTKLTFLNLNFNQLSGHIPQVLGKLMNLEMFGVSRNNLSGDLPSGLCAGGKLQFFTADGNNLVGPLPTSLLTCKSLVKVRLEGNHLEGDISEMGVHPNLTYFDISSNKLFGRLSNHWGECYKLSMLRVSNNNITGVIPTSIGQLSQLEILDLSSNKLEGQIPQEVGNITMVFSLSLGNNFLQGSIPREIGFLKNLNYLDLSSNNLNGRVPGSIKDCFKLRFLKLSHNNLNGNIPIELGILLYLQDLLDLSDNSFSGAIPNQLSALSAIEALNLSHNTLNGSIPQSFQSMVSLLSMDVSYNELEGPVPKSKLFEEAPLEWFMHNKKLCGLVRGLPPCDLTQGGQQGKRSRGTLIAIVTVVSFVLIMALVTLPCIKKKPKAESVNNMRQTKLFVLWNFNGEDVYKKIIDATENFSDTHCIGTGGNGSVYRAQLPTGETFAVKKINMTEDDELFNREIYALMHIRHRNIAKLFGYCSATRERFLVYEYMDRGSLAASLESKETAAELDWTRRLNIAGDVAHALSYMHHDCFAPIVHRDIKSSNILLDVEFRACISDFGIAKILDVDASNCTRLAGTKGYLAPELAYTTRVTEKCDVYSFGVLVLELFMGHHPGDFLSSMANKSTPFEDFLDIRLPLPEDEIASEIINVVGAAIWCLQPDPSQRPTMQESAFIKGRHIHEGVLSLQEIVHETKSRKLRGVFLKLDFEKAYDRVNWPFLREVLLGKGFEPAWVHRALSLVSGGQTAISINRDIGNYFCNGRGVRQGDPLSPILFDYVVEALASILDKARGAGHIVGMIPHLIPGGVSHLQYADDTIIMFQPDDLALANLKYILLCFENMSGLRINFHKSEVMVMGVEPGEGQRIAHMLNCKLGSFPFTYLGLPIKDPRPYCGGLGSVDG >cds.KYUSt_chr2.853 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5072313:5074848:1 gene:KYUSg_chr2.853 transcript:KYUSt_chr2.853 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSELPEAGIPLETESQAPAPAPAPRRGLRYNSPLVQVSLIGLICFCCPGMFNALSGLGGGGQFDHTTADNANTALYACFAVFGVLGGAAHNLLGPRITLLLGSLTYPLYAASFLYYNHRKSQVFPVTAGALLGAGAGLLWAAQGAIMTSYPPPNRRGSYISLFWCLFNLGGVLGGLLPFSFNYTRTDASSVNDATYIAFMAFMLVGAGLTFLVLPPAKIVRDDGTRATRVTYSSVSTEGWEILKLFTNWKMLLILPAAWASNFFYTYQFNNVNGVLFTLRTKGLNNVFYWGAQMLGSAGIGYFLDFGFSSRRKRGLVGVAAVAVLGTAIWAGGLANQLRYLDGKFPNPIDFKDSHRYAGPFLLYFCYGLLDAMFQSLIYWIIGALANDSQILSRYVGFYKGVQSAGAAVAWQVDTHKTSLLSQLIVNWGLTTISYPLLAVLVFFAVKDEDYSVSSVEDGKEKQMKMAAPSSLH >cds.KYUSt_chr3.25394 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157656216:157672046:1 gene:KYUSg_chr3.25394 transcript:KYUSt_chr3.25394 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKKHPLPIGLLVRSSSSHHPLCFFGHAHDWHILLSHHMWLVGGHFWLALAVSYALLGLGSAASSALGCYSRIFSFGDSLTDTGNYVRLAAKKNPSPYGEPPYGTTFFGRPTGRASDGRLVIDFIAQEFGLANVTAIQEGTAPADFQHGANFAIISATANNGSFFAGKGMDITPFSLDTQMIWFRAHMQQLSQNTGVNVLSDALVALGEIGGNDYNFAFSKGIPRDKVRAFVPAVVDKLAATVEELIGMGARAFMVPGNLPFGCAPLYLRRFRSAVGRDYDASTGCLSWFNRFAEYHNRVLNARLDQLRLLHPEVTIVYADWYGAMMSIFQAPGRLGITNALVACCGNQTVACGKPGCSVCDDPSTYGSWDGTHPTEAVYKVIADGVLHGPHSSPLPLAKTCPPS >cds.KYUSt_chr1.31689 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192205161:192206382:-1 gene:KYUSg_chr1.31689 transcript:KYUSt_chr1.31689 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVGKQIQQMVRFIQQEAEEKASEISVAAEEEFNIEKLQLVESEKRKIRQDYERKQKQVDVRRKIEYSMELNAARIKVLQAQEDIVSEMRENTCKTLLRVTKDTNVYRKILKGLIVQSLLRLKEPSVVLRCREADRVHVEPVLEAAKKEYAEKNKVNLPKIIIDGRVYLPPQRINDAGHGPSCWGGVVLASQDGKIVCDNTLDARVDVSFRQKLPEIRKKLYGH >cds.KYUSt_chr4.26904 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168917540:168923065:-1 gene:KYUSg_chr4.26904 transcript:KYUSt_chr4.26904 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPAPPPSATNTRRTPGGSAASRVRCSSVRVLDASPSRGALPPLLEAKRVVLVRHGQSTWNAEGRIQGSSDLSVLTPKGESQAETSRLMLLSDTFDACFISPLARSRRTAEIIWDSRDKDLIPDYNLREIDLYSFQGLLKHEGKEKYGALFQQWQTNAPNCSIDGHYPVRELWDRAQSCWERILAHEGKSVLVVAHNAVNQALIATSLGLGIEYFRTLLQSNCGASVLDFTPQPSGRPPSVCLNRLNQTPNSPVSAESSAGRKSSKKIILVCQGATQNSSEGSLGGVGYAPLNMLGVIQAQKTAELLLDLKVNSIICSPQVAAVDTATAICEVQESADCLGADCVPRDIEMKNLLGLEIDDAFQTKQKSLGQMVQSGWLGGMEHQKLKTLWAQSEEAWQALVKELPADDAAESDRVVVAVGHPAIHLALLCSISSPMDTGRHHPSTYDYHDDYRFLPAAGELTGGTDILLLLAVPAGWLIRLVAFLGERVISAVLTLVGIPVAAVVGELRAVPATASSLARRAAVGLLAAAFTFAALASVFVLSLLLGFALVRHCVDDPVTVRQPLYFDYTEPQPSAAVALGGGWGAAAPLLPGGHFVTVSLTLILPDSDHNRQIGVFQIKAEAIAPSGTTIVSTTQPYMLRYKSTPVRLAQSALTIVPLVMGVRSESQSATLKMLQYREGHGRHKKTGRIRVFLQPRALTVHLPQVYRAEITVQTSLPWLKAMARSLKWTMCVWISFCIYVILSVFAVYWVRTLVLSAWQWDMRLSDHQVKGKTTANLGRRDKGQSSHEEPSRGVVKWRDRRGKRKAQEALHDPMVELKLDEGSASTAAAVETDEVIDGDHGESHTARSAVFMSS >cds.KYUSt_chr7.13329 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82155415:82157707:-1 gene:KYUSg_chr7.13329 transcript:KYUSt_chr7.13329 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAATSLHRLVSPRVPLSVSAAGRNSNGMSMSVGGARRVPGISVLHFRWSRMVAAVASTEMPPAARGEESEKTFVEEMRAVAMRMHTKDQAREGEKEADKYSVNLEREPTVEGYVQFLVDSKLVFQTLEAIVDRAVVPWYADFRNTGLERSEALKKDLKWFSEQGHTIPEPSAPGITYASYLEELSEKDTQAFICHFYNVYFAQSAGGRMIGTKIAEKILNKKELEFYKWEGTLSQLLQDVRTKLNQVASSWSREEKNHCLAETEKSFTYSMDRLRQVFT >cds.KYUSt_chr2.39671 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246142361:246143068:-1 gene:KYUSg_chr2.39671 transcript:KYUSt_chr2.39671 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSDSWTTGLCGCFDDPGSCCLTFFCPCVAFGRIAEIADQGATSCCVSGTLYLALATLIGLSPLYSCCYRSRLRAHYGLKEKPCPDCCVHWFCEPCALCQEYRELQHRGFDMSIGWHANMVRMGKGAPTVAPHINPGMTR >cds.KYUSt_scaffold_1854.87 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:423127:424951:-1 gene:KYUSg_scaffold_1854.87 transcript:KYUSt_scaffold_1854.87 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIQYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCRAELHNLLKEERLAGSSLLVFANKQDIQGALKPDEIAKVLNLEVMNKDRQWKIVGCSAYSGEGLLQGFDWLVQDIASRIYVLD >cds.KYUSt_chr1.19496 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114476791:114480353:-1 gene:KYUSg_chr1.19496 transcript:KYUSt_chr1.19496 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAELDEVVSGSPPVWFVVLLALGLHAALVSVATFLAWLHRAFIRPGKDLALRYGAWAVVTGATDGIGRALALELARGGLHLVLVGRNPAKLSRVAKEAQTAAPSCKVKSVVFDLAGDATELSRGAARVLEAVRGLDVGLLVNNAGATYPGAAYFHEVETPVWEAVVRVNVEAATRIARAVVPAMANKGRGAVVNVGSGSSVVVPSFPLYAVYAASKAYIDQLSRSLSVEYKRYGVDVQCQIPLYVATKMSPVKGDSPFIPSPEEYVKAAIRCIGYEPRCVPYWRHSIQWFLASLMPDSALNLWRLQVGIRKRNEMRALLGQKELS >cds.KYUSt_chr5.43379 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273346097:273352223:-1 gene:KYUSg_chr5.43379 transcript:KYUSt_chr5.43379 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKYSHRWSKQAWADVPHPFNLRELCQSLLLSLSSESGSGKVEEYFGMSLAEDPIKECRDILREHRCLVVIDHLLSIEDWGTINTALGLGSTKAYTIVITSEESIAIQCADTYSICNIGSLQPDATLQLYKDQINKSTSYIRSDIEEEELALVKSGGLPKVITAVCRYIVSKRSMWELKYGFIDILKNSLYCGDLEDFFIWMQSYFRSCPDFLKPCVFYLPIFPPNYNIRRRRLLRRWIAEGYCMSTISAIAESNAETSFEKLMSLSMIQEPPQGINLPGSGINFCQINGFFHEYIMSQPKEDNMVFALGGADQSQQYTGRHLTIRSNWNRSELFEIIDFSRLRSLTVFGEWRSFFISKKMQMLRVLDLEDTTSDVSDEDVEQIVDIMSRLKFLSLRGCTTVSRLPTSLGNLKQLETLDVRHTSITMLPETITKLQKLQYIRAGSTVPSTESQSIQPGSYRLPKFRRHQFISAHLGVKVPRGVGKLTGLDTLGVVNVGASGGNAFLKELKNLTQVCKLAVSGINRQNIVDFVSAISAHSLLDSLSMRLDKDGKGALCSVNDISEPQEILLTSLKIHGDVDNFPAWMKLFFSLGKLDLEVTILTQEMIDTLADGNFKCLRLCVKPTEDGEYNFSIGHTWGFTFLRTLEIDCSSTFLLAFGEDGGKELEVLKVHSSCRPQISGLANLEGLQLVWLIGSCDKTLKEDVERQLAQHPNEIKPVLKLEDVSSPQLAEHPNEIEPVVELEEESSTQVAEHPNEIQMGSNLSCSSRDSFSS >cds.KYUSt_chr1.2575 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15374045:15374536:1 gene:KYUSg_chr1.2575 transcript:KYUSt_chr1.2575 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAGYYYGDRYGAYGNGYPFSRQQPASSASTSLHLCLFLATASLLGAASLYSHCESALEGLFDQFRFAVVLSPLLLLLAVQYWTASGRSWRSSSSSSLLVSPMVVSGEHPPWYEQQRRDSGAGSSPWGVALALALVLLLVSYQSCFQDLWFPAVSRRYRR >cds.KYUSt_chr4.26590 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166962069:166962743:-1 gene:KYUSg_chr4.26590 transcript:KYUSt_chr4.26590 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPPLLPTSVPAAAPATVLSAAPDAATSIASPDPAATRAFLGRVYDSAKRSLSGARPWPELLDRAALSRPDSLSDATARLRKNLAYFRVNYAALVALSLAVALLAHPFSLAALLALLAAWCFLYLLRPSDAAPLAAFGRTFSDRETLGGLIAASVFIVFLTSVGGIIFSALALGAAIVCAHGAFRVPEDLFLDEVPDQGLGGGGATLNLLSFINGATGGRV >cds.KYUSt_chr5.43426 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273636679:273641774:-1 gene:KYUSg_chr5.43426 transcript:KYUSt_chr5.43426 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLKHPLRQRRLFLRDCLDLVANGGMGGGQGKGEVYGSGGLHRRFPLQFTCPKRRLLGTAGATEEEDREGGLDRRSMGQRSVEANRHQIQPTAAEKEKVIIDTDPGIDDSVAIMMAFQAPGVEVIGLTTIFGNCTTAYATRNALILCEKAGRSDVPVAEGSAEPLKGGKPQVADFVHGSDGIGNIPVPDPTTKKAEQTAAEFLVDKVSRFPGEVSVLALGPLTNVALAIKMDPSFVSKVKKIVVLGGAFFAAGNATPSAEANIHSDPEAADIVFTSGSDIVVVGLNITTQVSFTVDDLAELRNSKGKHAQFLCDICKFYSDWHFKSYGDPAVFLHDPVSFAALVRPELFTFRKGVVRVETQGICRGHTSMDMGLKKFNTENAWSGYTPISVAWTVDKAKVVAFVKDLLTV >cds.KYUSt_chr5.42206 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265980673:265981473:1 gene:KYUSg_chr5.42206 transcript:KYUSt_chr5.42206 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPFVFEKNWAENPPQRRPTTDMEFPPGLPPADGHDPPQGWTTVRIECSHFRRPDGTPEILLLYGRPSSPDRHQPDTSTFHWGHHQQVHRRRSPEPHQVEGATRVVRFAKMISLDLLQDDEVYQEVLDELTKEARKFGDLVKVVVPRPGHGAADHPVVAGAGEVFLEYACLDHSIQCRIGLDGEWYDGRKIIAGYFPEDRFAAGDYDYDE >cds.KYUSt_chr3.25087 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155749665:155750341:1 gene:KYUSg_chr3.25087 transcript:KYUSt_chr3.25087 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRIVTDFRSRLGVESVEALVCSKDWYRGQGPSAKLMPVGYGIKKLQIMMTIIDDLVSVDTLIEDHLCVEPANEYIQSCDIVAFNKICEVLQLL >cds.KYUSt_contig_60.310 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:1841720:1843396:1 gene:KYUSg_contig_60.310 transcript:KYUSt_contig_60.310 gene_biotype:protein_coding transcript_biotype:protein_coding description:GA 20-oxidase3, GA metabolis [Source: Projected from Oryza sativa (Os07g0169700)] MALVFDAAALSRKSDIPEQFVWPAEEAPTVGAVEEIAVPVVDLAGFLAGGAGAGDGLRDLAAACERHGFFQVVNHGVDPKLLAEAYRCCDAFYARPIDEKRRAQRRPGENYGYAGSFVGRFNSRLPWKETMSFNCSAAPENSRMVVDYFVAVLGEEYRHMGEVYQKYCDEMTRLALDVTDVLATCLGLRRGALRDFFEGDNSVMRLNNYPPCPQPHLTLGTGPHHDPTSLTLLHQDEVGGLQVFTGGAWRAVRPRSDAFVVNIGDTFAALTNGRHISCLHRAVVNGRAARRSLTFFLNPQLDRPVVPPPELLAVDGRPRAYPDFTWREFLEFTQKQYRSDASTLDAFVAWMRRAEAVEGTMDDGQNKNEGISKN >cds.KYUSt_chr2.44651 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277905386:277906645:1 gene:KYUSg_chr2.44651 transcript:KYUSt_chr2.44651 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSSGKKKKTRTSNPPAEASMPAAAAVASHLTDDIIVEILSRLPAKSVHRFKCVSPSWRDLIADPAHRKKLPHTLLGFFYNTYGYSRVDPRSRRFHFANVSVGAAPSVDVDPSLPFLPQDKYLYVAQLDTCNGLLLCLGCIAPSSSVLAPEYVVESHYIVCNPATKRWVHLPPLPEVPPGAVFARLAFDPAVSSHFYVLQFDETEQEESTAGVTIYSSQTGAWKHRKSSFAACSGVTSVFFHGMLHLLAWLHPLKTALHVVLVAVDMEGRVRDTIPLPSGGMSFYTIGLSQGCLHFATTPLTCVERNKKGKKHDDTSLPCKTAQVWYMKDYNTREWVLKHSFSKDELRTETGVEYKVAAIHPHCDTIFLDSRDADTLASYDTRQRKLRHILYLEKNKLALFVPYVPLFSDSFAGTDG >cds.KYUSt_chr2.6339 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39512777:39515228:-1 gene:KYUSg_chr2.6339 transcript:KYUSt_chr2.6339 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQASPTPTTGSRRSVYLLLAALAVAPFLLLLLNGGASQSALCRSVPASRRITYPSVLWSRVPPLPGLPSSPLPALRASHWIIFSASPHHALAAVPGWQLLAVADESTPPDWSHPGAALLTLADQASLGFRSVALLPARGHARKVAGYLFAVQRGARVVYDADARNSVAGSNLTTHFDVDLEQHQGEVSVLLQYSHADPNRTVVNPYVHFGQPSVWPRGLPLEKAGEVGAEEFYTQVFGGAQFIQQGLCNGLPDVDAVFYLTRKSFQMEAFDVRFDAGAPKVALPQGLMAPVNSLNTLFHSQAFWGLALPVSVSPMASDVIRGYWAQRILWEIGGQLAVYPPTVHRTDNVHAHPFDDEKDIHVNVGRLISFLMEWRSKKRSLFDRILDLSYGMAEEGFWGEKDLHFMAAWLQDLVAVGYRQPRLMSLEIDRPRATIGHGDKQEFVPKKLPSVHLGAEEIGEVSTEIGNLIKWRKHFGDVVLIVHCTEPVVRTALEWRLLYGRIFRAVVILSEQVNADLAVEFSSLAHAYRYLPKVFDQFAGAEGFLFLQDHMVLNYWNLLVADKSKLWITNKVKESWSEVPLGGNKIEWFTNQGDMVKKAVGSFPFHYQDNYKRSVAEDTLIHCSSEIFYVPRQYAGHFSNLVKVIGNLDIHHTVAVPMLFLAMDLPSNFEPRALGKLVYMANLPSNTTFSTIYTAEAHAVYPMKVQNEMDFVSLIRVMASGDPFLMELV >cds.KYUSt_chr4.21269 pep primary_assembly:MPB_Lper_Kyuss_1697:4:133864801:133866065:1 gene:KYUSg_chr4.21269 transcript:KYUSt_chr4.21269 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSTSCSVAVALLLLALGASGQLQVGFYSKSCPSAESTVASVVRAASASDSTILPALLRLQFHDCFVRGCDASVLIKGGNNSAEVDNAKHQGLRGLDVIDSAKAQLETQCPGVVSCADIVILAARDAVAFTGGPSFDVPTGRLDGKVSNLRDADVLPDVHDSAQVLRSKFAAGGLDDKDLVLLSAAHTVGTTACFFMQDRLYNFPLAGGGRGSDPSIPVDFLAELKSRCAPGDFNTRVPLDRGSERVFDTSILRNIRNGFGVIASDAALYDDASTVDVVDSYSGLLGTIFGPYFRQDFADSMVKMGSIGVITGSNGEVRKLCSKFN >cds.KYUSt_chr3.33412 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209776994:209778027:1 gene:KYUSg_chr3.33412 transcript:KYUSt_chr3.33412 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Abiotic stress response, ABA signaling, Suppressor of floral transition upon drought stres [Source: Projected from Oryza sativa (Os01g0867300)] MASSRVSSSSPAHTASDLARFAAAAGRPGGGSGMGSMNVEELLRGIYGDIPATPAPDRPMSPVPPAPHHDTAAPRTAVEVWKEITGGSSGGEEAVVGGAAEMTLEDFLARESAGKLDAVGMPMPGPSAALEEQVALGFQLNGEEAARGGGRGKKRQLMDPMDRAAMQRQKRMIKNRESAARSRERKQAYIAELETLVTQLEVENANLSKEQEEATQKRLKEVPLPALLLIPYHESSELHMLMFETSASNLSC >cds.KYUSt_chr1.28735 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173722555:173726554:1 gene:KYUSg_chr1.28735 transcript:KYUSt_chr1.28735 gene_biotype:protein_coding transcript_biotype:protein_coding MFASFCRRRLLLHIRKIPGGDGANPLQSIPGAIHLAHSYSVSSVPNSEFYRATVSYLISCGLSPAAAAATATTQKNRILSSDKADAARALLRDYGFANADIIRTLRSAPSILVVDPERILRPKLDFLASLGFEPRKIASEPFLLARSLDKHLVPSIQFIRGIIGSDDDLRLAFSRVPRALMADLDKNMRPAVEALRRCGLTEEAISKLLVIHMGMLMASPDRIREVFEDLKAIGMRISDSRFLYCFRAMCSVKRGTWRRKLELFQSFGLSEGEVLKAFKIQPTIVLFADENIKKKVRFLLDDLKLVISDIMAQPVILGYSLEKCILPRCAVLSVLMREGRIQTDIKLPRALLGNSKTFSARFISRYADDVPDVVKAYEGVRPFASPAMDSDEEEEQMFVELMQEEMAAAAQDDEHMMILGCLASMYAGMATGRRGGSARGRRKCKPRQRMEGYCMLYADYFADNPLHDDYLRMAESTALDCFYRFCEAVIAVFGDYFLRSPTVEDTRRILATNEARGFPGMLGSIDCMHWQWKKLSVCVAGNVQGSQKRLHCDT >cds.KYUSt_chr2.8700 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54679414:54683147:1 gene:KYUSg_chr2.8700 transcript:KYUSt_chr2.8700 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLHWPNIVLFPSVQANLDSAEPMGQMRRSMFAEKKDTEKYRTTHLILNINSLRIVDRDAEIQLCSDMRQGCNEMLSWVQAGASNSTMQVIFMMNGDAKQVPMPRLISSRTDRKEGRRTMSAMVASSLKLNPDAPLFVPAAIQQVEDFSPQWWDLVKSTAWFRDHWYHEHQQLDDMADSLNAFETADHDDDDFNALLPDAIDDDNQDDLFYGNTTSTTLPAARPTVTLTTDAVLKALVSPKGGDAPKGFPEKPRYTEKATKYAGSPSPRGGAAPRFIHQPRG >cds.KYUSt_chr2.27313 pep primary_assembly:MPB_Lper_Kyuss_1697:2:167582794:167586286:1 gene:KYUSg_chr2.27313 transcript:KYUSt_chr2.27313 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDGDSRADGPKEALQERARRTAAARGEWLEMVVHNWLELEISFFSVWEEEKKEVSRRPKVILLSCEHIISYNSKLEENEIHQSNAPEWTNREERLPELGSSTDHTVTARAGFFPSKPQSLAGSDVPAAMVAGMYNEPMLFSVTTAFVDFWSVLWSNWELV >cds.KYUSt_chr6.2277 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13332787:13333434:-1 gene:KYUSg_chr6.2277 transcript:KYUSt_chr6.2277 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPAGIGIGMDDVERHARHGDPEIRDKKSKCKADCFICCIVGIIVVVSTCVLLWLVLHHLDAHYSVAIDAVSGLDPIPTRGLSFNLTLGVRSSSYGAEACIQPGTYVEVSYGGVQLAESAAETRRLCAMPRKSVEHRVVARVTGVPVGQVLDSIAAEMKRGPAVFDVTLHLPAGSYGVMPPYGGENWATECGGRQVGSAASWCPSPNQNPMFA >cds.KYUSt_chr4.4292 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24591871:24594933:-1 gene:KYUSg_chr4.4292 transcript:KYUSt_chr4.4292 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSKQELALRHLVNSLLPPEKLLRDRVRLRLSLEYKALDTYWKQRFKFRLCRFGEDNTKFFHACASARLRKNQIKVLHDGDRVVYNHAEKAELLHNFYVGLLGASTPPLWGFNLRAGMPRVAGLQELERPFTLQEAKDDVWAMRVDSSPGPDGFGPAFFRTFWDVVSPDLMAFLQDFYDGVAPLDGLNRAFISLIPKKDDVLTADGFRPISLQNCVMKIITRILTTRLQHYIERLISFEQSGFVKGRNIVDNFLYAADVVQSCHARGSPAVVLKLDFKKAFDSVNWEAMDAILGARGLGPLFRSWISAILSTGRTAVLLNGVPGRWISCKNGLRQGDPLSPYLYLAVADLLPCLISMEAGGDRLLHPLVDDLPCPATGLHINFHKSTFVPVGGVTAELASDLANILGCPVSSFPQTYLGLPLSDHKLPAAALEFLSIKISKRIPGWRTSLLPIGGRLTLTTAVLSALPSFAMSVLPIPKGTLSKMDRPRRAMLWKAKDKCSGGDCQVAWDYVCRLRSEGGLGVIDLGLQNKCLLLKALHGLFTGWDSPWTRWVKRSYLGARPQVATPAWKCFQSLIPLYRSITRVEPRDGRTTSLWHDAWTPLGPLSAALPAAFSHCLRPLATVADALENGAVEVPLVHRVSAAAAGEMEFIHACLSRISLTSSPDIRSISLGPLTDFSTGSVYRSLDSSGCIIPGQDVNWACFAPLKVRVFFWILRLHKTRTRALLHRIGCVASSDCPFCPNQLEDTSHLFVGCPRLRPLWNVVSPSGRPHVGADVPAL >cds.KYUSt_chr1.38273 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233888066:233891482:-1 gene:KYUSg_chr1.38273 transcript:KYUSt_chr1.38273 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGARTAAAAAGGRAGAARTVAPWILACSLLLCASSVLFQGAEGAIGVNYGMIANNLPSPDKVIAMYKANNIKYVRLFHPDTTVLSALRGTGIGVVLGTLNEDLAQLASDESFAASWVASYVKPFAGTVTFRYITAGNEVIPGDLGVHVLPAIRNLEAAIRAVGVTGVPVTTAVATSILGASYPPSQGAFSEGAAPVMVPLVAHLLSKNAPLLVNVYPYFAYAAEPETVQLGYALLAGSSATSNSKVVSITDGGLVYTNMFDAIVDAAHAAVEKAGVQGLELVVSETGWPSGGGATGATVENAAAYNNNVVRHVVAGTGTPRRPGKAVETYLFAMFNENQKAEGTEQHFGLFQPDMTAVYPVDFAGSY >cds.KYUSt_chr2.53897 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336412068:336414668:1 gene:KYUSg_chr2.53897 transcript:KYUSt_chr2.53897 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPHASVSEAFHPEVSRAVESLQAEFREVDSAIALNSSRVSAAFRRARVAPHHFGGSTGYGHDDAGGREALDSVFAHVVGAEAAIVRPQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVTYREVPLAEDGGLDWDVLADAIRPETGCALIQRSCGYSWRKSQSVADIRRAIELIKMQNPNCKVMVDNCYGEFVETSEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSAPGHVMRALFQGLFLAPQMVGEAVKGGLLIAEVMSAKGYRVQPLPRVPRHDIVQAVELGNRERLIAFCEVVQQTCPVGSFIKPTAGETPGYASEVIFADGTFMDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLGEVLKVI >cds.KYUSt_chr7.29875 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185962845:185964509:-1 gene:KYUSg_chr7.29875 transcript:KYUSt_chr7.29875 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLPAVPSAGLPVDGAPEDTAATSPPPASSFVLAAAALACRRSNPAIGGHMRRTRHFRKSLMVNLAAPSDGHLRDPGVVVEGLGSLSLPSPGHPCLPKQLTATSIPQAPLPSLSVTEGVVSGKVQGTDNMRDNMRNEEKTLEEEVCLLDPSSVSTGGSLPTAAAALEAEGDWEHVGRGRHSSRATPPEPSREGLERSLAFKRWARGRCFRCLERGHQVRACREPFRCIRCRHPGHRERFCRARFPAARSCSPDGRARSPDARDPCSRRRSPPAQPRRPSASRSWVDVVCHSSSPATSLPRPSPRCCEEFNVSAGLDSRFQCQLSLLRMELTQLVVTRVEEVTRPLREEVASLKLLLAHGGDSLEPTEACSSGGHELATMQVSLALGSVEEKSSVVEEEYLYSCFSPRGSPGQSLQPVVSAASESEGIDETLAPVLQITPERLELLGDSPAVLPLALCSFETLEVAMTPPPPQLEPCHSLSSLDCGVVLAPSSEALFAKELCGLLASLEAASPGYGKDIDCVLAGKASEDMFRRVQKSLKRVSIRSIRRKRAG >cds.KYUSt_chr2.725 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4365276:4372238:1 gene:KYUSg_chr2.725 transcript:KYUSt_chr2.725 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLQQTKEALTSEDFSRILEYCAEAPDPLFVMDILEMMEDKAIDISKGNYRSVTRALTKGGYSKEALKLLTLLGEKESTHGVLPIFNIFLSACRTNLNDVGSCLEKMEGHLLGKSEITYCELLKVAVLQGNLSAVHDLWKDCTRYYSPSIIALRKFVKAFSTLGDLQSAYHILQRMVVLAGEHTDHLTVSSKRRRQSTRLDIPVPALNEVEDLKLVSDYDLPLSFQGKTGTEGYLVDAQPELFQVETQSSKHKQLKSYVSIISADSGRMTKTLRFAPVAVKKILRWSFNDIIHMCVQVDNCHLAEQLFLEMHKIGLRPSRFTYDGFIKCVLAGKGVAHARKVIGVMERRGIKPYDGTLVALSIGHSKSLQLDLAEDFLGRVSDIKPEYIHGFNAFLSGCDIMNEPERALRVLARMKHLDLKPQIRTYEILFSLFGNVNVPYEEGNMLSHADVSKRISIIEMDMLNHEIQHSFVSMKNLIRAFGAEGMIEEMLRYLNVAESVLSNMDPYQKSDLYGIVLHAMVKAKETHKAIRTFKIMRSCGLPANTAIYNVMIECCKLLPCFKSASTLLSLMLRDGCCPTVVTYTSLLKVLLAKDDFEGALDLLDLCLTEGIQPDIGIFNTLLSDANARGNIHVIECILECIHRAKIQPDESTLWYTFCAYVDQKLYNTAVEALQVLSMRMISEDASVLKEKGAALEDLILSEEPDAELSIIKAFGATEECSVAALLNLRWCATIGSTISWSPEDSLWARRLASSYDANRRLHMP >cds.KYUSt_contig_817-2.39 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000077.1:278526:278896:1 gene:KYUSg_contig_817-2.39 transcript:KYUSt_contig_817-2.39 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRPRILAAAALLLLLLAATGSEMKVAEARTCVSQSQNFRGECLSSTNCASVCKTENFPDGDCKTRGLERKCFCIKEDC >cds.KYUSt_chr2.53669 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334769387:334772551:1 gene:KYUSg_chr2.53669 transcript:KYUSt_chr2.53669 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLPLSDASSCVKRDSFLLKACAANDDHTSFMELFRPGIVLVAKVLESARIMSGTGYTVEVTNLSSSASESDLHEFFSFSGPIEHIDLIRSGGYGSTAYVTFKEPYALETAVLLSGATIVDQPVCISRWGQPDEPSNFWDRPTWQPDEPSNFWDRPTWQAEEEIVYRVYLIHGANFHLFITFFLSSDFLGHIDYQACQFNSTPQEAFTVAQDVVKTMLARGYILSKDALSKARAFDESYQITGSAAAKAAELSKRIGLTDRVSAGYGAIRSVDETYNVSGTTRTVASVTGRTAVKVANGILTSSYFSAGAMMVSEALTRAAKAAENLAAHGRQN >cds.KYUSt_chr7.11712 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71962837:71969096:1 gene:KYUSg_chr7.11712 transcript:KYUSt_chr7.11712 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSVAAAHDRAGDAADPALRLRRGPAAEADAGGRREDAVPPPQAQQQKQHEMFCYRASAPAHRRVKESPLSSDAIFQQSHAGLLNLCIVVLIAVNSGLIIENLMKYGLLIRAGFWFSARSLRDWPLLMCCLTLPIFPLAALMTEKMAQRNLIREHVAILLHIIITTTVLFYPVVVILKCESAVLSGFVLMFIASITWLKLVSFAHTNYDIRALSKSIEKGATHDSSIDEESIKGPTINRIVYFMLAPTLCYQTSYPRTAFIRKGWVTRQLIKCLVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTFEEYWRMWNMPVHKWIVRHIYFPCIRNGLSKGFAILISFLVSAVFHELCIAVPCHIFKLWAFSGIMFQIPLLLLTKYLQDKFRNTMARTRERLGVYHDE >cds.KYUSt_chr5.42481 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267710892:267715807:-1 gene:KYUSg_chr5.42481 transcript:KYUSt_chr5.42481 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTASPLDLLAALLTGRASSSDTEGDDGHRRLLALLATSLAVLVGCGVALLVRRSSASAPHAVPAPPLAAKKPREQEEPDPDDGRPRVALLFGTQTGTAEGFAKALAEEAKARYDRAVFKVLDLDDYAAEDEDYEEKLKKETIALFFLATYGDGEPTDNAARFYKWFSEGNERGEWLSNLRFAVFALGNRQYEHFNKVGKEVDQLLAEQGGKRLVPVGLGDDDQCIEDDFNAWKELLWPELDKLLRAEENSSAASTPYTAAIPAYRIVFTKPEDAAHINKSFSLSNGHVVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDIAGTSLTYETGDHVGVYAENSTETVEEAEKLLGYSPDTTFSIYADQEDGTPLFGGSLPPPFPSPCTVRVALARYADLLSSPKKSVLISLAAHASDPKEAERLRHLASPAGKKEYSQWIIASQRSLLEVISEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMAPTRIHVTCALACGQTPTGRIHKGVCSTWMKHSTPSEESQECSWAPIFVRQSNFKLPADPTVPIIMVGPGTGLAPFRGFLQERLALKETGVELGRAILFFGCRNRQMDFIYEDELNNFAESGALSELIVAFSREGPTKEYVQHKMAEKAADLWSIISQGGYVYVCGDAKGMARDVHRTLHTIVQEQGSLDTSKTESYVKSLQMDGRYLRDVW >cds.KYUSt_chr7.37579 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234400904:234401308:1 gene:KYUSg_chr7.37579 transcript:KYUSt_chr7.37579 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLAAGVILRRCASGWFLLGYLDFHLEPQLDLAVSASSILWWFDGVVWCCCCSLTVASRSMVRSADPRFDDLAAIPCRGSDRRFGRSLATSGIAASRSPPLMLLPGVVLPRISLPSTAASTPPLPRTCALRQP >cds.KYUSt_chr2.47644 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297986539:297988859:1 gene:KYUSg_chr2.47644 transcript:KYUSt_chr2.47644 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEAVEQAHELYRGGRHREALQLYTAALAAARGPAQRIALHSNRAACYLKLHDFHKAAEECTSVLELDTEHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPSSEVYRNLHARLKTQLSLAPIPESEEESLYTEEDKEDLPLKEDKMSETLVAMSDQPAAELIPEMKPASELPKVEVPPSLPSKSQGWETVQKPKGHSGLDYSKWDKVEDDSSEDDEDDDEDEVPQYKFKVRTIGVRSVK >cds.KYUSt_chr6.4359 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25243712:25244731:1 gene:KYUSg_chr6.4359 transcript:KYUSt_chr6.4359 gene_biotype:protein_coding transcript_biotype:protein_coding MASDASKHGGGGGDQQPAMRTNRAGFPKLSISSKGLILLPLLLLGIIYLVVYPKEFELQALIGSCSPAPADTTANETAAVGKPDFRLLIGILTSADFYERRHLLRMVYGRQLASTSPPLAAQIDVRFVFCRLSTDVQRVLVPLEILARGDIIVLDACAENMDGGKTHAFFTAAASLYADAPYDYVMKADDDIFFRLPELAASLGAMPREDAYYGATIPCGSRDTRRGWYMSGMGYALSWDLVAWIAAAEDVTRGRTVGTEDRMVGEWLKSGGKAKNRFNAKPAMYDHPLSVPATECAHQLVPDTIAVHRLKDNPRWAQTLRYFNFTAGLQSSKFYKFDS >cds.KYUSt_contig_1163.176 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000111.1:1085725:1089112:-1 gene:KYUSg_contig_1163.176 transcript:KYUSt_contig_1163.176 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGDFSTPSPSPPPAEDDDEEQWGGEDEEDGEEHGGQDEEAGGEDGGEDSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKYGNMQERVVRHFWKYFTRAEGKEIACDVILHELCRVRVTGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQHPPQYVGEDRACFLAMVIWWTSREYARKHEEGKRSVREMGGGSHVLGSKNLALTLQDEEVKTGVAPNLFGFFQKSKTRKEPHPETGSLWVNDLAEGQCGAYRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIARRVSRPKYHSISTPDPSWAYERAASGRDPPTGFGPSYREVTGGDGRKGTEAPRGADARCSGSLGRTCEMQQQMLQRDATTSSEMFQADEVEKDKEVEMDKEVEKDKEEAKVDVACGLMGKQENSGKICTIFAVHMHTAKATAHGKGTLCRAHAHGKGCPRCPPDVAVPTFAVHGGREAHGKAKSLPCELDRKHTAKKLARQRGQTHGKGIRTATMPQLTATLRRSAKPLPCDFRATHGKDPFAGRIFAEQSLPCTAARQRLCRAGLSLCRAFGLHGKALFCRSEGLQSL >cds.KYUSt_chr7.31378 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195367026:195367682:-1 gene:KYUSg_chr7.31378 transcript:KYUSt_chr7.31378 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRWSMAATTLVPDPGSIGGKFGVPVNNTLKYNSLCEKLNSLAADSRIADDTYAIVLDMVDEAKKVVAAMHRARIAGQQGQGEEHGDATDPRSSNVHHEQQQHGSNLNQQQQEQPVAHETPSNSNLRNPTRVKPKGRPKEKEQRRKPLVELRDEANKKRKKKAEEPKVMKEPKPKRQYRKKKCPFCNEEGHTLKECEYMVLAKQFKDAKDSQPEKTV >cds.KYUSt_chr7.39105 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243314301:243319342:1 gene:KYUSg_chr7.39105 transcript:KYUSt_chr7.39105 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSLLLLVLAVAQPSRADTACFTRLFSFGDSLTDTGNFRFVFPNDTLAPGLSFPYGETFFQRPTGRFSNGRLIVDFIASALGLPFLTPYWSGKSVEDFAHGANFAVAGATAMGPEFFWDRGYSAADAETVHLDTQMSWFRELLHLLCPSDLSGIEDPLIACCGGAGPYGVSLTERCGQGEYNLCDDPQNYGSWDGIHPTEAAYEAIANGLLRGSYTNPPISTNANSCGRPTELFSSV >cds.KYUSt_chr7.9384 pep primary_assembly:MPB_Lper_Kyuss_1697:7:57110771:57116257:-1 gene:KYUSg_chr7.9384 transcript:KYUSt_chr7.9384 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAAAAWTGLLLALAPVVGADTDAAGVAALGNLYTSWNSPAQLAGWSAAGGGDPCGAAWMGITCSGSAITAINLSGVGLTGTLGYQLSSLVALKTMDLSSNNLHDVIPYQLPPNLIHLNLARNNFSGNLPYSISNMLSLGYLNVSHNSLFQEIGEVFGNLNSLSELDLSFNNMSGNLPISLASLSNLSSLYIQNNQLSGTVSVLGNLSLTTLNIANNNFSGLIPGALSSIPNLIVGGNSFINMPGYPPPIAMPPSQSPLDQPDYPQGPTSFPDRPDPEITIDEGDKKQGRQTGVLVGLVVGSVAAASCVLFALVFCLHSAHKRKDGGTSEPKDFVGALAVNTDRDFNNNIQQNTPVASVLPRSTGTPERVYGINGSPAKKIKVPGAATSYTVASLQVATNSFCQDSLLGEGSLGRVYKANFPNGQVLAVKKIDSASLSLYEEDHFLEVVSSITRLRHPNIVSLTGYCVEHGQRLLVYERITNGTLHDMLHFSDEESKTLTWNARVRIVLGIARALEYLHEVCLPPVVHRNLKSSNILLDEEYSPHLSDCGLAAFSPNPEREVSTEVVGSFGYSAPEFAMSGTYSVQSDVYSFGVVMLELLTGRKPLDRSRERPEQSLVGWAIPQLHDIDALAKMVDPSMDGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLCYIAQLRAGDDFLDTDCVLCRTFRGDSSMLKVLDIPRSALHRPIKPTT >cds.KYUSt_chr7.17831 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110459110:110469487:1 gene:KYUSg_chr7.17831 transcript:KYUSt_chr7.17831 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREGKSGTESSPKTGSDASTSSPSNGEDTPPHNTPPVSDPTPAVPEPPLPPPQPEKASVAAPGAATPFSAAANPAADIPYTKPRMAVVYGRVKLKFKSSKAAEPSHSPSEPKAPADAGKSQTAAPETGKQGTAEKGVVAPLDTQATDGQGLELTGSDKEKVGKKVGVIKLLSAGLPHSAQDGAQDREADEVVEPLPSKQETVLVNDESDNASEPRNSQESEVKQSSTPDRQRDEKELAAALEAIKKVMKVDSAEPFNVPVDPVALGIPDYLDVIDTPMDFGTICQNLEHGNKYMNSEDVYKDVQFIWDNCIKYNSKGDYIIELMKRVKKAFMKNWLAAGLYSDVQDNGMIDTKMTVHVLYVKLHDVNFGVNNSGSHDTPSSQEKLQHTDVYKAMVEVDAQTQMKDLGKFLKNPSPDYEDEGSRQYSEEKEEVEYKDANNQDEHTSAHPNDNSEVEHHQQKARTETSQEVEMEDFPIQQENQSFLHLCSRLFPSKRSSAFRGRHSLFRQQRRVAAKESPLHAAMTTIMKRTQQSQAKARSIDLLPFHPSIHVVFFLSISLPMLMSRRRHLATNAAPLLLAVLLLVVVPPCSAAPSARQLSTVAISHTNDSTLVCALIIDKTDEDGVYATGGNSKLRCASFPDGQVRTYPSADIPFNAIAAGKDFLCGLMAPAGGHAAMRWWSFTEEAAANRSRPVGRRLYWGPSLRSMSAGGGHVCGLSDDHEPNCWEWPGLSLPKGLDFSIIALGQNFLCGVDKTNGTAMRCYGGGMQSPPAFAYGDAAYKAVAAGRRHACAVDAMGGLVCWGDGNPDVLREELPADMTAMALGHNTTCILAGNGTVRCWGVKVPKEYTRTSFASIEADGDTVCAVMTSNYSVVCWAKDGRFDGNHLVFNSTLPGACTNKTSCQCDVVSGSGALCGTGGGVSGVELAVCHPCTIPLNASRIVVANGRTPTPGNDDKKKNALVVGLSAAGAGVAVLAAVGLTFYLVVFRKLDKKAHVTVRLGESSSRGLCRDGESMVMPAPERSALQPARPLGCEEFTLKDLSRLTNGFAEESKIGSGSFGCVYRAKLADGREIAIKRAERASTGARRRRRFDAEHAFRSELRLLCRVNHRNLVSLLGFCEERGERILVFEFMPHGALHDHLHGDATDRSTSPLFLSWEARLRVALDAARGVEYLHCYAVPLIIHRDIKPSNILLDADWTAKVSDFGLSLASGSASAPNSKTSGTAGTVGYMDPEYYRLQELTERSDVYSFGVVLLELVTGRKAIHRDNSEEGSGSPRNVIEFAVPAVEAGDISTILDGRVPAPRGHEVEAVARVAKIGAECVRRGRGRPVMSEVVAELEWAVTLCEEYVGRTTSGARNSSSGHGGSDMSRSRSRSESEDRSPYHTREFSFDSGRVAGVGHGRSYSTM >cds.KYUSt_chr2.4053 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24533992:24536097:-1 gene:KYUSg_chr2.4053 transcript:KYUSt_chr2.4053 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEVLHDLPPAVGGGRAEIDTSAPFESVREAVDRFGGSAAWSSHLVRRIFAHHKLAIQLEAEQEMQHRNLSFLFNFGLPLQKQDQRAAGTEDDEQCVSLEQQTAQLERELGVKERETLDVLKELEAAKRVIADLKLKILKKTIEILQPAEPEEKQQPEDSCCITEVHTAEHEGQQPEGNNCSNSEVPTEEPEEKKLSEGNAEADVEMCGGLNEQEQKPEDSCCITEVPTAEHGEKLFARNAETDVEMCGRLTEQEQHHHPAASVLMELERAKSNLNRTTSDLAAIRASIESLRNDILTEKMLVERRREKVSSNATLVSSLEEELDQTTQQVQTLKDLQRRRQDPSGIFIEIKRMTSEIEQLRNAANASKSEAMMLAAEIEQTKATIGTAEVRCLAAKKMEEAARAAEALALAEIKALLSSEASAEGLQGTDGVDLSVEEYFELASKAREADESSRNKVAAAMVHVDEANQSKSESLTRLEEAKLEVEECKRALQEALKRVDTANRGKFAAEETLLRGRSESGHKRRSLRGSPKFKHAAHRHKDSRCTDIVDVSSNSLKPTLSIGQILSMKLMGPDGYDKSVSDDTSETSNVSLGQILNRRQAILYNSDTSANKKFSGKRKKFAFTGLSVFLAKQAKSKKKRGSD >cds.KYUSt_chr3.29567 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185006493:185013877:-1 gene:KYUSg_chr3.29567 transcript:KYUSt_chr3.29567 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKGWGVTERSRKGEKLHSRCTGTVVRNDRLDFVLIYQLDEVAKKAQLCIVANLKVHLPNGEVVNASVQYYSVAHNMLVVTTGFFPDIRAACIPQMQVDSSTRLLAASLCRIPDKFWVTTGVLTDSPTGVESHETMWSTCEITEIKVRLPNDEVVHGWLHYYSSPYSLAVIITHSLPLSLDLCVACLGNDMHVESSAELLAAASGGPLVDCDGNIVGMNYYDEEMTSFVPSNLIFEWLAPAHVHWAASKDTSRPNEIQQSSTPYNDSPKGLTDDELRRILAPWRCNEKKISRKFACTGVFIECSGSTTRILTSASLVRSGDGKNIHREWKIEVCLPSKRRVDGTLLHYDLKYNVAVVSIKGVCSYRAAKLDETSQTEIGAQAGIGGPLVDFGGNFVGMNFYDTDQTPYLSRVRILELMKCFNAERTVPVAVETPDESELPSWPVPDPEWFYPSRYPKPRYVEFEN >cds.KYUSt_chr5.43539 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274289141:274289773:-1 gene:KYUSg_chr5.43539 transcript:KYUSt_chr5.43539 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNLYSLVLLVVALVSTPLAVVAGDPDILTDFVMPMMWGMPMNITGDYFTYTGFGGWELPVTSFTVQKASMVEFPALNGQSVSYAKLRFPPGTVNPTHTHPRASELLLVIEGTLSVGFVDTAGKLYTKDLVAGDMFVFPKGLVHYQSNHGSNLAIALSAFGSANAGTVSVPVTVFGTGIDDAVLAKSFKTDLPTVLKLKAALTPPPKH >cds.KYUSt_chr1.24791 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148448968:148450812:1 gene:KYUSg_chr1.24791 transcript:KYUSt_chr1.24791 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVEGHDGGSLLLLQQQQHLLHGITKVSAGGAFWEEPTSVLDQRHSPSPSPPASASALSSEVATLAGANNVSPPPPPQAWPGGEDAGVKEEWAHQLAPLDMGLGAGAEGWDATPHSGPDSTFLRWFIGGGEDAAAVMDPPVLELDHAASIMSPPAAAFGPSLSSFMEDAKPAPFGHAPSFLTHHPQPHAAYFGGAHPSFEPAAPPPKRHHPMAAAPAPKLPPFPGPLAPAGNFLPALKPKAGAASDELAAVVDQLAEAAKLAEAGDAFGAREILARLNYRLPAAPAAGTPLLRSAFYFKEALRLALSPTGESPAPAASTPYDVVLKLGAYKAFSEMSPVLQFAHLTCVQAVLDDLRGAGCTHVLDFDIGMGEQWASLMQELAHRRPATALKVTAFVSPASHHPLELQLIHENLASFAADLGVFFQFTVFNIDTLDPADLLALAGGDAIAVHLPVGAAHSAAMPAILRLVKRLGAKVVVAVDRGCDRTELPFAAHLFQAFQSTVFQLESLDAVGTDPDTASKIERFLVQPAVEQCVVGRHRAAVDKAPSLPWRAVFASAGFTPVQASTFAESQAESLLHKVPVRGFRVEKRAPGSLCLYWQRAELVSVSAWRC >cds.KYUSt_contig_1948.46 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:288683:293437:1 gene:KYUSg_contig_1948.46 transcript:KYUSt_contig_1948.46 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSVLIGASMAVLVVLSLLTFFCSSRRRAVHTSSSQRSVVDDLEQGRRPCDAAAGLDEAVLAAYPTTVYSSSSRGGSTGERSKEEAGDSTRCAVCLADYEHGDELRLLPGCRHSFHRQCVDDWLRRRPSCPLCRFTATRMMQRGGGAEDQAGSEPSAFELPVTLGIEKMTPKPSYTTARVDWLADYGLDKVGQYTLTITITITGKPNIKWNYVAGYESTK >cds.KYUSt_chr2.53742 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335282343:335283067:1 gene:KYUSg_chr2.53742 transcript:KYUSt_chr2.53742 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSTRTRKKDSRTSTTTRITPDVNSCLWNRWTTTTLLRFRYVFCYLINRSSTLVMRLDHVILCSSCRRHHRRCLCGSPTTIRAAEECVSVEATGDHCEGLAYDLHLRVENMGAVVGMHSVLLFSSPPSVHGAPSKHLLGFKKASLAPGEAGTVAFWVDVCRDLSVVDELGQRKVALGGHMLHVGDLKHTMNLRI >cds.KYUSt_chr4.40427 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249455001:249456416:-1 gene:KYUSg_chr4.40427 transcript:KYUSt_chr4.40427 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSCPAMEARSSGPGTTQASCPATGSGWPRGPGPVRVLHTGEGKGRRHRLLSPELKLAIDRTRVRTVSFAITAQASKGRRGGSAQIEPLVSCLPMALGDDSPASYIRMVHHLIEKCMTFGMSMEECMEALSKRADVQPVVTSTVWKELEKENRDFFDRYKQLRAERDGRSSSNSSS >cds.KYUSt_chr1.37863 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231221434:231222180:-1 gene:KYUSg_chr1.37863 transcript:KYUSt_chr1.37863 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHSPPAMDRRVQRLARRVSIALAAAATLCLAYLFRHTSCFPAPEPLTLSLAPFPRTSCDAAARRVLPPDRRLAKLRSSSRWRRRSASLAASVFPPLRRLRLLAGSSRVLCLAAGAGNAVDALNAAGVTEVTGIDLVDFPPLVRRADPHRLPFPDGAFDLVFSDDPAGFSGALFPSRLAAEAERSVRLGGAIAVAVDRQLDTAVIPALFKRSRVVDTRAVTLDGSQVRLLILQSNGTHHLEPAALLA >cds.KYUSt_chr4.18061 pep primary_assembly:MPB_Lper_Kyuss_1697:4:113287016:113287424:-1 gene:KYUSg_chr4.18061 transcript:KYUSt_chr4.18061 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAAGSGRVGSRRVERLSSKCSGSARFGGRIGWGGEDTEEVAAGFPGGGLDLAGEDGRPPPLRRQQRHTIHQSDLKMGKNCEFCT >cds.KYUSt_chr7.13175 pep primary_assembly:MPB_Lper_Kyuss_1697:7:81302518:81302955:1 gene:KYUSg_chr7.13175 transcript:KYUSt_chr7.13175 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAYASYARGVYPRKEHVGVEVENAAATWSPSPAPRAERTIWHHHEELRLVGYGEPRVEVGGMLARSWWRGGRALGGVTGELLAPRRASSGVDAGELLAERRESSWRGRWRDQARRTAVSTGGGRELPEVEVEVDCADAEERT >cds.KYUSt_chr5.40960 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258530179:258530586:1 gene:KYUSg_chr5.40960 transcript:KYUSt_chr5.40960 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQHQARPARAKPALDPKTEPVAALAEEDFTPRDLEAAEQLLHLSESSSSSGAPRAAGRAPAARRSSSSPRSVNTPPVPAGAVVLGDCADWEEEEEHEVAGTQRRVKRYRLIAEIYAATEEIGGRSSRKKKKE >cds.KYUSt_chr6.26542 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168133263:168133947:-1 gene:KYUSg_chr6.26542 transcript:KYUSt_chr6.26542 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHSSPVGSGGMDDGASAPRAAAAVMPLRRPSSHPASTPPLPQSDPAALHPRLPAAPNCGAPSAPSHPCLAPRQRGIGATPGMLLPSPRRRLKARRALQWGHGARKPSTPQIKRIIRNTGPMCGKEVQEEKYSSVEEEERKTCVFSITLGMLVALLLVVNMCWSAITATLALIVLD >cds.KYUSt_chr2.1253 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7385346:7404922:1 gene:KYUSg_chr2.1253 transcript:KYUSt_chr2.1253 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIWFTRAATCTRALKMLDFHSCLLALLAVECIFSSACIRFAEYCPQEGITEKLWNGLESFVFDWLINADRVVSQVDYPSLVDLRGLLLDLVAQLLGALSLIRFSSVTERFFMELNNRRVDTPVARSETLNIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGRNYWPPLGVESALSLWYDAVSRIRVQLMYWMDKHSKHIAVGFPLVTLLLCLGDSHTFNKNFSQHLEILYKYLKDKSHRSMALDCLHRLVKFYVNVYAEYQPRNHVWDYLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAESNLDFAMNHMILELLKQDSLSEAKVIGLRALLEIVVSPSNRQIGLDVFQAFGIGHYIPKVKAAIESILRSCSKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDRMTEIIPQHVTSIDPVVREEAVLVLNRIVRYLPNRRFAVLKGMANFILKLPDEIPILILNSLGRLVELMRLWRGCLSEELLTKDVQNFERSSLGSDTMQRSPLPRSRDISEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDCSTNEWGDSKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPIPLDVTLQSIVESADKSRWARYLSEIVKYAAELCPTSVHDARREVVKRLEQITPSELGGKSQQSQDSDAKLDQWLIYATFACSCPPDKESSSKAAKDIFHTILPSLRHGSEGYALAATAALGHSHLEVCEIMFGELASFLEDVSSETEAKPKWKNARSRREDLRTHVANIHRMIAEKVWPGMLRKPVLRLHFLKFIEETYRQINMSSSDSFQDLQPLRYALASVLRYLAPEFVDAKSERFDNRIRKRLFDLLLNLSDDSGSTWGQESSSDYRRELERYKATRHNRSRESLDKLAFDREIAEQLEAINWASMNAIASLLYGPCFDDNVRKMSGRVILWINSLFGEPVTRAPFGHSPSYSKHTDGGRFGGKDKQKASQFRVLLAKTALKNILQTNLDLFPVCIDQCYSPDASIADGYFSVLAEVYMRQEIPKCEIQILLSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKDSGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARIRPQQTIDHLVCELSQRMLEDNEEPVRPGKVDSSANVVLEFSQGPTTSLVASAIESQHHMSPLLVRGSLDGAMRNASGNLSWRTSTVTGRSVSGPLSPLAPEVSSIPNPTTGRSGQLLPALMNMSGPLMGVRSSAGHLRSRHVSRDSGDYYFDTPNSNDDFLHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSSSLLSALVQSMVSAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALCPSVKSDSCVLLLRCVHRCLGNPVPSILGFAMENLLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDVNGFSSDLHRLESRTTSERLLSVTETGKVPAFEGVQPLVLKGLMSSASHGSAIEVLSRITIPTCDSIFGNPETRLLMHITGLLPWLGLQLTKEASSSLGSSTSPLQEQNQKAYYVASNIAGWCRVKSLDILAEVFMAYSRGEIVSLEDLFVRASPLICAEWFPKHSSLAFGHLLRLLERGPLDYKRVVLLMLNSLLQQTPVDPSQIPQVYNVVSQLVEGALCAEALNVLEALLRSCSGVAQADDLGGFGGEHGGHGGGGLVGEKALERMLLPQSSFKARSGPLQYAAGSGFGSVMMAAAAQGGAGGGGTDGGVVAPDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >cds.KYUSt_chr1.39155 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239398839:239402070:-1 gene:KYUSg_chr1.39155 transcript:KYUSt_chr1.39155 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRALSSLLRSASRVRGASPSPRPRAAPLHRPSPAGYLFNRAATYATSAAAAAEAPADKLPPTSEKYVGGKITDEFTGAGSVGQVCQVIGAVVDVRFDEGLPPILTALEVLDNSIRLVLEVAQHLGENVVRTIAMDGTEGLVRGQKVLNTGSPITVPVGRATLGRIINVIGEPIDHKGDISTNHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGMDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKEGVESFQGVLDGKYDDLSEQAFYMVGGIEEVIAKAEKIAKENAS >cds.KYUSt_chr2.36368 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224466942:224468122:1 gene:KYUSg_chr2.36368 transcript:KYUSt_chr2.36368 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFCAPGGWRLSAGGVPIPPPPTGGGALETAIEEVLATLSDEQRVDPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPSARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATMSRRRGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNQAATAAANQLAEEEAKRVEDAAVVEAIARSLKDLAEQQRRILDPAAARQLAARAAAPSSSRNAAHREVIKLEESSDDDIYRPSPPRAGDAGRGTSRWYEAPPPQDDAGSSDDDDDGGDYTTFYRHFGM >cds.KYUSt_chr4.52035 pep primary_assembly:MPB_Lper_Kyuss_1697:4:322991472:322992641:1 gene:KYUSg_chr4.52035 transcript:KYUSt_chr4.52035 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSALSSFLYGCFYPIGGRHNHRAGAYYHSSHPTSADTLYYNNQGALAGRRMGRSRPLSLQTVELKVRMCCSGCERVVKHALTKLRGVDSVHVEVEMEKVTVTGYVERHRVLKEVRRAGKKAEFWPEQPLHFTCKEEYFRDEESFRPSYNYYRHGYNGDKHGKLHEPQRGSDPVSNMFNDDDVNACSIM >cds.KYUSt_chr2.16417 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103247024:103251782:1 gene:KYUSg_chr2.16417 transcript:KYUSt_chr2.16417 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNYIEIKSEEEYLMDPIQIKDNEGHPVEMLADQPHFLEPVCPEEVNEDTRVYPREGNEYQVEVPNLATEEEHMKLRSSPIDDSKMSGFEYPVVVGLTIPVTWSQKTSTHMKEELREFSGHSLCPSEDEHNSHSSSNIARNLSQDIICPVCLGCKVEYDDQDRKLSKSAGQDMDRSHRSTILSCSCLKRKVNDYIPLPGMPRYSWTDEEAQTFLLGLYIFGKNLVQVMKFMKSKTMGEVLSYYYGEFYRQQELLSRLLAGVAGEVRDPLLEVFKTFNEGTSDFEQFILCLRSTVSAQVLIKAVGIGKGKYDLTGFALDPSRNHGIAARAEIPIGKACSSLSSGDIIKYLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKHALVFLIPGVKKYSRKKLVKGNHYFDSVSDVLRKVASEPRLLEFGVEGGNNEGGVKLENGWTHDVEPDKNTLPDKKSPGYVQPSEPGCSPELMKFTVVDTSFVQGEAPCKVRSLRNLPTDAVHGYNSSPNSGNSGSANSEEHSDSEDSSQPYEDINVDQSKTDVSYVNEQRTGNLPAGDEMDADVLLKISAFPGTINGHISTDLSFGTKNNVCSSAATVLPVVNQRIHATNSSTEINFQFDQRVTPEPQVFLAPVSKRRRLVSCKNERTGRKSTAVNKRHCWKQADTPLQHLADANEASAGANPFIWSAIPNSSTNITFDLNNNHTYHRPLHNVPPNIEVVYKEKAQHKHVIDLNIPQMPSDYESTASYILPPSGNNAPIMDRSIHPSETKEMEYCLPDINAPSNAVLSEQLSFSSRRQSTRSRPPTTRALEALACGFMGTKQKGGEGNFPSSSRSSRPVRRPRRSPDVRVQFPSDGEGSSSHFTDQAIAVSEWGMSNHQYQILHSSPSDKSSEKGTHALFVADKSTDKGTQEFVWHTVDGMKTSKDLHAQQFR >cds.KYUSt_contig_686-1.563 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3407833:3410469:-1 gene:KYUSg_contig_686-1.563 transcript:KYUSt_contig_686-1.563 gene_biotype:protein_coding transcript_biotype:protein_coding MVQADAAALGTISADMAPIIDGFSADDDELDLDLPTEGFSSIPEAIEDIRQGKYVIVVDDEDRENEGDLIMAASKVTPEAMAFIVKHGTGIVCVSMKEDDLERLELPLMVVAKENEEKLRTAFTVSVDAKEGTTTGVSAKDRANTVLALASSVSKPGDFNRPGHIFPLKYKEGGVLKRAGHTEASVDLAMLAGLRPAAVLCEIVDDDDGSMALLPKLRDFAERENLKIVSIADLIRYRRKRDRLVERVCVTPLQLQWGSFKSYCYQSLIDGMEHIAMVKGDVGDGQDILVRVHSECLTGDIFGSARCDCGDQLALAMTMIEKTGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPADSREYGIGAQLLRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENRRYMETKRLKMGHIYENLPNGNISGTNEDQEGSSSEQDQTPET >cds.KYUSt_chr3.193 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1167281:1169535:-1 gene:KYUSg_chr3.193 transcript:KYUSt_chr3.193 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAKLDELREKERKAELMAQLKQLKAELKELREGRSLPGANAAPTEQMEKFWEITKEQKAALREAYKPRSLPLILRPNSQQQDPRCTAASPTDTTGFGSYKWDGRIFSEDGEAFSEDGDVGSSRIN >cds.KYUSt_chr1.3303 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19934212:19940596:1 gene:KYUSg_chr1.3303 transcript:KYUSt_chr1.3303 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQERRDELFGAACDGNVRLLKNGAGAELAFLAAMVDDDGDTLLQMAATHGRTDVLRYLVEDLLLDVNQPNSIGDTPLCYSAFARKAAATKYLLAHGADPLVGHCDVVELLLSRGIDVDLDSARGTPLQVAAMKKQHGTMKILLEHHANAGADVNFVDSNGFTTVIYAAKLGSPALMKCLLDAGANPNIPDEFGRMPIEYAAYYSKRDMVEVLFPSTSPISTLPEWSIDGIIYHVKSFGLKPMGTCSREVDCVAAEEARVLLSVRKV >cds.KYUSt_chr5.15479 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100006144:100010402:1 gene:KYUSg_chr5.15479 transcript:KYUSt_chr5.15479 gene_biotype:protein_coding transcript_biotype:protein_coding MDERCAAKSLYHQRRALEFVRQRRPPRPQPGYRQLPLRHNATRGNCGSARERAPACRPRAVEEDDDRAATGVQAPRGRGRGRPPGLRLPGWPGFSAGLRRAGNPQLRRMTAALRPACRRRLGEAEDGRRGSGMQAPLWLRRAGVAHARRYQEETSIARGGKEQLDMKTDVKMDVKLDMELDMKISHGRAREEREACARGEEDV >cds.KYUSt_contig_3334.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000644.1:97840:98670:1 gene:KYUSg_contig_3334.18 transcript:KYUSt_contig_3334.18 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQCEMKDVQLTLEEKACLDEMIKQAERSLESHELLAGALKNQTVGPLSIVATKIFAGQIVRNFPNPLCNLDGFAMSGTFVTGVKAAVVYSAKNKAGVECGWLLAFNDTNNAVGRRVFAECGLKGKFRNINWAQVEQKLEKSGTIAKAYDLETGTSLCASICGTTGKSAAGAVFLG >cds.KYUSt_chr7.16608 pep primary_assembly:MPB_Lper_Kyuss_1697:7:102880821:102881895:1 gene:KYUSg_chr7.16608 transcript:KYUSt_chr7.16608 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSKGSWVALALLLFAALVSSVNGDHKLSARYYDKTCPNVQRIVQTVMANTVARDPTVSPAILRLFFHDCFVNGCDASVLLDSTPFFESEKDAVPNTSVRGYEVIDEIKSVLEHNCPATVSCSDIIALASRDAVGMLGGPSWTMSLGRKDSRTADKDGAENLPSPHDNFTALVSTFRERGLNSHDMTALSGAHTVGMANCKNYRDRVNDDIDIDASFAETRRKTRPTGYSEGGMTPFDEQTPMRFDNAYYKDLIARRGLLSSDQALYGSGGRQDDLVKMYSTKGGVFAKDFAKAMIKMGNISPSKETPVEVRLNCRMVNW >cds.KYUSt_chr5.10040 pep primary_assembly:MPB_Lper_Kyuss_1697:5:64108297:64110338:-1 gene:KYUSg_chr5.10040 transcript:KYUSt_chr5.10040 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKDISGAIGLMAAALVLLGTWPVVLAVLERRGRLPQHTYLDYSISNFLAAVLVAFTFGQIGGDTPETPNFLTQLTQDNWPSIMFAIAGGVFITLGTLATQYGWAYVGLTVTEVMASSLKVVIGTTLNYFLDGRINKAEVLFPGVGCFLIAACLGSLVHSSNAADNQEKLSNSRNFTGNTAKEELTRHLLEEEEPKDCEEAKPDVPRAVEKIEAGTADFLIHLEDKRSIKVLGSNTMVGLGIVTFAGVCYSLFAPAFNLATNDQWHTLRDGVPHLVVYTAFFYFSLSSFVVGLALNAWFLYRPMAGVPRSSLRAYISDWEGRELALLAGMVSGLGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTYTLLASMLFMFVVAMAVLMASSAHRKPL >cds.KYUSt_chr1.39544 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241904984:241912420:-1 gene:KYUSg_chr1.39544 transcript:KYUSt_chr1.39544 gene_biotype:protein_coding transcript_biotype:protein_coding MARQCSRGHSSCKAREGAGVTPTNITTAARHGDDGTSTASSTCARSVSEANTLTARARARRRSPNRPRRFSTRSREEAIRQERKQSEKPRGQIDRSSRGGPDQVGGEVREARRWPEHGGGHGGDAIATGVARDCDPEVEVINDGAPEGSCELVYEEPDLTGGVEGVEYEIVYGPDDTEMCFGPLIGSPNAMKFSSLLAIENCCLALKDIFMDRVPCCPLVILQKSCPLVTLQKNRLRIGYLFLHRFWTTLEGSSGDIGWLQRTQMSLYSVDGTSRFHELLHDVRNGMHYLPNTLVYLLIPGLFSNHSPLYFLTTKRFFSKMGLTCHIAKIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPVVSDILREGQIADKETRRIMELIVCRLIKGDMRALEDLTYARRKDFISRHKFPVDELPIISFHTEASTAPTVLATLSRIAQAELLPLLAPLPCFLSEYVESVFASMKLPLVMPVSAAMAIVALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHSWLVFSTLRRYRAEADATEVCEALMVMLVEIGRKKRCLIDNLPC >cds.KYUSt_chr3.2356 pep primary_assembly:MPB_Lper_Kyuss_1697:3:13434925:13435134:-1 gene:KYUSg_chr3.2356 transcript:KYUSt_chr3.2356 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMRQAASTQPADSIDATVSMPHKSRGEDGGNGGANESEQSKARPDLILARIPEKSNIRCNDVAGLD >cds.KYUSt_chr2.851 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5056076:5062232:1 gene:KYUSg_chr2.851 transcript:KYUSt_chr2.851 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALEVEDVEECRSSRWWRKSTWSWSMVKVWVEKELMHPDRTIVAEGQRPPSKGNGCGVVLRRWLVAATVSVGQSSVGAAMGSDNIGPRDVCVVGVARTPMGGFLGALSSLPATKLGSVAIEAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCSTVNKVCASGMKATMFAAQSIQLGTNDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDYAMGVCAELCAENHALTREDQDAFAIQSNERGIAARDSGAFAWEIVPIEVPVGRGKPPVLVEKDESLDKFDPVKLRKLRPSFKENAGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIKGFGDAAQAPELFTTTPALAIPKALAHAGLQSSCVDFYEINEAFAAVALANQKLLGIPSEKVNVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >cds.KYUSt_contig_257.301 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2359148:2362704:1 gene:KYUSg_contig_257.301 transcript:KYUSt_contig_257.301 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLLYIAVADHHGHGRRSTFHYTRPVLQSTLQLMGCKPRHAFKISKRVFDVTRNDFLDASKLGESTAEDGMETAKLLNTENTTNIPFELYKIQTTVVVSREEFLNVVCDALTLYKYVGPNQRADLLLACRIKERKESVTVLLCGTSGCGKSTLSSLLGNRLGITTVVSTDSIRHMMRSFVDEKQNPLLYASTYHAGDYLDPVAVSQAKEKRKLKKLAVISHSNANGDEDGSTSDEKCNEKSPDLPPRTEVTSKKQMAIEGYKAQSEMIIGSLDRLITTWEERKESLIVEGVHLSLNFVMGLMKKHPSVIPFMVYITNEEKHMERFAVRAKYMTLDPAKNRYIKYIQNIRAIQEYLCNRADKHLVPRINNTNVDRSVAAIHATVFSCLRRREAGEQFYDPHTNTAAIVDEEYRNQCAANSLSSKGMFQLIQRQGSSRNLMALLNTDGSVAKAWPVVASDSNGNINDGTGSENSLGNPMYGPLQIGKAEPVNLQFGSFGISAWPSDTGCTSHTGSADDSKADGTDTGSRYLSSCCSSPKMSDDNSKELVDEYSVFDSEEEEEGSDAGDAETNEDLTDEERDIQEMEEAGSVDEHSTKSDEEYEDLAMRESGYWSDDEQPTLMKKPPTLGMVGGDKDDGNMGLMLEMGNEGGGAEMARYAHHLMMNGVES >cds.KYUSt_chr3.1024 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5453772:5457819:-1 gene:KYUSg_chr3.1024 transcript:KYUSt_chr3.1024 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRGGARRGICRSDHFEEKYSIPENEPELSESLKDSAQGTGIVSVLIATMTFGATFALPGGYRADDHVNGGTPTLAGSDDLPPVAVGSDGDLSMTDGEADLRFLVEGELEGESEDDLHSWASFTSSDEEEDEEEEEEQEEEEEEEEEEDDSSSAGYPPAKRFRPWSESDDDDDDEEEEAPAEGWGSSDEEFPGSSADGSYDTDDEASED >cds.KYUSt_chr3.38065 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239522988:239523814:-1 gene:KYUSg_chr3.38065 transcript:KYUSt_chr3.38065 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDSTIIWRWRARLFNHPLFRSLVDSSTLAPASLELYMYPYVSAYERGMAVRGVLHPGHQDAQAQLQALIDIAAGDLICDIIATTAQLAVQVRTLDSITYDSRFGSLWTCQDL >cds.KYUSt_chr7.33903 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211762939:211768189:1 gene:KYUSg_chr7.33903 transcript:KYUSt_chr7.33903 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAHVLGMSSCMYKHVRLHPCMLQALHGHGAVDGRHRPYVVVAGTTAADCRIGNFSGLQSPPLSALSAFPCHRRLLQAALWWLANAVVRATTRFILSPHHIDGMAASAEAQLTTWSTLSSQIDSEWRGPMAATLNGGQAVRQIGGSIKFRIAAFTWILGSNKVNQPRGHDDPREGRDAVLDMDWIWDATEDIVIAGAGLAGLGVALGLHRKGVRSVVLESSPSLRTSGFAFMTWTNAFRALDALGVGDKMRSQHVQVQGVRVMSSITGEVVREIDIRVQGKCGPHEARCVQRNVLLQALEEELPRDTIRYSSKIVSIDQDTGSDAKILHLADGSTLRAKVVIGCDGINSVVANWLGLAKPCDSGRRVTRGHVKYPDGHGFQPKFMQFTGKGFRAGLVPCGETDVYWFLTWSPSCPDADGKDDVEQSAAAMKQFVLTKLTSINAPPQVLDAVERSQMNDVLVAPLRYRPPLSLLLASITKGNVCVAGDALHPTTPDLAQGACAALEDAVVLARCLGDAIVGDGSESVEAALLKYAGIRRWRSAQLIAASYMVGFVQQSDHAIVRFVRDKLLSGVLAKGLLMMPDYDCGTL >cds.KYUSt_chr2.40958 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254524887:254528946:1 gene:KYUSg_chr2.40958 transcript:KYUSt_chr2.40958 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVEFYIAKVYINMVFIDIFVNTTVAAYDTKTPPPSQNRCRRRATTKTRRRRRPVAAAALRQNSPPPQPQNRRRRRAMTKTRRRRRIGAAAAHNLKPYDKKTRNFCAFGEYGYTTYDDYDIDHISTTATSCSATSTSTSTKYLRQLKNSSQQRPRRHLRPRHSRCDCGREEKEPEGDAGDDKEEKRREPRTSPVASGTIFRALPSILYNYKRRKAADGGRKATALRFSSSDDSAAGCLHAMSPEGTGGAPQAVELPESIQGRRWADVAAEEDAAEAARAEADARFSPPGTATLGDYLVYARRGRPRRSPPRRRSEVPAQHHRRVLLPHLVLLPPAPPSHTGPRRDVPAAPLPHRVGLLPARRSPSPREHGRDGRAGGHAEGGRERETSLRHQGVVAPAARGGSPGRRAPSPAASRREAALREELVQRAPRPGRDHGRDASWREDQRSSRDSYVVPRHAEQSRRSPLRREEQSPQAAAHTAAKKKRKPKKKRAGAGGTAGGAAGGSQVGHPPMAPPRGQDLDGRADAQLAVSAPPEKSPTSNICFNCGEMGHFRSDCTSPTQCLFCGDPSHLAAACKERFNSRRRREVIEYLGHGLEGGFYYIDLGGSELSTPQHLATITVLPKQSPPLQIEVTVDTIRAELAQLESTCVWNVREISATEFAVAFPSAELLRALSWSETTILPTNNIRVSVKPSCVDPITVATLSEVWVRVHGIPEEARSEHIIELVSQPIGKLVTVDPLSLPGDGPVRMLILSPDPTKLTCTLPLFFFGKSGRSLTVELEGDEAQAGSPPPPPDPSQAHRDDDGEDDDGSSDGDSEDDLGGDGEETPQRQSPGAGAGASAAAGR >cds.KYUSt_chr3.23171 pep primary_assembly:MPB_Lper_Kyuss_1697:3:143395596:143396183:1 gene:KYUSg_chr3.23171 transcript:KYUSt_chr3.23171 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPRGTPSTGRHRIEMALRVDKNRRQVTFSKRRSGLFKKCSELALLCGADLAVIVFSEAGNVFALGSPSVDAVLRRYVPLPAAANDAGVDEDDDREALEKMCQAKEATAKQLASEIERMNLIGYKVIEAQGEKRFWWEADVDALGAAELPVFARSLERLRDNLRRHADKLPSGPPPAATAVVAYAGDAASNYLA >cds.KYUSt_chr2.36875 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227822472:227822990:-1 gene:KYUSg_chr2.36875 transcript:KYUSt_chr2.36875 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLHRPPYLGPTWPIARHRSAPPLTPPPALHPCSDLLTEALGAESLDPYDVAMDGVAAVEARDPPCKREQREPDDDADQGFVMPRRTRSGRVKFPPPISVIGKSGRPWLTLRAHREDGRLVLREMRLPSQELLQPCREDGRFKLFRHPEAGAGGRCVVASARSPTAAQD >cds.KYUSt_chr2.42686 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265849156:265850721:-1 gene:KYUSg_chr2.42686 transcript:KYUSt_chr2.42686 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRPSRMAVVAKCAEAFIREFFDQNPLSHVGLVTIKDGISHRLTDIGGSPESQIMALMGKLECSGDSSLQNALELVHGYLNQIPSYGHKEVLLLYSALNTCDPGDIMETIEKCKKSKVRCSVIGLAAEIFICKHLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKVKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVAPFDEVTFKLGQKGGQNCYGCQQSLINSASQSNLHVCCPKCKQHFCFDCDIYIHESLHNCPGCESQRSLP >cds.KYUSt_chr1.26400 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159053200:159081214:-1 gene:KYUSg_chr1.26400 transcript:KYUSt_chr1.26400 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIGSRQLTVLREFRPHGLAAEEADGGGPEARPLQDYDYFLFDPSLAASPRPAPEDEAASSSRADGDHELFIRGNQIIWSTGSRVHKRYTSPNTVIMACWCRMEAIRDALLCVLQVDTLSMYNVTGEVVSIPLPYAVSSIWPLPFGLLLQKSADGGRMVSSSIPLLNARDLNRPNKEFGLNYNVSFQSHTPEAVNKSDGAIISSHLIWKHPLEEPQATYFEERSRLSMMKDFDEKTIWTSDILPLMASYHKGKFQHSVWQVDGATYQEAMDDNAMLSVPCDRSLHKFAFRKIWQGKCSQSAASKVFLATDIDGVPIICFLLHEQKTLLAVRIQVDDSNGETFGDIKPHMSWNIPAFAAAPVVVTRPRARVGTLPFTDILVLTPENDLLLYSGKQCLCSYSLPTEFGKGIFSNYELNSEVTDLYSNVKITSIADAVEGRVNVTCSNGLMLRCSLRKNPSSSLVSDCITAMAEGLQSSFYSHFVSLLWGDNDAASLCSSSHVDSEWEFFSYEVSKACAKYEQTSSTKSSTSSSTAWGFLINSKYHAQYCKQSSILGTSFLPMSYSTNSTAFHSFLQDEHSSDAAFYIRFMKETLDTLHALYENLKLNVLRKQDLGSLASLLCRVASSLGENSSVDYYCRDFPHNLVEFQSLASAAALKTPPCLFRWFENCLNHGCHLSNLDDIPALMCKQKGSAVSWGRKVVSFYSLLLGAERKGRNLSSGVYCEVASGSARNTEELTVLAMVGENFGRQQLDLLPIGVSLALRHALDKCRESPPDDWPAPAYVLVGREDLATAKMGSLRKENGFSNNDSSTSISVPYMLHLQPVTVPTTASDAPTSEVLNSEDTDAVYRSVEDGMEHIFTSTTQLRYGRDLRLNEVRRLLCSARPVAVQTSTNPTASDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEALVFPKIILAGRLPAQQNATVNLDLSTRSVSEFNSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHEHLRVLTMTDAYRYLSQEHDITTLGLLLGLAASHRGTLHPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGRGSDAFGFMDTFLDRLFEYIGSKEVYHEKFLNATIAADDQSGNTGQMMDGTQINVDVTAPGAVIALALIFMKAESEEIAARLSIPNTHFDLQYVRPDFVMLRVIARNIVMWSRIEPSIDWIGSQIPEPIKSGVLNMSEGAIDCDEFDAEALFQAYVNIVTGACIAIGLKYAGSRNGDAQELLYNYTVHFLNEIKQVSVRSPSILPKGLLQYVDRGTLELCLHLIVLSLSLVMAGSGHLQTFRLLRYLRGRSSAEGQVNYGLQMAVSLAVGFLFLGGGTHTFSTSNSAIAALLITLYPRLPTGPNDNRCHLQAFRHLYVIATEPRRVQTVDVDTGLPVYCPLEVTVAETEYYDETYYCEVTPCLLPERSVLKNVRVCGPRYWPQVIKLKPEDKPWWRSGDKTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLLSRAMHEVCDTPSTSCGTQLNRSSLSSSRVDQLVSTFSANPSLIAFAKLCCESWKGRCNDNFQEFCSQVLYECMSKDRPALLQVYISFYTIIESMWEHLKIGHFPFFDSLFLSSLKVALAYNGALVDGRISSGGIIQSTFLESLTKRVDNIFAELPKLKASFVSYLGTGKWPDAQNDAVLLAWYLQWYSIPPPHVVASAVEKIKPRAPGGVSMLPLLRLLLPTTHLVGLMEIEKLQMPVRP >cds.KYUSt_chr3.23562 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145678161:145680375:-1 gene:KYUSg_chr3.23562 transcript:KYUSt_chr3.23562 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVTKSPPALIPPAGPTPGGSLPLSSIDKTAAVRVSVDFIQVFPADAPRDQAPLVATMREGFAKALVHYYPVAGRIAEPVQGEPVVECTGEGVWFVEADASCSLEEARNLERPLSIPKEELLPRPPAHVRLEDTVLLAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAVGEMARGLPEPSLKPIWERDAIPNPPKPPLGPPPSFTAFNFEKPVVEISLDSIKRVKDQVASETSQKCSTFDVVTAMIFKCRALAIGFAPDAEVRLGFAAGTRHLLNDKLSSVEGYYGNCVYPGGLTKTSQEVKEASLVEIVTAIREAKDALSTRFLDWMSGGAKENHYNVSLDYGTLIVTDWSHVGFNEVDYGFGEPGYVFTMNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEAQHSAVFSEELQKLA >cds.KYUSt_chr3.36225 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227780617:227783311:-1 gene:KYUSg_chr3.36225 transcript:KYUSt_chr3.36225 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSKPFDLHQITSSFIFLQLTDDELRRILPPWRPDGFTERVNAILDAFGYPLPSFADVGMHLKWDFEKAFGADVRSEPTRRVTSKMSRSVVALASFLRVPTNDSSGNYKKGARKFACTGVFVECNESTTRILTSASLVRSSGDGTIQREWKIEVCLPNKQREEGTLQYYDLQYNVAVVSIKRSAYSYSTAKLDETSQAEVGAQVGIGGPLVDFDGNFVGMNFYDTEQTPYLSRVRILELMAGFNAEWTAPVETPGKFPSWPVPDPEWFYPSRYPAKPRIAKFRVQFNTNYEGDEVDLVDVDGGDDGANGDASPQAKEEW >cds.KYUSt_chr1.42185 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258334574:258338413:1 gene:KYUSg_chr1.42185 transcript:KYUSt_chr1.42185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Carboxy-lyase [Source: Projected from Oryza sativa (Os05g0591600)] MSLAAAAVAVEPPAFGPVEVGAVDSPPPPEPSRGVHGDVDAAEDGGAGASERRASRFRRICVYCGSAKGRKASYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGIIPKSLMPREVTGDPVGEVRAVSGMHERKAEMARYADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDLAVSEGFITEEARQIIISAPTVKELVMKLEDYVPEYNIGLVWEDQSQKPGNSLVPELETAITSS >cds.KYUSt_chr7.32824 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204566715:204568292:-1 gene:KYUSg_chr7.32824 transcript:KYUSt_chr7.32824 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSHLKAAAGGDAGREEASKALAELASLLTLMQDVHLSTDDGQRTMIGGAMFSTRASYNALQEEGNDDVTTHIWDSRVPGRVKIFGWLFHLNRLNTRLNLHRKTIIDSPNCPRCPYTIEHRHRMFFTRPTERMVWQRAYLDQHLLSNPQT >cds.KYUSt_chr4.3538 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20125941:20128666:1 gene:KYUSg_chr4.3538 transcript:KYUSt_chr4.3538 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKQDVKLGLKREKVEATKMEANSGMMKAMNEASHNALAKMTQQAKILIADMFDGKVVIVTGGASGIGEAMARLFAASGATVVIADIQDALGEALAASIGAPCTYARCDVTDEAQVEATVGAAVAAHGRLDVMLSNAGILLLTGSVTDMDLSALDRVMAVNFRGAAACVKHAARAMVAAGTRGAIVCTGSVACVQGGYGPASYTASKHALLGLVRSAAGELGRHGVRVNLVSPGGVATPLSLTLAGVGAEEMEAMTEAGSMLRGKVLRAADVAEAALFLASDQAGYVSGHNFVVDGAATVAIPSVLESIGL >cds.KYUSt_chr1.35758 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217918337:217919074:1 gene:KYUSg_chr1.35758 transcript:KYUSt_chr1.35758 gene_biotype:protein_coding transcript_biotype:protein_coding MEALISAVLGDIVSRAISVVVEKCREQTNANEETTIEDNLQRLHQLLLRISAVVEEAEGRCIKNQGMIRQVSMMIKQMFRGYYLLDSFKCRNNKTDDEEVSLSSFAQSKFNPAKRFRRLSSNTQIESMEIGRDNSKKLKQAVLVLESMVADMKEFAIFLMSYTRMYRQPYSSYLFLDKCMFGRQMEREQAISFLLQDEPLVGGNLGVLPIVGPRLIGKSTLVEHVYDDERVRNHFSLILRYRPYA >cds.KYUSt_chr6.4000 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23124069:23131991:-1 gene:KYUSg_chr6.4000 transcript:KYUSt_chr6.4000 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDKKTFGDRAVQAKPPELEERLTRSRKKKERDSASAPGAGDGDADNLPRKRRRRSAAAREESVLSLADDVVYKPQTKETRAAYEAMLSVIQQQFGGQPMDVLGGAADEVLTILKNDKIKNPDKKKEIDKLLNPIPTQMFEQFVSIGKLITDFHDASDPASAPSGDGVDATMDDDIGVAVEFEEDDDDEESDFDQVQDELDEDDDDVAELNRPGGMQMGGELDDDDMQNSNEGLNVNVQDIDAYWLQRKITQAYADIDPQQSQKLAEEILKIIAEGDDRDVENRLVMELDYEKFDLIKLVLRNRFKIVWCTRLARAEDQEERKKIEEEMMGNPSLVPILEQLHATRASAKERQKNLEKSIRDEAKRLLNNDSAGADGPRERRAVDRDMESGWLKGQRQLLDLDNLSFHQGGLLMANKKCELPDGSFRTPHKGYEEVHVPALKPRPYGTNEKIVKISDIPAWAQPAFAGMQQLNRVQSKVYGTALFKPDNILLCAPTGAGKTNVAVLTILHQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSKRLQDFGLTVRELSGDQNLTKQQIDETQVIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVSRTVRQIETTKEHIRLVGLSATLPNYEDVAVFLRVRSEGLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTARAIRDTALANDTLTRFLKDESASQEILGSQAELVKSSDLKDLLPYGFAIHHAGMGRVDREMVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADIMETDKTLDERRADLVHSAANLLDKNNLVKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLGSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMIDKQMWSVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRFPKMGKQLHRCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKVHGYVEAFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLHFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNARYEGLYSAFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQKAVSGETNMRVVYIAPIEALAKERFRDWSKKFGEFARVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQQVSLFIVDELHLIGSEKGHVLEVVVSRMRRISSHIGSNIRIVALSASLGNAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMAKPTYTAITQHAKSGKPALVFVPTRKHARLTALDLCAYSSAEAGGTPFLLGSTDEMDTFIGGVNEETLQNTLRCGVGYLHEGLSDLDQELVTQLFLGGRIQVCVASSTMCWGRSLPAHLVVVMGTQYYDGRESAHTDYPITDLLQMMGHASRPLQDSSGKCVILCHAPRKEYYKKFLFEAFPVESHLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLNKNPNYYNLQGVSHRHLSDHLSELIETVLNDLESSKCVSVEEDMYLKPLNLGLIAAYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPTRPGEEEYIERLVRHQRFSIDKPKYGDPHVKANALLQSHFARHTVVGNLAADQREILLSAHRLLQAMVDVISSSGWLTLALNAMELSQMVTQGMWDRDSVLLQLPHFTKDLARRCMENKEKPIESIFDLAEMSADEMRDLLQLSNSQLQDIGEFFKRFPNVDMAYEVREGDDIRAGDNVTLQVTLERDISNLPSSEVGPVHAPRFPKPKEEGWWLVVGDASGSTKQLLAIKRVALQKRARVKLEFTAAAEPGRKDYMIYLMSDSYLGCDQEYEFTVDVKDAGAD >cds.KYUSt_chr6.30556 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193563580:193570522:1 gene:KYUSg_chr6.30556 transcript:KYUSt_chr6.30556 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKNAGQIELRRPLGAIPSKSRTGSLQKQNEASRPALPSLVTRATTDPGGVAQMARWDEILTLPVQNPTTLEFSAADITWSMVVGWKDSMDRLALIPFSRVDDFVRGESNNKECQTRFHKEAHRTRQPTMNCKPKVDGILEYILYWCSFGPDDYRSGGAVRPSRASCGKKKKTPAGRPNTKRGCVCHFIVKRLIAEPSLALVIYNHNKHVDKKGSPCHGPMDKMAIGTKAMFAPYISDELRVEVMSLLYVGIPVETIMQRHTEMVERQGGPSNRDDLLTHRYVRSLERKMRRFGYELDDDDAVSINMLVENHQDYIFLFEDFTDKDSFVLGIQTDWQLQQMIQYGNHSLLASDSKFGTNKLKYPVHSILVFDQKKNAIPVAWIITPNFTHREMYGWMGALYDRVRTKDPTWQLGGFIIDDPLTDVRTIREVFHCPVLITIWRIRHAWHKNLLDKCSDFEKRSMMAKRLGNVISSICRGNGGMELFEAFLKDFVDCFSFLDYFKALWFPRLGAWTTVLKSTPLATAEVASAIESYHHLLKVRLLNEADESIYQRADWLVHKLGTKVHSYYWLDGFSGKDTFSRYWRSEWKNGPNQWQQGLQIPDSDIVIEDNCAKVVCQKDKERFHAIVNPGDWHHHPWLYFSITRHWQMLFIAYPVTLWIPNPPVSPELKMVHVQVDLWLARMTAMKFPPMKTVVRTCMFARKESLVERRMKMKLLHLRKSCSLLIALQERECKEASDGDEGTTDMQASDGDEGTTDMQASDGDEGTAEMQASDGDEETAVIQIMQPSGTDGSQATPELNDSSDEVRSADRIGWT >cds.KYUSt_chr6.29531 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187167359:187170295:-1 gene:KYUSg_chr6.29531 transcript:KYUSt_chr6.29531 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVLFIMQLASHTILEDEATQMVPNCDHEQVPDSQEVVSQSNTTGSSKEYLVSCEIKQVIVENDNHDIDDNEETQLVIQDLSQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGSAFSHCTECRAAFVLRANVPPDRWWSTLKFQLLVARDHTLIFFIVQLVVIFLGMLVYRFYGDELREMFGYEEHPYVFYALAILANILVGLLYGFFIAIICGQRITDRHYHVLAKQELTKEYVVEDLEGADLVPDLDPSHVTELRTLGLY >cds.KYUSt_chr3.1052 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5606109:5608909:1 gene:KYUSg_chr3.1052 transcript:KYUSt_chr3.1052 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEVINLWWKRISDVMFNVDDVIDLFMVHSNMRHISSPCCSMFSCFTKLLDDHRVGIRIKSINLELDDISRKVNMYTLGRPRSPQQQITTVDTAPIVEPGIVGTAITRDVDSMVQDIVVGVFNKNEPSVFGIEGTFIWSIECMCPCHHKKPGCFASNDATHTHQVNRMSEDDGLRLLMKNAFQPYDGTFQELGRAIVGRCDGLPLAIKVVAGVLSTRRTLGEWEMVRDSEWYVEGLPPGVGGPLYVSYRNLPDELKQCFLWCALLPPNLGIHRDAVSYWWVAEGFVRKERGRSIHKTAEGYYYELIKRNLLQPKPEFVDQGVSTMHDVLRSLGQHLIKDHSLYMKVENDLSTFMNDENSVARPNLCRLWISADVEELPALEEHKVLRTLLVFYNQNFRSVRDDIFRMLQRIRVLIFSGTSIQNIPESVGNLVLLKLLDLSHTEIKELPESTGRLISLECLCLRGCHQLHSLPASLMRLWNISFLELEDTALDHVPKGIEKFKKLYNLRGVFESETGFRLDELQCLPNIHRLWVTKLEQATPWGQKVLENSHHLIELGLRCTMGVSTNDRTLYTDDKIQIIQKVYEKLKPSRSLEYIFIVGFPGIKFPEWLWSEPEHNMPNLRHMHLNECISCSELPPAGHMPQLLVLQIKGADAVESIGTELLGKGVGSPAVFFPELELLHIIGMCNLQNWSLDTGNACDIMEDNFKKYMMPKLQRLLLLDYPRLRALPPDFLINLTRIHIEGAHELQEVVNLPAVVWLKVKNNTCLRKIANLGNLQDLFAQDCPALDQANNLWSLRRVYMIDCARAQEFRDCLPGEDQGALFHVAADGRNIFPDETLYT >cds.KYUSt_chr1.37666 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229944737:229946659:-1 gene:KYUSg_chr1.37666 transcript:KYUSt_chr1.37666 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRWSRFSPSFPRSGGDAGEAVEVRCSWSAHRGGDDDRLLELLSLVPSFVDAGWALAPSLRVWGWCGVIPAAVVGGCCRLYRSSVHADLRGRVAAEVVLRHVVVGSGCSGSDRKWFELGVCRRPMFLQCVADPLLRNWWLLRLVKAFWRGVPPLPGFVIDVFFAGVRAGGVAGPWREVEDGVGPQAEARNAGGLAGATLHRPPHRSEGAQKRSARRWTNWGLTPPGKLARYANSSEGSSSAASGCALWWPASDSSDDEVPEEEVPVRTLQLQSGDFVLNDDEEAKAVQQVVIISAAEARALPP >cds.KYUSt_chr2.52283 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326133290:326136598:-1 gene:KYUSg_chr2.52283 transcript:KYUSt_chr2.52283 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRWPRKMDYTGDSAAGDVVVVMAISWGHRVARSGRRELLVLAAPLRGLHDVVNDLYVKHGSVFMAGLFGPNVTFLIGPEVTAHFFQGLESEISHGKMFVFAVHMFGNAVGLGRDIATQNEQRRFNSEALKMSRMRSHVSPMLDEVELPIPSNRRRDRARIRLTKILSDVIESRKISGRVEEDTLQKLIDSKYKDGSSTTVEEVAGMAMAMLFVGKHSSSITSTWTGARLLSHPTFLKAAIEEQIQIAKKYKDGLDNNAFMEMETLHNCIKEVLRMHPPMGVLVRKAHKKFTVQTKEGKTYEIPQGQIVATPTVVSNHIPYIYKDPQVYDPDRFGPERQEDKVGGKFSYTSFSGGRHVCTGEAFAYLQIKVIWSHLLRNFEFELISPFPKTDWSKFLAEPKGNLFVRYKRTSFPQ >cds.KYUSt_chr7.38289 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238734291:238739414:1 gene:KYUSg_chr7.38289 transcript:KYUSt_chr7.38289 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPNGAAAASSAAAGAAPPVDKEVDFANYFCTYAYLYHQKEMLCDRVRMDAYHSAVFRNPHHFRGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNVAEHARELVRANGVADIVEVIQGTMEDIVLPEKVDVIISEWMGYYLLRESMFDSVICARDRWLNPGGVMYPSHARMWLAPIQSGLGDKKMEDFDIAMNDWNSFVEDTQSYYGVNMNTLTKAYRAEHEKFYLKSSIWNNLHPNQVLGQPAVIKEMDCLTATIEEIREVRAQVSLPINRDRTRVAALAGWFDVHFRGSKQNPAAEEVELNTAPDENGGTHWGQQTEKDHISSVASLATVKTIRFGPAKLQPLPSPPTIVPSSPFHAAGLMLLQMRGLPAAPSRPHAHPHVSAAPPPALRGLRRRLLSRRLSKVVSYYGLTTPPYKTDALEPYMSKRVVELHWGKHQQDYVDGLNKQLATSPFYGHTLEDMVKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPGGGGSPEAGVLQQIEKDFGSFANFREEFMLSALSLLGSGWVWLVLKRNERKLSVVNTRNAINPLAFGDIPIISLDLWEHAYYLDYKDDRRAYVSNFMDHLVSWHTVTLRMMRAEAFVNLGEPNIPVA >cds.KYUSt_chr4.42784 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264990899:264992464:-1 gene:KYUSg_chr4.42784 transcript:KYUSt_chr4.42784 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTPFLLLLPLFLLGAGLVLRARRLDNKVAAQHTPGLRPYPLLGHLPQFLANRHRVMDWITEVLARQPTCTLVFRRPGAAPGVITANPANVEHILRANFKNYPKGPSFSSTLHDFLGRGILNADGEAWRAQRKAASHEFSTRSLRAFVAQSVHSELHGRLIPLLRRAVSSGQPIDLQDTLERFAFDNICRVAFDHDPHQLPDDDEVGDDASPEDESTASGRFADAFRDAANLSAGRFRYAVPGFWKIKRALNLGSERRLRESVAMVHGYADRIIRSRRQEMGTGCEKHDLLSRFMASQGDSCTDAVLRDVVISVLLAGRETTSSALTWFFWQLSSRPDVERRVRDEVAAVRARRAQGDRGNAGFGLDELREMHYLHAAITESMRLCTPVPVNRVYAQAADVLPDGTAVGEGWFVTYNSYAMGRMESVWGEDARAYRPERWLDPTEGTFRPVSPFQFSVFHAGPRICLGKEMAYIQMKSIVACVLEEFKLEVDDMYRPRQVPSITLRMADGLPVTVKARGD >cds.KYUSt_chr1.11975 pep primary_assembly:MPB_Lper_Kyuss_1697:1:73947003:73950133:1 gene:KYUSg_chr1.11975 transcript:KYUSt_chr1.11975 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVHSRSKFSFGFMLVFGLMPLLFFPFGWLPVTHSDAGARLLPSCTLCPWWISCRDGDGQGRFNKFAGMVFFFLIWSCAWVFLLLAGHGGEGVEKLRVLSVGAGGRRGGQLVLQSGDYYTVASFAAVICGRCGGISTRQVSTLLQPPAWKPPSKTLVGVHHLLVLKWILQFQEEIVIGRGKEAVKFLRRDFSVFFKGFYVWRENKRSRVSEINKSHFTLYSDKYLFELGDLEQASSFDLCQLVYNHLIVGVTKYLEFIKAKGSKPKLFEFCSYALAVYYMDCLDFGVHITGSGVPKEVPM >cds.KYUSt_chr4.34450 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211524049:211530322:1 gene:KYUSg_chr4.34450 transcript:KYUSt_chr4.34450 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCGRYLSSAAATTLSLSPHRNLTSSFLRRHPLRFLTSAAAATAVQPDTRGGGGGGGAGGGATTPKWKAAIDFKWIRDNRDAVATNILNRNSVANLDVVLQLYDQYLALQKVRGLPVIATAALRIPFLDCIALERVASMAMRMILLGIELLWQEVEVLRAERNAVANKMKGKLDPSVRQALVDEGKNLKESLIVLEENLVQLTDKLQLEAQSIPNTTHPDVPVGGEENSVVRKEVGSQRNFSFTIKDHVQLGKDLDLFDFDAASEVSGSKFYYLKNEAVLLEMALVSWAIAEVSKKGFTPLITPEIVRSSVVERCGFQPRAQNTQVYSIDGTDQCLIGTAEIPVGGIHMNSILPDSDLPIKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFVLCRPEESDKYHEELITIEEDLYASLGLHFKTLDMATGDLGAPAHRKYDIEAWMPGLDKYGEISSASNCTDYQSRRLGIRFRPAPLELPPATSAKKGKGGSSGPTQFVHTLNATAVAVPRLIISILENFQQEDSTVVIPEPLRPFMGGLGVLSPKTK >cds.KYUSt_chr5.9537 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60651952:60656539:-1 gene:KYUSg_chr5.9537 transcript:KYUSt_chr5.9537 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPPPPPRPRLSLPPRSNAESLFSGTGDASPGPLTLASALFPSDADASGGAGGDSGSGASSGAGPTSFTQLLIGSLSQPPPNQQPQERGRGGGVARPGPALSVAPPPGANVFTVPPGLSPSGLFDSPGLIFSPAMGGFGMSHQQALAQVTAQASHSPLRRFDHIEQPSFSAAAASSGALQHMSSAPNMEVTISNNDNAVFQSAEASHRYQVPAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHANCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRPKDGSSSAAEQNEQSNDTASGLSGVRRDQEAVYGMSEQLSGLSEGDDKDDRDDGESRPNEVDDREGDCKRRNIQISSQKTLTESKIIVETTSEVDLLDDGYRWRKYGQKVVKGNPHPRKHIERASSDPKAVITTYEGKHNHEPPVGRGNNQNGGNSASSNRSQQKGPNSMSINQGSLTRTDLSNSNQRQVGVLQFKREE >cds.KYUSt_chr7.31894 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198541342:198547432:1 gene:KYUSg_chr7.31894 transcript:KYUSt_chr7.31894 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRPGSKRTTKEEEEEEEYEVDVVPDRLESSRQSRLALFGSELRLDRFRPRRRRRRIPAVDGEGGFFHDLIILPDNRLYMLWTKFILVWAVYSSFFTPFEFGFFRGLPDRLFILDIVGQIAFLIDIVLQFFVAYRDPDTYRIVLNPTSIALRYCKSSFIFDLLGCFPWDIIYKACGSKEEVRYLLWIRLTRSLKVVEFFRDLEKDIRVNYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLKLGEYSYENFRELDLVKLYMTSLYFAIVTMATVGYGDIHAVNVREMIFVMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKEIREQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYKPYIDSTPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQIYFVCHGALEGVGIGEDGQEETILMLEPDSSFGEIAILCNIPQPYSVRVCELCRLLRLDKQSFTNILEIYFVDGRKILSNLTENNEYGGRVKQLESDITFHIGKQEAELTLRVNSAAFYGDLHQLKGLIRAGADPKNTDYDGRSPLHLAASKGYEDVAQFLIHEGADIDLIDKFGNTPLLEAVKQGHDRVASLLFSKGAKLNLENSGSHLCMAVSKGDSDFVRRALAYGADSDSKDYDDRTPLHIAAAEGLYMMAKMLVDAGASVFTTDRWGTTPLDEGRKSGSKPLIMLLEQAKAHELSKFPARGEEVRDKMHPRRCSVFPNHPWDADANRKEGVALWIPHTIDGLIRAAQEKLSLSSSCQRLFGEDGARVHDVDMVHDGQKLYLVGGDAEGQSK >cds.KYUSt_scaffold_6468.310 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1485907:1489394:-1 gene:KYUSg_scaffold_6468.310 transcript:KYUSt_scaffold_6468.310 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPNSFYVMVVPTARARREKRNSTAFIADLSRCTNMTDLVSTRSFRYLIVIDDVWTISAWEVIQSKFPRNNNGSRIIVTTRIDTVAKACSPGSDCIHQIKPLDEKDSEKLFLSRAFGSKDASCPKELAAEMGKLLKKCAGLPMAIVSIASLLASYQSPDSKGMWERICRSIGSEMESNPTLEGMRQIVTLSYNHLPHHLKLCMMYLSIFPEDYVVFKDRLLHRWIAEGLVEEKRGLTLLEVAEGYYKELMSRNLIDPAPFASNDDDGGVETCKVHDMILEVMVSKSLEANFVSLVGGQYIGMSYDKIRRLSIHGIEDEAKDLSPPPKKMAAGRHGRRNVMEGMNLKHVRSFSMFELKGHKLLDRLDEFTLLRVLDLEGCKGLRNKHLRDICRMYLLKFLSMRGTDITVIPPSVGDLEHLQMLDARGTYVKELPETVTNLEKLERLLFSTKGFWSPTWQPPSGISRMKALREVNNIVIRDNIQVAKEIGDLEGLQGIVVYVNGRSKVHEEVRKHLAASLCRTNALRWLNVGDTSTSFDNHLDYLMDLPSPPQLLRYLRFDGGFSRLPNWVGSLSYLVEFCMSYGLLEGDQPFAVLCKAPNLRIIRLQKYFYRGDQLVARTAHNFPALKEMRVSCITQFPSIFSFEEGSMTELETLEVEFYDKQKSIEGVEHLKKLKEVQLRGFKHNPAIESALKLLKEDSDRRSKDNNQFVVGVKYWGS >cds.KYUSt_chr6.3813 pep primary_assembly:MPB_Lper_Kyuss_1697:6:21893094:21895168:1 gene:KYUSg_chr6.3813 transcript:KYUSt_chr6.3813 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGSMEVSRGSLSLVFSCGTGGWTSSCDVKSPRWGMESYTTPAKPPCNKHCGLQRCALDILDIDLAGRGGEVEDEDGDDGVIFAFRRWEVTFLSRSKATPWPIQLPAMDSGKSTSFARSFLRFAVAYYGCIEASGFVPASLHDGGVADFWLGGGEREGPDCILSSLRILFAPKRSKLWWVIGKQNLKGLLLLAPQSNHEELKVLDSVSN >cds.KYUSt_chr4.24315 pep primary_assembly:MPB_Lper_Kyuss_1697:4:153136527:153142906:-1 gene:KYUSg_chr4.24315 transcript:KYUSt_chr4.24315 gene_biotype:protein_coding transcript_biotype:protein_coding MWIWCVTFLIKVLMYTGSTTVALLLSILLSEKKHKIALGGTGYTALFIATAMCSLKCVKLLLEAGADVDGACKETPLMIAATAGSTDILKCLVLAGADANIPNSLGRASIEIAARSGRRENVEILFPVTSQIPSVRDWSVDGIITHVKSVRPVKKVMLASAKFRAHEAFKNGNYYAAAHIYREAIELDPGDATLLSNRSLCWLRYGDARLALKDAQALRMMRPGCPKACYRQGTALMLLKEYEKACGAFLDGLKLDPGNVEIEDGLRYPPCPFLDTFKSSEQ >cds.KYUSt_chr1.31095 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188511290:188511817:1 gene:KYUSg_chr1.31095 transcript:KYUSt_chr1.31095 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASATGARKGGIKAYRKLRGYDRLDAADAQRRPPLATAELGAGGTGAVAATATASRRRGWRVRRRGLGLGRRILRALSPRRWLVRLRDAYVNAMLRLASSAAVGYGSAPYCVAGADPFARPRPPKEYEDKVLVEMYRSILARGGVIPISADTSLVIGAARLPAPAPAPAAAVA >cds.KYUSt_scaffold_869.2128 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:13133472:13135865:-1 gene:KYUSg_scaffold_869.2128 transcript:KYUSt_scaffold_869.2128 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVALVASLLFSVSSAFPWGGQLDPHFYDHSCPQAQQIVASIVGKAHYREPRMAASLLRLHFHDCFVKGCDASILLDSSASVTSEKRSIPNKDSARGFEVVDEIKAALEAACPRTVSCADVLALAARDSTVVTGGPGWIVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKLQRLDIVDLVALLGSHTIGNARCTSFRQRLYNQTGNGLPDFTLDPAAAAILRPGCPRSGGDQNLFFLDHVTPFKFDNQYYKNLLLRQGLLSSDEVLLTGSPATAGLVKLYASNQAIFFQSTSRGPWSRWATSRRSPAERARSGATAGGSTATDEGSCRALVQWSA >cds.KYUSt_chr1.29525 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178713940:178720526:-1 gene:KYUSg_chr1.29525 transcript:KYUSt_chr1.29525 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSALRPSLRVPTRIPSQVPPSLLSSKFPTHPKSLPTPFALSLAVAVSIMSLAAESPSPSLSSPSDEDDDFADLLAAELELELSSVADSAPHADPSASPASDHDEEGEDDVVVEVDAVEQGSSKRRRVGEQHQDRTTAMMLDEDATGSFKDDQVKICPPHPGFFGGLCFRCGKSQDEEDGSGVAFGYVHKGLRLGTSEMDRLRGSEVKNLLRDRKLVLILDLDHTLINSTRLHDISATEMDLGIQTAASKAKLLDPGNVYFGSKVISNSDCTQRHQKGLDVVLGAESTAVILDDTEYVWQKHKENLILMERYHYFAASLRQFGFTDRSLSELMQDERESDGALATILDVLKRIHTIFFDSGVETALSSRDVIKRVRQEVLQGCKLVFTRVFRSDCRAQDQIIWKMAEQLGAVCCSEVDSTVTHVVALHSGTDKARWAVKNKKFLLHPRWIEACNYRWQRLPEEGFPVPKSKEKGKEKPVVVGPLELVVLLLLLLRLLRLGLLLLLLNSRLQARHQRVGLHRQRIRPPPPCRQRRLPLLTLLRRLLLLALHRLPPPTLDRLPPFMVVRLPLLAPAPTFISPLLANLLRPVPPRSSAVTASNAAMVSAGASSSHVAFSSLRGLPLLPPPPHAADRPAARLPPPLPPPFTPNLRPAPPAALPGRRRRCRTANPVVEVVVEERWRELAIDGV >cds.KYUSt_chr5.6351 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39297639:39299533:1 gene:KYUSg_chr5.6351 transcript:KYUSt_chr5.6351 gene_biotype:protein_coding transcript_biotype:protein_coding MRILDRLVFLHLSGIAAVVDLPWSRIASSGLRGRASSTRRNEMFFINVGHPTSHCRNNSRTSCPLEASPMLSPVNGKISFPPSIHPCHQRRREEAHIQLPSPIGGRAGPKPKVLIVHVRPSENPNGDEATVYEKEGSTSPGLYDSWQAAGSTPEGDEATVYEEGIFELRVYPALATSGRRIAMSRASRSK >cds.KYUSt_chr4.35136 pep primary_assembly:MPB_Lper_Kyuss_1697:4:215838630:215844849:1 gene:KYUSg_chr4.35136 transcript:KYUSt_chr4.35136 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKALPRPCTGAGAHVQCRRGAPAASPWSVGVAGGAMKGGAGGRLVAAAAGERSDAVQAAAVAGGKHHVSGSAVAGMDKLVTSTVGKSTNILWHDCPIGQPERQKLLNQKGCVVWITGLSGSGKSTLACALSRELYSRGHLSYILDGDNLRHGLNRDLSFEAKDRAENIRRVGEVAKLFADAGLICIASLISPYRSDRSGCRSLLQNSSFIEVFLNVPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSDCTVLRAETIFRVKTLHSLLEGVAFEESGVQVLSWWWFTSGKIHEKESCVLKLRDTSLITPGALLSPPLLSSPTSVLESQPTATGLGVAGRRWTRRGAGFRVVLFRCRSSVFWRDARFWRDAFLRLDAGRFSPDLGSWIRRRLVVLRLVVVLQWMEPAAVRGAATSSSAIKASGCARLLALQLLPPSSSTGRGGEGRSGVCVLGVVVCWRRGSVCPVLWRGAGHLRTGASGELLRWKKKELQVSILPFLKNKRLHRPFSCSALLAFVLFFPAGHGGEGEGGDAAVAAWMRKVHLLLLCANHMVDMIVAMIRGQEDRRSCRRFNDASSTSNSEALDGVLGRRFSPPSHQVVRPRLLVAGGRMRDSDEFVVGGEGSVLDCFSVFLAGLFC >cds.KYUSt_chr2.8061 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50705055:50708492:-1 gene:KYUSg_chr2.8061 transcript:KYUSt_chr2.8061 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAYHCSIALQALTVFYVIGVPVADVQAGYLCPRFSCGDLQDVQDPFRRPGDPPECGVEAYELVCTNSKARIDINTGTYFVTEINYTDSSFWVVDANMEMNSSCPLPRSDQFPYLDYGPYDLVTNTDSWACFANCSRAVTSNSQYKSIACLSAKNSHVYLWYSGYCSFQDLQSSCGYLAMIPFGDNWDSSYRKQLWNASYEDIMGFIRKGFSVKFPMDIKVQEHPCSEGINLCLKNSTRLVLAPLAVLIFLTYKYWKTRITIDAVEKFLQMQQMLGPASVHVAVKMLEVNSNCNGDDFISEVSTIGRIHHVNVVHLLGFCSEELRRALVYEYMPGGSLDKYIFSAEKSFSWDKLNEIALGIAQGINYLHQGCEMQILHFDIKPHNILLDSNLVPKVADFGLAKLYPRGGSFVPMSALRGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRKNADPSTTNSSQAYYPSWVYDRLTVPEGYEISPVADMHELEKKLCIVGLWCIQMRSQDRPMMSAVIEMLEGSVDSLQMPSRPFFCDEEHIHIEDSYHLSSEVTAISEEDE >cds.KYUSt_chr3.38523 pep primary_assembly:MPB_Lper_Kyuss_1697:3:242621551:242622028:1 gene:KYUSg_chr3.38523 transcript:KYUSt_chr3.38523 gene_biotype:protein_coding transcript_biotype:protein_coding MARPIIGSLSSIVLVLILAWSIGTIAVRGYNTRKWTVGGDKGWSFGVAGWENDKPIQAGDMLVFKYKPGAHNVVEVDVAGYMECKAPDGAKVYSTGNDSFEMPGGKAYYICSIPGHCEKGMRIGIPPR >cds.KYUSt_chr3.33216 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208476893:208485106:1 gene:KYUSg_chr3.33216 transcript:KYUSt_chr3.33216 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYLHSLASSPSLDQVPFHPSSPITIPQICVAGSICDAVQFLQVPYYLGEMTEQVEQEDRIPVLKASQDHLKEDGDEGGANAEDDEEEEEDHEEVIIYVSSDDKDPQEDEPPAMDAHPKKRRCQKSTDYIKRFKF >cds.KYUSt_chr6.15482 pep primary_assembly:MPB_Lper_Kyuss_1697:6:97226078:97235616:1 gene:KYUSg_chr6.15482 transcript:KYUSt_chr6.15482 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCFLATDYFSPSPAAASSPDQALSLAALRFPPLPVPSLPPDPQFRFPIPFPAVADLPAASIPGDDLDSLPVSSALSAFLAAVIPQVRPAPTIPAPAADDDEGLLDDYIYGRGVYGSKGFSARASAASKTPDGWDLLKELQFEVAEVDLPQMLEEHVASSVGDESSGGGVTVRFRVPDVKIRLDIIQTDTEMTLRYPTELADSIYQVEKIPVKHNDEADHFSATNVNLSEIAAFDCGAKIPQLEVCRNSWELDECPTKAEISSIFHNLVEHLSEAQVQHSAFKSTEFSRPIDIDMLALVAKDAPSADYQVDEPTTVRDAVEMDLVRINGNILVDRNSALYPLKPDGTCSDLPCSMLLEEVQIIDFPSDDVSKTLVQSETAELSTSDEIFKDDFDPARRFYESVVSSELVLVDDAFISLPTPILTDDDMTLRSMIPSMGEVLGSLKPHSLSSADGIYLDWHLLLESPCNREICSTYASMVEEVKSCHSNSELQVSCQETSAPSFDFLEYFWRSAKNQDEDKQKNIYVPAHDDPPAVVETAQKYRQEFDTGGHSHMEKLSSKKPASLFESKSQSNDLNFYLNVRSGTKRGANEYSVSTLDIPTLKEQAAPSSTMPKVEKLIEIHPVSLSDSIRVLIKHIHISYTSALQESASLRHTFSDGHGLSISKQKLLGLITGEGSDGFYNHCKYEDKMELMVLYGLKQVAYYLCFFGLHAAHMYISNLIGSFENIPERLRHVHCLIGEARWKAEKHQFDSHPSLFDIEKILRSNTRTNQKILIVADRAFWVPLGHKLTSLKMTFGELGKDPDAAYLDQVNKANSKTSVLGGLAKSDCILLDNKDIPASFPFSEFGIVLEYGGPNKSSTLLSLAPKLDGFPPLHFLYVKVDTEDSSIALVEDNPTEQDLKATLDTVLHTLQKDLQEKMNKMRIVDSLNFIPATNPLQGLQENLVKHLTADSTISFPADDQQLKLENLEKKNTADAPNFVPVAEQRPQEEILSKRDILDPQNFVPAVDKSSSTSSVSANVMKAPQDNLSATDLPSSVKVGSILSGRLSTPEAVIVVNTGSHGKSMLFSRRSSYQQILSLEKGGMQVVERDIDLPVDLILSASDCLVFEGEPHSLAAVMESSDSLYAAAASLVMNLQLFFSHTPKSTDEIILSCIRKVARLNRAPLDIPESESLAESFLTKFPSINPLSAYIILSSGGSLVEFLSWSHERRIQAVGEHLLSPQSISLFSALCKFGELGESRSVMTECSSVDSDICSALLQSPRKRRKCAPQAFAVPTSDPLCLDPLNQLPGDYVEHDNVFSPPKLRRFSDMEDATPHLREAFMFDQSLSRGGEGVSCLPRKHGVDAMIHSQIMGDPFSNELSRDTRTYDRRASGMVDTHDFSWQPEFRGKEPMKSSYPASEPSFSRTYSHPVFPTALEINDDTGDWDFSAGWEIDYLRQMNENRKARQERSSGMMSNSRMRDGASEIRSPPSIESFRYRGDRDTPSRGRSLTIGTRYRGDRDTPSRDRSPPAGTHRYGKAREGTKAHTQGARKYFKVQPSASQERRIQPSIDPSWTPVDKRARQKLSFATDGKEKQSKLIWRNQNSPGIGCGFRKRYREEAKIIDMHPGGYNHRPNTAPYGAYGYRNGSNAPPYRHHPPPPQQQQQQLTPQEKEEILLTAGRFAAEYLVSTGELPARVLHNRPPPPLPFQQQRRPAPPFLHERPLATQRFQHRPPAPAPRQFQQQRPPFAPRPFQGQGRPIAKRPRPPFHGRPPFFPSAGARGSAAPAAQKGRPGQGAEAPAATKGGLSDAPAGDGSSGQPVAPPSGDAGTAQSQNTQTMDHQANQG >cds.KYUSt_chr5.13309 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86816521:86816994:1 gene:KYUSg_chr5.13309 transcript:KYUSt_chr5.13309 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAALAAARDSPSPHQYRGRLRSPLGNGGGKGNFELRHWRTPLKRAPSCPPPGIEIPIFGDDGDVGNGYTSLRDILSSPRGRGSPAAACGIGGGASCGDIHMIRHPLVKHAAYAYLQMTPSAREDPARRTRSWRGRGPLCRLLHGCLSFIGAFFRP >cds.KYUSt_chr1.8253 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50817066:50819777:-1 gene:KYUSg_chr1.8253 transcript:KYUSt_chr1.8253 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRHSYGRGGRSAAAAVLLVLCLCVTGVFLLLLHGSSPPLEAEEGKKEAAAVRSREEALVVQAEVEEAPLPPGNARVAFLFIARNRLPLDLVWDAFFRGDDDGRFSIYVHSRPGFVLTRATTRSRFFYNRQVNNSVQVDWGEASMIEAERILLSHALKDPFNERFVFVSDSCVPLYNFNYTYDYIMSASTSFVDSFADNKQGRYNPRMDPIIPVENWRKGSQWAVLIKKHAEVVVYDDVVLPEFKKHCRRRPLPEFWRDWDKPIVSESFNSDPFTF >cds.KYUSt_chr3.47932 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300233786:300239282:1 gene:KYUSg_chr3.47932 transcript:KYUSt_chr3.47932 gene_biotype:protein_coding transcript_biotype:protein_coding MALWTGLGQAATVAQLVGADVGGLISMIMQAALTARQNRKECEQLARRVLMIAQLLPHVQDPEAAQQLAGLGDTLRDAHELVVSCQGRSAAYQLVMAGRQAESFREVQRKIEFYLIIFPVISHIGITRRLEQIYKVLVQDDSTSAEPSQLPQSSQLQKSTKLGQEIITPGIQEFTFAEIMAATNNFSLDTIIGEGGFGTVYRGRLHDGQEVAVKRMKNLQSRNLKEEFYTNTFRENYGSSNLQEEFQTELDILSRLPHKHIIRLLGSCVTVSKDKLLQDTTQKKKKGLLTRRRKEPEEPERLIVYEYMQNGTLFDHLHCDHGISELSPVTLSWAMRINVLLGVSRAVEHLHSHARPPIIHRDTKSANILFDANWVPHLSDFGSSVAWDTAVNVSEVVGTVGYMAPEYMARGHLSPMIDVYSLGVVMLEVLTGEKAVSQERKFGGLHILTDFLDRRHVSIVPDFLDRRPVPEPTPWQLQALKRVAWTARCCVKLDVKDRLAISDVVTNLEMAHEWICRDEPGSVHDAGLVEEFSDSPHNSPRSNSVSSAGFAYQSDLIMASPSINFNQFLEKEKLKSNGSNFTDWFRHVRIFLNGGNLQYVLDAPLGSPPPLAVSEEEKNAFENRAKVYSQVQCAILCILEAELQKRFEHHDPCDMINELKVIFETVAVRNPPAGSDGQHSRAGNNLGLRLALVPPSDGPQSLWYTRPMLVQGRAT >cds.KYUSt_chr3.7650 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44052025:44059230:-1 gene:KYUSg_chr3.7650 transcript:KYUSt_chr3.7650 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPCAPAGDPGDPAPPPSPAATTHPSSPRTSHLTLPEPPLLLLGGAASSSPDLVVATPASAPSSGHAPAQGFLSTRTPRALALDPLALPPPFSTPRDAFLQLALENFCTLVCTLVAPCSPLFAPTPVHIAVRQDNHKPVSPSLVRNMLQFWLGNPFESLAVLEVDRNVFRLIVASPKLASFLASLDGIRHGQLLALFSLPRSPPSDALSPPGLPLGPGSGSLALLDSCRLVVPAALAQEDALAHDEAEAMDVAGGAVEAPPIEAEDEEEEDPEELVFEEDFVVDGAAEQPAAAGSVASLAGSGAIASDGEDGFIPITDAADFLSVPTSGSEAPDEEDLAAPARPDTVAVYMPFVNLRLFDNLAYAFVNPPMESPDSFISQAADLGCGPDRVSLFPSSEGARLAVFSTPPDRENAVNNGPFIGIEASVFFRRHDETENRFLFEHESMAALSCNRYPMEHWRRNHISHSSGPFANPHAIDPICLAGVDFEAVLVTVKAESISDIPFTLAVKNHCSSGSFSDITIVGFEDLAPGSEGSSGPDLNPIPKAFSSGEEDEDFLQFEGGTSYAESMEILGIPPPLVPHGQPSSAAPAAPIVARALANAPPLPIVSGSPILSKPARVEVKLRLGFFDVLVVGSDGAQLSFRLPLRRASSDPGCRGLLVANFATASAGLINSITLVGPLRRPTLSVRVLARGSALAKCMERKKALNEGSSAPAPKPRRGELLADDLLAIAVEDGAPLQSDDILALARACDLPTGSLVKLLIDCWNIHGLGGRQKRDDVRAAVDCKTPSVLCLQESKLTDISTFTASSFLSQSLRSFVFKPSVGPSGGIITAWDNRLLELTHHSIDDFSITTTFSHRSDDLLFTIINVYGPCTHSLKAGFLSSLEQIFPSVTGPVAFLGDFNLVRSPRDKSNGSINSIEAASFNDFINTLGLLEIPLLDRQFTWSNQQTPPILARLDRVLVNPEWSFALPDSTLTSSPRPTSDHVPIHLEASSKAPRSTAFRLENSWLSHSFFPHLVTAKWDSVGHNHSHLPPVGRLCLRLKRVRAAARAWAKERRMPSIYLLNCRSVISFLDILEEHRNLSPLEHDLRSLAKTHLSQKNFERATYWRQRAKIKNCTLGDENSRYFHLCASGRLQKNQIKNLEANDGNLTFSHTAKASILHTFFKSLLGVPLPASDNLNLSHLVQSTSLDSSHAASLIRPFTLEETRDALFSMNDNSSPGPDGFGPAFFKRNWDLVKHDLLAALSSFHSLTSDLRPINKSHIVLLPKKTENFVYAADIVQACHRRSAPAAVFKLDFRKAFDSISWDGLDRIMRAKGLPDLWCSWIDLLNHSSQTAVLLNGIPGRWIQCRRGLRQGDLLSPFLFNIVADVLQQMLRIASLSGLLLHPLVDDLPCPVLQYADDTLIIIRAIPDHVANLKKVLDDFSAATGLAINFHKSTFVPIKTDAYVATSMAVTFGCEVSSFPQTYLGLPLSPYKLRFGDFAPIMTKSDMRLSGWRGRCLPIGGRLILVNSVLTSMLSHAMAAGLLPVGVIEAIDKRRRAFLWTGEETCHGGQCKVAWEGVCTPKSLGGLSVISLPAQNSALMGKFLTKLHSDTSAPWASWFRRMYGWNNSRDLGDRHHLDTPIWKDIVAGLSSFRSISKVSLGNGSSTAFWLDRWLGDQTLQERFPNLFSHSTSPNINVEATLSLGLRSSLGPRLTAAAEDDLRVLAVELNLVDLRIDVPDIPGTRLSNKKLSNKCFYVNSFSHLQIDDVAPMVWRSAAPLKCKIFCWLARKRRLPTNERRFRHHLSTSATCLSCPLDEDTDHLLLFCPQATEVWGYFHRGFDPATYTGFSDFCLQRNCTYEEATINTAIAWNIWKRRNALTFNGVSEHLLSVSRRCIEDVRLWAYRCTTPSSTSFLNSWCNGYDPP >cds.KYUSt_chr2.53743 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335287429:335289000:1 gene:KYUSg_chr2.53743 transcript:KYUSt_chr2.53743 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSHSLALALLFSFLSCYASVPSQASSDGFLQCLSAAIPNELLYTQSSPLFTPVLVSSVRNPRLFTNTTVKPLVIVTPTNASHVQSAVVCGRRHDVRIRVRSGGHDYEGLSYRSERPEVFAVVDLNKMRSVRVNPSAGTASIDSGATLGEVYYAVSKASTQLAFAAGLCPTIGVGGFLSGGGFGMLLRKYGAAIDSVLDATLVDAEGRLLDKKAMGRDVFWAIRGGGGESFGIVLSWKVKLVPVPPKVTMFKVTKTVNESVVDILTKWQVVAPALPEDLFIRVVVQNGAAEFQSMYLGTCDALLPLMGSRFPELGLNRTHCNEMTWIQSVPYIYLGSTATVEDILNRTTSDGFRKATSDYVRQPIPKDGWVKIFDFLAKPNSGIMIADPYGGKISSFPESATPFPHRGDVLFNIQYMNFWSAATDGSAQTNWLKDFYVFMTPYVSSNPRQAYVNYRDLDLGQNVVVGNITSYESAKVWGEKYYKDNFKRLAFAKGKVDPDDYFRNEQSIPPLVILPKKCCF >cds.KYUSt_chr2.48141 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300998233:300998873:-1 gene:KYUSg_chr2.48141 transcript:KYUSt_chr2.48141 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLPIGGGVGAAVLTGGTAAGKALAPRPCFLAARPNAVNGGRLRVQTPPRSSPAYKNAANATDDAIQNVKGVAGKAADKASDAKNSAAETAGKASGKAQNMSEGAAGSAGDAKDRAVEGAKSAGESMTETAKGGASKVAETAHDLGGKAKQTSEEAWDATKDAAQCITDKVAAAAKDLS >cds.KYUSt_chr7.12057 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74164917:74166971:-1 gene:KYUSg_chr7.12057 transcript:KYUSt_chr7.12057 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPKADVGDDNAALPERRLTVLALQLALLEKAASRLGTLAFIWATVVLLGGFAITLGHTDFWCITVLLVIEGTRILGRSHELEWQHQAISCARAVHTFSWMQLLSASACVSLSLLRLLHQHYGGSEEARTNRSAALNIFYGLALAEALLFLVEKALWEWKVGHCRLLESVADDYNLAPSAGEVGIRLFFYNSYSRCLDGSIFDGLHMDLVSYGDNLVTSGSHYEQSVGAGILVALAESNRFSDATLHKIGVSAPTIERLIEMLSWKGLSDREVRRSAAVAVSMLTGKKVIALRITGIPGAIESVASLLYADQDELNLLGLSILKNLAHDHDNCDKIGNTRGLLDKIISYSSLDHGLAPTTPRDMRLKAVKQSLHVVKRLASMTGNTGKLLRRELTDIVFTVSNIRDVLQRQEKKVQSELHQLAIEILTSLSMDDEARELIGGTGGMVSVLVAMFLQPMAITDCGQANTIRVEAGEALAMLALESKKNCGTIIMALGGGVGRLVAALNDPVVIIWAARILHNLCSYAGDEWQLPLKGVTIGATKVLRTIMVEKGKILNIFLGLAAQMIRFMEPGELCASLAMASVVDEGLARMLVLVLQEYSCPSMDMPRVRRYTIELAVAMMRSDVRYVALFVELGLEDQLRHVAATTSMLECFNVFSGSVGLGHHAVSIHTLVESALDLMKG >cds.KYUSt_chr4.34501 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211833681:211840439:1 gene:KYUSg_chr4.34501 transcript:KYUSt_chr4.34501 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGPTWTRVGVCNKGWVFEMWEVMNTCVIMHNMIIESERGEPIQDDQPFDYQGSLAENSFQGLGVDAMAGGGRSSNPFGPTSSDPLCSLHLKETSEFVRSSFPMAAMARSNSSNGHGYYHHNEASTTTSSSSSTASAQRRRSVEQQVPGTPGRPLLFLATSSSSPAHHQLVSARRSVPSKWEDAEKWLRQPSDQRGHHHAKAASKQHHNGGGLVQRGAGAEEEKAPATARRTSLDANALALYTPPAEVLLKDKFTDNVEPSKESFVFRSSYCEPAKNASAVVAGDDDLHRRDIGTEMTPLGSSTTSRCHTPIKSTSPLRHNTPTGRSGPLVPYNGGSGMDISELTDCHFAKLDLGAQFDAMLTNWSSKEEEEEEVSKSLRHFEASAGGGGTCDKRAAVTDCRWDDDERTKSCIRYQREEAKIQAWINLESAKAEAQSRKLEVKIQKMRSNLEEKLMKRMTSVHRRGEEWRAAAQSQHLQQLRRATTEHHARRVKTISQHLSAGTGTNAASCGCFPCNSNNIIKGRTLRTTWTRHRGQGGSRRNTMPARLEDLDDEDVDYKAQEEAHAEPLAPQAPPPPVAVKEEEEAQSEE >cds.KYUSt_chr4.46681 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288583652:288586909:1 gene:KYUSg_chr4.46681 transcript:KYUSt_chr4.46681 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAITESAKPRVSPRSSRRKTSQVNGMLVHMSMHSVSLLLEAILLKAKSLESLGRVTDAAEECRTIIDIVESAWPSGVPEGTSEECKLIDMFHSALEYLPNLWMRSGCFEEAIIAYRRALGRPWNLDSQRSANLQKDLAVTLLYCGIEEKSTQEFNQQQNMITPENNLEEAISLLFVLIRKLAFQQIKWDPDLVNHLTYALSLSGHYEVLARHLEMLLPGTYSRSERWFILALCYSAAGMDDSALNIIRNGFRVLQRKGKPHIPSLLLGAKLCCKNPKHASEGIEFANRAMKSFTSHDLHFISVVNHFLGVCYGPFARSSTCDSEKMRLQDDALRLLQDAASLAKNSPEILYSLAWENAMQRKLNAAIESATECLELVTGSSVSTWKLLILVLSAQQNLQEAEALADLALDEAEEEEQMGILRLKAQIQASRGQFKSAVESLRSLLAIIQAKKEVWKSTPYDKVKSLHKLEMEAWLDLASIYTELESWHDSNICLDKAESINSFSSKCWHVKGLILEAQSLHQEALAAFSFSLSINPDYVPSMVCMAGILRNVGGKSLPIARTFLRNAIRLEPTNHKAWLGLGLVSKSEGLPLEAADCFKAAYELRELSPIQDFSEQLPILLQ >cds.KYUSt_chr6.3042 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17823119:17830680:1 gene:KYUSg_chr6.3042 transcript:KYUSt_chr6.3042 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSTPFAPSSSAPTTSRCAFPLRGVHFAAAAAGRSGLPLACAAPRSRGRGRRGGRLVVWAAADYYATLGVQRSADIKAIKAAYRKLARQYHPDVNKDPGATDKFKEISSAYEVLSDDKKRALYDQYGEAGVKSAVGGSAGAYTSNPFDLFETFFGANMGGGGGFSGMDQNAFRTRRRSAAAQGEDIRYDVTLGFSEAIFGTEKDIILSHLETCDTCSGSGSKVGSKTRICSTCGGRGQVMRTEQTPFGLFSQVSICPTCVGEGEVISEYCRKCSGEGRVRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGGPPGDLFVCLDVEEPSDIKRDGINLYSTVSISYVEAILGTVQKVRTVDGTSELRIPPGTQPGDVVVLAKQGVPSLNRPSIRGDHLFTIKVSIPKRISGREKELLEELASLANGGFARAPVKPKPIRQENGSSVAQEVPDQPDEEEVDWLKKLSDFAGSIVNGASKWLKDNL >cds.KYUSt_chr4.18994 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119285895:119293413:-1 gene:KYUSg_chr4.18994 transcript:KYUSt_chr4.18994 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWHVSVVVFVVLVLLGGSDANPASPHRSQLDVNHKKPLQTFRPFNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDILASKDGHLICFHDVTLDATTDIADRAEFAGRKRTYEVERANVTGWFVVDFTLSELKSLRVKQRYTFRDQQYNWKYKIITFDEFILIALYAERVVGIYPEIKSPIFINKYVKWSDGKKFEDKFVETLQKYGYKGEYMSDDWLKKPLFIQSFAPTSLIYISNMTNSPKLLLIDDTTARTQDTNQSYSEITSNGYLTFIRNYVIGIGPWKDTVISAVGNHLGHLTDLVARAHALNLQVHPYTFRNENLFLHFNFHQDPYAEYEYWLSIIGVDGLFTDFTGSLHSLGSFDAFPTFKGHVPFIVLVLLGGSDGNPVAPHQSQLDVNHKKPLIQTFRPYNIAHRGSNGEIPEETAAAYSRAIEEGADFIETDILASKDGHLICFHDVTLDATTDIADRAEFAGRRRTYEVERENVTGWFVVDFTLSELKSLRVKQRYIFRDQQYNWKYKIITFDEFIMIALYADRVVGIYPEMKNPIFINEHVKWSGGKKFEDKFVETLMKYGYKGGYMSEDWLKQPLFIQCYAPTSLIYISNMTKSPKLLLIDDTTVRTQDTNQSYYDITSDDYLKFIRKYVTGIGPWKDTVVPPENNYLGPPTDLVARAHALNLQVHPYTFRNENSFLHFNFHQDPYAEYEYWLTKIGVDGLFTDFTGSLHKYQDWTAPHQMKAKNAEALLYQISYMLKDDGY >cds.KYUSt_contig_2278.140 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:1103803:1110583:-1 gene:KYUSg_contig_2278.140 transcript:KYUSt_contig_2278.140 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRTLTLGGFQGLRQTNFLDTRSVIKRDFGSIVASQISRPRGLGSKMVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGISLKDARLEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRICNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDESIQILRGLRERYELHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKKLRQITKDKNEAVRGQDFEKAGELRDEEMELKAQITAIIDKSKEMIKAETESGEVGPVVTEADIQHIVSSWTGIPVEKVSSDESERLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALASYYFGSEEAMTRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLESDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKDIADIMLKEVFDRLKAKEIDLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDADGKVVVLNSGSGIAEPLAPALSV >cds.KYUSt_chr4.4601 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26415465:26416878:-1 gene:KYUSg_chr4.4601 transcript:KYUSt_chr4.4601 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVQAAAAAATPRLLLPLSPSRAAPAPPHLLHIRAVASFHSPRALAPRRASVRVRAGAGGGRRRESPYEVLGVPPSAAPAEIKRAYRRLALKYHPDVNKEPNAQEKFLRIKHAYNTLMNSESRSKYASSSSSSSPDSSWATGSSKSADSEEQFYGFGDFLKDLQTEFQNWEAGLNSDQKPQSLWEELSAIGEEFVEFLENELNIDDSSTEEDDGNDPYTQFGGSGRSSQRDNAKTNSSDDGVSDIEAVLEQLKKELGLS >cds.KYUSt_chr3.41552 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262111042:262112520:1 gene:KYUSg_chr3.41552 transcript:KYUSt_chr3.41552 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPPTTESNAGDGKPHVLVVPYPAQGHMLPLLDLAALLEARGLTITVALTAGNVRHLTASCPSVGTVVLPFPSSPLLPDGCGENTKDLPPSLFRPFTASLAALSAPLLSWCKAQPRHRSVTTIVSDMFTGWTVPIAEELGVPHVAFSPSSVHYLATSHYLWRRMPTRRRPDDDDETVTFPDVPGSPSFPWRHLSWLFRTHVAGGGDEVSETIRQIFLWNLESSFFVANSFSELEPALLDHDRPLPDLMRKRVLAVGMLAEAVRGRDDIESGEKPALSSAVAAWLDAFDDGSILYVCFGSQHVMSPAQMACLADALERSSAGFVWVVTSGTVLPEGFESATASRGMLIREWAPQAEILRHRAVGWFLTHCGWNSTLEAAAAGVAMLTWPMAADQFTNAWQFAKAGVAVPVAEGGDTVPDSVQMANIIAAKVVDKEGAPMRQRALELSRKLADAVAKGGTSHRDLNEFVRMLTAAREFYPTQCLSVPVRASM >cds.KYUSt_chr3.9134 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53266418:53271177:1 gene:KYUSg_chr3.9134 transcript:KYUSt_chr3.9134 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDLHKGETRWHKTGKTRPVMASGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSTSATDRGAGAASIAAAAVQEQRMRDSGSGSCSSRDHEALSATSYPGGYAVAAAVEMQHLKHSGDHFSFAPFRKSFEEVGIGGDQVPSDQLRRSEQQHRDGQEQQPHRPDLATTVVPATAFLISRPTNPISTTVPPPLQHSSVVLDHDQFHVPAIFLHDKFQNMQQQQHQKIDRRSAGLEELIMGCTSTCTKGETSIPHSQETEWPYPYWPPDNQDHHG >cds.KYUSt_chr1.25852 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155534848:155537274:1 gene:KYUSg_chr1.25852 transcript:KYUSt_chr1.25852 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVRKVQQRVRKVREEMERWDDLNARLLTHFSNAAIIIGRLPVLGDAKNYGILRCVPNIREDLLGKQMESLELIFVSMRTTLEEFSGLAKGFSKALLNTKQMVRGGSALTAKQLQLQVGILPTIADCLDGLQKLADMHQAEYAVKSSIVSLVTWKSSSSDLAAMRQLIVDQPNIPKDEVQSIFDIIFADEIC >cds.KYUSt_chr4.7818 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46515140:46515778:1 gene:KYUSg_chr4.7818 transcript:KYUSt_chr4.7818 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVKPELPLLPVAPLDGESGYLRWKESVLLRLHTLGLARALSEEYEPERPTVEKQRARERDDALCRGHILAMLSDRLLPLYAHHATAGAVWRAVGLTYDLDAGMDVFRYDEGTPLLENLARLQATLAAAGKLSQILMRCSIEFGGFPSEMAREVDKVPNVSMAGIWKIARRQVVSDRVGEVQRHARYTTDGQGSSSSDDSRPLKRVRQG >cds.KYUSt_chr7.18915 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117245388:117246665:1 gene:KYUSg_chr7.18915 transcript:KYUSt_chr7.18915 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSETLIPIQELVRKTTEKLEANFSKIGTKIHRFPRGLRFVSKHDRYIAPSFVALGPYHHGLPQLQEVEEVKHAAAHYFCMQSGNSIEEVHGKILSVVGEARGCYSEDAVSGFSHSEFAAMMFLDGCFLLRYIHDFMYAESALFSNRAVLSTGPCMLRDIFMLENQLPWLVLEVLMTFTDIPMCQFIVSTASTFDTGWIQITRLPENELKRYRPPHLLGFLRYYLIGNMPPTPRVPSSFIRKFALASGAIELAEIGVKLTASNKRWFADMSVQKGYLAGELSLTPLFLNDYTASWLVNMAGLEACTSTGWPSDGYNISSYLSLIAMLMDKEEDVHELRAKHLVRSFFSNQEMLDLFKGLACHLRLGCGYIVILEKIDEYKCERHVRIVLHKFFYHNFKIIVALLSVASVLVGIFKTLLSLNQN >cds.KYUSt_chr4.3762 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21473131:21473586:-1 gene:KYUSg_chr4.3762 transcript:KYUSt_chr4.3762 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAEPATGIPVGGAPANGTWSSGLFDCFDDCGLCCLTCWCPCITFGKVAEIVDRGATSCGTAGALYALLATLTGCRCIYSCTYRAKMRARYALPAGPAAIAASTLAASRARSSRQYKELKARGYDPDIGWQLNAERGNGNAPAVQMMGR >cds.KYUSt_chr4.11107 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67477400:67479870:1 gene:KYUSg_chr4.11107 transcript:KYUSt_chr4.11107 gene_biotype:protein_coding transcript_biotype:protein_coding MGECQSNQPPKEQEYDLICGGALLHPSLLAQLPSQDKEEERAEDAPDPHPTTFSNTPQGHYIYNNIPRYLSWHLVSTISEQRRCHRRLRASFWKENSRTSLVTFDTIKYMIAPPLAINPAGPDSDEEHPPATPDTHFTMSGVNSTDEVDGVQEEDLLEHMVGTESIVSTWHEYETMFCIVEESFKPLRDDRVCALLRTKKKCKEAACTTAVVSRQHVR >cds.KYUSt_chr1.28633 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173067306:173068496:-1 gene:KYUSg_chr1.28633 transcript:KYUSt_chr1.28633 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGAVAAVVLFLAAAVVAAEAILDPTDFLALQAVRRSLDDMPGSDFFSRWDFTADPCGFPGVFCDGTRVSALALGDPRAGSPGLTGRLDPALGRMSALTELSLVPGRVQGELPASLAACANLRFLAVSKNLLSGAIPDGLGALANLRTLDVSFNQISGAIPPSLASLPSITNLILCHNQLTGGIPSFPDASPLLRLDLKHNTLSGGVPALPGSLQYLSLASNRLTGQVDAVLPRLTRLNFLDLSMNQLQGPIPPSVFTLPLSVLQLQRNFFSSPVQPAGDVTIPVVDLSYNRFWGPLSPLLAGIGQLYLNNNRFTGDVPSRLVQELVGTGGLQVLYLQHNFLTGIEISPSSSLPSGVSLCLMYNCMVPPVYAPCPIKAGTTNTRPADQCPEWRG >cds.KYUSt_chr7.2596 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15233017:15234237:1 gene:KYUSg_chr7.2596 transcript:KYUSt_chr7.2596 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRRATQFEDLPEEIIVDQILIRLLSKDVGRYRAVNTSWHHATSTPQFMLEHRRRQPLLPIIDGQRKPSSYAVYTGAGASGQQLWPFLPGSKTRYNALFASCDGLLIVNYGPRLYICNPVIRRHALLPLLVYGQGFVNIVIGFYRHHPTKDYRVLLVSQNYPKYSLHNLTVGSDESRHIKVTMPAVSLPSVEQKLLNRLTDLRHCPPPVQHRGNLHWCPYGARDITEGGGDIIVFDTEAESFRWVRSPGQLCYNRKLFDMKGTLAFWGGSAPDFITINVWVMQDYEAEIWAFKCRIDLSTVDSSRRLYTTSLKKKRKKKTPLDSTVTLFNDMVVLNDRELLIKFNGKHVLRCDIDGKFLGIVNLGTCQYQISLTQHRFQESIVPIPYHGMQEEDEESPFYTGHV >cds.KYUSt_chr3.43399 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274038262:274039455:-1 gene:KYUSg_chr3.43399 transcript:KYUSt_chr3.43399 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPATMAKASRAGAPPPCSCLPEEITFWEILVRLPAKSLLRCRAVCRTWRRVTCARDFLLAHHARQRSLPIVSGVPGSEYGSARYQDILAFNHRAAGAELQPVARLDTSFFVESSCDGLLVLSKSSNSVTCLSVCNPVTREHAPLVDFTYLCLLGMYLHRPTGEYRLLLHPRSQTPVSIAGTLLPNSKIGCYIFAPGSDQPPRYIQGPEGRGAAAVLRVSTPYWAHDNLHWFPMQRPSESRPIFVFDTTTESFRQMVAPVVRPKSNIFEMDDTLGIYNYNDATEVVYIWMLQNYEREFWKFKYRVELPVAKIRGQFGRSEGSLDVGVVLADGDVLLLVSHGGWIFYVNTDGELVDSFHRDGQHIYASDLRFKQTLVPHNFFMALEYSSVNASPFL >cds.KYUSt_chr4.22424 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140898729:140900945:-1 gene:KYUSg_chr4.22424 transcript:KYUSt_chr4.22424 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAQDPFYIVKDEIQDSIDKVQDTFNQWKQTPENTGEIRFRIACSNCRVLLLLLYVSLFISELFSAFDVSLKVDELEKAISVAERDPAYYGLNEVEIGKRRNWTSTARNQVVSIRRNVEAGKHKTAFGRSVNPSELGRSKQHIAQDNDDFIASESDQQMLLMKQQDDELDMLSASVQRIGGVGLTIHEELVGQEKLLGELSLDMETTSNRLDFVQFHWVDSNGLKLSPFLIPAEKSGYGSEEGQLERADHDDSFLGDSFHHPFRFGVFDLREVEFGFCECSPSSV >cds.KYUSt_chr4.39893 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246327642:246331875:-1 gene:KYUSg_chr4.39893 transcript:KYUSt_chr4.39893 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASPRLPFLPAARTHSAAAAASPSASSPSPRRALKCSSSTNDNSVHLSSTSRPRAPAAGGVGSAGLNGLRAPPVPVADSPPPAYRDPQGLHRPLTTADLMGTNGETLKVAYQGFPGAYSEAAAKKAYPNCQTVPCEHFDTAFQAVENWIVDRAVLPLENSLGGSIHRNYDLLLRHDLKIVGEVRLAVRHCLLANHGVDIGNLKSVMSHPQALAQCENTLTELGIEHRQAVDDTAGAAKFVAEEMLQDTGAVASSLAAELYGLDILAENIQDEKVNVTRFMMLARDPIIPRVDKPFKVINGLIGFIWAVNPAADEKSAKESQLVILSKSRKVHLHQIRKSVA >cds.KYUSt_chr5.3930 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25424013:25428713:1 gene:KYUSg_chr5.3930 transcript:KYUSt_chr5.3930 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSGIALDTELSFHKTVPAISEMASKMDLRKARDSKGNTALHSAASSGCLASCRFLVEECGIDVNVVSKTGVTPMSYAAHDGNVQAMRYLIDRGADPAIADERGSTPLHNAALQGHYEAVRLLLSKGVPVDPVDHRGTPLHLAASNDHVEVVKVLLEHSADAGADVNAPPYHAPTPLMQAVNDGLTDFVKLLLEAGADPNIPSQAAVSEEKRIAAFKSRGKEAFAKEDYFTAMYFFDLVTQIDPDDATLFSNKSLCWLRLRNGDQALEEARKCRMIRPNWFKAWYREGAALSFMKDYEGAADAFQEALQLDPKNEEIREALSEAGVSSDEKCIATSSGRSVFLFQGGVSGWRITEHFDKMKALADTMATVGNLLSDDDIID >cds.KYUSt_chr7.16717 pep primary_assembly:MPB_Lper_Kyuss_1697:7:103602791:103603942:-1 gene:KYUSg_chr7.16717 transcript:KYUSt_chr7.16717 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGRLLHCLLVVSLLSGVAHAQLSKNFYEASCPSLETTVRAEMKHAVGKERRIGASLLRLFFHDCFVQGCDGSILLDDGGEKSADPNVNSLRGFDAIDTIKASVEAKCPGVVSCADILALTARDGTFLLGGPYWDVLLGRRDSMTANRSLANTDLPLASASLATLITKFDRQGLSPKDMTVLSGAHTIGMAQCPSFRDRIYNDSNIDASFANQLRKTCPKCGKGSSLASIDTKTPMAFDAAYFQDLIDRRGLFHSDQELFNGGSQDALVRHYTNNPAIFRHDFAKAMIKMGNIKPLTGTAGQIRKNCRIVNRLIN >cds.KYUSt_chr3.20998 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128668162:128671767:-1 gene:KYUSg_chr3.20998 transcript:KYUSt_chr3.20998 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQYLLSRHASKRVMAPSHVTFCNVEQLHIYNRSWEATAKLISGRIKNNRGGDLIYHAILVDENGTQFTYNSMDTLMSHVSSSFSEERIRLGTFDTTHEAARGLRRRRSAPRPPAPKQMNFNDIWTREREEMLAPPSPAVTTEQQRRARELEQRLHVAEQAERLRLE >cds.KYUSt_chr1.28297 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170988219:170995623:1 gene:KYUSg_chr1.28297 transcript:KYUSt_chr1.28297 gene_biotype:protein_coding transcript_biotype:protein_coding MVSACPSPSPSAAQNPNRNSLGRLLPLSAAPGTPQSELLLAAAVGDLALLERMARKLDGGRGRLAETVEAVKDHGAGALHLAAGNKQAEVCEYLVEDVRVDVDAVDICVTCKDGNVDIVSYLLDHGANPDNVDKTGFTPLHEAAKKGHCEVVELLLSRGAYVDPFSTDHGTPLHVAAKHKQDSVMKILLDHHADAGADVKGMRTTTPLQSAAMGGLTDVLKPLLDAGADPDVRDEAMVIDPDNADSTLMGNRGFCRLLLGNGEGALNDALFCRTVRPDCADSCWLLGYSYVQLKVYEKACDAFLDGLKLKPGFVGIEKALREALRLLKESHADKKNGSEGLS >cds.KYUSt_chr6.16651 pep primary_assembly:MPB_Lper_Kyuss_1697:6:104794080:104801592:-1 gene:KYUSg_chr6.16651 transcript:KYUSt_chr6.16651 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNEACKGSCECEKCETGKIAEANMEFYGSEEEEGEIREVHEPPRLGSPIQKVTPAIVEQEQVHIRQSASPPRASDKGESTGTVVLQQQSMYGAIHKDMSASIVHSFVQDAIEKEQVNMRPSTSPERGSYQGRIPCIVFVQQSKCSAIPEDMAATPSASSQGVVEDEPPTSAQRVSHIGETASTAVVQQSKYTASEGQHVDMRQHRIRGSHRPSFSPSACSSAEERHKKRQEGCFRYSDFRWVLKMIEEVCSERLRTLLSRQNRDRKNLKIAQKKYELEFFQKHMHSYKVRLAHVMPTISYRRMMLPKLHFSILRNRFHKHMKSQLIKFVKQQIRDRNKENRIKARWIFEAKAGYLKKLFYVTSLAYGKFKLEKLKCRMTDYLDGEEHLKYFNMQSLTTQIEAIASNKESTSDVTEPILENSPVPLETNGPRKLGFSIGVAEDMTTLESRSSLPTCAPTMEFGEKNGTQTAFSAAAQNQGGNLERPYACQLGMSAVLEPAKAVTTGKPSDDSEPIEEHSPLLLVTNGATQLEFSVDVSEEMVTLESSSSQLTSAPGMEFGENDGTQIAFSAAAQTVGENMESPCASRFVTSSTSELTVTVSNDTENAAQISREKRRRISSGNDVSEGVAFPVLNRLILHTVPDSRSGRMIPGAAQKTTREPNQSSDQRSFQFTAPVSAPASASIYQSPQLSVQASTDAALRQHRVTGQHTYMDPSGRSTANLMNYPSGGMGLAYRR >cds.KYUSt_contig_686-1.819 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4984753:4985010:-1 gene:KYUSg_contig_686-1.819 transcript:KYUSt_contig_686-1.819 gene_biotype:protein_coding transcript_biotype:protein_coding MNTASAACPDGCVARRAPCCLARAQRVAGSLLRRSLGLAPPTSQRALSASAAPVAAAEGEAAEAKRVKKKNLFDMVQFLPDWGVR >cds.KYUSt_chr1.11301 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69247591:69251696:-1 gene:KYUSg_chr1.11301 transcript:KYUSt_chr1.11301 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTRWPPWAALLYSPRARMGGGAGWGLALKAIGWILFAGVSFRLLCSLSSSPTSLESKEGKCDLFKGEWLPNPSGPAYTNSSCRFIDDHQNCMMNGRPDIVYLYWRWKPYECDLPPFNEVRFLSAMRNKAWGFIGDSILRNQVQSLICLLSKADEPVEVYHDKEFRNRRWHFQSYNFTVSLVWAPFLVKSEVSENENGESTSEIQLHLDVLEPTWISQYESFDYVIIAGGQWFLKTAVYWDNGKVIGCHYCQDKNLTELGFEHLYRRTLQSVLKFISSTNHKPVVLFRTWAPDHFENGEWSSGGTCSRILPYRKGEYSGKYMDNVMRGIELEEFNKALAVVNSSGDVVNLKLLDIYSLSAMRPDGHAGPYRMFHPFAQGNKDASSVQKDCLHWCVPGPIDAWNDLIMKLVLN >cds.KYUSt_chr4.10453 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63430377:63433228:1 gene:KYUSg_chr4.10453 transcript:KYUSt_chr4.10453 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAAFAVKFPHQLARPGRVHGRPLPPARVGIAVRRGSPGNSAVAVAAAAPREVRCRAGGLIEPDGGALVELVAPEEGGRRAALRREAAALPHRVRLGRVDTEWVHVLSEGWASPLRGFMRETEFLQALHFNAVRGDDGGMVNMSVPIVLAVDDAQRRAILASGATSVALVDEHDRPVAVLSDIEIYKHNKEERIARTWGTTAPGLPYVEEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRRRLLDMGYKNPVLLLHPLGGFTKADDVPLSVRMKQHEKVLEEGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPMEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDRKYNKMNFFDPSRKEDFLFISGTKMRGLAKNRESPPDGFMCPGGWKVLVEYYDSLTPPEGSPKPREAVAA >cds.KYUSt_chr7.20999 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130116219:130118109:-1 gene:KYUSg_chr7.20999 transcript:KYUSt_chr7.20999 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSFKLEHPLERRQAEANRIREKYPDRIPVIVEKAEKSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKDTLPPTAALMSAIYEENKDDDGFLYMTYSGENTFGLF >cds.KYUSt_chr2.46640 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291606634:291609594:-1 gene:KYUSg_chr2.46640 transcript:KYUSt_chr2.46640 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLRVSPSELKIPCKCLREPFLPDSVALLGAFLPRLIFFLLSVPDREDEVKRQRSCCMQLMNKTDKYVAFKVKTTNPRKYSVRHSCGILLPRSSCSVTVTMLAPKEMQLDYHCKDKFLVQSAVARDGATMRDFVPELFTRAPGRLIEEFKLRVVYIAANPPSPVPEEAEEEDAAPALEEIGYEAKKSSAFDVESRLRSVDNSEPKLSCTEGASLASTLVGDRLHKVHDNPKFEQDMELLSEARSSQQGFSVMFVLFVFMSSVFIGHLMKHIKV >cds.KYUSt_chr2.6099 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38013877:38015603:-1 gene:KYUSg_chr2.6099 transcript:KYUSt_chr2.6099 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSRTLPTRIHDSTLDPDRDARRYLQVEAQPITSKIDEDAPAVENTTTGGSKCFDDDGRLKRTGTVWTASSHIITAVIGSGVLSLAWAIAQLGWLAGPAVMALFAFVIFFTSNLLADCYRTGDLATGRRNYTYMDAVRANLGGAKVKVCGAIQYLNLFGVAVGYTIAASISMMAIKRSNCFHASGGKDPCHMSSNMYMIIFGIVQVFFSQIPDFDQVWWLSIVAAIMSFTYSIIGLSLGIAQVAQNKAFQGSIMGISVGAVTKAGTVTPMQKIWRNLQALGDIAFAYSYSIILIEIQDTLRSPPPETRTMRKATLVSISITTAFYLLCGCAGYAAFGDDAPGNLLTGFGFYNPYWLLDIANLAIVVHLVGAYQVYSQPLFAFVEKWAARRWPKVEEYDLRLHGPNGHACRVNAFRLVWRTCFVVLTTIVSMLLPFFNDVVGILGALGFWPLTVYFPVEMYIAHRKIRRWSTPWVGLQALSLACLVVSLAAAVGSIAGVVLDLKSYHPFRSTY >cds.KYUSt_chr2.16430 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103352146:103355010:-1 gene:KYUSg_chr2.16430 transcript:KYUSt_chr2.16430 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRPDAEVEKVKHLLLPGGAGWDISKLNDMFFEADVSDILKIPVAFAQSRGAALFQKACIGCHDTGGNILQPGATLSMKDLERNGVATEEEIYNITYYGKGRMPGYGENCTPRGQCTFGPRLAEEDIKMLAAFVKSQAENGWPKIDSDVE >cds.KYUSt_chr2.26007 pep primary_assembly:MPB_Lper_Kyuss_1697:2:159197388:159200628:1 gene:KYUSg_chr2.26007 transcript:KYUSt_chr2.26007 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVHDAHDDSENFLDLLNSRTLAPELFAEPPACDYLKKMEYSGSHGGGGPWPDVHQFNAANMEKHLAGAGYVNALAHHHGAPERLTANLSDLVSNWSIAPPNPCHTDGSHLQGRVGQCDDAADMGHGGAKALFLDSSGNVKHEMGGHAAMLQEAARSSSTSSQDFTRPIGLGYSSMLGLSNRMYGGGGGDAALEVQWGSNNNGGDARSLSDLISFGGAMGKPAPSASPARASSAEYSNKKQVQDISSPAKTNSGSGKAASSEGKKKRSEEEKGSSEGNAKKSKHEASSPTSSSLKAQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKSSSSKDYNPWGGLERKEKAEAEADLRSRGLCLVPVSCTPQVYRDSNGPDYWTPPYRSCLYR >cds.KYUSt_chr7.18039 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111951835:111953865:-1 gene:KYUSg_chr7.18039 transcript:KYUSt_chr7.18039 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPTNAAAVSLSKQQLLRIRRCIPPVWRNAAPAQEPPPPPTVSSFSPASTLSSILYSCTARRALRPGEQVHARAVTLGLGAHPSVLPKLASFYIALADLPAARAAIERAAGKARAFPWNLLIWSYAGDGRWNDVILAYNRMIALGVGADRFTYPSVLRACGELREVTVGRQINRRIRRRSRHDLDMYVWNALVGMYAKCGELGDAQRVFDEMSVRDVVSWNTMVSGYASAGMWGKAFELLQRVPGANVVTWNAVAAGNLKAGNYDEVIRLLSQMRNGHGPGVDSVSLLIGLKACGRSGYLRVGRELHGVAVRLYFDRLKCVVNSLVTLYSRCGMMSCAELLFRMCSVGSVAAWNSLLAGFAFMDQVEEASLLFREMISSGVCPNDVSMLTMLSLAARFGHLCHGRELHCYILRHGLGGSRLLQNSLVDMYSKSRRMRASRIIFDQMEYRDQHAYTSLILGYGMQREGSVSLKLFDEMIANNIEPDHVTMVAVLSACSHSGLVTQGQLLFAKMYAVFGTAPRVEHFSCMVDLYCREGLLKMAEEMIDKMPFQPTAAMLATLVEACLIHGNTEIGEQAAKKLLAMRTNNPGHYKLISNMYISAKCWPELAKVRSLMSSMELTMIPSHSLLESYHDVCLLEQGDFFNHGATCYLSDRITDTDSSSSEEVKCSEAFGG >cds.KYUSt_chr7.29036 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180608746:180609311:1 gene:KYUSg_chr7.29036 transcript:KYUSt_chr7.29036 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQLTQATTYIKDLRERVEKLKQRRDQCLSKVQRATSSSRSSSNAANTVAATGSSSPHDTTVLFSGATHFNLKFTMSSSKGVQLHKVIRTILQDERVDVIEANSSYVDDSKIVYVLKCKTTSSGAALDASMVETSLRKLLTESFAEPDRVGV >cds.KYUSt_chr3.32436 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203763723:203773765:-1 gene:KYUSg_chr3.32436 transcript:KYUSt_chr3.32436 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRVDRQEEKSNSTCETRGIRTATPARDDGHRTAMDTRRDIKPFLPSIASQRGVPGFSICQAAPTPLGRSARVAAPQQAACVLNRASSEVPEDGRSCGRERVGPEGVTSYRPAPVSAVQISRKFWSAGDYEASSEKPAQPPRNVGNRMCVHPKFLHSNATSHKWPFGALAELLDNAVDEIKTGATRIVVDKIVDKRNGSPALLVQDDGGGMDPDSMRCCMSFGFSDKQSGTSIGQYGNGFKTSTMRLGADAIVFSRCMKSSGPTQSVGLLSYTFLAETGQKDVVVPVVDYKYNLLTGEAIPDGRHGAHQFRSNLSVMLKWSPFATEEELMENFSDIGPHGTKIIVFNLWSNDNGVLELDFDSREEDIMISGAPNPAETKNDVKRMNENHMANQLRYSLRVYTSVLYLQLPEYFKIVLRGQEVKRHSIASDLIYRQCISYTPQQLLRTKEPFHRVLSSASSKGRAIVGVLEANFIKPTHDKQDFEKSQLYQKLITRLKDMTTEYWDLHSHLIGYQKMPRASSVSLTPPAMLPEQHTIAEPSGSNAVSSALSVAHGRHGTSDNPTSAIPIAFARPHPSVPARTRVPTSYCMPGTQIVQTGMTSSHHMAPNTDLAETRKRKNEDAIQMDSCKRQAAHNFEGNNQVYQYMTERDLNEFSHLKLENEQLREECLEFEMAEKELLLKVRGLPEKMEVLLIIELGLIKLDYFGSKSSAAGRGLFLPPRAASRLPHDIVGPPAPDTRATAIPISFSHRRAFPFSDLIPGTGLPRRSPLPHRRRRPGKSPMELATHDLAALGAGDLIRVSATIPRAAPRTFALLTACLVFPLSFAVLAHSLFTHPILLRLKASAYTAGAGQWVTLFAYQFLYLIFLFTFSLLSTAAAVFTVASLYAAKPASIAASLSALPTILPRLLRTFLWVSLLMLAYHALFVLTLLLLLTAYAPDPARSTLMALSFLFFALLFVFGFLAVHVYISALWHLASVLSVLEPVCGLAAMSKSRQLLKGRTRTAAVLVVSYFAACGVTAGLFHSAVVKGRGEEGSLGLTLPVKILVGAVMVSLLVIVNLLGLLVQSVFYYACKAYHNQEIDRTALYEHLGGYLGEYVPLKSNVQMENL >cds.KYUSt_chr3.28629 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178665488:178666882:1 gene:KYUSg_chr3.28629 transcript:KYUSt_chr3.28629 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSDTDAALFAAVLGQDAAHHLATTPPHLDAGPASSSSSSAELQARLHDLVERGSGAWTYGIYWQESRAAARPVLGWGDGHCRDATPHGHDDDQEPGAAERSLARKRVLLRLHALYGGGDGDEDYALRLDRVTGAEMYFLASMYFSFAGGAGGPGRALATGRHAWAAVDPRLAAPGWHVRASLAQSAGLRTVVFLPCEGGVLELGSVAAIRESPEVLRAIQSAFRAEPASPDDHARIFGKDLQMPPVLTAGSEAAWALRLGVTQPAKKQVAVKAKPAEPPKPAVGQKKQAGGDERRLPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPRITKMDKASLLSDAIAYIQELEARLQLRGGTPERPAVEVQQAMQRDDEVVLRVTTPLESHPVSGAFSAVADCSPQLSVVASDMAVADGAVTHTLVVRSAGPGRLAAETVLAAMSRGMMMSTTPSP >cds.KYUSt_chr4.39895 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246350883:246352959:-1 gene:KYUSg_chr4.39895 transcript:KYUSt_chr4.39895 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGGRFLLYNIFGNQFEITSKYQPPIMPIGRGAYGIVCSVMNFETREMVAIKKIANAFDNNMDAKRTLREIKLLRHLDHENIVALRDVIPPPIPQSFNDVYIALELMDTDLHHIIRSNQELSEEHCQYFLYQLLRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRAPLFPGRDHMHQMRLITEVIGTPTDDDLGFIRNEDARKYMRHLPQFPRRPFADQFPKVQPAALDLIQRMLTFNPLQRITVEQALEHPYLERLHDVADEPICTDPFSFDFEQHPLTEDQMKQLIFNEALELNPNFRY >cds.KYUSt_chr5.38046 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240277061:240278339:-1 gene:KYUSg_chr5.38046 transcript:KYUSt_chr5.38046 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGMLPGKLRHRRQGGDGQGAEAITARSSSSMSESSTRRQEEDDQGAAPCSSYSYGATPEPEACSDVWFRYSPPQCKPMPAKKPRRRVPEGDDASLEKKARRARPAAEAGGGGGGLARATRVVRPVDEDLYQVPPPELASHRSRPRRSMWMGCLGCLA >cds.KYUSt_chr1.32517 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197494691:197499684:1 gene:KYUSg_chr1.32517 transcript:KYUSt_chr1.32517 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVAGAVSAPLHRSLSTAASRPPWAMVYSRAALDASGAPSPGARASLDLGAAPYVSHISVPAHLADGMDFAAASVRATSSDGLLLLDLAEARHLFPPGPPGARESLDRNMQVELSAVGASFQLDVARFVCNPLSGDLFRLPVPDMGAARTSTVFGLLTQSDAPHRAPDRFVVAQLSCRAADNGIVLRRFLSETGEWDERDLLVPSMWPGWQHMQLDTNHTVLAFGDRLWWVDVTWGAFSVDPFSDRPEVRFVELPQGVLSDFDTSENLMLGKRRLMGVSEGKLRYIEVSTEKEFVVSSFSLYGEGCYWKPTRETTVKLVLPNQRKPLEKDMPWIAAVDPFNADVLYYQSGHDIIAMNMANGEVRGKRAFPDSITGLSPCNTAVFLPCVLPTWLESSHVPGAELTILPLHIGSYISELTMPLSAVIVVGILGAEFGIARCTSEKDTQLHREYFGGDVGQSRESGFGITSTLEEAEKAAEMFDSYVLNDLPLILPQTDYMSFGTYVDDPGDWVMTADIDAEEMVTAADRNGN >cds.KYUSt_chr5.20860 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135449442:135452090:1 gene:KYUSg_chr5.20860 transcript:KYUSt_chr5.20860 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVALLAATRRRSTPPVTSLLHRAARGLHEATAAAEEDKARTRRRRSSSTRLLGPDISDTWDPAPRSAARQPPPRASAVDCESTATIIDGKSIAEDIRLQISEEVRQMKNAVGHVPGLAVVLVGDRKDSQSYVRFKVKGCEEVGIKSLLAELPENCTEDEVVDSVSRFNEDPSVHGVLVQLPLPQHMDEEKILNAISLDKDVDGFHPLNVGNLALRSRKPLFVSCAAKACLELLLQSGIDLMGKHVTIIGRSKVVGLPTSLLLQRHHATVSVIHAFTANPEEITRESDIVISAAGVANLVRGSWLKQGAVVIDVGTNPVEDPDSDYGYRLTGDVCFEEAVTVASAITPVPGGVGPVTIAMLLANTLDSAKRVYGLNDPTP >cds.KYUSt_chr6.6735 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40565211:40569378:-1 gene:KYUSg_chr6.6735 transcript:KYUSt_chr6.6735 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRLHDEGSHSTPAKRPLDESSLYSSPSGKVIQPGSSDFHGSFEHDGRFSKVQRVESRDDNRSSLTHRLPVGSSNFVDHSTSSDTRLEAKQNKDARDTKADDREAKADARDVHSDTRIEFQGNKVETDVKTNRADANELRADRRVHADFLGDVKSDKDSHPTGTSNLAWKDNKDHRGKRYAEQPDDAVWRFPRPGLQGTDETLKAPAPVEERNSKDAHESTGENKIEPKSEDKFRDKDRRKKDEKHRDFSARDTDRNDRRTGTQLAGGSVERREIQRDDRDAEKWDRERKDPQKDKESNDREKDSAKKDSFVAVDKEVATLEKTASDGPFKPAEHENTAAEMKTLKDDTWKSHDRDPKDKKREKDVDTGDRHDQRSKYNDKESDDAGPEGDTEKDKDTFGSIQRRRMARSKGGKSEVSAIVYKAGECMQELLKSWKEFEATPDARNAENQQNVPTLEIRIPAEFVTSTNRQVKGAQLWGTDVYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQDSYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERSMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNRYELCFSGEKTRSVGSSSDLEPEKHQNSSHHHSQNGDRATAEHELRDVFRWSRCKKAMPEIAMRSIGIPLPTEQVEVLQDNLEWEDVQWSQTGVWVSGKEYPLARVHFLSSN >cds.KYUSt_contig_534.28 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:254695:259464:1 gene:KYUSg_contig_534.28 transcript:KYUSt_contig_534.28 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGGFSRFLAAAALCVLWAAVVEARSPAARVHRHLKRLNKPAVKSIESPDGDIIDCMHISHQPAFDHPLLKNHTLQLRPAYHPEGLYDDAKSSLASDNGDKKPMLQLWHQNGRCKEGTVPIRRTKKEDLFRVSSMRRYGRKQHPAPNPMSVDLTMLNEGGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGADLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFIQVNSEIAMGASIFPISNLAGSQYGISILIWKDPKEGNWWMQFGKEYVLGYWPSFLFSYLADSASMIEWGGEVVNLEPDGAHTSTQMGSGRFPEEGFGKSSYFKNIQVVDSTNQLKAPKGVGTFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNSNCP >cds.KYUSt_chr1.8190 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50466703:50470118:1 gene:KYUSg_chr1.8190 transcript:KYUSt_chr1.8190 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRCCALALLCVGSAVVAVDEQGAALLAWKTTLRNGPGALADWKAGDASPCRWTGVACNAGGGVTELSLQFVDLLGGVPANLAGAVGSTLTRLVLTGTNLTGPIPPELGALPALAHLDLSNNALTGSIPAGLCRTGSKLETLYLNANRLEGAIPDAIGNLTSLRELIIYDNQLAGRIPGAIGRMASLEVLRGGGNKNLHGALPTEIGDCSSLTMLGLAETSITGPLPASLGRLKNLTTVAIYTTLLSGPIPAELGRCSSLENIYLYENALSGSIPAQLGGLKKLKNLLLWQNQLVGIIPPELGSCSELAVIDLSLNGLTGRIPASLGKISSLQELQLSANKLSGTVPPELARCSNLTDLELDNNQITGAIPAELGGLPALRMLYLWANQLTGTIPPELGQCTSLESLDLSTNALTGPIPPSLFRLPRLSKMLLINNQLSGQLPPEIGNCTSLDRFRASGNHIAGVIPPEIGMLGNLSFLDLGSNRLSGYLPAELSGCRNLTFLDLHDNAIAGVLPTGIFKELLSLQYLDLSYNAIGGALPSDIGMLTSLTKLILSGNRLSGPIPPQIGSCSRLQLLDVGGNSLSGHIPGSIGKIPALEITLNLSCNSLSGAMPAEFAGLVRLGVLDVSHNQLSGDLETLSALQNLVALNVSFNGFSGRLPETAFFAKLPTSDVEGNRALCLSRCPGDANDRELQARRTARVAMAVLLSALVVLLLAAALVLFGWRRRGALTSSDEKDGEMSPPWDVTLYQKLDIGVADVVRSLTPANVIGHGWSGEVYRANVPSTGVTIAVKKFQSCDEASVEAFACEVSVLPRVRHRNIVRLLGWASNRRTRLLFYEYLPNGTLGGLLHGAGATGASVVEWEVRLAIAVGVAEGLAYLHHDCVPGIIHRDVKADNILLGDRYEACLADFGLARVADDGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEMITGRRTLDAAFGEGQSVVQWVRDHLCRKRDPAEIVDARLQGRPDTQVQEMLQALGIALLCASPRPEDRPTIKDVAALLRGIRHEDGGDARKAGNNGGGSETEATKRADPKKPISPTKLMALTRPVQTQAQVKARASSGSLGLLNDRE >cds.KYUSt_chr2.42150 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262481641:262487177:1 gene:KYUSg_chr2.42150 transcript:KYUSt_chr2.42150 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQESRSSCESGGDAMAPAGKEHGRAAADPRLQGISDAIRVVPHFPKPGIMFNDITAVLLRPGVFKDAVDMFVERYRGMGIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPGKLPERRSCCSDADVDMPEPEGHENCCCDAGLGMRPEQARGELGLQGSPNCWHETDLGMPPARWSRHRKEPGWSVGEQMHCRMPSFVIGGTFAAAATPIFEAILYAATLRSRAPLAVAKIES >cds.KYUSt_chr7.24493 pep primary_assembly:MPB_Lper_Kyuss_1697:7:152741135:152744379:1 gene:KYUSg_chr7.24493 transcript:KYUSt_chr7.24493 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVHAGVCSRSDLPRQCLADVSSTFISRDKKADQRWAELLKRQEEKLELKKRRDDMSLLRTSTEGMSPRTRAAHNFFKGQILDEIEAKMAAASAWHDAGTYDVNTRTGGANGSIRYEEEFTHGSNAGLKIAIDLLDPIKAKCPKITYADLYQLAGVVAVEVTGGPTVEFVPGRRDSSVCPREGRLPDAKRGAPHLRDIFYRMGLTDKDIVALSGGHSLGKAHPERSGFDGAWTRDPLKFDNSYFVELLKGESEGLLKLPTDKALLDDPEFRRYVDLYAKDEDIFFKDYAESHKKLSELGFTPRSGGPASTKSDLPTAVVLAQSAVGVAVAAAVVIAGYLYEASKRSK >cds.KYUSt_chr7.15381 pep primary_assembly:MPB_Lper_Kyuss_1697:7:95590594:95591760:-1 gene:KYUSg_chr7.15381 transcript:KYUSt_chr7.15381 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPIEAAINELCSSTTSLLPAQMLIADLGCSSGPNALELVSVAAEAINRHCDKLQQPPPEVCLFLNDLPGNDFNIVVKSLHTLRQRSSSTVTTGVIPGSFYERLFTTGSMHLFCSSNSLHWLSEVPEVLWKNQIPAYDIDENVRRERLPIVIEAYAQQFRKDFKNFLKLRATELVEGGHMVLSLIGRRPNDSTSEFSDLWEIIAKILRAMASEGVIDKAKFESFYVPMYAPSDQELRDIIQEEGSFCTKDLMVHDLKIFVDKSTINASWALNQIRAVFEPIIVQHFGDVMDEFVRTAEQRWSQEGSLQDEFVRHQTVAFIMSLTKA >cds.KYUSt_chr2.34010 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210239266:210239826:-1 gene:KYUSg_chr2.34010 transcript:KYUSt_chr2.34010 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTSTSREASPGCLRRARGGALRRGRLRRDAGDKRGEARPGRLRQDAGDELDEARNCRLRQDASNKREEVRLPSVVIVGDPRVYLSVGLCMSKAGLLVVEVLLTGEPVAEVGSVVLDVLLMDGPVLRFPRVVVMLDDSLMCGTMSSKIVRLKPARASPARPWWVHASTASTLRATTWTWRTCAS >cds.KYUSt_chr5.7205 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45028068:45036940:-1 gene:KYUSg_chr5.7205 transcript:KYUSt_chr5.7205 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLYIGERAASEGRRGDDTIGWRGQGPGRATLSSWAHEALLRRLSGVPDASGQNRNPGVDFVQFREYFVTRISETKNSVKREPALRHLVNRVAETLVPKVDGGHHGGGWAGGRRSMDGIEITRAIEAGDLHLALYHAGVGGGSSGGAVDDGGMGEACSWYDAGDGGGGRWPHHGDEGRLLVEAIDEPYEEDLDELSVIDRVPKLSKLVDDGLKPLVNMSLDVTQILLSAQSADGSIRKHAEESLKQFQEQNLPGFLLSLSSELANNEKPEESRRLAGLILKNALDAKEQHRKSELFQRWLVLDAVVKAQIKSLLLQTLSSPVASARSTSSQVIAKVAGIEIPQKQWPELIGSLLSNIHLVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMSASEGNSEVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSPEEKIRQAAFECLVAISSTYYDKLATYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEFSTDFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKPEWRNREAATYAFGSILEGPSADKLAPLVNVALNFMLSALLKDPNNHVKDTTAWTLGRIFEFLHGSALETPSVITNENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYVDAGSASPLTSFFQDIVQSLLVTSHREDAGDSRLRTAAYETLNEVVRCSTEETAPIVLQLVPVIMMELHQTLEAGKLSTDEREKRSDLQGLLCGCLQVIIQKLGGMESTKFSFLQYADQMMDLFLRVFACRNASVHEEAMLAIGALAYAAGSNFVKYMAQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAAELSAHTAAADDEMLDYTNQLRDGILEAYSGILQGFKSSPKTQLLMPYAPNILQFLDALYNGKDMDDSVMKTAIGVLGDLADTLGVHAGPLISQSTSSKQFLDECLSSDDPLVKESADWARIAVSRAVSG >cds.KYUSt_chr7.30526 pep primary_assembly:MPB_Lper_Kyuss_1697:7:190051428:190052129:1 gene:KYUSg_chr7.30526 transcript:KYUSt_chr7.30526 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAGVGKGAAAAAPVAATAVREQDRLMPIANVTRIMRRMLPPHAKISDDAKELIQDCVSEFISFVTGEANERCHAEHRKTVTAEDVVWAMDNLGFDDYVMPLTAFLQRMHGCEARVGAVARAPSSAQHMATAPGNGVQVQMHRAVYAPPGPAQEYAVAMEPLVQATVVSPHIVGGQGQQGDVLCTEHAVTRQYLGNGAYGGSSSRGVCGDEESSSSGAPVPATGTALSDPQ >cds.KYUSt_chr2.36846 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227570469:227572478:1 gene:KYUSg_chr2.36846 transcript:KYUSt_chr2.36846 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIDRQVASWRPAYCLGIYTRPSVKRPKLELASTFTGSARSWFFLALHLPGERFQHQHPEVESPFWKGACISGVDCGSTGSSQRPLCARSGTRASIEVDLHLGSKAEVKMAARNGIASVVALLLFTSFLTALACDTNGVEFGYFGSLGPKHWGRLNPNFTLCAKGMTQSPIDIRTDEVVYNPSLGRLHRDYEAANATLVDNIFNIALRYEDAPGTVDIDGVKYTLKNIHWHSPSEHTINGQRFAVEQHMVHISDEGNITVVSILYRLGIRPEPFLMQIQDKLSELYVEACRAEKGAPIPAGVVSMWSLRRYTHAYYRYVGSLTTPPCTENVIWNILGQVREMTMEQAAALIAPLEKGYRRNNRPTQQLNGRTVEVYRRFWKNKTNETP >cds.KYUSt_chr3.34387 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215725846:215727231:1 gene:KYUSg_chr3.34387 transcript:KYUSt_chr3.34387 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKLSPVSPVRPDDKRRAPTSSLVLKVQDSSAAEAYEQYLRLPELSSLWKGSCCPGWADEGLVTPALQALEITFRFVSVALSDPRPYASRCELARRLESLAAREVELVAALCEGERSAPLAELNASEGVLPRERSASEVWQLPGSAAAVVCHASEASLLPRLAAWERSETVAAKIRFAIEAQMQGCAFSLGLGEPNLAGKPVLEYDRVVRPHELHALKPRVAPEPKTGFRNRENEALFTIHQILESWLCAATQLLTRLNNRIEAKDWEAAADDCWILERVWKLLADIEDLHLLMDPDDFLRLKSQLAIRAGTDASFCFRSRALLHVANATRDIKKRVPWVIGVEADPNGGPRVQEAAMRLYHSRRRGEGDDAGKVELLQAFQAVEAAVRGFFFAYRQLVAAVCGTAEAAANRALFVPADGMDPLSQMFLEPPYFPSLDAAKTFLADYWVQHHMAAHSAS >cds.KYUSt_chr5.36004 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227450576:227451324:1 gene:KYUSg_chr5.36004 transcript:KYUSt_chr5.36004 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEEGRTLADYNIQKESTLHLVLRLRGGSRGGRPTTVPPNLLALALKHNEKRMICRKCYARLPLRATNCRKKKCGHTNELRMKKKFI >cds.KYUSt_chr6.21514 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135792620:135796596:-1 gene:KYUSg_chr6.21514 transcript:KYUSt_chr6.21514 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSGYLLPVHTMDGDDNARDEEIERIRHLLGVAHDLAVLGYYGNLYCEKYLNKSARRISQQIGLEWVHEQLDDRKRCYKMFRMYPDVFELDNLNDGSQPSCQRLTSQNSCFSYTTAFPVQQYSQPQPAQTKRPMRRLVRLLREAASVAEAAGNLGSHGMESLLEVLRVIAADGTLKELPASPRVAVSDLLGADAASFFVCNSDELYFNEPPPALSPDELLRSGEIYFLLPAALLARPLSSADMASLAVSASAALATMRPQRVGGKNNKVRIVPVHEELEDGKDVLFNEKLNERTLGEYGLSISPAKKSQKKPAARSRLKRALSIIQEMAE >cds.KYUSt_chr4.23257 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146489440:146491251:-1 gene:KYUSg_chr4.23257 transcript:KYUSt_chr4.23257 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNPLPTAALPAAAMDTRVPPVPMEPAVAAMLRLQGHDPDAVAGLIADVLTHVHCSLPDPPTSVDARLSSLLPHDAVDRVSSLPDVLLGNIVSRLPIKDAARTAALSRRWSGVWRSAPLVLIDSHILPAGAAIGRADTRRVTSAVSYVLGAHPGPFRCVHLTSSYMEEFHGLLTRWLQTLAVKGIQELVLVNRPWPLDLVLPATFLSMTTLTRLYLGLWKFPGTAGVPRATCFPNLRDLGFSTVRMESRDLDFMLDRSPVLETLCVGGNMFKHPLRLVSQSLRCVKIIGCSFEEISVVNAPRLERLIYSGGWTGDGVCTKVKIGHAPKLDLLGYLDARRHVLEVGNTVIKAGVKASPSTMVSSVRILALEVCFGVRNDVKMILTVLRCFPNVETLHIMSGETDQPLGKLNLKLWNEFGPIECICSRIKLLGFHDFRGDRSELAFLKFFVGSALVLKQVMIVSSFTSKEDARSKVLPLLSMKWVSAGTKVMVHCSNYQSNIRSFKRASDFSLGDPFVDC >cds.KYUSt_chr5.2695 pep primary_assembly:MPB_Lper_Kyuss_1697:5:17927692:17928051:-1 gene:KYUSg_chr5.2695 transcript:KYUSt_chr5.2695 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSPPARLAVPTALLLLLATTASAATFTCTAPPGTTCQSSMDYRTINATTIGALASAFNTTTLTNLLGANGLPSTTPCSFVVPASSTLRIPFRCLCAGNGIGQSDKLPVYTIQRLDS >cds.KYUSt_chr2.3234 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19193574:19195639:1 gene:KYUSg_chr2.3234 transcript:KYUSt_chr2.3234 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHDGGGDDGRDGDGDGLLFAGVRFALAGFDPVTESQYRADMVERGGADAGGHGEAGCTHVVVFGLVYDDPVCVAARGGGKKVVTELWVDDTLDTGAMADADRLYTAVIYRPVRDLNGIPGSQSLNICLTGYQKDGREDIMKMVSLMGARFSKPLRADKVSHLICYKFEGEKYELAKKVNINLVNHQWLEACLKAWEILPIDNYRNSGWEQEMMEAQVEDSADEAEDADRVLSRSRGIARRAPIAEIRMGTHVDPDVPKPVP >cds.KYUSt_chr4.10196 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61646155:61649289:-1 gene:KYUSg_chr4.10196 transcript:KYUSt_chr4.10196 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQEGSVTAFQISGGDVQVLQVMVKSQEKLTAKPGTMCYMSGNIQMDNNYLPENDGGVWQWMFGKSITSTVFFNPGPDDGYVGISAPFPGRILPIDLANFGGELLSQADAFLCSVNDVSVTSTLDQRPRNIEIGAEGDNQLRASLTGPGVVFIQSLPFNRLSQRIASRSVAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >cds.KYUSt_chr2.53751 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335330748:335332847:1 gene:KYUSg_chr2.53751 transcript:KYUSt_chr2.53751 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALVAPTASPLRLAGGQRYRSNWRVAAASSSAAAAGVDLKALGAAIDKKDSEEAKQALDQLKELGWAKRWSSQPYMSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLVTVVGTTSFLAVIAGQLPGDWGFFVPYLIGSISLVVLGVGSISPGLLQVAIGSFSSAFPDYQERIARHEAAHFLISYLTGLPILGYSLDIGKEHVNLVDKQIEKLIYSGKLDQKELDRLAVITMAGLAAEGLEYDKVVGQSADLFSLQRFLNRTKPPLTKDQQQNLTRWAVLIAASLLKNNKAAHDALVSAMSQKATVLGCIEAIENAS >cds.KYUSt_chr5.14133 pep primary_assembly:MPB_Lper_Kyuss_1697:5:91725124:91726438:-1 gene:KYUSg_chr5.14133 transcript:KYUSt_chr5.14133 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHTRRLDLHGISSERHSDMATPDNNLYAPVGDTCRPRVGPHTIQSRPPTQRMRGKALLRPPLPGKIPLLLISEAKISYGSTVNAAIRVTKRPENFGKMIVVIFVVGVVPFHPEESKKMQTEVFVDIDCKDNQLC >cds.KYUSt_chr5.42461 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267619553:267624174:1 gene:KYUSg_chr5.42461 transcript:KYUSt_chr5.42461 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRVQDEVEMQRRTTNRIFPDERQDQPKLPFQAVRVDRFAANKIDAKNLEKLKLLNEGHKPWEYGADPGSRMILNWNRVYLVACLFALFVDPFFYYLPFVRQQGQTSCIAKDRRLISTITALRSLADLFYLLNIVVKFHTAYVDPKSRVLGKGELIVDLKKIQRRYIRTYFLVDLFAAVPLPQVTVGLVMPGIKNPDYNIRNTTFALIIMIQYVLRMGLIVPLSNRIIKAAGVVTKSAWGGAAYNLLLYMLASHITGAVYYLLSVERQITCWNQQCLNETNISCDLNFINCEKAGSIGYLDWQSKTQVFNYCDANLNPPNFVYGMFSDALTKGAVSTSFREKYFYCLWWGLLQLSSSGNPLKTSAFIVENVFAIAIGAISLILFAQLIGKMQTYLQSVSKRLEEWRLRQRDMEEWMRHHQLPPYLQERVQRFVQVKWLATRGVEEESILQSLPADIRRDVQRHLCLDLVRRVPFFAEMDDQLLDAICERLVSFLCPEGTYISREGDPVNEMLFIIRGKLESSTTNGGRSNFFNSILLEPGHFAGEELLTWALLPKTNVHFPLSTRTVRSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLSESLSRRESYSWWSEEHPVADKPKQEGTSGGGARTAAEGAAQMHKFGSASRRFRNDDSVIRRLQKPDEPDFSADHFD >cds.KYUSt_chr7.6281 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37827808:37829181:1 gene:KYUSg_chr7.6281 transcript:KYUSt_chr7.6281 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr7.25553 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159431535:159432343:-1 gene:KYUSg_chr7.25553 transcript:KYUSt_chr7.25553 gene_biotype:protein_coding transcript_biotype:protein_coding MVELESQEAATTMKVASAKHVTATVALRVGAAATSLAAAVLVVTNRQQRWGIEVNFTMFDVWVAFVATNFFCTAYSLLTAVFVKKLIDKGWLHPVDQLVVNLQTAATAGAGAIGSVAMWGNDASGWYAVCRLYRTYCNVGAVALALSLAAFLCLGAACTLSRYPRTPARH >cds.KYUSt_chr2.1235 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7293313:7296350:1 gene:KYUSg_chr2.1235 transcript:KYUSt_chr2.1235 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWRRALCTSVRRDDRDDNGGGGSSKRRPPPARDAPSTPRTPGKLAFFSGMGGGASTTPALRCRTKPTPEPAAVAPVAQPASAPVSAKKRVPLLQALSAPASPRSPSRFALFKASILPTKARCGVCTRSVKNGGGAAVFTAECSHSFHFPCIAAHARAAASAALCCPVCSAPWRQAPFLASLRLHLDVDGASPHRKRRTSDDSAAGRKAPTAPTAGVPKVYDDDEPLLAPKSAANGSGFNPIPEADEGDEDDAAGREGEFRGFFPHPPRARAGLAVTVAPEAALVSSGKRHGKYVVVVRVKAPGLRSSSSASRRAPIDLVTVLDVSQGMMGEKLQMLKRGMRLVVASLGPADRLSIVAFSGAAKRLMPLRRMSRQGQRSARQIVDRLVVCAAAQGQEQAQNACAGDALRKATKVLEDRRDRNPVATVMLLSDTQQQQQQHDSSRKHGDHHSLRRPQAAPAATRFTHVEIPIAGPADETPPAARARSPLAPKEDAFASSPPAEHAFAKCLGGLVSVVMQEVHLDLTFLSGEITAVYSCGAGQQAVALAGGAGGNGGSVLSVRLGEMYAEEERELLVELRAPLGAHPHSLSVRCSYRDPATQETLRGAEQPLLLPPLHGGGESSSQPLHDLFVATRAVAESRRLAELSDFSTATHLLSSARRLVLQSPPTQQQQDLLGSLDTELSDMRWRRSQQAPTTPTSRSATPTGTPRASGGNGEPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF >cds.KYUSt_chr3.4048 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23024109:23024819:-1 gene:KYUSg_chr3.4048 transcript:KYUSt_chr3.4048 gene_biotype:protein_coding transcript_biotype:protein_coding MRCERHTILVYPPMLASAKHKAMKTSGTAILMMLLSLAAAALLAADATVVADVDMDIIRLPSDGDEGGLVASQVMEVAATDAVVEEKEDEPVAAVKNIGGGVLNEEIRPWACCNETLCTKSSPPTCHCLDVVDRCAAACKLCEPSATSPFRHVCNDEYHGLPGPACSDEDDEDFPSAAHSRSYPSLAAAAQLLLAFVVVFFTHSHY >cds.KYUSt_chr5.41206 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260103311:260103853:1 gene:KYUSg_chr5.41206 transcript:KYUSt_chr5.41206 gene_biotype:protein_coding transcript_biotype:protein_coding MEICGIMELEGASDILNVKLPNLLPVTWAADILTEAWWSTEERAKVITVMWSIRSSSNRWMHGEKGFDPAIAVEAVRDKLLELQLLNQMFPRMGFNQRVSGIDQREMWLS >cds.KYUSt_chr3.40579 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256012388:256014657:1 gene:KYUSg_chr3.40579 transcript:KYUSt_chr3.40579 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPFVPPQQPPYHPQQQPYPQYPPQQQYAQFQGHYFPATNPLQQPVVSQVPQQVIPPAQPGQQKKRRKKKPVVAVGTAGGGTVAPPALMQGHMQDPHPAVGPSAVLETVPAPLMPVVPPVDAAPAATAPVVKPKKAGRCWKCAVNTHASKDCKVPHYCLVCDSGAHPTIRCPVLKTPRPMSFFVGCGNDATLDLQVPDSVYKPQLLSSGAPTALVQVSGEGTVAAADIQNLMARMCPGNPTWKWEAQPHGDNAFLIGIPTAEDLSRIDGMQMSVPKINAQAMVSSWTQQDVVPEFVMEPVWVHVEGVPNALRHFLGLWAVGTFVGTTVDVDLYTLKSQGIVRIQVAMRDTSVLEKDKAKHGPPCLEVLARLQLNGYRFRFRREPSEYAPDPRFRPFFWKGEDEDDAAHGDDDGFDDAAAEGAPGASLMDVDGHASLPTSGSASVPVNQSFVGVATLGGNSASVACSDFHAGEDSSGVFVSCFSRWSSSCSHSVFYLATDGEGAATGFFFDGWLVGLGGAATRRGGGGAAAAGLELGVAAAPCRCCGDAAAHPWSGGGSAAGAAPGRWDGGAAATAPCLGDTAAAGQGQGGTACSPGHAWAAAGLGPERPVAGRRAWGGAVLAPCASGGGAGLVGEAPRPVPYVATQQIPGALAAYGGFGVAVGFGAGGFIAIYPCIEIFFTSVRTVFFTTLVSASASGPRFASSPHYSSTSGAAAGEEEWEVCYDGRWRGGYG >cds.KYUSt_chr2.48334 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302217244:302218540:1 gene:KYUSg_chr2.48334 transcript:KYUSt_chr2.48334 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVSSPLTSPLGSSEETDKKGAVVKKLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTLYQVIHSARANAAHNHGLDPDKLLVEEAFVGKGLYLKRLSYHAKGRCGVMERPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKEKQLMPHRLIEVSPKWARKRKEESGATE >cds.KYUSt_chr2.5082 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31524996:31526029:-1 gene:KYUSg_chr2.5082 transcript:KYUSt_chr2.5082 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLLSAKNLKNMNLFSRMEVYAVATIAGYPITQQCTPPDPHGGRNPTWNATVWFAVPPIAREATCGRLHILLRMKRMFGADRDVGEVILPLAELFTGVGCHGCDYIDGPTLPQFASYQIRNAHRRDARSVLNLTYRLGPVFTPQQQQYASAVFAGKTGQKCGRPAFLCKTIRACARAFARETGRTWDRGAADTETRPTTCKTRRACGRGAAVFKLKASRTWRHATVSETSRTGHVSAAFCKNSPTGGLHAEVISVSKTNREGRVHAIFSKTSRTGRIHAEAASSAI >cds.KYUSt_contig_528.653 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:3941676:3942656:-1 gene:KYUSg_contig_528.653 transcript:KYUSt_contig_528.653 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHVQGRKEGLAHPVVLGDRVDVVQGGGLPELGKKDGGRVDVESRKKMLELLQALAFLKKSRVERRASQFRSTVKWWKVGMLAFLGTSQEGGDEPR >cds.KYUSt_chr4.45028 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278828880:278829254:1 gene:KYUSg_chr4.45028 transcript:KYUSt_chr4.45028 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTNTTVLHVSQTFRRRGSTTVPRLRPSFRCQSRLPTEPPISGGGGSGGGGGKKRAWWVAMAERAHGSVVKAGMAVQENLSPKQKGDWKDVALMSLSFAVYVYISQKIVCTYCAYVSMIHHH >cds.KYUSt_chr7.40948 pep primary_assembly:MPB_Lper_Kyuss_1697:7:253832889:253837472:1 gene:KYUSg_chr7.40948 transcript:KYUSt_chr7.40948 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVGKAVLDGALGYAKSKAAEEITLQLGVDHDVSFIADELQMMRSFLMTANEEQDQHKVLMTWMKQVRDLAFDVEDSLMDFGLHAEKKPIWSCIPHDPCDRRRIAKEVKDLRAKVEDVSHLWYCLITEGSSSSKKTVAAAAAEEQAMFGINEARLAALEEGKPNVELLQLVTSEQENLGVIAVWGTGGVAETSSGTDKVIVTENNPAKYTSEIEEEIQPEKGPGGDMVNNNNLPPVKKFHGSITNALVEEVLTGRETEQYHLISLVGEPCKRTNLVGDPENNQETKVISVWGMGGLGKTTLVRSAYRSQQLGGWKRAWVTALWPFDPEALIRSLSLQLLNGAREDPTGKTTTGKEKKSTATMGLQELVKELSGLLNYKCLIVLDGISTTPEWVLVFEDKTESVDWILDMEEQGRLILKKCEGLPLAMSTIEGYIIKRKRLVRRWIAEGYCKEVHGMTPEEVGEGYFDDLLEYGLHYPYTMRFLRVLDLEGVLRLGNRHLDKIGKLHRLRYLSIRRCNYIWYLPKSIGNLSHLQTLDIKGTHISELPTTITKLRKLQHLRTTRDFMRAREKNDMFIDDYRRVYGLHRLSLLRAKNSERQTGCTILLPQILRMCLRQATLLRSLVESFWSAQHSELGLSLHDTLNLHRALWVCLHNDTNGFKAPKGTGKLKSLQTLGVVAVAMRKENALKELTSLTQLRKLCVAGIKKENREKFWSAIAAHNRLCSLKVYQAFSSRTDGDGLDGCLGGDLLPPKHLESLKLDGFLVRVPHWMHHLWNLSKLDLKFSGLGKHDEIQTLGALPNLAVLRLRVGSLVMNQLHLLGSSFPSLVVLELSGLSQLNLIFEKDALAKLELLETDRYREMEGLQFLKNLKEIRLND >cds.KYUSt_chr7.41130 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254830348:254831794:-1 gene:KYUSg_chr7.41130 transcript:KYUSt_chr7.41130 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTSGEQQQHLHARYEVEEIRIGEGAYANVYPARHRLTGRHVAVKIFHAPTHAEEGGEEGAEREIRIMRQLRHHPHVIRFYEATVIASPDVGDSKQRKKKDKTKKQQPQQVCIVMELAESGELYNHVLASDRGLEEGEARRVFRQLVSGVAYCHRNMVVHHDLKLENVLLDAGKNVKLADFGFSNFFGPVQIPGAACGSLLYAAPEVTAERTEDEATRYLGPEVDMWSCGVILFAMLCGYLPFDGPDDDEPAIKRRIASGKVKIPSRISDDPRALILGMLQVSPHRRLTVAEVCHHPWLQHSIPRYLAMPAPLHDANTQILRVCDRTVEDIVKMMVGLDKHNLVELLHNGVENQATVAYYLMLDKRYDAPTRYMWLMRD >cds.KYUSt_chr1.32197 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195526900:195527694:1 gene:KYUSg_chr1.32197 transcript:KYUSt_chr1.32197 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSWVKRRAPRSTSTPCPSIELVAAAATPSLKDILSLLHPEPAANPPQPGAPSPRVFHRLRVAASALRLLRALQPAQVAAGEETQVACDEETQVACEEEAQAAAAAEEEGGRLVLYFTSLQAIRRTFEDCSAVRAILRGLRAAVDERDLSMDASFGPELAALLPGRPLADLTLPQLFAGRRHLGGADEVRRLHESGQLARIVAPAPAPCARCRGVRFVLCGSCSGSHKQFTLKLSGVGGRFRECADCNKNGLVRCPACFPPAA >cds.KYUSt_chr2.45886 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286384575:286396021:1 gene:KYUSg_chr2.45886 transcript:KYUSt_chr2.45886 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAADIPVSYSSSSTSPTSSIWESQQIMQIHAPLPSWSPHNGTLLVSATTDPVAFSMVPSSSSRSHLPSGHLWNKTVLNTRAHGSSSEHGGGNGHGKDFLSLLEARKVMPEMLDDFPAAACDYLKGMDSGDYSSMAGSSTYGLDSSGPYTGSSPPLTRHDEVLKSPPVYLGNSTLVQGSVMGFMPSQNHEVQQDGDQQQELGAPTGTFLHHMIPSSGAIHGSSLGYSGSGNERVFHESGEMQVSFDALSSLDAISFSGYRSNKELTHISQYEQHTISEQPKARTSSRSASGAAGDPKKRKSEENLGGNGKKSKQDTSITSPPKAEVPSVKLGERDKIIALQQTISPYGKLLSEPYMEHITNKVGLNVVVSMAGSKKQGGDHLGVRKMPNASERARNRASPFPVVRLYEHLSSDQKNSIGDMDLGSMLDIKCHVLHNPLISWLAPLFVANIWSKKDVDTVLDADLKRDGSGYGNLERKDKVARLMGEFKASGLTGLMSKLVQACGAKAPCQTDKVPVVNFSDNMSDGESYHSGNDSDYVDEAVADRFVIQSRRHVDGGKLFIKVRLQDLHHDDLLEMADPVGDVDHHASASTGTTVVVARDLEQNATTSPQDLSAYHVSVVNTSASDKSASPSEALGNADGHDSTISADVVKESKLQMCHLSLLSDDLEVLYITPALPVPVQNLPVASRTPRTRLRMERVVLPSKFMLPPYNRVTCTDEQELLYQQVIKHNGDYEHSKIKRIKVLDD >cds.KYUSt_chr7.37891 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236309372:236310796:1 gene:KYUSg_chr7.37891 transcript:KYUSt_chr7.37891 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLRTSLVAPSPSSGEATLPERSLPLTYLDAMWLHTLPVERVFFFHHAHEHGGAVDVLLSNLQDSLSNALRSFYPLAGRLRLAPGTPNRYELHYRPGDGVSFTVAEYDLAGVDELATDGPTEVAKIAPLVPPLPEGGAVLALQATVLRCGGLAIGVTVHYAACDGACSTHFLHTWAWEAASPGAVAPEPPVIGRTFIRDRDDLYDTFAAPRSYKSRDGKPVRSPDKLLATFALSEEHLRSIKDTVAREAVRRGVSPPPPRPTSIVATYGFIWHCHYWAKEGGGAKGEPSGGTSDRAYAVFAADHRARLDPPVPARYLGNCVGLCFASASRKELLTAAGASGLFASCSAVAAAIDEATRRGDGKRGCWDGCLERVVEAYGAGVPLTLAGSPRFRVYDVDFGFGRPAKVEIVSVARTGAMSVAEGRGGTGGTEVGISLPPDGMARFQRCFADAVACLSASSPPAEAQLPGSVAV >cds.KYUSt_chr3.34668 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217411001:217413253:1 gene:KYUSg_chr3.34668 transcript:KYUSt_chr3.34668 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGAQSAIPAAAEPDVELGHLGGGRRLGGESSGEEEEGSQRFSDAEDRSWHSRQNSAALEDHTSTSAPIRPGAGAGNGEGEGAVGRERKSCVSEGSLDDVDLEAGPAEITKASPDKDELNCRICHLGLENAAAESGAGIVLGCSCKDDLSCAHKQCAETWFKIRGNKICEICGSAACNVVGFGDGEFMEQWNESSNSGATQAPGNEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >cds.KYUSt_chr5.4670 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29760912:29761199:-1 gene:KYUSg_chr5.4670 transcript:KYUSt_chr5.4670 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQNSAPALMARKKTETAIQIQKWPCSPQLLLATMAGQERGIKEPCRLELALPLATMAGRESNFVAAASGIGRAWSHHLIGEDGIALPPALLQP >cds.KYUSt_chr7.32906 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205204257:205205858:-1 gene:KYUSg_chr7.32906 transcript:KYUSt_chr7.32906 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVGLMAAPEMHFLLVPLVAQGHIIPMVDLARLIAARGPRVTILTTPVNAARNRPAVDAAANAGLAVELVELPFPGPMLGLPEGLENADQLVDRTMYPKFFHAIWKMADPLEEYLRALPRRPDCLVADSCNPWTAGVCDALGIPRLVLHCPSAYFLLAVHNLSVHGVYDRVGDDETAEFEVPDFPVRAVGSKSTFRGFFQWPGVEKEQQDVLDAEATADGMLINTFRGMEGAFVDAYAKALGKSTWAVGPTCAAAGITGDADAKAGRGNRADLDAGHIVSWLDARPQASVLYISFGSIAQLPAKQVEELARGLEASGRPFVWAIKEAKADAAVRALLDDEGFEERVKDRGLLVRGWAPQVTILSHPAVGGFLTHCGWNATLEAISHGVPALTWPNFADQFSSERLLVDVLRVGVRSGVKLPAMSSTGVDVGVQVTSEDVEKVVAELMDDGTEGAARRSRSKELAAEARAAMEEGGSSYTDLGDMIRYVSELSRTRSHERKASSTSLPSMATDLGSKNGHEKVEADAALSVHS >cds.KYUSt_chr4.11061 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67121761:67127635:1 gene:KYUSg_chr4.11061 transcript:KYUSt_chr4.11061 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPAPAPAAAGADAARWRPRRRAAVAGALLVALLAVAVSSRSFPAISSYSRGGGCGCPGARKYTGMVEDCCCDYETVDAINEEVLNPILQDLVALPFFRYFKAKLWCDCPFWPDDGMCRLRDCSVCECPDNEFPEPFKKPYSGLSPENMICQEGKPEATVDRTLDTKVFKGWVETDNPWTADDETDNAEMTYVNLQLNPERYTGYTGDSARRIWDSIYKENCPRYPSEDMCQEKKALYKLISGLHSSISVHIASGYLLDESANEWGHNLTLLHDRVLKYPERVQNLYFTYLFVLRAVTKAADYLEQAEYNTGNPVEDLKTQSLVKQLLYNEKLRSACPLPFDEAKLWQGENGPELKQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGENHLNQQVFCKKVRGLVVFTPPFLHTGKVVYMKGAAS >cds.KYUSt_chr4.9842 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59512132:59514539:1 gene:KYUSg_chr4.9842 transcript:KYUSt_chr4.9842 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAASRYASYESQSPSPSPRRAGAGPAPAAAASGTPVQQSRALVPVRSGRADLRCQAPPQPHGNLGSVLRRLISMDKKPSSKNLLPVPPAPAKNGGGGGKLPALSRKLFQKAPPEGKRKALTEVKNSGNANTRTLATVLRSERELLSQSKEQEDEIAALRRQLHQKDTEVERLKDLCLRQREEIRALKDAVLFPDAQPDRHVRDEISTLTGQIQCLAEELAQVKAEKNTSRSCFDDGYCSSPSTPVLNEETAFSLECSIGEAETPNCGSPDEMFVKDLNPCLTPFSKSRSEEYEESLSSQRSNSSKARPAHHLSFGSSRRPMSKSSDHHKPTSGTGSSSKRRVHRSDQDKIYQNLF >cds.KYUSt_chr4.53846 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333151403:333151879:-1 gene:KYUSg_chr4.53846 transcript:KYUSt_chr4.53846 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDGPTLMHCTGKTRVAGRKVTSHPTLKACPSKRKADVAHMSGWMNACTSKNKAGEEDEAPENVSTESMVARGEALEAPTKVKMLMAHEDIQSILSHKARGDSFKEFQASVAKEVEETGEFVVSEEHLKNMLEARAWMREEMSNLRSDYPDVVFEF >cds.KYUSt_chr3.38393 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241840256:241843495:1 gene:KYUSg_chr3.38393 transcript:KYUSt_chr3.38393 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEDERGTASKHHHRDKEKNREHSSSRRHRESRSSSRHHRDDRDGERDRDRDRKHRDKERDREERKARERAEKEREKEEKKEREREREREREREEMEMEREREREREKEKEKERERARRREERDREKEKSRRRDAVDDEENGDHDRKHRRRSSHHHHHRRVAEPEATPPTREEEEDGEEAQRRRQKKKEEDMEAEQQRLDDEMERRRRRVKEWQEKKRLEQQLQQQDDGASGVATSMETDGGSKSGKKWTLDGEESDEEDAKKSDEEDSKKSEENAGTDAGAMDVDLPSRSIHGNSGPAMEEDEIDPLDAFMNSMVLPEVAKLESAPTAMASVPAANAGDKNDKSVKSATSNGDKKRPIKAMGRIMQGDDSDSDYDDADSDEAGSDDEDDTEFIKRVKKTKAEKLVIVDHSKIDYQPFRKNFYIEVKDITRMPADEAAAYRKLLEIKVHGKDVPKPIKTWIQSGLTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGVAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIYSDIKKFSKALGINCVPIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVLDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPIEIQMGGRSVVNKDITQLVEVRPESERFFRLLELLGEWIAKGKILVFVHSQDKCDSLLKELFQHGYPCLSLHGGKDQNDRESTLADFKSNVCSLLIATSVAARGLDVKDLELVVNYDVTNHYEDYVHRVGRTGRAGRKGCAVTFISEEEERYAPDLVKALELSEQAVPQDLKALADRFMSKVKQGTEQAHGTGYGGSGFKFNEEEEEARKSAKKAQAREYGYEDEKSDSDSDEEGGVRKKGGDVAAQAIAAAQAAATLAAARQQVPATVANPLLPLPVAPNQQNNDATLRALQAAFNVQQSLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLGPIQEWTGAAITTRGTFIPQGKVVGANERKLYLFIEGPNESSVKKAKTELKRVLEDCANHALNLPGSAQTGKYSVI >cds.KYUSt_scaffold_2697.783 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4952196:4954993:-1 gene:KYUSg_scaffold_2697.783 transcript:KYUSt_scaffold_2697.783 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTTVHGTITGVDISMNTHLKTVKLTLKGKNPVTIDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGQAVQNLPGGVRWSRLPNPGLSGLETPDCLLNSALVRAQLNCKL >cds.KYUSt_chr1.26920 pep primary_assembly:MPB_Lper_Kyuss_1697:1:162452367:162455955:-1 gene:KYUSg_chr1.26920 transcript:KYUSt_chr1.26920 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQYLLSRHASKRVMAPSHVTFCNVEQLHIYNRSWEATAKLISGRIKNNRGGDLIYHAILVDENTRFLRVLDLRQAVVASCWRQAHRNSEERIRLGTFDTTHEAARAYDAVAWCLGRPRQQMNFNDIWTREQEEMLAPPSPAVTTEQRRRARELEQRLHVAEQAERLRLE >cds.KYUSt_chr1.8384 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51565261:51567240:1 gene:KYUSg_chr1.8384 transcript:KYUSt_chr1.8384 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTAASMASGGMLPLASLNHISVVCRSVEESLHFYMNVLGFVPIRRPGSFNFNGAWLFNYGIGIHLLQSEDPKSFHEKTEINPKDNHISFQCESMVAVERRLKELGISYIQRCVEEGGIYVDQIFFHDPDGFMIEICNCDNLPVVPLADHTFTVATCKRAATAAVQAPPLQATVTAAAAQCVSSVSKTMQLVSGEEASHISCM >cds.KYUSt_chr5.37970 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239828415:239830226:-1 gene:KYUSg_chr5.37970 transcript:KYUSt_chr5.37970 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVLVFGGGAEAGFLSRSKNITVVGSVYCDACSNNTFSKHSFFLIGARVLIKCSFKVNNSTSVAPEEISLEAERTTDQHGVYKLDVPPVDGFECREGHELRSACRATLVRSSSSACNVPGLRGSTQHIALRGSHGAASAAACFLNLNALNFRPAKRDGALCHGGGGSNGDAFESSLFFWPFLPLFWPAPFGFPFPPATGGGGSAGGTVSFPWPFHVPEWLVPFLQPPFLPFPLYKPAPVSSAPPPFERFPPPQRTAARP >cds.KYUSt_chr7.15934 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98890466:98892331:-1 gene:KYUSg_chr7.15934 transcript:KYUSt_chr7.15934 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKDDDYEDDFEREVAFKRFVNHFLMLRNPVPLVEFRLTYSMSDDDRSDSDDANDWISHALQWNARVVKVVNQHEPLEIDHEVFTSSFLKRLHISSADLFPGFFSQLQTGCPALEYLFLFDCLIMDHEIFSMTVKVLILSKQVAFSDQASISAPSLIYLSIEGDIRSGRLPVLKSMASLETASALISGAITDCDADAIRQFLGGLSNVTSLDFRYRDQKLTMEKNFQWCPRFSKLVDLTLNRSCVHAEFYALIVFLQNSPNVKKLTLKLNQGYASAITGELEDRSFTCEQLQIVKIKCSETSEMLPRVTQFLHARGIRPDQMRINRRN >cds.KYUSt_chr2.26478 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162205100:162206026:1 gene:KYUSg_chr2.26478 transcript:KYUSt_chr2.26478 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSMASILPARGGAMPSGNQAFDYFLVVDFEATCEKDKRIYPQEIIEFPSVLVNGTTGRIESAFRRYVRPKYRPVLTEFCGDLTGIHQEDVDGGVDLGDALLLHDSWLKAATGARKCIRLAVVTWGDWDCRTMLESECLFKGIAKPTYFDRWINLRIPFAAALGTGGRTTLQEAVRAAGLEWEGRLHCGLDDARNTARLLVELMRRGAKIEITGSLAPPPIQEHEQQQQQQKPHVSPRWITSSPIHQQKPHISPCGGALGACFCYCGVASRGGVVAVPGPMQGKCFLGCGNWTPAMGAFCPYFVWSN >cds.KYUSt_chr5.42194 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265928910:265930662:1 gene:KYUSg_chr5.42194 transcript:KYUSt_chr5.42194 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAAALRRSGSNSLSALLRAEQEEDDPPPAPAAAAPDATKQRGPGGGGRARGRTRRSYLRLPLAGAGGCRVCACDEAEPAPPRRRLPGDAEDEAAPAEEEGPVPAAALQCFSWKKPPAIPVTRRGRPLAPEDSLAAGVVVTSEEFPATTLAALPDDLLEMVLTRLPLSALLAARCACRRWRDLTVAPQLLRLRREAPSAAVAPGSAKTHKGVLVYSPLTGAWRKAAPMRGARSRPVLGVFEMSASRSAAHARAEKHVRRANSNGGGKFRLGGTSAVYEDPHRLSLRRLRLRDVLTDDTTDSATAPAARAHGKVVPKQPNKPAQPRQALIAVGGRGPWDEPLVSAEMYDPVTDKWVEIAGFPTDVGLACSGAVCGDAFYVYCESDTLVAYHLDAGAWSVVQTSARAPPRLRDYAPALVCCASRLFMLCVSWCDPAGRRDKVVRKVFELVDISSSSSSSLPKWAEASSHPDAPMDPNAAFAAGQGRIYAVEMFRIFGKVLDFATSCGVSADAAEQEQSWSRVARSNAAAEADAMSCRLKSMAVLQL >cds.KYUSt_contig_1253.871 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5414464:5421991:1 gene:KYUSg_contig_1253.871 transcript:KYUSt_contig_1253.871 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAIPSRQLFIDGEWRAPALGRRLPVVNPTTEAAIGEIPAGTSEDVDAAVAAARAALKRNRGRDWSRAPGAVRAKYLRAIAAKIIERKSDLARLESLDCGKPLDEAAWDMDDVAGCFEYFAGHAEALDKRQNSQVSLPENFKCHLKKEPIGVVALITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNIVTGLGPEAGAPLSSHPDVDKVAFTGSYETGKKIMIAAAPTVKPVTLELGGKSPIVVFDDVDIEKAVEWTLFGCFWTNGQICSATSRLLIHKDIAKEFVERMFAWSKNIKVSDPLEEGCRLGPVVSEGQYEKIKKFVSNAKSEGATILTGGVRPKHMEKGFFIEPTIITDINTSMEIWREEVFGPVLCVLEFSTEDEAIELANDTHYGLAGAVISGDRERCQRLAENWILRQSVEEFFLNENDVTTDHVDASHGVDATLVRPEGIGGRSGLALCWLTEATPGSKEEEVKN >cds.KYUSt_chr6.2620 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15307380:15307724:-1 gene:KYUSg_chr6.2620 transcript:KYUSt_chr6.2620 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGRRWKGTEVLSWRAPRWREVEGDGGSLSVIAGTEGRGGDGGKRRVEGTAATEGARKVRIGGVGRGQGLASSDHRDVGRGGGGWRLRWRGRGLTSNDPGDMGRGGGGWRLA >cds.KYUSt_scaffold_869.1131 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:7191187:7205225:-1 gene:KYUSg_scaffold_869.1131 transcript:KYUSt_scaffold_869.1131 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPAAASAAAAISAVMDWRSSPDARNAAFAYLESVRASPVLILIPAHVKSGDVRALASTSLLLVRKDQASEIRLHGFKMLQHLVRLRWEELSVAERNEFANLTINLLSEVIDPREEWALKSQTAALVAEVVRREGVNLLNTLLPSIVSLSNSGPVEAEVVAMILRWLPEDITVHNEDLEGDKRRALLRGLTEALPQILPLLYSLLEKHFVAALSEHTKQQMELAKQHVGTVTAVLNAVNAYAEWAPVTDLARYGLIHGCGSLLSYSDFRLHACEFFKVICQRRRPVDVAICEYDAAMSNIFQVLMTSSQEFLTKSRMQPSAIDENEYEFAVCVCETVVALGSSNMQCILADGARTSQFLQQMLEYYQHYRIALHFQSLLFWLVVLREPSKAKSVARVSGDPSPAGNLASIGGSSTEKEKKGVSVFITDEIYSTILDVSFKRMLKKSASSSSSLLELWNEELEGKSDFSNYRTRLLDLIRVVASQRPVIAAANILQRINVVFGDANQATKSPQDLDAMVGAQLGLETVVSAIFDGSGDYTKTDQETKFQIHRTFEGLLQQLLSLKWTEPSLAVIHGHYLDSLGLFLRHYPDAVASVVNKLFELLTSLPITIQQQDPSNNSRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHDHLCEAFLIMASSSGIQQQQEVLTWLLEPLNKTWTQVEWQTAYLSDPSGLTRMFADSQFMWSIYHTVTFFEKALKRSGTKKSTTAPQAATTTAATGYLHPMSSHLSWILPPLLRLLRCIHALWAEPFAQSLTGEIKAAKSMTIAEQASLLGETSKLTKGQVAPADGLLDVQREGESKENNIRNWLRGIRDSGYNLIGLAATLGEAFFRCVEGSSVTLALMENVQVMEFRHLRQLMHLAVVPLVKYCPAELWQIWTLNLLQPIFVHCQQALDYSWSSLLREGRAKVPDNFGNLSGSELKVEVMEEKLLRDLTREVCSLLWVLASPGLNSGLPSLEQLGPANRIDSSVKDLESLASSSLTGFLMLNVSTALPALKITVEVFSWTDSEAVTKLVPFCGALIHLAVATNRAELRQFVGKDLFSSIIQGLSVELNAVISAELVGLCREIYIYLSDKDPAPKQVLLSLPDMKQEDLLAFNDSLSKTASPKEQKQHMRNLLLLATGSKLRALASQKTTNVITNVTSEWT >cds.KYUSt_chr2.36465 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225098920:225100179:1 gene:KYUSg_chr2.36465 transcript:KYUSt_chr2.36465 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLIKAPIGQNPRLACQAPGRSRGSVRCSLSSVVGGRSEWLSSCAVLSSKVAALGPHSVNGHASPAPTPAPAPEGTVLDLIPVSSLTGGAKKNLPVPLRIADLSPAPMHGSELRVAYQGVPGAYSEKAAGKAYPACDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKESITRVISHPQALAQCEHTITRMGLNVVREAFDDTAGAAEYIATNGLRDTAAIASSRAAELYGMEVLADGIQDDSGNVTRFVMLAREPIVPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANRGTAKHFEYMFYIDFQASLAEPRAQNALAEVQEFTSFLRVLGSYPMDMTPMTAGSSSTVVSSDS >cds.KYUSt_chr5.21120 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137589185:137590130:1 gene:KYUSg_chr5.21120 transcript:KYUSt_chr5.21120 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPAAPGAHPENQQAAQWTASRGDQELPPYVITGEPAPARRTDKEKDRPMEHILDFFNTWSRKAEELSSNIWLNLKTAPSMSDAAMGKLSLGAKAITGGGFDKLYKQTFSSSADEHLKKTFACYLSTATGPVAGTLYLTNMNVAFCSDRPLSFAAPSGQTAWSYYKVVVPLARIATVEPVTAKENPPERYVHVVTVDSHDFWFMGFVSYDKAVHHLSSVVSSQHGAGAAPTPAASYQ >cds.KYUSt_chr1.36133 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220259977:220262753:-1 gene:KYUSg_chr1.36133 transcript:KYUSt_chr1.36133 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTRARRSNKRAAPSVLQLVWAFLAVLLLILLSCSPCQGRKLLMAEEPGSKVMHFEGGLVLTVSLSARGKEAVAAPTGFSRAARSMRSVPSPGIECELTVLRMEKDRVCLCMSQLKIIIAHRSQDSAGKSTVRMQYFGRSTVDGNYMKIPIFPEVEGSQKGEPRGPEVGPPHRPARPKAWPRRPRIVAKSHSRLCGAENTREKRALRRAGIRWGNSLPEGEIDAIVTVIELDIISITIDIISIIITAISTAAPRHRHNNSGWILIV >cds.KYUSt_chr5.36249 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229116548:229117120:1 gene:KYUSg_chr5.36249 transcript:KYUSt_chr5.36249 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSPFFPSAPSSASMARSRIESPGSSSRGVFREQRRCYGFRGTAAGWRRGTVGADGSDFAGTPWNEPVPSGSAGKVAVLETLDLYGRRRTLAAGRWRRRLRGGGFGGGNWGRRRERSRKDRQRARKRRVRRREGWWWSEVGETAAEELEVAVGSIGFGWSESVEWPSASGEREEWAAGRLRVVTASAV >cds.KYUSt_chr2.44947 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280004322:280005189:-1 gene:KYUSg_chr2.44947 transcript:KYUSt_chr2.44947 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGFALTLCVLLAHDVVWEARAASYTVGNSAGWDISADLPSWADGKTFNVGDVLVFTYSKYHTLDEVDAAGFSNCSAANALLSRSDGNTTVALTSGGDRYFICAHQTHCLGGMKLHVHVTGGSTAAVPAGAHSSRKRGTMPRPLTRIRRPGFGFGNDVGDGTIRWPKRVPVC >cds.KYUSt_chr4.14396 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88752498:88754902:-1 gene:KYUSg_chr4.14396 transcript:KYUSt_chr4.14396 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSHVEEEVGKLKEEIQRLGQQQPDGSYKVKFGVLFNDDRCANIFEALVGTLRAAKKRKFVTYDGELLLQGVHDNVEITLFPPPAVAAA >cds.KYUSt_chr1.37143 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226923786:226925349:1 gene:KYUSg_chr1.37143 transcript:KYUSt_chr1.37143 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRFGGPRRSSPRRSNYFGYRPRAGSDADRRDEQRRWEDERRREEDWRREDENRRREEERRHADQERLRFEERKRQDERRRLDEERQREATRISERVARERALAEKSRKDEELRARDRWAHRSEMVPGTTSNFNTTRSAEVSPVAPSLPSSNTAAADVHSPHLQNQNRSAVVADSSQSLVSLPEPVGSSVPPPVPASTTAVTRRVDVPLVNLSCFYCNGDHHILFSKKITIRREQLNYAHITVEKGEVNRRNIEHEFNVWAESMKINWRFFAKEVSATEFRTRFPSAKTIEELAHFGKLFMRTVPGAIISLEKWAGDIEPISIMQEAWFRIKGIPMKFRNKSTVYYAASLVGKPLALDKNYLRHFAYVRVKIGSQDLALVPNSRIGEIKKGFYEFQYSRELFDPSSNTGNKTAVPTDAQGGEGDQGTPKRQRTGMQDSDAGSQSAPPKVSGNYKGSHRQTAMHESPIPRKDTGKRKLFELELPCAPEKNLV >cds.KYUSt_chr1.5313 pep primary_assembly:MPB_Lper_Kyuss_1697:1:32678150:32679746:-1 gene:KYUSg_chr1.5313 transcript:KYUSt_chr1.5313 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMACSDFSGAELSGHEPFVLQARIPDGHHQFLASDLFQDHRAPQPKGDAHATIATPPLAFAVRRRDPELVGPAAPTPGETKRLSDIDDQGTLRGHVSFALVYRGRVPEDGDFAQGDPVSVIRRALGEALVHYYPLAGRLREVEGRKLVVDCTGEGVLFVEADADVRLAELELGAGLRPPFPCMDQLLFDVEGSSGVLGCPLLLIQVTRLLCGGLVLTLRLNHTICDAIGIAQFLNAVAEFARGLPLPTVVPAWSRDLLEARSPPSPSFPHREYNHVPLPPPPPSAADDDMVMRSFTFTSADIAAIKKRLPPLLRDTATSFEVLTASLWRARTEALEIPQGQDAWLVFIANFRAFPELSLPAGYYGNACVPPTALTDAATLRHGSIGDAVALVRQAKATVTAEYVRSTLDVLVLRGRPCLAVHNVFAVSDNRHAGFSRVDFGWGKPVYGGPADTIFGVGFFVAAKDRDGKDAVVVPIVLPRPAMERFAAEVGKLCKA >cds.KYUSt_chr5.4366 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27817798:27819744:-1 gene:KYUSg_chr5.4366 transcript:KYUSt_chr5.4366 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPPEARAITAAAAPDLSLHISPPSPDTAVAAVKRHHFLEVLHRPTQTHGFKESSFAVARPAGGGRKRSSRAPRMRWTTALHAHFVRAVQLLGGQERATPKSVLELMNVKDLTLAHVKSHLQASHGQTRDMGFLRRGAIGDDVITRFHEFNCDMVNHTTNRVF >cds.KYUSt_chr1.42256 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258703717:258708977:-1 gene:KYUSg_chr1.42256 transcript:KYUSt_chr1.42256 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNVVIDDDQYSIPQDDDATAAAEKAKPAKKGGKKGKKGAKSAAHDDDDDYEPAAPPPPVADEDGDEPINLVFTGKKKKKKGGGGGMSAFDALAAAEDEDGEDEPPAASSAAASTEADGSDLGDGDLDFDFSKAKKKKKKKGKGARPSTPDEDEDDDYEPAPTPPEEDEEEQAVAAAKKPQKKKKKKGGFTVDDEDIDKLLAEMEDPPQPATQEAEPEEAKGGESVAAPDADDAGGKKSKKKKKKGGFTVDDEDIDKLLAEIEDQPAPAEEPKPKAAQEEVTVAAAASVVDDAEGKKSKKKKKKSGRTAQEEEDLDKLLAELGEGPTAPEKPSQAPPSAPAVKEDEEDGNAEQKAGEGEVESAAAKKKKKKKEKEKEKKAAAKGGEVKKEEEKEVEVPKGKVDMKKLPKHVREMQEALAKRQEAEERKKKEEEERLRKEEEERLRKEEEERKAEEAKKRKKEREKEKLLKKKLEGKLLTGKQKEEAKRLEAMRRQFLEQSEVQVADGAAPEIKKKPKYESKKKKTQTKASESLKVAEEQQPEVNEANIDEEEYVMVDQESQSQVEESETKTEPDQEAEESKQEEEEDEDDWDAKSWDDIDVNLPKTNAFEEEEEKEEKPVTLPTVPAVKNVAPPVKNTKKSENEDAGPSNGVTKRNKGKKGSSSKDDDTKNDSNLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTRELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKDREAVFIVALNKVDRLYGWKPCPNAPIGKALRQQNEDVKMEFNTRLTDIVTQFKMQGVNTALYYKNKEMEDTFNIVPTSALSGEGIPDLLLLLVQWAQKTMEEKLTFVDEVQCTVLEVKVIEGHGTTVDVVLVNGMLHEGDQIVVCGMQGPIVTTIRALLTPHPMKELRVKGTYLHHKKIRAAQGIKISAQGLEHAIAGTALYAVRPDEDIEDLKDAVMEEMARVRNRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEESGVKIFVADIIYHLFDQFTAYIKNVKEEKKKESAEEAVFPCVLKIMPNCVFNKKDPIVLGVDILEGIAKIGSPICIPSKEFIDIGKIASIEINHKQVDTATKGQKVAIKIIGTNSDEQQKSFGRHFEMEDELVSHITRRSIDLLKANYRASPTFPVYSSAFSLSAYTVARPLVTNSFSIITTG >cds.KYUSt_chr5.30810 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195148650:195153425:-1 gene:KYUSg_chr5.30810 transcript:KYUSt_chr5.30810 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSISKPINMGSSFEDLDERMSKVETSLNPVEGKGQATPWHLDFGDSDSEEEVDDIESEQGSDDEKSETGDGARDGNKNRYLSKYTQDSDESDTESHRVIRSLKDKRNDEMKATADQMRNAMKINDWLSLQESFDKLNKQLEKVVRVNESAKIPNGYITTLVLLEDFLAEALANKEAKKKMSSSNARALNAMKQKLKKNNKQYEDLILKCRENPASFEDVVDEKNVDDSDDDDDDGSGEDLVDPDKMDGSESDESGKENDEGEEGGGWEKKLSKKDKVMDKQFLKDPSEITWEIVDNKLKEIVASRGKKGTGRVERVEQLTFLTKVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVHVWKKCVNNLLLVLDILQRYPNIVVNTSVEPDEKETQKGADYDGTIHVTGDLVAFLERIDSDFFKSLQGSDPYTKDYVQRLRDEPLFLVLAQNVQDYQERVGNLKAAAKVALRRVELVYYKPQEVYDAMRKLAEQTEVSVEDGDSEAGEEHEASDDRGPAPFVVIPEVVPRKPTFPESGRALMDGLMSLIYKYGDERTKARAMLCHIYHYAISDEFSVARDLLLMSRLQDGVQLMDILSQILFNRVMAQLGLCAFRSGLIAEAHSCLSELYSTGRVKELLAQGVQYSRYHERTPEQERLERRRMMPYHMHINLELLEATHLICAMLIEVPYMASTTYDKRRPMSKTFRRLLEMSERQTFVGPPETVRDHVMAATRALNKGDHEKAFSVISSLDTWKLLRNKEHILEMLELKIKEEALRTYLFSYPSCYESLGLDQLTTMFDLSEAQAHSIVSKMMMHDELHASWDQPTKCIIFQNVDQTRLQGLIFQMTDRLSVLVESNERAYEARTGGALEGAPPRRRGGDGQDSSNLGKWQENFVSSQGRRGGGRFGYAGRTGGSGQGGGYQNDRGGQGSRGGYGGGSRFQDGRSRTQTGSSSRGDGNARMVNLNRPGRV >cds.KYUSt_chr4.135 pep primary_assembly:MPB_Lper_Kyuss_1697:4:781631:785210:-1 gene:KYUSg_chr4.135 transcript:KYUSt_chr4.135 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPTTGTSILPGSSAAALQRATRARPSAARLLLGRRRALPAVRASSKDIAFDQASRSALQAGVEKLANAVGVTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVLYLVEELEKKSRPVKGSGDIKAIAAISAGNDDFIGTMIAEAINKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSVVEFENARVLVTDQKISSIKEIIPLLEQTTQLRAPLLIIAEDVSGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGQLIEQTTVEQLGVARKVKISQSSTTIIADVATKDEIQGRIAQLKRELSQTDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTFVPAIKEKLDDPEERLGADIIQKALLAPASLIAHNAGVEGEVIVEKIKSSEWEFGYNAMTDTHENLVDAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPSKKAPAAAGMPGGMM >cds.KYUSt_chr2.9644 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60964747:60967218:1 gene:KYUSg_chr2.9644 transcript:KYUSt_chr2.9644 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVALALISLLVLAVSWIWEYVIVRLIWRPYAIAKMLRMQGIHGPPYKFLKGSNEEEKRLKEEAAGLVLDVHDHNFLPRIAPHYLKWRAQYGEPFVYWSGPKPRICIFDYEVARQILSSKSGHFVKNDAHPIILELLGKGLVLVDGVDWVRHRRVINPAFAMDKIKMMTETMVSCAQSMVKELEDQASMNKNREVEVDFDKPLQVVRHCDPNHWAKPTSSHTAFGSSYKLGMEAFHAQKELQAMAMASILSLQIPGFRYLPTKRNRCKWMLQKKLKNTLMHVINSRLVSQGSGYGNDLLGLMLEACTATDKEVEEEQLSLTMDEIIHECKTFFFAGYETTSILLTWTVFLLSVYPEWQERLRKEMTMVLLETLRLYGPALFMQRKPITDMTVGELRIPKDYPIYIASPFMHRDKKIWGEDADKFNPLRFGNGVTRAAKVPHALLAFSIGPRACIGQNFAMLEAKSVMATVLQKFSFALSPSYVHAPVDLLTLQPNFGLPVVLKLLDV >cds.KYUSt_chr5.10341 pep primary_assembly:MPB_Lper_Kyuss_1697:5:66397502:66397729:-1 gene:KYUSg_chr5.10341 transcript:KYUSt_chr5.10341 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEEHPDPVEVGGKGMLRPLGQKWRHVAPPVAGRCVALGATPVKLRIGDGEARVVWRRIWGKIGRVRRCAAYA >cds.KYUSt_chr7.11879 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72941982:72945924:-1 gene:KYUSg_chr7.11879 transcript:KYUSt_chr7.11879 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALPVGGWGAVAALAVAVAVLAALAAKAGVALWHKDGNNAPPVVPGFPLIGNLHQLREKRPHKTFLKWSGIYGPIYTIRTGASSVVVLNSTEVAKEAMVAKFSSISTRKLSKAFSAITRDKKMVATSDYGDFHKMVKRYVIAGALGSSAQRKFRNTRDMMIDNMLSTYGKLVIDDPCAPLNFREVFKNELFHLSLIQSLGEDVSSVYVEEFGREISKDEIYQITVVDIMMCSIDVDWRDFFPYLGWIPNRSFETRVHTTEYRRTAVMRALVHQQKIRIARGEARVSYLDFLVAENTSLTEEQLTMLVWEELIEAADTTLVATEWAIFLLASQDRLFREIQEVCGDKTVTEDHLPQLSYLNGVFHETLRLHSPAPLVPPRFVHESTKLAGYNIPAGTQIIINLYGCNMNKNDWEEPEEWRPERFLDGRFEAADMYKTMAFGAGRRACPGVVQVVSISCTAIARFVQEFAWRLKEGDEDNVDTVQLMSYKLHPLYVYLTPRRRV >cds.KYUSt_chr2.1993 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11994867:11995475:1 gene:KYUSg_chr2.1993 transcript:KYUSt_chr2.1993 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMGHGPFLDHSYLIDNRAPSPTQVPIPESRSIAVDAARPPAMEEKRTKLSFSIPSKPTPPKPTAFSSASPQPSPATQFITTPSTVPTGGGPAFVPDAFDNNRSSSSAGYGLTVRDTEESKVSKLAAAARRFKQDMAGLPDTQGTDEYDEVPVEGFGAALLAGYGWKEGSSIGRDQKQG >cds.KYUSt_contig_1253.611 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3751284:3752090:1 gene:KYUSg_contig_1253.611 transcript:KYUSt_contig_1253.611 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAADAAAVVIQHPGDGKVERLYWPATAADVMRKNPGHYVALVVLHVSDDGDSKTDPAAAGGGGARITKVKLLKPKDTLLLGQVYRLITSQEVTKAVQARRQEKMRVCDEVIEQQQQRPRLHRRRQPPRPRSDAATEANDEQRQPTDHQERRRLEKDRHRSVTGGGGGAGRGRHWRPSLQSITELSI >cds.KYUSt_chr2.53862 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336180916:336185276:1 gene:KYUSg_chr2.53862 transcript:KYUSt_chr2.53862 gene_biotype:protein_coding transcript_biotype:protein_coding MWHPSGFALADWEAHLRMQKKQATNELDSPEYPVLSCIARDVLAIQASTVASESSFSAGGRTISDQRNRLKSDTVEALICLQDWLKADDPKTTNKDVEEEEYLVFYQESECHEETAPTELACGTQQTADAAASRERRHRATGAGAAADRWAPGPGRQLHELLQVLGVEADFATSGVRGCFERVSGGAAKTSRCYSEQSQELLCQQASVAPSKVRRCSEQRPALLQRAANLATKAG >cds.KYUSt_chr5.16601 pep primary_assembly:MPB_Lper_Kyuss_1697:5:106766711:106767343:1 gene:KYUSg_chr5.16601 transcript:KYUSt_chr5.16601 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTVSSRRARHRSAATARSEDLGFSPGRSRGSDIGLTAPSTRERRPRTPPPWPEGQRFPFGNITTNYTPQHSTRRPPRRPHGRGNRPDTESLARPPTNMTPTFQGRRPASRLVAQRRKGRERVDLRSENTGPLLGCIEPGRREPLRRIGIPTAPVAAGNTGSGRKAMASHGTRPLPVRGKANTPPSTLTNTGRPPPPAKLATNAALRT >cds.KYUSt_chr7.32035 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199416567:199420667:-1 gene:KYUSg_chr7.32035 transcript:KYUSt_chr7.32035 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTQKSNALCPKRKPVDDCLQKKSKSGRVEKENASSKPAHSCHSQPNLANDCVNYLKSGVPSRVVFYRQGSWCDFPDKVVKSIVDAFKEDKSSVVVVMDDQPLLVDFLSMTLVNLNTRKQRSVAWLDGTNKWSFPSSFFDEEVDESTRLGMGVGKGGAQGVLGGNVMKPPSDVAKQVMLEASPPVLQNSSALNVLLPNNILHIHRYSPKDITAQCRHEAFERQMRLTGQKSGTGIARYGWLGSGKQDIVSVITNGLLGTEKITHETEIGAGIYLSPENRAFTSVGFCDVDEKGVQYMLLCRAILGNTGVIKPGSQEEFLRIYDSGVDNCSNPNYYVMWPSHLGTHISLEYLISFRLAPKVQEYFLSLKGLWLRPPPKEVKVDLSILQPVLCRTNEGPTSPWISFRVLFEAIQDSISFLARELLYRHYEELKENKITRDEMVKRMMIIVGEELLLDTLTKLKYSPSLWYNSSEKMVSSPASRTPESVSIWTRNMDVESATPSHDGPVNSTFGGSHVTNAALKGQHSPTLSIYSESSSPQCTNSQDPLAPVEAPLGRDALVRSVLRGVNVWDAAGPRMQYNGHDLLSENSSRGHDSDVSRPTHGNSSSLSMEGLHSVAPSMTTEAQGSIVPSREHRYSSSTDAQGIVTATPGVVCQAPQLSAAKGMEPELSAPRSMAPYLSPPRSMAHHLRGKAFRELGPPRSMAPHLSAPRSMAPHLRGKMPEVPAKITMPEACHSSAENVVSVIFEPLSSSPLPKEKSVVTSRNECLTPSSARQGPDCPSNLATTPRNTPSQKNVAPKHPAAQCGENKNFPRTGVDESSTIAYAAGTLVTLSADGEKGP >cds.KYUSt_chr4.52601 pep primary_assembly:MPB_Lper_Kyuss_1697:4:326331643:326333310:1 gene:KYUSg_chr4.52601 transcript:KYUSt_chr4.52601 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSVQAMVAATGGADVPSRYLRPEAAADAVAVAGEDQIPIIDYQRLLLDHGEESARLHRVCQDWGFFQLINHSVPDDVVEGMKANIQQFFQFPAEVKKQFTQERGQLEGYGQLFVVSEDQKLDWADMLYLYTQPPEDRNLRFWPDQPANFKSTLDKYSAAVKDIADTLLATMAQSLGLEPKVISDTCVGGIQSVRMNYYPPCAQANKVVGFSPHSDSDLLTLVLQVNHVQGLQIKRNGSWFPVKPLEGAFVVNVGDILEIFTNGRYRSIEHRAVINPNEERLSVAAFHSPHIHAMIGPLKEIVVHEDEAYKRVDHEDFMKLFFSAKLEGKSFLDRMKLKSS >cds.KYUSt_chr2.53545 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334037074:334038001:-1 gene:KYUSg_chr2.53545 transcript:KYUSt_chr2.53545 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVSSTAPKALALERSSYLIPGKCHSRRQGQGNSCYDWGSFYKSFYADVRLQVACSDPVKIPKERIMEIVQKMYLLKYKVEGVEQIPENTDDGDGPGEEDIDTGGFDKEETMHQMETDRHLSPRANVASERIHDNVYRTVLDVPNSWEDMELDDVRLSFSAVCKSVQGEILLDEWQCNSPPGLCSLPPCLHYN >cds.KYUSt_chr7.15234 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94506985:94509532:-1 gene:KYUSg_chr7.15234 transcript:KYUSt_chr7.15234 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGKAQSGLSVNEQNFQPSDAHTDGHAPGRRRRNGICASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSRDFRSTLEREIGLDEDPPSTSYRPPPTNNSQPPAVNQDVKPETAVPYTSEELMKVTEEQLAASAIAAWNAQQPPSSEQQETAAAPSQSSDTASSGEGDGAVTNESNSSNTEKVKPRDEA >cds.KYUSt_chr4.39205 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241988950:241995651:1 gene:KYUSg_chr4.39205 transcript:KYUSt_chr4.39205 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGGASNGNGVAEIDEDLHSRQLAVYGREAMRLLFASNVLVSGLNGLGAETAKNLALAGVKSVTLHDVENVEMWDLSGNFFLSEDDIGKNRAVACVAKLQELNNAVLISALTEELSNEHLSKFQAVVFTNLTLDKSVEFDDYCRSQKPPIAFIKTEVCGLFGSVFCDFGPEFTVLDVDGEEPRTGIIASISNGNPATVFCIDDERLEFKEGDLVVFKEVNGMTELNDGKPRKILDAGLSYFHIEEDTRNFGIYAKGGIATQVKESTILEFRSLRECIKEPGNFLFGDFTKYQHPPLLHFAFLALDKFREKFGRFPVAGSDQDARRFVEFTASVNEATIDYKMDRLDEKLLRNFASGSRAVLNPMAAIFGGIVGQEVVKACSGKFRPQYQFFYFDSLESLPTYALDHKDLKQLNSRYDAQISVFGSKLQKKMRDTNVFVVGSGALGCEFLKNLALMGVSCGRKGKLTITDDDVIEKSNLSQQFLFRDWNIGKGKSTVAATAASAINSSLHIDALQNRACPETEHVFHDAFWEGLDVVINALDNVNARLYMDMRCLYFQKPLLESGTLGPKCNTQMVIPHLSENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFISNPAEYAAAMRKAGDAQARELLERVRECLDKERCDKFEDCVAWARLKFEDYFSNRVKQLTFTFPEDTVISTGAPFWSAPKRFPRPVQFSTVDSSHIQFILAASILRAVSFGITVPDWAKNMDSLADAVSKVAVPEFEPKSGVKIETDEKATNLSSASIDDGANIEDLLTKLEACAKKLPSGFQMKPIQFEKDDDTNFHVDLIAGLANMRARNYGIPEVDKLKAKFIAGRIIPAIATTTAMATGFVCLELYKVLAGGHRLEDYRNTYANLAIPMFLMCEPGEAKVMKHQDMRWTVWDRWSIKGNITVAELLKWLSDKGLNAYSVSCGTSLLYNTMFPRHQDRLNRKLVDLAQEVGKVDVPAYRKHLDVVVACEDKNGNDIDIPLISIYFR >cds.KYUSt_chr2.14054 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88915560:88920787:1 gene:KYUSg_chr2.14054 transcript:KYUSt_chr2.14054 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLTPFFNKSLPTRMLRQVYEEDRGFVASQNVHLGKYLALRTLEHREMERVLKDHAVQEKGERARMASFVGAMAIADLAKSTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLRQAEKLVNMKIHPMTIIAGYRMAAECARNALLQRTMDNKENTDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLESIQILKKPGGSLKDSFLDQGFILDKKIGLGQPKRIENANILVANPAMDTDKVKIYGARVRVDSMAKVADIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAVEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVAMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKEVDELARKTPGKKSHAIVAFSRALQAIPTIIADNAGLDSADLISQLRAEHHKENSTAGIDVITGGLGDMQKRGICEAFKVKQAIILSATEAAEMILRVDEIITCAPRRREDRM >cds.KYUSt_chr4.11320 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68827845:68829810:1 gene:KYUSg_chr4.11320 transcript:KYUSt_chr4.11320 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDGDGGGVDGGAFRGHFPVPAACRNREFYPPDLGFAMAAALEENNNWPFGISSIVSTSQMDPAKRFDFFDGSGGGDRSGDRRSGGGDRRSVRRLLDDAEAFGSTAALVAAQTEAGESALYVAAEAGALEVVRLLLPLACSRRRRRRDRSASPPPATADANVAGPEYDLSEPTMAEKLAALNLPTDDVDGAADEEDQAAAAATVVPPSADSVHVLLRQALRADDRAALLGCLCNRDDKDTLMLGVVILSRNACTVYPSMPMAYKMHYYML >cds.KYUSt_chr7.25657 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160063473:160063799:-1 gene:KYUSg_chr7.25657 transcript:KYUSt_chr7.25657 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDKGPTFYFVGLTGIRVGDKPLSIPDSFFSKGGTIVDSCRVISRLPATAYAAVVGVHRRHGGVRFQEGVGALHRGDAPMVSLVFQGCAGLDVDASGIMFPVTQAHV >cds.KYUSt_chr1.36289 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221284298:221285710:-1 gene:KYUSg_chr1.36289 transcript:KYUSt_chr1.36289 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVVLYPGFAVSHFVPMMHLARALLDHGHAVSVALVDPAVNPDAAFRAVVARAAACMPSVRFHTLPLVEGTPTLTPDAQFVLRYLDVVGRHNEHLRGFLRSSRGVRAVVVDSLSVEALGVIKPLGIPGYVLFTSNAAVLAAFVQLPAVLAEAQTSFRKLGDAPVELFGLPPMPASHLFGEMLEDPESDVCKATMAALSGIPEADGVLVNTFDSLDVRAVAALGDPRCLPGRVMPPVYCVGPFVGGVGDEVASQRHGCLAWLDGQPDRSVVFLCFGSAGHHSEEQIKEISVGLENSGHRFLWVVKAPFSDDPDLDAILPDGFLDRTSGRGLVVRQWAPQADVLRHRATGAFVTHCGWNSVLEGVTAGVPMLCWPLYAEQKMNKLFMVEEMGVAAEMVGWQRGLVEAAEVEGKVRMVMETEEGRELRARASAHKEAAAAAWDDGGSSRAAFARFLSDVESRQARVRSGVA >cds.KYUSt_chr5.18997 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122956732:122958377:1 gene:KYUSg_chr5.18997 transcript:KYUSt_chr5.18997 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAVPTVPRPLTPSSHRLETRRERGEEPQMRRKLGVDNRPQEESRQSWASFIQLSHQPLAPGSAPSPSLHACAALPADFTAAAVLESTGADVPLKSTTRRHSLDLDCAYSCTGSRCGLAPRISRFNFRQGSSRMGTQWAGRKRESKFKGKKFDNAFGPADDQEVVFAESLPVLRSVTDGSIHAWFDLEWVGAAALAYEANKLLVIENVQVAPRQDGEVALYELFLFCASQVPIEWEWLYSNMN >cds.KYUSt_chr5.29347 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185993277:186001504:-1 gene:KYUSg_chr5.29347 transcript:KYUSt_chr5.29347 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGAAGQRQRADEDDGGRKETAYFGAGGPPPMDWSFATRAEAGAAPGVMSFRSAPRAEHGQPQFSAAQKQQASRVLTHQRSFGAESHGSPQYTAAMRDAYGGPTSHQQQQQRQHNHQQQQQHAAVSAARVIPGSSPFNPSNQMFKVQTSPSLPNGGVAAGGTFKQPPFTMNSTTAVAPSRVGVYARNMPKPKMSQLTIFYAGSVNVFNNVSPEKAQELMMLASRGSLPSAPAAVTVTRSPETSFFAPAKVAAPEVSHSQEANLFAPAKFAAPDVSLTKQMLPQQRFSPPASGVSRPISSVSQASCLPKSASSSNIDSAVPKFPTQFVMPLASQPPSTRPSSGQSVAPPTSQHQPARPVTLSSSGQPVMPLASQPPPTRPVTLAAATVEAIMPRAVPQARKASLARFLEKRKERVTTVSPYSSAKSPIESSDTVGSSIENNKSSCTGIAMSSSHDKSIEVGLLLASISVLVLVSAVGEHESNTRSFLTEDDRHGRKPPQICARPPRLDKRILFQRRSPKVDARCQIWVAAAEGMAKAGESSFNDEIMESDVELEGEVVEPDNDLPQKMGDPSVEVSEEKRDKAQLYKRKGVDALSEGKLNEAIEHLTEAILLNPTSAILYATRAGVFMKMKKPNAAIRDADVALQINPDSAKGYKSRGMAKAMLGKWEDAAHDLHVAAKLDFDEEISAELKKVEPNVHKIEEHKKKYERLRKERDMKKADAERKRKHAEEVSAASAVLKDGDVITIHSSNEFEAKIKAASSLSRLVILYFTATWCGPCRFMGPVYKSLAEKHRNIVFLKVDIDELGNVAHRWNVTSVPTFSFVINGKEIDKVVGADKTGLERKLAQYGSA >cds.KYUSt_chr7.14661 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90559549:90563677:-1 gene:KYUSg_chr7.14661 transcript:KYUSt_chr7.14661 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPPASIRALAFHRRLPFHRRHPLAVLPHSSTPRPCPTPARSIMVSPAETASEEEEALPGAASAGAGDGGEVSTEEWQRWGTSAPLPAVVSDVVRQLLDMEFVAGEKMRFGGPGSKIKGDFKDVEDRKHRAVYETLADSDQKLQYYSARQIGCRLLGSRGYLCQKCWLPMEDCMCSKLAPRSLWRGIRFWLYMHPKDFLRQNNTGKLLWQVFGIEAASLCLFGIQEHEDIMWDAFQRSGKEKVSFLYPNKSVTPKSVKDLKFDDLTLNADLQEGVQREPLNLVLLDGTWSNSAALYRRLKERWTAIWGEEDIPCISLSMLTASVMHKLRPQPAWDRTCTAAAAAGLLSELHMRPELSEFDLEGQAEAVECSLDILLDALTVRRVRLEFWQQTDMQTTYVRF >cds.KYUSt_chr2.3062 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17986094:17989604:1 gene:KYUSg_chr2.3062 transcript:KYUSt_chr2.3062 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPQFPEVDAPVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPSMVLRVDRDKGYIDLSKRRVSEEEALTCEDRYNKSKLVHSIMRHAAETLEVDLEPLYERIGWPLYRKYGHAFEAFKLIVTDPDGVLDVLTYEEKEIGPDGQEVTKVVPAVTPEVKDALVKNIRRRMTPQPLKIQADVEMKCFQFDGVLHIKEAMKKAEAAGNADCPVKIKLVAPPLYVLTTQTLDKEQGIAVLTNATKVCAETIEKHKGKLVVKEAARAVSERDDKLFADTIAKLKLAGQEVDGDEDSEEEEDTGMGDVDFTKAGVGTD >cds.KYUSt_chr7.23844 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148519620:148522876:1 gene:KYUSg_chr7.23844 transcript:KYUSt_chr7.23844 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMKLSTLGYAASMLSTGLFDEQFQKFLLLQKSDPNFVTESITMFCEDGEQTIGELTKQLGKQCVNFDEVAAFVHKLEGSSARCLKTLDTLRVVFYEVSNMFQVMLQMEQQQAEATK >cds.KYUSt_chr7.1364 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7403866:7410778:-1 gene:KYUSg_chr7.1364 transcript:KYUSt_chr7.1364 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCWMYAYGFSFAVSASLFGDSVGVGMGGFVLAAAVAVALAVAMPITAQMPDIMGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVLVELAELQDREVGDGTTSVVIIAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYVEEKLSVKVDKLGKDSLINCAKTSMSSKLIHTDGDFFANLVVEAVQAVKTTNSRGEVKYPIKSINILKAHGKSSKESYLLNGYALNSGRAAQGMPTRVTPARIACLDFNLQKTKMQMGVQVLVSDPRELEKIRQRESDITKERIEKVLKAGANVVFTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVTTFADMEGEETFDPSFLGQADEVVEERISDDEIILVKGTKNTSAVSLILRGANDFMLDEIERSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHYSSMGLDLQKGIIRNNLEYGVIEPAMSKIKIIQFATEAAITIVRIDDMIKLDKEESGQEE >cds.KYUSt_chr1.23668 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140968342:140972560:-1 gene:KYUSg_chr1.23668 transcript:KYUSt_chr1.23668 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGYADYFAAPPGGGGVTLVPEVDAGGEHEMYGDGGSHHHSATAEMFGARGFGGMAPKAALDDVDGSSTISFFRAEQQQQQLQYQQMGRAPLSLSLHGQPDAVSPSTSFMLHHQQQQQQLGGEQLQHQTAWQAHGGGGGGWHLRGSRFLVPTQQLLQEVCSIPMEATAKQAAKKSAQEEAAGGGGGSSSSASWPPPSSTRIQSMDAAELQRLKARLYTMIEEVDRRYRRYREQMRAVAGSFEAVAGQQAAAVYTRMASRTISKHFRSVRDGVAAQVRAVRGALGEKDAGAAVPGMTRGETPRLRALDQCLRQHKAYHSGMLDSHPWRPQRGLPERAVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYAEEMKDKEEGSGDGGHSTQQASDLGNPNPVGSYDGRGGEGGGEQKPTRAQLHLHDAGSLASVVSIGRGADQHGQALNFGIMDHLGFDPYEAAAAGFGGNGVSLTLGLQHQQQQYHGHGGVNVAAFAAASPSASTAHGGAAEYLFMAGDQQLGGGVHSSNSGQYGAGMPSDADAAASQQYHRGLSATGFHLLRDLAG >cds.KYUSt_chr6.17077 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107606667:107609745:1 gene:KYUSg_chr6.17077 transcript:KYUSt_chr6.17077 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDKEQRRRGHPLLRGGGARTEPYTHGFSATQMLSLTAACGALVPSLPPDSGHSKAVRDFFLASAADPPIPDEVAQLMSAMCLREAQALVRTLLWLLSTRLGTLALCGARCLSPRFPFVRRFAEMPVERREDALRRWSRETVFPPLRLFFLLLKVFCLYVFYSLTDENSENPHWQAIGYSPPTDDDAFTEEEEEAKSTKRPLDDGVIETVHHTDASLAVALADKGLTVTDDTAPDVCRVDCDVAIVGSGCGGGVAAAVLAAAGHKVVVVEKGSYFTARDYTSLEGPSMSQLYERGGFVSTLSGSGLLLAGSTVGGGSAVNWSACIKTPDSVRGEWAGEHGLPLFASAEYTAAMDAVFKRLGVTSGCEEEGLQNKVLRMGCEKLGYKVEPVARNSSEGHFCGSCGYGCRTGDKRGTDTTWLVDAVARGAVILTGCKAEKLLFSDAVAARGSKKRCVGVVAASSNPKIARKLEVRAKVTVSACGSLLTPVLLRGSGLKNPHIGKNLHLHPIAMAWGYFPPDTPVPELQKGKMYEGGIITSLHKVEAGDGLPHRAILETPLMGVAAAGTQFPWVSGRDMKERMLKYGRTVHIFSLVRDRGSGTVHGERRIAYHMDPADRENQREGLRRALRVLVAAGATEVGTHRSDGQRLRCEGASEKALEEFLDGVTVVRGPQSKSETWSLCCTAHQMGSCRMGATAEDGAVDACGESWEAERLYVCDGSVLPSAVGVNPMITIQSVAYCLATGIAEQLKCDLYSGKN >cds.KYUSt_chr4.18769 pep primary_assembly:MPB_Lper_Kyuss_1697:4:117759513:117761915:1 gene:KYUSg_chr4.18769 transcript:KYUSt_chr4.18769 gene_biotype:protein_coding transcript_biotype:protein_coding MSERESPVPNLAPRSLQAGAATQIHIPSISSALLRPTNSSSAPLPAGLELVFGSFTPEIAAGLGLGKRSAPADLAAGQTTAPAAFTAATPEPTAPTASSPCTSARASTALAPTFSPELLPPPPAIKAGDRPVRVVDAARPQIPRSGVACGAAPRLRSLIVAPPRLLETSSRTCQAKEGEWQDVRPRRWWRRFTPSSSGGQQPGRRQSDSRRPDPQGLLHFKGRCFRCLSPRHFVRHCSGQVHCIGCERPGHVARNCPSKKHRAPVAPPPPPASSSPPAPPQANAAGWPALGRASSPMALSPGHPSNRPDEVYSLSISTPAMERAATDMRRTHLAIIISDPRLNISTRSLAKALQDELNFEWSDIHVSASYPDDFLVRFTHPWQRDTALELGSVPLRHGKMAFTTWSPTARGRPQTWRFYCRLALENVPLNAWEDVDTVKSIIGGGCELDRIEQRSVTQDNTAALFAWVWSLDPDLIPCAKAHSILDRPAVGRADLPEGTPAEEGRDGPLYRVLIHLDTILDYTPIDESRRKRGYSWPNKTRRDWEFGVKDNSTAARRRPGRDRLGPSNHRHDDDRDDRRGDRDGRRSDRRSSRHGSDRAGEGPRREPGYHQTRHDRHDRRGSRSPDRRRRGDTSRRRSRSPTAAMLEATALVLAQEDRGKVADHGGAPVILPPVIEQSPRGRRNMSCTPAGSEAFGSTPSPPPGAVRRHLDGSPMAISPSPQHSGGQAFLCSPCTEHFDHNLNGAPAPPSPQIPWAALHSEDSPSDEANAVADRWSANIIDTTTATWSSPGLLGHASSGG >cds.KYUSt_contig_3897.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000758.1:9766:10113:1 gene:KYUSg_contig_3897.3 transcript:KYUSt_contig_3897.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAGGDWEIENDDGFVYKRPRVLYPAGREDAGAAAPSAPGPPPESVRLQRRRRALLNLRAKYQAELSRWESLASDVLVPLPAPSAAPSGAPSASPLPPTISSDHAVLDDYIAE >cds.KYUSt_chr2.49189 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307883516:307887458:1 gene:KYUSg_chr2.49189 transcript:KYUSt_chr2.49189 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAVAAAALLEAGDGRAKDPRHLGRRTAHNLSSSSLRKKSDTALVRKVPCAALRAFLSTLQEVLLGTRLAVLFPAVALAVLARYMQFGQVWLFVLSLIGLIPLAERLSFLTEQIAFYTGPTVGGLLNATFGNVTEVIIALFALSKGKITVVKCSLLGSILSNLLLVLGTSLFFGGIANLGIEQPYDRKQADVSTGLLILGLLCQSMPLMLRYAVSAGEHSVSSEDAGLVLSRACSVVMILAYAAYLYFQLKTHRQLFEPQEVEDDGEDLVSEDEAVLGFSCAMIWLAVMTVMTALLSEYVVSTIEAASASWELSVSFISIILIPIVGNAAEHAGAVIFAFKNKLIGLVQSSPTLDDNFGADCEMKSLEGAVFMVIPSICV >cds.KYUSt_chr5.39629 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250730969:250731457:1 gene:KYUSg_chr5.39629 transcript:KYUSt_chr5.39629 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIQNPRAMAKLQDEVARVSNGNPTTEEDDLHRMEYLKAVLKESLRLHPPAPLLVPHESTAAAVVQGYEIPAKTALFINAWAIGRDPAAWGDAAKEFQPERFLDGGGVASIDLRGTTTSSSLSAPVDGSAPPSASRFRRWRSRSPASSATSIGSSPSGHV >cds.KYUSt_chr1.29633 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179481122:179483821:-1 gene:KYUSg_chr1.29633 transcript:KYUSt_chr1.29633 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSKGASAAAAASSSSGGSGEAKKRSGKGRGRTLLRLPSSSCFRGFSPERDAPSTLPPPGLESSKGGEPARLPSLTRIGISDEDAPTVPKPNPTEGRNAPPSGNNRDQDDDALQNATATSTAVGDNRLPNASDRSRPCFGVNYGLSRAVSLGSSAACSILSSGLSTSANPVGGRGAVDNSSDVNIPQQGGTSTSRIDSSLDMLRDSVTTQARAAHQARRNLLESEDATLRYSNRRIGSQEPSEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRPVWPPGNGNVTQDSSMMQRTNSDRSSDPQSHPSANSVYNSGSATLREAGNRDLLERRSAFLERRRRIRSQVRALQRLGSRFENLSGHERSCILSGQHRTGNCNCRTSSRPGNSDEETGPRASISRIVMLAEALFEVLDEIHQQSAALSSRPSFPSIGSVPAPKEIVECLPVKVYRKPLKHQTDEDAQTASIRKNSDSLPSNCNCILRPCEILSTAAIPSAVHVVSAIVV >cds.KYUSt_chr6.32416 pep primary_assembly:MPB_Lper_Kyuss_1697:6:204523800:204524117:-1 gene:KYUSg_chr6.32416 transcript:KYUSt_chr6.32416 gene_biotype:protein_coding transcript_biotype:protein_coding MACRAEFGFGPARAALHMIVVLIETLTARNSAAVFAWKGETPDLIVDDGGDATLLIHEGVKAEEEFEKSGKVLDPDSTDNPEFKIVLTIIRDGLKTDVRRYRKMK >cds.KYUSt_contig_1158.362 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:2180560:2194566:1 gene:KYUSg_contig_1158.362 transcript:KYUSt_contig_1158.362 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPPASEAPAGGGAVAGRGLRRGVGFRSLKLVNVAMEEALPAEPVGVAYGRLPNGLTYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEIRISAEDLDKERGAVLEEYRGGRNATGRMQDSHWTLLFQGSKYAERLPIGLEKVIRTVTHETVRQFYHKWYNLSNMAVFAVGDFPDTQAVVELIKEHFGQKAPAPCPPPVIPDFPVPSHIEPRFSCFVESEAAGSAVVISCKMPAGEIKTVKDYRDSLAESMFHCALNQRLFKLSRRRDPPYFSCSSAADALVRPVKAYIMTSSCRESGTVEALESMLLEVARARLHGFSEREISIVRSLMMSEIESAYLERDQMQSTNLRDEFLQHFLREEPVVGIEYEAQLQKTLLPYISSAEVVKFAENFSTISSCVIKIVEPRAHASLEDLKAVVLKVNTLEENKTIPPWDEEQIPEEIVSQSPEPGNIIDKVEHPGIGATEMVLSNGMRVCYKCTDFLDDQVVFTGFAYGGLSELSEDEYTSCSMGSTIAGEIGIFGYRPSVLMDMLAGKRAEVGTKVGAYMRSFSGDCSPSDLETGLQLVYQLFTTKVEPRDEEVKIVMQMAEEAIYAQERDPYTAYANRAREINYGNSYFFKPIRISDLKKVNPIRACEYFNNCFKDPSAFTVVVVGNIDPAISIPLILQYLGGIPKVGDTVERLSREDLKGLPFKFPETIIREVVRSPMVEAQCFVQLGFPVVLKSTMMTEDIHYVGFLSKLLETKIMQVLRFKYGQVYSVNVGVFLGGNKPSRSGDVRGDISVNFSCDPDMPSKLAEFVLEEISYLQTEGPSEEDVSAILEIEQRAHENGLQENYYWLDRILRSYQSRIYSGDVGSTFKVVALNESVAGSVKSVFKPWEQRLETSGGFLESNEGDPELLVFIPFTSDVKIKSIAVVGGADGTSPSRMRAFINREGIDFSDAQNMQPMQEWELAENLRGVLEYQTRYSRFQGVANLTLHFPDNFGGDTTKIYYIGLRGEATQNKRDVVATIVYEVMPNPSDHKTKSETGGGFSHVE >cds.KYUSt_chr5.14453 pep primary_assembly:MPB_Lper_Kyuss_1697:5:93821629:93824170:-1 gene:KYUSg_chr5.14453 transcript:KYUSt_chr5.14453 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPPAKLWQHIPASLPDHTEKESTRCLLHMAAFSFCWHMTAEVWKLAISANDQIFLQDLYKSFFIIYCYVVFAFTLHTYTADADFYSWKFYERTRRVFKYKIRDPTMKAEQELTVESLMSPFHGSACLQATIFLCVLAILSSDVQARHDITDSDRYTCRPFSCGHLQSVQYPFRLRGDPTWCGSPSYELSCTDSKATIQINTGTYFVTEINYKYSYFWVVDANLDMSSSCPLPRLDQRPYLYGLQSPLPGNSKAVLALHWITTIFMVDYEILSCSLGVGNIPLDGYLSTLRFTAAFSTTILKLIAVLCRIVVAPLVVLFFLAHKYWKTRIIIDAVEKFLLMQQMIGPRRYAYTDIVAVTRNFRDKLGQGGYGSVFKGFLPGDVYVAVKMLDGKSSCDGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPHGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRDSSFVPLSALRGTIGYIAPEMVSRSFGVISCKSDVYSFGMLLLEMAGGRRNADPDATNSSQAFYPSWVYDRLTKQEMGEISAEMHELERKLCLVGLCCIQMKSHDRPAMSEVIEMLEGGTDDLQVPSRPFFYDDGQTAVVESYHFSSELTAISEEDE >cds.KYUSt_chr1.7582 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46544508:46547748:1 gene:KYUSg_chr1.7582 transcript:KYUSt_chr1.7582 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQVVSENYANPITCLFHVLFKAAALAFYILFSLFVKSFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGESLARMNKKDSWLFWWTIYLNAAAWIILGVFSLIRLEADYLLVVGVCMTLSIANIVGFTKCNKDAKKNIRAFVENSAQNAITSRITSSLQSAFGI >cds.KYUSt_chr2.6075 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37847499:37848668:-1 gene:KYUSg_chr2.6075 transcript:KYUSt_chr2.6075 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDALAPYVKKMISDLAEEEVSMLLGVEVEIRKLNGNLVYLQDYLTDADRKRITDKSVKVWVGKLKDVMYEASDILELCQLEAMERPEERPAGGGASNRSSPLGRYMKKKLQGCFQPLLFCLRNPVFAHETGSRIKKLNEDLDTIRKDATKLNFINLGSYQERRKLTNPARPRNKTTSGYNKSEAVGENIEEDAEQLVQKLIAHDGRDFKVVAIVGQGGMGKSFLAKKIFASETIKEEFKTKIWLSVTQHFDKVELLRSAITHAGGEHNEEKDESILERTLTEALSANKFLLVLDDLWSDTVWKDILQVPVANASCTQPGSRVMITSRKEDVARRVGASGGNQLRVRKLEDEDAWSLLKKQLPLPEVSNSVKRALFILIRCFVRLN >cds.KYUSt_chr6.32072 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202579706:202580581:1 gene:KYUSg_chr6.32072 transcript:KYUSt_chr6.32072 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVRAVAGMYVVLGLSVVCFALYAISLDPTAFQQLQLQMIKEDPGHQPEEGQTSCAATAAEAMAMRADAAVLLLFGAGQALMAMAAFAVAGANPVGTLLALLLSIPMAERAIAIFPGVLLVAIGRCHDHGFYHQLRIAGDVIITVPFVLLSIAAVVVVFCGKADA >cds.KYUSt_chr4.7518 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44752126:44752710:-1 gene:KYUSg_chr4.7518 transcript:KYUSt_chr4.7518 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGPPPDGRIPPADGNSVGMFSSDRIGGFGYGVGVSVGILLLITTITLASYFCTRAPPPDDGTPSPRRGHRRRRREGDAGGDNGGVEVDDVELGIDEATLKGYPEVVYGEAKARKKKLGTTCTCCSVCLDNYGDGDVLRMLPDCGHLFHKECVDPWLRQHPTCPVCRTSPLPSPLPTPLAEITPLAMGRPSS >cds.KYUSt_chr2.30207 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185961925:185962296:1 gene:KYUSg_chr2.30207 transcript:KYUSt_chr2.30207 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAPATPTKSVCGPGGYSSSSLLSCPSYMSNTQSFEAKVRSHSAPKHRPEAPAAATTNQKRVPMSEVVVTESQASLSGVDMQRSCNRVQEAFNFKTAVVGRLDRLSTGATENYRQAFVQRKW >cds.KYUSt_chr5.42965 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270752531:270755491:1 gene:KYUSg_chr5.42965 transcript:KYUSt_chr5.42965 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVLLVGGAALILSLLISLAAASTSHGPASVLGACISSERDALLSFKASLLDPAGHLSSWQGGDGDCCQWKGIRCSNRTGHVVQLNLRNIDTNAYDETGVLSSSLSLSAGEMSSSLAILQHLRYLDLSWNNFSGTTIPAFVGSLNNLRHLNLSSASFGGAIPSQLGNLSKLQYLDVSGNSMYRNNNDDLQAVDLAWLPRLSLLSYLDMSDVNLSSVRDWIHTVNMFPSLTVLRLSDCGLSTITVSAGSTLQYSMPNLTHLEVLDLSINYFATSLKHAWFWNLTSIRELSLSDCGWIGSIPNGLGNMKSLQVIDLSSNYDLEGLLPNNLQGPCNLKVLLLTANRINGNIADFLGRLPRCTWNTLQELYVGYTNMTGNLPVWIGNMTNLSVISAWDNMLTGTLPVGVGTLGNLKILALSNNNFKGVLLKEHFTSLGNLEHLDLSNNDFSGVLTEKDFAGLLNLEYLDLSYNPLKIAINQKWVPPFRLKVAGFRSCHLGPHFPKWLKGQTSIDILVLGDANLDDVIPDWFCVTFSRASFLHASGNKLSGSLPDSLQHMAADRIYLGSNMFTGQVPLLPLNISHLNLSSNSFSGSLPPDLKAPLLEELLLANNQISGMIPSSLCQLTSLHRLDLSGNKLTGDVMQCGKDSGANQFGSNMYSLALNNNSLSGEFPKFLRSASQLQFLDLSYNMFSGGLPKWIPAKMPHLQILRLRSNMFSGHIPVNLTCIDSLHHLDISGNSISGNIPWSLSNLKAMMTTISQDAGDYNFEESIPVITKDQKRDYNFEIYKLLVNLDLSNNSLTGQIPDEISLLIGITNLNLSSNHFRGTIPNKIGDLKNLESLDLSYNEFSGTIPSSLSALTSLSHLNLSYNNLSGAIPSGPQLQALDNQMYIYLGNLGLCGPPLSRNCSENDSHKSSLEDMNHMSSVYLVTSLGFLVGLWTVFCTMLVKRTWRAAYFQFIDKLYDKVYVQVCVRWARLLEKTPDGAP >cds.KYUSt_chr5.4658 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29695730:29700225:1 gene:KYUSg_chr5.4658 transcript:KYUSt_chr5.4658 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLENFGDVKGKNSSDEALRRWRKLCSVVKNPKRRFRFTANLDKRGEAQAIKHANHEKLRVAVLVSKAALQFIHGLKLRSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLITHGGVAGIADKLATSPTDGLSTDEDSMRRRQDIYGINKFTESEIRSFWVFVWEALQDTTLIILAVCAFVSLVVGIVMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKRKIQVQVTRKGFRQRISIYDLLPGDVVNLAIGDQVPADGLFISGFSLLINESSLTGESEPVIVNEDNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGQIGLFFAVITFIVLSQGLIGQKYHDGKLLSWSGDNALAMLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSATTICSDKTGTLTTNHMTVVKTCICGNIREVNNPQNASKLCSQLPENVVKTLLESIFNNTGGEVVINQDGKYQILGTPTETAILEFAMSIGGNFKAKRAETKIAKVEPFNSTKKRMCVLLQLSEGGYRAHCKGASEIVLAACDKFIDETGGVAPLDKATADKLNGIIDSFASEALRTLCLAYREMEDGFSIEEQLPLQGYTCIAIVGIKDPVRPGVRESVAICRSAGVMVRMVTGDNINTAKAIARECGILTEDGLAIEGPEFREKSLEELLELIPKIQVMARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVARWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKREPVGRTGKFITNVMWRNIFGQSIYQFFVMWYLQTQGKNFFGLGDSDSDNIVLNTIIFNSFVFCQLFNEISSREMEKLNVLKGMLNNYVFMCVLSSTAIFQFIMVQFLGEFANTTPLTGRQWLASVLLGLVGMPIAVVVKLIPVGSS >cds.KYUSt_chr4.6709 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39488096:39489049:-1 gene:KYUSg_chr4.6709 transcript:KYUSt_chr4.6709 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDVAATETPVPTTEAPAADPVVESPAETAAADPKPAKETKAAKAKKPSAPRKPRATPAHPTYLEMVTEAITTLKERTGSSQVAIAKFIEGKHAAHLPGNFRKILLVQLKKLVASGKLTKVKSSYKLAAKAPAAAKPKKAKPAAKKKPAAKKPAAKAKAPAKKKPAAKKPAAKAPAKKAATKAKAPAKTKAAAKPKAAAAKPNAAAKKAAPKAKATAKTKAAAKPKGRPAKAAKTSATATPGKKAPAAAAAPKKPAGRKPPTKRTAPVKKAPAAAKKAPARKAAKK >cds.KYUSt_scaffold_2697.243 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1625933:1631266:1 gene:KYUSg_scaffold_2697.243 transcript:KYUSt_scaffold_2697.243 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRRQERWKLHDDASKKGNGALRRRHRRRRPSRAELSPGASTLPSRLPSPAGKPEHAIMRASTVNHLAHHDTAAARGRRPGTPPPTSSRCNLQHRHDQGPSLASTSPPQTPRPPAADLARKAQIWPGQARLHPAPLRLATPAQPPQAWIQPRRAGCHGFVESTPGSLSGNFWIDLVGTALPGESQKPIIVHYNVRLTGDKLTEGPVIVQNTFTASNGWGYEDRCPCKNSSNATEVDGLERCNSMVGREEKSIMNSTRNTGAKQGRKSSKHFPFKQGYLAIATLRIGLEGIHMSVDGRHITSFAYRAGLEPWFVTEVRISGDFDLASAIASGLPTSEDLENSVDLEMLKSTHISDGKDLDLLIGIFSTANNFKRRMVIRRTWMQHDAVRNGTVAVRFFVGLHTNLMVNVELWNEARTYGDIQVLPFVDYYSLITWKTLAICIYGTDTVSAKYLMKTDDDAFVRVHEIQSSVKKLNVSNGLLYGRIESDSSPHRNRDSKWYISPEEWPEEKYPPWAHGPGYVVSEDVARTINTWYKTSCLKMFKLEDVAMGIWVNEMKKGGLPIRYETNERIYIDGCKDGYIVAHYQEPRNMLCMWEKLLRTNQATCCN >cds.KYUSt_chr3.21222 pep primary_assembly:MPB_Lper_Kyuss_1697:3:130127368:130129234:1 gene:KYUSg_chr3.21222 transcript:KYUSt_chr3.21222 gene_biotype:protein_coding transcript_biotype:protein_coding MRERYETPVVDELSLERRASAYIVVGTKSTHTNTHVYGTYPHVVGRHGDQCAFGEVYDQPCGRGKVIEDALEPHGGAFVSMENDQDVVRILQDEGKEAIDDGPLEHAVALDHTLHHICHRDEEVGDNGSPCRSPNLELMQRLGAPLRSTEDMEEWKMASIQSHPNDGKPRARRTLRKLWSQTPSRIQA >cds.KYUSt_chr7.9890 pep primary_assembly:MPB_Lper_Kyuss_1697:7:60518748:60521733:-1 gene:KYUSg_chr7.9890 transcript:KYUSt_chr7.9890 gene_biotype:protein_coding transcript_biotype:protein_coding MTEATPLEVHYTNSPYCSALPIKMKDLMILLEVHITLPQSRPFWARTESGIFRSVLRADPNLDDSPWPSVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDDQRQIPLDILIYRLIKQYLRATPLKRLALKALSKALKDDELLYLRLQFKLLEPRDGVVTLDNFRTALTRYLTDAMKESRILEFLHALEPLAYRSMDFEEFCAAAISPYQLEALDRWEDIAGTSFQHFEQEGNRVISVEELAQELNLAPTHYSIVQDWIRKTDGKLNFLGFTKFLHGVTIRGANPRRH >cds.KYUSt_chr5.37201 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235246217:235249230:-1 gene:KYUSg_chr5.37201 transcript:KYUSt_chr5.37201 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPRQVVAGFLTLSMFVMLGNMIKHDHFTSVSELSIEATGVEFNAMKIADNTEITNANRAGVEPLNEAVEEVKPCWTKPSPKGEQSNGFVTLSLTMGPEYHISQIADAVVIARYLGATLVLPEIRGDELGKSRNFQDMYDGEKFKRSLDGVVKVVDKLPNEWSSKKPAVIRVPNRVTEHFILETIQPIFQTNSYLRLAIIFSSVSLKPKGTNNKDLDSTACHAMFSGLKLKPEYSEVAEQMLGRLKELSKKSDEKVLAVDLRTDLLEKKVGFSANTTIYLTETWWHKGLNTLKEAFPNTYTKARIFDLKFVFAQDDIMPAEKKGEFLNSGDSDLARALDLEICSQSDVFVPAIAGMFYGHVAGKRIGSGLTEILVPATGLGASAQASDFISTYITKKSHFAYSCYC >cds.KYUSt_chr4.7152 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42316520:42318257:-1 gene:KYUSg_chr4.7152 transcript:KYUSt_chr4.7152 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLRRFVLSDVDFMMAWASDTEVAAFCRWEPYSSTESLLAYLRDTVLPHPWFRAICLAGDGRPVGAVSLEPTADACRGELGYVLARAHWGQGVATAAVRRALAAVFGEVEGLARVEALVDVDNAASHRVVEKAGFRREGVLRRHYWHKGRARDVLVSCVLRVRSVTLADAGAAAAPLPAAPGRGRAVEIAAGKQPVAAPKGGSGQGPSQPGGVAKDGAAASRMECFKCGRMGHFQADCTYPPVCLLCGVEGHFSAACTSKGRQPSLRVLGQAVAGESFFSLDFEEDDDEEELVSNGAIISFRAVSLSARELDRELHHLVEAEWDWQVQTLLGHSFAVTFPSRETLRMSTRSGKLYLPLSGTVADIRLADADPAPAEQLQEVWVRLSGVPRRMKRANRLLAGMGMLGWPIAIDEDSLKRPMPVRMLLACRNPAKLKGTVQLFHKKWGYNIGVAVEAPAGPSGGSSPPAPHKPGDDDDDEDVDDLSPSEGEWDDLGEQDAARKADAPPVAPPAAPAPAPQAPSDAVGGSPAP >cds.KYUSt_chr6.27378 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173857479:173857820:-1 gene:KYUSg_chr6.27378 transcript:KYUSt_chr6.27378 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPESHAVKPPSLVVPTQPPPADTNLPHRTGMAAIAEVPVELGNDSQTSQANTTDSQAKQTPQTAKRRLGSKHHRQPSQANTTNSEEKARMEHARWIYANNILFLEPLLAR >cds.KYUSt_chr1.31325 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190052647:190053474:-1 gene:KYUSg_chr1.31325 transcript:KYUSt_chr1.31325 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPAPDGDGDVDVDRQEQLQIVLSSAAVAPAPSKPEPAPTVATHTRTIGIIHPPPDIRVIIEKTATFVAKNGPDFERRIVQLNQGNAKFNFLQPSDPYHAYYQHRIAEIAAQPPATDAGAAVPDDAQQLPSDPADGSDDKPDHSAPFRVAPPTKVLVPPKAELYTVHLPEGITGEELDIIKLTAQFVARNGKNFMTALAQREATNPHFNFIRPTHSLFTFFTMLSDAYSRVMRPDEGVPALIRDLRGGGGQGPHHRARALPEPAGVGPVAGAG >cds.KYUSt_chr2.52555 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327870620:327874344:-1 gene:KYUSg_chr2.52555 transcript:KYUSt_chr2.52555 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTISFHPSTLTSAGSNSPRSGFPDRAILCNAVRTSKHRNATTAQCETSEGHTVEVSFWLVDPPAVSYLSVNCPGLDESHFADKMDPPWLVCAEATFVLFTVTVRGTTDHFVYTAGPAGDRSLQLLPDPNTGPFTNHPYALLPRGGDSFDVAFLDRRWISQDDGWRFHASVFSSETQSWRRSRVSLQHLSDSDKSLCGHHGLSKQIAVGGDSLGWVDLASGILLLQDLFDEHPVIRFIHFPESRVCFMDDDGIPHYPDEYYCNVACYDDLIKFIHIEFDDPAIRTSGQAWRATMWSRKISWNNWRRCSTVDVANISVDQSYSDLLPVLRNDEMQRLELERLIFHTPVPSVRNDDVFYMMAKVNGKKDTAWAIAIDMKRAAVEAMAPFSAQVYSLVTMYRPCVFPKYLNMTPANTKKSAISMDPLYSDLSHASRQLGGAGMGNPVNECFKRLSAKQCLVEVLWTLDWLRELECLLFPRALDGFDLALHESPCDLSASVEAMRFKIRDVLQALDNILQIVPPALIPEEGTPGEGKRGEALSETCEKPDNESDKWQKETSEPSNSRVEKYQENKGACDRKERRAMMVWDVRLLILIVLFLASLVFTGMPSPPLIVRKAVS >cds.KYUSt_chr3.19640 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120915978:120918806:1 gene:KYUSg_chr3.19640 transcript:KYUSt_chr3.19640 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRVSARQVASVRGCASLEVLLSADAKTAEEHCEQGCQKSCCCRQPKPSASRSSFSSGWSMWGRSFSSQAGANSGDKDDDLEDGFSELEVPPEADKKEVESTSEESSDEDAVDEIDSLGVDADAKPEKETVKRVSQSPLLKVLLEAPRNDVAASLKKWVDAGNTFDRSDVFYVILNLRKRRFYAKALQLLEWLEESKQIDLVERDYASRLDLMAKVHGVYRAEKYIDNIPASLRGEVVYRTLLANCVVEVNVKKAEEVFNKMKDLGFPVTVFAINQLLLLYKRVDKKKIADVLAMMEKENVKPSLFTYKLLVDTKGASRDFEGMEKVVESMQADGIEPDILLQATLAKHYIFGGHRDKAEPILELMEGDDIKANRNACQVVLPLYGFLGKKDDVERIWQVCEANPRLDECLSAIDAFGRLGDVEKAEKVFEDLFVKWKSLSSKFYNALIRVYANQNLLDKGKELLKRMEENGVKIGVSTLDSLVKLYVDAGEVEKAESVLYKLSQKNRMKPQYSSYLMLLDSYSKKGDVHNSEKVFNKLRQMGYSGRIRQYQLLLHAYLHAKAAPYGFRERMKADNIFPNSVMATLLAATDPFNQKKTISDMLD >cds.KYUSt_chr1.20623 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121800671:121801276:1 gene:KYUSg_chr1.20623 transcript:KYUSt_chr1.20623 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFAGFSASADDGFWTACPHCCYVHSFPRLYVARRLLCPVATCRRVFSADELPSPPPIVPGTDMYFCTWAFFPLGPPAVAQGWAPFTPFNPAPPPSPSPTPNPTAATATPASAIPSRVRPTSRKKVGVCLKGRARVEAEEEEEEEEKTSTVANLKADEEVQMDWLSLGSNGDSGININESVDLSELGFRIDETGFLQELP >cds.KYUSt_chr3.18680 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114924763:114927520:1 gene:KYUSg_chr3.18680 transcript:KYUSt_chr3.18680 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAASAAAAAAAPATHHADHPMNALRSTALRRSTLHWDAAASFFSPPFRSRRCRCRVLAPPAAALPAKSRSRARAKLLADVAAADPWLASLSLLPADDGNGDAAPAPTGWALGIDPDTRGAIAVLSPNGSSQVFDNPFVHIMVSEFTRKRLDTKSIIQLLRGLDAPPGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIASLVASGFSVVPVASQTWKAYFGLTRSESPKDDSRQAARILFPDMALSLKLKKHHGRAEALLLAAYGKGLVLPPDKFIKTQRVLKHEPSLTLTGRTD >cds.KYUSt_chr2.14289 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90447528:90458931:1 gene:KYUSg_chr2.14289 transcript:KYUSt_chr2.14289 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFLKKTPDRLLEISERVYGIMPASLGRVGAGFAGEPPSPARISGFRAVECCKPEHAILLANLDAYVFPVFDCCFSAEALGEDEYRDYLSGVVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQQNMLLMHCERGGWPVLAFMLAGLLLYRKTYAGEQKTLEMVYKQARRDFIQQFLKLNPQPSHLRYLHYVTRHGSGSEWPPVSRTLVLDSLVLHTTPRFDSDGGCRPYLRIHGQDSSPGNKSAKVLFEVPKTKKHLRRYGQAEVPVKLSAFCRVQGDVVLECIHISSNFDREETMFRVMFNTAFVQSNVLGLNRDDIDAAWNVNNQFPRDFRAEVLFSDPDSFKPSAAVEEAGDDGDETDVASVDTGDEFYEAEEDWHDARKDPDTQSTEGRISLEVGNTELDGDASERNSTVENHLTDEDVKIIISEHSGGMNNKGPTAPVPSLENHGGLQKACKVLEKSKLSNESDQEDHAVQDIQVVAASIGSEGRKFGSNCQEDTKGVIAQTLVTATDPSCSDENVVKEESAIVSKIERTAIENMDSRDNNNYKVEKVKVADTADRRLDQSKLKSDLGDTIPAKISLQDSIVVLPANEIATQMKAKREEGGGRWDLGIALPQSKIEARDNNNSPKISDVQRQAPDKSFSSVSKKVPVGNAPEPVLLEAMVGNNGQLEEQSKPSKPKTIRRWVSPKKESETASVHRPSHPPSRYDSSPAALAIRSMSTDFKVNNVKGAPLVRPGTLYGNHLTQDATLSPRFPSRRPLLSGAQAAPRIEATAPTPPPPPPPPPPFYASSSSSTKQLSPPPPPPPPPFYASSSSATKHLSPPPPPPPPPPPLASVGLSNIPPPPPPPPPMSFGAQSQNFAPAPSPPTPPARGNIPPPPPPPPVQSFITRSGAPPPPPPPPPPMARSTAPPPPPPPPLPVTRSGAPPPPPPPPPRALSTAPPPPPPPPPMTRSGAPPPPPPPPGARPGPPPPPPPPPPPPMTRSGAPPPPPPPPGARPGPPPPPPPPMTRSGAPPPPPPPPGARPGPPPPPPPPGARPGPPPPPPPPAPPPPPPPGRPGAPPPPPPPGRPGAPPPPPPPGRPGAPPPPPPPGGGGRAPPPPPAPGGRLGGPPPPPPPGGRAPPPPRAPGAPPPPGGNPSSLLSNSLGRGRGGAVRTPASGFGAAAARKSTLKPLHWVKVTRAVQGSLWEELQRYDDPQSVSDFDLSELESLFPAVVPKSDNTSKNDRRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLPDLVNAALALDQSTLDVDQVENLIKFCPTKEEMELLKNYTGDKELLGKCELFFLELMKVPRMESKLRVFSFKIQFGSQVADLGKSLKTIDSSCNEIRSSLKLKEIMKRILLLGNTLNMGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAAKSPHLLNFYVDLVSLEATSKIQLKMLAEEMQAVSKGLEKVEHEFKASESDGPVSEIFHEKLKEFTDNAGADVQSLSSLFSEVGKKADQLIKYFGEDPVRCPFEQVMSTLLTFVTMFRKAHEENVKQADLEKKKAQKEAAEAEKSKSAQLASKN >cds.KYUSt_chr6.25219 pep primary_assembly:MPB_Lper_Kyuss_1697:6:159787483:159787839:1 gene:KYUSg_chr6.25219 transcript:KYUSt_chr6.25219 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQPPPPDASLPPASAAAAYAVVACLRSHGRHHLPPSAAAAALILVAFALFFWLRRGRKRAPAAPATQPAPALHRLSYQQLRRATSNFAAGSKLGQGGMDLTAVDLRESVLKFKTV >cds.KYUSt_chr7.39125 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243460399:243464027:-1 gene:KYUSg_chr7.39125 transcript:KYUSt_chr7.39125 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAPSNAAAAAVLSLDRAAAAPAFSRRLRMPARPARGTRLRLRGRGGAVLATSVAAPAAPAGAEEVVLQPIREISGAVQLPGSKSLSNRILLLSALSEGTTVVDNLLNSEDVHYMLEALDALGLSVEADKVAKRAVVVGCGGRFPIEKDAKEEVKLFLGNAGTAMRPLTAAVVAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGANVDCFLGTDCPPVRINGIGGLPGGKVKLSGSISSQYLSSLLMAAPLALGDVEIEIIDKLISVPYVEMTLRLMERFGVTAEHSDSWDRFYIKGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTVTVQGCGTTSLQGDVKFAEVLEMMGAKVTWTDTSVTVTGPPRQPFGRKHLKAVDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGATVEEGPDYCIITPPEKLNVTAIDTYDDHRMAMAFSLAACAEVPVTIRDPGCTRKTFPNYFDVLSTFVKN >cds.KYUSt_chr1.40769 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250003020:250008048:-1 gene:KYUSg_chr1.40769 transcript:KYUSt_chr1.40769 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEEGGGEHGCAVQDHPPATDRRDEDAPPHSTPPLPVVDPVASEEKEHQDPVVRLPEGPLVEILARVPYRSLCRFKCVSKAWLAFCSSRDIRRRSPQTLSGFFYNDRSGLHFRNLSGRGQPLVDPSLSFLRESYTDIFVEQFGDGLLLCRCWNTGTRSDYLVCNPATEEWTVLPPLLFPAQEFGHLLHFKPITYLGFDASAPSRFVVFAPLTNGLNDSRKLAIYSSETGQWTYVPSKWVAGTLVDHSRKTRVFLNGTMHLITLHKTIVTIDAEGKVWREIEMPNELPSGSDTASIGQSQGRLYAWQIDSRSNCQLYIWVLEDYGTGKWTLKHSVDILELFGRHYRKDEYCYKMFAVHPDCNVIFLTDGNKMTVSYNLDNHKVDVICTESILLKGLTLVNRGSIISMEKKQKQHEYEYEQEQDQPAVSIPEGPLAEILARVPYRSLCRFKCVSKPWLALCSSRDICKRSPQTLSGFFYYDSDALLSFCNLNGRGPPLVDPSLPFLRERYKLIFVKGFCAGLLLCTCWESCSMGGESHYVVCNPATKEWTVLPPIVIPAEEVSHRLHPIPYLGFDAAIPTCFAVFAPLRKGQSDSGTVAIYSSQTGQWTYVQSKWSARTHIDHVRKRHTLLDGTMHLTTRQKSIVTVDMEGKVWREIKMPDRLPSNIDIVSIGKSQGRLYAWQIDNRHDRQLYIWVLEDYGTGKWILKHTVNVLELFRRPGSVSGFAVYPDCNVGVLNDKEKIGKPCRNGYDTYDMFAVHPDCNVIFLTDKKKMTISYNLDNHKVEIICTESIHGLPYIPCFAELPVNWSLRVSAASSTQNGTPPL >cds.KYUSt_chr6.25075 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158881554:158882580:1 gene:KYUSg_chr6.25075 transcript:KYUSt_chr6.25075 gene_biotype:protein_coding transcript_biotype:protein_coding MKAALQPQRALTESCPCHGLEIEVLEDTTAATVVTATEVAATGQADAAEESLKDDVYTGAASRDLEKLHCLIEREGRSVMEPGGLGYHALQWPPSTTGTTPPTLQACAATYSGSGNTCLDFFQVVPNTPTKRVRELLAIAWAHDLLTTLKLMCNLRGGRGTDKYDKEGFYSASL >cds.KYUSt_contig_2402.64 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000325.1:390653:391869:1 gene:KYUSg_contig_2402.64 transcript:KYUSt_contig_2402.64 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTVLKRRTTIRMSEVAAMVSPTSTTKSSLLEGSKGGGDVAKRCCGHKHELVGYEALPEFLKHNEFVLDYYRSEWPIKQALLSVFALHNETINIWTHLIGFFVFLALTVCAATMVPMETGVATSTVHLANCTSDPTVLMAYYGTNGTAMAVQAVLRHNVSASVVETELASAAAAGHPVTRWPFYAYLCGAMFCLLMSSGCHLLSCHSEHACYVLLRLDYAGITGLIVTSFYPLVYYTFLCDPFYRTLYLAAITVFGAAAVAVSMLPVFEAPDLRWARAALFACMAASGLVPIVHKLLVFGARPEAVLTTGYELAMGAFYLAGVVVYGARVPERWLPGKFDLFGHSHQLFHALVIAGAYTHYQAGLVYLSWRDMDMCL >cds.KYUSt_chr4.2923 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16701634:16711266:-1 gene:KYUSg_chr4.2923 transcript:KYUSt_chr4.2923 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCATEIRHSVAHQGGCATKIKHSVAHQGRCATKIRCSQSLYLSVRKSPTPPSQIPHSTLPGDGDAEVRVQTAAGRRREWGQPTSDSDAAPISVTDPHLDVLCAAVGEIPCAPSLSPMAPSLSEHPAPRATVSEIPCIPSLSPVAPSLSDHPAPRATVVATAAGGSMSLPGCPDKCGDVRIPYPFGIGAHCAATSLSSYFNLTCNGTTDPPRLMVGVGDRFEVADISLEHGEMRVLSPVNHICFTSSTRFTKFIGAYELQTTPFLPSPSRNRFTVIGCNTLGLISGYKDTASQYVAGCYSYCEGVNNTSDGAPCAGLGCCEAAIPANLTSWGVLFQMNESKVWGFNPCFYSMMAEAGWYSFRKQDLVGTLGFIDDRAKRGAPVVADWAIRNGSCPSKGKDTPNDYACISTNSYCMPAHNGLGYLCQCSKGYEGNPYLLNGCQDIDECTLRKQDPKYGDMYPCRKGVCYNTLGSYLCKCKRGTRSDGTNYGCRSLSFPADKMVIGLSVSATLVMGLACLLLMQLQRKRYKKDKDKYFKQNGGLRLYDEMRSRQVDTIRILTEQDIKRATDNYSEDRVIGHGGHGMVYRGTLDDQKEVAIKKSKAINDDWREEFVNEIIVLSQINHRNIVRLLGCCLDVDVPMLVYEFVPSGTLSEFLHDPGHRSSIPLDLRLKIATQSAEALAYLHSSASRTILHGDVKSANILLDEQLNAKVADFGASALKSMDESEFIRFVHGTLGYLDPESFISHRLTDRSDVYSFGVVLLELMTRKRAIYIDDFNEMESLSYSFPLMFHQKTHHAILDFEISDEADTRVLKNMAELVVHCLSPKGEARPTMKEVAESLEVMRKLQLHRTNAHENNRCAHKYEGSSLVDITFDETTQGTIDMSELVEDLAR >cds.KYUSt_chr1.16501 pep primary_assembly:MPB_Lper_Kyuss_1697:1:95753310:95759352:1 gene:KYUSg_chr1.16501 transcript:KYUSt_chr1.16501 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLESGAINEALPVLAVALALGFRGAMYGIATHLHRRHPPSPFGSYLLLADSSRLMRGSVLVRVDLESRSFYALLIICGRLLGKMSRLSSAGECDNDTYPTRKVIRSCRECSFAQYTVCAHLIRRKLSSMASQLVSARATRSVAGRKRMDGKSGGESWRRAPAPVRQLFWRVKRAMLRPKRRAVTFGYDLKSYSQNFDDGLVTAHRL >cds.KYUSt_chr4.2515 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14084305:14084862:-1 gene:KYUSg_chr4.2515 transcript:KYUSt_chr4.2515 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQRVFLHLLLLLGLGAAGVSGLADGRASSVIKSTCAAAAQSIWKTPYHYCVDILSADPKAASAADARGVAVAAANLTASNVTSTVRAISDLIESLNHCLNLYREMDAWVTGAVSDLLAGRVETAWPRLSDASYQPGYCRLALMEGNLTPKDPLSDENNASIWLSAMAADIAQAIHDHRTTLP >cds.KYUSt_chr4.47056 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291185219:291189839:1 gene:KYUSg_chr4.47056 transcript:KYUSt_chr4.47056 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPGTSSYDCSFKVLLIGDSAVGKSSLLVSFVSAAPADDDISPTIGVDFKIKFLTVGDKKLKLTIWDTAGQERFRTITSSYYRGAHGIILVYDVTKRQSFTNLADVWAKEIELHSTNKECIKMLVGNKVDKDEERLVTREEGLAFAQECGCLFLESSAKTRENVEKCFEELALKILEVPSLSEEGSSVVKRNSLKQKQENNAKLGGGCCQ >cds.KYUSt_chr1.32385 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196588213:196597888:-1 gene:KYUSg_chr1.32385 transcript:KYUSt_chr1.32385 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFSAFYASREAVSGLRWVSSGGERSASMETRRDEDWGGEDGRGLGSESATRDLGDALLRQRPTGDDPDQDPDVTRFVFNPLTRQLSRVPDVDICNSVSDGSCGLDHMCILSRAVPAWQTLLLLSEKEELECLGSGAAVQPGNYLEDDDIPTLAAPTLMAGDFVPDSFLGTVTKLVEEQSRRDADKKVEWLRKQVAQDACYIELSDSE >cds.KYUSt_chr3.8472 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49022372:49024947:-1 gene:KYUSg_chr3.8472 transcript:KYUSt_chr3.8472 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGLEIPGEVQYPSSPVLVPPGRPTPRRTLYLSNLDDQRFLRFSIKYLYVFPAAAAVPVAALRAALADALVEYYPLAGRLRHGDEEGKLVVECNAEGALFAEGSLPGLAADDFLRGGGARPHRSWRKLLYRVDAHSFVAVPPLVVQVTHLGCGGMVLCMAINHCLCDGVGTAQFLHAWARAARSSGIVGDNPVVHDRCALRPRCPPRVEFAHPEYHCHDAAADDGASNLLAHLLGQPLAPVSLTFSAAHLAHLKKQFLLKRCTSFEALAATVWRAWVRALDPPAPLRVKLLFSVNARRRLTPELPDGYYGNGFVLGCAESTAGQVAAAAPMPTVVRLVQEAKERVDDAYVRSMVDLLEEQRRGVDGGAKPDLSASLVISAWTRLGLEDLDFGAGAPAHMGPLTSEIYCVFVPVAGDPGGVTVLVSVPQAATDKFEHYCCNPMDAAGVAETGSGAMAAGNGMLERDEKQQPCHGHEIKIDY >cds.KYUSt_chr2.13977 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88436365:88436793:1 gene:KYUSg_chr2.13977 transcript:KYUSt_chr2.13977 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRKATVLLGLLLSSVAMSGAVRTLQEETAPTKGEEHKPELPPLPKVELPPFPEVHLPPKPELPKVELPPFPEVHLPPKPELPKVELPPFPEVHLPPKPELPTIPEVHLPAKPELPKVELPPKPEKPTIPEFHFPKPEAKP >cds.KYUSt_chr1.1331 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7226566:7232377:-1 gene:KYUSg_chr1.1331 transcript:KYUSt_chr1.1331 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGAGEGICGGGGGGEEVGERGDFELFRSGSAPPTVEGAMAMAAGGGGEVLLDDELRADPAYQSYYYSNAHLNPRLPPPLLSKEDWRSSQHRLRSSASSGLGGIGDGRRGAPAGAGDGLVGLPGIDHPRQRSFSSIFQEESNQRDIDRQSANHNRNDFLDSSGMQYALHRETGAMSSLQRDSNEQTMAEMRNNDLSSHAYASILGPSLSRSASPDPELVRRVPSPCLPPIGVKVGAYDKKSNGGSSSFRRSSSAVGEPDDLVAALSGMNLSSSRAGNGQTMDQSKLYQDVDNANRFLFDRQGDQTNGNQQHSFMKRPDQGHYRAPEGYSANSANSSVMRNQMNAGNFSSSDNSSVGSGFASPRIGSRSPGGTLSSRQNLAGASNFRGYNGIGSPNAATSLQMPIDPLYVQYLAAQVAASYDDPFMASGLLGNSYMDLLGPQSLSPLLQSQKNYGCYGNLGFGLGYAGSPLTSPVHPSSPVASGSPLRHGERSMRFASGMRNFGGSFGSWNPDLVGKMESNLMPSLLEEFKSNKSRTYELSEIAGHVVEFSADQYGSRFIQQKLETASIEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSTTQIKELSDQLIGRVLALSLQMYGCRVIQKAIEVVDLAQQTKMVAELDGHIMRCVRDQNGNHVIQKCIECIPQDVIQFIVSTFYGQVVLLSTHPYGCRVIQRVLEHCDDPTTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERTSIIDKLIGQVVQMSQQKFASNVIEKCLAFGNPVERQILIGEMLESTTESEPLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRQGLQPACTAA >cds.KYUSt_contig_662.264 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1497411:1499042:-1 gene:KYUSg_contig_662.264 transcript:KYUSt_contig_662.264 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGASSLPRWGSCSLQGLNIEVRTGLDKDALPGVSGGSIRIPPHFWGEGPVADAELGFPVYAGYSEARALIGEGATADLTRLSRQAQDMVAEMFASIGRDGGDAARGARVVQLRLSPELAMWKSTQHAVGNVLPPKGKGLRQASPQVLAQLGATDWPEAITTNNALFGGCTADMLIGGADLRTMFSNYVTDMAVYYEYGYNHVFPHLHGMLQDGLADAHALATPCGQERREAVAAGLPYIQGKIAMEVADRTRLKDFSAQMDRRAAQIIFLFDCSVLGIGAEATARGFDAGAVMSDLILSVNSHDVLDVGSDLVNSEIMNSFLNVADIAASGVVSEPALRAIYDAYAATGARLFTQRWHEPSARMVANEYIWHIANDRHMLFRRALLGWPMARKSPASPQREADFDEVFDADFHTTGFSRPIDPEYACDGDETCNHVRRFLDRQDEDLLSSFWWSLVTGPLEYIRQGEVDEKHEEHLIESSRMQMTQLLSKGLVLELTWLLAHASHHAWQVNYMYEAAMFGSILDGGALIGKLDREEKGSID >cds.KYUSt_chr3.8320 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47989425:47994026:1 gene:KYUSg_chr3.8320 transcript:KYUSt_chr3.8320 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILKLSVLESAVVAPSPPVPETDCSLPLNFFDVFWLNSPPVERVFFYRLTPGTGDDITTILSNLKSSLSKALGVFYPLAGRLRLTPGNDDRYELHFQPGDGVTFTVAEYDADVDELAGDEPREVAKILQLVPPLPVGVGPVLTVQATVLRGGRGLAVGLALHHAACDGASSTRFLHTWAAAAGTGIGAPPPPVMDRTLVDDPSGGRPLYKLPSTDEMEHVKMADDQLVATFTLSKEDIQQVKDVVVAAAGARPPRCTSLVATFGFIWSCYQRAKHDAASNSGETYFVFPIDKRSRMKPDPIPDEYFGNCIGAVMQAAPKNQLAAAGSDGLLAACTAVAAAIEQAVGKLESPEKIALWVERIREASASGGGVMSVAGSPRFRVYDVDFGFGRPAKVEIVSVARTGAMAVAESRQSNGGIEPSSLSPAATITTSADDLKKLEQELKERESLLQARQAELDRRLAEMGKSVDNTTTSPNPSPTPFSYIASIKSHVPVTLDLQESNYAKWRELFLVALGRYGLTAHVLGTTGATPSDTSPTSDWARDDYTVLSWIYGSISSDLLGIIMAPGSTARQIWDAPASLFHDNKKSRALAIDAEFRNTPQGDMSVHDYCSKLKSFADALADVGQPVSDETLVLTVLRGLNEKFSHLRSFLPYQVPFPTFLQTRSALVLEEAQKKTDAKNAAASALWASGNSINTHAGGERAPSAGRGGGSGSTDPRPPSPYQPGLFINSGRGGGYGGRRGRGGGRGRGRDNNSPWMYNLWTGLPTRAAQQQQQLQLAPWQPRWRAPTAGVLGPRPGHQAYTATGQQLPPMTPTWNNGLMIPPQQHVSQQQLDPALLTALQNMHLPGNQEWFMDSGASSHMASDHGSSHQDRDSSMQ >cds.KYUSt_chr5.34801 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220476491:220477600:-1 gene:KYUSg_chr5.34801 transcript:KYUSt_chr5.34801 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWPKRKLNFLDVESKQESEFLSREEEKEHRLAMWQLTTHEFDEMAMASTDMRIQSLCRREMQIAAEQLAQGIVASRKLPIMGTAAAYVQGILKETQQAS >cds.KYUSt_chr2.13351 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84710979:84712187:-1 gene:KYUSg_chr2.13351 transcript:KYUSt_chr2.13351 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAHTSGGGSKKRKHELGHLHEDMLERVLARLPPASFFRLRAVCRRWRDAAGSPAFLAACSHVPARDPWFLMLSESQEERRPAVAFDAAEGAWARCHAPPGPVPVGAAGGLVLYRAPDTGALTVANPLTGASRALPPPPPATLQAVAMYGSPYRVVLILGKLTDLSMSAFDPSTNTWGDAVPLSRKPDASPAEAPAPRDDNDEDVNDDDDDGTVFFLTKSGTIMASTTQRSPSREHSSAVTCRPNGSNPVAYFLSGTGAVVSCDLASRAFSELPRILPAHSEHSIDVAACGGRAYAVVLTEYLDTASLRVWEFVGGAWTQAAAMPPAMSHGFFGAKADVNCVGHGGRIMVCVSSAEANGSFLCDVASNRWEELPRCTAGDGAVTDFVAAFSFEPRMEVAV >cds.KYUSt_chr3.19425 pep primary_assembly:MPB_Lper_Kyuss_1697:3:119491706:119494815:1 gene:KYUSg_chr3.19425 transcript:KYUSt_chr3.19425 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNDRGDTAIKLFGRTIPLRDASAEVVTKPRNDANNDDVMPTVSDKLLNVDATPFCSNNTEQNGLQTISRHGGKMGTDSKSQIKSESDGSGQENVLKKPDMIVPCPRCNSMETKFCYFNNYNVSQPRHFCRNCQRYWTAGGNIRNVPVGSGRRRKNAIQYHHALMSCGNNIAAPGDVSSAIHHLALPLVPPVLPGPIKENERVKEVGSEVPVLQSTASILNSREQKDTHLVSLATGDNKEEQSCPSSAPVSDCSNQMPGSADKNEPSNVSGYCNGVTLSHPHGPALVFPWSPAYNSIAFMAATQCSTEPIHGSEMARHSLPSWVAPPMMEAPGICTPVVPFPLMPPPLWSYISGWPSGMLSSPCHGSNGSPNKTNCSEDNSPTLGKHSREADLHEEEKSGNNIRVPKTLRIGDPAEAAKSSVWDALGIKPDDKGMIKSLQPKVLKHDKTPESPQTLQANPAAFSRSQSFQERT >cds.KYUSt_chr5.42988 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270961942:270964927:-1 gene:KYUSg_chr5.42988 transcript:KYUSt_chr5.42988 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRSPKKSDNTKYYEILGVPKTAAQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGGVDPFDIFSSFFGPSFGGGGGSSRGRRQRRGEDVVHPLKASLEDLYNGTSKKLSLSRSVLCSKCKGKGSKSGASMRCPGCQGSGMKVTIRQLGPSMIQQMQQPCNECRGTGESINEKDRCPGCKGEKVHQEKKVLEVHVEKGMQHNQKITFPGEADEAPDTVTGDIVFVVQQKEHPKFKRKGDDLFYEHTLSLTEALCGFQLVLTHLDNRQLLVKSNPGEVVKPDSFKAISDEGMPMYQRPFMKGKLYIHFTVDFPDSLAPDQCKALEAVLPPKPASKMTDMELDECEETTMHDVNNIEEEMRRKAQAAQEAYDEDDDEMPGGGGQRVQCAQQ >cds.KYUSt_chr1.3683 pep primary_assembly:MPB_Lper_Kyuss_1697:1:22410009:22414765:-1 gene:KYUSg_chr1.3683 transcript:KYUSt_chr1.3683 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHLELALVKEEEEEEEEEEERNDVDNYLDYLAYFAEKAKEEGRVDELPLMPEMWMRRRFVDDAIPEASRLTSTELLVEPMDSHNHSPPHVPEVTLDISSASGAAGNKACRGAACDFSDTSNTSKDAKERSASMRKLLIAVILCVIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALLSIQLIWLLAGILVYEAINRLLTESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGGHGHSHGHDHGHSHDHDHGHSEDDHPHHEDHEQGHVRHEHSHGTSITITTHQHSHSSSGLHHDAEEPLLKHDGDCESTQPGANAAKKANRNINVHSAYLHVIGDSIQSIGVMIGGGLIWYKPEWKIIDLICTLVFSVIVLFTTVKMIRNILEVLMESTPREIDATRLESGLREMEGVIAVHELHIWAITVGKVLLACHVTITQDADADQMLDKVIGYIKAEYNISHVTIQIERE >cds.KYUSt_chr1.24611 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147300105:147301834:1 gene:KYUSg_chr1.24611 transcript:KYUSt_chr1.24611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter domain containing protein [Source: Projected from Oryza sativa (Os10g0547500)] MALASLSKVVLGSSAFGVFWVLAVFPSVPFLPIGRTAGALLSAALMVVFHVISPDDAYASVDLPILGLLFATMVVGGYLKAAGMFGHLGRLLAWRSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKISFVSFFLGILPAMLAGMAVNMVMLLCMYWKDLEGISPDAESKEMVAVEEGRRPSPLTAATLKSPARVLSADDHDSVMAENISTKHRWFMQCSEPQRRLFLKSFAYIVTAGMLVAYMLGLNMSWTAITTAVALIVVDFRDAEACLGKVSYSLLVFFTGMFVTVSGFNKTGLPGAIWNVMAPYSKINHVSGVTVLSLIILLLSNLASNVPTVLLMGDEVAASAATISPAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRVPCNAHDLTFWSHVVFGVPSTLVVTAVGIPLIGLINAA >cds.KYUSt_contig_319.373 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2457651:2463833:1 gene:KYUSg_contig_319.373 transcript:KYUSt_contig_319.373 gene_biotype:protein_coding transcript_biotype:protein_coding MPATGTEAKTTPSIYTTETATFPQHVSTGGTGENGLGSTGDWGSALSILSESPCDEQHIHGFNPQSWLQVERGKLPKSSYSSSSIESLIKIAEPPVVPLYKPLNYVEVLSRIHEELEQCTAIERPGLYLIQSQVFRGLGEPKLRQRSLHSAWRHSGTVHEKVIFGAWLRYEKRGEEIIADVLASCRKCCREFGPIDVASEMPMRNFEIVGSEMDLTSHISSIVTFQIRDGRVTCDRCKIAALSIPFCSMLNGPFTESQLELVDLSENGISLEAMRAVSEFSCTQRLGDLPLEILLEMLVFACTFCCDKLKDACDRKLASFVSSRHDAVELMALSFEENAPVLAASCLQMLLQDLPDCLTDELVLNLFLSATEQQQNIMAGHASFLLYCLLSEVTMNIDPRTETTVLLSEKLVQLAVTPSQKQIAFHQLGCIRLLRKEYDEAEHLFEVAFSAGHVYSMAGLARISAIKGKKVLAYEKLSSVISSSVPLGWMHLERSLYSEGDRKLADLDKATELDPTLTYPYMYRATSLMRKKEPRLALDEINRLLGFKLALECLELRICLYLALEDYKSALCDIHAILTLSREYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIYKMLESDAAKGVLHFRQSLLLLRLNCPEAAMRSLQLARLHAATEHERLVYEGWLLYDTGHWVDPSYSANVISLLEDALKCPSDRLRKGQALNNLGGVYVDCGKLDSAADCYTSALKIRHTRAHQGLARVHFLQNNRDAAYDEMTKLIEKAKNNASAYEKRSEYCEREQTMSDLEKVTQLDPLRVYPYRYRAAVLMDSHKEEEAIAELTRAISFKADLNLLHLRAAFHEHTGDVPSALRDCRAALCLDPNHQEMLELQKRVNSQEP >cds.KYUSt_chr3.26491 pep primary_assembly:MPB_Lper_Kyuss_1697:3:165008212:165010593:-1 gene:KYUSg_chr3.26491 transcript:KYUSt_chr3.26491 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLFLSLLSSLSFQFCSCASPWQAMTTGSSMTPQDHDRIFLLSPDTTFSCGFHQVGTNAFTFAIWYTTVKTVVWTANPFSTVNGYSSPVNLYGSRISLNKDGNLVLADTNGSTVWESKTSSGKNTIVSLLDTGNLVIIDSGNKTVWQSFDSPTDTLLPRQNLKKDTRLVSGYRYLYFDSYNILRLLYDGPEITSIYWPSQYASTPLADGRNRYNNTRVAFLDEEGNFVSSDGFKIVASDSGLGVKRRITIDKDGNFRIYSLDASTGSWVVTGQAVIQMCYVHGLCGKNGLCDYSKGLKCRCPPEHVMVDPTDWNKGCKPTFTISSKQPPEDFTFVKQPHADFFGFDLRSKQYLSLEECWDICLNDSLCISFTYQGGAGWCYMKYLNYNGQQYPYFLGDNYIKVSKSFNSSASSISKQESLTCRPNGSEIMLGSENMYGIKKDTIKWIYFYVFAAILGALELLVIVTGWCLFFKNSNMPKSMVDGYKMIANQFRRFTYKELREATGKFKEEIGRGGAGIVYRGVLEDKRIVAVKKLANVQQGEEEFWAEVTLIGRINHINLVRMMGFCSEGKNRLLVYEYVENESLDKYLFGESTESLLGWSQRYKIALGTARGLAYLHHECLEWIVHCDVKPENILLTRDFDAKIADFGLAKLAKRDSASFNFTHMRGTMGYMAPEWALNMPINAKVDVYSYGVVLLEIVIGTRVSSGVIVDQTRVEFTDIIQEAKHMLATECITDLVDAKLKGCFDPEQATAMVRIAVSCLGDRSKRPTMDEILKALMAYDDEDGHPAYS >cds.KYUSt_chr1.30255 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183149698:183152588:1 gene:KYUSg_chr1.30255 transcript:KYUSt_chr1.30255 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLRRQRTEMLLLRPWGWLACVCCGAGGGAVWSGVSRPSSMDVSLLLLFFLGIRLDLMEGVLRMSLLLLAPLSSSLFSLVYSIPMFAPCGTTSHVRHPCKIRTLGHFCHWLLWQLLTSLAHLRLMYYVFILPLPAPTPLLLMLRGIQRFLLFMPSHNRMGQLWELIHGGVFLLLLAPLLIEQLRVVVIVWLWVSIPHSYGHTCFLAIRIQSPCKVLPRMVVVGVIVPILLCSGTQLFFRQVGVLTIEMPPQVRHRVET >cds.KYUSt_chr2.30860 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190085632:190088643:-1 gene:KYUSg_chr2.30860 transcript:KYUSt_chr2.30860 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVFTFWTFYVLYHEYKVITTMRLRFLANQKRRPDQFTVLVKNIPEDSDETVSEHVQHFFAVNHRDHYLSHQVVYNANTLASLVEKKTGLQNWLVYYENQHAKNPEKNPTIKTGLWGLWGEKVDAVEYYRTTIEELCKQEDEEREKVVSDPSAIMPAAFVSFKSQWGAAVCAQTQQTSNPTVWITEWAPEPRDVYWPNLAIPFVSLSVRRLIMTVALFFLTFFFMVPIALVQSLANLDDIERVLPFLKPIIQRNSLRSIIQGFLPGIALKLFLIILPTILRTMSKIEGHISLSGLDRRTASKYFLFLFVNVFLGSVITGTAFQQLDTFIHQSANKIPETIGESIPMKATFFITYIMVDGWSGIAAEVLRLKPLVMFHIKNTFLVRTDQDRDQAMDPGSLDFGSNEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESCAQFWPDVHRRIIIALIVSQILLLGLLSTQEAEKSTFALLPLPVLTIWFHYVCKGRAYLKDAYVHPVFQGGDMYDLVAMDEEEKDPLLVATKRRSRMNTPVDSRLNSSSGTNEGEFSRLRP >cds.KYUSt_chr2.50335 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314712714:314713004:-1 gene:KYUSg_chr2.50335 transcript:KYUSt_chr2.50335 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACSFFFDAEPLGEPGMPAQDACALCAKQLARDSDVFMYRGDTPFCSQDCRDYQMRLDARQAAKAAARRQFSSATASARGHREESREVPVAS >cds.KYUSt_chr4.53718 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332367170:332368171:1 gene:KYUSg_chr4.53718 transcript:KYUSt_chr4.53718 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTATMLLLVLATALLVVATAGADNPTAKEGCQSSCGSVDIPYPFGIGANCFRPGFEILCDNSSGVYQPLLPGATSRYDLGFLNSLLETWFADQVHVLSLSVTPRAEIRVETKVAYECFNTDGTDDGNFAGALNVSANSTQGVYLISNTGNDLYVLGCNTFIYTGSGVPARNEESYYGGCVAYCKDAQAPKDDACEGIGCCHINLPPGLTDTRMKLSNWPHENLPYSPCNFAFIVEKGRYSFKAADLKGMPRNQTMPLVLDWAIRDSQSCSAIKESACVSVNSRCADSENGPGYVCHCSDGYEGNPYIQNGCQGKALRMSSPKLSIFFSFN >cds.KYUSt_chr6.31651 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200043004:200044212:-1 gene:KYUSg_chr6.31651 transcript:KYUSt_chr6.31651 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSSPSSPPSTSGHQRRRHSQEANDDDEVDHGITFPARPEEDDELSPPRLEWDFRLAATVPSPALAGASDAIGSVDFDPAGRLLATGGIARKVRIYTVADFLHQPTSTSSSCSPAACICVPAKLSSVRWRPEGGAVGCGDYDGVVTEYDAERGVASWERDEHAGRRVWALDYAPHGASMAASGSDDRTAHVWDPRAPSGTSWATARAGGAVLCVEFDPAGGPQLAVGSADRRAAVYDVRALGHGAVASMDGHARAVTYVRWAPARRVVTSAADGTHRLWEWPATATAVSGPAREVRSYSGHVSGRSFVGMGLWRGAGLVASGSESNHVFVYDLRWAKPVWVHPFGLADSDAGGGFVSAVAWRQGDADAGGGALVAGRSDGVLKMFTCQRRTADNPQVDHQ >cds.KYUSt_chr6.18074 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113807038:113807487:1 gene:KYUSg_chr6.18074 transcript:KYUSt_chr6.18074 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLTAVRPQCSSDPNRVAAASTCSREIQIASAPISIPIPTPRDPNRPASAAPAAAMAFLARALRHSKPYLSSCNPSVAASYRWICPIAASGSPEAGAAVAPADPELPPREPVGDTRVELPSNLEDVLEVFVDGHAVKGSCEVAGVDIP >cds.KYUSt_contig_2087.58 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:364980:373733:1 gene:KYUSg_contig_2087.58 transcript:KYUSt_contig_2087.58 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVANAGAADGRQRRDSQEGMGVYELVRSEDAAGPAADLEAGRCGSGRLSTPAAAASPASSQRQRLVSLDVFRGITVLLMIIVDDAGSFLPAMNHSPWDGVTTADFVMPFFLFIVGVALALAYKRVPDKWEATGKATLRALKLLFVGLVLQGGFFHGVRSLTFGVDVTEIRLMGILQRIAIAYLVTALCQIWLKGDDDVESGLDLIKRYRYQLLVGLLITITYTALLYGTYVPDWEYRISGPGSMEKTFSVTCGVRGDSGPGCNAVGMIDRKILGIQHLYGRPVYARSQQCSINSPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIVHFQEHRERIMHWLIPSFSMLVLAFALDFFGMRMNKSLYTVSYTLATAGMAGLLFAGIYALVDLYGYRRPTIAMEWMGMHALMIFVLIACNILPMFIHGFYWRDPSNNLLKFIGIKA >cds.KYUSt_chr1.11993 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74076701:74079214:-1 gene:KYUSg_chr1.11993 transcript:KYUSt_chr1.11993 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVADSASANPLLLLIVFLSYSSAAEAIRTHGAGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGQEAPGMGSPRGADCFDIVGTDGEQGEPVYKVVHRVTDADNSLRAGDPFPGTPANSTVTDVDRYAAAKELYLGDRCQVPDSPVPWQFWMVMLKNGNLDTTAAICPENGRPTRPFAQPSRFPCPGGTGCMNQPLVFHNRTALDETGRWLRGGMFGTYELDTADLGRSDVSYYSVEWEKQIAAATGGAGAGWVFHHRLRTSPKYPWLMLYLRSDATRGFSGGYHYDTRGMTKIVPESPDFKVRLTLEVKQGGGPNSQFYLMDMGSCWKNDGSPCDGDTTTDVTRYSEMIINPETPAWCTPARKDQCPPWHTFRNGTRVPRTDAARFPYAAYHVYCSPGNAAHAEQPTTYCDPYSNPQPQEILQIIPHPVWGEFGYPTAKGQGWIGDPRAWELDVGALSHALYFYQDPETPPARRRWPSLDVGTEIYVSKSAEAEWTLSGFDILVPNNCITSQGGDISDCW >cds.KYUSt_chr5.42225 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266054619:266057073:1 gene:KYUSg_chr5.42225 transcript:KYUSt_chr5.42225 gene_biotype:protein_coding transcript_biotype:protein_coding MPASRRRRTSPQQWTPQQWTGRLDLATGKPASPAPSSVGVLPHLCSLHRARASPQLTHTTAAGVLHAGHLHLRLSARTPSTSCSPSSTARFPHPDLLLDLVQPVSYRTNLFCPDVLLQELKVLEGYDHTRLLPLHDWWVEEQEVEAELLFANLNPGVVLDVAVLVVDCYLCLKIWNVE >cds.KYUSt_chr1.1870 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10754810:10761898:-1 gene:KYUSg_chr1.1870 transcript:KYUSt_chr1.1870 gene_biotype:protein_coding transcript_biotype:protein_coding MASMERQLQRQDQPINMTAALEALSPLLNNPRRTVVLAEAFTSGVMALLLLQLILGSYRRQTSSVWVQAGTWATYTLSFPAIAYTLGLMQSSPVKNVMYPVWAVSLLLAAGCTNAVKVYELGDNKQWIRSFFNYYQYTIYSAMICWLLYPTSLNAKEYLYFAFKMFAPSTYFKVVKNPAVAVSSLTLAVVITAFMGRELACLMVERGYFCVRRVAKFMNNLPANDDGFDPLSMRGYKYPVHFRICTEANVITIDQIWQCEGRLLLRSGSTTDQCCVGLKDVCLAYAMYQLLKRRYYGMACAEEHLDETRDFVFKGLLHGTDDDYETAFRIVEVELGFCHDYFFTKHAIIFELETGFFILFVLRIMLILVVAYFVWLNSLSVKTPTAIIEVHSRRVDAIITVLVLVTVLLIELLQATFYMASDWCKVSVACRYVTGSWYQGNAFFEKLMGYLSRFTIFRHWKDTVDQYSVFSNPNAAHMAAESDLQAVKLAIVRSLRSCDGLPTNGEGSLRRNGVFAEFSWALQGQAQAEIMLVWHIATEHVNAKSENGEATELHRQVAVCLSRYCVYLMDSAPELLPGYFGDTKSAMCDVKEDVSKVSRSRRHGSQDPEDDGSILMTGVKLGKQLDGIVDRWKVLADFWTEKILYVAPSDNVKAHMEGLANGGELLTHVWVLLTHAGIHKINREEEHVYSIYLVVSDKAFSVPLVKFCNDFDDPVLVDAKYMYKLFGRCEMLESDMMDHIISYWKDDPGMKYIFESGSRVLMSPHTIPYLLDFAPFKLRDSNGNPLPRQPFDVRSAAKMFKRYVRPNENLLKAKLIIIPHFMHDHHSVYVMNKYTGTLDILDTRRYTGLAHTSRSRHHEDRVEIINRMVALLKQVYGVAEFNKYNGNIRWDVVVDRCSYPKTPAQGANECGFYALRICATYGGDKIADNIKNQDRRVEDWKAEYMYQLLFHPKNEILAEQWPSLFIASLSPRMTYDGPAAIPVTIFQT >cds.KYUSt_scaffold_6468.197 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:896585:899916:-1 gene:KYUSg_scaffold_6468.197 transcript:KYUSt_scaffold_6468.197 gene_biotype:protein_coding transcript_biotype:protein_coding MDDENLTFETSAGVEVVGSFDAMGIRDELLRGIYGYGFEKPSAIQQRAVLPIITGRDVIAQAQSGTGKTSMISLSVCQIVDTSVREVQALILSPTRELATQTERVMQAVGQFMSVDVHACVGGKSIGEDIRKLEAGVQVVSGTPGRVCDMIKRRTLRTRAIKILILDEADEMLSRGFKDQIYDVYRYLPPELQVVLISATLPHDILEITSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRTNNFTVSAMHGDMPQKERDAIMSEFRGGSTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >cds.KYUSt_scaffold_6468.907 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4371500:4371754:-1 gene:KYUSg_scaffold_6468.907 transcript:KYUSt_scaffold_6468.907 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVEFLDVDKCECKYTIECDGVETSTWNIKMKPTANGGSVATVECTSKGMEAKDMMLKAKDSAAEIFKIVEAYLIANPDAYN >cds.KYUSt_chr3.32960 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207026193:207027020:1 gene:KYUSg_chr3.32960 transcript:KYUSt_chr3.32960 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIWKLPPEEKLRNSGKEWTLNILNASSPDMRGKLMLVLSNIREKKQPEDRKGKSPMFEMKEIHEEPKKNEMKSRWKPPDTGWQCLSVDASFIKESNFASWGALARNHLGQIKWSAWGILSDCDNAETAEALACLEGIKQAVKLVDSSLIIESDSDALIKKANSNGRDRSHTSSVLADIHRLVSLLPDFIFRKVAREDNRPAHELARFSRVSCTGGVLQGSAPPCVLELTLVECNQNSTTD >cds.KYUSt_chr6.2971 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17450430:17451978:-1 gene:KYUSg_chr6.2971 transcript:KYUSt_chr6.2971 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCVSPRSFRDAADAYTHPVLLLATLCGVPVALVCATGGARSPRLMWDSEDGVSWRDNESFIVCFLQIIERIEAGAGQEMASSTEVKSSVSMDVEEMDLNIESTNVDDFDNRPLKKAKSSKTCVSDDPLSSFTISTASIAPKCLTLLV >cds.KYUSt_chr1.233 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1310874:1312844:1 gene:KYUSg_chr1.233 transcript:KYUSt_chr1.233 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRSVRNAYAMGKVRMVATLSLRTADPAAAVEQGRFVLWTMHGARHVVRREMAERSLLSRDVEKAHRAAKLEASPNSLPTLVTRGANQNWQIHPVGLRGMLKWAGLLPFPRLVEATISEVIGERGTRAGQPDFLYEDHGPECDWLLNFEAPFMGGGGYRQGYTQQTASNPS >cds.KYUSt_chr4.34249 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210204949:210208354:1 gene:KYUSg_chr4.34249 transcript:KYUSt_chr4.34249 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVRAIETKSPVDDQQWLTYWVLYSLITLFELTFASIIEWLPFWSSMKLIFISWLVLPYFSGAAYVYQKYVRPVFVKNQMVNIWYVPQKKGIFGKSDDFLTALNKYIEENGPEALKKLTDKDGKSSKQSGKLWKGLKESKSSKESKELKASKDAKDSKPSRDSKPAKELKEQKKILKDSQEQKKALKDSKELKKSLKDWKGLKKSLKDPKEAAPLKDSNEPEQKKSSKRVTFAEVEPEKEFRASNSDWHPTSDYHSTYPEHNTWNSSFMIFDEHRYWD >cds.KYUSt_contig_402.160 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:948527:949003:1 gene:KYUSg_contig_402.160 transcript:KYUSt_contig_402.160 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSEAPAAARKLLLPSGAAMARTLGDAGLGFDMDGAGHFFSPLWELIKAKFAELAAFFTSLLAALAKKADELFPPETRSETLGHWLRVGITVVLPALVLFCLARCCWRCCCARGRGGRTMVAPGRRGARMPRGAFEANPRGYFRDLRANKPLVFVH >cds.KYUSt_chr3.36250 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227992977:228001868:1 gene:KYUSg_chr3.36250 transcript:KYUSt_chr3.36250 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSLPFIAFEHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSDRWKNFIERQAEDDESSGEDAKIVPSIEDEGATGDAGTPDLSDEKTPKQQRPHKIQIWSEIRPSLGHIGEMMSLRIKKKKQSTAGEEDATDTDGVHTVNAEDSKPSEDSDEEFYDVEKVDPGQEGPATDSANADSGINRAASQEGYFPWKEELECLVRGGLPMALRGELWQAFVGIGARRVKGYYESLLAVDGGSGDSKDSDPPTMECGDEKSKASQTPCSEKWRGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMSEENAFWALTGIMDDYFDGYFSEEMIESQVDQLVLEELVREKFPKLVNHLDYLGVQVAWVAGPWFLSIYMNMLPWETVLRVWDVLLFDGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVDEARLHDLRNKHRPSVLSSMENRAKGLREWRNTSGLASKLYNFNRDAEPLVSISTEQLNDSTDGDANQETSSGNIDDMYHGLTVSTDIDLLPDPKDQVIWLKVELCRLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSELRQSLSDKQEQEQAMLQVLMRVEQEQKVTEDARIFAEQDAAAQKYASHILQEKYDEAMASLAQMENRAVMAETMLEATLQYQSSQQKAQLPSPSPSPRTPTRDASPGQVSQDSSQEFQPRKISLLAPFSLSWRDRNKGKQNNADELTNGKQNNNTAQSVEPPKMDDGNQGGTPKEGERVETPIGDEPRLEMAKMDGDLPTVETTTNKINGQEEHLQEIRLD >cds.KYUSt_chr2.9246 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58224260:58227512:1 gene:KYUSg_chr2.9246 transcript:KYUSt_chr2.9246 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRLASSKLVGLSPDTLLEHEVARGRVLTEYKLFLVAARERARIRKYDPPRVSAFPSLIEGMESSDCECGTDESKGAYGGDVKMGEVPENPSCKLIDINRCIDLLRLVFKQGMAFLDNGSGAGLLERMLVGMPGYVVDKIFENPAVAQRDAPGRMRVMTPTLETDIAHTFAKETRKAITKEIQGDFFGIYVDVCSQRNTGRNYMVLFARYVNGKGDVVERLLGIVPEPHVSGPSLKVAVHSMLSEAGLSSSSMRAQGYGLGQYDGEVLTELKSLFTGENASAHYVHPHVCPLHSLLITASFAQFDVYELFKLVDMLSNLIEDSPQFNEKLRTLVQERGLNLDNDLGKAGETNWGSYYEAIVKFAAYFSPICDALDFVGEVSSRDTKFVIYEICGRLSYDLVFALLLMQDVLGVTNELSLALDRKDWDADHCVALLQESKKQLQVMRDEGWPPFLNKVGMFCNENDVDVVTMGEKFKKKAWRVDEPTAVTNLDYYHVDFFQQVMNSQLKELDRRFTKESWELVLLASHLNPRNSFRSFDKDKLVGFARLYASEFSDYDIAALDLELQAFITDASSDTRFSRMNMLSDLSVLMVETGKNVAYPLVYLLVKLALILSGTPTTVKATSTALKFIDNTMREDPCNQWISDCLLLYLEPDVVKSITNDVVIASL >cds.KYUSt_chr5.37851 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239103271:239104395:-1 gene:KYUSg_chr5.37851 transcript:KYUSt_chr5.37851 gene_biotype:protein_coding transcript_biotype:protein_coding MLATGADGGERVLDAPKLRVFTLAELRAVKRGFKPEMVLGEGGFGRVYKGWADEHSLDPTKSSAGVVVAFKKLNHESIQGLLEWQITVKYQLKTVKGLWRGRRRRSASPPWLDVKREQDTVVAARAGGEAASAGLASLSWTHGRRNRSSSGGASAPTARSELQQRRRSAGERGGERRGSSPAPRVGGRPPARGEGTPPARGAQESAGGKLRPREWPQHRPHPVRCGETSGGTIESGWCGASRPARVNGKLFRSMEL >cds.KYUSt_chr4.34168 pep primary_assembly:MPB_Lper_Kyuss_1697:4:209627371:209629445:1 gene:KYUSg_chr4.34168 transcript:KYUSt_chr4.34168 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDEDGVPAAKRRQPAPPPPPPPPAMVDYISNLPDAILGDIVSLLPTKKAACTQILARRWRPLWRSVPLNIECHGLLRDDGTIDFRGDPGEHLDKAAITRILSTHPGPVRRLRVPAHHMQPRHVNALNDWFVSPALNNLQVLEFYHRCDYANLLAVSSWPPPPASVFQLTPTLHVATFSHCTISDGTARSLHFPNLKMLALEEALLPETTVQSILARCPVLENLLLRGNVGSSCVRIVSPRIRSIAVHVSSFRGGAHLQELVVEDAPCLERLLRTLPDGDLGVTVTNAPRLETLGCLSDVFGVTKLKLGSAAILRLNDVKFTEAVCSVKILAFYNDTLSLDVVINFMECFPCLERLYIKSCSGGKNAWRKKYRGLNKHNDFRLKNLVLENYRGTKSEIDFATFFIMNAKLLESMRFKVESRICMDGSWIKTQHTQLLMEKRKSICAQIDFRKYDCGCNLTHVESVHDLSAADPFACRC >cds.KYUSt_chr4.5018 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28746704:28747757:1 gene:KYUSg_chr4.5018 transcript:KYUSt_chr4.5018 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPHKAASSPLCQVPTEPRIHRQEGSKKGQHHKGAVANGELVSPAGSSRYLLSGCASGAGAGEIQEVAAAAAAVSPVAPVGDVTRPKEEPAADVKNANTEEQVVVLKVSLHCKACAGKVKKHLSKMEGVRTFSIDFAAKKVTVVGDVTPLGVLTSVSKVKNAQIWAQPPPQPAIAA >cds.KYUSt_chr7.19980 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123796616:123797737:1 gene:KYUSg_chr7.19980 transcript:KYUSt_chr7.19980 gene_biotype:protein_coding transcript_biotype:protein_coding MVADRMGSASKGTAPGCTAEKVTVSRCSTHKEKGTHIFEIAGYSLKKGMGADKFVRSATFTVGGYDWSIRFYPDGTAESTEGCMALSLELMSPNAEARAIFDFGIAKHSSGLLSTTFTQQTKTFSSRTKSTRQFTLYIVRSSYETKATTYLPNDLLLIKCEIGVIKESQLNGTVGRSEIEVPPPDIFDHLAKLLEAKEEADVTFSVGGETFVAHKIVLAMRSPVFKAELFGPMRETRTSRPVTVEDMQPAVFKAFLHFIYTDSLPDLDDLQGDDKYEMIRHLLVAADRYAMDRLKMLCQSILGKNLDVNNVATTLALADQHNCDKLKDVCVEFLASSDKMDDVAATQGYESLKRSCPSVLIDALEKRRRTREA >cds.KYUSt_chr7.26017 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162462078:162462881:1 gene:KYUSg_chr7.26017 transcript:KYUSt_chr7.26017 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCAGCSWWLQAARKAQGGDQLLPELCRKMRVGRRAVSRGSVRLRAEEGGRPMGLPLAVPDGGSWAEDGLLFVDSGAVVRAGRNPYAVADDGDACGRRHLLEGVVYASFSMPPPVRRGKPQTQLAGSGIGGAFVSFPPWRHCPGCTRSPTGVAKVGNYWSVGL >cds.KYUSt_chr4.5555 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32162021:32162492:1 gene:KYUSg_chr4.5555 transcript:KYUSt_chr4.5555 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTRRGNAIAIVATILLLTVAMASAAAEVSCGDAVSALIPCGSFLVGADAGAAGPSESCCRGAQALRRMAGTAGARRALCRCLEESGPSFGVLPDRARQLPARCKLGVSIPVSPHTDCGKIP >cds.KYUSt_contig_1158.181 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:1150466:1151614:1 gene:KYUSg_contig_1158.181 transcript:KYUSt_contig_1158.181 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDAIKAMRIGKDALDAGDTDRALKFLSKAKRLDPSLPIDQLLNPILNKDDPSSSPASSSSSSAPQPPPPSRAAAAAEATGADGLRERKQKGKKKEGDQNGGDTSGVRTYTEEQLEVVHQIKKNTRDYYKILGLEKDCTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDAESRKRFDLVGSDEPPAYNRRAASTARSYNGFYQDDIDPDEIFRNFFFGGMAPANTRQFGQFGAYHFRTGGVHHTQGAQQGSGGSNVRMLVQLLPVLLLLLLNFLPSSEPVYSLSRSYPYEHKFQTPRGVAYYVKLPNFEEQYPHQSTERTTLERHVERDYYSIITQNCRVEMQRRQWGLAYQTPHCDMLQKFEATAQ >cds.KYUSt_chr5.2981 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19432506:19437129:1 gene:KYUSg_chr5.2981 transcript:KYUSt_chr5.2981 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGVAARCNATSSSAVSEAASAATSCLHTVPRNEPVVSAEWLHANLRDPDVKVLDASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDRASSLPHMLPSEKAFSAAVSSLGIYNKDGIVVYDGKGLFSAARVWWMFRAYGHDKVWVLDGGLPQWRASGYDVESSASSDAILKASAASEAIEKVYQGQSVGPSTFEAKLQPHLLWNLDQVKENIDTQTHQVIDARGKPRFDGAVPEPRKGIRSGHVPGSKCVPFPQVLDSSQKLLPADELRKRFEQEGISLDQPLVTSCGTGVTACVLALGLHRLGRTDVAVYDGSWTEWGAHPDTPVATAV >cds.KYUSt_chr6.16799 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105673543:105678529:-1 gene:KYUSg_chr6.16799 transcript:KYUSt_chr6.16799 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLGLWVALLAAAALLAVGGGGGVAAAEEAYVTLLYGDEFVLGVRVLGKSIRDTGTRRDLVALVSDGVSEYSRKLLEADGFMVKHITLLANPNQVRPTRFWGVYTKLKIFNMTAYRKVVYLDADTIVVKSIEDLFNCGKFCANLKHSERMNSGVMVVEPSETLFNDMMDKVDSLPSYTGGDQGFLNSYYADFANSRVYEPNKPLIPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVVIWQNARQNLEESLPGTGGGKNPHDQLVIKILFILPFCMLLCGYYGSCFQTNKELLSISTLCDFAQQARYKLKSEEALPSYSTVGVTSSSFGVSNQKLSNGAYLKFPPYFGAITVLVCFICASVSLVFAFIIIPRQVMPWTGLLLMFEWTFMAFFLLFGSYLRFVYKWGSCSANNVGYSSLDSSENHTGTGHQWSTSDCDRASAFYWMGIAAISTIALLSPTVFGITAIFAKLGLMVAGGVVLASFMTYTSEHLAMSAFVKGQRDRSKRPER >cds.KYUSt_chr1.40912 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250972411:250974769:-1 gene:KYUSg_chr1.40912 transcript:KYUSt_chr1.40912 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAALLLLLLLAFSVAAVVVAAEDITDILPAGGNNGSAVEDPGLGKTGQNGETNPDAERKAHEEGGLDSAVAGNNNTDTSVEGASAGSDDPVQKPSDRDENTTATASSTDFSQDPLVSGCDPSHRCLIGKHKFIACLKVSGDSSALSLLMDNKGVHPLDVSITAPDYVTIAEYTVHVEANGHNEVSVSVSDTMNDMAIVLKVAEETCRINLDTAVTRNRGRAIPMRLTSTYMLVPAFVLLGLVGLCVKLRKSSKPDGAPAYQKLDAPELPVSIGGKKEADHADKWDDNWGDDWDDEEAPSTPSNSMPNLSSKGLASRKSTKDGWKD >cds.KYUSt_chr1.11637 pep primary_assembly:MPB_Lper_Kyuss_1697:1:71693279:71694411:1 gene:KYUSg_chr1.11637 transcript:KYUSt_chr1.11637 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATGFTVSIQGHLQMYRYFPFMVTSSTDGEPRPIYGDLYTVEGYGEGYFFPTWVAAHLLASSLACILLRYLTGGQDTPVHSLGSGMGPMQGLVMEVILTFSLLFVVYATILDPRTTVPGYGPMLTGLIVGANTLAGGNFSGASMNPARSFGPALATGVWTNHWIYWVGPLVGGPLAGFVYESVFMVKKTHEPLLGWDF >cds.KYUSt_chr4.41439 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256337409:256337873:1 gene:KYUSg_chr4.41439 transcript:KYUSt_chr4.41439 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRADVFDPFSLDLWDPFNGFPFGSGSGSLFPRTSSDTAAFAGARIDWKETPQAHVFKADVPGLKKEEVKVEVDDGNMLQISGERNKEQEEKSDTWHRVERSSGKFMRRFRLPDNAKTEQIKASMENGVLTVTVPKEEAKKPDAKPVQITG >cds.KYUSt_chr2.34347 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212127952:212129970:1 gene:KYUSg_chr2.34347 transcript:KYUSt_chr2.34347 gene_biotype:protein_coding transcript_biotype:protein_coding MYPMERTLFLLHAIPFLVLLHLAASTTSNDQFVFNGFAGVNLTLDGNAMITPDGLLELTNDTVNLGHAFYPTPLSLQKLPNGTVQSFSLSFVFAILSVHNGISADGMAFFIAPTKNLSNTWAQYMGLLNSGNNGNKSNHMFAVELDTTQNQEFQDIDNNHVGINFNSLSSLQAYPAGYYDDKADGSFNNLTLISGKAMQVWADYDGESTQINVFLAPMGWAKPVRPLLASSYNLSTILRDPSYIGFSATTGAISTIHCVLGWSFAIDGPAPAIDIAKLPKLPRLGPKPRSKVLEITLPIASATFVLAVGVVIIVFVRKRFRYRELREDWEIDFGPHRFSFKDLFHATEGFRQKNLLGVGGFGKVYKGMLPKSKLEVAVKRVSHESRQGMKEFIAEVVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMSNGSLNQYLYCENGRASLNWEQRLHIIKGIAFGLVYLHEEWEKVVIHRDVKPSNVLLDSEMNGRLGDFGLSRLYDHGTDPQTTHMVGTMGYLAPELVRTGKASALTDVFAFGVFLLEIASGQRPIKQNPFGNQHTLVDWVIERWHNGSLVDTLDPRLQGQYNIDEACLVLKLGLLCSHPFTSARPTMRQVMQYLQGHTPLPQLTAAHFGFTMQALAQNKGLESPSMPYPQLSTSFATFSGLSGGR >cds.KYUSt_chr1.32306 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196134991:196135601:1 gene:KYUSg_chr1.32306 transcript:KYUSt_chr1.32306 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESLRLVCPPVTHHDGRLPRQYTLEGQGAKKDISPPLEWYGVPDGTRSLALMVQDVDAPDPERPVVPWTHWVVANISPEIKGLPEGFSGAGGNANADSGVQEGINDWKQPGWRGPVPDSLGHRIQFRLYALDDVLSLGNKVTVDKVMEAIDGHVLGEAELTAVFMG >cds.KYUSt_chr4.22402 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140757744:140758910:1 gene:KYUSg_chr4.22402 transcript:KYUSt_chr4.22402 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSFCRRRLLLRIPGEATNLLQSMSIHLAHSSYSSTAVSRVPSSDLCPATVSYLISCGLSPAAAATTATTQRIRIVSPDKVHAVCSLLRDYGFADADIVRTVRSAPSILVADPERILRPKLDFFAALRFEPRKLAAAPCLLVHSLDKNIVPSVQFIRGIVGSDDQLRRGFSRVPWALAVDVDRSMRPAVESLRRCGLDDAAISKLLVIQMGVLMASPDRISEIFQELKAVGMCTSDSRFLYCFSAMCSLKRGAWRRKLAFFHSFGLSEGEVIKAFKTQPMLFLSSDETIKKKLRFLLDEVKLGVSDVIAQPVILSYSLENCILPRCAVLSVLMKEGKIPRDIKLLPALLGSSTVFSTRYVVRHADHVPDVVKAYEGKIKFQGFRHDT >cds.KYUSt_chr6.29540 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187229993:187234488:1 gene:KYUSg_chr6.29540 transcript:KYUSt_chr6.29540 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRSGAHLHRDPTINPGLGSARCPRCLSLLNPTTNSGEGDWAVTSVLHDATSVTGAGAGAMLSAIHGFNTGIPFVQKHVKGPKWLHLLVGVPPLILLSGASSLFGELEREEGLRLEEEAAKDAFMIGGHDELTEEQLEILGKSRKKAMLNQKHRMNRTAESHPIIPRKHHKDRKCTTKRMGRQLSSLGVDPVAVNRLRSQ >cds.KYUSt_chr4.18431 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115818171:115819406:-1 gene:KYUSg_chr4.18431 transcript:KYUSt_chr4.18431 gene_biotype:protein_coding transcript_biotype:protein_coding MHALCDSIVEEIFRRLPAKYLHRVRAVCRRYNDIVLSPGFVARYWQSHSRHLSGVFLQTEPLVRPWGHFPDFLAGSPRPSATESIFASDLGFLPHFLPESQSQGEPVDDAEKIFIVHSTAGLVLCSRGRINAVQYYICNPVSWQWVPLPELPLPLRCNSLYGLLSVTDNGDGIIRCFQVFLFNHPMDWKKTGGCLDLKIFSSDTGEWRATAIRSPQLGEDPYPPVFLGQSGTAYCAGGCVKDKVIAYNCDRYSIQALQLPESVPDAPYNPNRCIGERHGGGLRYAHFDYSVFEVWDQLREGKNGMCWTLVHQVGVMELAQRYLQTAPRAARFAESTIQGRINSNSLFRVLGFHPTEDIIYMDVADTVAAYSMEHGVMRYESPRRCYRIDIFPYVHPAHPVQIPTIKKAPQE >cds.KYUSt_chr7.22979 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142679225:142679941:1 gene:KYUSg_chr7.22979 transcript:KYUSt_chr7.22979 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDPKAVPSATAVHHQFVVVVDGAETTIHEGVQRCNGGTLTVVSPGVLEVSRLQHVVVRGGGGGDVRFHRCGFAAAEACGAVSFHKCDAVRVDGAAEVAVRRCRSADVERAGTVSIRRCKGAARVHGAGELRVGRCREADVGSCSEVTLGRCREARADWCGALGIDRCRSADVSRCGAVRVDRCGGANVSSCGSVMVRRGKVNMVDAHQQQGWQEQQPSCQKAEPDYNLPIEIVSK >cds.KYUSt_chr1.6072 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37300926:37303723:-1 gene:KYUSg_chr1.6072 transcript:KYUSt_chr1.6072 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAPSSNSVGSGSDQPSPERPGWPCHPTTSKIAADTASGQHILKIEGYSGTKFLSNGCSIKSPPFTAAGHRWSIEYYPSGKDRVYKACISLYLVLEEDVTRPVKALVQFGFAAEERRHLVPFFPKKSKTPAPLFKSGELDFFSHGAKGCDKFIERSALEKSKNLRDDSY >cds.KYUSt_chr5.14904 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96422016:96422585:1 gene:KYUSg_chr5.14904 transcript:KYUSt_chr5.14904 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSGMSSVSILFFFLSAVLFSGPHTQVTAGVDFVLPVCKSACGGDQYVGIQFCVDTLRSDGRSRNTSDTKEFVVVAVDHLTASATSTKAKIDGLLRGKADGRSATTPCLQSCQALYQGILGLQPACAAAVKDGKFAEATISLEKSAAAAKECESLFGQSHVTSPLTAEDDNAFQLAKLGVALIRQLI >cds.KYUSt_chr1.3096 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18680777:18681532:-1 gene:KYUSg_chr1.3096 transcript:KYUSt_chr1.3096 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGDTGPLHSSPTPTSPPQPSATTVVLSPCAACKILRRRCVDRCVLAPYFPPTDPHKFATAHRVFGASNIIKLLQELPEEHRADAVSSMVYEAAARVRDPVYGSAGAICQLQRQVDGLKAQLARAQAELAAVRAHHAQLLALLCTVETMAASNSAGGQHDGTYCGEVVAGSGGRSQIATAAARGGAAPVDARYVVDGIMQAGTVGTWADEPLWT >cds.KYUSt_chr7.5256 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31351238:31353483:-1 gene:KYUSg_chr7.5256 transcript:KYUSt_chr7.5256 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDQTGKYAGDADLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQMYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPTGLKMSSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDEEDYEDEQEEEEEAA >cds.KYUSt_chr7.16964 pep primary_assembly:MPB_Lper_Kyuss_1697:7:105092329:105094832:-1 gene:KYUSg_chr7.16964 transcript:KYUSt_chr7.16964 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPPPRLVTNEERQRQCRFTIFTADEHALAAWRENFPQDIVDQNEFFKQQWPERKAARQAKRARKAFILAQMEGPTTIGDKDPLWADLFSSTASSSCDSDIENSLGQAVFKHNTLASCEKQKMAMRKGFALAFLVSFLSCHLISVPSQASSDGFLQCLRENLPSELVFEQGSSSFTTVLVSSIRNPKFFTNTTVRPLCIVTPTVASHVQAAVRCGRWHGVRLRVRSGGHDYEGLSYRSARSEVFGVVDLAKLRTVSVDRFESTAWVDSGATVGELYYTIAKNNPRLGFPSGVCSTIGVGGHFSGGAIGMMMRKHGLSIDKVIDAKLVNANGDLLDRAGMGEDLFWAIRGGGGESFGIVLSWKVQLVQVPSIVTVFNIGKALDQGTVEILTKWQEVGPSLPDDLTIRVILQRDQALFQALYLGTCRLLAVTMRSKFPELNMTTADCHSMTWLQSAAFINSGNTDVEALLSRNTSLSTFTKNKSDYVRTAISKLDWSNIISWFEMNAAGMIILEPHGGFMGTVPAAATPYPHRNGVLFNIQYIVFWQGDGTATTTWLANFYELMGKHVSNNTREAYVNYRDLDIGQNVVVGDVSTFDGGKVWGEQYFMSNFERLASVKAAVDPTDYFRNEQSIPPLLQGQK >cds.KYUSt_chr1.12111 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74854965:74857465:-1 gene:KYUSg_chr1.12111 transcript:KYUSt_chr1.12111 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLELFLLTQFTEPEQFRDIFPVLDSVARLHVQRPRHASATVTTAAISFPGVFTRRRAEPFANNPDVPVFAVSRSQVSVQILAGTGHPSTIFLVR >cds.KYUSt_chr6.1398 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8564365:8565261:1 gene:KYUSg_chr6.1398 transcript:KYUSt_chr6.1398 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASAGDVARYWLAEHPAIVGFRWSPSGGLWFSTWAFLLGFLAAYIPLCLSIDALLAAFRRKRPLPLGPLPPAHALLMAAVSAAIFTGTLLSAVAEIRDTRWSWRGRSRTTPLRWLLCFPPGTRSSGRVFFWSYAYYLSRYLHALRGLLAVLQRRRGAAARVFAHAAAVAMAFLWLEFSQSFQVLAILASTLAHAVAFGFRFWVGAGLPAARAARGAPVALACQVGLLGCNLACHAGFVWMHFGGAVAGGCSGIGAWGFNTLLNAALLWVFLHCYGKRGVCDDDGGATAAGSKKAL >cds.KYUSt_chr3.17266 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105723298:105725990:1 gene:KYUSg_chr3.17266 transcript:KYUSt_chr3.17266 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCDKQFQDTAAARRRHLQGSQHHRARALWYDTVRRQESQGGAFPLLQHEDPILGQSVCNHFLRTGTCKFGDGCRYFHPKPHAVSPAALAPSGEFSLLPCAILPLGVPGPIPGAMVQQTNFLGTQPNFVGYQAVEGNSFSDRLHPSELAIGPGPLQAGNQPPFLHIIIAEPASLVHGWWARIQQLGLIHFLRHLPCAGKPLVDATDDGLQQEQEGSHLLIDTGWLSLG >cds.KYUSt_chr6.3068 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17965600:17971568:-1 gene:KYUSg_chr6.3068 transcript:KYUSt_chr6.3068 gene_biotype:protein_coding transcript_biotype:protein_coding MGFADLLYWKAGKAVPDSDGDMNADAREGGVAGAGESSSRREDQLHDATWDRFDVRLGRHGNGWCLQVKRSLPRDSSYGLCVGLTTGRTLRWGMADDLTDAALFGQCISRARIRLCRAVCRPNVLTVYEAWNQLEKLGCRSPSSVLMLPTTAQVTYKLKFNLFLVEAEADTVVPITDQDMRPWWSQQERERVQAANKKKLSTLVTLTVCFPWSDMGGSLNCVINTLNKGYDARSSTKMSLPAAEPNPAVDDLSPAEARLAMMELANMISVPMALTAVIRLGVPATIWAGGANAPLPAAALLPAGHPDPSVLERLLRLLASRGVFSEHTNPSSHAATTAGERRYALTAVGRTLVPTGPSGASYADYVLQHHQDALVLAWPRLHHAVLDPAGPEPFARAHGGVPAYAYYCQDREANEVMLRAMTGVSEPFMEAFLDGYGGGFEGVETLVDVGGSSGACLEMIMRRVPTIREGINFDLPDVVAAAPPIAGVRHVGGDMFNSIPSGDAIFIKWVLGGYTNDECTAILKNCHNVLPEGGKLIACEPLVPETTDTSTRTRALLEVDIFVMTTYRTQGRERSEEEFRQLGLIVGFTGFRALYLDPFYAVLEYVK >cds.KYUSt_contig_1504.65 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000157.1:416588:420491:-1 gene:KYUSg_contig_1504.65 transcript:KYUSt_contig_1504.65 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHEWYLQACRNCDRYLTVAVRDEHYFRGKEEVNLEFEELFQLFNQDALDKSVISCYCLFHFIILIIELNNGVVLVMDSKRKEHGEWANMAALLQRAWKWFINTVPDKLRMELTFKDRLQKLITQQKMSAGGRKTACVTGGNGYIASALIKMLLHKGYSVKTTVRDPDDMEKNSHLIDLQSLGPLEIFRAELDIDGSFDEAVAGCHYAFLVAAPMNLNPENPEKDVVEPAVRGTLNVMKSCVRAGSVKRVILTSSIAAVSSRPLQGDGHVLDEESWSDVEFLRAFVKSGAWAYPVSKVLLEKEACRFALENGISLVTLCPVVTVGAAPTPKVQTSIPIILSLLSGDEAMIETMKQIEMATGSIPMVHVDDLCRAEIFVAEEESARGRYICCSLNTTAKEIARFLAVTYPQYNVNIDRVADLGDRPRICVSSAKLIGEGFEYRYKTLEQIYDDVVDYAKATGILPA >cds.KYUSt_chr6.11001 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68266960:68267394:-1 gene:KYUSg_chr6.11001 transcript:KYUSt_chr6.11001 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSPALEESYARLGLDLGRTWRRVRGFRLCAWKHRFSVRRLRSKLLAFLGNVGMHARQLGRRLSTSSTRGSSACARSGSARALVGGKGSQRWCPGGETTNKAPRSAASFMRTNSFYAQAIADCLEFIKRNSVPLEDYSSGGGR >cds.KYUSt_chr1.1068 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5701265:5702068:-1 gene:KYUSg_chr1.1068 transcript:KYUSt_chr1.1068 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMSISSSSAFAGKAVKNLPSPAVFGEARITMRKTAAKAKPVSSGSPWYGSDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEIIDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >cds.KYUSt_chr4.31021 pep primary_assembly:MPB_Lper_Kyuss_1697:4:194091828:194095815:-1 gene:KYUSg_chr4.31021 transcript:KYUSt_chr4.31021 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVTLPIFGAVRSRPSHARLLTVAFLADVPTTGARVLTSCPGAAPYCAILSLSELRLCPACVPPIEALRPPAVSSASPAMHRTKFVALRVRSCLSRCFSVGYAKIFSDLPSPSGSSARTRCLAPYQAAGLPASTLHSNMRCTEGFSDIDDAIAMEMSDSTICEMSDSTICEMSDSTICELDECLHFESMRDTPSPMDDETPVMEKMYMVHEDDDITPCLFEDEHGGHMEPTTSTTPTSYERDYKGTYMGVDDAMIPLVDMMTYECMHDLDDTIAMSYASFTLPCDTCANMLIMWN >cds.KYUSt_chr1.28993 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175438907:175439376:1 gene:KYUSg_chr1.28993 transcript:KYUSt_chr1.28993 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAALSARSKVQGFLEAACSRDLDALRKLGSALDEDGKGAAAVAAGVRDANKRTALHFAAREGRTEVCKFLVEQLRLPVDPKDDDGTVTPSAIPC >cds.KYUSt_chr5.25977 pep primary_assembly:MPB_Lper_Kyuss_1697:5:164802211:164802882:-1 gene:KYUSg_chr5.25977 transcript:KYUSt_chr5.25977 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDPSEKDKGHFIGQLKGHPGAHAPRTTRPRGKLRTTWEAAGEAALLVPPSYVPRPWVGDLRTTRKTLEDDIIPRLDLCPGQGVSTGGRTAKADGRGVRNDANPAQIWARFVWPRMASAGRERAGRLVSLGPTCR >cds.KYUSt_chr1.20109 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118480205:118485223:1 gene:KYUSg_chr1.20109 transcript:KYUSt_chr1.20109 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPPSPSLAPEIGPDGLARDSPVLAYTEKVIAEEQLQLKKYIQENYSKIRDVEKELENLTLEVKLTSGPKKAALEHLRKKIEVSTERIRLAKVKEDEAKKAWEAAAQVVKEEEDAKQRLCDDLNRLVQESAASQYSRLEELKKRLECLNPVRASMDVCRSPSPYYFLEFFEFAILIKEDPLCSKRHPDKFAQYLASREPATVHLWEASCCVYRWPIEVLFDEEGHMFLHSGWEKFARAHSLEVGCLVNFKWEGDDESG >cds.KYUSt_chr3.42064 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265646202:265646844:1 gene:KYUSg_chr3.42064 transcript:KYUSt_chr3.42064 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAWGPMRAARASHDDLEPLQQQEHLAEAQRHRPGQPAGDAYEVFKHGVKELHPPGHGREDHPELYAGYADALLLETVVAQPEQLPARGCGAASSANSSAADENTEMRSELRRGVVPSPANWCHIVHLSRWLGVRPIE >cds.KYUSt_chr2.938 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5484648:5484952:1 gene:KYUSg_chr2.938 transcript:KYUSt_chr2.938 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIKSMSLRPTTSVSSALHATVVEDRGGQHFQCTDAPMQSAKESLPFMAGAADRTVLDRLGQVNVYAQFTHKGV >cds.KYUSt_chr1.29353 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177639505:177641109:-1 gene:KYUSg_chr1.29353 transcript:KYUSt_chr1.29353 gene_biotype:protein_coding transcript_biotype:protein_coding MAELELVSLSLLFLPLLIIVPLLYFIRPSRGIRPPPSPWALPVIGHLHHVAGALPHRAMRDLSRRYGPLMLLRLCELHVVVASSADAAREIMKNQDLSFASRPMNPTGKFLLGDSPGLVAAPYGKAWRQLRKICTLELFTSRRVRSFRPVREEEVGRLLRSLSAQSSSLVNLSELIGAYVADSAVRAVIGSRFKGRDAFLRMLERRVKIAPAQSLPDLFPASRLALLISRMPRKMERERREMMEFIGTIIQEHQENSMAAAVGDDEDFLHALLRIQREGKLDPPLTDDDIKTVIVDIFMASSETSSTLMQWAMAELMRNPRVMRKVQEEVRRVLDGKNEIVEESLAGLRYLDLVIKEALRLHPPATLLIPRQCRAPCNVLGFDVPVGVMVLVNAWAIGRDPAHWDEPEEFSPERFEDGGIDFKGTDFEYIPFGAGRRMCPGIEFGLANMKLALASLLYHFDWELPDGMEPGELDMAEVQGMLTRRRSDLLLVPTVHVTLPTKI >cds.KYUSt_chr6.9898 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60952357:60955947:1 gene:KYUSg_chr6.9898 transcript:KYUSt_chr6.9898 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRAGLNTGVLDNPNRVCPVSRRNFTGWTGFKGPVRHALRFSTAPAAAADEEPIKPPVEVKYTQLLINGNFVDAASGKTFPTADPRTGEVIARVAEGDAEDIDRAVAAARKAFDEGPWPRMTAYERCRVLLRFADLMERHTDEIAALESWDGGKPLEQTARGEVPMATRCIRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIVPWNFPLLMFAWKVGPALACGNAVVLKTAEQTPLSALYVASLLHEAGLPEGVLNVVPGFGPTAGAALSSHMGVDKLAFTGSTGTGKIILELSARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHRALFFNQGQCCCAGSRTFVHERVYDEFVEKAKARAQRRVVGDPFKKGVEQGPQIDGQQFKKILGYVKSGVDGGATLVTGGDRVGSRGFYIQPTVFADVQDEMKIAQEEIFGPIQSILKFRDVGEVVRRANATHYGLAAGVFTSNLDTANTLARALRVGSVWINCYDVFDAAIPFGGYKMSGIGREKGAYSLRNYLQTKAVVTPLKDPAWL >cds.KYUSt_chr3.37126 pep primary_assembly:MPB_Lper_Kyuss_1697:3:233438452:233440249:1 gene:KYUSg_chr3.37126 transcript:KYUSt_chr3.37126 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQSRLILNSDGGAATGFGSAAVDEQFYEAVVRELTRGQELTAQLQAEALRALRGQGRAEAAAAFILQEVSHAFTGCISSMAGSAPAAAGSPQATVAAAAGARRPRDDGAPRRSILTPSPDSDDGYHWRKYGQKRIMRTSFPRCYFRCCYHRERSCPATKQVQEQHSSNGGPRTFLVIYVHEHTCHRTAPAAAEPEAAARSPTDMINFSAGAGFSRQQQGGVVQLSKEELEQQALVSSLTCVLQGSHQLYSGGESPEEWSSQGRAGTQDAVSIETSTELDVMDYDVTDTLYFGASSSYSYGGRDDDMPL >cds.KYUSt_chr3.12330 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73673134:73673847:1 gene:KYUSg_chr3.12330 transcript:KYUSt_chr3.12330 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRRIQPAGSGSGGGATEEEAGLGKRLLRVLRAVYHMLRKGLCRKRLMMDLHLLLGRGKLAGRALRAHLAHHPQPHHLAAAAAAAGASPSALTMYQHNPRDVEFSCDTTPLYAAASPAIAFPFKIGRGRGRGGSSLGGLDAATVAAAFEMMNAQANGGETPGATGATPSPLLALSRGRCPAGARQLRVTDSPFPVEPEGVDERVDAEADSFIKRFYEQLRMQQSTTPDNCVRRRG >cds.KYUSt_chr5.31600 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200282280:200282768:-1 gene:KYUSg_chr5.31600 transcript:KYUSt_chr5.31600 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPASLTGAVGAPRRSRLAVVVRAVRCHEQGGQESSRRAVVFGATAVVTALTAAVSRPARAEAMAGGYVEMVVDDHVRDLGEWAVRQHNKESGEKDDVQFDKVVKAEGQVVNGMNYNLFIDCKDSRGTPGTYLAEVYEKVNRPGVQETLKLNEFVRLLKSS >cds.KYUSt_chr2.38567 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239077241:239078581:-1 gene:KYUSg_chr2.38567 transcript:KYUSt_chr2.38567 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAPMTRSRSYGNLPQPHVVEYYAQRATEGGLLITEATIVSPDSRGMGLIPHTPGIWTKEQVQAWKPVVEAVHAKGATFFCQIWHVGRASDTEERPISSTDKPVEKNEENYFMDFSTPRPLTVEEIPGVINHFRIAAQNAMEAGFDGVEVHAANGYLLDQFMKDGVNDRADEYGGSLSNRCRFALEVVDAVVDEVGPDHVGVRLSPYSTWLSCRDSDPDALAAYMSRELSRRDVLYLNVVEPEMAFAEDADGGEARRVIPHRLHGMREAFEGTLMVGGGYDRKEGNWAVAQGYADMVVYGRLFLANPDLPERFRRDAPLNKYHRPTFYTDDPVVGYTDYPFLHDGGDKVISEENGVI >cds.KYUSt_chr7.4508 pep primary_assembly:MPB_Lper_Kyuss_1697:7:27291722:27293019:1 gene:KYUSg_chr7.4508 transcript:KYUSt_chr7.4508 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGDSVVFIRGGGDQSLMIESRPQQPPANLWVQQPHDELTESSELASLGVTFRVTYYPGRRSCSPFVVPRGAVDEAMRKQWEPDMQVRMRPSDLVLRAGDTHGAATMEDTKGTIKAVITVPVWRNLQIDLDGSSSNSPPVTKNMWEVESLHPQPPVAKRTKKATDPGPSRDDESKEPVTALRWLTLFVQALGSGFLAVVRGWRHD >cds.KYUSt_chr1.36064 pep primary_assembly:MPB_Lper_Kyuss_1697:1:219749405:219758808:1 gene:KYUSg_chr1.36064 transcript:KYUSt_chr1.36064 gene_biotype:protein_coding transcript_biotype:protein_coding MALGIQWWEPIAALAMERICQLMRLLLKRVRHRVGKVPPERALNSARVTELDRTLRDFPARPNGEGRPWATNSHSTRCLCPALIRLLQSKDNGWLGALYGKKSQFKVDFHRIVNQMLTKDEFEAAWMQMLSMYALEKNPYLYQIYETRDKWVKPFFSGIFCARMTNTQRSESANHMLKTYVPPGSEMHVFVKQFNKLLYNRDAAESFQEKRAHLEERCFEFLLRIDDDPLAIKRLPNKFAEFVDGVEPAHLQLLEASCNFCRWTVEVMFDGHGKMYLHTGWDKFARDLDLEPGCQLTFLYEGDGEMIVKVFDDTACRRHYHTDDSGSDTDS >cds.KYUSt_chr6.11154 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69150219:69151517:-1 gene:KYUSg_chr6.11154 transcript:KYUSt_chr6.11154 gene_biotype:protein_coding transcript_biotype:protein_coding MASMHMTTNFCRSRVRLLHLLAVLAVAASLLPARKAVDVKATLAAVKGAASGVAQVSSSPFGKKMKEAGDFLDRVHVKDGAEFLNGHLDDIKEQLQSTAGGSSPPGAAVGYVIHTDNQKLSVSGIDIDSPVTRTGSCDVGARAVSCGALACRQLYGGQPVPNCVTGAELCADPHAAPARFLLKATLFSGPTPMSSLSLYVCSPTPIGGTSASGAVLGLGRTGTLARTPGGFSYVLSGKQAGAFRLWLGGARAQQQGQGGGIPTRLIANPARPDRYYVQITSVLVGDMPLQIPPGALDIQQSDGSGGVYLSTTVAVGMVLKGEVYDLLMAALQNSLGTPLTPDTTGAWPCYFAGARRVPALPTITLVFANNAVMALQPGPSGSVWYPRPDGAQCLAVVPSLTGETVLGTMAQMGRLMTYKVAADGVFGSTVTF >cds.KYUSt_chr1.39778 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243413818:243425839:-1 gene:KYUSg_chr1.39778 transcript:KYUSt_chr1.39778 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGDGGDEGAGPAAGSPAPASSAPPAAGAATGGASGSSGKLPAKRVMKTPYQLDVLERTYAEDPYPNETMRVELSVRLGLTDRQLQMWFCHRRLKDRKLPGKRQQQQEEEEVAVPVMAPPPVLQQALPHGELTLGSASSYGQQLLPSSRRGPGRSSAVPRISAPEVGRRYYEPQQLMLSPVAPVQITRAAQQVVDTVEHLIGEPLREDGPMLGLTFDPPPPGAFGAPIAVQEQPKQTFRSRETNMFSGHDPKLMKASTFLPNIDPSVPSIVTGKRKYMAANSSQLGSRAVHEYQFLPEQPSEIYERTSQSRFYDVSPEASNSRISSLSAGSRLLHGSEQVPSYAYHGQISGSSHLNQHGKPFISQSGSTDYEMASSNINVSSAPIEGQFGIPQVAGFENPPASSEGVDYHDEDAYRLDRKRKYTEESKIAKEVETHEKRIRKELEKQDVLKRKREEQMRKEVERLDRERKKEEEKFMREKQREEERLQKEKCRENKRMEKFLVKESMRAEKLRQKEELRKEKEAARQKVANEKATARRIAREYMELMEDERLELMELVSRSKGLPSMLSLDSDTLQQLDSFRGMLTQFPTEAVILKVPFSVKPWTSSESNIGNLLMVWKFFFTFADVLELPSFTLDEFVQSLHDYDSRLLGELHVGLLKCIIKDIEDVARTSSVVSGVNQSSSVNPGGGHPQIVEGAYAWGFNILIWQRHLTCLTWPEILRQFGLSAGFGPQLKKRNAEAAYHDDNEGHNSEDVISTLRNGSAAVKSAALMKERGYTNRRKSRHRLTPGTVKFAAFHVLSLEGSEGLSILEVAEKIQNSGLRDLTTSKTPEASISAALSRDTKLFERTAPCTYCVKTPYRKDPADSESVFSAAREKIRVFQNAILECEEVEKDVDDAERGDDDSECDEADDDPDGDEVNIEEKDVNASLVRSKDDGIPTAAGDINDEVKSVVNTSMPPSPHSKSPSDSLLALDKSTAVSTSSDPPIGVSQDTEIDESNQGESWVQGLSEGDYSDLSVEERLNALVALIGVATEGNSMRAVLEERLEAANALKKQMWAESQLDKRRSREEFAGRMQHYSCTSLKADADQENNIGECTLNPVHNLIKENGGEASSVNNDSLVDQQSQLNAGNMVHEGNGVSRESNTNPESLSVQQYASSEKTRSQLKLFIGHKAEQLYVYRSLPLGQDRRRNRYWQFSTSLSPNDPGSGRIFFESVDGYWRLIDSAEAFDALVASLDTRGIRESHLHSMLQSIEATFKDAIERKKCATIEQPAGRILRNGSSELISPNHSNEFGSPCSTLSGVVSDPAMACSGSFKIELGRNDLEKVAISKRACMFLKWMWRECNNHQSMRAMKYGKKRCSELIHCCDYCYQIYLTEEKHCSSCHKTLKSIHNFSEHTSQCEEKRRTDANWKIQISDDSVPIRLRLLKLLLATFEASVPAEALQLFWTDGYRKSWGVKLLSTSSIEEVFQMLTVLEGALRRDYLSSNFETTAELLKSNIPDFADQNFIARSESVDVLPWVPDTAAAVTLRLLDLDSALSYTLEQKAGLNKEREAGDFIKFPSRYTAVKNKQEVELVGATGFDHHDGARLTSSNGRGRGGRGRGRGGGRGRGRGGRSLSRGGKLPRDSSNSPKVEFRDDNDKAPYKQVGRGRGRGRGRGRGRGRGRGPRTVRPRQPAELGARSVPKANLLGSFGMLSTSKPTTVHSPQSSGAEEWGLERRANAEVDENNSVSQSDESEGNEEYDQPMHEDSDEQLPDYSRDNSASSPLQMMDDESEDNGEDDEDNGEDDEEGEDGVDYDAEHPVDEGNDDTEMSGDEELDDDDEDDDDGGGQGGVGNINDDEDGSSYSSEYSE >cds.KYUSt_chr5.34811 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220524764:220526869:1 gene:KYUSg_chr5.34811 transcript:KYUSt_chr5.34811 gene_biotype:protein_coding transcript_biotype:protein_coding MINHSSYSIELIDSTPGSFPPLRPNYTSTLRIDPSMATLALQPTAAATTLPAAQEEPQASETPAFDGSAADQSDIDSGVQMVLGGVVYTAVPFYKRARQIEDQVIQNVETALEVLEHAAEVTEKLAANVASSLPEDGSLHKVAEEIEYIAEVVDKDAQKVEVFIKKIETISDQIDAAVEPVIEELEKDFKPTTASDAGSDAQE >cds.KYUSt_chr7.36693 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229300667:229306940:-1 gene:KYUSg_chr7.36693 transcript:KYUSt_chr7.36693 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVRDGEAAAARVEMDDHDDGGAASDGEMDMDMDVEADSELQHGRDAAGRANDGDGDDEYALVSHWSSLSHKMSDVLDFSGHVAPAQKHPGSSLEGFTGVQVSTLAVNEGLLVAGGFQGELICKSLRDGDVKFCTRTTLSDNAITNAMDIHRSTSGSLRITVSNNDSGVREFDMERFQLLNHLRFDWPVNHTSVSPDKKLLAVVGDDRDALLVDSRNGKIASTLVGHLDYSFATAWHPDGVTFATGNQDKTCRVWDIRNPSTSLEVLRGNIGAIRCIRYSSDGRFLLFSEPADFVHVYSAAECYRKRQEIDFFGEISGISLSPDDETLFVGVCDRVYASLLHYRMVHSFWYLDSYM >cds.KYUSt_chr4.22188 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139471769:139474790:1 gene:KYUSg_chr4.22188 transcript:KYUSt_chr4.22188 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSWEVWKEINACVFRNNYFTVDMVVSRIKNEMPLWVLARAKTLGNHGGDGEDGVNKHHDMFSELPDDILLAILQLLDLRTAVRVGAVARRLMRLPRMLTDLVIDVGDLIPHSWDFSPHTAEHVMATYTYAARWFLAPSKERSIKSLRLAFHLKDPYLRSIGDAIIECGGAERLEFAIQAQLNFRPRHVHHAVFARRLMAFVAACPVAFGWLTSLTLQNISFNDSDIADLLNACNRLELLSLIRCSGSDLEGPNLRIDAPSSSLRALEIRDSLYPGIELTRLPKLDRLFCDDSFDHWAGNFYVRFGDVPRLHHVNLSSLHLYYCYGNPGQLFPAFSNLRAIHLCDLDIKKMVWTLCVLKGAPLLETLSLKMNRQWMYIDRRFDEFTAGLDNFMAVAEANKHGGFMYCPCVDCKNTVNYAHSSLIHSHLLRSGFMPSYYCWTNHGERGVMMEDNDEEEEDDDGYPNFPEYDDTAEGNEDNGVEDQEAPDEPADDDLGRAIADARRECETEKERLAFDKMIEDHNKLLYPTCEDGHKKLGSTLELLQWKAENGVTDSGF >cds.KYUSt_chr7.3410 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20337330:20339537:-1 gene:KYUSg_chr7.3410 transcript:KYUSt_chr7.3410 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHLARAHRRVLLPTPRTLSTATAATATVSAPAPLSTAELEALLRRDHYSAATRRFHSLLPLLSHPSLLLASALLLRRRSHPSAPPPDPPPLPTTATPSPTSHLRLLIPSRLKGPPLALPTLPLRLAILSAASALDAVFAPRAATFAYRARHAAIRYLRSIPNATWFFRAAIPRQPFGPRHVRHLLDAISAKIDDPGFLDYLRDLFLSDAVTFELGGSELSRGLPQESDLTAVLLNIFFDPVDREVMAIREEVHKKNPRVKDESVLHTPVRVYAVRYLDEMMVVTTGSKMLTLEVRDRILAVLEKGLGVKVDRFGSSVHSAVSEKMEFLGMEFQAVPPSVLHPPMSEKAKRARKMYLKRKAAEAQELKNARETRRKKLGLKILNHLFKKVRRGHEFEFGFSIEDEVQQEFKGWAEETVVDYFKSQDHCRYWHRLFTSGDFLSLNRVRDQLPPTLVDSYDQLQEALNRFLMPRRGYDMTEEVERLEDEEDERQYEKRTVEDLTELKMRVNAPIELVRKAVKLAGFTNTMGRPRPIKLLLCLDDADIIKWYAGVGRRWLDFFCCCRNFKMVKIVVSYHLRFSCFLTLAEKHECTKRQAISHYTKDLKVTNEDGVPEVFFPTEREIKMMGDKNLSDPKPVDGALTMILVRLAVDETSHPCLAHFCAGTDTTLYRIRLLQNRLNVDPLNEKKWVQGLSAIHESLNKKCLPLCSMHASDLLLGKITLQDIDCTQFVDVV >cds.KYUSt_chr3.36131 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227070232:227070705:-1 gene:KYUSg_chr3.36131 transcript:KYUSt_chr3.36131 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQHLIEKCLCYDMDKEECVKALEKHANIMPAVTSTVWKELEKENKEFFETYNKDQGSQRTSPSSEQSSTSRSSDDNDN >cds.KYUSt_chr6.26291 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166596144:166598667:1 gene:KYUSg_chr6.26291 transcript:KYUSt_chr6.26291 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRTTCRATCDGCLMKHDRYKRELAAYDGPPPPSARNNAAGRRWWSAPGRTLENVLAHIKDGNFPVLGMQPPVAATVSRRHGSSWMPRRMASSSSSSGSRSASRFGGSTPATIKKEWASPSTVKKEPASPPPNRGRSSGALVIRDQPSSLQRGRKRKSSKKEAAAAAIKNAANKLAEEEAKRAEDAAVAEAIARSLTDLVPADNNLPMDAALEWSRRDWERQEAEQQRRLLDLAAARQRAVHAAAPSAPTNVAPMPLELIKLEESSDDDIYRPTPPRAGDPGQGSSRWYEAAPSQDAASSSDDDDGADYKAFYRHFDM >cds.KYUSt_chr4.8275 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49516274:49516474:-1 gene:KYUSg_chr4.8275 transcript:KYUSt_chr4.8275 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQRRPEPAAANARGEGAQQPQRVIHCDVEPAPRAWPGMQMLAVAAILVLGGLQFLPATHFRLH >cds.KYUSt_chr3.27494 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171548458:171548733:1 gene:KYUSg_chr3.27494 transcript:KYUSt_chr3.27494 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTWWPHLAVRVLDRGGGRCEAQWRLVAADYGGGWCAADGSREDGEEEEKRRGEEDPAAPPVPRAQQLLGKASLVKMGLGFGDWGESIGD >cds.KYUSt_chr3.9949 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58535229:58536314:-1 gene:KYUSg_chr3.9949 transcript:KYUSt_chr3.9949 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVELLKLSVADGGGEDRLSALPDDLLIHILVLVKDAAAAARTSILASRWRRLWPLLPELRFGSIEHHLLGAVLAAHEAPDLSLILAHTKDASPESLSAWLPIAARSLSGAIQLKVVRLESETEAEAGDNGDIDLPCFKKATTIMLELGFLGLALPPSGVFAQLGDLKLVDIQLHGQSSGLGDLLSSQRCPSLRFLLVSDARGLDSFNIQSDSLLHMKLSYLHHLQQLTVMAPALKKLKVSRCFADPLNPDLSVANISTPQLTSLGWRNIIEPSSTQLDKMMHLEKLGIELFIVEAEEVFEHNQYCMTLLWRFQRIHTLDLLIYYPPVSPFSRHHYNVSIDDEEDIQFQLNDYACVFFK >cds.KYUSt_chr4.47053 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291172319:291174617:1 gene:KYUSg_chr4.47053 transcript:KYUSt_chr4.47053 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKRENESDGDVTGAEGGAPPMTLAAAPVVCALRSVGDFAGGAFVGSVVGYGQGLFTKKGFKGSLNNAGSSAKGRLWYVIATGAPQALLQSCATFAAFSCIMEGLNKQQAAMAHVLGGNALTFAHKKRGVLPPFMLPPILDASDALSSCCQMLVKPKH >cds.KYUSt_chr6.24743 pep primary_assembly:MPB_Lper_Kyuss_1697:6:156490173:156493830:-1 gene:KYUSg_chr6.24743 transcript:KYUSt_chr6.24743 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCSSCSRGRALGFSATAGVVGAPVVDSVVVAAASDHLPSRASLPPLVLLAPQLLIRLLLLLPIICRLRFLSCLLLILWLLRGLVRVYTGDLVVPVGGHFSLRFIHLYVGGFICKVLLPLPLLAVALLCQLCVFLSVCCLSCSSADCWLYPLFFACASVDLPLIVPLPPQLCPLLLLPGLYMDSSDDESPAGLPCPPADSLCEFNASSIYSTGEACSLFSLPHRLSISSFRFSYESRYKLKVSVTHVEPNADNVPVTDHLTFLGESSEALAGKEADLLAPLTKCRPYYVPAAISATVGKKCTIIAKVDQETYDADPRIVFLTVSKTQLITNTVPQIFYRH >cds.KYUSt_chr2.32948 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203255350:203258799:-1 gene:KYUSg_chr2.32948 transcript:KYUSt_chr2.32948 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGQKVFEWDQTLEEVNMYIELPKNVPTKLISCVIKAGHVEVGIRGNPPYLNHDLMLPVKTDSSFWTIEDGELHITLQKREKGKTWASPIQGQGSLDPYAADEEQKRLMLQRFQEENPGFDFSQAQFSGTCPDPRTFMGGIHTD >cds.KYUSt_chr4.2229 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12268979:12269284:1 gene:KYUSg_chr4.2229 transcript:KYUSt_chr4.2229 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRLRALGLGGRERIGDDGEVVLIWHIFLARETRKLLNFAVAGAKLIALDNGGGWVPYRENAIAYELVPPTEAAGRIGHCPAAWRLQGRQQGKLQLDMK >cds.KYUSt_scaffold_1700.143 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:928644:928898:-1 gene:KYUSg_scaffold_1700.143 transcript:KYUSt_scaffold_1700.143 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYLSRVCVRVVQAAVRAEQPASTKPPTIPRPSLAAPAPHRRAWFSGGTAEMQATAKTAAAEKMRRAEKDESVMHLICWGPD >cds.KYUSt_chr3.14455 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87861267:87861994:1 gene:KYUSg_chr3.14455 transcript:KYUSt_chr3.14455 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLASLAASQEEPEAGDGRGTAVCFVPNSELYPATVSYLISCGLSSAASAATATNQKTRILSNDKADAVRALLKDYGFTNADIVRTLRSAASILIFDPERILRPKLDFFASLGLEPRKIASEPILLARSLDKHLVLSIKFLRGIIGSDDDLRIGFSRVPRGLMADVEKNMRPAVEALRRGGLTEAAISKLLVIHMGRGAERGRWIKMFVRP >cds.KYUSt_contig_319.547 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3422286:3424851:1 gene:KYUSg_contig_319.547 transcript:KYUSt_contig_319.547 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGAASAIGCAAGLALARVAWSFFQSKTSVSSYGRDMTADVARDLVVGRDDEMDSIIDILCHRTKNNAALVGEAGVGKTAIVEGLAQRIAAGDVPPALAGARLVEVDLGAMTAGTVLRGMFEERIKSVIAQAEASDGNTILFFDEMHMLCGAGEGITKCTSAANLLKPALARGRIRCIGATTFDEYRTYIEKDPALERRFQKVHVNEPTTEATIDILRGLKQRYQDHHGVDIQDAALVAAARLAARYITGRQFPDKAIDLLDAACTTAARKKMQNDKQEMDNAQNCSANTMKGPIVGPDHVAHVVSRWTGIPITTLDQKEKDKLIHLADRLHERVIGQDEAVNLVAQTVLRSRTGLDHPGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKMLLRFDMSEYVHKGCALRLVGAPPSYIGYEDDGQLTEKVRRRPYSVILFDEVEKADPLVSNIFLQLLDDGVLTDGKGRTVDFKNTIIIMTSNVGSEILAASMDGTNKNNSRDLLMKEVQKHFRPELLNRLSEIVIFDPLSRDQLREVVRIQMKNIVAVLADKGISLSASEDVLDVILLESYNPMYGARPIRRWVQKNVMTILSNMIVKGEICEGSVVYIDAMDDKKGLKYELAKKMADPYMPAMEDTFP >cds.KYUSt_chr2.48388 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302559999:302567129:1 gene:KYUSg_chr2.48388 transcript:KYUSt_chr2.48388 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPAGASAACRNVSAIGSWKPALLRALLTVHAIFKGLSTPVPAAALPGRRDPLVLSVRTAAMASMASKADDQAEGELLSLKMGKIRTEGIEKIQREKADVQMDLCQFCHLADGKIVIFYAKIEIMEPAAALRTGYINTLFAIFQCSVLFPSLDRHADQLNVLSTGCFCFRKGISMTFSAKEKGL >cds.KYUSt_chr7.31791 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197933946:197934970:1 gene:KYUSg_chr7.31791 transcript:KYUSt_chr7.31791 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKTKQLCRYQAGPCEDERTLCASAQRPPRRKPDAYLGSATLAIKAAAVAAVLAVLVLPSSARCPSQGPEPVPAATPAPAVECNCSYCSPKCDASVAQECSPVCGPSSCADCKSDEVKRCRATCKGKPCDACDSNANMACSGVCYGSTCSDCKRQTSKECVADCCVAACGGGKS >cds.KYUSt_chr2.53295 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332567373:332568152:-1 gene:KYUSg_chr2.53295 transcript:KYUSt_chr2.53295 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDGMSKLTVGVSIMVALSLAVFLTIVILLLADLFCSHLRRRRLRADAEMAPHKRPKLGVPASSPPHTADDASVATTTTTATHEALSSTPPFYYAHGVMCAPTRKDLLLAIPKLEAAVWKWSPARRSSPSPSPPRSEPTARESSSSAYSDGFLRISNPVYERRTTAAPGGYEEDTPFDTPDASPSPGGITEEGEGAFSPPLSMMRKLPPLGVLACPPPMAAGFYDGRPPVTLWPGTVATDANRASSSSSNFTTRFFSS >cds.KYUSt_chr2.1908 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11446194:11447606:1 gene:KYUSg_chr2.1908 transcript:KYUSt_chr2.1908 gene_biotype:protein_coding transcript_biotype:protein_coding MATESTESVAFVAVPFPAQGHLNQLLHLSLLVASRGLSVHYAAPAAQVRQARSRVHGWDPKALSSIHFHDLDVPAYESPAPDPTAPSPFPNHLIPMWEAFSTAASAPLAVLLRSVSATHRRVVVVYDRINSFAAVEAARLDNGEAYGLQCVAMSYHMGWLDPGHQLLRDHALEYIPIDVSMSKECMEYLFRTTAELQDEGGRGVASAVGIVMNTCRELEGEFIDAIAEHPSSKDQKFFAVGPLNPLLDGSTHAPGETRHECMEWLDAQPPASVLYVSFGTTSSFRGDQIVEMAAALKGSKQRFIWVLRDADRADIFTEPGECPHHKLMSEFTKQTHGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTLESLGHGKPILAWPMHSDQPWDAELLCKYLRVGLLVRPWKKHDQVVPAEAIQEVIEEAMLSENGMAMRQRAKVLGEAVRASVAKGGSSSKDLDNFIAYITR >cds.KYUSt_chr7.1965 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11231220:11233753:-1 gene:KYUSg_chr7.1965 transcript:KYUSt_chr7.1965 gene_biotype:protein_coding transcript_biotype:protein_coding MDQESEGTTVVLQEAPAQAMEETTAKQGGGGKKRRIKQQRRSEGRKARREYRLVSYAELPEYMKENEFILNHYRSEWPLLNAFLSVFSWHNETINIWTHLVGFMVFLGLTVWHLAQYFPQVAHLIGHLSWPISKVAENVSSNIGDVISGAASFMQASPGLAMAAAAGVGPTTRWPFFVFLAGAMFCLLSSCACHLLSCHSHRLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPRWQLVYLSAISLAGAATVYALMSPQLSAGKYRAYRALLFVGMGLSGVVPAVHAAAVNWHEPRRNVTLAYEGAMAASYLTGTVFYLTRVPERWRPGMFDLCGQSHQIFHLLVIAGALAHYGAAIVFLRVRDEMGCPAK >cds.KYUSt_chr7.14818 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91614769:91620057:-1 gene:KYUSg_chr7.14818 transcript:KYUSt_chr7.14818 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKRTPNNSKDGSSTHGNTQKSKVESDASLAMERNSFSDTDFDEQAGADGEAIMWEETHEVYSDIIMKLPDVGKDMGDRDAEQYISEAAKLMGLERTDRMKITPRPLNHCRVPTPPRELTNKLFRLEGSQKENELVSEEKSTTFIVLEPVLKEAASIITNTLAQEFIRNPSKLWWNNSSLQLHTSIPYHHKLLLWARMMLISQEDKAMLLQADIFRGVLASMYHIPINPSLLAAFLTFWNTEGHTLVTTQGEIGYPLIAMYDAMGIPISGHLYEEYIPIESEVLGIVRVLHTAYTDIWILNQKGNSSSVTLQNWLDHFIGEDLGATVKTKTKTQMPSHFYADPEDPLLSRLDFRTLELQKCWSARCQIHNNLVYCPHRFARMHACDQDVPDFFMEGSNGQFLLQFPTYLQGTREETIEHLQRRHLAYYFPMGHQFHLQPFSRRSKCSVEYIKWCMSALSFLTNADVICSGQEPLCVVQTDQIKVESVTASSASKKEKKDPAPTVVPVSITNKGTETARSLDPGVTTVGATVLPKKSQASPKAKTNQASKLSSVPAVTHLLQKNILASNKKGNMTSDEKRITASSKLGVPNINSSVGEASIEKRSSPKETAARFLQVYHSVKAGGNKKRDVTTVEAHVPVPDENLVSSPEKTDALQKNKGKRSSPQPIRGEGKTDLVKPNIENKGLDIPFEQPEDHHDKSPAKKPRLGRPGDEQDLEAALSKAATLKSTIDRTIYCYLSNVGDEFTKLKHEEHVKEQLSIGQKSHGSVMLGYNSLKGYGSVWRGLKDEVKQRKEEHLVKIKELNDALGIARAKLSLEKEYEEKINELHSQYDEVLNGASGALSRFEETLAQGSSCLESINKAIEETGSLGDSELPFGLREQLKFVESCMIEGVEENDE >cds.KYUSt_chr3.24596 pep primary_assembly:MPB_Lper_Kyuss_1697:3:152678033:152687573:1 gene:KYUSg_chr3.24596 transcript:KYUSt_chr3.24596 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRMMSNINLVNAITFIQIFELRRRHHERQLEGRRVEAPKSRKHGEDGRPAPSLQQRTRNREEDYAGSFISKKMVFHAILPKIAVHWIINFYRTAKKLRSNAFQYYRNSTARATAAAASRCTIPSAADRTVVCDFHGGLLRSTTIFPYFMLVACEGGSLLRALLLLCSYPLVWALGEHADAGIRVMAFVTFVGLRPRDADLVARAILPKFYMERLNALVYDHLWLPAGRKVAVTSAPRVMAEWFLKEYMATDVVVGRELQTVQIGRGCYFTGLLRGPGAGPELGGEGDMADVFVVGASNPLNHLFIPGCKEVYVVSRESAKTDTLPRERYPKPLIFHDGRLAFLPTASAMLAFFLFLPLGFVLSVIRISIGIGLPYKISFAAGALFGVRFRTSGRRIPEAGTKRRGVLFVCNHRTLVDPIMLTAALEKPVPAVTYSLSRVSEIFAPIKTVRLTRDRERDAKTMSRLLEQGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTSLYGTTASGHKWLDPVAFFANPAPSYRVEFLGPVPRDQTCAGGRTGAEVANWIQRRLGEALGFECTGLRRQDKYMMLAGNDGANEEDVAATEAEAGWPCRICMFAVARTLFLPPEWECPFAMHFEFVVHITGDPLCRKKLPHKFAEFLVVQEPVAVNLQEANYS >cds.KYUSt_chr4.48856 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302573275:302583403:1 gene:KYUSg_chr4.48856 transcript:KYUSt_chr4.48856 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRRAAAGRGRCPSPSDVLRRLVSSEAAPEQALARPPPEMPPFDHRPAPYAGMGGDEILQKRKKFLGPSLFYYYQKPLNIVEGKMQYLFDEQGKRYLDCFGGIVTVSCGHCHPDIVNAVLEQTKLLQHTTTIYLHHAIVEFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLSMVALRNGYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGVFGSDGAAYAKEVEEHINYGSSGRVGGFIAETFQGVGGAVELAPGYLKSVYDTVRKAGGVCIADEVQSGFGRTGSNYWGFQTQDVIPDIVTMAKGIGNGLPLGALVTTPEIASVMAQKIQFNTFGGNPVCSAGGLAVLKVLDKEKRQAHCADVGAHLVERLKTLQQKHEIIGDVRGRGLMLGVELVTDRTEKTPAKAETAVLFEKLKDLGILVGKGGLHGNVFRIKPPMCFSKEDADFLVDAMDYAMSGF >cds.KYUSt_chr7.23911 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148981045:148986119:-1 gene:KYUSg_chr7.23911 transcript:KYUSt_chr7.23911 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTDAVAWRRRRRHDIHRGRLLLPVGGANGGTMESFLLCLLAYYLDGEDHHDGGDGTRHNWDRREPIGGRRLADWGRKRPTDWGWRLVHHFVYLLEYYFATMTGSKSRHIRTRAAAAKLRESQQCRPCAAVEKPRYEIGPILEMVMGDFCVETADRSALADECMRLYSELATEKIRIRILDDIAMKSFTASNEIQRRSLGRAETMDKLAGLILNLTVRTDKLEAELSQG >cds.KYUSt_chr6.27098 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171889854:171896130:-1 gene:KYUSg_chr6.27098 transcript:KYUSt_chr6.27098 gene_biotype:protein_coding transcript_biotype:protein_coding MCMRSCHPAAATGDGSGGSAAVGLAIASAAQKREGCHVPSKDRMGKAAGCIASHKRTVLQGSLLYSMDLFAALIVVGTPGRILALATDKDISCKNVRWSRMHKRLWSIAGVKTCCGKWKKINFGVSRFVVVQSHRPRKSNCQANHMEMHLAGASQEACLDLLGGSGGGMGGAMPRCMGCGMPDIILNDRDLMTTFGDPEDMVALQDGAADFIAIANGLSGRLRRIAWFTFAAQCWTLWNIRNKLAIEGSLISSPADAIFKMSIYMQSWRVLVRPRDRALLDVALDEVRRLHARTRAGGQ >cds.KYUSt_chr6.27364 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173744215:173744523:1 gene:KYUSg_chr6.27364 transcript:KYUSt_chr6.27364 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPLFLRAVARLAACVASRPPAAVAATVLYHGGALPRDPALQRLVCDGMLDGGRGDSYILHFVVGVVRSLG >cds.KYUSt_chr5.28265 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178914621:178917366:-1 gene:KYUSg_chr5.28265 transcript:KYUSt_chr5.28265 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPEEQLPIASGGTAVHDLAAHGDGYASDFTDPPPDLGGPAMNNIESCYASEIVSTHEVMDDDEHDAEYYCAAIEGIPDEEIDESDEDIDEYEEAEYDEDDTQWRGTDRKNYSKEEVGEIVDKWLYSFTRQFDECLKVRKVILARGDKNARLPTYPLKVLPEVAWTILAKDVTLRAYWLTRHSAPAEVTLPPASSCSHNVIAAGQEQDA >cds.KYUSt_chr2.6070 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37819442:37819807:-1 gene:KYUSg_chr2.6070 transcript:KYUSt_chr2.6070 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHVIDGSMASGKPNSGWMDTINGQEQQLGQERPDLALQRAHGRVHVRHRHAQRPGGRHRDDGPRRLREHPRAPDTGLCERAAYPEEMETRDLVVRCEENAAVEHLDAVRTLGGQINSYN >cds.KYUSt_chr6.32608 pep primary_assembly:MPB_Lper_Kyuss_1697:6:205527372:205527845:1 gene:KYUSg_chr6.32608 transcript:KYUSt_chr6.32608 gene_biotype:protein_coding transcript_biotype:protein_coding MNALMEISAGTGIDFWADRRRCFTIIQLQDRVSQVNEFVEHCRSALAMVYSTMFPQNPAPQDFAELMGKFRRAADIHNFVRIQLVDGAKLALAWVRVHKPTLDIDAISRGFPARRSNKGVRMTHHYDAAHEPAIGMIQRLLDADSEFFTEFHYADPV >cds.KYUSt_chr4.3535 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20113633:20116765:-1 gene:KYUSg_chr4.3535 transcript:KYUSt_chr4.3535 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPANGEPAPPPPAPPPGRCHFWLPSKRRHCANSPLRSTQYCGNHPPESSSSSSRRRVPCPVDPSHTVFEDNLEAHVGKCPFRKHANALAAQPYYSKAINSGGGGAALTSAAKRAAVHKLSEQEFRGLVAKIRSAHASAAVQMRDSHVAPVACDKWMKGQVDRTVPYQEKHVVQQVSIVGNMEAFGLLPRGGAGDAAAENALAVVEFGAGRGYLTQMLADCYGIKNIFLVERRSYKLKADRSLRQNEGVTLERLRIDIEDLNLHGVQTLIGSQYLAIGKHLCGPATDMTMMCCLHEQHNYTEEKGHDKCRLQGLALATCCHHLCQWKHYANKAFLSGLGIAEEEFHAMTWFSSWAVDGDHSSQDSLEAEDSSSEVRDRETKKPDLEFTGIERIIRGMPGEERNALGFMCKDIIDSGRLLWLRSKGLDADLVSYVPSNISPENHLLIAKCRS >cds.KYUSt_chr3.21561 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132354042:132370786:1 gene:KYUSg_chr3.21561 transcript:KYUSt_chr3.21561 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGVADVILNIKLLKDDDGGITLLQSHYVEKILSRFGYSDCKSSPTPYDPSVIIRKNKRIARDQLRYSQIIGSLMYLASATRPDISFAVSKLSRFVSRPGDVHWHALERVLRYLKGTASYVIHYTRYPRVLEGYNDANWISDADETKATSGYLFTLGGGAVSWKSCKQTIITRSTMEVELTSLDTTTVEAEWLRELLMDLPVVEKPIPAIHMNCDNQTVIVKPFCLPVFLDRGCADSDEVCVPRPSRTAVHKILRNPSRIDQTHMRLPVSSSLRRRLRLPHPVRRRAPTGGTVGLRNPASREPVRVRGNQVFGERSGTTTGITTSSSDDDFLHTDNFFLDLSDFFGNLNMGDNDAAANTDTKDSPRRVAIEKAQEELRQEYDVREERRRELEFLVKGGNPLDFKLGHVASLSVHSTSVTDQIAEQNVISEAKGSFAFDTSPHGDSVESSGRPGSSSCREANTADNLMLLDGDTSNIGGDKLVKRGTKRTITPQPELSLCNDGQISVKEVEDSGLFRLGAKSQAYARRRSKSGRDNANTVPVRTPPVPPLSSQRGDARGVVQEAENDDYDGSSIERPKPISSNGNDMLKNVPLNNPVVLEVGGVQAIHEGNHHEQKHEIKNNKADMLALEISSNNVSGNSQRTGGGQMPNVTAFAESLHSIPKEASSRTTSFPSKHSEIFREAHTPEKAGNSNSDKSMVDAHADDMEIEASVLHPAIQTARFSENEVDMNCTDTTKTVDEHPGRNDSLSMKIGQSSDEGLSNIGPGQLEGSSLLIDSSTPVQPEVSAAVKDEAEVCNNVVDTEKETCLATSDYNKVDKEAASDLDRNNKCSSALSGSDKLVSVDLPPASLREDMPNPLPSTNISVNNDNGVTKCSRNDTTITKKECEDSIMTKKEYDDSILRRARFIEVNIKRAGERALCNVSLEKKRKSHWEFVLEEMAWMSNDFMQERLWRSAAAAQMCYWIASSGRASFEEANVYRKQKSVARILSKGVVNFWRSAETLRTTSGEIPKALQVEKSKGLEEMKPAGIKAEKELRLVNTGHKEDYEPSTCDSVPDVHRENGYGDEGEAYSYLLPGTYDGGLASKSGHKKKQQMHQRMNGTRLYDNGIDPSYDPYLENKTGNQPFLSNGKRPPDFLSIPIKRIRTAARQRVASPFSAGVAGTPQFTSKTDASSGDTNSCQDDQSSLHGGFFPRKNADIESTVDFDKQLMYDGSEVSAKSKKKKKPKYPGHKAPQSVSESYTLMAGKVKFSMATPLIVLLTVFVEKDYLKKRPEAYQFDPNAVNGHASKKIKLLHPAPDISLDALTPVGPLASPVASQMSNMCIYRRPDECKDRHKLLTDKSSGDGADSADDSGSSQHYQSTLPGIPKGSARQLFQRLQGPFEEETLKTHFEKIIFLGQRLHPCRRKDLLADMILFSVCLHVCILLVIKGESQELKPINPLHTSHVLALSQVCPSNFSAGVLTFNRPLDLCDTITSSPDILPVGYPGSHTNVLTLPNHHGSLGPTLPTSNMNARLPGSPGMVLGSSLSSPSTLNAPSRDAQRYGVPRPTSLQGDEQQRIQYNHMLNGRNLQQPGVSVPGVRMMSGANGMGMMTGLARCAPVVRPGFTRLGSPGMLNMVSPGNMLSSNGQSMQNSENLRPGAVTGPGNTMPRPRDPMQMLRVSYLAYPNLLGKKCFVVAEHYDRGAVNILTVVIGDVQIVGARDPRRRSSPLRNWWCSMAAGVVGASWRRLAFSAVSSLVAASSVELCRATCLLCGRLLAQDLEGVDLLERLLLDRLKPCVLKEARIAACS >cds.KYUSt_chr3.11438 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68190130:68192037:-1 gene:KYUSg_chr3.11438 transcript:KYUSt_chr3.11438 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWTPRSLCVAPLEQVTDTFPVTADTNRCPRATAAYLVSANDDEDGSLDSQAGGRLAAGTRAPGCAAAKPESYTIELVFAGKDAITFEVVMNEESMDHIMVAVARKKEAKVMQKEERDLQKFSSIIASAPAGKKLVADELAVVAQSKEVAGGMISDVMLDQVSL >cds.KYUSt_chr5.5586 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34929385:34929669:-1 gene:KYUSg_chr5.5586 transcript:KYUSt_chr5.5586 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGDHGQERHVGGPSSLAVASLLALAGGFLVLSFTALAISITGLAVAAPLLLLCSPVLVPAALLVALEGIGMAASGALALGGIAPARSLQTK >cds.KYUSt_chr1.5982 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36754414:36755274:1 gene:KYUSg_chr1.5982 transcript:KYUSt_chr1.5982 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPSLPFLRNNYEVVNLEHCCGGLVLCRCGKSGHTGNNGYIFVVCNPATGKWTQLPPCPTEFDLRFLFDLFTETEQYLGFDPAVPSRFVVFLNLWNKVAIYSSDTGRWTVVQSGWIYGPRTMGYLECVFLNGTMHLLTSQPSILTVDTKGEVWGEIDIPGNVPQSCVDHSIGQSQGCLYAWYVDNPNTCQLSVWALQEYGSENWTLKHTVNILELFGRHDRKADESYKMFAIHPDRNLIFLTDGKKKTISYDMDNREVHVIGICKKLWGVQPYIPCFAEWPSVGH >cds.KYUSt_chr4.4304 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24660999:24668374:1 gene:KYUSg_chr4.4304 transcript:KYUSt_chr4.4304 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLKLLVPCLCIILLPSIIFFSHAKEGSCPGSEQERSNYIMKDSDGVLLVGEDSGLVQMPLDKLPDANANSTRVLSTINPMVELCRRRPDGLGVDESSFNVTIFMRNQTTPEGNSIFSLLILHDDALDFQQVNDSLPLAKQLLSVSSIGYALNLSNLTYRSGSVSDRDVYAAIGMLQANSVGVDIIIYPAASNYSVWIDYHRDGRLSVYVDVDGKPKPASAVAEAQFEIGSVVSPTSPFVHFGLLSTLEQRLRGVHFSATVDSLPDYPVKGGFLTKRVTLLSSILGSVATAAMVAVSVMCYFNSRYRRWHKELNQLAKSMERLPGMPTKVEFADINKATSNFHDSMKLGGGGFGTVYRCTLPAAASKTEWPMDVAVKRFTREVQNRRYGDFLAEVSIINRLRHKNIVPLVGWSYNKGEPLLIFEYMTNGSLDQHLFPDGSRNGGSSNGRRRTGAAIRRWATRYEIVRDIAIGLHYVHHEYEPMVLHRDIKASNVMLDSSFRARLGDFGLACTVAVNRNSATGVAGTWGYIAPEYAICGKATRQTDIYALGVLILELVTGKRALDDDHVVNSDDMHITDWVWRLHREGRLSECVDAAVLAAASEDEEEQMGAGEDAARLLLLGLACSNPNPSDRPTMPDVVQVIVKSVPPPEVPRQKPSFVWPPPGGWASDDDSTCSSMMSDVDRSRDEQQVSLGQPMQQGRTTGRGFRSQRVGSSSSGTRALRRDLPVNPMEEVELQPCCDYGDDNDGLGVADKEAEESSSATALGAAARAAVGVGARVLFYPTLAYNVARNHLFPSRFHWWDRVDSTSILLGAVPFPSDVPRLRDLGVRAVVTLTEPYERLVPATLYADHGMDNLVLPTRDYLYAP >cds.KYUSt_chr5.7110 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44224811:44226847:-1 gene:KYUSg_chr5.7110 transcript:KYUSt_chr5.7110 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGHIVVDVGTDEDASAGQPPVAPVPYLLTFADLSYTVNTNKGSWLTSWMPSRTPATTRALLGGVSGEAREGELFAVMGASGSGKSTLVDALAGRIARESLHGSVTLNGETLHGRRLRTISAYVMQDDLLYPMLTVRETLMFAAEFRLPRALSPARKRERVDTLIGQLGLTNAADTVIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRDIARSGSVVVMTIHQPSARILGILGRLLLLSRGRTVYAGTPAGLMPFFSEFGRPIPHNENPAEFALDTIKELEGQAPNGTAPLADFNARWQAEHKIMENVTTMPLELAIAESVHRGKLVAGSETSGTSSSSVPTFANPMRVEVWVLIKRSFTNTRRMPELFGMRLGTIMLTGFILATIFLRLDDTPKGVQERLGFFAMGMSTMFYVCADALPVFVQERHIYLRETAHNAYRRISYVISNAVVSFPPLVILSLAFAVTTFFAVGLAGGASSFFFFVLIILASLWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPAYWIWFHYMSLVKYPYQAVLQNEFGDATRCFARGIEMFDATPIAGMTEAVKLRVLDAIGNTLGTSMTATTCVVTGADVLAQQAVTDLGKWMCLLVTAAFGFFFRALFYVVLVLGSKNKRR >cds.KYUSt_chr7.35209 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219965741:219968878:1 gene:KYUSg_chr7.35209 transcript:KYUSt_chr7.35209 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPAIRNTTVLNNEASPWQGNEFAVPYASHFHPSSDADVLRWQDRMRRLERRSLWGFAGGPRPGNTTVRAQIIEQCGKSSSCAMFGAMSYHSSPDRIMRLLESAEFCVEPRGDSFTRKSTFDAILAGCIPVFFHPISAYTQYTWYLPRDYRRYSVFIPQGDVAKRNVSIEEVLRKIPATKVARMREEVIRLIPRVMYRDPMAKGVTFKDAFDVAVDAVIDRVAKRRHATAEGREYKGSVDGYLSWKYELLDHGQKDIGPHEFDPYILAATQATWALLSSAVRRRPPTNPRAIGLATSIPLTGVPSLLELNAIVPSRPASAQGFLSARTPRALALNLQALPPPFNTPGDRFLQFSLQNFRTPVCTPVTLGSPLFAPSPVHIAVRHERRQPFSSSSSVFGMMQFWLGSTFERLVVIEVGPNVFRLVVASPELASFLVSLDGLRHGQQVALFSASLALDVVSPLGRSLGLCPLS >cds.KYUSt_chr3.2897 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16608785:16611255:-1 gene:KYUSg_chr3.2897 transcript:KYUSt_chr3.2897 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRALVFLSMAALVAHGRDTDLSRDSFPKDFVFGTASSAYQVEGNALKYGRGPCIWDTFLKFPGATPDNATANVTVDEYHRYMDDVDNMVRVGFDAYRFSISWSRIFPSGIGRYNKDGVDYYHRLIDYMLANKITPYVVLYHYDLPEVLNNQYNGWLSPRVVPDFAAFADFCFKTYGDRVKNWFTINEPRMMASHGYGDGFFAPGRCSGCRFGGNSATEPYIAGHHLLLAHAAAVKIYRDKYIHAQQGKIGILLDFVWYEPLTYTTEDEFAAHRAREFTLGWFMHPITYGHYPETMQKFVGERLPNFTEEQSRLVQGSADVVGINHYTTYYVKNYENLTHMSYANDWHAQLVYERNGVPIGKQAHSKWLYVVPWGFYKAVIHVKDKYRNPLMIIGENGIDQSGSDTLPHALYDNFRIDYFDQYLHELKRATDDGARVTGYFAWSLLDNFEWRMGFTSKFGIVYVDRKTFTRYPKDSTRWFRKISKDVADK >cds.KYUSt_chr7.2323 pep primary_assembly:MPB_Lper_Kyuss_1697:7:13420964:13425264:1 gene:KYUSg_chr7.2323 transcript:KYUSt_chr7.2323 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDRRHGKEPAALEEDNFPEGLHVLAVDDNRVCLRVLEAALRQCKYKPTGVMDGRTALKLLREKGEDHFDLVITDVHMPDMDGFQLLELIGLEMDLPVIMLSVNGEKATMYRGIRHGACDYIVKPADIKETRNIWQHVVRKNHVAVIHNSSDSDDADQRVARPVIAKGGAKSKKCSKKKRNDGEGSDDNRGRRRNTWKKPRVSWTGELHNRFLEVVNRLGIDRAVPKAILQMMNVHNLSRENVASHLQKYRLFLKRVTDDPMKPNHMGDSSESRRNASYMGMSHQGVPPSSALCPCGSHNIYAAPPSILGPYGLSIQPRNWATGTVDNGGLMPDTGSRHASGPPVGPFANTSDQPMQDAFPRIHFRSGKSYQSVLRQKLMEVNTSVVPSSHPGTSSVAAEMPNGGQLESANQFPVQPREQISHFSGPMGMGPSAMGTHGNTQLSYLAGNCSNPWQNNVVPSSFAGSMVGAPLLPSSQVNVILPQINQTIFAPSSSEMAVFQNEQQNQMAGTNINNTTSVGVYSEQMTPLFNMASNAAPMEMTSANFSPMNQMMVNGGSTSSPSPNLQAGNPVAPPAQMANGGGSSSSALPGHLDSSVAPPAQMVNGGRSSSSALPGHLGSSVTLQTQMLNGGEGASGILPVQDDPAGQQASDDQPTYNTSNFLKDIFPSMASQDFNPDAVW >cds.KYUSt_contig_1181.1017 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:6713794:6719761:-1 gene:KYUSg_contig_1181.1017 transcript:KYUSt_contig_1181.1017 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALVGRLEAAVSRLEAINARAQPSAVPHDLAYHESAAQDPAILAFDELVADAVGRLSAAAGKIGAEVAEVTNVLEKAFLVGKVLLVRTKQTQKPTVESMAVFMGPLNETVLEANTLAEGTRSNHANHLKAAAGSLAALAWIGYTGKGCGMPLPIAHVEESWQMAEFYSNKVLVEYKNKDPDHVEWAKALKELYVPNLRDYVKKFYPLGPVWQPPGSVANKAPSAPCPPSASLCSSSATSSQPTSGMSAVFAEISSGKPVTQGLRKVTNDMKSKNRTDRTGVVVAEGKEPRTVSAPSSTKGPAPAKLELQMGRKWVVEHHIGNKGLTIEDCDTKQSVYVYGCKDSVLQVKGKVNNITIDKCNKMGVLFKGVVAACEVVNCNSVEVQCEGLVPTISIDNTSGCQLYLSKESLETSITTAKSSEINALVPDVNSEGDWVNRAFLAPAVYTRIQRWAIHNNTSMSLWRLTGISSFDHLFPHWLELRRSSPHFYNPR >cds.KYUSt_chr7.36809 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230012642:230020410:-1 gene:KYUSg_chr7.36809 transcript:KYUSt_chr7.36809 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFINNIIRFHVGVGIPGVAPRYISPPSTFNVLLDSYWNDDRSGSIRWVFSLRELRSATNSFNYDNKIGEGSLGSVYWGQVWDGSQFDNSWVLPRRYLHHHETLAIIHGSIKSTNVLLDSDFQAHVGDFGLTRLISDEMDHDKITSENQRGYHAPEFVMFGKPTTGCDVYSFGIILLELASGRKPVSQKMYGIRNWILPLAKEGRYDEIADSKLNDKYSESELKRAVLIGLACTHREPEKRPTMLQVVSLLKGESKEMLSRLENEDLFRPDSTASSVGMSTPEGSTDRILKSDQGLAEA >cds.KYUSt_chr2.877 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5215818:5216510:-1 gene:KYUSg_chr2.877 transcript:KYUSt_chr2.877 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLEVSGTTTGITVDAADNDARAGENVTPYAAASWLSRASWAWMNPLIRRGYRATLNISDVPSLAPQHRPERMHELFVSHWSSCGSCARTLLRCFWPLVLANGVLALLRLSVMYVGPTLIQSFVQFTKAAPERRPLWEGVRLVLALLAGKAAEAVFSHQYNFQCQKLGMQVRGALITALYRKGLLLSCASRHQHGLGVIVNYMAVDAQQLSDMMLQMHNLWLMPLQVRA >cds.KYUSt_chr7.7090 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42665570:42667033:-1 gene:KYUSg_chr7.7090 transcript:KYUSt_chr7.7090 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLPPPPSAAARLLAPTVPHLARLLLTHAPAIPPLLTAILPTSPSLLTPLLSHLLLSHSPPLPALTLFRRLLDLPHSQLPESSLPVLLRLLARSRRHAPLSFQLLDTLPSTHPHLLSVPALSVLLSTALNASAPGASFAAAVTRFESAAAVWARAGRSFGASELNALLRVFCARGRVAEARALFHRFRDAYPPDTRTFNTLLLGFKEAGHAQALDLFYHDAVLRGFVPDAVSYCVRMDALCKKGRFLDALHLLDEMRSKDCEPTLQVYTTLIYGAGIARDAARARRLFDEMEQCGGVAPDRGAHNALMGAYVRAKDLQSGMALMGEMERKGIGLDDVTYNTMLCGFRRVGDLEGIWKIYSKMISSGFVPRTRTTMLLMKVFCENGRPDLGLELWDYLIGKGCVPHRHALDVLVTGLCCRDVVGEAYRCFREMIEMGMAPTERAFGVLEGFLKKKREFGKIEEIRQMMKAAQVEEHQTEEEEEEAA >cds.KYUSt_chr3.32484 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204116389:204125262:-1 gene:KYUSg_chr3.32484 transcript:KYUSt_chr3.32484 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGIHHMEQLGFGDTQHFELLPLGTGVVSQEECSSSDPETNCDLEQDLQHIHNSYNALQEMVDIGMPVVLNPEVLMCGTNLHVEPQLTFSSDGLKIEYVDSDSGQDLFWEISDIISIDSKWIQMVQSALITLHVRSSAETVNSGPVKVEFCLADPQWEWKQQKIWQLDSRYQEAWKNIESIGDFEEFTYPKGDREAVSISSRDVELLLPEIFVNDTIIDFYIKHLSTRIEPTERSRYHFFNSFFFRKLADLDKDQEKAPKGREAFLCVRKWTRKIDIFAKDFLFIPVNFSLHWSLLVICYPGEVDTFNKDGDARVAGKLPCIMHMNSIKGTHSGLKDVIQSYLWEEWKERHPESASDSSDKFLNLRFLSLELGDDWFVPAEASLKRSVIRKLIHELVTEPSKTYPKLVCGDEKHDISHHKSEKAMVEPPREYLAQGHCAAEPDSVCRILGAHQQSKLICFNNSEKGLSVPGCIFETEGFSVVGQQEMQVCPSDDDVVVCSPSQDAKNDICDLSEDTRSVMIDDMNNSVAECSSERSTLEALDPGSVEDGTKAEKTTKTAGTINDSEQYVSSESRDGNSGSIMSSGSAVSCGLKEENVACGRTNGTSEPHADGEDTCQKLATGDVAPCEDDTTCTNAEIQHVNGISTSSAKDETYSEKATSNAERPLLGSTFEDKSILVSDDMCLLKGVQFTDIKGSTKEETSTISDKVNDSTQDASSESRNGNTDNNIAVESTQGTDADGHALVAFPCEVGIDAEMPPHVDVTCSSKDENKSTSDSVCEAKNMKVSEDFRSTSEDDIIEGSTKKETDTAADKMNGVGSGSEKHDVSSEANNEICCVGAKRPFPDGSTCEAKRMQACEDFRSTSEEDIIEGSAKEETDTAAGKLNGSEQDASHDSEDGNTDWAGSDSEHDATSKAKTEKCCMGAKRSFSDSSIHEAMEMVTFDKKFWKVHSERVGGRFGRWYKRRIVWKRRKVDDDSSGSLDPQLHVTLHVCVLEGSTIRCFHKLRIGSLQLATRSVQSLNLARIDTSHHNVIHLCLVTVSM >cds.KYUSt_chr2.18719 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117834094:117834603:-1 gene:KYUSg_chr2.18719 transcript:KYUSt_chr2.18719 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYANAMQHAQSAKTFPSSPMTPAAILLLVLAVLTPLATVAARNHGLPGGHYCGAQGRYAPNGTYEANLRLVSARLAVKLVNASSPAGTASAYADAHQVVASAHCHWTRDGANSSASSSCVACVALAFRDARLLCPYHRDVVVERGECRVTYHYHDAQLMERDMRRE >cds.KYUSt_chr1.11043 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67607391:67609853:-1 gene:KYUSg_chr1.11043 transcript:KYUSt_chr1.11043 gene_biotype:protein_coding transcript_biotype:protein_coding MVITAGDTGAGASGERPERPVEIDGAVAHVVVDVDRTTAGQQQQGGTGSGCRICHLADGDLPAKSGSGRLVNLGCGCRGEIAAAHRRCAEAWFSVRGNRRCEICGETAANITGWGGGGKEFIRQWHGAAGVDSGGSSKGSGLCRTKTFCNLLIACLIIVLILSWFFHNRMV >cds.KYUSt_chr1.18787 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110220042:110220530:-1 gene:KYUSg_chr1.18787 transcript:KYUSt_chr1.18787 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYSTPKKLPHEHKKTELAVLGHYRVDDATGKVERLRKECPNPDCGAGTFMAAHADRHACGKCGLTYAYAAGAHSLEVDS >cds.KYUSt_chr4.23189 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146007493:146008248:-1 gene:KYUSg_chr4.23189 transcript:KYUSt_chr4.23189 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRDLGGEPPDSTRLRIGDDVAWVDVGGVYDRDDSLKENTNPKCLLKSHNPTAPGQHHHHAGGGGGNSQRFSGNLKPTAAPIIGLSGKLGGGRRSHQQHPPAMFPKKAKTGGGGRNPRAAVPEDEPSSPKVSCIGKVLSERERARRCPRPPPSEKPPRPPGGCCPGFGFMMRRSRSRKSAVESVDWSPPPAPPAARLRDNKAFQADEAAPAPAPAPGLAGVMRFASGRRAADWAAEMEVDDRVARSGPL >cds.KYUSt_chr7.37984 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236789916:236793121:-1 gene:KYUSg_chr7.37984 transcript:KYUSt_chr7.37984 gene_biotype:protein_coding transcript_biotype:protein_coding MISSGLMPCHRWLAGRQLAEAGDGDGFGRRFLLEGIAEVMFCHPVRKSSGVVNLVRSVVPPVLEIQNDVRKEEFELLKKKKEEIDRQAHKQVKRILWSGLLFLQTTLGLTFRFTFWEFSWDVIAPVAFFVTSSDLLVGYAYFLVTLRKLSYRSYMERLFETRRKKLYDKEGFDMEKYLEMEKHIKCPLGGECTEGSATKAIFREIERRMQDGQDEIVSHGQLLDVLLESSLKRTEAEELVQKMDDFSLVMLVRGKTYLNHEKVVNLIRRAVPFALAPENDARKEEFKQLQAKMEEINSLAHKHAMRILCVGFAYFLLQFALLFRLTFWEFTWHETEPLDLTIAGIQLIICYGYFLHTSSNPTLQDFRQRLFLARRRKLCAKHRFDIDRYLKLQKHL >cds.KYUSt_contig_662.65 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:387492:391839:-1 gene:KYUSg_contig_662.65 transcript:KYUSt_contig_662.65 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALASPALHPPPCRHRTSRARPASAASAPVPRFLGTAAVPVPSRVGAAARCRAVAAPAGPSASGVAEKPEADVVVIGSGLGGLCCAGLLARYGLDVVVLESHDRPGGAAHSFDVKGFHFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVHVPEGQFESRIGPTDFLKDLETYVGLDATREWQKLLDAVLPISAAAMALPPLSIRGDLGILSTAAGRYAPSLLQSFIKMGPQGALGATKLLRPFSEIVDSLELKNPFVRNWIDLLCFLLAGVKSDSALSAEMVYMFAEWYKPGCSLEYPLGGSGAIIDALVRGIEKFGGRLALNSHVEKILIENGRAVGVKLRGGQIVRAKKAVVSNASMWDTLDLLPPDAVPKSYQDKVKATPQCDSFMHLHLGFDAENAREDLGIHHIVVNDWNKGVDGEQNVVLISVPSVLGEGLAPPGKHILHAYTPGTEPFGLWEGLDRKSAEYRSLKEERSEVMWKAVELALGPKFSREKCDVKLVGTPLTHKRFLRRNKGTYGPAIKAGEATFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGI >cds.KYUSt_contig_990.199 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000093.1:1029662:1035628:1 gene:KYUSg_contig_990.199 transcript:KYUSt_contig_990.199 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRWECTIPANDIDPSTDRDLWSGSEGGIIKVWYGEAIEKSLALQREEKCKTSLLVERSFVDLWIMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSFALWDSRTKELLKVVNVNGQVDSRFDVIAAQDSYGHETKENLFSSPKKDKARSPVNFFQRSRNALLGAADAVRRAAAKAGLGDDTQRIEALAMSMNGMIWTGSANGSLSQWDGCGNRLQEFQHHPSSVQSIAIFGTKLWVGYMDGNIQLLDLEGNLLGGWTAHSSSPILSMTVGSSYIFTLAGHGGVSGWNLSSPGPTDSILHSELMEKETSYKNIEYIKVLVCSWNVGQEKASYESLRAWLKFPTAEVGVVVVGLQEVEMGAGFLAMSAAKETVGLEGSPNGEWWLDAIGKILKGHSFERVGSRQMAGLLTAVWVKTNLKCSVGDIDNAAVACGLGRAIGNKGAVGLRMRIHDRSICFVNCHFAAHMEAVSRRNEDFEHVFRTMAFATPSSGILTTSISSSAGQLLRANGSRMPELSDTDMIVFLGDFNYRLYDISYDVAMSLVSRRCFDWLRKNDQLQVEMSSGRVFQGLREAHFKFPPTYKFEKHKAGLSGYDCSEKRRVPAWCDRILYRDSRASWGTECSLDCPVVCSVSLYDSCMEVTDSDHKPVKCLFNLDVARLDKQAMRQKYGEIMSSNKKVLHLLQELEAFPEANLFTNDIILQDRTAYVLKLQNRSTEDTACFEIVGQAPNSSGTRFAGFPTWLKVG >cds.KYUSt_chr4.47570 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294508471:294509341:1 gene:KYUSg_chr4.47570 transcript:KYUSt_chr4.47570 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRALLHLAAFAAAACLLATATADWIAGSATFYGGSDASGTMGGACGYGNLYSTGYGTNTAALSPALFNDGAACGECYQVTCDQSSSSSCKQGVIVTVTATNLCPADYSKPNNNGGWCNPPRRHMDMAQPAWEKIGVYRAGIIPMKYQRVPCSRSGGVRFTINGNSYFELVLITNVGGAGSISSVQIKGSKTGWMAMARNWGANWQSGSYLNGQAISFTVTLTNGQKLTFQDCAPSNWGFGQTFTTGAQFY >cds.KYUSt_chr1.25970 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156271559:156271762:1 gene:KYUSg_chr1.25970 transcript:KYUSt_chr1.25970 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNQNRTASAHSMMTAMRKIINLAAGWAPPREVRCAVSHHRLLSIPFDIEDVFVSPSSAKHCCDE >cds.KYUSt_contig_1181.666 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:4650391:4654240:-1 gene:KYUSg_contig_1181.666 transcript:KYUSt_contig_1181.666 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQPESLVSLDARLSISSGQGYLAGAPRPAHSRLPSSRLAPPRHPLPPVGAPVNADAPVRAPANSRFVDQHTSSEEDFPSVDSFPDSVVKVEKQATGAPPPLSAVSVSVDVADEKEASPSDPKECNHRNGIWVSDKRRPLYSGFGCKQWLSESWSCRLTQRMDFDYEQFRWQPEGCEMPEFEASQFLRRMQDKTIAYVGDSLGRQMFQSMMCMATSGKEREDVEDVGVEYGFILAPGAKRPDGWAYRFPSTNTTILYHWSSTLCDLEPLNSSDPTTSYAMHLDRPPAFVRDNLHRIHVLVLNTGHHWNRGKLRANRWEMYLGGVPNNNRNIAVIWKAKNFTIHSVVKWLDAQLPHHPHLKAFYRSISPRHFFNGDWNTGGSCDSTSPLAKGSGIFQNHSDDADAEGAVMGTRVKLLDITALSRLRDEGHISRYSIKATQGIQDCLHWCLPGVPDTWNEILAAQL >cds.KYUSt_chr2.31943 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197045809:197050712:1 gene:KYUSg_chr2.31943 transcript:KYUSt_chr2.31943 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGSHKEMDNQAEGSHGIHWTSSMSAHMLLHLTDVVAGGTKTSTGFKKVHLNACARSLNEQFKLSLTGDQIRNHLRYWKRKWQRITLLKHGISGALWDEENCIIGLAEEHYAEYIQTHQSDAPFLNKPINHYNEMATIFGNSLATGQYAKGSNEPLAEEVTEIEDDVGETAEAAATPSPNAAATPSPTGPSAPKAKRAKTSAQESEDKMIATFSAVGEKIANAIVEAGKTNDELPEGLWDSMKGIPGFEPAYLSHYYAHLVENVRIARAFHSLDFANKLIWIAREHCILVNVFTGTKVKPPKLPPNNELGEFCGIGILTAALSSPNSRLLLCSRTSMFEWQVGTNSWSEHALALERERITQILLFNGDIVVFDSLMRLHTIRLAPQFSMQEVAIKWEFLPFDPLLVVCGDKLLMVHLSRSSDKLNGSYRFFKVFHLDFSVRPAKWVKMEKLDNQALFVSLDTRTPTFSCMSPERWGGKSNCIYVAKLFEDPDETWTAVELGQPVYYDAGHAILYSSTFPHDYSLLCSLWVLPSSVYGSG >cds.KYUSt_chr7.3614 pep primary_assembly:MPB_Lper_Kyuss_1697:7:21533419:21535762:-1 gene:KYUSg_chr7.3614 transcript:KYUSt_chr7.3614 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVAEISELAALRPIQTTTVTGELAATARAADHDAATTAETGCVTPTAIRTRGTAGGAKDDVAADGLGCATPTASEPVAPPQRDGGVEDTICYTTPTACRATAAPVEICDFDAVPAAAGNAAAASFTTPTSDESALRPATVCPPAPQRLAPALKRKLAPLQQRLFHPVPLDLATVFKPAPTTPPAAKKMRAHVVESSQLPLGTKELEEKKQQDKMTRWLRTREDEKHMRDCKECKIHLEETKIRNDIIAKKNHMMILDPNAIDILTREWWEMRRSEIVEERRDDDATRAASAVVVVVTATVWWRRGGDVNGSGVA >cds.KYUSt_contig_1504.64 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000157.1:411471:412940:-1 gene:KYUSg_contig_1504.64 transcript:KYUSt_contig_1504.64 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESKWCAVTGGRGFMARHLVAALLRSGEWCVRITDLAPEATLDNAEKEGLLGAALRDGRAVYASTDVCDLAQLTKDFEGVDVVFHTAAADPSTNNIQLHYKVNLEGTRNVIDACKACKVKKLIYTSSCGVVFDGVHGLFDVDESVPYPEKVTFLNRMH >cds.KYUSt_chr2.31681 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195425906:195426481:1 gene:KYUSg_chr2.31681 transcript:KYUSt_chr2.31681 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIAMAPVACVAIFSLALASLSPAGAIDTPGNNNNNDNGVTVAAPRRVPAAAQFLQVHNDARRAVGVAPLAWNATLELDAKRKAEALGVQCKLSPPLKWDNDRFYGGNTYWGGGFQDGAAVAGAWVYERRWYDHGADACAPGEECRSYRQVVWNTTTQLGCARRTCRSSRDTVGVCRYFPRGNYAGVPPY >cds.KYUSt_chr1.40825 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250425685:250426813:-1 gene:KYUSg_chr1.40825 transcript:KYUSt_chr1.40825 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKMASPFLLLLLIALMSIASSVVAARELAGEAAAMRARHEEWMAKHGRKYKDDAEKARRFQVFKANSELVDRSNADGTKKYRLAINKFADLTSEEFTATHTGFKPAPPGAEKLPGFKYENVSLSAEEEQGVDWRTRGAVTGVKTQGHCGCCWAFSAAAAVEGIHQITTGQLVSLSEQQLLDCTTGRNNGCRGGVMNYAFQYIANNGGITTEDAYPYVHAQGTCDSGGMQPAATISGYQRVPANDEDALALAVANQPVSVGIDGESRSFHLYGGGIMTADACGTHLTHAVTVVGYGVQQDGTSYWLLKNSWGENWGEGGYMKLERGTGACGVALMASYPVA >cds.KYUSt_chr4.19112 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120092798:120100487:-1 gene:KYUSg_chr4.19112 transcript:KYUSt_chr4.19112 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGDVDPRTGYCATTRSFRSLRPPPPMLPPPDVPLTFPAFALSLLPSPLPAHPALLDAATGEAVSYPAFLSQARALVGALRSSREVLPPLGRGDVAFVLAPARLDVPILYFALLSVGVVVAPANPAHTAAEVARLVALSGASVAFAVSATAAKLPAALPVVLLDSPRFRSLLDHRSPGEPLLDAAVRQSETAAIQFSSGTTGRVKAAALPHRCFIAMVAGYHAQHVQSGKARETTLMGAPMFHSMGFCFALKGLALGFTSVLVTEAAARAGLKGILDAAERWRVTEMLAAPPVVSGMTRLSCCHLASLGRVICGGAPLPGSVAERFRRQLPHVDLCMGYGSTEGGGISIMVHRDECSHVGSAGRISSNVEVKIVDIVTGEPLSVGQKGELRLRGPSIMTGYVGDDEANAAAFDSDGWLKTGDLSYIDQDGFVFVVDRLKELIKYKAYQVPPAELELVLQSLPEIVDAAVMPYPHEEAGQIPMALVVRQPGSKVTEAQVMEHVAKQVAPYKKIRKVVFVDSIPKSPAGKILRRQLTSYLQSCPAARL >cds.KYUSt_chr6.29947 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189667830:189668735:1 gene:KYUSg_chr6.29947 transcript:KYUSt_chr6.29947 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQKYTVALFLAVALVAGPAASYAADAGYTPAAAATPATPAATPAAAGGKATTDEQKLLEDVNAGFKAAVAAAANAPPADKFKIFEAAFSESSKGLLATSAAKAPGLIPKLDTAYDVAYKAAEGATPEAKYDAFVTALTEALRVIAGALEVHAVKPATEEVPAAKIPTGELQIVDKIDAAFKIAATAANAAPTNDKFTVFESAFNKALKECTGGAYETYKFIPSLEAAVKQAYAATVAAAPEVKYAVFEAALTKAITAMTQAQKAGKPAAAAATGAATVATGAATAAAGAATAAAGGYKA >cds.KYUSt_chr3.42124 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266110258:266111469:1 gene:KYUSg_chr3.42124 transcript:KYUSt_chr3.42124 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSRSLVACLSVWTLFASTAMAATGINQLRSRGTAIGVSRPQPDVNFTVGVVGAVWCKNCRYAGYVPSKNVAPLPNAAALLRCRRGKQAMSKWGTTDARGYFMIQTAEQAVPFASKDCVVYVPRSPRRGACGVAVMPRRNKGSPLRFRDYVTRPDGDAWPKSRRPGGRRGGRLAEEEEGYERKELPGPGDEELATILRLPPPPRRLRLPPLILRCSRPQRSNRKIGPAVFPVPVPVFSVRLLFGRYLPRSARLLLRPQEILLQLATEASK >cds.KYUSt_chr2.39435 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244520724:244523305:-1 gene:KYUSg_chr2.39435 transcript:KYUSt_chr2.39435 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMRGEGEGQGRPVVLVTGCSEGGIGNAMARAFAAAGCAVVATARSRASMRGLEGDPRYLLLELDVGSDESARRAVGDALREFGRVDVLVNNAGVHLVAPLAEVPMDSFQQVFDTNVFGAMRMIQAVIPHMMERRKGTIVNVGSITALAPGPWAGAYSASKAALHALSDTLRVELRNFGINVMIVAPGGTKSNIGSNSADKYDQINDWKYYKAYDKSLRARTDISQGAGCVLAEDLAKRVVKMVLKKNPPAWFAYGQFTAVLTILYYVPLWFRDYFYKLVMKV >cds.KYUSt_chr4.43941 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272330631:272332094:1 gene:KYUSg_chr4.43941 transcript:KYUSt_chr4.43941 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQESPAGMSPETVAGRKRKGASLAPLAPSKRPARASGGWASLPTDIVFLVLRRVLAGGDIVDYISFRGVCFYWRACTPPPGNPTLRDPRLRPRDWVALCDGDAVRPDDACEIPFFNTRTARRIRVHLPELRRYRIVGFTDGLVILLHKSTTAVRVVHPFTRAAVDLPPLVRVYRKEVGQYKYPMLQMVAVVCGGANSIAVAVWFPSKTVVLAAEPDDTDWKVIYRGTCFMTMLPFQGKVYAILWGSRAILQLYPPSLEVEGSPVVVAHIPDDINLNFKVFMVESGGQMLLAVYPPGHSGFRLYEVHLRLRNSTGTGELIRVDSLGDRALFLGTDRCLSVSTRGLPSLRGNSIYFSLVGSPVVLHPLGTESSEDLAKHCQIHNMVDRIRPSVRPFTIVDHLFTYCHPRQWAEGLMFHEFHNIPKSFKELRGSIEAKNSKLRIPPVRRQRRS >cds.KYUSt_chr3.19713 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121334794:121337587:-1 gene:KYUSg_chr3.19713 transcript:KYUSt_chr3.19713 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPPWVILGSVLRVAATDADLPPGADLSLALPAPPRVAILTIPPRIFPGRTTPNNFPSVLAADASGLLLLHADQGPATGPTIIDLPNRQEFCWLPTVAGYFVLDANSASALPLPNAKYIMHPGHLGLLASPARDGHYVVAELQMILGGHTADILCFSSKVGEWVFKKVAYPLPSRLLGPNGVVSHAGRLWWVDLSWCLLTADPFADAPVLKVVPLPEGKALKPREAWGLLDKYRCVGVSAGKLRFVDMYRNRNAAGAAQISVWTLADPDSTQWTLDCQATFGEICDDVTYKATGLPRKIPVLALIHPTNPDVVYFFLDEYMLGVDVRARKLVECEVYELVEPPTEHVATRFVHAWQLPRALCSGSTKETEDGVNEDLRDENGE >cds.KYUSt_chr4.51516 pep primary_assembly:MPB_Lper_Kyuss_1697:4:319629356:319630993:-1 gene:KYUSg_chr4.51516 transcript:KYUSt_chr4.51516 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAGSERRLLPAGSMWVPGMSLQQAPYGAASPSARALEIARRRDEMLGMLHGLPESDYELSLTDLVEKTAASGGANAGLPLPPPLPPSPSERKEPIPSPLGRPGWQSEQQPAAKTKPFPSSRRRSESGSGSGSARSSFRSSSDSVLLNFYMPRSITRSFTAPRAGGRGSSVSGPARAAVVPDDSNKRERDSDSVKCWPMMPWDRRWRKSSRRDTAGGEFEAILKN >cds.KYUSt_chr2.38916 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241267497:241268798:1 gene:KYUSg_chr2.38916 transcript:KYUSt_chr2.38916 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTAVPEVVLRSGNARPMPAIGMGTAKFPVVHETTRDAVLAAVEVGFRHFDTASLYGTELPLGDAIAEAVRRGLVASREEVFITSKLWCTQCHPHLVLSSFRESLRNLQMEYVDLYLIHWPVSLKPGPVVFPLKREDAVPFDFEGVWREMEECHRLGLAKAIGVSNFTTWHLDKIMAAATVPPAVNQQRKLRAYCAEKGIHVTAYSPLGGQNWTGEGNAVLESQVLAEIAKARRKSIAQVALRWIYEQGLTPIVKSFSKERLMQNLEIFDWDLTEDDLIKIGQISQKKIATATSILFSPEGDFTSVNLSDIDIVEE >cds.KYUSt_chr2.15282 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96352431:96355800:-1 gene:KYUSg_chr2.15282 transcript:KYUSt_chr2.15282 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSPTRSARLAAATVAVAVATGRGWSSSSSASMTSVVDIPSSPVEVVEVVQVASDSTTRTLVLHASSPGSPASPTSVLRVAHLTVRPLLLFWLFIDVAIISLGSPDLSASTVNVEQCLISSKPWKPELSEFVLNRSTTTSKNWRTKWSVIMKMKADRILDWSGDGCCFYATDEDTTDEYIQRYPRHRPYVGTPITNYAQMKTIFTPQFVCRAQLFQHYLLRDTEKDSRRKVGFLCDRDYKFGPPPAPARTPAPPRRTRHPAPPAPPQDDDALSYYNEEAIDDFVGCVFNACQMAMEEGREFELRAKMTDEEIERLDILVSEVDRPTRPPMPWYTTVIVPPCLTEDEALQRALHNSVAHPPSPPPLSFNLWVATPPPPTPVAPTYVPPAANWPWEIPDFVMLGDEDEG >cds.KYUSt_chr4.9431 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56880857:56883814:-1 gene:KYUSg_chr4.9431 transcript:KYUSt_chr4.9431 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPLLRPLVGGGDADSFLTKTGVEGDADSFLMKTGGADLPDLDADLDPDEEEDAVILLGVQELPCRRDTVASIGGDIPRGGEFPPSMCAGTFARVRESKLNSRSPDTSRKFPKEPEVVLVCASDEARPAPMRSIFTGESLAQPRCARPSPANHPHGPAPRPPPATRIPGPGVRLGGGARRLLPWRIWIAGRISGQKAIVFLKASGPPQPT >cds.KYUSt_chr6.21233 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134028847:134031887:-1 gene:KYUSg_chr6.21233 transcript:KYUSt_chr6.21233 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNTADTNPQPPHTKGVRLIEMDNSDETMVQQLMQEEVEAVAKRKRRLIIIIYLLYLRVPYDNMVYYLDVGGDKCMHDHAQYTIIKSERTDLEVDDMLFVSQGPLAEVDNKVSVKFGAFIAMHQESHDDQVHSNFAITWWSIYERKKETLLDVVS >cds.KYUSt_chr3.11110 pep primary_assembly:MPB_Lper_Kyuss_1697:3:66097076:66100910:-1 gene:KYUSg_chr3.11110 transcript:KYUSt_chr3.11110 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENECRICRSPAAPGRPLRRPCACDGSIRFVHDDCQFRWLNILGRLQCDVCGRQIHIRPLYAEAPSALEQVARAAKLLLPLLYLAMAVQVVWEFAVPLAALHTWRLALTGSCAEVRRLFLVRLFAPSVLACSAVWAEGVTRARSLRDIFFRGFMKLITVANVSLNLISTAIICPLLFAWSLDICTSKIFGTTMSERFRHLFAFSYGSTSLQWLIGCAFLDIGSIFSRLHSMILWPEVGSHFAHQNVNIRQPFYEFYMKKLTGLFLGITLIAVVILVPTQIAGRLAPGLFPVDITLKYLTLGFYTLKVHWPLTPFLAYLTIESRSSSLTRAKVGWPPGVVPLRWFLRDIFVPVATRLLAALGVPYVFAKGVFPRFGYSTAANSAAYRLAWLGGVSFCTLCRLAKVFCVGLCHLTKAFCVGLCHHTKAFCVGLCHLTKAFYVGLCHLTYVFYVGICDSIRGGDPIVIGQRLEDVADDL >cds.KYUSt_chr2.52884 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329956970:329957326:-1 gene:KYUSg_chr2.52884 transcript:KYUSt_chr2.52884 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGRLCITTASLLATMAGKKKLQLSNRQALAASIERTGSSSSNQKQRAVIQLLLATKAGQEQIRNAPSSSAKKPHLASTAGQGHSIFTAEGERRTSRRRIIEEDSATPRHLSLRPLE >cds.KYUSt_chr5.9975 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63691876:63692896:-1 gene:KYUSg_chr5.9975 transcript:KYUSt_chr5.9975 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTQRDKSAVSVASSSSASLPSSAPPAPPSGERWGSAIGNLGELGTNVESLQKLLARKAVFVDDDVFSKASLAADQARSIKVLDQRVQSLERELDAAISAAARARTEKRQAEAAQRAAEQRAQDVTKELENTSRVFQLHMEELRTKQQEIAKRDSDIKVLEAIIQTLSSKDDGGSSE >cds.KYUSt_chr7.5164 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30876587:30877681:-1 gene:KYUSg_chr7.5164 transcript:KYUSt_chr7.5164 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLSTLRRAGWQKLSASAIVPRRSTGSHLLRIPEFTKARNTVKHGETMRSGTFAVGGHDWRMCCYPNGNWMSEEDHVALSWEHAGQKWPPHIIQHVQFSILDQAMKPSYTRVSEEDIHYARTWGAYADFISHEDLYKGKYLMDDCLTVLCDLTVVVTGTDDRVEVPWPPAPFNLQGQLTEAIWNKERPDVQVLVGGETLAAHRWVLEARSPVLKTDLSLASTATTGEDGAIIELRIDDMDADEFKALLQFIYTDSTTLLDAPTTAEKLLVAADKYELEKLKLMCEEELCRHIGMSSVASCLALAERHRCPVLREACMRFLSSAGNLAETIMAMQMDGFGQLKRDCSSALLELVVNKMIKLEK >cds.KYUSt_chr1.33553 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203901141:203906128:-1 gene:KYUSg_chr1.33553 transcript:KYUSt_chr1.33553 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQISRLVVLLLAVVAGLLAPPAAAVDVEAVLAPFPDLKGFARLLASSPVARELAGRSSLTLLAVPDRYLPQSPSAFAAAAGADLADVLRYHVLLEYISPADLRRLPAYGKLVTTLFQTTGRASADLGAVNVTFTGPSGSLGVIRSPAPFPASNATVLRAVTSVPYNLSVLAVSGLIVPSGFDLAASDARPTGAGPVNITRVLADARGFNVAASMLEASGVAEEFEGDERGAGITVFVPTDEAFASVPAGDRLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPLQPTLATEYASQAGRFTLNITRFNGSVAIDTGVVQATITRTVFDQNPVAVFAVSKVLLPKEMFARTGPGGEAVVAAAGTPPPASSASMPPQPDEGERTPPTKLSAPPGNYTTSLAASSSSSAKTVCWSCIALLYLALAMLLLPTNEEKKSVHCELERGWRYQEETSIARGVEEQLDMKTDVKMDVKLDMELDMKISHGRARGEREACARGEVGVQAGPAPDIITWREYEALRNEMRREFRAQDEVLNGKIDEISQKLDATHVIVTTM >cds.KYUSt_chr7.35842 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223897113:223903746:1 gene:KYUSg_chr7.35842 transcript:KYUSt_chr7.35842 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASQSAMAINSNAGSNAGGGGLSAGFASAKLAYQELPDWILTKCCQRRHPQTCEDNAHGLAVCDGSHDLRLCSLSLSDGDSSVAAKPASDPNFPKISEVRLQCFLLYTKICFD >cds.KYUSt_chr4.9261 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55890333:55892473:-1 gene:KYUSg_chr4.9261 transcript:KYUSt_chr4.9261 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPVTPRRTTRASASAPDSPSSPPKSRTKPSPRRQLLAAAAAVAPDSPSSPPKSRPKPSPRRQLLAAAAPVPDSPSSPTKSRPKSSHKRQLHAAAGPVPDSPSSPPKSRPKSSHKRPLLAATDAPNENNPLNTLLEAVPGRRAQAADLLRLLAPAPALPVLLHGGAATGKTRALLLALRHLRPSPRLVYAALRSLPSPRALFASILSQLRAAPSSSTPSRQRVPDKPSDFVPALRDALAGVVSQGENVYLVFDNLEVVRGWDKGGQLVSLLLRLHDLLRLPQVVLVYVSSAAPDAYYTMTGSVEPNHVYFPDYTVDEVHGILMRGQPNPKLYSSFLSVALKPFFRVTRRVDELAAALEPLFRRYCEPLGSLNGVPDEGIRRRLFEHLQPHLAVALNETFSVPMRASVDDCKDGISGGKGSVKRQFGGRDGLSSELEFHMSVSAKYLLLSAFLASRNPATLDAALFDATGGTDSRNRKRKSSQASIDRKDTMAEELLMKGPGTFPLERLLAIFQCITSVSEDMLDEVECEDTIMGGSGMNALMSDVLLQLSTLCNSNFLSKSRSCPLEGSGRYRSNIDEDLALKVARSVSFPLSKYMYRR >cds.KYUSt_chr6.17347 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109225020:109225361:1 gene:KYUSg_chr6.17347 transcript:KYUSt_chr6.17347 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVQSPMSRCHLRWERLHPFVGVREPLPLAEAAGAMPREAIQDRVLATDVLGGEAAVAAGVGIVSSCPEAAAAGLSTPLMLHAKANALGWYSLDGAAESKMHSWMVAKAVGD >cds.KYUSt_chr7.710 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3900164:3901654:-1 gene:KYUSg_chr7.710 transcript:KYUSt_chr7.710 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQPTTDVAMSDVPKGGDDLGGSGDDHAFGNAADREMKGSRSNLAKENNQEGTNGSMPHNSGHVLAKSPICTDPVLVVQKMTTPHMVIPVKQNQTSDNTVRLSSVSATSGSVENVETENRNQKSTSSKQNGIIGDTVRYSNSIFSVSSLTPKFLEPAFNMVVSPVSSVQNEGIKIVKEPVMLNLNSGADGEDYQRRLLHPAHNHSHEAETTLIGEDARPRQTAQVEGKEPLLQPVCPTANFNGPRPAPLCFKGTELQPNMHGDCGSRVNKVSNLCEDLVDEEDMIHDNLVDNTVMHNNNRQRKKKKSYDKANLRRSTRIRIKKSYS >cds.KYUSt_chr5.37328 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236034972:236035730:1 gene:KYUSg_chr5.37328 transcript:KYUSt_chr5.37328 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTSRSRIKNLCIALANGRKENKTVTTYFAEMKSYAEQLAIAIKPLYEDELISYILAGLDENYNPIVSSLDARTEATTLDDLFTQTNFDQRIELLGGSGMESGGNFKSSANFSAAHGRGRGGFPRGRDNGGRGQGRGGRPFFNKNNNNNNNNNNNNNNNNNNNNNNNNNNNNRGAPPSLNNTYGNGKQGSDRAKIPCQICSKPGHSARDCWYHYNDKDQEGHSANDASYCVDTNWYMDNGATDYITSKLE >cds.KYUSt_chr4.39248 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242233469:242233999:1 gene:KYUSg_chr4.39248 transcript:KYUSt_chr4.39248 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSGYTGEGGDSIMSWPRSTSPTSSEVQLARQADDPWYIAYQDESTQWCSQRMDLEEKVANLGDELARKNLMIFELKRIVEEEKKNSELIRKEKLRVETDLAVFDQVVENLEHELKVMGEEKSRFICAVLLGVIPVLAVMWFW >cds.KYUSt_chr7.25048 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156266716:156270306:-1 gene:KYUSg_chr7.25048 transcript:KYUSt_chr7.25048 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLLLPVVRGVASKAASALIQTVTHMCGVDGDRCKLERQLLAVQCKLTDAEVKSETNQYVKRWMMDFRTVAYEADDVLDDFQYEALRSQTKIGVSKTRKVLIHFTSDSPLLFRLTMSRKLRNVLEKINELVEEMNTFGLLNSVEQPQVPCRQTHAALSEYAEIFGRDADRELVVKLLLDQQDNQKVQVLPIFGMGGLGKTTLAKMVYNDHRVQQHFQLNMWHCVSENFEACSLVKSVIELATKGACNLPETIELLRVRLQEVIGKKRYLLVLDDVWNEEDRKWEDKLKPLLCSVGGMGSVIVVTCRSKRVASIMGTLGPHKLSCLSDDDSWELFSKKAFSNCVEEQTELVTIGRCIAQKCMGLPLALKIMGGLMSSKQQLHEWEAIEESNIGDSVQGKDEIMSILKVSYKHLSSEMKQCFALCALFPKGYEMEKDLLIQLWMANGFIQDDDTTKLTQKGESIFCDLVCRSFFQELKIKKWYCIGNDTSYEAIGCKMHDLMHDLAKHVTDECATVEELIQQKGSVKHVRHMTTLKYDEEEQNNGVLKDTVYLRTFLEPLILPKNLKELRLTSLRVLRCYNPSIIHNRVISGKHLRYLDLSRSGIVRLPDSICALYNLQCLRLNDCSILQHLPEDMSTMRKLVHLYLFGCGSLERMPPNIKLLSNLRTLTNFVVDTEVGRGIDELEDLHRLGKRLELYNLRKINSENDAKKANLHQKLNIVELLMHWGRRKYDMPEDEACNEEQVLESLTPHSKLQILAVHGYGGLEISHWMREPGMFQCLRKLKMSNCPRCKNIPLVWLSTSLENLFLYDMGSLTTLCNSLHMEGEGYSTPVQIFPMLKEMTLHKLPNLEVWVETSLTDPNRLVLFPVLELLKMKDCPKLVSIPDSPVLKDLNITNCCSLPVSSLAHLTALCDLQCDGEGTMSTNMPLGFWPCLVSLTVWSLANMMMLPPEDQKTRKNAETLRVLKLCGPNCFITTVGLSKSQLRLWKCFASVEELWLQQCSNLVRWPMEEFQSLVRLSFLHISLCTNLEGRGSSSEETLPLPHLEKLHICRCRSLPELPKLPASLEELKISQCKNLVALPSNLGDLTKLTVLYVDRCYDLKALPDGMNHLASLEQLQIQGPGGEKFQLGPVQWPPAIRSLMITGCPELQRRCRGGVFPLGLLYCDQMHSSTRVPARRNRIEYEKVSEEAPPSVC >cds.KYUSt_chr2.37198 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230230502:230236392:-1 gene:KYUSg_chr2.37198 transcript:KYUSt_chr2.37198 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGSSAPHFTPVDDGGRTQATGRRRGGTGTASGDLGPTVAVVERGERAATGVDPIQSTTFVVSKRLRCSTTSTDPVYKALDADNTSFSQGRLHPLDNEVLLEEILLRLPPKPSSLPQASLVCTLWRSILSDPRFLKRFRKHHHKPPLLGFFVGYTGSKHNFVPVMDTKPDRISAARFCVPKSSRDFLGCRHGLAVFIKWLRETVVWDPLTGQQQRVPFPPGLGNAETDTFWDWHAAVLCVDAEDGHVHGDCFSSTFKLVLTCGGDTQASACLYESKSGAWGNIASTPTTNEIIRIRPSVLIRNALFWLLCEGDILAFDIESQSLDVIEKPVDAHGTEVAYSFQLLRTNDSGLGFASMSNLGIQLWKRSSNSDNVVGWVLQSKVIQLEGLFPKGMPSDHKMAAMVGQGSWVEIDGSETLNIYEICYFDMLVEQVRGHTGPVDLEGEADPLHEIELLHLVDMLTRGYVVRIPRRLLLALQEAFHRFELPEEYW >cds.KYUSt_chr1.36301 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221364155:221364913:1 gene:KYUSg_chr1.36301 transcript:KYUSt_chr1.36301 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSRAAAEDKNEPARPLTIWSPSIYPAGSDVDETAQTAKGWRSVQYLRKRRRCLLCCGGCCVLTVVIVGLIILVLALTAFKVKDPRITMNSVSLTALSTGAGSGLFNPVATNATLTADVSIKNPNIASVRFSPSATEVSYLGRTISVVYVPAGRVGAHRTTRMNVTLDILGDRMARMFNITGLVLGEVYNLTTYTEMNGTVKALGIYKKDLEMRMNCSISVAVGGIFNASGTPTGAQGVSCVARVKL >cds.KYUSt_chr4.28036 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176097546:176098997:-1 gene:KYUSg_chr4.28036 transcript:KYUSt_chr4.28036 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCNLMCIPPHLESNEFQNPGWFRNPPTIPGPHATMAKPHAVASVTTQRPSSTRSAASARNIAVAPASDPTLPTPTSTPRADLSSVPKAPVASAGRSSVGSSSVTDLPTPAARDIAYVAKDVAKWLANDDDDSAIPTFASRLHPVLAPEGLAGEPEPEDASTVVSESVSPADAVTAASADSTVTQIAAPGDSTADFEAPLLTVTEMEHVLAELGSARGLSPRSKRLLTTLVQVADAELTANPTAAAVRMRRAARWRKVRVGILAAAVFSVAVMDVALAVALFGAGRGDGRYHHVLPPT >cds.KYUSt_chr3.43653 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275434995:275435369:-1 gene:KYUSg_chr3.43653 transcript:KYUSt_chr3.43653 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHLRDNDPGHDDADDDDRKHEEGPGADAPEVVEEAEAPPADNVIDGAGKRGKKTKKKKGAGKKKTDQEEETPAAPPSKEEMNGTSRCGAVARRICRTAVMGALLALWCHLTSAPPVAAGRVV >cds.KYUSt_chr3.40147 pep primary_assembly:MPB_Lper_Kyuss_1697:3:252984695:252987221:1 gene:KYUSg_chr3.40147 transcript:KYUSt_chr3.40147 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENQSNRQVTFSKRKNGILKKAKEISVLCDAEVGVVVFSSAGKLYDFCSPKTSLSRILEKYQTNSGKILWDEKHKSLSAEIDRIKKENDNMQIELRHLKGEDLNSLQPKELIMIEEALDNGLTGLHEKQMEHYDRLMKTGKMLEDENKLLAFKLHQQDIALNGNMRELELGYHPDRDFAAQMPITFRVQPSHPNLQENS >cds.KYUSt_chr3.26315 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163748042:163748527:1 gene:KYUSg_chr3.26315 transcript:KYUSt_chr3.26315 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTGIAPATAHQSEATDGSRASAPRVKGFIRRMMPRGHYSPLGAEHERGAAPSGRAPEMMAPPAASDGAEEKPRRGWLRRLASHEGAPRRWKSLGGAGASRRLASLSRSLRWKRLSVNLRGGWASALLDTVAFRFMYVLEAVVLGLALSCFFCCCGCQI >cds.KYUSt_chr1.22800 pep primary_assembly:MPB_Lper_Kyuss_1697:1:134900628:134901266:1 gene:KYUSg_chr1.22800 transcript:KYUSt_chr1.22800 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSISSPLLLLSAVLLSCCCGLSGAARPAPSAAAVGNVSFIRSWCAGTEYPTLCDATLFPHAAAVGTSPARLAWAALNVTLDGARNAKAAMKEMAAGGQLTPVAAEAAGDCVSMLGDAVGMLRQSVETMEEQAEEEGQATQQASRTARFRVDSVRTWASAALTDDGMCMEGFKGEAAGVREAVRSHVIGLAHLTANALGIVNAMAAQTPP >cds.KYUSt_chr3.22184 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136663647:136664613:-1 gene:KYUSg_chr3.22184 transcript:KYUSt_chr3.22184 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSTLSTGAKSELDRHTPQLLATEEQADLAAGENSGGDNFAKSEQDRPTPRAAGYGGGGARQIWRPGRPRATKETVAAGREHRSGDPLRPCASSSLPLLDFSATTIICAPPYGRYCSYRLQRRSPKARQCPRLEAAANAVCRRAPTRRTRQPVRRPPSRSGAATTGDGGNGSVATTALEDRTRQRPRKRSAMVGLVWKRIWGRGSTVRRYLEAAGGCGIEDLRVELRSPPSPATLRFPLSAAAASPALACLALHGIEVSGLNCRAARPYSALEVIRLKKKKERVEGSKRCETKSELLTQ >cds.KYUSt_chr4.26327 pep primary_assembly:MPB_Lper_Kyuss_1697:4:165490562:165494331:-1 gene:KYUSg_chr4.26327 transcript:KYUSt_chr4.26327 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLIGNFSEFQLASVVTFVLHESVFFLSGIPSLLFERFGLFAKYKIQKKSNTPDYQNRCVLRLILYHVCVNLPVMILSYPAFKFMGLRSSLPLPHWTVIVSQILFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRLLYTKSGNYASTFVYMDWLFGTDKGYRKIKAIEEEERKHL >cds.KYUSt_contig_554.45 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:440846:448107:1 gene:KYUSg_contig_554.45 transcript:KYUSt_contig_554.45 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLHVLYPLLYSSQPLILSLQNKPRKHRAGRFEEVVGSPPPGESAPAGLASRPPPPSDWLRGRCRQEHAGGGRRRRAGFKAAASVGHAPGEASAIALPSREAAAAGNAPALASAIDYGPGEAAAAGNAPGEAAATGHEPGEAAAVHSSRETAAVHAVVRLFPTRACGGCRRASSGDVVEHAMLPWRGTWCSVSIRPVTSRCVNHAIIRELVNKHAEVGFQADCSAYDGSKILYTLAELNFGSSVFKITLKDKEKDSPDGPRALQRHFDVTIKRAARVSMKSLYELHDLMPDHVPPAILQALHIVLHQSSTVFIKPLLVMDFLEMLLNRDISDTQLTGTDLLKIVKALEDVKVHVLCNMHKEYCVSGVTSQSAEELIFPVDSHGTREPRETVLQYFQKRYGVSIQYKSLNCLQVGTPQRPKFLPLEVCKIAKGQRYPKQLNRGKQMEDFLKVAKQPPHEREKGILRGFNLSPVLSVETAKPEHVQLALTSLHSNAMAKLGPGRNLDLLLVILPDKNGCLYGDLKRICETDIGLVSQCCLSKHVLRKNKQYLANVALKINVKVVASQDWPEVTRYAGLVSSQPGHHEWINNLVELQYDSEKGIVTGGMIR >cds.KYUSt_chr2.46785 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292439152:292440342:1 gene:KYUSg_chr2.46785 transcript:KYUSt_chr2.46785 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLVKLVENVRLHLHVPLRSPSLSMENMGLSRVKRSLRWTWLRLSTKRFLAGNGEAAAAGLTNPHQGLAAMLEDDDGADVTFTVGDRQFRADRRVLAAGSPVFAAELFGPMKETSTRQVKIDDMEPEIFEALLHFTCTGALPDADGIAPLQHLLVAADRYGMYRLMAACEWKLCQSIDVESVATTLVLAEQHRRVKLKDACIGFVSTKSVLSAVRETEGFAHLVETCPLVMVDILEQKLPSSVTRFLTLCATQAKRSLILLGAQLRRGLSRFGTKVASSFRRLGNHVDLVQVGIVVGFFSTFGLLVFLIRKLEGDNASQDQRWPPTFSRLYIEQSLQELRSRIAQQQQSPLPFSPIASLQGWLRRQDLSSWLPKVSSLARLQEFQESAWLNSDI >cds.KYUSt_contig_2500.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000359.1:55196:56393:-1 gene:KYUSg_contig_2500.13 transcript:KYUSt_contig_2500.13 gene_biotype:protein_coding transcript_biotype:protein_coding MHYEARVQCVRDWHADRFVHMTKEDARDTLMQPWQYMQNPPQYVGADDRCFLAMVMWWICPQYLKKHEEGKKKRAEMRGGSHIQGSLPISLHLQNEEVRTGAKPNVFAVLKKMKQRKTPHPETGSVWVNPQSETQCTSYLSKFKQKYGEDANPEAEDFDPEVAVLAGEGLNHGRLWFGDGVVDPATVPSLRQIRRGRGDGRKGAGGPGARTEPGAAGSGVSVAADTDDAADATAAADDAVAAGTDELADEPGGSVFSTREYSCSSTLLHAVDAATAHSEPGDTYHC >cds.KYUSt_chr5.35440 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223931923:223932138:1 gene:KYUSg_chr5.35440 transcript:KYUSt_chr5.35440 gene_biotype:protein_coding transcript_biotype:protein_coding MAREALVLAALLLAAVAVAPLARAQTAADAPAGAPAAADYIDESAYAPAVEINSLADTRAPAPAPGRAADY >cds.KYUSt_chr3.27577 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172179536:172180597:1 gene:KYUSg_chr3.27577 transcript:KYUSt_chr3.27577 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSHKRLRRHSVSMERTRGVGGGCGCSCSGSGSKVEAGRGDDTRLVVIVGATGTGKTKLSIDAAQELGGEVVNADKIQLYAGLDVTTNKVMPADRRGVPHHLLGAIRADAGELPASSFRSLAAATAASIAARGRVPVVAGGSNSLIHALLAYRFDHAPRDPFAAGAAAGYRPALRFPCCLLWVDVDEAVLDEYLDRRVDDMLGEGMVEELRGYFATTSASERASHAGLCKAIGVPEIGDYFAGRKSLHEAVDEIKENTRVLAAAQVGKIRRMADGWGWPVRRLDATRTVLARLAGAGREAEAAAWERDVRGPGLAAMRQFLGSQELPGHDDADDEDEFVARRRRCRGMVG >cds.KYUSt_chr4.43996 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272726166:272726726:-1 gene:KYUSg_chr4.43996 transcript:KYUSt_chr4.43996 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTRGLAAAAMISVVLLLLLILPTTDVAAAVPSIDARRTRHLPLPQGLLRGPESVAFDAKGHGPYSGISDGRVLKWNGDAIGWTTYTDGPNYSSEACTASVLRPETANESQCGRPLGLRFHLKSGYLSGYLYVADAYKGLMRVAPGGGEATVLVTEVDGVPLRFTNGVDIDQATCGDPAYHCML >cds.KYUSt_chr6.10092 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62212086:62215667:-1 gene:KYUSg_chr6.10092 transcript:KYUSt_chr6.10092 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKAPREAKVDRKLALGLGVKKKQLKKKKDRALDGAAESEVAAGHGIVKDKELVGSKKTLLMKQKKKSKHVKVTKRLTKADNLVSVVEDEATPKLKKKSKRQLKESNSPVEAESLLESNDADTLRVKKKNKKVKEGKSSVEPDTLELKKKKKKVKEGKSSVEPSDADDILHDENPDEESLRADVNQLADESEVMGIGEPEKAKKRKKNKKKKVKQSGEVNTTDMQVSIREDNLERHVEVDTADVVEVDTADVDEIASVDEDCSRGMKKWILEYRQKRPGLKVLQQQIDEFITAHEEQQEQEKKEREAAAAEDGWTVVVHHKGRKKTTDAETGTAVGSVSLVAMQEKMAQKKPMEVGPNFYRHQKREAHMTELAMLQSKFEQDKKRIQQLRAQRKFKPY >cds.KYUSt_contig_1658.47 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:241332:241712:1 gene:KYUSg_contig_1658.47 transcript:KYUSt_contig_1658.47 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGEAAVTTPSDGFFLLLCPRCCGVVSGAKVEQRGFVQEYVQTVVCTGDSWKMVHLVVGSWLKADGSGSLLRHRRAWVPVLKFDGVSRDMLPWSDSFNGNGVLWQTTLEVRKADDQRWSRVELR >cds.KYUSt_chr3.28149 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175612129:175613562:-1 gene:KYUSg_chr3.28149 transcript:KYUSt_chr3.28149 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDATTTTTRKQVVLYPSPGMGHLVSMIELGKLFAARGLAVTILIVKLPFEDTGARGPFLAGVTAANPSITFHSLPQVDLPLVLQSDHPEAVTFEVARVSNPHLRDFLAAASPAVLVVDFFCSVALDVAAELGIPGYCFFTSGAEALCLFLYLPVLHAQTTASFRDMGEELVHVPGISSFPASHAIKPIMHRDDAAYRGFLSVSPYLCRSQGIIVNTCRSLEPRAVDAIGAGHCTPPGLPTPPVHCIGPLIKSEEVGVKHGKECLAWLDSQPKHSVVFLCFGSLGVLGAKQIREIAVGLEASGKRFLWVVRSPPSDDPAKKFQKTPEPDLSALLPEGFLDRTKGTGLVVKSWAPQRDVLAHDAVGGFVTHCGWNSILESVMAGVPMLAWPLYAEQRINRVFLEKELGLAVAVEGYDKDLVEADEVASKVSWIVDSDGGRLLRERTLLAMRQAKGAMGDGGESDLTLARLVDGWMLA >cds.KYUSt_chr6.27669 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175534119:175535303:1 gene:KYUSg_chr6.27669 transcript:KYUSt_chr6.27669 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKATRTKKPTYAANASGCGGGSSVPAMAEMPPHGPGGANHIQPPPPLPPGVYFSPTRDECLGFLNRRIAGDNEMADARGYIFDANVYGESPDALRRRHPPASIRGRGEHAWWFLSETRFQSKTAGGGASKRADRRVETGGYWRLEQSKERLKQIKERLKRSKKQSEDEEDEADGVKNCFGFYVGRDDKTPWLMQEFTSANDDGTGKLGVPALYRVYVTPRATRKQLTGVFAKEDDVKKGPDGNKKPARAMIPQGYFDRIASLLPVGSVRAVVQEHVHAPSPLPPVAPVAVGLLDYHGQYLGHYEQQQQGPCCVVAPPATPGLLGEFTAAEAPPPDNLSMSMVEFMGIFNEQPAGIVNEQPAETVKEGEPDWGYLPDIVDADVFRNFNNDEG >cds.KYUSt_chr2.27542 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169134149:169134577:1 gene:KYUSg_chr2.27542 transcript:KYUSt_chr2.27542 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMQQQVEGALVVGGMAEAEEHQEAAVYERVARMAGGNAVVVFSASGCCMCHVVKRLLLGLGVGPTVYELDQMGGAGREIQAALAQLLSPGPGGGGHHQQAPAVPVVFVGGRLLGGVEKVMACHINGTLVPLLKEAGALWL >cds.KYUSt_chr3.47840 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299751121:299752466:1 gene:KYUSg_chr3.47840 transcript:KYUSt_chr3.47840 gene_biotype:protein_coding transcript_biotype:protein_coding MQACSKDDHARHGRGKRGLNSTKYSLVLGHPVAMCNIGYRHRNTIGRGHLDNGRRTRQSAINETLETHYSIRSPPITIAQKLIPFLLTLALVAAMASNERVRDDDDLPQRQDAMSEAVKVFSGYNPASTNPEDLKRAVSTVNEAMAPLRPIFMAISEMPESTAAEARAKEEARAAAKEQLTRQLGQLLPGGSVKIINEL >cds.KYUSt_chr4.3430 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19567546:19570403:1 gene:KYUSg_chr4.3430 transcript:KYUSt_chr4.3430 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDKTRRLSGSRHFRQRMVLATLTSTPVTIVDIRADGTPGLRDHEVSLLRLLDKISDHSDIEINETGTYKPGVIIGGKDLEHDCGLQRGIGYFLEPLVLLGLFGRSPLSIRLKGITNDTKDPSVDTFRMVTLHMLKHFGVPLEGLELKIESRGAPPLGGGEVLLRVPNINSTLTAVNWVDEGMVKRIRGVTFSTRVSPQIENRILYAARGLFNKFIPDVHIFTDHRSGASGGRSAGYGVSVVAETTTGCLISADATVSHTNVDELSTESEKPELMSPEDLGEQVASMLLEEVAQGGVVDSTHQGLLFMLCALCPPDVSKVRVGQLTPYAIETLRNIRDFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKIS >cds.KYUSt_chr6.10769 pep primary_assembly:MPB_Lper_Kyuss_1697:6:66718593:66722541:1 gene:KYUSg_chr6.10769 transcript:KYUSt_chr6.10769 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDSGRKQGVVAIECVAGGSRAEEWGPGCSETVQTGDVVEELLIGVGGRGGHTSHAAPFKGGRAALQKLLHAAFKRGDTSVEVRARRPAHRPARQQQQQQLEMDSSGELVSPTSSESSVETTTTRMQACIVPQVAAAGGGGGGGIVGMAMPGRSSRHYVLRSIRDPNYAVGLVDRMESECVAFRGSRSSRVVCALSKAQLQDGYVAYPWEKKMREMLPVPKSSSFLSILVLPTALDRANSRYNSVDDTLARANAWFLASQASGVPIAFLNVQTEALLTKISGDMASATVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRIWFTAAAGEMPVEITLDESDTKLGFAISRTEEGFLYISSVIENDDDLPAPSTRSGIRDLYREAKRASKLLVISRVSCRKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALRPILLHVLMWDASAPAPARVQGEPPCPTPQPSPSFAEVLRQNSFAWVDDQDQQEQADGEQGLVQGRDTAGDASFRFHNFSLPNNWV >cds.KYUSt_chr7.33293 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207716125:207717243:-1 gene:KYUSg_chr7.33293 transcript:KYUSt_chr7.33293 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKHALLDGAGCGARAPDPLRCSGLLPRHSVALSSSTLGTLSLDRATAAAAEVSFGGAEGAAAARKSTRWFPPSSSATEEPPKRQRRAPPRTPTKTPARDPEEINVWELMEGLDSDSDSDDGLLLRSAAGSPEYDEDVLSAFRDALAEFSPPPPDDPIKKEEIQVFAGVVRARLDAFQQRIDGGGRPGKNSSTPSPSPLRPPPESARRVVLYLTSLRGVRQTHEDCWAAATILAGYGVRVDERDLSMHAGYKDELRDALGLGTGNLVGLPQVFVDGWHLGGAEEVRRMHESGELAEALEACEPAPGVGVKEGSGLPVESCGGCGGARFVPCDVCSGSCKVFVQDDDGTGAFRRCPECNENGLLRCPIC >cds.KYUSt_chr5.42222 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266042349:266043556:1 gene:KYUSg_chr5.42222 transcript:KYUSt_chr5.42222 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLLLLVQLPGGEEENMKILAVALPLSVGLGGEGEFRSKRPFFAHVTMSKFSVLRTSDPFPVKKRKRREFCWALLKSGGNTRHETSGGKMRLLGRHVAPRQIALFAAGRLVFFGATTYDVHRSIKNNDRPPTREQVEALQAYIDSRKN >cds.KYUSt_chr7.5862 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35074843:35078151:-1 gene:KYUSg_chr7.5862 transcript:KYUSt_chr7.5862 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTNDRRMTMVAAMTIKVAADHRHHRLNSCTDNDADVAGKLRGVPWHSNIVCIELLIAMGVGDETYIQNSKKASLQWYGYAIDGVGFHCLELEEEALPVGAGRGTDHAALVIAVENTMTCELLTQDLKALVEDNWDWRVRRISDTDFAVVFPTKASLNLCKNLCKNAGGIALPISKISVLFADASATPQASLALTKIWVHLSGVPEVLRSVDLLLEGTKMLGRPRVVDEESLAVPDGPVRMLFHTHAPDKIPSSILLFINMQGFRLGVSVECSKASASELSKPPHPGPSNGEDDQEDDDTEDQSRSAPHWKRSNLKRKDKGPMEQLQKPLPFQSQVFPSKKPGSKSSVGSSSVPIPSIKDHSATKPASAPPKSNLKPIPFNQYGSNLTESELFPNAKKCLSPVPQDEEPPSENLAQTSSESKSEEPISPTILKRQRLSEEDREEVGWESPEDWEADQEMLAEKIAKLKRKQDGEVDNPPSRNKKKPGVKPTRAAVTSSPITATRRSTRGKGASSEHVLLTASKRAAEKDQGTPSAPSAPDSFLVLPSVSDTHLWGVARDAGLGLDPSAGSLSPLLSLVRAKELAQARITEAIVKAKLKEEEVQKQKLAEGVADIGIPNGPAEDGTRGLLEAQYPKNKKIREPKIY >cds.KYUSt_chr4.20767 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130775967:130790332:1 gene:KYUSg_chr4.20767 transcript:KYUSt_chr4.20767 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKSALEVGLERAGATPDPPDELPSGRGGRYHPSEDIAETLHLNDGEPARLTDAESARTIVEVNNNATVMISTLVDDGVHERIILPEFPYLTDENGDIYFEVDNEDAVMESIMGEDKIAHVIIGLDNTDVFADLDLAAASSTQFMQEGEEEDGDDDSDDEESDFDEDFEEEGVFAIDEEDGDDDDEDDDAPSWSNLETVNSCHPLYFARMIVESASKSNIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLLNGDEPLKDSKKESGATFFKVEVLSIELITAYGTEPKVKIEEYRKSRPDIIAHSAPNIISRLRAGGDKITQALKLLCWRCKAIPVEEAAIIGVDCLGFDLRLCSGTQVQTLRFAFPTKSSAQRSKYTSYCFLGYIKKGSHHKLNRKSLDVNRNETFHPFVHDKPGGFAKDLEDAAKRLQVRLIQVTEGHHIVRLEQDYSPVTMCSGGDGADDDGDDGDDVQLDVGDDGVDFPLREGSSPADFSLSESSFLSGVFRPAEAAVTLRDYPLELRILTLVRDPPIIMKHAK >cds.KYUSt_chr7.14241 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87987935:88001575:1 gene:KYUSg_chr7.14241 transcript:KYUSt_chr7.14241 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASAMRARGDDKELARWRESMDRMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQVNIIDTPGHVDFTVEVERALRVLDGAILVLCSVGGVQSQSITVDRQMKRYEIPRVAFINKLDRMGADPWKVLNQARAKLRHQSAAVQVPIGLEEEFEGLVDLVELKALKFEGGSGQEVVASDVPSNMQDLVMEKRRELIEVVSEVDDQLAEAFLSDEPISADELKAAIRRATIARKFIPVYMGSAFKNKGVQPLLNGVLDYLPCPLEVENSALDQNNSEEKVSLSGTPAGPLVALAFKLEEGRFGQLTYLRIYEGVIRKGDFIHNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMHVAEPVMSLAVNPISKDSGGQFSKALNRFQREDPTFRVGLDPESGQTIISGMGELHLDIYVERIKREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYIEPLPSDSEGKFEFENMIIGQAIPSNFIPAIEKGFKEACNSGSLIGHPVENIRITLTDGASHAVDSSELAFKLAAIYAFRQCYTLAKPVILEPVMKVEMKVPTEFQGTVTGDINKRKGIIVGNDQEGDDTVVVCHVPLNNMFGYSTALRSMTQGKGEFTMEYMEHNTVSQDVQMQLVNAHKATKSGE >cds.KYUSt_contig_1790.376 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1900019:1900785:1 gene:KYUSg_contig_1790.376 transcript:KYUSt_contig_1790.376 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQDQASYRDGEAKARAEEKTGHVMGSAQEKARDAKDTVSDAAGHAMDRSGDAKEATKEKAYEAKDVASDATGRAMDKGRGAAEATKEKGCEAKDKAAGTEAAKQKASGAAQYTVDTAHGHEADCRGRQAAGETVKGAAVGAKDVVMNTMGIGGDTNVPAKDTSTTKPESGAYITDI >cds.KYUSt_chr7.5424 pep primary_assembly:MPB_Lper_Kyuss_1697:7:32407313:32407482:-1 gene:KYUSg_chr7.5424 transcript:KYUSt_chr7.5424 gene_biotype:protein_coding transcript_biotype:protein_coding LKGLVDKEDVENPLYIRDIDHNDVTGVMHSPSDQWDKKNRQIVSLLVVLYASRLE >cds.KYUSt_chr2.3972 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24012690:24013499:-1 gene:KYUSg_chr2.3972 transcript:KYUSt_chr2.3972 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGSWFYKLRRRRGDAGDDDAAALASKPRGDRAPPELAAPPCSPNRASYYVPSCDRGPATGNPKRRDTQFPRSPQRGDIVFDVVTVQAAAACDADRFDGLKAMPELKLRPILTKPAGAAKKVDGEEASGSGGTSAAASPTARVRRRRLHVQPSGGRKGRAASAQLQPSATRQQSRRARRRRWLRESLVVVKESAEPEEDFLASMAEMIAANEDVRASPRGLEELLACYLALNAAEHHLAIVAAFTRAWLALDTAGSKQHRSSPPLQG >cds.KYUSt_chr5.18933 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122520386:122521297:1 gene:KYUSg_chr5.18933 transcript:KYUSt_chr5.18933 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAVIRRAARACHVPRRLLSHSAASSAPHARASSSLLGHFYHPFCQQDPNAFRFPTTNAPAFQPLTASSPRLTLDFLPEEPDIFDFTLSDSHPVAEPELQTCVSADYPAYTWLRHCSHLGLLLLRQKADALNSTRRSLIVCDPVSRRHALLPPGPFTSLSGGEVVGVALLSRAADDDAAGGLRFEVVCVALDADRPRAWVGSFRDGWCRWNALPRSREVTIDFDPMRFERIRGGHVLAHPQQPLRARARRGHHGVLPPAAARAPSCGAT >cds.KYUSt_chr5.32218 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204406664:204407851:1 gene:KYUSg_chr5.32218 transcript:KYUSt_chr5.32218 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAIAACFRCAPAAAPLASGSAAGPSLATSVYETHLGLVALSWSRTSFGLSLRAVLRLSSPPTPSSSGAVYFGEDPDEDTLAFRVRPWLLWRRRGSRRFSDGDRRVDLAWDLTRARFPSSGSPEPSSGFFVAVVVDGEMVLAAGDLPDRAYRRTRARPPPGPRSALLSRREHVVMRDTGYGRGHRTCVNVRGKEREISVDLVSRCRGKDSVSRDNDRADVGMSVSLDGERVLHVRRLRWKFRGTERVDLGDGDQVQVSWDLHKWLFPPREPPPADAAAHAVFVFRFEPGCGGEEPQAEEGRLHKNASRTSTSTWRDYFQRWGQRDWSGTLSKGEREKKGRGRKLAMASSSSSVSVASSAASWASSSTVMDWASPEEAEMQRGDGLSLLIYAWKS >cds.KYUSt_chr3.41621 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262603258:262607641:1 gene:KYUSg_chr3.41621 transcript:KYUSt_chr3.41621 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVGGSDIGRADTTPLQHQQTHNVVGLATEFDHEFVMLRQDTREKIFEYLGRRTTDPTWWPRLPDLVRQLEEILFRRYPNKRDYHNMTKEPSERHFLFALTILSAQHQEVEQTQQLLRHIAFSGGYGTMTLTQGVTQGANENSGMSYVPYNMGPSVGASLVPQSTNMGTSQSDPSGQAIVTAPLEAVLISPPTHRRRLASSSVLMEKPRSFWVEDDEGWTAFSLVPPMPTTEALTLRIRVDDKAGAAEAE >cds.KYUSt_chr5.4721 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30056098:30057888:1 gene:KYUSg_chr5.4721 transcript:KYUSt_chr5.4721 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARAEQGGGFLKPRTVDFPSAEGLLLIQGVCGGAAAACRRSGLLVVFFIGVQLDLFVFFLSFWTFLSTADNNMTFCGQCHDWSGLKVEQPKHLQELGEELESTVSGRSSLESEPFSRALQQNSSVAETPPEADHHRGPTHDAHKPNSSAKEARQGKGTEQALPKIAEESPPSQPSVSLAVWAPRRCLQEEHDTGVPPPPDPRILGFHPEEVEGRRLASRRLQQGNAVRGRRHRGPRGKGFPLAKSPPSTPHNTQLADHHTALTAVVTGQHQSHWLARQPT >cds.KYUSt_chr6.21886 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138060124:138067909:1 gene:KYUSg_chr6.21886 transcript:KYUSt_chr6.21886 gene_biotype:protein_coding transcript_biotype:protein_coding KIINIRHLDQVIKSVNGWYQERGLTGMVSYAEILSGGILRLQVSEAEVNNINIRFLDRKTGEPTIGKTQPDTILRQLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNYTDPWIDGDNKRTSRTVMVQNSRTPGTLIHSGDRPDHGAITIGRVTAGIEYSRPFRPKWSGTLGLIFQHAGARDDQGNPVIRDSYNSQLTASGNPYDDTVLAKLESVYTDSGDRSSTMFVFNVEQGLPILPEWLSFNRVTARLRQGYEIGPARLLLSLVRWKAFCLVIMVVILALVQRFLVTQREPVESQEVVMGIEVKPGQSVKVSPEDDHFLHISQGALGDVKKDDKATMFVKVDDQKLSIGTLSTDKFPQVPFDLVFEKEFELSHTSKTSSVFFSGYMVFQPAEGDEMDFDSEEESEAKAEAKDKKPKQVKIEPAAAKPIFGKSKKDEDSDDDDESDDESDDDSEGQLIPIGSDDSDDSSEEDDESDDEEEEETPKKPETGKKRAAETVLKTPASDKKAKVATPSGQKTGDKKGAVHVATPHPAKKAGKTPATNEKSPKSGGSVACKSCPKTFNSENALQAHSNAKHKAAA >cds.KYUSt_chr5.18287 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118147915:118151595:-1 gene:KYUSg_chr5.18287 transcript:KYUSt_chr5.18287 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNEMPIVGTSAAAPVQAPVAASIVAAGASAAAPVQAPVDWDPYEPVQIRRAENPYDTTISRQRAGATSTADDESFHTKTRHVLRRLQSGHYDGPFARGIYKCPFCNRKLRATDFNSLVNHAESIGRCGARVGRTVNVHAYMAKHKALGIHLRNLQASHTRYLEALNVPTALSRPSQYFTKHTPEQNIEKRSGPGAPPSPIAGILEASPPRNGEKVPELFRPARTHPCWQPPHRRERITWLRTGIGRCLRLGGRETAVASAADHSGHGGSCVIALIKKPPEIRLAPPVPKLRIAPSSPLATMAGQRKISGCEAVE >cds.KYUSt_chr1.28795 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174188208:174189179:1 gene:KYUSg_chr1.28795 transcript:KYUSt_chr1.28795 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSQAGGALCPNCLCCSEWGYCGSTDQYCGAGCQSQCDGTCAPPSPPIAPPPTIPPPPAVPSPPAGPGLGSILSRELFEEMLLHRNNAACPARGFYTYDRFLEAAAKFPEFAEGLSTDTRKREVAAFLGQTSHETTGGWPTAPDGPYAWGYCFNREVNPSSDYCDTSNSDWPCAPGKRYYGRGPMQLSWNYNYGQAGRALGLDLLSNPDLVETDDMVSFETALWFWMTPQGNKPSSHDVITDQWTPTPADIAANRVPGYGVITNIINGGLECGIGPDPRVADRIGFYKRYCDLLGVSYGPNLDCDNQRSFAWGLSAGLAAQQ >cds.KYUSt_chr2.1328 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7856360:7860932:1 gene:KYUSg_chr2.1328 transcript:KYUSt_chr2.1328 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHSMPSATFLYMDIAFFGWSEIQVWEHHIDLIAAGSLCSGASRRQPGRGVAWAGQIILQENLGEEMPGPEEIVLQEHLDEEIVLAGAPGRGDRPGSEHLGEEIGLHELLAEELLRPEELPGPELERLDEEIVLQEHLREEIGVHEHLGEEFPGPKVELLVPEPGGDAPEIPDIPKSEAGSGRTDASALNATAAQPPHPQGVRRIAVMDSNDEMVVQLFTEEQNAEAVRRQQHQLVLESLLRVRPPFFVVPQRGGSKLGKRSNINRHRRASAMLLDADYFNDDATHSPKEF >cds.KYUSt_chr4.47205 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292154064:292157748:1 gene:KYUSg_chr4.47205 transcript:KYUSt_chr4.47205 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSSTAGSAAGRRRRDVTGGDSSDDLSRYSVATTTASSAPSGRSSASGSGRAAAILDAFRSCFAPADARLPETSFSDDFVSDPSQQLSQSASSRGTTSGSTLASKRSARGLYGPVRNSSEREIPGDRQFSLPEIQKATKNFSPNLKIGQGGSGTVYKGQLSDGTLVAVKRAKKNVYDKHMGHEFRNEIETLRCIEHLNLVRFHGFLEYGGEQLIIVEYVPNGNLREHLEGMHGKFLEFCVRLEIAIDVAHAITYLHTYSDQPVIHRDIKSSNILLTNNFRAKVADFGFAKLAPNDVTHVSTQVKGTAGYLDPEYLSTYQLTAKSDVYSFGVVLVELVTGRRPIEPKRHITERVTPKWAMEKFAKGDAILTLDPTLEATDATNLAVEKMYELALQCLARKKKNRPSMRRCAEILWTIRKDYRELSQAQPTTS >cds.KYUSt_chr7.37929 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236516837:236517811:1 gene:KYUSg_chr7.37929 transcript:KYUSt_chr7.37929 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGTIVKVVQEIVKAAETARQNRKRCLDLGDRARTVGDILREDRGSTTSRIAGGVSRAALERLKAALDDALELVESQRRSGGLGIPKLITSGRTAARFQDVETRITACIVDLGLAEQIAAGRRDHRAKEEAAPVHEPPPPQQQHQGKDGPSLPQGYQAQAQSAPPPVSFYDQYLQQAQQSLLQAYLPQSAPPPPPAQQGNDVLQAYLSQSAQRGKDAQDFLQDYHAQSAQRGKEAQAFLQEYHAQSAQRGKEAQAFLQVYHAQREQLGKEAQASLQEYHAQSAQRGKDAQAMLQGYLAQSASSQQQGQDVQSLLKGYGYFAM >cds.KYUSt_chr6.971 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6172373:6177389:-1 gene:KYUSg_chr6.971 transcript:KYUSt_chr6.971 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAATRLSGPVLPIPNYGSASPNRVNLPAVRSPANSVSVSSPPASSAAVKSRRSCMCSPTNHPGSFRCSRHKERTQEAPAVHSHCHSKPASPPTPPPSAVSTGSGAAEGAGSRILARRAINPPHKALHQRRAGGDTLRKMDISLENLTPTDTHFHGITPDKPNYPLGKINLDVEFGTRENCRREKLEFEVIDFPSQYHALLGRPAYARFMVVPHYKYLIWRMLGPKGPITVKGSFAMVDKCDKDFHRLSETFGMQAEYEASKFTTNYDVLPDGGRPLQEQTFDISKNSKEVQIHPIDPKKMTSIATNLDSA >cds.KYUSt_chr1.24942 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149410116:149410464:-1 gene:KYUSg_chr1.24942 transcript:KYUSt_chr1.24942 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLATNSSSSLDFEALDDHLVKSSGQETYLIVEYLQDMVALRIVVVGRDITGVHLDGHGGSVFRYRWLRCRQSHAIHVVEDSRDKRKMEM >cds.KYUSt_chr3.29543 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184881163:184883915:-1 gene:KYUSg_chr3.29543 transcript:KYUSt_chr3.29543 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSLVFPIPATACTAVRESRPPKLRPLRSCTGRLAIRFLGRVSARLLAAVRVHLRRFICFIDDFDYTMGDINNSHGGGGAAAGATFPVAISTTSFQSKSSTSSEIRARSSTRLRSMATVVEELSEREVLWPEARHGAPAPASALGFSSSSLAPAVSRRHLLSSGGYCSRPVDIPRAGRLPRGRAGADLADDDDEEEEEADDGCCGAMVPPHLMVSRRLSDGEAAVAFSLRSGPGRARRDLSHLRHSVLRMTGFIEG >cds.KYUSt_chr3.19779 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121721289:121724323:1 gene:KYUSg_chr3.19779 transcript:KYUSt_chr3.19779 gene_biotype:protein_coding transcript_biotype:protein_coding MACRPAVFAPSALVPAPYHGLITVRSSTRGGAARPLRSSYAVSLRLGARRAPAFVVRAAVAEGDVELQAKVTSKCFFEVEIGGDRVGKVVIGLFGEVVPKTVDNFRALCTGDKGYGYKGCSFHRIIKDFMIQGGDFQENNGTGGRSIYGECFDDENFTLKHVGPGVLSMANAGPDTNGSQFFICTAKTPWLDGRHVVFGQVLEGMDVVKELESQETSRSDTPKLPCRIVDCGELPLDG >cds.KYUSt_chr7.6730 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40606664:40609710:-1 gene:KYUSg_chr7.6730 transcript:KYUSt_chr7.6730 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAGDRMLQDQFVVSANYEEQPPALARDQDMYSMLHRWLSLDGMCQNGYLHKKVRLTLIHGDLHSSKVQVFDDHDGKIAILNQMFLLEFQRRREGSSSSCAPRVPAAAGGAREAAGSAAREVATAMGRRPPAGARL >cds.KYUSt_chr4.53641 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331895867:331896544:-1 gene:KYUSg_chr4.53641 transcript:KYUSt_chr4.53641 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLTRTVYVQDLFSAGSETSATTLEWAMAEMIKNPTVMKRATAEVRRAFEAGGKVVEDRLGADLPYLQLVVRETLRLHPPLPLLLPRQCRQPCKVLGFDVPEGTQVIVNGWALGRDEQSWPDATEEFRPERFEAGDGADFRGTDFEFLPFGAGRRMCPGMAFGLANVELPLASLLLHFDWEAPGISDPAEFDMTEGFGVTARRKATLLLRPSLRVPTPRTGLVV >cds.KYUSt_chr5.13596 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88364581:88367742:-1 gene:KYUSg_chr5.13596 transcript:KYUSt_chr5.13596 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLPSAAASVKEAAACADALDVDDSDLYHNLPSSSVPLRPSTTSHHRPPHRRIPGPASAVQDAMRLRSPLSSVPAVRADGQAADTDFHLDSWLRALQDLGDARGWQQPVHKKVLSNENISKGLSVGSVIILKEVAVLRPSNTVCYLNVTQKNIEKVLKRDSVTPCKQVVPSSNSERQSQQPGQGDSMEREAGAETSDGMTSILSKILRTKESPMAKLLSDNGVNSSILRRNKDTHGVQNHHEKLFDQMDLSSQIQNSPGSSSSQRLQKIIHSMNPANCQVKQGGSAPKCGTSSEAQRSTDDVMRKLIGVDTMKPVSKDITVAEGSRHNRGTPDASMDADARCRSEKPQEIGLQKMVEGHSLGHVSNSNRDERQQQNPSANRRCSQSILGESSVMAPSTGSTQASCTGNLRRLSDDEWMHPSRKKQKSDAIVSDGNGARMNDNINTSSMDNSLNIGLDDITEGFHGNHSSIRKFEHQQKDLHAASAGTLQPTQENCSISATSGTSLSNHKMVSVAPVAEWTDEQLSELFADY >cds.KYUSt_chr1.32086 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194640952:194641536:-1 gene:KYUSg_chr1.32086 transcript:KYUSt_chr1.32086 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHYTPAALAYTSSPGLASGGDGAALHADSPVKVVVVVTRWSGVDGAVLLAGSLVTDVVAAPRVCGVDGAAQQPAASSRTSSSRLAGAMEMMAPRRAGSLVADVVVAPRGCGVDSAMLRAGSLVLARRQVYDDRFTVGVAASSFGYLSSLMRIMAWRGIPASHDASPTSSTSSDRQPTTSRREARQIPAFFSS >cds.KYUSt_contig_7409.32 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001509.1:149068:151416:-1 gene:KYUSg_contig_7409.32 transcript:KYUSt_contig_7409.32 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTGVLSTRWKHLPWLLPELSIDVKDFLSVPSPDPTEADAMEKAMMSLTKATKNFLADHRRESTISSLHLNLYLINNFLCEVGSLVGDAVDSGLLKDLDPAITDETAPRDCSEVYMVQRGKEIDGFFSACPSVLHCLTKLSLRNLCFIELDMHHILFDCCKQLKHLSLYQCDTGASSLFMIDAPNSKVSVLELTLCRFVRLEVVCLPKLEKLSWDTWMSPDMPLAIGFAQSLGELELSCGAIWLQTETKQLCTAFNKLRKLSVQGIFVEFDILWTTAFLVAAPSIELLQIEGEAKSVELVGHAIANNLASVALRPREIAHTIASLNNKVFLDLNHLLLGLQLLNIAGKDKK >cds.KYUSt_chr6.14613 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91652633:91653154:-1 gene:KYUSg_chr6.14613 transcript:KYUSt_chr6.14613 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASTTPFPRLLAPARSNPAARPSLSTRRPPTLSSSRSVVSVRRRLAAASNDTTSDKEQEQEPSTAAGGPANPAEDPPVSPEKTQEEVAAELKEVMRARKEAEVAGGGGGWWAGVTQEMSEIEWPAPGKVLGTTGVVLGIIAGSTAALLSVNAVLAELSDRVFAGRGLQDFF >cds.KYUSt_chr1.2560 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15265682:15280277:1 gene:KYUSg_chr1.2560 transcript:KYUSt_chr1.2560 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRGRGRGRGRGRGRGRGRAAHSFSPATLSSSFSDLQKEEQVLFEFAVILKGDPHDIERLPDKFADFVASNEPAALHLREIHPAMATRHRKPAPRASQQHHHLQQPQPQSGSPTSTATTTSSSRLTPEMSLEGPASPRLDDDQPTKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPNVGYAYDRVFAPTTTTRQVYDVAAQHVVSGAMEGIFGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPDREFLLRVSYLEIYNEVVNDLLNPAGQSLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSSYGESNEGEAVTFSQLHLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEVQASQNKIIDEKSLIKKYQNEIRRLKEELEQLKMGIICGTPTKDAEEDNIILWKQKLEDGNVKLQSRLEQEEEAKSALLARIQRLTKLILVSTKATQTSRFSPHPGPRRRHSFGEEELAYLPYRRRDIMLDNESNELLTPGEGFGVTLEDSSKEEKKNRKGLLNWFKIRKRDGGASTLTSSDCDKSSLTKSTAPSTPIGESMNFPAEPRISNSVVNDSVSADMLSIGHGEFTADDLPGEETLLVSTKTIDHVDLLREQLKILSGEVALHTSVLKCLTEEAGRNPNSEKIQMKMKKTSDEIKAKQQQISSLEKQIPHSLSDSQAKVDKLDLSPSYAELLEQLNEKSFELEVKAADNRVIQDQLEEKTSECMELQEAVAHLKEHLSQALQAKDSLSNSIVMQKSSGANHEVQQHSDQEETVPREISAEPLQKEQQSVEIGELKQRVCELDKVKSQLEARNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLASELASLRSPTPRRASNGPRGTTRRESMSRRNEPASRRESNASQERERVLETILMEKEQKEAELQRKVEESKQKEAFLESELANMWVLVAKLKKSQGDDHEDFDAKYNGS >cds.KYUSt_chr5.16317 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104948604:104955337:1 gene:KYUSg_chr5.16317 transcript:KYUSt_chr5.16317 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPDGWDPPVSLSSNAKRVLGDDVDDGEASGTGSVSWGGSNRRPSSAAAHGSGVAIERRRWLMSSGEWLRRSREVRTLTSVGFVVNEADKCVYYRHGGGECVILCLYVDDILIFGTNMKVIHEVKYFLSKSFDMKDLGEADVILNIKLIKNESEFTLTQSHYVEKILSRFGYIDSKSSPTPYDPSVTLRKRSWRRSLSELRTHDTKHLAVVEADIYWLCQVELGGASPVHGHVTEHSNTTMPPLTFLLLLLPIAGVASSPAPAGCPNYACGNVAVRYPFWVGNDTGAHCGYTGFGLECRHGTPVLRLPSGEYGITSISYGSTPAISAFDIALLNATCPDVAGRSLHLLPGSPPPLSLTARNTNVSFLLNCTFTFRGVSREHLIPCLLDRHNVTFSFYIPDGWLPPHEQVRLCQEVVTMPVLGIGDDVLLALRAGFELTWAPAAGGPCRSCEQAGGFCGQRRGQFNCFTASKHEGRKRITVRMYVYIAVFSVILLGGFTACCCIHLDKKKRQAEAEAEAEADTHGFLQDRGSIEMQEIRLPHPYRQNRTTRDDEIESQVSPSSSSSDYGGEDDEDEAAAASQHRRGKEPVYG >cds.KYUSt_chr5.13102 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85423519:85429251:1 gene:KYUSg_chr5.13102 transcript:KYUSt_chr5.13102 gene_biotype:protein_coding transcript_biotype:protein_coding IGLASGLETMCGQAYGAEQYHKLSLYTYRSIIVLLFVSVPIAILWVLIPDVLPLLGQEPQIASEAGKYALWLIPGLIAFSVAQCFSKFLQCQSLIFPMVLSSLITLSVFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIKFSPSCEKTRAPFSWEAFRGIGSYMRLAVPSALMICLEWWSYELLVLLSGILPNPALETSVLSICISTVVLLYNLPYGIGTAASVRVSNELGAGNPKGARLVVGVALSMVACSAVLVSTALLALRHFIGIAFSNEEEVINYVTRMVPVLSISVITDSLQGVLSGVARGGGWQHLGAYVNLGAFYLIGIPVALFFGFTMQLRGMGFWFGMIAGGATQVILLSVITATTKWDKMADAAKERVFEERLPT >cds.KYUSt_chr6.1063 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6736666:6737940:1 gene:KYUSg_chr6.1063 transcript:KYUSt_chr6.1063 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTRKLLAALVQQPVIIYAMSPKLQAPFGNPRAAAAPPSHIVHRRSKTPPPPVLADDPSCANLLPPFLVLRAVPPLAPRALHDLHLAGGHAFTEFRDSAPSSDSTDGAATDEAGELDFDAR >cds.KYUSt_chr3.39851 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251213899:251218377:-1 gene:KYUSg_chr3.39851 transcript:KYUSt_chr3.39851 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIPPPSHLQYSPSPVHHSSPHHHHLNSMRYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSMPPNHNFVDAERIEHGSPLRLPGHPVNGQPMDLEGWPGRQTEHMGVLQASPMSWNGAPPHSGTPVVKKLVRLDVPVDKYPNFNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDSVKEEKLRDKPGYEHLNEALHVLVEAEFPADIIDTRLNQAVTILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTVVRDNQTKHCWETGKLC >cds.KYUSt_chr1.19431 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114087502:114088079:-1 gene:KYUSg_chr1.19431 transcript:KYUSt_chr1.19431 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATILELDPSQERAGRVIDEIVRLEKRIFPKHESLARSFHDELKRRNTGLIYSTAGAGDDEEVTGYAMYTCATSLCASITKLAVKESCRRQGLGDALLQAAVERCRRKRVQRVSLHVDPARTAAVALYRKAGFQVDATVEGYYSPQRNAYRMYMDL >cds.KYUSt_chr4.38482 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237324498:237326163:1 gene:KYUSg_chr4.38482 transcript:KYUSt_chr4.38482 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEAAVTVAVTAEVTVVVTSALDSAFERRQEAIREALANAMARPRASSAMTPASSPSDLHAPIQDARVTSQRTMNIRMAADDSISTAIGAASIANNFKFTLAAPITCLTIFPGGGPSSPSAAEVPDLVALPPPIPSDNWHHCNLGLPGKKYFLVPALFGMNHALIVKVNESCNTGHGCLGGSAYFFSEVLLREELELLWDTGGRFFFGVFTRVEAQGRRKATTPSFYCILCMDNSYYFSKACASHDYYLDIFCDQVHISHDYNTFVSSLSKSASSATSVLARSAPTTTAAVNLAKS >cds.KYUSt_contig_319.1547 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:10297749:10301183:-1 gene:KYUSg_contig_319.1547 transcript:KYUSt_contig_319.1547 gene_biotype:protein_coding transcript_biotype:protein_coding MNAASFTIEREDHTVGNILRMQLHRDPNVLFAGYNLPHPLQYKIIVRIHTASQSSPTQAYTQALNDLDKELENLKQAFKGVHLIQESPLIEPCPVGNLFRVFFVPVSLVAQESMEEVPGKKFSRNYTQWTQEMDSALLDVFVEHHNNGDRAQNGWKSHVYRAAIKAVREKCGVDVTKEKIVSRLKTFDKHYEIVSKILSQSGFGWDWEKNVLQLESDEVWERYVEANEKAAPYKNKVIRNWNEICTIYSKDHATGLGARTGAESTDPEVIQPAVEANDTSPEAVGPSPKRPRTMCMLGSLKTSFDDAMKSTEPLQQPQVTPPSVMLATIEAVPDMSRTEQLRAYAKLTVSERLFHSLLELPLDARKEWLLMLP >cds.KYUSt_chr4.53168 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329286274:329295303:-1 gene:KYUSg_chr4.53168 transcript:KYUSt_chr4.53168 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHPETLVSDGEKKEYHEGQIGGLAKGGSSLRVAEGFAWRSGLRSSGMQVGEAAQPGCTVAPHFIYSIFAPNPRGGGAIAAVPPSTSTPSPAGRRAIVAAVAARAIPLHPSDQNQEEGRSLSCSTEPALPLHPAEPPAGVSEPNTIPELLRQLLAVDAVCCSWFPGVDILRSRVMEAGVKEAGRLVDLWNEWGIQILVLVSFTLQVFLLIFGGIRRRSSSNALKFFLWSAYLLADSTAIYTLGHLSVDDRSDEHQLVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYRYVGDTVTLLLLASISMFVAGVLKYGERIWALNCGNISSITVSKFKPNPYQLLSMGTSEEEILLGAHSQFDICKGVFADIIMLPNPSVLSQSKPRSVISYMGEDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFISLLGTAITFLLFQIMIGRENGYSRVDLVISYMLLVGALVLEIISVCRALLSTWTSSLLHRRGRGWEWPLHIITSFSRRVHPASRRLWSGSIGQYNLFHLCTRNRNEIGTRLAIKLGLQDWWNKKHFSGTFSHTNSLSIQDLKKLVLKALQDKDRALQDKDTDLDSRGSFVLKSMESYEDFARWSVNIDFDESILVWHTATELCIRKSKAKHAKYLTEATEVLSNYMMFLLVVKPDMLPGAARNNVHLASCEQIEGQCHVCFGDKDNPVAASPISWQPYYILKELFHHDGPNPSRIPQREKLAEMVWSFSEFALGSVRAPKPHGESIRDSANMYAVLLANELLSIELRWHEQRDLFELILGVWVEMLLYAAKHCSQVSHARQLSNGGEFITIVSLLAHHFKYYSGVSRGINNLSKNNSICENNKPICESDEKLV >cds.KYUSt_chr2.29011 pep primary_assembly:MPB_Lper_Kyuss_1697:2:178299410:178303351:-1 gene:KYUSg_chr2.29011 transcript:KYUSt_chr2.29011 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVAYGPSGAPVDVDQDGRSPAQEQGAGSTDRPWKLDPAWPIRGSRIRLQEAGGELVELLWQDGAVVAQAQAQTPHRRRSRSGAASGVTGEDAAAWLIPDGGGGGRDLYSQLWHGIADADAHGDASALVTGGGAGSSFCGSNAVTAPALLPFPEEEPASSSAGGHAVLLKRGRDELDSRGEEADECEAIDKTRPSKRPSAKRRTRAADVHNQSERRRRDRINEKMKALQELVPHCNKVQSPATNSLNVTTQMQNNGICAPRNPFLHPNDTIAAAAQVIGTHFISLDLSNFCSWWLMVSVRLVGSGGDEQRRSEQTGPERNDVDIGQGQITQSRDFVEPLRIWSV >cds.KYUSt_chr2.42646 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265639021:265649496:1 gene:KYUSg_chr2.42646 transcript:KYUSt_chr2.42646 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLPRRLAAMAPFASSAATKALLLNPSGLAVRGRLPSPPARLPAPGARVFRGASLRCYAAAAVAEQHRIKVQNPIVEMDGDEMTRVIWKMIKDKLIFPYLELDVKYFDLGVLNRDATDDKVTVESAEATLEYNVAVKCATITPDETRVKEFKLKSMWRSPNGTIRNILNGTVFREPILCKNIPRILTGWKKPICIGRHAFGDQYRATDTIINGPGKLKMVFVPDGAEPVELDVHDFKGPGVALAMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEENWKDKFEESSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMSSVLLSSDGKTLEAEAAHGTVTRHFRLHEKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLEFTQKLESACVETVESGKMTKDLALLSHGPNRSPMTWSSAERKSIPSQMQENVPARSGDVPRGPRLTDYQEGRNPAACPVAPLRLYKYTAPLAVMGIASSSAASNPESIAMALAKAKEIAASAPVVVFSKSYCPFCTRVKQLFTKLGASFKAIELDVEGDGADMQSALAQWTGQRTVPNVFINGKHIGGCDDTLALEKSGKLVPLLREAGAISGSASKDTMTA >cds.KYUSt_chr3.47861 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299887816:299888915:1 gene:KYUSg_chr3.47861 transcript:KYUSt_chr3.47861 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAKRQLVCWCLVAAVAVAAAQQGRFTRVNFPKFEKRRYQATCTENKGPSCYVGCPKQCPDKCLAMCKYCLTFCMCDLFPGTSCGDPRFTGGDGNTFYFHGERDQDFCIVSDADLHINAHFIGNRNSDTKRDFTWVQALGITFGNGHRLYVGAQKAVEWDEEEDHVQITLDDEPIEVEAANNARWVSNTVRGLSVARIDTVNTIIVELDGVFSISANAVPITDEDSRIHSYGKTRKDSLMHLDLGFKFHVLTKSVDGVLGQTYRSDYVSKVNVTAKMPIMGGAPKFLSTGLFSTDCAVTQFRPATMETFTS >cds.KYUSt_chr2.18863 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118635164:118636722:-1 gene:KYUSg_chr2.18863 transcript:KYUSt_chr2.18863 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPESQLQPGQRLKGAGKDSASREKEERRGWSFDEAAAAAPEIAYPHTLVGQASFGDGAGVVIVGADAVEPVERPLFEMVSASQTVVPGTDHVLTMRLTEGGLDGHLLTRELIPIAAENIELCLSGAFGQLGVGVEWNDLFWAVHPGMRAILDHIDSALALEPGKLAASRTVLREYGNMLGATVIFVLNEQRRRMEEDGEGGEWGVMMGFGPGFTIETMVLHATRNLKEN >cds.KYUSt_chr1.11358 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69620013:69620431:-1 gene:KYUSg_chr1.11358 transcript:KYUSt_chr1.11358 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRQDVRTRHRVKERGREEVCRFRLEKGRSAAAMARTIFGFVAAAALVVALVMPAVAAQAPAPAPATSDASSIDQGVAYLLMIVALVLTYLIHPLDASSPYKLF >cds.KYUSt_chr6.30775 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195111267:195111802:1 gene:KYUSg_chr6.30775 transcript:KYUSt_chr6.30775 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGHSKENLRVAVRVVSALARKCHRAQDPLVDYSSHLVLLTDILGWFDKPEEIDGLVEFLLFIRLKDT >cds.KYUSt_chr2.31198 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192567194:192573878:1 gene:KYUSg_chr2.31198 transcript:KYUSt_chr2.31198 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSRPQHWAMAPPYHNRGSPPAPQQEQAGPAAEDESGAGSVGNKPRSLWIGGLLDWMDEDYLYSCFTRSSELVSVVVMRNKETRQPEGFGYLNFANHVTADQILQSYNGQRMPNTDRGFRLSWVIRSAPGKPAGDDHAIYVGDLSLDVTDLMLHDVFKNRYPSVKKATVMRGGFAGHSKGYGFVLFGDVSECRKAMTEMDGAYCSTRPMRIRAATGTGHQQNGSLTQGTDSDGNWNNKRLFVGGLDLSVTAEDLKKAFSPYGEITDTDVTLVEGKCCGFVAYSSRMKRMMSTMDIPKVRVLIMAALLGILTCMVTKAVEDMHITNRSNHNRPQYRCTSILARLRQTRSAVPVLLKATSLFTSFHVSPTSSATAPRASSSLVPPVSAMRQEMDDGPSSRSEYIMKSSFEDLDARISKVKTALRRRRRGNSSASCLSPRRRSPEDDAPVEDLSWKKWRLRKSVVGERTTTAPPAPGALDFFLAAALSSAASAPPIGANLLSESSSSSRPSSLVVGIAGGAASVLLPAFGCHCRLPPPRPHSVWR >cds.KYUSt_chr2.24666 pep primary_assembly:MPB_Lper_Kyuss_1697:2:150869404:150870446:1 gene:KYUSg_chr2.24666 transcript:KYUSt_chr2.24666 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKEDAEGAVARCREQKNLLRAAVEARHGLSGAHAAHAAALRNVGAALSDYASGEAHEGALRHSASAAAVMSSSGGAGAQAAALALPPPPPPPGPPEDSPALVSAAASWEAERAEAAAPPPPPPDPEEQAQLPPRPPAPSTAAEEVAEGKKPAVEPVARRALTQKAARRTEGKKGRTVVLVPPQAARLGDILRDLDDHFLKASDSAHEVSKMLEAARMHYHSNFAETRGTTRLLLSSTWSDQEFVPL >cds.KYUSt_chr3.43547 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274826388:274826909:1 gene:KYUSg_chr3.43547 transcript:KYUSt_chr3.43547 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEASLKDVASTPEGVGTSEELGQVGSGRLNGGSGPVLDLAQDDTLFADDQACDEALGGQDRFLASVFTSPCPPLLQVAAPLHSSDTRAAPPDCTISSHSAKDEVARSSRRLAAKSSSGLTALEKAKMVMLKKSGAILKEEPTSISGLSKYRQVYKKPIPLAFVQAVEELV >cds.KYUSt_chr2.42780 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266411204:266412697:1 gene:KYUSg_chr2.42780 transcript:KYUSt_chr2.42780 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCAADLAPLLGTAATNATEYLCNRFADTTLAVDTTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKQFFGLQNVPEVGFDYSFFLFQWAFAIAAAGITSGSIAERTQFVSYLIYSAFLTGFVYPVVSHWIWSADGWASATRTSGPLLFKSGVIDFAGSGVVHMVGGIAGFWGAIIEGPRIGRFDHAGRSVALRGHSATLVVLGTFLLWFGWFGFNPGSFVTILKSYGPPGSMNGQWSAVGRTGVTTTLAGSVAALTTLFAKRLQTGHWNVTDVCNGMLGGFAAITAGCSVVDPWAAVICGFVSAWVLMGFNALAARFKYDDPLEAAQLHGGCGAWGIIFTALFAKQKYVEQIYGEGRPYGLFMGGGGRLLAAHVVQILVIAGFVSFTMGPLFLALDKLGLLRVTAEQETAGMDMTRHGGSAYVYHDDDETDRTVPPGFMLRSALARSPGGEAAAASNNQV >cds.KYUSt_chr6.25407 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161120913:161121261:-1 gene:KYUSg_chr6.25407 transcript:KYUSt_chr6.25407 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKIAVASILILAFLVSCDGQYCQTAPDPSCPSREACVARCRAAGNTGGYCEIKGDGDVGDCNCCNIPPPTARKAAPRGAKSFT >cds.KYUSt_chr3.43055 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272149801:272164893:1 gene:KYUSg_chr3.43055 transcript:KYUSt_chr3.43055 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPRDAKVDILSSTTIKGITLSTSAALDSPCVISQLVSPPQGSRVGTSAESENSSDNFDDASTVLDDNGLLGSFLDATIARSRQIENTKIPNENTVTPVNSPESVEHSSDDPDEDYVELDDDFIDKCNATTGANNDKNDVSDKEEVEDEPEKHAKNKKYTKENFIAKKHDVNGPFVLHAFYMHLTASLPLLAFSLQILHPGRAHSDEVLDELRRGAGEERLPSAVYLSVFFRKLDILLQLEMAWSVRIQQRCLFKRGVTQHPNDLVEIPSTFEHHITGKFPQKIVPRNTAGNKIYSFDQEDGEKLPEAWARKRAPDEAEKLMVRISQNHDDWTTREPTPTPILKKRGMIELNDEDMREAKKSFKEKGIKSEDVKNLPLIEDLYEFDNYVVKQDNFNMRVDNHLMENSQAISKLHDVKMLVKHFHMVQTQIDQLTKVQNDLLKNTSKEKHAYKVTTRGGVSTQDPLYPEGHPKRVEQDSQRTKETSAPSKKKKKKHKTVVESSEPVNDPNSISISDAETETGNEHDKDNDKNDVSDKEEVGDEPEKHAKNKKYTKENFIAKKHGNEREPWVQKQMPFPVKKLKSKEEEHYNKFCEWMKPLFLQIPLTDTIKLPPYSKYMKDIVSNKRKIPNEEISTMLANYSFNGKIAEPDRSVKQPPLRSMPPLAAAATECRLSAGGTMLKQEETDPVTTGRPCSVRLHGDVVVTYLVRAVGGPERARLVQEDPPMGFDQVFYLYDPEAFFGSLSSCRDIVHQMLARTPVVGDFDLAADNWDGGFLPHDLASIVLVMQTLGSVLDGVAPRSRYSNVDVCVTVRVRAVYSEPKALLLACKEVTAAYCRVMAARAGSDDAGVATRECCVCMEDLAAFTDGSSDTVMLPCSHVFHNQCLVPWFSRRSTCPMCRRNMIMYLVAATKTPKGRFPGLEC >cds.KYUSt_chr7.22759 pep primary_assembly:MPB_Lper_Kyuss_1697:7:141304015:141314854:1 gene:KYUSg_chr7.22759 transcript:KYUSt_chr7.22759 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYTTLSSLRNPRIDISRSRVPEHPRRHRLAFIVAAASVAATRPVVAGTVVPLIDRGRDGFAGIWCWLRLDPVLSVPFEGNSQTIAFRKDFRRFRSLFVAATSCLLHPVRMFIVYSSYLHLLDTVAPQCGIPFHSYVSEVSDDGDVLGGVELELPVSKPPGVSRRLFFWASASSGFPRPHDKAALQALSFLQEYYGFVICDYNYQASYRGRSYHGGPEYHCCHCTCNFWYEERVKSASAVTKGRVVYNLCCKGGKAVVDSRACIDENRLWYILKNQDDLRSEYLQGITDAIGEGCVDGSDIGKRTILPSSHTRGRRYFVENFQDGLAICRVHGAPDLFSTFTCNPKWAEITEALLLEPGQQPCDRADIVVRVYGLKLTEYIDDIRAGRIFGPSVEFQKRGLPHAHILIWQDVDKDRQLYVAVSRVKSRKSLKILIENADGTCGSETQNIVYPEMPISSLAEIRKGSKYWTVCVYVSRLWHHRGGTDNGPIQHTDMVLIDAQGNHMYGEIPIECVQKFMGMIEEGKVYDLSRFMVYANKTQYRSVDGTWMIKFGRYTNVHEKLDVQEEFPFCTYSLTSITDLTAPTDRPPRFTGDWVLEVGKENPVIAIFVGQRGVSGSAPCRWYINEDLPEINTIHARLKDEVPKMEAIILPNQTAAEIAAQVDLETKTVGELKDLDIWHYESSYLPAVDKAPPSVNKLPSLRKKTDSPVSAARKALFTGDGHQLQTEQVP >cds.KYUSt_chr4.50216 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311151423:311154690:-1 gene:KYUSg_chr4.50216 transcript:KYUSt_chr4.50216 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSMRVCFRASLIRGVLCCYQEEGQLSVGGLASGGGAGVAGEMHGCNLPQKEIGKYIKILGESLKLRQPLNSNSIAVHMPRFCNLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPATPPEKAFPMTNIYSARSSSGKDLYQDKLLDSIKQKSCEAAEPDHMVIVKDEEDRKAGLLGQPPSILEAHDLNQACWQQNVPFSASSKSDRDNTETSVRGFNLNEESCPMDSDKADVTMKPHFADGWATEPKVLPSPPSRQPVPWQLKQPAPATSSSYPRNREMQLGFSMDLLAGRGKRSAGDSGDGRDKEGK >cds.KYUSt_chr2.26632 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163102896:163103513:-1 gene:KYUSg_chr2.26632 transcript:KYUSt_chr2.26632 gene_biotype:protein_coding transcript_biotype:protein_coding MALAADSAVLDRDDKGPRAVRLFAWLVEAESLYCATAASRPAENDLVRSFRGGATPEVRIVDFLELIQRYLHCEGSIYVLAAAHLTRFIRSRAAREAGILIEPSTAHRLVSVALLVAAKFASAPYLPNSQKVLPVCSRQSIRPAEFASLERSFLRAIDYRLFLSDEEFLKFCGRLEDAPRRTKKRKAAPVEEPRRVRASPGITAS >cds.KYUSt_chr7.22712 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140919934:140920257:-1 gene:KYUSg_chr7.22712 transcript:KYUSt_chr7.22712 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGAKSPWEASRAALHSHTDKTCGRRRGDWPLQILDMLPRSYVNLRHAMARPRTARVTPPLRAKGTYLGSQTTPAAFNGQSLSPAPAAAAAREAQGRRGSGFSNLQ >cds.KYUSt_chr3.46488 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292467811:292477117:-1 gene:KYUSg_chr3.46488 transcript:KYUSt_chr3.46488 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSSRHNALAGAGDGDGQPPLPSRFSRFRTRLRLRRRDRAAATADCSDSESGRPAVAADEFAGIARIRILKADMRFKDKFFACLSLGERTYRTERSDNTHKPEWNSEKKVVIETNGPHIARISVFETNRFSKNTLVGYCEVDLFDLLTKDLEEEHIEDLALLDPSSSTNAVGTISISCYIEDPVETEQSFARRVLAIVDYDEDGKLSLPEFSDLMKAFGNGLAVNKMEELFRQADTNGDGIVDMDELAALLADQQEKEPIISNCPVCGESLGKYDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWANFSSYDVGLRSGSTASHILVFDRRAKRLVEEAIDGKIVLSMRALYQSKVGLTLINTGVKDILKNLSEKQGKKMNSPESAKDIPKFLDLFMGQINMDETKDPIESFKTFNDFFVRQLKPSARPIAYNDQDNIATCAADCRLMAFGSVDESTRFWIKGRKFSIEGLLGADAHSDAFINGSLVIFRLAPQDYHRFHVPVSGTVEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSITFLKKEGDYVHKGDEFGYFSFGGSTVICVFEKDAIQFDADLVANSERSLETLVEVGTTLGTSKRNRELQVPDLQKCAVE >cds.KYUSt_chr1.33160 pep primary_assembly:MPB_Lper_Kyuss_1697:1:201246114:201246668:1 gene:KYUSg_chr1.33160 transcript:KYUSt_chr1.33160 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPTSWLFADNSRYSNRSRLLFIGLSFAIGIATFLLYLAIWYVCTRRRRSQRLIAAGAEDQEASAASPGPGRRGMSDAAIAALPTFTYELPAVDVVPGDVDDANEAAADCAVCLGQVEAGEMVRRLPKCAHLFHAECIDAWLRAHCTCPMCRAPVGPPTAAASKKDGTPPADGIATAELLPPV >cds.KYUSt_chr7.40887 pep primary_assembly:MPB_Lper_Kyuss_1697:7:253606849:253607643:-1 gene:KYUSg_chr7.40887 transcript:KYUSt_chr7.40887 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGYTRDVAVTLPPIAKLCTIEWSTSTLIDNASPSSTGTVLPTTRFVTSLARDDHVSSLQKLVRRLPSRKPEGGAEKAQGGARPQAGAAHGLAAPPCGVGAPQPLSPPFLRETLHPENRSHRGYLTKSYSRLCGAENTREKRALRRAGIRRGNSLPEGEIDAIVTVIELDIISFIIIIISTIITAVSTAGHRHRRSNLVRLVLEDMGEV >cds.KYUSt_chr2.35823 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221403614:221410589:-1 gene:KYUSg_chr2.35823 transcript:KYUSt_chr2.35823 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDLGGDGVEHGDHGTFPSTKEAHGDEKVEPTPICLIDELVPIPCENESHLAHLSESDSELSDFHPICEFECFRLEDMSDTQSELREVDDRSMEDIAFANTLTFPSFVSSYVALGSTEEEFPLTETMYMVHEDDDISPCLLQDGHVDHMDPPTSTTPTSNESAYKGTRMTTSTATEHELTKRAIESYPNTDEIHDPTHGIHAKGYVPKRLRPRVFPTCLVELPVWTNASSPLLPLMQHILTHLVGTMVVVCLDAFIIHFENLKDHVIHVPTSTRTKRKGTPMVHGREKRRRKRKKRREEEAQPGRPRTRSDRTHNRVIRSQARSTGRPTGFPASYRKATGNFASSRLAPGRPDPGPDHPVTGPSQDKPFAIVLPTRVPGLPSLAHAPRYRRYLSVTRPSSRRHVNFCPQKHAFPTSLPRMSNAGDPAGAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRVVEVRGGGRGGRGRIAAAGEATALEPKWAAVAEYCRRHGVERGAVQCRKRWSNLAGDYKKIKEWERAATASASREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRGIVAGSSSGGNAAEEEEVVREQEDEEEEERGEEKEVAVEETVFDSGRPAGEEALFSEDEEGEDDETPKTTQTPPPAVIALPISGTSKEKQYGQQGSPTQQQQQQSRQKRQRTDSDDGEPREEGMADLQGNKLLEILDRNSRMVEAQLEAQNVNSERDREQRREEANSLAVVLGRLADALGRIADKL >cds.KYUSt_chr3.11280 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67251660:67253450:-1 gene:KYUSg_chr3.11280 transcript:KYUSt_chr3.11280 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTTSSCLLFLLALLLPHAAVGVPRRHRFPPLQLVPVNASEPPTTFFEVDRPIRPPGGSVGPCSTLLLSDSFGYTYGLPPSTAAYAPPKCLAAARARGGSVALAVLEWNADCRGRQFDRIFGVWLSGAELLRGCTAEPRPNGVHWSVSRDITRYAALLSEPAEIAVYLGNIVDQTYTGVYHANLTLHLYFDSGPPPPQHADLILPISRSLPLDDGQWFCIQSSTDVQSKKLAVPSNTYRAVLEAFVSFHGSDEDWYAHPPNEYIEANDLSGYPGNGAFREVIVKVDEDIVGAVWPFTVIYTGGVNPLLWRPITGVGSFNLPTYDIDITPFLGKLLDGEEHDFGFGVTNALDLWYIDANLHLWLDHKSKKTTGGLISYNAATSGPSVESEFRELDGQFVTTASRHVSATGWVESSHGKVMTTFNQRFSYKNSNVYSKNSTVQVVNQTIDTTSSVLTTNGTTVLQSEEVRQVFPLYLFTGTSDEVGDEYSMVSAVKLGINERREGFSNSSLQNAQSARGSMRVKKNLVISGTGENHQVYKYVGTDGCYLRDVSSKDYNIVSDHSDDSCLKRSRDILSRSPSGLLRRSVDQTVQVDS >cds.KYUSt_chr7.35636 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222694092:222695063:1 gene:KYUSg_chr7.35636 transcript:KYUSt_chr7.35636 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTLPMPQRPDVSSSLAVPLPLPPPTSSTLGAAQPLPAAPAFHAAPPPLAELDRVRRVGSGAGGTVWLVRHRPTGRPYALKVLYGNHDDAVRRQIAREIAILRTAEHPAVVRCHGMYESGGELQILLEYMDGGSLHGRRIADERLLADVARQVLDGIAYLHRRRIVHRDIKPSNLLVDSARRVKIADFGVGRILSQTMDPCNSSVGTVAYMSPERIDTDINDGAYDGYAGDIWSFGLSILEFYFGRFPFGENLGRQGDWAALMVAICYSDPPQPSAPASPELRSFIGCCLQKNPAKRLSAAQLLQHPFVAGPQPMPLAAPPS >cds.KYUSt_chr5.27127 pep primary_assembly:MPB_Lper_Kyuss_1697:5:171704423:171705958:-1 gene:KYUSg_chr5.27127 transcript:KYUSt_chr5.27127 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSFSQELLISTLVLLLVPLYFYLKSSRSKSPAVLPTNWPIVGVLPSLLANLHNLHDYLTAVLAGSGHNFRANGPPGTGMRFFVTCDPENVRHIFTTNYANFPKGAEFAMIFDIMGGSFFTIDGEPCRRQRAKIQSVLTNPRLLARMTACCRDKVENGLLPVFTSMASTATPFDVQDLITRFVFDLTATPVFGVDPGLLSSDMPPMDRAVAMDTVMEVALFRHTVPASWWKLMRRLNIGPERKLAAAHTVLHGFITEMMEMRKNEHVGNEGAPSSVDILSSYIDDPDYQDDELLRATLINYMIAGRDTIGTTLPWVLYNLAQNPRVVSIIRSELSSIAARKPAAATGAGDMVVFESEETKSLVYMKAALYESLRLYPPGPIERKTVVADDVMPSGHEVHAGDTLFVSLHSMGRMEGVWGKDCLEYNPDRWLSDDGQKMRYVPSHKFLAFNSGPRMCLGKDIALMQMKTVVAAVVWNFDVEVVQGQSIEPKLSCILQMKNGLTIKLKKREM >cds.KYUSt_chr6.16381 pep primary_assembly:MPB_Lper_Kyuss_1697:6:103048321:103051957:-1 gene:KYUSg_chr6.16381 transcript:KYUSt_chr6.16381 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGMAKSSSGRPRIISRIKKRCHGSKDMKKTWRRPEFVRTGPFLIIVIAAAFNAAGITALSRHGFTAVSFLTIFATAATSSSSPAPMSTAKPGAWPLENRCTPSGRGYAVSEAIVASLHAFSYSPRPAKLGPRSRRPTTRSHGRAVHRTPVSAAGSPHPAASSSGNSRSRLATVRPQPAAAALAVSHHLANGHHQLRLPLQARMPGHATAGYVANTSGCCAPAPVRLRSGHLRLPPRPPLAATASVAISDFYRALRPATAAPEHALVHLRLRASSTSPWPAPASHGHHRLHAREQQRKKISRPKQASTRAHVSSISWAPAEPQHHHPAGSRANSVAAAGSGCRTLKAVASATRPAASPAPPNLIAAGSRVVSKSGFRPTTATSGSGFRSRILSNTPAPRPHQLRPPAACTISAQCRAAPARHRSSSARYVRLARILHQPLLVAPRDSSGSLQHHGSPTPSSPAAPANNCATGCAPRRPQRCAARTVPSGSARLSINARHSGRPAPLPAAHTNNGPRAPPQRPVLSKKQQQRRAHCRLAPWPAHRCVMRLRHHRPRRLRLRALPTLPRLRRAMRRPRLAAAGSAPPGSASAAPSTALAAPSAPSGCAPTQPPPAAPHAQRPVRPRPRRGWSASVRLRPPLPAAAPHLRVINDSPAAPAPRRARCATRLHLSDSRPRLFRAPLGSPRPACSGDAPPAAPVPAAA >cds.KYUSt_chr4.9101 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54748381:54752619:-1 gene:KYUSg_chr4.9101 transcript:KYUSt_chr4.9101 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGAAAAAPRPNPSPSPHRRRQASALSPSKSANANANADARATTTKPRAKAVSSRYLLAPSSKSTSTSTSTTTTSSSTSTSTSASTPSRRFASPLPRRSSSVDRPRPAGAGAGNADAAGPNGATTTTTRSLSVAFQGRSYFLETSKAKPTTSPSPVRRPVAPPPSSTTPERRRPAAGTIPERAKGSEAGHTHQRWPMSAHGFEGNPLTKSLDCSLDKKGAAVLAAVRSLRQSMVFDDGVRRASFDTGDYLMSSDTESLSSGSNSGSQDAGNGISHRARPPTKGMSVPARFLHDAAGSRLHRFADPPGTPYTTHNSTLASSPRTAPVKKSLLLNGFASSPLNRPARQSSPSKLAGNSSRRMSSPSRPRNSTGASPSNGDQQGRSSSGYGVDGQARRRWLGGSKVDGEHLLRILCNRHLQWRCVNAQADAALASHKMTAEKDLCDAWITTLGMRKSVALKRFQLQLFRNNWKLMTVLKGQMPYLEEWSSLEMDYADSLSGIVEALTATILCLPVDGAKADIQDVKNSVGSAVDIMQTIGSSICTLLAKLSGTSILVSDLARIATQERALMDQSRELLSTLASMHVKYCSLQGQRVQTTHRRLKHS >cds.KYUSt_chr5.5885 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36617687:36628707:-1 gene:KYUSg_chr5.5885 transcript:KYUSt_chr5.5885 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAAVGSGSDGLGPSSPRRARGARRQRGKKRWRGLPAAGEGVARCRRVGGVAVLRVDGLVASRHVSSSSRGVALVTDVLGASSSSSFLDGSARQGGPHVVRNVTVKFCPTDSGAGAGAGCCDAADDDAARERFDAMNVKPGGSCDRHLKSIICSVQNDFCMSLSGTPNNQSVCFNGHGVSFNTRRNSSPPPTGICLEKIGNGSYLNMVPHPDGSSSKAFFSRQDGKIWLATVPEQGMQEGLQIDVTSPFLDLSTEGHLSSDLGLVGVAFHPDFANNGRFFVSYICDGTQSPNCAGRCSCDQEVECDPSKLGSDNNGAHPCQYHLLISEYSAEGSPSSFSEATYADPSEVRRVFSMGLPYVSNHAGQLLFGPTDGYLYIFTGNGGIRGDPFNFSQNKKSLLGKIMRLNIDDLPQASIPELFLLLLSFAELNEIANLSLWGNYTIPKDNPNADDSSLRPEIWAMGLENPWRCSFDSGRPFHLYCADDVQDQYKVVDLISKGGNYGWIGVYEDQHVGYPPWAAQVIKPTQGIVFPIMGYKVSSSNPENSMESASIVGGYVYRGSADPCLYGRYLFADMYTSAMWTGTDDNGKYTSSSIPSRCSKKTPILCDESANGPLGPISSFGEDNNLDVFILASQGVYRIVQPTLCGYAHLNSAPTDGVTPSGGSNGMSASMKALVASVLSVLAAAVAGVVAWRCYFNNTAFCCNGNVQVTNNSTMHGDGPAAKPGDIEFAMPKPQERPGR >cds.KYUSt_chr7.7959 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48114546:48114926:-1 gene:KYUSg_chr7.7959 transcript:KYUSt_chr7.7959 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKTTSSIAVVAVVVALLCLGARPAEACNGHPCPSPAGKCPVNAVKLAVCADVLDGLIHVVLGPQPPKQPCCSLISGLVDLDAAACVCLAINANVLGINLDIDVDLTLLLNYCGCKVPKGFTCA >cds.KYUSt_chr7.40672 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252423665:252435646:1 gene:KYUSg_chr7.40672 transcript:KYUSt_chr7.40672 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSESDLAALLAFKAQLADPLGVLAHNWTGTSFCHWVGVSCCRRRQRVTKLEFQDTPLCGSLVPQLGNLSFLSTLNITNASLMGSIPPELGRLSWLQTLRLKGNYLSNGIPTALGHQMVSCRDLIHATNNFDGDNILGYGSFGKVFKGELNGLVVAIKVLDMRLEQAMRSFDAECRVLRMTRHRNLIRILNTCSNLDFRALVLEYMPNGSLEKLLHQPTSPMHLGFLERLDIMLDVSMAMEYLHNDQHEAILHCDLKPSNVLFDQDMTAHVADFGISRLLLGDDNSMICASMSGTVGYMAPEPDDRRPRNSKFMFGNNNTPRGRAFEAEGEGDGDLERVVASVEDPPEMHHRQFKEDPPEYASSSSSIVQLAKKEVSYACDSDTDSDFVMYDSDFDVEDGDDDLFSDNIDKSVSDHNEREHIIPHSPNPNLDPTNVEDSMIYVNIIAGKRQNVPVDRFLGPLPENAFVAVARDSILEPRARVTTASTRGSLRGRGSGKGSGRSNAPRPQSKTNSSTQGDGSRGQAKSKKRSAEASTSGTPHVATEIPNYAQERRAIDIPDLNDYVITDLNTQEFPFSQNAPPTDDI >cds.KYUSt_chr5.34966 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221182077:221189135:1 gene:KYUSg_chr5.34966 transcript:KYUSt_chr5.34966 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHWLPVPSKTLCFVRFTGDEAAQLSHTAQHYNSENTARLLDLDHSAAVLPPPAPMERLRIAVSHRPPPLLPAPNHLRRRQLSLPAPLPLHLPSSSLSYRHRLAPAPRRHTPPLLASQTPSPDSESVPEPEPPAGAKLVPLLLSLGAGLAVRFLAPRPAEVSLQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATRTLPFDAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFIKWLGSSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPNDPSSRKLGSYLVMTQFQAASNSSALFLTAAAQNLLCLKLAEELGIIVANPWVAWFKAASLPAIVSLLATPYLLYKIFPPEIKDTPEAPALAAEKLKRMGPVTKNEWVMIGTMLLAVSLWVFGDAIGVSSVVAAMLGLSILLLLGVLDWDDCLSEKSAWDTLSWFAVLVAMAGQLTNLGIVSWMSTSVAKMLSSFSLSWPAAFVVLEASYFLIHYLFASQTGHVGALFSAFLAMHLAAGVPGVLSALALAFNTNLFGALTHYSSGQAAVYFGAGYLELPDIFKLGFVTALINALIWGVVGTFSLRQAFFNDAMESIKNCH >cds.KYUSt_chr4.25448 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159916078:159925340:1 gene:KYUSg_chr4.25448 transcript:KYUSt_chr4.25448 gene_biotype:protein_coding transcript_biotype:protein_coding MEADELLKKIRLLEEGQEELKREMGRLIPDRRGGGGSAGQSSASPRRPFPALQQASSSARGRALALLQQRPQRPGLSDRHCHRILQSLGQAVHVVSLEGKVLYWNRFAEHLYGYCASEAIGHDLLELICDPGDFSPAHEIMQNIFMGKCWRGKFPVKHKSGERFYVVVNNTPLYDDDGSLVGLTCLSGDARILEEMVSPAVLGRSYPNSAIGNNRLKSGLQNKGSSDSRQPLQSAITSKITNLATKVTSRVRSRIRAGQNCDEQHGSSHEGQDSEHDAREEPTSSEASTPGGDVLHGTFVTEEKSPQDSRKTNSDDSGEGKGGFQKIFSSKAEALLGKKGISWPWKGNENDGVYGKNNMTSPRLHDNQENAQSHEGVPILEPIIIPNSKDAEYAQAGKYEVSGSWWTFNNNSTTSTMSSTISSNSSAIERLDYEADCLDYEILWEDLTLGEQVGEGSCGTVYHALWYGSDVAVKVFSKQEYSEGMIHTFRQEVSLMKKLRHPNIILFMGAVASQERLCIVTEFLPRGSLFRLLRKTTGKMDPRRRVHMAIDIARGMNYLHNSSPTVVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRNEPSNEKSDVYSYGVVLWELATQKIPWDTLNTMQVIGAVGFMDHRLEIPSEVDPQWASMIESCWDSDPQLRPSFQELLERLRELQKQTALQMSHPWMYGNRCASAFREGVNSFLLVAEANKSKQGFMCCPCLKCKNEKDYSCSRDIKSHLLQFGFMSSYNVWTKHGEEGVMMEDGDEEVDKDDQYRSMFSECDDTAMEDNEEEGGEEQAADDPVDDDLRRAISDARRDCGTDKERLQFDKMLEDHHKLLYPGCEDEQRKLGSILELLKWKAEVGVTDSGFEKLMIILKKLFPRNNELPVSTYEAKKLVCPLGLDVQKIHACINDCILYRGEKYENLNKCPICGALRYKIRKDDPGDVEGEPPRKRVPSKELGPFDLNEAATLAAQCGMTVEDMMFSDSVPKADIAPKFVYGADLVSKERLQKLPTHMRNLHQWYLNACKEKTTFIVADIPGYYYFRREQIHMEMNELWQLFNLDDLDKSLMSCYCLLKMIECSHNKVYNVGFVDPDKVHHETVKDKIEETVENLTSFHWILLNIQVEKGIVEVSDPLNRGLDGLRDLQEILQRVWTSFK >cds.KYUSt_chr4.1972 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10805835:10810739:1 gene:KYUSg_chr4.1972 transcript:KYUSt_chr4.1972 gene_biotype:protein_coding transcript_biotype:protein_coding MTICTNNEDPYVKTLEYILPPFLLHTYEDVALVADLVGSLPLKEVPPENLASFSVVPGKGEAALQYGVAADNLANPFAVVGMSEAVQKCVAAEDSVVFSAEAGLSAVSTAGDVAPKNCSPNVCKSTSKNKVSSSSVLPNLSQGLHGKVGTGVFLGGRCSMEDVVKFGGISPPSKDLRSSERIRVQHNADDSQMARAQQLAQAKNVAHFSETIPLDETERNSLREAKLKIDKLRCDEEAKWAQRAKVRHIQEGGDNTKYFHLIANGKHRRKRIFQLEQDEGTILGYPSGYALDPCVVLSLAFHGPPGHGFWVQPFGDGSTGFLQPVF >cds.KYUSt_chr7.3419 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20389422:20391083:1 gene:KYUSg_chr7.3419 transcript:KYUSt_chr7.3419 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPPLSWRLGLPLVVFVSVPFLLPLTLPFLLLRPSDSSPRPLSSHRLTWLPSIHIAVPSPPPPPPPPPTIQTPPQPTQTTTSPSPPPPLPTTKTASPPPRPKADADTTPERCDIYDGTWVRADDDDEGEEPVRPLYAPGTCPYVDEAYACASNGRPDAGYTRWRWAPRACRLPRFNATDLLSRLRGRRLMLVGDSMNRNQFESMLCILRAALPDKSRVFETHGYRISKGRGYFVFKFPDYDCTVEFVRSHFLVREGVRLNRQGNSNPILQIDRVDKTAGRWKKADVLVFNTGHWWTHGKTARGKNYYKEGDTLYPQFDSTVAYRRALKTWARWVDKNMDPNKSVVFYRGYSTAHFRGGEWDSGGSCSGETEPTFKGAVVDSYPEKMRIAEEVIRGMRFPVRLLNVTRLTSFRKDGHPSVYGMAPEKRRKVSKRKQDCSHWCLPGVPDAWNELIYASLVLEPNPSSWEPNPSL >cds.KYUSt_chr1.41627 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255232793:255233944:-1 gene:KYUSg_chr1.41627 transcript:KYUSt_chr1.41627 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKTLETEPSTAFLRVHPIANHVFWGVSVALTLLVFVTYLLKVVFYFEAVRREFYHPVRVNFFFAPWIACLFLVKGVPRPVGEIHHVVWYFLMVPILCLDLKIYGQWMSSGERRLSRVANPSNHLAVVGNFVGALLGARMGLRELPIFFFAVGLAHYTVLFVTLYQRLPTNVQLPKELHPVFFLFVAAPSVASMAWARISGEFNSGAKLAYFVSMFLYASLVVRVNLFRGFRFSLAWWAYTFPMTSVALATVLYASEVDNMVTRTLAVGLSVLAAVTVTGVLATTVYHAFVIGDLFPNDVSIAITRRKPKFSKILAHLRSSRTDVKELVLSIPNFNSSSKIGAYSDDSGSITRTSSSSDESQGRRIIRPLDGRRATHYVREN >cds.KYUSt_chr7.20646 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127983945:127988560:-1 gene:KYUSg_chr7.20646 transcript:KYUSt_chr7.20646 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPDTETTNHGGMWELGQDLDEPIDEEASRLKNMYMEKKFSSVLLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVQNDEDVIGALSLIIYTLTLIPLMKYVFVVLRANDNGQGGTFALYSLLCRHAKVSTIPNQHKTDEELTTYSRQTYEENSLAAKVKRWLEGHAYKKNCLLILVLIGTCTAIGDGILTPAISVLSATGGIRVQKPTMSTDVVLIIAVIILIGLFSMQHYGTDKVGWLFAPLVFLWFILIGSVGAYNIHKYNSSVLKAYNPVYIYRFLRRGKSEIWTSLGGVMLSITGTEALFADLCHFPVLAIQIAFTLVVFPCLLLAYTGQAAYISVNKDHVVDAFYRSIPETIYWPAFIIATLAAVVASQATISATYSIVKQALALGCFPRVSVVHTSKKFLGQIYIPDINWVLMILCIAVTAGFKNKIQIANAYGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVTFLVLSLMVEFPYFMACINKVDEGGWVPLVVATIFFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPVEERFVMKRIGPKNFHMFRCVTRYGYKDIHKKNDDFEKMLLDRLLIFVRLESMMDGYSDSEDYTLTEHRTERSTSALLTTAKAGSNTMCSATDLSYSSQDSIAPAKSPLMGNSLTRYSSQTFGDELEFLNSCKDAGVVHILGNTIVRARRDSGMIKKIVVDHLYAFLRKVCREHSVMFNVPHESLLNVGQIYYI >cds.KYUSt_chr2.605 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3704486:3707069:-1 gene:KYUSg_chr2.605 transcript:KYUSt_chr2.605 gene_biotype:protein_coding transcript_biotype:protein_coding MWNVHFNCSIPIAAEAFPLPGDNGAGSGAPQIFDPRSTTVTHQHEPHGNENYTPSTQSGSLSPLWVPNAINPQSEWCSSSIERPHQLFDAMPTLQGRVLLVRILNAMYPVTLDMLNHVFSLYGSVEKIVYASPLTAHQVLIQYQQHQMASLALRLLQGHSLYHSCCYIEVKYALGSELQVLCPSLGVGNIFARESTEHDTSTMPNQRVIEQPAPMQILDELPSSHGPKVAVKLCKSEVVQAATMVSQGSPASSTPLLMHVDDMQVSMSVQDVPGLFEEMPVYDVYEEDRSASLNFVSELCEIVGQQNQYASEKPIYDCEEPHCGAKLSSGESDHGLLAVCFNNASDNAFSHEATDFTFPIGHVIHADRSVNLTNEKSIQAASSLFVQGTTVHYTDESSEINFKKQDMLINKHGIPGIYIQQFT >cds.KYUSt_chr4.23311 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146834264:146836002:-1 gene:KYUSg_chr4.23311 transcript:KYUSt_chr4.23311 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTEDQRNTEALLGRILCYIHDVLPGPPASRHSPALSAFLALPHHHLDRLSALPDDLLREVVSRLPVTDAARTAALSRRWRPVWRSAPLVLADLHLLPAPLLVQPQVPPPDARRVASVVSRILAAHPGPFRRVHLVTSYTEESPPGLLARWLQLLAANAVQELVLASRPRSFDLDLQLPAALFRMATLTRLYLGLWKFPDTASFPGAASFPNLRELGLCSVVMDCRHMDFILAGSPVLEILCLQGNFAMDRLTLVARSSLRCVQTSMVSDLEISVEDAPHLERLIIWSAASTTRRSPRKRINIGGAPALTILGYLDPGVHTLQVGNTIIKAGTRADPRTRVPSVKILGLKLCLGIQNEAKMLPCILRCFPNVERLHIKSKKNAENTGELNLKFWEEFGATECIRSHINLMVFKNFRGDQSELCFLKFFLERAQMLQELAIVYGKGYFSSMAEANSRVKSLFDTTWANSCCSLVLFESNFPIDEEREILNFKRGSDFSIRDPFSFVVHA >cds.KYUSt_chr5.40871 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257980669:257982946:1 gene:KYUSg_chr5.40871 transcript:KYUSt_chr5.40871 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPSGPAGPLSPPSPPLPDSRFGIDVAAGKSGGAHTVGSGGRQCSSEPADSGRRRSGGSSGVLRPWPRCRRGRGGSSVARASETPPLRRPTPRRDERRDGAGAGRRWSSGGRRAPAPAAVSGRRCTVNGGESIERRRRESIERQRRESAEERHQREAALRALWGRRADQLAAEDAVLAAAMMEAPTDVEEEAPMEEEEAEAEETEVEDDDDDEFEWSDDHGPAPDETANQQRALVESFESEKKLQDDARAREEAQIRRAVELSLQAAQQGRAEEDAQRERHRLATAERKERRRVQEELRRRGGDDGAGHRTHRRACHSARSHWPPSAARPSPTSLPQLQQASKLLTRHTQAATVKTKQTAYLFPSLSRPKEATKPPPQTHPPAVTPETMAMEVDEDDVWGAVATSPSVSPPPAISTALSLNTRLQLLAASGGAGPSFLPAIVGLASPFHPGGGCYRNVAASPSPTPFFSAAAATAPFSRLAPLDARRALEREMCLGPPPAGAAAGTSGAGPVERRKKRMVKNRESASRSRARKQAHVTQIETEVVELREANDQLRAKYDQLKAAVEVSVPVKKTLKRVLSAPF >cds.KYUSt_chr2.40288 pep primary_assembly:MPB_Lper_Kyuss_1697:2:250241843:250243273:1 gene:KYUSg_chr2.40288 transcript:KYUSt_chr2.40288 gene_biotype:protein_coding transcript_biotype:protein_coding MISAAAMEILAILLCLLAGIMVFLRSYTTWRDRGPATIIVTDPAVAHRALIVNADDFSNRPVAIFPVFIARLRDGERNDNMTTVKYGPRWRALRCNLAAGILHPSRLASVAPLQQEAAKTLVDGLSSRCEEAAVRIRGPVTTAAFALSARLCFGDAVDANLRRAMGQVTRDSMVVIGELGPRFDGSMMSKLVNWRGLSRISALLDRQAELYRPQIEEARRQSQSSHPRLCGGVVPPYVDSLIALRVPDDDGDDDATNGDGRRPLRETEVVGLLFEFLGAGTGSVVACVEWTLAHLVDQPDVQSKLRREIIQAEGSGKSLRSMPYLNAVVLESLRMHPPVPFTLRGAQGEGAKAVGVPADDLRVFFNLGHIGRDKKTWTDPDEYRPERFLPLGEAEHVGPTPGQKEIRMMPFGAGHRHCPGVGMGMMLIKSFLAALVREFEWAPSAQGRSGGIDMTELDGFLKMMKTPLSASVTRRR >cds.KYUSt_chr7.6169 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37076622:37077859:1 gene:KYUSg_chr7.6169 transcript:KYUSt_chr7.6169 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVLSNNLRILFEKNMIQKLQKEIENENEAVVQLQYKLEVERKALSTASFLITCDAGDRRKDVTPIPTVSSQHSPEGWKEAVDVFFRLSFTSHGSNQDLTPLWSCYFYAYQEL >cds.KYUSt_chr7.19469 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120758267:120762960:1 gene:KYUSg_chr7.19469 transcript:KYUSt_chr7.19469 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWRPSHLVFAAAAAYLILISLKFRRVLDLATSDLSTSDLAFSSPSSTDHLPPLHPGISTSPSNATLFPVQPFWHRYDRVSLPDLAARNRSALDRMADDAWALGLTAWEDAAAFAGDPADLAAVDAAPADKCPAAVSVRARGRVVILPCGLAAGSSVTVVGTPRVAHREYVPQLARMRQGDGTVHVSQFMVELQGLRAVDGEDPPRILHLNPRLRGDWSQRPIIEHNTCYRMQWGSAQRCDGLPPEDNEDKVDGFTKCEKWIRDDIVDRKESKTTSWLKRFIGRAKKPAMTWPFPFVEDRLFVLTIQAGVEGFHIYVGGRHVTSFPYRPGFTLEDATGLYVKGDVDVHSVYGTALPMSHPSFSLQQVLEMSDKWRSQPLPRDPVYLFIGILSASNHFAERMAVRKTWMQTSEIRSSKVVARFFVALNSRKEVNVMLKKEAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLTAAHVMKCDDDTFVRVDVVLRHIKMNSLSKPLYMGNLNLLHRPLRTGKWAVTEEEWPEDIYPPYANGPGYVISGAIAKFVMSQHANQSLRLFKMEDVSMGLWVEKFNSTMPVRYSHSWKFCQYGCLENYYTAHYQSPRQMLCLWEKLVRGRPSCCNYR >cds.KYUSt_chr3.9184 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53660733:53662337:-1 gene:KYUSg_chr3.9184 transcript:KYUSt_chr3.9184 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWLRSLLGGGGGGKKDKEQGKEQRRPATPNAPAPNADRKRWSFCKSSRDSSSEAEPAGVGNAAIARAAEAAWLKSLYKDTEREQSKHAIAVAAATAAAADAAVAAAHAAVEVVRLTSQGPAFSGPFAEPRGRAAAAVKIQTAFRGYLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQASIRAARSLAAGLPHLRLSHTPTPAPLRPPRYSLQERYASTTDDTRSEQSSVAAYYSRRLSASVDSSSCYDRSPKIVEMDTGRPKSRASSLRTSPPATSEADAAENSYYPYSVSSPLMPSHHHSHLLLGAPPRISARHFPEHEWFEKARPATAQSTPRYSSLAPVTPTKSACGGYGNSPSSSALNCPSYMSSTRSSVAKVRSQSAPKQRPEELIPRKRVPLSEVIVLRRGRA >cds.KYUSt_chr1.20694 pep primary_assembly:MPB_Lper_Kyuss_1697:1:122251812:122253538:-1 gene:KYUSg_chr1.20694 transcript:KYUSt_chr1.20694 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAARMPSLAHREVARALADEAEARLGAQLMPSAVPADVAEFRNGAGNAVGTLDVRHGAPDSPSSLHCKVPNGAIDITSILVFLNASTDAPHFLLEFIQGSPTSMVVILDLLPRKDLALHPEYIEKYYQNTQLDKQRENIEELPQTRPYRSTSLFVRSACSPTAVSVSIDCGQGGESILEEIVCGHLASVAKGVLQIWLDNCTGNTSEMEQVERDIMVKRDQVVRLKSIEVDLTANLPRMFGPEVSGRVITEIRRAFGVQEA >cds.KYUSt_chr6.8949 pep primary_assembly:MPB_Lper_Kyuss_1697:6:55185735:55187482:-1 gene:KYUSg_chr6.8949 transcript:KYUSt_chr6.8949 gene_biotype:protein_coding transcript_biotype:protein_coding MRYWPHLRQGVAAIHIVPIVDKPPQVCLTAFSCRFSSDPKFPVMDNSTNSSTDSSRGMEVMTAADGLSVLRSMGEEFIDEDKLLLLLNNKDAPVCYLWVVPSPLMHISQGIMKTAYVNKMVKAGFKVKILIADWFAQMSYKIGIDLNKIRTTVCYNIEVWKAAGMDLDRVELILLSDVMNTQAANFW >cds.KYUSt_chr2.32374 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199570508:199586811:-1 gene:KYUSg_chr2.32374 transcript:KYUSt_chr2.32374 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRTDARHLAAPVVRGRDDAWAGISAGPGAAAPGGPATPAALLLPPVSVDARTKQLVAVDEEGHLALAHQSYRSGKYSQALEHGNAVYEKNPRRTDNLLLLGAIYFQLRDYDQCIAKNEEALAIDPQFAECYGNMANAWKEKGDIDLAIRYYLTAIQIRPAFCDAWSNLASAYTRKGRLNEAAQCCKQALALNPCLVDAHSNLGNLMKAQGRVQEAYACYLEAIRIDPQFAIAWSNLAGLFMEVGDLNKAMQYYKEAVKLKPSFADAHLNQGNVYKAMGMLQEAIACYQRALQARPDYAMAYGNLATIYYEQRQLDMAIHCYSQALLCDPRFVEAYNNMGNALKDAGRVEEAINCFQSCLMLQANHPQALTNLGNIYMEWNMISAAASFYKAAIAVTSGLSSPLNNLAVIYKQQGSYADAIACYTEVLRIDPTAADALVNRGNTFKEFGRVAEAIQDYVQAVTIRPTMAEAHANLASAYKDSGHQEAAIASYKQALCLRPDFPEVTCNLLHTLQSVCDWENRETMFHEVEDIIKRQIKMSLLPSVQPFHAIAYPIDPLLALEISRKYAVQCSLIASRFGLPPFVHPSPLPVRAEGKHGRLRIGYVSSDFGNHPLSHLMGSVFGMHDRGNVEVFCYALSQNDGTEWRQRIQAEAEHFIDVSAMTSDVIAKMINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGASYIDYLVTDEFVSPTRYAHIYSEKLVHLPHCYFVNDYKQKNCDVLSPVCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNIVKRVPNSVLWLLRFPATGEMRVKAHAAARGVSPDQIIFTDVAMKHEHIRRSELADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGLGDEMIVNKFGTLIGHTSRCGTFIALAAIRSRLRHQGSKSSTQHTAATMAASASAISLLLLATLAIAIAAAETAQPLAHGATGGRTTIKDASTNKLVKSLGRFAVAEHNRRLRHGGGSAGNGDPITVRLAFTAVAAAQKQVVSGVAYYLKVIARERGAGAGGDRPIDAVVVVKAWLKPESRELVSFMPSPK >cds.KYUSt_chr4.54385 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336076404:336080840:1 gene:KYUSg_chr4.54385 transcript:KYUSt_chr4.54385 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPSLPPPATEEVEGASDAEMVEGEAPRTPAAAEAGGGAQPSRAVPGPGQGVAGEAVGEEDEEDDDEDSDDSDGDSGDEDSEDEEGDEEDSDDEGEDGAEEEDQDSDEEDEDDDSDEEDEDDDDEDEDDDDDEEEDGMEVEFAASASAGEPKSFACKTSAMKISSSEKKEEETRAIIYAFGKCSYTTYEDYIIDHDCLDHSFSGAMYPWCDNASLDVDCSGNGTCFRFDMLLDVFCRLPYDALASPTSFARRPHAPAAPISMTSIVLSFGTAGLVYMTTAVGFSFSMTRTSDTATKMVVIPTCVTQQQHDMLPYHVHQQCGQILVPGRPAVSLQYEVYKVFKNHKMHPKLSPWSEPSKEKVVDSIVFSSQTGECERREFVPGRFGPDHLQNVVTMASC >cds.KYUSt_chr7.30779 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191582107:191583429:-1 gene:KYUSg_chr7.30779 transcript:KYUSt_chr7.30779 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYEEVRVAEWCMLTPSEETPRHGLWLTPIDLSWASTGHTPTVHLYRSDSGGAVDGFFDVARLKVALAKALVAFYPLAGRLGVDTGGRPQIDCAGQGVLFVVAHSALTVDELSSFQPSPELKRLFVPRVEEYSPSLMCGVQVNFLKCGGVALGVAMHHIAVDAIGAFHFFRTWSAFCRDGDAAATALERPCHDRTLLRARSPPVIHSDALTLFCPPKEQQPPSEEPKPSPGAVANQIFTLSKDQVAALKRACSSGASTFCAVSAHVWRCVCAARQLPPDATARLIFPANVRGSLRPPLPDSYFGNGIIMLSVTGKVQDITSGEQLDSVACRIRGAIRRMDDEMVRSAIDYMEIAGSQSQTDAPTGSVPPETDLRVVSWKGTLVYGTDFGWGKPLVVLRAEQPRAGIAYLIDGADGSMRVLLSAEPATVNGLQHLLYANI >cds.KYUSt_chr3.32173 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202186555:202187139:-1 gene:KYUSg_chr3.32173 transcript:KYUSt_chr3.32173 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFAQRHPRLVDVLAVSFALLVTAVIVVPIVMLDSTPPEFSATVSGYEGLVRSAGAGAAPPSFHVALRVKNGNVWRHCFDLRRAVVEYDGVPLAFSDLDGFCVPAKSVMEVPIVAAGEGLGIPDQLYESLEGRRERQERVPLEVRLMLEEKGTARDFRSMLLRCTAMLDGRPDLPSRCLLFKLVEPGRIDGA >cds.KYUSt_chr2.17128 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107904831:107905387:-1 gene:KYUSg_chr2.17128 transcript:KYUSt_chr2.17128 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVSSDGEKFEVADEVIGKASGMIKGCLDEDCATNGQVPIPNVTGRILALILEYVNKHFAEPHDDFQIPNADDPLKRFDDAFVHVDQDTLFDLITAANYLNINSLLDLTCKAVADQMRGKTTEETRKHFNIVNDYTPEEEEEVRRENSWAFE >cds.KYUSt_chr4.12782 pep primary_assembly:MPB_Lper_Kyuss_1697:4:78719974:78720363:1 gene:KYUSg_chr4.12782 transcript:KYUSt_chr4.12782 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLSPSQAPPEANPTSVDLLQSCGSHGRARRGRSWPQRAPTTGTRGAAPAPPSSLSGPLPSLKGLAALQHLLLNNNGFTTVPDGFFDGLVSLSDFSIDHNDLTGPVPQSIINRRRNPTSHLPTSCRVR >cds.KYUSt_contig_49.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000023.1:176944:182651:1 gene:KYUSg_contig_49.21 transcript:KYUSt_contig_49.21 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAQNQKDEVQWSRGARMIDEVQWTRRLHFFPNHDHALPRSLTAPNSQKRQQHRSSGDGTASTNQPTKNPSPPRHAKQPLRHRGTPPRRRGEIPPPGGTSMLSLRRRHEEGGAGFRHMADDVELEEGEACSDDDDGFVDPDVALSYIDEKLQQVLGHFQKDFEGGVSAEKLGPKFGGYGSFLPTYQRPPTPLPPSRRGSPMVANIGTPRSPYQQPAEILGQNPSTVAVDPISRNNGTTAPSSGDLSKKKICSRTNGDKDSAAPSNSLGSSFSDTDQKAMKVRIRVGSSNALVKEKASIYSGLGLDISSSSSMEGSPDGYALLSPEISNMPFESPRTILQVMTCFSVPGGFLLSPLHDNVLQLTSKVAPLLKKWGKHLDVQNIPSRHEGHSEPALDGGRFRRQLSKKKKSDSKKKKSINTNARNDIDDTNIIMSKEVKIEAAACKEIIPDTPGIPSISGVSTTELTRASQCPEESTRNPCISRPYKENEDIQLKERMGSDELATDKAEAMKEDASKHTENGSFDKSSGNGYRVKGEVRSKGGEVDMCFEERDITNQNHSPFYRKKESKVKADRKFGAATVNSESNEDMEGCEMPCDDLKKAPGQSIFSSHRLGEDNYQTEIKRMHNEHKVNAAAASDFLEDNSRTHSSAAVKDKKSDCQLSNHCEKKTKAKSHKDLIENLPIRSQVDKEGDMLENRSAQCELRQKEMMNGNNKKEFDMSTAPKEVIPVSIKHGKIPASEEQELHMPSTSSLAVTNTVPLPAPVVIEENWVCCDMCQKWRLLPYGTNPSMLPKKWKCSMLNWLPGMNRCDIREEETTDALNALYVTQVPATGVSSCGPHTIAAGTAVSNSYNISGQLEQSRKRKITLKDGNGLVESSYPTPPSISLMSNHQASTKNNRTSDSMHYSFERDYGSKHELGPASTSADFAEEKQKLKHKNRNSYSDEGDLIEKSKKHSKFQTKRGIDQDDHKTSKKTKKMDQHNSDRDWNHKCDLTGGSVPDEPITFPAKEKTIKSSREQGDISFHKEKVSSKYDLLEKTKKIDDEDAAFVKEKKEHHEDVGRLDLLRRKTITKECQKIQKYSDHTSKGDKNENLKERKPKKMKSNEPTFNVDSRSVKVRDADIVFSSAEGCLNNELVADNKYITGKDVSIEQNRRPDTINFQTSTAATSSSSKISSSRRNKKSREAKGSPVGSVSSSPFRNLNIEKLSHGKIAGKDGSLNADSSTVHNAGIKVGELYDGEHARNFGDSQAAVGNNQPKVSFRKEKSQPSIDNQEMQKHIVGQVAHSHLKEGKSEVHSTPVKSDASKMKAQFRRSSVEIADRRGITKQAISNSSDTASPVRKDNSMVAFALKEARDLKHMANHLKVVDVHFVSNVISA >cds.KYUSt_chr7.7398 pep primary_assembly:MPB_Lper_Kyuss_1697:7:44481248:44483257:-1 gene:KYUSg_chr7.7398 transcript:KYUSt_chr7.7398 gene_biotype:protein_coding transcript_biotype:protein_coding MPYWLLGHASCLQWLVTVLLLTMEPRRSRSMEYGELVPLLLNKLAGSGNQDGAVGTFPHPGHRGDGDDEKQRTGRRPRPARQGHQGERMKLHFQGSVFSYLKEDFRPDVLEIAFGRAHLQSRFFGSYAAHGGGRWAVFSEDYAAPCGGRLAVSWPWLHLLVEGRPILFLLVFVPHGRQWRFIATSKIYGSLVVPSDFVPGGGEIMTAPTINLNQFLEKEKLKNNGSNITDWFHHVRIVLTSGNLQYVLDAPLEILVRKYSRNWTKSTPSILESHEASRTPESRQRRALWAPDDRLARPGGGPRPPYCVIASSALRLRLFAYLKVPDLKPRNGKATVRETFQSRRHREAKIWGTGVSVPARRRDGEVPPEGFSIDTTAIFINAAVSHEEGVVLHRG >cds.KYUSt_chr3.17415 pep primary_assembly:MPB_Lper_Kyuss_1697:3:106635265:106640404:-1 gene:KYUSg_chr3.17415 transcript:KYUSt_chr3.17415 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKVRSPYLSEKMANKRQIRDEKNSEGNFHSLDALLQVNDLTEDHVEAAVSLVKELSKCSRRRNKKVYSCEGLVLTAHHVASIITHDWLMGDVINAYVRHLSRRVPDDRVLSTTWRSTHLIEGKMRGRDYFPPGHPQIEIEKDRAIHRCMDEYFHKPKYYLAVNVNGNHWVTVVMHVPKKEFQVLDSLYVLRSYIHVIEALRTEIAYDIAKADHGFPDPSNWPIKQYKMARQKDGNSCGLWVLKCMEEWDGDEFRTTITQVGEGIGHCIPGKPKLERELYICPVRNAGVNSLPDRPEKDAGQADRSCCLSDIGKMPYLVTVAASILKCLKDMALIRTKEGEQNHGKVLTPKSIVDADQSMDGDQLNAGNGTFYTLSNSATSSTKEDAGKAACTHLLATLWKPEKTVEASMMPTAHHVQIEDDLGTASA >cds.KYUSt_chr1.18630 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109114839:109117631:-1 gene:KYUSg_chr1.18630 transcript:KYUSt_chr1.18630 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGKSAQVDLGEGINQMASDDNSSSPATMQNIKADMEDAGGKMESPTLEKPESEREEIVVSTLATNLLAESYKDILAEKLLGNEDETDDEDDNDNILLPGSSQSSVPNGLLEKHKNLLNIFNRMESSIRLLRLRKKMATFKDIATQVEVLTKRKFLYTHLAQMKHLFPEAIQITRILLHDEKSICMYADMEIALRMDTVECSNSHESPAMAICEAFRSKLLCFLESHHEDIDIPEATLPEPFNSRELYLDKLHNGHSFEGVLESSSENGFSNSSHFPQSFQKIMSQKSIAKVTKKTQLLSDPVEVTFLGADDTGAPDTSSTKHVSVPAKTNICDTPNRHLISFSEKDTPKQAISHSPLMAETPQMQTPKMPLPTPLGKIETSSRHGSEARSASSARRSLVMFSPSKFDESPSADTSKPDKDGAFVAEDEVIAGKCLFPEETCTFTSILVEKDTDKTNQVPSTNSQEKLDSLRATFDIVCGISGSSKNSLITKQELVHNILANNLDIEETGEIEEQLHILEDLSPEWLSKKLRGGEVLYRQVIFDILIVMYS >cds.KYUSt_chr7.21309 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132002119:132002676:-1 gene:KYUSg_chr7.21309 transcript:KYUSt_chr7.21309 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNGFACKNPMDVNEDDFFKAANLDKPRVTNKVGSNVTLINVMQIGGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPENKFFSKMLNKGDVVVFPVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKKTIDWLQAQFWENNHN >cds.KYUSt_chr4.25266 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158751105:158758515:-1 gene:KYUSg_chr4.25266 transcript:KYUSt_chr4.25266 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLEGETAAEHAELSAGGVGSEDCLSALPDDVLLHILRDLNTTTAACTSVLSRRWRRLWTLLPDLYFALDANPDSVRGALAALEAMSDDEAPPLRKLRFLVFSSHASPDSLAAWLPIAARRLTGLLDVIIVGDAGEDGRGAFELPCFERATEITLCLGFLSLTLPPSGVFARLTDLSLHNLHLHGPCGIGEAVSSPRCPSLQRLKVVNAQGLGNFAIHSESLLKIRLEKSCGLQQLTIVAPALKELTVFYCFAHDSDPSQPVADIAAPQLVSLHWRDAYHPSSVQFGEMAQLELLGATFFLVDEADGYEHNRDCVRLLRHFEDVSTLTLELAYMPEDIEEDLGEHHYLMEDMTRLPYVTFLTLLITAKGHSFGASSFHVLRTCTSIRKLMLIFSSSLDLEASETPLLRVLYGRRAIRFLGSAISATTDFITDFDDHFPDEDFFPHISSLYLDDMAENDNVNANAGAQLQFTPSGFVASVKPPLFEGTHYKRWRARAVLWFENMNCYDATLGKPEGDLTPAQEQVFQKTDRLFRAALLSVLGENIVEPYMSFTNGKDMWAALEAKFGVSDAGSELYIMEQFCDFKMTGDHSVVEQAHEIQALAKELEYFSCVLPDKFVAGSIIAKLPPSWRNFATSLKHKRQEFTASDLIGTLDVEEKARAKDTLAHGAEGGSSANLVQKKNFQSHKFKNKGKFDGKSKFDWKNKPSQSTTFKKKTDKKKGSCHVCGDPEHWAPSCPNRYDRRQHGKGGKTANVVMGDVDMKDVGLTTIRVLLSLAASHGLLVHQMDVKTAFLNGELDEEIYMDQPDGFVVNGQEGKVCKLLKSLYGLKQAPKEWHEKFDKTLTSAGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLNVIKEVKDFLSQSFEMKDLGVADVILNIKLMRDDDGGITLLQSHYVEKVLSRFGYSDCKTSPTPYDPSMILRKNRGTR >cds.KYUSt_chr3.39544 pep primary_assembly:MPB_Lper_Kyuss_1697:3:249162902:249165453:-1 gene:KYUSg_chr3.39544 transcript:KYUSt_chr3.39544 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPIPCSVSVLLLLLGFMLLHGAPCRAADVVAVGRPLSGEQRLVSKRGKFALGFFQPDTSSKNWYIGIWYNQIPKHTTVWVANRDVPVSDPASSQLTLASDGNMVLHDGHAKAPVWSTNVTTSGSNSTVGLLLDTGNLVLADASNTSLVLWQSFDHFGDTWLPGGKLGRNKRTGEVTRLFAWRGYDDPAPGAFSLELDPQGATSQYLLSWNGTAPYWTSGNWTGHGFTAVPEMMATDSYQVSLYTFGYVDGADESYFVYDVKDDTMLTRFVVDVTGRVQFLTWVQSAEEWMLFWSEPKAQCDVYAFCGAFAACVENTLPSCRCLRGFSERQPLAWLQGDHAAGCVRDTTLQQCARVQGATPNAKDDDDRFYTMPNVKLPSNAQGVAAAANAQDCELACLGNCSCTAYAYNGSCSLWHEGLMNLQDTSSSGTGGGAIMIRLAASEFTGTGHNKKLIIGVVAAAVVAAVTVMVLVTILILRRRRRATAPRRVKLEGSLMMFTYRDMQSVTKNFSEKLGGGAFGSVFKGSLPDAPATLVAVKKLEGFRQGEKQFRAEVSTIGTIQHVNLIRLLGFCSEGTRRLLVYEHMPNGSLDRHLFGSSSSHGVVLSWETRYQVALGIARGLDYLHEKCRDCIIHCDIKPENILLDDAFAVKVADFGLAKLMGRDFSRVLTTMRGTVGYLAPEWISGTAITAKADVFSYGMLMFEIVSGRRNVEQRQDGTVDFFPSTAVRRLLDGDVKSAVDGRLAGHADMDEVERACKVACWCVQDDEGARPSMGMVVQVLEGLVDVIVPPIPRSLKLLGDPSNNVNFFSGFSTSSSDQC >cds.KYUSt_chr1.35513 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216517010:216518428:-1 gene:KYUSg_chr1.35513 transcript:KYUSt_chr1.35513 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPLRGGAAAAGRGHLNLSLLADRCSTLRSLTVVHAAMLVSGRLASDAFAASRLLDAYAALSPPATVLSLLSSLPYAPNTFMLNTSLRALASSPDPASALAFFSQLRRSGRSSYSPGRHTFPFLLKASARLPLPVSRQVHALVVKQGLDRDTYVANGLVRAYSVAGRVRVARKVFDELPERSTVVYTTMVSGYSQNGRYQEAMGTFDDMLHEGFEPGPVVLASVLSACARSESGGLVMGRRVHDIMERRGMAAPMGVILGTALVDMYAKNGAINEAVKVFKGMPERHTATWNALIYGLAHHGHGDDALSMFQQMRREGVPPNATTLVGVLSAYCLMGQLDEARRVFASMEDFGVTPSIQHYGCMVDLLGRSGLLSEAEEMIRGMACKADTAIWGALLTACKNHGDVDVAERAVQEMLKLDPNNHGVYVVLSNIYADARRWQDVDRLRKVMKGARLSKIPGSSTVDGCDDG >cds.KYUSt_chr4.40966 pep primary_assembly:MPB_Lper_Kyuss_1697:4:253176446:253180670:-1 gene:KYUSg_chr4.40966 transcript:KYUSt_chr4.40966 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGQGQYGDADNYPMTSAQLHHYQPQQRVQQLPDTSYPGRDPGQAAGDNQYTAQKPRQSQWDKGGPTNQISPYAYNEGQGAEGTRSFYDGQKSDMKVGLEKQPRKELRDQPRTDKIEARHQDHNLPSTFEGLEQNFREDIVVLSKELHDAEDAENTRHRERLNEINVQYHEKLLALRARQTAYREEFLRKESLERQQQYQKASMSNYANNAVPREPRGYLPTATATPPPSAAAPAGGAYGEAHRSYASGRYESFGERADYPEFHAGSQGRGHGFEQRGEYPGGRAYNSGGRRF >cds.KYUSt_chr5.31356 pep primary_assembly:MPB_Lper_Kyuss_1697:5:198718048:198719438:1 gene:KYUSg_chr5.31356 transcript:KYUSt_chr5.31356 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor, Transcriptional repressor, Pathogen defens [Source: Projected from Oryza sativa (Os09g0417800)] MDNGDGSSLADGAGLLPLFARSVQAEGLEEKLRRVQDENRRLAGTLGALLADHPHLRTLAKSPASAIAATTPSSSAANAAREEAVGVKVEVRSKIRTVSTRAEPSDSDANLIVEDGYQWRKYGQKVTRDNPYPRGYFRCAFAPSCPVKKKVQRNAEDTSMLVATYEGEHNHAQSLAGESTRNESAGKAGSLPCSMFLNSSGKTITLDPVNQGPWSTVQPASRKVLTPDFQKLLVDEMVNSLKNDGEFMHALINAVAKKMVENIPNYFS >cds.KYUSt_contig_1253.721 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:4414916:4416526:-1 gene:KYUSg_contig_1253.721 transcript:KYUSt_contig_1253.721 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARARRWKLLSIHSKPPPPPPPPAPALSLPGKEEEEEDVPREFLCPILGAPMADPVILSSGRTYERACVRACAELSVSPPGAEGEPPSPGGVVIPNDALRAAIRTWRARSGRTPPAAPSPAAAREAVLRTVPTPRPPGRSSSNLSCSSRASAASTWSSSSRSSSDITAVELDTVRAKEVAKEPEGEVGEAAAKAVESGEEWEVEAAMAALRQATRESAPRRRALCVPRLLAALRRVLLSARHSNAARADAAAALANLSLEPENRVSIVRAGAVPVLIEVVGSGAGASEACEHAAGALFGLALHEGNRAAIGVLGAVPPLLSLLTTGGHAQRARRDAGMALYHLSLAAVNQSKLARSPGAAKNLLSIASDSTGPLPVRRLALMVICNVAACVEGRTALMDAGAVATFTAILSDDPHRPELEEWCVAALYDMSMGSPRFRGLARAAGADRPLILIAEQAEPGAHKDMARKALRAMLGLGDINGGGLHDFSNSERNDDDSGTMASSVPVRRRRAASWGAPPASKLQNSHHWRSVCID >cds.KYUSt_chr5.38468 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243218585:243224876:1 gene:KYUSg_chr5.38468 transcript:KYUSt_chr5.38468 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDELRGASDSEIVAVGDYYYISSDTESSADEEESDCGGDDYEVADEIADMREKFWRRGQMGGDPSACSVSCILAVGRCCEIRRDDGVPLLQCGYISASVNDGPGCLALRCPEPSCSAMVLEETINRLAKDEDKVKYKQILLRSYIEDSKKFDEAEAKREQAKNSIMRYTHYYERWVSNQKLAELSDILGIPESQLKFIPEAWSQIVDCRRVLQWTYAYGYYLEDKVKNEFFVYLQGEAESGLERLHKCAEKDIHAVLPNANNLSPTLKDFIEFRVKLVDLTRVTRTFFENLVRALEEGLEDVHGADQSTSKKRSRNNTGASCKKPSAKSKSGRNKVARPSR >cds.KYUSt_chr6.9030 pep primary_assembly:MPB_Lper_Kyuss_1697:6:55770636:55770878:1 gene:KYUSg_chr6.9030 transcript:KYUSt_chr6.9030 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGGFVVGECGSQKPAPASAHPRYVPARGAVLKRIVSGALRFFLSQANGLVPESVVGGRVSPAPPDMLGGGGAAEQAK >cds.KYUSt_chr5.17829 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115197790:115201044:-1 gene:KYUSg_chr5.17829 transcript:KYUSt_chr5.17829 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATLLLSADAPLAAGRRLASRPARAARWAGAGPCTSRWASVRAYAAAAAPAPAAGNGFIALRLFLVYTVGDFMTQKEGLYVVKPSTSVDEALEMLVQHRISGFPVIDDDWKLVGVVSDYDLLALDSMAGCGLADTNTNMFPEVDSNWKTFREIQKLLSKTSGKVIGDVMTPKPLVVRETTNLDAAARLLLETKYHRLPVVDSTGKLVGMITRGNVVRAALKIKKKAEEGA >cds.KYUSt_chr5.34787 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220384599:220387149:-1 gene:KYUSg_chr5.34787 transcript:KYUSt_chr5.34787 gene_biotype:protein_coding transcript_biotype:protein_coding MTGADRPLPFASQSHKPRCCRKSCPPALPPCRYRDDCFSPAYPPPWARESAAGGAASVSLLHPRRDALEHGVLPIPKLEEVTFFRQFFTSVTKVDYLTLHMGFINVLTNHLLSAITITILTLPSLSCSSRQETKLREG >cds.KYUSt_chr6.21601 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136288893:136289204:-1 gene:KYUSg_chr6.21601 transcript:KYUSt_chr6.21601 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr2.40566 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251982057:251982656:1 gene:KYUSg_chr2.40566 transcript:KYUSt_chr2.40566 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDLGAGYVFQPCGRQLVDGFLIPGLIDEGVDVFSLRPRALPFWRIHRRHDGEVWGFFFADRPAAGKKCPAPGGCWVRHGREKAYYGQDGGSGGEPVAFMRRFAYRITWKGGAVSAPTRWQMKEYRLNTDAAAFRAAHPDPEAAGVVFVVHKAFRKAALSPPRPPPVYCSESEEEEEVVDQELDELVLDLRALTEGK >cds.KYUSt_chr2.39290 pep primary_assembly:MPB_Lper_Kyuss_1697:2:243526441:243526686:1 gene:KYUSg_chr2.39290 transcript:KYUSt_chr2.39290 gene_biotype:protein_coding transcript_biotype:protein_coding MALDCRTLPPPETGYVPPGEAARPWSANSGANSSTEGGYTLHEGAMAMDVPLLWNDEGRMKRELVAWAKAVASMAIRESMR >cds.KYUSt_chr6.6128 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36808615:36810968:-1 gene:KYUSg_chr6.6128 transcript:KYUSt_chr6.6128 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFGMTHTTAHDQFPSSPDQRRRPSLKHTPSKDGATSFSFSFSLLKSYFGALPPSSGNMEDKLQNLNITSDVPGKMDDTKVETDPDAIFEGDYLDDDEDLEPEAWLDPVNLPSGKSSCCDFCGDPLRFVLQLYVPDGWKETTYHRAFFVFMCPSMSCLQLDQREQGKDRAENPRRSVKVFRCQLPRINAFYAAEEPKGCMGSQCSGVFPWHAWPEYVMDHEPELPCLTSSVKDNSKLMVVEEVEPDAMVQLFMDHFEADDDNTFWASFTDRVSRQPQVLR >cds.KYUSt_chr6.14124 pep primary_assembly:MPB_Lper_Kyuss_1697:6:88230386:88230826:1 gene:KYUSg_chr6.14124 transcript:KYUSt_chr6.14124 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVCSMCGDVGFPDKLFRCARCRCRSQHSYCTNYYGDAAPSEAGAGVCDWCLSNEGAPAARKNPSPMQQHAAATGMGCGKLKVATGSGEQEGGRRGPKAVRRYKLLKDVLC >cds.KYUSt_chr1.37346 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228171849:228175819:-1 gene:KYUSg_chr1.37346 transcript:KYUSt_chr1.37346 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGPAAALVPRLLVSSASRVQELERFSHYVARQIGFDHASECPHLCTLAYDYLRKNKGYEENIFAFFQNTADPESLIVKFIEELDKCILGYFSFHWKCSTYMITQVLTVEGAPKRKLRNMVLEATRNQRFERVTKNLKVTRLFSTLVEELKAIGLSSHDQAQCSDVMVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKESFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTLSWEPFFEQTVAMARTVHRQRYRMGVGYKVTEDGTITEDYWEPVQNCSTDEESEMRSRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLQSHKRFATAFHGYCGVVDNARLYSTNSMGAPKLIGWKDGESNLLVDPDEIGTLERAIGLNDEANSVDELYLDGEPSPSAWQDLVASPSRASMQRELKAAVQASEARFHAAS >cds.KYUSt_chr3.24703 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153320443:153320870:1 gene:KYUSg_chr3.24703 transcript:KYUSt_chr3.24703 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSSPQWTAKQNKQFEQALAVYDKETPDRWNNIARAVGGKSADEARRYYELLVEDVKRIEAGRVPFPAYRCPGGAMGGYEADRLKHLKI >cds.KYUSt_chr1.37306 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227985814:227990565:-1 gene:KYUSg_chr1.37306 transcript:KYUSt_chr1.37306 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNAGKAKVDVHVDLTHMLCEALLLPPLSSSSANISQIVGRISLKHPSLFGRSEKLDVILDKGINDSSVVVAFRRPRPEWLSQQSFVIQHSMSPEIAVHGFPADNFTRSGSRGVNLSRLSLGVELNEPTTSNWTSGTSVKFEHIRPVNNDGRSIARDHDGFPLTCSGNLHDNMIILKQESGYADIKDNSFLRVNFQMEQGLPLVPKSLTFNRVKCAVSKGIKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRLCLVGNCEYTVPLAKHLEGSLFMDCGSDLGSARHVPGNPALRQGKPGFGVGFGYGVHFNTDLGQIRVDYAMNAFSRKTFYFSINSGSGT >cds.KYUSt_chr3.35819 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225113966:225118865:1 gene:KYUSg_chr3.35819 transcript:KYUSt_chr3.35819 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPDRSAAAAAGGGGHHRGHAHLTNCIHLRHHHAHASAAPASSSGRRRSPTSVSATSAALMRDLLALQRSRSLRDPSTRRSVESAKVAADPDPYTDDDNDANDGLTAKSRRSATALKTLLDQLSENPHPKPSRRPRRRFKRGAGTARRAGPTGTSKAPDRAATAAALSANSSSQEAVCGGRYLFRDGGGGGDHAPQGQEDSRNVCGIPWNWSRLHHRGKSILDLAGRSLSCGLADPDKPSTAAAAGAARESEAAHLGGSRSLFPVKSERLASSTSSDSDALPLLVDAATLGIGGGRAPGSYSGELGIFSNRGSEIDSSDLLSEARSGQNSRGSHSHPSRHRSLTQKFAPRTFKDVVGQSLVVQALSNAVLRRKVGLVYVFYGPHGTGKTSCARVFAKALNCRSADHPRPCDACSSCVAHNVGKSRGVVEIGPVGDVDMDGIVDVLDSVTFSPAPAHYRVFIFDDCNTLPADTWSVISKVIDRAPRRVVFVLVGPDLDLPHMVLSRCQKFFFPKLKECDIVNTLQWISTSESLDVDRDAMRLIASRADGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGSYTFARERLRRKFFKRPTLSKNDMEKLRQALKTLSEAEKQLRVSNDKATWLTAALLQLAPDKQYMLPSSSTSSSFNRGVLPGSFPDKDVAWHSAIEHNGNVASTSYGEKRTIEHVSNRNGLLASVGKANERSKHNKTENEMIWQAALENIQSDTLRKMMAKEGKLRSVSLGTAPTVQLIFSSRVNKSKAESFRGQILQAFESVLHAAIILEIRYESKNDARAGHDPSTYVDNDSSNMALRRSFSKHSPLSSGEGEIIEVGPSHMHRHAEADKGVLDINERKKDNIWEEALSSPNQEAVISQGGRNGNKQRRQNSIVKGKVSLAHVIGKVEACSQGGGWSRRKALSIAEKLEQENL >cds.KYUSt_chr1.30846 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186797040:186797712:-1 gene:KYUSg_chr1.30846 transcript:KYUSt_chr1.30846 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSNTIVAVVALLYFVAVTAGAKASTAPAPAPSSGSFFQETCESAGLNAVLCVEPLSSDTAEQSPAETSRFARALVLRAKQNASETAAHLPHPYDSESLESKPLELQRCFQGCKKRYEAAVAYLGDAAVALEKGKFDDANLLLGTVQAQVKLCQRGCQAVPPQWELIERNRKVQSLCNVATAVTRMLPRH >cds.KYUSt_chr3.32826 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206291573:206294359:-1 gene:KYUSg_chr3.32826 transcript:KYUSt_chr3.32826 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRVYSRTHQMAVEFVHSKDEVERLFVRTCSLALCTLPAPPVSADARLFALLPHDAVDRLSRLPDVLLGNIVSRLPVKDAARTAALSRRWRGVWRSTPLVLVDADLPVASAVSRVLAEHPGPFHYIHFTSNYTEDESHGLLTSWLQILVSKGIQELVLVNGHRSPLDLDLPTTFLGMSTLTRLYLGRWKFPCTAGRPRATCFPNLCELELYKVLVESRDLDFILDRSPVLETLRLQGNLLKLRLRLVSQSLRSVQLMLSSFEEIFVVNAPRLEKLYHSEPWTPDGHCTKIKIGHAPKLYLLGFLDPRNHVLEFGNTIIKPTTRVSPSTMVPSVARLAMQVRFGVRNDVKMIPSVLRCFPNVENLDIMSAETDQSAGKLNLKFWHESGIIECIQLRIKRLNFYAFRGGRSELAFLKFFFESALVLEEVVIVLAADFTSMEEVDSKVERLWSMKRASEASIVLVTGSSDPQGRYIRSFKGRSGFYVSNPFARPSVFTASCASSPRRHAKVNLLLYACLKSPIWDDILLHTPSCLDDRNSTISTEGREDAMETQGRKADVTQVWKRRRKKCWTLQAGTSARYSQNFRPDTIQE >cds.KYUSt_contig_2087.202 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:1195369:1196860:-1 gene:KYUSg_contig_2087.202 transcript:KYUSt_contig_2087.202 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRTSIRHGLAQDLRQRSRLPRRLLVLATWPHDALRMLPRHNSIPRPPTLYLQAPHPDRSSDPNARTGAPLVDFAAQGLPIAG >cds.KYUSt_chr7.10849 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66627926:66631991:-1 gene:KYUSg_chr7.10849 transcript:KYUSt_chr7.10849 gene_biotype:protein_coding transcript_biotype:protein_coding MMKILLVTAAWCWWSPRKIFLSLSENKLEEVARALDLGFEVMPAVAGSGDSRCRGDSLFSRHRGGGGREAEVCDVRVWPLHPQQWSMQASRRRYLMAPLSPDLMAEGRPLHPRRIHTAPLSLYFMAVGWPLLPQPALARRLQDFFNLQSSTRKLISIVGVCSRLHAPSGFVPGGVEVGSDEFDGGGLGAGLDRVFLRRSKGLVAKSLDHGAKAEEAGGGGQQGSLPARGRAPARVAAMPPGGDHSAEAVDLRRAGSEHEDLRLVGVGGCRPPLAFVLNRPLLGELLAPLPVSPRRPAERTFPALLGFVCLMSTLVPATADGSSALVHSAEASQRSSVCSCYSLTGSSGGVGRKFRSAELPPNFRTSSGILALWLSNVRASNELRRIIVEGFKPYNPDKLTRREAVDSQLNNITLHMIQTSVGTKELSRVRHFTTAKEAWDGLAASCIGSESTRRNKYNALRNKAEGFMRLPDEDHEDMYGRLLTVSGAFRNVGATHIDDSWIKEKYIDCMMPFEPIDVKTLVGRECYASLTSQQAVHVI >cds.KYUSt_chr2.8848 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55726549:55728991:-1 gene:KYUSg_chr2.8848 transcript:KYUSt_chr2.8848 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVLHLRQEQVKVPPNVLDSDATGIEAVPICSIDCNSRTGFVGKSQGIRLRVIPASRVGFVRKTVECKESRIGKKPIEVPSNVTLTLEQQFVKAKGPLGELSLNYPTEVKVVKEESGKLRVFKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKRLQLVGVGYRATVEGKDLVMNLGFSHPVRMAVPEGLQVKVEENTKIIVSGYNKSEIGQFAATIKKWRPPEPYKGKGIRYQDEIVRRKEGKAGKKK >cds.KYUSt_chr3.10854 pep primary_assembly:MPB_Lper_Kyuss_1697:3:64583788:64585209:1 gene:KYUSg_chr3.10854 transcript:KYUSt_chr3.10854 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSHLSSKDPRYFDFRAARRVPETHAWPGLHDHPVVDGGHSAGEDAVPVVDMRDPGAAEAVARAAEQWGAFLLQGHGVPHELLARVEARIAGMFALPATEKMRAVRRPGDSCGYGSPPISSFFAKSMWSEGYTFSPANLRSDLRRLWPKQGHDYRLFCEVMEEFHGEMRALSDRLMELFLAALGLTGEQAAAVEAEHRIAETMTATMHLNWYPKCPDPKRALGLIAHTDSGFFTFVLQSLVPGLQLFRHGPDRWVTVPAEARDAFVVNVGDLFQILTNGRFHSVYHRAVVNRDSDRISLGYFLGPPADTKVAPLREAGGKPAYRAVTWPEYMAVRKKAFTTGASALKMVSASTDDDDLSDLISS >cds.KYUSt_chr6.10207 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62734243:62736128:1 gene:KYUSg_chr6.10207 transcript:KYUSt_chr6.10207 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPRRGPFRATGFHGVRLRPAGNYATKILSAGQLHWLGTFDLKEEAVLAYDAAAWCTYHNAYIHALKTVGKPVRLQRSRGRSREPQLRAALGLSPSSPRRRRRLKPPGKAWSVLAAVDLPPLAPLVTRAGGVNGGVVPARISAHPGWAGRRLRPARSALLEAAWRALRVPSPWEAEAGISGASPTRSDPAAAGPTDDGDWDDGAGLGAARSFGGHVGAARRPRRPDLGQGARSGSGKPDPPRPFPAVAMPPQGGLLARWAVGTEGRVRQRAVRLLSFGLGLLRVYQVCVKVVPFAARVQDRGCWGGGPGRWEPCGLAGEPEVLVLAGAMDMGLS >cds.KYUSt_chr2.51138 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319799244:319801326:-1 gene:KYUSg_chr2.51138 transcript:KYUSt_chr2.51138 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVAALFLAMGGSINAAVVEHTFLVSEVTLRHLCRDTVATVVNGQLPGPALEVTEGDSVVVHVVNQSPFGVTIHWHGVKQRLTCWADGAGMVTQCPIAPNTTFTYRFDVVGQEGTLWWHAHISTLRATMHGAIIIRPKSGSYPFPKPHQDVPIVIADFWQNDLRQVQKDLELWIADGDHSKDGPASATINGKIGDLYNCSGVAQDDTFVLDVEPGKTYMLRLANAALSNEYYFKVAGHRLTVVGSDANYLRPYNATGDVVAIAPGETLDVLMVADAPPCHSYYMVALGTQSPPPAPQTATRLARGIVRYPDSHGEAMEPQMPDQHDRTTSFHFHGNMTGYPNNPLLPQVRGHVHDKFFLTLGMGTIRNHTVHVANINNVSFHLPQGRSLLEARYHGAELVTATEEMSARPPLEFDYTDPVLINFFNRSAKLLELEPSRRATTMRHIAYNSTVEVVFQSTTLMEDSPNPMHLHGHDFFVLAQGIGNYDAARDTASYNLVDPPVKNTVMVTGLGWAAVRFVADNPGNWFLHCHYEFHMGMGMATVFEVGNGPTPETALPPPPADLPRCDRSIAYE >cds.KYUSt_chr4.55054 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339911019:339911306:-1 gene:KYUSg_chr4.55054 transcript:KYUSt_chr4.55054 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNPSVAVVALMLALVVLAAAPGGARAACDASQLAVCVSAITGGAPPTTVCCANLKAQQGCFCQYAKDPAYGRYIKSPNARKTLESCHLAVPTC >cds.KYUSt_chr4.3482 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19813329:19814218:-1 gene:KYUSg_chr4.3482 transcript:KYUSt_chr4.3482 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSTVKHCKYKANCAEQSCNSSNLRKFRSGEGEEEGIASSLGIGREQSSNQTAALTRATSDREVEPCRQVLVGSRSLLVRSAGDVEQQQGQKQNQAERDPHRA >cds.KYUSt_chr7.35868 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224091019:224092407:1 gene:KYUSg_chr7.35868 transcript:KYUSt_chr7.35868 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPDNPVRVLGQCQVSPWPSPPAGKPRSLPLTFYDLAFWDVPPVQRLFFFDNTDLLGGPEFLLSELPLFEKSLAAALHHFYPLAGKLPCGMPETAAPKIVFSDGDSVRLTVAVGDDNFEDLAGDHARDTARLRALLPPLLRNGGGGGSRCSQDVFAVQMTVFPLAGICIGTTLHHAVCDGSSYVHFMRTWAAIHRLEHSGGMSMAAAPLFDRSAVRDTDGLREVFLSDHRAYAAAGDKGPHDGHHTSGTTELATFRFTDKLLRWLGKQVESETSARRCSPYALACGAMWAGIVHARGSSASFGFVTGCKPRASPPIPASYFGNCLGLCRVEEKVAAKQSCLETVTASAAAIWRAIEGLAEQGRVFRDARGWVKLVREYASARAVTVAGSPKLGVYAATDLGAPWGRPRKVEIVSVERTGALALAESGRDGDGGIEVGLALPRGEMEAFRAFYGELLVVAA >cds.KYUSt_chr2.49607 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310412359:310412841:1 gene:KYUSg_chr2.49607 transcript:KYUSt_chr2.49607 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGPQLRIVPQIASQVRSPDSVREDKKHLDRGGGDGGTELFICFTSRPSTVYSGLRPSASSKAFSPGRGCVGASPVAGSLERGPSPMFPTDTTAASGGRRGRMKVAEPSSPKVTCIAQVRVKGGERKPKHGSAASAGGGLGSESSIRRGGMDDRDGGKR >cds.KYUSt_chr2.9374 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59026232:59030550:-1 gene:KYUSg_chr2.9374 transcript:KYUSt_chr2.9374 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFPLSTAPPLPLRHSAAGISLAFRLGRSRRTRHDVHCALRLPAAAAGVTCGQTRRATAVAAAQGQAAAGEGIHDSAGDGSLRMVLVSTAVAVCGSFEFGTCVGYSAPAQAGIVSDIGLSNSETMRLAAIVGIFGWLTIYFAKAQGLYTELVPTLALYGILAYYAAYSIGMGPVPWVIMSEIFSIDMKAIAGSLVTLVSWIGSFAISYSFSFLMDWNSAGTFFLFSAASLVTVLFVAMLVPETKGRTLEEIQESLKASA >cds.KYUSt_chr3.48902 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305547634:305557271:-1 gene:KYUSg_chr3.48902 transcript:KYUSt_chr3.48902 gene_biotype:protein_coding transcript_biotype:protein_coding MADFALGLTKMAMEGTVSRVKLAIEDEKKLRVRVQNDLVFITGEFEMMQSFLKVANVKGAQNEVVRTWVRQIRDLAFDVEDCVEFVVDLDKKTAWGWLRRLGLMVVSCDAPPLPLDKAVDDIKDIKARVEDVCQRNVRYNLIVDSGSNSTKPMSLAEQPDRANTCRSAFYILREVWEDMGKCRSNRMEDLEKLIKSQDSDLQVISVWGSAGTADLGVASILMKAYNDPEICERFNTRAWVKLMTPFQPDEFIKSMLTQVCARSGEYNLGAEFLARMKSALDMEDAHLKAKLMRQLMSGLRYLVILEQVSTVVEWDVIKSYLPDSNNGSRVIVSTNQLQIALLCTGKPYQVSELTRFSDNQSLCAFSKKSGRGSGTRELIWQIRCRGVTSVWGSNGDIKSTIVHKVYTSIMYKSKQFEGVEFEQHSWVDVPVPFNLEVFSRRLLLNFRSQDLQVDEIAAVGMMRDPGLTEECCKFLRENDCLVVINGLQSTNEWDVIKDAFLSKPIKGCILAITNEERVAGHCVDNEDRAISIKDLETDPVLRALIKGCQYYETEDKEVSRRGRFFSVRREEARDWFKKETKRAFNNHFLLENHVTSVWGTSCPRKSDIVRNKYYAEILSSEESLEREDEDDQRTHRLQGRKFRMFSWVYVQHPFNLINFSRRLLLDFHSDDLQSKRSAAVGIMEGQDPIQGCCEFLRKYKCLVVVDGLGSRDDWDSIRDAFLSEPTKGWIIVITNNENVAKYVVSEEQHAFNAQDLEGETVRFHPLIKGSTIRVLSNRSEEARDWTNTYTLVGHQAKSAYDLGTLLATCDAVISVWGIAGVGKSTLVRSVFCHAMLGLRQSVQTADGRSTDLYGRHGFTMFSWVDVPHPFNLTDFSRCLLLDSHSDDIQAKEAAAVGIVQGQDPIQACRRILHEHKCLVVMDGLRSTDDWDLIKAAFLPDSTESRIIVVTNEKKLAMHCVHKEAQVVNVKGLNSHSALNLFNKMAQDCKELTPTGSEHIVAKCGGILKVIDAIRKLFAKEITPSGGQSEGMSREAKKLLNDINGDFMGTLEKDPKFHSLRGLFAWMQYYFDALSDSLKPCIFYMSVFPAKHNIRRRRLLRRWIAEGYSRDTSDKVAEENGERLFSELVDLSIIQHLETKLFSRSYEEKGSSVLCQVNAFFHEYIISRQMEDNLVFALDGHCSLNSQHAGQHLTIRSSWDRDEVVFRGIDVSRLRSLTVFGDWKPFLISEKMRLVRVLDLEEATDVAYDDLEHIGKLLPRLKFLSLRGHKKISLLPESLCGLRQLQTLDVRGTSVIKLPLGISKLQKLQYIRAGTILTKDEGDDTVATSQTADVDQISTPAEDTARVQEAVSDGVRTSTSSSWSRPQTLVSSWLSKFQKPRHDSGSVKVPVGIGSLTALHTLGVVNVAAGKAALKELKNLTQLRKLGVSGINREHWPDFCSAISGYAHLESLSVRVHEDKDGLFARFGDISEPPKTLKSLKAYGHVQISPVWLLQLQNIRKVNFGLSILTQRDIEEHELDNSLSIENGYRNMFRVLEIECTSRLELSFGKRRAWVKVLKIQCSSGSSLQISLLENLIWLEEVWLGGFYHDDLKQDLERQLSSHPNEPVLKTEEPRSS >cds.KYUSt_chr7.1596 pep primary_assembly:MPB_Lper_Kyuss_1697:7:8873956:8875134:-1 gene:KYUSg_chr7.1596 transcript:KYUSt_chr7.1596 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRSTRLRSQIQPAGLDRRRSPRLHPRTQASDEGPGATRRSSPRLRPQIRASDEGASSMTSRRASLPVDDDMLQEIFLRLPPTPSSLARASAVCRRWRGLLADPKFHRQLCARHRNPPLLGSFVPNDDNGQRIVFAPMLDPPDRIPPPRFDLGRCGHNTDVLGCRHGRVLAKTRVWDADGGLPSRVIVCDPITGDQRTVAFPPDLGRVSVNGAVLCAAAESDPGHVHGSCYSSPFKLVLVTMYRRHPNRLLACVYSSNTGLWGDLISSESPSDIHGKPAVLIGNRLYWLSVINGSILEFDLDENRIAVMMGPPVTHTERCINQQIIKAEDGAVGYAMLVYPSLLMWKRDVDAHGVTTWVPWKIIGMDTVPELPPRTKRRDRIKVMMRILM >cds.KYUSt_chr1.661 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3527458:3530246:-1 gene:KYUSg_chr1.661 transcript:KYUSt_chr1.661 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGCSTMYVRRPCRGWLLMEGLVSTGGKRSYGNVPQPHAALYYAQRSTPGGLLITEATPGIWTPEHVDAWKPIVDAVHAKGAVIFCQIWHVGRVSTFEFQPGGAAPLSSTDRGVGPQTSFDGHIEHFSPPRRLKVEELPAIVDDFRKAARNAIDAGFDGVEIHGANGYLIEQFLKDSSNDRTDEYGGSLENRCRFALEIVDAVVKEVGGDRVGIRLSPFTDFMDCHDSDPHALALHMSTKLNDHGVLYLHMIEPRMAIVDGRRVVPKRLLPYREAFKGTFIANGGYDREEGGKVVAEGYTDLVAFGRLFLANPDLPKRFEVGAELNKYDRMTFYTSDPVVGYTDYPFLG >cds.KYUSt_chr1.24846 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148730165:148730593:-1 gene:KYUSg_chr1.24846 transcript:KYUSt_chr1.24846 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVALFIAMSLLSAVAVHGCRPYCQPPVVVPTPPVVVPPPYHGGGGHGHGGGQCPIDALKLRVCANVLGGLVGLKVGVPTYDECCPLLKGLVDLDAAVCLCTAVKANILGIVHLNVRADITLLLNHCGKSCPSDFTCPTH >cds.KYUSt_chr5.43102 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271920518:271920748:-1 gene:KYUSg_chr5.43102 transcript:KYUSt_chr5.43102 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRRGVDVAHPGLLDDEEEAAEELAGVEEEGGRVVDDEDVDELELLPALASLRKTRTKRRQRGGPVSQLRWARR >cds.KYUSt_chr7.28615 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178437519:178440375:1 gene:KYUSg_chr7.28615 transcript:KYUSt_chr7.28615 gene_biotype:protein_coding transcript_biotype:protein_coding MKARRNESSKKPRCGGAAAAWLLVPLLVLIVLKTDSLPQLARLRETIVTQVSVETVSEHELRSKVSSSGLEREKIWQQQQQLVEATKFKDAERLPKTTDSVAEAPPSPASSDEIASHGVDGIKDQKDEKNILAMNGEVDGSLRNSDVATPRSSKLSCNFSSERMDVCAMDGDIRVHGKSATVYVVAASNDSYRPENGTVTIRPYSRKWEISTTMQMVREVRVTTDDTAPPQCTVTHAVPAVVFSTGGYSYNFFHTMTDLVIPLFNTAREYDGHVQLLVTDYDRTTIFKLRHFLGKLSDFPVIDFDADDAVRCFPAVRVGIESHKELGIIPALSRKGYTMKDFQDFLQSAYSLKRAWATPANRSSGQRPRLLMMQRRKSRALTNEEDALAAARDVGFEVVVAGPEVVKNMAQFAEVVNSCDVMVGVHGAGLTNLVFLPRSATVVQIVPWGEMKWACWSAFRDPLPDMGLRYVEYEVTADETTLKDVYPRDHAVFTNPLAIHNEGFGKMWSIFLEGQNVTIDIGRFTGVMRQIYQFVTIS >cds.KYUSt_chr3.45386 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285950642:285950935:-1 gene:KYUSg_chr3.45386 transcript:KYUSt_chr3.45386 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRLRDYLAVLLPEEGPFPPHPGSRVSTHQQNRTEKWFSTQKALNLLGSASDLANTMPTPHHRQSLQTVNSSVPSSQSGASKEENDAERHRRSAKA >cds.KYUSt_chr7.28403 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177282048:177282650:1 gene:KYUSg_chr7.28403 transcript:KYUSt_chr7.28403 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSAGSLVPVPKPVLKALSNRYKRGVSLLVKKTIEVGVFTGYSLLATALALPADGKVVAIDTDRECYEVGRPFIEKAGVAHKVDFREGPGLDRLDELLAEQDDGAEPYDFAFVDADKPNYVKYHEQLLRLVRVGGTIIYDNTLWGGTVAMPPGTPMSDLDTRFSAAIRDLNAKLAADPRIEVCQLAIADGVTICRRLV >cds.KYUSt_contig_2887.174 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:852637:854483:1 gene:KYUSg_contig_2887.174 transcript:KYUSt_contig_2887.174 gene_biotype:protein_coding transcript_biotype:protein_coding MATARVDPVRIRHLAYAYGTGLLPPQKYSRSPGRSSPPLERSSSPPEVSSPPASGLVPDLLPPCLRILLSTPSVPELPVVSRPAHQVILGSDDEDVENMHTDQLEVDEGGGCQVQDEASLTATRRMNILVLVWCNES >cds.KYUSt_chr6.29826 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189012745:189014817:1 gene:KYUSg_chr6.29826 transcript:KYUSt_chr6.29826 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVGVLALQGSYNEHMAALRRIGAKGVEVRKPEQLLGLDSLIIPGGESTTMAKLANYHNLFPALREFVSAGKPVWGTCAGLIFLANKAVGQKTGGQELVGGLDCTVHRNFFGSQLQSFETELSVPMLAEKEGGNHTCRGVFIRAPAILEVGADVEVLADCPVPAGRPSITISSGEGLEDEVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRLFLDMDKESQSRALSALSLSASSSEAGAVEKNKPLDLPIFE >cds.KYUSt_chr1.11654 pep primary_assembly:MPB_Lper_Kyuss_1697:1:71826999:71829071:1 gene:KYUSg_chr1.11654 transcript:KYUSt_chr1.11654 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGGLRQLLTAAVTAGAAEARAAVFGHAVNPSGKRAATKLLRKKFIGEQLAQWYPYDIKRDDPIVMAREEKERLTKLEMLKRRGKGPPKKGQGRRAVKRTK >cds.KYUSt_chr7.1209 pep primary_assembly:MPB_Lper_Kyuss_1697:7:6579163:6589877:-1 gene:KYUSg_chr7.1209 transcript:KYUSt_chr7.1209 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTWPARGDAVAAVGGFRPPELGKEGVGGRGGGSTSHLLDCEFSRIPRGYYELPGETIITEPATKVEWCVPPEGLVLLLNQEDVEEMARAHQDTKKCDLYIVANHHFRGDGYDESDEDGDDGSNDEAPFGACLDVGLHERDIFDLFLPEFDKPWVIHLRENLLLFYKPLLLEAQHCLQERRGNVDIKLFSGAVVGEQRLLKYEQIVELVNSGIEGSDKHVSPPRASHAGTSARSENSSHNFDDASAVLDNDGSLGSFLDATIARSRQIENTETPNEDAATPVNSPESVEYSSDDLDEDYVELDDDFIDKCNATTDARKIQKLLAQHTVRYKLSPDPKFATSPINIKD >cds.KYUSt_chr2.47249 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295595166:295606765:-1 gene:KYUSg_chr2.47249 transcript:KYUSt_chr2.47249 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRRKCIKHLGKRKITKKPFRGLRTPPSTADPDHRRCRSAAREGKSGGYQTPSSGKPHRSTSALRASKMARRRRDLAVGAYRPGDAPRASRSPSPVPSVDAVKEAQKSRLPPSSKPSSRPSIHLPRLPSPPSKKTTPDGRLLYRPPELPADAGARAAVTETSPEDKILRPGRRSRLADQTQETLPRLATRPTRSTRLQALRRRPKEHRRAHNLTHTKPLTHGDVLISNGGDFALGFFSPASSNASLYLGIWYNNIPGPRTIVWVANRDNPITNTSSPMLAITNSSDLVLSDSQGGTPWAVKNTITSAGATAVLLEEGNLVLQSPNGTITWQSFDHPTDTVLPNMRFLLSYKAHVGMRLVAWKGPDDPSSGDFSCSVDPSSPDLQFFTWNKTEPYCRLSVWNGKSVAGGTYLTNTSSILYETAVASGDEYYLTYTISDNSTLMRMMLDYTGKLKYLSWSSHTSSWALFAEHPSAPCELYASCGPFSYCDFTQITPACQCLDEFEPVDKINFSKGCQRKQELKCGKQSHFVPLSGMKVPDKFLHIRNRSLDECAAECSGNCSCKAYAYSDMSSAGAIADPSSGYMSPEYAMRGAFSVKSDTYSFGVLLLEIISGLKISSPQLLANFSSLITYLTHAKPLTRGDILISKGGDFALGFFSPSSSNNSFYLGIWYHNLTGPRTVVWTANRDNPITTPSSPILTITNSFDLVLSDSEGRNIWMTTRNITAGSVGGGDGAGAYAVLLNSGNFVLRSPNGVDIWQSFDHPTDTLLPTMRFLVSYKAKVVGRLVAWKGQDDPSSGDFSCSGDPSSPTLQSLILHGTVPYYRSNVLNGVSVSGGTYLSNVSSIVYEMAINVGDEFYYMFTVSDGLPATRLMLDYTGTLRSLSWNYQLSSWKVISESPKASCDIYGWCGSFSYCDLTDTVPTCKCLDGFEPDNLNFSSCRRTQELKCGKQSKFVTLPGMKVPDKFLHIKNRSFDECMAECNRNCSCTAYAYANLSSAGAEADPTRCLIWIGNLIDTGKSVNYGENLYLRLAESTVNKKSSSLKIVISIIAFLLLPTCIALVWTYKYRGGKWKKKESQKKLMRRYFSTSNEFEAENAEFSFVSYEDILSATKHFADSNLLGWGGFGKVYKAWRLWEGGEATELVDSSIVSSCPLHQVLLCIHVGLLCVQDHPNDRPDMSSVMLMLENENALLPAPKQPAYYALSNSEGGEAIEIIQNSVNAMSITTLEGR >cds.KYUSt_chr4.49814 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308537959:308538642:1 gene:KYUSg_chr4.49814 transcript:KYUSt_chr4.49814 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSNLPPPSTAAALKALNKASYKISKQSSSSSSMRASSPPPPPLPSRLSPPLSAPSPPPSSAPAPIDHPPPQPPVYNIDKSNFRDVVQKLTGSPSHIFPPQPPATTAPLAAPTPSRPLMAPPPPPPPLSAIPSRLHRIRPPPLAPPRPPPILPAPAQPGLSPLPALPSVCMSAESPISAYMRRLRGMPSPIHVPTSPLGFGCLHSPRAPTSPGVAMPATSPRVRDQ >cds.KYUSt_chr7.32708 pep primary_assembly:MPB_Lper_Kyuss_1697:7:203826234:203827319:-1 gene:KYUSg_chr7.32708 transcript:KYUSt_chr7.32708 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKELVPPAEEEITDIIVQRVPYWDPPAVKPLDTSELSEWSLYRALIGEFTASLILVYVSIATVIGYKFQSSGPDDRCIGVGYLGVAWSFGATVSVLVYSTSGVSGGHINPAVSFALFLAGKVTLVRAVLYMVAQCLGAICGVGIVKGIMKHPYNSLGGGANSVAGGYSLGAALGAETFGTFVLVYTVFSATDPKRTARDAFVPLVAALPIGLAVFVVHLATIPITGTGINPARSLGAAVLYNQHKTWKQHWIFWVGPFSGAALAAFYHKVVLRDIAVIKEALLSSFRMTG >cds.KYUSt_chr5.27291 pep primary_assembly:MPB_Lper_Kyuss_1697:5:172819100:172825938:-1 gene:KYUSg_chr5.27291 transcript:KYUSt_chr5.27291 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKPLPPRPWELSVEESNVAATAHHEKWKAEVKAKKEPEPKPVFTEKQKKWAKDFLTTSSQIQQNVQDDYGHEFRRKVELLEEAMAEKKALEDKEKKALVEKKEASKKCGKQVSQLGEQENQSIPTLKVEAGPSVAASFKDDMDKDMELLDSAILAAPSAQGMTVTVAKERAAEFGMTLRALLGLEDAPISEVAFTYVLNGTLGEPAHEASRPTQIRKLGECKRKNIHDVRFHWILLIGEFDNSRVLVMDSLNMEPKHSIDIRLMLQKVWKRIKEDITGEFKDELTFRRQKLTSKGRQCIAAAPLLATMARKKKLQASNHQPHTASTERTGSSISIQNQHATLLLLLATKAGQERINCVPCSSKKDPHLASTAGLGSFVFTADEGWRRTCRRRLTEEDGVASRRTSLRTPEQR >cds.KYUSt_chr7.31438 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195879639:195883129:-1 gene:KYUSg_chr7.31438 transcript:KYUSt_chr7.31438 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAKPSPAAPPPPPPSKTVHSALLTYASMLSLLSLCPPFVILLWYTMVHADGSVVQTYQHLRDHGLLEGLRSIWPMPTVVAGKIILGFALFEAALQLLLPGKRFEGPVSPSGNVPVYKANGLQAYAVTLATYLSLWWFGIFNPAIVYDHLGEIFSTLVFGSFVFCILLYIKGHVAPSSSDSGSSGNAIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYITKFYWWESGYWCTMDIAHDRGMASIGRPTAIKYHTGLFLVFIERLGYIDYNYQEETQLMM >cds.KYUSt_chr4.50681 pep primary_assembly:MPB_Lper_Kyuss_1697:4:313937290:313938925:1 gene:KYUSg_chr4.50681 transcript:KYUSt_chr4.50681 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLDDESFLDALMSLRGEAVPPPTTTMRAPWQAYPSPAGGMMASDLLFYGSESAAEARRNHDVSAPFQDLLAPVPPAAPPHPHARDEFNFDCLSEVCNPYKSCVGGVSLQVDAPGQAFAHPLHDAMEEDGTSGDKLHPGDGSSSSQTVFMFGGVGHGEMTGMIRGVSDTHPRSKHLHGGGPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELTERIKTLEEEIGATPEELNLLNAGKNFFGDSNDDVPMRNSTKFVVEKKGDGGTSIDICCATSPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMQASCSQSVHLNEET >cds.KYUSt_chr6.2876 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16973805:16974680:1 gene:KYUSg_chr6.2876 transcript:KYUSt_chr6.2876 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGKLSPDANVNGHVVSTKDYLDPPPTLLFDAGELGKWSLYRAVIAEFTATLLFVYVAIATVIGHKRQTDAAPCSGAGVLGIAWAFGGMIAVLVYCTAGISGGHINPAVTFALLLARKVSLPRALLYVLAQCLGAICGAALVRAVHGGRHYVLYGGGANELAPGYSRTAGLVAEALGTAVLVYTVFSATDPKRMARDSHVAVLAPLVIGFAVFMAHLATIPVTGTGINPARSFGAAVVYNGQKAWDEQWIFWVGPFVGAAVAMLYHQYVLRNGATKHSFGSNHDDVEA >cds.KYUSt_chr3.48925 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305675882:305677216:1 gene:KYUSg_chr3.48925 transcript:KYUSt_chr3.48925 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARAPSQQPLDHTSSSSGSTGGNAIVATVGPYNHHQSESSTSSESAPLLITYAKKCAIVKFLSRREFGLDTVAFLRWALTNNARVRLCYERDSFTMSPEKLAEVLLLDGCLVLFAVFLLRSSVREDRRPAELGRDTELGAEFLYLSADISLHMKQTRVDLLLLGNQIPFFVLAKLHSLLAQTLFSGINHSIQKLALSCFDDIRPGRSGSGSAVPPPRFQVHHLLHLFHWSRVPPGKHAVDTSTILLHEPESNLPCATWFEDSLTSFSKHAAGPGTLDIVFQKRMLGVRGVLRVPALHIHGYSELLFRNLVAFEQRHLRCGLGVTAYCICMARLLQGEADAKLLRMCGILAHTRETDKEVVDLFRGLADEYRDTFYSSDLLGLCEDVAAHHRSAASRAVKWVVLQCFPRQTVTFFVILGALISIATLVNTVYSVYRFYHPVKH >cds.KYUSt_chr3.24746 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153593220:153593759:-1 gene:KYUSg_chr3.24746 transcript:KYUSt_chr3.24746 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQQTMSFLLLLVVISGGAGLAAGGPSSVIASTCSAVAKDTGAKADYDDCVGMLSAYPEAASAVDLRGVAIAAADLTAANVTNMEAFIQQLIGNLQSCLVAYKEMSETVAGGIQDLRAGRIDAGVNKLRYAENMPEQCFLPYVYAKNQSPIDKEITATMELASTATTIATLLKGAHRV >cds.KYUSt_chr3.24705 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153332546:153333280:-1 gene:KYUSg_chr3.24705 transcript:KYUSt_chr3.24705 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEDGDWDSGDEGAGAPPAEAIHRGAAANGMHAEAAMSQYHECLRNHAAAAGGHVVDGCCEFMATSPEDPLACAACGCHRSFHRRDPSPGRSRAHLPLLAASARAPLALLPPPPAAASKNPHHQHQRPPFAYGPVPSGGTGTTTESSSEERRGPLAPAPRKRSRTTFTREQKEQMLAFAERVGWRMQRQDEAAVEHFCAHAGVRRQALKVWMHNNKQSSTAGRRQQQPQEEEKLQELRQEQQQ >cds.KYUSt_chr5.35071 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221703471:221708963:1 gene:KYUSg_chr5.35071 transcript:KYUSt_chr5.35071 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEAAYREFKALVEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRDLVAAGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFAAPAPAHASGSAAGGGGKHQGLLIRYKELRFIARFLVVAMLMRRAEAVDHLAGRLRSLVHESKSAYPKTNFKEWKQVLQELERFLKADGAYKGSRSLRYDNLFDSYASNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAVKELTENGTMSDQSGPSGLIDIHLSTEIADGNLPSNPQKAIIYHPTVSHLIAVLATICEELSQDSILLIYISASGFPDQNAFQKYASSSSSSARSASAFSTDKPNSHTGSNSHLWLGPRGNGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKADLFSVEQSSSIFILRNMVNIYQPAYPVFRSLSLQMLRPSGLPSFYLQKTLLSAIGFISATGQCDQEQLHRLSVDTSSVNMVQLFVDCLAFIQPTEDDSVSAS >cds.KYUSt_chr7.40026 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248578499:248579707:-1 gene:KYUSg_chr7.40026 transcript:KYUSt_chr7.40026 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRDGDAAAEAELRALVASLRLYGDTLESVVERVPAADLAAAACVSREWLRAVRSALRRRPRRPLLPWLVVHLHGSRCRRRTCAYDPHSGAWLTVEAPRQPATPSAPPHVRVVHGARGDRACALTASSLDVAGDPLGTSEPVSLEAPRVWRADPVLAAVGDRLVALGGACQLLAAPEDTGVEVHEGGSWATCGPMPAELRESAAATWLSVATTEQRVYVADRTTGWASWFDPSKRRWGSARRLRPDVRVSTWGIAAGAGPERLVLFGARRCRGEEANATTNVIVQAWEVDGDALDLSPSGAIAMPTEMSARLFPREDDEEEEEVLVSIGVCGNGVGGYVYNAAAPANGAVFYELQEGGGVERWEWVPSAPLLPAEPLGRAVLACSPVAVDMLSRRVPLVGP >cds.KYUSt_chr7.20996 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130102261:130103961:-1 gene:KYUSg_chr7.20996 transcript:KYUSt_chr7.20996 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQDAAVAPSPAQAPLSVMLPPPPLGKPAALASSAASAASAPAPSAASAAAAVLASRMADPAIGGRLARGRRPVRPVVAAAPTPCPSVVPAAPTPSPSVVAAASTPCPPAVAAAMAGGALVPGAAGLPPLVATKTFDASSDPAVLIEGLGFLSLPPAASGGPISAGVCSVEDHDGEEFASPLASSKGTVSDSEQMIAEPCRDLSAAAAAFDDEEGWTHVGRGCRSSRAPLSSLREGLDRSLAFKRWARGRCFRCLERGHQVSSCRGSFRCIRCHRPGHRERFCRARSPTARSRSPEARARCPVARAPCQRSRSPSAQPRRPSSPLSWAGVLGHSSLHPVVQPCCKDSVSSLESQFAVLRMEVLQKFELLHSEVQDALAKLQVASVVPLPPEIHTGSVDEGFECCFGEFSPRALHTSSSVLTTVVATEVVAPVVEILPELQDHCGKSSVVLPVELGHLEPLAVDIAPSPSLSESCELPSSVDSGGPSIRLPLFDREAMLASIDQAVFVKKLSGLLACLEAASPGSSEAIACLIAEEASTGKIRKVKKALRSIGKKGGAIGKAPATA >cds.KYUSt_chr7.29654 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184557801:184559972:1 gene:KYUSg_chr7.29654 transcript:KYUSt_chr7.29654 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDTETMEDMEIMEDMETMVEDTAITVEDMGIVVVTETPVEVTETMEDMEATTEDMETVVETNTVEDMETMVAETVEGMEILGMVGVMVLVTEVEDMDRAMVPGVVTRDMVAEMAILPTVVATMVDMGVALAVGESPAAPRRLTVQPTRLGLLEMATRTTEGSRD >cds.KYUSt_chr5.10491 pep primary_assembly:MPB_Lper_Kyuss_1697:5:67373227:67384891:-1 gene:KYUSg_chr5.10491 transcript:KYUSt_chr5.10491 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEQQQEDEEMLVPHQELPAADAAQPMEVVAQTEPVNTAESQPPEDPQTSRFTWTIENFTRLNGKKHYSDVFVVGGFKWRVLIFPKGNNVENLSMYLDVADSGNLPYGWSRYAHFSLAIVNQIHQKFTTRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYIVNDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYQAEQHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLTPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPVQSQRFWLWAKRQNHTYRPNRPLAPHEEAQSVGQLREVSNKAHNAELKLFLEVEVGLDLRPIRPPEKSKEDILLFFKFYNPEKEELRFIGRLFVKALGKPSDILAILNEMAGFSTNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIVCFQKLSVPDGDTQVRYPDVPSFLEYVHNRQVVHFRCLEKPKEDEFCLELSKLNTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVIHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPLQEKIENINDQYWTLRAEEIPEEEKNLAPHDRLIHVYHFMKDPNQNQIQNFGDPFLLVIHDGETAAEIMDRVQKKLRVPDEEFSKWKLAFISMNRPEYLQDIDVVSARFQASTCFQNLGLSRSVFT >cds.KYUSt_chr2.17448 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110000880:110011751:1 gene:KYUSg_chr2.17448 transcript:KYUSt_chr2.17448 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSSPFFSPTSAPSYPPTPFPGGYYPPAFSCAGTYSNQPPADAYTRPNGFFPNWPTWPPPSPDPTPSTSPATFSPFPTSTFGAPTTTHNLFRATTPAPVSFLAPGYGPYAFPSEIHTQISPDWSLAAPTPIHGYLHHQAPQIPTLVDSIQVPPAPDPAPSTTPSTAFTFPNSSSVGASTSDLTTPAAKDMRNILSPESTHSPRVAPAPTSTSHADPSYSKAVCVHVVDEVRTFLPKPNNGDDPASPAITNRMQPDRQPDCVSAGLNDFVLQLKLAQQSLLDMSRRLDEYQAQSKSSFASLKRGISKQVDRVPTSKSSTTTAPAPSVGFTQSSASIAMVESATSVLSNTGAAKISTAPAYVAPQVLEEMPTPRSDYENYSSGNPNPIQISVHGESTNFSEQNLDGRPQSYGFHNLNRQSIFLADGTECSYFTLPTDYPLERAVPELLFAVDDDGSDGGSNESVHVFSTTPEQQSYKEERQGNEQLLKCVDKAPETEQTIDCLSYISDVFDYAFEQQQQDVHVTDCMRYIASNGINPVLRASVLFMQRDARLSQGTRSDRPMRRPVSVYRPVSQPPNRLARRADRSTGRHPGTNRVTSWTTQSYPGRVRCTTGLDRPGPAQAIMVIEMSESIVMRYLKHAIIDMYENNRTEVLCPCRRCKRGKWFDPYSGKLQGHLLTNGFMHGHTQWMSDDGAEVNGATAAGGNNGRQEGGHHDIDDDEELVAQDDNLDDDNNLDDDEEVPLASVVRDPHLQDMLLEKTKGAKRKSKLEQLEIDSNTPLYDSGRGLGESRLRVALDVLQMKAKHRWMDTSVDDILEYVKDLLPAGTRVPVV >cds.KYUSt_chr6.16786 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105600438:105601980:1 gene:KYUSg_chr6.16786 transcript:KYUSt_chr6.16786 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPDPRLDGVLAACTRFSAHSVAGSEGLAGGVHGEVEAAAYWTAVAPNIDEYGSAVARAIASGANGLAKGIIWCGVMTVERLHWGNEVLRKRIQPGDTDAEVTPEMLKRIKRSGKSGWPIVGGEACGPSGGPVAVDHEG >cds.KYUSt_chr3.10279 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61024721:61025089:-1 gene:KYUSg_chr3.10279 transcript:KYUSt_chr3.10279 gene_biotype:protein_coding transcript_biotype:protein_coding MEANRDEAVVASVPDLGQARLHLRVRRGRHRRRCLDLNLLLLHAASGAAATTLVALLRTSTAAPPNEAGRRPNRGGTVPLAARSAGLLRDVLLQHLLSPGTGSSKLLLCCFGFDPGVGLHTL >cds.KYUSt_chr5.32779 pep primary_assembly:MPB_Lper_Kyuss_1697:5:207887615:207890412:1 gene:KYUSg_chr5.32779 transcript:KYUSt_chr5.32779 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGAGVHGSHEALLLQAAGGVTHHGGDHSHASGLLPWLGPAAAASPYMTPHLPPAPYGAEISEAAGTFGIGGYPEGGGLGHQYGLFDLEPPQQHGNGGGGGGGAPSLQMGLRGSRMVSGLLGSLHAELGRLSAKEIMDAKALAASRSHSEAERRRRQRINSHLSILRSLLPNTTKTDKASLLAEVIEHVKELKRQTSGVPVPQLLPTEADELAVDAAADEEGRLVVRASLCCEDRQDLVPDIVRALAALRLRARRAEIATLGGRVRNVLVISEVGEDDGGEDGRHGWRDDDDECAATSHRTHELVASIQEALRGVVDRKAASSDTSSSGGGSMKRQRMNEEQEQGSF >cds.KYUSt_chr5.33102 pep primary_assembly:MPB_Lper_Kyuss_1697:5:209826618:209831257:-1 gene:KYUSg_chr5.33102 transcript:KYUSt_chr5.33102 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPTLGGQESEPPRPNAPEPSPPSLGGSTRGSPVPALERQLLQHKGEAGGAGSGEELLFAPFPSSSGGLEQRERAIQPAMESDGEEEAAVTPGTTTPAPASGRLKGCPELSVDADMREMAKTAAWSVSSCKPGNGVSSLRDDSLDTYWQSDGAQPHLVNIQFQKKLQLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVDLLKPVGWVHVSLSGTDPRETFIHTFMLQIAVLANHLNGRDTHVRQIKIYGPRPNPVPHQPFHFTSKECIMYSSVRPCRRGPWRHVRSLMVAVHPPLRPSTEVGPAATDKGGTLTWPPASAGCGGKSAAGPTRAAGLLH >cds.KYUSt_chr6.12664 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79106909:79107631:-1 gene:KYUSg_chr6.12664 transcript:KYUSt_chr6.12664 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRFHACASTSIYRRTTAPGRIHHAAVSLNLPQSSPLSSSANDGVSNNGFGRRSLHQWEGRLLHMAGYPAPQDFRAPGGWRLSAGGVPIPPPPTTRSALEAEIDAVLVTLSDEQRAEQRYWLDNYDAWTDFFRRRYERELAAYDVPPPPARNNDAGHRRWWSAPGRTLANVLAHIEGGNSPVLGVPSPEAATVSRRQVVPERRGGWRPLLVRVKVRVQVRRIGASVEGTPHKFPPIGA >cds.KYUSt_chr1.39208 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239838963:239839475:-1 gene:KYUSg_chr1.39208 transcript:KYUSt_chr1.39208 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRSGHRLLLCHHGRLPAAFSTSAAEELIDVRKLPTDYDPATFDSTARPPPSDRVWRLVDDVSSLTLVEAAELSSLLLRRLDIPSAPPIAILNSGAGLGGGAGGAAADAAGDKPATAADKTVFELRLEGFDAPSKIKVIKEIRTFTDLGLKEAKELVEKALKEHLS >cds.KYUSt_chr1.9657 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58963718:58964557:-1 gene:KYUSg_chr1.9657 transcript:KYUSt_chr1.9657 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALVSVATGALKPVVEKLFALLGDKYKQFKGVRDQIRFLTNELTTMHAFLLKMSEEEEAGHDPLDKAWMKEVRELSYDMEESIDDFMLHVGDKDAKPDGFIDKIKHSLGKLGKMKTRRRIGKEIEELKKQIIEVGDRNARYKTGGVISKMSNLTIDPRALAIFEHASKLVGVDEPKAEIIKMLAEEEGCGSAPQHPKVVSIVGFGGIGKTTLANQVYQELKAQFQCSAFISVSRTPDMMSILRTILSEVSNQKYVDTEAGSIQHLISKVHDFLTDQR >cds.KYUSt_chr4.8005 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47702118:47705264:-1 gene:KYUSg_chr4.8005 transcript:KYUSt_chr4.8005 gene_biotype:protein_coding transcript_biotype:protein_coding MTSETEGDAEGAAEGAAEGAAGATAEGGVARPPEPLAHRARHHRTDVLYSRPSLPGGGVKGRFWQPLLEVEDDEAVSERSADESSPPPSIRRTATLGEFLDAANELGGSLRSSGRRTAFAPGGRGSDSVEMSVRGGSAAVPGRRGRPRDGALRPDPATPRQVPAVVAARAGGDLEAWPPLGRGAPAETTPLPPWAVPELDLGRRPAEPMEARPARVPSLDPAPAPLPALGPEAPVGRGPTSQPNRWWWVPKGFSSLALGFPASRTGRAAAREIGPNHCSCSTPTPSPPLLCGGGDERTRGAPAGQGHGHGGRNGNGKRPAVEDARAEANAPVHPPQQGPPSPARELERRRRWTAASTNSHGGGSNVRKSGEARRAAAAAQQPENSEVDRGRGRGSTRTAGKPPAPPARRQQGAADPPVPAGGGAGGSGLAQVECFKCGRPGHFQASCTAEPICVICGKEGHHSAACPSKGKEPELSMMGHAISREGFFYVDFEEDDDEEDDLSNSAIITFPGVALTAAGLEQELRHLVEGDWDWQVRVLGEREFAVVFPSRDTLTFSARSGKLYLTLCGTVVDIKLADADPAPAEKLQEVWVRVSGLPRCMRRTRRLLVGMRMLGRPLEVDLSTLKARGPVRLRVACRNPSKLNGAVQFFHKSLGYNVGIRVEEPRGVSSAPRPPSPPHQDDDMDDDDVDANSPSEDEWRALGDKDRAKAAERSKSLPATTQGREPAEEVAGEPAEPVEVITARGASEPPALRGQVELRIDQYGSNLSKSAPWPVPLVVLEQSRAAEGARRELPPSLPPSAIRADPPGSPPVDSSTLLTTGSDYDSEDSLGSPATHKGSGHTAEAEEEGGVVDDTDDVARRPALSGVTVPRARRMRAVPVGPARKSAQLQGPASAVPILQRAKEFTAAKNLDPAGTIPPPPSASEFVVLRKVSDEHLETVAHDAGLAFSVEAGMATDVIAFIRAKEEAQAALALAGARRAHSEAGEASRLGEAPAESREAAQAEVVVVSGEVSADPSHDRDAAPHANARGRSKTRLATRRGRRCPGAP >cds.KYUSt_chr5.9534 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60638297:60640969:1 gene:KYUSg_chr5.9534 transcript:KYUSt_chr5.9534 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAIRSGELLAFPASARRGAASAVSFRMRAPAAGRVAVRVVAAAAEGAGAEAEGKPKPKKRAASGIMKPKPISPELREFVGGAAELPRTEALKIIWAHIKGNNLQDPANKKIIVCDDKLKKIFGGRDRVGFLEISGLLNPHFQK >cds.KYUSt_chr3.47458 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297752259:297755088:-1 gene:KYUSg_chr3.47458 transcript:KYUSt_chr3.47458 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTLEQTAREAAPAGCLATFLDLSHRSFSDVSCLGNFKNLERLDLGHNCLVTLEVLNAGKNKLTKIDEVRSLTSLGALILNDNNISSICKLDPHHQLNTLVLSKNPIVTIGDALVNAKSIKKISMSHCQIESIGSSLAACVELKELRLAHNQITTIPSDLAKNTKLLNLDLGNNLIERVTDLKVLSELRYLRNLNLQGNPIAEKDGLAKKVMKIVPTVRIFNAKPIEASSQNDNSKKVGMLNKDEDMLAHDPKDVKKNDKRKRSKQQVQSPEKPAAKDTPPDVTIATPVKSVLLDSKKKKREKVVLEHDKNSKPKGKDDKAPSDDTDGRAKKESKKKKLVNKEDKDVDGMDDTEVSFADFVFSREAACPEPALEEKTQGIAPGGKFVGGLVIDHTKKRKKSQGTFLDASDLKLLSSVPEVGVGGLSGWD >cds.KYUSt_chr5.1323 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9159174:9162538:-1 gene:KYUSg_chr5.1323 transcript:KYUSt_chr5.1323 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPSSDLAGRSPRRSGLRAQLLQLDLVRDVGVERAPRAGYLGDLDYFDREIAAEEEEKDNEDEREDEEEEHADYGQDDVGPAWDPETQPPDISEEEAIAKALANSELDELNGLAMWDGLEIQLHKSTLAQERPATPPTTPTRCNDGTPAAAPAWDRGHLHHSLLRRRCHVSTLFPPPAIHTLRAARERKMVKLTMVCLQVKLEGEQGTDVDKAENRQFKKVQTDLESVGARVCKLDVPLLPVMYALQGVLDDIEQCEESIKGYYEQVCACIPRDQDPDEGWKWALYSVGEFRWNAEKLPTGTAKQVKAVPGIITQKMSEIMRVFSQKKETHELLTARLRDLQSSTASVLHGDVALMVDPTMLHTGDSLATLLVAVPIPREKEWLVSYPTMHTAHGADGFTKIPMAVPDTAVKVLQDQTHILYAIMVVRSAAQAVAFEAQRRGFSVRQVVRSRQEHELLFSTKTLLLKEEQEAKDDLGDWCTITGQAALQDCRFELLALRAVADSMLRFGFESRSMTFVVAASDPSVDRDARKVLERIAGRKHVLPDDMWRQFLQLDLGDGSDNCDYLSVPFII >cds.KYUSt_chr1.678 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3653251:3657901:1 gene:KYUSg_chr1.678 transcript:KYUSt_chr1.678 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGAGFRLGQRVHAAGDPRRAGTVRYLGPVDGHAGDWVGVDWDGGAGGRHDGSLAGRRYFTATGDRSASFARPAALSPGIALPDAIRLRYRVDDFTKEEQDEMYVFSTSQKRVSVELVGTNKVREKLKNFDELLCASVSFMGVSSTGSPEELQGLVPNLRQLDLTGNLFSKWKDVFSLCQALPSLEVLDLTNNRMENDIAESPVLKNIRVLVLNNCGVTWELVGKLNVPFACLKELHLIWNNMNIITTPVGKFVQGFNTVRLLNLEDNHIVSWDEMVKLSYLRSLEQLHLNKNKIKHVRYPSNPPSSGTPGDVSVLPFEKLQVLLLGSNEIEDFLSVDSLNLFPSLMDVRISDNPIADPAKGGAPRFVLVARLGNVKILNGSEVSVRERREAEIRYIRLVMGKAESDDPEVIKQLHPRFAELKALHGIEDEKPTSRTLGPQKMSSGLISITFKCVGPSMGEKQPLTKKLPSTTTVGKLKSLCESFFKLKEIKLRLFLEEEGCPLPQLLDEETASLVELGIGAGATIIVDEEN >cds.KYUSt_scaffold_6468.383 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1908871:1919030:-1 gene:KYUSg_scaffold_6468.383 transcript:KYUSt_scaffold_6468.383 gene_biotype:protein_coding transcript_biotype:protein_coding METAIGAANWLVSKLLNKLSDDLVAAFVASSELGLNSEQIKTKLNYMQGLLHVAQDRGVHNNPGLQSLLEDLSKKADEAEDALDELHYFMIQDQLDGTKEAFADLGDGLCGHVLHGRHAARHTIGNWLPCFSCSRTLDDESAAATIVTTNPNSVTQSDSGNDGGHVGKLKFDRVAMSNRIKSVIEGIHNLCDPVSDLLNKIPNNSTVVTIKRSPTGSTVSQDKLFGRSAIFEQTVNALTGGTYQTETLSVLPIVGPGGMGKTTFTQHLYNDKRVEGHFTVKVWVCVSTDFDVLQLTRQILSCIPAIEEEKYKCNIETASLDQLQKSIAERLKSNRFLIVLDDIWKCNTETDWKNLLSPFTKGESKGNMVLVTTRFPSIAQMVETTDPIELGGLEPNDFFTLFEACIFDRSKLPGNYEDDLIDVARDIARKLKGSPLAANTVEITLPSTLSRLYHLKFLDLRDWWDIEKLPKDFSRLVYLRHFHSSGNFHSNIPEVGKMKRLEELKEFHVKKENVGFELKELGELSCLGGELSIYNLETVRSKGEASDAKLKNKTNLKKLRLVWSKAEHQPIDDDVLDGLHPPNNIRVLGIINHGGTTAPSWLCGDISIKKLESLHLEGVSWSTLPSFEQLSNLSKVTFRNIIGMRLFGPGIGGVTERSFTHLKEIVFEDMAELVEWVGGPSSHMFARLESIRINFCPLISSFPFLECSHVFTKLCTLNIWKCPGLSLFPLMPHTSTLTYVRVGNVGSGLLYDGKEVSINGYTRPLAFHIMDKVEHMEICGVSHVTLSDLQKLKSLSTIHFNRCDDVFSTELDDTVLLHSVQSILIIELQITGELFSKALRIFPALSNLEISECRNLGLLPAEDGGLSDLRVLQSFNGSRCGKLFSQWPMGEVGGGGHAINPFPTCLKELYIWSEPSMQSMGLLSNLTSLTSLTLIDCSELTIDGFNPLITVNLKKLYVRNEEGISIAGDLLSEIARSKLMSAGSFQLEHLGVDSISAVLTAPICSHLAATLHTLRFSHDQRMTTFTEEQERALLLLTSLQHLGFYVYKNLQSLPRGLRGLSSLKSLVIERCEKILSLPPKEGLPTSLEELAVLFCSPELLELRGFTSKIISLITTNTQGGTVVVNLNDVDRDFFLTGKEQPQQMVIGELSRFVVLHLQHYYSIQMITATEAHAFTLKQQFNKGIPLTVLPFGEAKIRHDSSQLRRVADSLISPVMLVRLPVLAASSAASPPTPTPVIKLGICAYSNSGVNNLAISAYSNSCGNDLAILTHMVFGSYLDF >cds.KYUSt_chr2.10563 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67188815:67192916:-1 gene:KYUSg_chr2.10563 transcript:KYUSt_chr2.10563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os03g0390200)] MDRYEVIRDIGSGNFGVAKLVRDIRTKEHFAVKFIERGQKIDEHVQREIMNHRSLKHPNIIRFKEVVLTPTHLAIVMEYASGGELFERICNAGRFSEDEGRFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRREYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITRILSVQYSIPDYVRVSADCVHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPVEMTDEYQRSMQLADMNTPSQNLEEVMAIIQEARKPGDNALRLAGQVASLGSMDLDDFDFDDIDDIDIENSGDFVCPL >cds.KYUSt_chr1.29279 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177230028:177230894:-1 gene:KYUSg_chr1.29279 transcript:KYUSt_chr1.29279 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVALVFVVLVSIEFTNASRMLASSSSAAGGGGGGGGGGGSDGGSGWGSGGGKGGASGYGETYSWGGTQNNYAQGTGGGGGVGAGGGSLGGAGSGSGSGHGTGTGSSGSASAPRGNGYANANGNGGGEGQGAGANGSSGQGAGEGGGTGGGESNVAIASAPAGGVSYSDAGGSGTGGGGGNNGNGGGKGSGAGQAGNDDTSGGSASGQGSGDGGGIVKGVAQGPSVGVGSGVGSGAGQTGSSGTTLEGYAAGNGAGGGGGAGSSDNGGTASGGGTGSGSGDGGYH >cds.KYUSt_chr2.8342 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52542009:52542443:1 gene:KYUSg_chr2.8342 transcript:KYUSt_chr2.8342 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPVPVQILSSARKSQPAANGTAESGAKRRRGAEEHQCPISLDPTPLKVDVAPSSLLDSLRPAAARDDALRRRLPLLDSGGHRCLSSLSAPPPIEATLGSPGYRRLIPGPTDVARGQPSSVRPAQLAFSTLESRVLPCPAQHL >cds.KYUSt_contig_319.1499 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:10013467:10019739:1 gene:KYUSg_contig_319.1499 transcript:KYUSt_contig_319.1499 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPAGHSGVFPVGGAALGVGAGGGGGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKVRQLSLPLSDPARDCDPSPFVFAESGSRMVGFGWWKEPVIAEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSGTEGSTEEDISNVEDQEPSEIQKWSADFPDLLDVLLAERRVDEALDALDEAERVAADARRTQTLTAAEISALRGAVSDNRQKLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLSAHNQRLQCNLQTIHPSSTSYGGAYTAALAQQVFSVVAQALSDSVEVFGDESCYASELVTWATKQVLSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARGLSVSSVLLKQFKPSLEQALDANLRRIEESTAALAAADNWTLTYPPNGIRPVARSSVANLALQPKLSTSAHRFNSMVQDYFEDVAPLLSLQLGGSTMDGIAKNFNLYVNLLISALPGSMDDEANVEGLGNKIVRMAETEEQQLALLANASLLAEELLPRAAMKLSSINQSTDDLRKRGPDKQNRVPELREWKRKLQRMVDRLRDSFCRQHALELIFTDEGDTHLSAEMYISMDNTVEEPEWVPSPIFQELYAKLNRMAGIAADMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMGAFSATGMNPDSVLPGDDWFMDVSQEVVGMISGKGRAANGDREIHSPTASVSAHSMSSFRSHGSS >cds.KYUSt_chr2.15719 pep primary_assembly:MPB_Lper_Kyuss_1697:2:98971954:98974326:1 gene:KYUSg_chr2.15719 transcript:KYUSt_chr2.15719 gene_biotype:protein_coding transcript_biotype:protein_coding MQTWPSSGGGFAKGIIDLGGLEARQVTTFDKVWSTAQGGQDGLGATFFKPSPIPAGFRALGHYAQPNNRPLFGHVLVVRDASGTGALLAPPLDYTLVWSSGQDGGAAFFWLPTPPDGYRAVGMAVTTTKDKPPLHEVACVRADFTDACEDEESVWSSDKDGFGATALRPTVRGIDARGVRAGTFGVHAQSSTAPTTLACLKNNNGAYTSCMPDLAQLHAILEAYSPQVYLHPTDTYLPSSVPWYFENGALLYQNGSQTAPTPVVADGSNLPQGGGNDGAYWLDLPVDNGQRERVKKGDIAGAKAYVQAKPMLGGTATDLALWFFYPFNGPARAKVGPLTIPLGMIGEHVGDWEHLTLRVSNFSGDLLRVYLSQHSTGTWEEASQLEYLGNRPVVYASRNGHAFYTKEGVVLQGDSKLGVGIRNDCAKGSMMDTGGGRCEVVSAEYLGAGKVAEPAWLGFERGWGPKEEYDIGREINRAARILPRAMRERLGELVKKLLVGEGPTGPKMKGSWRNDERDPKT >cds.KYUSt_chr4.2437 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13593657:13597098:-1 gene:KYUSg_chr4.2437 transcript:KYUSt_chr4.2437 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRVAEAAGAMAAVVLLATFGGAAAAATSAAAPALMLRRAAPLNGLDHLQKLDRARLAKRSGVVEFGLLGLPGIYYTNVRLGSPSKEYTLQFDTGSDLMWVSCSSCTGCPATNDLGIPLEFYRPSSSSTTSNISCADDRCIDAVKEGHSVCQTSDSPTNQCGYQVAYGNAATSGYYVSDTMHFDTVMGKGNEQLASSSASVLFGYSFPAVICSTSRSSNLQTDGIMGFGKNAPSVILQLNSQGVSPKAFSHCLTSSQDGGGILVLGAVAEPGIEFSPLVPSQARYNLNMKSIDVNGQKVSINSSLFTTSNTQGTFVDSGTSLSYLADGVYDPVISADNESLMCIGFLRSKELEAYRHITILGDLVLRDKIFLYDLEEMRLGWVNYNCSQLNKTTVPVTVPVGSGSSRRRTPSYGSGLTALVVVVISINIIFSWRPDSWWFHRQGY >cds.KYUSt_chr6.33006 pep primary_assembly:MPB_Lper_Kyuss_1697:6:207873007:207878063:1 gene:KYUSg_chr6.33006 transcript:KYUSt_chr6.33006 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASEATMKSLLSKLGGLLAHEYALIRAVRGDVQYINDEMASMQAFLGDLSNSAPQGHDRRMKDWMKQIRDVTYDIEDCVDDFAHRLSHDPGGDVCCAFIVSNVYEVWTWRPRRDIASNIAQLKVRAEQIGERRTRYGVENPKSSDAQSVGAATGFQAADNQQIGLELVGTKTPVGVVKEMEELGKWMMLQPAPHDRAASSPDPAPSPTEAAKEDQGVLAIVGFGGVGKTTIASALYQNFGDQFDCRAKVTVSQSSDIEAIVRIILDQVHPQYKNSNGRTGSRGGGTSEKNRLMASIGNLWDTVVPKGHKEDVQRGGSTSEMTQALKRHLEGQRYIILSNCEKHIQSSRLSAHANAHAIGIASAVFLDSIFKRDNRINLVRPTVDATMTPDGSTIHSTNIRQHPAAAPKTMPQEGFSGAWNPKILTHVYPFYLILVDDVWSASMWDKIKKSLPTINKGSRIIVTTRFQAVASACKRSKADRIHKVVVLSDEKPRELFMAESKMGNENHNKVPPRLWEMCGGLPLAIVTMAGHAACNPNREEDEWKKVCSSLVPDSSKALAQDGVTRILSHCYNDMPGEIKTCSLYLCIFPKGRKISRKRLTRRWLAEGFVSEKDGLSVEDVAETYFNHLVKRKIIRAVEHSSNGKVKSYQVHDMVLEYIVSKASEENFVTVVGGHWIMAPPSNKVRRLSLQGGDSKHKKAMESMNFSHVRSLTLFGSLAQLPSNSLKFGIVQVLDLEGCKDFKKQHAKEICKMLLLKYLSLRRTEIDKIPKKIEKLQYLETIDIRETNVKELPSNICVLERLVNILGGNKRTRKALKLPEDLKKETMKSLRILSGIEIVQGSAVSVADLHHLTDLRKLAIYKLSIQKGSKLFSDLSSSIEYLGGYSLHTLIIDDQSSEFLTSLNALSSPPKFLNALELSGKLIELPQWITELDALTKLTLSVTVLATDALQRLSKLQKLFSLTFSLTSAKQDPGNTAHSDREIIAPASGFEELKLLRFSAPCVPLLSFPDKAMPKLERLELKFSNLEGMYGIENLEDLKEVHLRVHDEAGDVTKFMVDDMASAARKDDKGPRIIVDQYHE >cds.KYUSt_chr1.21399 pep primary_assembly:MPB_Lper_Kyuss_1697:1:126182551:126195185:-1 gene:KYUSg_chr1.21399 transcript:KYUSt_chr1.21399 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIKEMVRVATARLGGEPSPRAGSSLPGRAESSRSRTARLGGGGASLRRQPQPQAPTVRTIYCNDRDTNAPVAYKGNSVSTTKYSVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQKWESTPWKRLQVGDIVRIKQDSYFPADLLFLSSTNPDGVCYIETANLDGETNLKIRKALEKTWDYLLPEKAYEFKGEVQCEQPNNSLYTFTGNLIVDKQTIPISPNQILLRGCSLRNTENIVAAVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGHVEDQFNPKNRFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCAKFINNDLNMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEIYGTGITEIEKGGAERAGVSIDDDEGKRSATAVHEKGFNFDDARIMCGAWRNEPNHEACMEFFRCLAICHTVLPEGEETPEKITYQAASPDEAALVAAAKNFGFFFYRRTPSTVMVRESHVERMGSIQDVAYEILNVLEFNSTRKRQSVVCHFPNGKLVLYCKGADNVIYERLADGNHDIKKKSREHLEQFGSAGLRTLCLAYRDLSRDQYESWNEKFVQAKSSLRDRDKKLDEVAELIEKDLILIGCTAIEDKLQEGVPACIETLSAAGIKIWVLTGDKMETAINIAYACSLVNNDTKQFIISSETNAIREAEDRGDPVEVARVIKDSVKQSLRSYLEEAHRSLSSTPGRKLAFIIDGRCLMYALDPALRVNLLGLSLICHSVVCCRVSPLQKAQVTSLVRKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRYLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIMVGLFDKDVSASLSKKYPQLYQEGIRNTFFKWKVIAVWAFFAFYQSIVFYYFTAAASQHGHGSSGKILGQWDVSTMAFTCVVVTVNLRLLMSCNSITRWHYFSVAGSIAAWFLFIFVYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLMLVPVIALFGDFLYLSLQRWLSPYDYQVVQEMHKDDPHEYSIIHLPERSHLSPEEARSYAISMLPRENSKHTGFAFDSPGYESFFASQQGVCVPHKPWDVARRASMKQQRQQPHRRNPPSV >cds.KYUSt_chr7.39033 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242909599:242912539:1 gene:KYUSg_chr7.39033 transcript:KYUSt_chr7.39033 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAFFLFCGCVEQANVAVVEKWGRFLRLAEPGLHFFNPCAGEFVAGTLSTRVQSLDVRVETKTKDNVFVHLICTIQYRVVKENADDAYYELQNPQQQIQSYVFDVVRAIVPRMELDNLFEQKNDVAKAVLEELEKVMSDYGYSIEHILLVDIIPDAAVRKAMNDINAAQRLQLASVYKGEAEKIHLVKKAEGESEAKYLSGVGIAKQRQAITDGLRENILNFSHSVSGTTAKEVMDLIMVTQYFDTIKELGDNSKNTTVFIPHGPGHVKDIGDQIRNGVMEASCSNV >cds.KYUSt_chr4.54941 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339337707:339338940:1 gene:KYUSg_chr4.54941 transcript:KYUSt_chr4.54941 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLLAEVSPQELLVSLAELHNHFLGYVKSMSLKCAVDLGIPEAIHRRGGTATLADIATDTKIHPAKVADLQRVMELLTASGIFSTSTAGEDDVLYGLTTTCRFLVGWHSLSPMVPFLLNPLVVTSFFSMPDWFRSEPAPAGAGSLFELAHGCSQWERAGKDAEFSGVLNGSMAADSQVFLEVIIMDKGRIFRGLSSLVHVGGGKGAGTKVIASAFPRIKCTVMDLPHVIADGAGAGHENLLFVAGDMFQSIPSADAVVLKGL >cds.KYUSt_chr4.5754 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33563715:33564876:1 gene:KYUSg_chr4.5754 transcript:KYUSt_chr4.5754 gene_biotype:protein_coding transcript_biotype:protein_coding MELWNDRHHVWLRSRGTGDYLHADDDGVGVSLQGCRATLNAAWAVHVYHNDLGMYLLLHCAAYGTYLAATARRPPLAHRGFRVVQRNYDQPEVQSIMWQVVGAGPGTGNAVLLRNVAGRYLRANGKYLLVNNAVTVDDYDNISNMMHWIVEPIPARMGMPAISDRPIKEHLPGDFSVVVLRRTVEPLRLIRFVLSNNNGLYPEEGWLECRYRGRSVYRLRNDLARRLGFSHGLYILMCVRAGRYGRLTPLVQNLPRHRHEVTIEIVVFMERALDAACLDILELDVNLLVLETTVD >cds.KYUSt_chr7.3280 pep primary_assembly:MPB_Lper_Kyuss_1697:7:19541631:19542470:-1 gene:KYUSg_chr7.3280 transcript:KYUSt_chr7.3280 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKLSLVVLLFLLFPATGSANAADAGPADAAPGGFNITEILDRYPEFRLFSYLLGKTRVAREINRRNSVTVLAPANSDVDWLLRRSARLPRAALLELLSVHVVLDYYDAAKLAALPRGAGARPVVATTLYQTFGPTAGDKAGFLTITPAPNGGAVFSSAAPGALVSATFKKAITARPYNISVLQISNFVVPPGVITKPRTPPPPPKRMSSMAVAPSPAPVPAPLPCPPVTMPVEVEPMEEIPAAAPAPSQGYVVRAVMGGWSGVGMALGMACVLAHL >cds.KYUSt_chr1.24623 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147379213:147382602:-1 gene:KYUSg_chr1.24623 transcript:KYUSt_chr1.24623 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVATRAAGLSKWHWRLCWWKALLPRLAIDALTGGRTCSHGRPSLLPRAEASLLQLVALLQRPGTRAACPCPHSCKGRPAVLPMPAACATNIDWRSSKGRPAVLPTSTACATNIDRWCSQCWLYVLPTPAASATNSESATGGASNGRRRSCKRFRWCCKWQSPELQAIPVVLQMKGGGATKEVWWSCNRPPQLLQGSNSDVMGGFSDEGNFSGTIGNFNAEVKSFPSAIDNSPAEDIRFWSLGPFTTTKCTILKLQVYNNFIDVGHEHNNFTGNGTYRCHIYRPLPALRLETYRQDVERAFGVLQAQFAIVRNPVLSWSQDQMWEVMQACVIMHNMIIEDDRKNHVRRHVGPYECHGPLAEVDHELLADFADFLVMHAEIRDNNVHGQLQNNLVEHMWRIKGLSGNAAAP >cds.KYUSt_chr2.2477 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14933930:14940596:-1 gene:KYUSg_chr2.2477 transcript:KYUSt_chr2.2477 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTPARIPTSPASTAAAGRGSLLFRRPFVAGRRGGRPATALFARTPAAAELVERSVNTIRFLAVDAVEKANSGHPGLPMGCAPLGHVLFDEFLRFNPRNPGWFDRDRFVLSAGHGCMLHYALLHLAGYEGVTMDDLKAFRQWGSRTPGHPENFETAGVEVTTGPLGQGFANAVGLALAEKHLAARFNKTDFTIVDHYTYVILGDGCQMEGVANEASSLAGHWGLGKLIAFYDDNHISIDGSTDIAFTEDVLARYEALGWHTIWVKNGNTGYDDIRAAIKEAKEVKDKPTLIKVTTTIGYGSPNKASTHSVHGSALGSKEVEATRKNLLWPHEPFHVPDEVKSHWGHHLDEGASLEAEWNAKFAEYEKKYQQEGAELNSIISGELPSEWDKALPTYTPESPADATRNLSQQCLNALAKVIPGFLGGSADLASSNMTLLKMFGDFQKDTPEERNIRFGVREHAMGAICNAIALHSPGLIPYCSTFFVFTDYMRAPIRLSALCGSGVIYVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILVLRPADGTETSGAYRLAVINRQRPSILALSRQKLPQLEGTSVEGAAKGGYVISDNSLGNKPELILIGTGSELEIAAKAADELRKEGKCVRVVSLICWELFEEQSEEYKESVLPRDVSSRISVEAGVTLGWEKYVGQKGKAIGIDCFGSSAPAGKIYKELGLTVENVIAAAKSL >cds.KYUSt_chr3.11873 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70857728:70858953:1 gene:KYUSg_chr3.11873 transcript:KYUSt_chr3.11873 gene_biotype:protein_coding transcript_biotype:protein_coding MYQEERTRSGAELLPEPCEEEPQGVARGSRGTQRATEHNAQFGELGPRGRRPRHPGVVSCFDAALAARLGSLLPLPSSPLVALSRLADLLAATLGDVAPALSSAVGQGGLASAVVAAHLDAGVALLDACNAIAARIDLLRRRSLLSRLALHLLSSGCSSPSTPAPWAGRWLAGASRVLLAVNAVFSLAATAAATILGGGKLNSGSFPLLVSGDLSWAEPLNALASQLAVLADANTSEVDAVDDSGSFPLLVSGDLPWAEPLNVLASQLAALFEGSTEAQDAGDDEAVRAAAREVEKWTEELAALLDRLPDAVNGVFRAALHLHAVELASFAVGPGELGITSSSVCCSLTDYRVDQKKKQNEPVHGTLPVIWNLVGG >cds.KYUSt_chr7.11206 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68839790:68840425:-1 gene:KYUSg_chr7.11206 transcript:KYUSt_chr7.11206 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSREACAVAMAMAAPFIAMASNIDAGNLEKRRRTSSDKLQRTVSDVSYELHHHGRAKEEEEAAAEAEMKLLHPVPEVEDAKCECCGMSEECTPEYILGVRGRFSGRWVCGLCAEAVTEEAEKSGTSREEALRTHMGVCKRFNGFGRTHPVLFQAEAMREILRKRSKLGPRSRSSINPREVRESASKAKAAAAGGGIARSSSCMPFITDE >cds.KYUSt_contig_5157.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001028.1:24013:24475:-1 gene:KYUSg_contig_5157.2 transcript:KYUSt_contig_5157.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTTVKPENEEEMSTGYGNDSESLRLVENRRFTYEELDMITNGFQRVLGRGLLQCLRWLLTGWHSSGSEATVSLLQSRKQGVPRRGSDFDPDSSQEPCLYDWLLQGREYMALVY >cds.KYUSt_chr3.10508 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62427011:62428438:1 gene:KYUSg_chr3.10508 transcript:KYUSt_chr3.10508 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVEVAILSVVLEILPVEVAMLPVAGAMLHVEVAILSVVLEMLPVEVPMLPVAGAMLHVEVAILSVVLEMLPVVEVVMLPLAGEMLQVEVAMLQVEVAMLPVAGEMLHVEVAILSVVLEILPVEVAMLPVAGVMLHVEVAILSVVLEILPVEVAMLPVAGEMLHVEVAILSVVLEILSVEVAMLPVAGAMLHVEVAILSVVLEMLPVEVPMLPVAGAMLHVEVAILSVVLEMLPVEVPMLPVAGEMLQVEVAMLPVAGEMLHVEVAILSVVLEMLPVEVAMLPVAGAMLHVEVAILSVVLEMLPVEVPMLPVAGAMLHVEVARLSVVLEMLPMEVPMLPVTGEMLQVEVAMLPVAGEMLHVEVVILLVALEMLPVEVAILPVVEVAMLPLAGEMLRVEVAMLPVEVAMLPVAGEMLHVEVAILSMVLEILPVEVAMLPVAGAMLHVEVAILSVVLEILPVEVAMLPVAGEMLHG >cds.KYUSt_chr4.13804 pep primary_assembly:MPB_Lper_Kyuss_1697:4:85005576:85009648:-1 gene:KYUSg_chr4.13804 transcript:KYUSt_chr4.13804 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLLEPTRFLSLPLPRSLPPRRGLLHLPNSSSPHRAPRLRAQATTPRLPPSSPSPSSTSPHALLSALKQSLLDSLAALKKPALALLLAGALLAATAGPDHHAALAASGGRVGGSAFSSSSRRSSPPSSYGYTAPAPRMGGGYSGYSSAPFYSASPFVSVGPAFGIGFGGSGFLLTLVGFAAFLYLGGFLGDSAGGGSVLTDTQKTTVLKLQVGLLGLARSFQKELDQIAEKADTSTPAGLSYVLTETTLALLRHPDCCISAYSTVDVKRSIDDGEKRFNQLSIEERGKFDEETLVNVNSIKRQKAGSQRSSGFSNEYIVITILVAAEGVHKIPTINSSSDLKTALQTLGGVPSSKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >cds.KYUSt_chr6.11338 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70276002:70278317:1 gene:KYUSg_chr6.11338 transcript:KYUSt_chr6.11338 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSSVAPSELFSQDASSLLLQRQDTPAGAEASMETEAKKVVCVTGAGGFIASWIVKLLLQRGHTVRGTVRDPADPKKTEHLHALDGSAERLHLFKADLLEEGSFDDVVDGCECVFHTASPFYNNPKDPQVELIEPAVKGTLNVLASCKKASSVKRVVLTSSMAAVVFTENPLSPGVVVDEKWFSVPELCEKAKVLNICCQTERHVFNLS >cds.KYUSt_chr7.551 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3062164:3065350:-1 gene:KYUSg_chr7.551 transcript:KYUSt_chr7.551 gene_biotype:protein_coding transcript_biotype:protein_coding MYHESHITHHPINQTWHLNGKCPENTIPIRRIKEEDVLRASSRTRYGTKRTGSIPNNNSIDDPETPNVLRGHQHAVASAQVDKCYGTKISINLWQPMISRANDFSLAQLWIIGGSYSGNDLNTIEAGWQVYPHFYSDNNPRLFIYWTSIEPAWKLTIEGCNFGLQDPEGGNWWLQVGSIDVGYWPSSIFSYLADSASSVMWGGEVFSPDAGQTSTRMGSGHFPKEGFGKASHMKNIQLVDSSNYLRPPNDVQLVTEQPHCYNVRNGISDDWGTYIYYGGPGKNPYCP >cds.KYUSt_chr6.9036 pep primary_assembly:MPB_Lper_Kyuss_1697:6:55817401:55818406:1 gene:KYUSg_chr6.9036 transcript:KYUSt_chr6.9036 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARAHPRYVPTRGAVLKRIVSGVLRVFLSQMNRLVPESTVSGRVSPAPPDILAGDGHGGGAAEQGNKRYLWTSVSSSTGDCFLSLFAADPIFIMGPGLHASGLPTVKQF >cds.KYUSt_chr3.8240 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47407059:47410116:1 gene:KYUSg_chr3.8240 transcript:KYUSt_chr3.8240 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLGLRHVGGCSASGGERCWEAEGEGSTILPPAPSARPDEILLPAHSDGIFRPGESLRTLQRPPPLSFNYVMYDGERPAVAEVRPSPKEVVGTDVFRLEVQPGIGVSLAMAVVVSLEQMFARPCLLRSWCTA >cds.KYUSt_chr2.54393 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339413190:339414078:-1 gene:KYUSg_chr2.54393 transcript:KYUSt_chr2.54393 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTPEVAAVLRPSCAPSAAASCLAAPSLPLQLAAVDAQPWRGPVRRARSGPVWARSGLGGPRPASVERLVDGNKAPCSAGHAYGQGLLGPDDSVYAPGESFAPLVPMLAVPLGTVSLLRASSGSLVSCCRESMRSPGENLCSSERAAATHCAVTFLKAPLLEFVFLVVR >cds.KYUSt_chr4.41185 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254621734:254626339:1 gene:KYUSg_chr4.41185 transcript:KYUSt_chr4.41185 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAIGGFGASAGRWSLHGKTALVTGGTRGIGYAVVEELSALGAAVHTCSRKEAELAERLKEWEAKGFRVTGSVCDVSVREQRERLIRAVADRFAGKLNILVNNAGTCIGKPTLEYTAEEYSFLMATNLESAYNLCQLSHPLLKASGAGSIVFISSVSGVVAEASSSIYGMTKGATNQLAKNLACEWAKDHIRSNSVAPWYVNTSMSEEDMANEELMALVAQRTPLRRVGEPEEVSSLVAFLLSSLRQLHNTEALKYKATRGLQHTTKETNSTKDAMLHTRLTHSTKATNSTKDATRHKRLTHSTKATNSTKDATRHKRLTHSTKETNSTKDATRHKRLTHSTKETNSTKDATRHKRLDGH >cds.KYUSt_chr2.34452 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212794465:212799524:1 gene:KYUSg_chr2.34452 transcript:KYUSt_chr2.34452 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLARLQVHLKLARDYALDGLYDTSIIIFDGVLAQINKHLTNLDDGFTRTKWMKCKQAISEEVEIVRQLDALMKSFKEAPGSGTMRSSSPPIRSNKSFLFQPLDEYPTSLPQTFDDPDVWAPPKDRPTRRLTRGQSSAKKSSQDGAWSRGPSKTGTPSRRAKPNGSKSSSVVRSSTASSAGGRKGKSSSSKGDSASSDAEEGKSKKSRYDGPDGDLVAMLERDVLDSTPGVRWDDVAGLSEAKRLLDEAVVLPLLMPKYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECRTTFFNVSSATLASKWRGEGVRMVRCLFELARAHAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQMDGVNNSSTNEDGQPKNVMVLAATNFPWDIDEALRRRLEKRIYIPLPSSESRKSLININLRTVEVSSDVNIDEVAKRTEGYSGDDLTNVCRDAAMNGMRRKIAGKTRDEIKNMSKDYISKDPVAMCDFEEALAKVQKSVSTSDIEQHENWNGKFAI >cds.KYUSt_chr6.29091 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184237056:184237691:-1 gene:KYUSg_chr6.29091 transcript:KYUSt_chr6.29091 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSEDAAEEPEWRVAAVHTGGSSILEEKRPTHCPLYKDGLLGAAAEICPSGSPHRRAAAVLAQRADSAAHAPLHHHTGGHRSPPPASAPRRPGSGRARRLRSFFARIRSARPVSGRASRLRSSPPWIRPRWAISGHAGFRPSPPRLRRAGPSLASLGASGRRGCSRARAAGRVRRRALAEDWRNERAATVGEERRGLGRTGWTGIGE >cds.KYUSt_chr1.5614 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34631516:34632442:-1 gene:KYUSg_chr1.5614 transcript:KYUSt_chr1.5614 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAKTLVKALTGKTVTAGRNSSGRITSFHRGGGHKRSLREIDFKRDTSSVGIVERIEYDPNRSSKIALVRWIEGVGQKDASYKAERAPVNYILASHQMEVGSMVVNSDCSKPSTTGSLRPAQNADSFLRFQELFRQVHADAEGTDQAEAAVTAAPLPPADLLDLNSKVGNCMPLADIRMGTWVHSIECRPGQGAQLVRAAGTYAKVVKESASQCLVRLPSGAEKLIDSRCRATIGIVSNPSHGAQELRKAGHSRWLGWRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGYKTARKQKA >cds.KYUSt_chr5.7511 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47359277:47359666:1 gene:KYUSg_chr5.7511 transcript:KYUSt_chr5.7511 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLGALLVAGERRRRWWSWCWWPPCWGPAARHGAAWAGALALAVSVASFAPEAAFVWALTGGGGDGLCPAGAVRVPLDGGGDHVCVPARLAGRTCADILVPPAFAALSVGASAVFVRALAIGRRHDF >cds.KYUSt_chr4.50714 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314199575:314200655:-1 gene:KYUSg_chr4.50714 transcript:KYUSt_chr4.50714 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRAMCCLMPSKPSGDTRRRSSAACICCIGPHHRPSGGSTLAPVDAENSVMTPLTSCCGTGGAGNVVRAGRSGTRTPRTPKTPCTPSARRLCGVRSRTPRRTQQARRFAAPETAPAAAVSPAPARTPRTPSTPIGRTQRVCCITSGGAPAQGRAKTTSGTTRRSTLSSASKAVAQTTPRNAAHAVAATVRDTTTTTPLPRANGGDVAKAYTPPLEVVKAAAKEPAREEESVCSNEEYALLCREGFAREDVAAVTIQAYFRAHLARRAFKALKSLVRLQAVARGAYVRRQAEVAVSCMQAMARLQARVRARQTTLGKPKPKPEDNDGDKLLLQS >cds.KYUSt_chr1.37778 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230601512:230602296:1 gene:KYUSg_chr1.37778 transcript:KYUSt_chr1.37778 gene_biotype:protein_coding transcript_biotype:protein_coding MKELLAEEKEVTMMSTKYMYVDQLTWWKETKTDITERKRLLRPGRGASGGGASIPQGGFRSRPMTFLRSLVLKSAAATATEVIAAVCKVKNLDPRQYIDLTLLYNCVKCKHI >cds.KYUSt_chr7.40248 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249940834:249948909:1 gene:KYUSg_chr7.40248 transcript:KYUSt_chr7.40248 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGWLRLCLIGVSTLLVLCFVKLLSKPKKRLPPGPWTLPFIGSLHHVIGGLPHRKMMEMSRRHGPLMHLMVGEIPTVVVSSAEAAALVMKTNDLAFASRPCSVTQGIVGLDGAGIVFGTYGDRWRQMRKICVVELMGPKQARRMDGIKTKEVEQLLQSLAVGGGATVNMSRKLSAISVDVVTRAVFGGTFKQQDEYLHELNNILKLLRGFTLADLFPSSRLMRWLSNGERDMRRTSGCMNRIIASVIDGRKAARAPNQGAAGDEDLLDVLLRLQEEDSLAFPLTPEIIGVVIFDIFAAATDATASVLDWTMSELSRNPKVMAKAQSEVREVLGQDRGVITTTDLTELYYMRMVIKEVLRLHPPGPLLVPRETREDCEIMGYHIPKGTNVHVNVFAISRDPKYWDNPEEFKPERFEEKKVDYYGTHFEFTPFGAGRRQCPGMSFGALTVEIVLANLLYHFNWMLPNGIVPESLDMSEKFALPGRHSGIALAGGRAVEHCTGFVAQDDVHHSYLTVRETCSSPTTSSSPPLDHRDEAEEVELVVAAERGVEVAGGQSRHTTRRRRDMSEAERFSVGLANVAWKLFDEMPHGALVVLNMMVSSVKPTSLRDYVDVEGGLPIQDQDQYSVTVL >cds.KYUSt_chr3.8495 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49123135:49124951:-1 gene:KYUSg_chr3.8495 transcript:KYUSt_chr3.8495 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPAYAVLAAAFRTNSPISVTRCLGVLASRTRAARPNALEAFPRASSPPSSHSSLLPRIYALASPRLCHTSAQEGPYNVCAGEVLRVLKSCDADADLRKDLRRFAGEMDEDVVLKVLQKQRSNWQVALSFFNWAAGLPGYAHGPRTYTEMLDILGRMKKVRLMRQVFDEIPEERRGPVVTNRMFAVLLNRYAGAHKVQEAIEMFYKRGEYGFEVDLVGFQILLMSLCRYKHVEEAEALFLLKKDEFPPVIKSWNIILNGWCVKGSLPDAKRVWNQIIESKLKPDLFTYGTFINALTKAGKLSTAVELFTSMWEKGINPDVAICNCIIDQLCFKKKIPEALEIFREMNDRGCQADVATYNTLIKHFSKIRRTEKVYELLDDMEQKGCCPNNMTYSYILKTTEKPRDVINLIQRMEESGCRLDSDTYNLILNLYVSMKYEKGAQQVWEEMERNGSGPDQRSFTIMVHGLHSQGKLDQALDYYTTMKSRGMTPEPRTRILVKAIHMKKDEPDNEDRSPSRAGKYLKLDRRSGLFHVHK >cds.KYUSt_chr3.32965 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207057298:207057780:1 gene:KYUSg_chr3.32965 transcript:KYUSt_chr3.32965 gene_biotype:protein_coding transcript_biotype:protein_coding MESRIDQEAAPLGGVGVVLGLPGPTCRRPPWESVGVEPGGGHVWRSWSAMAEPPDGLLRPNICPRGWAPAIGEIAGVPRIPGSAQRCGVIQPFHHPGSMMCPPWGPGGELVGWEEAGETSTRGAGAAVTAGKSSSIEVRNGGAGTVVTIASPAGMMVKLI >cds.KYUSt_chr4.13761 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84774746:84777991:-1 gene:KYUSg_chr4.13761 transcript:KYUSt_chr4.13761 gene_biotype:protein_coding transcript_biotype:protein_coding DFFVNDLWRTADSNGWRASSAPRTYWLPPPTESESNGYVRVRCNGGLTQQRSAICNAVVVARIMNATLVLPELDTNSYWHDESGFVGIYDVPHFIKTLKYDVRIVMSLPKITAEGKTKKLRAHKMEPPRDAPVTWYRTTALEKIREYGAIYLTPFSHRLAEEIDEPELQRLRCRVNYHALQFKPNIRKTSSDIVNKLRSEGHFMSIHLRFELDMLAYAGCIDIFTPKEQEILLKYREEHFATKKLVHRERRLIGKCPLTPEEVGLVIRAMGFDNTTRIYLASGELFGGERFMKPFKAMFPRLENHSMVGSGKLDKGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLMGHRLYSGFRATITPNRKALAPIFMDMDEGHASGYEERIRQVMFSTHFGAPHRRIHPESFYTNSWPECFCQMNARNQADQCPPNNVHDVLESQFHSTGEVSVAASAKR >cds.KYUSt_chr7.2849 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16911210:16916013:-1 gene:KYUSg_chr7.2849 transcript:KYUSt_chr7.2849 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGPRLRYPQSQPTRAHPPRFDYRDPDANDDDVGDYDDYSGDVYRARHDFHYILLIIELKKGVVFVMDSKRLGNGSSKLLRVNGNQSLHFKIALQMASFSAAAEKRCSTLNLCRGRETAIFSAAAETLQSRFLARIPKLLAPCVLFAVVGILSPAVRFVYVNPLTAEISRHLSEMAEHTEPSSAEHARLVEETRHDATQLFIISIALTLITLTLAFGKQVVARFFAASSTASSSGPGERYSLAELLREVTKWHNMRAPLVTTAALVTVVQLAYMTLVVVDSNSATGMRDYWFLSVRGSLFLAAFLAILYFGVLALMGAAVGRKEWSVLVMLTLLLPALLIPVCGVVVLYLYTEQVMGLGLSLLSVYDILQGVQDLLCLSAANVYCYYS >cds.KYUSt_chr5.27084 pep primary_assembly:MPB_Lper_Kyuss_1697:5:171518214:171522589:-1 gene:KYUSg_chr5.27084 transcript:KYUSt_chr5.27084 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQSILARKSPLGTVWIAAHLERKVKKAQVDGIDIPTYARMFPRSKMPSFLPSSLSPAVCAFSESIMFPEVPIALRTAFASVEVDLPFDADRAPFEVITLPETFNLDDINLDDAIRQMETPDNHRKTFEQITLSEEQYVTITLDEDGRAEPSPAGRSLFIEPEPPEQGTFPPFPEDAMFVDPPEGSLPANTTNGDAMSVDPPEDSLPANTINGEQDSPERLREAPESLLSFKGVIDGSDPMDEDPSPFIYKATTPPAMDSSLSAGRGSLPGTSMPNVSRSISHDPIEDEDPSGTGISLPVFVLEPSPPQVQDSKRKRTLEVQENKRKRTLEVQENKRSRIFDENIVISNDYMGKQIAGKELCKLASKRKKLPHTAVDIWRYNRIRQKDSFFFEPLVHGMCTDLHNVYKAEFPQASASGAESASHERANDVANVEEQDALPHRHLTPKSPGNADVQPEQVTATSPGNAEAQPEPHLTPLSPENGDATPFDFAPELPRFSPGGNQSPVRYDDTPFKTPGGTPQSRPGGTGATEIPATYGSYASPGQSTRVSDPNGSPFPFDDELEEDLPEIPGLISTPSMISSASTGTTGLGSMSTRTRAVAEYFRNKISSASSDDQRGKFCLNGILEGRTRKQAARMFFETLALKSYDYIDVEQEEAYSDISVSLRPSLSTAKL >cds.KYUSt_chr1.26059 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156736882:156745984:-1 gene:KYUSg_chr1.26059 transcript:KYUSt_chr1.26059 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDPPVRWGGSFFRHGTGNPAVVIPFLASSRPTKLTPTAPGALRSARTTNGRDGLAGDRRLRPGPPLLPLPRFLSPLGLNPFGCNLHMDHAIPIPSYWCCFIRSNFPSTASRLTDASVLGRVAYLQFKCQISLKVLVAAVLLIPVGAGGSKWLYTDIDLEQAKAVECPLLCNGLMKCFTSYEEDNAVKLLIVKGNGRAFCAGGDVAEVAQSVNKDSWKYGADFFRTEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVATENTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGARLDGAEMLACGLATHFVHLNRMSLLEESLKKVDASDPFAICGIIDQFSQQPSLKGSSALNRLEIVNKCFSKRTVEEIISALEQENPSVAGEWVTVAIQSLRKASPTSLKISLASIREGRLQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILVDKDRNPKWMPPSLGQVHDGVVEKYFSKVDDPHWEDLNLPPRLSHGRRIAPKL >cds.KYUSt_contig_257.274 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2184539:2186005:1 gene:KYUSg_contig_257.274 transcript:KYUSt_contig_257.274 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALFAEVIKRITRTSDLNSLSLVSKQLYKTEADQRSAIRVGCGLCPATEALVSLCTRFRNLHKVEIDYSGSVANHGNQLDNKGLFVFSSHCLLLTSLTLSFCSHIDDSGLGYLANFKKLASLRLESAPNITSRGLLAVAVGCKSLSALHLIDCQSICSKDWLEYLGYDGSLEELIVKNCDGISQDDFLKFGPGWMKLQKFVFEINERFFVNSYLGYEGYDPSYNAHSLNLYDICCESLKDLSLACMEIATGKGFRFLLGRCKALEKLCLEYVRGLNDNDVIALSQSCNNLKSISLWLDPQFYAGSYRTAFTDKSLKALALSCPMLETVELTFAGCAPEYPSEIGFTQKGLVVLIQSCPIRVLVLNGANFFDAEGMEAVSCAPYLETLELVDCEEVTDAGMCFIARTPCLINLTIRLCGDVTDVGVAELVQAQKLESLIIEGCPRVSLQAVKGIAKSVQYSVKCASSGHLNRKFHKNSSVLDLL >cds.KYUSt_chr2.47290 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295844966:295847425:-1 gene:KYUSg_chr2.47290 transcript:KYUSt_chr2.47290 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLFVAVVLALDRFSLELLVQGAILGVMKKYHEELSSLRRAQTINTMVKLSKKSAVKVEPAAVSAVAGKSGKRNAEDDIEKAAKKRKTAPQLMPVKNDLKKQPPPKKAESSSSDEDSSESEEEVMVQPKKAAQSAKQESSSDSSDDSSSDEEPAKPAASSRRPVAVAESDSSSSDSDSDEDDEVMVQPKKAAQSAKQESSSDSSDDSSSDEKPAKKPAASSKRPVALAESDSSSSDSDSDEDDEVTAQPKTAAQSAKQESSSDSSEDSSSDEEPAKPAAASKNVESDSSSSDSSSDEVSAVSKTSS >cds.KYUSt_chr1.7975 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48922964:48923927:-1 gene:KYUSg_chr1.7975 transcript:KYUSt_chr1.7975 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKKAYKARMAKLDKDNAADDDIQVISNPNDASKKEESPPNDASIKEISPPRPIGSQKRKRGRPRKIETLKPTNPVKDQYKLTTDAVTGLVEGRARRKSNPGPQQVSPYKEL >cds.KYUSt_chr5.2587 pep primary_assembly:MPB_Lper_Kyuss_1697:5:17324130:17326337:-1 gene:KYUSg_chr5.2587 transcript:KYUSt_chr5.2587 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPASLGVGARSCETAEQTREWMEAIAAFLGRHRPLLEAHVVNFFKDRLWETVDAEWMECLRGEPVERLLMLPSGCVQDHWPSSLQEFILNARSLVLPRDQKSPQSFLPDSRVASIGTVLAQGMNTKKKHEIEHLSAMVDAVARSCGAKTVVDVGSGQGYLAQALSFEYQLPVVAIDASSHHASVTNTRAERIKKHYAAKCVEKQQFTVPRTVTCHVLSSDMLAAVTLDAFQDDHGQHVTKTNNCTETSPQNGKPNHNVPQLVLAGLHACGDLSVNMLRLIFCAQ >cds.KYUSt_chr6.32309 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203890376:203891857:1 gene:KYUSg_chr6.32309 transcript:KYUSt_chr6.32309 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYPSVHGTAATMSGDSDSDNARAPHVVLLSSPGMGHVVPVAELARKLHAEHGFTATVFAYASSDSAAQRDFLASLPPAVGSALLPAVPLDDLVAAGAAIETLLSVEAQRSVQALTALLADIGKANNLVAFVADLFGADTLPAARAAGVPGYLFFPSNLLMLSLMLHLPRLDAEMDGEFRDLPEPVRLPGCVAVPGPEILQPLQDRTSDAYRWMRHHGERYRDADGILVNTFDAIEPGAAAVLRRSEPWRPPVYPVGPVIRRADDGEDATGCMEWLDAQPDRSVLFISFGSGGALPAAQMDELARALELSGQRFLWVVRSPTDRAADPGANYYDGAKSKDYPLQFLPLGFLERTKEVGLVVPSWAPQVRVLGHRATSAMVTHCGWNSVLESVMHGVPLIAWPLYAEQGQNAVMLSEEIKVAMRPKSGGAQGLILGEDIAEVVNDMMNGKDGEAARKKVVELQVAARSGLAPSGISHDSLLEVVRKWKQNTC >cds.KYUSt_chr4.7112 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42127851:42129264:-1 gene:KYUSg_chr4.7112 transcript:KYUSt_chr4.7112 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSASSHLEDLNRYVDVSLNISSSIPPPVADARSDRVDVSRNQPQSNPSLNASPIVPAVPEPMGSSVPPSGPGLNLPSAKVADNRELCEPTPTPGTRIVVADTNQRDECAHGTPKRQRTGRNELDVGSQSAPPKIASTADKNTCSTRQTAAAIYVAAGKDTSKRKLFEIDMMDNTDKFATAGTSSSSLPQDKLAALDSLSDVHKSVIDALSPGQASSSVSVSPSFTQFLHTLVKSGSDKVFMIQIPERS >cds.KYUSt_chr5.14665 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95027192:95028051:1 gene:KYUSg_chr5.14665 transcript:KYUSt_chr5.14665 gene_biotype:protein_coding transcript_biotype:protein_coding YCAGGTRCEMASAYIHSKSEGFENVFQDSTKAEELKGQLGSDHPTSIKPMSHGYATNQTDWYGNNVRCWSVVL >cds.KYUSt_contig_2829.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000529.1:56570:57236:-1 gene:KYUSg_contig_2829.7 transcript:KYUSt_contig_2829.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQSATGVVATPKQTLDSPVGKEAARETFLPETMGSRARFASLLSASFLLLLLRSAEPLGLRQQTPLAGGELLGVAGRYLTREERWMNQRLDHFSPTDHRQFKQRYFEFLDYHGAAGTGPVFLRICGESSCDGIPNDYLAVLAKKFGAAVVTPEHRYYGKSSPFDSPSTENLRFRSSKQALFDLAVFRQYYQVSSCA >cds.KYUSt_chr3.31305 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196705472:196711983:-1 gene:KYUSg_chr3.31305 transcript:KYUSt_chr3.31305 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEQQGGGGPPRPPLPAASTQPPVKKLVRQLDFNAAGNMAAAAAVVSRVLQPRPAPPLGYPHPHPHPHLRAAAPVGVPQPHPQLQLLQPRGVPVMRPHQLMHAPPPRPAAAPMTVPVPVPQLRPIQPHPQPVPRPPVAVPLRPESPKPRGRLFDGKDGTPTKKKCCNCRHSKCLKLYCECFASGIYCDGCNCTNCFNNVENEAARREAIEATLERNPDAFRPKIGSSPHTSRNNMEVAGDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFDGSDERKTIYVDPKTAIQMQQATNAAANGAIGATGLSSPSTSRKRKHIDPSIDLSTKEHVAHRNGQLSQIIYQRNAVASDGSLPGSQSVHPHMMGPFKVTYRPLLADIIQTGDVKELCKLLVTVSGEATKAYTGRKIQDEKVPPKEDGTGGSLAMTNHNREEQNKDQSHRKTSTDDRASGGTHMGKASLDDSRPDCTDDQKSSRPMSPGTLALMCDEQDTMFVTSENVDAQPTVAVNPNRSELYAEQERCVLTEFRDCLRKLVQYGRMKEEKYSMAIRSEATAHPGQDVYSIFKGKLLSQDLRYRAFDVADKLFSAKN >cds.KYUSt_chr5.43534 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274276249:274276893:1 gene:KYUSg_chr5.43534 transcript:KYUSt_chr5.43534 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNIYSLVLLVVVTVALAPMAAVAGDPDILTDFVPPPALGGPVNITGDYFTFTGFRATVPAPSAPTAFAISKASMAEFPALNGQSVSYARLTFPAGTVNPTHTHPRASELLLVIDGALSVGFIDTTGKLYTKDLIAGDMFVFPKGLVHYQSNQGPNLAVALSGFGSASAGTVSVPVTVFGTGIDDVVLAKSFKTDVATVQKLKAALTPPKKN >cds.KYUSt_chr1.2157 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12546097:12558948:-1 gene:KYUSg_chr1.2157 transcript:KYUSt_chr1.2157 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNGPKPDAECYYRKEKGHWKRNCSKYPADPKSGLVKKKKEDNNDEDPATYEEAMMSPDSKQMARSHEIRNGIHVRVYIEWCCSKLGKLEAVHGGEVFNGIEYIAASEASSKRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFDKPWFLSEGKLAAVPRLRVLRGCYLLLGFMAHPVGLQSDKASLSSHDATTTIRSTATEPSDEAYATITIYGPPGFAGSRHCRWGGVGSMNEVLKTEVGIRSRFSALRIRQIMRGLTPKQQGFVAKYGFEHFNRIGEFSVHEPLTEWIMGKINPPFSEFRIDAERTIVFTKSLVQKVLGVPSGGRPVVLHGQKTDKINELRALYLNDGLRASIPQAVSLLKNNEDEESFMRTFFLIALAAVLTPTTGNTIDLDYLWPLEDMSKVQDLDWAGHITEHLMDEVQKFQYKAREEKMKNFWVGGCLPLLMIAYMDHLDLPRGRIVDRVINYSVPRICHVSKHDFQFAAIADLHRQHYKFATFGILPFRDRTPYTDNPITGTEVPEDDLHIPSNSKDQVSPGQWELIEVHEKIIDELDQEIQPEILGFAEPSINHSSFRRTDGCPDQGTTKSASCKMGSSSNCRNTAKEALTPSSSEKSEEDSAQEYESSESADHPTPPEADYGIIFRSCLSGAQMDKVNRLIQEVKPKTIVFVATMRKCDVQLPSPLLMISKEPTLAAAAHFPHENGTVTLQMSGKSENWRPRFFVEKDMCMLAGNWLDFVCDNQVQAGDICIFVPAKGGERSTFMVHIIRAESTRPRGVKRARSSHDSPVGNG >cds.KYUSt_chr2.41489 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258095019:258095904:-1 gene:KYUSg_chr2.41489 transcript:KYUSt_chr2.41489 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFTFLALGLAALLLSTAGPAAAQNCGCRSNECCSQYGYCGTTKAYCGKGCQSGPCSGSGGGTAGVPVESLVTAAFFNGIKSQAGNGCAGKSFYTRQSFLDGARANPNFGKGRSNDDSKREVAAFFAHVTHETGHFCYIEEISGASKDYCDEKNTEWPCAAGKGYYGRGPLQLSWNYNYGPAGKSLGFDGLRNPEKVAQDPAVAFKAALWFWMNNVHQVMPRGFGATTRAINGGECGGGNSAAVNARAGYYRDYCKKFGVDPGNSLTC >cds.KYUSt_chr3.8625 pep primary_assembly:MPB_Lper_Kyuss_1697:3:50087878:50088428:-1 gene:KYUSg_chr3.8625 transcript:KYUSt_chr3.8625 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGGQGPREVGEPNGVCARIGARVSSRALYLLDQGWPVILSDFGTDNRGGNVNDNHYYGCAAAVAADLDLDWALWMLQGSYYLREGVLDVRAAGRAADPAGQPDAVPPRQSVHHLPPPTHHLPLARG >cds.KYUSt_chr1.34802 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211982577:211983096:-1 gene:KYUSg_chr1.34802 transcript:KYUSt_chr1.34802 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKEARLLACVALVVLLLLVETTAPSGQAHAIDCGGACSYRCSKSSRPNLCRRACNTCCQRCGCVPPGTAGNEDVCPCYAHMTTHNGRHKCP >cds.KYUSt_chr6.8548 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52532710:52534697:-1 gene:KYUSg_chr6.8548 transcript:KYUSt_chr6.8548 gene_biotype:protein_coding transcript_biotype:protein_coding MISGSAVYQVLEAMAPLYTAAALGYVSVRWLKAFSDEQCAGINHFVALYAVPVLIFHMVSTNDLYAMSGRLIAADTLQKLAILLGLVAPILWARSRRHRDDDGTKAAPSLQLEWVVTAFSVASLPNTIIMGVPLLRGMYGEVSTTLLKPIVVMQFCFWYNVIIFLYEYMEAMRAAATVTKEIISPPGSPEKGDPMRVVIIETTDVTVASLAQGSIVVQTNTTSVGKDKEAGTFGDVAKAPSVKHVLWMAVKKILRIPNTYASILGLIWSLVAFKCGIKMPKVIDDSLFTIYSTAVGLSMFSSGTFIARQSRFIPCGYTIASMSMLLKFLIGPVVMLIASLVVGMHGTMLHMAVVQAALPLAVTSFVYAEEYKVHADIMSTGVIFGIFISLPVTIVYYILLGSWWN >cds.KYUSt_chr3.11980 pep primary_assembly:MPB_Lper_Kyuss_1697:3:71465058:71471482:1 gene:KYUSg_chr3.11980 transcript:KYUSt_chr3.11980 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSRGQVSDGAPRGGINAVLLQQTVEAVVAAVTAATKPKEVVAEQPNMAVLSSEPAAGVTNDVEDPVAEPISSVTQPLGATQGVPQASGPAAIDKESDSQGASKKKKEEKAGCFRCKKPGHYIDDCPTPFCDICESIHHITSACHLLNAPKPTAILHGYANEGLMFFELACWVFKAKAENPKLAKVTVEGITLTIPEIIEQLKKIVPSEKFNWEVFHFKDNIYRVKLPSKLEVQRLNNFGTYICTDKEACLAFDSWSSVEEPLHMLPEVWVRVSGLPSDVRSDYLTLWGVGTLFGKTLDVDMAYTRKNKVLRTKIGCLDHRLIPADSDMFIRKGFYKLHFEVEIEDESHEVTMVEANNGSDGNNGDNQGEEKNGDAHDMDMDGRDKGIEGASKGNDQVGSNSNKGGDVMQEQCDFLEDTQFGSVDVKCVSPDMLNRVATPGLVSTGIGALSWQPATGQDLPAAAPGTHGAYGGPPAGQQFAAAASAVASPRDTSGAADSLQAAGKLLAGSLQAVASGRATTEAGLSAPRGSTSPVAVKHTAPQKIQAVAVSQSELSAPREGNQVPMIGTMQDGLAVQHSARVLGVPDGEFLANNLDDLNFGVSQRFDLDCSSDSVHDTNICDNIGVGSGGSLEQSKGRNTVDVLAMAKGDGVCAVTKEAMGVASSSVHVGKPLDSVVSCSVKEKSSVSLEFIDTSVDNSTVRPTTEEVIAFGGIPKPSIGVRSSARLAGQPDGDMIQMDKAMRIAQSRDASGVGYPYGVTLGPVVRTAGCYGYWMHTAPDGRSGYLVPGWLASQ >cds.KYUSt_chr4.13451 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82928522:82935643:-1 gene:KYUSg_chr4.13451 transcript:KYUSt_chr4.13451 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLAPPRSSRLPPAGRFRKENRGVVVPKPATTAALGPTLSVNAASSSKARPVAALAAGVDTPASSAAPAPAATTLLAEVHVLPPRSNVDKLVPVSSIQEQRPQHLTAPRPVSWSSAVDDDEFDVEEEQLAPCTPPAATKTFDASSDPAVLIEGLGSLSLPPAASGGPISAGVCSVEDHDGEEFASPLASSKGTVSDSEQVIAEPCRGLSAAAAAFDDEEGWTQVGRGCRPSRAPLSPLREGLDRSLAFKRWARGRCFRCLERGHQVSSCRGSFRCIRCRCPGHRERFCRARSPAARSRSPEARARSPVARAPCQRSRSPSAQPRRPSSPLSWAGVLGHSSLRPMVQPCCKDSVSSVESQFALLRMEVLQKFELLRSEVQDALAKLQVASVVPLPPEIQTGSVDEGFECCFGEFSPRALHASSSVLTTAVATEVVAPVVENLPELQDHCGKSSVVLPVELGLLEPLAVDIAPSPSPSESCELPSSVDSGGPSTRLPLFDREAMLARIDQAVFVKKLGGLLACLEAASPGSSEAIACLIAEEASTGKIKKVKKALRSIGKKGGATVTLASRTAKSSTFQAPTLTGLVSPAGGDWMEPLEAAIAVIFNALLLVFMVKLFFAMFNMKLVVILFYLVVVLFAMAFSGRGPSGF >cds.KYUSt_chr2.46213 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288548327:288551142:1 gene:KYUSg_chr2.46213 transcript:KYUSt_chr2.46213 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALVTLACVCSLVLSAHGEAPYKFFDWNVSYGDINPLGAPQQGILINGQFPGPEIDCETNANLIVNVHNSLPEPFLLSWNGIQQRKNSWQDGVSGTNCPIPPGQNYTYRMQAKDQIGSFFYYPSLAFHKAAGGFGAIRINSRPRVPVPFPPPADEYTVLIGDWYNATHKALQTTMDNGTQLPFPDAILINGKGPHGGANFTVQQGNTYRLRISNVGLQNTLNFMIQDHNVTLVEVEGTHTVQNTYTSIDVHVGQSLSVLVTADRLPRDYHIVVSTRFTNQTLNSTAVLHYAGSSFPAWGRPPVVNTSDVDFSLEQARSIRTNLTASGPRPNPQGSYHYGSINVTRTIRLANSAGQVDGKLRYGVNGVSYVDAATPLKLADYYNLTGVFKMGGIADAPATTNGTGPNETNTGTELQNVTAVMDSDHRSFVEVVFENNEDTVQSWHLDGYSVFVVGMDKGVWSEQSRTTYNLVDAVARCTVQVYPRAWTAIFIALDNVGMWNLRSEDWTRRYLGQQFYLRVYTPTHSFRDELPIPDNALLCGQATNTSGLPFSLY >cds.KYUSt_chr3.41395 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261115887:261123815:-1 gene:KYUSg_chr3.41395 transcript:KYUSt_chr3.41395 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIIVRELEYLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVALFIDERHDLVILVVNTIQKDLRSDNYLVVCAALTAASRLIGEEAIPAVLPQVVDLLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKKLCDNDPGVMGATLCPLYDLVLEDPNAYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGHMYTVLGDIFRKGDSASNIGNAILYECICCISCIFPNSKMLDAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNIEVIVDRMIEYMISITDHHYKAEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYLRILGEPKLPSSFLQIICWVLGEYGTSDGKHPASYIIGKLCDVAEAHPTDDTVRGYAVSAILKIFAFEIAVGRKTAMLPEFQSLVDELSASHSTDLQQRAYEVQALLGLDKQAVGSVMPLDASCEDIEVDRNLSFLNSYVQQALENGAAPYIPESERSGAVSAGTYRTQDQHDTSTHALRFEAYELPKPSVPTATSQSSVYLPTTDLVPVPEPSYYKEDHQIGRSQPSGNAPSGEFGAKLRLDGVQKKWGRESYTSSSTPSSSTSSQQAANGASNSDGGGPISSQARESSYGSKKQQVAEVSAEKQRLAASLFGSAAKADRKAHAGRRAAKESSSTEKVTVANAAPQPAKEQVVPAVPPPDLMDLGEPVPSSAPSADPFSQLDGLLGPTSASPVVSATSAPIASNTPDLMSIFQDDTGTTSVSAEPAVGAQKAGTAKKGHSLQDALQKDATARQVGVTPTGNNPNLFKDLLG >cds.KYUSt_chr3.10267 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60973433:60975987:1 gene:KYUSg_chr3.10267 transcript:KYUSt_chr3.10267 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGVIDRGHLSPFSGPTADSSSSFFSEDLVVPPERQVGFWKSESMVDQRGSNSAFASQLEKIHPMGANPEGDLERSRGQGFGGLDILRIGKLTGQGNGLTLPSMSWGDMITTPGSRLGSSARETDIAETASGNSRIRAHGVHGQSADTLGFICEGDESLGSMEEVEAQTIGDLLPTDDDLISGVVDGFDFAGLSINQDDADEDIFGTGGGMELESDDSISKVAKNREGSLKCQFSGEDYVNKCPSRTLFIRNINASIVDSELRALFQQYGDVHKLYTCKDRGYVIVSYYDIRAAQNAMRALHDKPLGLMKLDVQFSIHKSLLGYASKLEAFLKKRAFIIMT >cds.KYUSt_scaffold_6468.303 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1459316:1465979:-1 gene:KYUSg_scaffold_6468.303 transcript:KYUSt_scaffold_6468.303 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGVLGLEPEQPCRPLNRPVVCCSPRALDAVGDQLLCRFLAKEAVAVAGTPTRTRLPRTGAPPRAARWSCALAKAARNSGERAWLTPKPFPRRAVLAVFAIAAASPLLSGEESRKESNYVTWKEKLEITMALLNIDYALLNDPPESQTVINVPNNEPLRRSQRARKPAIPDDYLTYMSEDTNEPVLDNDPTSFKEAMESEYSSKWLDAMKDEMKSMSTNDMKSIPYASIVGSIMYAQVCTRPDLAFVTGMLGRYQSNPGLDHWKAAKKVLRYLQGTKGLMLTYEKSDNLEIVGYSDADFAGCVDTKKSTSGYIFTLAKGAISWKSSKQTVTASSTMQAEFVACYEATGQALVDPAGSNSTYCFDTGAGEWIKAGDWTLPFYQSRGLHVPELGNDLLFGSSGLSFCAVDVSSSVKTTNNGSSSAPVLRHEWKDVDPPPPEDWHPSRHSVAYLGAGRFCIHRGFDIMATDGYGDLYTVHTTSLLTGVEVVQEAGSPKLRMVKHAQNQTSRL >cds.KYUSt_chr5.8357 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52834709:52836876:-1 gene:KYUSg_chr5.8357 transcript:KYUSt_chr5.8357 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLYSLALLQGLLFCYRFFFTLRKASFVKEVIPEPYDKEEFEVVSLYLRETRIGCEKDPSFVRGRNLITHSVDLIGSKSRDDCLSGVKMLYSAICIGERRLNKARVKDPNKYYFLKESIKDRCRTTWEEIIGKHMLMRHLIVYAAPSSPVLQKLLELLDPHGACDREMRNRAARIVAHLAMDIHLEQFPQAIKHISTLIGMTFEEYCLMEPYNVERLLHRYDQNRGWQASCRLPSPDNDTTNLREAYEKLVLRGLCILRKLATNEDNCRIISHTQGLLPRIMAPLTSDIIHRFSGGAWSISVVEGSLKAMGLLVASPGEIGAKLRREICSNKEAIGTMERILRCDTCCAKLQKRAMEILMQLYVDNQEKREACIKMLVDIFAGDSKDKSIRKLAGKALAKLCMQDGSNSLIILQVNGDVLGRLNKILLVDDAENKKCRISAAEILEQMCVHHNQDNECLSELKKAMADTMPKVLAKILSRGPTEDETHVTTEEDQVKVIQKKVDIENQCDENGRDKTSSSTLPQNIKDYEYDVLPEVEEDESENDEDEDLHAPLLSLCVAICDTLISVDQDLASQFGEISLPSKLKDMVAENSFPTARCLRLMKLTCKMVISMMKHRGSYRKEELESLTQALSIASETERMSHLDISMVFASTDDGSATAVKPVRSLGSLVKEAKESVDAYFKPQDM >cds.KYUSt_chr3.17948 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110347508:110355891:-1 gene:KYUSg_chr3.17948 transcript:KYUSt_chr3.17948 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPNVGGGGTGAAPEVVADPGGPAASPWRKTTPPPLAGDAAVMGADSWPALDEARQKVAPEPAGKPASANAGGGDLAPPSPTQLIKLVAMKVTGQCLHRQEETLMLGVLLLVLMVLGPILALKAADILTIIGIIPGHLVRVKTQVYHMVLGQELLSDQWLICPLLLAFDDLLSDNVLTSGPMPPMYYYMPAPPMEAMRGPPRFVQNQPAPPPVLSPEAAELRAKILTQVEYYFSDTNLDRDGFLKSLMDDHGWVAISKVADFNRLKRITTDVHLIVDALASSSLLEVQDDKIRRRSDWSKWVSLSGTSVQSPSSTASMDNTMVESNTGSFSNQDAYSEDQKSQPHPQDIKSNINVIGTDAIVPDEQLRNNVHSSSLNKNFSAIAIGGKPKSICTSSVNSRTHEASFRTGDVKVRKVNTKMKVPDSQSKRGFCNDLQNESPSFSGDQSTFMLDEELELEHAEHSRDDIYSHKRVDDEDDDFFVDDQEVNRLIIVTQDTRSEKDDRTSSSISQAFSTEEASKINDALYYYESVHDRHTNNQRSSQADAADMDSKSGGAKGNHVSIGTNGIEEGGQPIPRRRQNKGNRKTHTSHKQRFFAGNFATSPNSRSHYGGISESPPSNSIGYFYGSTPENHSYRSSKLSSSPHGIPTGSSPIGSVPKSFPPFQHPSHQLLEKNKFQQQRYNKFKNRCIAERKKLGIGLSEEMNSLYRFWSYYLRESFNEDMYKHFKNFAVDDATASYRYGLECLFRFYSYGLEKNFQRNVYEDFEQLTLEFYQKGDLYGLEKYWAFHHFRKQDSSPINKHPELERLLKEEFRTIEDFKARRAAQKEAGVSSSKSGAAASHSKAEAK >cds.KYUSt_chr6.29852 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189147231:189148487:-1 gene:KYUSg_chr6.29852 transcript:KYUSt_chr6.29852 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEKTCILNLDDDLIAEIFVRLPIRSVARAGAVCKDFRRVAYAHRRPLELLLYTFNAAAAAAAAADRNGAPDTCRVVGCHLEAVSVSASSRRPARRRLAHLPAFLPRSERAGEEYCVALASCDGLLLLGTAAGGQYVICNPAMSQWAELPRLRRRRRRDLPCYAFRESGFYFHEPSGEYRVLCHVTVDEESRAARYYVLSTAAGKPRLLDGVEATPVHSNCVPSACDMITPAIFRGRLHWLRHPEAGSGGGEMAVFDWADETFSRMASPPTSDEHLTNLVVADGSLMASEFQDGELGLGVDLWVLGGYRGGGGGAGEEIIRWERRHRVELRWQQARTMPTWLDVVAAGDVEGDVVLGTSNGVVVYNVRSGTARVVDVGPGAVRLTRRALTMRESFVRHDFFQTRPHPGLPSFILYQ >cds.KYUSt_chr7.39634 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246338513:246343356:-1 gene:KYUSg_chr7.39634 transcript:KYUSt_chr7.39634 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRRILLLLLALAAAYHGGGAPRAQAAGWLGGLSRASFPKGFVFGTATSAYQEDINLMKGLNLDAYRFSISWSRIFPDGEGKVNEEGVAYYNNLIDYLLQKGITPYANLYHSDLPLALEKKYGGWLSSKTGEIFTNYADFCFKTFGNRVKHWFTFNEPRIAAFLGYDIGSIPPQRCTKCAAGGNSATEPYIVAHNFLLAHGYAVARYRNKYQAAQRGKVGIVLDFNWYEALTNSTEDQAAAQRARDFHVGWFVDPLINGQYPQIMQDLVKERLPRFTPDQAEVVKGSADYIGINQYTASYMKGQKLLQQPPTSYSADWQVDYAFERNGIPIGPKANSDWLYIAPFGMYGCVNYIRQKYGNPAVVITENGMDQPGNLTRDEYLNDVTRVQFFRSYLNELKKGIDDGANVVGYFAWSLLDNFEWGSGYTSKFGIVYVDFNSSTLERAPKASAYWFRDLLHVKH >cds.KYUSt_chr1.4103 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24957138:24964204:-1 gene:KYUSg_chr1.4103 transcript:KYUSt_chr1.4103 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSLVMCAAAASLPLTLLTSYALQPLADARRGLPPGPRPLPVIGNLLDIGESPHRSCASLADKHGPLMFIRLGTVPAVVATSPAAAREVLQKKNASLAARRGLDAWRIMDHDANSMIALPPRSKWRAFRQHTTAALLGVRRLEEDRTVREEEVRELVRRLSASGGAPVAVARASFATTVDVLCRGMFSQTLDPVVVSELTDVAKEAAVLSGMPNVSDFFPALAMLDLQGIRRKAGKLLVWLYALIDEQIEQRRLHRSAGPGQARMNDLLDVLLDMDGEVQDEEDGWVMNQESIRGLFMELLLGATSISTTIEWAMAELLQHPHCMRKLQEELRNVLGTTQMEESDISRLPYLQAVVKETLRFHPPVPFATGQAEAAVEIHGYSVPKGTAALVNIWGICRDAEVWEEPNRFMPDRFLHKEIDYFGADFELISFSAGRRICPGLQLSSRMVPLMLGSMLYHFDWTLSEEGGDPRVDMTESTTTGVFIMDTITYNGDLFPGINVLFDDNVNKNTNANSLNQEKKRVVYAMMMMMMASPNVLSSGQLAAVFDLPAGDYLPDSEFYKIEAILRPWRVSHVSSGLLQMGIRGVTVSDVRGFGAQGGSTERYEGSEFSEDTFIAKVKMEIVVCKEQVEPVIDKIIEKARTGEIGDGKIFCLTCCFFFPVIPVSDVVRIRTGERGEHAERMTGGLSDRLSPVITIS >cds.KYUSt_chr1.167 pep primary_assembly:MPB_Lper_Kyuss_1697:1:960194:961066:-1 gene:KYUSg_chr1.167 transcript:KYUSt_chr1.167 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSRFVTVAGAALLVSTTLLVATLLRSPLPLLPLLPCLPAVTAPSGVGYEPAGLAALADALVYYATTETVPQQSRAEIALSLAVLRRRAPMRLLVFGLGHDSPLWHALNPGGVTVFLEEDPEWYKVVRTRSPQLRAHLVKYHTRLDRADLLLDTYRGFPTCVPGADEPAVRGNAACPLALHDLPAEVYENEWDMLMLDAPKGYFASAPGRMAAVWTAAAMARARNGEGDTDVFLHDVDRKVEKAYAEEFLCDGFRVGSAGRLWHYSIPPVTRRENSTATAGGEERPFC >cds.KYUSt_chr1.29911 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181163046:181164338:1 gene:KYUSg_chr1.29911 transcript:KYUSt_chr1.29911 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLDETHGAEMSTIASRVASICALVDVAADETMSARGVAAISAMIHDVMDETTTSSRIKRKRRRMGSARSYEETRTLRETEYDLVSKARHRATGKTVVLRGLQPGCGISVGVLLHEACIMAACCGHPNIVALHGMARAPGTSDYSIVTEFVGPTLHDVLHDRISRLGRPFTEAEVRGIMRQLLSGAAAMHAHGIIHQDITPKHIFVSGTGDDDDTALTVKIGHYGSAMSTAEPDAYDRYRFAGTWEYMAPETKVWTDYGTRVDTWSLGCVMAELLTGERLFEVVKGLATNQLYKIFDLLGVPGKKAYREFKAPCTDELVDLWRREQQQRAQHRSRLREKIPEKTLSEEGFEVLKGLLTCIPSKRLDAAAALQLPWFAHTEHHSSAPVVSAEVSKSGDAAAGIWAMAAYSWHVALSFFECALRSRVQGSG >cds.KYUSt_chr2.23385 pep primary_assembly:MPB_Lper_Kyuss_1697:2:142837408:142841115:1 gene:KYUSg_chr2.23385 transcript:KYUSt_chr2.23385 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAAASPEEWQLISPRRRRRALAVPSSARGVNGDLPRSSSPARPDPFTLRFKGRCLRCLASDHRASHCRDPVRCACCLHFGHRARRCRKRRSGLGSDPSPPPPPLPAPSPPPLPMACELCLGEPAQRPGRGSTFTFCSPGMEDSIDQLRFSTVLVSLPEPRPDITTHHVARAIDRDLAVPAGRMHVSKHHPEPFLVRFEHPGHRDIVLAAGRAACRGTTLSLSPWRPATGGHQRVWRFYCRLAVERVPLPCWTKEKLQDAVGRSCVIDHLERQSLTWANTSCVYAWAWAANPDAIPTSNDFSVLDLPPEPRDHAPPQEGSPETEGLQGPQFPILIHLDSTKDYAPLPVIGSAVKAESTLIFTKSQAEVATFLQLIAWGTLAIMPVTGDTFTTEMVCILIGNLGASVTEVVSDAVVTEFSRTQKKGVLQSYAFIGLAAGSLLGNLSGGYVLLRTQEPKTMFTAFSVLLGLQLALSMSTKETLPSSHGNSNSLLVRSSLSVNLRKQFSNLMTAVRKERIFYPLAWIMTSFAVVPSFSGTMFCFQTQYLKLDPSIIGLSKVMGQVMVVSLTVLYNRYLKQIPLRHLVWGVQIMYAFAVLSELILVKQVNLMLGIPNEIYVLCFSALAEAIAQFKVLPFSILLSSLCPPGCEGSLFAFFTSGLVLSAILSGVYGVGLSALIGLSARDYSNLPLGILLQSLAALLPLAWISFVPENWTGDEKIVKQR >cds.KYUSt_chr4.34413 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211238760:211239224:-1 gene:KYUSg_chr4.34413 transcript:KYUSt_chr4.34413 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRGRSWSEHRREAVGGTQVDQPWSAPAAVACAVRGDAARSPGPRLLSPGRRGVFLLGFLIAHTIPSPRRRGRGPGNAHCARPRPAGCRGQDWREREEVEAAIAALNLQQRAKEVEAVAGDDGVDEDMEGDWWSFSDGDEEMEDAEVVVLDD >cds.KYUSt_chr4.48547 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300665178:300666465:1 gene:KYUSg_chr4.48547 transcript:KYUSt_chr4.48547 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPLVDDLMREIFQRLPPHDPRDLVRAAAVCRSWRGIISDADFAREYRELHGAPPMLGFLYEELHYRRRPYWVSHFVSTATFRPPACQDRPYWHVLDSRHGLVLFYTPRMKADFVVCDLVTGNQWEIHASPKCGDIMWWEWDEEDTKLERIRCNAAVFCAKDRCDHLDCHGGPFRIALVGSVIDGNTARAAVYSSETREWSDMIEVQTLYFIVGPWSGHSALVGNKVYVPCVESDSIVEYNMDEQKLSVIDAPDTNHGEYIHLMGVENNMLLFASVVTPRLYLSSMEIGPSGAVGWARQRTIELEPLLPRDVLSDNYMSVVGFAEGEHKKVHERIYDYHLEKVMPYMSFYTGEM >cds.KYUSt_chr4.30389 pep primary_assembly:MPB_Lper_Kyuss_1697:4:190642355:190648528:1 gene:KYUSg_chr4.30389 transcript:KYUSt_chr4.30389 gene_biotype:protein_coding transcript_biotype:protein_coding MWGYNQSGQTARKGKERHLRIPKSLPPKLFNCRDGENFRWIDIACGREHTAAVASDGSLFTWGRSTLRVIHAKLSVGANEFGQLGDGTEESAKEPKKVNALETEFVKSVSCGAHCTAAIAEPRENDATISKSRLWVWGQNQGSDYPRLFWGAFAPNTVIRQVSCGAVHVVALSDDGLLQAWGYNEHGQLGRGCTSEGLQGARVLNAYARFLDEAPELVKIVRVSCGEYHAAAISENGEVYTWGLGSMGQLGHCSLQSGDKELIPRRVVALEGIVTTDVSCGGVHSCAVTEGGALYAWGGGHVGQLGLGPQSGFFSCTLNGSDVLLRNIPVLVIPSGVRLVTCGHSHTLISVKDGRIYGWGYNSYGQAANEKSTYAWYPSPVDWCVGEVRRLAAGGGHSAVLTDASSLKELCEFKLAEIVNLSNARLIEDIASRTGADALARLCEKLREHLVEQGDSELLEVHVVEEIEAKAG >cds.KYUSt_chr5.20318 pep primary_assembly:MPB_Lper_Kyuss_1697:5:132058521:132059377:1 gene:KYUSg_chr5.20318 transcript:KYUSt_chr5.20318 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSFGSSQGTRSSRSEEDSPDLRAQMEKRRKRRKESNRESARRSRVRKQQHLDDLSSQVDQLKNQKQQLSMALSMTTQNLVAVKAQNSVMHTQKVELETRLSALGEIIYCMNSITNATNPVYMGTAASSACDIFGTSSTWSQPIDLYQCF >cds.KYUSt_chr5.31041 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196545490:196546020:1 gene:KYUSg_chr5.31041 transcript:KYUSt_chr5.31041 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRAEASLQQHVVALQRACTRAARPLPQSCNGRLAVMSMPAACATNIDQRSYIRPVLLLLVVSGGATSHGMWSCNRVGALLQSVCGDAAFDARQCYKEPMELQRCAAGASMARRRSFNGAPPELQRCIVGAASGERHLCQHRLRAASPPWSIVAVMQACRLRRRLQCYKFAVGRC >cds.KYUSt_chr2.10518 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66803227:66804516:-1 gene:KYUSg_chr2.10518 transcript:KYUSt_chr2.10518 gene_biotype:protein_coding transcript_biotype:protein_coding MELRDLRQVSQRKGDKPAAKLAMVCGHCGTRFAVPRGARTVECPRQHVQQRRLLPVDRHGAVGFVRNMFSNIAGGGRTKPHPGYPRVQGNKRTLLVGINYTGTASQLNGPINDVKCMNFLLSIKYGFPSDSILILTDEQVDPYKRPTRSNILVAMRWLVQDCSSGDSLVFHFSGHGNQVEDDDGDELDGQDETICPVDWQQSGQIRDDEINQAIVRPLVHGVRLHAIIDACRSGTVLDLPNLCQIKRYGKPQWIDHSPLNGAWKNTSGGHAILISGCAENDNSQDGSDDETMVIGALTYSIFAAAWSAHRPLTYGQLLSKTKAIIADCNKDSQSHCNLPAAIAPHVREVVNFSGVQEPQLSSSDKFDINRTTFML >cds.KYUSt_chr4.29362 pep primary_assembly:MPB_Lper_Kyuss_1697:4:184229937:184234730:-1 gene:KYUSg_chr4.29362 transcript:KYUSt_chr4.29362 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTFHCFIATSCSTSFKARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRSYQITLQSGAKTLNSFHLKQCVKRYSSFDFLKEIANKVPDLGGADSCGDDRGLPRRRKLSNGSDPENEESRSSKMPIRSLNTSPRGRGRGRGRGRGRPPTKRKEIGYVQFEDESNMFAEQSEPLPGDEIVQETNHGNESIPQSAQPVEAQSGETPAVISKVEEASTDHQPDWPMPDAIGGIGVGPSSFGHLTVQVDEDEDYDNED >cds.KYUSt_chr3.13091 pep primary_assembly:MPB_Lper_Kyuss_1697:3:78810666:78812608:-1 gene:KYUSg_chr3.13091 transcript:KYUSt_chr3.13091 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSQIFMEILISLLLTPTPVLHQHATSPSTPRQLTMATSHSPKKKVLVPIVAGTEPVEASVPIDILRRAGADVTVASAGDALLVEIMYGVKIIADALVADCSAASYDLIVLPGGVPGAANLGACAALEGIVLRHAQKGGLYAAICAAPPLALARWGLLNGHKATAHPLFVEYFPPEVTAVDATVVVDGRVVTSRGPATSTEFALALVEQLHGKEKVEQITKAMLVRYEAGYSMKELNSVQWQCSGTPKVLLPLANGSEEMEAITIIDALRRANASVVVASVEDGLEIAALHGMRIVADVMLDDAAADQSQTQFDLIIVPGGMPGAETLGGSAKLVTLLKKQAEANRPYGAMGAATAHVLQPHGLLTGRKATTCTSMTGLLADASECENRVVVVVDGNVITSRGAGTAMEYALAVVEKLLGRDEARQLADDLLFSA >cds.KYUSt_chr4.44778 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277164838:277167436:1 gene:KYUSg_chr4.44778 transcript:KYUSt_chr4.44778 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADLINLDLSDTTDKIIVEYLWVGGTGVDIRSKARTVNGPITDASQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDHILVMCDCYTPQGVPIPTNKRNNAAKIFDNPKVAAEVTWYGIEQEYTLLQKDVNWPLGWPIGGYPGPQGPYYCAAGADKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGIAASDQLWVARYILERITEVAGVVLSLDPKPIPGDWNGAGAHTNYSTKSMREAGGFEVIKKAIEKLGKRHPEHIAAYGEGNERRLTGHHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTLLL >cds.KYUSt_contig_2008.230 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:1362146:1363325:1 gene:KYUSg_contig_2008.230 transcript:KYUSt_contig_2008.230 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELLQAEADLVRHSLGYLKSMALHSAVKLGIADALHRCGGSASLPDLLATLPLPPSKQPYLARLMNVLVTLGIFVAEDAPVADGDGATCVYHLNTMSRFLVSDTGINGSAWRLSSCILMATTPQIVGSALQLGKWFQNDGEATPFVMANGRSPYIFAAQDAEFNSVFNEAMAADSRYLADLVVRECSDVFKGITSLVDVAGGTGTMARAITKAFPHVKCSVLDLPHVIEGSLAQDNVEFIAGDMMEFIPSADRILLKYVLHNWSDADCVKILTLCREAIAHGTKEGKVIVIDEVVGSPTQNILEAQLLMDMQMMSLFTAKERYEQDWNKIFKEAGFINYKIYPVLGVRSVIELYI >cds.KYUSt_chr4.54152 pep primary_assembly:MPB_Lper_Kyuss_1697:4:334931215:334931436:-1 gene:KYUSg_chr4.54152 transcript:KYUSt_chr4.54152 gene_biotype:protein_coding transcript_biotype:protein_coding MQWNARASCDSRSCSRVSATIACAYAMSVSAYALRMLTLTSICDDAAISWNIAACTKWPRFLMHSLCATSSPS >cds.KYUSt_chr3.67 pep primary_assembly:MPB_Lper_Kyuss_1697:3:383744:388768:1 gene:KYUSg_chr3.67 transcript:KYUSt_chr3.67 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQKIPAAPVVGGVTSRRHAKLLHHLFDASNGGASANAPVKDLRIRRVVPPASAPPPDASPDPPAAAKPVPAVVEIQVAQSTPPEPTAAAAQDRERKPVLPRSKLVRNPASFGYRRLLPFLNQMAAKNGSDISDTPSEPKVSDSANNELGGSDTGLADEAEDGSGSQVGAAPVVDSVEPLAVEGAVDREMQACCDSVNEEPKVVSAHLASSKPCVARCTRSRFVHHASSFSYKRMLPFLKENEISSQGEDRAKVRRVSEEEHIASEGSPEELDGAQVNRVVEVTSSADGNSAAQIQCAVPQVSLDGSSAAEVQEVTQEVLASVGDLVAARNAVMATGQPQLTVSEDFPEKRDVAEAETTVEEKEALKSDDKSVKMDYAELLAVKPGGDQEMKELCDSVKEQTKVVPGDLASSKPCLARCTRSRFVPHPSSFSYKRMLPFLMENDISSQEGNRARLQRVPEEKQLALDASDDVLDCIAESQKVTPEVLSSSDRHCQVAVLEDFPVESNAAEVEGIAVEENITKSDGDEASGLTSGKGDSKLLQDKAELAKVQQCQSSESRCPDIGLGSPTKSVTEDDGADQHGAQERHYSMASPDGLLLDLGMICKPSHAGISCLKKQVLSPKKLSPKKGILKRHTSWGCKGICMCLDCSVFRLRADRAFEFSRKQMQEADDVIGNLLEEVAILRSLAEKPSGKEQIKEACQRASWVEEVARDRRRQMLVELNSHCKIPGPRVKFAQYVDEKIGLVPHSGSNCRRQPPL >cds.KYUSt_chr2.47826 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299070717:299071124:-1 gene:KYUSg_chr2.47826 transcript:KYUSt_chr2.47826 gene_biotype:protein_coding transcript_biotype:protein_coding MEQASAGDDNATKRKAPTAGLEGSATKKNGQYGCSNSKGEETAYEQEPSDKAAAGLSEVTVVADETMEQKDAIDLEKSKAEYAQLMDELFGNGPNAAPVRWVKHPSFMYYHGQDAEKEEEELGVVVDGIPPESSK >cds.KYUSt_chr2.2157 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13058723:13062417:1 gene:KYUSg_chr2.2157 transcript:KYUSt_chr2.2157 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVGCRLRQQLAAKEEEYAANWNKVAKVLTTTMQFNCIFIYWFGENMKARVGVLSDDEPETQVPSEDSPEPQLPSKDMARFITSSSSQILDLSAQSSTQTKNEAIIGGVLALPETIIILSGMVAAMNLGRLAAPAAGIEEQTRQ >cds.KYUSt_chr7.6582 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39780694:39784481:-1 gene:KYUSg_chr7.6582 transcript:KYUSt_chr7.6582 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKTEEKVPFRPSLGCPETLIPPPPWRDLRLCIRLTSRAASAISTSAVHSAAQKALVCITEALACLHALHAAHNSTMLRLLEAAHLGNEVLMLRDGDTDPKRTGFIFGGRMLNDDPATSCSRLDSRAMPRVEMSTTKTEEKVPFRPSLGCPETLTPPPPWRDLRLCIRLTSRAASAISTSAVHSAAQKALVCITEALACLHALHAAHNSTMLRLLEAAHMGNEVLMLRDGDTDPKRTGFIFGGRMLNDDPATSCSRLDSRAMPRCSPPLPPLIVVRPLPPAQEEHTSRLVRMGWRLGGVCVSVSTGELSA >cds.KYUSt_chr7.25223 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157322740:157327896:-1 gene:KYUSg_chr7.25223 transcript:KYUSt_chr7.25223 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEGRQIELPEELTEDEMTSLGILISEMPQPVLPRGRATSTSTNDHTGICFAAWAIPDVVNRTQLPSDVKCVLPLARQNPAQPGPARTTALLPSPVIAWQSSNQVSHATPSSPAPPSTAQRCPFPAVPASFTSEESRTLLPLLVSLLLASTAMASGGDPSGFADASKTKKSEGSSNEGQGVPPSAAAGLSGPFDFSSMQSLLNDPSIKEMADQIARDPAFNQMAEQLQKGAQGTAEQGMPALDPHQYLETMQKVMENPQFMTMAERLGTALMQDPGMSSMLENFTSPTRKEELEEHMSRIKDDPALKPILDELENGGPAAMMKYWNDPETLQKFGQAMGTAMPFPNISIPEPSGTEETEEEGEDEDDESIVHHTASVGDDEGLKKALDGGADKDEEDSEGRRAIHFACGYGEFKCAQILLEAGAAVDAVDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNMDGKTPIDVAKLNNQNEVLTLLEKDAFL >cds.KYUSt_chr1.192 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1094528:1101566:-1 gene:KYUSg_chr1.192 transcript:KYUSt_chr1.192 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPVEEVDAFLATCAASGDAAYGAAKAVLERLRAPDTRAGARRLLGAVRRRFAGGGPAAGHECFRTFHFRIHDVVLDPHLQGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWCPSKVYGLDINPRAIKIAWINLYLNAFDDDGLPIYDSEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIVTENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPSGLMVFNMGGRPGQGVCERLFLRRGFRINKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPVCARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLNDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKSNPCEPPAGCLNFRNLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALRLFSPGLAIVDEHLTRHLPKQWLTSLAIEGSNHAKDTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFVNLLSVTKDVGSRLLLDISEHLELSSLPSSNGVLKYLAGKTLPSHASILCGLVKNQVYSDLEVAFTISEDAAVYKALSQTIELLEGHTSVISQHYYGCLFHELLAFQIGDRHPPQEREPAEVIPKEMIGFSSSAMSTLERAEFFVPGSKESGVIHMDLDRSFLPVPSAVNASIFESFVRQNITDSETDVRPSIQQLVKDSYGFLADGSSEIIYGNTSLALFNKLVLCCMQEEGTLLFPSGTNGHYVNAAKFVNATTLTIPTNSQSGFKIEPNVLAYTLENVSRPWVYISGPTINPTGFLYSDNDMKELLSVCARFGARVVIDTSSSGLEFQDDGCSQWNLERCVSTLKPSKPSFSVILLGELSFELTTAGLDFGFLILSDPSLVDAFYSFPSLSRPHSTLKYTFRKLLGLKNQKDEHFSDLIKEQKETLKNRANQLIKTLKSCGWDALGCHGGISMLAKPTAYMGITLKVGGFEGKLDGSNIREAILRTTGLCISSSTWTGVHGYCRFSFALESGEFDRAMDCITQFKEVCLGPKQ >cds.KYUSt_chr3.45519 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286803725:286804475:1 gene:KYUSg_chr3.45519 transcript:KYUSt_chr3.45519 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTTAAAPAKRAKRTLRVAAISGSLRRASANTGLIRAAAKLCEESIPGLQIDHVDISGLPLVNTDLENAGGSVFPAAVEAFRDRVRGADCFLFASPEYNYSISGPLKNALDWGSRPPNVWADRAAAVVSASGKSGGKRMVYHVRQVGVFLDIHFVNKPEGFVQANHPTSKFDGDGNLIDPETEEQLRRILLSLQAFALRLIHGKTAAESEQGN >cds.KYUSt_chr4.33717 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206923579:206925579:-1 gene:KYUSg_chr4.33717 transcript:KYUSt_chr4.33717 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSRRSWRCSAAVIIVFFSAAVAVAAAGRPARVPAAVLQQYMRRTGSSALLIRSSTSSTDGDDTAEAPRRRLVGPGSSPPTCRSRCGRCTPCRPVRVAIQPGIGTQWEYYPEVWRCKCGSKLYMP >cds.KYUSt_chr6.23847 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150692238:150692867:-1 gene:KYUSg_chr6.23847 transcript:KYUSt_chr6.23847 gene_biotype:protein_coding transcript_biotype:protein_coding MATSITANFGDGNYMVAFDEDDIFTTYTASGDTVNNWLSLIYRIHRRRLDRLIVGLDVEWRPSFTRGVPRGRVALLQICVGRRCLVFQILRADYIPDTLHDFLEDDRFTFVGVGIHGDVGKLRHEYGLEVGSWQDLRYLAAEKLGKPALQSAGLQTLVWEVMDVWPEKPHHVRVSDWDAPRLTAEQLMYACADAFMSFEVGRRLYDDDY >cds.KYUSt_chr5.12477 pep primary_assembly:MPB_Lper_Kyuss_1697:5:81314318:81315447:1 gene:KYUSg_chr5.12477 transcript:KYUSt_chr5.12477 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHDRIPPIQQTPGKSTVTFIGGAFRNSTIRPNHESRPPLPDEAKELDKKLCQITKDKNEALRGQDFEKAGKLRDEEMEMKARSSNY >cds.KYUSt_chr2.9238 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58155685:58156924:-1 gene:KYUSg_chr2.9238 transcript:KYUSt_chr2.9238 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANRDQSPFFHRSKTKSWTVRRPSLSEDAAVDSEGYISAKATPLGGGSVAFVDFSKGILICDVLDGRESPELHYISLPSEELFQPLPDAYPYMPHDLTFHISSETIRIKFANVVCPLSGDWRIETFSTTTVTESPWGQVNPWEKGSTVDASELSVHGSIELSGLLDHGDGKQTLGSLFVEKPALSLHQDNIVCLMAKQNIMDRQLWMIAVDIENKELQGVIHLGVGRRTALIYSTISGHLNNVAATASTGRPEKARSVAGVRKPPKKLFRVASLVGEQEKGVTGTDDGDGMDLELE >cds.KYUSt_chr6.24330 pep primary_assembly:MPB_Lper_Kyuss_1697:6:153633018:153636351:1 gene:KYUSg_chr6.24330 transcript:KYUSt_chr6.24330 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQRRRLPRERRMRRRSLVREGLEELDHHQRVPCSMATGRSGARPQGHDVSKLEKRVEQGCSFFPSLGPRLFQSEHSIAGTGDETSWYDEASKAAPKNSRGESHCLPSGSLAGRNEDGRPST >cds.KYUSt_chr6.32709 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206024997:206027264:-1 gene:KYUSg_chr6.32709 transcript:KYUSt_chr6.32709 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLCSHYAALLSSAAAGSRNGARTSAAVHCLILRTIPHPPPTYLLNHLLTAYTRSGRLGLARARRLFDAMPDPNLFTRNALLSALARARLLPDMKRLFASMPERDAVSYNALIAGFSGAGAPARAAGAYRALLAEEGEVDGVRVRPSRITMSGMVMAASALGDRALGRQVHCQTLRLGFGAYPFTGSPLVDMYAKMGLIGDAKRVFDEMEGRNVVMYNTMITGLLRCKMVTDARALFEAMADRDSITWTAMVTGLARNGLPAEALDVFRRMRASGVGIDQYTFGSILTACGALAASEEGKQIHAYVIRTLYEDNVFVGSALVDMYSKCGSIRSAEAVLRRMTCKNIISWTAMIVGYGQNGCGEEAVRVFSEMQRDGIKPDDFTLGSVISSCANLASLEEGAQFHCVALVSGLRPYITVSNALVTLYGKCGSIEDAHRLFDEMTVHDQVSWTALVTGYAQFGKAKETMDLFEKMLSKGVKPDGVTFIGVLSACSRSGLVEKGRSYFQSMQEDHGIVPLDDHYTCMIDLYSRSGRLKEAEEFIRQMPRCPDAIGWATLLSSSRLRGDMETGKWAAENLLKVDPQNPASYVLLCSMHASKGEWSEVAQLRRGMRDRQVKKEPGCSWIKYKNKVYIFSADDQSHPFSRTIYEKLQWLNSKMVEEGYKPDVSSVLHDVADSEKVQMLSNHSEKLAIAFGLIFVPQEMQIRIVKNLRVCVDCHNATKFISKITGRDILVRDAVRFHKFSNGICSCGDFW >cds.KYUSt_chr3.27013 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168563501:168564163:-1 gene:KYUSg_chr3.27013 transcript:KYUSt_chr3.27013 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPLPRSRGKLCHAVLQDHAAGAATAGQRFFLSGSHTCCTGWRCHGGGAAKARRRSCKGPPVELQRAATGAAKAHRWSCKGPPVELQRAAAELQRAAGGAANPHCMCCKGSAAELRRPMVDAAKARSRSCKGHARLLPARGNGAASASGGATSRGSGAAGGATSRGSGAAGGAAIGGGGRFHLWEAVLRGGSAYAARRGGDASHRSSRVAGEIPRRTCF >cds.KYUSt_chr6.27719 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175840940:175841536:1 gene:KYUSg_chr6.27719 transcript:KYUSt_chr6.27719 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLQSTGNAIHFDPKVFRLPIYNGPSLQYRMVTSFPKMLNLSRNYFTGVIPPQIGQLKVLEVLDSSFNKLSGQIPHSICSLTSLQAIDLYNNNLTGAIPAALNRLHFLSAFNISNNDIEGPIPSGSQFDTFQNSSFDGNPKLCRSMYTHRCDSAEADQAVILPRKKAEYKVAFVIAFGVFFGVGVLYDQLVLSRYFG >cds.KYUSt_contig_1145.54 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000108.1:266894:271489:-1 gene:KYUSg_contig_1145.54 transcript:KYUSt_contig_1145.54 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRCNPLKQLDFVLIQLQSLLIITMVVASHDELPPTWPQVTIATAIVFCHRFFLRQSHAKNDRQIIATVCMFLAGKVEETPRPLKDVVLISYEIIHKKDPAAIARIKQKEVYEQQKELLLIGERLVLVTLGFDMNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPADGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVGPPPSQGNETEGSSASVRAPVKEEPLANESHQAPRQSSIPGHHGYDHPHPEKQNSNQRVPKIEARDSSANSNEGTNMSSSMMDAMKKIDKDKVKAALEKRRKSKGDAGRKVDVMDDDDLIERELEHGVELAAEDEKKPDRRQSWPHPAHREDHQNTARKVENTEEGELSMDSHEYRSPDPDNRKRKDAHEHRGYDRGGDRDVKRLRS >cds.KYUSt_chr3.27677 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172884334:172886088:1 gene:KYUSg_chr3.27677 transcript:KYUSt_chr3.27677 gene_biotype:protein_coding transcript_biotype:protein_coding MENCNLESWNGTKKSLRMDAAALSRGHVAPNFHIHWSQATRTSDRFLPVLLPYSLPRSSARHFFLLPPSPKSHTMHRLLLEALFLLFTAADAYAASCSNATCGGQTITYPFWLATGPNCGYPGLGISCQENAPILDVQFHQYRVLHIDYANHTVSLADVDAWNTTCPRLSFNLSRDPKSWLQLTPSNSNLTFLYSCKANVSRPSAVRLHGCPGQNTLWYVLPDDVATAKSLGYACDEAVTMPVLSSHRLGNQSLFEVLSQGFEMRYDAKSEQCVACEQSGGRCSYGRTEEHSGTEFTCFCDDGANERQCGAGRVHVHLVNSISMALGSIRPPQSLYGFNLKSKAPRLHRFH >cds.KYUSt_chr2.32144 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198229929:198230652:-1 gene:KYUSg_chr2.32144 transcript:KYUSt_chr2.32144 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTNPISIVAGAPHLERGHWPSQRRPTPATRASPSPEPLTSSADADHISTGRRDPQCVARPPPPLTSAATLQVADLHDAVFHNALFLEDAMLPKVAATLPLTRTRTLSPLIQFDVVFQEASVFQEAFAGLPVTRTPPPLTQLDGALLLQRGGITSLHMEGIQLTNSSQIL >cds.KYUSt_chr3.42032 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265370896:265383252:-1 gene:KYUSg_chr3.42032 transcript:KYUSt_chr3.42032 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRPAAASRNRGDPSSSGPNPDARRVAAAAAAARRSGRGDHGPLRVMAVSARTLLLLGIASVSLLSVAFVAYNGGWWQAESESEGSAALRRMARSVTPLDAPRMMDLPQFQGDHKESLYWGTYRPNVYLGVRARTPLSIIAGLMWVGVKNGQYFLRHVCQDSDELSKYGWEDHNGRDYGRQELTDHGLQLTTSFLKDKGEGSGYGGDWAVRLDAKNEGSSSSEAQESTTHLFFYIADEEGKSITMGSNEPASSGPVLLASGSHEDIGDWELHLRSEDNLEIHRAGFQSINMHNLSDLVQHAVATNAMQTGNLNLPDLTEDSSNIMIYQVSIKLPAKIDIVFLSGASSKRPMIAERVNRLTGPMLSTCLESKRKDFEERYDQIFNVNKKIVSKELSVGRVALSSLLGGIGYFYGQSKIALPKTFSQKNGDKYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQLVIWRWDAHISMDIIGHWLDLINADGWIPREQILGAEALSKVPEEFVLQYPSNGNPPTLFLALRDLASGIHAHQFSGEEAEKISTFLERAYVRLNSWFQWFNSTQSGKYEGTFFWHGRDNMATRELNPKTLTSGLDDYPRASHPNDEERHVDLRSWMLLASNCMRSIAEFLKMDTSLEKDYYNMSNQLSDFGTLNKMHLDNKTGAYFDYGNHTEKVRLRWHELKDKDGMRRELLRETLQPPQLQLVPHVGYVSLFPFMMGAIPPESWVLEKQLDLISDRSILWTDYGLRSLSRTSSMYMKRNTEHDAPYWRGAIWINMNYMILSALHHYSHEDGPYMGRAGELYDELRSNLIRNIVRNYQETGFFWENYDQTNKGKGKGARSFTGWTSLVVLIMSESYPTLHSTKKTVGSCDHIPRYKAPTRQATDWRQNWGRGSPVLPQIHPATPAMAMAAPSIRTVASVFGLLLLVFALSSTVIYLVSSAPAAAPSSILLNLRPFAARCPPAPPLRVFMYDLPARFHVAMMSTNSAAPAPSNGSGAAFPAWPPSAGGIRRQHSVEYWMMASLQLQGGGGGAREAVRVRDPDAADAFFVPFFSSLSFNVHGRNMTDPDTEDDRRLQVELMDILWKSKYWQRSAGRDHVIPMHHPNAFRFLRDMVNASVLIVSDFGRYTKELASLKKDVVAPYVHVVDSLLNDDPSDPFESRPTLLFFRGRTVRKDEGKIRKKLAKILKDKDGVRFEDSLATGDGIKTSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIISSRIELPFEDEIDYSEFSLFFSVEEALRPDYLLNQLRQMPKEKWVEMWSKLKNVSSHYEFQYPPRKGDAVNMIWRQVKHKIPAVNLAIHRNRRLKIPDWW >cds.KYUSt_chr3.32587 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204878925:204881161:-1 gene:KYUSg_chr3.32587 transcript:KYUSt_chr3.32587 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRKTLLHGRLPAPPAAAAARIFSFARSFSSSPGGDGGGDEWGSSWSTGITKDHFDGSASAVGRPAPSPSAPVSRELAAVRAMDEEDEILRDIDRDNKEGKAFVDSWDDRLRETCELLKQVREPGSRGSYLKDSEKQEMYRLHKEDPATYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKQGKPLDDSVEILLDSCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDNMLYEEFVQRLEFNKKKVAGEVKCHKYSRRRPDDGWAYMVEKLGPQGRRGTGGGWKFMSLPDGSSRPLNDMEKMYVKRETPRRRRRIIAPYK >cds.KYUSt_chr6.11407 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70779156:70781219:1 gene:KYUSg_chr6.11407 transcript:KYUSt_chr6.11407 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLIEAQKPLLTGMMKLAGLRPIDVELEPGTTMHFWAPKHHAGKKGTTITPLDPSAADAATATKKKPSSSSSRRRRRRNNPESKPNVVLIHGFAAEGNVTFQFNFGVLVSRYNVYIPDLLFFGKSSTTDSADRSPEFQARCVAAALDRLGVARCDVVGFSYGGMVAFKMAETRPDLVRSLAVSGCVVSMTDAVNKETMARLGSGSSAELLMPETLQGLKALFKVSMYRKMWFPDRLYKDYLKAMFTNRKERQELLQGLLDSNMDAKIPIFKQKIMLIWGEEDKLFDIELAKNMKEQLGENCQLHGIPKAGHLLHLERPCAYNRQLGRFLAQVNSQEEQKEAN >cds.KYUSt_chr1.4598 pep primary_assembly:MPB_Lper_Kyuss_1697:1:28171438:28173230:-1 gene:KYUSg_chr1.4598 transcript:KYUSt_chr1.4598 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCTVELTKDNIIARSKTFDKHYVIVNNMLSQSGFGWDDDKNMISVEDDVWNNYAKANKDAASYRYKVIKYWDMISTLYNRDRATGEGARTANESAAEMAEEIANTTATNKDANSSTKDDEDRPKKRYRSDDSIATMLGDKLDNFTAVFKADAPEPPPKPASPEEIWALLGGIPELEDDQLLAIYDVLVADDRKFKSLLVLPERMKKKWVLKQIST >cds.KYUSt_chr7.5903 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35314799:35315248:-1 gene:KYUSg_chr7.5903 transcript:KYUSt_chr7.5903 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSSPGSRSPGAAVPQVPKAAAAQGRLAGNDGGQGPARRCLALGTAVDVSDHQPKGDGGRRAPNSHLFDAAARRLPCRTSNGGRFVRTHRSDASASYVLAAAIRRRRSADGDRRWSGRQPRPKGIVAERFPLSIPRFPGAVGLGWPNR >cds.KYUSt_chr5.18394 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118857009:118857586:-1 gene:KYUSg_chr5.18394 transcript:KYUSt_chr5.18394 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKAVSRSVKAGLQFPVGRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIMPRHLMLAIRNDEEFGKLLSGVTIAHGGVLPNIHSVLLPKKAAEKAEKAESSKSPKKKKPVAKKNAAADNEE >cds.KYUSt_chr2.30753 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189402954:189403723:1 gene:KYUSg_chr2.30753 transcript:KYUSt_chr2.30753 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVPWPPPTRPASASSASSTSSALDLPSSGDPRPDLVAELIANYRSGNSYTELSSVRGARIDLSIDTFADALRLPRTRTERPLSGVGIAVETAAATAFAKAYVLAPIEACPNRTQWHRRRNLGILKAVGGHGIFWPMMIWMQVEGEVLHLTESERTDDDCHYGAYLQRLIWCQRRDLFQLPPEPAALPTQQALASNRSAVDESQRLHSEPVYKIQQVEVIMH >cds.KYUSt_chr2.24451 pep primary_assembly:MPB_Lper_Kyuss_1697:2:149478400:149485242:-1 gene:KYUSg_chr2.24451 transcript:KYUSt_chr2.24451 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKPHFAPHAAYAASASAPAPTAAPASDSSSSASADAGADVIVFRLLLPRAFGDEDAFHLYAAIAPLRRNAAALQVRVDALQGYPEDSASRVAVVLGPTSPSRRVEASSSSSSGEPLQLSPVQEALVALVDVGGVLHRVVGRGPEFVTCLVLVEAARLDDQGRWTLRAITSETGAEIRFKSLAGDAKPSLHSPDEVLEITGDRTTIRKAIVALSSYVQGDLHAGTLTNSVTTASAELPRTTLEVPETNFGALHSGGSTQYAKSSIPRIDSPQGVTGDVKSKHQQQISFRLLCHVNLAGGLIGTKGLLIKSFESETGASIDVGNPYSGCTERVITISALEITGELVDVRKALIVLSDKLRDYAFSSKSTKYDDANATSSDITESTPSRQKSAGGSGAITITGVQKPADGSGDRINNSCIGITSPEDNKFSSHQRIKDPVVIRMTYEVAACQRVLCILYGDDGYYLAQLRQISGADITVYDPPPETSDGSIIVISGTPDQAQLALAALIDLTKKMTSSSSDPQA >cds.KYUSt_chr4.42764 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264827469:264828275:1 gene:KYUSg_chr4.42764 transcript:KYUSt_chr4.42764 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTMRISFSVASLYTKLPSLSPSPSQTQNQSAMNPTPPPPNPRHAVQARRPPRQLFVLAPPLPCLIILKLEPGLSQLLVLPLLLKLGDDDCVPARSSTSQGSTQAPIRCTARARHPPARSLRPCSPPAATAVAAPAMAMAMLLRTRQWRCCNCCATLLQRMAGPATNGKRPPTQPPPMAHAPLLQAHQARPKVPTSSSSDGPPSSAGAAATMVWWSTAKDGRGGAATCGCPHRYTRLVSVLLCGVGVCYEGRRPLLRRAAARQHMLQ >cds.KYUSt_chr5.9476 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60183443:60187561:1 gene:KYUSg_chr5.9476 transcript:KYUSt_chr5.9476 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCGCFGAAQAAKEQRAEADRLEAQDARARAAEAAQKRQQEYEKSAAGRAARAQIKAMKESKTSNQGNQGEPVLKWQMG >cds.KYUSt_chr2.41238 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256371123:256372889:1 gene:KYUSg_chr2.41238 transcript:KYUSt_chr2.41238 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALRAILASSTPLAERAIPSAQALLLTSGLAGDAAVLAHFARHLVSATRAPADAIHALLRLRPRPRCAHPFNALISHLTLSGDPSAAFRVFAVLAAGGDAGRPDGYTLPAALKACARLRGGLREGRQAHAVAEKGGFLGRLPVRNALVTLYGACGECGDARKVFDEIARPDVVSWTALVSALVRGGRFADALRLFGEMDVAPNEGTLASTLVACGRLGDARSGKAVHGWYLKREKEMKVIVGNAVLDMYVKCEKLDLARQVFDRLLARDIVSWTVMISGLVNCRLPSEALELFNEMQTSGVKPDKVVLSTVLSACASLGALESGRWVHQYIERKGIEWDVHVGTSLVDMYAKCGCLETSLSVFHKMPIKNVSSWNALINGFALHGHGREALECFDRMVASGLPPNEVTFIIVLGACCHTGLVQEGLLLFESMRNEYNLSPWEEHYGCMVDLLGRAGLIQEAYGLIKVMPMKPAVFTWGALLSACQDHGRVDFSQQILRNVHELESSGSGVYVLLSNVYAVNGRWTDVKRVRGLLSEKGLRKEPGSSAIEVNGKTTEFVVGQKNHQDMDEIRAVLSTLMKQIHLDGL >cds.KYUSt_contig_1253.584 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3576117:3579821:-1 gene:KYUSg_contig_1253.584 transcript:KYUSt_contig_1253.584 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPVGQVDGLFFGDSSELAGIMGPAYRVRIEEEGAVSVVAEAHDTGGDDEADSVAAVRQIRVQGPQPEESTGEVGEETRAAASMHSGGAMHGKRKIVGGVGPSESEQSTLKVNPEAPGWIKRVRVGGHATERIPCPNRVGTLEKMIRSYPERRGDYVLEPSLGMTFDSLGEAYDFYNLYSWEHGFGVRYGKSRLNPQRTKTMQEIVCGCSGKPAGENTRSCRCECPALIRLLRTEDKGWYITEQRATHNHSLSKTCAEKVYWPSHKHIDVYTKDLVKQLRENNVNIGKVYSIIGSFFGGVGNVPFTKRTLKNLCGRISKEQADDDVRKTMEVFAEIGSKDPEFTYRVLADSDSRIKNLMWANGSSRMQYKYFGDVITFDTTYRTNLYDMPFGLFVGVNNHFQSIILGGVLLRDEQTESFEWVFEEFVRMMGGVAPKTILTEEEFETAWAMLLEKYSLKTHPFLTQIYEVRHKWAKPYFRGVFCAKMTSTQRSESANMMLKSYVPPGCAMNLFVRHYMRLQHDREKDEGYQEKRTKVASAVLHANLSIEEHASKVYTRAMFEQFGHNLYMAGAYRIEEVEKYKLYLAKHTKAHKREKWSRVEFQVKVADGGEFFECECGLFEHMGIVCSHTIKVMDYLGVEEIPKRHILKRWTRDARDVLPGHLEVYQNDHASSRSFTYRHSLLYRKALELVRLGDASAEACEKLDSLSESNLSIMTPYDSMRDGLGLEDRPAEQPCEMEAERVMVDDGMILAEEPNLLLGLGAPEKNRGAGRPTTSRDRAPYEGTGLLSKRTRFCTICRCSGHKRTTCPQRGNAPKQPRKVAKCTRCGLPGHRRTTCTKQCGALLS >cds.KYUSt_contig_2887.114 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:559496:562311:-1 gene:KYUSg_contig_2887.114 transcript:KYUSt_contig_2887.114 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKTKHLCPCQAGPCGRPQRQRSVRRDANWVIFASPRMVHSLCVVPLEGVPDAFSTWTDPNGRSQLEMPLDEAGPAWVATLLVQDSRNHLLHRAFPTLVKPSQQLLHSLVKSMAKFSFADAADEDHPPAPAADTTDAGGGDKRKRDGVGGPDDDAVGGGPPSKARKLDGVGGERAVKGDGGGGREVRRVGGDGDAGVSMRIDPDLLDCSICFEPLCPPLYQCQNGHVACFSCWSRLSNKCHVCSHDAIFARNIALEKIVESIKSSCAYAKWGCCNLVSYAQRSTHEEACLFAPSTCPIPGCGYRGFTGCWSGHFLVDHSADCLHFVYGQPFEVNLEVSLPFLVLLGEDDHLFLLLNKNMMPLGHAFTVVCLRTGNLNWKFSYEIKTASGGNPENSLQLKASVTNTKEWAGVHPAEAFLLVPYDFCSSTNLTLHVTVARSASV >cds.KYUSt_chr1.40699 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249600078:249600347:1 gene:KYUSg_chr1.40699 transcript:KYUSt_chr1.40699 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSAAMAETGIIIRARRLRGALSSAGGGGSYTAAFVVLGVIAALLVISYLVRRVCTKKQLRLRTRRDRVACYDDGSEGGLPRWRLRR >cds.KYUSt_contig_402.296 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1772782:1773027:-1 gene:KYUSg_contig_402.296 transcript:KYUSt_contig_402.296 gene_biotype:protein_coding transcript_biotype:protein_coding MMVCKGLGIIKDGKEVTEWALAEFASRFKGRVEEDVIKAMMALFKVGSEEDDTCDEDMLAHRGTAALDMDEPEAGSATAEV >cds.KYUSt_chr2.37024 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229008376:229009086:1 gene:KYUSg_chr2.37024 transcript:KYUSt_chr2.37024 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTVSGLAGAALARRPAFSTSFTSGSRVSARNPLMTRNLERNGRITCMTFPRDWLRRDLNVIGFGLIGWLAPSSIPLINGNSLTGLFFSSIGEELAHFPSPPALQSQFWLWLVTWHLGLFIALTFGQIGFKGRTEDYFQK >cds.KYUSt_contig_815.259 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1588953:1590732:-1 gene:KYUSg_contig_815.259 transcript:KYUSt_contig_815.259 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGAQEGAPATPLLTSDDGSLMDEKRKSEYGRALSSSTAPLPPPPPRRSRVALRANGFPVDGVPRADVIEYYTHRDGAIYKRSTAGSLATLYQLHDTSETGDQVQVYGFMAVRDTLDPLRNYVFNRSRDDPFIVEQEDGHIQMSGPKRGIRWHDMVLVEFDMRIKRGDDEENDLQLMDGAVWFNDRTLTHCRVATERIDGDYGSVDICYGLLQSSVEATVQIRVVELLGGFSLHATAFYISNLVHQEIQLFNGVIPGPDGCQIYKLAKSVVGRGGMTVGEPYTSNLVSSGGRRRYEPEKCAIAAGGGGQRYEVGRYVIAFPRSAKLALKLKIRQNGGSSDCEEVARFYISPASTHGSDPFAFDLGFATIHVNISWSTLPQPRLMSRRSLGMR >cds.KYUSt_chr2.26348 pep primary_assembly:MPB_Lper_Kyuss_1697:2:161342088:161343755:1 gene:KYUSg_chr2.26348 transcript:KYUSt_chr2.26348 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSARRLRSQPSPGARRRFRPRHFPDGGGAGLINTLPDDMLLQVLARLCCARAAALTGALSRRWRGLWTHLTELSFRDISPDALDVALNQVACPALSRLKIKIPKRHTIHPARVSSLLGAAARLAPADLVFKVWGHTKDSNIAVEIPCFNRATSIKLDVVNLYLLPPVGCVQFPVLERLSISGCHINIGKLILHCPHLRVLEVCNCWGFSMIRIRSPTIENISVDHGPVRGIDIMAPLLNRLRMCVYMAPHFSLSFSAPMLKDLCLWCPCLIQNVGIGEAPRRPKVMGGGGGGAQRLGAQGALQGDAFEAALLEAKHQLGRMSRGKQRQSRQEEVHHIHTPDTTKAPREAVMEAVHCFPSGRGMHQWEESPEAWPELGRPADEGAAADLPRVGEVA >cds.KYUSt_chr4.1561 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8222204:8226798:-1 gene:KYUSg_chr4.1561 transcript:KYUSt_chr4.1561 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEEPTPPADGKIMSETSELEESTFQSSPSPSRKKLLIDRLCSLWLPAMLHFILPRRPSDDIVRTQIIPPRHSINPQLGLLDLVSSFSATPASAPLLLSPLVGRHEDVNARPSTFRGEVLVEVFAVHSDFPFSGTISLFDGRAANYIYRRHDWDSKADFYSRQNEWLTTHADSSSKFKHELPLTGPGRAISAFSGFGIHVDVPATDEHYGVACYESPDDWDEITKPDQPNTITIATNMGSLYVECSVLTNAVRADVKLMVRLPWLYAFAFVNGRVMAYIDTFEVGTTLFSRGDDIRDAEDVPFTDSEEGTTIGTEFRLPLDRYPLVVPIGSCLHIKGELVVNGSETISINHSIPTDSPDFETGWDEDEELEIQTAVWLSLRDGEATSPEKGVVAAALADQRQRRGEVLKNYSVPVLPKVPKAKQPEGGARISRDTPGQGDEGGIRSQQARWRRGADAIPKAPGKGAAKDEVGTTLFNRGDNIHDREDVPFTNLARRGSMALLFAAIMVIAMVTPSCHAVQEECNPIIPCLPKTCFVYCRQQGYANPQGRCTREKGGLSADVCCCSSSGKKMDVRRLLLQ >cds.KYUSt_chr3.38070 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239560532:239562440:-1 gene:KYUSg_chr3.38070 transcript:KYUSt_chr3.38070 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLRRHSLDKSNSQSHLRQQHESGSGTHSNDTEMAEPAGNGRAPPRSRLARDGPPSDLDIMKEKFAKLLLGEDMSGTGKGVSSALALSNAVTNLAASVFGEHRKLEPMAPDTKERWKKEVGWLLSVSDHIVEFVPTRQTAENGTTMEIMSTAQRRDLQMNIPALRKLDNMLIGYMDNFVDQTEFWYEKGGDNKRDDDKWWMPTVKVPAEGLSDVTRRWLQYQKECVNQVLKAAMAINAQVLVEMEVPEIYIESLPKKGKTSLGDTIYRNITDEEFDPVEFLESVDLSTEHKVLDLKNRIEASTIIWKRKMQTKDTKSSWGSIISFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYALLESYSRVLESLAYSVMSRIEDVLGADAAATNLTASEVARRQMEMNMPRKLDAREELEKLNEAPASMTLYDFMGWHFDQDELMKKKEEGTLDEAGEAMLLKKAPSLAPKKFSYVDSLAGGMRSPSARH >cds.KYUSt_chr1.30634 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185472056:185475254:-1 gene:KYUSg_chr1.30634 transcript:KYUSt_chr1.30634 gene_biotype:protein_coding transcript_biotype:protein_coding MASHEPPSPPPPPPAPTTINALTDDLLREIFFRLPDLPSLTCAAFTCRAFLRAVRSSPAFRRRFRELHAPHILALFVEPDMRAFVPAISRMSDNTGMTAVFANLLRDDGSSEWRINSEAPYSDGYVLFVNRNTKQSVWYNVHTQALEIYPKESHAGVHDFLEFHTLPADREDQKPSHVVCVHNDRVAVFSSHAMEWRVFPEPGSPLLQGHRYTMSTVVNGFVCWLYQDCILALNTSTFQFSLVYLPPLFNSNFNIGQTKDGDLCMVDVQECKLSVWLWTTDTDGVQGFMLHKTFPLHTSVKEITKRSVEDIADVGSQLIIVIDGFVYLSVVYGGDPQSCWFLSVCVETAEVNFLFKTTSCSYCFDPYIMAWPPSLIHSKDSETEVSVGDNGRVGKEEASPILFTALRSFKEALIDDDNVKFAEMDAFLLDDEINSLQTKITTLEAGLAAGQIRFAPANLIRICHAALKAKDFLLPGNTVCALMVDSGMGYKTTGWSAGRGHCPPHPDAVSPCETSLVPIF >cds.KYUSt_chr3.49200 pep primary_assembly:MPB_Lper_Kyuss_1697:3:307576534:307577024:-1 gene:KYUSg_chr3.49200 transcript:KYUSt_chr3.49200 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHHHLFLLLLLLVLVPQLVTAQAQAQQPGVGVGVATQPGACVPIVYGAPCLVGTNGVTMPPAPRLGRPEHGLPTTPTPLDHDPLPPPSPPPPPPPPPTPSSAAASRSSLLIGTILLLPAQILLVVV >cds.KYUSt_chr1.10124 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62078849:62080731:-1 gene:KYUSg_chr1.10124 transcript:KYUSt_chr1.10124 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEASARANRPADASRTSEHRRRRLTLAPGGLSRVLSTESERISGAEGSRFVCLADGGYSSAEDSCASETPFEAALDVLEGSPEFPACSAEASRGKRSDEELISEFWSEIGFPTKESRFWEKGSPSSSGKGAAVVCSPISPNHVHVFRLSIVAAAVFLGCAPLVTGSLATANKFFSVKVCTAGCCIFFDKFFLATAACALSSHPLRVETRRPAYLRRGFGRQASRHGGQPAPPGTSVRARVVALAPDALAGAVGRPAASPFGQPRPPYQQPAPHPGFGPPPPSQQFGGFAGRPPPYVPGQYNPQYQQQFFGGYAAPPMQHQQPQFVGAQGQQLQHFAAPAVQQQQQVASASGVQRQKKKKKKAAGAQGVPPVQQPHVVVSTGVAPPQGQFVPQGHRAGFDMQTSQLQAHSQAAIQPQIVAQVMAPLVSEHVAPIETVKPNKPIWCWKCSVDSHAVKDCKAQHYCYICVDVRNPPAGSGLSTP >cds.KYUSt_chr2.594 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3589762:3593042:-1 gene:KYUSg_chr2.594 transcript:KYUSt_chr2.594 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAPDPPDARAVLCAQEKRGQGGRREAEEDDDEEEFRLPRSHRPTENLDTEGLEQASVDTQLSSSNVGFKLMQKMGWKGKGLGKNEQGILEPIKAGIRDAKLGVGKQEQDDFFTSEDNVQRKRLNVELEETEEHVKKREVTAEREQKIRSEVKEIQKTFFCSLCNKQYKLAYEFESHLSSYDHNHRKRFKEMKEMQSSSNSNRDDRQKREQQREEKEMAKFAQLADAHRKQQQQKQEQPEISGEKVTSKPAAGNQDQRQTLKFGFSKMAPSKAPVGNISKKRKIATKVPSVFGNDSDDEA >cds.KYUSt_chr2.8240 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51818467:51822336:-1 gene:KYUSg_chr2.8240 transcript:KYUSt_chr2.8240 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRRGSSCCALCEGSNLHSCCAACVNTRLSEYHTRLRMMRNLRDSLQTRIAARLEAKRKAEEQRSWKMSKAHDIKELRDRLSELTRRTAIEKRKVQQASIGNKTKKDALSLGIITLKTNQADSLTMHTNAMKAAQMGLMATTSERLKRQSKAIKQLCRLFPMRRAIIEGEKKDGHSDPYDAICGIRLPRGLDPHSVPSEELSASLGYMLQVLSIAIPILSAPALHVAGFGASCSRIWQRGSYWSTRQSQSKVYPLFVPRQNNCSVGEENSWTESGSGNFGVDSVDSDKKSFLDSKRSNSFNFSAASSHSMERHQDLQIGIALLKKSVSAVTAYYYNSLGLDVPSNLSTFDAFAKMLHMLSSSKALRAALESNIASRSEKQAHQLNRSIWKASSAISSNSSMMDSTHTAIMPSSLDNLLLNSNASFLYTGKPPKHGGAVNNISDGWDMVERGWDMVEREILPPPPSQAEDIAQWERAHTFPHSGAKKK >cds.KYUSt_chr1.14986 pep primary_assembly:MPB_Lper_Kyuss_1697:1:87218520:87222650:-1 gene:KYUSg_chr1.14986 transcript:KYUSt_chr1.14986 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIATVCMFLAGKVEETPRPLKDVIMVSYELIHKKDPAAGQKIKQREVYDRQKELILLGERVVLATLGFDLNVHHPYKPLVETIKKFKITHNALPQVAWNFVNDGLRTSLCLQFKSHHIAAGALFLAGKFLKVKFLPDDGEKAWYQEFDVTPRQLEEVSNQMLELYEQNRVVQSQPTHGNEAEGSSAIVPNQGISVKAQAKSEEPPVLGHHQASKQSDSNHSTSTGVPIHNGAEDSDRNKQINSQRSLQNVSGDHGIDKTSCQSGVGVGAGINDGMHDDTKSLPGSSRSSDKSDIPMEEQKPFLSHASSCETRDGNLSSGDVPSVSSSMMDAMNKIDKDKVKAALEKQRRLKGDVARNVDVMDDEDLLESELERGVELTVEDEKIKSGDVAENGDHGEQNVPEAEVLVDVKEECSPAKRNDGFEQVPEPS >cds.KYUSt_chr4.2611 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14633736:14634679:-1 gene:KYUSg_chr4.2611 transcript:KYUSt_chr4.2611 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFSLNKERQTFERPVRRPSAGPFVMVIRVGQETIALTETLQVYHQTQFNYGSTTSLLRYMPDESDVVGWRVVISVYVAVNDESFMVCDAVTCSCLLFNLAAKRWLVVVPLAAFNENPQPPVCIKDLLINGRCVFVDGFIYTCRNEGLAAFELLIVDCSLYLSKPIILPLTRREHCVGEDMCLDYAGKDVGSGANLFYLVQGVYSPPKHGVRITTVKVKTQRTASNKMKPAGIDHMDSVTRFIRDTEAMDTRCCFAVCQMPMPCVRRRSVSRIVYVCRRPVRI >cds.KYUSt_contig_402.202 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1102887:1103291:1 gene:KYUSg_contig_402.202 transcript:KYUSt_contig_402.202 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISGSLDSVKQQVKAMASSVSSARPADLRQKLAGMSRADLVAGLSHVKDQAAAAVSTRDGAMKASALVLGGAVSIYFLWPAAAAPAAAGAMMKAPGAAGFVVSRVAFLAHKQLYFQVLRTAGPAAAVAVLAV >cds.KYUSt_contig_1253.642 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3989075:3992099:1 gene:KYUSg_contig_1253.642 transcript:KYUSt_contig_1253.642 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMPTVAMLLVQIGFAGNNLLSKMALENGASPYVLISCRSLIAALFLAPFAVYFERNKWMMITKKVIMQILLSSTLGMAVSEVLFFVGFKYTSPTVASAIGNIVPALTFVIAATLKMEPVRLQTPAGQAKVVGTLVCVGGSMIMPFYKGPLLKLWASPIHWRYAEHTTGAAVPPTNSGLGDLLIILSAVAWAAWLVMQNKTSEDFSAPYTSTTIMSLIVSAESAGVSAAVDRSLSVWNIGLGINLYSVLYMGIVGWGIMFVVMTWCIQVRGPLFVSMFNPVVLVAVAVLGWAILDEKLHVGSVIGSALIVSGLYMVLWGKGSEMSRPAQRDGQTETVSTKGLESNGKNNDVHSSPV >cds.KYUSt_chr3.37976 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238984723:238988970:-1 gene:KYUSg_chr3.37976 transcript:KYUSt_chr3.37976 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLPRLGFSMEHSSGSPIDNFLIKVHNVAGAPNAAYGTRVLFPLKASTLPSISNYVIEEITGEIYKAPDVLLKHDTSSIILEKTNLGESGVQMIQWAYDVMIKSENVVIDLRKIRRPITTASHHDGSASGTMYIYLIESLLPPSIYLDKKPIVLQGDDSSQYPILGI >cds.KYUSt_chr5.22771 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148799176:148800963:-1 gene:KYUSg_chr5.22771 transcript:KYUSt_chr5.22771 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLPFLILALGVLVQLCCCSPPPDPVVCTHGTSNCTVTNTYGSYTDRSICHAANITYPRTEQELVAAVAAAASAKRKLKVATKHSHSIPKLSCPGGRDGTIISTARLNRTVHIDAAKRLMTVESGMVLRDLIAAAAAAGLALPNSPYWYGLSIGGLLATGAHGSSLWGKGGAVHEYVVGLRIVTPAPASQGFATVRELGADHPDLDAAKVSLGVLGVISQVTLALEPLFKRSVTYLKRGDSDLADQVVPWGRLHEFADLTWYPQHGTVMYRQDDRVDISTPGNGLSDYLTLRASPNHGTIGVRVAEESMQMKNVTDVARCAAAQLPVSVQEQQAFGFTNEGISFTGYPVVGYQHRMQASGTCIDGPEDGLLTSCIWDPRIRGSFFYNSGFSIALSKVPAFVADVQRLRDLNPDMFCTGVDGRIGMMGRYVKASSAYLGKAEDSIDLDVLFYRSRTYGIPRVYADLVDEIEQMALNKYGGLPHWGKNRDFAFDGAIAKYPKANKFLLVKNRYDPDGLFSSEWSDQVLGIRGRPTIVKKGCAIEGLCVCSDDSHCAPEQGYFCRPGKVYQEARVCSTEINDWFLSNMRIVCDNSQ >cds.KYUSt_chr5.6188 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38221314:38222948:-1 gene:KYUSg_chr5.6188 transcript:KYUSt_chr5.6188 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAVALGKASSPSSATVSPAPCVPPLPPLSRSTQVSGGAVQVPAATALPAMLPVLWAAAAVGEEEDDEEELAPQTPPPVPVATTLLAAHPVLWVAAAMGEVEVEDQGVEELAPQIPPLASTLQATAAAPEPTSWASADNDDEDEDEEELAPRTPPSAAPMTCAAPDVVGGNVVVVASPMLLAAPNGLVSCGFAEAFDAADAVDVEDELALETMSATKTFIDAAPIVEERDGWQEVMPRRGPRRSTLPAPPVARRPVPTWLKGRCCRCLVLGHRAAVCCDPFRCSRCLENGHRACDCRNAWRPLSSLAGPTVLSPRQEHAPRRAQVEIPLPSNVPPYRRSWASVGSAPVGSSTLADMQSALEKQAALLHEAVRPLLEVVDSLHGWVLALGGFLERAEAALGRLSRTPADPLVLPDVGKVGASGAGLYGCFSPRVGACSAVTAPVMQVMPELQKLCGDAVMPLCAKEVRSDLHEISVVASPLSQALDSEKSDVIDAAVDMLVAPFGDGDAMSGSLATVPGAIVAREVSDSLATLASAYPGSTVV >cds.KYUSt_chr4.4265 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24418453:24421129:-1 gene:KYUSg_chr4.4265 transcript:KYUSt_chr4.4265 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGARRAGRRHLLAGALLLALVASAHCLDAAHHGSRNQKRRLRKKHEIHSPVKTVVVVVMENRSFDHVLGWLRSGRPDIDGLTGAESNRLNLSDPASPEIFVTDRAGYVDSDPGHGFEDIREQIFGSADTSASPAPMSGFAQNARAMGLGMPQNVMSGFAPDSVPVYAALADEFAVFDRWFASVPTSTQPNRLFVHSATSHGLTFNARKDLINGFPQKTIFDSLDENGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKHDAAKGNLPNYVVIEQRYFDCKEFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVEGVPQPDGIVGPDPYYFKFERLGVRVPSFLISPWVEKGTVIHEPNGPQANSQYEHSSIPATVKKLFNLHSNFLTKRDAWAGTFENYLKIRKTPRTDCPEKLPEVTKSLRPFGANEDKALSEFQVELIQLASQINGDHVLNTYPDIGKTMTVVEANRYAEDAVARFLEAGRIALRAGANESALVTMRPALTSRAAMSSGLTSEL >cds.KYUSt_chr3.47814 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299612556:299613080:-1 gene:KYUSg_chr3.47814 transcript:KYUSt_chr3.47814 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTLLFLTLALVAASTGQRGGAATADDGDLAERRETMAEVVRVFSNPASAAAADSATTHRAAAFMQRELGPLGPIIRAIDKMPEKSAADVRAKEEALDAAQELSMRHFRQLLAPRGSASTVSAGAADRETTYRTTIMVQTPIGPFGIVFNGGPENSASDDVRSRAEGRDAAE >cds.KYUSt_chr2.38810 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240609513:240612797:-1 gene:KYUSg_chr2.38810 transcript:KYUSt_chr2.38810 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAGKAACSKHIVLVHGACHGGWSWYKVATRLRSAGHRVSTPDLAASGIDPRPLREVPTFRDYSKPLLDLLESLPAEEKVVLVGHSLGGLSIALASELFPEKVAAAVFVTAFMPDHSSPPSYVLEKFVEGRTAEDWMDTEFKPQDPDGKLPISMLFGPLVTRAKLYQLCSPEDLTLGRSLMRVSSMFLDDLRLQQPYSEARYGSVRKVFIVCKDDLAIDEGFQRWMIENYPVDKVMEIDGADHLALFSTPAELASCLADIAEKRGSAPPEERVLVAELPPEELNDKYGGKEGWHGDPRMQGRYHGGMEEKKEEEGLDAPGRHCRCTKAGTAAHPGTAGLPTSTLPPRPRPLTI >cds.KYUSt_chr5.31800 pep primary_assembly:MPB_Lper_Kyuss_1697:5:201621976:201622966:1 gene:KYUSg_chr5.31800 transcript:KYUSt_chr5.31800 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRPSRHLHRVPCDQVHPRRQLPRPGLLPDAEAIFNQSSFTMTAMAAQFHLRHGLVQVAPRPNLDTIRTSGTVIRRYWALAHFSPGVDVPACSILLSVAVSASICFFPDRIAKWCGEIRQVLRISLGKL >cds.KYUSt_chr2.52032 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324675966:324677414:-1 gene:KYUSg_chr2.52032 transcript:KYUSt_chr2.52032 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKTKNRHADRLSDLPDEMLPHILSHLPSDEAVRSSVLSRRWRDVHKAVPVVDLVDHKEGRRGYDVKVCFDQQVTGAILSKPPGTPIRTLRLQAFYQPQELLDQWISSAMSSSAEEIDLNLRYWHTSRKSICPFASSPGDFDKYYKDAYVKTHHQLFRCPTLRRLRLTNWKLDLPLGKVTSSLETLCLARIMDPNGVLQQLISSCPRLADLTLQECPSLTQITVTSPHLQSFAIICCHQAQRVQLDSHCLKSLHYKGGIPQNSIFKLANYTGVVALTIEICEDLSGKEQKEVAPVTTLISQCTDLTYLHLSLRPSMAFNSLFRGDVRRLSRLTQLGLQGCLRNEDDVRSVVVFLCDTQNLEVLSLFPLGPQVPKKTQYLSDSESDDELIQDDGIDYSSRVTRSFWPMHIRCLDDKLRRINIGKYRGLQLQKILARFLLSRTASLEELSVALTAGCSRREHARELRSWKSNCHTRVAVTICQ >cds.KYUSt_chr1.3125 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18938637:18941978:1 gene:KYUSg_chr1.3125 transcript:KYUSt_chr1.3125 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWLGAVKKVFSPESKEKKEEKLRKKLAASDPTPTDLTPSTSLEVNASMPPPPPALPVPRQTEDFKVPEAEQEQSKHVTVEAAPETPAQTSSVLPPGISSEELSAIKIQTAFRGYLARRALRALRGLVRLRLLVDGNSVKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELESLRMGEHWDDSTQSKEKIEASLVSKQEAAIRRERALAYAFSHQWKSSSRSSNPMFVDPNNPHWGWSWLERWMAAKPSDGGRTGTNKESNGDNISVKSISLNLGEGEITKAFKSRSIKPDKSSPTTPKLTRPASRLSPSTPSAKVTPIVEKKKPATPKNRLPQVDDDARSVLSVQSERPRRHSIATSTVRDDESLASSPSVPSYMAATKSARAKSRLQGSPLVDAAETPEKVSPVASVKKRLSFPAGSASPLPMRRHSGPPKVAESVVKDIAEAPQLEALAING >cds.KYUSt_chr5.19200 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124325961:124327993:-1 gene:KYUSg_chr5.19200 transcript:KYUSt_chr5.19200 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKALITALVERWRPETHSFHLASGEMTVTLQDVAMLFALPIDGRPVCCTTDHDYGQMVVDCLGHDPRGLSMPGKSFLHYKWLKKHFYELPEGADDQTVQRHVRAYILSLLCGVLFPDGTGRMSLIYLPLIADLSLVGTYSWGSAALAFLYRALCSVASSHNIKNIGGSLLLLQLWSWEHSHVGRPLARSSLCMETDIPQDLPPIGFRWVGARAQSQNATRCLKQYRDELNLQRADQLKWEPYMLIESLSLPPLCTKDADLWITQAPLINFPIVEMYLPERVMRQFGLRQCIPPPFRPTLQTLHRISRRGRERENWEETHHEYIQEWEARRHRIFREAEQYDLSSYDEYLQWYSGATRRYLVPSTSDDAEAGLLCPPDDSSDLQYKAKSPMIRKAVDKLHGMMKKAKTAMSSTADTATQALVFEFLHGFEDVLHDLGEMKEKSGPEASPFGSATGSHVDSAATHNEPQLLLEVEQNILSDNQEVQYQEDEDLHTVEHARLTLEPMDEENQCSNNLLLGVDENYGSASLATENCETADFVIPQHNEYVDEAGHPAEMDHSLLLMESMPLREENNGVDSAPSPGPSPALAATYDSAMENINSSTQGAEPSCPQQSVDVELEAAVKHTDGGEG >cds.KYUSt_chr1.20261 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119282420:119290763:-1 gene:KYUSg_chr1.20261 transcript:KYUSt_chr1.20261 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKQRREAGARRQRRRPPPLLQARDECSSVSCTTFNILAPIYKRMDSENCRESQNRANWFSRNEKIIDRLLAGRSSIICLQEVWLGNDELVNMYEKRLGDANYTLFKLARTNNRGDGLLTAVHRKYFHVLNHRELLFNDFGDRVAQLLHVESAMPYLQNRSSSCVQQQSLIVNTHLVFPHDHSLSIVRLKQVYKILQYIQAYQEEHKLSPMPIILCGDWNGSKRGQVYKFLCSQGFVSSYDTAHQYSDSEEDAHKWVSHRNHRGNICGVDFIWLLNPDKCRKPLKTSWNEAVFGIIKYLLLQVASLSEENAFALLRADSPNDHITYSSFCQALCQLGMVHPDRVSSEEMKDLWSEADHDGDGFVDYKEFQRCIWSPKCENQEEEDDTEIDITDGSLVTLEPNDEAFGFTVKEAVLFPPEVEKGMWPENYSLSDHAPLTVVFSPISTALPRLHYLPYLEDDLEVLGTAARQVLPLARRPGPLLDRRSLGQTRVTAPHCLPFVQSGTRDHTPPDHWVPFCKTGMT >cds.KYUSt_chr4.49660 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307448549:307452115:1 gene:KYUSg_chr4.49660 transcript:KYUSt_chr4.49660 gene_biotype:protein_coding transcript_biotype:protein_coding AHSSQQQQQQQPEPNFQDISTQSWYPPSVVGSSSHPSTPTSSSASTHQRASDHPQSSSRGQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRDELRRETLQLARENLEREQRISELRNQCTIIRTTELATAEDRLADLERQKDEIMRSYSPAALLAKLQSTMAKLDEESEELHQKFLEKDIDLTTFVQKYKKLRAAHHKYLLLHLAGKTSLR >cds.KYUSt_chr5.30596 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194003227:194003836:-1 gene:KYUSg_chr5.30596 transcript:KYUSt_chr5.30596 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLGQALLLPSSVDSPLRECIAAALLMPFLLCTVKCRGTVETRLGVGVCLLLLPTGAAARGHLSELLSPTTSPVQGILEEEMRGVKVLGPGGGVVWEPGGGGGSVVASIIGHILKCSVEALRWLRID >cds.KYUSt_chr4.34921 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214451805:214452965:1 gene:KYUSg_chr4.34921 transcript:KYUSt_chr4.34921 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWSDIPLELAGLVLRLLPTYADRARFAGVCPQWRSAARQLPVPPPLPLLALPDGTLYSLPCTQPFRFPGCGFAGFNSACDSYLVFPQDDICFLVNPFSRATVTLPALSSVRLCPPNADLKNVPVEPSTSTWLHIKDKNLCFRKLLLCSPNLVAAFINHGVTGQILVCQPGGPSWSVRAYDKCKAFEDMAFHGGKLCAVSHEEDLFVVSISQDTTTGGPEVSRIRLAIKGDGNPEYLLWTEDTRIDKKLYLVESHGALLMVRRMIVSRLILDVFVVERNEFQVFEADFKRSQWVNVMTLGDDQVLFLDRRCSQAIPVSQYGMPGDRIFFLDDDDELNFRNFFYKDDNASIGVYDMTSQEVSSPLPTVCWGRDRIRLATWLLPWKN >cds.KYUSt_chr7.21182 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131265848:131270156:1 gene:KYUSg_chr7.21182 transcript:KYUSt_chr7.21182 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHLERRSGVGSSHLDGCGGSYPVDYVTEKTDCELHMLIGTAYVKVAVGYVYPSEDGAMHHHMPIPPGCVRVGVDEVVSGFEKVELDIPRGEDERTTGRCQARFRPMAEEIIIFCALYYADRRCSNAKGTISRDIGFIDPNTMHVKTIDDPLYNKDTPQTLLRFHFILLVINLEIGEVEVLDSLSKEKDLYVSCFLMLEAYGKLSSRKIRPVNGHRSCDGVRKPCQNIDPRTKRVLFGITISEETKVAKQRKRMDTTGMATAMEETTRFDDLPVSCVAHVLALTSPRDVCRCAAVSPSATPSGSALSRRTTSPYPPALRLRPLAAAVV >cds.KYUSt_chr3.8116 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46825568:46825912:-1 gene:KYUSg_chr3.8116 transcript:KYUSt_chr3.8116 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATRFSPSCARGHAKSKPVWLSSVGMAPAATPPAARLVNYLHLDAGRTASSATPASSTRSCCVAMLVVGDDLWWWGWHDGAAVAATPTSPLASAGRCCGSGGAADETWVW >cds.KYUSt_chr2.31632 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195142927:195144093:1 gene:KYUSg_chr2.31632 transcript:KYUSt_chr2.31632 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor, Positive regulator in defense response, Negative regulator in GA signalin [Source: Projected from Oryza sativa (Os04g0287400)] MMTMDLMGRYGRAEEQVAIQEAAAAGLRGMEHLILQLSRTGTTEDGSSSETPGQAQHQPQQQQAVDCREITDMTVSKFKKVISILNHRTGHARFRRGPVVAQSQGPSVSEPAPAPARAASSLASRPVTLDFGGRSAAAGYGMSMSSKDAGYSVSAASSSFLSSVTTGEGSVSNGRGGGSSLMLPPPPSASCGKPPLASAAAGPKRKCHDHAHSENVGGAAGGRCHCSKRRKSRVKRMTRVPAISSKAAEIPADDFSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPSDPAMLIVTYEGDHRHTPADQEPLPELHKL >cds.KYUSt_chr6.467 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2927840:2929322:-1 gene:KYUSg_chr6.467 transcript:KYUSt_chr6.467 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPVHSFCPLPRWEVTGGGGSLDCSLNKLTSLLSCVPNREVLGGCLLLDYHSRGHRGGEEEEKKKQLLGRSEGNLVKLQLPGLVIIIFELDSQSVVESAVGGAHQQWFVGSYAAHAGGRRAVSFRSYAAHQFGRLAVFLPPLLLLVEWQPSFLPASVPIGRQQSFLSASMEFFHGNFAVPSGVIPGGGEVLVQDRLWFRLRSPSDVRVRRIVFVEKGSI >cds.KYUSt_chr3.10412 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61809157:61810520:-1 gene:KYUSg_chr3.10412 transcript:KYUSt_chr3.10412 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIFPILLVSVLLLVAATSVHGRESAAGAGASASSGGSTKKKSVGKKTGKKTVTALVVFGDSIVDPGNNNAISTIIKANFPPYGHDFGQDHRPTGRFCNGRIPTDFIASKLGLKELLPAYLSPNLTKHDLLTGVSFASGGTGYDPLTAQLASVISMTDQLRMFDEYKQKVRSAGGEAALTAILTKGVFAVCAGSDDVANTYFTMRARSDYSHASYADLMVSHASTFLDGLLAAGAHRVAIISMPPIGCVPSQRTLSGGLSRGCSEGHNEIATMVNAGMTRSVAELGTKYREAKLVLMDIYGFLLDMMVRPAGYGFTESTLGCCGTGMMEVSVLCNGVTSAVCGDVKDYLFWDSYHPTEKAYKILVDYVYDNYLQQLIA >cds.KYUSt_chr4.41353 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255740455:255741216:-1 gene:KYUSg_chr4.41353 transcript:KYUSt_chr4.41353 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAVAPTSPHTPAAPTAELATAPLGHPGEVLVASPAPVSAALPSPVDLARPATSAAMLAATVLASRKADLAVGGHLARPRRPHKPATAAAPSPSPSVVPAAAMVGGSVAELPVPESGLVPCTAAPLSPASRCSEEDASLARSCCPAGAGGCGGNARRLSYAKVVSSTPASQRGAGAAGREEDAFVGWQEVPSRYRPRCSTLVPRPLPAWLNGRCCRCLFPGHRAAACRDPIRCSHCLGSGHRARQCFNAWK >cds.KYUSt_chr4.48926 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303112603:303112806:1 gene:KYUSg_chr4.48926 transcript:KYUSt_chr4.48926 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGEEEHNGPPSLASYRLSSAADPREEEQDGLESEGSGVGTGMSSGLPSVTHQLDAVKWSNARRR >cds.KYUSt_chr2.50954 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318755377:318761280:-1 gene:KYUSg_chr2.50954 transcript:KYUSt_chr2.50954 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKLGVEVASAHDLMPKDGHGSASACVELNFDGQRFRTAIKEKDLNPVWNERFYFNVSDPSNLPELALEAYVYNVNKSVEASRSFLGKVRIAGTSFVPFTDSVVMHYPLEKRGMFSRVKGELGLKVYITNDPNIRASNPLSAMDPVSNSSPPTPSHAEQIAADITGTNLNNPQEHRNDVRTLHTIAKDVHHSQHGHLPASFAGQPTNSKYGVEQMKPEPQQPKIVRMYSAASQQPMDYALKETSPFLGGGQIVGGRVIRGEKHASTYDLVERMQYLFVRVVKARDLPDMDITGSLDPFVEVRVGNYRGITKHFEKQRNPEWNAVFAFSRDRMQASVLEVLVKDKDVMKDDFVGMVRFDLNDVPIRVPPDSPLAPEWYRLVHKTGDKSRGELMLAVWIGTQADEAFPDAWHSDAATLDDPSAVAHMKSKVYHAPRLWYLRVNIIEAQDILIHDKTRYPDVFVRAQVGHQNGRTKPVQARNFNPFWNEDLMFVAAEPFEDHLILTLEDRVAPNKDEMLGRIIIPLTMIDRRADDRIVHGKWFNLEKPVLVDVDQLKREKFSSRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGVLGAQGIVPMKTRDGKGSSDTYCVAKYGSKWIRTRTIMNNPNPKFNEQYTWEVYDPATVLTIGAFDNGQLGDRNGEKSSGKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSLVNMLYLYSRPLLPKMHYARPIPVLQVDILRHQAVQIVAARLSRMEPPLRKEVVEYMSDFDSHLWSMRRSKANFFRLMSVFSGVFAVSKWFSGVCAWRNPITTVLVHILFIMLVCFPELILPTIFLYMFLIGIWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSQEIVRMRYDRLRSVAGRIQTVVGDIATQGERIQALLSWRDPRATSIFVLFCFMAAIVLYVTPLQVLAAIGGFYAMRHPSGLTNSNYTELGQLYEKYREKGLEILAFPCNQFAGQEPDSDEQIVEFACNRFNAEYPIFRKVDVNGNNAAPLYKFLKSERGGLFGERIKWNFTKFLVDKEGHVVTRYAPTCSPSNIENDIKKLLEV >cds.KYUSt_chr2.5615 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34701870:34702376:-1 gene:KYUSg_chr2.5615 transcript:KYUSt_chr2.5615 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVAGVGKAVLNCLLSGAKAAIDQDVATRQGIQRGLPFLTDELAEMQSFLSDADDERNKDKVPRSRVKYIRDLAYEVEDCLQDFVVHSEKPSWWGIPRARLEQHRIAEEMKGLRGRVEDMAQRKSRYRLVGDSSSEPDRPIIVSPEMFAIEQAVRDAMQRQQQQGK >cds.KYUSt_chr2.51076 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319384707:319386139:1 gene:KYUSg_chr2.51076 transcript:KYUSt_chr2.51076 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMTTNPSLSGFSPSSEKEQPPSSSPAMEEEPAVDDSELASWMEEVVDSGRSAQQLAMEMEEEMAAEEEDFASYRSDWESRWGADGWGYFCDMTTVSSMQYTHLTPSVIRRAEGIVDATLQIFSIKLAEIKGGLEWPLSVYGVVAARDAVDHNRNLLFCRHRRCSQKIKQDDPFLCLTGPSRAIVLTVMEPVVFEIQLKVQGKTASEDRALISATSDYTRVGRGRGVRTICFENCFCTAEICVERVSETVQATIFGVRVVKGGSEPFEYGCRVACHSPSGAFKLIDGKVTYVASSTSPEFVLLDSRCRGMMLKGFDGYYIDLSRQVVSVELEGSLKVVVDAYSSSGDIAAQGHVSFTPETCNVSRERFYVGDAQVEVSVAWSLLVSDKRDIALEGWVSEFSTM >cds.KYUSt_chr2.18955 pep primary_assembly:MPB_Lper_Kyuss_1697:2:119265807:119267963:-1 gene:KYUSg_chr2.18955 transcript:KYUSt_chr2.18955 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVPMPLRRRWRVHLFAAAVVLAVVTAARAQDYNNGGDDDDEKDKPQFKAQEACNGAFLSYTFMERTKEYPHLKNATAQAYAFKAQATVLNTMTEDLTAWQMFVGFQHKEILVSVGGAVLLDGTDFPANVSGGATFAGYPMANLLNSIETAGDMTQIQVQIDITGTQFGIKPPGKPMPKTIKLANPGFRCPAPTHKDSVMYVCCVKDRKFKAKKANSTRYLPRQKADLTIAYDVLQAFGNKYMAQVTIDNWSPIGRLDNWNLTWEWKRGEFIENMRGAYTLLKEGPACVYSPAASYYKDFDFTPVYNCEKRPVIVDLPPEREKDNAVGNLPFCCKNGTLLPPTMDESKSRAMFQLTVYKLPPDLNRTALYPPQNWKIAGKLNPHYVCRQPIRVSPMEFPDPTGLMSTTPAVASWQVACNITRPKKRASKCCVSFSAYYNDSTVPCNTCACGCAGNDTAMCNQNAHPILLPSEAMLVPFDNRTAKARAWAKIKHWRVPNPMPCGDNCGLSINWHVMNNYKSGWSVRITIFNWQDYTFKDWFAAVTMGDHYSGYENVYSFNGTRMGKPFNNTIFMQGLPGLTYLEPITDGKTTDGPRVPGKQQSVISFKKKDAPNINIPRGEGFPKRVYFDGEECALPETIPRVSSARRRARPASLLQLVTGVAIIMIVAVVDSFCL >cds.KYUSt_chr5.30557 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193758260:193758967:-1 gene:KYUSg_chr5.30557 transcript:KYUSt_chr5.30557 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAAPAAGLISASAAPPAPISASASPPSSHLRERIAAGSHLRERAAPRGSSMAFSPPPRRAAPPWPFTSASFLAVAALHRARSVLGPPPIRRGRAPPLPFPAAVNLYRSAVLAPFLTTVGLDSAASFLRGIGFVRRDGRRLLPPRPPPELQTTSPGAANGIRVSCFRHGRELQLASAGASIGIDGSCKQPPPGLQAATTGAANGLRPGCNRHGRELQMDFARAATGVRRSFNRH >cds.KYUSt_chr1.5933 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36485771:36486725:-1 gene:KYUSg_chr1.5933 transcript:KYUSt_chr1.5933 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSGGAEASTESNNSDTDQSIIVNAESVDHLIVTIPRHMKLKAISAITSLLATGLVTVATFQNGGNISLQAVSCIFNRPSILFYDPSLIYSLTDCSGVTFIDSRGASKIELWHRRILLQLVSSYEFWDLPCNGQKLIDGHKHLAGVDFAAALYTHDVMDICLKQLQFQNSSWATYLKFGQLQDVIGALIEMKPWPFWLFDLEYGDGSNLFRRPFSAHVQWQLSWSSEFLKLAAAISATAKVSLTPNNAALQAAHICIILITTLTAVSKHDSADLISTTHVRIFRSCFQF >cds.KYUSt_chr4.17602 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110256594:110256950:1 gene:KYUSg_chr4.17602 transcript:KYUSt_chr4.17602 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAPVATYSSFPAPPPARSTAYRAHLAAAGLSARASSFAAGSGLAVAAASVAVAARPRRTGAGGGALGCKCLFGLGVPEMVVIAGVAALLFGPKQLPEIGRSVGKTVKSFQQVRVAA >cds.KYUSt_chr6.28341 pep primary_assembly:MPB_Lper_Kyuss_1697:6:179628723:179629541:-1 gene:KYUSg_chr6.28341 transcript:KYUSt_chr6.28341 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTTISSGMGESLEVEELVDTSLSLSLTATAGRRPPPPVQALLPASPPPENEAVAAGRRRKVPDTGTVGSTATTRQHRKRGRMVRGGSDVNQRDALGGGTRKKLRLTGEQAALMEKSFRAHNVLSHDEKHDLARRLGLKPRQVEVWFQNRRARTKLKQTEVDCELLRRWCEHLSEENERLRRELAKARSSSSSAFLPELTAATTVNTSCQSCNKPAGGWPGLGLINRD >cds.KYUSt_chr3.14899 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90675017:90676138:-1 gene:KYUSg_chr3.14899 transcript:KYUSt_chr3.14899 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAEFAKPVYLVAVLADEQSAYSILKIDAGGGDGEPPRARVVARLPGEERGMSFVAAHSKHGSWIVGVGGGPSAATVIFEPSTLKTIKGHRLRYPKHEPVLISHGGEVYAISRRPRVVPRIDNEPWFESLSFNEGVPSGDRGVLPWTMLPPPPFFPARLNPHQFRNPPEITVTSYAAMDSYVLLSPQQELTVGAYAFHVVNKTWEKVHNKNLPFVGQAVPLGGGAGDLFAACPVSKNGVAASASVFRMSIDLSSSSTPSLSIQEFPVATSEDKIPWPLFCPLGEGSFCSIRLGSVRPSRRKANCLKKVQVILTTFQMENFEAIFMARQSQGVKAKDLPDAARVQEQGHTCKFKGRKRLLECDMPLVAALSM >cds.KYUSt_chr6.17412 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109685635:109686051:1 gene:KYUSg_chr6.17412 transcript:KYUSt_chr6.17412 gene_biotype:protein_coding transcript_biotype:protein_coding MHDWNGRPGSGTTGLGAGPTGLGPGLTSRGAGPTGSEPGLAASRAGDRRKPLGSGPVGTGSGPGLVRSDPVWKPVQSVVAPGNPVRWPVCRPWRSLSGDEAGRPGRGVGRLTDVTDGGTIRAMAWTTRRSDSMAALSM >cds.KYUSt_chr3.42810 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270594834:270596340:1 gene:KYUSg_chr3.42810 transcript:KYUSt_chr3.42810 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHSQLAQTDQGSPKKLITTEVINTYEEDDHPPRPVAAAILADRAGEVEPPPFDQPSPVFEEALRTNSYKNVASSIDKANKEAKAYKNKVVRNWDDIQTIYSKDHANGVGARTAGECLQENDTQVAEDSPEVPPKRQRLGDAILCMMGDMTKSFDEALKTTEPLPMPKVTPPTEVLAEVKKVDGLGDSEIITAYAKLTANERSFECFMALPEDMKKAYLLALP >cds.KYUSt_chr7.8848 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53650490:53652108:1 gene:KYUSg_chr7.8848 transcript:KYUSt_chr7.8848 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFGDSTLDVGNNNYLAGPDVPRANTPLYGVDYPGSKPTGRFNNGYHVADFIAKKLGLKESPPAYLSLAPGTTPLVVSALAEGVSYASAGAGILDSTHAGTNIPLSKQVMYFLSTKAKMQVKVGSTAVRILLSRSFFVISVGSNDLFVFAAAPTDVVALYSSLISGYSAAITSLYNMGARKFGIINVGLLGCVPAVRALNSTAACSDGLNLLAAGFDDALKSLLASLAARLPGLVYSLADSYSLSQVTFANPQASGYVNIDSACCGSGRLGADSDCLPNSVLCGDHDQFVFWDRVHPSQRAGELSAAAFYDGPAEFTAPINFRQLARKI >cds.KYUSt_chr1.3439 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20790910:20797053:1 gene:KYUSg_chr1.3439 transcript:KYUSt_chr1.3439 gene_biotype:protein_coding transcript_biotype:protein_coding MKERIAVLLVPDASASKGFAMAYKGSLKRPRKEYGVLCKVFGAEAVWGGGGQRDLLQEENGHLQHQELCLDVNAADDKAARQHWQEEVSLLLHLYFEEGGGLGPVVVL >cds.KYUSt_chr6.9416 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58079869:58080309:1 gene:KYUSg_chr6.9416 transcript:KYUSt_chr6.9416 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLADGEDPPQETVAEEEEGRMPLAPAPEEDGWRWRRRWRPRRGASWWRMCRDDVGGTTPLAHFLHMEKQLSRAGLLCGGGGEATAPLFENGRVLPSSSAATATAAAVGEERGKWKLRRSAQGQGSLTLARLPVLLTGICSGGA >cds.KYUSt_chr5.67 pep primary_assembly:MPB_Lper_Kyuss_1697:5:507873:510540:1 gene:KYUSg_chr5.67 transcript:KYUSt_chr5.67 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLPAPVVELEIEVLPVEVLPVEVVELVIKNSREMLVVLVAIVSVLSILATVAIVYFVCRYFKKNGFPAININTSAPPAAAASTALYAVVPDSQIRDATVERFLNEIAGEKPIRFTPQQLSGFTNNYSARLGAGGFGAVYKGMLPNGLMVAVKRLHAGHDDRTSQEQFMAEVGTIGRTHHINLVRLFGFCYDADMRALVYEYMEHGALDSYLLDRSRHHMVGFTTLHAMAVGIARGLRYLHEECQQKIVHYDIKPGNVLLDGGLTPKVADFGLARLLNRADTHMTVSGMRGTPGYAAPEMWMQAGATEKCDVYSFGILLFEILGRRRNFDDAAPESQQWFPKVAWTKYESGVLTEIVEGCDGEDGQDKLETVERMCKVAFWCVQQQPEARPPMGLVVKMLEGEMDIAPPANPFQHLMAAPVAANRWTSGTSSANTVSTSANSVSQGSLDIV >cds.KYUSt_chr6.996 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6334586:6336110:1 gene:KYUSg_chr6.996 transcript:KYUSt_chr6.996 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGEDDRISALHDDLLGKIISRLPVKDAARTAALAPRWRHLWRSTPLVLNDGHLPEPTRAAAVSRVLADHPGPFHAVHLHHCRFTTLSPELAEWPRLLAAKAVQILFFVNQKPTAQAHPCLPADILRCASLEELFLACWMLPADHLSRSTVAFPCLKTLSMLNMGMSDKDLDHLLAASPVLETLVLASPVRRFHLRSQSLRSVLVFLVGDFAVVDAPLLERLIFMKPLLNARAARPVTVKIASTTNLQVLGYMEPMFHKLQIDGNIIPPDTVASPSTVVPAVRTLALKVNFCVLEELKMVATLLRCFPNLSTLHIQSVPCDLSETAAAGEHHAQFWREVGPVQCVRSSVKRIVFHKFHGHQNEFEFLKFVARGNALETLLLVSPKEKLLSEDEVNAMIDKLGCTRFRAWTSKVLQLSPEVENDWSPMKACKLTVSDPFR >cds.KYUSt_chr1.20089 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118283778:118284464:1 gene:KYUSg_chr1.20089 transcript:KYUSt_chr1.20089 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRTSTATSLLVFVLTATAAASTFPTSAAASPPPPKVPPPAKAPPPPPPPCAAPPSVAAFLLARCATTLYRATCYDTLIPYGCAFGTNPVRLARTATDVGAAQLRTLSALAKDAVARGQPQQGVAYYALRDCASTVSSAARLSKQSAAELARLDAAGGNATVTQVRWAISNAQTWLSAAMSNEVTCAEGLAPWGGASPAAKALVDGAVDAMQSTSVALTMVNGMPR >cds.KYUSt_chr5.19354 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125566681:125569422:1 gene:KYUSg_chr5.19354 transcript:KYUSt_chr5.19354 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVENEGGVWNRALMLAAMDGRLDVCRLIVEDVRVDVNRLSEGPPLCHSGWCMEGVTAVLISAMYGTPETTRYLLDHGADPTAGGRQSENAELLLSRGMDVDLFDSVHGTALHIAASKGEAGVVKVLLEHHADPNMLFNLHSKPLGMAMQKESWECMRLLIKAGADVNFIDYIGVTYLMVAASSGLSDVVKCLLDAGANANTPDAGDDVVAAQLEAELRGE >cds.KYUSt_scaffold_869.161 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:929670:933500:1 gene:KYUSg_scaffold_869.161 transcript:KYUSt_scaffold_869.161 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRTTGTNPAFRAHHLRTSYRKPVPPAAAVGQGEALLAADPTDAAAGRSVVVGPSGVTFRLPGAPFDFQFSYSETPRAAPLAIREPPFLPFAPPTMPRPWTGKAPLLTKEEKARRRGVRLHTPLGQEPPRTVSAHGIMMEVRGRRQRDFARVSPGDGRSREEVLGEPLTSDEVRDLIKPHMSHNRQLNIGRDGLTHNMLEMIHCHWRRQEICKVRCRGVPTVDMKNLCHHLEEKSGGKVIKRVGGVVFLYRGRNYDPRTRPRYPLMLWKPATPVYPKLIQQAPEGLTSEEAAEMRRRGQILLPILKLAKNGIYINLVKDVRDAFEGNDLVKIDCEGLEPSDYKKIGAKLKDLVPCVLLSFDNEQLLIYRGKEWKSRYLKPLTLIPKVQKNNLGVSSASSSDESTDASDNVAIREVLRPKMFKLWKRAIESSIALSLDEDEVNAITPDILLARVEEFSITCQAVEHSFPALLVTNGEDSNEVLNESDDESEDKIIRRQEIQSEQSPVASEDDHFEYDMLERLESSAPLGSLPIDAVLEQLNKE >cds.KYUSt_chr3.29385 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183955621:183957105:1 gene:KYUSg_chr3.29385 transcript:KYUSt_chr3.29385 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFLPAIMSDLLGRSVSFVVQRYRQQSSVDDSVRQLQLSLLRVSVTVEEAEGRRITNQAMLRQLDLLREAMYRGYYMLDALTYGRSHGADAPPPCRLLSRINSAKRLCYLAGGNDGGVAELGRMVDRLGSMIADMKEFVVFLSGYPRVCHQQPRSAHLLLEKFMFGRQREIEQVVSFLLRPEGSGSGGVGVLPVVGPARIGKSTLVEHVCHDERVRSHFSSILRMNGDDLEVDEKLNDPGDHGLIIKHPGEHNTSRKKSSLVILELAEDEVPDEGRWRRLCSSAFCNGIGSKIIVTSRSEAAERLGTEHALRLKCLNQEAYWHYFKTLAFGSTNPEEHPKLVSIAMDICAEEKGSFIGANIACSLLKANLDARFWLSILQNMREYTEKHRHLFGKHPHDLLQKNRHVYLWRLAQTSKVFVSYGCYQACPDQKDVPRITLQEVLSGRPAPRGRFEALAWRSQIPPCYSYLMSCSVQTPVPHVHVLARKNRSRVA >cds.KYUSt_chr7.14604 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90214510:90216421:-1 gene:KYUSg_chr7.14604 transcript:KYUSt_chr7.14604 gene_biotype:protein_coding transcript_biotype:protein_coding MERYGSLGMLLDGGGGGGAGSGELPPGFRFHPTDEELISYYLLRKVLDHGFSGARAIAEIDLNKCEPWELQDKACRATAEKEWYFYSLRDRKYPTGLRTNRATGAGYWKATGKDREIRSTRSGALVGMKKTLVFYRGRAPKGQKTQWVMHEFRLEGTFAYQFFSNNTTRDEWVIAKIFVKPGTVPPPRKSRYGLSSGAGDTSCFSDSTSVSMGCGGGTSASSAPRNQLQDTSSLFAVAHAAAADGESSSYGAHANNNSNSAAANCRELVPCFSNHAQTDATLLGIGQYDPAPLAFEPPLAFFQGARTAPDNNNFQLPTFFSGGLQSGVSPLGMGGGAFQYWPSSGYEMKLEGAVGRAPAQMAVGPGQLDGSGWNF >cds.KYUSt_chr6.32042 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202434394:202434810:1 gene:KYUSg_chr6.32042 transcript:KYUSt_chr6.32042 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVVLLRGRMSAARFPTKLGGLGVLDIKGMSWALCARWLCLGHTDTTKPWANFPIKCNRNINSLVHAATIVKLGNGYRACSGRSLACRAKYFRLGTVNVRASKNQKVAAALPVNAWIQDNSDAVPADGIIQFHHLD >cds.KYUSt_chr2.40762 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253272987:253276410:-1 gene:KYUSg_chr2.40762 transcript:KYUSt_chr2.40762 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTRLEGRSLLVGGRELLAQSPPEVTLRAGVDGAAPGAAFLGATAAAPSSRHVFSLGTISKGWRWLSLFRFKIWWMAPKMGPDAAGVPAETQMLLLETRDGAEDAVYALVLPVLEGDFRASLQGAPGDELQFCFESGDPDVQTMEAVDAVFVNSGDNPFKLIKESIKLLSKIKGTFRHIESKEIPGYLDWFGWCTWDAFYRAVNPAGIEEGLQSLREGGAPPKFLIIDDGWQEIVNEFKEVDEAPIEQTMFAERLVHLEENDKFRGEACKNLGDLVKKIKEKHGVKYVYAWHALLGYWGGVHATSDAMKKYNPKLVYPVQSPGNVANLRDVAIDSLQKFGVGIIDPEKIYEFYNDQHSYLSSVGVNGVKVDVQNVMETIGQGFGGRVALTRKYQQALEDSIARNFKGNDIISCMCHNSDNIYSAMKSAVARASEDFMPREPTLQTLHIANVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHNFSVLKKLVLRDGSILRARHAGRPTCDSLFDDPVMDGKSLLKIWNVNNLSAVIGVFNCQGAGNWTWPVNDIPHVPTTVNITGHFSPSDAESLEEIAGDDWNGETAVYAFHSCKLSRLQKNQSLEVSLCTMACEIYTISPIKVFGEAVRVAPLGLLNMFNSGGALDSIASSVDSSYTVIQIKCRGPGRFGVYSSARPAICRVDAHEVGFSYSDDGLLAFDLPDGPSLSHLRNIEILYRPS >cds.KYUSt_chr1.34841 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212257910:212258869:1 gene:KYUSg_chr1.34841 transcript:KYUSt_chr1.34841 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAASGAPQAPLPVVGHQFCAPYVVPLTVTKKALSLSDGDFAITDANGAVVLKVKGTIFSMRHRRVLLDAAGQPLLSMQEKVFSMHHRWEVFRGDSSKASDLLFTVKKSSMIQLKTEMDVFLAGNTAHQVCDFKINGNYFDRDTFMVTVFPHVDYVFIAALVVILDEVHREKFDE >cds.KYUSt_contig_686-1.227 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1491997:1493614:-1 gene:KYUSg_contig_686-1.227 transcript:KYUSt_contig_686-1.227 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPTAGVVSAMIASTIPSKMKRVPKQFFEAPAAAADSPGEAPPAAKKAGRMKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKGTTPPPSVPAVAPPVPPAPPTIDVDKVFDVEATTSYLDMLNESAVNLDAGIGAFDGECNVQDFDDEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLNPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYWKLRDNEPKCKKEALLTMDDEAEDMSGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKMIAAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWNETKADIIARKKAARQARAQGESPASGGAGGDGSVDG >cds.KYUSt_chr5.2075 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14118049:14119658:-1 gene:KYUSg_chr5.2075 transcript:KYUSt_chr5.2075 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSPTKLRMKLLGMGSHGSKDEVASKSPLRLDAGASGAEDGDDHPKSSLLPQELDEGSSECPPKDRSDSSRSRSDSSRGRAAASNGNGNGGSFEFQMEERAGLGPFLFRQVPSKWNDAEKWIAGRHVVHSNPIFSKKAAAVAAVHGHGGGVRVAPESPSDAKRTSALTELSHSQSAPSPSSSLSSVTGPVGRLPRRTKLCGASQGAAAQSSSSSVSMRDIGTEMTPAASQEQSRSGTPAGAATPSLSPLCSVPASPSASERELQVRTRREIAALGLQLGKMSIASWASKDDRILRSPENSAGARDGDDDAKKEEYQRKEAKIQEWENCQKSKFEAKMRNAEVQADQKKARAKNSLTKRLSSLGHKVEGKQARVEARRNRRAVRLARQVERIRKTGRVPSRFRCCSWFL >cds.KYUSt_chr3.33652 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211313932:211314573:1 gene:KYUSg_chr3.33652 transcript:KYUSt_chr3.33652 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQPQQDQDGIHVDAGDAPGSDAPPRPSRRRRMSPLRIFIIAFLAVCALVGVIALLIWLIYRPSNIQVSVDAATLSRFSVNSTATPPALSFNLTAGLIITNPNARVAVYYDLLRAEGIYLGERFDRIALPISFQPANRADVVRAVLAGTSAAAGGEQTAKSAFYPVDLWLDGVVRYRYGRLMRTAASTLSVKCPLVLQLMVASGTVECTVNL >cds.KYUSt_chr2.13288 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84172567:84174904:-1 gene:KYUSg_chr2.13288 transcript:KYUSt_chr2.13288 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKGQTRRERPRARPHGLTQQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEHMMTAKIGERDTKEELTKAFRIIDQDKNGKISDVDIQRIAKELGENFTLQEIQEMVQEADQNGDGEIDFGEFARMMKKTSYGY >cds.KYUSt_contig_217.65 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000031.1:502477:505499:1 gene:KYUSg_contig_217.65 transcript:KYUSt_contig_217.65 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHAAFTADDAVTAVPPPAQASRHFSSFPPRRARDCRNAALGRMDLAASLLDCLKASSPRHAKFAAAADQEDWMEKHPSALERFEAVLAAAKGKQIVMFLDYDGTLSPIVEDPDSAFMTEDMRDAVRSVAQHFPTAIVSGRGRDKVFNFVKLEELYYAGSHGMDIKGPTTVSNHKAKADEVLCQPATEFLPVIQEVYETLTAKMKSIPGAMVEDNKFCLSVHFRCVDEKEWDALGEEVRSVLEGYPDLRLTKGRKVLEIRPSIKWDKGNALEFLLESLGYAGRGDVFPIYIGDDRTDEDAFKVLHNMGQGIGILVTKFPKETTASYSLREPAEVKDFLRKLVKSNVTKG >cds.KYUSt_chr2.27642 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169809796:169810546:-1 gene:KYUSg_chr2.27642 transcript:KYUSt_chr2.27642 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKAMCPAFHAANNGVMFAAKVAFLIPAGSPPTRYLVSSVDTELRCSRRAQLIPGLYWCQGHGKPLP >cds.KYUSt_chr4.38596 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238040153:238040710:-1 gene:KYUSg_chr4.38596 transcript:KYUSt_chr4.38596 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTVEIESPVAAQRLFRAGVMDWHTQAPKLAPHIVATAHPIEGEGNIGSVRQFNFTSAMPFSFMKEKLDFVDADKCECKSTLIEGGGIGTAIETATSHIKVETTASGGSVTYKLLPGVEVKDKITKAKDSVTNIFKGAEALLGKGQLVAH >cds.KYUSt_chr7.23134 pep primary_assembly:MPB_Lper_Kyuss_1697:7:143722079:143722504:1 gene:KYUSg_chr7.23134 transcript:KYUSt_chr7.23134 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVMINSKEHLVTKTLEEKMMTMIKKKSQEKQARWKSLQEDWKCKDVVEERIASAKEKRAMAELIAEENETMGMDPSSMDSYTRELWDLVRMEILQRRESTMYRASQAICVGGPDSRGGGATGAGGVGGDDAKTGEDGDA >cds.KYUSt_chr2.38439 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238276483:238279116:1 gene:KYUSg_chr2.38439 transcript:KYUSt_chr2.38439 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLARLAKPGCCSPPTSSRLAHAALRRNRLHLHLHAGDAHNLFDAAPAPDHRQCSALLRARTASGDHAGSASLLRGMLRQSLRPDRLALAAAIKSASSLPDGAALGSCLHGFAVRAGHAAGAAVAKAFMDMYARRGALADARRVFDEMGCPDAVCWNILITGSSRAGLSDSVFGLFRSMLACGADESMPTAVTVAVVIPVCAKLRHLRAGRTVHGYVVKTGLESDTLCGNALVSMYAKCGGGSGNTDDVRNAFSSIRCKDVVSWNSIIAGCSENGSFEEALTLFGQMISEGYLPNYSTVANILPVCSFMERGRHYGREVHGFVFRSGLHTDVSVCNALMAHYSKVCEMGVVESIFRSMGSRDIVTWNTMMAGYAMNGYHSRVLGLFRRLLSTGMAPDSVSFISLLTACAQGGDVRGGMGVHGYIFRRPTLHQETSLMNALVSFYSQCDRLDEALNSFDDILDKDSVSWNAILSACANRGHHIEEFVRLFSQMCRKVTRWDSITILNVIRVSTSCGIKMVREAHGCSLRVGYIGDISVANAIIDAYAKCGHPQDADMLFRNLAGRNIVTDNTMISCYLKSNSVEEAEIIFRQMSEKDQTTWNLMIQLYARNNMCDQAFSLFHQLQCPDAVSITNILLACIQLSSVQLVRECHGYMLRASLEDIHLEGALLDAYSKCGNIANAFNLFQISPNKDIVTFTAMIGGYAMHGMAEEAVELFSDMLTLGIKPDHVVLTALLSACSHAGLVDAGIKIFKFARETYRFEPTAENYTCMVDLLARGGRLQDAYSFALDIPPHLVNANAWGSLLGACNVHGEVRIGQLAADQLFSMEGGDMGNYISVSNIYAADEKWDGVEHVRKLLTSKDMKKPAGCSQALVYSQ >cds.KYUSt_contig_2868.28 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000549.1:239297:240043:1 gene:KYUSg_contig_2868.28 transcript:KYUSt_contig_2868.28 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHTQWPPQPPGHGRNWDGHHTTTVLRHPQDPATSMQPIHRGTTQPLTIQTLRPRDRRPGLPSAALQSIAHHGASYHGAQRPNHHPEALNVAAVPPKRPWERSHKPPARQPHRTSPGPAAATRRPRGRRPGRPPREIEGTAAERIWPRSWSRNTTSRTAGRRPAASLPGPPLRRPSAGEPDDAVPVASAFCLRPNRRRRTPATFGSRARRRGKGRQQRRRGRRPVERVGVGGGEPPGVAWEVLRNF >cds.KYUSt_chr2.42605 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265396983:265398654:1 gene:KYUSg_chr2.42605 transcript:KYUSt_chr2.42605 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSCNLGGNADAVEFCPHRPFRHVLAAATYTLQEQAGEEQQQDRAGTVSLFSVDAAAEDESRRLRLLHTVETAGVFDMKWSPTEPLLAQADAHGRLVLRRLEQEDGSEEGVVLTDVCAGDVSSSMCLFVDWNQNADSLSVGLSDGSLSVISVREDRLENPLEGNLLLTGSYDEYLRVWDMRSMAKPVNEKSLNLGGGVWRIKYHPSIVDVILAACMHNGFAIVKVGTEDATVMETYCKHESLAYGADWQTSEVEEHNTDSSVIATCSFYDRLLRVWKLENLVNHPSF >cds.KYUSt_chr3.37780 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237637334:237637777:1 gene:KYUSg_chr3.37780 transcript:KYUSt_chr3.37780 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAGGDGKEPINEQVVANTYANMRTEMNQLYTKITELEMEVSEHSLVVGAIEPLDPTRRCYRMIGGVLVERTIKEVLPAVHRNKEGLEEVVSRMKEALEKKKKEITEFELKYKIRIRKGDGNAEEDVTMKEASAQGVLVGPAGGQ >cds.KYUSt_chr3.30775 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193115731:193116117:1 gene:KYUSg_chr3.30775 transcript:KYUSt_chr3.30775 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTAPTDPPRSINLSACVPTSPSWPPAGRQRGTAAVVVRRLQLAARDAIPGVGWCGHRAWRKLLRRLAQETRCVCSPSSSGAASRSRPITFGYDAASYAKNFDDGRRPAPYGAVVVASAGDKSAGS >cds.KYUSt_chr7.22985 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142738677:142739621:1 gene:KYUSg_chr7.22985 transcript:KYUSt_chr7.22985 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIDDDWDSQPRARVVHSRANAVANSTSAATANPASRSLPHTAACAASAVLLLAGAYSLGAAYQVFSSLLIWIAFSLLLAPFAPPSATGGDISVGRGSLLPDQEPSEEPTPDPVAPSRRGRRQNAAPPPPKSSDPIAPPTQMRAARQEAAAVLNAGEREEDVGEWTDQEMELLRRQMLKHPAGEPQRWEKIASVFGGRRTPESVIRAAKSGATAAAGGSFEQFLRKRKPLDPRAEAADADAGGNAGGGDGGDGGWSASDDRALLNALKEFPKDTAMRWEKVAAAVPGKTKAGCMKRVTGLKRDFRSSKAPSEA >cds.KYUSt_chr7.35192 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219861747:219863026:-1 gene:KYUSg_chr7.35192 transcript:KYUSt_chr7.35192 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGCSKLKFDGITVCGSGVFGRQEYTQKFIDNVNAVMQQHRCMVVDELVIKFGFDSRLVDHVNTWVGFAVSSRTKSLALDLAPANFMGRTDQYKFPIELLDKGSIFRLRHLRLSFTSFELPPQFIGFPNLTTLDLHMLRVTRKDLQDMLSNCVNLEWLSMIRCHLNDELTVARPLSKLLYLSVAHCNITKIVRNTVKLKTFMFYGRLYPIDLGCAPELKHAFLEFYSSVTLEHALTVLPKVISSVQDLTLRATFPLKMPLLMETPCKFSQLKYLHLWLILRHEEASNILSLASFLRAAPYVEKLEMHFSVYDSMHEVSKPIKSLPRCPHNYLKNLHITGFSGTTGQLEFLVHAVENAPLSKMLDD >cds.KYUSt_chr3.30801 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193283216:193284420:-1 gene:KYUSg_chr3.30801 transcript:KYUSt_chr3.30801 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVKAQSSPALVSLPTRSATSGRLRRHRWHLQNPLVLLYLIPTLASIAAAGNDLATNSNVVVLGSNGLTAVPNNCDSFTPPSLSLDAARYSESSGPGRQHTRLRRTALRPDWTQPSLPRSRQHHGEPDACRVPTAACGQRRPPTANPPLQLGKC >cds.KYUSt_chr3.4301 pep primary_assembly:MPB_Lper_Kyuss_1697:3:24444743:24447727:-1 gene:KYUSg_chr3.4301 transcript:KYUSt_chr3.4301 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKCPRSLLVWEDLGLAEAVNVAVRMDPSGSLVLEELLCSPRPGGMACDMEHRAELVMITCWYLWWSRRQIKNKEPVPSPERTIINIQGILANSVKIRGIGNAIRRNGWMRPAKGVYKMNVDAAFDADSGRGATGVIIRDSGGNFVAASCNYTDSAIDATAMEASALLSGLQLAEQFGANSLVVDSDSLEVVQAVLDPSEFRGSFAVVIDDCRHLLMMLGMATFQHVPREANVAAHELARYGSSQGFRGFWFSDPPDFLVPVIVEDRIIIQLQQTHGMIQVQVETDSVKHSSGQNNGVSLYACYNQISFDRHPCGVPHIRISCFFRNTDDSGGNLACSSDDDGSSLWFSSDNDDGTIVAALLVLVVAFRVAVM >cds.KYUSt_chr2.46514 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290755927:290757318:-1 gene:KYUSg_chr2.46514 transcript:KYUSt_chr2.46514 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAFVAVPFPAQGHLNQIMHLSLLVASRGLSVHYAAPAAHVRQAQSRLHGWDPKALGSIHFHDLDVSTYESPAPDPAAGSPFPSHLLPMWETFTAAARAPLAVLLERLSATHHRVVVVYDNMNAFAAVEAARLSNGEAFGLQCVAISYNLGWLDHEHKLLRDHDLQFLPMDACTTKEFMDYIFRTAGELRDGGGIPSSGLVMNTCRALEGVFIDAIAEHPEFKEKKLFAVGPLNPLLDASARTPGKARHECMEWLDKQPEASVLYVSFGTTTSLRVEQIAEMAAAIKGSKQRFIWVLRDADRADIFAESGGESPYEKLLSEFTRETEGVGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDTELLCKYLKVGLLIRPWEKHSEVVPSASIQEVIEDAMVSDNGMAVRQRAKMLGEAVRASVAEGGSSSKGLGDFVAYITR >cds.KYUSt_chr2.55184 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344049449:344057301:1 gene:KYUSg_chr2.55184 transcript:KYUSt_chr2.55184 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKAHLTHSVHVHPKSLNAALLRHGAAASPDRGDGPLREPIEAHPPWFRPETFLRAGFDPVAYVSELRSYLPLESLAAALRAHLVALRDELVGLINRDYADYVGLSVRHKGVDAADARMRATLANLRDKVAVFRGAAAAALRAGLQHRTAASAARELLELLLDASHVVSKVEKLIKELPTAPSDSSNVGFGSADKGYFGNDTNVGAGTDVRETQSILLERIASENEPAQILHQPRTGYRPSKSAVAKFRSEPAYTDFMRLWHVSVYFSLRFHEIAGGLDSALTATISPVGMNENQMKQKSLLSEQSIKILESLHSCWSDEVFLEGERVNYLSEDDKIKLRCGSTDKITAIYFDMVSEVVNVQGRRRKGYARRSSRPEIEIAMGATTHEYSPVELEQTEAAQKESCLSWAYHLCYLFLALCILFTPLFAIVYGVFALADQCFNQVPHYSVAIDHVAGLDPAADLAHPTTTLEPGFNLTLRVVSWGLWATECAEPGMYLAVSYRGVSLAASATLTEKICARPMKAIDHHMVARGAGVVVPGSLLDGLAMDMRSGVPEFDIELRGPGPEKMKWPCGPRQAGDAAALEMECKRTYTRTSYG >cds.KYUSt_chr4.41259 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255242605:255244566:-1 gene:KYUSg_chr4.41259 transcript:KYUSt_chr4.41259 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNGSTELSRIGEEPGSADTPGTPPILQLPRASEFVSPSLDTGGKGHFKRDCPNREVMIINEDNEYETGDDVDPNAPENDDYDTDGEDAYPSEARTIVVSQRALNVLPSASSVMYV >cds.KYUSt_chr6.13661 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85570171:85580787:1 gene:KYUSg_chr6.13661 transcript:KYUSt_chr6.13661 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSAADTAAPPPPPPPAADPAEKVSLAPSDMATPAAAADTAVPPPAPAPATASADTAAPPPPPPATDHMAAPTAPADTTARPPPPLPPAPEFAAKVSYHLPHFPPHHDAPAPKKRKLEEAGFHASPYYKIRATVADLRLRFIQVHEATDFRKSDAAREILREIKTVMELSKKMRLDLSASCEPVKPLEKPLAGAVKDGPTEPIPSVERNQVPQTVRTPESPTILLGLKFPNRPLMEDEYDDLDDFIVGSDNEGEEEELPDAEEEEQVLEEEQQVEEEVEEEPPVGVQEILSIREQLKAKYRKQHLSAGAGTSSCSPPVQEPARSRFGTFFGPSTPALAPRLIEAGSSSIMREIQNVPSRRDAAPSSSKSQPNASDHEEKPKILTKVKRKVDTLRENRDYSNLFSDDADTPAPPVKEEPESKPVVSPKTEVEVHGRPMQPAGKNNQVHAGQPTRTPKDHGSVQARVQSKVSSQVKKPLPLPNGRKTNPSVSSGSRPSNVIKKAPGLQASSNGQNLQRPLQSKRPQASPAVQKKLPSQAQRPPQGQRQQNHVLPSSQVRRVNTSVQSQQQSARTGSALPQDRQKMIQKRPVPSLKPKPSPASAVYNAHGKTKVGLKRKLSEEERVRQLVRETIKYNPAKYAGRDEDDRNMVAGFASIQMEERRSAKLGRKEDQEQLLMIQEEERRERAKKKKKQPAQS >cds.KYUSt_chr2.18207 pep primary_assembly:MPB_Lper_Kyuss_1697:2:114789246:114790934:1 gene:KYUSg_chr2.18207 transcript:KYUSt_chr2.18207 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSNPDENEKPGARLGRITPSGWKKTLARGLHGGTSGDALKTYRHRYTAFISSSSPDQRPNSPRRLCLSTATADASIPLQGTPPPPPPTRVAVAAAADIAVPLLRRRVTAASAPSPIFGHWILRSRAPMATSDEQVEQEYADFEAMVKRTIYIDHLSPLVTSQIIKAALSQCAKVVSSEFIVNYTIPYDIPAAALVELEDESQAKAAVDLMHDFPFIIGGMPRPVRAAYAKPEMFRNRPSRPGLGMEFRWVKEGDPEYDGMDKLKRLAKRQDAEQMALVKNQLEEEEELATQQQVTLDENYKKYQMLEDMVRNGEIDNLARHYEINLRHD >cds.KYUSt_chr7.23447 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146031003:146034293:-1 gene:KYUSg_chr7.23447 transcript:KYUSt_chr7.23447 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGRNLSGGAAAACLLVPLLILVVLKTDCVPQITRLRETSIAQVSDFFVSIRPYGQVPLSQDNRTASNQVHHPGEVWDETVHKVSPSGLASQGTWQQQQPLDAVKLKVADVNNNTCRFVPHSLNSDSVAEAPPSPASKDEIAGDGGVNEIKDVAAPRSKLSCNFSGERMDICAMEGDVRMHGKSATVYLLAASDDSYQPENGTVTIRPYPRKWEIPTMEMIRELTIHSGDTDTVPVPPQCTVTHDVPAVVFSTGGYSRNFYHSMTDIVIPLYNTAREYEGRVQLVATDYSREWVSKYRHVLAALSVYPVIDFDADDAVRCFPSAHIGIESHEELGINPTLSRNGYTMMDFRDFLQSAYSLKRSKMTPVSRSSGKRPRLVIMLRRHSRAITNEAEAVAAASKAGFEVVAAGPEAVRDMGQFAEVVNSCDVMVGVHGAGLANMLFLPHNGTVVQIIPWGEMKWPCWHEFGRPVPDMGLQYAEYEATAEETTLKEVYPRDHAVFTDPLSIHKQGFGMVWSIFLNGQNVTLDVDRFRGLMQQIYQSVTIT >cds.KYUSt_contig_2008.317 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:1788591:1790021:1 gene:KYUSg_contig_2008.317 transcript:KYUSt_contig_2008.317 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPASLPLPAWAAANALFRRHPRLLPLLLPSASLRALLPVLSHCLVSGLAGNPFVASRLLIASSRLSLPFSLLLLSHLPASSLSPFSFNSLIRASPPGPALRLFDQMRRRGVPADTYTLPFLIHACSGGDRPLSQSLHGQAIRFGYSTHLFTQTALTNMYFACGLSTHARRVFDEMNAPDVVAWTGMVSGYVDSGMHPQAVQVFHEMRGGGEEAVRPNTATLVSVASACAGLGSLEHAKWLHGYVEKAGLQNRVIVTNALMDMYGKCGGLDSARALFNLMHEKDLHSWTTIISGLASHGHGREAVALFFSMRGAGVLPDSTTFVVVLSACSHAGLVDEGVRIFSSMESDYKVTPDIKHYGCMVDLFSRAGLLSRAYLLIDTMPFQPNLAILGALLSACCVNDELEIGELVLRKIESVCSYKGGAGVLLSNIYANQNLWHEVDSIRRKIRNGDIPRKPPGQSLVAAEVPFMSL >cds.KYUSt_chr5.15280 pep primary_assembly:MPB_Lper_Kyuss_1697:5:98731964:98736085:-1 gene:KYUSg_chr5.15280 transcript:KYUSt_chr5.15280 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSRITGCLTTVQCLAVYVKDPPSIYVVLDPGSLWASTDPASSEGRLGSGFPILGWTSSFMINNNWASRWVTCHITVYGPPGLAGSRHCRWYTHEVYPQQRDLVAQLPWHWVLTMVTGETSSKRQINEECIINCLPVDLIERIFFRLPVSTLLRCAGVCKHWYNFIRDPLFVASHLQNAHRYTLMFFPQGLVSGEPHPSDAILIDEAWSLSTYAVPVIGPDDLLFGSCNGLLGLYTKTSTIKIANFATGECMHLAKPGKNMRGDHFTFYSFGFHPVTKEYKITHFLGDCVEGRPRNKDKFSIIQVYTLGDEKWKDIPTPETLSLNTVRNSGVVNVNGTMYWLTEDMIASWQHAVMSFDLREESFAIIALPAAREDHDHYGPRKFWIRDIDGKLCMVTAQTRRYDARSLVGELQIWTLDNMAEQRWSQKYNIKNPPNYIPGPHFVHWDRILTQLSSSDVDSSELIGENIEISFSKMEELLDVSPRKLYNMQSYICVKSLVCLDVYKKASIVRRPKQQVGWQLKKWEAWEHNLRELEKLWGHSQKQEHHLSEIAEKIVKKYQPFTDKFHAISEHLLTIRNQVLQHKPENLNQVLVSISSEISMSVYS >cds.KYUSt_contig_786.447 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2433015:2439146:1 gene:KYUSg_contig_786.447 transcript:KYUSt_contig_786.447 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRIANAVEIVESFCWSIFIICTGYGNVEYPKAYAHITCHRAGVPKLGVLSYHPEPHSFHVKQVEEIPENFPFKITDKKGLNEINLTRTYQGEKIEVLVSMPSLVTGDEVEHDQDEDDKEKDDDQEDGEKAPKSTIPLTVTVSKSDGPSLEFTCTAYPDEIMIDTLPVKQPAANDEEELIAYEGPDFKIALLVLYSTWSVLCSDLDENLQRAFHKVPGAACNLTLDY >cds.KYUSt_chr2.49201 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307972713:307973044:-1 gene:KYUSg_chr2.49201 transcript:KYUSt_chr2.49201 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQLSTPEMPLFAREEEEEADLEAKPEKAPPSSSERYIHLIPVLTLLCFVVLFLCSHAPSPADMASFGGKAAGSGKPKSL >cds.KYUSt_chr4.33334 pep primary_assembly:MPB_Lper_Kyuss_1697:4:204299391:204309568:1 gene:KYUSg_chr4.33334 transcript:KYUSt_chr4.33334 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSWVSSSPEGSWFDAEGSSIHSPDLFFSAVLPFLVAQSSDIRKWFMKPHDKNAGAAKPSGTGTGAAAAKKPVLSIPEKPAPSSVSGSQDASARRKTSKYFAPKTEKDSDVTDKSLPKRKLHKSSDQLEDDSKPLAANRVLKAEEEDDDDDFVAPSKRKTPVKPPPSKKLKAASNDDDEDERMDEDAKTPSKAAGRGRGRGRGGRGAVHAKTATPDDDVGGGEDRMDEDAKTPSKSTGRGRGRGRGGRGGGTAHGKTTGLDDDAEEDRMDEDDKTPSKAAGRGRGGRGAGSTPGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAGDVIKRYGGRVTGSISKKTNYLLADEDVGGVKSNKAKDLGVPFLTEDGLFDMIRKSKPAKAPSNKHENNSNSEKLQKSQTKSSPVKVEKRAVEQVSTMDKSIASKSEIASTNNQKAKVVDRGSLQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWEEQFLHPGQKGKGKKQTDGGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKELISNATLNYSDNRTKKPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIVCICNDRFSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIARKEGIEAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRLRLNSSAKDEDISPFTAVDKLFGFNGGRLRMDERMDLSMSDPDLVPLIIQENYINYRPSAVGKDDSGVKRMNYLARAAESLADGDIVNVQIRRYRQWQLSQAACFASSIVPAALMHGNREVLEAGERNFNRFGGWLGKHSTTNKNKRLMEDVHSHILASQQANVDREALRLDYLTLLLRQLTGPLKTMPKDEAVQKVVEFMDTYCLTQEDFDTLVELSKFKGHPNPMDGIQPAVKSALTKAYKQGSSCRVIRSADQINIPGMKKTLKKRVAAILEPLDESLPEENGVASAEGDEDEPSDAENDDELAPGDSKPTLDLQSDKKKGIQVQLDLKTNGSGSSAKKAPAKSKASGSAGKAAGGSAGKRKR >cds.KYUSt_chr3.42805 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270555864:270556952:-1 gene:KYUSg_chr3.42805 transcript:KYUSt_chr3.42805 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTLELTLISGKDLKDVNLLSKMEVYALVALSGDPRSRQRVPTDRLGGRNPAWNATLRFTVPANAAGSLHVLLRAERALGDRDVGEVHIPLSELLSGAPDGPVPVKFVAYQVRKIGSGKPQGALNFSYKLGEVTQAQPGAAYGAAPQAAYPPQPAYPPQSAYPPAAKADAYAQPPSAYPPTAKADAYPPPQSAYPPSAKADAYPPPAGYPPAGKTTDPSTAYPAPAGYPPAGKPSKTNEPVTAYPAAAAGPSTGAPYGAPPPQYGYGYPPPQQQPGYGYPPPPQQGGYGYGYPPQQPAGYGYQQQAVKPPKKAGGMGMGLGAGLLGGALGGLLIGDMISDAGSYDAGYDAGFDDGGGFDF >cds.KYUSt_chr5.40463 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255387873:255392847:-1 gene:KYUSg_chr5.40463 transcript:KYUSt_chr5.40463 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGEAVAMTPSDGFFLLLCPSCCGVVSGAKVEQRGFVQEYVQTVVCTGDSWKMVHLMVGSWLKADGSGSLLRHRRAWVPVLKFDGVSRDVLPWSDSFNDNGLSYGKLYWSSEKLMISDGAASSSVKAAAYMILIKSSKRAAYLSSGCSTFNNGVSIVRLLSLQQSNYVLSSLPALHVRKYRSLELPSSSTSDAEQGRAAASPLPLPPGASKVDNSSELHADGKSSLSVSPYQHDGEEEQRGTTNSAPPPAGEREEDPELLHLQRNRTPRSHVEAGANLAVAEKRPRDKNSTARPPSPARQPHPETNRTTSTSRRPLPLPPRPAREAPMKKNKRTTKSQSSHTDPLLPSPPRPAGENKSEKSAGRTTSATSPATPERGKEGEDHARTTDAIATVFDAVARAHTPRLKGPIYTHERTPELPPTTRRRNGDRRRRGAAEPPARARFALFRLL >cds.KYUSt_chr7.26353 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164499009:164499445:-1 gene:KYUSg_chr7.26353 transcript:KYUSt_chr7.26353 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGDAPAGNAASGDKIFRTKCAQCHTVERGGAHKQGPNLSGLFGRQSGTTAGYSYSAGNKNAAVVWGESTLYDYLLNPKKYIPGTKMVFPGLKKPQERTDLIAYLKESTA >cds.KYUSt_chr1.40908 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250949432:250951669:1 gene:KYUSg_chr1.40908 transcript:KYUSt_chr1.40908 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLEPAPTSSHGRHPSPLEHPGCSWSWRRHSRAKAKAMVAALHLGARALPACTTSTLRPSSADLMIHSIGRQISLPFSAHLGCPCQSAWPEESRPPLCERRLAELDCEPVPQSELVELVELAIAQCHGNGLVLGNVWRLGLRIPRRSAVVMVVVCALRLLVLVPILLLAAFLPHGVGRVDKVGCGNGVVREMGADSVPLRGSDAIPPSMSYQCDAAQQRTHGIRGTPLTRPWKAVIARK >cds.KYUSt_contig_686-1.105 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:759362:764062:1 gene:KYUSg_contig_686-1.105 transcript:KYUSt_contig_686-1.105 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVGMNDCVTDMFVPTSAMSCIQFLPRIWTLEENEVNIGIESLDDDDVGIVVGYNEIDGVEENDEMQPELDHSRGSTSSRGIAAVVGVGPRLGSALARKFASEGYTVAILSRDLDKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNACEPPGDDGDGDAVARPVPFLSISTDAFHRSIAVSAAGAFHCAQQVIPAMVERGRGTIIFTGSSASVNGFAGYADLSCGKFALRGLSQSLAKEFRPAGVHIAHMIIDGAIGDRRSQRGKARAGAADAAGADPDAVAQSYWHVHAQDKSAWTQEMDVRSPSFM >cds.KYUSt_chr4.31187 pep primary_assembly:MPB_Lper_Kyuss_1697:4:194919231:194925768:1 gene:KYUSg_chr4.31187 transcript:KYUSt_chr4.31187 gene_biotype:protein_coding transcript_biotype:protein_coding MARMEEVMLAHAGAAGEFSIILEAPLPTLQRYRRNATPPAAPRQRGAGERDELPARLRREGSVTEDIGHVDDARSRRGGPWRARPDSAHGGSRGEEEEVDAPVHLRDPRGMRRESARVSAPPARVAEVKPVVPSAVEEVTPLQQLARGGRSSSANRRVEATPEKEALAARPSSRRSRRGSSAEDVVNANVAETAVEVEAQVESWPSSQGSEDGGEEAMAPPKPLEAVVTGGSSRSNSPAIINVNDALPKPLEATVTGSHSRSNSPAISNVNHALPKPLEAIVTGSRSRSNSPAISRNGVDTGAANRPQSAGRSSFAPPVGANVRPLQAVEVPNGTPRERRTIYPDPTFAQSARSRDSHDSSTLTEEVEMLKDENVNLLEKLGLAEEKFRQSDARTKELEKQVANLGDGLSMEVKLMKRREEMLVRKEASIQTSLCQNMNTGLRLLLFPLRNTGLEETDMLVHDLNVTAGEGNIETMLAVDKGLKELALLKVEDAVLIALAQLHRPNVAELSDPDIKSSGDEKFIEAFDLSKEEEEDVLFKQAWLIYFWRRAKTHNVEEDIAEERLQMWIDRHEQQPTSHDAVDVEQGTHELRKLGIEQLLWEFSRQEVNAAKGELSDAKGEFSDAEDDLT >cds.KYUSt_chr4.39723 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245353901:245356016:-1 gene:KYUSg_chr4.39723 transcript:KYUSt_chr4.39723 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAATAAACFSSASTGVSRSRVRAQAKSWAGGAKELVQSGAVKAVRPRDAAEAMSAEGFQLLDIRPAWEHGRAAVRGSVHVPLFISDDDMSPVTLLKKWVHLGYIGLWTGQSFTKMNNRFLDDVAAVVPGKDAKLLVACGEGLRQLFAGSPTVSRSLIAVRMLHDDGYKNVGWLAGGFSKSVDGDFADVEGESKLRYATIGGTSYIFLQILLLLRVMYLHISWEKFARYHRLEAGFVLMFSYFGERDMSVKVFDETRCRRHYHGNSAEEDDD >cds.KYUSt_chr7.36025 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225110049:225114744:-1 gene:KYUSg_chr7.36025 transcript:KYUSt_chr7.36025 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEDAGLAKVKLLCSFAGRIAPRSGDGALRYVGGQMRLISLPRAASFGDLMRKVEAVDKTTSSAGGVLVKYQLPGEDLDSLVSVSCAEDYHNMLEEYEKLAAAAPDGSAKLRVFLFPASGTDSAAAAASDSAPVDEPGQRYIDAINCVSADAAAAFRRKDSVASAGSSPHNSEQGGVVLEGMSPRAVPPPPSVPPEYLYSAGSHSNNNHSAFPQSLGFISAVTAPAPAVGIPAQNPVLLRTEPSPLQPHQTASYAPPAQVASYVPQHQPAQVAAYAPQPQAASSYVPLQQPQVASYAPPPQAASYAPPPQLPQVTSYAPPPHLPQITSYTSQMPQPHMEPQQVQYINAQQLGQHGAPQSVNLMPVQTSQYAHTTLGMATAATQIGTSRPVYAGTEHVLENPHFTRPMQTPVDPSYRVPVSQPLSQLPPLLHMTLQASDAHTVGPQPVVTTTVSSPVSTSSGTYPMVVSSATVSAVRYDDCTMCQKILPHAHSDNMIKDQGNPRAANYPDATPVFYSLHQQNATNQHVPGASAATPGSYIPEPRAESKPGMAQFDQKLSARNPAVQATPSPAAGAMAQPTMVTVPVTSNGVFVGHPPYSRAEDNFTYQHPQQPIYIVQPSQVLANGVGGNPQRIDATAYKNSNHPVADPLRGYARDIPQDYPGAIDARMQGVNLGPIAPPESIVQGNSAIPHGAVGDRKIDNPPAIMDGGPVYKSQAGGYHVGINSAFPIPSFIPEDNTVRHTEQPPPPLNVAAQNVHPEIFQRPNMLPSVPVSNNLGVTIEQPVSSETFLVRPAYSGVQVPAGPPPAHTVEMLNHMVADPHTVSGQVALQATAGTDRVDPTREPACTESLFSNQDPWKALGAASIVPPRPNKLANGHIDSGYPYVEGHVPGITSSNVVTLLEEGNLSHIQDPTFKDIYPEPAQISKGQCGYGEESIKRQLQAVAEGVAASVLQSSFPEKPTKSSGDHIDAHGAVVDPKIEDTLNKESDKTSQGVPILDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRISDRCFVGKASEEQRMKTDFWNEACKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKRRRLLIVMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDAQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSNLVSEKVDVFSFGIVMWELITGEEPYADLHYGAIIGGIVNNNLRPPVPESCDPQWRSLMEQCWSAEPSERPSFTEVVKRLRAMATSPTKAQPQK >cds.KYUSt_chr2.3159 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18541078:18551463:-1 gene:KYUSg_chr2.3159 transcript:KYUSt_chr2.3159 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLAQPLLHSPTTATATATPRRALAASTALRRLASPARRVAASPLRAVVSGPGVKEEMAPAAAGQEARPLRVGLVCGGPSAERGISLNSARSVLDHIQGEDLLVSCYYIDCGMNAYGISPAQLYSNTPSDFDFKLESLAQEFRSLSEFADHLSANVDIVFPVIHGKFGEDGGIQELLEKNNIPFVGTPSNECRRAFDKHNASLELEAQGFLTVPNFLVEKDKLDKSKLEEWFRTVNLNKENGKVVVKPTRAGSSIGVVVAYGANEAAEKAEGIIAEGIDDKIIIEVFLEGGCEFTAIVIDVGTTNNSQPIVLLPTEVELLSSSNSEIQEDTIFNYRRKYLPTQQVAYHTPPRFPTEVIDCIREGVSLLFRHFGLRDFARIDGWFIPRPATSLSSSETGGKFGNTEYGIVLFTDINLISGMEQTSFLFQQASRVGFSHSRILRTIVQHACSRFPSLVPSNNAWTALFRKMQSAKQAEVIQNGTCKQKAFVIFGGDTSERQVSLMSGTNVWLNLQGFDDLDVTPCLLTPANGYFSSHNQDFNESARDVWTLPYSLVLRHTTEEVCDACFEAIEPERVAITSRLRGQVMKELEQALRKQDWFAGFDIADEQPSKYSLQQWINHVKEAKAVVFIAVHGGIGEDGTIQSLLESAGVPYTGPGPIASRTCMDKVATSLVVDHLASHGIHTIPKDVRASEELLQKSPVDIWNELKTKLQTVTVCVKPARDGCSTGVARLCCPEDLEVYTNALRRKLQRLPANCLSRAHGVIEMPVPPPESLIFEPYIETDEIIISNEARDDSSRHLVWKGEKEWLEITVGVVGKRGEMHSLNPSITVKESGDILSLEEKFQGGTGINLTPPPASIMSEDALRKCKSCIEMMANTLGLEGAVDRGKYCTWDDAIHGSDSPSKGVDHAEKDWIDA >cds.KYUSt_chr3.34034 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213538458:213539339:-1 gene:KYUSg_chr3.34034 transcript:KYUSt_chr3.34034 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQSLAMLLLTVAGLAAGARAGSMAIYWGQNGNEDSLAQTCATGNFKFVNVAFLFTFGNGQTPVLNLAGHCDPASNGCTFVGAQIKSCQSQGIKVLLSIGGGVVRYGLSSAADAKNVAEYIWDNYLGGTSASRPLGDAVLDGVDFDIESGNSAHWDDLARELKRLNARLSLSAAPQCPFPDASLGPALRTGLFDYVWVQFYNNPPCQFNATAGVSNLESAWKRWTGIPAKQVFLGLPAAPAAAGSGFIQTSDLISTVLPVVKKSSKYGGIMLWSRFHDLQTGYSDMVKSSV >cds.KYUSt_chr5.31064 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196659666:196662691:1 gene:KYUSg_chr5.31064 transcript:KYUSt_chr5.31064 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRQRDVLGVYFLERRFLHRTWWASPFSVTFLKCGGVAVGTGMHHVTMDGAGAIQFIRTWTSLARGLDAESVCPSPPTHDRTVLRARSPPQVTFEHPVYSPSNLNGLPRPFVTRVYAVPPKLLAGIKSSCAPGVSTYCAVTAHLWRAMCVARGLAPDAESRLRVPANIRSRLRPQLPATYFGNAIVRDLVTVRVGDVLSQPLGFVAERIKRAVARVDDAFVRSVIDYLELESEKGSQAARGQFMPETDLWVVSWLGMPMSDADFGWGAPKFVAPAQMFGSGTGYIMQAPDKDDGVSVLFALEPEYLQCFEKAFYGNE >cds.KYUSt_chr2.2896 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17119617:17120744:-1 gene:KYUSg_chr2.2896 transcript:KYUSt_chr2.2896 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGTSSSLLPVASALLILICFTAGNAAAASASGLKLNYYAKSCPRAEQIIKEQVRSHYDEHGNTAVSWLRALFHDCTVKSCDASLLLESNAATGLVSEQSSTRSFGMRNFKYIDDIKSALETACPATVSCADLLALAARDGVAMLGGPADIPMRTGRRDATASYYGEVERYLPVHNDTVSAVLSRFAPMGVDAEGVVALLGAHSVGRVHCFNLVARLYPAVDGTIEPAYGAYLRERCPTADAVEDTRDVAYARNDRGTPMVIDNMYHKNLLKGRGLLLVDQRLATDPRTAPFVKKMATDNSYFHDKFAAALVKMSENGPLTGDKGEVRKDCKFVNS >cds.KYUSt_chr2.36611 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226050762:226052894:-1 gene:KYUSg_chr2.36611 transcript:KYUSt_chr2.36611 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAPVPSAVPRMKYIAAYLLAYLGGNSCPSAEDLTSTLESVGCEIDAERLELLLSQVKGKDITELLAAGREKFASVPSGGGVAAVAAAAPTSGGAAPAAESKKEEKVVEKEESDDDMGFSLFD >cds.KYUSt_chr7.33833 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211282127:211283128:1 gene:KYUSg_chr7.33833 transcript:KYUSt_chr7.33833 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMYYDIAIALEGKGIDANPKDYLTFLCLGNREVKRSGEYEPVGCPLDGSAYEMAQKARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDRGGDIEIAMGSFQPHHLNTKGQVARRQVHGFRMSLWYEHLGMLHNDFLNPGSLECVRRVNKMADKYWDLCASDERNDDLPGNLLTYPVIATKDGTVTEVLLIDLFIFLFQNRLEQELATPV >cds.KYUSt_chr7.35185 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219794059:219798874:-1 gene:KYUSg_chr7.35185 transcript:KYUSt_chr7.35185 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHPDQGPVHVGSVNSSIAWTKPISGCVKVNVDASFAQPGNQASLGVIAMDEHGNVCFSAARVLPNCSSAREAELEAIKDGLSLAATWAQGQIICETDCLVAASAINKPGRVLSQLCHIINDIKDVLASGLCSWVVHINRTCNHVADSLASNARVSNSVAMSTAVDASVAVTEQEDVTENILGGKKVAVVFVLGGPGSGKGTQCSNIVEHFGFTHLSAGELLRTEIKSGSENGVMIDNIINEGKIVPSEITIKLLQEAMIKSENDKFLIDGFPRNEENRAAFDNVIKISPEFVLFFDCSEEEMGRRLLGRNQGRADDNIETIRKRFSVFVESSLPVIQYYDSKGMVKKINSAKPIAEVFEDVKTVFQPYGPKYVLPQSGHTDALARINDESSGVPESIGAHGKLPCQHAHQIIHPSSDYK >cds.KYUSt_chr3.3482 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19750358:19752274:-1 gene:KYUSg_chr3.3482 transcript:KYUSt_chr3.3482 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLSSSLRRRLRLPHPVLRRAPSGGTVGLRNPASRRPVRVRGNQVFGERLRATADNTTSSDDDFPNDDFFPDIDNLFGNLNMGDNQDAAAANIAAVAAAAANAGRYTFLSSSFQILLEFLVLLFGVDAIAGIGPEELAKMSTPFISKFLRLLRLPTEEGIDPEKSLATISKLCKFVRLPIEDGIGPLDMQLKISKLLKSIRLPKVDSTGIVKLQSEIVRLLKLLNLATEEKDVRDKLHPESFKLRILCMSLRSKTKVGLNLLMSPSDRVSTVAET >cds.KYUSt_contig_319.1597 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:10551255:10569797:1 gene:KYUSg_contig_319.1597 transcript:KYUSt_contig_319.1597 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGDRKKGKKGPDRPGKPELRANRKEFKNHHKEDAIEEQQQPAALFNAADDGDFPRGGRSLLSRDEMAEARTEAEAEFDKEERTGNKKKKRNANVSLGMDTGDDDLGTLFGSATTGKLPRFANRITLKNISPNMKLWGVVIEVNQKDIIVSLPGGMRGFVRTEEVSDIALHGNTRDNDGTLCAEVVHVGQLVPCMVLRVDDDKKEGKVNKRVWLSLRLSRMYKGLSLDAIQDGMVLTAQVKSVEDHGYILYFGVSTFTGFMPKADKETVKTESGKLIQCVVKAIDKPRSIIHLSSDEDLISKSIIKDLKGLSIDHLIPGMMMSARVHSVLENGIMLSFLTYFTGTADIFNLSNSFPSGNWKDDYSKNKKVNARILFVDPSTRAVGLTLNQHLLRLNVPPINVKVGEIYDKSRVLRMDKRAGLFLEIPSPTPSPGFVSIHDVSDKDVKNVEKKFREGSLTRVRVLGVRHLEGVALGTLKDSAFEGSVFTHADVKPGMVVRAKIVTVEPFGAIVQFSSGVKALCPLPHMSELDNVVKPPKKFKVGAELLFRVLGCKSKRITVTYKKSLVKSKLEVLASYADAKIGLVTHGWITKIEKHGCFVRFYNGVQGFVSRSEIGLEPGTEAESVYHVGQVVKCRIVSVIPTSRKLNVSFATSSNRAIQADTAKVGTIVSGVVERLTPGAVVVSVNGFSKGSILNEQLADYHGQVAQLKNLLKPGHEFSQLLVLDAEGQNLILSAKPSLINTANEIPSEISQMQAGAVVHGYICNIIEAGCFVRFLGPLTGFSPKDKAVDRPIEKLSDAFCVGQSVRSHVLNVNADSARVKLSLQQSMCSSPDCSFIQGYFLLDKKIAALKYSGPSTSHDWMKSFGIGSLVKGEVGAVEEYGVILNFKDHPDVVGLIEHHQLGGNTVKVGSSVIGLVVDFSDGVVNVSLKPELVDSVSKDGKKKKRHRAAVLDLELNEEVNAVVEIVKDSYVVFSVPEYNYAIGFAPLMDYNSQLLPHHNYDYGQRITVVVGSIPNSDPSGRLILLPKASAQDSGLSSSKRAKKKSDHKVGSLVEAEIIDIKPLELILKFGANLHGRVHITEVLEEHCCENPFSNLRIGQKIQARIVAEHSGKSGRNLKWELSVKPSVLKGSEELSLLQAELDHYVNGIVRAYVVKVDKEWVWLTLSRDVMAHLFILDSSVEPSELKEFQQRYSVGQAVKGRVIGVNREQKLLRLKALDNQCMLENVKEQSVSSIAEHTREGDIIGGCIQRILPGVGGLVIKIGPHLHGRVHYTEIVDSWVPEPLSEFHEGQFVKCKVLAVSRPSEGSVRVDLSLRSSIICANSDHSRLVDDSATCTPRFEKIDDLCPGTEVKGYVKNVNAKGCFVMLSRMVEARIILSNLSDEYVENPQKDFSVGMLVQGRVLSAEPISGKVEVSLRKSTGSKSQKSCDISYSDLHVGGIIDGQVKRVESYGLFVTIQSSELVGLCHISELSDEPVLDINSCFKAGDMVKAKILKIDVERHRVSLGLKKSYFDSDDERVPTDISHCPEMSGDLNMSFVLPGPEPRASVPPLQVSLDENEGSDQESGQKGHATANGTESNVKKSDKRLKEKTRKRKQREIEISALEDRALQKDKPQTPDEFEKLVRSSPNSSFVWINYMAFLLDLADVEKARSVAERALRTINIREEEEKLNVWVAYFNLENEYGSPREDAVKKIFQRALQYCDPKKVHLALLGMYERTKQHELADELFDRMTKRFKTSCKIWLRRIHFSLSQQKDVEYIKSVVNRALLSLPQSKRIKFLSQTAILEFKCGVPEEGRSRFELILREYPKRTNLWSVYLDQEIRVGDTEIIRALFDRVTCLSLPPKKMKFLFKKYLRYEKSQGDEERIEHVKQKALEYMHEPRSGHLDIVHRILRYLKVILGNEFWFAKSRHLEVDAIEILIGLVVKMIEDQRRATVYLWEKNLVSWRSKKQTVVSRSTTEAEYRVLSQGVSEIL >cds.KYUSt_chr3.765 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3913420:3919069:1 gene:KYUSg_chr3.765 transcript:KYUSt_chr3.765 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDTIRTAIGVIGNVTAVVLFLSPVPTFYGIWKNKTVKEYSAVPYLATLLNCMMWLLYGLPAVTPHNMLIITINVIGITIELHYIALFLAYSVGAARRRVLLILVAEVTFVSVVAVLVLNLTHTHTHRSMVVGILCVFFEAAMYAAPLSVMKMVIQTKSVEYMPLFLSLASLACGISWTAYALIKFDLYITIPNVLGVIFAVGQVIVYAIYYKSTQQILEARKIKASRVPMTEVVVDGKNGSGSASGAGNDN >cds.KYUSt_chr7.10177 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62313877:62318252:1 gene:KYUSg_chr7.10177 transcript:KYUSt_chr7.10177 gene_biotype:protein_coding transcript_biotype:protein_coding MSADPSPLPPPAPPLGALDPDPAPAPPPEPLEVMHKTRAVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVINLNPDAGEVSQQKLLSLVAERLIDSNTSAQAKDEEYVRNWEHNISDAIDLLPRLTTGIDVNVMFRKVDDFEFTPERAIFDLLDIPLYHGWIVDPQDTDTATAIGSKSYNALASGLAEFKSGKPAEEDKHVAEEETVDFAAATAAALGVPSPTVSIGKSFDESTLSDSAELQIRRGDREEDEELRRVLSLSKAESTNAVDGSISFSASQSHSSSNVEDTPPGESFGLEAPQIVGPTNKEEGSVAFSPDSVLQNTTSDANITEVASAESEQALTSKETEEDGKTNMPAVHLDIPVQSSESTLACPSLESSVLDDQAAAPTPVLGEANKETCTEHSAMQLHDPQASDAEISCDLATVTIQATPSCATPELDEKIVSSDTAEIASSSIQENEPIYQGEEHILGTGNIAYQTEEPVYEGEVVLAEQADKTEESSQCLENGAADHQWDLIDNFLQTTANQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFFGQTDLVWQKLDEVNGDGVFLTSNFTPFTAETPRNDSWNQQQAMTTTADYLAQFDNSTLPNSSGDPDLELAIALQQQEFDQQSQRQQPPSQQQQQTQQTPSQSNGAGRPGLVVGPRQRSNAPPPAKPESKKEKCTIM >cds.KYUSt_chr5.535 pep primary_assembly:MPB_Lper_Kyuss_1697:5:3851354:3852022:1 gene:KYUSg_chr5.535 transcript:KYUSt_chr5.535 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAAAGNAIYAQLVSTSDSLPSDFLVVLCSSNSGATKPCILLEPGQRIRDNVLRWYHNEGFVCAQVVNFGNLHTDEVVGEVVEAEVTGVEYQSWASSAMSSKGRPSY >cds.KYUSt_chr7.22564 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139937343:139947451:-1 gene:KYUSg_chr7.22564 transcript:KYUSt_chr7.22564 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTRFPYSPAEVAKVRAVQFGVLSRDQLEVMSVLEIDSTDGLSDPKLGATVNHKRQARCATCDAGPADCPGHFGHLVLAKPIFHIGFMRTVLAVLRCVCISCSRLLANQGIVTKALRIRNSKAMLKYMSEACKGHTECLEDDCPETQNKKDVDSTKIKSGCGHVQPVIRVEGMGIKAEYKAPKRNLGCAEQLPAADEQRQRLSPEKVMEILKLISDDDCLLLGLNPRYARPEWMILQVLPIPPPSVRPSVVMSNSMRSEVERHLADGDYVVFNRQPSLHKMSIMGHRVKIMPYSTFRLNLSVTTPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCFKISRRDTFIEKDVFMNILMWWEDFDGKIPTPAILKPKLMWTGKQVFSLIIPRQINLIRFSAWHSDVEIGFNTPGDTVVRIEKGHLLSGTLCKRTLGTSTGSLVHAIWAEIGPDAASKFLSHTQWLVNYWLLQNGFSIGIGDSIVDMATMEKITDIISKAKNGVKDLIQLSRDRQLGAEPGQTVLEAFENRVNQVLNKARDDAASSAQRSLSDSNNLKAMVAAGSKGSFINIAQMTACVGQQNVEGKRIPFGFTNRTLPHFLKDDYGPESRGFVENSYILGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDVMVKYDGTVRNSLGHVIQFLYGEDGMDAVSIESQKLEFLKMKKTEFDSTFQYELHDDNWNPGYLLQQHATDLRDIPEIREVFDSEVQIIEADRYLLASEIAKNDENTWPMPVNIKRIIWNAQKTFKIDVNKPSLDLHPMEIVEAVDKLQARLRVVDGVDPISIEAQKNATLLFNILLRSTLASKRVLKEYGLTRDAFQWVIGEIETRFHQSLVSPGEMVGCVAAQSIGEPTTQMTLNTFHYAGVSAKNVTLGVPRLIEIINVAKNIKTPSLSVYLKPEVNKKKELAKNVQCALEYTSLQSVTRATELWYDPDPTDTVIEEDAELVKSFCEMPDDDIDLETVSPWLLRIELDREMMIDKKLSIINIVDKIKYEFGTDLTCIYSDDNADKLILRVRMVKHEKEVPREGDDFAQDELFLKQIESFILAKMSLCGIPEISKVFIKSGKITKFNRKEGFITDVEWMLDTEGVNLLQVLCNEDVDASRTTSNHLIEVIEVLGIEAARRTLLHELREVISFDGSYVNYRHLAILCDLMTHKGYLMAITRHGINKNDTGPLMRCSFEETAMILLDAAAYAETDPVGGVSENIMLGQLAPVGTGACELYLNTGMLQQAMDTDLPSYTDGLGVTGSVLVVFVFVVGDMVMLVTGSVLVVFVSVVGDVPMLVTGVTGGVLVVFIFVVDDIVMLVTGVSDGAMVVFIFVVGNVSMLLTGVTDDVMVLFIFVIGDVVTVLTRQETYLGKSEEVETRPRCRS >cds.KYUSt_chr2.37035 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229126640:229132860:-1 gene:KYUSg_chr2.37035 transcript:KYUSt_chr2.37035 gene_biotype:protein_coding transcript_biotype:protein_coding MCREVPACPIRALGEGAGTGPNSTNYREYTLPKDLFSVVPPGHWNTVKYLTSMGYPEPPPLVLETGGKLCDKFEEDFGDLYGYKGLDYNVWCRGTEEPIAVFLPPNQHATGTLELYHLDYNIAVISVQKRLHCVHPENIFHEEKRRKKVVALGREVKDGLLMGTIGVVAKLPMDGPSKLNCKDLKLSTCKIKKAGIGGPLVNFIDGSYAGMNFYDRTTKTPYLPRHIIAKVLSVTDLPSQIKGMSQPTNITNVSVKKRSLGSLVGTTLDVDLFSLRSHGIIRVLVAMRDLQREKDIGCMEVIALLQLNGYMFRFRREAVGFQPDPQFRPFFWKDGGDDDGSHGFEEEGFDDAIADAAPEAANMDVDGHPPTHTCGTSAVPSAQVALTPFNQSPMKDRGQEIVARSRVEYPHLVASPPTSSRASSPSRVRTFMQGRTRPTSSTVSRAASPSAQRGAPSSSLMSTHASIPVDSVGPPRAEVCRIAASFP >cds.KYUSt_chr1.28105 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169502594:169508104:1 gene:KYUSg_chr1.28105 transcript:KYUSt_chr1.28105 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRKRRGDDDEEISRLKKALACSFEVKDLGYLHYFLGIEVVYGAQDGSVNQDLQEAKDLDMCALCPSCSLADINIHSTKLKMLTLDGHFKHLNLHTPYLTSAVIKLRPLPSDASKIRCNFNFSQFIASLSDVESISYLGPILERAEHEFLVLKLPKSFNRLKRISLNLDLGNLKEANLALCLFQHAPYLQFINVQLTSNEPIVPAVHFWESIDRHVCLFQNVRAVGMINFTGSGAELGFLKLILEDAPMLKRAFINDNGKLGKDDLKNLLKLRRASKDAEIVIFSGSVPPL >cds.KYUSt_chr6.10037 pep primary_assembly:MPB_Lper_Kyuss_1697:6:61920672:61922635:1 gene:KYUSg_chr6.10037 transcript:KYUSt_chr6.10037 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKPSNQIARMAASASGLLLSLLIVVFTTSKYSLLSAERFISAATARSSSPASDDAACDVAMGEWVPDSTEPYYTNATCGLIHERVDCMKHGKPGMESILGWRWQPHGCDLPRFDAAAFLRLVRGKSMAFVGDSVARNHMQSLMCLLAKVEYPMEIEPRGCVHCTRKYHYREHDFTVCVFWTPFLVRWNLTHGGQSFIDPHNVYLDEADPEWSGDITGYDYAVLSGAKWFTRPTMLYEGGRILGCANMDGCEAAHNATDVAPDYAVRTSFRTALRALAGFRGRVVVRTVAPPHYENGKWYDGGNCMRTRPMRSNETSLPETEAAFHAAQVEEFRAAVAESPARFVLMDVSEMMQMRADGHPRQYGHWPHEKVGFGIDCVHWCLPGPVDAWNELLLHLLLSGTRLVVHGRVAIPAGVIASNCPMPLRLPPLYIKRPCGSSKSIAAPKSSPRHSQIFSSPFQPMSSSSHKITTANGFGRGNLTVKEAWALYRAGYPVSPDMLLPSSDS >cds.KYUSt_chr2.32072 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197852739:197862938:-1 gene:KYUSg_chr2.32072 transcript:KYUSt_chr2.32072 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAGRRPVVTRRRSGCGGGAQQQPQAQQQRMLAVAVAARVAAAKPAATEAALYGGAGAGDDGCCVDFLVCLLRAMGVTPASTGPAQFKWAARPLRRKRSSLRVAPAEGRRRELGDAAERVAGNGACATASLYTMQGKKGVNQDAMVVVKNFGSKDGTIFCGVFDGHGPQGHLVSKRVRDILPMKLSANIGRDEYKEMSNTSVTNGTTEGGSAKPVVEDTDATLGTVENGGYPEIFTSLRTSFLRAFYVMDRDLKLHKDIDCLFSGTTAVTVIKQGHDLIIGNLGDSRAILATRNEDNQLTAVQLTVDLKPSIPSEAARIRERSGRVFSLPNEPDVTRVWLPKYNSPGLAMARAFGDFCLKSYGVISVPEVSYHRITEKDEFIVLATDGVWDVLTNSDVVSIVSKATSEASAARLLVQSAHRMWRTRFPTSKVDDCAAVCLFFKTDATKSCDVGTKDWANDAEPVSVVLATLKSQEPVSEYLEIIILAIGGILGPPSLFARQQAPTPPVPPCRSDKRGRRQSKRKVAGIGYQRNHQITPTLQWLDSSGQIDLAAQILAQRPSSLPCSSKMCRGRTVTSSLLPHRRASGSGPISRAALRFRWRSPNSRGKPTVAHRHPCRRPRCGGGRYRHRGGSEGGQGRGMEAPPSCSVELWEIIVHGYREPQDPIRLTSTEFYNRQLNASARDKIRSGINRKLLDQVNDIESAKELWDRIVVLQEGTDLIQSALYVTAKQEAHQFMIREGESVADAYARLGALRVRVKGLGVEKYNDGFEMNEAFIKSKVIAMIAVKQEDTNLALNLQIMTKSADLNSDDLVSYVAANENIAKAGKRLMAMNRVDEASHNHEAPRNLALKARADHGGEEEYEFEEEEEMTSTSDIVTDFAFFAKKYKGKLPMLFNDKKKKRTCYNCDEDSHFANECPYEKRKNKGRAFVGAEYLSDDEEEDEEKEAGVAGLAFSKPGSLFRYDYSKDYSMENDVGSSFMARITQDDDSDDSTSSTIIGSCLMARETKVMEPPPSLSSVLDDENENQDELIVLKELYDVRCTLRGEALVKFDFLMDSLKEKDESIEELEYQLNEKERRFNLLRQELKTERCISQGLKQQIETYELDKVKDLETIDRAQLLTQELNASKEELEVAHASLTRDLDHLERANKLVKDELKKLGENHDLLQESYKRLLDQ >cds.KYUSt_contig_2073.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:57541:60630:1 gene:KYUSg_contig_2073.6 transcript:KYUSt_contig_2073.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPIDPPRPARGDAATCGSPVRWDEDDDGDGMVALAGLGLFDQEADDPPANTGTIIDPDTVTANDCSTDTTEGSAKKESGQYFYYGAPVHEHTGIWVPVSVPPMTEHDHEEWRRGLGCNGGYFPEEVFQWELDEETKEMTMWDVFSEMVVAAKDKAISVATCDLGRCGMSMVSNLFLQEAWKDMAQTLADANADIANELLETELTKWLPDSASSTCMLCGVRFHPIMCSRHHCRFCGGIFCNGCSKGRSLMPPKFKTSEPQRVCDVCGVRIESIQPYLMNQISRASQLPTHDVTDLSTLRSWLNFPWTHTMEHEIYKAANSLRSYSKVGRLKSEKAIPDTILKQAKGLAIITVVKVGMMVTYKVGTGLVVARRADGSWSPPSAISTCGIGYGAQAGGELADFIIVLRNTDAIRTFSGKAHLSVGAGVGASACHVGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRDTENARFYGGLIKAPDILLGSMDRPPAAAALYKALSELFDRIGK >cds.KYUSt_chr3.44874 pep primary_assembly:MPB_Lper_Kyuss_1697:3:282876517:282877318:1 gene:KYUSg_chr3.44874 transcript:KYUSt_chr3.44874 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPAVKLIGTFGSGFSHRVEVVLRLKVVPYEVVLEVLRNKSDLLLTHNPVHKMIPVLLHGDRPAICESLVIIEYVDEAFAGPSILPTDPHKRAEARFWARFIDEKFARPFWMSFWSTADVGGKVQEAFVNEAKGNLLLLEGQLNGNSFFGGDTMGLVDIAAAGLAHWLGVFEEICGVTLVTNEEFPGLTRWAKAYVEDEHVKQCLPERGQLVAMFSACREMFRMFKKKRDVPSDGDSAEVID >cds.KYUSt_chr3.39268 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247372275:247375709:-1 gene:KYUSg_chr3.39268 transcript:KYUSt_chr3.39268 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTARTPLLPPSGTGPHISSSSEASSHDAGCSKFLPQEGQERDANQAAPRDQKKTEDTRGSQGSSSGTRETPPGSDRTDLGDHSGQIPAAADKTQGAVTNRKFFPSPRFPFFLRKTPAEMAAAGGETPPGSDRTDLGDHSGQIPAAADNTQGAVTNRKFFPFPRFPFFLRKTPAEMAAAGGETPLHRDCAALIKRLQDLERLSGSSSVIADPTARLIEATRSLALTDGVDSKESKKSHFEMILASMSLTMVASREKIDEEIATTAKNLASEAAALLPKTGAFEQQTGRSKDFLLCLTISGSLALLPYLPTQIPESLRPYIGAGFALVFYAASVGAILSFRATRRSHLKFADLLSTVSFAGFSLLVIAFICSALSSGNVLLLVICALLLFAAMDSDDEMVALLLEDEQAFDDDLREHLLIIASLQDMLDAEAEKRKRPRRGGSRPGRRKSKPRQRMEGHAMLQNDYFADGATHADNFRRRYRMSKGLFMNILHGVREFDPYFKLKVDVEACRKDVERAFGVLQAQFAIVRYPALSWSHDQMWEVMQACVIMHNMIIEDDRKNHVRSHVGPYECQGPLAEVDHELPADFADFLAMHAEIRDSNVHEQLQADLVEHLWRIKENTVAP >cds.KYUSt_chr5.3595 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23335268:23338356:-1 gene:KYUSg_chr5.3595 transcript:KYUSt_chr5.3595 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEREALRKRYRDELREEMKDPPFKPEKFGDPRSLEKISDSLLRHGVVSVALYSERVLVYACSGTAVRYKYRNMRDHHNRFVTSARLAHKFEDNRTRDDNLRIELRTSDNEILHGLLELYDDNMDIAIVTKAPHFRGYSLPEGVKTAIPSGFMVQSKILQSLGYPLPPPLMFELNGRLIGRFEEHFGQLHYWEGYPFDLPNNYGPKPIWEQLGKELAQEISQSVVSIASFKDNTRCFACTGMFITGRDYQLVLTSASLVRTGDAEGKIDETLRIEVFLPPNNSVEGILELYHENYNIALVRLKYDLTTAISPQDIFNVRESTENKSVVAIGRGPKRSHGLLMASMGEAKGKYETEHKSKRSTGVDKKVYCPDLLMSTCQIKKVGIGGPLIDLDGNFIGMNFYDESETTPFLPRKTIVTVLHEGFDLLQSSTLVRRPIKMEDIESHLSSKTRKRKRSCWPVSEAYWFLAGEVHPLDQLVGKVLM >cds.KYUSt_chr3.45073 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283945120:283945884:1 gene:KYUSg_chr3.45073 transcript:KYUSt_chr3.45073 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPTVLLAACTALLALAAPLLAGDPDMLQDFCVAEYKSLEGPLRVNGFPCKRPENVMANDFFSDVLSLPGNTGNPVGSAVTAVNVDKLPGLNTQGMSISRVDYAPWGVNPAHTHPRATEILFMVEGSLTVGFVTTAGNLFTRTVCKGELFVFPHGLVHFQRNNGGTPAMAISAFNSQLPGIQSVAVSLSRVSPSMPTDVLARAFQINAGMVDAVNSNSAPMY >cds.KYUSt_chr7.14933 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92408024:92409011:-1 gene:KYUSg_chr7.14933 transcript:KYUSt_chr7.14933 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCIAFGRFDDSFSVASLKAYVAEFISTLIFVFAGVGSAIAYTKVSGGAPLDPSGLIAVAICHGFGLFVAVAVGANISGGHVNPAVTFGLALGGQITILTGIFYWVAQLLGAIVGAVLVQFCTGMATPTHGLSAGVGALEGVVMEVIVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGDFTNIWIYWAGPLIGGGLAGVVYRYLYMCGDHTAVSSSDY >cds.KYUSt_chr6.1526 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9305437:9308693:1 gene:KYUSg_chr6.1526 transcript:KYUSt_chr6.1526 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCAESPATGFESSPEMERFLCGRLLDAAQPIAERFRALFSLRNLRGDGPRRALLQAARDSSNLLAHEAAFALGQMQDAEAIPALEAVLKDLSLHPIVRHEAAEALGAIGLEKSISLLKESLTADPAIEVQETCELALRRIEEHKNASGVESTTISPYLSVDPAMPAKQGLTVVQLRELLLSEKEKMYERYAALFALRNDGGDYAVSAIVEALGVKSALLRHEVAYVLGQLQNKAASDALSGVLKNVDEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKAFEFLFLQTPQVQQES >cds.KYUSt_chr4.6979 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41279422:41288410:1 gene:KYUSg_chr4.6979 transcript:KYUSt_chr4.6979 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATICTTWNAGGMSLDQRFAIIRSIGLESIDEDDVRRLLGKKVAPVCYVWCDPSPSVHIAQGIMMVINVRKMVKAGCKVKILIADWFARMQHKFDGDLTKIRTLGLYMIEIWKAVGLDLNGVEFIWLSDEINSHSDEYWALVMDIFRNNTLRTIIRSFVGTSKLSCLRNRCCGIEDRFMLNRNKLPNLIEHPEYGKNGDPAWAIFMEDREANLSDKLSRAFCPPKVAGGNPCLQYIKYIVFPWFGYFEVPRNEENGGSRSRVLDLRGQIQGVPAVLLPSMELHRPETEAGKKIFIGISLNKNMGELITDYEGGALDAAEVRLALTEALIAPNKKLSHGGLWGSNLQDFVKQAQARKAFHLVAVKIVIIELKWNAQV >cds.KYUSt_chr5.28027 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177346414:177346902:1 gene:KYUSg_chr5.28027 transcript:KYUSt_chr5.28027 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAISGPTKAGCNSKVTCYGAGHGRRSGHCRCKVRARAAATASKSTDYYKVLSLEHSSDVGAEDVKRAYRRLALQYHPDVCPPSRRAESTELFVEIRRAYETLSNPATRVQYDAELRTGYTAARPVADGFARDVWEAQLGVLRARSERRQNARRRCSGNRL >cds.KYUSt_chr7.2769 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16423332:16431733:1 gene:KYUSg_chr7.2769 transcript:KYUSt_chr7.2769 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLSPISTCAPPPRPRLRRLSPPPPMVAVAPPPRPATLLSIGAPPLPPPPTPAIDGFDWLDLFAFLSSPAAPHQIPPPDSAAAQAAAEAELEARVVEELERVVERGRASLAEHRRLRQRQVKAETEAWARAAEEYRALERDMLDRNLAPSLPYVKSLFLGWFEPLRDAVARDQDLQRRKRVKHVYAKYLLLLPADKLAVIVMHRMMGLLMSSKDGCGSVRVVQAAHSIGEAVEREFKVQAFFQKTRKKSRSKNDPALDKEQAKCRKLVKSLVRRRKLTEAQKLVQQEIELEEWGTEAQVKLGTRLIELLLDSAFVQSPADQTPDSSPDFRPAFKHVLRKPIVENGRLKKKHFVIECDPLVHEGFESTARHVEIPYLPMLVPPTKWKGYDKGGHLFLPSYVMRTHGAKDQKEAIKRVPRKQLRKVFEALDILGSTKWRVNRRVHDVVETIWSRGGGIAGLVDKGNIPLPERPETEDPDEIQKWKWSLKKTNKTNRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHPHLSHLSSDLCRGVLEYAEGRPLGKSGLRWLKIHLANKYGGGIEKLSHESKLAFVENQLPDIFDSAANPVDGNHWWINAEDPFQCLAACMDLSDALKSSSPHHAVSHLPIHQDGSCNGLQHYAALGRDYMGAAAVNLVPGAKPADIYSEIAARVLDVVREDSMKDPATDPNVPLARVLVDQVDRKLVKQTVMTSVYGVTFIGARQQIMKRLQEKGHITDDKLLYDVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASKNQPVRWTSAVGLPVVQPYKKYKNYMIRTSLQCLALRKEGDAIAVQRQKAAFPPNFVHSLDSSHMMMTAITCKKAGLHFAVIGRVSDAIPDSTISSLSATREFQSELPDHCSQHGLWHLAWSSREGLLGPEVGRYKIFGIISNYSSMPLQLSLVTHWEQKKQVFWASANRLAGLLRLRTMTNGCKKPVT >cds.KYUSt_contig_2887.124 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:631597:635971:1 gene:KYUSg_contig_2887.124 transcript:KYUSt_contig_2887.124 gene_biotype:protein_coding transcript_biotype:protein_coding MGFALGRARETGYLRLLEDGGSTLSFGDSSDECQVKSALRVHDPVFYWKIATESDLGLADAYINGWCSFLDEKEGLLNLFLIFIANRDKSSSSIVSKRGWWTPMILTAGVSSAKYFLRHLSRNNSVTQTRRNISQHYDLAKVQRDHQVLDIGSGWGSLAIQLVKQTGCKYTGITLSEEQLKYAQRKVKEAGLEDHVTFLLCDYRKIPARKYDRIISCEISALGFDDKFIRTWEYYFLYCAAGFKTQTLGDCQVVFSHAGSEAGPGFDD >cds.KYUSt_chr1.22307 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131912561:131916193:1 gene:KYUSg_chr1.22307 transcript:KYUSt_chr1.22307 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVAPAGEEAQEGSRAPAAAHQEGGPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVEVACYATLVRPADLCVVSAASVRCNGITRDAVAAAPPFLAVADAVYDILHGRVWAGHNIVRFDLPRIREAFNEIGRSPPEPKGTIDTLPLLTQRFGRRAGDMKMASLANYFGLGRQKHRSLDDVRMNLEVLKYCATVLFLEASLPEVLTVENLVEHAITRSKTNGATSPEAIKSGANSSPDSSKRQRTISQVNNPTPDGDNQESVDPAMDRDSSELISHIEDIKLNATMQMGVSSSGYSGFLEPSDVSTECIQISANPSYYTQRTFIKHKDSPLQLCCAGLKVQFGVSTKFLDSAGRPKLNIVVDIPEDLSEVLEFCDNLAQRTSQESGSTSEWRPLTKKYGYVNRPTVRLNDASMYSTDIYKKEPSGNTQKLAFNQVDAAELDSLVRGNKLDAFFSLEIYDYQQNAGIRLVAKRLVVHSE >cds.KYUSt_chr7.12806 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78990361:78993825:-1 gene:KYUSg_chr7.12806 transcript:KYUSt_chr7.12806 gene_biotype:protein_coding transcript_biotype:protein_coding MERSMAAELEPHELLDRHHEVQFWRAQSLGCGFMIRAALQLESERWYVGAAAPEGGGLGGRAGHDGAATIDSYIGDERVGLVKLAEGMELYLCPRGKATQILADHLPKEHSGSLTVAGTSIIGLVVWRRPHVTPTVPPKRQDVPKSRAIISSSVLPRISQPPSCSSNACRSQQDVVAAEVVPGIGPGAVKDDDDMPEYNFLSVSNSAGNVTTSQTYRGHQDTSLSEDQFREMVRKYGNISVAAHPWNCDGDFDMPEWNPNSQSYLLQTHIPQPQSPYQHQPKYHSMFEYNMTGEYQSPLPQAYTQQQHIGMREQQPLPYGHTMQPFHRSYYGVPDDSWQSYHGVPDGSWQSYFPGPDYIQTNDPWNQGLMAWNPW >cds.KYUSt_chr2.53902 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336439128:336444995:1 gene:KYUSg_chr2.53902 transcript:KYUSt_chr2.53902 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSHNDGGEATNALRGEEGRRAACETGLRKKDKKRKRKSDKAPQPHPPAPSQENRAASVAVAQRVREIVAMNAKSLPADEILQRLTGERDHSVEDTFGDSDNGSLLESLQARKVALLVSNSIASLSSFAGGKRIRVCSGFVMCQSDNTGTSMILTSATLVRSLNGDNNMIPDVKVKVLLSDGHITDGHIFLVDFHYNVVVVKVAANLAHLEVFHLKGPTHNGSVLALGRAYEGWFWRTSCQLQWSSSWCQFLRKESDIAPPNADCFKDPGTAPELWVAEGSPADVAGLCNGDVLVKCNGKVLSSAPEFAAMLLDVCKEQLEAFGDCAGETMVVQMACPDAKLQASRDKHRRVEAL >cds.KYUSt_chr3.23776 pep primary_assembly:MPB_Lper_Kyuss_1697:3:147075560:147087641:-1 gene:KYUSg_chr3.23776 transcript:KYUSt_chr3.23776 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLIESLKKGPVMTMTLKCDTEFPKALKNIMESMGLKGESVYKGFPVMDDGQEYWWVQLHLYKDEEDDHKKMEHWMFTNPELHTSFFDSARCVSWAAINELGERLKYRLHNNQKDLKEEKEETTNLNTTISQLRRDMVDISLKLCVYEELNKAKDGTSTDTHRDRHPLKMTSLIESLKKGPVMTMTLKCDTEFPKALKNIMESMGLKGESVYKGFPVMDDGQEYWWVQLHLYKDEEDDHKKMEHWMFTNPELHTSFFDSARCVSWAAINELGERLKYRLHNTQKDLKEEKEETTNLNTTISQLRRDMVDISLKLCVYEELNKAKDGEVATADKPPFKPLPPKEGNEEKEEKKKKKKGMKKKKKKKKENKEKEVTAYPRVNEITLGNRKYVAPNDYYDNESEYNDLPMPFTYISDHDLNEHTTFDIANLWETNSENDDDNNCHSISAIHASSHNDIESSKLGEEVFENPFATDHYVFNTSPSNNNDEVLRMIVSPLNM >cds.KYUSt_chr1.38096 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232679288:232683603:1 gene:KYUSg_chr1.38096 transcript:KYUSt_chr1.38096 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGPVGGLQKKEIADAEMEPPEEAAGRPVGLKRARSAAIGNGSCGLSNLLLKMSKVGHWPGLDTVNMHYLGFIGVTTAEKKPTLQPHNNVKFLKKAANGNLLRPDIEATSKTGGLYLHDTHGSQKLPDSNALRFSSSSPVGDLRSKTKTPICTFYAQGHCKRGKKCAFRHEREGPGFGNLRSEEEKAGSLAPVGTGKHRGSEEGSEAQCLSNLKDPHFENFAASSKHGLYRTLIQSYGEDHRVVAHNSPTPKVSEESSCRTDDLWTAKPTPPVNELVQIPIVQEKNHEPYFMGRHASLPSDSYLDGRGTLPRLHLDGGKLLSDAAKASSLSDSHISGPYLEVHPVHPDYRYRPFDYLQRSSLASYSSNSTTGFRNPVHNSSDYSLGSQPFRATAHLGMPSLHQLTPGIEKVGLHKDVIFDKGCGTSRPALLGSSSPQPGQLSPIKDEPWITSVPFVPLVDFPGSTSPSYSQYDPLVDSMDLSKVKGINILKSSNISCSTSSQHTTGNVVIDGDTNKSMNYDDKLARNMSAKGSNEFAGLVAPDRERSGLDGDTMVKASERENDAAITEKTRDFRFHLAEHVKELLKPFWKEGNLNKDAHKLIVKKSVDKVLASVELHQVPATKELITDYITMAGTKIEKLVKVKLEYALQ >cds.KYUSt_contig_1158.360 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:2174342:2174773:1 gene:KYUSg_contig_1158.360 transcript:KYUSt_contig_1158.360 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGKNTSPSRREADKVEEEVVEGGQNGGDGAVVQQKAESNGGKKKAADQRRYSRCFLGLELSIGPGPLKDAEAGKLKGQIRKWARAVVTYARQLSFGSPRSSPRMSGDGATPRSATFRSKSRSKSALGSVRGDDAPQAQPPP >cds.KYUSt_chr4.7448 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44288280:44292679:1 gene:KYUSg_chr4.7448 transcript:KYUSt_chr4.7448 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCNGPNAAGRNGFLASVAIWRPAKPADEAAPPAALPASTSDKKAPEPVTIPAGEHSSHHASRASDPPPSKSAEPQQAQAPPAKKPVPKVKRVQSAGLRADCVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGKEFACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHQNVVSIVDAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLTRVIVGVVEACHSLGVMHRDLKPENFLFVNQKEDSPLKAIDFGLSIFFTPGQMFTDVVGSPYYVAPEVLLKNYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGELDFSTEPWPSISDSAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDSDNSGHITLEELKSGLRRVGATLMDSEIDALMEAADIDNSGTIDYGEFIAATMHINKVDKEDKLFTAFSYFDKDGSGYITQDELQKACEEFGIGDTRLEDIIGDVDKDNDGRIDYNEFVEMMQKGNNPLGRKGHQSNVNFGLGDALKLR >cds.KYUSt_chr1.23000 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136445384:136446562:1 gene:KYUSg_chr1.23000 transcript:KYUSt_chr1.23000 gene_biotype:protein_coding transcript_biotype:protein_coding MACGEQWPSLPADLLLTVFALLPSDADRVRFRAVCARWAAAAATWRPRPWLVGSRTDRSGQGAAMSSFWLSQAGGLVPFAAAAVPPGLEYLSASHGYLALSDPAAAPKAVTLLNPATGRRVPLPPIAFFKRWHDVATLVLSADPAAGAAWAALAAGFPTNCLAYYSSAAPGAWTPLRFSAAGYAGVEHHAGRFYVAFRNRICVLHDPGCGAPPAVIPLEHADPDATAGRSDDEDGPGDGRRVAVDTHLVECGGDLLHVSVRDEAGYSSDDDVAVDGDGSSTDSAGGKRVVELHRVELVGDAAVRLVPVEGLGRHALFLGRNRAFALSKAEFPACRVNCVYLLDRQGHPDGVVRVLDMDSQWARREETIYPDDGRRGSPSAGWARRGWFLPSY >cds.KYUSt_chr5.37290 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235820318:235825217:1 gene:KYUSg_chr5.37290 transcript:KYUSt_chr5.37290 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAEKPSIALSIASALSGGRMSTRKGSTDVHEFDGMFQGSHASFRVTSVIGHVFSVDFPPAYQNWEGTDPMDLFNAPVLRSECNPKVHIQRHLAQEARGCTYLILWLDCDREGENICFEVISCTGIPENEVGRRIFRAKFSSVTEKDISNAMDNLVLPNKDEALSVEARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQINTFKPEKFWSLRTCIIKDGDEIQLEWDRKKLFDFDVTVMFQKMVASDGALKITDISVKEECKARPSGLNTVNLLKVASSALGIGPQTAMHLAERLYIQGYISYPRTESTAYPASFDFKSVLSALAHNPLWSNNVRALDAGFVKPRQGHDVGDHPPITPMRLAPEEALKTDAWRLYQYICQHFIGTVSPDCKYTRTAVEFTSGGEIFRCVGHRVTSKGFTSIMPWLAVGENILPTFKKGDTISIHKVDIHEVRLMSLLTSPELAFIWMWIADKYLFFSKGSTTAPDYLSESELISLMEKNGIGTDASIPVHINNISERNYVQV >cds.KYUSt_chr2.19123 pep primary_assembly:MPB_Lper_Kyuss_1697:2:120341184:120343766:-1 gene:KYUSg_chr2.19123 transcript:KYUSt_chr2.19123 gene_biotype:protein_coding transcript_biotype:protein_coding MTNITYCIFEERFRRGEIPDSREASSERKSTLELAMNRQKGRSSDAIIAPEIGMEFDSLHEAYDFYNLYSWEIGFGIRYGRSRKNVAKSKTVQEITCGCEGKPKHVNTKLACCGCLVMIRLHRTTDNGWYIHEFRKDHNYGLAMNRGEKMQWPSNKNIDPHMKALVKNLRDNNVGLTKAFSVIGSFFWSNGECPLQQAVYQCRAMELAIVVVLPGTTHRWCKWHVLRKAKEHTGLLYSKASGFRDEFHKILEYMITVEEFEAAWAILIAKYGLQDHPFLTHIYEVREMWAKPYFEGKFCARMTSTQRSESANNMLKGFVPPGSSMNMFIRHYEKLQFDRDAEENIQEMRCRVGGVVLNSGFPIEMHASKIYTPNMFDLFKVELFQSGSYIVKEVTDGHRFIVKHIFAEKRRSGPGQSLRLYLIPRERLLNVNVIGTITWECFVVTHSR >cds.KYUSt_chr5.42730 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269163842:269165143:-1 gene:KYUSg_chr5.42730 transcript:KYUSt_chr5.42730 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRYVNLVTENCHQGIYSLRRLNSDNFFFPADEAAAKARDLPRLRRVPPPNLHSWIAQKNKMKKHAAAPSGQQQLEPTIRSPPPMFSLRPTNGKRPELHFFPLSGTKVFFADCGKRTTLYDTEARCAITTPSLHAPKYYPVALSVPGPGTEGEEDSRSLYIMDTRLDPSNATPFEALICRRPAANTFAKKTWHCEALPPPPFFSHPREMYKSVLSYAVVGDLICVSLTIGGTYCFDTVSRTWSLAGDWQMPFSGKAEYEPELKLWFGVSVDNHQLPCATDLSPILRGRAPKKQPRYIWGNPDVPGDWFPDLYYPANIVSLGSGRFCIVNFFRDMGDLSSSWGDELSDTDGLPVVLISGLEVLACNDNGNGKASSSSDSDKDGNCSGKGNGKESCSNSDKQNCSRNGNGLRMIKHKSRLCRNDYAFDVKSIL >cds.KYUSt_chr3.42082 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265806653:265811033:-1 gene:KYUSg_chr3.42082 transcript:KYUSt_chr3.42082 gene_biotype:protein_coding transcript_biotype:protein_coding MITAISWVPRGAARTVPIEAEPPTQEEIEEAKKSIALGRAGGDADDAEGDEDDGDMELDDEDEVDEVAQARAAAKALGKSGSAAVGDISDGLAELNMDAYDEEEDELEVFSTGLGDLYYKDNEDDPYIIKKNDDDDEDDDDSEIEDMTIKPTDIVLVCAHNEDEFNSLQASVDLHVSIVEELEDGDPNMFVHHEVPLSDFPLCTEWMDFNRENGDEKGNFIAVGTMSAIIEIWNLDIVDEVEPHAVLGALSKKQIKGKKPRYKKRNSHKNSVLGLAWNAVSDMRDNGQTYHKWAVEADVESLVCDPHNEHSFLVSLDNGMVQAFDTRTASSNSNSGQPTFTLHAHNKAASAISFCPSKPNFIATGGTDKMVKLWDISNNQPSCVASLNAKLGAVFTVSFCNDSPFLLACGGSKGKLKVWNTLSEPAVANKFGK >cds.KYUSt_chr3.38419 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241997994:241998386:-1 gene:KYUSg_chr3.38419 transcript:KYUSt_chr3.38419 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPAVLPPLALVDGGRKKRAGLPRLLHKFFFKVLRLRPASSSSAAEGGAAAFEAYYGYRMVDEYYYYSYGGSSWAGVLFSIPEEESSEEGTPESVVRPGPSALRKAHSDSERFIAAEAAAVVRLEVIL >cds.KYUSt_chr3.27182 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169685874:169686257:-1 gene:KYUSg_chr3.27182 transcript:KYUSt_chr3.27182 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAVPRHRHEATTPRSGAATPVPSGRRHGGKAARDATVRRPRVAADDDRKAGGGTNAVVTVKVVVTRKEAERLIARLEEHNAKERKARIADITRRLRAGDGGGSGSPAPSYGGARTPPRLEPIQEV >cds.KYUSt_chr7.4168 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24871835:24873085:1 gene:KYUSg_chr7.4168 transcript:KYUSt_chr7.4168 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPYASPGWSDLPIDLLVRILNLLELPEALAFRAVCPSWRSASTVDTTGVPHRCMPWLVSLAAEPLPGSKKSSKLWDPTVSSKFINLVDEKTYKVSFPRGQAVACCGASHGWLVVANGLSDLVLYDPFTAALVPLPPITSFPLCIEGVYGDKGNVVGYRYVSDGNTPCSNRVHDVDSLGMELYDKVVLSGSPSDGDTIVLAIYLDGSQLSFTRVGDNSWRHVSAIRGSNDSFSDCVYHRGRFFVMTMEGSLKSWDLSGLHGLKKRTIIAEDDGDFCDIITRYLVSTPWGHLLQVRVILDTDQENCVKVEIDRCDLKSRKMVALTPLKALRGLAVFLGQNSPGFVPMNKFPQLRPNCIYFTTPRLRNDSTFERRYNQWSGVKIYDLEKQTLEPAFPSGGGNYGTIYPSEVWFTPSL >cds.KYUSt_chr7.34471 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215245548:215247495:-1 gene:KYUSg_chr7.34471 transcript:KYUSt_chr7.34471 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGGVGIANGISKRPSQINGSVAVEKAARRADEATEEEDEGKPWVARKWITSLAVCLAALPLLAIMVSRRDGPLPLPSGWRPATTTRTYDRTESNQSRHLGDLIIPGFDEQSCISRYQSTPYRKNLTRSPSAHLVKRLREQEALQRRCGPGTEAYRRAAERLDSRRNGTRTTTDDDTCKYVVLVTYRGLGNRILAMASAFLYAMLTDRVLLVDRGASIPDLFCEPFPETSWLLPPDFPLRDLESLTGEVPESYRNLVEESRAVSVSDLPYVFVDLDHACTYHDKLFYCDDHREFLHRAPWLVMRTDGYFVPALFLNPAYQEELDRLFPRKDAVFYLLGRYLFHPTNRVWGLITRFYDSYLKNSDERLGIQVRVFDGDTPFKHVLDQILACTSKEHLIPEVVAQEPVWPASARSKAVLMTGLSSWYFENIRGRYWQSATATGEVVSVHQPSHEEHQLTGEATHDMKALAEMYLLSMTDAIVTSGWSTFGYVGHGLGGLTPWIMFKPENLTTPNPPCRRAASMEPCLHGPPFYDCKARRGADTGKLVPHVRHCEDMSWGLKLVHPEY >cds.KYUSt_chr5.7709 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48533219:48535777:-1 gene:KYUSg_chr5.7709 transcript:KYUSt_chr5.7709 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAGSSRTLFLLTPSPPLVSTVRLAVAVGRRRLVAAGARKRRGKDGDAGEERVDSHSFNPKAGEVTGPFPESVLLRKKKVKEDGEDSPEFADAEEEKLYELLNIQLESGLNLQRMRHYEVVYLIHEDRVEEAEDVISKVQEFVRERKGRIWRVNNWGLRRLAYKIKKATHANYVLMNFEIQAKCINDFKTLLDKDERIIRHLVMKRDEAITEDCPPPPEFHAMRSQQLDDEYIDEEYAKADDGDDEGEDGNVDDDVEADDEPEIILVDEVDDDNAEDLRRRNRKIKLEKYTMGKVLR >cds.KYUSt_chr3.33104 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207825044:207831575:1 gene:KYUSg_chr3.33104 transcript:KYUSt_chr3.33104 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICSKGSAVDKSPSDTTLGPDRVIHDHDRGAAKEDRKTAVVLEEAAAKRTHEQHPPQQQQAPQQQQPPVLDLETAAGGPSGGAGAAPWDGVPSLARQQSQKSGMGMARAGAAKASCPPPRSYFALLCCQCVCNAAYFVLCRLVSHLGTVGLGKAVDVLDTLGSSMTNLNANSGFGSGTATKGSKISILAFEVANTIVKGCNLMRALSKESIKHLKEVVLHSEGVQNLVAKDMDELLKIAASDKRDELKVFSTEVVRFGNRCKDPQWHNLDRYFDKLSSERTPQHHLKEEAESVMQKLVTCVQYTAELYHEMHALDRFEQDYQRKQHEEDGSSGVVQRGDSLHILKQEVKSQQKHVKSLKKKSLWSKSLEEVMEKLVDIVHFLHLEIHNTFGWPDSEESQEPTKRRNRLGPAGLALHYANIISQIDALVSRSASVPPNTRDSLYQSLPPTIKSALRSKLHPSGVKEELTVAQIKAEMEKTLRWLVPVANNTTKAHHGFGWVGEWANTGSEVNCKPTGQMDLTRIETLHHADRDKTETYILDLVIWLQYLISQSRTANGERSPIKSPVRSPTQRGSTLSLYSNKASSSSSPVLTPEDQEMLRDVKFRKFVPGISKSQEFDTKARHSKQSKLIKSNSHSPSSGNRKEFLSIRSLLPVIDFEIDRTKALDMIDNLKVK >cds.KYUSt_chr3.27499 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171578472:171579561:1 gene:KYUSg_chr3.27499 transcript:KYUSt_chr3.27499 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDELLRSYVRTHGAVSNWIALPHKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDLVICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMAMHQQHPYHHQHHHGRGHATPIATPPPAPSSYMQPSPASASSAVTTASGDAYSSFGATAYSPSNQAQTQAHLAHYNNVNAAATATLAEFPTPAAANSWAINMAFDDMFLPELVGAGEFPNGDLFGGFGTLLQEQDSRATSTLQELSACYFPNAQAEMWADVKPPPPPGAGLCPSLT >cds.KYUSt_chr1.18192 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106194213:106196596:1 gene:KYUSg_chr1.18192 transcript:KYUSt_chr1.18192 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHLPANPRANGLFDGAGRRLCEIEEAAAAVAAAEQSWSGSPSQSQSTSPSSASSLPMSSCGQYMLHRVGKFDTLAGVAIKYGVEVADIKRLNSLSTDLQMFGHKTLRIPLPGRHPPSPFQHNGSYDGDDREYTPRRLHEDLLDSVLRTPRHKVSPAMSLLQGYYGLTPPPKRDPTHEGTEMSIYGKGKSVSLVDEPWSAETPNPNKFLFEHRNARSPTIGSVVNGESEENGDSERPVRRNQKADGELLLREENGSALLSRAGKGLALRPKAGSRADMNKSHQNLIAMMEPSFDDGLQTVKKSSSTPEFQEPESKNSSSSIWSASKWSLKPDGFTLPLFDSIPKPISAWKNKAARD >cds.KYUSt_chr1.32474 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197230027:197231292:1 gene:KYUSg_chr1.32474 transcript:KYUSt_chr1.32474 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLLGLSAGVSGRLRRSLSTGATSRPPWVMFEQAAAAGGMRLRSSALIVEPPGFSQLSVPALNVNTSDVPDPDSDVVQILGGQVCSASGDGLVLVTVYDMRAPAPILAKQGVHHVRQMITEIVPEHYPDTTRFLCNPLTGQVTRLPDIGDGPTQLLCGPHMGVLTQADRGHGPPDRLAVAELQGNRMLRFLSDTGGWELAVTAPCQLPLARRVYFERGQHDQEAVAFGGRLWWVDLTWGAISADPFSDRPEPRFVELPRGSVLPARMEVPTGRLLRTVEEGEALRRGGLGRYRRMGVSDGRPRYAEVWNREPFVLSVFALDDEGSAWTLEHRLVLSRLWSDGDHPWLPLPEKTTPQISAFDPLNGNVIYLSVGEHIVVVDMNREEVIGSSPKDGTSSCVTCVLPPWLESCRIPAAGNQ >cds.KYUSt_chr4.36960 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227257856:227259837:-1 gene:KYUSg_chr4.36960 transcript:KYUSt_chr4.36960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase family protein [Source: Projected from Oryza sativa (Os03g0320900)] MLLSRRFSSALARSPFLARCPLPPRAAPAAPPTSRTLPRRLMSSSSSGWHHSSHPPPPPPPPPRSFSDKDQLFRGLEAALGTTFTSEPLAPPPDPMILVISGPSGVGKDAVIKRLQEEREEIHFVVTATSRAIRPGEVDGKDYHFVTKDEFLTMIERQELLEYALVYGEYKGIPKQQIRDYMAKGHDIVLRVDIQGAATLKHILGESAIFIFLVAESEEALVKRLIHRKTETPDMLLVRIATAREEVRRMKHFDYVVVNAEGKLEDAVKQVESIIDAEKAKVHRRSVKI >cds.KYUSt_chr5.16722 pep primary_assembly:MPB_Lper_Kyuss_1697:5:107570648:107572647:-1 gene:KYUSg_chr5.16722 transcript:KYUSt_chr5.16722 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNPLEWPEAVVPVQTLSGSGMSTVPRQYIKPPSERPSGSTNDPNLKIPVIDLASFSNAPDHHQAMLEIVADACKDWGFFQVVNHDVDMEVVKRMRGAWREFFALPMEEKKVYANLPVTYEGYGSRLGVEKEAILDWNDYYFLNLFPSDIRNLDKWPKIPTDLRETTEKYACQLISLCQVLLKAVSSSLGLEEDYLLSAFGGTDGISATMRANYYPRCPQPELTLGISAHSDPGGITLLLADDNVEGTQECGAPCAGQHSDEDRHTIAFFCNPRGDLPIAPASELVHPESPALYQKAVTFNDYRKYIRTKGPSGRMQIQSINSTMQPEPAA >cds.KYUSt_chr3.43918 pep primary_assembly:MPB_Lper_Kyuss_1697:3:277282858:277285883:-1 gene:KYUSg_chr3.43918 transcript:KYUSt_chr3.43918 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWCEVDAVDLGGTSFRVLRVEVGAGSEIVNQKVEIQAIPEELMGTSEDLFNFVALTLKNFIEREDGKDEQKALGFTFSFPVRQNSVSSGSLIRWTKGFSVGDTVGKDVAQCLDEALARCGLNIRVTALVNDTVGTLALGHYYDEDTVAAVIIGAGTNACYVERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDISLDDETQNHNDQGFEKMISGMYLGEIARLVLHRMAQESDVFGDDADSLSTPFILSTPFLAAMREDDSPDLSEVRRILQEHLKIQSAPLKTRRLVVKICDIVTHRAARLAAAGIVGILKKLGRDGSAGAASSSGRTRDQPKRTVVAIEGSLYQAYPVFKEYLDEALVEILGEEVARTVTLRVTEDGSGTGAALLAAVHSSNRQQGGGSI >cds.KYUSt_chr2.3387 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20246185:20247168:-1 gene:KYUSg_chr2.3387 transcript:KYUSt_chr2.3387 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDQRDLSSEEAAGADELRRGPWTVDEDVLLANYVAANGEGRWNALARRAGLRRTGKSCRLRWLNYLRPDLRRGGMTVEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQRHARRLGCDVGGQRFRDLVRCLWMPRLLERVHATSNSSDGAVVPRPSSAALPVTADGYCWPVDDVFDLDLGAAADMELSCTTAVSSSPSMSADGGVQLVSPPAPMVAAAESAPGCDDGSSSNSSTCAAMLDTAVWQPPQAHGGGLMTQQQHLQAESQLFGASTCWSDQSSFHAGLYADVGLPDLEFGGEAMWGACTDDLWYTQMLGL >cds.KYUSt_chr1.18136 pep primary_assembly:MPB_Lper_Kyuss_1697:1:105857579:105860874:1 gene:KYUSg_chr1.18136 transcript:KYUSt_chr1.18136 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMVCTRVNLLLLILSLCSPYKFIQSPMDFGPLNLLPTTTEASSDFGRILFHSPSAVLKPQSPRDISLLLSFLSASSLSKVTVAARGAGHSIHGQAQALDGIVVDMCSLPSQIEFYRGGEGDISYADVSGGAMWIELLEQSLKLGLAPRSWTDYLYITIGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGETVTCSPTKDAELFNAVLGGLGQFGIITRARILLQEAPLKVKWARAFYDDFGTFTKDQELLVSMPDLVDYVEGFIVLNKQSLHGTSIAFPANMGFNPDFGTKSSPKIYYCIEFAIHDYQHKNTNVDQVVEAISMQMSHMGSHLYSVEVSYFDFLNRVRMEEMSLRSSGLWEVHHPWLNMFVPKAGISDLRDLLMDNISPDNFEGLILIYPLLRDKWGTNTSAVLPDSGSTDQVMYVVGILRSANPEEGCSHDCLQELLRRHRHIADTAGVRLGAKQYLAHHPTPAGWHQHFGPRWERFADRKVRFDPLSILGPGQGIFAEGNKNVVYAS >cds.KYUSt_chr4.11946 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72554405:72555081:-1 gene:KYUSg_chr4.11946 transcript:KYUSt_chr4.11946 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRLASSSTGLGFALSRFGGKRGGGAAPAASLVAPAAAAHGGRRSVAATSNAAAPVPGDQGVGMDPAKQHQQQLHKQPPPHAPEEKQHGNNGDDKHTQVTERGDVMTHSFGEGYATRSDEEGFGGVYGQNDPVFNPGTEVHPNHPDYDTSQGSHVKEKEKARHLKDDKHAT >cds.KYUSt_chr1.35640 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217288755:217290825:-1 gene:KYUSg_chr1.35640 transcript:KYUSt_chr1.35640 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSSVAASPSCRSARAPGYEEPVVLASQTCFTVNEVEALYELYKKLSFSIVGDGLIHKEEFQLALFRTSKGANLFADRVFDLFDLKRNGVIEFGEFVRSLSTFHPKAPEKDKTAFAFKLYDLRGTGYIEKVELKEMVLAILDESDLLLSDNAVDAIVDNVTNKILLLLYNQADSNGDGRIDPKEWEEFVKKHPASLRNMSLPYLQKYLMKRVIPLATHLIHMWSYLQSVDVRLDMDIGCNRLVMVARDFYNRCGWSADRRLEC >cds.KYUSt_chr4.9301 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56116258:56117475:-1 gene:KYUSg_chr4.9301 transcript:KYUSt_chr4.9301 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHHYEELGVCSRKAKRKRPHLYFVMNDGDGYSIRKVDLSSDYDSDDDAIHHKDGTIYARELPLPRVLIRFHDSFSGEFFTAIGTKILATGIGSPRRAAPAFDVLSRTLSLGPRPQMRPCSPLYMPVGCSLVSLDFGSTQLLDSPPPENISCQWSWQELPKAPFKHLDVTCHAEHPDGHTLFVSTLSGGSPSTFTLDTVNGVWKQHSNWALPFKGHVHFDPALDAWVGFPEAKEHLGYLCSSNVVTIDAVAIPDFNVVSIPAPDTGCKVQPMDSDDGESSDDDSSGDLTPRLMLGKDKIFCEDPAEQHHCAALVYMGGRSKYCLLQCFSVIDQNGMDNDDEDDPYQVEVKDEDLPRRHMLRLTTFTLKYDMDRELSTAKRRRVRCYELPPDVEQLFGCIQAFWI >cds.KYUSt_chr5.30305 pep primary_assembly:MPB_Lper_Kyuss_1697:5:192207334:192207687:1 gene:KYUSg_chr5.30305 transcript:KYUSt_chr5.30305 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGSMLKYFQDKIAENPSFQYALQMSAPAPPLGGCGRRAPARVELGDQPSTRSCSSSWRMRPACGKAATTTSRSTAATRRHTLLLLFEDEAAVRHGIRDERSTAASRSARALVES >cds.KYUSt_chr4.27651 pep primary_assembly:MPB_Lper_Kyuss_1697:4:173706878:173710525:-1 gene:KYUSg_chr4.27651 transcript:KYUSt_chr4.27651 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVPDGARNHCRRPSSEAARQHRPSEPPPDAAGASGRTVAVGIRRDAASRELLTWALVKVANAGDRVVAVHVAAGAAGSESKGKAADSLASVLAVYDGFCNLKQINLELKVCGGSSIRKSLVKEAASCGASHLILGVAKNSRSFGSSCTSVAKYCAKRVPLSCSVLAVNNGKIIYQGDTAHHQLFSSTGTPPETPRRSYRKLLTSLMGEKLPDECAQDNRCISRAVTMPSRSPARPKELSLALVPVKGCQRGSSEVVTGWPFLRKKLLPNRQGALSDKPKMSVVQWAMRLPSRVVVIPSRTNSESSSVVIEELDTEVPEELISLKEKFSSIYSSYSYDELAKITSDFSPECIVGQGGTSKVYKGCSTCGKELAVKILKCSDEVLKEFISEIEILSSLSHKSIISIIGFSFKDNDLLLVYEYLRRGSLEEILHGEKECKNMFGWTERFNVAAGVAHALDYLHGNGNTRSVIHRDVKSSNILISEDFEPKLSDFGLALWATDATPQTTCNDVAGTFGYLAPEYFMHGKVNDKIDVFAFGVVLLELVSGRKPLCTGCPKGQESLVMWANSVIQGGKLTQLADPSLPTEGHTDEVERMTLAASLCIRSTPQHRPHVAVVVKLLDGDNDTLKWARSQVGLSYESDGDEDAVTLAPPENNTNIQSYINLAFDVDDDSASVSSNDFITANTSLEQYLQGRWSRSSSFD >cds.KYUSt_chr4.33779 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207248456:207258475:1 gene:KYUSg_chr4.33779 transcript:KYUSt_chr4.33779 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPLAGRRIFILLLLVELLAPLRCAGESATCLAVYREGGAPAVYQSAHCPRWTILPGGEGDGDQRSSSPPPRRCHVAAHRGRRRSQEDRAVCALGIRIPFIDQMRIKEVHVGVMAIFDGHNGAEASEMASKLLLEYLLLHVYFLLDGIYSIMFRKSTGKLTYKEVTILNNVLNLYREDQSNHRERSCWTSPAILDRSFHMEILKESLLRAVQDIDLTFSKEALRKKFDSGSTATVVLIADGQIITANLGDSKAFLCSESHIPYRQKRKRRRRRNSSNDDDFPLANYDGPLYYSVKELTKDHHPDREDERRRVEAAGGFVLEWAGVYRVNGELALSRAIGDMPFKRYGVISTPELTGWQLLSANDSFLIASSDGVFEKMTMQDVCDMMLHAKLGDNQDFESFSVAQQNLADYVVHLALQKGTTDNVAAVVVPLGSPSSSGATIEDWHHLEENSRTSLLPLQTIPYQHKSDGVSSAVIEMEYFKRSSTKFQRFLVDVKHKRLGCFYLSESLDEDMDYIFRVPQDFEHEGVYNFNHMPNENVLSSDGNLEKYKDRNFCWYLGHQDDEMGRCSSPEGFANYFGLLDSVSHNQSKSNSSHSFGYKIADIRYKLKRRFDRGSYGEVWLAFRWNCSDDVDVHKDSFHFSTILTPDSYNCTSSNTTSSSDGNHVPDTIDGDLFILKRIMVERGNAAYLSGLREKYFGQLFSNASKTLEGLSRMESSSTTFSVDMHFIEYTFPEQNISAIEESLKHVARNGVGILINKSLKSRVVDVKRCGDRLILVKLIVGDLVLNVISVYAPQIGHNENTKREFREGLEDLVRGTPSGEKLFIGGDLNGHVGTSNIGFEGVHRGFGYGIRNQEGEDALSFALAYDMIVANTSLKRENHI >cds.KYUSt_chr5.7736 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48681026:48681526:-1 gene:KYUSg_chr5.7736 transcript:KYUSt_chr5.7736 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRNTSQQIRITIRTSLEATRIGTEDTARSASLRSQLPAYKYQGRDRIKKRGGGTQPEAIPSNQTQQPESKTERRPRRPELSRSILADRYRSLSSCTIADDNEVHALELPAQPGAIRRSDNDARD >cds.KYUSt_chr4.46800 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289338664:289342715:1 gene:KYUSg_chr4.46800 transcript:KYUSt_chr4.46800 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWDRAKRALATKLCIRVPARQNALEDSSSSPPAGWEACPSSPPAGQVAARDSVTEEKARSPSLSLRRLSSSGSRSSNSKRVCAICLGGMRTSHGQALFTAECSHKFHFHCITSNVRHGNHICPICRAEWKELPFQGTQPADATHGRARVSPVNWPQDDGHMTVVRRLSHTYSGNLQEQFPVFRAPEVHIFNDDEHIDLQPGTMDGNNAVTGSVEIKTYSEFQAIQQSVTQKVFSILIRLKAPKSLDSVNSRAPLDLVTVLDVSGSMKGAKLALLKSAMSFVIQTLGPNDRLSVIAFSSTPRRLFPLRRMTITGQMRALQAVNSLVDGGGTNIADGLKMGAKVIEQRRLKNPVCSIILLSDGQDTYSLPCYDGVQQNHSVLVPPSILPGTGNHVQIHTFGFGADHDSAAMHSIAETSSGTFSFIDAEGSIQNGFAQCIGGLLSVVVKEMRLGIECVDEGVLLTSIKSGSYISQVAEDDRNGSVDIGDLYADEERVFLVTLQVPSAQGPTVLIKPSCTYQDAVTAENIQVHGEEVSVQRPAYFVDCKMCPEVEREWHRVQAMEDMSAARAAAEEGAFSQAVSILEGRTRILESQAAHSSDSQCLALITELREMQERVESQRRYDESGRAYMLAGLSSHSWQRATARGDSTELTTQIHTYQTPSMVDMLHRSQALVPSVVAMLNRSPTVAPSRSSSQPIRPTKSFSERLP >cds.KYUSt_chr2.19285 pep primary_assembly:MPB_Lper_Kyuss_1697:2:121310246:121310741:-1 gene:KYUSg_chr2.19285 transcript:KYUSt_chr2.19285 gene_biotype:protein_coding transcript_biotype:protein_coding MQGWSLPSKVAPARGSWRVGFFKFGNKDAEGAGIYGSQGRDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNENIHPVDILLLLAASEGDLPKIEEVLKAGAKYDVKDADGRTALDRANPEVREFITGFATAKA >cds.KYUSt_chr3.13697 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82577541:82579674:-1 gene:KYUSg_chr3.13697 transcript:KYUSt_chr3.13697 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLEAMGGVLGLGSVMWASDLVFPLPVVLLFWESIYCCNQSSSVEFDLVRSRGEICASSWIFTVLKWPVMYFAKLPWICGVDGRDSCCSEKNLQLGINVGDTGLELLVLLVVRFRAALQCVPDGTFMLPSMLAKFLVLYHGWADQGHAADVHNLGFERLVAGQFYPAHEGWLQYLSCTVLLFFCSDCHCKLCASAIIPICWRSSGVAGSYIQMLAGSEVGLPIHSHFVLTLELLPIFGRWRPHALWRVTLKPSLIPPSRFTRHLLQAGVQAVYAIFLPLGLIRLGRVRASRMSVLRFSGEDPHVLSSVLRHLNLQLNHQPQMHLPTRLPVEPLALRLVRWKEALEECDCRTSADVEGDENRWPLPKRPRRLRLSDMLPNDSLH >cds.KYUSt_chr5.5584 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34913705:34920182:1 gene:KYUSg_chr5.5584 transcript:KYUSt_chr5.5584 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLVSILVEGIEKRRSQKPPLLHSLSTVFRRKMEIVIDLVSDRLRRPSRKDQDCSAWVHTYALFLAGWLECLAVLRYDVEAERLRPSVPAASERAPPKVSHALARGFVMNCVNLGEASDASSSEFVFQGQRRSPGAAARVAAAAIPACRLPAPMASSAVSQSHSGQLHPPAGSMMARVDRLDLVVGYLEEMRHHGSSARSSTSPSTPTTFSSSSPTTPRSTGRCRPAEELLRETKAKGSLVERIAFLENRVLRMEEERLLASSMSMEMSPERTSRLSSSMNNGGNGTGSGSGRKKKGLKSLVKSCVRGGAKLKTKD >cds.KYUSt_chr4.26389 pep primary_assembly:MPB_Lper_Kyuss_1697:4:165849244:165851667:-1 gene:KYUSg_chr4.26389 transcript:KYUSt_chr4.26389 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLDLLQGLVRSYLNNRYEEGASIARGGEEQLDMKMDVKLDKELDMKIFHGRAREEREACAREEEEVQAGPASGSSSIIKRSSYQQQLAMAELARVRDALSVSADDRARVDALSAAASASLSSSSSSDHLSPTFFDRFALRGIRVLSIQPGLIHCSYTVPPCLTDSTTGCLAAGAVVALVDEIGLAAAISDAQNLKVSVDMSVAFADLSQARPGDDLSITATALGHKGAYSGTNVLLTNADTGNVVAEGRHSLFGNMKKPPPKPATAHRSNL >cds.KYUSt_chr7.34236 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213936720:213955381:-1 gene:KYUSg_chr7.34236 transcript:KYUSt_chr7.34236 gene_biotype:protein_coding transcript_biotype:protein_coding MADARGGWSWDVPSFQPPQPQPAPLAPPTAMPRAPPTAMAGRSSSEGGPPRVLGFMPVADRLDQLADSVQLAREDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDEAALETETRITPLINEKKRLFNDLLTLKGNVKVFCRSRPLFEDEGPSAVEFPDDFTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPFVQSALDGHNISIFAYGQSRSGKTHTLEGSSHDRGLYLRCFEELFDLSNSDTTSTSHFNFYFTACELYNDQVRDLLTESRSTVPKVRMGVQDSFVELVQEKVENPLEFSGALKTALQNQSVNSVKAMVSHLIITIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDANRDDVTDCLHVSKSLSALGDALASLSAKKEPVLSGNSRLTQILADSLGSSSKILLVVHVSPSASNLSRTLSTLSFSSRARNAELSLGNRDTIKKWRDVANDSRKELHEKEKEVSDLRQEVLGLKLSLKESNDQCTLLFNEVEKASGVSSTLQNDLKSENLMLADKHKIEKEQNNQLRDQISRLLEAEQEQKMKMRERDLTIQSLQAKLKSIESQLNEALNSSDARSTVGSESASVISTPKMTESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLASSPQAPSPSSNQTTNAQGRDIGRSNSARTQSPDVFPPRQSQDKTGNSGAIVKSSNELAKTTPAGEYLTSALADFDPNHFEGFAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVRILYIRSLLARSPELQSIKVSPIERFLEKSSTGRSRSSSRGSSPGRSPGYHHDHGRIALIDENVHGFKVNIKQEKKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFAIGNKALAALFVHTPAGELQRQIRAWLAENFDFLSVTGGDVAGGTAGQLELLSTAIMDGWMAGLGTAQPPSTDALGQLLSEYAKRVYTSQLQHLKDIAGTLSTEEADDPVHVSKLRSALESVDHKRRKIMQQMRTDTALLTKEEGGSPIRNPPTAAEDARLASLISLDNILKQVKEVMKQSSSRPLRTSKKKALLESLDNLLAQMPSLLDIDHPCAQKQIMEARSAVESLQEDPDDPATDPNSNFNTLGESEVSQWNVLQFNTGTTAPFIIKCGANSSCELVIKADQKVQEPKGGEVIRVVPRPSVLAEMGFEEMKGLFEQLPEAVSLLALARSADGTRARYSRLYRTLASKVPALKEIVAEMERGGVFKDVRS >cds.KYUSt_chr3.7264 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42030832:42031152:-1 gene:KYUSg_chr3.7264 transcript:KYUSt_chr3.7264 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSACLQEEKRLRPYDERDPTAHGGPVLLPRPAGSAAPPSAPPEMPLSSSTGSERSVTEARALKIHSEAERRRRERINAHLAALRRMIPDTKQVCIAMLARALQL >cds.KYUSt_chr4.26909 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168947447:168948715:-1 gene:KYUSg_chr4.26909 transcript:KYUSt_chr4.26909 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAGSKESQIYDNNSQKVYPQPIDENMNQNMDSMDSMIGRIFNNISSLKAAYIQLQEAHTPYDPDKIQTADKRVIDELTRLSELKHTYREKNPKPIAASPQDSRLLSEIQEQQNLLKTYEVMVKKFQSQIQNRDTEITHLQQQIDEAKHRKSKLEKKLKQRGLLNKESEESDEEENYFSIELTPSLFTSAADNSYQSIHDFSKPLINMMKAAGWDLDAAANAIEPDVVYARRAHKKYAFESYICQRMFSGFHEKSFSVKDATATVSNEAFFHQFLAVRAMDPLDVLSQNPDSVFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKVRVFQVKKGSEFSEIHMESVVKNIVLDEGAERPKVGLMVMPGFMIGTSVIQARVYLSNVKYAD >cds.KYUSt_chr5.21172 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137936171:137938383:1 gene:KYUSg_chr5.21172 transcript:KYUSt_chr5.21172 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLFGGAGAFWATRALEVVKRNDSPGLLWKRIKLTTTRKNNAKKRLKRLWQICKEASQEGELQGLERKPCRPTELEADSCAADCWNKPMCVHISS >cds.KYUSt_chr7.6090 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36441031:36442389:1 gene:KYUSg_chr7.6090 transcript:KYUSt_chr7.6090 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAWRTPPRRPRRRLLLQPIGLGKSPADRPSTGSSSSGSRFDRLGEISDGEEELSVAEEVAWRGLADEPCVPPPDPAAALNGDVLLADFWSRIGYPSAASRVWEKPESRPAAARARSLSPPRSSVREEVRRQVSSSPTGLRLPKPSVRLKAWKGPLPPRRVTPPAVLGDFFAASLAGVRGAYPAADEWFEDGRQEMGRPAEEATSPVQVTAAAEITPRFKPPEAGSSAHADGPQQRWAGFGHAVMGLQRAARFPGRSHDRVHFSSRQSAFVAAAPLRHGADAANHRPSSPRDRPSPLPSSHPDRPSFAQVVAGVRDRAAMSGPSRPPVPPGAAASTPGATAPTPAAVRPGRPHPPALRRTSARQDSKGGRPAPLCWRSMPLRRGQTRGFVRPPAHPFRRCTTFLRSNLFSSILVSIISIRKWGSSTCRRYLCHKSPLRSRRLCSHHLNSSR >cds.KYUSt_scaffold_869.1868 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:11826104:11827781:1 gene:KYUSg_scaffold_869.1868 transcript:KYUSt_scaffold_869.1868 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATAPFPVAGKEGTGGGALDGGAGVGNRCRRRTGRRSEGRRERDLLLHRRVPRRAVQLRLHRHRHAQGHVVQLLCQRHGRQCRADHQLRGQQHAVDAYCRGRYHGPRDPHHRPTIARVVHDGHRVRLDAVVKDGVNVISFSINASNDKQFNYDFIDIATYKAMERGIFVSAAAGNAARAIGSVGNGASWMLTVAVDTTVKQGNGLTGRSSMRSHCSSHGRAPSGTTSHSRSWRRRRPG >cds.KYUSt_chr6.13666 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85592778:85593410:1 gene:KYUSg_chr6.13666 transcript:KYUSt_chr6.13666 gene_biotype:protein_coding transcript_biotype:protein_coding MIASTIPSKRKRIPSNFSKHSRRPPIRRASAAGPQEDGRVKTKAARPRAPRRPRCGQRQSAASASRLHRPPRSRPSSVRSGRCAAHSLAPPPPTIDVDKVFDVESTTSYLDMLNDSAVNLDAGIGAFEGECNVEDFDEEEEDEGDEEEVVEVDPAAAASSSMPSHARRTTARSKTPSCSVLGARWGWMRAPEWTKAASAIGSALRISTTS >cds.KYUSt_chr4.29503 pep primary_assembly:MPB_Lper_Kyuss_1697:4:185139774:185146767:1 gene:KYUSg_chr4.29503 transcript:KYUSt_chr4.29503 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLTRIAIVSEDKCKPKKCRQECKKSCPVVKTGKLCIEVSPAVKLAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLEQKDERDMKNELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQSAEIYMFDEPSSYLDVKQRLKAARVIRSLLRSNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFREESLTFKIAETQENAEEVASYQRYKYPTMTKTQGNFKLCVAEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTVEGTEVEIPEFNVSYKPQKISPKFQHPVRHLLHSKIRDSYTHPQFVSDVMKPLQIEALMDQEVINLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGRASIDCTANAPQSLVSGMNKFLSHLDITFRRDPTNYRPRINKLESTKDREQKNAGSYYYLDD >cds.KYUSt_chr4.44193 pep primary_assembly:MPB_Lper_Kyuss_1697:4:273751512:273753393:-1 gene:KYUSg_chr4.44193 transcript:KYUSt_chr4.44193 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQRICVVVALLCICLREGGAVTFTFVNKCTGTVWPGILSNAGTAPIEPTGFALPPGAARPLPFPTGWSGRLWARTGCAQDAAGRFTCATGDCRTGTLECSGRDGATPATLAEFTLDGGGHNDFYDVSLVDGYNLPILVEPAGSSGNTGMTCAAAGCSADLNLRCPAELRSAGGGACRSACDAFGRPEYCCSGAFANPSTCRPTAYSQAFKLACPRSYSYAFDDPTSTFTCAGGRDYTITFCPVATPSLKSAGGATVTPTPTPTVPGATAFAPPGMPRQGAGDNGQGVILGDTSWLANLATGDASAAATTSRRMAMIPAAPLALFLLQLIL >cds.KYUSt_chr2.16495 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103771955:103773546:1 gene:KYUSg_chr2.16495 transcript:KYUSt_chr2.16495 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVFTVRLLHRKVTFLVGPDVSTHFYQGLDSEISQDEVSQFTIPTFGPGVAFDVDFATRREQFRFFGDAMKPAKLRTYAGLMVREVEEYFARWGQSGTVDFKQELEHLVTLVASRCLFGEEVRSKMLGEVATHLRELNDGMRLVTILFPHLPIPAHRRRDRARARLGEIFSDIVRSRKASMPESGGRNADVDDDMLQCLIDSRYKDGRGTTETEVVGMLVSALFAGQHTSSSTGTWTGARLLADDNVAHLRAAVREQQRIMARHGGRVDYEVLQEMETLHRCVKETLRLHPPAMVLLRHVRRSFVVRNREGEEYQVPEGSTVASPLVVHHRLPYVYGDPERYDPGRFGVPGRGADDGTSAGAFAYTAFGGGRHACVGEAFAYMQIKVIWSHLLRNFEMKMVSPFPETDWNVVMPGPKGEVMVSYKRRPLSAAA >cds.KYUSt_chr3.30787 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193215410:193222222:-1 gene:KYUSg_chr3.30787 transcript:KYUSt_chr3.30787 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHQSRLLVSAVAHGTLLLLLLAGAGAASPPLVGVSPHDEAYFAPQVIACRDGSGSFPKSRLNDGYCDCADGTDEPGTSACPEGKFYCANIGDDPRLLFSSFVNDNICDCCDGSDEYESGVHCPNTCKNSHATAEVDNGVSELSVAHLDGTNVITSKHILDIEDLIQKLRGLRMAAVIELGLVFHQYQVVGRGLPTPTEEQPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAFNEIFEKNPTTIKNFGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRSPCIQIIKTATVHFSICKRENTKQFHKSDIRFPLVYQKVRPPTRKLKTTFKATRPNLFM >cds.KYUSt_chr2.7732 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48475786:48476623:-1 gene:KYUSg_chr2.7732 transcript:KYUSt_chr2.7732 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSCAMLREASSSHTPLGGVLAQLDLKSTPINTKGYETLLPFVMDKLDRAFEQVKQMLYGRLHDVLRKHIVERVHIPGAPSLRPREAQNDNVAAREKTNHLSCVADVHTFSPVALDTVKC >cds.KYUSt_chr1.26795 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161652447:161657977:1 gene:KYUSg_chr1.26795 transcript:KYUSt_chr1.26795 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRAGASNRPAWLQQYDLIGKIGEGTYGLVFLARLKPTHPQAAGRRGSPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEVIRHHREKLNLAINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKATPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPCWQNDQQHIQGHKYENTGLHTIVHLPQKGPAFDLLSRMLEYDPRKRITAAQALEHDHQGTHLREASLYRDNSQGKCNSSLWGVCQECLLAQTWVPLAQRPKRAWLGSILVTFQCREAQVARPIRTRKADQQGMGMQNPGYPQQKRRF >cds.KYUSt_chr6.29154 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184726173:184726568:-1 gene:KYUSg_chr6.29154 transcript:KYUSt_chr6.29154 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGGDVEAQPERGPIYNEHLERVPLTWVDVAILCFLGAFTVGVVAGGVVTMVLPARLGPDGVPTMSHTTSVAIGSVIVVMGSVATALWVYLVKIDCQSHRDVEAMTRLHVVPVCLADDQDKARGRRSS >cds.KYUSt_chr3.22928 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141807043:141811596:1 gene:KYUSg_chr3.22928 transcript:KYUSt_chr3.22928 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEEVPPTAAAAAAAADSEELEPLFDYSRVRPTFDFRFDDSDLEKSDIFVHCNKRPKLADADADDAAAFGDKASEKGDAGVKKAAVVNLDDDDWLAPPPLKPVAMAGICKDKTPHEPRLENQEVAKLAEDTFQKVVETVKKDLAAKKAPESIVLDEPTEPQLKKTKEKILIMIQDKDVQQPFRVCKDEKFDKLFKAYAKKNNLDSSNLTFVFDGVKMNPASTPQDLDLEDEDLIEVRYKPAEPVIHKPTEPHVKKAREIIPITIQGKAGKHQFRVYKDDKFDKLFNAYAKKVQLSPSDLTFTFDGDKLNSASTPQDHDLEDDDLIEVCCKSS >cds.KYUSt_chr2.2924 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17270119:17271231:-1 gene:KYUSg_chr2.2924 transcript:KYUSt_chr2.2924 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQHWQLDGDRAVRRPRLVPKKHLYVVLDDWDKGFSIYKIDADTLQYTYADDLQVGFPGKAVLRLPAPVHGLHMGFTALGSNILIATNPRCLQTPALLYDTETAGLTIGPRLRLSLLACDTIAVAAGGTLYALTRRHINEQHFFQAMSSAPLENDEPWCPSPTMRWSWKSMPSPPPFDMEDQFTSYALHPDGHTIFMSARERHYPYLPSGTFSFDTKNSEWRCHGEWALPFQGQGYFDSELDAWVGLRKDGYICSCQVPSRSNTSTVEPEWKMVREKLFFKVPERRLKSARATLAYMGNNNFCLVEFLQREGVEFKHAFGDRDGCVLQMSTFGLKYDRKGQLQTMRHHTNSYVVSKHLQSFSPVVFWM >cds.KYUSt_chr1.23630 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140747479:140748585:1 gene:KYUSg_chr1.23630 transcript:KYUSt_chr1.23630 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSEPPKEGSSADGSSALQKTGVWSSILSTLLQQASVYGLAIGYCLSASLLSIINKWAIMKFPYPGALTALQYLTSVAGVLLCGQAKLIEHDGLNWRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVAAYSWAVAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPIELLLMGEFRQMKVDSSKMTNWLSFEVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVVNLLIWDKHASLVGTIGLLICMSGGVLYQQSTTKSKAPSVEPKEENDEEEQKLLEMQQGHESSPSQEHAS >cds.KYUSt_chr1.25523 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153174695:153175423:1 gene:KYUSg_chr1.25523 transcript:KYUSt_chr1.25523 gene_biotype:protein_coding transcript_biotype:protein_coding MACMHQHLDLIRAHLLDDLHGATTTNSGDSDSSAPSPPARRMLPTGLYVSLPPKPSTKTLDLEQPQQEESCGGHVGDDDDFRRYRGVRQRPWGKFAAEIRDPARKGARVWLGTYDGALEAARAYDRAAFRLRGSKAILNFPNEVGTQSVQWAPLADATTAIGGKRVRPEQEEEECLLREVKKERLQLEEYEENADATAANYSAAAAREADFWEELKGICSLPPLSPLSPYPHFAFAQLSAVN >cds.KYUSt_chr1.3537 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21526829:21528850:-1 gene:KYUSg_chr1.3537 transcript:KYUSt_chr1.3537 gene_biotype:protein_coding transcript_biotype:protein_coding MSISEMKSMSLLLLKLLFLGLSFVAGDDHQFSYSGFANRNLTIDGTASVTPSGLLELTNGTTLGQGHASYPTPLRFHNSSDGTVQSFSFSFVFAIISLYNDLSSNGMTMFIAPSKNFSSAMPVQYLGLLNSQNNGNQTNHIFAVELDTFKNMEFQDINDNHIGIDINGLQSIQSHDAGYYDDKNGTFQGLGLDSQEAMQVWVDYNREKMQINATMAPLGMAKPKTPTVSANYNLSTVLTDVAYIGFTAAMGKINTRHYVLGWSFGMNGPAPPINATMLPKLPPRPHPKERRRLRVLEITLPLATAAFILSVAIVVSLLVRRHLRYAELHDDWEVEFGPHRFSYKDLFRATQGFDDKNLLGAGGFGRVYRGVLPRNKLMVAVKRVSHDSRQGMKEFIAEIVSIGRLQNPNLVQLLGYCRRRGELLLVYEYMPNGSLDKYLYGEVDKSTLTWDQRFRIIRGIASALIYLHEEWEKVVVHRDIKASNVLLDDELNGRLGDFGLARLYDHGVEQETTRVVGTIGYLAPELARTGKATPLTDVFAFGTFILEATCGQRPIIQGTEDTQLMLVDWVLEHVQQGSLADAIDTRLKGHYNVQEAYLALKLGLLCSHPFASARPSMQQVIQYLDGHIEPPELTAHQSFQALALMQNEGFDSYIMSYPSSTTFATVSSISGGR >cds.KYUSt_chr4.42787 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265002773:265004182:-1 gene:KYUSg_chr4.42787 transcript:KYUSt_chr4.42787 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLSLLRRLSTGRDTNLATLLAVLRSPQASSTPLPHALSRAFPSPSDAFPLHTLPDLLPRLPSPLLSLRFLLWRLPPSPPLPSPHTLSSVAASLPDLPSAVPLLLSSSQRPLPLPHYALLLAISAHAGLFPVSLAILRHMRSFTISPDVACFHSALRVAGSPGDVSAVLDIMSTSGVDPTVPLIVTAVHKLAHGGDFEGARCLIDKMPEFGCVANVVVYTALFDGMRAFGDVDAAMGLLEEMEGGGLGAGCAPNVVSYTCLVKCLCERGRMVEALSVLDRMVARGVMPNRVFVRTLVDGFCTAGGDGSLDSAYDVVERLVVDGALSSGQCYNVLLVALSGAGMAGEAEGLAQRMMKKGVQLSPLGGSAMVRELCRGKRWLDACYWLRLMDDDGVLCDSDVYASVLLGLSEEGHVLEASALARKVIDRGILIKSPCADCLVQLLRQHGDEELASHILGLRRSPEGMSH >cds.KYUSt_chr5.33273 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211142435:211143952:1 gene:KYUSg_chr5.33273 transcript:KYUSt_chr5.33273 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTTAADAGCTMTDDEHAAGACTGLDSSRVRHFQNFPILWIFVQLSLAILLLFRNMWFRLAARLKQLLPSAGAARGTPTLTASRIADIVATIDEHTIAGTMTGKQVAAFCAMINAHCHDDTKTLREGVGSTRDYRLMRRLGKGSCGRVVMAQHRGTGQTVAVKSIHARRGKRRPDIGELLAEACFLAACRGHPCLVGLHGIVRDPGGSREYCLVMDYVGPSLNDALDRRVEEHGHGFPEDYVRRVMRQLLTGAATMHRRGIIHRDIKPENILVDHKDNNIVKLCDYGSAMPIAKAEPPYVQVGTVPYMAPEMLLEKPDYDERVDLWSLGCVMAELLSGQVSFHSRKTANALEKIFDVLGAPGERTWQGFKSTLLAEEVQQWRAQQQEVQRPDQLRELFPEEMLSSEGFHVLKGLLTCNPTKRLTAAGALQCPWFKVDASQTDDASGFSSGGTGLGQAHSIVTSWVMISAWARFFRQVALSVVRFPVGASAWLTNKALFKHIVL >cds.KYUSt_chr3.15441 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94478103:94479311:1 gene:KYUSg_chr3.15441 transcript:KYUSt_chr3.15441 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAETTVGGSDLRSFLAKRGVDTAEVDDQALKELDKKYIIARDLAADTVLSKIQNLLGLRHKEDSIVAYEGLTYQLPSRGMEQQEYEPLLRQLCLRRGKVDCCAVSDPKTSRWAKAITAVLSAVQKQLLRGERTSSQFLCYGDKLFGKGHKTVDDALLDICCLLECTWESLNLHHASQGMVIGPVIFTWEDGEVIPCRSFGSSGAYIQPKFSKITAAPGAEVNFVLVVQGASVFDTFAMGRGDLFLREFSCVLVTGGDGQPDITTRAFLRKLKDQLSVPVYALVNPDPEGLSIFCTYKYGSPEGPFDNAGLTVPDIKWIGVHLGDALDLDIEDALPLTKQDVSILEGLCANKYVMGDDLFRTSIHFMLYRGLKSKIEALFYRQCPPSDYIRKEINNHEDI >cds.KYUSt_chr4.47574 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294522906:294523853:1 gene:KYUSg_chr4.47574 transcript:KYUSt_chr4.47574 gene_biotype:protein_coding transcript_biotype:protein_coding MEITRSSKPWSFLLFVVTAASRFPATTAGWSKGSATFYGGSDASGTMGGACGYGNLYWSGYGTRTAALSSALFNDGASCGECYQVACDHESEARWCLPGKSVTVTATNFCPPNYELSGDDGGWCNPPRAHFDMAQPAWLRIGIYKGGIVPVLYQRVSCVKQGGVRFTMGGFERFLLVLISNVAGSGSIKAVWVKGTGTERMPMSRNWGAHWQSPAALVGQAITFGVTDTAGQTVVFQNVVPAWWRFGQAFTSNIQFSTSAQSF >cds.KYUSt_chr2.13742 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87102452:87107591:1 gene:KYUSg_chr2.13742 transcript:KYUSt_chr2.13742 gene_biotype:protein_coding transcript_biotype:protein_coding MATESGNSDGSPHPNVIGIAFAQQYYKILNSQPEDVHKFYHDESTLGRPDSDGTLTTVTTTDAINEYFLSTELKDCLIELENIDTQPSLAGGVFIVVTGFFTMRDTVKHRFTQSFFLAPQETGGYYVLNDVLRYVREMPSPETNEAFVDHSNGNTQIAPFPAEPETSIKESLDPELPSAESVPVNCEVINPSAETTYVDVEVINPSSENIAVNDEVMDPSVESISVNDKVKTSVGNQNSQIKNGITKIPEAAPAPPASAHKDVIKKSYASIVKTKNESTQPAPVTKPIPRPKPTTKRAENVENSSSVPAKTTHAADTAPPNDRNIFDDQGYSIFVKNLPLDATVEIVEAEFRKFGAIKPCGIQVIHRESEGFSFGFVEFESQQSMYAAIEAAKIRFGSYVSVVQEKRTPRRVINYATHSNNNDNPRDSRVLPGRALFVNNGSNRDGDNFKGQGAGFVNNGNNRDGDNFRGQGANFVNNNKYHHGENFRGRFVNNDNHRDGNGMRNESRNQNEYPGRGRGLQGNDYRQNGNDYRQNRNGYSPNRSGYNQNRDGGYNQNREGGYNQNREGGQNREGGYNQNREGGYNQNREGGYNQNRDGGYNQNRDSYRQNGAGYRQNGNGYHQARPFYNDNGNGRYIIVDYSDESYDFSNVDTGNNFDTKDT >cds.KYUSt_chr1.16989 pep primary_assembly:MPB_Lper_Kyuss_1697:1:98630587:98633947:1 gene:KYUSg_chr1.16989 transcript:KYUSt_chr1.16989 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMGRHQRSRSASSSATRSSDATELDFAAADLDCPFGGIDALGLVELRETAYEIFFMSCRSSGGAASSTSARGGGSSEMEVSSPVAGSRGGSGVMSSRVKKALGLKPRRSAPTMMRTLSQSSGPSSPGRARRPMTSAEIMRQQMRVTEHSDARLRRTLMRAVVGQVGKRPDSIVLPLELLRQLKPSEFSDAEEYHQWQFRQIKLLEAGLILHPSLPLDRLHAAVLRFREVMRATEIRAIDTGKGSEAMRALTNAVHALAWRPGSGSDACHWADGYPLNVLLYVSLLQSVFDHREPTVVLDEVDELLELIKKTWPILGVGRAVHNVCFAWVFFQQYVVTGQVEPDLVAAALTLLADVAADAKQGSRESQSRDPVYAKVLLGALGKMQDWSEKRLLDYHDRYEKDIGGSSSAMEGMEILLSVALGAGKIVADREYIGTGNFPADRVDYYIRCSMKNAFTKILENGMGVSDSLIADRDNDAGVVLTRLAKDTEQLATFERRSFSPLLRRLHPAPVAVAAVTLHGCFGVVLRRYLGKVTILTEELVRVLHSASRLEKALAQMTAEDAADCHDDRAKAVVGDMEPYEVESVVMGLLKAWMDDRLRIGRDCLLRAKETESWIPKSKEEPFPGSAIELMKLAGATIDEFSDIPATAKDDVLQQLVDGLESIFQEYISFVASCGSKQSYVPPLPALTRCNQDSGFFRLWKKAVLPTCQAPEGSPRAGASQHTPRPSISRGTQRLYVRLNTLHHVLTHVQAIDKSLSSASCARSGGGASPAAAPGGNRVAASVHFDRTRAAAQSAVSHVAEVAAYRLIFLDSRHTFYHGLYVRNVADTRIRPALRALKQNLSFLVSVLADHAQPVAVREVMKASFQAFLMVLLAGGNDRSFTRADHGMVDEDFRSLKRAFCTCGEGLVPEEVVAREAETSEGVVELMARSTEHLIGAFGAATSESIAGAREYDDGGGGGTPVPSASRQWNPADPNTILRVLCHRDDEVANQFLKRTFQLAKRR >cds.KYUSt_scaffold_3611.225 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:1209017:1210199:-1 gene:KYUSg_scaffold_3611.225 transcript:KYUSt_scaffold_3611.225 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTMTDEERADPRHHPENYTRWNSYFLQRWERELAAYDGPPPPPARNNAAGRRRWWSAPERTLANVIAHIEGGNFPVLTMPPLSASRASASRRRGSVWQPRRMAASSSSSGSASRSSLAPVKREEATSPSTPVRVKKEPASPPATRGRSSGALVIREQPSAPQSGRKKTKKEAAASQLAEEEAKRAEDAAMAEAIARSLHDMEEEKRADDAASDRASATPGARGGGAAAAAAGPGRRTPTRRPRRSNRQRRCHAGSSSQAAQPKANDDGSDDDGGDYTVFYRHFGM >cds.KYUSt_chr2.33576 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207391877:207397651:-1 gene:KYUSg_chr2.33576 transcript:KYUSt_chr2.33576 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIVTLDMDCCRCSSKIQKVMSSIQDRGKFDIEKIVYGEDRVLVSGPFDADQLSCKIWCKAGKKIIKNIEVAKPPPAQPKPKPDLPKPKKNDEKPAEPKPTPAPCNQPQPVPYAYPVPYPQYPAMWPCGCATPYCECHSKPAPPAPAPTCQCSTGPSCHCSSYPPYQMPMPMPCTPIVICEESPPACAVMNMDIRDTGYPFYLFGIEASPFSHHKRTHGSPLPASSTSVSPLPLIGSATFVLQSYEMGILVLSVDLQCSRCKKKIKNVLECLQEDYCIEKIEYEDKDNRVIVRGNFDTDKLCKKICSKACKIVKQIEIVDEWPPKPKDPPKPCPKPDLCHCPKPDPCHCPKPDPPKPCHCQKPDPPKPCPKPDPPKPCECPKPDPPKPCPKPDPPKPCHCPPKPCPKPDPPKPCHCPPKPCPKPDPPKPCHCPPKPCPKPDPPKPCHCPDPPKPKPPPPPEPKPTYKFVPYPYPYPYPMQCQSWPWNCSPQTCQCPAPQPAPPPPPPPPPPVVEPPKPKPCQCSQSQHHGCGCGGKPPGPPPICWPPPTWPPQQPCFPPAWTTEEIPSEACSIM >cds.KYUSt_chr3.22666 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140053517:140054800:1 gene:KYUSg_chr3.22666 transcript:KYUSt_chr3.22666 gene_biotype:protein_coding transcript_biotype:protein_coding MDLACDPTQPPPAKRTTEPNCTTIDSLGDDILREILLLLPSLTALIRAAFTCRAWRRAVASSPSFRRRFRALHPSPPLGLFFTRPRSVHRPNVPALPDFVPTRRPDKDLAAAVRCGDFFITSIPDRRGQTPCWNIVDCRDGLLLLNDWDNALLGLFNPYSRWSRGVFDMPDGDIFEDYRGIYGLRSIHLIYSDEDPMSFRVVCLVYDESRVRALVFSPSDTRGWHIGPWVEVSPTPQTDSEWLEEGMQANGLFIFWVCVDLKIVITLNTTTMEFSVDEFPQCLTAVDQDVSFDVGETMDGAPCIVYAVGLSIGVLLRKADDDGVEKWVQDRVVPLETQLARIIEDLPLPGDLQLNVAAIRNGFVYLATSDMYHEPQNPCWFLSLCLETMELEMLFQRTFDNQIYPFVLPWPRSLVGNYGRFALEVAP >cds.KYUSt_chr5.28436 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180062313:180064556:-1 gene:KYUSg_chr5.28436 transcript:KYUSt_chr5.28436 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFALPPPPPPYESPAERRKAARESRRATRHAVKEGERSIRPRYEEGEGVGDAIKPKPWEVLDRNFPTPPPIRSAAPPERGKDRLSPSTMAPPGASTTASSAGSSQGGRHNSHGAAGPLPQGIGASVQIRRECAPRSIGLLMPPPVGGGDQGGLVERPTRPKPTGGGASEAPLLRHQVLLDTDSHMKEDGNWDEGDEMEGMGFNQGEASKIGGIVDMEDDVYLEFDEEEPVKEEPKEQSTWQILARYMANFKPNTRAMFNHFIHEVWHLRNGISYSEKGKNYYGITLFSKGVYDFVMRGGPWIFNKNALLVTDLEETAQPSETKLDSVPVWVRIYDVPWGKQDEDWGMKYGNGLGKAMEVDVPASVQEKKEFLRVRVRLPYDRRLQTQITTGVKGKPREVKVFKLKYERVPYYCSHCGFMGHKKDACEKMRLGLPSLDYDAHELRCSPYKKFEHRTYYAPPAGQASAKRNLSFASFGSAESYKRFDQRKSREQPQCTTPERINSQSGSANNDDMPPLMDDPAMLGGDLATVAECVNEGSVQQDVEENLAVRVDAILMDTRQTNNQYVIPAGRDASQPIVQFPEEEGQGTAEQGGHIQVTMTRDMLAKLQKVQAQSQQGANSGSGSWEYGPRPSDMIPALQGLSSLQVSFGSVNDISMPPADTILGKRVADDQEAQGGCLELSLGLDYGSKRNGTTPKKGKTQEMEKTQGRRRVVDVVYQRHKKLAATGHKPADNLTRPNVWSRQEQ >cds.KYUSt_chr2.23297 pep primary_assembly:MPB_Lper_Kyuss_1697:2:142342235:142343394:-1 gene:KYUSg_chr2.23297 transcript:KYUSt_chr2.23297 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPITAPAAAAPSTTTFCCPTQPPPPISSLPDDLILECLVRVPRASLPPLPAVCRRFADLLASHAFLQLRRARGLLQPSLLALSVSDHAGAAFAQALLHFRPGQPPHVQVSALPLPPALHRRIHNPDGPLRLVLPRWRTDAAAAETDRLSHIPIDNRRGGLPSSLYRCTSRIFVSSAITHVPHSPGKCIQEAVFARMFVKMDNHRQL >cds.KYUSt_chr4.38453 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237110975:237112069:1 gene:KYUSg_chr4.38453 transcript:KYUSt_chr4.38453 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDQRAQRRAEYAARIPLIAAQVSSEIKAALAAELKAAIATSLDGMLAGYQKDLDVASTPTASLADDHDHDLNLAAALVESTPTSKPTASILNGMDTADSAEVSVVTKSASHDSDLTSPPPAKCSTICPSGSPCSQSTACTAEEVPDPVTSLLPILVAYSSVTGPDPSVDVMLGPSASTLATTESASSTTLESDLTMAPPSTSYAVCLDPAADVPAAILTQAMRDIVDSSCSDALASMLVLLDKPDVSDTAVTHDDEKLMVCSSVEQPLVLVTARDVVDVYSFVAGVPAVPSATTTNVIPFACVVHEGSFQLTVPCLQPKNKLPCSLPLPMATPTTLSVPLSIPQLVESDSNRSLKCLMILL >cds.KYUSt_chr6.2731 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15980181:15980954:1 gene:KYUSg_chr6.2731 transcript:KYUSt_chr6.2731 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF640 domain containing protein, ALOG domain-containing nuclear protein, Reguration of plant height, floral development and grain yield, Spikelet morphogenesi [Source: Projected from Oryza sativa (Os02g0811000)] MDHHHHHHHHHHHMIPGQEPSAADGATHQDNFFLGPAGVGIFGGAIGASGAGSSSSAAAAGGASGTSAGGVGGGGGPSPSGSSPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLKYMDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREVRETQARARGISYEKKKRKKPSSSSSAAAGGPSSEGSPPPGPSGSGGGDTSASPHFIMP >cds.KYUSt_chr4.35427 pep primary_assembly:MPB_Lper_Kyuss_1697:4:217470257:217470460:1 gene:KYUSg_chr4.35427 transcript:KYUSt_chr4.35427 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEEQRQPRAPGAATCETAVPDQGRDELHGRPVLLGYAHNSFVTSNLVRSFADLVHVHLARVVAVA >cds.KYUSt_chr5.7036 pep primary_assembly:MPB_Lper_Kyuss_1697:5:43712070:43722173:-1 gene:KYUSg_chr5.7036 transcript:KYUSt_chr5.7036 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYNVEAAEILANEALLLPIAEAAPIYEKLLATFPTAVKYWKQYVEAYIAANDEETAKQIFSRCLLTCPHINLWRCYINFIKRVNSKRGSEGQEETKKAFDFMLNYVGNDVASGPVWMEYIAFLKSMPVVTPQDESRRMTTIRKVYQKAILVPTSHVEQLWKDYDNFENSVSRTLAKGLLSEYQPKFNSARAVYRERKKYIDDIDWSMLAIPSTGSYKEEQQCMAWKRLLTFEKGNPQRIDAAAANRRITFTYEQCLMYLYHHPDVWYDYATWHAKNGSTDSAIKIFQRAVKALPGSEVLKYAFAELEESIGAIQPAKTIYESLVAENASMTSLAHIQFIRFLRRTEGIEAARKYFLEARKLPSCTYHVYVAYATMSFCLDKDAKVAQNVFEAGLKKFMQEPGYVLEYADFLCRLNDDRNVRALFERALSLLPPEESVEVWKRFAQFEQIYGDLSSMLKVEQRRKEALSKTSEDALSSLENTLYDVVSRYNYMDLWPCSSKELDYLSRQEWLAKNMSKKSDKSVMLTSVVGPTLDKGNAGGGANAKLLPLSARVVRPETSRMIIYDPRQIKGPVITATSSGYTKEVDDIFKMLSPPIMSFITNLPAIEGPSPDIDIVLGVLLQSTLPAGHDVGKPSGPVPGATTTDLSGPRNQNGSVHRPPRDGQPTKRKAIESTLPPLSNLFVVQKADVTFAC >cds.KYUSt_chr7.38304 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238858925:238860069:1 gene:KYUSg_chr7.38304 transcript:KYUSt_chr7.38304 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRIGAIDPSIPDLVLLEEDYPALTVTIVVTEEWHGLLASAGVPPTLPDRIRLATIPNAIPSEHCRWADMAGFYEAVRDKMGEHVERLLDRLALERRPKALVIVADTFLTWAVAAGARRGVPVCSLWTQPTTFFLVLYHMDLWPLVDGGDSEQGN >cds.KYUSt_chr1.2226 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13044178:13046616:-1 gene:KYUSg_chr1.2226 transcript:KYUSt_chr1.2226 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCPLRRISSSTSTSSPSRSWSPHAAFAAATDRARAGTLSQEDAHSLFDELLRQATPVPERSLNDFLAALARAPSYDDRRSGPALAVALFNRVCREDAGLQVAPPTVCTFAILMDCCCRARRPDLGLAFFGCLLKKGLKTNQIIANTFLKCFCDAKRTDEAVDVLLHRMSELGCVPNVISYNIVLKRLCEDRRSQQALDLLLTLAREGGGCSPDVVSYSTVIHGFFREGEIGKACNLFHEMVQQGVVPSVATYNSVIDALCKAKAMDKAELILRQMADNGVRPNNVTYNCIIHGYSTSRRWKEAAKMFKEMTSLGLVPNFITCNSFMVSLCKHGRSKEAAEIFNSMADKGHKPDIISYTILLHGYASEGSFADMINLFNSMKDNDIVPNCHVFSILIGAYARCGMMDEAMLIFAEMQEQGVTPNVVTYSTVIAALCRMGRLADAVDKFNEMIAVGIQPNNAVYQSLIQGCCIHGDLVKAKELVSQMMNKGIPRPNIVFFNSVINGLCKEGRVVDAQDIFDLVIHIGETPDVITFNSLIDGYGLVGKMDTALGILDAMVSAGVQPDVITYSTLVNGYFRNGRVDDALALFAEMLHKGVKPTTVTYGIILDGLFRAGRTDDAKKRFREMTQSGITVNISIYNIILGGFCRNNCAGEAITLFHKLGEMNVKFDIKTLNIMIDAMYKLQRREEAKKLFAAISNSGLVPNAFTYSIVIKNLLKEGSLEEADNIFSSMEKIGCAPSSCLINDIIRMLLENGKIAKAGDYLSKVDGKSISLEASTTSLMLSLFSTNGKYREDMKLLPAKYQIFDGLG >cds.KYUSt_contig_1181.402 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2723667:2723948:1 gene:KYUSg_contig_1181.402 transcript:KYUSt_contig_1181.402 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPEYCGKPTRRGRPQRRPSHPR >cds.KYUSt_chr3.41628 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262635109:262636226:-1 gene:KYUSg_chr3.41628 transcript:KYUSt_chr3.41628 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFVPQEELEKFMARCTDPAAQKATKEAARRLRFKLTILGTRFCLRWAGGKYIKSGTEMDVTAFPLKSTGYSNTDSVIDKIEGKV >cds.KYUSt_chr1.29333 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177515616:177517222:-1 gene:KYUSg_chr1.29333 transcript:KYUSt_chr1.29333 gene_biotype:protein_coding transcript_biotype:protein_coding MAELELVPLSLLFLPLVIIVPLLYFIRPSRRYEAGIRPPPSPWALPVIGHLHHVARALPHRAMRDLSRRYGPLMLLRLCEIRVVVACSADAAREIMKTQDLAFASRPMNPMGKFLLGDSPGLVMAPYGKAWRQLRRICTLELFTSRRVRSFRPVREEEVGRLLRSLSSQSASQVNLSELIGAYVADSAVRAVIGSRFKGRDEFLRMLDRRMKITPTQSLPDLFPSSRLALLISRMPRQMKRERREMMELIGTIIQEHQENSMAAAVDDDEDFLHVLLRIQREGKLDPPLTDEDIKTVIVDIFMASSETSSTVMHWAMAELMRNLRVMRRAQDELRRVLDGKNQVVEESLGGLRYLDLVIKEALRLHPPGPLLLPRECRRPCQVLGFDVPAGAMVLVNAWAIGRDPAYWERPEEFLPERFVGGTVDFKGMDFEFIPFGAGRRMCPGMAFGLANMELVLASLLYHFDWELPHGMEPGELDMTELFGISTRRRSDLLLVPTVRVPLLAKI >cds.KYUSt_chr4.54480 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336564497:336567751:-1 gene:KYUSg_chr4.54480 transcript:KYUSt_chr4.54480 gene_biotype:protein_coding transcript_biotype:protein_coding MDRELRSNGSTKSLSRSEELPFSFPGMRNASSSTASRMLSSVASWPAKGWDEGSHDLWKHQDSGKGRGRVEDLSFKNRPGGGDDPPTRLIGNFLREQIASGVELSLDLDLVTEEVGRPSLLNSREREARATDQSSSSDNSDSDDGEVTPAGSGGRPLLRAMTKTRSRLMDPPPRSPRAVDEERKSSALRPPKNPSGRMTRKSGAVGKSGLMEEEEDDPFVDDDMPDDSNRGKMDTLTILQWVSLVLIIGALLCTLTIRRLAKKKLWELHLWKWELLVLALICGRLVSGWAIRIAVFCVERNFSLRKRALYFVYGVRGAVQNALWLGLALTSWHFLFHQRWTNTAVLPYVTKILLCLLVATLVRLVKTLLLKVLASSFHVSSYFDRIQEALFNQYVIETLSGPELVGEDYVLAEVCELQRAGATIPEELRAGLPPKTLSGQRTNRVSGLISRGNGMKQLSDEKKQREMDEGITIDKLHRLNQRNVSAWNMKRLMKIVRFGTLTTMDEKIQKPNGEMGEWAIPIHTEYEAQVAAKKIFNNVAKPGSKHVYLADLMRFMEQEEAIKTMHLFEGAQEHCRVSKRSLKNWLVNAFRERKALALTLNDTKTAVNKLNMMGNVVVGLIVSALWLLILGIATTHFFVFMGSQLLVAVFVFGNTMKTIFEAIIFLFVMHPFDVGDRCEIEEVQVVVEEMNIMTTVFLRYDNLKIYYPNSILATKPIFNFHRSPDMGEGIDFSIHVATVVEKLSLMKERILRYFTSVSSYIDSKKEHWYPGAMVVLRDVDETNKLKVSIWLRHTLNFQDMGMRFVRRELVLQEMIRVLKDLDIEYRMLPLDVNVRNTPPLQSTRMPTTWSYS >cds.KYUSt_chr5.13310 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86817493:86824243:-1 gene:KYUSg_chr5.13310 transcript:KYUSt_chr5.13310 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNLDGSTEEASFRGGHGTEAKVHATKQNKTKRNYYCYKKTPTHAGAVSAASRTWPRPPDHPQANVTGDTRRAPAHPPRSQHQAPQRPLPVRLGNSPLRRRTRNSSSSSPNATPPSSLPRPAPPRLLLPYSSSPKQPRNANPQGAPPPPQPAFAPTRKPPPPPPPSAGADGSDPSGEMLGGVLSKILLLLFGYAMPAFECFKTVEERPNDAHMLRFWCQYWIIVAMVIAFESLISWMPMYGEMKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEHRLLHLRAKSGQLLNFYAKNFADKGTAFFMDVLRYVVSDKAEGSNLERNKKSGTGWSPFATKRRPPSPPPQQESLFDNPDAAAVAEVLRSAINPKPRRAYDGKDYY >cds.KYUSt_chr2.44579 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277372250:277374902:-1 gene:KYUSg_chr2.44579 transcript:KYUSt_chr2.44579 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSDSGGGGRAGAELMAEQFHLKVLHAVLAVRSPRPLAAPAPPAAASASFRRRDRWFHLPLHDPPPPPSAERLEAPAPGEPLVVDIHLAPAGNGGAGGEVVERWTVACEPWPDAAAAGEGIAVNRAYKRCMTLLRAVYATLRLLPAYRVFHVLRRASDSYNYEMGYRVGSYAVPFSRDQEAAMRTRRFVPVDTQPGRLVVSVQYLSSLAGFKLEISSLSPSMLIPNYAVSPAAEPMRAFPASLTEATGSAFPQSYQHHQRPHSWAQPALWPHAPAQQTRFSPPPLHYASPTPSPPNFPCGYLQSPLRGRGESAPMTIPGERRSPVHRQNMLDPMLPPPSPRRGDKGAAGSQESPSDISRSFGRLRIGDQYGSLSPGSKGKDSKDESGRFSALSSCDSPRQDDLDDADYPFAVDDVDPPISRPGYV >cds.KYUSt_chr2.38684 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239789289:239790378:-1 gene:KYUSg_chr2.38684 transcript:KYUSt_chr2.38684 gene_biotype:protein_coding transcript_biotype:protein_coding MGELSSVQVYGWCHQNGVLLLVYDLMPNGSLDKHLFGRSDAPTLTWEQRYNVAAGVASALNYVHHDYDQMVIHRDIKPSNIMLDAAFNARLGDFGLARALESDKTSYTDKVGLGGTLGYIAPECFHTGRATRESDVFGFGAVVLEIVCGRRNSYHHPAGCSQLLDEAWELHGAGSVLKAVDPRLAGEFDEADAERLLLLGLACSHPNPWERPNAQVILQILTRAAPPPDVPPSRPAFMWPVRPFGLVNEDGEMSTSGSSATCSTVITASLLR >cds.KYUSt_chr5.17575 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113418494:113425748:1 gene:KYUSg_chr5.17575 transcript:KYUSt_chr5.17575 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSYLLAGSRAASPSFPSLRRRSHHFPPSLLTTPLPPAQRWRRSLRFCAASSPPPPVPPEDEELTDYDYELSETTGNCDPLCSVDEVSSQYFEANYKPKNDLIKALAILATSLAGAAAINHSWVAANQDIAMVLVFAVGYAGIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSQSTVEVSEIVFFLLGAMTIVEIVDSHQGFKLVTDNISTRNPKTLLWVIGFVTFFMSSVLDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQITTVNTIQGLFVPSVVSLVVPLALMSLTSEANGSDQASSSLLSSEQMAPRGQLVFAVGLGALVFVPVFKSLTGLPPFMGMLFGLGILWILTDAIHYGESGRQRLKVPQALSRIDTQGILFFLGILRQLANYLDANIPNADLIASIIGVASAIIDNVPLVAATMGMYDLTSFPQDSDFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVNFFFIQLFKGDVKEQNNNRQYDLL >cds.KYUSt_chr5.18784 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121399798:121402165:-1 gene:KYUSg_chr5.18784 transcript:KYUSt_chr5.18784 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRLWSPFQCLEDRDLFTEEPLLLAAGDPVRSSLGERFRSWFRRSSREKKPPSSPPSSTAAAAAGLAEEAARGPALVDEAKSFAEAARVFAEAEEPRAPRLAEDLMERASALAVAADLLLQEWISTPTSATKLAVKALRLSMDSQVLVPGFALFAAPRASMLHVVAADATSAASNSHFSASLGVLLP >cds.KYUSt_chr3.1782 pep primary_assembly:MPB_Lper_Kyuss_1697:3:10252858:10253394:1 gene:KYUSg_chr3.1782 transcript:KYUSt_chr3.1782 gene_biotype:protein_coding transcript_biotype:protein_coding MKDREKAGAGRLLTVLLPDEGFGGEDEAAKQRSSDTGKKTALAVTVASRPWRLRFREVVREVEEGVAVRFPSSAGRAAVPGDGGGRRRLVEKPSRTLTERWKKKEGMVRRGRGRKVRVWGWSPSVLRRRSEVASIGRARGKTSGCRPVRTGGDSREEDNVQAQLLQRLGMGCTGWLLG >cds.KYUSt_chr1.17317 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100577530:100578627:1 gene:KYUSg_chr1.17317 transcript:KYUSt_chr1.17317 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQNQKAYLSRLRSSRGQTTLQSFLFKPRVADGELNPPPPPRPEDEVEVPNSPPAPPKREIVRVTNKTIKEKASAFSSVGSSAKNGGGREAGDASALNAAVFRRFNGLSPPAARPECSAEAADDDGGSESAVRLDVADIAAGSRRLNSRKRKSPLGRGDERKHVVVLGDDPKPRPPPSTRRGRPAGRGQGDNGLYNHYASGGGLWQGEQEGVDGEEVGWTEDMWEGMGSISLGGMEWH >cds.KYUSt_contig_946.93 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000087.1:699274:699603:-1 gene:KYUSg_contig_946.93 transcript:KYUSt_contig_946.93 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHAGVVETLGLQLCSVENPAEGRRKRRADNVAEQGGDVGSAATTAIVAGAWLPPQKKARSTLEVCDMNSTRRFSLMLITAENLARTLEANNLILVGDGACFKVMDCP >cds.KYUSt_chr5.22971 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149923318:149925497:1 gene:KYUSg_chr5.22971 transcript:KYUSt_chr5.22971 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLASRHAPGRPGNFNRSLVHPGPERRASVPALQVSLDEHKGSDQEEGDQKGRETANGTVRKRDRRLTGKKRKQSETEISALEERALQKGVPRTPDEFEKLVRTSPDSSFVWINYMAFLVDLGEVEKARSVAERALRTINIREEQEKLNVWVAYINLENEYGSPREDAVKKIFQRALQYCDPKKVHLALLGMYERTEQHQLADELLGRMTKRFKTSCKIWLRRIRFSLKQGKHVEYIESIINRALLSLPQRKRIRFMSQTAILEFKYGVPEQGRSRFELILRDYPKRTDLWSVYLDQSLFKKFHGYEKCRGNEEIIEHVMRKATECAKSFAAKTALDLRGMC >cds.KYUSt_chr5.29811 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188969420:188972950:-1 gene:KYUSg_chr5.29811 transcript:KYUSt_chr5.29811 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASPPSAAALATGTARRSSRLPPAGRFRKENGGMVVLGAAVSGPATAALSPPPPQVGQACGLCSRTPPLPSEPAAAPSPAGELLLPCGVPSWSSAADAAVVDDEEDEEELAPQTPPPSSTPPRLLVCPVQVGGHLVEDADAPAALPVPPAPAAPIVPSSKASNAIGAEEDAEELGPPSLRSADDGEAGAGALPLAATPWPASWVSSADNDDEDSEEELVPRTPPATKSFNVGADVEKVDGKDMECVGGRAWWMAGGDATARLTPLGSASPANCSSSCPCLAQGLLAGLAASSPCQATAPRRAQVEVSLSFDVPHRRSWASVVSAPVGSLASADMESALEKQAEFFQEVIHPLHEAVDSLHGWMLAIGGFLERAEAALGRLSLTPADPLVVPDDDKVGASGASLHCCFSPRARASSVITAPVMRIMPELLELCGGVLSPPSVEEVRPNSHESLDVVSPPCQAVAFEKCGAGDAAVSLSSESGSQVVSIGDGVAMSGPLRTVPGAVVAREAEARCARNLRLLLTTSVRRPHNTALSLIFLFQTSSSFPNFSDPDELLRRHRPARARCSMELLCLHRAEKACCLRELHRPTRVFCPRQLIRHHRPTRARCSLELVCLHHQAKARCSREIHRRHRPTRVLCPRQLLRHHRPRLEKLREMQESREYKEENTASYKDQLGFGRY >cds.KYUSt_chr7.15351 pep primary_assembly:MPB_Lper_Kyuss_1697:7:95277151:95280558:-1 gene:KYUSg_chr7.15351 transcript:KYUSt_chr7.15351 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDRPEPQRATLAVPGVRVDVVTACSQAQKLPVPETHHHPSPVRARARAVTVLRSNPTQTRTQSPSLPLPHATPTILYLYARPRRSIPSSLSSRFRSELAAADYAMASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIMGPTESPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKSDRVKYESTARSWTQKYAMG >cds.KYUSt_chr3.48318 pep primary_assembly:MPB_Lper_Kyuss_1697:3:302308866:302312242:-1 gene:KYUSg_chr3.48318 transcript:KYUSt_chr3.48318 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFVAIVALLLAPPQAAGYPWPVCGSTASFTANDTTYLASINTIAATLTRNASTSPGLYATAQAGQVWVLALCRGDANATSCSGCLDQGFQDLPSACAYSKEATMYYDTCFLHYSNLPLNASDDTALSSAFHFVYSATATAEPARFDRVVVALLNATADYAAYNSSVARLYASGVVSFDREIPQVYSWAQCTPDLTPARCRGCLANMIRALGTPLYSVGARILGIRCSFRYENRPFLDGPLMGTLPDGEEIAVKRLSKSSTQGVEELKNELALVAKLKHKNLVRLVGVCMEQQERLLVYEFIPNRSLDQILFDIEKRQQLDWGKRQGIIRGIARGLQYLHEDSQLKVVHRDLKASNVLLDADMNPKISDFGLAKLFGRGQTQGVTNRVIGTYGYMAPEYVMHGNYSVKSDVFSFGVMVLEIVMGRKNNDTTHFEVLLTTIWEHWTAGTVLESVDPCINNSFSKMDLMRCIQVGLLCVQENPVDRPVMSAVCMMLGSHTVSLGAPSKPYCGNGFGMSAVPMNEGHEFRSI >cds.KYUSt_chr7.38459 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239668256:239668921:1 gene:KYUSg_chr7.38459 transcript:KYUSt_chr7.38459 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVEDISHDGDYMHLPSFEKEDADDYTDLPSQEEEEVEEEEEEEEQEEEEEQEEEEEEEEEEEQEEDGLENIRPEQFLAFVLSMDFGSYILADIIEAQDAAASTSDATPSIGVAGASDDSELGEALETVEAPSDGRDCPICLQDDDTAAWKETPCGHRFHGRCVERWLQAKGSCPMCRSQLVATIPTAAATSTAPEMEQSVFVDFYDRVIASSALTEEE >cds.KYUSt_chr4.23762 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149742251:149742961:-1 gene:KYUSg_chr4.23762 transcript:KYUSt_chr4.23762 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPDDAARPGVTGVEEEEHPKPAESTALTVWRKSLLFNCDGFTVFDARGGLAFRVDCYGTSGSRRRHAEAVVLMDAAGVPLITVRRRRLSLAEHWVVYDGDGGEGDHAPRPLLSVRRCHARLLRHAHSSSPSKQKALAHITSLSPALPGAYVVEGSYAQRSVAVRDAQGEAVAEMRRKESPVGDEVFRLVVSDPRRLGAPLAMGIVIALDEMFGGRTGGASAARSLLRRSWSM >cds.KYUSt_chr1.31071 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188300044:188304630:-1 gene:KYUSg_chr1.31071 transcript:KYUSt_chr1.31071 gene_biotype:protein_coding transcript_biotype:protein_coding MTALALRSLEVGKRKGNGMGIKWVHPHPLHVRTSPLMPIVEAQRYPRQHRHAKGKAVPLTRRRRPQGRAEGKGWKSPFQFPHHSEPPCKGFAGQPNRLPPFRRVPALEEIDVDMKPSPPSPDVARNAQDVVAKDQPFSIAEYISSGPVRGSPSVAVQIVRSLFIVDVLAAALRKHVEEEARDLGGKDLPRVMDQLYEQISRLLQSSDSTKNLLALHAIVALIDLPFGGPSKFTKLANFLRNVFDVKRDPEILVPASTVLGHLANVGGALTARQVEQQGGLVRIEWNIVASQEMAENAFTVLKNYVPEFFDAMLIASRDPKLVIRERGAEALRAFAHSNMKAAAVCTSKKAKLDGIDLLSLLPDSILCTIISFLRTDDAVRTSALSRRWYHLWRSAPLNLDTAHIRGYCPEQIKVVTKILSQHQGPIRRLHLHSLYFADLDRWFRSTALDNLQEIDIWVARFDDPLPLSVLRFAPTLRVALIAHCSLFEDGDPVFNFPHLKTLALGSLSIWEDTLHSILSGCPVLESLMLNNCAGFKRLVINSPTLRSLGVCDDRHMKELVIQNAPSLERCVRTNLFRTTPVIEVIRAPKLEILGSLAVNFDKLKLGTTVSQEMGVCNLKMSMHSVKILHLTSSGPNLDAVVAFLKLFPCLEKLYIMSTLQMDMENVHHPDPTDPVECLDHLRYVELKCYMGKKPDVDFAKFFVLNAKVLELMKFVVKDKCTQKWITNQYKQLQFDSRASQNARFEFGSYIGRAYPTGCFRVGGFEFGSGHTGKRRVVHQHDDHVLSMADPFDSSSCGLCRIVLHHDDNVL >cds.KYUSt_chr2.43117 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268390636:268392724:-1 gene:KYUSg_chr2.43117 transcript:KYUSt_chr2.43117 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLGVNSYRFSISWARILPRGHLGGVNSAGIAFYDRLIAALLQKGIEPFVTLHHFDQPQELETQYGSWLGAGIREEFDYYADVCFRAFGDRVKFWTTFNEPNVFAKHSYMLGTYPPNHCSSPFGTCNSGNSYREPYVAAHNIIMSHAAVVDNYKRNYQAKQGGSIGIVIEMKFYESLTNNTEDILAAQRAMSFEIHWFLDPLFFGEYPKEMREMLSSNLPKFSLAEKRLLQNKVDFIGINHYTAIYAKDCMLSSCDLNTYEGNALVLAIGERDGVRIGKPTALDGFYDVPEGMEKIIKYVNKRYENVPIYITENGYSQHSSNGLEGLVNDVGRVNYLHGYLSFVASAVRRGANVRGYFVWSLMDNFEWAHGFTVRFGLYHVDFNTQVRTQKMSAKWYHDFLMSSRPVDALQTLREDS >cds.KYUSt_chr1.23609 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140567367:140567960:-1 gene:KYUSg_chr1.23609 transcript:KYUSt_chr1.23609 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPHAAPSKRQPAPSRRLRAAGNGNGSGVDTPAADSASTNAPPSLKKTSRKEKLRQQQRLREREEQQQLLLKESLVEAAREVDGGGGGNDDDEIPQVVFDRILKRILFTVGIPMASGVALLNIYDALKRGGGVEVPSWVQLLTILLSFGTSAGGIAYGTLSTSWDPDKEGSLLGIDELQTNWPELWKEDDKKDEE >cds.KYUSt_chr3.2317 pep primary_assembly:MPB_Lper_Kyuss_1697:3:13230707:13233867:-1 gene:KYUSg_chr3.2317 transcript:KYUSt_chr3.2317 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGPCGDQLLASPAAAERPSELAALRALVDRVRKGEVEAAREVRRLTRASARHRRKLAAAVEPLVAMLRSGAPDAGEAALLALLNLAVRDERNKIKILDAGALEPLLDYLQSSDLNLQEYATAAILTLSASSTNKPLISASGAIPLLVKVLKEGNPQAKNDAVMALYNLSTIADNLQAILSVQPIPPLIELMRGGKRSSKTVDKCCALLESLLAFDQGRVALTSEEGGVLTIVEVLEEGSLQGREHAVGALLTMCESDRSKYRDPILNEGVIPGLLELTAHGTPKSRVKAHALLDLLRNSPYSRSKMQPNTLENIVSNIASQIDGEDRGGKAKKMLAEMVKVSMEQSLRHLQRRASFA >cds.KYUSt_chr7.37897 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236335358:236338258:-1 gene:KYUSg_chr7.37897 transcript:KYUSt_chr7.37897 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHHRLHLHLPPAAPPAADPYYVYAPHAHPDPQRLGVVTLFVAGLPDDVKPREIHNLFSRRPGFDQCLLEYTGRGNQVTSLSLAPQLAVLTETKPSLRQKGGEPFVSAVSSVPYRTYSSFVRKVYLAYCCMKKKLVASMGRVQRQAHLLPGKVFWLVSLLSREDMWEALGLQAAINEALQVDRAGSAVLEHLLRRNDNSLPGFDHIGLKETVTVTCWYLWWMRRRRTHDEEVPPLNRCKMSILSITANAMRAAEKRLTISPARWIRPEPRKGSGSEVGCVGDEFEADLCTVKGCLSCAFIIY >cds.KYUSt_chr5.18043 pep primary_assembly:MPB_Lper_Kyuss_1697:5:116653565:116656454:-1 gene:KYUSg_chr5.18043 transcript:KYUSt_chr5.18043 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIELAVLRDHFRVLFSTSRRTPRPPCQRPRAFELAVLSDHFRVLFSTSRRTQSPPCQRPRAFELAVLSDHFRVLFSTSRRTQSPPCQRPRAFELAVLSDHFRHEPADTESTLPAARAFELAVLGDHFRVPFEHEPADTESTLPAAQSLRAGGPQRPLPGALQHEPADTASTLPAAQSHRAGGPQRPLPGALQHEPADTASTLPAAQSHRAGGPQRPLPGALQHEPADTASTLPAAQSHRAGGPVRLRLRRLVRLRLRAPSGCAFAASSGCAFIASSGCALSGCDLAASSGCAFVASPGRAFAASSGCASSGCALSGCDLAASCALFGCASSGCALSGCAFLASSGSALSGCDLTASSGCAFVASPAAPSPRRLAAPRLAAPCPAATSPPRPAAPSSPCPAALFAASSGCASLRCALSGCASSGCALSGCGLRRLVRLRSRRLVWLRPRRLVRLRFRRLARRAFAASSGCASFGCALSGCALSGCASSGCALSGCDLAASSGCAFVASPGRTLRRVVRLRLVRLRLVRLRLRRLVRPRLRRLAPPRFRRLVRLRLVASSGCALSGCDLAASSGCDLSPRPAAPSPSHPAAPSPPRLAAPRPAATRRLASCAFAASSAAPSSPRPAAPSPLAPPRLRRLVRLRPVASSGCALSGCDLAASSGCDFAVSSGCAFAASSGCASSGCDSPSRQLRLRRLVRLRLRRLVRPRLRRLAPPRLRRLVPPRLRRLVRLRPVASSGCALSGCDLAASSGCNSSPRRLRFRRLIRLRLRRLVRLRLAVSPAAPSPPRPAAPSPPRPAAPSSSGCAFAVSSGCASAIVRLRLRASSGCAFAVSPAAPSSPRPAAPSPPYAWFRSALATPRLSPTPRHGLLRHRHHHLHQQHRVRDPPRDSTALRVPRRLGG >cds.KYUSt_chr6.6505 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39276160:39279314:-1 gene:KYUSg_chr6.6505 transcript:KYUSt_chr6.6505 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGAICSGLTPCPRWLAGRQLAEAGDGGGFGRRSLLEDIAEVLFVILSGMLQGEGVGGHGDADPETVIHSTVDCDGLGGRDGISTMVAALEEKSLRRESVWGAALEIM >cds.KYUSt_chr1.4146 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25306027:25307512:1 gene:KYUSg_chr1.4146 transcript:KYUSt_chr1.4146 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNEKRSIFLVSAFVAVALLAAQVKPAMAATVYTTQMETCVRGIVEKPEKRIDLPGLVRLLFHDAFVRGLDGSVLLTSTPFNTTDFATKANLTEPTEQGSPSNGGLRGLGMIDEIREALEVLNVTASCADAVAFAAREATYVLSKTKIKYAIDGPGRLDGVVSMADEPGSNLPGPSFTYAQLLGNFTAKKFTATDLVALSGAHCIGDTHLLPFADRLNVALAVPPPGPNEINATYQGVIIREEAQSPGDMTRSFKNNIRDMGSTAVAESRYTPNKVDMTAPVDTLDNSFYNANLQNMVRFKSDWELRTNSFARGLMVTYRDNPDTFYAAFKAAMTKLSNNLPAVGTRFERGSRKICSATNFDTQESYPAKNKP >cds.KYUSt_chr5.37418 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236561627:236562214:-1 gene:KYUSg_chr5.37418 transcript:KYUSt_chr5.37418 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQILIHLRCARAAAHTSSLSRRWHGLWRHLFELSFCDMPLDAVDAALQQVACPALSRLEIEIPERHRILDPARASTLLNAAAGLAPADLIIDVWGHCKDRDFPIQITSFERAASIKLRVVNLYLTLPAGDNIEFPALERVSVAGCRVDNIAELIRRCPNLRVLEVCGCWGLDTVKIHSSSIEELVLDNDGVLG >cds.KYUSt_chr2.1437 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8604952:8609432:1 gene:KYUSg_chr2.1437 transcript:KYUSt_chr2.1437 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISSASLLRSFSSQGRLRRSKNGRSARLVVRAEAKEIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELANPMENAGASLIREVASKTNDSAGDGTTTACVLAREIIKLGILSVTSGANPVSLKKGIDKTVQGLILELENRARPVKGSGDIRAVASISAGNDELIGAMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKSIVEFENARVLITDQKITSIKEIIPLLEQTTQLRCPLFIIAEDITGEALATLVVNKLRGIINVAAIKAPSFGERRKAVLQDIAIVTGAEYLAKDLGLLVENATVEQLGAARKITIHRTTTTLIADAASKDEIQARVAQLKKELSETDSIYDSEKLAERIAKLSGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTCVPAIKETIEDHDERLGADIIQKALLAPAALIANNAGVEGEVVIEKIKESEWEFGYNAMTDKYENLIESGVLDPAKVTRCALQNAASVSGMVLTTQAIIVEKPKPKAKVAEPSDGALAV >cds.KYUSt_chr4.26128 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164326480:164330009:-1 gene:KYUSg_chr4.26128 transcript:KYUSt_chr4.26128 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRLIGEQCGGGNKGLLSFYLPPYPDLDASCGVPLFFSDAKLQKQSNTVDGNDSPYIPRIVTHSLTMKLKNHPKSTGGTSARMKTRPGIEVGPKKRNINRKRMDHFSNIGHMLCKFPEKAGPWPIVPLMVKEVLSGPYPVIFPEITFPCAPLLLYYVSLAINTGHLEKGK >cds.KYUSt_chr2.17550 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110560581:110561080:-1 gene:KYUSg_chr2.17550 transcript:KYUSt_chr2.17550 gene_biotype:protein_coding transcript_biotype:protein_coding MESFPVPSLPEELLAEIFLRAPSLEDLARISMANNYCHDIISDPYFVRCVPLHRYPSFFLGFFTRDGFKPVQPPHPSASISNLLLTASDLSFSFLPFPGSWIVQDICDGRALLSRSHGSKGDQESIFMHLAM >cds.KYUSt_chr1.26741 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161208763:161212029:1 gene:KYUSg_chr1.26741 transcript:KYUSt_chr1.26741 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASGSEEEPSSDGAPPRRPSARATLGGFLERALDGVGGAGRRGQRRRRAAFAPGGRRSRFGNREPLPRERGASRGAGARRCGGALQAWGSTPSAEVVRPPTPDGGEKGATRRGSPEAPTSPASGSRSWAPASEGAGPGPWCAPGPDGLDQPFLLLGPPLGGGDREGGASSSVGLRAASVELADLAQAQLRRWLWIPKGCRDPDLGFPARPEERVRRGTTLPFRTLVRIPAPSPLTRSFAEVVAMAGGGNGGGGGSGGYGGAGGDGRKRRFDDHGGGDSRSDNQGRGSGGNGGRHGNSYSGGANDGYGGGRSDGGGRSEGGGRADGGGRADFYGGRDDGGGRLDNDGYGRGRQGQGGGRYYDGNGQEGPYNNNNGRWEGGRQGEDRRGLQQEGQRGRGQQGPARGGGAQHGKPKGGVQGAPPQPKAKGKAKAAGGSATVAVGGECFRCGQEGHFQADCVNDPVCILCSKTGHVSAGCPTRGRPMLLQSYGHAITGGGFFNIEVEPLQAPAEDVQFEAVIHFTSAPLTALQLSDELKSLLDDLWDWQVTKVSDLEFCVRFPSRETLRMSTRRGKIYLPLSKCDVDIREAFVSPRPGPSFPSVWVQITGLPGSLMVKDRLMAAMTMVGRPMEVDELSIKKWKTEPVRMRFQCRYPERVKGTVQLCVNGEPFTVGIHAELGARGAGGSGGPPRPPAPRDDDDGDDLESEERSTDGEAWNRHRRRGNDKDKEKAKGTDKQGGGPGSAQLAGFGGSRSAPQLGRVADQYGSNIKTFPRLAGLGRFAILAEVEDVVDVDGPGKEGGGLPPTQPDMVLEEGSLASGETVSQVTDTVGPGLGSSPSLLPASPTWTSSVMEVDTPAATAVETGAAAGQGSGPALDLHGEVTAAVSLVQGKRTKVVPVSVKGPVKATKKAAVPPTPVRKSSRTAGAAAISMMKKAQNMTATKNLELPPVTGTDADFSILPSLPDTHLSSVLLDSAIVFAPGKGSPQEALQLIRAKELAQASLAALAARKEQASLDRLAREATDQGAPSREDAALGSGTDTTPEASDATGQESSDENLTLHDIRARARVRRPRLTVRKGRGATRGVRS >cds.KYUSt_chr6.23518 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148535226:148536713:1 gene:KYUSg_chr6.23518 transcript:KYUSt_chr6.23518 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGASLPSGCLLDRRVRTDFDDYDEEDLLALQPFTILRSVEKKASGFYYYQDDADKMVQRVQVGVHLADTPPGISCLGLRGVSPVTNIEAVDGNIIVFTTTLLHDLGRIVYLIYDSVKGSVHMIPAPESPSWVFTGLTIHILLGRPSRHGSDDDYALVLAGKLEDFPGSGRLQDALLMWRPTSSSSPPWSKIKKACFPAEASCWDVYQADMVFSYRGRGLWVDLLRGISYCDLDALLDNYNDDDDLVVAFGFIHLPVNVKPTDHHRGSSGVSSEPKAFRTIGVTQKSLLRFVSIDGFLEEHVELKDRSISIWTLGQKGWELDCNIRLELLWGFKGFGDLPKDMTPMYPLHCAEHSHIVYFALGEYREKPMGRTFIRQRYVLAVDWRAQTVQASSLADCFVGSHNLDLVSSDFNSHLRTVGLDLHIMVMETMKQLALSSLDPFDYDEAAATTIMKERPWRWQETLEEEGWSKCGSRAVLPARYYYGPLPYGPWSP >cds.KYUSt_chr7.26007 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162389141:162391406:1 gene:KYUSg_chr7.26007 transcript:KYUSt_chr7.26007 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPDILAVASVAAAKACGKDLRVHFKNTRETAFALRKMPLNKAKRYLEDVLAHKQAIPFRRYCRGVGRTAQAKNRQPNGQGRWPAKSAQFVLDLLKNAESNAEVKGLDVDSLYISHIQVNQAMKQRRRTYRAHGRINPYMSNPCHIELILSEKEEPVKKEPDNVIAPRKAV >cds.KYUSt_chr4.7543 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44942348:44953539:-1 gene:KYUSg_chr4.7543 transcript:KYUSt_chr4.7543 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRSYLGRLLLEDVTPVVMVLTTPLAEAACRRSGLSFVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRNQDLEVADERIKLVVSEANENALPDLLSDPPQLEDVLKKPEAELCPSWIKRFNRELVRTLSFSEHETFDHPVACLLVVSSKDKEPISKFADLFNTNQLPSLLNEGIMDPQILKHYLVLHDQQDGPQEIAVNILAEMRSTLGLNDCKLLCINSSTQADGSDADNSWLPYKAHGLQNHEGACFLNTDDMNQIKDFMQDFASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAANGPMYTFTSIESQIRVLSDYAFMLRDYELALSNYRLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMENAFTTYLRIGSSGQRNATRCGLWWAEMLKTRGQHREASAVYFRISNEEPSLHSAVLLEQAACCYLLSSPRMLRKYGFHLILAGNSYYLSDQKQHAVRVYRNAMFVYKQNPWSYINNHVHFNVGRWYGVLGIFDVAIKHLMEVIACSHQSLTTQSMFLNDFFHFVQSTGEKFDVYKLQLPVFNMSSLRVVYEDHRTYASNSDVDVNESIWHELEEEMIPSSSVVRTNWLDTQPKSSPFRNNKACVCVVGEAVKLNVELKNPLQISVNVSGISLICQLSTDSSSAVLTTAAEEDIANTKPSISKFESDENKFTVSKLDVVLGGGETRRGLPKLTGNIDCLPTKAFTGDLQLLKLNLSNKSEHAVKNVKMKISHPRFLIPGDSRDLDIEFPQCLTKHVQSDNNVVLSEGTKGKFKGLLFAFPQDTKIQGGSTFSWPIWFHAATPGNFSLYLSLYYEMETTTDIPYRTLRMHYNVEDVGTSSSIEAADKSCGSDIILSSGGSTDVYDVSLSPMTDFHYQERYQQGKLAKGPHSLLDFILISKAAADNSSKSEQLLSHHTCHCSQNPVWWLMEGPQTITHDFSKSCCEANIQLVIHNSSEDNISVRVVTSDRMPDKNQTVPSHESASGWYDVSLENDIKAISSAKGTHLQKESSESISPFVWCSLSSAQVDLKPDSCAKVPLKVCIFAPGTYNFSNYELHWKVHPSEGGQVDENGISGSGQGHSFYVTVLQGV >cds.KYUSt_chr4.44544 pep primary_assembly:MPB_Lper_Kyuss_1697:4:275909769:275913914:-1 gene:KYUSg_chr4.44544 transcript:KYUSt_chr4.44544 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVDNVNSIIGPALIGKDPMEQTLLDNFMVHELDGTKNEWGWCKQKLGANAILAVSLAVCKAGASVKKIPLYQHIANLAGNKHLVLPVPAFNEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKVAIEKAGYTGKVVIGMDVAASEFYSEKDQTYDLNFKEDNNDGSQKISGDSLKNVYKSFVDEYPIVSIEDPFDQDDWVHYAKMTGEIGVPVQIVGDDLLVTNPTRVAKAIEEKSCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTLLRIEEELGAAAVYAGLKFRAPVEPY >cds.KYUSt_chr2.54175 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338127360:338129257:-1 gene:KYUSg_chr2.54175 transcript:KYUSt_chr2.54175 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSWIHGYAANSNDGRGGGFMGDYTARCSHNVHIYYSISASTNTDLLGSCPISMQLNIDDEPSAAYSSQHGSSVVDDFGFLPNSYHATGGCSFPSSSSTSSSFRSAWLPCSPENSSPAAHFLAATATGPQFPEVSSLLAPGVVLPYVDQYAANVQDTPVTMETSASAFRRYERCRHLGPRRRRLTKPACGQKMFKTAMSVLAKMHVAMRYNQQQHQKQYYNDYQQNQLQHMISERKRREKLNDSFHALRTVLPPGSKKDRASVLIIAREYVNSLKSKVCELEEKNQALQSQLARRATTAQEDDARQKVEVQTSKAAAAEDDQTGEVRMVQMVTRPVVGNTTDMVLRTLQFLKDQMGEDVNLVSMSTGDDSDGPHRASLSLHLKSAAGTNWQEEAVREATRKAVTSQPPAGFGGAE >cds.KYUSt_chr5.43018 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271219338:271220521:-1 gene:KYUSg_chr5.43018 transcript:KYUSt_chr5.43018 gene_biotype:protein_coding transcript_biotype:protein_coding MVASGKGGCGVVVLRRWRRSSSEATFLSNTSTASLPLVIHAGEVRLFFNLHRRPFLRSAVASIAGFEASGVVPALESDGDIADLQLIGGEREGPDCVYRQSLAVIKGPACLNRSLTHQIIRGEDDALGLAIFSHGRFEVWQRMVNSHGGGTTWLLHNALEVHTLLGLPPQIEGPMKILGYDEDNHAIFLFVDDNVYMAQLMSMQFRKLYESQYPIKCHPFASFYAPGECSSLVLVLS >cds.KYUSt_chr2.46696 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291999009:291999597:1 gene:KYUSg_chr2.46696 transcript:KYUSt_chr2.46696 gene_biotype:protein_coding transcript_biotype:protein_coding MCMATQPTKGKGAWEGNEKEESAWEEAVRTVRKKEKEELERKKKEEEDNKMAERARMQKQYEEYILFHKRRNAKLKAERDREARIKFLRSCQLEQIARERANRMHLEEVAREAERIKEERAQAEAAKTEERHHFFDSVVQLARDLREKEELAEEAKKKKARGEGAFATQ >cds.KYUSt_chr4.21326 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134307075:134310823:-1 gene:KYUSg_chr4.21326 transcript:KYUSt_chr4.21326 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWKGKGRTRTKGPDPPCPGGSVPAPAAGTAVRRDSSKNKKASSFDEALIAREGRGKQLQQAVGHPLPRPASLPSPLPSTSASGSTSSGGSSSLGSSAASDEPPDLGFYRLAIDSRKQSLVLEEGRFVANNHQVSEHSRSSEASVSPRKEFQLNTLDLSNDRTNYCRGRKSTEIVFPTRMPSSPPSSRGQHCPASPVHSRAFGQCPGSPTGWQDDSRSSSSAHPLPLPPGTPCSSSRSLHSQWKKGKLLGSGTFGQVYLGFNSEGGQMCAIKEVKVIADDSNSKECLRQLNQEMLLLNQLSHPNIVQYYGSELSTETLSVYLEFVSGGSIHKLLQEYGPLGETVLRNYTAQILSGLAYLHGRKTVHRDIKGANILVDPNGDIKLADFGMAKHISAYTSIKSFKGSPYWMAPEVIMNTNGYSLSVDIWSLGCTILEMATARPPWSQYEGVAAIFKIGNSKDIPDIPDHLSSEAKNFLKLCLQRDPADRPTAAQLIEHPWVKDQASVRSSR >cds.KYUSt_chr6.31136 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197337055:197340423:1 gene:KYUSg_chr6.31136 transcript:KYUSt_chr6.31136 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDEAGTTSSPAAPPLEDDDLLSEILLRLPPQPSSLPRASAVSKCWRSLASDPRFCSRFRSHHRRNPPLLGCFVKFFDDFHFTSTLDAPNRIPPSRFSVPIHAGDSFRPLGCRHGLALILSPKNQLLVWDPITGGQHRLGIPPAFDADASWISAAVLRPAAAGDIQHFQVVLVGNSDMHRRKAVASVYSSDTGVWGNLITVQLPPDDPSVNQYNPAVMAGDSLYWLISGDIYGILEFDLDRQSLSLIPVPGDEIHWWEGVGNISVTSLEDGGLGFLILSKFSAQLWKRKMDCDGVSSWLLERTIALDKLLSINSEWATEHPFILGFAENNNVVLLRTSTGVFAVQLESLQFKKVFDYNNWHGFYPFEVVYTAVDIIPTGMKRD >cds.KYUSt_chr6.5925 pep primary_assembly:MPB_Lper_Kyuss_1697:6:35527392:35530034:-1 gene:KYUSg_chr6.5925 transcript:KYUSt_chr6.5925 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIAETTTGMFSFIENKTIIQDSFAQCIRGLLSVAAHFFFEMGVNPGFCIMTMHTAFYYKKDPKSIFYNSVAAHKSRVSVDCICPNIRIRFVKSGRYKNRVDADGRITTVDIGELYADEEKCFLLLVDLLRVTGTGKTSTSRSGNCIRLERPEDGCNRFAWPFSTFAHVTATGFPLEHWDERGIRTAFRSIGHVCCIDQLCLDELDFSAVRLVMKLERVSDVPAALLVRDAFGTSSAVVKLRVGHAWRCDEDGDAEGCIHYGCSLCEAETQDAGEQPRPSRMSDIDDDSVRGASPPPPASLPPASSVLSLWGRIVARRQDDLARAASLASLDGLPADTNSPMPTALMARPTELWDRVLARRMAAQFPKASLDASQVPSLLLLPSSLPSSPSLTAKPLLLQWYDTLQLPATPLQPEHDEGGDEPQLAAPVDDYPVDLPADAASDAVHEDAARKQRVRRKRAMDSAFKARRSARLAEKEAPQFVTILSKAKAAKASHYNLSGGSPALRAAATAAGFGGLSDPDPIPLPRLKDLAVACGVDPDVVVDGVEVPSPST >cds.KYUSt_chr2.29525 pep primary_assembly:MPB_Lper_Kyuss_1697:2:181656451:181657951:1 gene:KYUSg_chr2.29525 transcript:KYUSt_chr2.29525 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKMPVAPRPAGAGAGVHPTTSPCYCRIRLNKLPLQTAAAPLLPSDDATPGPAATGALAAAFHLSKADLDRVTAKPSLFGTRSAKLKLWVYAGRRGTTCGVNSGRLLGKVVLPLDLKSAAGKPVVFHSGWVPITKRGRKASSAAAAAGAAQLNLTVRAEPDPRFVFEFDGEPECSPQVLQVQGAMRQPMFTCKFSCRSNSDLRSRSMHSDPGTGGRKWLASFGSDRERAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGSDRVSRSNPGAWLVLRPGDGTWKPWGRLECWRERGTGAAGDSLGYRFELLLPDPTGMGVGVSVAESSVPSSRGGRFAIDLTAAQPFGRSGSPGCSPRGSGDFSGSGGYGLWPFGSYRGFVMSAAVQGEGRCSKPTVEVGVPHVGCAEDAAAFVALAAAVDLSMDACKLFSHRLRRELSSTRSDPLR >cds.KYUSt_chr6.2940 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17259296:17268231:1 gene:KYUSg_chr6.2940 transcript:KYUSt_chr6.2940 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPVTMPKASREGARRLCCSLSDDIVVWEILVRLPTKSLLRCRAVCRTWCRATSTRLFLLAHHGHQPSLPIICASQYSGARHKAILTFHHRTIGTQLQPLARLNNSFCLEASCDGVLVLSMPGGVFSVCNPATRQHAPLPQLSGFGLMGMYPHRPTDEYRLLLCKYTAAGTIGHYVYTVGSDRPPRYIGLLELTASAYYLAQPALVRDSLHWYPVHYGRSDPQQHQTGSKVVVVFHTISESFRQMRAPLVPAKSHVFEMDGILGIYSYKVATQIVDIWILQNYENELWTYKYSVKLPAARIRWRFGWLGDNWHVSVHSIDGNVLLLVSHGGWMFYVDVKGKLVKHFRRDGQGVYASNLELKQTLVPHTFFMALDDRELECNAFPPAVAARRGDAAATLPLDRRSGTGAGSSLSSSVDVGRRLQRISGKLAWCKLKQERPGVSSFIKRCLLFFEHGFCLPLAGRGGKVRKKIFCFVKWLVLHPGVPHAVDKLADAYLWPRRWPKFNIHGGGLLNQAPVLGDVKLPSGSSPAASRLLAFVVTCRKGESEHQSSDDLGVHAWRSPARCGRETPPEADHHRGPTHVAHKPKSSAKEARQGKGTEQALPKIAEESPSSQPSVSLAMWAPRRCLQEEHDTGVPPPPDPRILGFHPDEVEGRRLASRRLQQGNAVRGRRRPGPRGKGFPLATSPPSTPHNTQLAEYHVALTTMAPTSTRATGSPASQKAPPFQGRRPGIPTLPAAAPRETRNTAARFDIQTPKKAREAAARDAGWPSVTSTPRPTSPPSGPMTRARVKALHDEVNSLLTTLDLDTPLDGMLPHADVLCVIRYKAHQDPGEEDTPWSGEGEEQRDMEMITKLDTTSLEALKGREERWPVQDPVGPDPQPDHPAPGPVNRAPNRAQLHRTGRKPETPQVPGWRPVDRTQDRTTRS >cds.KYUSt_chr4.7845 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46739870:46740193:-1 gene:KYUSg_chr4.7845 transcript:KYUSt_chr4.7845 gene_biotype:protein_coding transcript_biotype:protein_coding MATLANPGELIGEAILRSSPSFVHPPWMRSEKQKIWTLVAAPAQPKQMTKMGRDGLIAGDATPSLRRLPPLLPPEKNSDEEEGLDGPDLAKKIPPPTPILHKGSRQN >cds.KYUSt_chr4.33838 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207664689:207667108:-1 gene:KYUSg_chr4.33838 transcript:KYUSt_chr4.33838 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKAGALASASEAPRAPHPNTPSLPEENRGRVEQTKRDRGRKKKMVAMGAEDDERPVHQGCMAGFLHLFDRPQILSAKRLHHHPRRLLSSSSGSATPSEMSMPLDRGSERATPLPLSPDMTPPAAPRPSLQLPPLDLKDRSGAAASWRLPRLSLDSRAVVDAKGKLRPRDIRTSSPSGAPPSPGMGGDERRSPSVVARLMGLDALPHGAGDEEDGQHAARGGELRRSASERVPRDPARFRFVDPTFFEKPAPPVLLPPQRPSSPATEVALRRSPDPAYHRAALQRRSSHFDAREVFPEPAKRVDPSAGQGEIALYGEIERRLRKRGIAEPARDLETLKQILEALQLKGLLHHAPPAPVSVRNHPPPIVVMRPNSRPPQPPLARTSPTRRLRVEVDSARRPRSPGRDASPARSPASPARRGPQSPQRRVSPAQSPKQQLKKPTNAELPSVRARIARRAAHNAAAALTPDDDASTTFSDGGSNSTVSASSRWDLEQRPRLEDHGLLERCGKLLSSIHAFTSVGDAAADQQPSPVSVLDAAAFLADEDSPSSSGSKRGMDFRAPSPRPVVVASDLDDDEWVPESWLVGPQASDPDFAYVAEVIRLLDRMKEPDDVYRTIEKRRQRGEDTWQHRRLLCGVAAEAFGRWRCAHPSEPVAWLRGEELLRHVWAEVQRAREPVAPAADDLNDLTCGVILRDLAADRRWSPSAEAAETVLQIERLLFKDLVADTIRELADVDRLRLPRRKLVF >cds.KYUSt_chr3.20096 pep primary_assembly:MPB_Lper_Kyuss_1697:3:123912269:123926461:-1 gene:KYUSg_chr3.20096 transcript:KYUSt_chr3.20096 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLPALLLLLLSLATFSAASDEIHGCGGFVEASSGLAKSRKASDSKLDYSDITVELCTVDGLVKESTQCAPNGYYFIPVYDKGSFVVRVKGPKGWSWKPETVPVVIDQNGCNGNADINFQFTGFTISGRIVGAVGARSCSKDGGPSGVKVDLLSDSDELVASALTSSTGGYSFVNIIPGSYKLRASHPDYEIEMRGSSEADLRFGNAVVDDVFFVSGYNIHGSVVAQGNPILGVHLYLYSNDVKDVPCSQGLIGAPREGALCHAVSGADGKFSFRSIPCGNYELLPYYKGENTVFEVSPSSLPVSVEHSHMTIPEKFQVTGFSVGGRVVDGYGAGIEGANVIIDGQLRAVTDNLGYYRLDQVTSKKYTIVAEKDHYKFNSLENFMILPNMASIDDIKSVRYDVCGVVRTVTPNSKAMVTLTHGPENVKPQRKMVSENGRFCFEVPTGEYRLSALPVDSEGFSSLMFSPGHIDVNVKSPLLDVEFSQSQVNVYGTVLCKEKCSENILLSLVRIAGGIEHEKKTTSLEQGDANFVFTKVFPGKYRLEVKHFSSEAPANDNWCWDQNTLDVDVGNDDVLDIVFVQKGYWIELVSTHDTEAYIHQPDSSKLDLFIKKGPQRICIETPGQHELHLVNPCISFGSSSIVFDTRNPMPVHISARKYLVRGEIHVDISSPLEEIDLSEDIVVDAFKSDDESSIEKISTIPVLGKSHQNGITAFEYSTWTDLGENFIFVPRDSSTGRKKILFYPSRQQFSVSTNGCQDTVPSITAKTGLYLEGSVSPATSDVDIKILAAGNSKFAALKNGDIAAETKTNSKGSFFAGPLYDDIGYEVEASKVGYHLKKTGPYSFSCQKLGQILARVYGEKDTEMLPSVLLSLSGEGGYRNNSVSGSGGTFSFANLFPGSFYLRPLLKEYKFTPSTVAIDLNSGESREVEFRATRVAYSAMGSVTLLTGQPKEGVFIEARSESRGYYEEATTDYFGRFRLRGLVPGSTYSVRVAAKDSIRLAAVERASPEFVSIDVGQEDISGISFVVFEQPETTILSGHVEGDGIDVLQPHLSVEIRSAVDPSRIVSVVPVPLSYYFEVRNLPKGKHLVQLRSGLPSHTHIFESELVEVDLEKQPQIHVGPVKYKTEERHHKQVEGLVPKRCRNDFPKFRKRGEQKGTTEDSLQEPDKAFESLDFNFRSQEGYL >cds.KYUSt_chr5.14817 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95860220:95864977:1 gene:KYUSg_chr5.14817 transcript:KYUSt_chr5.14817 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLLNGLRRLEYRGYDSSGIAVDADLLSSAAAPYAGAAPLVYRQEGKIENLVRSVYSEVDENEVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFIEVVNEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQKIGKSFHDVKALTANGKPKELFFSSDLCAIVEHTKNYLAIEDNEIVHIKDGGVSILKFDHDKEKPASVKRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRAARTFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGIHINAGCEIGVASTKAYTSQIVVMAMLALAIGSDQISTQPRREAIISGLSSLPGHASEVLKLDSEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMFAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIIMCSKGDASAVSPSGSCRVIEVPQVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >cds.KYUSt_chr1.38255 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233789041:233790893:-1 gene:KYUSg_chr1.38255 transcript:KYUSt_chr1.38255 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEQAAEESSANRRERLLALRSAAAAAGSSSSSSPSAAPPPLQPPAWDLPEPDLTPSSAPRPPARFGFYTNPGAAFSSAAAPNKRKSPDTLLGPSPAPAPPHGRYLTLLGYIPPLSSLPDAYLHMVLLGRSGNYGNNYPPHQHHMAPSQMEPAPPGTGPWRSPMQFQTPMSEYGAPPGPPPHWNPHSTSPAQDYYPRSPNFGFRGSNVGRGGNPMNYGPRGSPVNYGPRGSPMNYTPRGSPMNEPRGSPMNYGPRGGPMNYGPRGSPCSSSGQGRGENYYSGPGSRGRGGRGGSGFQNHSGGQGQMNYYYKSMVDDPWKNLRPIVGSILPTGGGGAKPWHQEPFRTKIDNKPDQGPVISTNKPDQCQVISTSTSELSLAEYLDLSFNEVSNET >cds.KYUSt_chr4.8081 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48199159:48200274:-1 gene:KYUSg_chr4.8081 transcript:KYUSt_chr4.8081 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLIDSPEAIGGKPRQGQASLCEYAGKRLKKQKHLYLVLDDWSKGFTIHKIDDDGNDSDLREPGVLRIFTPVTGRSMIFAAMGSNILITTNPRCGQTPSLVYNTEAAGLAVGPPLPESLLGDDYRSIATASMLYAFPQFITNPQQFLKVMSWAPTDNDDPWAPRPTMDWCWQSVPSAWPFTNKEIIDSYALHPDGHTIFFSAHSKYDNSCPKSICTFSFDTKHHRWSSHGEWSLPFNGRGYFDSMLDAWVGLHKDGYICSCQVASRSSTSQLQPDCKMLQERLLCSAPNSRVPAPTVTLTHMGGNARFCLVESVLRDGDDASGRDACILHTTTFGLKYSHKGELQMMNRITNSYTVAKHAHLFSPVAFWM >cds.KYUSt_chr7.8533 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51731824:51734780:-1 gene:KYUSg_chr7.8533 transcript:KYUSt_chr7.8533 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQCLIKWIGIGDLTDLMNEQRYQEMLCGAHDSWRHALIDCTMSHCVWALVDNDITEHMCLCIIEITKNLPAAAKRRTTRIPRAHPAQLGALLLQGRRRRSGYPSNLNGHASVQSSAARHQAQRPAHHCSSRGPLALSEEDPHRASHRDTPQEAGAPPTSQDTSQTANTENSEEEPLPGLKFPRRRLQEGYDVKDAVVARFGMPNLRFSPET >cds.KYUSt_chr3.24093 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149533462:149535993:1 gene:KYUSg_chr3.24093 transcript:KYUSt_chr3.24093 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQQQAPPSFARPAPTTFDEASMERSRGFVKALQELKNLRPQLYSASEYCEKSYLHSEQKHVVLDNLKDYAVRALVNAVDHLGTVAFKLTDLYEQQASELSTIELKVASLNQQVLTCQTYTDKEGLRQQQMIGTATRHHKHYIVPVSGNKRMQTFSEMQTDAEFDLRPKPYPSEKTLFWHLASEKNSKTNGERQSELGHGETNTSKPTSSDGFNLLGKESSASPLPKRTQSNVTSSDIVTRNSGMKDQPGTRHLSSFSSLDNPRARQIQKAPVRTKSMLAAFFVRHRSGKMKNVSVR >cds.KYUSt_chr7.34150 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213368677:213371190:1 gene:KYUSg_chr7.34150 transcript:KYUSt_chr7.34150 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAAEEPDSPPTHMSEDSSSSGDRWTPDLRGGNGGGPRSAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPGRAVERFGGVRSVVLKGKPRFADFSLVPEGWGAYVQPWVAAMGRAYPRLERICLKRMTVTDDDLALVAQSFPLFKELSLVCCDGFSTLGLAIIAERCRHLKVLELIENSVEDEEDALVDWISKFPVSNTSMESLMFDCVGVPFNFEALEALVARSPSLRRLRVNHHVSLEQLRRLMARAPQLTHLGTGSFRSDAPEGGGMSVSELVPSFAASRSIVCLSGFREVNPEYLPAIYPVCGNLTSLNFSFASLNADDLIPVIRQCHKLQTFWVLDTVGDEGLRAVAETCSDLRELRVFPLDATEDSDGSVSDVGLQAISEGCRKLEYILYFCQRMTNTAVIAMSKNCPDLVVFRLCIMGRRRPDRITGEPMDEGFGSIVMNCKKLTRLSVSGLLTDKAFTYIGKYGKLIKTLSLAFSGDSDLSLQYLFEGCTRLQKLEVRDSPFSDKGLLSGLDSFYNMRFLWMNSCSLTTRGCREVAQRMPNLVVEVIREQDEMETENVDKVYLYRSLAGARSDKPKFVKVL >cds.KYUSt_chr6.32707 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206008680:206011537:1 gene:KYUSg_chr6.32707 transcript:KYUSt_chr6.32707 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMDVSGSRGPESGVSEKTSGAASESGGMLGADAGSNAFPWSNAMLQWQRTGFHFQPEKNWMNDPNGPVYYKGWYHLFYQYNPEGAIWGNKIAWGHAVSRDMLRWRHLPIAMFPDQWYDINGAWSGSATVLPDGRIVMLYTGSTNASVQVQCLAFPSDPSDPLLTNWTKYEGNPVLYPPPHVGEKDFRDPTTAWYDGSDGMWRIVIGSKDNRRAGMALTYKTKNFHDFELVPGVLHRVPATGMWECIDLYPVGGARGIDMTEAVAAASNSGGGEVLHVMKESSDDDRHDYYALGRYDAATNKWTPLDADADVGIGLRYDWGKFYASKTFYDPAKKRRVLWGWVGETDSERADVAKGWASLQSIPRTVVLDTKTGSNLIQWPVVEVETLRTNSTNLGSIIVEHGSVFPLSLHRATQLDIEASFRLDPLDVAAAKEADVGYNCSTSGGAAGRGALGPFGLLVLADARRHGGDTEQTAVYFYVARGLDGNLRTHFCHDESRSSRANDIVKRVVGNIVPVLDGEALSVRVLVDHSIVESFAQGGRSVVTSRVYPTEAIYANAGVYLFNNATGARVTATSLVVHEMDPSYNQNQAEMASL >cds.KYUSt_chr1.37731 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230342827:230344840:-1 gene:KYUSg_chr1.37731 transcript:KYUSt_chr1.37731 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSTGSNGNHVNGKQAVPSVDPPAPSVFNNGPRHRPLTTLRRCRGVLCLVIMPVTAFMMMVYLAPFTTFVVRLFSVHYSRKWTCFLFGMWLAMFPFLFEKINGTRFVFSGETVPPKECVLLFANHRTEVDWMYLWDLALRKGRLQSIKYILKKSLMKLPIFNWAFHIIEFIPVERNWEIDEPLIRRRLTGLKNPKDPLWLAVFPEGTDYTEKKCIKSQEYAAEHGLPILKNVLLPKIKGFNCCLQELRSNLDAVYDITIAYKHRLPTFMDNVYGVDPSEVHIHTEILQVTDIPIAEDEVSDWLTERFRLKDELLSDFLTRGHFPNEGTEEELSTFKCVANFVAVIGTTGVLIYLTLFSSVWFRVFVVCSASFLTFATLYCVHVPQLVCVPEADSHAKKL >cds.KYUSt_chr5.35197 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222514207:222515409:-1 gene:KYUSg_chr5.35197 transcript:KYUSt_chr5.35197 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVQTERLHTNGDSSSAPIVDDGFLPTDVLRDILLRLPAKPLCRLRAVCRSWRSLLSDSWFAAAHEARQQPLIAAYKWKPFDQVEDYVVKPQDIQILDTSGHLVRQMCVENCVLDYRSYEICTNLDLLCVEGRDERLRVLDPATGGVSLLPDVAIVNHVPYEYASYYTTYAVGRDISTGETKVLAITREEAYRVRARTFCSVLTLGDADGWRETGYPPTTAVHASSGITALVKGVFYFLVVQEIAAYDFGKEKWRPDLLHLPLPIEGQHFLAELSDTLVASYHRSDTSMDLWFLTDSDKVIWSKQYTINMPPYQASSSTRGGTTVRPLWSLDDGRIAFLVWQSYDGKTVEFIRVYDPTTHAYIDGDEMPTDYSFHAVYKGSLLTSSLDKKLSMYPTTI >cds.KYUSt_chr5.4556 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29173710:29179441:-1 gene:KYUSg_chr5.4556 transcript:KYUSt_chr5.4556 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPKKTPSDSDSLTLPVGADAELRRNDPGFAGSFYEVTVTGHLVSSASYTVAYATLVADDDGGPLEETAAAADVRPRPPPPATARSGFAVHDTVEAFHDEGWWAGVVSAVVPGPRRAYEVTFPTSRETVEFEETALRPHRVFQAGQWVPAAEAVLVLCHLRLMELYGITFCHLGNGSGINKKYVVKLKSYETDMEDVECLDVLTVEKTHPKEVIAAKSIEDARNTVSISEDLAKSKNGDDNVELHYNVAAGCGMLSETDTVNSVGPMTTPKDIGGPQHAVSQQGHGPAMDSESFAIQHLPFRKTSPVWARIEAMEIFSEMPQRPNLNEFQQHGPEVREGMTLGLMLSFATLAESICRLDVHQDDIGGVFEEKKQALSLLEENGFDVRVLRSRLEALLCT >cds.KYUSt_chr6.21387 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135013717:135014187:-1 gene:KYUSg_chr6.21387 transcript:KYUSt_chr6.21387 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMPQLAHLTRSNAINAAGLLTDQVFSFHGDTRRAPHASSSRPCRALTQATRPARRAQDAVLFLGDTRRVSSPTVTHGTTGAHTHAGRHRVHAGRCFHGRRMAPLRPPWPRSSSTPPPCRATAASAPPRRSTAVAALAAHTASLAASASAVLAAD >cds.KYUSt_chr1.6060 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37217342:37219476:-1 gene:KYUSg_chr1.6060 transcript:KYUSt_chr1.6060 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPVIGRSMDTLQAILQSQNQSWDPRMLQIGIDFMLIYAYDYLPSLPAYTTAPFSRAGAAWVPAGVDRIGLLPDPILRDIISRLPVKDGARTAVLASRWRSLWRSAPLVLDDIHFLTAIAKGPAGRPCIGDEPPGLADSVSRVLATHPGPFRSVHLTCSTMEAHRGEVARWLDALAAKGVQELAFINRPWPLDIRLPATLFSCASLTRLHLGVWRFPDTAAVPRSAAFPNLRDLALSFVNMDERDLTFLLHRSPVLEILTMILQIGVRLRLASRTVRCLQLCMTKVEDVALVDAPCLEMFLLFMAGMNDATSSRIKIGRAPNLRVLGYLEPREHVLEIGNTVISSKESPSTVVPSVKILGLEVRFAVRNEVKKVPSFLRCFPNIQTLHVKSATADEATGKASLKLWKEGGPITCVLRHMKKVVFHEFKGSINEIVFLKFIAENARFLEKMVIVVAYACYSSGEDVNSKLKPLTCAKWISGTCKLQVFKSPFEETTGSPVYDIRLASEFSQITDPFDLIYYRESL >cds.KYUSt_chr5.33287 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211239355:211241103:1 gene:KYUSg_chr5.33287 transcript:KYUSt_chr5.33287 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTRGKRSNRREKESAGAEDDKETEKRGRASTTITKLTEHCKPSSWLRRLIYGVEPAVREHHKPTHAMSTSAVSSVETLSFYCHTCSARFSLHAPSSPFYLPLCPSCRGDYLDDSPTPPQPVLPPPPHPRAVTWPSATSSTPSLPPPIASSSSSPSSTALTSRSSLVHNRGDHDLLPPPLPPWLLQPSASHDTWSHSLSPSDCQPRSPPPAAPRVTLSPSSSSTSTQPLTLGSSTRPPPPWSNDAWEYFFAASDLVVATGKEGPPLNMSLRQACRQFRVLCPQEEQRRRSSPALSPILSACSSASSSAFSSACASPLLASPPLYRQHQGELQLEPFPPALSPSTTVETMPTSPPPPPWDSPLHGAWDDDYNFSLSGSDYESPPRPPPLPPWPPISAYEATFESSLQRQGLPPISANEDTFETSLQSHGWPAAPSSVSAYDATFHTPLQRGGSQPAPAESIAALPTVIVNDTELVCPICTDPLLASAPARRLPCGHLYHSDCIVTWLSLRNSCPVCRGSIPLFDFTDADIVSSSSPSFHPTSSSRRRSLQGTSRIRRICSRLLRRMEISRGRRTDSIGNVHV >cds.KYUSt_chr2.55590 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346786925:346787260:1 gene:KYUSg_chr2.55590 transcript:KYUSt_chr2.55590 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAISTPATGCGESYGTKSIEGGWEPIPNINDQHIQDLGSWAVLEFLKHANCVLKFNKVVSGKEQVVSGMNYELIVDASDASGKLGTYKAEVYEQERTKTRKLVSFSKAN >cds.KYUSt_chr7.11543 pep primary_assembly:MPB_Lper_Kyuss_1697:7:70947007:70952480:1 gene:KYUSg_chr7.11543 transcript:KYUSt_chr7.11543 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEYGRPFIILREQEKKSRLQGLDAQKANIAAGKSVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILELMDVDNQIAKLMVELSRSQDYDIGDGTTGVVVMAGSLLEQAEKLLERGIHPIRVAEGYEMASRIAVDHLESISTKYEFSATDIEPLVQTCMTTLSSKIVSRCKRALAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELVQGIIVDKDMSHPQMPKRIEDAHIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRGQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMQRDLPAVRWVGGVELELIAIATGGRIVPRFQELSTEKLGKAGLVREKSFGTTKDRMLYIEKCANSKAVTIFIRGGNKMMIEETKRSIHDALCVARNLIINNSIVYGGGSAEISCSIAVEAAADRHPGVEQYAIRAFADALDAIPLALAENSGLPPIDTLTVVKSQHVKENNSRCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVITPSEYC >cds.KYUSt_chr4.43238 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267874125:267874724:-1 gene:KYUSg_chr4.43238 transcript:KYUSt_chr4.43238 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCRFFFLRAQHTHAAMHSSRAKAVTPITTPTTTAATFGVSSWLLPLLPRPPEPPPPPLELPLSDAPPPLELPLFGACGDGRAFDPGGETCCGGDPPASAGGACGVEGGDGAEPDDCGGGEDCVCVDESELDGGGDDGGDEVDDPDDDPPELSESPDDDDEDEEDDDEDDGVSSPPSRPRGPWFRGPLPSGESSIVID >cds.KYUSt_chr2.51015 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319066295:319066513:1 gene:KYUSg_chr2.51015 transcript:KYUSt_chr2.51015 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDEVAGGKKTSWPELVGKSIEEAKKTILKDRPDVKTVEVFPVGAPVTEDLRFDRVRIFVDTVAEIPRIG >cds.KYUSt_chr3.30658 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192251465:192255826:1 gene:KYUSg_chr3.30658 transcript:KYUSt_chr3.30658 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVVENGHAHPKEADAAVEPAEAAREPQEVGGGQRKQGGIRREPSFSRWCKDPSAASNAPAPAAASPASAASDDESEEFELLLLPSAAAAGPGGAGHHLPMDIEAGVAAGSDELPLSPWLIAKVIFLIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVILWFQHRGLEEERNAMSWRDYFLRVVPTALATALDINLSNIALVFISVTFATMCKSAAPIFILLFAFLFRLEKPSFNIIGIMLIVSFGVLLTVARETEFNLWGFIFIMLAAVMSGFRWCMTQILLQKEEYGLKNPFTLMSYVTPVMAVTTAILSIAMDPWHDFRASHFFDSSAHILKSSLLMLLGGSLAFFMVLTEYVLVSVTSAVTVTIAGIVKEAVTILVAVLFFNDPFTWLKGLGLATIIFGVSLFNLYKYHRFKKGHHSKHVDPNFQPSNGASKYVILDDDTEDHDDIG >cds.KYUSt_chr1.35253 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214882926:214886892:-1 gene:KYUSg_chr1.35253 transcript:KYUSt_chr1.35253 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAAAAAPPARPGDDAGAASAAASAALRAGRAWEFKRDLAAGALMGGAVHTVVAPIERVKLLLQTQDGNAALLGRSRRFRGFFDCVARTVREEGALSLWRGNGTAVIRYYPSVALNFSLKDLYRSILKDAGTSADKKFTSIALTNFVAGAAAGCTTLVIIYPLDIAHTRLAADIGRTDTRQFKGIRHFIQTIYKKNGIRGIYRGLPASLHGMIVHRGLYFGGFDTAKDMLIPLDSPLWQRWVTAQAVTSTAGLISYPLDTVRRRMMMQSGMEVQMYSSTLDCWRKVYKVEGVKSFYRGALSNMFRSTGAAAILVLYDEVKKFMNGGRL >cds.KYUSt_chr2.5287 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32820011:32821231:1 gene:KYUSg_chr2.5287 transcript:KYUSt_chr2.5287 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSGSGSGGGSTARLLTVPALLLLLSSAALLVFLLLPAISPSTSTGAHLCACTDPVTTHTTTSVTTTTTTASPAPVATSPDDVAWLKAQLASNSLALLASTDAWHRLRKGINPRTRDQQLFDINRHHGISHYPDEEVTNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASTLTPKDQVLEIGCGTLRVGLHFIRFLDTGRFHCLERDELSLMAALRYELPSQGLLYKRPTIVRGEDMDFSKFGDTVMYDLIYASAVFLHIPDALVWTGLQRLAGKLRPQRGRIFVSHNIKFCSRLGGDECTRRLAELGLEYVGKHTHDSLLFNHYEIWFEFRRPKV >cds.KYUSt_chr5.8310 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52507821:52510360:1 gene:KYUSg_chr5.8310 transcript:KYUSt_chr5.8310 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGDENFDLPPYVSEDANSYSSPSKIEVPLMSLVDHKAARSAKVKHPGQVCHSEIIVPDSSAGSGPRFSVAPVYTSATSTFPEPVEIEEACNRAFVDPDQEIGYRLWHQSFEDLKNQYALHVEVAYPGRLPPDTKDPGHGTIAGFSQTQHSSGRPGNIHNYGHVPNNRNFEFHYLLEQIKNPLNHSMQLIHIKGHVPSLSVDPAGSFFIQKMLDTATTGEITMLYKEIVPRVCTLAKDAFGNYVIQKLLEHGLPIDIRKLIGHLFGQMLGLSLDVYGCRVIQKVFEISDIDQQIEMAKELGSNLLKCSCDQHANHVIQKCIECVPAQCNRFLYRSLCGKVKMLSSHPYGCRVVQKVLGFCKDPQILCALVKEIVECANELSVDPFGNYVVQYIVEHGGPHNLGAILRKFSGRIVHMSCQKHSSNVIEKCLIHGSRHARQRIINETLYAGGAGNADHLLGMMIDQYANYVVQRMISVADKWQFKVIIDVVRRNVGKLVTYTQGRHVIKQAEKLVNARARLHRSLV >cds.KYUSt_chr3.4535 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25759155:25759652:1 gene:KYUSg_chr3.4535 transcript:KYUSt_chr3.4535 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGPAAGRHRPARRPATLARKTATPTSEPEDGKKSPASPQDLGHGSELQRQGLPADHGVCDAPGHAPNQRAAAPAPRESRHARQHVTPRGHLQDVGTDRAGQLVRDHHRRLGPRFLDPEHSCVPGLGLHGCRRSSVAAAAFAMPAVLNPTLALGLRRTHPSAY >cds.KYUSt_chr1.10167 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62356927:62360232:1 gene:KYUSg_chr1.10167 transcript:KYUSt_chr1.10167 gene_biotype:protein_coding transcript_biotype:protein_coding MSEREPPTPTRAPRLLQAGAATPNPASPISGQPIPPVTGYGASSPVGLDLRFGSITPEIAAASAGGCQSREIRVTRLVPVSPSRTPAAPVAATTPLREPSLVDLTGLASPEVLPPPPVLKAGERQVRAADVANLKIAGSGQVSRPPVRLRSIIVAPLKDPTDSKLKTVSDTEGKWQDVLPKYWWRKINPPSSGERRAGNRQRHSRKLEPSPNLDRYKGLCFRCLSAFHFVRNCTGRVHCIECKRPGHIGRNCTNKQPFPQAAPPPPPPPPRHAGQSPASAAVWPVLRRAAAPTMALSPGHPSNRPDEVFSISVSTPAMERAATEMRRTHLAILISDPRLNISTKSIAKALQDELGFEWDDIHVSASFPDDFLVRFTHPWQRDMALELGSVKLRRGTMALTTWSPTARGRPQTWRYYCRVALESLPLNAWKDEDTVKAVLGGGCELDRIEQRSVLQDNTAALFAWVWSLDPDLIPCVKPHSILDRPAVGRADLPEGTPAEEGRDGPLYRVLIHLDTVIDYSPIDESRRKRGYAWPNKIRREWEFGTKDNALGSRRRPGRDRLGPSNHRHDDKEDRRDDRDGRRGERRSSRHGGDRGGNGESSRWNAGGQHSRHGGDHQDRRASRSPDYRRRGGSSRHRSRSPPAAHAARATSVAAGADEAAQHLSPLILPPVLEHTPRRRRSLPRTPEGSDAMGATPSPPPGTDRRMCMASPMQTSPPPRLDDCNYMLCSPNTEHFDASTNGVPAPPSPQIPWAALVDAQPPAGEANAYEDCWSANIVDICQPTLVPQAAPGLMHTEGWPELEVEHSGEHNPSLHSLQTWHGTWEADPHVDRLFGPGCEEQSERLMDQTIGTPVKERTVYEMSEFCALLEPVSAGWQLQDIFATPQQRIDPQPGYDTQQQHSSPPELSSDLDDDQLLEVTLKSNALRALREADLCGPECAIQEQDGAEAEGFELQGPALMEDVTTRVAEMHVDPKTGIMSKLMGMFSPSLLGFPTNSSRKKKTESKKMAISSRRSERPATRTSTMMTTRRAQMAACKQLGLIQREEEFTDEVLAQYLALFRQPLSSTNLQGLAALADMSGRPSFVLPEGDMAELLSESPYAT >cds.KYUSt_chr2.1061 pep primary_assembly:MPB_Lper_Kyuss_1697:2:6164673:6165161:-1 gene:KYUSg_chr2.1061 transcript:KYUSt_chr2.1061 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLAIEAKDVVITCRICQNAPTAWFSGSNLKVHGDSICAAMGATLTPPPSAGYGPDDDDTANDLIVGDDGGLTFIQG >cds.KYUSt_chr4.5991 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35161295:35162483:1 gene:KYUSg_chr4.5991 transcript:KYUSt_chr4.5991 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTDVDPLSVPFDPEVAVLAGQGKRNGRLWIGDGCIHPATVPSIRQLRRGRTSSDPQIETRPTPSSVAMQRIQAQVEEQNKLREEAEARAQRMEEQMMLQQQQLAQQQKMMEWMTQQMSQQQEHMRMLAMQPGGVTLPPLAPPPFTFPWAPPSSGSNNMPLGGLGNQEGTPPVPPMAGSHVLSNQNVARKL >cds.KYUSt_chr4.46128 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285041910:285042212:-1 gene:KYUSg_chr4.46128 transcript:KYUSt_chr4.46128 gene_biotype:protein_coding transcript_biotype:protein_coding MFADDPRVCDLCSTSVRGMHYSYRLCGFDVHPVCSQLPVTTVSPLRPAHLLVNTVATPVKCTHCSTSCVWRYWCVPLKVNLHPRCLLGTNQTPLLIPKGM >cds.KYUSt_chr3.23920 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148435876:148441628:1 gene:KYUSg_chr3.23920 transcript:KYUSt_chr3.23920 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVPAASRAGRDRLSELPDCLLHSVLSSLRSRQVVQSSLLSRRWRHLWRSVPCLDVDQRDFLSDEPEPEASAYKAPDVRLHSKVREERLDREREIKRQRSFEDFADAMLLFNGVSPLDACRLHVAHRDHRTGLHRPKVYDAYDYDCRSNNDDDLLFPFDLAFGCASALPITGRLRRLHLSGLKLSQKFADELRSECPVLEDLKLVNCRYDVDYRFGGSVPPRIASSSLKRLHIEGSYNSYYSLRQLDVLALPALVSMSLDKIFQLDIQDYALPSLAVASIADPDKYAGKYRFLKSLRKARVLELRSFTTVGLLEDEPEGLRNFRNLRTLILIECEIGDRCQMVRYEEGASIARGGEEQLDVKLDMELAMKLDKKTSHGSAREEREACARGEDEVQSGARPGLTGRHAGGPGPRPGSTGRLTGPARRRPDPQLVLAGHYPKNKDAADVMTWREYEALCNEMRREFRTQDDELRGTVQGISQKLDATNETVTTMQDQMTDIQRSLQVLQLAVDNLTQQQQQEVEDPDLQDEAPGIGRGAVHGNRGRGFAELGCHGRGFEEEDGLGKPKFTIPKFEGGADVEEYLSWELKIEKLWRLHNYTEDRKIKLASSEFDGYALRWWDGLVCAREEDGELPIITWRAMKAAIQARFVPTNYL >cds.KYUSt_chr4.27015 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169695457:169696968:1 gene:KYUSg_chr4.27015 transcript:KYUSt_chr4.27015 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAEQSDDGSLSCNFLVVAYGIQGHLNPARSLARRLAGIDGVTATLSVQVFGHRRLFPSSSGDEEVSDGLISYIPFSDGLDDGTWPTDSDEDRERYRRASIQSLSSVVRRLAGAGRPVTCVVCTLNMPAVVEVARAHGLALAMYWIQPATALAAYYHYFHGHAEDVASHAADPAHEAALPGLRRPLRIRDMPSFIVDDATGGGNGISKMVLQGFRQLFEQMDEEGMMVLVNTLEALEATALEAIRPYLDAGVFAVGVPAVPLPGAGKEDDRVHLFAQDQKSGYMAWLDARPARSVVYVSSGSILTYSEREAEEILLGLRRLARPYLWVVRREGRSPEVDRLLLEEAEAAGTEGVVVEWCDQVRVLSHPSVACFVTHCGWSSTLEAVACGVPVVAAPSWSDQPVNAHLLEEEWCVGVRAERDADGALTGAELARCVELVVDGGEMAEAIAANVRVWKGKAREAVAAGGPSERSLRSFVKMVQEEDEFARRAQEILSAPPSCT >cds.KYUSt_chr7.48 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242360:248035:-1 gene:KYUSg_chr7.48 transcript:KYUSt_chr7.48 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAPERRRSTRRRPKLSYADADSDDEPERGGKRRRNAALKPGARKGGKAKGEPKRRRRLAEAPAMMEEEGGAIDDDDMCASEPDAEEMQRQEEEEVAALLEAAEQAKARTLGARRKRKVATRRRTGLRREEGASESDDHFVGDPMPDDEARRRWPERYKPKDSDDPKASTKKKRSNAAAEEEKEKRARCHYRSAIVEGATFDLGDDVYVRVITPKLLEVPDHEHDHKRVFLSEEKDDNLIESIISKVKITYVAPNLTQQEKAELISKSDLYYDMAYSVAYSTFANVPPENGGPTGSETTSDISCDNVDSSMEKAIADLPVPPDAQMETATLLDLYSGCGAMSTGLCQGAPLSGLKLTTKWAVDMNEYACDSLKHNHPRTHVRTEKAENFLKLLQEWDKLCKEYDVRNSTCLPRISNDDEDDENGVNEPLSEGTFEVEKLVDICYGDPNKIETDGLWFKQCLVACDETESKLLDKALFLKDAIDDLPEGANFRDLKGVRVGKNKSVEFDPNIPRQYLSSGKPLVPDYAMTFVKGRPFGRLWWDETVSTVVTRAEPHNQAILHPNQNRVLTVRENARLQGFPDYYRLFGPIKQKYIQVGNAVAVPVAQALGYSLGQAYQQGEFSGEQHPLFKLPGNFVPAAQATATRLHQGSPAGEVVEEE >cds.KYUSt_chr4.8785 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52705004:52706569:1 gene:KYUSg_chr4.8785 transcript:KYUSt_chr4.8785 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTVEEVRKAQRAEGPATVLAIGTTTPENIVYQADYADYYFRVTKSEHLVDLKDKFKKMCDKSMIHKRYMHLTEEILEEHPNICAYMAPSLDARQDILVAEIPKLGKTAAQKAIKEWGQPMSKITHLVFCTTSGVDMPGADYQLIKMLGLSPSVRRVMLYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPTETQLDSMVGQALFGDGAAAVIIGADPNMAIERPLFELVSASQTILPDTEGFIEGHLREVGLTFHLHRNVPVAISNNIERALVDAFAPLGIDDWNSIFWVAHPGCPAILDMVEARAKLDKNRMRATRHILSEYGNMSSACVLFILDEMRKRSLQDGNATTGEGMDWGVLFGFGPGLTVETVVLHSIPIPAP >cds.KYUSt_contig_2887.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:63907:70416:-1 gene:KYUSg_contig_2887.11 transcript:KYUSt_contig_2887.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRLYAIDIDQELNARGMQLCRMRIHLLRLQVKIMFYNGDPRTGVLWCLWCLRMANSKYEYVKREFEFNRQLPASNWIVVRIDGCHFHRFSELHAFEKPNDESALGLMNACATSMLKKYNDIVFAYGVSDEYSFVFREETELYKRRESKILSSCVSYFTSVYMMKWKDFFPNNDLKEPPYFDARVVCYPNMKTVRDYLAWRQVDCHINNQYNTCFWMLIQSGKTENEAYQALKGTSSKDKNKLLLQQFQINYNDESAMFRKGSSVYRDKVTKVKTDDYGNPIKRTRQATIVSNFDIIGPEFWEKHQYILGKASDCQYLWGKEKYGYEYVKKFVNIPRSPCSNWTIVRISACQFDQFSLIHSFDKPNDETALRLMNASASLMMEQFPDIIFGYGFNNEYSFVFQENTELYKREESSIISSCSSCFTSFYIMKWEEYFPSIPLVQPPHYKAEVLCCPKPTTVCDYLFRRQSECHNRNQYNTCFWMLVKSGEGENKAKEILKDTLPKDKNELLFQRFQMNYNNEPAMFRKGSCAYRQKVFLTITEKYTLAFAHY >cds.KYUSt_chr4.41301 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255422684:255425368:1 gene:KYUSg_chr4.41301 transcript:KYUSt_chr4.41301 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVVLPRYVAFKGDNGKYLSACEVMEDSPYLQFSSDDVADPSVMYTTYYNDDGTVRLRSKSYDRFLRFDTNWIIPDGEDGDDEESYKDTLFKVIKVGDYYGLKSMANKHFCRRLTLDSKTSCLNAAESHITEEDKLWVAEPVLSREIYNVVYHGIEKARVAPGIRLIKAHGSRVYMESPIRITGCLTTVQYLAVYVKDPPSYLRRTGSGFNMGLHGSGFPILGWTSSFRINNNWAARWAACHITIYGSPGLAGSRHCRWYTHEMSFSTPPPTSEPIMATPLSSAPPPFVPVQLEPSKDSGKNVEGTSANPEKASGADQAEKKAEEAAAKKSKAR >cds.KYUSt_chr3.27833 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173756725:173760703:1 gene:KYUSg_chr3.27833 transcript:KYUSt_chr3.27833 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLSVVAILAAAFAVSAHAQQCGSQAGGATCANCLCCSQYGYCGSTSAYCGAGCQSQCNGCGGTPTPTPSGGVSSIISQSLFDQMLLHRNDAACPAKGFYNYNAFVAAANSFSGFATTGSTDVRKREVAAFLAQTSHETTGGWPTAPDGPYSWGYCFKQEQGATSDYCSPSSQWPCAAGKKYYGRGPIQISYNYNYGPAGQAIGSDLLGNPDLVASDATVSFKTALWFWMTPQSPKPSSHNVITGQWSPSSADQAAGRVPGYGVITNIINGGLECGKGPDNRVADRIGFYKRYCDLLGVSYGDNLDCYSQRPFAVWRRARISADQISCNRWWFFSGELRWVELLVSTVGASISINKVKGGHPAISAVVLLSLLLLAGRGGEERERGRDNSARSASWWGSSAVLSHLRGAEQLPIERPGKLPWKKEPEHPLLVSPCFNKRNHLLRCGAAPTLLSAGLGGEGEVGDERIAVNVCRWWSGGSLESVIQAAVSKRRRLLTAAIFGHKGGPAALGRRGTSFFFLLRVRIFIGLGVASIAAASPSGFVPGGSSGGHAGESTFIGIDQGLDRVFEFSAGVFVVKLRDSVVISFLLSVLPDVVSPLPI >cds.KYUSt_chr2.46228 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288668454:288674026:-1 gene:KYUSg_chr2.46228 transcript:KYUSt_chr2.46228 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYDHDDGRPAWDPETQPPDISEEEAIAMALANSEQDELNELALWDGLAIQLRESALAQGRPATPPAAPTRSNERAPHAAPAWDPWPQPPAHAAVPPPPPAYELPWPTPELIDLVAAFASPIAMGSLAAADEPLPPLRYPPARRDGDIVDDYHGVLVPDPYRWMEDLESDEVKEFVRAQAAVSDAVLATCDHRGRLRGQLTALLDHPRHRAPFKRAGSYFYFHNPGLLPHAALYVQHGLGGEPQVLLDPSALSDDATVSLSMVGVSDDAEHLAYGTSASGSDWVTIRVMRVRDRHLLPDTLSWVKFSRVAWTRDGKGFFYSRFPAPKDDDGASDSGIRTDVNLNHEVYYHLLGTEQSDDVLCWKDPEHPKYIYTPEVTEDGKYVLLSVAETSEPVNKLYYCDLSSLPHGLSGLKGTHGMLPFVKLIDEFEAYYGVIANDGTQFTILTNKDAPRYKLSRVDVGDPQSSWTDVLPEDDKAVLESACAVHGDKLLVNYLSDVKYVLQMRSLATGELLHDIPIDIGSVNGISGRRADSEVFVEFASFLTPGIVYRCDLSSGVPEMSIYREISVPGFDRTDFEAKQVFYPSKDGTKIPMFVVSRKGIHLDGSHPALLFGYGGFGMSVTPQFSAARVVLMRNLGFVACIANIRGGGEYGEGWHKAGSLANKQNCFDDFVAAGEFLVAAGYTSPSRLCVEGGSNGGLLVAACINQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSEKEEEFQWLIKYSPLHNVRRPWEKCAAGDHRRRQQYPATMLLTADHDDRVVPSHTLKFLATMQHVLCRSVEQSPQTNPIVARIDRKSGHGCGRSTQKIIDEAADRYAFAAKMMGVSWID >cds.KYUSt_chr5.6606 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41008046:41015107:1 gene:KYUSg_chr5.6606 transcript:KYUSt_chr5.6606 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRPRRALVAPAASESSPPAPPAPPAVGEAPPDTAEAAARVTEVGGGAVESRGEEATGAESVEEPEVEEEPEEAEEDPEEEVEEDPEEEVEEDPEEAEEDPEDEADGGKAVLAMGQGDGPAAATESERTVAMEGGDEEKSMATAVKDGGGEDAGLESAVVEEPGKEDEGQEHPEEENLKDDVMEEDAAEVSGEGAAADDKNEVPEEHGESGDEGSHRDADKDVVAGQFSVHCGAQNGELDPSFAMLGSVAVGNVKDLEIFVGRLPKGCTEEDITVVFSQFGEIVSTKIVNAAKKKNNRIAFVRYMSTEAAKKALTEFKDGVEVTGEKVKVSASRVKNTLYLKNICKSWTKEQVLLSLKSIGIEEFEMTLPDDPDTGGRNRGISFLKFAAPDTTESALQKLQQPDALVDIDRSEKEYARTPTESSQELALKVKSVYLEHVPLSWNKGNIEECCKSYGEIQEVRLLKKSKKKIAFVEFSFRNSALACVEGINSAKIGGEVKLVASLARPRREVHLDKKVAKGEDANNSIKKKDHKKEVMVKKSSHKLPKGDTSKLTSQVDAEVPQASNLYKGKRKAGKTENIAVNERLLKKARKNCDVLTKPSNRTRHGGYARAAYAGESSGNMKRSLGPRYVTNDSHPIAGASSRSKPNSRDLEPHAGYIPPVNRVRAPADHAQVTYVYDQPRATPSNYHHIDGLPYTREIAAPPPAYYGYTSNPQYQV >cds.KYUSt_chr5.43120 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271984304:271991154:-1 gene:KYUSg_chr5.43120 transcript:KYUSt_chr5.43120 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYGMGAMGALVPMLEELLKEYNLRASVQKEIKCLMDELLVMRAGLAKVSNMPVDRSVRYWAYQLRQLSYDIYDRLDAFMVPIQAVEPTESNINHLLKKKITKLKCRHEIPNNIKDMLAKVMAMKDRYDRYRIQDIAVNLVATMVDPCEDIVANLATTMADPCFLAKHNKVLIPVGIEEAIDELTDRLFEGDNMSERKPKVLSIVGGGGLGKTTLAKAVYAKLNKKFDCGGFVRVGRSPDTKKVLRDILHELGKKRSMNVASSEMDVRELSDELKEFIADRRYLLVIDDLWYISTWVMIERCSLKHLGKLVQLRYLGLVDTNVIKLPREIGRDLKFLQTLDSTRMIGGVGKLTSLEELRLHSVDKSPNFFTELGKLAKMRILDIHFNEIDEEAYMALVDSLCHLRRIQTLQITSEQDESLQDEGGWEKWAPPLQLHRLLLCGITLPRRPLWMDPVHVPHLTFLSFQVKVVEVRDLQILGRLSELVCLCIKIELKSYGLSAALSYTVGSGEFQKLASLKAIGISIICEEGALPMLCKLECCARVGMDIGLVPGKMPLLENVTYLLDCKGSSAEEVAGAEAALWHTAEMHPNNPTLKIIRYNYESSSSNDPPGDSTMQVPTRVSVALGIVGPSRTSDVSAYVDDVSIQGRCQVKETAGPMSALLGAMRPLLGKLDMMLLVPPQGCSKRVKDGMCHLKDDVEELSSYLDELSEVEDPPPIAKSWMNEARDLSYDMDDYIDSLYVSPAGPSLVTSDINTPRSHHKLASHVKTPKRLKWQQQIEATLSEFRVYVQEAIKRHEAYVLSDSNIKSTLRCRFVSVGPMLPTSYEETADIVINGRMNEFIYSLANDADQQLKVLCVLGSACLGKTTLARVLYNKFASQYDCRAFIRVANKPDMKRIFCGMLSQLQRRHPSHDCKEIDLIDGIRKCLQDKRYLIIIDDVWTASVWDIISHALPKEGHTFCKDDMVKQWVAEGFIDTTEGQDVEKIAGGYLDQLIGRRFIQPICINYIDEVVSFAVHDAVHDLIAQKSSEENFIAAIDYSRKNVSLSYKVRRLSLLFGDARYAQTPTNIRKSQVRSLRFFGLFECMPCIADFKLLRVLNLQLCGHRGGDDDTVDLTGISELLRLRYLKIACDVSIELPDHGLQFLETLDIMDARLACVPWDIHLQRLLHLNLRVERNLLDISMKSLGKLNNLQYLHLTSSSSSYTPPSCEHPDRSIEALGSLLGGHGNLKTIVVSHGSSVKNIVVGGASKTTIHWDDMSLPPLLQRFELSPHSGIIFSRIPTWVGELGNLCILKIAVRVLQIYCVDILRGLPALTALSLNVETTPIDKIIFDRAGFSVLKYFKLRFMSGIASVEFKADAIPSLWKLKLVLNDIPQMDQSQHGTTFISIEHMPGLKEISAKIGGVVPNADAVSALRTVLSNHLNNPTINVQLVDSFCGDKSTEQKQQPDEILEEEIRDTS >cds.KYUSt_chr3.19224 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118344306:118346550:1 gene:KYUSg_chr3.19224 transcript:KYUSt_chr3.19224 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITDDPDDSPRHCATKRHHHHGAGCGRFSGTGRRRLLIAASSAATSIIALAIILWLTLRPSAPRFSLLAATAAVAGPNATGIARLDAAFVAHNPNARATALYDRLQLRASYAGGQLAASAEPFEQTQGDVVLTALMSSSSSVAPAAAVDVGETAAGGRPTLLLRLRVEGHLRWKVASWVSGRRALAAECVAVVVLEQSQSRAVVVQGSQNASLDLLTNVGVFTHHRLISKSCIVQQRRFPPPPASEDEWDDDEEEDAWDDDEEEDEEAKEQAEEEAEEEEEEQQEEDDEEAEDTDEEEDPTSSDEEVTSRKRCRPDDEAGPCRKKK >cds.KYUSt_chr4.39203 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241977072:241981025:1 gene:KYUSg_chr4.39203 transcript:KYUSt_chr4.39203 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRPRPRPRRPSSCSESRIRRVILSDAGLAVPGSSADDVAHALRLRHREYQRYKFELFASVVRRVLSSLPPSGDAGSDSTSRRRRSSSHDASSSSTIHSPPPPAYDVTKSLLRSSYSSQKSKRVPDANQQPEMEATAEEVIMADAEGSGHDRGGGVRFADLGGMESVIEQLMMEVVVPLCHPELPLWLGVRPVAGILLHGPAGCGKTTLAHAIANETGVPFYKISAPDVVSGVSGGSEENIRILFEKAYRTAPSIVFIDEIDAIASKRENMQREMERRIVTQLMTCMDEFHQTNIQSGADDMDSESFQKKPRHVIVIGATNRPDAVDQALRRPGRFDREIYLDVPDENARKQILERLAHKLRLPPEGQFDLLKIAKATPGFVGADLKLLVDTAGNLAINRIVSVRKDKCLKERNNTQDWWRSPWDKHEMQSLSITMDDFEGPELLSKYVGESESGVRKIFTRARINSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAGQHEGVYVIGATNRIDVIDDAVLRPGRFGQKHFVPLPGAEERASIIKALAHSQKKPISSTVDLDALARREECRNLSGADLASLVNGAAMAALEESLEPLENGALSLSSSCPDPSIELSHFEQALSKIKPSVSKQVLQTF >cds.KYUSt_chr6.11474 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71182086:71184391:-1 gene:KYUSg_chr6.11474 transcript:KYUSt_chr6.11474 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSIVRELKEMRDGIGSMSRRGGVSDGRVGHGRGGSRHSWPGLWPEPQPQPLRPGQEPLQQGQWANLPPELLLDVIQRVEASEAVWPARRQVVACAAVCRSWRDITKDVVKTLEECGRITFPISLKQPGPRDHPVQCFVRRDRATSTYLLYLGLSPSLHGENDKLLLAARKIRRAARTSFAISLISDDFSHSSSTYVGKLKPNFLGTKFTIFDSQPPCDAVVLPNNKPSKRHSKQVSPRLPLGNYNVATVSYELTVLRNRGPRRMQCTMHSIPAMCIQEGGKAPTPTGIIHSLDEQMPTLSTSKGKEPSMEFSSTSLSADLSGPITTSEAPLLLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLGVPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >cds.KYUSt_chr6.33512 pep primary_assembly:MPB_Lper_Kyuss_1697:6:210520440:210521741:1 gene:KYUSg_chr6.33512 transcript:KYUSt_chr6.33512 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRSSPAPAPPLDNEDLLEEILLRLPPRPSTLHRASLVCKRWHNILSDPLFLSRFRKHHRKPPLLGFFSGRNYTTPTIFKPVLHSPDRIPASRFSVPQSNHPCVQWRFMGCRHGLAILINHYEQEVVVWDPIINQQHHVPLPLGLRNNEGEGGNKWYWHAAVLCTGAKEMPVRGDCFSSPFKLVLLGERYMNASACLYDSASGVWGDIVSMPITNTICHIKPNVLVGNALYCMFTNGDVLAFDFQRQCFVVIKKPKTPRSLNYYSGYVQVLRTADKGLGLAYFSQHTIQLWERRYDCEDVAGWVLLPKTIPIAGMLPWETHGGDRSVHFMGYDENRNVIVLATRIGTFMIQLDMMQIRLIIGRNEMSYDKFHPYTNFYAEAQLIL >cds.KYUSt_chr2.45373 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282969255:282970211:1 gene:KYUSg_chr2.45373 transcript:KYUSt_chr2.45373 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTCKLCARRFASPRALSGHMRSHSLATAAARSQQISSASSSVAAGDDDNDAKMAVQAYVLREKPKRRVRLGESDGFSDRESDAEYPPPQSPGAKKRRAHAGSGDAAEPVSSVSDAATPEEDVARSLMMLSRDTWPVAPAAPAAWPYYYYSDDDGSDGGGGDARAVARAAPTRRTEFQCPACKKVFRSYQALGGHRASHVRGGRGGCCAPPLNPPPRAVPTHLQPLPECDGGGGGEEGSRAPHVCPYCSRAFPSGKALGGHKRSQLCSAAAVAAASGADPAAAIDLIDLNLPVRWDDVVELSAVSDPFLSSKSPGS >cds.KYUSt_chr3.12820 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76855900:76862451:-1 gene:KYUSg_chr3.12820 transcript:KYUSt_chr3.12820 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKGGNFSGEKVIAEFDRLTRDAANVQRETLRRILAENGAAEYLQGLGLAGRTDPASFRACVPLATHADFEPYINRIVDGDATPVLTGKPVTSISLSSGTTQGKRKYLLFNEELVKSTMQIYRTSYAFRNREFPVENGKALQFIYSSRQFTTKGGLTATTATTNVYRSEAFKATMRDVQSQCCSPDEVIFGADFAQSLYCHLLCGLLRAGEVQMVSATFAHSVVLAFQTFERVWEELCADIRRGELSPIRVTSPAVQQAVSALLAGPNPELADAVARKCAGLSNWYGVIPALWPNAKYVYGIMTGSMEHYVKKLRHYAGGLPLIAAEYGASEGWIGANVEPAVPPECATFTVLPDIGYFEFIPLRRSSPDDACCCHGEAEPVGLTDVAVGEHYEVVMTTFAGLYRYRLGDVVQVAGFHNATPKLKFVCRRNLMLSINIDKNSEQDLQLAVDTAAAKFLAVEKLEVVDYTSHADMSSDPAHYVIFFELNAAATDVAADVLQGCCDELDLSFADPGYVGSRRSGAISPLELRVLQRGTFQKVLRHYLAMGSPVSQFKSPRCVARSNAGVLQILAGNTAKVFFSAAYD >cds.KYUSt_chr5.10085 pep primary_assembly:MPB_Lper_Kyuss_1697:5:64410148:64414620:1 gene:KYUSg_chr5.10085 transcript:KYUSt_chr5.10085 gene_biotype:protein_coding transcript_biotype:protein_coding MARETHGRNSMELEDLVVPTTTFEPVDVLIKPLPSRKPLRSTKPLPPTKPSLLPYLQYKEDKEKRDKEERAEIEAAEEKWHKIMQSGMEVLRRTGQLIEIVYCKVDVRHLVNRTSNCDEIKWGNEPVDKEIVLERENALWNAAMEYRKVQNMASEYDLIPSNMTLFPIMSKKSMWYHCNLVGCKREFPSKGVQQHFFLEVNMTRGKTYNVTACITLADDDIDNTCKACPSHMGILHPCKGGFAFGCKEGPCKEDVGATPASGMDIACPAVRARSGSVTLPRLHFRPPPPARSGCPPDRLRIVVVGPCLLDTPSAPLYAEVNAALGA >cds.KYUSt_chr5.38941 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246591681:246595654:-1 gene:KYUSg_chr5.38941 transcript:KYUSt_chr5.38941 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAVVQAGDMPDPSVRNLLDQDTLKWVFVGGKGGVGKTTCSSIVSILLASVRSSVLVISTDPAHNLSDAFQQRFTKFPTLVKGFSNLYAMEIDPKVENDDFGNDGMEGFISELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMDLKNRFGGLINQATRLFGLGDELNEDAMLGRIEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVIFDEEAVESKLLKARVKMQQKYVDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSKHFLAPYTPTLKRGSVEELEERVSTLKSSLQIAEAELDRVRKGKQVA >cds.KYUSt_chr2.38121 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235995816:235996217:1 gene:KYUSg_chr2.38121 transcript:KYUSt_chr2.38121 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLAHTEMQILNTIASSWQIHIAPKPGTRNEGDGGRRLCGKLAGGRKLIAGVHVGKGSELVAGVQQLTAPLLFPEESVERSLQESAADDMVRMGGGTGGAEEVRDRGRRRGAVGGCVRVSVGEERIWWCSV >cds.KYUSt_chr2.9574 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60420798:60421962:1 gene:KYUSg_chr2.9574 transcript:KYUSt_chr2.9574 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALVASALLLLAVGCHASPYWPLEIGFYHDKCPQAEAVVKGVMEHAISQNPGNGAAMIRMLFHDCFVEGCDASIFLDPTPFSPTPEKLSPPNDPSVRGFELIDAIKDAVEAACPGVVSCADILAFAARDASCILSKGKVSFDMPSGRRDGTFSNASEPLKFLVPPTSNLKDLVDSFVVKGLDAEDLVILSGAHTIGRSHCSSFVSDRLNTPSDINGGLAWFLRSQCPADATPGGNDPVVMQDVVTPNDLDRQYYKNVLEHKVLFTSDAALLTSEETARMVADNANIPGWWEGRFAKSMVKMAGVEVKTGGQGQIRKNCRAINYY >cds.KYUSt_chr2.13133 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83270271:83273785:-1 gene:KYUSg_chr2.13133 transcript:KYUSt_chr2.13133 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWFTSIDRCRLIDETPAIFSVRHIRLLVEQGRWADALRYLNAYLPPLVKNHTRSRRAQIFHNFLWMHYRFANAVAGRREKQEYLDRRYGKNSRSSSHMPSSGSVVSPTPYLPQSPTSSWPPTTGTNLYRDPRAESNNDALEMLVDLLDQTFQAGLRRGCNLSYASQPSVEEGGPDAPDVQSMLGSSTDNSEGHVTSSVNFSGTNKGLIQEGFDTENPSKGSTTVEDDILEKAKDDWIV >cds.KYUSt_chr1.6746 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41518448:41519011:-1 gene:KYUSg_chr1.6746 transcript:KYUSt_chr1.6746 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGCGEVDGSGLTLVFPDLAGWSSAADGSSAPATPTPQPPRPLPPACLARSKILGGVVLTHQGGRGRYAVPGAGRDIATRHQCLLGGAAGDGKTLASGTRPPASMSTSSTSRRASADMLQWLDATNAIMMATQFLKTDNSSIGLLDFRDKSVAWSWSVV >cds.KYUSt_chr7.26018 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162463893:162466027:-1 gene:KYUSg_chr7.26018 transcript:KYUSt_chr7.26018 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKVRGDTSKLLPVTSFFLGAALTAAVVFLNATMDVNWRPPALASWGNGAQPAPKPKAKPYAKLAEVLKNASMEDGTVIMTSINRAYAEPGSLLDLFLESFRLGEGTAHLLDHVLIVAVDPGALRRCRSVHRHCYLLRRSPGAVDYSDEKHFMTKDYLDMMWGRNRFQQTILELGFNFLFTDIDIMWFRNPLRHIAITSDIAIASDVFNGDPESMGNLPNGGFLYVRAANRTVEFYRRWRRARRRFPEGTNEQEILGRAQGELSRRAGVRMQFLDTAHCGGFCQLSGDMGRVCTLHANCCTGLANKVHDLRNVLRDWRNYTAAPPEDRRRGGFRWTRPGRCIH >cds.KYUSt_chr7.39886 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247758441:247761495:-1 gene:KYUSg_chr7.39886 transcript:KYUSt_chr7.39886 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCRTPSEESCTLLPSALSAIARKEQKWQRQREAARILRSGFPDRKPPAPVVTPLASSSLLSMANRGGYPNRGRGQHGGRGGSGWQDGFAGDGGGRGGRGRNYHEGGPSGTAGDGSGSGHGGDQGTNVFADGVFRAGQGRQYSGGGRNYQGYNNRGDGRRNIGYNGNNVRRSAPMNNNNGWVGNGQGRYAAQTAGLTELQQKMVAEAAEAFARQLAGRPDPSPPVVQQPVAQQPATQQLYKDTMVPSGTAVVRQPGLARAAPVYVPVQNNAIGQGQQQPGAKRAEEVLLAVAGCVNGTDLEGLTEEEFVAATKKRGPSCFRCRKVGHFLNDCVAVLCECCQKPDHASKDCPLLRAPRPRLAMYGLGHPDLAFWELPLSASVRPRVENTRLGRVEVSGGELSVDQLITHLQWIVPDPLYQWEVEQMEDNVFRVNFPSKVELVRVQHFGRFHVADSNIVLLFDFWKKEVKPAWVPEDVWVRVSGLPPVALDDYLALWALGDVFGKTLDIDIAFTRQNNVLRMLITCLDTALIPDSWDLKIKHEFFRLRFEVEGVQPSNNLDVTMSEAPGDGGEKNGDTGTSNSQPDINTKSVCTLGCKGDNVDAGDIKSKTVLESKNTPSSVVEGVDKSGLHTPITSQQYTQAGMLNKAYAPVSPRVGEVLAQRTSITPGVHGARAPEHAQLGQQGVAASSAKHAIVSPRSTVATERAIAAVPRDTVHVGDDLAATQHAVHGFDTNMHMYGASPGKDTSIKQDKVKISPYVGLSLNKCDGSFTQTKYDVQGNADTPSAPVILMKETPRTYTKEQIVAFGGIQEEARRDVRSSGRLRSQRMPI >cds.KYUSt_chr7.24520 pep primary_assembly:MPB_Lper_Kyuss_1697:7:152883863:152886203:1 gene:KYUSg_chr7.24520 transcript:KYUSt_chr7.24520 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRKGGFKKKIKAVAELVGLSSGSSSQTRPPSAPPSPPPRRKNAQPRRLRTPSPSPPPAEDDDEEQWGGEDEEDGEEHGGQDEEDGGEDGGEDGEEDGGEDGGEDGGEELEEDEGLGGLPQELNKDLAWYPPEEEEYVAAEERLEPRDKKPYKRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRLPTREYSNILGGLIRKHFPGIVNLPSGGRDVAWTWKHYSYAEDPSGKCANMQERVVRHFWNPPQYVGEDKACFLAMVIWWTSAEYARKHEEGKQKRLEMGGREPHPETGSVWVNGLAEAQCGAYRSKFKDKHGEDADPTTEDFDVEVAVLAGQGKKGGRLWIADGLVDPTTIPSLRQIRRGRTSEQPRVETRPRASDLAVEKLRAEMEERERRHQEEQMQMQQQLRENMQMQQQMLQQMQQQQQMFQQMFMNQTVLTSPPGSSGPSTSCPPMFPHFIPTPDPAVMALLQQGPSRSPPTPGLTVNNTGIIRSSQQLSR >cds.KYUSt_chr2.30237 pep primary_assembly:MPB_Lper_Kyuss_1697:2:186114941:186118668:1 gene:KYUSg_chr2.30237 transcript:KYUSt_chr2.30237 gene_biotype:protein_coding transcript_biotype:protein_coding MCDVPMVSPGVCMAYGVVIFKERGDGEKAIDRLNGYLVGDNKLRVDWAYPLVPSGSKIFPFIRDGKQRAASFLFPKTRRFSVYCPQPDPNPKPEPEPQPDPNPKPLPGPQPDPNPKPEPEPKPQPGPLPDPKPHPLPGPQPDPNSNPQPLPGPQLDPNAEPKPPLAENNVKAGVQQESLG >cds.KYUSt_chr2.50291 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314432044:314434305:1 gene:KYUSg_chr2.50291 transcript:KYUSt_chr2.50291 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQEQRPIQSRGFSAPRESSGAPRASGSQQPRCLLLITLSDSCLQFLEVTAFYGVYLSLIVYLQDVLRGDSASNVATVNSWAGVSYLMPLLGAAVADSYWGKYKTVLIGLSISIVGLAMVTTSATLPSLRPSPCAPATLGQKLVFLSGIYLCAVGIGASKAVFMSFAAEQFDDRDDDGKKAKASYFSWYYAVANMGMLTAGTLLVWVEDKVSWGLGYGICGLFVAVVVVGLAATAPMYRILPPVGSPWKGVFQVLCALSRKVKLKVPDDATQLYEEEDVKNPFLHPAHEQLQHTDQFRFLDKAAIVTDGDLDQDGNRPWRLCTVTQVEELKTLLRLIPIWLTSAVYFVANTQAQTTFVQQGTKTADSHIPAASLTSVETVCVAASVALYNSCASAKPAWRLTPLQLIGLGHATAAVAVAVAACTEARRLRMAQDHQLMGIAWLLPQYVVMAVSDASLSVGQLDFFYDQSPEMMKGVSTAFYFVSVSLGNLINSQLVILVASVTAAGGGTGWFPPELDDGHLDYYFVLVVAVTVVNFAVFVALAKNYTPRRVR >cds.KYUSt_chr2.3084 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18156912:18158322:-1 gene:KYUSg_chr2.3084 transcript:KYUSt_chr2.3084 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIPTELVLEILVRLPWTSLRRLRLVCRTWRDLVHDRTTEMKQCRDAVPLIVTTESVYVLDDQGSSNSKAVTPRELWPGRTTACNIYKNMEVVGACNGVLCLCDDARPGGAITLLNPVTADILALPPIPCAGVFRRHNTRRPGRSWHQAYSFGYHHATGQYKVVHVPCFFKTKETLHVFTLGEASWREVPVPDARCRLDAGLVSVSGATYWVTEGSKEKIMAFDHRSEQVRSTKPLPMSARRPICQLTQVHRRLSAATSDQIHGRGSIEVWVLESTGMEQTWVHQQSLRYLPSPWPSVKLAHPNYIYREYELMFGDPKGQGQKLFGWRLKPTNYVTRSECSVWNYYSFDKPLVSDIRGDVCRTLEYIKTTESLSVYRRW >cds.KYUSt_chr4.54782 pep primary_assembly:MPB_Lper_Kyuss_1697:4:338395733:338396470:-1 gene:KYUSg_chr4.54782 transcript:KYUSt_chr4.54782 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRWKKGKDGKYFSALAAASPMSSIVADLQSSLKRSRPVATISSHGEDAVLGVSPHQAILLNQAAFGRFVENAGAEKQWFQLGAEEVFYLCHALKCVVVESERGKQMSEGELWDLLCSASDSFPEMYKAYSHLRSKNWVVRSGLQYGADFVAYRHHPALVHSEFAVVVVPEGAQFGGRCGRLKVWSDLLCALRASGSVAKTLLVLTISCSICELGSPDCLEQLVVHERTITRWIAQQCREREM >cds.KYUSt_contig_319.812 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:5200701:5209186:1 gene:KYUSg_contig_319.812 transcript:KYUSt_contig_319.812 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKQLCDALAVAGFDGGEPLDPESLDWAFLQGDDSRRVLAWIAARLRPANVLSASDLELYEQLELEGKLLEGEDLDSAFDSISVFSEIGENQEYTFLSEESLGDIRDSKHALRAEVSDLEKKLASLEWQLDLLTSQATTITQRNKSRESAKTRATGQLTRLDDKLAKRSLEMNAVLGKLVATIQELSYYHSEADIGIYLSYCDFQSYVISNLGCTKELNKWFTKKFEKGPLHLVAKEDVPRGDSEKHHHLVNELNRINSIFAKSKRQYIEALVEYAKEEAKLSKLRSQLASQQSYIHEDIHSLRRRNSELTDELKDLSLQVQGCLSETVAILCADLARLEGANILQGDHNLKVHRQECYIGQQKRFINHLVNQLAAHRFLKIACHLERRTKISGAYSLLKAIEMELQSYLSAVNGRLDRYHLLGQPASDMIEEGSVDDRDTFLHAVRDILSSQSGAQTVTPTYVSAYALVEQISELADELHCYQHELENVLPRERRRFIDEQCRMIQILEQILSVPVTHMLPKFTPWPLAQALEELEMISYEVSASVNEVTMAREEKAKMLQQPSRNVQQERRVFTDFFCHPGRLENQIWVVRAIEFLVLVGKVWKANAEPKCRLLHGSFPFSSLTSLQYLRENRSSPGQTEAVAGQGRRRGGAGLLSVDIRVMFWQVASSCFSLGAAPDWFGEIWRLGFFLALIQLPWPELESAAETTARSNKIAGCFLLQVQPGSVVFPSLAGRGGGGIWAYTAAAVPATAYRAATPSPAGHGGEGRWCFSSRSSKPARERAVLCSDLLHPSSLLPLDGRGGEGRSSGEEMQESEPRQHWDAMKLGLR >cds.KYUSt_chr5.35489 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224267765:224271385:-1 gene:KYUSg_chr5.35489 transcript:KYUSt_chr5.35489 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSALAEGSTGNLLRLSVAERERSRRRRRDPARDEFFVPTPESLAWLDSVTLPMILTAAAVALFTKLLMMEHEATDQERRERKIKNSHPEQGTVRMLSREEWDEIQEVRPRTPFESKLARPQARLRTGEPMRLEDAKDWAIDVLTDAFTRAEESAKRK >cds.KYUSt_chr4.22116 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139106380:139109565:1 gene:KYUSg_chr4.22116 transcript:KYUSt_chr4.22116 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSSHVSIASEAEINLDLSRLLIDKPRYTLERKRSFDEQSWSELTHTHRPNDGFDSVMQSPAFRTGFDSPFSMGTHFGEPSGPHPLVNEAWEALRKSVVYFRGQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNNEPEIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNKSRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTGDASLSESPDCQKCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPDGEGKDFIEKIGQRLHALTYHMRNYFWLDFPHLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIISSLATPEQSSAIMDLIEERWDELVGEVPLKICYPAIENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELSEARLLKDGWPEYYDGKLGKFVGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >cds.KYUSt_chr2.18303 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115317043:115324483:1 gene:KYUSg_chr2.18303 transcript:KYUSt_chr2.18303 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRPPRRSPPGRSPPRGYQRPPFNPRFISTEERRADERRWEEERRWEEDRRREEDRRMEEERRREAEGRRRSEERRRSKERRMEEDRRRVETLNITERAAREHALVEHQRKEELAAAGSRDRWAHRQEMAGARADSSANSFATAPTTTLPHQATVVSSVNPGREVDPLESNAVRSANTSSVVPLASTAPMGSCVPPSVAAVSREVTLHTLPFQNVRDVNLLGCLNYGKNPHVSICQERDPWEYRAPYYGSLDSRQGFYLIPDVEVEVQSLDLLNYAQITVVRGEVTFRDMEHEFEVWSDSMGYKWRFYVKVAAENQFIARFPNAKCIEELSHYGKFFMKTVSDAIIKIEKWAGDIEPFAVMEEAWFRVKGIPMKYRNKSTVYYAASMVGVPLALDMNFLRNFDNVRVKIGCQDPALVPSSMIGAIKKGFYEFQITREVIDPSSNPATYYATTLENADGGAQQNSPKRQRTGRVGEGIPSTVNGNVNNDNAGGVNSQTTHQREGLTNKEKGKDVGVSSTSLYDDLSESFATKIHKAMGQPVVTAGGSTESSSGVAEKATVTVNQLTLGHFKLFTKMLFLLLLKLCLQPEVENLQEDMQEGLTQEEVQEEEAGGAGRKIVSTEQDRQSIRMSSRIAGQPTTIGRVDDGVRHHTQIGVVAEEVEPPPPLLRRSAKDRAIKYCSAFSTTMVARESKIQDGSVQIEISLRVRHSSARGTAPPLDEAGPMQDEAAGASDAPEQQEVVGDSDAPDQQEDAGEKEESILGKLSPIFDDASSMDTEEYNCITKELEDTEKAEAESAPPKEVFATIIGLEPGTDEEANSSDPMEAGPSKSGEGRIPGNEEHLSTEKLVEQAGIGAIAHTPVLNKPITPDDAADPEALEATRIEMLDTATRIGHTSMGMLEERSEAEKVMQNFLQREREAVDSLQKVKQLRKHWESKMGEAHKEAERIRREAVTPRKIIFSTPTDQLPFTTPKVNMKKAAELLAKKTKKSTSTTSVHSLHQQ >cds.KYUSt_chr2.35828 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221455264:221466275:1 gene:KYUSg_chr2.35828 transcript:KYUSt_chr2.35828 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKGNEGYVVTYGEKRGEDEDRRAKTDVTEAWTRRKKRSWTLQGGGRAHIGLRVPDLGAVIPPSSTSGRGGRGSWEHLLRPSLQLSVFGSEEVEVAAAADLVMDQRHHLSQYRHPIAGASSFSEELLLPTERQIGFWKQESLPHNMGSNSLASSPLEKPQPIGTKIMGRQEFLQQYDPRDQKTAYSLEHKLFDQERHPNLVPSSWRLDQDPSCQSDSSLKAAALFHDVRRTNKNGVYNENGLFSSSLSDIFDKKLRLTSKNALFGQPTQKVDLNTNDEPFELTEEIEAQIIGDLLPDDDELLSGVLDEVGYAAHASNGEDGDDDIFYTGGGMELETDENKKLQELNGGSNDRLGFLNGALNGEHPHGEHPSRTLFVRNINSNVEDSELKLIFEHYGDIRTLYTACKHRGFVMISYYDIRSARNAMRALQNKPLRRRKLDIHYSIPKDNPSEKDVNQGVLVMFNIDPSVTNDDLHRVFGDYGEIKEIRDTQQKGHHKIIEFYDIRGAEAALRALNRNDIAGKKIKADNSHFGGSSNLATNTSTGLENGSIQGMRSGVQASMSPFRDAPLLGLSSTRPQTLSSPVGITSRVNQATLGELSHSLGRMNGHMNYSFQGMGELNSGTPYNMTPIGTNSNPRPVEAVESRHLPKVGSGNLNGYSFDRAEGGNCMDPAVSPALIGAPSPQQRGLFHGRNPVAPLPSFDASGERMRSRRNELSANQSDNKRQYELDVDCILRGEDPRTTLMIKNIPNKYTSKMLLTAIDEGHKGTYDFIYLPIDFKNKCNVGYAFINMINPQHIVPFYQTFNGKKWEKFNSEKVASLAYARIQGKSALIGHFQNSSLMNEDKRCRPILFHSNGPNAGDQNCVLYCHDPLGYIYKAR >cds.KYUSt_chr3.42149 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266346561:266350698:-1 gene:KYUSg_chr3.42149 transcript:KYUSt_chr3.42149 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITTVLAKVAELVAWEAAVLLEVGDDVRLLRDKLEWLHTFIRDADRRRRLRDDEFVAVWVRQTRDVAFEAEDALDDFLHRAGRHRRRRNPPPALPTGARCSAWRWRWQWQWPRRPRCAGLQVALRHDLSARVRQIRKRLDEISANRAAYNIEHAPAPAWAASSATTLDHFAAAKQGINLVSRSGSCSSNRILCPSFRFVLDDSSLDDLEEYTVGFDKYSNMLREQLLDVDAVSGRAVVSITGESSIGKTTLAHKVYQSPEVRNHFAIRTWTVLPPNSRPSDVLRDIRRQAGSQLRRFTSHGQVVEDGGGCDAGNKGKDISNSLFRNMTGRRYLVVVDGSIAVADWNSLRASLPDEGNGSRVLLVTDAAGLEVVGYAGGPTYDPIELTRLNPENTYELFRRRVFGRRGDCPGRYKSRYYQDVFRITRGLPLSVVVLAGVLRSKELPVEWDQVMMQLLAARDQPQCKNSNGGRRIMSLAFDDLPHHLKSCFLYFAAMPESVHVDAARLVRLWVAEGFVRPRRGSTMEEVGQGYLKELISRCMVQLVDKDEFGTVRTVVVHDRLHAFAQDEAQEACFVESHDSTDVLAPATVRRLAVQNTTGKHVHLGNALPKLRTIVCDFVNGSTTKPSVCFHSTDLGFLHASKFLRVIDIHGLELKKLPNEIGSMIHIRYLGLQCGQLERLPSTINKLVNLQSLILKGSNGMLGVTAAFWMIPTLRHVVAPFALPKCLGDLYSLQTLHGVQPHCWDTRIGAGNPLGRATNLRSLELSGLTAAHVGTLTSALESLDLLVHLVLQGESLPRAVFTVPSLRRLQSLRLVGSMDEDEDEEEADDVAVRYIRPNLTRLSMWYTMVGQSFVDMLGELPSLAELKLMWGAYDGEQLVFQDGGFSGLHTLGLALPELEEWTVRSGSMAALVTLTLFRCANVQMLPEALAGMKELEEVVLFKMPKMVGRIEEGGEDHHKIKHVPVVQTIR >cds.KYUSt_chr3.210 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1245510:1246112:-1 gene:KYUSg_chr3.210 transcript:KYUSt_chr3.210 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPAPPSLVRKTPSPDLALVVSSDHPRPRNLSSASCLSGHRRPLLELPSVGAASTRYSPTALTTWMLSAPARGSAIGVAAATHRLDAYCGELVAWRLLRSRRRLDGVGIKAHIYPLQPDK >cds.KYUSt_chr2.35698 pep primary_assembly:MPB_Lper_Kyuss_1697:2:220651940:220669658:-1 gene:KYUSg_chr2.35698 transcript:KYUSt_chr2.35698 gene_biotype:protein_coding transcript_biotype:protein_coding LTLRTKELGAALQAWPAGLFGLGSILMLTPFLAKFIVQIQFFPREFITGLAMFCCMPTTLSSGVTLTQLAGGNSALALAMTVISNLLGIIFVPLSLAKYIGAGAGVSLPTEKLFKSLVSTLLIPLILGKVARETSKDIAEFVDGNRQGLSVISAVLLSLVPWIQVSRSRSMLLSVQAKAFAITVTIGVLLHLALLAFNATALQLLSCLKQKEESVFTSKEYSRAVILVASQKTLPVLVAVVEQLGGALGESGFLVIPCVAAHINQSAGDQISSKHACPLDYSLDFYYLHDPEKQHFCLDPFQVSCISTTRHIWLCACIFLWKKIEAIRLASFFWQDAVFGLFIQSPGVLCTGRAPAIPSLCPYWCSIICDFHNCYNEMRLQDGNASRGRHVCEASGFQLAVGSEEYPVARTNLPYQIHRSSRDVTASIAFASSVEHVSSPAVSQLGPILEFRTWPRIMPARPCASSLDTIAPAASLPALPLLELGQDRSSPDRPQFGEVKQGLPRPASSCAERRQQRPHAQGPVSPPASSSLVLPISSVTSSSSSVVEVGEDRIDRCAIKAFRFRLELIEANLYHATSFAPSIHVSLFVFVVATPLASDSVPSKVKLNTRFPIRHLWPYDRVADKFV >cds.KYUSt_contig_319.1154 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:7703572:7716373:-1 gene:KYUSg_contig_319.1154 transcript:KYUSt_contig_319.1154 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDDKFLHNDNFFPDLSDFFDNLNMGDNDAAKQINSSSVAAATRPPLFDGMHYKRWRTKAVLWFTNLGCFSATYARPRGASLCEREQEKFEKVDAMFKAALFSILGDNIVDPYMAFDHGKDAWDALEAKFGAHEIQSLAKELEQFKCTLPDKFVAGGIIAKLPPSWRNFATSLKHKRQEFSVLDLIGSLHVEEKARAKDTRARSFEGGKFDEKNKASNSTNFKRKTPYKKKGNCHVCGAPGHWAPDCPERHDRRGNNGKSANVVIGVDTEMKDIGYGRKDFLRADGKWLTCFRSWCWYGGSEVYFGKDHPAEECAAQAHASQDADYWKEAVRSEMDSILANGIWEVTDRPYGCKPVGCKWVFKKKLRPDGTIEKYKARLVAKGYTQKEGEDFFDTYSHIARLTTIRVLLSLAASHGLLVHQMDVKTAFLNGELEEEIYMEQPDGFVVDGQEGKVCKLLKSLYGLKQAPKQWHEKFERTLSSEGFVVNEADKCVYYRHGGGEGAILCLYVNDILIFGTSLTVIKEVKEFLSRCFEMKDLGVADVILNIKLLKDDDGGITLLQSHYVEKILSRFGYSDCKSSPTPYEPSVIIRKNKRIARDQLRYSQIIGSLMYLASATRPDISFAVSKLSRFVSRPGDVHWHALERVLRYLKGTASYGIHYTGYPRVLEGYSDANWISDADETKATSGYLFTLGGGAVSWKSCKQTIITRSTMEAELTALDTATVEAEWLRELLMDLPVVEKPIPAIPMNCDNQTVIVKPFCLPVFLDRGCADWDVGCAPRPSRTALYKILRHPSRRYEMHTRLPVSSSLRRRLCLPHPVRRRAPTAGTVGLRNPASRKPVRVRGNQVFGERSGATTCITTSSSDDEFLHNDNFFPDLSDFFDNINMGDNDAANGCVCGGLLKPLEGHHLAHTLDPAALLVDNYGHIDDGYGGGQNVHHKDNQNYLFHANHANAAGSILKDGTVNDDILHGCSDVGQPYKCGDVQKNPQSSERNIAPLKDLPDLQDYVNPQQPLLGVQYGNGVTGSEILLDADRNGVKQNQFSESSQQLCSGIPMIHAWSKSEDVKSADMLVDMHKNEEHITFFCDKKMETFSSDTFASLVNGISDSGLDNASLSENESMHACSMNCSQSKDSELLNGKNISGSALDTAQDDFSDFSAKVVMEDISRVHLLGLSDSHSSNTCDSHIYPIHKNHYTRDVYGTDMVAISSEVPFSGCSIQCRMNTEDSEIPCNDDALMHGQPPIECTSTSDKNLHHITCLVSMEPSPPENAGDSNHFDSVEDVQPSLAAMKMKPSTFVERENRMALNEACNLGNQVSDEINCKFLADKPNISCEAAIRNCMSSHGLPDMEFHNPIASMSSSDQAEGGSDNENYVPNYFDIEALILNQDLIPWDQESDFIQPEVSRFQHLKSRKDLIRLEQGARSYMNRSIMSQGAFAIIYGRYLKYYIKDPEVTLGRETEEVHVDIDLAKEGNANKISRRQATTAISLCANPGYATDEAYNHAVWSKPWTNKTKYGIQDGTAHAT >cds.KYUSt_chr7.18066 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112089226:112090287:1 gene:KYUSg_chr7.18066 transcript:KYUSt_chr7.18066 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKDLVRLLILVEVVVAIAGPGAAALSMDYYAMSCPFAEYIVRNVVSEAVMGDPTLAAGLLRLHFHDCFVQGCDASVLLDSTAGNTAEKDAPANKSLRGFEVIDKIKQMLEAQCPGVVSCADVLAFAARDAVLFAGGPYYGVPTGRRDGSRSVFSDTFTALPAPFLNASSLISLFATHGFNVQDMVALSGGHTLGVAHCAAFKTRLTTETATLDSGLGSSLAAACKSGGDSATATFDRTSTAFDGVYFKELQQRRGLLSSDQTLFESPETKQLVNMFAMNPGYFFFAFQQGMLKMGQIDLKEGDHGEVRKSCRVVNSGRW >cds.KYUSt_chr4.7242 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42865034:42868091:-1 gene:KYUSg_chr4.7242 transcript:KYUSt_chr4.7242 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKVFTAGSVQGRLGWRFNAPDDVRGEARENSISQARCIIDAIRRNWNFSSVMLLVSGGEHGTVLESGGQCTLYCGVIKKSPKITFQAGERNFREDPSTDINRAFTRDVKKIERKTFAWRDHVINGARAVRGTGPVSVKGTVVFFCCFRREAVVL >cds.KYUSt_chr1.8095 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49691266:49696630:-1 gene:KYUSg_chr1.8095 transcript:KYUSt_chr1.8095 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARRLLVLLPLAALLLLATAAGATAGVTSGYRRKPEATADMPLDADVFRLPHGYNAPQQVHITLGDQTGTAMTVSWVTASEPGSNTVAYGRSPKKMEMSAQGTQTRYDYYNYTSGFIHHCTLTGLKHGVKYWYAMGFGHTVRTFSFTTPPKPGPDVPFKFGLIGDLGQTFDSNSTLSHHEANGGSAVLFVGDLSYADAYPLHDNNRWDSWARFVERSVAYQPWLWTAGNHELDYAPEIGEATPFKPFTHRYPTPFRASGSTEPLWYSVKIASAHVIVLSSYSAYGQYTPQWTWLDAELRRVDRKVTPWLVVLMHSPWYNSNGYHYMEGESMRVQFERWLVDAKVDLVLAGHVHSYERSRRFSNVAYDIINGKATPVRNLDAPMYINIGDGGNIEGIANNFTKPQPRYSAFREASFGHATLEIKNRTHAYYEWHRNHDGAKVVADSVWLTNRSTTTAGSSKIGNEEGRRNDDQDPCHVDHDRKAVSEESREEGVGSMFDQLALDEEDFDDFAIEDDDAALAEDVPGNGGDYSCFFEAPGSHGPEVGEVGWPSSAEVRKEEAPDFPGTGAEKQHVVGIFFLGAGETCSRGAEAMAKPAFVGWETLLPGKPAKNFALQRGSTPPNLVSKLINLQMPERIDIDTLVRELFVSEAVIAVIRGVATQDHKGELICKGTKVGLRGQREARSKGTMEVRCQSRGDIDVDASGMGVEIRKAVLKSGVTSPEVSPEGRGGAVADRNFGDSAENHKTSDNVFPNMGVQVVCVPK >cds.KYUSt_chr4.42836 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265293213:265302191:-1 gene:KYUSg_chr4.42836 transcript:KYUSt_chr4.42836 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPTCNVINIANADASTFPMEIYGMISRRLVQQNNPCKDKNERKPGQIMDSRDKELHDIWGPDGRLGWNNNSEPATYTEAIASVDHEKWIAAIQEEIKSLEKNDTCDVVRLPKQNKVVRFDTWLILVKDNGKLWIFRYLRGTSKSCSKFGKTGEGLGYVDLDFAADLDKRRSLTDQMLHERTKHIDVKYHYVNHIVAQGKLKACNISTYDNHADMMTYSVLVAKFELCSRCITVLPKWLLAPTSVFFVVEIEALLGGVGSGSIRTLRMDGMDLPSPRCHPQHQQISGEQRDQNSTSKRQSRTTEIPCTLVQEVQHLEKRLNDQFAMRHALEKALGHKPCAIHLSKDCCVPKPTEKLIQEIAVLELEVICLEQHLLTLYRQAFEQQLCSTISACGTERSKRSARSFSGTLSETSALDFSTPKKHPLVQSSRMVQARRSATATLNSEPGISQHNDSKTIIGRSHSSLLPRSICSARVSPSANNLARALKPCHTSPLSFVEEGKCMDSSIVSLADILGTRIADHVPQTPNRISEDMIKCIASIYIKLRDVSAVQRAFLPSPCSSFSSASGISSKFTGDIWSPRLRKDSFIEAWQDSSFSSGDLGQQYDSVIEVSALCKGSQRSTDTKEMLCKYKSLVQLLETVDLGGMKNEEKLAFWINVHNAMMMHAHIEQGVPQSNSKRLLLNKVSYIISGQKINVELIEYQILCCRVQSSGQWLRLLLYPRWKPRDKDELQGFAIDRPEPLVHFALSSGSHSDPVVRLYSPKRVFQQLEAAKEEFIRANVGVSGSGRRVLLPKVLESYARDAGLGAGDLLRVVESCLPESLQAARQQGRPRGGGVEWRPHNMAFRYVLARELVGSPACSRQ >cds.KYUSt_chr1.28869 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174663813:174668275:-1 gene:KYUSg_chr1.28869 transcript:KYUSt_chr1.28869 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAAFAPSPPAPSPLAPGDVAADALLAPWPMPPWPAPLRHGDDRRPNPLFAILPLSALAIGLVLLVAVAVILVLTRRGRLRLVGAVVSVDGDKPGGAPASSCGSSARNSHNARCYPASGCMYGGRLGLAAAQPRSRGAQVFTYRELERATDGFGEANVLGRGAYGVVYRGRLGDGTPAAIKRLQLDLRRQGEREFRVEVDLLSRMHSPHLVGLLGYCADQSHRLLVFELMPNGTLKSHLHRPAAVATDSQEARSPAPPPALDWQTRLCIALDCARALEFLHEHTSPAVIHRDFNCSNVLLDHNYRARVSDFATAKVGSNKANGQVLTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTQRPPGQHVLVSWALPRLTNRERLVQMVDPALKGQFAVKDLIQVGAIAAMCVQTKAEYRPLMTDVVQSLIPIVKKSPVMSCSSTPARPLQHVVYMSPLQKQASVAGKAS >cds.KYUSt_chr2.833 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4869374:4870594:-1 gene:KYUSg_chr2.833 transcript:KYUSt_chr2.833 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLGKLTTLMGEEFARLKNLRKQVKFIQDELIGMKDALEELLFLNELDTQTKRWRDIVRDMSYDIEDIIDDFMQNIGENNKSTGFVSNTVRRLKTSRARHRIAGQIEDIKKLVIETSLRRERYKLDIPPQRNVFIDPRVATLYENADNLVGVEGPATEYVNWLNDEEKQLKVLSIVGFGGLGKTTLANEVYRRLKGVFEGSAFVSVSQKPNAQKLLGNLLSQLGTEPSVHDCT >cds.KYUSt_chr1.18655 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109232932:109257768:-1 gene:KYUSg_chr1.18655 transcript:KYUSt_chr1.18655 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLNVVRTLEASMLRSGASPSSDSVLLLATDDASTGRFTVGSHVWVEDADVAWIDGLVEEVHGDELIINCSSGKKVTANASSVYPRDVEVARCGVEDMTRLAYLHEPGVLRNLKSRYGMNEIYTYTGNILIAVNPFQRLPHLYNDHMMGIYKGAEFGELSPHPFAMADRAYRLMMNYGISQAILVSGESGAGKTESTKMLMQYLAFMGGKIESGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDQNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPLEDRERYKVGDAASFHYLNQSNCINLDAMDDSSEYVATRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFSEGNEADSSVPKDDKSKFHLRTAAELFMCDEKSLEESLCKRVMVTRGESIVRNLDLRAAALSRDALARIVYSRLFDWIVNKINTTIGQDPDSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIISLLDETCMLRNSTHEIFAEKLYQKYKDNPHFSRPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDAAVNEHQDLLHASRCPFVSSLFPPSEESTKSTKFTSIGSSFKQQLQGLLETLSATEPHYMRCIKPNNVLKAAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFEEFVDRFGVLLPEVLGEGYDEVNSTNLLLEKVNLTGYQIGKTKVFLRAGQMAELDARRTEVLSCSASKIQRKVRSFLARRNFIQLRMSSVQLQAMCRGQIARHYYEDLRRKAASLKIQTCYRMHFARKHYKDLCSASTTVQSGLRGMAARSELYSRQQTKAAVIIQSFCRSDLASSRYMGLKKAAITTQCAWRGRVARRELRKLKMAAKESGALQAAKNKLEKQVEELTWRLQLEKRMRTDMEEAKSQENKKLQQQLQELQFQSKETNDLLRREQENAKAALDKAVSVPEIHVDTTLVDELTAENAKLKTLVVSLEIKIDETEQKFEEMKKAREELLKKATDAESKINGLTNSMLSFQEKLTNMEAENQLLRQQGLLHSPMRTISESTSPKSNLANGSPRIEEQMTPHGTPRAPKDYGSFAQPRASFSERQHESVDALISCVTDNIGFSEGKPIAAITIYKCLVHWKIFETEKTSVFDRLIQIFGSAMQYHDSNEDLAYWLSNSSTLLIILQKSLRAVGSTGTTPQKRPQTQSSFLGRMVFRSSTITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYAMIRDNVKKELSSLLLHAIQVPRIMKASMVRARSFGASSLRGRSFSNQGSYWQAIVDNLTELLNILRDNCVPAIFIRKIFTQIFSFINAQLFNSLLVRHECCSFSNGEYVKQGLAQLEMWCGEVKPEYAGSALDELMHIRQAVGFLVIFKKFRISYDEIVNDLCPGLSVQQLYKISTQYWDDKYNTESVSEEVLDEMRTLMTEESANSTSEGAFLLDEEISMPISLEEIADSMDVKEFQKVAPPSELIAIPAFQFLKS >cds.KYUSt_chr3.10903 pep primary_assembly:MPB_Lper_Kyuss_1697:3:64908180:64911404:-1 gene:KYUSg_chr3.10903 transcript:KYUSt_chr3.10903 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTLQFVGAFSLALFAVASSFDPFHRTGQSMNPMGSGGPFMPHEYVRFADVKRQCQSVLASAAELKFDANRAAALMPELSFLKADWQQDVNDGAPLMPFDGTDVPDGAAASDPLRLATFTLSHVDVALRGKAALNVSGVLGVAVSRNGTAPEMGPYVSPEFKVWPGSTEMKVLFEGVYTETGDGESVLCLVGEALLPRRGADAANPWDWARNSDRKNFQPPITKDKNVLLVIRYPTTLTLTTRAVRGELTSTNAQSDAAYFGAVTMLSQLGAYSNYKYGSEELVSTACSTKPYPDDVLGDGSGLYTGGSLCGILDLFTSEDVFAIVPNWKCNSTDAICRRLGPFEADKSVDATDGGFKDVGILMQDIRCDPSSGSGERTARVSAVFRAVPPSEHLYTAAHRSGLSGMTLSAEGVWRASTGQLCMVGCLGVGEKACHSRVCLYVRTGLSATRRTVVVGQITSIGGVAHSPLTFRRAMHPSELWNRFGVSGGAPLTMAYNYTKVKQAGEFLARSEPFNFGTAIAKSLLSYPRLSGDDQSSVADELTLHVPVVPDPFPRERFERPFLQLEVLSLGSLVGRNVPAIPGMQLAEDGRGKGRPTSEPQTETTPTTETATSILNVSAELTLSGDLYANVSTLFLEGVYNQVDGRMYLIGCRSIDAPWRAFSAMRALEDGMDCSIEVRVDYPPTTAQWLINPTAKVHISSTRDPLDPRRFDAMSLQTLPIMYRQQRQDILSRRSVEGILRLTTLVAAIGAEFSQLMYIKANTDVMPYVSLVMLGVQALGYSMPLITGAEALFARIAAAGVNAPPSYVVDKSQLYWTIDCVVKILVLAAFLLTLRLAQKVVRSRIRLLTRSPLEPGRVPSDRKVFLYSFGPHLLGFMVILAVRYVSAIGRPVQQEDSYMDASGRSHALRQWAVTLEEYVGLSQDFFLLPQVVGNVLWRINCRPLKKSYYVSLTVVRLLPHLYDYIRAAPAINPYFAEEYEFVNTSLDFYSAFGDVAIPLLAIALAAAVYVQQRWNYKIISRTVKTQQKKLQHLGSRVYERLPSMSSGNFEAELVAGVNEAAVGPRRDASLT >cds.KYUSt_chr3.16625 pep primary_assembly:MPB_Lper_Kyuss_1697:3:101909180:101910739:1 gene:KYUSg_chr3.16625 transcript:KYUSt_chr3.16625 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPDRRPRRSQTDHNSIPELEGRFSVQRFWPADLLLVFDSRANRDVLLIASTNPFEGRDFTLRFGVWNRQLQATRRRFCFCVHLELVGIPPIAWNLDTARCILGSFGWVERLGLETANRADLGTFCNTAWTDNLAGLPRSKQLWLAVFDDDIDDLPLPVEALIVEEVALLDYDATVHIVRVEDTCGEAGRPSAGGGQGPDPGGDSGGRGNGGGRGPPPDAPSATGHPCRCYAGSCSRSTVEGWC >cds.KYUSt_chr4.46654 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288393767:288396891:1 gene:KYUSg_chr4.46654 transcript:KYUSt_chr4.46654 gene_biotype:protein_coding transcript_biotype:protein_coding MFITATEWATEWGGAKNREAIAPFQRLPFYCCALTFLPFEDPVCTADGSIFDLMSIIPYIKKFGKHPVTGAALKQEDLIPLTFHKNTDGEFQCPVLNKVFTEYTHIVAVKTTGNVFCYEAIQELNIKPKNWKELLTDEPFTRKDLLTIQNPNALDGKVLGQFDHVKQGLKLDDEELQKMKDDPTYNINVSGDLKQMMKELGTEKGKLAFLHGGGGEKAQKERAAALAVLLAKKEEGGSKSGKEPKPPQAFSVVDAASASVHGRSAAAAKSTTAEKTAARIAMHRAGDRAPVNAKLVKSRYTTGAASRSFTSTSYDPVTKNEFEYVKVEKNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGQPFKDELNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSAAHLNFKHTVFGMVVGGITTLSTMEKVPVDDDDRPLEEIKLLKVSVFVNPYTEPDEEEEKAKEEEKNKDADHDKVGSWYSNPGTGVAPSTSAGGGVGKYLKARTAGSVDATGNGIAADDSSKKRKASAPSVEFKDFSGW >cds.KYUSt_chr4.33935 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208349299:208352386:-1 gene:KYUSg_chr4.33935 transcript:KYUSt_chr4.33935 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLAPLTAARLGRGLGQRHRVLLLASVRHYGALPAPLSVLAPRRRPPHPPPRHLTRSLAAATVVSEPQADLESVPATVSKGRIYHETYGCQMNVNDMEIVLSIMKKEGYNDIVPDPESAEIIFINTCAIRENAEQKVWQRLNYFWFLKRQWKANVAGGRSKSLRPPKIAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGQKGINTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSVVREVGELWDAGVKEVMLLGQNVNSYNDTSEVEELEPGKNWQLSEGFSSRCKVKDMGSRFADLLDQLSLEYPEMRFRFTSPHPKDFPDELLYLMRDRHNICKQIHLPAQSGSTEVLERMKRGYTREAYLELVQKIRNVIPDVGLSSDFISGFCGETEDDHADTLSLVRAVGYDMAYMFSYSMREKTHAHRNYEDDVPADVKQRRLTELINTFRETTRKIYDSQVGTTQLVLVEGPNKRAPETELFGKTDRGHKVSFTSLPVPHTSEGDGARKPVVGDLVEVKILRSSTASLSGEPIARTSLGMYCKNHASDASAVAA >cds.KYUSt_chr3.10618 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63025143:63026602:-1 gene:KYUSg_chr3.10618 transcript:KYUSt_chr3.10618 gene_biotype:protein_coding transcript_biotype:protein_coding MERGTPYLLLNVDGLQPIFLIPATACTAVRESRPPKLRPLRSCTGRRAIRFLGSVSARLLAVVRVHLRRSVCLINDYDYTMGDINNSHGGGGAAAGATFPVAMYVLFLSYPALLRVPCSDLMHVLSLMCMVNFPLPVSKLPPHHSLISFSSVKPQQPLDRLTSSGPISNMELPAASVPHSVCTAYCHLVTASATGQRSRRRPPPPRRRQGRCCAALKQHKTRLYILGRCLAMLLCWHDRDDSD >cds.KYUSt_chr7.24179 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150765427:150766119:-1 gene:KYUSg_chr7.24179 transcript:KYUSt_chr7.24179 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPQANDAEVEQELLSLGASISSSTTISTMCDGLRRLGDIYNAVEEIVGLPSNQVRKMLDGEMECSLELLDLCSTMQEIFVEMKAIIQELQVALRKGDDAAAQAKIQSYSRLARKAKKHFKKTTKKATSVGCKTVMLLTKAREISVSLLESSVHLLSKEIEMPKQSLVSKAFYKKKAVVCQEEQLQALECSIGDLENGAGHLFRKLVQIRVSILNILSS >cds.KYUSt_chr1.4507 pep primary_assembly:MPB_Lper_Kyuss_1697:1:27662348:27667003:1 gene:KYUSg_chr1.4507 transcript:KYUSt_chr1.4507 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGDHEALMAALMANRQLLEAKVAINNAILTANDVPTSPPGDFFVVGECDGQKTIDGEQVPLVLTPAIEGGTRHEALVAALRAEREWLEGKVVANSAVLLRGFGVRDAAEFDAVVEALGWPDIRYVGPAPRTHVHGRVWTANEGPLEQSVYFHHEMVLIKEFPEKVILFCELPPPEGGETPFVPSFRVTERALEEFPEMVEELDVKGLRYTFTAPSNNNTGSMRGRGWEDAFATSDKAEAEKRAKALGMDVEWLLDGGVKTILGPRPLTRVFPGRKGQRMWFNTVVGMHGKELSSATAADGSEIPASFVQRCEEIIEEESIQFRWRKGDILILDNLATLHGRRPSLPPRRVLVATCK >cds.KYUSt_chr7.7480 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45034180:45035228:1 gene:KYUSg_chr7.7480 transcript:KYUSt_chr7.7480 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAVSVLAILLVSCAVAAAASFDKEFDITWGDGRGKILNNGQLLTLGLDKISGSGFQSKHEYLFGKIDMQLKLVAGNSAGTVTAYYLSSMGATHDEIDFEFLGNETGQPYTLHTNVFTQGQGQREQQFRLWFDPTKDFHTYSILWNPKHIIFMVDDMPIRDFRNLEGKGIAFPKNKPMRLYSSLWNADDWATQGGRVKTDWSHAPFSASYRGFKADACVVVTGGKTRCGASVGTEAAPGTAGAGAGEWYNQELDLTRQQRMRWVQSNYMIYNYCTDPKRVAQGLPAECSM >cds.KYUSt_chr1.26902 pep primary_assembly:MPB_Lper_Kyuss_1697:1:162360610:162363144:1 gene:KYUSg_chr1.26902 transcript:KYUSt_chr1.26902 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSNSKKRTLNNRKKDEDATNANATAGAAGAVDGRPVRVYADGIFDLFHFGHARALEQAKLLFPNTYLLVGCCNDELTRRYKGKTVMDQDERYESLRHCKWVDEVIPDAPWVLTPEFLDKHKIDFVAHDALPYADTSGAANDVYEFVKKIGKFKETKRTEGVSTSDLIMRIVKDYNQYVMRNLARGYSRKEMGVSYVKEKQLQVNMKINKLRETVKAQQEKLQTVAKTAGINHEEWLANADRWVAGFLEKFEEHCHVMETAIKDRIQEKLGRQASKGIAGGFMRQPVAAA >cds.KYUSt_chr1.26490 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159658005:159658559:-1 gene:KYUSg_chr1.26490 transcript:KYUSt_chr1.26490 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQQERSELESMAREGQTVVPGGTGGKSVEAQENLAEGRSRGGQTRKEQLGEEGYREMGHKGGETRKEQLGEEGYREMGHKGGEARKEQLGEEGYREMGHKGGETRKEQLGEEGYREMGRKGGLSTKEESGGERAAREGIDIDESKFKTKS >cds.KYUSt_chr6.2059 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12284584:12285886:1 gene:KYUSg_chr6.2059 transcript:KYUSt_chr6.2059 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSWLPVVVCALLMMQAVPAARAARAFFVFGDSLVDNGNNNYLVTTARANSWPYGIDTPDHRATGRFSNGKNVPDLISESLGSEPVLPYLSPELHGDKLLVGANFASAGIGILNDTGIQFANIIRISKQLTYFQQYQHRLAKLIGPDQAARVVAGALTLITLGGNDFVNNYYLIPYSVRSREFSLPDYIKYILSEYKQVLRRIHGLGARRILVTGVGPIGCVPAELALHSLDGRCDPELQRASEAYNPQLEVMLAELNAEVGNGAGGNGPVYVGVNTRRMHADFIDDPRAHGFVTASVACCGQGPYNGIGICTMVSSLCADRDQYVFWDAFHPTERANRLIAQQYVSGTTDYISPMNLSTIIKLDRHLHD >cds.KYUSt_contig_60.425 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2535520:2535894:-1 gene:KYUSg_contig_60.425 transcript:KYUSt_contig_60.425 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGCLHESFVQPKAGALLVPHAALAPKTGALRANHGDDGKHCSRPRTALLRASHGDEGRWRSRPRPAMATSGERPYEGEAAASQEQRRVEVDEKQKRAGKRGGREIVEGLGTRKLASGLGVGR >cds.KYUSt_chr1.443 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2343606:2344513:1 gene:KYUSg_chr1.443 transcript:KYUSt_chr1.443 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSTRTGGPIHVGPWGNADPEHTITNIQMGPGEYLYELSGNADDSALLSLKLVTNQHTYEVGAPLEHTTFSMPLRNGKVVAFFGRSDNDHLTALGIYVPVMKGSPVNVGLWGDSGGVPVDITTPVQLKSITVYSTDSSDGRIYGFSFTYVDLTGQSIHVGHWGTIKGEKHTFDLNLQGEYVNKITGTTAGDNRMTSLKFTTNQQRDYGPFGSDRGNAFSMPLPDGKHNGAVVGFFGRSGKSHVDLGVYVGLAPNEP >cds.KYUSt_chr3.3574 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20247187:20247603:-1 gene:KYUSg_chr3.3574 transcript:KYUSt_chr3.3574 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTATAKRKRPDADIAATVVEEVSDAEVEEFYAILRRMRDTTRRFVSRGGGGGAGAAAGPVRAPAWRPSFSWEDFAPPAPPAAPPSQQQQQPRPPADERVAENGTPPRRPAGVFLDLNAEPEPEAPTTPRPERVAA >cds.KYUSt_contig_7377.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001496.1:115434:116406:-1 gene:KYUSg_contig_7377.25 transcript:KYUSt_contig_7377.25 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKGGTREQSRWFDRGGVTGVASRRPRRDDVTKYKTSATAASSCRSHPRSRSYFSVTKTMDQGAVEAVTKWQTVAPALPDDLTVRLPELNLTRADCREMSWVQYVAYIYFGDAINNAPLEALLLNRTMTLGRFYKNKSDYVREALTKDAWEKIFTWPSAAAEGQLVLEPQGGRMASIAADDTPFPHRAGVLYNIQYLESWVGGNTTTTPSWINTIYDFMEPLVSQNPRAAYANYRDLEIGVNQVVGGVSTYESGKVWGERYFGGNFKRLAMIKRKVDASDYFRNEQSVPPLLS >cds.KYUSt_chr1.41799 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256288476:256293993:-1 gene:KYUSg_chr1.41799 transcript:KYUSt_chr1.41799 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAEADRVRLRAAALRLDAGGDRDKPDSKRDVFADLSSPVSPLRMRATPTSSSSSAGSAKSPALCNAAPASRGSGGGRGSSHSGELLLPETACSSTNNNNPPRPPGHRRSGSGPLIFSGGSSGGSGSGGCGGVGSTATSSPLANALPAGNICSSGRVAASAAPRPRARPDVLGSGTGHYGHGSIMRGAGMAPARGSIDTGSSSARSPAPDTLQEVTRAGNEWYKKGRYGDALRHYDRALALCPDSAACRGNRAAALAGLGRLAEALRECEEAVRLDPASGRAHARLAALCLRFGMVEKARRQLTLAGNVNLADPAEWQKLNEVESHLGKCIDARRVGDWKSALREADAAITNGADASQLLLALRSEALLRLNKLEEADSTITNLLKLDSASLSSMSTKLSGMVADSYVHVVQAQVNMAFGRFDAAVGMAEKARLIDPGNAEVGLVLNNMKLVARARAHGNDLFKAGKFAEASIAYGEGLKYEPSNPVLYCNRAACWSKLGRWSKSVEDCNEALRIQPNYTKALLRRAASYAKLERWADCVRDYEVLHKELPADTEVAESLFQAQVALKTTRGEEVSNMKFGGEVETVTSLEQVRAAIHSPGVTVLYFMATMNQQCAQITPSVDALCSECPSVNFLKVNVEDSPTIAKAENVRIVPTFKIYKDGARVKEMICPSFHVLRYSVRHYAVSNSGIPMNQKRTYEAFFAGVVKAPVVEKIWCSRAPYNCKFFVWLAAKNRCWTADHLGHRRLPCPAACPLCDQEPETLQHLLLECVVEREIRAWVLRLWDKLDWLPDSDAELLQWWMSRSCPAAHRRDMWTAIILIFWCI >cds.KYUSt_chr6.30330 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192148084:192149505:-1 gene:KYUSg_chr6.30330 transcript:KYUSt_chr6.30330 gene_biotype:protein_coding transcript_biotype:protein_coding MGPATQQRRRTRARRTATSKPRPTADDDGDVRCEACGSGDDADELLLCDGCDRGVHIFCLRPILPRVPAGDWFCPSCTTSPKSGTVIQTKMPKQFPLIQTKIVDFFKIQRGPAAAAEPTEGKKRKRRALVVSKKRSRKLLPFTPSEDPARRLTQMASLATALTATGAVFSNDLTYVPGMAPRSANSAALEAGGMQVLPREDVETLSLCKRMMERGEWPPLLVVFDPVEGFTVEADRCIKDLTIITEYVGDVDYLRNREHDDGDSMMTLLSAAPPSKSLVVCPDKRSNIARYINGINNHTPEGRKKQNLKCVRYAVDGQCRVLLVANRDISNGERLYYDYNGSEHEYPTHHFV >cds.KYUSt_chr4.19902 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125148086:125149299:-1 gene:KYUSg_chr4.19902 transcript:KYUSt_chr4.19902 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRFSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRQWERELASYDGPPPPPPRNNAAGRRPTAHGCQFVVFRIGAEVILRAGEEGGGDVAFDAGARQEGAGVSAADREAQQRRPRHPRPTLPARAEEEGAEKGGRRGRRRKRGSPRRRRSARRDAAVAEAIAGRSTTWCPPTTPSPRTPPLRGPGKTGSARRRSDGGRLLDPAAARRLAARAARAAPTAADDAARYRRLATPPSGVVVPVVDLESSDNEWYKPSPGWGDAGQGSSRQAAPPRVPKVEDDGSDDGGDDYTVFYRRLGM >cds.KYUSt_chr5.25682 pep primary_assembly:MPB_Lper_Kyuss_1697:5:163400564:163407536:-1 gene:KYUSg_chr5.25682 transcript:KYUSt_chr5.25682 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIVLEAFNLDQGSEFSSIAQGGSAPSDTRPSEPTQSSFLHGLRAELDQQQPSLLFPEAALGSSQPNVIPDSLTTISQYINFMRDSFRSEGFNGNAQTVDNSEPRTMQRAHVGGNQNQQNQPDSVSAQHGLPTAASLAENMQSTRQLVDLAGALLSQLSTQLEDLGNVTDSATRSNIQQSAMRHGVLLQNLGSLLLELGRTTMMLRINPVPSEAVINSGPAVFISPSGPNPLMVPPVPFFPGPRSVQMGPVFSSLGSQGSVLQPRGADIHVRASGSVPVASTDPSESVGAQPAQENTDRTGNGSHSNVREAFARVAAVGAQPAQENTDRTGNALHSNVREAFARVAGGAPFAVGSGVRLVPLRTVVAVPAGISRPPSGSSSGGVGVIYPVVTRIQQRVNTNGSDARNGQTPNVPDNSDTHANLQLNPQPSEAHESGNLGPQVDVNVGNISQASHGQQNSQGPFSQLMDSLQWIGSLFSGENPRANGTSQHVPVVSAEPIHARNHTAPEVSGVSDEGMRFANLVREIMPFISQAENQPQSTPVDSSGTPPQCHGISGTRRHRGLLHPDGCPGTFSCKDHQLLEPARGMTNPAEDGPAGLAMPKPTDPISSRTLYIEADLDHGAAGHDQAGQDLVSADPKAAIHDLSRLLLIRL >cds.KYUSt_chr6.7100 pep primary_assembly:MPB_Lper_Kyuss_1697:6:43057767:43064691:1 gene:KYUSg_chr6.7100 transcript:KYUSt_chr6.7100 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFNVLLDSYWFNKPWFLTEGNLLLYASHLPLGVPNGRMLLRKMNITEFKGQDVPNATLSVQVASANTGMDNAGSTSRAMSADRACTEITLPQASSAQTPPAAQNDGNEGNAEVVSTPQAPRSDMRFDTLEDAQRHYLAFARRRGFGIRYNYRKKSEVTGEYIRAAMVCHKAGQQAKVKEDTQKPKPVVPERMKCSNIRTDCPARMVLKVRDGTWLVTEFCDDHNHPLIKKWSLTGFLRSHRDILEEDQEFIKILHNVNMETSRMMQVMATLYESVEGVPYTPKDMANFRSKLRAENKYVDMQNTMAYFEDLKSRDKDFYYRYKLDDEDRVQYLFWVDSAARRAYKKYNDCISFDATYMTNTYKMPFAPFIGINNHDPTQIVTAVTRCSGGDSGDDDGDDGDGDGDDVQLDDGDDGIDFPLREGISPADVSLPESSFLSGVFRLAEAAVTLRDYPLELRILTLVRDPPIIMKHAK >cds.KYUSt_chr4.10631 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64583744:64585503:-1 gene:KYUSg_chr4.10631 transcript:KYUSt_chr4.10631 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFLLSVLLAAGAVALLQVLKVTLNPISERAPPGPWKLPVIGSMHHLVNVLPHQALKNLADAHGPLMMLQLGQTPLVVASSKETARLVLKTHDTNFATRPKLLAGGIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVGMLVEQIPAAGPSTPVNLSVMFHSTTNNIVARAAFGKKRKNAAEFMSAIKSGVGLASGFNIPDLFPTWTTVLATITGMKHSLQGIHKTVDAILEEIIDERNVARADKIKAGATENVDENLTDVLIGLQGKGGFGFHLDNSKIKAIILDMFAGGTGTSASAMEWGMSELMRNPTVMAKLQGHIREAFKGKPVVTEAELQASDLRYLKMVIKEALRLHPPAPLLVPRESIDVCELEGYTIPAKSRVVINAWAIGRDPRYWDAAEEFQPERFEDGTVDFTGNSYEFLPFGAGRRMCPGFNYGLASMELALVSLLYHFEWSLPEGVAEVDMEEAPGLGVRRLMPLVLLATPFVPVVA >cds.KYUSt_chr2.37352 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231297015:231300683:1 gene:KYUSg_chr2.37352 transcript:KYUSt_chr2.37352 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVGNGLAELGQPELHEEAAAAAAEPLPEELEPEAAAGVREIVLGRNVHASCFAVKEPDADDEDTGEREAAMAAVLARYRRSLTERTKHHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWDLEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLVSGEIDCADFGRRLFENKDKPAIINVNIGTTVKGAVDDLDLVIETLEKLGFENRFYIHCDGALFGLMMPFVKLAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLKHINALASNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKGRLKDAGISVMLNELSSTVVFERPKDEEFVRRWQLACEGNISHVVVMPSVTISKLDSFLNELVEKRATWYEEGICQSPCIAGDIGQENCLCTLHKM >cds.KYUSt_chr1.7943 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48782809:48787069:-1 gene:KYUSg_chr1.7943 transcript:KYUSt_chr1.7943 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDQADMGILCIPKVQNLRLVGDKTQCPYAKIVWFGCLRRLGLQLQEPQENTNLERWWTEARKRLRMEDRRGSGGGDAEERSEDGEKVGLPALEVALAFPQATPASLFPPAVSDYYQLDDLLTDEEKALRKKVRAITEKEIAPIMTEYWEKAEFPFHAIPKLATLGLTGLTMKGYGCPGLSITASAISIAEVSRVDASCSAFILVHSSLAMSTIALCGSEAQKQKYLPPLAQLKTVGCWALTEPDYGSDASSLRTSATKVPGGWHLDGQKRWIGNSTFADVLIILARNADTKQLNGFIVKKGAPGLRATKIQNKIGLRMVQNGDVLLNKVFVPEEDRLTGINSFQDISKVLAISRVLVAWQPIGISMGVYDMCHRYLQERKQFGALLAAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLAKAWISSKSREVVSLGRELLGGNGILVDFLVAKAFCDMEPIFTYEGTYDINTLVTGREITGIASFKSAVLAKARL >cds.KYUSt_chr3.43844 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276775725:276777894:-1 gene:KYUSg_chr3.43844 transcript:KYUSt_chr3.43844 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCDFDLNVTYISCGWEGSASDARVLNSAIQRGAKPRAQWNILLEKSLVEILHEHDTPYHRGQNGWSGESWSAMVDMFHRRNPHVRFDKSQVHDKEKELKRDYRMLKDALGQSGVGWNESKFMLDAEPHLWDNLAISFGPRILKFKKKPFPLYETLANLYHKHTAEGNFNFTSTADQKPHMDIETDSDDDDENRDADFEILEQPHVEHVQDNQVNQRQVGLEAGLEHVLATQTQASVTSVEANQRNGAGPSTNKPRKRKSSPKMKPKSTGDALVGVIDRFVNIKEKEVNNEAAQQFTISKCIAALRTLEGFDPAKKPKAFVVFKSVDNREIFLSSVEDNDGSALAWLNGEMAMLP >cds.KYUSt_chr1.21662 pep primary_assembly:MPB_Lper_Kyuss_1697:1:127926001:127928633:1 gene:KYUSg_chr1.21662 transcript:KYUSt_chr1.21662 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGAHAGREVADLIGQIWHCLAPLLFLVELRWSELEMRRWREAKNSINKAVLGGSGGLMCSGSPLLLPRRGDERKKFLLVVFRWPLHLQQRRLASSRRIYTAPLSPDLMAGRQPLLPCMVATGRLQDPRRNSTAPLPPYPTAEGRPLLPRATATSRPLSSNFLRQAFVPTRRFFKLDGVGSHRCAPSGFVPGGTEVDSDELCSGEAGAGPDRFFQFLLEPRNGLAAERGLVPEQIWWTALIAADRKQGITTEEFRSSRSTTEVLPYVSSSCQGRQRRPRLFM >cds.KYUSt_scaffold_719.427 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:2891823:2894196:-1 gene:KYUSg_scaffold_719.427 transcript:KYUSt_scaffold_719.427 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSLILLKMLHAVIFLEGISSPIAAHILDFCDDGLDDNLFAAVASTSDPFGASSEDVSSSSTATPPLCSYSDDITAAAATTFSPLPCFDSTLSALLEEEQNPDPDTELVPPINETLVAPGCYQATTGEASVEQFSHIQFPESIAEPLPTMQMGMTAPMLGFNEECFTAALAAGYMSLDGAIYQQTGTMIPSCNAEASQVGFFNGSSANSNGMVVLDMNEIGEYQRMMEGEGLTRTYAGTDSMQGTYNNTLEMQMEENNQHLVSGCNGSPPTLPPTEVSGLEDSTFKVVRLSAEQRKEKIDRGVQMKKTYLIHPIFWLI >cds.KYUSt_chr1.10568 pep primary_assembly:MPB_Lper_Kyuss_1697:1:64684672:64685079:1 gene:KYUSg_chr1.10568 transcript:KYUSt_chr1.10568 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGGGVSCAVAGEAPTPASHCAGLLGLTINPPGGEPPAERIGRLVRESPVVIFARRGCCMCHVMRRLLAAVGAHATVIELDEAAEEAAASAAAAATVPALFVGGLPVGGLDGLMGLHLSGHLVPRLREVGALCG >cds.KYUSt_chr3.36974 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232629981:232632276:-1 gene:KYUSg_chr3.36974 transcript:KYUSt_chr3.36974 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSTSRAPRRPRIRSRAPPPTPIRTARGVRSAAADELVLAEFLEASQRVPALTLPVKKKRFDFPAPPPAPDIPAHDLLTDDPAAARTAVTAAEEAGAFRVGGAIGVGEVRAAMEAAEAVFGAPEVPKREIGRLFRGRDRVVGEELYLPWPVTADMDRLLEAALPRSTYRAFRSSIAAREKMDVVASKMEDLARCVVRVLSDNAKNPRDAALPREAPSVLCLTLYNCNKLKTSWGGFGSTERPNSYALSAHLSGRDQEICLRNQGGSTFFNLPAGSVLVTVGKQIQEWSNGEFKSAIGEILFELTDEPNPFMSLELLYSPGDLNLGEVSRHASSIDRPKIVPLRDQILIALVLLILYYLFWR >cds.KYUSt_chr5.9280 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58915567:58920571:1 gene:KYUSg_chr5.9280 transcript:KYUSt_chr5.9280 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLVLVLLPLGLLFLLSGLVVNTVQAVLFLTIRPISKRLYRQINVFLAELLWLQLIWLVDWWAGVKVKLYGDPETFKLMGKEHALLISNHRSDIDWLVGWILAQRSGCLGSAIAIMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKSGLQRLKDFPRSFWLALFVEGTRFTPAKLLAAQEYAVSQGLTAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIIPEDSPKPTMLRILQGQSSVVHVRIKRHAMSDMPKSDDDVSKWCKDIFVEKDALLDRHLATGTFDEEIIPIGRPVKSLVIPTVDPTLVDMERSHHLLYWTGNGDSCYACIHHVLAGRTLKLR >cds.KYUSt_chr3.33643 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211267939:211268355:1 gene:KYUSg_chr3.33643 transcript:KYUSt_chr3.33643 gene_biotype:protein_coding transcript_biotype:protein_coding MALHRSPPSAHCSPVGVAWPSPNHGLRLRLRAVRPATGWSSILYATPPGFAVKRRQGIRRSRPGIGKSPECASAHGAHSRTWQPGAIDQSPLCMVPPGSTSELAGYVRYLQMRPARAGAHDGDAALTSHVKPFDHHHP >cds.KYUSt_chr3.33215 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208475787:208476619:-1 gene:KYUSg_chr3.33215 transcript:KYUSt_chr3.33215 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMGMVGGIGIGDGGGVFSEMAAIMAQRGDPAFEVNDQQQGGARERRRNPSRRLRTREGEKVGERRTGDGGNRLGERIVGSGPLVGAFGRGGASDQNDDQKVLLSSGTFFVAQHVPPAEPAAFSSIRPWTGRNPPATRKSTALGTKAPPSRTTISTNSVNANFKIFKNQLRHHGRWPEGKHAATPRSSERAGDGRSAMSLHRSTQSRGEDRRRQKLLRPRRHLHNAAGKHPTHT >cds.KYUSt_chr1.27604 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166324787:166325177:-1 gene:KYUSg_chr1.27604 transcript:KYUSt_chr1.27604 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTKLVAILVLQAVLVMGILSHVNAQFPKCCDNCRFFSGAVVCDDAGPQCREGCVNCRVVQATPPMTFRCADARGDDGSPCPPCNK >cds.KYUSt_chr3.32692 pep primary_assembly:MPB_Lper_Kyuss_1697:3:205454927:205455445:1 gene:KYUSg_chr3.32692 transcript:KYUSt_chr3.32692 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAMVLVMLAAVFTAHADPGFISSTCKKTKNPSQCVAVLRADPRSAKASTEHDLASIALQIATDTAEHNGEVIKEAAKNSQGTPEGDALLGVCVGAYAKAASDLGIDARPWFDSGDYTGAWKLISGAKDAGDVCENAFKGIGIRSPVTDIDRQMTERCDVACDLVRLLIPK >cds.KYUSt_chr1.11487 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70486889:70490046:1 gene:KYUSg_chr1.11487 transcript:KYUSt_chr1.11487 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRPRSRADPLLPLLLLILLAPLIYSVSRTRLSWAPEQGLNLPHPAATKRPDVLVFGPAAGQGRPDRIQCQGLKAVNKISLASERKHSGEPVSFVTVFATYNSHPAGAAKVPSDDVTVGKHSYSKVERSMAILNTFVSFIQVSMPTSKVIILTDPKSKLSIHQGRATILPIEGNYSRGNLMLQRIKSYIAFLELKLVEVDQVNSFSNYIFTDSDMAVVDDLGHIFKRYPHCHLALTFRNNKGQPLNSGFVAARGTSDGISKAIEFFRKVLEAYNLKYMKASRMLGDQLALAWVVKSYLPSAFRKFSRPEIFTDEVNGASVLFLPCAVYNWTPPEGAGQFHGMPLDVKMILAEHGSVWHRLQLCSSESFPESRGCLMQRAIAGIQIVHNGNRVIQNARRAQV >cds.KYUSt_chr7.22780 pep primary_assembly:MPB_Lper_Kyuss_1697:7:141405807:141406331:-1 gene:KYUSg_chr7.22780 transcript:KYUSt_chr7.22780 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYCVILPQPLILVLQLLDFLRHAVLLCLSSLGLAAPPAADDHPAYAPPPDLWASEEDDDAATSPYLATGPAPAPAVIKARLPAVRYADLRSRRSAAAGGAAASTTCCAVCLGALEARHRVRELGNCAHAFHKACIDKWVDKGQATCPLCRALLLPIDADAGELSSYSF >cds.KYUSt_chr3.37925 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238628863:238629928:-1 gene:KYUSg_chr3.37925 transcript:KYUSt_chr3.37925 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQLVADRIKEVTRPLRDEAAAIKLWLACAVGSWERAEEASTCGVGRAPARASDARLRDPELLESFGPYSPVRRPCDSWVASTPFTCILNVALVLLLFCRMRLMTRLLPLRVHSRLEASAFEHVEVVDVLAAPLVPFVEDPEVADPTKLCDFLANLASKKLALMSPLCEPLAEIPAPSVVVPETVPAEDIQVDPGDPAADKLNVFLSSIIRPAPPPILASPPSRRARAPKEVATTPRRSGRIEKQKQSRKDATSQELLARVLGVLKENAAFDDNTLAALIDKFKTPLSPCSIAILGSLVKNVEKVKKPKGNKVGAKKKAVEIT >cds.KYUSt_chr7.34980 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218563759:218569782:1 gene:KYUSg_chr7.34980 transcript:KYUSt_chr7.34980 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRTVASDEEDDFIEADEQDPQLSHREEDDMEDQDDDDGEELEGGEEDDDDEDEEEGQNEYENDGFIVDEDEEEEEEQPGSDDERRRKKRKKRGSHEYTLDEDDIALLQENNNGMANEPVKKYKRLKKARSQSETGEGPGYVDDDGSGNAYAEENVQDEIIEEDQQTGEDYIDDDDDFIVDDEIDERGQVVKRNKAFNRTLRHAEGVTSSALQEAHDLFGDVDDLLARRAKDLERTASNSSALTENRLEDEFDPIILADKYMTPRDEIIKQTDVPERMQLSEELTGTGEELTKEQMEEESLWVHSQLTADGFISFAGNEHVNTEIDQKDILNVLTMLHVNKFEIPFIEMYRKESCPSLLTGYYASAQKNAEVAPKMRWYKLLWAVETLDRKWLLLQKRKKALKGYYVKRFDDEKQKIDDVTRFAQRQQLHDTIIEALNDAKSEKEVDDVDAKFNLHFPPGEVEVEADELDSGKDSPEDVAANFTCAMFETPQDVLRGARHMAAVEIGCEPIVRKHIRSIFMDKAVVSTVPTPQGNLIIDPHHQLSRIKWLREKPVTKFVGAEWLLIQKGEDEKLLKVTVKLPEDVKKHLISDARENYLSDCVSKSAQMWDEQRKMILDDAFSSFLLPSMEKEARSLLTANAKSWLRMEYGKQLWDKVSASPWKKKKDEREKDDDEIDLDEESELRVMACCWGPGNPTTTFVMLDSSGELVDVLYAGSISTKSRGGADEQQRNKDNQQRVVKFMTDHQPHVVCVGASNLNCRQLREDLYEVFFRIVEDHPRDINEQMANFSSVYVDESVPRLYENSQISSDQLPAQTGIVKRAVALGRYLQNPLATVATLCGPRKEILSWKLHTLQEFLTPEEKYEVVEQVMVDATNQIGFDVNLSASHEWHSSTLQFVAGLGPRKASALQKELVREGSIFSRKELAEPLRRKVFHNASGFLRVRRSGAAAASTEIIDLLDDTRIHPESYALANKLAKDVYGEGAPRERDEMDEDEQEMAIEHVRERPDFLQRLNIDEYIKSIPEDLRKRDTLHDIKTELLCGFPDCRTPYAEPTPDEEFWMLSGETEESLSDGKIVQVTVRLIQESRIVCTFDSGLKAIVLGDNYSDDGFDPESLRIHQGDILTGKIKNVNRNRFQVYLTCKDSDMRRSLSTRNHDPYYHEQAMVSQDELDKARKQKELAKKHFNLRMIVHPQFQNLTAEEAKQFLSDKEPGEKVIRPSSRGPSFLTLTLKFFDGVYVHKDIAESGKDRKDVTSLLRLGKTLTIDGEDFEDLDEVVDRYVDPLVGHLKSMLSYRKFRKGLKNEVDNMLRAEKAGKPNMIVYSFGISHEHPGTFILTYIRGTNPHHEYVGLNSKGFRFWKRDFDCVDRLVSYFQKNINRPPIDAGASARNVAAMVPMRVSGGGATASAFSHAGNYSGWGSAGKSAQDGGSSGWGSGRGL >cds.KYUSt_chr4.9136 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54992280:54992678:-1 gene:KYUSg_chr4.9136 transcript:KYUSt_chr4.9136 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDKSAVPAKKIWLAIAARVGIRPSAGLRNLRKEVRTCEYRDVHVMWEMLREMGSPAAPLEEKEAAAAAAVAAAAGARKKKAAWRRLAYYCCAF >cds.KYUSt_scaffold_869.752 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:4697463:4701360:-1 gene:KYUSg_scaffold_869.752 transcript:KYUSt_scaffold_869.752 gene_biotype:protein_coding transcript_biotype:protein_coding MKMCTPSIGMMESLTGSILAGIQHLSGGTIGKLLAYKTRPTMIVSPTPPKSEPVRFRTPDAAGSTVDANCRCLVDNTLEEELSSFTMKRLKEEAVSDEEQPMKPKPLLLLAPMFPTPSAHAVATDPPLLDLTPFRPSSSSAPHSPAPASFDAQICLKGAPISGAFSTPHHEDECSRKDYRRSRELKKLASVRRSTKRARPAKVSDQANVKLRSRKSVPNKELCVWQPPLSDNPRESAEQIMIMFDSLRRRTMQLDEKEDSSKRADLKAGGLMMQNNMRINNVKMVGHVPGVEVGDIFFFRIEMCIVGLHAPAMGGIDYMPVNSVGKDESLAVCIISSGGYDNEEDDTDILVYTGQGGNSRKKEKHDQKLERGNLALMNSKNKNTQIRVVRSTHDPFRHSEKIYIYDGLYRIEESWMEKAKSGFNVFKYKLRREPGQPDGISVWKIAQKWKENPATRENIIQMDLSSNVENIPVCVVNDAGNVEGPIHFNYVTGVKYLRPLSREKQLQNCKCHRVCLPGDPDCSCVQQNGGDLPYTLSGLLVKPTPILYECSSSCKCSENCRNRVTQKGIELNFEVFWTGDRGWGLRTWDPVHAGAFICEYAGEVIDETKVNIDVKEDDYIFDTSCTSEKVSRWNQGTELLEEISRGATTENTKDLPIIISAKDSGNVARFLNHSCSPNLLWQAVQYDHGDDRYPHIMFFAIKHIPPMTELTYDYGTRGAPPGFEGIFPKACKLKQCLCGSTNCRGYF >cds.KYUSt_chr3.1096 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5957115:5962158:1 gene:KYUSg_chr3.1096 transcript:KYUSt_chr3.1096 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHREVALSGIVVDGRSNEVMQIFTMKNIQRFDGGDGFCSVRMRYSLRVCSIGCALLFRLEGVSGCCVSDFDFLYMIFVTLLLNKMIEMEAKAQGGKRSRTNGGSGTMTVDRKEVERERRQHMKELCAQLVSLIPKEHCPSTDTLSLLGSLDEATTYINKLKERVDELHQRRSFAQAEARLRGGACSCVSTLMNCGTGSKLESVKAEKASVAPVVEVRYHVDSSMEVFLICSTERSIKLHEVITILEEEGAEVVNANHSVAGHKIFYTIHSRAFSSRIGIDDSRVAERLRALV >cds.KYUSt_chr2.29536 pep primary_assembly:MPB_Lper_Kyuss_1697:2:181749271:181749972:-1 gene:KYUSg_chr2.29536 transcript:KYUSt_chr2.29536 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFLQCSGSTHMPLPLFFVFFLSVLLFASMEAAHSDGAVSPTGRLPLRLLEIGTSKEQEDEARGEMMKMERRSLIGSRPPRCERVCMSCGHCEAVQVPVAPQHHKKKAGQERPVVSAIGAAMFTTYRVNGGLSDYKPLSWKCRCGGIILDP >cds.KYUSt_scaffold_869.255 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1546178:1548798:1 gene:KYUSg_scaffold_869.255 transcript:KYUSt_scaffold_869.255 gene_biotype:protein_coding transcript_biotype:protein_coding MATGASCYYHPAAARGNPSSPSLGLRPSQSKVVFTSGGGGGSRWWMRRRRGEGMTSRGSISRARARPALFSPVAMEWQECSTHDYAYDNLQILEDKPDLSRWTLKGAVRFFPKSPSSCRVQLTVAYEVPEILNPVASALKPFLEGLLFNGLERFVAYAKQQYSKTLQS >cds.KYUSt_chr2.36883 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227909277:227909777:-1 gene:KYUSg_chr2.36883 transcript:KYUSt_chr2.36883 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAINTSSTAACNYLCLDATPTDAGDQLDSDLLDFMLDFDSTFEEEHGDDELDSTTQSIKQEKKMKKALKQLYEALRDVFPHQLAESSGFIEDMVNEILACPPSDDFHAGLMDLMALSKDKDAIKRATDLAARKWQINEKKFQCSKLKANNMPSERRSWFCSKPS >cds.KYUSt_chr5.17505 pep primary_assembly:MPB_Lper_Kyuss_1697:5:112941385:112946401:-1 gene:KYUSg_chr5.17505 transcript:KYUSt_chr5.17505 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLPSASGKGTALLQVTSEAKKQVRLAAPLIVGCLLQNVIQMISVMFVGHLGELALASASMATSFAIVTGFSFLTGMSCSLETLCGQAFGANQDHMLGVYKQRAMVVLGVASVPIAAVWANTGWILLRLGQDPEIAAGAGLYIRWMLPSLLFYGWLQCHVRFLQTQKIVVPMMVSSGVTAANHVLVCWALVYKLDMGIKGAAVANSISFLTNVSILALYVRLSPSCKTTWTGFSCQAFDDIPAFMKLAVPSAIMVCMEWWSFEVLVLLAGLLPNPKLSTAVLSIWYIFLFSRTSEGLLLILVRHLWGHAYSKDQEVVASVAKMMLILAPSVLFDGLQYVLSAAGLIMGVCEMAQEEWRPLYKGPC >cds.KYUSt_chr3.47078 pep primary_assembly:MPB_Lper_Kyuss_1697:3:295695468:295695872:1 gene:KYUSg_chr3.47078 transcript:KYUSt_chr3.47078 gene_biotype:protein_coding transcript_biotype:protein_coding MLPELPILLFLLTLATLILSSLTHRRGRGDADLASDREGWRPTVPTRSVVLWPAFRTALHRLASSRRRGRHRAHGPHLPIQRVLLFAIFLANLVAAAITNLVFVLSAGVPFVILPAVFPVRDDLETAFGNNDSL >cds.KYUSt_chr2.52697 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328765088:328767679:1 gene:KYUSg_chr2.52697 transcript:KYUSt_chr2.52697 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDHLRVSLMIIADDDDEEEDQEHYGGGEDQEEEVGGEDLEEEGGGEDQEEEGDEEDLSEDEGLGNLVFDPDPSLEWCEPEDYQYVPALERLRPRDRKPYRRGITQLPSLRSWRYRHVVLVPYGRRDRHVGTRTSLGAYLGGISPGIVNLPTGGCDVAWRWAHYSLAEDPLGRGTAADMVVAKFWKYFKRAEGKENACDDVLHQLARKRVTGMHYEARVQCVRDWHADRFVHMSKEDARDTLMQPWQYLQNPPQYVGNDDRCFRAMVMWWTCPQYLKKHEEGKAKRAEMRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQCTAYVSKFKQKHGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEHARNMERQILEYQQQQTQMMQRMQRAAADDAAAASTDELAHEPRRLCLLHRGVFLLHLTPCGCRHRPLRPRGHLSPSTT >cds.KYUSt_chr5.37878 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239263963:239267427:1 gene:KYUSg_chr5.37878 transcript:KYUSt_chr5.37878 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRAKANIRNAVNQICNILAIRYKMYLEKERISKVKALSNSSCKNFADSDSEQFNWDNFDDPEIRNALDEILEFIRVGKMGQPKRISPENERNNDSNDMAEEIPTEQVAGNGMKPVTLSRHFFLDASYSPFPFGSGKKASGFSKWLGGQKKNIGDSTVYLHPDLQCGEIVHLFFLVLSGELLISPSLPCEGVGEGDEPNSFSPLIEDASELDDRTNKRKAAELESSRTKKHKPLPKIGTQSISLVIDTLERFQLAIKEDVIRWMDVVNPQTCRTLLGKLTIDKHLYVAHVGVPAPSPPTMLQCILRQQTSHHKEQSNSGRRYFANPMSTFML >cds.KYUSt_chr3.34088 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213836155:213836499:-1 gene:KYUSg_chr3.34088 transcript:KYUSt_chr3.34088 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQALTPFLLAGSLVVAFLATCQAGSIAVYWGQNDGEASLAETCASGNYEFVILAFLPKFGKGQTPELNLASHCDPSSGGCRSQSKDIQSCQRSGVKVLLSIGGGDGTLQEWC >cds.KYUSt_contig_1467.41 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:322072:324796:-1 gene:KYUSg_contig_1467.41 transcript:KYUSt_contig_1467.41 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPDLTSVTAMGLPPSCVSLFHERTSQKKEESERSRETLAAAAAMPAEGTRMAGLWEREVGRLRPKRFANAFMASRDFVQSLGIQKRLREHRGCVNTVSFNSSGSLLLSGSDDMTLVLWDWEAGTPATSLHTGHENNVLHAQFMPSSGDRSIVTAGADGEVRHLQMREGGPVVVDRFVELEYPVHRLAVEPGSPHTFYSCGGDGSVWHFDLRGKEATKLFSCPSINDKTTKIIELYAVAMDPRNPCYFALSGSDEYVRLYDRRKSFVNGGSLFGTPVEHFCPPHLIGQNKDGITGLAFSQAGEMLASYSYDNIYLFEREHGLHFNNFKVGEKLLMDETMGATLPQTFKGHQNMQTVKGVNFLGPNYDFVASGSDCGYVFIWRKKGGELIRVMKGDKRIVNCVEQHPSESIFASSGIDTSIKIWGAGGSEDPSVANFDEVDDPDPYLFSMSSDSDSSEYMDDYIFAPVSGSSSDGEDEDDDSSGEDTTSSDEGDTEEMAEGDESEEEEDAEEMVDGDEVVEEEVDKGGDGDMDDE >cds.KYUSt_chr5.40920 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258319744:258320220:-1 gene:KYUSg_chr5.40920 transcript:KYUSt_chr5.40920 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAVGRGLEDLELWLGGLFTAAEIAAADLLLQLSVAGARRDDEAAAAESSSATTCSSRRSANPCLEDLDAEAEKRVVVKEPPVSTELDMRARKRYRLLSDLYSATSPLTSAFASASASSSGAKKKKKRKRHHHHGDDHTFGSSSSEGATRYGGDHY >cds.KYUSt_chr7.25424 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158664512:158669983:1 gene:KYUSg_chr7.25424 transcript:KYUSt_chr7.25424 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPPASSGSGLSDACSVTPALRRTENGSTASASAAAAGAAMEERFAGLCKTKLALNESTTRQAMQLFKETRVILQSSMSSLATGSPEEMERSWSACVLYCVSKLSAGEKAKIDGGITLRQILRAFELKIVDFFKEMPQFCIKVGFILTGLYGSDWEKRLELQEMQAGIVHLCSLGRYYKRAYEELFLSNDGKPADNSSEPSAQQASEHYRFGWLLFLSLRIQTNSRAKNLLTSTTELVSVLAVLIIHIPVRLRNFSIQDSSCFAKKSDKGVNLIASLCERYLTSEDELRKALEKTNTLILDILKKKPCSDATELQQGNLSFIDPEGLTFFKNFLEEDSLKSSLQTLEKEYENALNTKGELDERMFANDEDSLLGSGSLSGGAIKLPGTKRKYDVMTSPTKSTASRAPMSPPRFCPSPTGNSFCNYKIAPSTPVSTAMTTAKWLRSTITPLPSRPSGELLRFFSSCDKDVTDDITCRAAIILGAIFTGSSFGERMCTSVRNTNGMDAIWTEQRKMEALKLYYRVLESMCRAESQILSGSNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIESFVRHEDTLPRELKRHLNSLEERLLESLAWEKGSSMYNSLIVARPALSAEINRLGLLAEPMPSLDAIVVHHDISVGGLPPLPFHKQELLQDKDEVRSPKRACTERRNVLVDISFRSPVKDTIKSKSLPPLQSAFASPTRPNPAAAGETCAETGIGVFLSKIAKLAAIRIKGLCEKMNLHQQTIESVYSLVQQIINHHTALFFNRHVDQIILCSIYGVAKVTKLALTFKEIIYSYRKQPHCKPQVFRSVYVHWPSRTRSGKIGADHLDIITFYNEVFIPTVKPLLVDLGPCTSPNKNSEEKSASDVPFPESPRLARFPTLPDMSPKKVSATRNVYVSPLRTSKMDTLLSPSSKSYYACVGESTQAFQSPSKDLKAINTRLNSGKKVNGKLNFDVVDDQVVARSLTDQNGASAAPVAVVGTKTPVKSEQADS >cds.KYUSt_chr3.22247 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137315617:137316356:-1 gene:KYUSg_chr3.22247 transcript:KYUSt_chr3.22247 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRGALSVVVVMVAALAPFLLLAGVARADCFAYCFKNCIGKDKSMTDYCNYACDKTCDPGAPQRPLAAAAAGSDMGCQLSCARSSCNRLAPDCKAVETCFGACYDGCKTKPLPRPLRAGAGGSVSAASSGPMFTSSEPDKDDAATEKHPFHEKQDDVRPSSGAPDQDGSGHAIKASSSLNCNFHEKQDGVQPASEQQRTPLSEIHAAPPGPL >cds.KYUSt_chr6.33884 pep primary_assembly:MPB_Lper_Kyuss_1697:6:212354921:212355697:1 gene:KYUSg_chr6.33884 transcript:KYUSt_chr6.33884 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSHSNLPQLLPMLFILLLAAAAGSDFVLSQAAAAEIALEGCPDKCGDVSIPYPFGMGKAGCFLPGFEVTCNASFQPPRAFLAYTPGDGNATLQIKQSVAYSGADNSTSQLSTEQAPLELMDVSVEKAEARVYSPVMSYCRTSLSDRLMKTTGVQLDNKGPFLLSVTRNVLVGVGAWRSEVALVTMLSIASNTSESFILYCLSDINGILRYASNGSCAGLGCCQAAMPPDTVPLTVFLLGVKKRQFDPEYPGGNSP >cds.KYUSt_chr4.47400 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293317737:293318006:-1 gene:KYUSg_chr4.47400 transcript:KYUSt_chr4.47400 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGAAPAAAAQENKEAAPAPATSCFKGTVAEDATFVEVAKEQYRQFKEAPMEEHWSCIKNKVLSVFGEPPSVFGGPKDNKASSVESQ >cds.KYUSt_chr6.6099 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36636995:36638374:-1 gene:KYUSg_chr6.6099 transcript:KYUSt_chr6.6099 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPRQHLACGTDEVAAAMSMHAPSLGRGVDDIDEFEEKGGVVLQEEAASATMYIAGSTAWAAAVEEHWDEKRFYPPVPPPASSGRAKAVSSSSAAAVRKRRRRPKAVKNREETESQRRNHIAVERNRRRQMNDYLSVLRSAMPPSYAQRGDQASIVAGAINFVKELEQLLQSLEAEKRRRSPLPSAPPFTGLFTSPQYSAGIGADSGDSENGRGVRRGLADVEVAVAESHANVKVLAPRRPRQLLRMAVAMQCLGLTVLHLSATATADHLVFYSFSLKMEDECRLTSVDDIAAAVHQMVLDTVEDQLAS >cds.KYUSt_chr4.28811 pep primary_assembly:MPB_Lper_Kyuss_1697:4:181039376:181039693:-1 gene:KYUSg_chr4.28811 transcript:KYUSt_chr4.28811 gene_biotype:protein_coding transcript_biotype:protein_coding MEENDAVATAHAGPLIPDLNLPAAEETGEENEDAPPAPSLGLPTPSPKARVLLRRLASAMAARPAGIRRGTWSPEALGLTGRFAELRLNEAAYHFSFSGEGSSRR >cds.KYUSt_chr2.28472 pep primary_assembly:MPB_Lper_Kyuss_1697:2:174794605:174795677:1 gene:KYUSg_chr2.28472 transcript:KYUSt_chr2.28472 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKIGGRASSVMLPSSARPSSHVARVTCSLQSDIREVANKCADAAKMAGFALATSALLVSGASAEGAPKRLTFDEIQSKTYMEVKGTGTANQCPTIDGGVDTFPFKAGKYEVKKFCLEPTSFTVKAEGIQKNEPPAFQKTKLMTRLTYTLDEMEGPLEVGADGSLKFEEKDGIDYAAVTVQLPGGERVPFLFTVKQLVATGKPESFSGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENVKNASSSTGNITLSVTKSNPDTGEVIGVFESVQPSDTDLGAKAPKDVKIQGVWYAQLESN >cds.KYUSt_chr3.42658 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269736895:269737257:-1 gene:KYUSg_chr3.42658 transcript:KYUSt_chr3.42658 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRCHVALAFLVVSAVLLVAAATPHHELDPAKWATDQDHDGGAVMRVSACTVEDQEEGCEGDAATRRQLAGNSYIGYDALRRNAVPCSYRGASYYNCRPGAQANPYSRGCSSITRCRG >cds.KYUSt_chr7.23129 pep primary_assembly:MPB_Lper_Kyuss_1697:7:143690832:143696168:-1 gene:KYUSg_chr7.23129 transcript:KYUSt_chr7.23129 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLG (mixed-linkage glucan) synthase, Biosynthesis of MLG (cell wall polysaccharide [Source: Projected from Oryza sativa (Os08g0160500)] MAPAVAAGGRVGTVEAPAVAAAASQKKPCACGFQVCACTGSAAVASAASSLDMDIMDSGRIGPPGNEGWVGVELGPETDESGAVVDDRPVFKTEKIKGVLLYPYRVLIFVRLIAFTLFVIWRISHKNPDAMWLWVTSICGEFWFGFSWLLDQLPKLNPINRVPDLAVLRQRFDRPDGTSTLPGLDIFVTTADPIKEPILSTANSVLSILAADYPVDRSTCYVSDDSGMLLTYEALAEASKFATLWVPFCRKHGIEPRGPESYFELKSHPYMGRAQDEFVNDRRRVRKEYDEFKARINSLEHDIKQRNDGYNAAVVQGEGQPRPTWMADGNQWEGTWVDASENHRKGDHAGIVLVLVEHPSHNRQYGPPASADNPLDFSTVDVRLPMLVYMSREKRPGVNHQKKAGAMNALTRASGLLSNAPFILNLDCDHYINNSQALRAGICFMVGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGMQGPIYVGTGCLFRRITVYAFDPPRINVGGPCFPMLGGMFAKTKYEKPGLEMTMAKAKAAPVPAKGKHGFLPLPKKTYGKSEAFVDSIPRASHPSPYEPAETVATDDGTMAEAVNVTAAEFEKKTGWGKEIGWVYDTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLFQVLRWSTGSLEIFFSKNNPLFGSTYLHPLQRVAYINITTYPFTAIFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLGIVLSTLLVIAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLQAVFQVLIKVIFQRDISFKLTSKLPTGDEKKDPYADLYVVRWTPLFITPVIVIFVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGILGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHHSSGAKVAHAHHGQKFLAWP >cds.KYUSt_chr7.37849 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236023146:236030592:1 gene:KYUSg_chr7.37849 transcript:KYUSt_chr7.37849 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKLPGILKHYDGTERPDTWIEDYYNAVTFAGGTPNIACRMLQLYLVGPARIWLSDLEKNSIFCWFDLKTAFEKHFRGTYKRPATTSDLQACIQKKGETSRHFLTRWLACRNECENDLQQLSAKSHQCRKGIDSLVLERAESLRATGAGISLKANGWRVLEQLKVSEELRELAVPLTGMDMKSIRSDKVIKVPYRNECRCVKRSDLVGTLARHLPAGSIRFGCQVEEISLDPVTRYPIVSTSNGSTIRAKVLIGCDGANSVVAKFLGLKPVRFLPLWAARGLTTFQEGHSFRNKFLNLVGKGMTFRLVPIDDKTIYFSAIQSRLPKGCADSRDPSLIWQVALQAMQGYPEEILDVVRSCEIESVTLAQICYRAPWNMLLQPFQEGTVTVAGDAMHAMGPFIGQGGSASLEDALVLARCLAETATGDDQKPARSVQEVLRSYVKQRRWRILRLCVQAFLNGQLIVASSNLKKVVLRAVVNVLFKGSTTSQWHDQYDCGSL >cds.KYUSt_chr1.20735 pep primary_assembly:MPB_Lper_Kyuss_1697:1:122479828:122483456:-1 gene:KYUSg_chr1.20735 transcript:KYUSt_chr1.20735 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALETAIEEVRATLSDDHEAWIAFFRRRYERELAAYDSPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVLHRHGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATAKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNQAAAAAANQLAEEEAKRGGAPAVAEAIARSLKDLVPADNSLPIDAVLEWSEARPGAPRGGAAAADVGSGRRATTHRPCRRTVVLAERRAQGDRDGSIIR >cds.KYUSt_chr2.8154 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51320386:51322022:-1 gene:KYUSg_chr2.8154 transcript:KYUSt_chr2.8154 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTVLGGAGKDCPWSCGYGGPVALDRVFGWRGTELMVCKRGMEVAYEAKVYLIISETDSTEVAAKLLKEDQD >cds.KYUSt_chr3.9248 pep primary_assembly:MPB_Lper_Kyuss_1697:3:54161151:54162641:-1 gene:KYUSg_chr3.9248 transcript:KYUSt_chr3.9248 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAKEVVLPAALSELLARLFSFALDNFPRLGGRGSGDAHRRRLERLLASIGGTVEEAEGRHITNDHLLSHLKALTAGMYRARFALEATDIDVGDDDVVERRRSLALSSTFNSAKRLRVASLVFGRVNHDEKLAVAIEELESLTRDCMREFVLLVQGYPRRVHRPVATTLYMDRRVFGRHVETERVVNFLLQPEPAGAPPYLSVLPIIGRIKAGKTTLVRHACDDERVRGHFSLVECFQLDHVVREGGHPDQTLWASDGGEYLAGVRRILGKDERFASRRSLLIFEDAWPIDPSAWSAFAASPAAATMLAAGSKLLLTSRDSDNACLGTVDPVVVRPLPQEEYWYYFKAFAFGGMDAGDHPRMAAMGKEMARQLGGTFLGARMVGTLLRSKFDVRFWRRLMEAMVKCSGRRPYPVHVNFLLELLSSLGKLQSYGSSACPPRLTLRDALCNHAARTTSDSGHLGSGGQFTIHLCRETLYMDHWYTITLGDRGRGMTQ >cds.KYUSt_chr6.12226 pep primary_assembly:MPB_Lper_Kyuss_1697:6:76285170:76285730:-1 gene:KYUSg_chr6.12226 transcript:KYUSt_chr6.12226 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLTYAFFLLLISSTCESSTLEDTCKSFAAGHPSIGYSYCVRTFRADSASATADARGLFAIAARIAAAAANGTARRIAALRASEEDGRRRERLGVCAEVYSDAVDHLGEAAEDIARGEDRSTRDALTQLSAALDAPGTCEDAFGEADDTSPLAAEDAEFRKLATIALAVAASLAPQPSAPRISD >cds.KYUSt_chr1.29511 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178622449:178623918:-1 gene:KYUSg_chr1.29511 transcript:KYUSt_chr1.29511 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDASVLAALAAVILVSILLLLPRLRKHHDGRRLPPGSLGLPAVGLCQTRGLRRALRGNTAEAWLQRWASAYGPVSKLTLFGFPTAFLVGPSANKFFFGSTALVTKTTMSFNRMVGWRNIRELAGDDHRRVRAMMVQFLKLEAVKSYVAAMDDEVRHHLSKEWRGHATISVMPSMKSLTFDIMCTVLFGLTRADHGTAMRELRVEFQQLLRGLWATPVDLPFTTYGKCLAASRRGRRIVARIIEERRTRLGRGGSSPSDDLIAHMLTEGLADEDIIDSVMSLMVAAHDSTASLITFLIRYLDGNRDAYAKVVAEQQEVARSKAPGEALSWKDISNMKYTWSAAMETLRLVPPVFVNFKRAVDDVEFDGHLIPKGWKVMTAASMTHLDPAVFQDPGRFEPARFDSPIPPYSFVAFGGGARMCPGNEFARVEALVAMHYIVTGFKWKLSAGCDGSFSRHPLPSPAHGLLIDIQPIHTTTATKSTELCVTE >cds.KYUSt_chr5.23115 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150752389:150758821:-1 gene:KYUSg_chr5.23115 transcript:KYUSt_chr5.23115 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGPAAFGVASLRMGRSYRERGSDVFSRAASSARGGDSEDDEEALTWAALERLPTHSRVRKGIVGDGGCELVDIAGLGFQERTRLLERLVRVAEEDHERFLLRLRQRLDRVGLDFPTIEVRYDHLNIDALAHVGNRGLPTFINTTLNSLESIANLLHIIPNNKVPMNILHDINGIIKPKRMTLLLGPPGSGKTTLLLALAGKLGSDLKVTGKVTYNGHGMNEFVAQRSAAYISQHDLHIAEMTVRETLSFSARCQGVGSRYDMLTELSRREKAANIKPDPDLDVYMKAIAVGGQDTNIITDYILKILGLDICADTMVGDDMLRGISGGQLKRVTTGEMMVGAERALFMDEISTGLDSSTTYQIVKSLGLITNILSGTTVISLLQPAPETYNLFDDIILLSDGYIVYQGPRENVLEFFESMGFKCPDRKGVADFLQEVTSRKDQAQYWARRNQRYHYVPVKEFAHAFQAFHVGQSLSAELSHPFDRSQCHPASLTTSTYGASKKELLRACIEREWLLMKRNMFVYRFRAVQLLVMTIIVMTLFLRTNMHHRTVNDGTVYLGALFFFIVAHMFNGFSELALATIKLPVFFKQRDYLFFPAWAYAIPTWILKIPISCVEVAITVFLGYYVIGFDPDVGRLFKQYLLLLLVNQMAAALFRFIAALGRTMVVANTLASFALLVLLVMSGFILSHPDVKKWWIWGYWASPLQYAMSAITVNEFLGDKWQKGSNEILGVDVLKSRGFFTEAKWYWIGSGALLGYVIVFNILFTVALSYLQPMGKSQQILSEDALKEKHASITGEIPDESRSSTSAGNMNNSRRNSASGAASGDGRRGMVLPFAPLAVAFNNMRYSVDMPAEMKAQGVDQDRLLLLKGVSGSFKPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARISGYCEQNDIHSPNVTVYESLVYSAWLRLPSDVESETRKMFIEQVMELVELNSLRDALIGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSHDLIEYFEGIEQVSKIKPGYNPATWMLEVTSQAQEDILGVSFAEVYKNSDLYQRNQSAIRELSKAPAGSKDLYFPTQYSQSSITQCMACLWKQNLSYWRNPQYTVVRFFFSLVVALMFGTIFWRLGGRKSRQQDLFNAMGSMYAAVLFMGISYSSSVQPVVAVERTVFYRERAAGMYSALPYAFGQVVVELPYVLVQSLVYGVIVYAMIGFQWDVKKFCWYIYFMYFTLLYFTYYGMLAVGLTPSYNIASIVSSFFYGVWNLFSGFVIARPTMPVWWRWYSWACPVSWTLYGLVASQFGDLTEPLQDTNVPINVFLEDFFGFHHDFLGIVAVAVAGFAVLFAVCFGLAIKVLNFQQR >cds.KYUSt_contig_915.127 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:764263:770494:1 gene:KYUSg_contig_915.127 transcript:KYUSt_contig_915.127 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRPPSPDPGDFTTTHTTSLATKVGQEEGRSRVTRKKMATLIETGAHRKSDLRLLPPWKLTKELSRNPRKEIFSELDEINAQHLIFPGRFQSTEEGPERSQGAPHARTTRPRGGARPPMEVVDDQLNTTALHMIHMAVSPKDRAHIRTLKTSKEAWDKLDKIFLGKESIQSSRFDEVNSLADNFIMIEGESAEEMYRRLVALSVQMCDHGATFMDDNWIKRKFYNSILPYEVVKLTAIRQNANFHSMTSNEVLSEIIALDISKKNADALVVRAHNAHKPNLALKVNEHEESESYEDPVEWSSDDFQSNYHEHMALAAKNFWDGNKTRSSRPRGNSRFSPRDSPRAQKKGKRQELSTIAVPGVTSLWSDPLKEEKKMYHAMDMKVCKRRKTTKLPPPAELLDELVTEILLRLPVKSLLRFKSVSKALRAIISDPFFIRSHLQQSASRWRQNPSLLVTPHTLFHVIEGEPWPSTFSTDISFYQWQQPSSEEEESEARFVMHTDDFLEEFNSVCYFAHCDGLVVAPTNTNVYLFNPATRDAMRLPINNRNKMYQYVACLPVGLGRDPRTGRHKVVRAFYRSRDPLTGVHDMGMEVFTVGVDSDSWREITPNPLYPVTVWITPVFAKGALFWIIDKPGLDPSPRGILRLSLDDESFSVTHLPDSLDPALDEYFILDEVHGELFLTAFSSSKPLNIWTLVEQDTRWEHRYTLDISGMAHPVALLPGGGTVILRASHYISRYDLQTHQIDTICELDRLRYQHDGTTDEDAGSGQEIFYFNVIPYTESLVRI >cds.KYUSt_chr5.3571 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23120833:23121279:-1 gene:KYUSg_chr5.3571 transcript:KYUSt_chr5.3571 gene_biotype:protein_coding transcript_biotype:protein_coding MARPDPAGADPDIPAKDAPSEAAQRLQRVRANLRLPNRPFAALSRDHDAGLRALCLLDFARLDLHSSGVPGPDLVAELIANYSGACGRSSVRGKQIEVSLDSLARALRLPPAGSDTDGGARASACREMLQVRIRPNTQPSTSRSTPPA >cds.KYUSt_chr4.201 pep primary_assembly:MPB_Lper_Kyuss_1697:4:1128054:1132755:1 gene:KYUSg_chr4.201 transcript:KYUSt_chr4.201 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPATPDPAPNSPAPAKRSAWKQPAASNGIVPADAPPPPPAVMDADHWPALADAAKTKAPIVPASESPKPPPPPPLVSTSNNAAAAASSAMANPSNSPRHGSGTHHNRHKPARRGGASGSNSGGGDHSPRDQQRDHHEQRGTDRWDHGANGGGGAAGRGGQRNHSNNGGRRGGSSSGGPGGVAHHGGGFNGRRRGGFEGSFYRGPSMGIGPYMRGAPPPPPLAVAPQFMAPPPPPPGPHMRAFAGHMMAFPEMPPGSPISPMYYVGGPPPPPEALRGMGFVPPMVGPPAYPYFQHMPEAEPEPELEPELQHVPEPQGQEKLLKQIEFYFSKDNLCTDVWLRQRMDQEGWVDISLIATFKKVRAITADLQSADLQYIKETLQSSSMLETQGDKVRRQNDWHKWVIPRESNTATRSSSVAAPGPNVNNLTARLGGVALHESPAGGPSSTVDQNHHEVLLNGSTSSDNQAPPVAEESEEAEESEVAEESAGRR >cds.KYUSt_chr1.39605 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242343776:242352797:-1 gene:KYUSg_chr1.39605 transcript:KYUSt_chr1.39605 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSGSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDDEGSGSRTSNSDGMGFFNPVMAFMGQNGEESSTEASEKQQLPQHSTAVEVNHKVTTKPATSEAGACEVPPVITQVPKEPPELEENVSGSTEPPVSKADVSDQSVRPQSPIRSSAAEENHDGSTESPTSKRDTSEVSETQQSPTPPSIAEETFGGSIETSNSIEKEKHGHQDTENSDPNVEALPSQPDSSIRDIPGDGTSSPNKLDQSSDMGTEESIHAGKEDTDDGKSSRLQPADSMVATSDDVNEAEVKIVQELDIQKEIISPQENSDIVDKASHGEVKVHDGETNTAENGEENDQTKAHAVSVVENEDTTMIQLENVSSKSIIVDNDPDLQNELVPASAHVPVGPLEVGSHANDLRKEEKIQDSVTTMNSAESVGSVVELEKLKRDMKMMDAALQGAARQSQSKADEIARLMNENEQLKATIDELKGKSVEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIITQVMAEGEELSKKQAAQEATIRKLRAQIREFEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQSELAAQKEFYTNALNAAKEAEALAESRVNTEAKVELESRLREACEKENMLINTIEELRNALTRQEQEAAFREERLKRDHDDLQKRYQASELRYNELVTQVPESTRPLLRQIEAMQESVARREEAWAGVERTLNSRLQEAEAKAAASEEKERSINERLSQSSSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAAIQEGRAKQLEEEIKELRARHRKELQEAAEHRGLLEKDLEREKAARAELEKTSSRESPKIPLPDQIKNAPNRKLSSVGSLEESHFLQASLDLSDSSSLERRMSAESNMSYYLRSMTPSAFESALRQKDGELASYMSRLASLESIRNSLAEELVKLTEQCEKLRNEAAALPGLKAELEALKQRHFQALELMGERDEELEELRNDIVDLKDMYREQVDLLVSQLQTLGARV >cds.KYUSt_chr4.26166 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164579570:164582666:-1 gene:KYUSg_chr4.26166 transcript:KYUSt_chr4.26166 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLMLLAAGAAAAAEAATPSLSIGVNYGANADNLPTPTAVAAFLASKTTIDRVKLFDANPAFISAFAGTPISLAVSLPNSALPALADKSTGLDAARSWIRANLSPFVPATNVTLLLAGNEILLSTDTNLILSLLPAMRRLAQALKAEGLTGVRVTTPHYLGILAPSDGIPSNATFRAGYNEKLFPAMLQFHRDTGSPFMVNPYPYFSYNAQTLNYALFRPNAGIYDPATKLNYTSMLDAQVDAIYTAMKKLGYGDVDIAIGEAGWPTQAEAGQIGVGVQEARDFNEGMIRVCSSGKGTPLMPGKKFETYLFSLFDENQKPGPIAERHFGLFNPDFTPVYDLGLLRDGSSVAPNPAPNPSPNPSPKPSPSGGGKWCVAKTGANGTDLQNNINYACGYVDCKAIQSGGACFDPNNLQSHASFAMNAYYQANSDSASACDFKGTGVVTSSDPSYGSCKYVS >cds.KYUSt_chr6.9762 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60189789:60192305:-1 gene:KYUSg_chr6.9762 transcript:KYUSt_chr6.9762 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIIVAALAVLALIFAPAPGAAATTADAVSARQPLRGNGTIVSAQGKFEAGLFSPAASSGRFYLGIWYKNIPVQTVIWVGNRARPLSDVTSAELRVSAADGNLELVGLTNPSPPSSAPAVVWSSNMSSTSRSSSPGSNTAVIRDDGNLVLLDGGNASNVLWQSFDHPTDTQVPGAWLGENKLTGEYQTLTSWRNAQDPAPGMFTDTVDPNGTSEFFFLWNRSRVYWRSGVWTGRVFAAVPEATNNVLFNQTYVETPAYRRVTDVLYDNATITRRVLDLTGQTKQYIWVPASQSWQFFWAAPTVQCDVYSLCGGFGVCDQRSQPPCQCPPGFAPASEGDWTLSDWSGGCRRSEPLTCARNGSTTDGFLPLNNVKLPDDSAAVGAAQSEAECESACLKNCSCQAYTFSGGRCAVWHGEFRNLEQLHTDSGASSGSALYLRLSESGLRDLRSADTGKKVGRTLWLVLAISLAGVAALGVSLFLAWRILLARRRRRSMSSEKGSSLAVYSYSDLRAATKNFSERLGGGGFGSVYRGVLKQKHKGGSTTTTQVAVKKLEGLRQGDKQFRTEVSTLGHIQHVNLVRLLGFCSAGDEKMLVYEYMTNGSLDGYLFGGSGGSFPSWRERYEIMLGVARGLVYLHDGCRERIIHCDIKPENILLDAGMSPKIADFGMAKLVGRDFSRALTTMRGTIGYLAPEWISGQPISVKADVYSFGMVLFELISGRRNSEGYGRRNSEGYGRRGNGSGGGSEVEPAAGSSAFFPVWAAGKVVEGEVGAVADPRLRGDVSEEELERACRVACWCIQDQEDHRPTMAHVVQALEGVVHVHAPSVPRGLQHLASLT >cds.KYUSt_chr1.18839 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110562809:110566211:-1 gene:KYUSg_chr1.18839 transcript:KYUSt_chr1.18839 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVRRLAVGVGPPDAGGVNALVVAGDVAETRDNFARTMGALRDQFDAVFYVPGNHDLWLRREEDGRYMDSLEKLTALLDACSELGVDTGPKTIGDLGIIPLFSWYHKSFDKEKDLTSVRVPSLEMACKDFHACKWPSELGSDDEALALYFDQLNDKYNDAIEEVKKNSKQILTFSHFVPRQELCPEKRMLYYPNLPKVIGSDYLERRLRAIHNNAEGGAACHVFGHTHFCWDSMIDGIRYVQAPLAYPRERKRRMNGGEGWLPFCVYRDGFNPEIYPAIWSDYYNKNKREPENTQLAPWVAKYFRKYY >cds.KYUSt_chr4.40433 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249482148:249482627:1 gene:KYUSg_chr4.40433 transcript:KYUSt_chr4.40433 gene_biotype:protein_coding transcript_biotype:protein_coding MGGENGAALHAGSLGVDVVVSPRGRREEGAALHADSLLADVVVAACGGVDGAALHAGSLFTDVVVAACGGVDGVALHAGSLVTDAVVAARGRRGEGTALLVKFSVVLDMADGARLYTDSPDVVVGGVAWWWC >cds.KYUSt_chr6.26188 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165897660:165901544:1 gene:KYUSg_chr6.26188 transcript:KYUSt_chr6.26188 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSTTTAGEAARRSRKTTTTAMAPSVGGVETAASAGGGRRRTAPPRLMGYEELPEYLKDNEYIRGHYRVEWPIRDALLSAFAWHNETLNVWTHLGGFLLFLALAVAGGGREAVHEVAPGIMRFVVGSANSSWKSDHSGLPSHDAAAATVQGGHGAVPLWPRMVFLVGAMACLAISSTAHLLACHSRRATVVFWQLDYAGISVMIVASFVPPVYYAFLCHPHARVAYLSAITVLGALVVAVLLAPSCSSPRYRRLRATLFLAMGLSGVIPAVHALWINWGHAACYLALGLEVAMGLAYGVGAWFYVSRVPEKWRPGVFDVVGHSHQIFHVFVLVGAMTHYVAVAVLLHWREKASVTCGGAS >cds.KYUSt_chr3.28004 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174786867:174789541:-1 gene:KYUSg_chr3.28004 transcript:KYUSt_chr3.28004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEVAGTTATFRRVYETLKAELLRDSAFDFNEDAVRWLDAMLDYNVLSGKLNRGLAVIESYKLLKAGSEPSEDEVFLACILGWGIEWLQAYFLVLDDVMDNSQTRRGKPCWYRLPKVGLIAINDGLILRSQISRIFKRYFYGKTYYVDLLDLFNEVEFKTTSGELLDQIITNEGKKDLSKYTTDNYRRIVEYKTAYYSFYLPVASALLLSGWNLDDYVQTTHILVEMGVYFQIQDDYLDCFGDPEVMGKIGTDIEDYKCSWLFVQALSRVNEQQKGILFENYGKSDPASVEKVKALYKELNLEMAFSQYERETYENLTSDMRHNRMKQYKQC >cds.KYUSt_chr6.31125 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197253504:197257026:1 gene:KYUSg_chr6.31125 transcript:KYUSt_chr6.31125 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEWRPMQGSDPAAGGDWRAQLQPEERSRAVHKIMNLGFMQHMRTLLLKQAMQVEIKRLKGQYFVELNELFNKVSVKLQQIDSLIPPQEPSEQYELLKRFKIMLDRILQVLQISKSAIQPSIRHKVPQYEEQIIRILTSRRRKPVQPQAPQRFQPPAGQASNFNISHQQHPSQSLSQHDSHTNPQASLSSMSTRLQSSSAAVLRHVPVHPTTNFCVPAQQNGSNVERQAGYAVEAAQGSNFSSWQSGSMGGALQQGSTGLMQGTMNVQLQIGSSSMLSHLSGQREHMTKRKWCDTL >cds.KYUSt_chr5.28101 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177864620:177866306:-1 gene:KYUSg_chr5.28101 transcript:KYUSt_chr5.28101 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRKCLRAAQAELGAGLLNGLVESMRASSPTHARAAAALAAGVDEEHAAWMARHPSALGKFEEIVAASKGKQIVMFLDYDGTLSPIVNDPDAAFMSDTMRMAVRSVAKQFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKSSAGHAKSNSKAKGVLFQPASEFLPMIEQVNQRLIEETKHVPGAKVENNKFCVSVHFRNVDEKGWAAVAETVKSVVREYPKLRVTQGRMVFEVRPTIKWDKGKALEFLLESLGYADCADVLPVYIGDDRTDEDAFKALRRRGQGIGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWKQLAKARLRLRRRADAAA >cds.KYUSt_chr6.12730 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79489499:79490770:-1 gene:KYUSg_chr6.12730 transcript:KYUSt_chr6.12730 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTPPSCHSGATSPTSCVSFQSGPMRQRTLLLHRAALATLLCLCALPAPARSQSASANPAPASVQGFNCSANTTYPCQAYALYRAGFGADLSAVGDLFGVSRFMLAHANNLSTSSAPASGQPLLVPLQCGCPSGSPNAYAPTQYQISSGDTFWIVSVTKLQNLTQYQAVERVNPTLVPTKLEVGDMVTFPIFCQCPADNATALVTYVMQQGDTYASVAADFAVDARSLVALNGPEGGTKVLSEILVPLRRQVPQWLPPIVARNDVLPVTPPSPPPSDPATPGPTDDRSGVVTGLAVGLGVVGGLCLLQLLLLACLWRRLKASGRRGEAIVSGDAGRPAKSASGGVGGEKFLVTDISDWLDKYRVFKVEELERGTAGFDDAHLIQGSVFKANIGGEVFAVKKMKWDACEELKILQKVRAFPH >cds.KYUSt_chr2.5177 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32157569:32160002:-1 gene:KYUSg_chr2.5177 transcript:KYUSt_chr2.5177 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPCARIALAPSATLASRIGSSSRRRTLPVLSLRRHGSLTFKHVIRANKDAADQPSQPADPEGNDEESDKDKPKTVNVEEVIAEIEEFTKEYAAKLGVPYEDDMPCFDHPIL >cds.KYUSt_chr1.11825 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72913016:72914179:-1 gene:KYUSg_chr1.11825 transcript:KYUSt_chr1.11825 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAPPGGHSASMVGSDAGGEASSQLRLAREVGVRAAAGRGSNFIISPLSIHAALALVAAGTRGETRQELLGFLGSASLDELHGAAASELVGKLNGLTQTSFACGVWVDRAHVLQPEFVATAGSRYGATAESVDFAMDAENARRRVNGFVADATNKLICEVLPPGSVDSSTVLVLANAIYFKGAWARPFDKSATFAAPFHTPDGTVVRVPFMTTGRFEFKQYVAVYPGFRALRLPYNIEDYDHAGARQQAAFYMLLLLPDGATLSLADLYDKAVAAPEFIKRHTPADDVPVGRFLVPKFKFSFEFEASSDMHKLGVTRAFDGGDFSGMVSGGEGLSVTGVYHKATIDVDELGTVATAATVVSMALSGSSRPPVDFVADRPFLFAIH >cds.KYUSt_chr5.34113 pep primary_assembly:MPB_Lper_Kyuss_1697:5:216566112:216566880:1 gene:KYUSg_chr5.34113 transcript:KYUSt_chr5.34113 gene_biotype:protein_coding transcript_biotype:protein_coding MACVNMYNPEHHQSSSSSSFMAPRMSFSSDFALEPPPATALSARAPGDADFEFSVGGRPSMMGAADELFSKGRLLPLREAPHGRPTTLREELRTEDGRHGRAPRAPNIRWKELLGFKKASKKASAADAAAGTSSAEAHTDLGGQGGGTRE >cds.KYUSt_contig_786.376 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2031622:2037285:1 gene:KYUSg_contig_786.376 transcript:KYUSt_contig_786.376 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTQRYRFRGCFSPNCFGNYQISKRAVEELEDVKSLLVSVPGDNNITRAPDACAVENMLVVPAPMPRSREVILVDVLRFIQSNDPNEQIVGIWGPDKDDNTNLVKKINNSFLQQSLFDFVIFVPSPNDCSVTNIQSEIISRLGMKQDGNVATRATRIREQLENKNFLLIVDDLHQNLDLRAIGIPYPLGFVGEKKRKMVIMSLSGYRSVGNLMGVNKDIAMPILQEEEARELFRQSINCQGDLYSDPNIGPHATNLVRAINGLPSELVRYGKAMHRIMDARSWKGAIDDAASKFSRSRSIEDTLRLIEDDPMLGVIGIWGPGGVGKTHLLKKIQGFFRGRMTVIWVTASSQCSVSKVQTQILDELKLKGDGNVGNQHGIIHDFLENKSFMLLLDDLWERIDLEAVGLPLPLGIEPLSKLKRKVVLTTRFTSVCGGMEVKKQIQVPYLQDIEAWELFREKVGDQTIFSPCIEDRARILVTEMKGLPLALVTVGRAMYGKFRPDQWDSAIQHMKKSCCIDTNEDPLKMEEEVFRKIMFSYDNLKSERLKKCFLTCALWPEDHEIRREELAQCWIGIGLVDVGDIQSPYTKAYSLMGDLTGACLLEGCGESNNRVKLHDVIRDMSLWISCGCGKNNDNFFVRAEVGPDKKISIPWSSAEYISLMFNGMTKLPSVGDVGNPLKLRVLCLQENALDETIIGGVLVNCAKLTYLDLSHNRLKGIPESLCHLTELIHLDLSHNWGIEEVPHSFGNLIKLKFLYLKGSQIKIIPKEVISRLEALVIVHVDLMWVSNSIRSNVFRELGTLNHLKVVDTSVELSDAWTSLHDAADLPIRRAGVQETFQANGHSLDAVLREQTRLWLESTDRVVSNEAANRLRYTQRYRLCGCCSPNCSRNYKINKRAVEELEEVKSLLGSVPGDNNITRAPDPRRVERMSVDPAPIPPSRWVILRVTLLFIVSNDPNEGIVGIWGPDRDDNTNLLKHINNSFLEQSLFDFVIFVPSPSDCSVTNIQSEIIGRLGIRQDGIKATRATMIREKLENTSFLVIVDDLRQNLDLEAVGIPYPLGFVGEKRRKVVIMSLSGYRFVGVGPDENFSIPYSAEYISLMFNKMTKFPSVGDPLKLRVLCLRENMLDETVIGGVLVNCAKLTYLDLRNNKLKGIPESLCRLVELIHLNLSFNEGIQEVPHSFENLIKLKFLYLQGNAIKIIPKEVISRLEALEIIHLELGWVSDCIRSNVYRELATLNHLKVVVISIGLLSAWTSLHDAADLPIRSLRLDRTSAEEKEFHLYDILSLDFA >cds.KYUSt_chr2.53783 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335624958:335625536:1 gene:KYUSg_chr2.53783 transcript:KYUSt_chr2.53783 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHNEQHQEEDNLLREPTDQHEEESSAEPAGQRSVEPTDQPKAEAAAEEEERSSDEESMAGSSLFVHPCSLLQYLARAFASCLGMQDSFGGMTKRSAAASPASVDSSREGEADRDRSAGATGFYMQEVITRVWAVRRPRPPGNAREGNGGNGGHHH >cds.KYUSt_contig_60.341 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2008342:2009507:-1 gene:KYUSg_contig_60.341 transcript:KYUSt_contig_60.341 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLTAALVALVVTASLVASAMSETPECCKDYHKWGNESEKTCDDEEQSDNDCNAWCMQSSCSRDGKDGFCKKIGKLHYCHCKSVLSACLRCALRPPAPAPCALRAPPGPCPNTSSAMTLPQFASSAMPCSSTGSQFASSAMPCSSIGSQFASSAMPCSSICGQFHPTSSNFADLWPDYLGVSPE >cds.KYUSt_chr1.26194 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157608333:157613441:1 gene:KYUSg_chr1.26194 transcript:KYUSt_chr1.26194 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVDGAVVPPMPPQQDEKAAQQPLMAVVAAVPTQPEGEQPPVAVVAPTPPQPEEEEGEFQPLVAADAVVAPTPPPPEVEEQPPMAVDAVVAPPPPQPRADEEDEQPPEATDAVSEAISFVAPTPPPMPPTPPPEDEQPAPAAAPPQPLESVGSLGDCFGVLSVSALGTGPVEEGCKETPQDENRSQVQGSADEGDKDTPQDENPLHVPAGPADEGDKGASSQDKNLFKVPGPADEGDNGASSQDKNLFKVPGPADEGDNVTLQDENPWDDIHDHRDRSPCRYPRNMYDVINGGRFWGGPRDPRYYGGQPHDVEECYGVRRGRQRHHRDCVNPYHGGRCRGDHIDCVHALHGGRSRGDHRDNFDAFHGGKSGEEEEDQGDYDDPFHCGRYYNGHRDHRDYGHAFHGPRYPMNGLYHDNPLGYPYGHHDRFSFGGPRFLSHYNPMDSLDDKRVYARTPCCFRNRIREKKDYPVLHCPECNHCSDRLESFLDLSLEINQMDTLLDSLESFTKTEVVESFTCDGCKTRVNMEKHLKVEQAPEVLVIQLKRFENLGSNISKIQEMVKYELELDLKPFMSSADTNPQKYDLYGVVEHLGESSKGHYVCYIRSSETDWYHFNDEKVMKLSEDKVLDSKAYLLFYVKQGSSPWFSTLLEEKNTLLLGYLQELADKGLNEDGVSIDSDKGSYSRSGSGSDSDEQDLSDAEMLYRRSLGGGLSGETKRHTSPPRSSLENGNVRRMALVSKNNENVSLQGSRGKEMSHSTHELPSHVNGAGSRRGSSRENGESCSLLQSSSHKPEEDCCPENLTLHKDDEMRGSCVSPAGEVRAHYGVETRARKRMRQQSAAGTMAKRRKGGGLKKALLKKSVGGGGLKKALLKKSVGRAARGRCKKRRR >cds.KYUSt_chr6.22671 pep primary_assembly:MPB_Lper_Kyuss_1697:6:143235217:143235948:1 gene:KYUSg_chr6.22671 transcript:KYUSt_chr6.22671 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLLLALVNGELAGVLVVGALLYEACSSAPSLRPSTASSPACSSSVSCSPLHGRPPPPRARQRRLGGAIHASRALILLELVGGSKTSQDEPPSSGRRRRPDEALTAAHLVLALINGGLAESPGDAPSPCAPGGALRGLSGAVVRQISGAALQRLSDAVGADAVSTIVALPPHTERIVRRFPVSFPFNNWLAGMA >cds.KYUSt_chr3.28024 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174911961:174917436:1 gene:KYUSg_chr3.28024 transcript:KYUSt_chr3.28024 gene_biotype:protein_coding transcript_biotype:protein_coding MVGERQSTCIRSLYLEAVLKQDISFFDVEMTTGEAISMMSADTVLVQDALGEKVGKYAQLLTTFLGGFVIGFIRGWMLALVMLACIPPCILSCAAVSRLRAQIAARRQASYGDAGNVVEQSTGAIRTVVSFNGEKKAIALYNSLIKKAYKATVLEGIVTGLGIGCIFCVVFCSYSLAFWYGAKLIISNGYTGGQIINVVFAILTGSMAIGNASPSISAIAEGQSAAHRLFEIINRKPKIDITDTSGIVLDDIKGDVELNNVLFRYPARPEQMILNGLSLKVPSGTTMAIVGESGSGKSTLLSLVERFYDPQAGEVLIDGINIKTLKLQWIRGKISLVSQEPLLFMTSIKDNITYGKEDATLEEIKRAAELANAANFVEKLPNAYETMVGQNGAQLSGGQKQRIAIARAILKNPRVLLLDEATSALDVESERVVQEALNRIMVGITTLIVAHRMSTVRNADCIAVVHQGKVVEQGAHDQLIKDPDGAYCQLTRLQQAHTDEMREVPSVSDSRLKSTSLSIEKSIRDSHRNRRQQSIKLLGLSGSDDLHGQANTSRHEHKECGDSEAAKKAPIGRLFILNKPEAPILLLALIAAFVHGLLFPSFSIMMSGGIRTFYYPAHQLRKDSRYWALLCLLMAIISLVSIQLEFFLFGMAGGKLIERVRALSFKSIMHQEVSWFDDSSNSSGALGARLSVDALNIRSLVGDNLAILVQCTVTLVSGFAIAFVSDWKLTLIIIGVIPFLGLQNYIQVKFLKGFSEDAKVMYEDASQIVAEAIGSIRTVASFCAEKRVIAVYSQKCQDSMKQGIRSGMVGGLGFSFSSLMLYLTYALCFYVGAQFVHEGKSTFKDVFRVYFALVFTAFGIAQTSAMASDSTKARESATSILALIDMRSKINSTSDEGIKLDKVDGNIHFNHVTFKYPSRPDVQVFSDFTLGIPSRKTFALVGESGSGKSTVIALLERFYDPDSGTISLDGIELKNLTLSWLRDQMGLVSQEPVLFNDTIRANIAYGKRGEATEEEIITVAKAANAHEFISSLPQGYNTNVGERGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQDTLDQVMVSRTTIVVAHRLSTIKGADMIAVIKDGSVAEKGKHESLVSIKDGVYASLVELHSKAS >cds.KYUSt_contig_973.299 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:2541207:2556081:1 gene:KYUSg_contig_973.299 transcript:KYUSt_contig_973.299 gene_biotype:protein_coding transcript_biotype:protein_coding MATADADTAEVERLYELGDRLSSAKDKSQHAADYEAIIASVKGQNVKAKQLAAQLIPRYFGSFPALGAFAMEAMFDLVEMEELAIRIQAIRGFPLLGKDAEFVSKIADILGQLLACEENVERDAVHKALMSLIRQDVKNSLQPLFKHVESGSEIREKIICFLRDKVFPVKTELLKPQAEMERYITDLIKKSVQDVTGVEFKLFMDFLRSLSIFGDSAPRESFQELIEIIQAQADLDAQFDVSDIDHIERWTSCMHMALPIFTRGASSSKFLNYFAKQIVPVFDKIPDERKLDLLKTISASSPFAVAQDSRQLLPSVVQLLKKYMPGKKVDDINHNYVECLLYTFHHLAHKTPNSTNSLCGYKIVTGQPSDRLGEDFSEHYKDFTERLTGTEETVRAASKRLTQGMADFNKAISSAKTAEEKSKIKTDQQKSTMTMRSYNNILAMSQATWAKLSLAARARFPRTEEEEHADARWVADGEALRVAAEAAAKKEEDAEMVEAAADNWHETADGWYEAAEEGATAEEEPVAAEDLALANINTAIEERLADLTRVTKEHEATRRAGRRRLGDLLGQKNQLLAMRVARHLIQLPSPERRDWEAAASAERDRQEHMRRRNENHEAQAAGRVRLETRTDVERAALQELELCGRRSASAPEARGRKGGG >cds.KYUSt_chr2.24966 pep primary_assembly:MPB_Lper_Kyuss_1697:2:152611119:152611457:-1 gene:KYUSg_chr2.24966 transcript:KYUSt_chr2.24966 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLEFPLESPEEGFAYLDVRSKSSCAPRSIPPSSLCIQHLDARLVDVVLWYGGLVLWYGGGGGLGLWSWQRRCGIAGKGSGRRRPGVLEMRSQGQQLRTSDFMVATLKLGA >cds.KYUSt_chr1.10057 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61666295:61668844:-1 gene:KYUSg_chr1.10057 transcript:KYUSt_chr1.10057 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLSATGTSFLPVRRLSCRKPTAASSAPFSSKPRRSPSCSLLPPGHRRSSTSCSSPAKIPTSNLLSSITAASRTLLFLLAASLLALSGVRRPLPSLAAPPPPTQETKRQEEEPSDEEQEEAEEDEAKWFEKEEEEVEAAWMQPSDDEEEEEEDDEVQMYLEVLSEDPGDVAALKCLLFARMRRKDWGGALGYAAQLREAEPGEVEWRLMEALLHELKGDLAQAERLFQEVLAEKPLLGRALHGLALCMSKRYEGPTVFEMLEKALQLAVSEERVPEERNIKLLIAQMHVVKGNLDVASEKLQNLINEDPRDFRPHLCQGIVYALLDKKEEADEQFDTYRSLVPDEFPDKSFINDVILSAKMESKDRLQKDFRSEFLSRK >cds.KYUSt_chr3.15406 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94308944:94325343:1 gene:KYUSg_chr3.15406 transcript:KYUSt_chr3.15406 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSNDDINVLKCSPVIAKLAEGHAPPVNYEVNVCHYNKGYYLADGIYPTWPTFLKMVSKLEAAKRSVMNLLVRAVFDDSPSDADEEMAVESLIEMQANMKNPLGDSESISFQKEDSASGHVKVMSSDETDGNSNICVACGTPGTLSCTNKRFQLGIHSIVDGIESVWDVKDAEGMQNSKHYFVKYKNLAHVHNRWVPEGDINVTPGGPDLLSLFNKRNHTEKVKKSSFQKLQRLPDGCHPDFDSDHLCSINYLRKFWYKSFGAVLVDDKVLLSHADAILEDNLPEYMKLLGFLDSREQENGNCADTADALVTAKSSVAGGNATGDILEDVVFDRFGPDSYERVESGAPLSRKEAAVNMFNDKTKGSPFTVEEKQLMLAKHGVPIDNYKDMTGVKKLMSTPKNLHVQLKKELSKLIKVLELQCWRAASLLNCKVDLRELLALAEKNLKCECNEELVALMCRKLRTLVKKIPNIADETSIKGQSVSVEDTQLSCQETSNNLEKDHMFQEKEMDLHGKFTNGASYEVSTVAEQMISERQEPVQETRRECHVPHDELPNMIVEKCICLVDNVFSLREKNILCKQQLEISGLVTHRQNNVIRLKKVCSLVLEHIHRSHIDEMTRSKKINLTTQWFTMLMYAYLEHMKLQHDKLEGLQSDTWSSERQLKEKLNQVAKSGQLDRYFDRYIALPDSNFVMEEFIHFKEQNDEHRIAESSVSCQHSSNDALAMEFTLVRNEVLSEPTSIQAMENEPVETSVGSGRGPASEAADFPENSIRCSSDGIGVQRAGCSSSSIPTNDDSTGQESPTSECRNADQMEIDNIAKPNMLLGGATSLVSVKNDDILDTDGVHLESTNLAASQSLVALVGTQAVLSCMSPQQSTDLSAQQNVAPSGCPPAEAEQTGLSDTQVVHVLQTEMQPSILLLDAPPQNTHPDDRSQTGCQEDRVTGLSQGGAAISQHLGDVRMQVQEKYDGNVAAYPVLPASPTYPADGLVPLPVSGEVESQICEPIIAAKQSTSPHTQQSLAISHHLPAEAEPSSILCTETAWDLQPEVQPSTSMQDEPAEVEDEPEAEDEPEVVGMVTVHDLQAEIQPSASVPAGQSTGLPAQQSLAASQHPPAEGKQADVFGTEPACDLQTELQPSTSLQDEPVEAEDEPEADDDPEVPEVEDEPTEVERAGRSASPVMEQPFESSSAAQTTASPVISSSAIRPPVPNPPVSYVRPSYVAQPSWNTQPQTILPGNLYGTTSSSHPPCRASLLPSGGHPESMANILQSSSSNPIFMSAHQQSSYPNMVLGSTSGPLNAAPGSQRTGAQIAAVNHNQSSSELASLSVYIPARFGIGSSSSPAGAGASPEVVCLSDDEP >cds.KYUSt_chr5.14197 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92116853:92117278:-1 gene:KYUSg_chr5.14197 transcript:KYUSt_chr5.14197 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMRVVHRDEEGHMVKEKVPIPGTRRPDTARHFERKFEEKGLHRLERHPANGPRGIGAPPPKSGRGGKFTWEGPDGIVDSELDPLPAAIDRNDPNYEEEGEMEDVAKEALVGEVEVAKVAEARDGVARVDVAPPLLQDLQ >cds.KYUSt_chr3.12113 pep primary_assembly:MPB_Lper_Kyuss_1697:3:72268030:72268236:1 gene:KYUSg_chr3.12113 transcript:KYUSt_chr3.12113 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFWKDKQAYMRERRAGKRQRKAEVEAEYAKEEASTWGENDEWWSWNLTTTASEDTPSEDEDFDFSD >cds.KYUSt_chr1.3254 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19665445:19665948:-1 gene:KYUSg_chr1.3254 transcript:KYUSt_chr1.3254 gene_biotype:protein_coding transcript_biotype:protein_coding MIAISSTQLQKEIADQELVGQLEEPIAQQLEAGVIRCYDRSGPHVPKGRYSGRKISLVLLTGPEHRASPPPSPTERTPVHRRPLATTAAADEDLERMISNQIATTSDFQLGIRKRQLPAAADAKLVGLSPR >cds.KYUSt_chr6.21341 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134728991:134729416:1 gene:KYUSg_chr6.21341 transcript:KYUSt_chr6.21341 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSAECEASKWASIGKPITAPGCRDALTVARRPPVPFAAPTFLSSSPVAMVPQGQSSSLQRLHHVEKRIVLVVELAGAVMEEQGNSPTGDPNIEERLYFQAAAF >cds.KYUSt_chr7.26171 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163383770:163388557:-1 gene:KYUSg_chr7.26171 transcript:KYUSt_chr7.26171 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPQVDKKMLGELEEMGFPAIRSIRALHYSGNSNLESAINWLLEHESDPDIDQLPLVPREINIECGDTSNEVRNSAQVVRTHAQERKQEEQTEAGSQKETSLVERELNADEHREEDRKRILALYKSKRDEEERARGRIQNQVQEDKRERIQAAKDLMEAKRILEERQRKRMMESQKAEREEEKRARERIRQRINDDKAERRRGLGLPQENTVASTPIVTPKKVKPTEPVVTSEQLRDCLRTLKKNHKDDNARVKRAFQILLKIIANIAKNPEEEKFRRIRLSNPVFKDKVCSLQGGVEFLELCGFQKLRNNSYLYMPRGKVDVALLNAAGVEIASAMENPYFGLLSK >cds.KYUSt_chr2.29664 pep primary_assembly:MPB_Lper_Kyuss_1697:2:182555083:182557104:1 gene:KYUSg_chr2.29664 transcript:KYUSt_chr2.29664 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLLTVGLSHNLLASGLGGDHEQFVYTGFTGSKLILDGAATITTAGLVKLTNETFRIKGHAFHPVPARFRESSSGAVQSFSVSFVFGILSSFGDVRGHGFAFFIAPSTDLSTAFPIQFLGLVNDTNNGSVSNHLFAVELDTILNTEFGDIDNNHVGIDVNSLNSVRSSTAGFYDDSKSGTFTNLSLIGSGPMQIWVDYDGNSTQINVTLAPLGVAKPVRPLLSTSYDLSGVLTEQAYLGFSSSTGLTTGHHYVLGWSFAMNGAAPTIDPTKLPKLPHLGPKPRSKLLEIVLPIASALFVLVIGILAVLMVRRHFRYKEVREDWEVEYGPHRFSYKDLFHATKGFKDKRLIGVGGFGRVYRGVLPTSKSEVAVKRVSYDSKQGVKEFIAEVVSIGRLQHRNVVKLLGYCRRKGELLLVYEYMANGSLDKHLYGEEGKATLDWGQRFRIVKEIALGLLYLHEEWDKVVIHRDVKASNVLLDGEMNGRLGDFGLARLYDHGTDPQTTHVVGTIGYLAPELVRRGKATTLTDVYSFGIFILEITCGQKPIKEDPQGNQLLLVDWVIHNWHKGSLLDAVDTKLQGSYHLGETGLALKLGLLCSHPFPNARPTMRQVMQYLDGDLPLPELLPAHFSFGMLSLMQNEGQVVDSSMMSSYPSPMMMSIGSISDLLEDGR >cds.KYUSt_chr3.22075 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135983200:135986656:1 gene:KYUSg_chr3.22075 transcript:KYUSt_chr3.22075 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGDVTVLGTVVSPFALRVRMALYLKGVSYEYLEQNVFDKGELLLASNPVHKKVPVLIHGGKPVCESVAIVQYVDEFWSGAGFASILPADPYDRAVARFWAAYVDDKLVAAVLGILRAATEEERDEKVEAAHAVVAPMEEAFATCSKGKAFFSGGDSVGYLDLALGCHLFWLETLRSMFGVTIIDAGRTPQLAAWAERFLDTKAAKEVTPPTNSVEEYAVNRRDGVPCADGAIIGVGVWGYAKGTRVSAEVDLPPRSYADGGSWRRLRRHPFKAMPKARSRAPSGSN >cds.KYUSt_chr7.14030 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86753138:86757966:-1 gene:KYUSg_chr7.14030 transcript:KYUSt_chr7.14030 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGERDGVGYDGGASRERGGAIKFLGTKRHHDKWLSDTENYVIKGSFAMTELGHGSNVRGIETIATYDSQTREFVINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQIRDQDESVLPNIHIADCGHKIGLNGVDNGRIWFNNIRVPRENLLNLVADVLPDGQYVSTVDDPDQVGLAIAVRYALSRRAFSITPDAPEMLLLDYPSHQRRLLPLLAKACMMSSAGNFMKNMYVKRTPEISKAIHVYSSALKATLTWQNMTTIQECREACGGQGLKTENRVGIFKAEFDVQSTFEGDNNVLLQQVSKALYAEFVATQKKKKPFKGLGLEHLNGPCPVIPDCLTSGILRSSKFQMDLLCLRERDLLNQFTKEVSQYLAQGESREKAFMLSYQIGEELARAFTERTILQIFLEDERNAPAGSLKDVLGLLRSMYVTVCIDESASFLRNGYLSRDNVATVRKEVMALCSELRPHALAIVSSFGIPDAFLSPLAFDWIQANALSSESE >cds.KYUSt_chr4.4567 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26133693:26135549:1 gene:KYUSg_chr4.4567 transcript:KYUSt_chr4.4567 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQQQGLSGHGVEEVEMEGEKWVDDSSVDHCGRPPLRTATGSWKAAMFIISKLRLPHHLSLIRFAHRCQCVNVSFLAWVRSVIEFSERLSYFGIATSLMIYLTKVLHQDMKVAAENAQYWMSVTTLMPLIGGFLADAYLGRFATVILSTAVYLLGLVLLATAQLAPRLSPTIAPGVHGTLFFVGIYLVSVGTGGHKPALESFGADQFDDGHAGERRKKMSYFNWWNCALCSGVLLGVTVVVYVQERVGWGAATVLVAAVMGVSLVVFLSGWRTYRYRVPGGSPLTPLVRVAVAAARKRHLELPADASQLYEVAGTAQGGSKKRLLCHTDQLRFLDKAAIMEHGGENGAWRLATVTHVEETKLVVSMVPIWLATLPFGITAAQVSTFFIKQGSVMDRRLGPHFVLPPASIFALAAVAMIATVAIYDKVLEPCLRRATGAERGISVLRRIGIGMAIAVLAMAVAAVVERRRLDYATTMSVFWLVPQFALMGVADGFALVGLQEYFYDQVPDTMRSLGIGLYLSVIGAGSFLSSLVISAADHVSSHGGRRDGWFGKDLSKSRLDLFYWLLAGICAANLVFYVLVATRYSYKQKHVVKATRVGAEKNVGGDIECGTAVAA >cds.KYUSt_chr1.8065 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49542954:49544552:-1 gene:KYUSg_chr1.8065 transcript:KYUSt_chr1.8065 gene_biotype:protein_coding transcript_biotype:protein_coding METQVAEMLGLVEEEGESPAEKAEVYYQERPVLVAHIKNFCRMYRALAERYDNVTGELRKNIPSSLQSHGSGGISESDSETRSPSPSAEPDVEDKKGSDGSSSSSESESELDDAKEENGNSMFYALSQRIIELEDELHEARGKLDALEEKNMHSQNFGASSEGSEHDEKLQVSNVEIENCLEKDLEENNSEKEALEAALLLKNETDGLKEAMASAAKQFEIELAHRDLEVDKCKEELRELSAKYLHDKSALEAETGRLQAVITNYEGELARLSQEKLQLGCRIEELEQAACSLDYSASEMMKLQEVIKNTKAELEEVSLEKAETIMNLEAELEIALQEKSVLQDRIKELEKVVSESLDKHSLEKSALSAEVLTLSEANTSLEGKLASMEEELRQAYADKAEESMNNEKQICGLNQDLANLTSKIEVMLSEKATVDNKLVTLFTDITSRDEKMKQMTADAAVVHKSLSELHARVSELEELVEKQKLAISESAEGKREAIRQLCFSLEHYRSGYQQLRQMLQQGHRRPLALMAA >cds.KYUSt_chr2.40783 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253370878:253374230:1 gene:KYUSg_chr2.40783 transcript:KYUSt_chr2.40783 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLATLVLTLASLLLPAAALADDNVRRVLHEPLFPIQWTPPPGFSSNPDNGGPALLPPPPPPPNTVPADVSSPTGPAPRVRGGGGGTPKAAIVVASAAAAAFLALIAFAAAFLLTGRLSRHPVQPHNAPVPTRPAAAHATVSEGHAPASGSSTVSRYRSERARRGICRDVDTVPSPELRPLPPLRRGSSDEEAAGYYTPGQLSASAGSGGGTGTWSEASASSPRTTTTSRRSLPSLTSDFFSGTPAAAPASSFAAAPPPAPPAPRSRRTQPRTRFSATDTMPSNPLPTPPPPPPQPQNTVPKPPPPPPSAMHRSLKPVNPPTTIAVRAAPVTATIEDNVHAGGSMSMPTRAHNDDDEARPKMKPLHWDKVPASSDDRDMVWDRLKSNSFQLRISAAPDAKILCRFVGSLNLIFKSLLNCRLDEDTIDVLFMNKATAAPPPPRKAGMTQLRQQERVLEPNKAHNIAILLRALNVTLEELEDALLNGNAESLGAELLETLVKMAPTKEEEHKLRNFTGELSKLGSAERFLKAVLDIPFAFKRVDAMLYQANFESEIIYLRKSFQTLEAACEDLKGSRLFLKLLEAVLRTGNRMNVGTNRGDAKAFKLDTLLKLADIKGTDGKTTVLHFVVQEIIRSEDEKSDRAAENHITNTAKDIQFRRHGLKVVSGLSSELGNVKKAATMDFDVLHGYVSKLQTGLGTINSVIRLEEQCTKGEKFFAAMRSFLKEAEQAIQQVRCEEKKALERVNEITNYFHGNTAKEEAHPLRVFMVVRDFVSILDRVCREVGQQDRTPVGSFRASATTVTPMLNVHRRDASSNEDS >cds.KYUSt_chr3.35421 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222405436:222408025:1 gene:KYUSg_chr3.35421 transcript:KYUSt_chr3.35421 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSRGREQQQSSRSKSARNKLDGSGERLPSEGCGKRKKAKLDGISRRVSSERSSKKGKSSQDWLEKRSKKRNTGEDEDDGSCSSAVSSPLREPYMPDGTEISKPMDSAISDKYRDMQEEYYAKIARQMKMSMLCELTPPNCLVNDPTLLHIRESSKKIVLRAAQFIVGLSSSFDGEPLAWCFGFWIDLDSEKRIGTVVTTAHLIRTKRPSPDAWLCKYEYASDVKLPHFIDKVECAQDIFELGRDESVKLVIHHGRVKYSNPDVYERNHHMCIEGPHRDREYDNGGPVIDLDGKVVGMIDSCPEGSFIPSSILLKCFHLWRNFGCQQALLPKDVEFELGT >cds.KYUSt_chr3.26939 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168095596:168097537:1 gene:KYUSg_chr3.26939 transcript:KYUSt_chr3.26939 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIFSDLTMAPLLRVAVLVALAAVCAVQGQQPGLLRVPDRSAPTGAFLDRVVLPVDNAGGFAGWWTVLSENSGVSAMHLAIMRHGKAVMFDTTTTGPSLSMLPAGNCRPDPRSVPPGAMDCSAHAVEFDYNTGAVRPLKILTDTWCSSGAFDPEGMLVQTGGYFEGVKVVRHLSPQITSDWREFPNSLADGRWYGTQQVLPDGRFIVVGGRRAFSYEFVPVAGQSNAQATPIPLLRDTTDDVENNLYPFVHLLPDGTIFLFANDRSIVFNPQNGQVLRELPKLHGGARNYPASGMSALLPLDLRRGERLSAEVIICGGAPKEAFKVGELNRFPPALRDCARINPSKPGARWSLDQMPVGRVMSDMLILPTGDLLMINGAAQGCSGWAFARQPVLTPFLYSLRKPRGQRFRALAASNIPRMYHASSALLPDATVLVAGGNTNSAYNFSGVDFPTEVRVERFTPPYLAPELLATRPEIDVASVPANGMKFGAKFAFRFSAPGQPVAEPDLKVTMYAPPFTTHGYSMNQRLLVLQVTAFKPEGQRYKITVHAPLNPALAPPGYYMVFVLVKGVPSKAAWVKIHH >cds.KYUSt_chr4.46746 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288984101:288985806:1 gene:KYUSg_chr4.46746 transcript:KYUSt_chr4.46746 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARGFRKKGYIPLTTYLRTYKVGEHVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIKKRIHVRVEHVQPSRCQEEFRLRKINNDKLKAEAKARGEVISTKRQPAGPKPGFMVEGTTIETVTPIPYDVVNDLKGGY >cds.KYUSt_chr5.34276 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217359728:217360741:1 gene:KYUSg_chr5.34276 transcript:KYUSt_chr5.34276 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDEEIEIDFYPFLVVYKSGRVQRFGGTSRKSAGTDAVTGVTSKDVLIDAATGLAARLFLPKGVSSSQKLPVLVYAHGGGFVTESAFSARYTGYVNALVAAAGVVAVSVEYRLAPEHPIPAAYDDVLAALRWAAASCVPGGPEPWLADHGDSTRLFVAGSSSGGNVAHNVVMRAGKGGGARVEVEGMVLLHPLFMGTAPLPSEGTDPTIPARSESIWRCLCAGKYGIDHPFSNPLAMPPEAWAALGCRRVLVTTAELDRARDRGRRYVEALRGSAWGGEEAALYETEGEEHLYYLLKRGARTSSVTAEKAAKEMAAVASFINRGRCSSDCNIRSTL >cds.KYUSt_chr4.42955 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266084557:266086776:1 gene:KYUSg_chr4.42955 transcript:KYUSt_chr4.42955 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAHLSLTILLLALTFLHPSSCHPNEDQTKPYRTYILLLKPRTDASSDDEHRWWHESFLPSPLAGSDEARLVHNYTEVFTGFAARLTEAELEMVSKKRSFVRAFPDQLWHPSTTHTPEFLGLKKGSGIWRNVSYGKGVIIGVLDTGIYAAHPSFDDGGIPPPPSKWKGSCHGASRCNNKLIGAKFFNFYANDSGDDAGHGTHTASTAAGNFVSDASAGGLGRGTASGIAPGAHLAMYRVCTLFGCYVSDIVAGFDEAVKDGVDVLSVSLGPAYNVNFTGDPVAIGALNAVAKGVVVVAAAGNNGPKAYLENSAPWLLTVAAGSVDRSFQAVVQLGNGNRIDGEAFNQISNSSSWLFPLYLDKHCKSLARRNVSGKIVICHNTGSMNDSRTGSVNKTDITGIMSAGAAGVVLINRKDAGFTSVLEDYGPNVVQVTVADGNSISEYVRTTNKPSASFIYKNTLLGVRPSPTVAAFSSRGPCSFSPGVLKPDIVAPGLNIVAAWPPLTILGSGPFHIKSGTSMSTPHVSGVAALVKSVHPDWSAAAIKSAILTTADIADSRGHPILNEQHRMASAYAMGAGHVNPAKSVDPGLVYDLGITEYAGYICALLGDQGLAIIARNPWLSCTKLPKIPEAQLNYPSITLPLKSVPFTLNRTVTNVGPADSVYTLKLYTPKSLTIRVSPEKLVFSKAGQKIQYSITVTSHANDEKKFMEGSLSWVSRNHVVRSPIVAAADLDSPPL >cds.KYUSt_chr3.41605 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262451167:262455879:1 gene:KYUSg_chr3.41605 transcript:KYUSt_chr3.41605 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGFARRIPKRRRTTKTPRQQQVERQLLDLNSFPAFEGAGNGGSLSIQEPASHSGTSGTVVAETSQLLLPPAAAESNIGMNSFPIDVEVIDDDVVIYSSRPLPQARQQSARERPVTVIIDDDSETPAGPTGEGLDEHVNTLLSLGMNPRHSCSRAPNSLVINIEDTPETNILPKAVQALPEPVREVPKEPKFSCPVCMNELVEPSSTICGHIFCQKCIRAAIQANKKCPNCRRKLQMNNFHRVYLPTTDH >cds.KYUSt_chr4.35476 pep primary_assembly:MPB_Lper_Kyuss_1697:4:217780994:217787138:1 gene:KYUSg_chr4.35476 transcript:KYUSt_chr4.35476 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGRLRSAIYDKEERKTQYQSHIRGLNAYDRHKKFMNDYGQFICGNKKCDENVGLGSYEVNFSYVEAGEQKQALVKLVACKRCAEKLAHKRQKEKEKEMKLSAEEVKERDKRKREHDDESEDITEDESSKKRSRKKKDLKGTLNRKVGNKDDDFEEFLEGMFP >cds.KYUSt_chr7.31376 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195353276:195354724:1 gene:KYUSg_chr7.31376 transcript:KYUSt_chr7.31376 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPIQGKGLIKAVYQRVVDSSTAIVVTAAPLIAAAAVAVSRSWLHDLRPVHLFLWCFLPVAAAIVYLKLRPRAVYLVDYAGFRTPHTCRIPFASFLEHAKQHPALNERSVRFMTRLLERSGLGEDTCLPPAHHYVGTHEYCTLDAARAEFELVAFSAIDDLLAKTGVAPEAIGILIVNCSLFCPVPSLVDMIVNRYKLRGDVRSMQLSGMGCSAGLIAVGLARNLLQVAPHGAHALVVSTETITPNYYLGTERAMLLPNCLFRIGGAAALLSTSPAKARFRLKHVVRTLTGAQDNSYRCVYQEEDAEGHRGINLNKDLMSIAGDSLKANITAIAPLVLPAREQLMFAFYFVAGKVLNRRLKPYIPDFRTAFEHFCIHAGGRAVIDELQKSLNLSDEQVEASRMTLHRFGNTSSSSLWYELAYMEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIEPARNADGPWATSIHKYPVDIPDVLKH >cds.KYUSt_chr2.3323 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19758143:19759725:1 gene:KYUSg_chr2.3323 transcript:KYUSt_chr2.3323 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHRLVGEGRRRCRLASPRKCGVDGAALHAGSLVQVDVVLAMADGAGLYASSLDVVVGGMCGGDGVALHAGSLGTDIVAALHGCGLHGAMLHAGSLVADVVVAPRGCGVDGAMLRAGSLVADVVLAPRGCGVDGAMLRAGSLVADVVAALHGCGVDGAMLHAGSLVADVVVAPCGCVDGAMLRAGSLVADVVVAAVCVLMVPRCTPAASSRTSSLRLTGVSMAPCYAPAASSRTSSSRLVCVLMVPRCTPAASLADVVAAPHGWWRWRHVTRRQPRGRRRCRASRVCRWRHATRRQPRRGRRRRGCVCVDGAALHAGSLLADIVAAPHGCVDGAMLRAGSLVADVVVAACVCVDGAALHAGSLLADVVAAPHGWWRWRRSARRQPRHGLVVVLMGEEDVAALHAGSRVADVVVAPRGCHGVGAALHAGSLAQMSSPRLAGATEMAPHCTPAVLTSSSSPWRMVPGCTPAAPASLLSDASSERHRGAVCMCACPGEKERKGEGD >cds.KYUSt_chr1.8614 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52752589:52753356:-1 gene:KYUSg_chr1.8614 transcript:KYUSt_chr1.8614 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSPLLPCAIMALLFSVLPFPSIAGDPDLLQDICVADLASGVKVNGFACKATVTEDDFYFKGLGAAGNTNNTYGSVVTGANVEKVPGLNTLGVSMSRIDYAPGGLNAPHTHPRATEMVFVLQGALDVGFITTGNKLISKTIAAGDVFVFPRGLVHFQKNNGDGHASVISAFNSQFPGTQSLAMTLFGATPPVPNNVLTKAFQVGTKEVEKIKSRIAPKKTS >cds.KYUSt_chr2.53096 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331273004:331273958:-1 gene:KYUSg_chr2.53096 transcript:KYUSt_chr2.53096 gene_biotype:protein_coding transcript_biotype:protein_coding AVAPSPPRTPLWAGPGSSKAAVEANKATARILVRMLVEGSDSAKAVAANEIRLLAKAGKQNRAFIADLGAIPLLCRLLPSMDQMAQENAVTALLNLSIYEPNKTRIMEQEGCLRLIVNVLENGWTTEAKENAAANLFSLSVVHDYKKMITNEPDALEKLASMLKKGMPRGRKDAVMALFNLSTHRERSSRMLEPSAVVALIEALRNDTVSEEATGALALLMKQPSVVHLVGSSETVITSLVGLMRWGTPKGKENAVSALYEICRRGGTTLVRRVAKLPGLNTVIQNITLTRTKRGKKKASLILKMCQRSQRFRRHGH >cds.KYUSt_chr5.4500 pep primary_assembly:MPB_Lper_Kyuss_1697:5:28737413:28739664:-1 gene:KYUSg_chr5.4500 transcript:KYUSt_chr5.4500 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALAVRALDWISALRGTVVTSKATETLAAETIKKAPAASRVRRGLALAINRPLRKRKGPLPPSARRARKLPARIRRRRSSNNSVGGIAGNLGMGSASASGLQHRSPRFRASRRPSGGRRRAGRRASRRGKKRRGRRGVEQPQMRCSCLSITQRGPAVVDSVGPITAWRKRDLGAGGRRPEPTVSALVGNASWLLFGWTEALVEPLRLNLWGGGCQIPGLLVFFWLCTPAERRRALGGPICVAVIYLALTSVFGVFHIHLGCYQVLCTVAGMVAAAAPLFTFIFLMENTGEELLPHRAILGFSAIHGLLWCARGFILYNGFMLIQNLIGLSFSVLQLCIKTFYGLPDMYHGSEDFEQELLAHLPVIEPPVDVEQGLQMVQGDQGIPPLMPGQEELPPMAGQEIQDPLVPDQEEEDEEDEEEDDDDDEESDDDEEDDDHHGGGVNYASDECSPSPDPDSTAGQSVPGELQSPAPQDATSSGTPGVSSGKGMPPRRSSRLALASNKTPSKQTPIMVASNKTPSKPTPTMVASNKTPSKLTMVLRSSTTRNTPTSGSESSSTTANIPSGSGRKRQR >cds.KYUSt_chr3.44872 pep primary_assembly:MPB_Lper_Kyuss_1697:3:282870367:282871272:1 gene:KYUSg_chr3.44872 transcript:KYUSt_chr3.44872 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAADRAHALPARRPAAPSHAQACPAARPSDANPPWPCPDARALLAGSGQGGDESARRHVRRPAGVRHRGDLQLRGAEHLLRAPRQRALEGGPEALRGRAAQPEARPVVPPIRTVREEEAALLVRSIASSMPALGPVVVNVSEMVKVMMNDVIMRVSVGDRCEQRAVYLEELDRGLAIMSGFNLSDLFPTSRLARALGGGTLRAALEVRERIQSIVDAMVRDHKRAMESQGAAYVGGQEREDILNTLLRFQREGGMGITLTNENVNGVLFVSHHKLTATYIYNRTLMYVAKVYFLIFTSC >cds.KYUSt_chr3.18415 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113218549:113220060:-1 gene:KYUSg_chr3.18415 transcript:KYUSt_chr3.18415 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPLPPALHRAFSLLARLESPRHLLQAHAFLLPRGGHHNARLLSALLLASLRLPLRRHALALLRTVHPSLSLNAAARIPHLRATLGPQLHSLAVRAGLASDAHVSTSLIQTYFSCGSVASARTVFDETLHKDIFCWNVTVSGYVKSGNLACARDLFDAMPRRNVVSWTTLIGAYAQMKRPAEAIEVFRRMQLEEGIQPDGVALLSVLSACGNLGAVDLGEWVHRSVVKRGLCWHIPLMNAVIDMYLKCGCVGRAVEVFDGMEQKSVVTWTTVIAGFALHGLGSEAVEMFRRMERENVAPNDVTFLAILSACSHIGLTDLGRWYFKIMTSRYGIKPRVEHYGCMVDLLGRAGCLMEAQDLVKGMPFMANAAIWGALLAAARTHGDAELGEQALLHLIRLEPHNSGNYILLSNTYAEQERWDDVSKLRKAMKDGGLTNVPGASSIELDGMVHEFTSRDGSHPSLHEICKVLCDITTDMKSIGYVLLPADALNDTEEEQYCKH >cds.KYUSt_contig_195.184 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1138669:1146172:-1 gene:KYUSg_contig_195.184 transcript:KYUSt_contig_195.184 gene_biotype:protein_coding transcript_biotype:protein_coding MFAARLHKVGFVPGGDQALAHTFSHARATFVLVSDSLGCRKLGRPSKHEERFCPLSLPRQWKMRALVRSLRHLRRLTQHRSEGGHTSINRVTRQQNAVILCSSTSRSLSSLSTTLRRNDEASRFMSPGVEILRNMFSTIAADSIKDVPQSGPMAEYEKRIASGELVDGDSFQVDTIQQLQRLYEDLVENEEACQLDRYQSSEKSGRSRWLWSRLMAPPTSHAPVKGLYLYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDMVAAEISDEAIILCLDEFMVTDVADAMLLNRLFKHLFSKGVILVSTSNRPPDQLYEGGLQRNLFLPFIATLKDRCIAHPIGSAVDYRQLGSAEEGFYFVGDRCSTVLKEKFQSLIGDEEPTPQTVEVVMGRKLQVPLGAHGCAYFTFEDLCDRPIGAADYFGLFKRFHTLAVDGVPKFGFHNRAAAYRFVTLVDVMYENKARLLCTAEARPLELFENIVTVAEAQRSSPRSSRSSKSDNPDLCVDNELGFAKDRTISRLTELNSREYLEEFEAKLRQQPLQVVDDGGDVVLA >cds.KYUSt_chr3.24175 pep primary_assembly:MPB_Lper_Kyuss_1697:3:150048737:150050657:1 gene:KYUSg_chr3.24175 transcript:KYUSt_chr3.24175 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRDVQIRMASQLNEQSSRESLPSLFIQVPSRTIAGFDCVGHDTVITIPAPATPPPVAAVPAYDDAHIPYALSLSMPASPSGFHLSQLRTASSVRRDEAPAVTKPAGREAEASSPRLLKQTRFHSQPILHASKLLNEPPRRADTTRDKRFDPFKTFSGRLERQLSNLRGHPIDLHSPDSNISEETDQVPGTDRYFDALEGPELDTLRSTEVAVLPSDEKWPFLLRFPISAFGMVLGVSSQAILWKALATAPPTAFLHVSLTVAHVLWYVSLALMGLVSCIYLIKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGAPIEMTQVHHGVWYLLMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYIVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKINGKFDNGARVAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGASIATITYATEVTNLLTRTLSIGLSGIATVTVAGLLVTTMFHVFVLRDLFPNDVSIAITRRKPKFSKILAHFRSSSSDMKDLVLSVSKSPNSDSDSTTETSVTKGKAEP >cds.KYUSt_chr3.7953 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45641843:45643422:-1 gene:KYUSg_chr3.7953 transcript:KYUSt_chr3.7953 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHRGSQPLDLAAAAAPELDDDGRAARTGNLWTCMAHIITGVIGAGVLALSWSVAQLGWVAGPVAVLCFAGVTYVSAVLLSHCYRSPVAAGGSEESSSSSGKTRRNYTYMDAVRALLGRKHTYVCGTLHAIKKSNCYHGDGRGASRCGSDADEQHLFMLVFGAAQVVLSFIPNFHSMAWLSAVAAAMSFTYATIGLGLGLAKTVGDGAIRGGVAGVPMATTAQKGETLRKGNVVAVLATAFFYLCVGCFGYAAFGNAAPGNLLTGFGFYEPYWLVDFANACIVLHILGGYQFFSQQIFTLADRWLAARFPESAFVNRTYAVRILPGLPRYGLNLQRLCFRTAYVASTTGLAVVFPYFNEVLGLLGALIFWPLVIYLPVEMYCVQRRVRPWTPTWVVLKAFSVVCFAVGTFAFIGCVVGVVRKRLG >cds.KYUSt_chr3.9793 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57567323:57567868:-1 gene:KYUSg_chr3.9793 transcript:KYUSt_chr3.9793 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATTSFLVARDPPPPTKTAHLSQGHGPALEGWVRWAFPQVLCVLLVFAFAWGLRSAGYDVGVLPGPTAYLLAVLCFCLWKLEVLRRDPGGDPAAAAREWRKVGLVAWSASLGLGSMVAVHVASAAPGLALRVALWVLAGLAIVLAVYLSFEARRGDYGTDDDGRWPEKDLHELSPEQRV >cds.KYUSt_contig_1163.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000111.1:51328:52457:1 gene:KYUSg_contig_1163.15 transcript:KYUSt_contig_1163.15 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTGMADPSVILTNSASILLPIPIPNPSLPCSLYHSKLWRETTVTPPKPSPTPFLRSNRPQKFLQKMRRRSLIIAPDSDEEDDAATPASATTSLSVASGGGGGGSAGRPSSQNPSPFPYPVPSSPPPSPPVEISDDEDEMEEIQDPDEEEEVEEIQDPDEDCPFDDAPDEIRDSDGDSLFTDIADDLSPPFPAPPQIPYLGASNLVVNVCPKNLVKARFPP >cds.KYUSt_chr2.32047 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197635575:197636906:1 gene:KYUSg_chr2.32047 transcript:KYUSt_chr2.32047 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKRAARRGRRTDSSGSVELGHNGAKEPSPEGVEGSQSHRVREGSESTDEETPIRALEGEEDKESEDEEGEEEEKVSEDEEEEEEEVSEEEEEEEVSEEEEEEEREEEWTEAPDPYLQLKRKRASARITRSQQRKVPAPAQQRRKEGEQPSESKKPNSKKITRARAPLQQQLSQSKKSNSKKITPARAALQQQLSQSEMPKSKKLTPAPVQQQGEERAQPSGSEMPKSKRIRRTWTPSDEVQILTALLEHRREQGKLPEPDNNDFFDSIAERLEDRTCTRFTIKDKVRSLMRRYKSFVAPSTDHEHRLADLSNSIWGDLPATHAANAANANNGDDEQDEGEHAMPEAENSSGQNVDKSFQEMCEMYPLLGQEVKRLAGLQPALRTSFTGLDGNKALLMEKKLDKLKWKELKIQAEMEAKVEAPKARVRKELVNVLSEVSKNL >cds.KYUSt_chr4.42465 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262942466:262954960:1 gene:KYUSg_chr4.42465 transcript:KYUSt_chr4.42465 gene_biotype:protein_coding transcript_biotype:protein_coding MQADLDRRRRGHSGINTPRKETDTCQILSGTYEGMTTGTPIHVFVPNADQRGRDYDDMTNAYRPSHADLTYDLKYGVRSVQGGGRASARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVVLPEDAVDYETVTLDQIESNICRCPDPEYAEKMIAAIDKGLGTPVFDKLEALLAKAMLSLPASKGFEIGSGFAGTDLTGSEHNDEFYMDEAGNVRTRTNRSGGVQGGISNGETIYFKVAFKPTATIANVGLTTGIAPHADGLPPSAYNRSALGVPYADGDPRRSSSGKVGLGTGHVALGVAPPVGVDGVGRRLAPPVGVLAVGAGGYPAPSR >cds.KYUSt_chr4.2018 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11024884:11030983:1 gene:KYUSg_chr4.2018 transcript:KYUSt_chr4.2018 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPARKYRGSGATAPPPPTVTGSCCCLQRIAPPLRRLPATRPPSGARAAGKRARGAPPRLTSAVPGGFSWRVLLDKLHQGCSTLKGDNYTEWRKEGRFVLRWTGFPQPVRPKDPVRNDKDDDAAWQEEGYKAAVAVGGRLYVLGNERKPLWSSYQRNGGAHVHCRDPHPVSGTGWSSWEPVGYCSRWSCRQQCWNAPTSPFLAKDVTAYAVAAHEPAQAEHEIVVSVSATFDGDGNQLGAGTFSFSTASPEWTRCGDWQMPVHGLAHHDEGLDTWVGLHAVHHSGDMDRPRVAVTDGRLCAGHFTSGPTDWKVGGEKLFRLDEDVAAGWRHLDAKLVPMTPHDGGGEYCLMERLVPSKGDECLGDGDDKNKFFGEWLPEEEECLLRLTAFRVERGNDGEPVATARRAARSYRLSRYNKFFDAQAFWM >cds.KYUSt_chr1.5037 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31020867:31021886:-1 gene:KYUSg_chr1.5037 transcript:KYUSt_chr1.5037 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMGSQEISVVAGHVALHSSAHHNDPTFSHRHGKSALTTTTATDIPAYKRGGGGHGQQSLFVKVYMEGVPIGRKLDLLLLDGYDGLLALLARMFKASIIHHDIVGGDHQGETQGRHVLTYQDQEDDWLMAGDVPWEYVMLHS >cds.KYUSt_chr2.2639 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15825403:15829142:1 gene:KYUSg_chr2.2639 transcript:KYUSt_chr2.2639 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPAAYGFLYGGGSLPRRDHAHTLLHVAVGRSPEKTLPLLRWAFRRFGCARVALVHVHQPSPVIPTLLGKIPAAQATEELVSSHRKSERDEMSKILLTYIAFCHRAQVHASILLTENEQIHDGVVTLVRDHGVTKLVMGSIPDNCFKLKASHSKEYFMAKNAPAFCEIWFVWRGRHIWTREASAAINNSISIYNQDDIMMIRKRTRFSPNSDDAESILDEGYITCGASTAADLREGIVSDNSRLSDYGALGADVNHLYNKNISNLQDAESAFNSTFWPESPLEFHSKEMLDTNIKQVMIEAEGSRKDAFVELLKRKDTESKVASAFVRVKASESSKRREVKLREELEGVFLATRKQHDDLARHKEKATAVLDSSKRRLEILDSHANNMRFRMNEAAAKLEVYILNKVRHPHLVTLVGACPDALCLVYEYLQNGSLHGHLFSKHYDTPPLPWKIRARIVAEISSALLFLHSCKPQMMVHGGLNLENILVDTDFHCKLSDLCLLMEHTNDRRAFGSALLAHKSDMHSFGTVILQLLTGKQPGLGLAIEVKRAMTCGKLSSILDRTAGEWPMPVAQRLAEFGLECTMDRLELTPETVRELEQLYLIRGRQAPSFFMCPILKETMGDPQVAADGLTYEGHAIRELIENGLAVTDLKLKHLDLTPNHALRFAIQDWLSQPH >cds.KYUSt_chr5.41018 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258792738:258795758:1 gene:KYUSg_chr5.41018 transcript:KYUSt_chr5.41018 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTLHRLVLSFVLLPLLISRHADGRGSSLRDQAAALLRWKSSVNFSSKHQLGTWRDDGMYPCNWTGITCGDTRSSGGTTVKVIRELNLGGAGIEGQLHTLRFQSLPYLVNLDLSDNYGLFGAFPPGIGSLSMLSSLNFSGDQLSGNIPVSVSNLGRLTDMDLSNNNISGQIPPALGNLSRLVILYLDGNRLSGSIPWQLGHLQNMRELDLTFNILSGQIPSALANLTSLNFLDLSVNRLSGPIPEVLGHIHTLQDMSLAVNNLTGTIPPSLGNLTMIKNLVLYRNQVTGPIPVELGMLSSLTGLDFSQNLLTGSIPSTVAGNLTSVTYFALWGNHITGSIPHEFGNLVNLEVLDISMNFIVGSVPTSIGNMSSLRDIHIARNNLSGELPSEFGNLEILEYLAAYRNQLSGIIPQSFGKLVSMIEMRLFSNQISGSLPSSLSNLTNLVSIQLSNNQLTGHLPNLCQSKRLHVLVVYNNNLDGLVPKGLRDCSSLTTIILGKNLIEGDITEAFGVYPHLNMIDLSSNRFGGHLSPNWGSCQNLTILNFGDNMLEGSIPSELGELRNLRILKLRFNRFIGEIPQEIGNLTNLYWMDLRKNQLSSQIPKQIGQLSNLEILDFSSNMLSGKIPEEIGSCLKLRSINMNNNNLNGSLPPSLGHLASMQSMLDLSMNSLSGSIPSELSKLEMLIFINFSHNQLNGAIPISIASMESLTIIDVSYNFLEGSVPKGIRNASAEWFLHNEGLCGDFVGLSPCDMPSTDHRRKHHKFLLSVGVPLLVATILIAASVIAFSIRRKKLSKSIADVGKRNVLSVWNFDGRMAFENIINATENFDEKHCIGEGSYGSVYKAKLQDEQVVAVKKLHAGNEEADDEERFQHEIEMLTKIRQRSIVKLYGYCSHPRYRFLVCQFIERGNLASILSNEELAIEFHWQRRTTLVRDVAQAITYLHHDVHPPIIHRDITSRNIILDVDYKAFVSDFGIARMLKPDSSNWSTLAGTYGYIAPGIFI >cds.KYUSt_chr1.41658 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255434975:255438618:1 gene:KYUSg_chr1.41658 transcript:KYUSt_chr1.41658 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAGAAEPAVAAAAGETAVVAVAEGTGGGADDVVVGGGEDMIAAELADTKLEKNQMVKYGLRPRTLKGELFALLSKKGSSGLKVSELAKSPQIIDLNLSGASEVEQLIFSTLSSDITLFEKIAPSAYRLRVDPRIKGKEDPRLDTEDSGTVDDDGDACSSGDESVGPQELSFLEHGSRIVRRKQKNVNKCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALLDVVSGAGFVPRLEEPQSVLSNIQRTQSHASGGKIKKMTRTIYQSSDEYLNRPGSSPSFDSSMQGQSGSLKSQDCIVDSEANESSTGFAHQPQIVLLGSDRRYNNYWLFLGLCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLSVLDIRGTREAHLLASMKKRQACLFEGMKKHLEEGNSVGLAASSDSSRSETSSGNKVSPKPSSGDGASPLSDIDSASIPAYLAGNLLNASSAIVIEGGRRSDEKILKWERLQALDKWIWTSFYSSLTAVKCGKRSFKESLVHCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAIHVVTCREPEGLYDVPNHKVLPSQLQALKAAIHAIEVRMPAAAFAGLWMKSSHKLWVKRLRRTSSLPELLQVLNDFVGAIDEDWLYQSSSTVSFSSYLDDVTVYFQTMPQTTSAVALWVVKLDALIAPYLAQS >cds.KYUSt_chr1.25605 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153734748:153739680:-1 gene:KYUSg_chr1.25605 transcript:KYUSt_chr1.25605 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYHRFGKLQAIEALLHSPMVPNKTEHSGQIKKGRPQTQFWEDDKKCRSHGCGAMIVCLQAWGVTNVVMKSGHGMVEYNYKLIFYLHPKVMIHVPSPHRKFNIYAGVGTILISRTDPMDFRVSCERERERSMASAVASSGPTLANLKKMLAEPSATGAPVAYCALSPAAVRHLCSTQGKEARRSDDDDDTNGEYKDADAVGRRRARDTDLPSFFSHDVFDRFGAPTSLGRLLGLMEDAVSASGPSGLSSAAWRGWPVAKEDDGAVYLKVPMPGLGKGHVKVSAEQNSLVIKGEGEKDGWDGDKDDAAVPKYSARIEMPANAFKVDKIKAEMKNGVLMVTVPKLKKEERKDVFQIAIE >cds.KYUSt_chr7.31393 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195475122:195476594:-1 gene:KYUSg_chr7.31393 transcript:KYUSt_chr7.31393 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAQLKRLKPVYQCVVNNFLLVLAVPLAVAGVVSLARVGPEELLAKLQALRPVHVFLAVFLPAAAATLYLMMRPRAVYLVDYACFRTKASGRVPFGTFLEHAKLVTFIEGASIDERSVRFMTRLLERSGLGEETCLPPAHHYIPPFRNLEASREEVELVIFSAIDDLLAKTGVKPEAVDFLVVNCSLFAPVPSFTDMIIHRYGMRSDVRNVHLSGMGCSAGLVSVGLARNFLQTAPQGSTALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAALLSTSPAKARFRLARVVRTLTGARDSAYRCVYQEEDEEGHRGINLNKDLMTIAGDALKANITAIGPLVLPASEQLLFALSFIARRVFGSKGIKPYLPDFRMAFEHFCIHAGGRAVIDELQKSLNLSDEHVEASRMALHRFGNTSSSSLWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIEPARDAQGPWADCISRYPVDIPDVLKH >cds.KYUSt_chr1.7818 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48081950:48084980:1 gene:KYUSg_chr1.7818 transcript:KYUSt_chr1.7818 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATAAAAAVPAGRHQHRHRLGLGLRPRPNSHRLRLLASVAFSSSSAPSTSSSSSLSTPAEGGGRLVAELVGVFNELTGRMGEGLATTSSSRLLFRALKLALPALRDGDGGRALTRALAVAATLADLQMDAEVMSAGILRKALDAGTISMQDVEAQIGIGIADLLHESLRLKVAPSKVDVLDDESASTLRKFFLSYYDIRAVILELSLKLDTMRHLQYLPKHLQRIKSLEVMKIYAPLAHAVGAGNLSLELEDLSFRYLFPQSYDHVDQWLRNREAECKLLIKAYKAQLLQALKSDDELNRIVQDISVEGRYKSRFSTMKKLVKDGRKPEEVNDILGLRIILDPRCDGGLSDWGPRACHRTHEIIRALLKEVPGRTKDYVTQPKRNGYQSLHVAVDVSEPGKTRPLMEIQIRTNEMHRIAIGGEASHSLYKGGLTDPGEGKRLKAIMLAAAELAALRLRDLPASDHQGGECKNQAFCLLDKNGDGRISIEELTEVMEDLGAEGEDAMALMHLLDANSDGSLSFDEFESFQRQVELMRSLEDRDDHYTKILKDKLRTIDSAGLIHFYRKELGDKLLVS >cds.KYUSt_chr5.19398 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125849913:125851590:1 gene:KYUSg_chr5.19398 transcript:KYUSt_chr5.19398 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAYNLFVSIAVAALFLIAGARNAAAFNYADALDKAVLFFEAQRSGKLPPGQRVGWRSDSALSDGSASNVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSVLEFVGDATPSTSRGEAEAAVRWGADYLLKAATATPGALWVQVADAGRDHACWERPEDMDTPRAAYKVTTQSPGSDVAGETAAALAAASLVFRARDPAYSSRLLAAARQVFDFADRYRGSYSDSLGSVVSGFYRSYSGYNDELLWAAAWLHLASSNGVYMSYIHTNGPNLGGEQDDYTVSWDDKRVATKVLLSKVFLQNKVDGLSTYKSHADHYICSLVPGAGAGQGQYTPGGLLFKDGDSNMQYVTSTTFLLLAYAKYLGAAGGTASCGSGAVAPAALVSLAKKQVDYILGANPSGMSYMVGFGARYPQRVHHRGASMPSVRDHPGRIGCDDGFQYLHSSEPDRNVLVGAVVGGPDSSDAYSDSRDNYAQAEPSTYTNAPLVGALAFFAGARSNK >cds.KYUSt_chr6.4833 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28428661:28432408:1 gene:KYUSg_chr6.4833 transcript:KYUSt_chr6.4833 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHKPGLRVRLRVTAARQRAWQKSACRKPPRRDPADTVRKFMRREIGGGHRRPPRPAAPSTSAFSCPEKFRNFQLQEEYDTYDDGIAQLPLRWNRRKIIEIVAASDLIFVLAESGLCGAFSRRTNKHICHLNISPDEVIRSLFYNKNNDSLITVSMYESERFVSLKCRTTPIEYIRRGQLHDGFPLFESESLKYPGFVEFDDVNGKVLTFSAHDSTYKVFDLKNYKFLYSICDKDIQEIKISPGIMLVIYQKATNHVPLMIVSIEDGKPLKTFTQLLHRNRKVDFIEQFNEKLLVKQDKENLQIIDVRNSDLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFDDHELWHPNCNTNNIYITADQDLIISYCKVSRGVTDDAEGGCGEAPPMGSINMSNIFTGKCIAKISALDPNLVIAPRRPGDSSRSIVRSTVAEALEDITALFYDEDRNEIYTGNGRGLVHVWSN >cds.KYUSt_chr7.25382 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158372920:158374860:-1 gene:KYUSg_chr7.25382 transcript:KYUSt_chr7.25382 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPARCAEERPALVRAAAPRSAAIAEEERRLTRLGVVAVVVGWCPDLELPDVARAFARHFRLLEEAVQVTMLAPAEFLVIFSSVAARNAAVQWHGAVPFGRVSFMVSPWSRFRKATAGRLCYKARVCIEGVPSSAHNWEAVKGLFDSSIIFDSIDNSLNSKEDSACFKVWVWMANVGSLARRGLLDLEEPLEVDSPLLHFPELGILADRPVRSGPVKTLSYNIILHLDRVLDYSGSPPSSPESHMSIRSDVSGLPSETSSTPEFPVTWGYRWYLGYEAGTFPPSRASARSRLSYPDGRGGGAGGAGGGGGAGARGGGEAQQGRSSRWDQQANQQRYGQQLGGAGGSAGGHRQWVATAMETGVAVERDQEQQSVQILEPMGTTLLPLDGPAADLFQLMDADMQPGQLTPVMGSLNGMEADVPDGVVETMQGHAGKGSRFEEEPAEKTAFVEATVMHETGSANPGSADQSKVDGAEDALFGPHSPRELVGQDGALGNPAQPPAGPFPTGHDTERAAENQEIDPLQEFIVNHSAPVAPALLPSPLEATAAAKSGRSRLGGETPKKSTRLAAKPTAGLSTMEKISIVLLKKSGAPISGAQPQGSAVQRLADLYTRPLPSNFIKAATALVEAGSASKAFAAMEGGQVASA >cds.KYUSt_chr3.7865 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45110470:45110928:-1 gene:KYUSg_chr3.7865 transcript:KYUSt_chr3.7865 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVEEEPATEKAKKTPAAKKPKAGKSLPAGKTAAKEGGEKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >cds.KYUSt_chr2.43800 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272632139:272636484:-1 gene:KYUSg_chr2.43800 transcript:KYUSt_chr2.43800 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAAVQLPAVVLVPFPAQGHVTPMLHLARALAVHGVAATVAVPDFIHRRIAGTKADADVSDGVALASIPSGVTDVVGADPPGFADFGHAMEHHMPAHLERLLTRVHAATGCRVACVVVDVLASWAVPVAERCGVPAAGFWPAMLASYRVVAAIPELMEKGLISESGTPRSSPNQFDDDGFGQDQMIRALKILPAQVELKDEEVPWLVGDSATQKSRFAFWLRALQRARSFRSVLVNSFPGEAGAAAAATDDDNDGHPARQSPRVLPVGPLLAGGGVSTVMEIMKCDGAVAPCKQPSMWQADSTCVSWLDRQRAGSVVYVSFGSWVGPIGQDKIRELALGLEATGRPFLWALKKDPSWRAGLPDGYAERVAVAGRGKVVDWAPQEDVLAHGSVGCYLTHCGWNSTVEAIRHGVRLLCCPVAGDQFINCAYIAGVWEIGIKLGCMSRDQVRECIDRIMDGKEGRHLQENMNVLRKKVVTAEARSLAQRNVKFFVNEINKDYPLLTQMYSIL >cds.KYUSt_chr3.7793 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44672096:44672554:-1 gene:KYUSg_chr3.7793 transcript:KYUSt_chr3.7793 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPVEEDPAAEKAEKTPAGKKPKAEKRLPAGKTASKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_chr3.7298 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42281115:42281684:1 gene:KYUSg_chr3.7298 transcript:KYUSt_chr3.7298 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTAPTAAAPCDKAESRLSSAMAARAAASAWWPFHACQDAGAESWSPMRAVQREKTWPDPAWDVLTRRVESLASKTWFMAVRRWGSSSVGSVDDTRDARHGMWLTLARLRSARADGQVVLRAAEAAVGHLQAAQFMATRLPPAAGLRAVMEDQVHAAVNKIHPLRGRMLNMCFLVACTVEPAARIHWT >cds.KYUSt_chr3.1038 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5536650:5541814:-1 gene:KYUSg_chr3.1038 transcript:KYUSt_chr3.1038 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWVTQEYFKKTQASKLGDAQDIPFFINNLSGLLERDIFDLYLPEFDKPWVIHLRETCCCSTNLCSWRPNTVYRNRSVRRHHPTAPSRLELLMVEPPGGKRALPAPSCTGFTAAYTLGRLRDLLRTAALRGVAGVPTSLGTEAHAAHVAIPVLALANAAEVHLSIDGADKVNPDLNLVKGRGGSLLREKMIKGDGGRFVVDESKLVPCLACTGAVPVEFVPFLQVGTPRATGSSSPSRMATAVSSDAARAVTRSSGQRQTNWCAGTKQVFPSSDLCCGPWGYRTRTSIRGEFSILTPATDLDGDENYDYKHLTTWHRWYISWTVKRTATTST >cds.KYUSt_chr5.26125 pep primary_assembly:MPB_Lper_Kyuss_1697:5:165608554:165610106:-1 gene:KYUSg_chr5.26125 transcript:KYUSt_chr5.26125 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDHIMTDQDQAMATAIDKLQTLKNEALKFYTREVFDRFQKMVQSSTRFYPIHVEAEGLCCFDLVPNQDLDVKTYRVAVNPEEGLYTCGCNMFEMCGLICPHIIRVMVHLNVQQIPGRYMLERWSAAATTHAPEPGTNTIRFGVPTSNTLKYNSLCRKMNQLASDACFDDDTYVAVSRIVDEASKVVATMLKAKGQVQQEGEGRPKITEKRRKTLVELRDEANEKRRKKQSEPKTPKEPNPKRKYRKKKCPFCGDEDHISVKDCKYMKIALAREDAMQAGADLTL >cds.KYUSt_scaffold_869.1751 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:11285572:11285922:1 gene:KYUSg_scaffold_869.1751 transcript:KYUSt_scaffold_869.1751 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGPAERRDEGQQAGVANGNCVAPPPSRMAGRPSGTTAHSDRRLRFNPNTEHKSQDYTDVRGEYAPAVYSALERHLPPSLLEADRDVKLDFMRDILARYWPHGERNKVALSIPL >cds.KYUSt_chr4.41920 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259265930:259269268:1 gene:KYUSg_chr4.41920 transcript:KYUSt_chr4.41920 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPDTAAAPLLVRVDDGADADADWSSRPHRIALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVLVVTTHKGAPEEFHGAKVVGSWSFPCPLYQNVPLSLALSPRIYSEVRKFKPDIIHATSPGIMVFGALAIAKMISVPMVMSYHTHLPAYLPGYNLNWLLGPTWCLIRCLHRSADLTLVPSVAIAEDFETAKVVSASRVRLWNKGVDSESFHPKFRSHVMRAKLSGGESEKPLVIHVGRFGREKNLDFLKRVMEKLPGVRIAFVGDGPYSSSWAPEMFTQPVYLNRAELEKMFAGLPAVFTGMLQGEELSQAYASGDVFVMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKIQQLLSSNDLRESVGRAAREEMENCDWRTASKAIRNEHYSTATSYWRKKTGRTS >cds.KYUSt_scaffold_2697.134 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:959547:960863:1 gene:KYUSg_scaffold_2697.134 transcript:KYUSt_scaffold_2697.134 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQVDAHGVGSAAFWCDLEALEAEHEQEEIIDYDAESGSESVEISDLKRRMWKDQMRLMKLESRSGTRRAPAAGPSAGQINQEDTPEVRWRRKAMLRAQDGVLRHMLKMMEACNARGFVYGVIDEAGEPMSGSSDSLRGWWKDNVIFDRTGPMALAGSADSYSPLGLASYMHRLQGIQDNTLGSVLSALIQHCEPPQRNFPLERGLAPPWWPTGKEPWWGTQGEMQAHQGAPPYRKPHDLKKAWKISLLSAVIKHMSPRFDQMRKLVWQSKRLQQKMSAKESETWSKVLRQEEALSRRLKTSLKITPRDNDDGCSEDGDSDGLEDVVRGPQDKRKCDNVESGSSGGKCHRPGGGSWELAAMLPELEGRVLSECQSPINELMELYYNCLQGDDADEKHDVAVVPPGVPAGCDDVAQQFLFDIIGSCPEVDHVLRLMEE >cds.KYUSt_contig_1253.305 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2002634:2003584:-1 gene:KYUSg_contig_1253.305 transcript:KYUSt_contig_1253.305 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILVMIPEYSKLLRLPGPMKTLDVIFFRGLQWDLKVRAMPLEEGDLADYLIVGVWPHNLKSDIGTDISLEILDESGEHTVFQSESSKGSWEEGRGCLMLRAGWRELEASSCVRRYDDSLTVRCTLKEQPKQRHRLLGSWPWSKSKKQLPVSEVAMAASHTLTIGSLSELKAMLLSEECAYSRRFAVGGSRWYLTLYPTPAVVHLVRATKEDDETRTTAEFSFALEGAVNVKSQKMRHTFDRHNPYCLFAYLPPEEPSSTSTDRLVVRCCVEVSPAIVLTPVRWHAVTIPATVILNPVPPPSESVLTPLLSAMHG >cds.KYUSt_chr1.33867 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205908931:205912241:1 gene:KYUSg_chr1.33867 transcript:KYUSt_chr1.33867 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSPPPPPAPRDGSSRRLSRIRRRRSRRRNWAALPLDALLHVFHKLDHVDLMFGGASRACRSWRSAAREPELWRRIDLRGHSLLFRETISLNRMARFAIWFSAGQCMEFTGDHDYVDEDLILFLGNQAPLLKSLRLTEFCVNSGAFRDATKKWPLLEELELYHYYGGCVAGIIEHVATTCPQLKHLRCYKGARYPPNNSEALAIGRLHELRSLQLYGSNLDNEGLKIILDNCPHLEFFDLRGCYNVHIDSSLRARVKTMKLYHYAYNDFARYFETGSHHYYGYHTYYNCLDEGDCDFLYAEGYLYAEGYDSEDSDHSCCFSHAQETDFEEHERSFDKVPRRRRHRNLRI >cds.KYUSt_chr7.2482 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14449437:14451941:-1 gene:KYUSg_chr7.2482 transcript:KYUSt_chr7.2482 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRVRSLNIAAPEADARPVLVPGGNKAPAAARKPSSKPLRKAAAEKPPVVAAAAKEEEDAVEGLKKDAPAANLGGDGGARKGSPTLTAAAAGASKGSPPLTAAAVGASKGSSPLPSPRRNPPRKLHDVPALNASLSASCSSDASVESLRRGRASGGRTERSWSRPAAPKRGKAAAKEADDAEVIAPVTTEAVQGKRRCAWVTPTSDPYYVTFHDEEWGVPVHDDRRLFELLVLSGALAELSWSEILKRRQNFREIFMDFDPVAVSKVNEKKLVAPGSIANYLLSEQKLRAVLENARQIVKIADEFGSFSQYCWGFLNHKPIISKFRYPRQVPVKSPKADTISKDMVRRGFRGVGPTVVYSFMQAAGLTNDHLVSCFRFKECDTPPTLCISGTDRANTELDQNKDELRKKSRGEEMTSKEDLSRAIDTLTIS >cds.KYUSt_chr3.30161 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188777769:188779956:-1 gene:KYUSg_chr3.30161 transcript:KYUSt_chr3.30161 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMVEEYRRPVVTMEGASLTIAMVAAVAASADTRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETVATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVATAPDGTKVNAAEAFRIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISARKTSEAIDILKLMSSTFLVALCQAIDLRHLEENIKNAVKNCVKMVARKTLSTNDNGHLHSARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERDVQTSVFAKLAAFEQELRAVLPREVESARCAVENGTATQQNRITECRSYPLYRFVGKELRTEYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNVCSDVDVCDVLLDLPYELQTIAIA >cds.KYUSt_contig_824.36 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:211115:215147:-1 gene:KYUSg_contig_824.36 transcript:KYUSt_contig_824.36 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLYSSTPMSRIRLRLRRRLSSSSNSTSLASPSCSPQAAFASATERVRAGTLSPEDAHHLFDELLRQATPVPERSLDSFLAALSREPESTACRDGPALVLSLFNRVCRAEAGMRVAPPTIFRYGILMNCCCRTRRPELGLAFFGRLLRTGLKSNETITSTLLKCLCYAKRTDEAVNLLFHPMPELSCVHNAFSYSIVLKSLCDERRSQRALDLLQMIAKEGGTCSLNVVAYNTVIHGFFKEGEVGKACNLFHEMMQQGIVSDVVTYSSVIDALCKARAMDKAELFLRQMVDNGVQPNVVTYTAMIHGYTTLGRWKEATKMFREMTRQGLIPDTVTWNSFMASLCKHGNTKEAAEIFYSMAAKGQQKPDIFSYSILLHGYASEESFVDMINLFNSMASNGIVANCHVFTILIDAYAKCGMMDEAMLIFTEMQGQGVSPDACTYLSVIAALCRMGRLDHAIDKFNQMIAMGVQPNAAIYNSLIQGFCLHGDLVNAKELVAEMMKKGERPNVITFSSLIDGYCLVGKMEEACRVLDAMISVGIEPDVVTYSTLVNGYMRSGNIDDALIMFSKMLHKRVKPTTVTYDIILDGLFRAGQTVAAKKRFREMIESGITVHISTYSIIVAGLCRNNCADEAITMFQKLRAMNVKFDIAIFNTILNAMYKVRRREEAKDLFAAIPASGLVPTASTFGVMIQNLLKEGSVEEADNMFLSMEESGCVPSSRLLNDIVRLLLEKASSTIRTRFHKGSPNCVETAGFAMVNSPGSILPDTVFEHE >cds.KYUSt_chr4.21690 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136532077:136532550:-1 gene:KYUSg_chr4.21690 transcript:KYUSt_chr4.21690 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVPITQKPLTSAHASANQLRAHVVSIGGHVRDAARQPPGQRDSIKMATVHENLQHPTPYHLSSYLLVLVALRSFALLLRRKMWMRKKKVVTARPAARRRMPTSLGALWRRVVGPPRVRKTRTKTRKSKTGTLSRALGVFSCVRGHRKGRTAARRY >cds.KYUSt_chr5.20273 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131826860:131831081:-1 gene:KYUSg_chr5.20273 transcript:KYUSt_chr5.20273 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDPLLPTTAAPHLLQPLPENSSFSDFGAPPNSPCPSPASSYKDRLIFGPLLDHQPPPPPPPPPPPPQPHHYRRISVGHHDPFRDYDDHPACSSSTPPSDEEEAQPQQPLTPSLLNFLGARTNLHRSRTAPAMAPLSAAVLAASAEADHHHPPPPPPRRPAIVLHAFLFLLAYLALGVTFYAAFPANFTSSNGPTHPVVDALYFCIVTLCTIGYGDITPASPAAKLFSISFVLVGFGFVDILLSGMVSYVLDLQEHLLITAIKNPRSARKNKHNYIFDVKKGRMRVRMKVALALGVVAICVGVGATVLRKVEHMGWLDAVYLAVMSVTTVGYGDHAFRTLHGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNHGYVTKSEFVVYKLKEMGKISDKDIRMICDQFQRLDSGNNGKITLSDLLQSHHLVSDPRDMKKGKKS >cds.KYUSt_chr5.43544 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274299714:274303763:1 gene:KYUSg_chr5.43544 transcript:KYUSt_chr5.43544 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARSAVSRTPARSAAARFVQSRFRSGGKVLGEEEKAAENVYIKKMEQEKLQKLARKGPSTGEQAPATPSSTASDVKAGGGTGPTASTSAGVSTNKNRNYAILAGTLAGLSALGWFLLAKEPKKTGEVLE >cds.KYUSt_chr5.15516 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100221158:100228821:1 gene:KYUSg_chr5.15516 transcript:KYUSt_chr5.15516 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGSKARLVAACVIGGIVLGASVVALHVAGPVAVPGLPPLDALRRRLRRRRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDDEITANKGPPVTPLRERMVMVGAVKWVDDVIPDAPYAITEDFMKKLFTEYNIDYIIHGDDPCLLPDGTDAYALAKNAGRYKQIKRTEGVSTTDIVGRMLLCVRERSALDRRNHSSLQRQFSSGHGQKIDDSGSGSATRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWDISKDMITTFNISLVVQGTIAENMDFAKDESHPYAVPMEMGIYRRLESPLDITTSTIIRRIVSNHEAYQKRNEKKEASEKKYYEGSSRRNLDW >cds.KYUSt_chr3.18796 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115535883:115538988:-1 gene:KYUSg_chr3.18796 transcript:KYUSt_chr3.18796 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALSPPRVAVLDARALFSPPTAIPSSPVSRLRLRLRLAARPRASAPSVVATPKQRFLTPHPDPAGGRGARDVVTMVIPFLRGTAFEQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYEDAEKPIYMYINSTGTTKNGEKLGYETEAFAVYDAMRYVKVPIFTLCIGNAWGEAALLLAAGAKGNRAALPSSTIMMKQPIGRFQGQATDVDIARKEIRNVKIEMVKLLSRHIGKPMEEIAQDIRRPKYFSPSEAVDYGIIDKVLYNVKSQTDAGVVSEVKKELI >cds.KYUSt_contig_257.254 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2015913:2022777:-1 gene:KYUSg_contig_257.254 transcript:KYUSt_contig_257.254 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRAMAREALDLHTAGQNDEALACATVLARANPDSALALNLVGTLHVQASSVAWNERAPGDDEAAAKLEASHHRDALEAFSAAARIAPNCVMTGVYRAQALTANQRFTDAILELFRVLSTTDNHADPAVNHVGYDVTGGNTAKSRKADAVRKAGDIMEDLTRMVNNDVVPVQAARWLREDATADQVRARMKLLAEAYPYSMRAQLFRVHMELEQVRALDQGFLRKGLLRGVLALISQAAGNFDRSLLIALFHAKVLFALDDFDGAERECRRALRIEEPIDPRFDEIPPAVSVPGADYESRVSSVKRQLRILLKRIIVVAAVCWSSIQTTQQGDRVDRVISLRIDKLKEHYDGIDKSAAKTISDVVRFLQNQSSWSFFVCPNTSCNGKKFSDTESLWVHMRKKHRDELWDKLQSVLGSDLYENAAKDDDHPFDAITLRQDSDKHDIFHLPMVQPMFESLLLSPSVGIQAEPLAEMRQRKCTEGAEIIADIKKKLRMLPKDELSTKIQMKNSIALSAKDLGRFIGDADIDIMPAAPDRNVSLVHGSKPSHASNIDNSSGENLQTENLQPLCSDETLKDGEKCEESEVHVVDSNSETMVDQRSTDPPIDVLAGSAEVELEKKGSSSQSVKEMASTSSCQKNLNVFNKINADKDLSILSLVIRSLCNLKHFRDDFLTEPLVWIPSADNVCIAQQFYEIFTSWEKNDYHLTDVVLTYMKTLLCGVDCTIFSEKVGSNFASEIAATILIGLHMSETCSRFSLNKETEITCGDCICPTHNLFGIKFNVQMSCECGKCSGEYPYTALFHKLDAGSPQTTKIKSFAELPVLLDEQFCMDNKCKDCGIMLNIDLLLSNTPHFFTIVLNWLGGSESQDTLSEVLAGITSPVDTGFFCKSADSSTMYTVTSMVCCADESYFCFARDNEDKWLIYDFETVETVDTWEHLLERFKDCKLQPQVLFFEVIK >cds.KYUSt_chr5.5889 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36646240:36646950:-1 gene:KYUSg_chr5.5889 transcript:KYUSt_chr5.5889 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESTSSSSVVGDGGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLHIHSHSPECPVCKAVVEEEKLVPLYGRGKDRVDPRSKNVPGAADIPHRPTGQRPATAPQADPNNHFPNANPNPWFMGGGGGVPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHGHAFHGGHAGHAHAGPRHGPHGQQQQQADVYLKALLILVGFLVIASLITF >cds.KYUSt_chr2.7963 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49913675:49915792:1 gene:KYUSg_chr2.7963 transcript:KYUSt_chr2.7963 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLPQPSLRGRIERQQNLIDDADWVEVRYINSYAAFMGYLSMAVKGLGLLVFTWITVVLLGGYVSELETKDFWCLTVITLLQTKVFNGFVREKLSDIGYWYSALFSLAVNIAKPCLPKNGVVSNPKYGHESSLKRFIVALAVVVQVMVATIILCPLIALYMSGLYITTGIAVWRLSERDFGGKGGEVNLKLGLEVLYSLTLWLCCSLLQDQLLPNGNKARENSRPGVRVQRPGTSLSQTLLARYQGGVLQGPIIRQRKEPADIRCGANGVSVTSELPVWAKDS >cds.KYUSt_chr3.46127 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290415968:290417041:1 gene:KYUSg_chr3.46127 transcript:KYUSt_chr3.46127 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWSSLPFDLVRHVAVCLLATNDIDYYMNMRAVCCCWRIAIADPCRRGSDAHLLIFHPRQWIMLDEESESENRLFVNVSTGRFLRLRLPLLRDHTVVSASDGLVILRESNHPHAACVLNPFTGSLIRFSVPIHPEHIKMNAVTGSEPGLLRYTCHDGDKIFRCADPTSNFFSVQLSHRGQCKVQSMVAYAGHVYAVDMEGSLFRIAGTVQHSYGELILKVKFLGKSTFFLVESAGDLLLVHDTHWGIFLIFRVDLELNMLEAVKSIGSRALFLGPRSLSVDADKLPSINGGCLYYKGSTGMFVRDLKDGRESWISRMMPPNHTESFSVRPKSLVQVLFFYCMFAPNVKYLMKYLYY >cds.KYUSt_chr4.5944 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34886830:34887327:-1 gene:KYUSg_chr4.5944 transcript:KYUSt_chr4.5944 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTPERDLTPATAVGDERARQGQLASALEYMSGDGTGVSISRIGVSAQTASAARANELRLDLADNVRALTRLPVRRRHERLRRRLLDAVAAGGRHGALPGHLLDDDRIVLLLELRDALLLLHLARAPA >cds.KYUSt_chr2.52242 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325876054:325876410:1 gene:KYUSg_chr2.52242 transcript:KYUSt_chr2.52242 gene_biotype:protein_coding transcript_biotype:protein_coding MCNVITIPSVAWLRRAVRRWRARSSASAAVPAGHVAVCAAGARFVVRLAHLGHPAFLELLRQAEEEYGFPAGASGPVALSCDEHRLRDVLRRVSSSSSNERRRSSGRRGGDQRPLLQG >cds.KYUSt_chr1.38496 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235348795:235349628:-1 gene:KYUSg_chr1.38496 transcript:KYUSt_chr1.38496 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSSGGQLAKLRKGLWSPEEDEKLYNHIIRHGVGCWSSVARLAGLHRCGKSCRLRWLNYLSPDVRRGSFLQQEEDLIVALHAILGNRWSQIASHLPGRTDNEIKNFWNSCVKKKLRQQGIDPATHKPMADAAATAALPVAHEEDLKPLVAAAGEDGGVPTRQSSAFDPFPVCTDFGAGFIDGLGAALYGQFVGGVKREGAEEDAGFGAADYSSVLDVSENLGYGDSSSHGSSWNEVGILLDADEVLASMEHKFPLSTCQEHSLLANFDFNLCPDQ >cds.KYUSt_chr2.26782 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163964771:163966012:-1 gene:KYUSg_chr2.26782 transcript:KYUSt_chr2.26782 gene_biotype:protein_coding transcript_biotype:protein_coding METDGELDDFYRHHQFKPSKEDAVNYFLPRLLASTPLPHGADSLIRRADVYACEPKDLAAQFAPVPNAASTGDRFFFTACRRKSGKDARIARGAGAGTWTVQTTEDIYHEGAKVGEAKHLSFKKGKTTTGWVMKEYRCLRPEAVLADGEMVLCKIHLAQHAPAAARQESDAYKLRRQEPAEPAPAQQSHKRPSAPAFAAAGPPCSKKMRMATPVLEPDCPVKPAASTHAQKLYRVPVPGPVEMEFEDCPVWFTSTEPVSSPAVSTEVPHAAEADGDTGRFSCTMEELLGPQQQQEQTLPVAVVDEDFDWESLNRESEVRLLLRPWDDDDDDWESAAQEEQTPPVEAEKNNIQQVDIDQPAPSTDWELPEDLRSLLADHNSQEALLYKGCSYNTAAANLHAPSLQGFFSFGAVN >cds.KYUSt_chr7.19299 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119649192:119651321:1 gene:KYUSg_chr7.19299 transcript:KYUSt_chr7.19299 gene_biotype:protein_coding transcript_biotype:protein_coding MYARFQDWAKKNNKTYRDEGEKAARFQVFKQTVEWIESQPPSAQVGLLPRISYFADFTVKERERMTLGHHVENSKEFQEDMKEFWAKQEKGELLSRRKPAMKQGDMRPKVSA >cds.KYUSt_chr2.12345 pep primary_assembly:MPB_Lper_Kyuss_1697:2:78275177:78276813:1 gene:KYUSg_chr2.12345 transcript:KYUSt_chr2.12345 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAVLCAALICLLATHSLAQSPAAAPANAPPKSPQAATPTATPAQAKSPAAATAPPTTPATPADATSPAAAAAPPTTPAKATSPAASAAPTTTPTKATSPAAAPPTTPATPAKATSPAAAPPTTTPAKATSPAAAPPTTQAPPADATSPAAAAAPPTTPATPAPKSSPVTPTPKPSPTTPAPKPAPVTAAPVAAPTTTPVTPAPVKAPAAAPPMPKAKAPAAAPPAKATAPAPAALPPTVEAPAALPPTVEAPAALPPTVEAPTALPPTVEAPTAASPAPVVADVPAAAPAPDTKPTKAPAPAPAKSKKRSSKDKKKKAAAPAPAAVAPVAKTASPAISDAEAPGPSGDSTASDTANAAGRSAERMAGVILAALAAVAMLAA >cds.KYUSt_chr6.2587 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15024712:15028263:1 gene:KYUSg_chr6.2587 transcript:KYUSt_chr6.2587 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLKDRGGGGGSNGVKPATATAPRALTPRPFPLSSSASRRTPSAAASAGGKENSASKPSKQQPTSAVRWSTSSIPRASRIPSAVESSKLVATLRATGLPGRASVGRDADAETGLRRSVSGGIRAASTERARRPVSSAAAARASDAAGARGGSGGAAAHETGSRRDGFDSRGRRAKGSEETSRKREAFDAKARQTDAVGRCRESLDAKAKQVSGKRVSVDVSAVKQSDEIRGKIGGAFVDKQSDEADGRREAIDAKAKLGDEISRRKEEGRDLKLVRETSPKNAVAGLAGPAEASSKAAPFSATQNGGDGGNSSLIPVFTVHVVDSYDACSGVREHRQKTEECSKQGEKGKLADKIRVFEKAAATSGEGRPAKTLGSVNKYPSRLHEKLAALEGRVQKIATDIKKTKEMLDENNPDEPKQILSNIQKEINDIEQAISHVKVDNKVQLGTEDGSDSEISQAKKAASVKPHDLKPAGKGMNTDELEARFFPHHKLLRDRKTSSATQQESCMVLKNGTVEPCDDENSIAMEFLASLDGEESDFFKNRRAKNLEKNMIREAADASGKTSSQGSSKIPDGLTHEVEMELCAAENLEEFDEQENKSSMAIQEETEEPSSDQLSGIGNKSATGGWFVSEGEAVLLAHGDGTCSYYDIANHEFKSEYKPPSAVSHNTWGDCWLIRAPGVDGCSGRYVVAASAGNALEPGFCSWDYYSREVTAFHVEDETPRPYAVPLSRNVLGPLPNIGSSRSSVAISTVEQPQWWYRPCGSLLLSTATKQKMITAYDIRDGDVVMKWEVSNPVLGMEYSSPLQWRSRGKVVIAGTESIGLWDVNSLNPQPLLSVATAGKRVYSLHVNNTDAEVGGGVRQRVTSSEVEGNDGVFTTQESVNVLDFRVPSGIGLKMARHGGTANSVFSRGDSVFIGSTDGRLQIKGGLKSRVQQYSLSKGKLVATYELPEFNAHFHHSSITQVWGNSNVVLAACGMGLFAFDTLDEEGVQQTYSFDRANTIGAREVIGSDDLYCPTFDYSSSRVLLVSKDRPAHWRYL >cds.KYUSt_chr4.46954 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290550449:290552212:1 gene:KYUSg_chr4.46954 transcript:KYUSt_chr4.46954 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSVLPSHHDDADKGGGKAPQSRLCFLATLCVVFWVLIFYFHFSVLATDPDGPPVAVATQARIARHHDLPDHRVSNPAPSVASDPPPATATLPKDEQPSAVVQAPPKEYPFQRALRTAENASDPCGGRYIYVHELPPRFNDDMLRECQRLSLWTNMCKFMSNDGLGPPLDNQDGVFSNTGWHATNQFAVDVIFGNRMKQYQCLTNDSSRAAAVFVPFYAGFDVARYLWGYNISMRDAASHDLVDWLRKRPEWDVMGGRDHFLVGGRIAWDFRRLTDEESDWGNKLLFMPAAKNMSMLVVESSPWSANDFAVPYPTYFHPAKDADVFAWQDRMRSLERPWLFSFAGAPRPGDPMSIRGKLIEQCRTSNFCKLLECDLGESKCHSPSAIMKMFQSSLFCLQPQGDSFTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEAGVRNGNVSIEEILKSIHQDVVRQMREEVINLIPRVIYADPRSKLETLKDAFDVSVGAIINKVTQMRRDIISNSEDKDFVEENSWKYALLEEGQRTIGAHEWDPFFSKPKDKGADSSDSSAEAAKNSWKIERGGQS >cds.KYUSt_chr4.51759 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321247954:321250440:-1 gene:KYUSg_chr4.51759 transcript:KYUSt_chr4.51759 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDQTPRAPANPEKTKKRKKPKKDKWGQPIAAAADEPAAQQVHEAPEEPAAEAEEAGGRSESYECGKVVASGMPYTTTEEEIRGLFDKFGPIRSLQLSRFPDSGNFRGLAFVTFESDEVAMKSLELDGHKMGNRFMRVERCRITASSKRQKKSEHQSDPEKPDGCLSVYVGNLSWNITEKDLRDFFKSSRVASIRFAVDKRTGDSRGFCHVDFEDDESLEKAVGMNQSELRGRPVKIAYAIINRA >cds.KYUSt_chr7.35955 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224680045:224686809:-1 gene:KYUSg_chr7.35955 transcript:KYUSt_chr7.35955 gene_biotype:protein_coding transcript_biotype:protein_coding MCGADKHQDEKPEARRHVREAAGTHATNTTPNPKQKMFVRSCSLSSWSSVGAAAVAPRAPANPPPLPSSPAPTPPKSGPRPMSSSSVGAAPGAGRVRVAVVGDVHDDWILDEDTRALHFLQPDLVLFTGDYGNENVQLVKSISDLQFPKAAILGNHDCWRTHQFSEKKVDRVRLQLESLGEEHVGYKCLDFPTIKLSVVGGRPFSCGGDRLFRPKLLSQRYGVDDMAGSARKIYDAASGAPEEHSVILLAHNGPTGLGSRMDDICGRDWVAGAGDHGDPDLEQAISDLQSKTGVSIPLVVFGHMHKRLAYGGGLRKMIAFGANQTIYLNGAVVPRVKHAGESSAAVISTSGSDGLQGSGSTAHTSRAFTTVDLFDGVVEKICEVWVLVSGAGAELEEETVLYKRPREP >cds.KYUSt_chr1.9389 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57286052:57287446:-1 gene:KYUSg_chr1.9389 transcript:KYUSt_chr1.9389 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLIRTKTRSRVRDWAVFVHPDEKRSHGGLVGETAGDALTLKNTESHRVGSIPAAAPSLVLFRDPSLHILLFRSLRRILRQPTADLEPTQPCALTATSGKLRAVRSCSSPLPWRGDRASALPSRLRLPAPALQRAAEEADIPDPGGQHRGTDVGPYDNAVLRAVLSSTAAISMSRY >cds.KYUSt_chr1.6886 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42324085:42324800:1 gene:KYUSg_chr1.6886 transcript:KYUSt_chr1.6886 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAESEETTRKGPSSQPPPACRRLTVWCKSLVFRGDGYAVFDDADGRMVFRVDNYGVGQGSDMALMDHAGRVLLTVRRRHRKMLSLMPETWEVYNGDVEGKVIDGHSVYQKAVDGEPRLVMRATKDLCSSSCTVSVLAADKPILYHLSWSRRDEWSRIYRCGSTGDNTLVAEVRRKLGGPEKTTLLGKDVLSLVVQPGMDHAITMAMLMISNSYR >cds.KYUSt_chr1.40115 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245657007:245657525:-1 gene:KYUSg_chr1.40115 transcript:KYUSt_chr1.40115 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVETAPAAAPVSTPVTTTTTTTAEEAPIAPGVGRRGWVRRLLPREYVPARISRRCKSGSASGSPSSSSSSYKRLASMLSWSKTGATAAAAATAAGGAARPPPSSYRRLASSLSRSFKWKRLPGLPSLGLRAGSASALLDEVTFRVMYVVEAVVLGLALSCFFLCCGCHI >cds.KYUSt_chr5.15914 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102573652:102573960:-1 gene:KYUSg_chr5.15914 transcript:KYUSt_chr5.15914 gene_biotype:protein_coding transcript_biotype:protein_coding MADASMVNSKRSLRFAPLLALLLLLAVFAPRASASRPLRQPASAGGAAAAVQTIALPAAAMSSEQQRQAVPAEKLVGALLGMKPRGRAPPSGPSKRTNDIKS >cds.KYUSt_chr2.45801 pep primary_assembly:MPB_Lper_Kyuss_1697:2:285749881:285750240:-1 gene:KYUSg_chr2.45801 transcript:KYUSt_chr2.45801 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIAGLLFSLQAILLKTTVPLCRSPRPPDFFATPVLLSRFVVVLSQVARGEHQLFSVPRDGVLALLFLLQFGNPTARDDGPKDGDASDISVAYGVFVYIISTGKWQRESAPPPMPMLP >cds.KYUSt_chr7.22078 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136747267:136747776:1 gene:KYUSg_chr7.22078 transcript:KYUSt_chr7.22078 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTTVCSDSSASFLTIAMEDLCLPVPTPDSIVCANCRSPGSPRHGRGRLLMRHVLDEPGASDTLPHGLHHPCAHVPRPPSVVPVPLLFRRRRWPHVDPRSMASSSSLAKAKPTRQFRERCLAIIAARRSCRLGGGYRPDACLGGRRRLHVLVCVLLHASDFVVPVFH >cds.KYUSt_chr1.19578 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115094638:115096110:1 gene:KYUSg_chr1.19578 transcript:KYUSt_chr1.19578 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAASLKFTVRRKAPELVAPAGPTPRELKRLSDIDDQDGLRFHIPVIQFYRRDSSMSGRDPAAVIRDAVARALVHYYPFAGRLRELEGRKLAVDCTGEGVLFIEADADVRLEHFGDALQPPFPGLEELVFDVPGSSEVLGTPLLLFQVTRLSCGGFILAVRLMHTMSDAQGLVQFLTAVAELARGAAVPSVRPVWGRELLEARNPPRPGFAHREYDEVPDTNGTIIPLDDMAHRSFFFGAKEVAAIRSHLAPGLRKRATTFEVLTGCLWKCRTVALAPDTDEEMRMICIVNARGGKGKESAIPSGYYGNAFAFPVAVSAAGELCARPLSYAVRLVKEAKGEVDGEYMRSVADLMVQRGRPHFTVVRAYLASDVTKAGFGDLDFGWGRPVYGGPAKGGVGAIPGVASFLIPFKNAKGEDGIVIPMCLPGPAMDKFVEEMGKLLRPAAVDVAEMFPAMIKSAL >cds.KYUSt_chr2.44433 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276429403:276431670:1 gene:KYUSg_chr2.44433 transcript:KYUSt_chr2.44433 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAKADRLTRSLAAPFLAVNRGVFVFVAAIVAGALVSAYWISASTRVVPIIPSATRAVDQNAARSPEPAPSSARFTSLGANRTASEPAGLAPLPRRQPPAPACPAYFRWIHEDLRPWRATGITRETLDGAHRYGPKFRVTVIAGRLYVARYGRCFQTRAVFTQWGILQLLRRHVGRVPDLDLMFDCQDLPVVNAGDHAPPPLFRYCGSEPTLDIAFPDWSFWGWPELNIKPWDALSREIGEGNAALHWTRRAPYAFWKGNPTVGTDWREQVRDGFRESDLAKQCTHRYKIYAEGRGWSVSEKYILACDSVALVVRPRFHDFFSRGLLPLLHYWPVRDRGQCRSIEFAVDWGNSHPDKAQEIGGNASKFMQEELTMDHVYDYMFHLLSEYAKLLRYKPSVPDGAVEVTVESMTHGRRGLEREFMVDTMVNVPGSAAPCELPSPFSADELETLRRRKADAVRQVETWEEAVTN >cds.KYUSt_chr3.36744 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231119121:231122087:1 gene:KYUSg_chr3.36744 transcript:KYUSt_chr3.36744 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIISRPLATDTRRFVALTAALMAGLYALAIAIPSIWTLFQYTGSTFAVCISLILPGAIVLSCDIDNCLHAHLYKDVHGIAKRKDKAMAATMIIQRSDHERHRHCLQYYELHRW >cds.KYUSt_chr4.10261 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62114197:62116784:-1 gene:KYUSg_chr4.10261 transcript:KYUSt_chr4.10261 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGRVTRKLRRRDAVEVDAHRPAIEALNRPFAAQALEWKEKAEKYELELQHLYKAHSRSSEQLVTLIEELKALKALLKEKEALIPTLQSELGQTSEENVQLKQSLEEKTNALELLIQEHQAAKAELEQALAKLKGVENENTQLVERLMQAKMVEAEKLNEANAMYEEMVLKLKAAGLGAGGMQHHAEQEADGIIRRSEAGYIDIIETPIPSAPRITIRAHEGGCGSIIFQNNTDMLISGGQDQTVKIWSAHTGALSSTLQGCLGSVNDLAVTNDNKFVIAACSSNKLFVWEANGGRPRHTLTGHTKSVSSVDASWVKSLVIASSSSDRTIKIWDLQTGFCKSTIMSASNPNSLVFIHGDTICSGHRNGSLQFHDIRTGKSSATTVGAHTDVTSVCVSRSKTYVLSSGRENVHKLFDVRMPTVLVEDSGTLRAPGNRVIGSWGRPCISPDEKCIAAGSSDGSVYIWPKPKDEKDAPQPLAILEGHHSLPVVSSAWSGYGPLATADKNHIHIWA >cds.KYUSt_chr6.31471 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198967694:198973607:-1 gene:KYUSg_chr6.31471 transcript:KYUSt_chr6.31471 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIRGICRSLAARPPVVNIGSILQLNSTTGGVSEVAIHAALEDINSDPTVLNGTTLNVLMKDASCLDGFLGMVQALQFMETDAIAIIGPQCSTIAHIISYVANELRVPLMSFASDATLSSIQFPFFVRTAPSDLYQMAAVAAVVDYNRWKIVTAVYIDDDYGRNGIAALDDALTAKRCKISYKVGFPANAKNSDLINLLVSVSYVESRVIILHTGAAPGLRLFSMANRLNMMGNGYVWIATDWLSAYLDSNSSVPADIIYGMQGVLTLRPHIPNSKMKSSLVSKWSRQSKKYNHSDLRLSSYGFQVYDSVWALAHALDAFFDDGGRISFSNDSRLRDATGGTLHLEAMSIFDMGNKLREKIRKVNFTGVSGKVQFDASGDLIRPAYDIINILGNGMRTIGFWSNYSGLLSTIPPEDLYSKPPNVSLANERLYDVIWPGETAQRPRGWVFPSNAKELRIGVPNRFSFREFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYKFVPFGSGTENPHYDKLVQMIESNEFDGAIGDVAITMNRTVIADFTQPFIETGLVILSPVKKHITTSWAFLQPFTLEMWCITGVFFLVVGVVVWVLEHRINDEFRGSPRQQMITIFWFSFSTLFFAHRENTMSTLGRGVLLIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGIDDLKNSDDPVGFQVGSFAQEYMVKELNISRSRLRPLGSPQQYAEALKLGPKKGGVMAIIDERPYVELFLSSYCKIAVAGSDFTSRGWGFAFPRDSPLQVDLSTAILSLSENGELQRIHDKWLKTGECATDTNEFIDSNQLRLESFWGLFLICGVACILALLIYFGIMLRKYLRHEPKKSLRRFISFVDDKEPPKNRKKRSQSLPASSTGSLSALDIERPARPVRSGSVIDIES >cds.KYUSt_chr5.1474 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9987262:9993310:1 gene:KYUSg_chr5.1474 transcript:KYUSt_chr5.1474 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNFVRSVKSVPVLNKALKKITHRDSLKRLMKSVDSLDEAAKGVVSFLNLTDHLSGGLVVVVVVVVVVVGHGGMGKTTLAQSICEQEEVLKHFKVIWITVSTSFDATSLTSKILECAMGAKPSADHLEPLQQDLKEKLMSIKVLLVLDDVWEDNKRDEWEKLFAPLRKLNTGSKILLTTRMQSVADMAVRVMGVKRDYCLTLHGLEEDENLELFIHHAFSGLNPGDYLYLKSIGGQIAKKLRGCPLVTKVVGEHLQGNMTFKYWRRFLDQGLEHFEGTEDDIVTVLRLSYYHLPIELQICFRYCSIFPQNHEFEKKELVQLWIGSGLISRPASSTQTLEDTAEHFLAQLTRKSFFDLKTKAGGFLLNEKTGGSKQESYVMHDLMHKLARNVSTGECARIDDPVQLKDENDTIRYLCIDNIHSFSADDVKKVSHFKNLRAIIFYNNQRVEDDIVCALEMIVTSSKSLRLVHSKLRNTFRFADKFGNLKHLRYIYVFVISPDMICGIAKLYHLMVLHCGSGGLEKETYQVRYLGNLERLRYVSYGVRGFGNFGISRLTSLQELHDYQVGGRICNEISAIGNFRDLCELVVRGLDNVESYEEAKNAKLKEKQHLSSLFLEWSAPDQIITDGLVLDHLEPHANIRVLVIQGYDGPKVPFWIENRSVKNLVSLKLICCINWEYLPPLGELVLLKLLQLDKLPKLRQIGQSSDMSSSSSMGLLLPESLYTLEVTACRKLTELPMLPLDLVVLKIHHVRVKKLPMIGKISGEIIESKPSKLACVSVSRCRYLTYLEGSLLEQKLYMGTVQVLTVDDCIHLESMSIPFEEMKELQELTVRGCPKLRTLRDAKDMLVPSSLNKLTIAFCGDVELPLLGPRRLLTNLSVLMLQNCSSLVSLPSVDVFKSLGSMRYMHITGCENLSSLGGLGSLPSHIRLSISECNKLAQAAESSLTRVTCGSGSGEEEEHLVEPCSSLQIHGLNIDLPSLLFLEPLKSLRHTKYLVIGNGSEMESLPEKWLLQNRQSLQQLDISKADSLKSLTPSMQDLCSLVLLHLFGSGQLQSLPYLPPSLKSLILPNCHPDLEKKIRKHGSPEWNKITHIPVARIGQLVFCHGKRSFQQTKSGTDRANAGAVGGGGGGGGANAGDAHGYAGGGGIQGCNARLKASSFDKPSCMWSTAYRGISCSGFDIRMPSLVASYSISSYGSLISLSFSGATWMSWNTPAIKSTESSCTTLLGMSSPHISWGGGGG >cds.KYUSt_chr4.1750 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9374505:9376925:1 gene:KYUSg_chr4.1750 transcript:KYUSt_chr4.1750 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSAPSPLAGASLRTPLPTRPHSISFAALPRAAAPRPLTLGARPARRLAAAASSGGGAAGPDMRETLDKVVGAHKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANDALRQGLKEYSSWPTFPQLYIDGEFFGGCDITLEAYKSGELQETLEKAMCS >cds.KYUSt_chr3.44235 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279247951:279248301:-1 gene:KYUSg_chr3.44235 transcript:KYUSt_chr3.44235 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWRQCATTMDRHPLTRWVDALRPRRFFMVEVVELGSAGIFLSWAMAVAAIASTRGLLRRNGSGDDSFYYRSPPVSWQANGGTLQWTLLLEKASLSAHQCGRPMRIFAIKDHSAH >cds.KYUSt_chr2.3542 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21205705:21207551:-1 gene:KYUSg_chr2.3542 transcript:KYUSt_chr2.3542 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL-related homeobox protein, Transcription factor, Crown root developmen [Source: Projected from Oryza sativa (Os07g0684900)] MNGGGHSPDSQAAAEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQLQAQAQAQAQAQAQASAASSGSPPAPGGHGSTSLGLFAHGGAAHSSSSSSSSWPPSPPSVGMLGDVECGGGGDDLFAISRQMGYMDGGGGSGSSVSAAAAQQQQFYYSCQPAGITVFINGVATEVPRGPMDLRSMFGQDVVLVHSSGGLLPVDDYGVLMQSLQMGESYYLVTRSN >cds.KYUSt_chr7.39928 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247977499:247983720:1 gene:KYUSg_chr7.39928 transcript:KYUSt_chr7.39928 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLVMPVLLCVAAWAAPSSWLPRLPQALEDLDGAQVGIGGAQASSDRGGHRRAPPTAGSVTSQYLPAWTTTVKWFGAKSEYNVLVMFVLGPSLEHFFCSCNRKLSLKTVVMQMVLLSLKIIGLEEFEMTLPDEPDEREGLVFSNLLHLTLPKAHFRMVSSAYAPLGRDAIPARVYKDPGDKSVPLLTKLDPFDHMGDVDLQDKVTSKCFFEAEIGGERVGEVVIKLFGEVVRKTVDNFRALCTGIAG >cds.KYUSt_chr4.34076 pep primary_assembly:MPB_Lper_Kyuss_1697:4:209003969:209012943:1 gene:KYUSg_chr4.34076 transcript:KYUSt_chr4.34076 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSDHRKAEAKLRAEAEAALKLEFDGRHEEAIARVDDLAARHEESAPVLHLAANVHDAAANRAYRAGDDDASARHYRTARGYLIKARELVPNCISISNFLAKVLFRSGEVDEAEKVAREAVGIADPVDPAKNNVAYASRAANTTRDQRVLNCRNLARQTLGEIGRSGLPARVSEVLKLDTGAHDGSREALTKAKELAKSYPSSSRAQLLFAHMQLQRVRLLHPDMDRRGFLDRIRADVKQAIASTFGNSLVFAMFHAKLCFVLGLYADAHFECVRAFDMPEPVDPRLEDVPPRSVQGDTLELRLRSVDEELGRLVNKLFSVADAFRCSMARDRQAGFLSLGLLQLQDYYHQNFGSYQWAVAQTISDALTFVSQNRSWRFWICPFCAGNKLPNTDSLLEHINSKHLRKLRSLFGSVLPQYFIEDDVLDDITIYQDSEGHHFFRFNNKDYVFACLSVPTQELSIAGVQDKICQRGKEILQDIEVKLKSLPADELSAEFDTACSEIQHLWDAFLRNSFLDYRILIKKLARPFIWVRLQLQLLVHKLCLAHKFHYCFSEVHAEDNVGRLEIHPNSSIADVQSGAAGLKYVPSNANENSASNLLGSSSQSSQETSISLYRKSIEVLGKDTDIFVLNFIIQVKVNTSSYIVTTILNALLMPEVFLEVHYPKDVETLKQPANPAMVLCNKAIICEGFGIKNSTACGDKPNAEPLQIEKGKSIADDKCRQVDPLSVSTPEFSTVVMHCAMAERENQRTLREVIKSLTLDPDYFFFLDDPNISPDTMKCTFVSMLAQHSVLPLEQNGARFYHRFWRSCSVEAKRPGFKRFRLVPLLKVEPNLTATHRSAAPATTLAPAGTTCAATTSAATRPSRVDTCSTVAASSSTCSAPTVLRRSRSFRHCTLHLLDEMASGGDDLGEAGVGPETRHVHDWIPEGPIELSCAVQQSI >cds.KYUSt_chr5.33272 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211140547:211142103:1 gene:KYUSg_chr5.33272 transcript:KYUSt_chr5.33272 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSQMWSLLGLLTILQNVLPTQLLTLLHSLWQSLQDSLTPYSYFDVPEFLGSAAVEPNALYRHVQLYLHRSLLLSSPSPPRLTLSLPRSVTGHVGAAAAPPSVSLSPNHSVPDAFNGHRAVWTHHADTLQDSLEERRSFSLRLPKRHAAAVLPAYLAHLAAAADNLERSSRARRLHTNAASPRGSASWSSVPFCHPSTFETLALDPDLKARLLADLTSFADGREFYRRTGRPWKRGYLLHGPPGSGKSSLIAAMANHLRYDVFDLELTRVTTNADLRALLIQTTNRSLIVIEDIDCSLHLTGDRGLPSMRRHKRRRPAAAASDDSSDSDDARDDVLGTDSHRGKVTLSGLLNFTDGLWSCCGEERVIVFTTNHVDGIDPALLRPGRMDVHVRLGPCGAYAMRELVDRYVGAVGDQEMLDAAEGCIRDGAEMTPAEVGEVLLRNRDEPETAVMELASELKARVNAADELQWEDSAAELSDESPTKKGRKGFAGWEGKVRILGRLRSLTKSESGRRGV >cds.KYUSt_chr2.44154 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274590862:274597753:-1 gene:KYUSg_chr2.44154 transcript:KYUSt_chr2.44154 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTGHDSRQYSWLWVSHISPKNSKWLKENLSDMDMKVKAMIKLINEDADSFARRAEMYYKKRPELMKQVEEFYRAYRALAERYDQATGALRQAHRTISEVFPNQMPSMDESPSSSGQEVEPHTPELPTFSRPKFESDDHISKRNGSYPQETSALSNRESLKQSTDSSLSGENVTRAVSDGKARKGLSFESPEVKGRENISTEMVNMQQEISRLVAENQNLKQKMSEFARAKKAESEIQNQKGTVFHLNSEKDTSLLQYDQSTERLSTLESELSKAQTDLKKLTDEMASEAQKVNSAESRNSVIQSELEALDQKAKIQQQELEQKLKELENLQFSFQEEHEKRMQAESVLLLEGKEHAKSQEEVQRLITEIKMTNEKLDELMQSKMNLESAVCELKKEVKSLTEQNHSSEVLIQELRDEINSLRDSKTELQNEIESLRGTISQVNTEKDVALLQHQQVVERVSMLQSQLLNIQSELEFNENKVHMLMQDLEQKRGEIQSVHGQLQVESHRRTQTEAALLTSESLHSKLEEEVKRLTQDLDTSIKKLSELENDKLDLENTSKELKNAISDVNSEMDAALLQHQQSLEKVSDLELQLSKAQLKLEKSEQKMHVQERDIAQMSESVNSLELSLKEETEKKVQAEKSLMSMENIYSQAQEELSRLHREIEKLNGKSNELENLSSELRNTIILLNTEKDATLTNNQESLMRVSHLESELSKLQAELDKVEGRVEVLEKELKHKQEEVYSLETSLEDKTQKCIEGEAALLSVTGLHSESRDEVNKLAMDIEKLSGQLSEVENNKMDLENIVIKYTEDIHILREQNLSAEYTIKDLHCELDALKEMNVKLKAEMGSHIGEKEAVQRDFVRQKEEKKNLEGTYHALAVDMDTLKGSAAANQKLIEDLQIANLKLKEMCANNLIEKALLSEKVQEMEQISDEYSLLEISISDANAEMESLRERIKALESSESSLKCELSSCVSGKAVLVAELDTLGRSFADISEKNSVLEMSLSDMKAELEDLRLKLKDSEETRQAQLADNFALSAEKNNLVSQLQKITVVTKALESKHADLECEHTSVSREKDLVYDQVRKLKGLLRTINKEYEDSVKSHEMHVSSLEEQISSLIQKIHDMDERLEEQEQKSMRASISVVVLEGSLVDVKDKNVALLEKCQKYAAENHAAEILISELEDQARYHEAESKALLKHNGKLREGISQHMKVLNISRDVGPADVAQDEILLQTVSDETSNIVKLKEESEDVNTLMYTELLVHATVMLQVGTEFRDLQLQKCALEKKLEDEATEMISLQNENCRLIECNEQLRQELQKISERCQVQKTEALILHEKLSCLTESYQSLQDEITDMTERSESLSKEHNSLIERYNALEDENGAVLAECMILEHLSLFFRSHNNEVASALVSLTDEMALLSLGKGELDSEVKVLSARAMMLESENSNLKKYIVYLIEVLRNRLVLLEFELNTGKSVCQELFGELEHCMALLVHKDDELLEAEENVQLMQEKNRELCLVVAALQVAIEDGKVVKGELEKKIVILTAEGTTKDDEMFLLRQANETLQVDACILKNKGQHLTSAHELVSKEVEQHEREFALLLGDAITYSVNAAVYEEKALAKAIEISAIAQKELLLNKTSSLDAHIEALQKKVNDMQEENAELRTKAGSRMEANEIYSEDEKQKDAADSKGKQVQMMKDIELDQISTCPTYGTGIYPLGNAANAELDDEMLQLWEAAERTCKNQTAKSSSSEHDIQAVEEVKSEYPSSELIRGRELGINKFETSSSSLVEPNDIWGKNVVERLTSNAQRLLSIQESIQELKQKMGGPSKGRSPMNTEYDGISTQLHETEGLVLEQINLNSKLSKKAESYPALSGSMNSELEGLPSRRKISEQVKKGSDNVARLELELQKIQYVLLKLEEENEYRRLKVSDKRTRVLLRDYLYGRKDHRGGAHKKKKAPLCGCVPSKSRTEP >cds.KYUSt_chr3.3565 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20174420:20178568:-1 gene:KYUSg_chr3.3565 transcript:KYUSt_chr3.3565 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRHDPHVFPAASRRTHMPSASWHRRADPAVVAVHQPRCSQVARFRKQRKIAKYYEKQESLLKDFSEMETMNELGSLTGAPTEEELASLAKGERLAINLSNIINLILFAGKVVASFETVSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYSYPIGKRRMQPVGIVVFASVMGCLGFQVLIESGRELVTQQHTTFDKYKELWMVGSMLSAAVVKFFLMLYCRTFKNEIVRAYAQDHFFDVITNSVGLVCALLAVRFHWWMDPVGAILIALYTITTWARTVLENVGTLIGRSAPAEYLTKLTYLIWNHHEEIRHIDTVRAYTFGTHYFVEVDVVLPGDMPLSQAHDIGEALQEKLEQLPEVERAFVHVDFEFTHRPEHKADV >cds.KYUSt_chr5.29326 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185825581:185825826:1 gene:KYUSg_chr5.29326 transcript:KYUSt_chr5.29326 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTLEEEQERQEVLLIHSQVSRIKREDEEARRHLLMKLQLLETRPAAGGVRGHESSPPASRSLSPLRRAGEAVPVGDWA >cds.KYUSt_chr4.27543 pep primary_assembly:MPB_Lper_Kyuss_1697:4:173082741:173084169:1 gene:KYUSg_chr4.27543 transcript:KYUSt_chr4.27543 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSRLDGNDREAAMAEIAAGFEEWGFFQLVNTGIPDELLERVKKVCNDCYKLREEGFNTSNPAVKALAALVDEEGEGLAMRKVEGMDWEDVFTLQDDMPWPSIPPTFKETMMEYRRELKKLKLKMLGVMEELLGLEEGHITKVFSKDGDFEAFYGTKVSHYPPCPRPEMVDGLRAHTDAGGLILLFQDDRVGGLQVLGRDGLWADVQPVENAIVINTGDQIEVMSNGRYKSAWHRVLAIREGNRRSIASFYNPARAATITPAIPAADDSSTGADYPSFSFGDYMDVYLEQKFQDKEPRFTAAAAMSKKRMN >cds.KYUSt_chr3.45684 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287732242:287735816:-1 gene:KYUSg_chr3.45684 transcript:KYUSt_chr3.45684 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLLVVDHVTRVLDQTSLVEHGSFYIIQAWVTFPLPDADLRKQVYDITDALTMLRAVSYSLRGLVALLHAISGQKLPLHEILKYLCYTQCDLSVILQAHLHQDGSSPNPFTAAATAGKHPQAYSMAAFFASLAPTKLDRLWSLMMSSTANNAPLSQESLTQIYSILRDVTLATTMPWQSSPPKLGNTALSILASKRESYDHEQRFIRGKIEQLLQEYARSHPSEPKYDLDFICGVAFAGEPTYDRCYHVNFMAATKSTFRNTLFFAEFRGGYQNLSKTSICCPLPQPYDMGRCYYGPNSARKIVYPDHSNSYFSSDITQGGLDDTEATLEIDFLYFDSGRDVEVAKELQRIAKQQDVMQQRIRVALGVAVYTNYLRATEAVTASKP >cds.KYUSt_chr5.1738 pep primary_assembly:MPB_Lper_Kyuss_1697:5:11924998:11926004:1 gene:KYUSg_chr5.1738 transcript:KYUSt_chr5.1738 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTRSTSKQTAKLKSLVKLALARLAVVRRPRAGRRSIARGDVAQLLSIGHLDRALVRAGQVLEEDDALAALDVLELYCRLLVDHAAQLDKPKECTEEIKAAAAGLIYASARCGELPELLDARAILADKFGRDFAAAARDGAPGVVDPTLVRKLSGQGATVEQMRRLAKEIAAENGILLDFPEEPREVPHAQRKQSEQTKTAPAAESVEHTEIKVEPGTF >cds.KYUSt_chr5.19222 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124560459:124564544:-1 gene:KYUSg_chr5.19222 transcript:KYUSt_chr5.19222 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKVAIKLPFKTRFTATRMMQRGGGAEDQAGSEPSAFELPVTLGIKKMTPKPSYTTGVDLGMEVVAEVAGHFRPAGAAAGTRLYRPGAPRHPSLLLSAHTWEETKEELVR >cds.KYUSt_chr1.18957 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111317718:111321148:-1 gene:KYUSg_chr1.18957 transcript:KYUSt_chr1.18957 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHRVEDGRPPSNLQRFLDCTTPSVQTHILPKAANGRSSTTDAWHHHQAADADAAEYFNLADLWDQYYEWSAYGAGATVQLHGGDKVVQYYVPYLSGIQLYTNKVLTPSRGFAEDYGADFWSDDEDNEKMSRSWSSTSDDSFNCDVVAGNRRRPGHLYFEFFEVCSPYGRIPLMDKVYELSQGFPGLTSLRSVDLSPASWMSVAWYPIYHIPYQRNVKDLSACFLTYHTISSAFQDHVLETMTYGSAASGKQNDHLDKKANTVSLSPFGLAANKIQGSLWTNPRTGDHKRMVSLFSAADSWLKQLGVRHHDFNYFITHPM >cds.KYUSt_chr2.16078 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101262030:101264925:-1 gene:KYUSg_chr2.16078 transcript:KYUSt_chr2.16078 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAASSRRAALPVTVLVLLLLVAAAPPAAGGGGGGSAAVNGDRLRAEQIRKQASDAAASAAALAAASRRLHLDRTRHLRLLSSLHRNLTATLRDLASASVPDPASSQADQARWLDLQAKDLIRAARAAIADAKPLFDPQLKIQRLKDAIFARNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIALPDKFADPKPPPAALEDPALFHYAIFSDNVLAASVVVRSAVANSQDPSKHVFHVVTDRMNLGAMQVIIRLMDLQGAHYEVKAYEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLQKILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYWQYMNFSHPLIKEKFNPNACGWAYGMNFFDLNSWRREKSTEQYHYWQNQNENRLLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYGDSFIRQCNFAPP >cds.KYUSt_contig_1467.270 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1678777:1682139:1 gene:KYUSg_contig_1467.270 transcript:KYUSt_contig_1467.270 gene_biotype:protein_coding transcript_biotype:protein_coding MREKGDGGGDFEFPPGGGSYSAASGAGGAGSTARCSSAPAGAPGGGRFWALCGEEDGACSSEDEGSDLAGGSAARELAGSEEWSPRRQRAVVRLGDFIERAEELGGSLRHRRRTAFAPGGRASRFRASSAPQFTRLGDDGARHGGWRGGGRRGAPEAAGLWGGTAGSDWPPPSPEPPRASGSVLPPGEKGSHPLRAGDGPSVMLTGPVVGLAVEEAVHPLLLLGPPLGEFAGPRAAPVRPVGLEEDQGDGPRWLWMPRGCTTPSLGFPARASEVKRLHHSARTLHRIPEPPPLTRSFAEALMERYGGEGSGGDGRNKQRYGDGEGRRQGAGRQDGGRLQQGRHDGGRADLGRRDDGWREGGRRGRPDEDPRPRYGEQRALDQERGDWGPPPPWWEWEQQRLREEEAIRARGQLPASTGGRGGGSGGGGGGGLPGRSKKGMGQGAPPNPKNKGKNKAAAGGAAGALGGECFRCGREGHFQSECPNAPVCVLCSREGHASANCPTRGRLMQQQQMGNAITGGGFYNIEVEPLEGTSQEEVFEAVIHFDVAPLSALQLAEELKNLLDGSWDWRVAKVSEKEFSVRFPSRETLRMSTRRGKIYLPLSKLDVDIREAFVNPRPGKAMPPVWVQLTGLPGDLMERERLMAALTMVGRPIDVDELSVKKWKTEPVRVRFQCRFPERIKGTIALCVNGEPYTVGVQAELGAAGAGGSNPPRPPPLGDDDDVDDLDSEDRSTDGERWNRHRKIDKAKAAAAPAGPGAGSGGGGTQRTAAAGSRSAPSLGRFEGQYGSNVDLMPALARGKAVLDTVVQDRGCADVLGGSSVVVFGPEELSVASGETSSQVTDPVHSWLLDSLLKSGGLESGRAASKVLPVLEVEMGDVEAGEEMPEHQGQPQPLADLRSAATAAAPLAQGKRTRTEVHMAPIKVIKKKVPAGSVRKSARHGGAAASTAMEKAQKLAAERNLDPAIGTDPDPDDFSILDARSDSQMGAVLKDSCILFVPSAGTPVEAISLLRAKEEAQAALARVAASQAKERAEREAREATLETRSAAGEAASPGHRLSQPDREGGTSPQVDGRPEVQPDSGSEDGAGEGPPCTTGRPSRRKGRRSMLTMRKGRGRRTVTK >cds.KYUSt_chr3.31146 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195501709:195508115:-1 gene:KYUSg_chr3.31146 transcript:KYUSt_chr3.31146 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLALLGFTGDFVLHNSSSPTRRRPSPADAGGDGNADVGPAFRLAPDLTFLQPSERSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLYELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYYEFFIRRQVDSDKENESAQSDVADKFAQNLAKDTSLTSWHSGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQEPTNQSQILKGSHRMQSSIGGSSVLKELPNFWNISAEELLPQVEADKVDAMLKQLKHSSEFHKRLFESAVSTIRTIAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLIVPFQLAALKTIGDEDKYFTRVSLRMPLFGMKSSTSEKGLQKSNTPDLSSKGKASSELALDGWHSIALEYSVDWPLQLFFTPDVLSNEGRRAPQPPPKKDGDIRSRRAVRLSPGANEPPQPHAAGQRDEDRKRCQPALRRHTSCAEAAPPPHTSHHRHQTKKLRELRCHHPHHAGLKSRVAPLLPAKLSEKISAGAANRHREGASTNANTGVQGRAVDSSSASSPVQPLCRPRSHSGCHAADHHASSHPSRGRRPGVHPCSR >cds.KYUSt_chr4.25511 pep primary_assembly:MPB_Lper_Kyuss_1697:4:160366953:160368757:1 gene:KYUSg_chr4.25511 transcript:KYUSt_chr4.25511 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCESGITKDLAWRRSQLKGIIRLLTEKEQEMFDVLRDDLGKHRAESYRDEVQAPLVSWTAAVAPRERRLDDHDSGWLIRESTVGEGFSGATVDGFMATCDGCGFMATSQWREPLPAMERWTSAGNDMVTLLGVYPLLAVQSYVDASQQSNCDMLGGYRWWGSQWSPVRRFLVVLTNLGLAELGVLDIPCV >cds.KYUSt_chr3.17628 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108079937:108082135:1 gene:KYUSg_chr3.17628 transcript:KYUSt_chr3.17628 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAPPALAPEPNSAAACFALTPRREDLPTAAPEFNAACLALMPRRRVTLLYDLIEALILIGMRENNIEDFVMAKRIGGGGVDQVGIYYIRYCSRYATCVLKEVDMAIVVNLDALQILLLIVADLREPLWRTWSRSRLAGAHKRVSWWLEGPSPRLR >cds.KYUSt_chr1.9706 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59331509:59337708:1 gene:KYUSg_chr1.9706 transcript:KYUSt_chr1.9706 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGRRRLGFCAVINGIVSRAPGLKKSIGIVIAITYTTDTSAPIPHDRASPHHHGKEEERLRGTLCDDWRDVHLPSGVWWLSHLWVPVPPVPPREPDRSADIRCRRWYLPPDLRADPTFAVNSNTWRKTEKGPRRKAYFLGDKDFPFDLPPPPHHQSRQPPTPPQDDADDYSDGLAYHNEEAKDDSDAYVACIFHFGSLPASTAGATPSSAAPTAGASLASPALTTALSMAGLSPHVVTAPPTNSSSILSVLPQEELVAAAASSSSSSTAAAKTIDNDHISKYIHFKLGLAGSNYSMWKKLFHSVLSKYDAQGHVDVYTPPLEQDARWRHDDITILHWIYGTISDELYHVIATPENTAFQAWNLLNSFFGDHQAEFRSVVQGDMKISGLSLDDVDHPGHPSGDTHRPGLPEPEHMDELPDFEMEAAGGSGMTLSANLELRERIKPYFLRRIKSEVSLETGLTDNKRLPKKNELIIWLQLTDRQVLKKICDHPQILTKRAAEHILEGMDGMLKNQEMGMAEKMGMNLADMAHDNDDDDVVEVGPEVSCKLFFILALLRNLLEEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRMDGVTEVSERERIVKNFQEGLGAQIFLLTTQAGGLGLTLTKAARVIVVDPAWNPSADNQSVDRAYRIGQTKDVIVYRLITSATIEEKIYKLQVLKGALFREATEKNEQTRYFSQSYFSQSEIQDLFSLPEQGFDVSLTQKQLQEEHGHPLDMDESLREHIEFLEQQGIAGVSHHSLLFSKTEVLPMLSENDALGRGADAKKTNASLLEQTQIQISLKKSTVWQKPS >cds.KYUSt_contig_1790.193 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1066012:1071245:-1 gene:KYUSg_contig_1790.193 transcript:KYUSt_contig_1790.193 gene_biotype:protein_coding transcript_biotype:protein_coding MISAYCLFHFILLVIEPAAGVVEVMDSKSKPLAAWGDMADILQRACKRFTNKAPGVWKSELELKPVPSRRGSVLGRFPAGALPCCGREAPQNAAAAGTLRTGAKGLKVLRPGARLPATWCPLAPMQDNKNKKTLQSARWILPPQGLVRGTQELRSYNSFRNMQVVFLWASVIVVKEGITDQHARILASREALSLALDFHVTDMSRHMRVERLVYACVACKLLESKQDRDKLFLGVCLRPAALRTGAVVSALRRLVSPSFPRSGEVGGLAGEVVESPVFCSDLASLAGLGGEGRRRWELMFWLLVVLVVFFVRAAPAGRGGEGSGRLKIGWLLLLDEVALVGLLRRLSRVDAVGDAAEVLTWLAMVGSGCSGPDRMRFELGVCRRPMFLQCVADPLLRNWWTLRLVKAFWRGVPPLPGFVFGGVFFAGVRAGGVQGRWREVEDEDGPQGSFLLHLFLVFLYFCAFGCRILTTV >cds.KYUSt_chr1.8433 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51885061:51888731:-1 gene:KYUSg_chr1.8433 transcript:KYUSt_chr1.8433 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPVGQVDRLFFGDSSELAGIMGPAYGVRIEEEGAVSVVAEAHDTGRDDEADSVAAVRRIHVQGPQPEESAGEVGEETRAAASMHSGGAMNGKRKIVGGVGPSESEQSTLKVNPEAPGWIKRVRVGGHATERSPCPNRVGTLEKMIRSYPERRGDYVLEPSLGMTFDSLGEAYDFYNLYSWEHGFGVRYGKSRLNPQRTKTMQEIVCGCSGKPAGENTRSCRCECPALIRLLRTEDKGWYITEQRATHNHSLSKTCAEKVYWPSHKHIDVYTKDLVKQLRENNVNIGKVYSIIGSFFGGVGNVPFTKRTLKNLCGRISKEQADDDVRKTMEVFAEIGSKDPEFTYRVLADSDSRIKNLMWANGSSRMQYKYFGDVITFDTTYRTNLYDMPFGLFVGVNNHFQSIILGGVLLRDEQTESFEWVFEEFVRMMGGVPPKTILTDQCRAMEVAISKVLPGTVHRWCKWHVLKKAKECLGPLYSKKSEFRSEFHKVVNHMLTEEEFETAWAMLLEKYSLKTHPFLTQIYEVRHKWAKPYFRGVFCAKMTSTQRSESANMMLKSYVPPGCAMNLFVRHYMRLQHDREKDEGYQEKRTKVASAVLHANLSIEEHASKVYTRAMFEQFGHNLYMAGAYRIEEVEKYKLYLAKHTKAHKREKWSRVEFQVKVADGGEFFECECGLFEHMGIVCSHTIKVMDYLGVEEIPKRHILKRWTRDARDVLPVHLEVYQNDHASSRSFTYRHSLLYRKALELVRLGDASAEAYEKLDSLFDSNLSIMTPYDSMRDGLGLEDRPADQPYEVEAERVVVDDGLIMAEEPNPLLGLGAPIKNRGAGRPTTSRDRAPYEGTGGLSKRTRFCTICRCSGHKRTTCPQRGDAPKQPRKVAKCTRCGLPGHRRTTCTKQCAALLS >cds.KYUSt_chr5.22297 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145557208:145561582:1 gene:KYUSg_chr5.22297 transcript:KYUSt_chr5.22297 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPFAAQPPPVAVVSPLDGAHNVLGDDSASTRGTQSDVGPRAAGPKPKPIPIPFIRALDFLAVASTPPPAYITPVDSAALSTLRTTTTTATHTGATPHSGDRRKMQIFVKTLSGKTITLEVDSTDSIAALKAKIKDKEGVPEDQQRLIFAGKQLEDGRTLADYNIHKESTLHLVLRLIGGKGGKGGSYPKIDPNLLQLALKYRQHKLVCRVCYARLPLRSSNCRKKKCGHCNETRIKKKLKLRESY >cds.KYUSt_chr2.13895 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87940735:87941109:1 gene:KYUSg_chr2.13895 transcript:KYUSt_chr2.13895 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTARILEEETAPSKDEEHKPELPALPKVELPPFPEVHLPPKPELPKVELPPFPEVHLPPKPELPTFPAVHLPSKPELPKVELPTFPEVHLPPKPELPKVELPPKPELPTLPEFHFPEPEAKP >cds.KYUSt_chr5.22092 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144106623:144108437:1 gene:KYUSg_chr5.22092 transcript:KYUSt_chr5.22092 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSTARASAATLVKNTGVAEADVVPGGWSRRGAAASGGGLVLTVADEQEHGLGGEIVPDLNVQPMAEDPLGHSSIESGLYFLYEIYYYEQHYGKLGETSSVRKRKFEEFDDSEDSGHSYISSEVESGDAVSYNSDASSDNEVSKYNVLSYEKKVEEKIWAEGISAYMKPDGRYYCKFHPSKQVPRDGMREGLVSHVKEVHPKDLRDKANHAAPRKVLGVLWSGLIECPKKLVKKYIIGRYDDVK >cds.KYUSt_chr6.13376 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83715596:83719334:1 gene:KYUSg_chr6.13376 transcript:KYUSt_chr6.13376 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAGTRSWRDLAMTASRMAASSALSASSTFTFAGLRFRSPEVSWSRLGRRRPCAVDGSRMTMPPSPRVRWLGGESGSFFTGAKDGANLEIDLAAEPDDEELAAIRRGCQLFPRWRLVDALDGGGIVSLGELPPSISHKSFSCTTDRSIMEDERNTQPQQTGEAEQVEVTDRGFFNHLVGKKEEKVEQQKHDEAELSAGMEKVSVEEPAEAKHEGAEKHESLLTKLQRSSSSSSSSSDEEEEEVIDDNGEVIKRKKKKGLKEKLKEKLPGHKDATATEGDHATGLPAPAPPAALQTHHDTAVPVEKVDADVKTEAVPEEEKKGFLEKIKEKLPGGHKKPEDAAVAPAVTHAAPAPVTHDAPAPVHAPAPAAEEAVSSPDGKEKKGLLGKIMDKIPGYHKTPAAGEEDKAAAPAADHKTSA >cds.KYUSt_chr5.17327 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111885779:111886729:1 gene:KYUSg_chr5.17327 transcript:KYUSt_chr5.17327 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRGGGAKDVDRIKGPWSPEEDDALQRLVGRHGARNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTPDEDDAILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKHSGSSAAEGSPVRDTAGEVGGRPLKRTNSDGSHPAGRCFSPGSPSGSDLSDSSHQSMPSVMPSAASQQPTTHVYRPVARAGGMVVLPTTPLPQPSSPPSPPPPPATSLSLSLSLPGLDPCEATPVAPPMPPPCMAPRHPVQPAAPACQQMPPPPMPFQLQPRPAAPFDGEFLSMMQEMIRIEVRNYMFGRPPTASRMPSA >cds.KYUSt_chr3.14420 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87584520:87590968:1 gene:KYUSg_chr3.14420 transcript:KYUSt_chr3.14420 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQVPSPLRRLLPLSQTLAPAPLLYLSTRLFSSVSAPRSPFLRAAGIRPLAYRGQSGRHHLRRGSSTASKDPEGMASGKDAAGVAFNKTRAEGKDGRKDRSMELKNRRANPISTTSYVQILGTGMDTQDTAPSVFLFFDKQRYMFNAGEGLQRFCTEHKIKLSKIDHIFFTRVCSETAGGLPGLVLTLAGMGDEGMSVNIWGPSELDFLAGAMKSFIPNRAMLHTHSFGVDHSASSQPTVIIDDEVVRISAMFVKPRYNNEAKSSTDINSKPGDTAIVYSCELAEIKGKFDPAKAAALGLKPGPKYRELQLGNSVQSDKIDTMVHPSDVLGPSIPGPIVLLVDCPTQYHMCELFLLQSLSQFYEDSSCQTENAKKVNCIIHLGPSSVTNTVEYQNWMKSFGNTQHIMAGHENKNMEIPILKGSARISSRLNFVCPQLFPSSGFWPVEPSNGIELEKNKSTSSQACESVTAENLLKFRLRPYAQLGLDRASIPSLCSHEDIVDELISEIPEIKEVPEQISKFWQSSVDQRSALPPDGKHMLMVEEPWINKDSCLPDVLDEQGNSTKSQDESSLRESGWRKRPKGNSETPCCVENATREDLEITFLGTGSSQPSKYRNVSAIYVNLFAQGGMLLDCGEGTLGQLKRRFGVSGADELVKNLRCIWISHIHADHHTGLARVLALRSKLLNGVPHKPLLVIGPGPLLRFLNAYSTLEDLDMQFLDCRRTLKASVEDLLTDNATGSPTSQVENTMFAPGSRMENYNKKPASPRDTTALANLKEVLQESGLEILYSVPVVHCPQAFGVVLRAKEKVSSAGKAIPGWKVVYSGDTRPCPALVDASRDATVLIHEATFEDSMKDEAIARNHSTTKEAIAVGTSAGAYRIILTHFSQRYPKIPVFDEDDMDKTSIAFDLMSVNLADLPVLPKVLPHLKLLFKDEMVVEESDEVLEAVV >cds.KYUSt_chr1.26162 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157395775:157397424:-1 gene:KYUSg_chr1.26162 transcript:KYUSt_chr1.26162 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNAQRQVERTGRGGTSRDQYLQDLVTQFQDSTDEECKERIVANLSNFAYDPYNYAFMRQLNILELFLDCITESNERLVEFGIGGIYSANASVITQCGGIPLVVQCLSSPVRNTVIYALGALYYLCNPSTKKEILKPDVLRMIRDYSAAGAVNSSFSNLATAFLDKHVNS >cds.KYUSt_contig_1861.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:60183:62487:1 gene:KYUSg_contig_1861.8 transcript:KYUSt_contig_1861.8 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVPDLPDELVHEILFRLPPDEPACLFRLSVLSNLWRSLLSDPGFHHNYRKFNRTPPMLGFIYNSEVSSVSRFVPTTGSSLPCTFDPQLADFTVCDCRHGRVLLDNGEVPMELVVWDPMTDRRKEVSDPRRSLFYLGTAVLCAVDGCDHASCHKGPFHVVFVGIDAEVGSVTAYKYSSETGEWSTPTSELSLVDEHHFVVELDLFDELDPVDDGYLTAMHSVLVEDSLHFLLMSGPQGARILKYDIGRHYLSLIVLPAAAAVYDRGTLLMATEDGRLGVAHLDKLSLHLWSREVGPDGIAAWTEHRVINLIPFLPIGDPAIKLELIGSVEGANIIFATTDLGVYAIDLKLLRSRKLCEGQDIRPLFPFMSFYNPPGIFVLWMCNLRTDVFQFLVDLVLGDGRCVKSAVAAVELREPC >cds.KYUSt_chr7.2473 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14412657:14415338:1 gene:KYUSg_chr7.2473 transcript:KYUSt_chr7.2473 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVPIALLCIALCVASSAAECGRNTTLEGYTADLRMSQHQLRGRVAVLDGCSFRVSSLDLLAGSASARWWRADGADLDSLSRGAPASDDPLNRTFASESLVFRLLPGLSWPLVPVLAAFDPLTSSLFGFVRLPANATSNSSDASSDSASQAPTMFDSCAQLSPRFRVRWTLREAENAIDVGLEAAVGSEYYMAFGWADPGAKPNATMIGADVAVAGFTEEGLPFAEDYFVTRSSECLLRADGTAEGVCPDTFYGRRNGTGDADSVNNTRLVYGHRRDGVSFVRFSRPLASPDKRYDVAVDAAKSMTVIWAIGLLRPPDSLSPYYLPLNHGAPAGTAYGHLALNLSASPSASEVCHGPLDAEDKEDQGRITAERQTPLVVTAGPAMHYPNPPNPTKVLYINKKEAPLLKVERGVPVTFSVEAGHDVPLYITSDAVGGNATARNTSEVIYAGSEKSEGVPATPTELVWLPDRNTPDFVYYQSLYDPKMGWKIQVVDGGLSDMYNNSVLLDDQQVTFFWTMSGDSINIAARGEKKSGYLAIGFGSAMVNSYAYVGWIDANGTGHVNSYWIDGKDGMSVHETHENLTYKRCRSENGAIIFEFTRPLATSCSGRVECKNIIDPTTPLKVIWAMGSQWSSGRLSVKNMHSVTSNRPVRILLLSGLAEAVEDLRPVLAVHGFMMFVAWGMLLPGGIVAARYLKHAKGELWFQAHTYLQYSGLSVMFLGVLFAVAELRGFSFKSTHAKIGAVAFTFTCMQPINAYLRPHRAENAEILSRNRVIWEYLHIFTGRTALVAGVTALFTGLQHLGHRYGSKTIKGLTCGLVVWVVSGVLVVAYLEYMKVKRRRDGADGLSHKFVLGNAEEDDSVDLLHSDRFDSKMDSGSPGSMEVQLQPLKG >cds.KYUSt_chr4.42166 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260821452:260825528:1 gene:KYUSg_chr4.42166 transcript:KYUSt_chr4.42166 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLWRPLRVLFLLLLLPLSASCTAAEDDAAVARSSFHMDGDVAWVVQVSDLHISAYHPDRADDLVRLLGPALRAIRPHLLIVSGDITDAKNRRKTTSRQDEDEWITYKKTIDAIVEKGGIDRRRIFDIRGNHDTYGVPYRGSKLDFFSTYSVSSQLDRLRTINSILLQGDRSYLFLGIDDTMSVGIRYPSNLFGNPTDKRIEAVNSELQYWTSHSNVPITKVVFGHFPMSFTSSSEGGQRYESVFARQSISAYLCGHLHAKISKHLWRYHQMKTEERSSSFWEWELGDWKESRLMRILAIDRGTVSFVDHELKQPVETSILITYPADSRNMNILELESKKGSLRNDINVLVFSEELILNVSARVFDSHNEFKIVEDMALQHISSSSVYKPLFHAKWSAENYRSPYPTRYWLQVFVLDSHGKKTLSERRPFSVEGKMAIPYRPWLNRLMFEVEWEAMYKVLLWINLAFTLILLFIPKMLYHVFKRSSSYQRWALSVIASPVQQRNTCFWLVWFLMEGSSSKMLWFSLTLYVFWISQMPWFWGHATSENGEIAQMYLSGWSVPSSGMSWTNYNPDVMVITLPFLYLVVVPVVVLVYGLFAERSAAYLRQHRTRAEGRVNPSDTTSESASFVPGSPDPGSLMKLSYKNKIKFMLRKFCGGWTRRLILLACLITAAIHLK >cds.KYUSt_chr2.52415 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327037581:327044176:1 gene:KYUSg_chr2.52415 transcript:KYUSt_chr2.52415 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLQAGDAGDWVYKGEGAANLILSYTGSSPSMLGKVLRAKKILNDKAQPAPTCEAFSSSEQLVWGEIPGLVESLKQDCLPQAYAQVMSQHLGANHVDGGVRARVSKEFLEIVGKNVLSSRPAWRVTASAIDTIADSALLISDHSLFSGKPRGSSCIAVEIKAKCGFLPSSEYISQENSIKKQVTRYKMHQQLKFHQGQISKISDYNPLDLFSGSKERICTAIKSFFSTPQNNFRIFVDGSLHFGGMGGGADTVHPDETEKYLEDLTKVSGLQPSDFIELLSEAIFKSGVLDKLLVTQKLDDHDIEGAIHLYYDVISQPCLVCKNITDAELLHKYSVLHSLSLDKSCKIVRDFLIAATAKDCSLMMCFRPRESETTDSEYDSVFLESVNRTYDYKAYFVDLDVKPLDKMPHYFKLDQKIVNFYTKNGDAGRIPCDSSKGGSTGGDDSKVGPPTSATIPGWPTQPSTGDTIAGPSHLTPATCHQVDAVTAIQGRRPLCRDPDLEEEQHEIHPHHHPPGDAEARKEGEDRAAEIRGALPPPNRFARGRGYGRRRSLMSSKRTVLRVDTSCGKCKRKVLLAVSSLQGVDKIEIDSEKGTMTVTGGVDPVDVVEATRRKACKRADIVTIGSAATVPPSSKPEEKKKPEQKPQQQQWEEKTYMVAAAAAERRAPEPPMTLYVHHVPPPHSWHPAYDQHGAVMGYQQDPCSIM >cds.KYUSt_chr4.1138 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5983961:5984275:1 gene:KYUSg_chr4.1138 transcript:KYUSt_chr4.1138 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSDLERVVLGACRRPLLLCDEDPALRGWWSLRLFNAFWLGVPPAPWMVVDGGCFAGVRAGGGSPRWLVDDEDEDPQQFLCLYPLLFCLYLYAFRSCILATVG >cds.KYUSt_chr6.21745 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137242450:137243312:-1 gene:KYUSg_chr6.21745 transcript:KYUSt_chr6.21745 gene_biotype:protein_coding transcript_biotype:protein_coding description:A20/AN1-type zinc finger protein, Regulation of plant growth and abiotic stress response, Modulation of gibberellins (GA) and abscisic acid (ABA) biosynthesi [Source: Projected from Oryza sativa (Os02g0195600)] MKIVSDLQLVQMEHKEAGCQQPEGPILCVNNCGFFGSAATMNMCSKCHKEMVMKEDQAKLAASSFDSIVNGGDGGKGPVVAAVAASVEVAVAQVDAKELVVQPQPADAAGTSEAVAVSPKRKEGPNRCSTCRKRVGLTGFNCRCGNMFCSLHRYSDKHDCQFDYRTAARDAIAKANPVVKAEKLDKI >cds.KYUSt_chr5.34219 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217055906:217056709:-1 gene:KYUSg_chr5.34219 transcript:KYUSt_chr5.34219 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRPRSPPPLFMPTTPPPPPPSPPPFFPSPSHDFSFSFPPLPSSPPPFHARLRALPLPAVAADMSRTPIGRVGSDISHNNYAKANRRHQTTSHTSSSEDFKDRDRAKNRASPFFSGFGGAWRSGEGRDDAAEDRRKVKGKRGPLEVGQRVRKYMASLVEQLLASFSRLGERERREQRRRPHSFSGSGPGAAAAAARERERWRQRRGQLSSAPASLRASPVNSGHLSVGGSVKVSTSSEESTMEELQSAIQAAIAHCKNSIAVAKE >cds.KYUSt_chr2.28557 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175259964:175260239:1 gene:KYUSg_chr2.28557 transcript:KYUSt_chr2.28557 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQLTGGAAPCASGCGFFGSPATLGLCSKCYYMEQQRLASAAADEALVSSVSSLAIRSSKERPEETAIEEEPVRGEPSRKEVGMLGFRN >cds.KYUSt_chr4.44866 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277702021:277705329:-1 gene:KYUSg_chr4.44866 transcript:KYUSt_chr4.44866 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSFFLILLLAAAKAVRGDDGAYIGVNIGTAMSSVPAPSQITTLLRSQNIRYIRLYDADQAMLSALANTGIRVIVSVPNDQLLGIGQSNATAARWIARNVAAHFPLVNITAIAVGSEVLSTLPNAAPLLMPAVRYLQNALVAAALDRYIKVSTPHSSSIILDSFPPSQAFFNRSLDPVLVPLLKFLQSTGAPLMLNVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVTETGWPHKGDASAEPDANADNADTYNSNLIRHVMNVTGTPKHPGVAVPTYIYELYDEDTRPGTASEKYWGLFDMNGVPAYALHLTGSGVLLANDTTNQTYCVARDGADEKMLQAALDWACGPGKVDCSVLTQGQPCYDPDTVQDHATYAFNAYYHGMGMGSGTCYFSGVAVITTTDPSHGPCVYSGKNGSALLNGTSLAPSTNSTESGSGRTFGDVSSFVRSVVTALLLSVVVLL >cds.KYUSt_chr7.6498 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39232678:39236941:-1 gene:KYUSg_chr7.6498 transcript:KYUSt_chr7.6498 gene_biotype:protein_coding transcript_biotype:protein_coding METAAVPVSRSASRAALPSTFAPRQTRVALPRRAAPMQRLVTRRSAAADDNAAAEERPIEKKFTTFPTVMDINKIHEILPHRFPFLFVDKVIEYKAGDYAVAIKNVTINDNFFCGHFPGRPIMPGVLMVEAMAQVGGIVMLQPEVGGSSQGNFYFVGIDKVRFRKPVLPGDTLVMRMTLANPQKRFGFAKMEGKAYVDGNINVKIQDMMEEDEPLIRATAPTLFHDQVDILSEGKSPEPPSKFREAQNTMAAPMEVVAETLQQQPCQNAHLFSDLIVNYDPVNNIGYLLLSFYHDEASIKNVV >cds.KYUSt_chr7.39980 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248346395:248350202:1 gene:KYUSg_chr7.39980 transcript:KYUSt_chr7.39980 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRIFCHADIQALRNRSDQLLSVELVSLDSVSIASDSCKLLLNLIEGRDYPKKVKLTERRYWSCSQMVLLSLIAGVALELHKIKHDLYPLLSREAKLGDDGFVEHLLLLKNSALALVRLAKDAKEIVQGLDQEVVIDGLLGKVKKFGKVVEDTADLVLKALDCCDEFPFFRGPGKQLKTVEVYWFLVTLLADLALRHGANQLLIFGLPGQASMVLSMSSARISRYKIDAKEDMIRNNRRQIRCPCRSCKLERWINPDSGQLEEHLLRRGFMQDNQARPAPSNGAHEDHVSEMTIIMKKTIIMPTGTIIMKKKSAEKIIMKKKMPAENIIMMKKKIPARPR >cds.KYUSt_chr3.18820 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115689306:115690718:-1 gene:KYUSg_chr3.18820 transcript:KYUSt_chr3.18820 gene_biotype:protein_coding transcript_biotype:protein_coding MQATKRALTLPTDHPSPWAPPSPGAPFTHPIAMPITTSCPVDGREFSRATWVLPNYRASIATATDLQWGKTTAGGWTKNRLPIVASFCPQSPPLPSNLVVHSPRDSASFSENPHLLCIVDDLILIRVAIGCPPDFISMLECEYYVYRANPINPSIQLVPNPAPHFFHDHEVGLLPRGDHYIIAALVRVAGSLHDEFNLLLFSSRTGSWSNKKLAVESPLGFEVEIPMNGARLLRHRTSTVITIGGQDGTMGWVDLWRGILLCDVLCDKPMLRNVPLPFPKNLLTGNNGIEQKLTSRPRMVRGIAFTKGCLKLVELDIDCTRTIDETGSGSFQVHNWRITTSSNDRMTGSWKDWKKDCTVQASDTVISDQMISELRLLGLLHQPGLPLPCDTDAAAVQALQNLAVTDPVPSITDDGVVYLMARVKYGHPKACVIAIDLRKNTLQSVAKFGTENQSSETAMYSPCIALFPSV >cds.KYUSt_chr2.6238 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38888241:38890284:1 gene:KYUSg_chr2.6238 transcript:KYUSt_chr2.6238 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTDKLFLLVVMQCLALGCSTAAAVIRPRRQGDYLRRLRGSFSARQSSWKSSSSLPAVEETTDRRKPLPAEAGRKEADRVEALPGQPGGVDFAQYAGYVTVDAAAGRALFYYLAEAVGGNGSGSSSKSKPLLLWLNGGPGCSSLGFGAMEELGPFRVMSDGKTLYLNPYSWNHVANVLFLESPAGVGYSYSNTTADYSSSGDGRTAEDTYGFLANWMERFPEYKGREFYITGESYAGHYVPQLAHAILRHASPAINLKGIMIGNAVINDWTDNKGTYDFFWTHALISDETVDGVNRNCNFTAGAASNKLCDDAYRSVGESVVYIDNYNIYAPKCQSEGLITPPVTPSVERFDPCTSYYVEAYLNKPDVQKALHANVTHLDRPWTFDIIFLK >cds.KYUSt_chr5.9427 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59856279:59857838:-1 gene:KYUSg_chr5.9427 transcript:KYUSt_chr5.9427 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKEKTEPFVLTPALSTQATKSLVELSSAPCRDAHGGRSSAFCEDGCEGSVPNGEVLGLPAVAQLAASWGSTSSPAAAPQGVPNFTGIRVSYVHRIHTVEEARHREVLVRYLNSNTTYRLIQLRAAELLVQGRYEVQMKPGRNPSKEIFSELDEINAQGPIFARSFQKTEREAKWGHGAPPH >cds.KYUSt_chr7.31560 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196574862:196575176:-1 gene:KYUSg_chr7.31560 transcript:KYUSt_chr7.31560 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQRPPPAQQKMEKLHSFQEEHKSALERAKTLEVPHAIDISEEENHVGVCPAPSSDTHSETTSEAKSAGRTSWYELVDKLFTRDEDGKLIVNRDMVAKEVVDE >cds.KYUSt_chr2.41082 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255345358:255346125:1 gene:KYUSg_chr2.41082 transcript:KYUSt_chr2.41082 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAQLPSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWSEKVFGPSDIKLADAAQSAMAWGTNHESMAVEQYTTITGRSVGSLGFAVHTEANSGWLGASPDGILGCDPDGGILEVKCPYNKGKPEIALPWRIVPYYYMPQVQGLMEIMGRDWVDLYCWTPNGSSLFRVPRDRAYWELIHEVLREFWWGNVMPARELVLLGKEAEARSFEPQPKHRLTNLVLYRSRKMASEAKLLCRDVGGHVEFFP >cds.KYUSt_chr7.12085 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74314426:74315637:1 gene:KYUSg_chr7.12085 transcript:KYUSt_chr7.12085 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWLPLLRHLLASPAPNAAAFSSSSNNCPSSAPPAAGLLRTLLSPVPTLPASEPTTVLFRTLPPLLQFQALSFLSSSALLLDPIQIRSLASRVLSAPPGRYQFWVRQGARHLLDGLPDKDAPDVPSEFIEEFHEPPPWLKEEAARARPVLPWLPLDCRNVMPSRVRNIADGLDGVRLECLELEQDEFPVIQVVARPPAPPLGDSVVHRAQALQKEIELADSVLDAQQVAKDLRDLCLESGNAEAVLSLVQPWEADDDTVRVLLSHLVLEEEGMRGKGLQRAASSGLVSAALDICKRHPAAALEAVLFPLVLRKEGLNVAQCDVLTRIVKDCMHPLHVTAFCHRLLSGEEEERIPVCMPQHRENIGADLVWTDSLFVLFNGILNQDVRLTPSTIEKLVSIIDG >cds.KYUSt_chr7.22237 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137665134:137665796:-1 gene:KYUSg_chr7.22237 transcript:KYUSt_chr7.22237 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPNYRSSICSGVPRGEDSFHTEPTTMSGGGRKPTGDEAEVEALLRAAQDAVLLKLQSNSHLVSSSSSAASNPPALDPAPGSLDDDLARRFDALRSRTPAPKWPAAASPGMDEMEARFAALKGAAAGPEKETKVSLEDLGDESEEDEVEKVMRWAMDAARLDVATAGGGVTPSAEEKEEEEDKSSASSEEDEDRLEMEKRKAKEMLSKKNKAKNRWFFF >cds.KYUSt_chr5.18491 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119456247:119468174:1 gene:KYUSg_chr5.18491 transcript:KYUSt_chr5.18491 gene_biotype:protein_coding transcript_biotype:protein_coding MIASYELGDSSMAEAEARAEATRFTAGGEAAAAVSDVNMNPLKRSSESESSAHEDEPDTQKRHKKGFTPRGYQLDVCEVAKKRNTIAMLDTGAGKTMIAVMLMKAFAREIDRSKDDWKITIFLAPNVQLVEQQCKVIKTHTDFEVGLYCGATRADQWGGDRWREQVSKHQVMVMIPDVLLNALRKAFFSLDMVNLMIFDECHNATGSHPYSMIMKIYTVADREEIELCAPPPEYLKIYYDQRTVSFNDLSEELALLHSKYDALITALQNKPNYQNEEAYAIAKESRKCISNYSAKILYCLDDVGLLCASEATKIYRGWLKVSDATNIQSAPNSSFLHAEISALYPKFFEAVSHVLDLATSSHPGSDVLLNSESGCVEARNMGYISPKLYELIQIFNSFSNSDHVRCLVFVDRKITARVIERTMKKIGQLSHLTFSFLTGGSSSVDSLTPKMQKDTLDSFRSGKVNILFTTDVAEEGIDVPDCSCVVKFDLPKTTRSFVQSCGRARQKASQYILMIERGNVKQDNLVYTISRSKTSMDETALNRESKDLLPRFFPVNDTNEYIVGTTGAKVTAASSIAVVVEYCNKLPKNKYYTTRPLFESIAHSDGFECVLTLPSSDVLPPLRGPKARSKKAAKQLVCFDACKKLHQLGVLDESLRPCVVKPLPLPGISKKATAHSSSDGVGTTKRKELHGTTGVRALYGTWALEKNVVKLQGYRLKFSCNQVGQRYSDFVLLVDATLEIEGDNLDIDLYLHDKMVKASVAPCGLLELGVQQMEQAKLFQALMFNSLFGKLFTGSKSSSILREFIFNKNDAFIWNVAKMYLILPIDPTLKPHDNFCINWRVIDEAATTVKLMKKIYSGDKMNNFEQNDTELIHLANISCEAHALRNVVVLAVHTGRIYTAIHAVDLSANSTFDGVSDKKETKFHTFTEYFENTHGIVLRHPSQPLLAVKPNHKPHNLLSSKFNEGNHAKTNGDTSHVNTGDSCVHMPPELLIPLNLSEDILRAFYLFPSLMHRIETLMLASQLRSEISYDDSNISSFLILEAITTLRCSEDFSMERLELLGDSVLKYAVSCHLFLESPDKDEGHLSSSRAAIISNAALYGLGMERKIQGYVRDAAFDPRRWLAPGQLSIRPVPCNCSVDSEVVTQDIHVVEDTPIVKIGQACDKGHRWICSKTISDCVEAVIGAYYVGGGLRAAVAVLKWLGVDAEIEEELIFHTILSAPVKNYLPKIGVIGMLEAKLGYTFSSKGLLLEALTHPSERELAERYTYQRLEFLGDAVLDILITRHLFNSHENTDEGELTDLRSASVNNENFAQVAVKHKLHHFLQHSCRSLADQITEYENSLENSSMEKIQLLSDAALRGPKVLGDIVESIAGAILVDAKLDLDVVWDAFRPLLSPIITPANLELPPFRELLELCSKNGYFLETNFTYGEKIEATLLVQLREKLIVRRSCGKSKKDAKAHAASKLLEDLEKEGLLIPKNAGRMQQLQKQHGGTASRCNNVFDAMDTQPPTPTSGKKSDASKIIASLDKPVHVPVNTSRGGPRAALQGGLLIPKNASRNEQLQKQGGTADLCNLFDAMDTQLPTTTNGKTSSGSKIVASLDKPVNVLVKTSKGGPRAALYEFCQKLQWPVPYFNSVKVEPSCAKATPQGFSFASTVILSIPNSDVISLTGDSFADKKSTMDSAALLMLQELQRRGRLQVQEA >cds.KYUSt_chr7.11915 pep primary_assembly:MPB_Lper_Kyuss_1697:7:73174767:73180968:-1 gene:KYUSg_chr7.11915 transcript:KYUSt_chr7.11915 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASQYVFSYRGSRYWKFRDEGFKLDHFTSELPNCGQHLLEVPRDSLRGSPECVMDDSEPLVMTDDMKKHCDLFFDRDLRSWVGRKPAAPAAMALVLHSGSGNKNAYKALIAAEYCGINVELAKNFEMGVSNKTPEFIKMNPLGKVPVLETPDGAVFESNAIARYVARSKGDSVLYGSSLIDYAHVEQWMDFAATEVDTNLARWLYPRLGYMPYIAPSEEVAIAGLKRALDALNTHLASNTFLVGHAVTLADIVMTCNLYHGFARILTKAFTSEFPHVERYFWTMVNQPNFKKVIGEVKQAEAVPAIQKKAAAPAKPKEVKKEAPKEAPKPVVEALEEEAPKPKAKNPLDLLPPSKMVLDDWKRLYSNTKSNFHEVAIKGFWNMFDPEGYSLWFCEYKYQEENTVSYVTMNKVGGFLQRMDLCRKYAFGKMLVIGSVPPFKLKGLWLFRGQEIPKFVMEEVYDMELYTWTKVDLSDEAQKERVNAMIEDLEPFEGEALLDAKCFNEAHVAVARQPGDIPVLSWAKIRRIPSMKSRNNDKSTILGPASLLQSIHLAPAPSAVVDNDRCQRHVEKHISPDLKNGEKTKLPT >cds.KYUSt_chr3.23033 pep primary_assembly:MPB_Lper_Kyuss_1697:3:142571272:142574497:1 gene:KYUSg_chr3.23033 transcript:KYUSt_chr3.23033 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSSSWARALVHISPYTFAAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTSRMHDPESMRAGYAIFASGLIVGFANLVCGVCVGVIGSSCALSDAQNSTLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPTKA >cds.KYUSt_chr4.41576 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257231248:257241723:-1 gene:KYUSg_chr4.41576 transcript:KYUSt_chr4.41576 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSGSTLMDLITSDPAPPSAAAASSQQPSSGGSSGRSAPAPAPAPAPADRKSKRATLMQIQSDTISAAKAFNPVKNLPQRNRKKKARPLLHRRAPHFFYPLFPLSRCILSLPPAARLVLAAGAEHPRACSHKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDKNVLRYVYYYLARILSDNGSQGSSAAGGIPTPNWDALADIDVVGGVTRADVVPRIVNQLSAESTSDDVEFHARRLAALKALTSSSTSSSEMLEKLSEIVFGILEKVADSKQKRKKGIFTKQGGDKESILRSNLQYASLSALRRLPLDLGNPAFLHRAVQGIEFSDPVAVRHALSIISEIAVRDPYSVAMALGKNAQSGGALQDILHLHDVLARVYLAKLCHSISRARVLDERPDIKSQYSSLLYQLLLDPSDRVCFEAILCVLGKVDNTESTEDRAGGWIRLTREILKLPEAPSVASKGILSKASEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYALGAYDEGANLQAYSDNADSLDSDLNENSQPEATRKAKPLSNGHGGLDTVAGLLASLMEVVRTTVACECVYVRAMVVKALIWMQNPHESLDELKSIIACELSDPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSVLTSVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLASALTRLQRCAFSGSWEIRIAAAQALTTIAIRSGEPYRLQIYEFLHALSLGGVQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDELARDIRQHDNSKQEWSDDELKKLYETHERLLDFVCLFCFVPRIKYLPLGPTSAKLIEIYRNRHNISASVGLSDPAVATGISDLMYESKEVHKETSTMQSGIDPDLAMAWAAGLEDDDWANNAPAVDKVKDFLAGAGTDAPDVDDEEEYMNSRPSVGYDDMWAKTILETYEEDDGRSSGGSSPESTGSVETSISSHFGGMNYPSLFSSKPPSYGASQQTIREEPPSYSTSVLQKRESFDNPLAGRGSRSSGSHEDTEKSSSSGNPQSGKALYDFTAGGDDELSLNSGEDVDIEYEVDGWYYVKKKRAGRDGKIAGLVPVLYVSS >cds.KYUSt_chr1.40798 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250220009:250222173:1 gene:KYUSg_chr1.40798 transcript:KYUSt_chr1.40798 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRSGRNGAGGGGCAGCFLGFLLKFLAFLQAFAAVSAVLYGAWIVSRWARHHELHLDHLLPDLWFACAVMAAGLLYCAILLAGYIAAEISSGCCLCFYTVLAMAMLLLEASVAGHLLLNEHWMQDLPYDRTGELNNLVTFVQNNLDTCKWTALATLATQYGLNSSSGYTYNTLDHQNAAPLQ >cds.KYUSt_chr2.51096 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319527715:319529076:1 gene:KYUSg_chr2.51096 transcript:KYUSt_chr2.51096 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWLSSLDVDTAARGYVPVMYLYGCKPDVPSEDVVERLKAALADALVPFYPVAGRLAADSSGRPEIDCNAKGVLFTVARSDDLTADYVRGFAALSELRKLFVPTVDVESGAMWAVQLTFLKCGGVVMGTALHHVLGDAPSAANFIRTWCTMACGSKLVDDDLPCHDRGLMCARSPPTVDKDLLHSVMSPKISLSAAARPVVFKVFHVSADQVADLKRLCQRTSTFRAVTAHVWRCAVTARGLAPGIRARISFLASLRRHVRTRLPPGYFGNTSIMLSATAAVTDIVSGTLASISDTIGAPVQRLNNEVAHSAIDYLELELARADNNEPPPPKEGSLPDTDLKVVSWLGMPTRDADFGLGMPEFTRPPDGSTPGVVLLDSADNGDGVLVSAYLEPASINQFGKLLSTTPAY >cds.KYUSt_chr4.24062 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151504882:151505175:1 gene:KYUSg_chr4.24062 transcript:KYUSt_chr4.24062 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQQDRAKALANGVAAEPNDDGVLYKQCQRNHGARLGLHVLDGCCRFTASGREGDALLICVACKCHRNFHKRVVCEYSDESDIPAPPTPPAAGDSR >cds.KYUSt_chr6.25306 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160293198:160295203:1 gene:KYUSg_chr6.25306 transcript:KYUSt_chr6.25306 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGWKYGSGFVDGVFPVLSPMAQDILELVQKGTDVAKVWESLDSIPATHSLWDDILNVAVQLRLNRQWDPIITVCEWIVYRSSFRPDVICYNLLIDAYGRKRQLDKAEAMYTALLEARCVPTEDTYALLLRAYCNVGSLHRAEGVISEMREHGIPPSATVYNAYLDGLLKARCTEKAVEVYQKMKRDRCRTNTETYTLMINVYGKSKQPMSAMKVFNEMQSIGCKANICTYTALVNAFAREGLCEKAEEVFEEMQQAGHEPDVYAYNALMEAYSRAGFPQGASEIFTLMQHMGCEPDRASYNILVDAYGRAGRHREAEAVFESLKKHGMAPTMKSHMLLLSAHARSGNVARCEEVMAQLHKSSLTPDTFALNAMLNAYGRAGRLDDMERLLAAMEHGGSCDVSTYNVVVNAYGRAGYLERMEAAFAAMQGKRGIAADVVTWTSRMGAYARKKEYRRCLEIFEEMVDAGCYPDAGTAKVLVAACSDERQVEQVTAIVRSMHKEAKTLFTI >cds.KYUSt_chr2.5836 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36280240:36280578:1 gene:KYUSg_chr2.5836 transcript:KYUSt_chr2.5836 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPEEIRSESVPAPAPAEVVTVDVLTASELKQQPQEDEKQVWKYLDVRTEEEMAKGHLHNSLNVPYMFVTPQGMSFKLINLFLELLTN >cds.KYUSt_contig_959.91 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000088.1:583304:584171:-1 gene:KYUSg_contig_959.91 transcript:KYUSt_contig_959.91 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEMLATVDQGHDGRVFTIEESYADCTCWLAHGVLKHFNANNPGAQYLVDSTAEMKAACTGFGKDLIWYHLSFSARQRDNAEMDIFFAELCYDIDSEKINLETCTILGRWTDDD >cds.KYUSt_chr7.27279 pep primary_assembly:MPB_Lper_Kyuss_1697:7:170454686:170457847:-1 gene:KYUSg_chr7.27279 transcript:KYUSt_chr7.27279 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPDGAAEPPTPTPPSPSPVPPPAPVEWPEDGALTRDWVAAFTATLDWCSRRLPPDQLPSILSADFVRRLLLAAAAVLHREPNIVRVDPRPDQAVVVVGDVHGQLHDVMFLLRDAGFPSEDRIFVFNGDYVDRGAWGLETLLLLLAWKIFLPSRVFLLRGNHESKYCTSVYGFEREVMVKYKSQGGQVYRKFLRCFEDLPLASIIAGCVYTAHGGIFRGAVVLPSKRAKRAKKGHKYVATSAEDSTTLKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLEKGLSLNKERGIGLLWGPDITQQFLYTNNLKLIIRSHEGPDARDKRHDLLGMDSGYTTDHQVACGKLITLFSAPDYPQFQASEDRYNNSGAYLVLSPPDFATPDFHSFQAVKPRPAVRATCCISKLEKSMP >cds.KYUSt_chr7.10642 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65366329:65369838:1 gene:KYUSg_chr7.10642 transcript:KYUSt_chr7.10642 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMIPLLLLCMAFLEGATALHLCVDRLFNDTQGRHNDGLPHLNPTEEATWMTLLPRKLGSKAEFDWLALYRSLTRGGDTTMPPRSTCPRHPCTMFASTQAPCTGRASRPTLSTFCTLTPTASPGASGSRQAYPPLASTTAAGRRQTANSVGISALLERERAHVGHNDTLREKMTRVVDILDHCQKKMNTGYLSAYPEKMFDMYEELFEAWSPYYTIHKIMQGLLDQYTLAGNPKGLKMVVWMTDYFSERVKNLILKYSIQRHWEAMNEETGGLNDVMYQLYTITDDDIAGLHVNTHVPVLIGAQKRYEVVGDHLYKDIATFFFDVVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNLLKVSRNLFRWTKEVKYADHYERLLISGIMGNQRGTEPGVMIYFLPMGPGRSKSISGAPPSGLPPRCRGDGEVPMTHSGAATGQSFSKLGDTIYFLEEGEIPGLYIVQHIPSTFDWKVAGLTVVQQAKPLFSTDSHFEVTISISAKGDPQAAKFSVRVPSWTSTDGATATLNGQILNLTSAGDFLTLTKLWGDDTLLLRFPINLRTEAIKDDRPEYSSIQAVLFGPHLLAGLTHGNKTVNTSEHSNGGLTPGMWEVDARNATSINHWIVPVPQSLNSYLISLMQRSGKQTFVLSVSATDGTLTMDEMPVAGSDTSVHATFFINKILALDAGGFLPLQEPNVTIAPFDKPSMGITNSLNLTLLQGLDAALFNALPGLDGSLARCPWCLAPGQAAS >cds.KYUSt_chr7.33039 pep primary_assembly:MPB_Lper_Kyuss_1697:7:206110271:206111662:1 gene:KYUSg_chr7.33039 transcript:KYUSt_chr7.33039 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPDNPVRVLGQCQVSPWPSPPAGKPRSLPLTFYDDLAFWDVPPVQRLFFFDNTDLLGGPEFLLSELPLFEKSLAAALHHFYPLAGKLPCGMPETAAPKIVFSDGDSVRLTVAVGDDNFEDLAGDHARDTARLRALLPPLLRNGGGGGSRCSQDVFAVQMTVFPLAGICIGTTLHHAVCDGSSYVHFMRTWAAIHRLEHSGGMSMAAAPLFDRSAVRDTDGLREVFLSDHRAYAAAGDKGPHDGHHTSGTTELATFRFTDKLLRWLGKQVESETSARRCSPYALACGAMWAGIVHARGSSASFGFVTGCKPRASPPIPASYFGNCLGLCRVEEKVAAKQSCLETVTASAAAIWRAIEGLAEQGRVFRDARGWVKLVREYASARAVTVAGSPKLGVYAATDLGAPWGRPRKVEIVSVERTGALALAESGRDGDGGIEVGLALPRGEMEAFRAFYGELLVVAA >cds.KYUSt_chr3.23075 pep primary_assembly:MPB_Lper_Kyuss_1697:3:142846859:142847550:-1 gene:KYUSg_chr3.23075 transcript:KYUSt_chr3.23075 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPSASLRRAASMSSHYNRRGSPWPHRGYSSRPSPPYAYAGAANDSPHGGGGGGAHAPPPQYAQGPQFQPPPPYGYGYGQPQVQPQVQSYGAVPYNYSHPQQPLPNPQYAYGNRNQNSQWHPQPYGAVPSNAGFQPPNAGFQQPNAGFMPPNAGFQQPNAGFMRPNAGFRPWGTQGRLRLAEYKREWRYVQKLPPRQAGSISIL >cds.KYUSt_chr5.34363 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217916884:217918093:-1 gene:KYUSg_chr5.34363 transcript:KYUSt_chr5.34363 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHRHFSCPPSRPRPQLLCLLTTEPRCREPPARPLEEYRGEKREIRGRLEGDGASRERDRKRSERASLAAPAASNVASRRTEEGVSLADTGGFGDIMRRHSYAEAVTSTPAFQFGVGCREDVVARNDVLASCVAELYGASSLHVVVLAGAGRPTLWSSADDDEDEDDADEEELAPRTPRAASMAIASCTMTIGREAEKSRD >cds.KYUSt_chr3.28070 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175124577:175125901:1 gene:KYUSg_chr3.28070 transcript:KYUSt_chr3.28070 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAPGTGTDGETKKEEVAMDRGKKVSFMGLFRYSDGTDLLLMLVGTVAALANGMSQPVMTVIFGDVIDAFGGATTGNVLNRVNKSIDDPPKKGIDIGNRVRCRTFILITVNPLSSESKNSKTQYDRNLIRRYPTLL >cds.KYUSt_chr1.42293 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258925761:258928778:1 gene:KYUSg_chr1.42293 transcript:KYUSt_chr1.42293 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSFLFLIILATASLVNCASQASNESCFPAEKAALLSFKAGITGDPANRLASWRQGHHDCCQWSGVTCSSRTGHVVKLDLRNKSPTFYELYAANHPKSHSLRGQVSLSLLALRRLRYLDLSMNFFLGDTMAMPGFLGSLKSLKYLNLSNMDFNGIIPPQLGNLSKLVHLDIGNEYSYNYHYSNDISWLARLRSLEHLNMACINLSRVDDWFHTVNALPNLGVLVLSYCGLNMSNAPSSLLHHNLTVLEELDLFENPFNSLAAPNWFWDVISLKSLNLALCELSGTFPDELGNLTLLENFDISDNYINGMIPGTLQNMCNLTSLDLSGNNIGGDIREVIDRIPSCSWKNLQELKLAGANISGTTLQFVSNLSSLRMLDVRNNQLSGSVPMEIGMLTNLSYIYLGSNNLSGSLPVEIGMLTKLTYLDLAINNLSGVISEDHFAGLVNLKNIDLSLNNLEFVVDSHWVPPFNLEMALFSSCYLGPKFPKWLRWQKGINILDISDTSLVGRIPNWFWTTFSKASFLNVSLNQLTGELPPSLEFMSVNVLSMQSNLLSGLIPKLSRTVEILDISRNSLNGFVPNFRAPQLKIAVLFSNSITGTIPMSICRLQKLQVLDISNNLLSKELPDCRRRELEQWIPSNNNSPRVNSTTAFSLKITTLLLSNNSLSSGFPLFLRHCGSLMFLDLAQNKFTGELPRWISEAMPSLVMLRLRSNNFSGHIPIELTALQVVRILDLSNNKFSGAIPQYLKNLKGLTGNALDSDENPFEEAYVDRDGITHIGFYSDSFSEVIKGQVLEYRETLYLMTLDLSCNSLTGKIPNEISSLTGLINLNLSSNLLSGNIPPKIGNLRSLESLDLSKNTLGGGIPQSLTNLTYLSYLNLSYNNLSGRIPSGRQLDILMADDPASMYIGNPGLCGDPVPRQCAGSPRDPPTSEDSARWSEDVLFQMDFLLGSIVGFVLGTWMVFCGLLFMKRWRYAYFGKVDQLYERLYVISVVTWRKCFMNTNGN >cds.KYUSt_chr1.6929 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42581507:42582395:-1 gene:KYUSg_chr1.6929 transcript:KYUSt_chr1.6929 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGLGGGGPGVYLLCASSASTLAFPAPPPPPCPPWLAGGEEQDRQVINPFFFRLAYRGMETSKWEQRSCPRAAAAFYRTVATTSASPSAPPILIRVAAIAAPFASWLSQPVRNFGHSRKSGCADPLVTVSDKQAQRSHGEARPVWSMETHGFATDIYVKVTLKGRSISVGLPETGKEAAKLMKKQSTYRLLSGEEDDDIDNHTFYFTNYPKN >cds.KYUSt_chr7.23120 pep primary_assembly:MPB_Lper_Kyuss_1697:7:143632291:143632617:-1 gene:KYUSg_chr7.23120 transcript:KYUSt_chr7.23120 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMGAAPPVAVDTEDAEAAVVMAWLHPRGSINHVVSQSDARPASMTSPSAPLALKRRGRSSSNAGTRANMAKEARRGRINGRTGPAISGRCRAGCDEPSHTGELAAD >cds.KYUSt_chr2.35324 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218024582:218025856:1 gene:KYUSg_chr2.35324 transcript:KYUSt_chr2.35324 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSVEVPPYFLCPISLEIMRDPVTLATGITYDRASIERWLFDAAHHATCPVTQRKLAPEDRDATPNHTLRRLIQAWCALHEVERFPTPRAPVDACRVATLVDEARGAGRRQELAALREIKAIAAESDRNKRCVEATPGAVDFLVSVVRHHCAASRSVEDLLELSLDSPTSTSPPEEDALSVIYSLKPSKKSLLRILERNNGAFLDTLLHVLRRPSYRSRTYAVLLLKAMVSVMEPARLMAVRSDVVQEVVRVVSDRVSAKAVKAALHVLCRLCPWGRNRVKAVEAGAMIVLVELLLDEGSRHSVELAVVAIDHLCGCAEGRSELVAHPAGLAVVSKKAMRVSLATTESAVRALHAVAKHSPTPAVLQEMLNVGVVAKLLLLLQVDSGERARAKAKELLTTHARVWKNSPCLQARLKAHYPS >cds.KYUSt_chr3.30035 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188021120:188022547:-1 gene:KYUSg_chr3.30035 transcript:KYUSt_chr3.30035 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKTVVLYPALGVGHLNPMVQLAKAFLRRGVAVTIAVVDPPGKDPVLEAAVARLAVACPSMTVRLLPIPPARADKPCSHGAMRMLAELRLASPVLRDLLASLPAVDALVFDMFCIDALDIGAELDIPTYMFFPSAAADLAIYLQAPDRCRAAPSSFRDMGETPLHFSGVPPVRALDMPDTMVDRESELCRTRLQQLARMPEARGVLVNSFEELEPRALKALRDGLCVPAGRSTPQIYCVGPLVDGGVSASGGSGEKHACLGWLDMQPKQSVVFLCFGSRGLFSAAQLRETARGLEESGHRFLWTVRSPREEQSRLAEPDPETFLPDGFLQRTRDRGLVLKNWAPQAEVVRHEAVGAFVTHCGWNSALEAIMAGVPMICWPLYAEQRLNKVHLVEEMRIGVAVEGYEEELVKAQEVEAKVRLVIESDQGKELRDRVAMAKEMAAHAVKEGGSSDVAFYAFLKHLEVSKLDNNKAG >cds.KYUSt_chr2.27713 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170282482:170284242:1 gene:KYUSg_chr2.27713 transcript:KYUSt_chr2.27713 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISAPPTGVANPPAKIKRPSRLKPNVPTKSTDASASVAVTWKRPMRPVPAQPRRAGKSANPFQRLAAAALDAVEDGLIAGLLERAHPLPRTADPAVQIAGNYAPVGELPPAGPEDLPVVSGRVPACLDGVYVRNGANPLHAPRGGHHLFDGDGMLHAVRLGGGRAESYACRFTETARLRQERDIGRPVFPKAIGELHGHSGLARLALFGARSLCGVVDASQGIGVANAGLVYHDGRLLAMSEDDLPYHVRVTPDGDLETVGRYDFNGQLEGAMIAHPKLDPATGELFALSYNVVSMPYLKYFYFSADGRKSPDVEIPVDAPTMIHDFAITENYAVVPDQQMVFKLQEMVLGGSPVVYDKNKTARFGVLPKRAADASELLWVEVPDCFCFHLWNAWEDDSTGEIVVIGSCMTPADAVFNESPDQEESFQSVLSEIRLDPRTGTSTRRAVLREADQVNLEAGMVNRQLLGRKTRYAYLAMAEPWPKVSGFAKVDLEAGTVEKFTYGEGRYGGEPCFVPRADGSGAEDDGHVLCFVHDERRGGTSSELLVVNASDMRAEAAVKLPGRVPYGFHGTFISATELQRQA >cds.KYUSt_chr2.7384 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46351848:46355059:1 gene:KYUSg_chr2.7384 transcript:KYUSt_chr2.7384 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYAAAKTSVWWDIENCAVPRNCDPHLIVQNISSALATAGHVGPISVSAYGDTNGIAQPVLHALSSTGVSLHHVPAGIKDASDKKILVDMLFWAIDNPPPANFLLISGDRDFSNALHKLKMKRYTILLAQPPNVSQSLTAAAKSVWRWKSLVAGEPPLAQSPYISSIPSGNKDDVDASNSPDATQNTNPQVQNTSQRDHQNGGNGKADKQFKVKQPRKNQTDSVSKPASKKEDSVDGVADSSKGSTTNQPSQPSTPSSSTSSSSEPQGGAKVNPMSKPKNPPLFQPKKPVKPSHSHQNSAPHDYFGSKKSGVSTESAPKTGAPDYGIGSGGLHPKHQNQSSQLPNPQNPVTSHPHSGPGKFNASNLHRTSSCPPQAGQNGVPTAPLQSWPSAPPYHAPPVNYPDMSQLNIAGYPIGGHNNQGLNMNYHPNQSGVAQPPYNSYGYIPPTPPNVSSNMQNAGQWGVNTGCPQPSSDSQILIKNIITALEVLKTEKLPPTEQYISDCIRYGGANLPSFDVKKALEVAIQHQAIVTKKLGHMSFFLGKNENLWKCVNVLDTNAHYPKETLDAVHKYISSAGGCSALKNSQSRYQAATLLKNACLKRLSLGEVIQLSYTITDKMKWFVPHPSGWQPLSMNIIVVDATRGAKGKP >cds.KYUSt_chr5.7829 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49366734:49367204:-1 gene:KYUSg_chr5.7829 transcript:KYUSt_chr5.7829 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSPGGRLSGSDGDSAGTFAAGDNRREKRRLSNRESARRSRLRKQQHLDELVQEVARLKAENARVLGRANDIAGQFVRVDQENTVLRARAAELGDRLRSVNQVLRVVEEFSGVAMDIQEECPPDDPLLRPWQIPYPATAMPIAATATHMLQY >cds.KYUSt_chr7.32321 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201357158:201358211:1 gene:KYUSg_chr7.32321 transcript:KYUSt_chr7.32321 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHRRELGASTKVELQFFLSDLPVNDFNHLFLSLRGFKESIAVAHEGETLPPFYITGLPGSSYKRLFPDKSFLDGLEGNPNEGNIYIAKNTPPYVVKLYQELFQKDFLLFLKLRYEELVFGGQMLLVFLGRKNEDAYSGELNLVYGLLAKSIQFLVEEIELFEHNWDPYDYSKSDHVDDPLQSGMNVAKCLRAVMEPLFGRHFGESVLDALFDKYAHNVAEHLEREKTKYSIIVLSLKKG >cds.KYUSt_chr7.13365 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82392499:82393805:-1 gene:KYUSg_chr7.13365 transcript:KYUSt_chr7.13365 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPADRSPPCEEGRTSPRVRSSSTPPSGTAVEGLTDDLLVEVLSRVPARSLCRFKCVSNHWLSLIDHPDHRKKLPQALAGFLHSSTYTYEWRLQAPIHFTSFPGRRCPPVDTFLHNNGRVDLLDCCNGLLLCRRYDISSEGDRFSYVVCNPATTKWTVLPGSGKATKEVSAVRLGFDPALSSHFHVFELVMDPVVYWDRVVVGAAVYSSETRRWAYKEKRWNACIRLLKDELVSVFLNGYLHFQADCSEVSPCLAVLDTEGETWMDFAVPDDGVTDGFIQRSQGRLNYANFQWYEDGDVTRLLVYVLDSYESKEWILKHSVETSCLFGGIEYCLVREIDYIMSGGFDWIAIDPECNLLFLTVGWGNKFMCYSMDRRQVKMISKLVDGKPPYIPYVPLYAELQSLLN >cds.KYUSt_chr6.30899 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195820594:195824385:-1 gene:KYUSg_chr6.30899 transcript:KYUSt_chr6.30899 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDDSIWSANEMPEELNHAVYLSYEDLPSCIKQCLLYYSLLPKTALFYKHDIIGMWIGEGFVHETSDNLEELGSKYYKELIVRNLIEPNAKYLDQIVCSMHDVVRSFAQFVSRDEALAAHSGETNIRSKISAYKFLRLSLENKSWESDGLDWSSLQTQTMLRTLILVGNINMKSGDLLAKFSSLRTLYADSTGVGELAEFLHQFKHLRYLCLENSDIASLPDNLGQLKFLQFISLCGSKQFVELPHSIVQLSQLRYLNFHGTSICGIPKGFCVLTNLRIVFGFPAVEDGDWCSLEELGPLYQLTDIGLQGLENVTAPSSAAKAKLGEKVHLTTIRLRWHSRLGDDGKIKEEDSVSEEEQEKTEKVFDELCPPPNIDFLIIRGYFGRRLPTWMMSSTVVSLKTLRSLMIEDLACCTLLPDGLCQLQYLEFIQIKGAPSIKRVGLEFLQSNHHQSMPPSHVPVAFPKVHTMNLTKMVEWEEWEWEEQVQAFPVLRELLLKHCKLTSLPPGLSSQAKALNTLMIHFVQGLTYVASFASVVRMEVGPLPDLVRITNLPRLQILRIIYCPKLKVLEGVPALQTLMIDDKDMETLPKYMRGISPMCLELYCSLALLYSIAAGPSGPEWDKFSHIEHVKVYAREGDMVKKWYVLYTANPYNLETNVSRSFMSAGTLSCFEDAQRFESVFKMTRKTFDYISSLVFGPSLEDMNSYTFVDGRVLCLEDRVAVALIRLYSSGPSESLGSSVGVSESTVLLVAEKFVDAVLKRAAHHLRWPDSSKMDKIKSTFGKIHNLHNCCGIICTTHIPFGPNCDHGENGRILMQAVIDPKMRFMSLALNSRDSITQLSILHESPLFKECEKGGQLNDSKLKVASYGSEVGEYLIGDAGYPLLPWLLTPYQEENLSDPKAEFNRRHFAATACARKALAMLQEKWKCLQKDVWWPENLQTRYKMIDACCRLHNIVMDMEDDEGMPSAKGWNYHQQVRLVAKEDAVRARDMLSQYFLTRRSFESGGELTRLSLNLSLFV >cds.KYUSt_chr7.23975 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149368087:149371792:-1 gene:KYUSg_chr7.23975 transcript:KYUSt_chr7.23975 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAFEAPGGTAVAGQAPPPEDEDRISHLPDAVLGDIISLLPTKEGARTQILATRWRRLWRSSSAPLNLDCNALRRPAGSSDIFESAVSSILSAHPGPGRRLCLDETRRPYPRSDEALMDSWLSSPALDNLQHLELRHDYTSSYPSYNQPPPPPLRAAAFRFARTLRVATFGSCALPSQPPHFPNLKLLTLEKASISSDCSLHNMISQCPTLECLLLHDVYGIPCARISSQSLKSIGVRFGWFRASSDHELEELVIENVPSLEKLLNLNCIHGFHVDSMAARICTVKTLAVNMGNQLSVKKAIAMLRCFPCLEKLYIKPLNMEEKGCGISVQ >cds.KYUSt_chr4.27801 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174659636:174659941:-1 gene:KYUSg_chr4.27801 transcript:KYUSt_chr4.27801 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQQGEQCGRPAGSVLVWVVTVLLLLAVLGGGGCLVAYVVLPPAESPAWIPAVGLSLVALPWAFWLATCVYRCVTTRSADRAVAPAGGGSIVSRNSPGL >cds.KYUSt_chr7.4217 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25120721:25122790:1 gene:KYUSg_chr7.4217 transcript:KYUSt_chr7.4217 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSKKPSSTTVSSSLNDRAAAAACVQAGDSGLVLTTDPKPRLRWTVELHDRFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKEFGDHHSVKEAMEMQRNAASSSGMMGRNMNDRSTHMNEALRMQMEVQRRLHEQLEVQKHLQMRVEAQGKYMQSILEKAYQTLASSDCATWPAAAGYRSLGGTQASAVLDVGGSMSFQDLTLYGGTSSHLDLQHHMEIRPTMAMDSFLAFNESCIGAADRSCSTGKTPMMWGDGDEQAKSGDDLLQMAPSSSMMMDAAGGSDGGMDPIMSLSGDSLGSKGFDGPISSKLEMRASPQQVGSERNLSYG >cds.KYUSt_chr4.42141 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260661574:260664481:1 gene:KYUSg_chr4.42141 transcript:KYUSt_chr4.42141 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCSRRRERPAAGGLLASWLLIAVLVHSSFLGSTFLLVVDAARTSVFTAMAPLPMAAAPSPLGLKDGKREVPTAAQPHRCMLHAARFITAVVVGNSAGQQPSSTRPRRRDKSDDHGGLMCKATQPNLRQKEAALQLGDGAFCNFRRSNSAANHGLPCWPGTPSEKSCASGFGHLQLRFRAQRQPPATPPLPRPPAASSTCSAATAGDIASASATCSLFRRHRLCLGPMLDRLGRAALLNLHGCGSSWPKIDQLRHDGPHRRRPEP >cds.KYUSt_chr4.20298 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127644020:127644997:-1 gene:KYUSg_chr4.20298 transcript:KYUSt_chr4.20298 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIMRLTLRVVDYVSDATRRADGTVNRRVLSLLDPRVPAFSSPCRGVASRDVVIDPAIRLRARLFHPAARPADSAAPLPVIVFFHGGGFAFLSNASLAYDAVCRRIARYASAAVLSVDYRRAPEHRFPAPYDDGIATLRFLDDPKNHPADVPLDASRCFLAGDSAGGNIAHHVARRYVADVATFKHVRLAGLIAVQPFFGGEERTPAELRLHGAPIVSVPRTDWMWRAFLPHGADRSHEAACCATPEAAAGVDSPAFPPVLLVIGGYDPLQDWQRRYCEMLMGSGKDVTVLEYPDGIHAFFLFPMFDDARDLMTRIAEFVGGGQ >cds.KYUSt_contig_915.423 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:2778004:2781710:-1 gene:KYUSg_contig_915.423 transcript:KYUSt_contig_915.423 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLVLLAAVAARASDDPFLSGAQANHSYNIDCGGAADFTSNFGRPWLADRYFSPGGAAGMVAEPHRFPSPQERTLRFFPPSSAGKSSCYSLPLPPGRYYLRVFSVYDNYDSKLRSPSFDVSAAATLVLSFRSPWPETAARYGAYSDLIFPAHSTPSNSSTTDVCFYSLSTDAPAVGSIEVAPVHPLAYDGAATGAGVVLVNYGRLTCGNGLFGPGFTDDRDAFSRVWQAGTDFRNDALTYDAVTAGGRKIFGADQPPNYFPAKMYASAVTTAGDPSSAEIEYLMPVDTRLSYMLWLHFAEVDAAVGAAGQRVFDVMLAGRNVTRIDIFKQVGGFTAFKWTHVVENLTSSTMSVRLVPVVGRPILCGLENYAMVPLETRTVPNQAAAMKALKDSLKIPARMGWNGDPCAPRTWDAWEGVTCHHGDKGLVITQLDLGSQGLKGFIADEISHLTDLVTVGLQWFNKTWASQFIEFRSFAYEVV >cds.KYUSt_chr3.33041 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207455773:207464053:1 gene:KYUSg_chr3.33041 transcript:KYUSt_chr3.33041 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDDDDAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSRGGVPIPPPPRGHALDVAIEEARMTMTDEERADPRHHPDNYTRWNSYFLRRWERELAAYDGPPPPPPRNNAAGRRRWWSAPERTLANVLAHIEGGNFLVLTMPPASRASASRRRGNVWQPRRMAASSSSSGSAPRPSLAPVKKEATSLSTPARVKKEPASPPPTRGRSSGALIIRDQPSSPQRGRKRKAAKKEDAAANKIAEEEAKRAEDAAVAEAIARSLKDLVPADNALPEDAARSGRGATGSARRRSEQRRRWTRPPHANSPPAPLNRCRRRRALPPSLRHLHPASPSPSSTSRPRTMNDFPFTCHCIVCSVNGGVAPLTRSRRAAVAKDDFERTAASNSWHRMRMDGCRCTAREEAGHPRQQQSARPCPRLIFFMDNGRHSLSLASDEEATAARPDIGLRRAREEEATVALYTVGCCLAQPPSFSSASWPPQQQLEPADGAGTADPVTLRCAAGRELQPAAKDEGTSAVDCLLVAPFSSCTAATAGDRPPMTAMA >cds.KYUSt_chr1.9107 pep primary_assembly:MPB_Lper_Kyuss_1697:1:55786408:55786947:1 gene:KYUSg_chr1.9107 transcript:KYUSt_chr1.9107 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADLVRSVVLHPFSCHHGDGGRRGGMIDAVGSARWGRSAASTQWSTSSATLRRRPPVVLPSTSMAEWRPLRALASSADLRILRWRHLINNLLAGVPTWRPFNSGSVALFGGCIPSGLVPGDAAAGRNWRFRREEGGKGPDCFSGFSLRVLVVKEEGLSVALYFLEILFVNCIATDEI >cds.KYUSt_chr6.31692 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200294843:200296159:1 gene:KYUSg_chr6.31692 transcript:KYUSt_chr6.31692 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDDVRIKGMTPQKMKTFDPSSPSACDGLSDATAASVEVAEAEEGWEHVGWGCRSDQGSSLEPSREGFERSLAFKRWARGRCFRCLERGHQVSACRDYFRCIRCRRPGHRERFCRARSPAARDRSPVARAPCQKSCTPSVQPCQPALTRSWAEVVGCPSLRVMVPPRSPSGCCKGSNANIGLDPALQSQFALLRTEILQLVAVRIEEVARPLREEAAKFKLLLACVTESMGHADLFASCESYEQEPSVVVDDDVVDVMASKVDDGAINGKAHGEADLVGEECFFGCLSPRVSPSPQHDVSVAPECEGNDGIMPVIQIMPDLQELCEDTSPPLSMVHHQADSLVISEVASAPSPVEASRCSDKVMEADVLAPNSDALFAIELCDLLARLEAASPGSSKEIARLLEEKSSRGKIQKVKDYLGSKSKKNGATRKESAVG >cds.KYUSt_chr2.5122 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31748227:31749470:-1 gene:KYUSg_chr2.5122 transcript:KYUSt_chr2.5122 gene_biotype:protein_coding transcript_biotype:protein_coding MACALLPAGAAAALSSPRWSAAASTKGHPNQVGCMNHLHQLHLKLPLAAESHGSRSLVGCCITSRLSAEAATSSRSADASSSGKDVSMGDSVGTVSGAPIVISGYWIGPDMDDGCGHIQAILQRIS >cds.KYUSt_chr4.22548 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141790247:141792051:-1 gene:KYUSg_chr4.22548 transcript:KYUSt_chr4.22548 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAGMARRPALHRWRRTATPHALAPFLHSIGGAAPALSLRAGRCAVRRQLALHRRRCSSAADWDGERREERRKRIGWVRLEGGWRIRFRRTFGADKMAEWENLCRIFDLHPFAEGEDQVIWALDPSGVYSTHSMYLRLSQGVALTYFKEVWHTRVSPRIRVFLWQMIREKLPLAEQVAKRQGPSDGSCSMCGMLEDCDHILFKCHLARFMWEGVRKLLPCNWNPTGVSVS >cds.KYUSt_chr7.30633 pep primary_assembly:MPB_Lper_Kyuss_1697:7:190627171:190632994:-1 gene:KYUSg_chr7.30633 transcript:KYUSt_chr7.30633 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFLAVPNFYNLLECGEMHTITIGYDNKDPNKEKLNKLIREIRKIGARRTDTKIMSISTMVINGDSEMGALLRRQQELVTQLRALILPALHQADGRSAELAINLLDEVTDCIAGIISRLQLCGLPVGASKAGGPAVASNLDDTTINNVGQKRRRNNIHRRYSRSIVTISPHYDGHYWRIYGQKRINGRQHARSYYRCAYKERNCSASKTIQQQDPDGTLKNDEETAKYNVVYYGHHSCMLDDNSGSGATKEDRSDLIPSGQMAGGATYFQEFDHGDLDVPALLQVLDDSQLDWDILC >cds.KYUSt_chr6.24153 pep primary_assembly:MPB_Lper_Kyuss_1697:6:152598927:152599184:1 gene:KYUSg_chr6.24153 transcript:KYUSt_chr6.24153 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSRAALSLESAQDLHFRLATHPRATTNSMAATPLPWERKSHWIPTSPTTMTVALRLRSAMLFDPHHHASRLSFAGVRILLMGA >cds.KYUSt_scaffold_869.1969 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:12291038:12292765:-1 gene:KYUSg_scaffold_869.1969 transcript:KYUSt_scaffold_869.1969 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAVSRIPSSTLTSLLPRALNPHVAVVDLVATHLTAATEPQAAKPLDLSRLLPYLGQEELTAVVLRAGHSHPLPTLRFLVALPPPLQPSPPHLAFLAHSLATTRFFSHALDALSHLLRLHPSHDALPTLLLSYQTAPHPSLPGLLVKALLRHARLHDAFQAALRAAASGFPPDTVAFNALLAALSRAGRFGHLWTARAAMARAHVRPNVHTFNILVAALCRGQDAERAQGFLEELEEQGFEPDVVTYNTLLAGYCRRGRLKDALHLFDVMPPRGVQPDLVSHTVLVDGLCRAGRLSDARRMFDRMMHGGLSPDAVAYSVLIAGYCNQGRVKEARLLLMQMVGTGLSSQGFALRAVIESHLKVGRLLTCLNMLAPLRKYGVVIPLESYNCLVRVLCEDMRPHAARGLFQWMVEDGHSPSLDMYNMIVDCFCQCDCVDEALDVKAEMSSRQVKPDFHTYRALVTCLCGLRRSLDGKNVMAEMIESGHLPNETICAALVSGFCREGSLNKAELILKAFVLDFQVHCNESYNTLMKAYCATRSTKESVQLQNRMLDLGFVPSSETCRSIIYGLSRSIG >cds.KYUSt_chr7.6435 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38841248:38843487:-1 gene:KYUSg_chr7.6435 transcript:KYUSt_chr7.6435 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWREELVALSLQGHGHGADGDDDRPEKPRRYGVTEMRSPDYSFRPAHLALQEILESVGPFVDGLKFSGGSHSLMGKELIREITDLAHKHEMYVSTGDWAEHLLRQGPSSFKQYVEECKELGFDTIELNAGSLKLPEEAILRLVRLIKSSGLRAKPLFSVKFDSSDIPASGDRAFGAYIAPVKQSSERVEDVDLLIRRAERCLEAGADMIMIDADDVCQHTDSLRADIVAKIVGRLGLEKTMFETSNANTSEWFVKRYGPRVNLFADHSEVMNLERLRGFDTRRGSRPLLTSPFFLVRRPF >cds.KYUSt_chr6.17777 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111780854:111786894:-1 gene:KYUSg_chr6.17777 transcript:KYUSt_chr6.17777 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWFIPAYFKKTQASKLGDAQDIPFFINNLSDLATASSRHHLLDTKTSSVARSGRLLAVAPNGTFNRRILCAVNSATSCSVELAFTPEPKPYWISIEEEEGPPSWDPVPDPWSCLPHPSKVDKSRASWNPALEKSLVELLHEHNTTYHRSQNGWTTETWNLMVSTFHERHPHVKFTKSQVQDKEKDLKRDYRILKEARKQSGVGWNEAKGMLQADPHLWVNLATSLGDRIKKFKRKAFPLYDSLGELYNGQLAEGKLCFTSTAGPSMEIEDVESDDDYEVHGDKCYDEDLQIIDDEQMERNTSHVAAVERNKSHVAAVERNKSQVTAVERNKSRVADVERNMSRVAAVERNMSHVDAVERNTSQVAAVERNTSQVIAVERNKSNVGGSRVNRKNSPKKRSTDGLVGVMERLVQIKEKEARKEVAQEFTITRCMEALKTLEGVTPDEKITALEVFENAHNREFFVNLVDDKDGTAILWFRRQLARLT >cds.KYUSt_chr1.31282 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189783787:189786560:1 gene:KYUSg_chr1.31282 transcript:KYUSt_chr1.31282 gene_biotype:protein_coding transcript_biotype:protein_coding MQEREEQVHHLGDLLRGGEQGASDGPPRGRRDGGLALEPCVDVAHEGVDGAAELRAGGGEAEHGAAKRSGAGMVTLRPRSGPPRAVLEVEQMGALGAVLGQRWRSSTGQLWGGSGAERGDHGGGASQLMDLRSYSFTDVQKCSVSSAAGCH >cds.KYUSt_chr5.41475 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261653111:261655356:-1 gene:KYUSg_chr5.41475 transcript:KYUSt_chr5.41475 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSPAWKSPPSRGPSYESAAHVRGPTSGRLAVASGAGLVYAARRLEHLLSSPAAHSGYLTWDATRSYELLRARSHSRNAWPLWYTADGRRFEVPLVFLSTTIIEKLLRMSQDEFGFTSDGRIRLTCDAAVMEYVMCLLRRNASEEVETAFLSSVVRPCHHGNGLELSMGVSQQIAVSSF >cds.KYUSt_chr4.35338 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216974945:216976949:-1 gene:KYUSg_chr4.35338 transcript:KYUSt_chr4.35338 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGWSPVVAGSLGGEVESGCGGQLQLDDARTRLGWGEGAKPGLVTSDLAHTRLSLLSNEGILVAGVIIIIANERGP >cds.KYUSt_chr6.19064 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119961746:119966513:-1 gene:KYUSg_chr6.19064 transcript:KYUSt_chr6.19064 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGGSSPPSERISSSSLSDLKDLEISSESGCLSIVVLGASGDLAKKKTFPALFNLFQQGFLQAGEVHIFGYARSNISDDGLRERIRGYLKGASEEPLSQFLQLIKYVSGSYDSGEGFELLNKTISENETSKDSRRLFYLALPPSVYPSVCKMIRSYCMTPSSHGGWTRVIVEKPFGKDLDSAEELSTQLGELFSEEQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNVQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLSPEHIRDEKVKVLQSVTSIKHDEVVLGQYDGYKDDPTVPNESNTPTFASVVLRVHNERWEGVPFILKAGKALGSKKAEIRVQFKDAPGDIFKCKKQGRNEFVIRLQPLESMYMKLTVKKPGLEMATEQSELDLSYGQRYQDTKIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHDIDAGKLKALPYEPGSRGPPEADELSKRMGYMQTLGYVWVPPTLAK >cds.KYUSt_chr3.13314 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80162566:80164848:-1 gene:KYUSg_chr3.13314 transcript:KYUSt_chr3.13314 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRLSVIVAVLACCCCLVQVSQCGGNSKQNYTSMFSFGDSLTDTGNLLASSQLPFTTVGRFPYGMTYFHRPTGRCSDGRLVVDFLAQAFGLPLLQPYLSRGKDVRQGVNFAVGGATAMDPPFFEGIGASDKLWTNLSLSVQLDWFEKLKPSLCDSPKNCKEYFSKSLFLVGEIGGNDYNYAFFKGKTLDDAKSYVPTVASAVIDATERLIKAGAMHLVVPGNLPMGCSSAYLTLHPGRNSSDYDSVGCLKTYNDFTQRHNAMVQQKLQVLRLKYPHARIMYADYYGAAMSFARNPKKFGFKHGPLKTCCGGGGPYNFNPVASCGVRGSSVCADPSAYANWDGVHLTEAAYRAIADSILHGPYTSPRLL >cds.KYUSt_chr2.4344 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26783130:26783723:-1 gene:KYUSg_chr2.4344 transcript:KYUSt_chr2.4344 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGMREDDGRRERLEQRLQRIEDSRCRAHRSFCRHHLLVGFVPPPLLSSGASTPLRSSHSRSSMRPAGLAAGHRSPPPLPRRPNSAGCCLLPTAPVPSPNAVKVRGSEEVRWWQVGARVVGRVSAGHCPTHRNGNRRRHRHHCQVSTGTLDLHQSTTSSSSSAILDGGQESSAEHHRKLQPTRGCAMASLGTAV >cds.KYUSt_chr2.18217 pep primary_assembly:MPB_Lper_Kyuss_1697:2:114850708:114851010:1 gene:KYUSg_chr2.18217 transcript:KYUSt_chr2.18217 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLIALKWEEDRDAFGGEETDGICLSYDVINAVVELQERMRIAIKAIQFHGSATGRLEKKRPRVKLQQEHGVSEDRGCRDFSPWTDIKDRIRGRKNKS >cds.KYUSt_chr2.52787 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329348668:329352665:-1 gene:KYUSg_chr2.52787 transcript:KYUSt_chr2.52787 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRNRSLKRLMSMGRRSNPDDDCGVVLADAADSPPPPPPATTPGVCSGRWMEQRTLSYLGSRSVRRLLSLGRRSSADDDCVVGVVDADPFTPPPTKPTWRCFSYEEVDRATNGFHPDNVVGRGGYGEVYCGILDDGRAVAVKRLAASAAVAADEKKEKDFLTELGTVGHVRHPNVSSLLGCCVDRGLHLVFEFSTRGSVSANLHDEKRPAMSWRQRHGVAVGTARGLRYLHKGCARRIIHRDIKASNILLDADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHMSLLAWAKPYLNDGVVQGLVDPRLGDGYDAAQLRRLMFVASLCARPAAAWRPTMTEVLELLESGEISQERWQMPEEAVEDEFWDFDDLTDFEDDDDDYDGESDSPSIPSSACSIHAND >cds.KYUSt_chr7.615 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3408282:3409545:-1 gene:KYUSg_chr7.615 transcript:KYUSt_chr7.615 gene_biotype:protein_coding transcript_biotype:protein_coding MARISCLLLAAVVVATTAAAAAAGGGGSVLDKPISALRPDVVVDKAGGGGAVTTVGEALQRLERRVKGAAEYFIIYIKQGIYDEHLVIEAPKVVLIGDGMEKTIITGSLCNKTGQTLHESATLTATEDAHGFMARDIGIHNTAGAADSKQAVALFSDSNHSVFYRCDIKGFQDTLLANQHLHSSTATATSPARSTSSLGMRRPCSKTATSNLAVRRTPEATTSEGAKPTPPSGQDSHIYYGEYKNHGGGASVAHRVDWDGFHIIQSDEEAKQFTARKFIHGGDWLPETGVDYYLGLN >cds.KYUSt_chr1.34254 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208436420:208436722:-1 gene:KYUSg_chr1.34254 transcript:KYUSt_chr1.34254 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGTRGVLLSLLVLAALAVPAVRAVESHWERNGHRRRAAPVPAVAPSSSSTAPAPSPKVGVTSPSPAPSPAEDNKNAAAAFSPLAWPALLACAAVLMF >cds.KYUSt_chr4.26313 pep primary_assembly:MPB_Lper_Kyuss_1697:4:165393486:165396456:-1 gene:KYUSg_chr4.26313 transcript:KYUSt_chr4.26313 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFRQLWVHRTFTATRMPFSCRVFFGERCPEAEVFWVVGALAGGDARGVSRAVLVLGDGPWNAGPGVYARSGESCSAFRWFGALRTRVRRARDELLLRRHARLLLQSLRASHLLLRDCLQVGVVAGSVPRHCHNHLVARHDVLLQLAVLAIDPCVVPDHPRDLHQVGAHRREFAPPPSEPADDARLRRLSNDASNIAHILVVGEEALSSSSSSSMAWMTTVGCAAAITTLAAAISALAFASSPCRWDMGVAADSASAAASALRNLRHHRPCLHRRVHF >cds.KYUSt_chr2.53236 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332087972:332088460:1 gene:KYUSg_chr2.53236 transcript:KYUSt_chr2.53236 gene_biotype:protein_coding transcript_biotype:protein_coding MNHASSASASTFRSHLSSPTSTTLRLRRSSFLISSVLEPAVAPIVASKNWAANWPRTAVPHLRALLRARHVGGGRGPGPAQAPRATPPPGQVLDNVLETALHFLATPRDWSAASLVCRSWHHAESATRVCLSVRNILAASLKRITVTDDHLVLHKEPVGPAR >cds.KYUSt_chr3.17597 pep primary_assembly:MPB_Lper_Kyuss_1697:3:107877096:107885905:-1 gene:KYUSg_chr3.17597 transcript:KYUSt_chr3.17597 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNIKYFEEKVLAGEWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRAKAVDILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCSPPNGARTSPVSVPLAAVPKAGAAYPPLVGHPPFQPPPAGPSLAGWMTNAAVSSSIQSAGVAASSMPVPPNQAVSMMKRPTITDYQSAESEQLMKRLRPSGHGIDEATYPAPIPQPSWSLDDLPKTVACTLSQGSNVTSMDFHPSRHTLLLVGSANGEITLWEIGLRERLVSKPFKIWDMQACSPQFQSAMAKDSSMSINRVTWSPDGDLMGVAFTKHLIHLHAYQQPNETRQVLEIEAHSGGVNDIAFSRPNKQLCVVTCGDDKLIKVWDMHGQKIFSFEGHDAPVYSICPHHKETIQFIFSTSLDGKIKAWLYDNMGSRVDYEAPGKWCTTMLYSADGTRLFSCGTSKDGDSHLVEWNESEGSIKRTYSGFHKKPSGVVQGVVQFDTAQNHILAVGEDNQIKFWDVDNTNMLTCIEADGGLPGFPRLRFNKEGNLLAVTTVDNGFKILANSDGLRSLRAFGNRPFEAFRSPYEASAMKVSGAPVVAGISPNIGRMDHLDRNSPAKPSPMLNGGDLASRSIDIKPRISEEKPDKAKPWELMEVLNPQQFRVATMPETPDQATKVVRLLYTNSGVGLLALGSNAIQRLWKWNRNEQNPSGKATASVVPQHWQPNSGLVMANDTGEANPEESVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQKRITGLAFSNSLHILVSSGADAQLCVWANDTWEKKKTVAIQMPAGKTPSGDTRVQFNSDQSRLLVVHETQLAIYDASKMERIYQWIPQGTLSAPISHASYSCNSQLVFAAFTDGNVAVFDADNLRLRCRIASSAYMPSTAINSFGSMEEYFIWVDWLKEYSTLYDIISRFEEHDVTHERVFNMWDNEVQDIVGLSDGSVKVMEPLESEGKWGTPAPVENGVANGRTSASSATSNQATDQNKR >cds.KYUSt_chr2.15458 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97382993:97386067:1 gene:KYUSg_chr2.15458 transcript:KYUSt_chr2.15458 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAQFIAVILLHLCIASSLQFDPLRLSEEEHDYLRFADVRRHCQSVLSSATKLPYNTNRPNNMKNQLSFEKGDWGQDAGKAPLVPFDGGEAPKKGAQRLPDPLSLATFVLTHVEGDSEDRARAAAVNVSGVLVLTVAWKNEVPDLGPHISVVSPGFNLSAGSTRLQIIFEGVYTETAKGSNGDDAGERVLCMVGRAVLPKRSTHGVDPWDWAKNSGRRSFQPPVTADNNILLVLRYPKKLTLTTRAVFGKMESTSSVSADSYFDTVQLISALMSCCPYHFRPEELAAGVGDALSAGGADNVVSNRARDAYKATYPCEALNRHAFSGQDITVLPGWHCNSTAGASCHGIGPFEMDRAEDADVLAGVRIIMQDLQCMEQQGYGMGGAAGTAMVSVVFRALSPWEDTGTAMSRSGLSGKTLSAEGVWNASTGQACMMACRGTVGRKACDFRVCMFFLTTMSITRLDTMLGQINAVDAGGQVVHPPLLSFRQRMSTPRLWGFNPDVVPYKYNYTKLDLAVELRQRSGSPFDLRKIVPQSLPLSYPKRSPSSFSLARMLTLFFLTVPGMFRQEWSEQPVLHLEVFFVGQLINPYTPSDASSGIQRNETGSQGHPLLLNVSAELKLFQGSRPRNPVMSLEGVYSQDDGRMHMVGCRDVRLPRRNSSATASSSRDLQLEEGMDCSIEVKIEYPPTTMHRFIMSTAKVQIASTRMAADSLHFDTLKIRALPVNYPQQQPGGFYRGVVNGVLCIVLLSATISALLTQLRYLKSHADVAPYISLVMLGVQALGYGMPLVTGVEAILARVTLGDGATAPSSGRTPYYMFDWGRLCESIDQAVKILSLCALVLTLRLGQKVRRSREHLAARSPLDPARVPSDGKVFVYHCGIHLVLLVLILALNRGAVTVEQQVALMQDLFLLPQVMGNAVWRVNCRPLKGSFYVGVTAVRLLPHVYDYVRPSAAFAGAHYSGMQDFMGAASASGGRFFVKAGDVVIPLAAVALALVLHAQQRWNYAIVSRMGSPEQKKLQHIF >cds.KYUSt_chr7.23464 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146134594:146137993:-1 gene:KYUSg_chr7.23464 transcript:KYUSt_chr7.23464 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLLLLSFCAALAVLLPSAAAFGTADGSEEWGYVEVRPKAHMFWWLYRSPHRVDNGSTPWPTVLWLQGGPGASGAGYGNFMEIGPLDTDLKPRATTWLNKADLLFVDNPVGTGFSYVEGGDKSLLAQTDAKAASDLTALLVKLYRDNKRLQGSPLYIVAESYGGKFAVTTALVALKAIANGQLNAKLGGVALGDSWISPEDSVLSWGPLLYEMSRIDESGLQQCNSIAQKIKAQLRANQYADAEKSWEDLESAVLEQSNSVDFYNLLKDESPSDAAALTLAGGKRIRKEGYTSYLSNKATREGGLDGLMDTDIKAKLGIIPKNFTWGQQSGDVFEALEGDFMKPRIHEVDELLKLGVNVTIYSGQLDLICATKGTLDWVQKLRWDGLKQFNGSPRKPIYCKGGEAVGTQGFVRSYKNLKFYWILGAGHMIPIDNPCPALKMLADVTQSPAH >cds.KYUSt_chr1.38398 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234578227:234578913:1 gene:KYUSg_chr1.38398 transcript:KYUSt_chr1.38398 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGVDLPSQFFFSHQEMPGTFDDLLSSSSSAATCSHTHTCNPPGPSAAMHTHTCLHTHTQVFDAGSDDDNDAARDDPARPRRPLGNREAVRKYREKKKAHAAFLEEEVKKLRAANQQLLRRLQGHATLEAEVVRLRSLLFDVRAKIDAEVGAFPFQKQCCVGSVVCTDPTLCFNGSSDEVGGVWEESSGLAAADCRFDEDGDGGASREINVSEAVRSMDVVELCFPG >cds.KYUSt_chr3.17694 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108477153:108481464:1 gene:KYUSg_chr3.17694 transcript:KYUSt_chr3.17694 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVKREFGSVAASHPAPYATRGPPDADRKPVAASATAALGSPHPPAAAASPTPHKIQLKSADMKDEMQKEAFEISRLAFEKHAMEKDIAEYIKKEFDKNHGPTWHCIVGRNFGSYVTHETNYFVYFYIDSKAVLLFKSG >cds.KYUSt_chr4.7534 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44877741:44879007:1 gene:KYUSg_chr4.7534 transcript:KYUSt_chr4.7534 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLPNGQLQVKYYCDYKLEAEISERLFPGKTSRPKVSEIGKMLEEYQEADDTFRELWLLFLVSTVVAPTTDLWYFDALNTNHLELMLGDERFAINAWSSLQIDAVGAMDAQEYDSTIFGVLELKDEHKEDIAREMGLFGGPNGFEAWMNLNTHPSCKQKQRIKAYTLMQTFA >cds.KYUSt_chr4.11512 pep primary_assembly:MPB_Lper_Kyuss_1697:4:70002926:70007883:1 gene:KYUSg_chr4.11512 transcript:KYUSt_chr4.11512 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHDVWTRERAEELAPPSPTVTREQQRRRRELEQRLLIAERDERLRLEWARQFKEDVAAMEAFYAPNEEQKTAAAKKKAGREKRRAESAARKAARAEKAARRAEEKKNSAGPSTIVLSSSSSSSSSSSSSSSSFEWTSTPVSNTTPASESTHSLGCGPRDIEGMRSWDSVTLSPLPFCFPPCPSNLSPPPPAVKLRSIFRFKSDRALQARSNTRRIDVGLSEGSSSIAVSELRRIGVFRQLDMYSGQQSDQGPVANSGKEFLEGNWGSVAMHQNMGYSSGTYGFQACSMEFEEKPGLYRTSTGAFCQNIQMSDEHSGGVKKRKGTDDCIALLNPSASSSMQPERNSVEEDNRKISSRTQSKEGSSDGDGTKDYVHMRAKRGQATNSHSLAERMRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLPQDRHLAFYGAGPGSNTLTAHFNQGIMQPDMMCNASNPVGVLHGGFHDISTMHQMPEMWEALQNIPHMNFNPAAATDSSTNNAGSMKIEQ >cds.KYUSt_chr1.40592 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248907146:248907412:1 gene:KYUSg_chr1.40592 transcript:KYUSt_chr1.40592 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSSRSNSPSSKTVWSPKENKMFEDALAYYGLSSPNLWDKVASAMGGCKSAEEVRCHFQDLEDDVKLIEAGRVGYPKYKTQGFWT >cds.KYUSt_chr5.38079 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240542556:240548151:-1 gene:KYUSg_chr5.38079 transcript:KYUSt_chr5.38079 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRALRLSKLWLLPAAPSRFLRPLAAARSLLPAARGPSPARGFATQPAASSLRDNSPNWTNRPPKETILLDGCDFEHWLVVMDPPPGNPANPDVPREEIIDTYIKTLAQIVGRWPRLIVGAATLPARHLLVGGSWVLLLVSVLSLFVVLLLLFLGLLLGPFVLLLVLLLLVVLAGRRGGNRRCWTMQLYPTNGAIQAASPRCRCPMAKRDRSWLTEDGEPFIDGQAVAYDPKYHEEWVRNDKRAGERIRRNDRPRSFDSNRPRGFDRRSGPPPPPPPVPNQQMPPRDAPPMRPAQGNFQPQAPLNQQPGYGPGVGPNYPNAPHPGYQPGNQGNPGGNMQSGPGPAYQGNNPGYQSGGPGNPPPPPFPGSNQPPLYQGGGRGYASGAPEQQGQAGNTGYQGSGNYNNNAPLPAYEGRDGPGRHYQ >cds.KYUSt_contig_1790.171 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:916115:917452:1 gene:KYUSg_contig_1790.171 transcript:KYUSt_contig_1790.171 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAERVPFKRLGIINDGEMLIEVAITKFAAIFQGKLPDITVVALRALFRLDRDLATAIEDTLIAQGGAAALDQPEAGPVTKDGDVTAQGVSLRGAILFACLLFCFLGLLGLPMDDDDIRIAIWNVRGLNDHDRGETINETISDTSCHIVCLQETKLDHIDSFIAASIGGHRFADRPPDGTRGGILLMWNLDFVDITDTDALTFSLSAMVSTRRSDDKFKITIVYDPIDYALKDAFFQELVDLKPESVTK >cds.KYUSt_chr1.42798 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261890348:261891001:1 gene:KYUSg_chr1.42798 transcript:KYUSt_chr1.42798 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLVVVLVQVQVEALAAVGTSSLDYLGGNTKANSKQQQQQQQERQPFLKREDEFFATVAKKLGLRSFTGGRGTYKSMAKEFLDAHNRVRAKYGVPPLRWSNKLARYARRWSAARRYDCVMMHSPAPPYGENVYEGSGWDWHAVDAVSDWASEASFYDWRAQACHPGQECGHFKQLVWRTTKYVGCGRAECYNGHSFFTCSYDPAGNYKGEEPLT >cds.KYUSt_chr6.21772 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137373305:137376694:1 gene:KYUSg_chr6.21772 transcript:KYUSt_chr6.21772 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCGGPALLLPNSFPIRPIPIPVRTERNASSEKRPPDRTRAKQGTAAAMALALAIFPLASLLLSVPALARTSPCPDGWRIAPDHTKCFTHISTSLSWDGSEALCRNFSAHLAALSSPQDLNFAKSLCGASSSGCWVGGRHHNTSGGAGWKWSDGSSSWNDTVFPGVPSHANCSGARCGLATGDDMCTLVTSKHAALTGKKCGESHGLICMINHEDRCYHDHCHKEYFIVLIVVSGLILSTTLAVVVWLLVYRRSKKRKRSREASAASATALVPPLWKVFTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSKVAIKRLKRSSLQRKKDFYSEISRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHIPRGGRSLDWVTRMRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAATYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRHLELLDPLINDLPEIGVIQKVVDLVYACTQHVPSVRPRMSHVVHQLQQLELKSAASELLRSGTSTSATSPMLPLEVRTPR >cds.KYUSt_chr7.40598 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252023133:252026693:1 gene:KYUSg_chr7.40598 transcript:KYUSt_chr7.40598 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDMEAAAVATEAARDAGKRRRRAEWVALPAGMVLVQVFTVVTMVMSKVALNAGMHPLVLLVYRNLVAAAAVAPLALVFERALWKKLNLAVLGWIFINATVLLAMGLYYCGLRATSAAYAVDFLNLIPVATFLIAVALRAERLSLAGWPGRTKLLGAAAGVAGTMVVSLCKGTHLHLPHLRVSHSHGAKPVTSVHGSQNMVVGTLFLVGSCVSYALWFVVQARVAVVFPSRYWTTTLTCAAGSVQSAIAAVVVAALSGTGAGGALTLEAWRLRWDVQLATVVYSGVFNTGVTFVLVSWAVARRGPVYPPMFNSLSLVATTVVDALVLGTEVYLGGVLGAALVVLGLYAFLWGKGKELAAARAKELGRSPGDDDDGGIA >cds.KYUSt_chr2.303 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1812413:1814771:-1 gene:KYUSg_chr2.303 transcript:KYUSt_chr2.303 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDKQLAFSVKIASSPELLNRGTTVVNMSQGTSLLPKDKGPAEDSVKVVGTKRLHTDAPSGPVYHNVYVRRKVESEHSKVSSSQELKGNGRDKTKEQQDLKNVENKHSKDNSTQELKGKGIEKIKEQKEQLNKETEHSTMNSSQELKDNGSEKPQEQEEQQVQHDQASKPEMPPPTAESGIKELEEQQNMETEHSKINSSQELKDNGSEKWREQEEHQVQHDQASKPEMPPPTTESGINELEEQQMVQHDQVNKPEVPPLIAESGIKDEGQQKVQHDQINQPEVALEVQPLIAESGIKEEGWQKVQHDQVNQPEVAPPIVESGIKEEELQTVQHDQVNKPEVAPAIAEAGTKEEELKVLNDQVNTPQVGPSVADSGGLVPSEMAPPIAKFVGLVPSESPEKANAILEEYEPDVASANEPPATPGTAVQGDIHSSSNQNSYWSERYNRLQTYLENCDRSSQEGYMRSKELHRMKALNVLGKSPPNGSSKQR >cds.KYUSt_chr4.9743 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58917531:58920588:-1 gene:KYUSg_chr4.9743 transcript:KYUSt_chr4.9743 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGKSPRMKPEQPPLVVLDEDDADDGADDSEVFIINDPVERARAAAACNSKKGNSSSSNVINLDDDDEEDDEGGGGGGGDRAGPSTAGAGASPATPPGRAAPRNRYGLDYVSDSDQSDLSEGSDSDSDSDDSSDCEILPSVAARGPWEKAASRRMMHHPPPQQRKGGRASTSASSAESSTHHDENPDNLFASGSPLDDRFWEHYAFNPTGPIGTSGSPSSVPNAAHESPVDVDSSGIQTDGRNPAGNLDPHVACNAEATQSPKGPVPEKAPQTSHSPHAEETLNPQGCTGFSFVPKNRVFPACSADWKDDCPMFVSTPERMDDPDKLGRVAVLPDGPDFQDGLIGAREKHKESDEYKRAQEEEWASRQRQLAIQAEEAKEAKRLRKRKKAEALRLLDMEKRQKQRVEEVRETQRKTEEDTQLKEQCRGAVRLELENLERTCRDVSSILRALGIPVEGGEVKGAYKRALLKFHPDRVSRTDIYQQVKAEETFKFISRFKEKLKL >cds.KYUSt_chr6.3867 pep primary_assembly:MPB_Lper_Kyuss_1697:6:22204631:22211271:-1 gene:KYUSg_chr6.3867 transcript:KYUSt_chr6.3867 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLMLFNANIHRSDYLNGGTAIHFAALHGHARCLRLVLADYVPSIPNFLNQTNHRSSEEVSDADFDDDGLVKMVNWKADGGLTPLHMAALNGHVECVQLLLDLGACVSEVTIEDGTTIDLIGSGSTPLHYAACGGNAACCQLLIARGANLSAKNASGSTPLMVAQSWHRNSLEEVLSNEPGERIRTLPSPYLCLPLMSIMSIARECGWRYLNQSPVCIDPCAVCLDGSCSVAAEGCKHEFCTRCALYLCSTSYTSANPAGAIPCPLCRHPIISFVALPGTSPIRELPRNSLSLSFCTTCPAVNSDSAASSAASHLYRGELHCGARMPPMGSSSFRSLSCQAMKLNPSFCMGAMDTNPCLIRCSRFGSSLSRSASHGEGNRRGYDDGSMADFSQGITTTGRSRRSLLSFPSDFHIDRLADCKHVSSSSRLAAPLMFDEGATTGGCNLLGANPTPHDGIDFVDAGNVFHGMPHAIPKITESLVVAAVMSIIHSDYFEGAKATQDEPRKKIRINNATNNVPC >cds.KYUSt_chr3.34992 pep primary_assembly:MPB_Lper_Kyuss_1697:3:219469199:219470545:1 gene:KYUSg_chr3.34992 transcript:KYUSt_chr3.34992 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHLVLCLLLVLLAVLWSSCEAATAGTKPLLLSLRARQMPARALPRQPSKLRFHHNVSLTVSLAVGTPPQNVTMVLDTGSELSWLLCAPSSGEGSRNASTTTFRPRASASFAAVPCASPQCRSRDLPSPPACDGASQRCQVSLSYADGSSSDGALATDVFAVGSSAAAGAPPLRAAFGCMSSAFDSSPDGVASAGLLGMNRGALSFVSQASTRRFSYCISDRDDAGVLLLGHSDLPTFLPLNYTPLYQPARPLPYFDRVAYSVQLLGIRVGAKHLPIPASVLAPDHTGAGQTMVDSGTQFTFLLGDAYAAVKAEFLRQTRPLPLLRALGDEEPGFAFQEAFDTCFRVPRGRAPPAARLPRVTLLFNGAEMAVGGDRLLYKVPGERRGGGDAVWCLTFGNADLVPIMAYVIGHHHQMNLWVEYDLERGRVGLAPVRCDVASERLGLML >cds.KYUSt_chr7.30772 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191541768:191544526:-1 gene:KYUSg_chr7.30772 transcript:KYUSt_chr7.30772 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRTKLAAVVAAAAAVGAAALAAALVFLAIWLRRRRGRVAAGRTRSLESSTATLRAGNGNGNGSVDSSVSVSVSESGGDWAHNPLPAGKRVAFWGWRGGDHHPPLSVSGIPKYHYKDLQKATNNFTMILGQGSFGPVYKAVMATGEVVAVKALASDSSQGEREFQTEVILLSRLHHRNLVNLVGYCVEKGQHILIYEFMSNGNLASLLYGDNKRSLTWQERLQIAHDVSHGIEYLHEGAVPPVIHRDLKSANILLDHSMRAKVADFGLSKEEVFDGSKSGLKGTYGYMDPDYITTNKFTKKSDVYSFGIILFELITAINPQQGLMEYIDLAAIGGEGKVDWDEILDKNLLEGSIAEEVRVLADIAYRCINRSPKKRPWISEVSQAISRLRQRQMTLQRSETRTVLRRIEHQHVELSDLAGMRDITPVGA >cds.KYUSt_chr7.35755 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223390796:223392919:1 gene:KYUSg_chr7.35755 transcript:KYUSt_chr7.35755 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCSILSLLLLASVEPAISSLGSFDKIFSFGDTFADTGNGRIMNAKNKVPFDPTAKLPYGQTYFGRPTGRSTDGRLIIDFIAQKLGLPLVTPSLSENGNFSHGANFAISAATALNVSFFKDIPIASMLALDTTMKVQLQLFESLKPSLCSPAEACPSGFFNSSLFFLGEFGVNDYSFSILGMPLPQVYSIVPSVVGNITEATKRLINHGAKTVVVPGIPPLGCTPPNLFFFPSTDPASYEDDTGCLKSFNELAEHHNTLLRKDLEAIQKNHSSVQVIYADFFTPVIRMVKYPWKFGLTSDVLSCCCGGGGEYNFNITAGCGMPGATVCEDPSQYLYWDGHFTEAAHRIIAKRWLKKLKLHNEMIEAAYRYVNIAKDSA >cds.KYUSt_chr5.31567 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200028604:200031283:-1 gene:KYUSg_chr5.31567 transcript:KYUSt_chr5.31567 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPVHQQASIMPINTLKEELELEEKELLCAPKKPKFTESVVQQQQETQVLAVESEHKGHGDPSLKDSACVVQSDGEANGCSEGLVSGIGDSDLATNKELPARSRLDQLCSAIGWKCPTYDFEDQGFYHTKLFKCKVTVHVETFSDTVVECYSEPNPQKEAAQEQAAQGVLWCLKCLGHVK >cds.KYUSt_chr4.5116 pep primary_assembly:MPB_Lper_Kyuss_1697:4:29424651:29425319:1 gene:KYUSg_chr4.5116 transcript:KYUSt_chr4.5116 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWESKAAVDERFYADNHTTFTVTRSDTWRGPGFNVVNSAGQAVMHARTVDLGNGTIRSLDLLDAASHAQIVSVQESWLRVGSGRWHRQWEAFRSPGATGTADRLFVAVDKTRLFQMGNTVHVFLHGNSSGGLVPDFVVQGSYCYGTITVSRGGSDNGGGDSVVAQIRKESGSWMLEDHAYTVWIHRGVDQVFVLALAVILDQILNHVREKWSCHFECNKR >cds.KYUSt_chr5.39352 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249079020:249084575:-1 gene:KYUSg_chr5.39352 transcript:KYUSt_chr5.39352 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPQQEAIDTFIGITGADEAVAVRNLEEHAGDLNQAVNSYFNDGPGTANTINQTIGPVNLDDMELDGPLDNAFQRSLYPEALHDPFALMDPNFQQMVFDRAGSAGTASHDAQGSHPIEATVDVKDSNIQTGPSGQASIIENVTGHGSLYGPGGRETIIIDDDEDELPYGLSSQHASFPSSAPQPNPPLPTAPPLVHVPDNDIEEEMIRAAIEASKREAEELANTAEQERTQHLDGINLGDHSSEDMQTAGATVGRQELVTGMAGTSTQLTDGESSQEDTEDVEEEPLVRRRSRRIPSGDTESRQPIVPVDSPPSSSQPENSDHQYSRTDFPSEWGGISSEEHDEAVMLEAAMFGGIPEAPAYPSSIPSHASSSHYPQVVHSPPPELTEQRLLREQQDDEYLASLQADQEKEMKTLQEAELRQLQETAARESALEKQKQEEEERLKKQLEKEELESSLAAKQASLPSEPPSDAEGVITLVVRMPDGSRQGRRFLKSDKFQCLFDFLDVGRTCRPGTYKLVRTYPRRAFTPADGDQSFSELGLTSKQEALFIEQITE >cds.KYUSt_chr6.4138 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24027698:24029565:1 gene:KYUSg_chr6.4138 transcript:KYUSt_chr6.4138 gene_biotype:protein_coding transcript_biotype:protein_coding MYMRYTPLSRRLADVVRNVGWLRCSDGEHHCYSDAGMLLLGAGVLKSSDRATGMHEESCQGCEWRGETFRALDGAMEAAETEQRRSAREPPHKLGPQRPNHEPPHPSHSLRRAPVMLRLPSRVATRGGHGAPSVVHAIHSAIPRSPPTHLSPSAEVVAAAVEERRDTKAGLRQEAAAGKGVPSWASYGDATNRSRERYLGRMLLIPAEAGIATLGV >cds.KYUSt_chr2.24876 pep primary_assembly:MPB_Lper_Kyuss_1697:2:152095621:152099284:1 gene:KYUSg_chr2.24876 transcript:KYUSt_chr2.24876 gene_biotype:protein_coding transcript_biotype:protein_coding MTASELPARRRRLSADEEFSYNLTVMDCGKRALELVISEKLCRFVRAEKMVLKTELCRFSGIKIYPGRGIRFVRSDSQVFLFFNSKCKRYFHNRLKPANLSWTTMFRKQHTKVHALIPVLFNDIYLLVQLISLTILILCPASVLYLLFVSVCDAVNW >cds.KYUSt_chr6.11975 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74578385:74578687:1 gene:KYUSg_chr6.11975 transcript:KYUSt_chr6.11975 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKSVNYNVWLIIGIILNFFMFRYHKKWWQRYNYGLSATLDAGISFMAVLLYFTLTMENRSVNWWGMAGEHYPLATCPTAKGIDLSLDPVETRVCPVF >cds.KYUSt_chr2.51906 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324100846:324104573:1 gene:KYUSg_chr2.51906 transcript:KYUSt_chr2.51906 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRLAACAVLLCLLISPTAALRWLADPAPESNAAAGHDSFRTAYHFQPAENWQNGPMYYKGVYHFFYQHNPFRATWGNGNLSWGHSVSVDLINWSALENAMDPDSSFDINGCWSGSATFLADGTPAFLYTGIDANNNQVQNVAFPKNASDPLLREWVKPSYNPVIALPDDVVHDNFRDPSTAWIGRDGLWRVAVSAGLKDGTGSTLVYRSKDFRHWERNAEPLYSSGVTGMVECPDLFPVAGPGDQNGLDYTPSNSAAVRHVLKQSVMVTLSDYYVLGRYDDAADTFSPVEADNDCRTWQRFDYGHVYASKSFYDAGKNRRVLWSWANESDPEADYLARGWAGVQTVPRRIWLSNDGKQLLQWPIEEIETLRKTRVGLLGAEMNAGGMNEIIGVAGMQADVEVVFEVPSLEGAENVDPNQLLDPQRLCGEKGASVLGGVGPFGLLVLASGDLQEHTSVFFRVFRHEGKYKVLMCTDLRRSTTRADVYKPPYGGFVDIDIEKERSISLRTLVDHSVVESYGGGGRTVITARAYPEHVATANSRLFMFNNGTGAVKVSKLDAWELAPAKVNLPGDGLITAASSMHLRQAY >cds.KYUSt_chr2.2117 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12839022:12842671:-1 gene:KYUSg_chr2.2117 transcript:KYUSt_chr2.2117 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLARVAAKTGTSTSEGSPSTPETGATPVATGPGAEEEAALRATSTSLRNTARASTACASSFARMRDIDSATGRDSVSKAMPQSWIPICAGSWSCSSQGVLSLSAFSVLSFFRNHDLLQLFRHSQLPTAKPSSVSYVNKIKGELERMGCQIKTSCRVKSVSSFDGARYRVLENDGSEETYDSVILGVHAPNALKVLGAEATHYELKILGACQYLHRDIYLHCDQSLMPQNTSAWSAWNFLGTTSRGFAVTYWLNHIQKIESVRPFLVTLNPPCVPDHVLLKCHTNLPIPSVAAAKAYLQFDQIQGKRGIWFCGAYQGHGFHEDGLKSGKAAAQGLLGKKCELLLNPKQMVPSWTETGARFLCARFLDQYISIGNLILVEEGGSVFSFGKACDKCPVKSVIRVHDPLFYWKLATQGNIGLAESYIDGCFSLHDKREDLLNLILILIANRDARRNRGIQRKGGLTPVHVIAKLAYAKYFLRHVLRKNTATQTRRNISLHYDLSNDFFSLFLDKTMTYSCAIFKTENESLEAAQQRKLNLLITK >cds.KYUSt_chr1.31313 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190005773:190008564:1 gene:KYUSg_chr1.31313 transcript:KYUSt_chr1.31313 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVKSESSWEMPDVDQTDLPSHDDDSVKAPPRRKADTQLKKGPWTPSEDAVLEAYVKKHGVRNWNVVQKETGLLRCGKSCRLRWSNHLRPDLKKGTFTKEEKNLIIKLHYRMGNKWAQIAAYLPGRTDNEIKNYWNTRVKKCLRMNTPAHPPNICMEASNEDQHESADSNFSEKLASDLLHVPDFTWGSSIGVQESLSNAPQLPDISFSNLLALNFTSKNYDFIGQANQENVLKESEILFPVLNPTINGTFDGSHAFSNGNFSTSRPITGPSKMELPSFQCVASDPNNWCTYLSTSAMQRSSYADLCMQSSAVAASAKFESICMAPRDSGQLEELLPEAQALSSVENQQLSVGSLSPPSVGTPCDAMVEPTGLDLFERDTNLYALIDSCLSAPPLCPASPDEFQPAIILSAPNPAFGFTEPTVPQYEQGYFSPHPEDSRTDAFSPWYTMPAVFQ >cds.KYUSt_chr5.19224 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124576277:124584070:-1 gene:KYUSg_chr5.19224 transcript:KYUSt_chr5.19224 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKALLQGLQLAEAIGGNSIMVESDSIEVVDAVKNPFGYRGTGAVIIDNCRELFMTLGKATLKHCPREANGAAHELARQGAQWGSAEAWYLYSSDDLIHFMKNDPLEAQLCAQLIAVMLDCAFSVKVDTTLCPVTDQRKPRGSGFLSYFIPIKRLLDGDSGIDLHLTGKEVVAAN >cds.KYUSt_chr5.9307 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59092574:59092894:1 gene:KYUSg_chr5.9307 transcript:KYUSt_chr5.9307 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTKLLAVAALLATALLGAVLLSSTRGAGVPWGGASSSSILTTPPFSPMDVMPLLPRRVSMAALRALCGASDIFPVFVGAVMAVPAADAAASSGVVGWRGACFY >cds.KYUSt_chr5.31399 pep primary_assembly:MPB_Lper_Kyuss_1697:5:199039232:199043611:1 gene:KYUSg_chr5.31399 transcript:KYUSt_chr5.31399 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEREAKTNKKRSSPEGKMELEPFQVRGDLVAHSEVEEDEFTPKSPISMPYIPDELNDPTAYPATLAAFNEAHVKYKAKLNRRYGLFSLPPQLNLASPCLFNHRHLLTIRESALKAVLHAAKSIIRLSSSVDGKPLANCCGLWIKWDEDSKTGIVLTTAHLIRSNHPTENLWEGKDEYNIKSKVIVHLLDGNTADGHYLYHQQHYDLAFFKVRVDEEVEVPHFNVSMNCGQDVFRLGRDGHMILRMTHGSVEYLNPGSAERHHYMYFYHQKVDSLSHQRRDDRLPNENNDDYLCDDDGGSVIDLDGKVAGLVNKHLEESFVPSSILDKCVDLWCKFRCIPRLHLGMNFISIKLLDPIHIEMICRMYKIEDGLIVQEVSKESHAEKLGICLGDIIERFNGELISTTVEVGTYPITAIEGTFASGQPSKNVAEMGLGSVPA >cds.KYUSt_chr3.1239 pep primary_assembly:MPB_Lper_Kyuss_1697:3:6939362:6940300:-1 gene:KYUSg_chr3.1239 transcript:KYUSt_chr3.1239 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVAKKDLGGILVQAKCAAKNIRAQRERLLHFQLQLQQNQADAPPEEVVSGLIRVYCEGLEAGARYLTACLTMTAQSGEPPSLPLDFAVISDEQLFARLLALRLPRRPVSQAQAFARLEAAFYAVTLNLRYCLTRCIDHLGHPKCADTDEEELDDEAFQVSPSDTDDEYSQDNGEFGLPDLVAAATKDLAKIALSDPGAAATHTDPSQHGSQTVLADSEAAATEGCAKIGLTDSTHDSAKTNLSNPATGDTPQAPMVDMDQARTYLNHACTLADLALKHVDLAVTAISSCLDPAQVASISAFADNVAYTK >cds.KYUSt_chr5.9933 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63438714:63439466:1 gene:KYUSg_chr5.9933 transcript:KYUSt_chr5.9933 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDMRTPDALMGWLAFGIPASAGDEVGQENGHRWDPMDCDHKALPPARTALRMECDFSYLPDHDEDAHFFHARAGVVRVADGVGGCRGDGVDAAEFSRRLMANAYNAVAAPSSSGICPYTLLEMAYQKTVASTRTRAASTALVLSLAGHALRWAYVGDSTFAVFRGGRLLLRALPQQHYFNCPFQLSAVGGDRVKDAAVSEFPVEEGDVVVAGTDGLFDNVFDRADMHGFESHARRDGTSDRKTRLRHG >cds.KYUSt_chr4.20828 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131063983:131064977:1 gene:KYUSg_chr4.20828 transcript:KYUSt_chr4.20828 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKARRRGNASTSTTCEHGTAGKPVTTTAARDAAFLVMFIGVSWWAMLEAAADVDPATLVVALRSSKDSSAKGVVDAYGAAPVATTAARDTAGGWARPRAHWHARPRPRARVAEAFDGAQRPSRDKVSEVSEKGDGDGDLRDPVAATRGPGSASLPAGPPELPVPLAVGPLSRGGEEPGEVPGAGSDLDGDELSSSSDGSDGGASEASRAAPPADLVYPGGFRATGR >cds.KYUSt_contig_686-1.951 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5779640:5781268:-1 gene:KYUSg_contig_686-1.951 transcript:KYUSt_contig_686-1.951 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEGPRSGPGRWSPEPPRRPEAKEPRHHIVVTRRRAAKEETPPPEPLRPGSTATRRGDPASPLLMRGEEQLRRRRHRMGLCPDVNLDKIVTQLEVKVIRYAPGDFVDDNHLPSVHGLVSETSRSQADKEEEWRRVAEEQGNVYQDLDTDEE >cds.KYUSt_chr3.31775 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199682961:199685452:-1 gene:KYUSg_chr3.31775 transcript:KYUSt_chr3.31775 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHHSAATKVSVNGAPAEATLSTGAGGVGGSELRWRYCAGAAAERSLSLEADVLGVEANGKEVVVRAFVADGKAGGKRRRRRDYVFEMADGEGAAAAWGDKLRACLDSFGRTKRLFVLVNPFGGKRCASKIYEAEIKPMLKAAGVDVTMQETQYGGHARELATSLDLARYDGIVCVSGDGVLVEVVNGILQRTDWEEAIKMPIGIVPAGTGNGMAKSLLHAANQTCSISDALFAIIRGHKQALDVCTLVQGQKKIFSVLSITWGLVADIDIESEKYRWMGSARFDFYALVRIMNLRRYYGTIHFVPAPGYEAYGEPAKQVESSIVERLEQNGEPQVCSYQGPSAELQGSDWRSIDGPFVAVCLNNVPWATESVMAAPEAKFSDGYMDAVIIRDCPKADLLALMLKMSDGSYVKSTYVTYLKVRSFRLSPGQLVENPKRGGIIDVDGEVIARGEGTYGRNQHQDLIAYGPSVQMTLHQGLATVYCPK >cds.KYUSt_chr2.50181 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313830372:313830668:-1 gene:KYUSg_chr2.50181 transcript:KYUSt_chr2.50181 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQACSSIFFFDAERLGEAGMPALDACALCTKPLARDSDIFMYTGNTPFCSEECRDEQMQLDAVRAGKAARRLRQYASGTEARLGHQEYRKVSVVS >cds.KYUSt_chr4.1999 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10922025:10927025:1 gene:KYUSg_chr4.1999 transcript:KYUSt_chr4.1999 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKEEALDVAREGEEGGSPRQGWSFEAVEITGREEVRGENRGRAVANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLVETSINSIRISLKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >cds.KYUSt_chr1.34242 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208349904:208350314:-1 gene:KYUSg_chr1.34242 transcript:KYUSt_chr1.34242 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARCIRGERA >cds.KYUSt_chr6.1297 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8119173:8120272:-1 gene:KYUSg_chr6.1297 transcript:KYUSt_chr6.1297 gene_biotype:protein_coding transcript_biotype:protein_coding MADTARPSPAHLGCGPRGVPADPASPSTRDSNRGQENGCAVGDEFDAAETTAATVTDCLGNPRISPAARADKATSPAGKKSASMVGGVIRLGGSGPFQVFSFTDGLGRRKTGGAEDAILRPSTAITDLAGDEDRARFPFAPAIVFLSHDRDSAASGARIKISDRGDFIAGTSDRKVTITGSSEAIQAAESMIMQRVAASSER >cds.KYUSt_contig_60.406 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2392413:2392913:1 gene:KYUSg_contig_60.406 transcript:KYUSt_contig_60.406 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPAPRKRTIAVQRCAAAAAAGGSKKLRRLPHIFAKVLELPFAADAEVAVEEDAAELRFVAAAEGFSAGGARAHAVEIHPGVTKVVVLDLSAGVGVGSDDGAAAFELDRWRFRLPPCTRPALATATYAEGELVVTVPKGADGDDGGDAPALLGGAGADTVLLFV >cds.KYUSt_chr7.3350 pep primary_assembly:MPB_Lper_Kyuss_1697:7:19988976:19989637:1 gene:KYUSg_chr7.3350 transcript:KYUSt_chr7.3350 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMSGNDDMSNMGEPQSEMVGAKPKLGTWEHQVDTPSRRYPVVSFVNEVHTASAPAPEHYELIGAIRNIWTNTGRSGL >cds.KYUSt_chr2.51325 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320944239:320945814:-1 gene:KYUSg_chr2.51325 transcript:KYUSt_chr2.51325 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAKFWKYFKRAEGKENACDDVLHQLARKRVTGMHYEAHIQCVRDWHADRFVHMSKEDARDTLMQPWRYLQCICIYNPPQYVGNDDRCFRAMVMWWTCPQYLKKHEEGKKKRAEMRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQCTSYVSKFKQKYGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPAKVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEQRAQMEQQILQYQQQQTQMMQQMQQQQQMMQQQQAQMSWLMSQTALSSPPGSLPPPPYSMPWMPPPPTHTPGTPITVNNMNIIRSMNLDYVSGRNDDEAGASNGGGQG >cds.KYUSt_chr6.24073 pep primary_assembly:MPB_Lper_Kyuss_1697:6:152091134:152097476:-1 gene:KYUSg_chr6.24073 transcript:KYUSt_chr6.24073 gene_biotype:protein_coding transcript_biotype:protein_coding MADIGAGPSIQGQMTAVVDCILALRDRFGSRISEEHGFSFLTRSDSEGSRRHMEAKLQRVLTSPIMSEPSSPSLGADLYSPSGVFQMKQGGYADLPGCKVSDLMKSSSLDMEKDKQLPEEDMARLMKCQEEVVRLMKEKEDMARLLKEKEDMVRLLKEKEDMVRLLKEKEDIIDLKNVKVEERQLIADERKDRLLKEKDDSIVRLKKEKQEVVRLLKEKEDMVSLLMAKEDMVDLKKVMVQETQRITDEGKDRLLKEKDDTIVRLTKEKEEMVRLMKGKEDTGIDRLIAEKSTNVVMLTQEKEEMIKLLKEKEDIILLMKEKENMFMLMTEKEDKVDLKKGTVENMKQLSDEDAHTSTKEKSDIIRLMKESEDSNNSIMKLKLELEALRSLHEENCKLLESKNKDIVKLIADKEDSAGIILQLRQDMSTKENDKILKLMNEKEHGNNIILKVNMEMKALQSSYEEACKLLESKEKDVVTLLADKEEARKLLESKEKDVVKLLADKEDNASLILQLREELEAAKRLHERDSQQLEARASQLKEELEQRIKEVELMLEDSIKKRREHEEVLKSRIQFWEQKGIVVNQFVGLQIQNVQDLRLCSVSVRHDILNCQQRWLEELAGLGQDLKVVTNAAEKYHAALAENRKLFNEIQELKGNVRVLCRIRPFQPGEDEKSSSVEYIGENGELVLSNPTKPKEGSKNFTFNKVFGPTTTQDNVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISRDRQDTITYELSVQMIEIYNEQIRDLLSAGGIQNTIQPNGIAVPDATMCPVHSTSHVIELMQTGHGNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVTSYTETLSTLKFAERVSGVELGVARTNKEGKEGKDVRELMDQLSMLQDTISKKDDEIEQLQLLNTSSLKSNRQAGSLLKHSSSSPGMTSLAKVSSVGSGAASDLDNFSDISDRQSEAGSTLSIEPEASGLGDIDSDGSANRDTKKYHPSNSIGESYWYCKAGTIDQVS >cds.KYUSt_chr7.14867 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92015776:92017062:-1 gene:KYUSg_chr7.14867 transcript:KYUSt_chr7.14867 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTHGSTVAEIRRSQRADGPAAVLAIGTANPPNCVSQEEYPDYYFRVTRSEHLTDLKQKLKTMCQRTDTEKRFFHHTEELLDAHPHFLDRRQPSLDDRLQIAAAAAPELAASAAAKAIAKWGRPATDITHLILSTSSCAGAPGADLRLASLLGLRPSVIRTMLQLNGCAAGSASLRLAKDIAENNRGARVLVACVELTIVAFRGPEEDYPHTLVGQASFGDGAGAVIVGADAGVLYPAERPLFEMVSASQTVVPGTDHVLTMRLTEAGLDGHILRRELVMVAAENVERCLSDAFGQLGVGVEWNDLFWTVHPGLRAILDHIEKALRLDPGKLAASRTVLREYGNMLGATIIFVLDEQRRRMEEDGEGGEWGVMMGFGPGFTIETMVLHATSNLTKT >cds.KYUSt_chr4.13303 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82006215:82011010:-1 gene:KYUSg_chr4.13303 transcript:KYUSt_chr4.13303 gene_biotype:protein_coding transcript_biotype:protein_coding MISRQLLLTYLYLLIYICLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGVVTFFLVRVFKVVAPVKMTFQIYATSVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRRDLFLNMLLVSVGVVVSSYGEIHFNVIGTLYQVTGIVAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFVPWYLLEKPEMDISPIQFNYWIFFSNALSAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESTITSLNIIGYAVALSGVVMYNYLKMKDVRASQLPADSTPDRATKDKKILNAYKPDGSLDSNDETVVGLASEAAVVDEEAPLIPSSRLSYVTRTQTGGGLNNR >cds.KYUSt_chr4.54313 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335724607:335726835:-1 gene:KYUSg_chr4.54313 transcript:KYUSt_chr4.54313 gene_biotype:protein_coding transcript_biotype:protein_coding MVILGSWLLTANHKLLAMEGWLSLSFIALSTLLAIWFFMLSGGKKQQLPPGPWRLPIIGSLHHVVSVLPHRTMLDLCRRHGPMFYLQLGEVPTVVISSAEAVRQMMKANDLQFPNRMASGMQNIVGYGGKGIIFAPYGEHWRQMRKVCVTELLSSKQVKRMESIRGEEMATLLRSITSSAGATVNVSEKLSALSNDVVARAVFGGKISQQQDFIHATDQITDLLGGFCLVDLFPSSRLVRWLSNEERRLKSFCDVMQRIITDILLERKAVRAANNGTCDEGLLDALLTLQEEDSLESPLTTEMITTVLFDIFGAATDTTSTTLDWAMSELVNHPEAMAKAQLEVREVLGPDRGIIGSSDLAELHYMRMVIMEALRLHPPFPLLNRKNEEDCKVMGYDMLKGTNIYINVFAISRDPRHWNNPKEFNPDRFEDNKMDFNGTFFEFAPFGFGRRRCPGITFASSSLEMVLANFLYHFDWMLPTGAISATVDTSEKFGLTVRISSDLQLRAIPHVFSKAMEI >cds.KYUSt_chr1.18419 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107805785:107806831:1 gene:KYUSg_chr1.18419 transcript:KYUSt_chr1.18419 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKRRRKGRTRKGDPEQPDWLALTSDLLEPIAQRSRDIIAGVTPFRSVCRTWRAAVGEAPRLLLPAPESGASPPRAGSEHALVFPLSGGWSIVVDALDASCHLSHLTTGATAALPRVNVVRDSRTSSDIRHITYVHHTDDESAQRGSRWCRGSKIKIDTGSVKSFFHTYQELSDDFRFALHVPPANVPANTDNMMIIMRHSFLLGSRKAMVLCRPGDAAWTKLANSSCYCGEYVDLACFQGKIYGLEHDGATSVFDPNTLDFLHSVDVPQSTSRLFSVVYPSLAEPVVFDYFNFVALPGKLLLIVSIIESLELKGFTFFELVAGNCMPSWRKVRLDTSQLELGSARA >cds.KYUSt_chr5.40068 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253138225:253140959:1 gene:KYUSg_chr5.40068 transcript:KYUSt_chr5.40068 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEATSSVKPNVAAPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPECCGKPTRRGRPQRRPSHPRRPVRQGTADGRRAAAAIAHHMRVCSAKHRGGGDPAADLHAATRKGPRRRHTPRDFSPAALPAAAAAEGWGRRDMVDDVIRRSSDMPTWRRALQLVTLFILHHFMDNEDPEADMDRCIILHIVMARCNGVAVAARLGWCCLLVVALALGAMGQPPPSPRNEDNTPPPPNPGNGDDPWGGGGGYDPPPPPPDNYWTYEDGHPRTEVKSYANGQPYRADYSKPNKIYTCDDDWGKKCIAQCPDLCPKSCYMSCSDCETTCRCVVFPGTSCGDPSFTGGDGITFYFHGRRDQDFCLVSDTNLHINAHFIGNHNPSNDRTFTWIQALGITFGDHRLYVGARKAVEWDEDDDHIEITLDGEPVKVDTVNNARWVSKVLPDLSVKRIDNVNSVKVDLAGVFSISASAVPISDEDSKIHNYGKTMKDSLVHLDLRFKFHSLTNNVDGVLAQTYRMDYINKMNVTAKMPIMGGAPKYLSSGGLFSTDCAVSKFHRNVGGSHFLASAA >cds.KYUSt_contig_60.156 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:886789:888168:1 gene:KYUSg_contig_60.156 transcript:KYUSt_contig_60.156 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTRWLRAGLTSRAGLRWRGWQRAGLASLAEWRRLVWRYVAVGNEPFLGAYNGSFIKVTLPALQNIQNALNDAGVGDRIKATVPLNADVYNSPAKNPVPSAGRFRSDISGVMTDIVKFLAKNKAPFTVNIYPFLSLYLNDNFPLDFAFFDGAATPVHDNGVTYTNVFEANFDTLVAALVAVGHGDMPIIVGEVGWPTEGDRRAKSSHAQRFYDGLLKRLASNRGTPARPSQHLDMYLFGLVDEDQKSVQPGSFERHWGIFRYDGQPKFAMDLSGQGRNTTLVPAKGVQYLSRTWCALNPKASRNDLGKLLGAKIDYACTNADCTPLGYGSTCNGMDAKGNTRPTPSTHTTRRRARRTRHATSRDLLCPRRRIPPPRLATSPSRSPPRRRRRCGSACRRLLPCWW >cds.KYUSt_chr3.13886 pep primary_assembly:MPB_Lper_Kyuss_1697:3:83988258:83989376:1 gene:KYUSg_chr3.13886 transcript:KYUSt_chr3.13886 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMDMTSPFSSLQSPLLVLVVSLFLSLFSFLLLFTKKSRPLSSNGGRRLPPSPWGLPILGHLPLLGSLPHRKLRSLAQAHGPVMLIRLGGVPAVVVTSAAAAQEVLKTRDLAFASRAPVRMAELLFYGRDMAFAPYGEYWRQARRVCVHHLLSARRVASFRRVREQEAAALLDRVRRVACASRPDGGAVVDLTRELISYTNTIISRATFGDDGGYGIGDGLSEVFADLEELLGTVTVGQFVPWLAWVDTLMGIDAKAARTSKVLDELLERVIADHRQRRLGGGRLVGDGEQDDHRDFVDVLLDVSEALEDTGDVEFDAIAIKAIAMVRHVTQDFFFFAVLLVYADPSRSMEQVDGTSANPSRSMKLDGTRE >cds.KYUSt_chr5.2728 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18097540:18101518:-1 gene:KYUSg_chr5.2728 transcript:KYUSt_chr5.2728 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDKKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPECCGKPTRRGRPQRRPSHPRRPVRQGTADGWRAAAAVAHPTHVCYAKHRGVFPIPATACTAVRESRPPKLRPLRSCTGRRAIRFLASVSARLLAVVRLLLRRFGCFIDRSDSTMGDINDSHGGGAASGATFPIAMYVLFLSYLALLLVPCSDLMHVLSLMCIVNRLGFGATTAAMLSLSPEAPVGREEEEKKDRRCWRETASAAIQSSASIEVAAHPCGSRSAPGGADAAVNGREEGERAGPLPLDEFSRGKLTSYSDHSCKVQPPCSLRFNSKTSCDGGSGAALLVGSPNSTNTSSLGAHLV >cds.KYUSt_chr5.9952 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63559773:63560174:-1 gene:KYUSg_chr5.9952 transcript:KYUSt_chr5.9952 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRIRDVILQRNDGPPIPWPVIREKLAELLRFLASALLALVDGIREWAASMEQRGRSALAVALPAAAVVALVVLCCCCCGYYAAGGARRRRGPDGEEAYGGRDGPVVRYGGRGRGGYRGGIFSMHPNKPIV >cds.KYUSt_chr2.38309 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237372198:237373798:-1 gene:KYUSg_chr2.38309 transcript:KYUSt_chr2.38309 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAAAVAADSAGEAPPAAKKTGRVKTKAAGPRGVAPAKVRTKAISRIGLAPPPASKVTTPPPSVPAVALPAPPAPPPTTIDVDKVFDGESTTSYMDMLNDSAVNLDAGIGAFDGECNVEEIDEEEEDEGDEEEVGMDACTGVDQGGKRYWQRIEDQYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQGRYKDMAGSKNKEFQFEHCFSILQHLPKWKLRDNEPKCKKEALITMDDEAEDMRGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARKELDMRMIKAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWKETKADIIARKMAARQARDQGESPASGGSGGDGSLDG >cds.KYUSt_chr3.33002 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207264675:207268148:1 gene:KYUSg_chr3.33002 transcript:KYUSt_chr3.33002 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGHRRQAAMRRGPLTEEGEAGTHSRAPGAAKKRDSLPEAAEKRDSLPEPEADASSGTDTESEATKMDVYRAAQLARPEMRGVGDDPDESVTQTHGSRSTEAVEEDEFTPKSPISMPYIPDELNDPTAYPAIFASFKEAQVKHTAKLGRRLRLLPKLAHSCHLPISESAKEGVLHAAKSIIRLSSSVDGKPLANCCGLLIKWDPESKTGTVLTTAHLIRSKHPTENHWEGRDEYNIKANCDDDGWSIIDLDGKVVGLVNKHLRLKKSLIPSCILDKCVDLWCEFRCIPRLHLGMKFSSIKLLDPTHIDKMWRMYKIKDGLIVQEVSKESHAEKLGICLGDIIERFNGESISTTVEVVGKHVAGQMQGSFRSRESLE >cds.KYUSt_contig_319.493 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3112958:3113470:-1 gene:KYUSg_contig_319.493 transcript:KYUSt_contig_319.493 gene_biotype:protein_coding transcript_biotype:protein_coding MMAITSFAGAAVVIQGAGRRFAARSPALALRRRAVAVRAQADEPSTPPPNKPKASTSIWDALAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYTVAVLSVASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEIVTGAPFINV >cds.KYUSt_chr4.48904 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302973419:302973895:1 gene:KYUSg_chr4.48904 transcript:KYUSt_chr4.48904 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHRITRACYVRARVCRARSVYAVELQKRPLLGLQDAPNNGSELIAVAAGVGGGEHQPVRLTPRPLLSGCCSTTDTSPHVILTFRLRLYTSTANRPSVGPRGSAGTTTTTAGGFLNTDAGAPPATTGSFSNPNADAAPAPTTTVRRKRMAAADLIVA >cds.KYUSt_chr3.26440 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164677116:164677544:-1 gene:KYUSg_chr3.26440 transcript:KYUSt_chr3.26440 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSGGGGVRPWLRPAETTTAAVATVKAEMAEAAPASREEQQEVERAVSESPVVVVGRRACCLSHVVKQLLQGLGVNPAVHEVADEAALAGLVAPAEAAALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAGALWL >cds.KYUSt_chr4.7494 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44635762:44638838:-1 gene:KYUSg_chr4.7494 transcript:KYUSt_chr4.7494 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSMGKNGFFHSVSTALWRARPEGDALPAAGAANGVADQAPPPTDVPLTVHSIRKAPEPVKIASATTTTKAAAEATPKPASAAEQEAKSSSAEYSSNDSSSNSGEPGAARPPANRPKVPPVKRVSSAGLLVGSVLKRKTESVKEKYSLGRRLGQGQFGTTYLCVERASGKEYACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPSVISIKGAYEDAVAVHLVMELCAGGELFDRIVQKGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFVDQTEEAALKTIDFGLSVFFRPGQIFTDVVGSPYYVAPEVLKKKYGPEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGKLDFQSDPWPSISEGAKDLVRRMLLRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDSDNSGQITYEELKVGLKKVGANLQESEIYALMKAADVDNSGTIDYGEFIAATLHLNKVEREDHLFAAFQYFDKDGSGYITPDELQLACEEFGLGDDSIHLDDMIREVDQDNDGRIDYNEFVAMMQKPTLGLPKKSGAGLQNSFSIGFREALRIS >cds.KYUSt_chr1.17951 pep primary_assembly:MPB_Lper_Kyuss_1697:1:104650597:104659906:-1 gene:KYUSg_chr1.17951 transcript:KYUSt_chr1.17951 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGEVQESLQAVAQGLRWTYVLLWQLCPDQGALVWAEGHYNGAIKTRKTVQPAISLAPAPAEAADHHRSRQLRELFDSLAREAAAGGTSFRDVHGAGAHEAAARRPSAALAPEDLTETEWFYLMCASYSFPPGVGSFIQLAISRFSRRATKFNFELPFGVLRHLVDHVGIERLPGKAFATGGHVWLSRANEVDSKTVLCIPIVDGVLEMGTTEKVEEDIGLIQYAMSIFMDQQDNHMIPTMSEHSTSNQTSRIDLQPFQIQRKIHAGQAKEEPKKFSPKYEDNEMEDGDDEIEECASGSETNTAGDYCRHAPLNIVSNDEQATHNIGSSKLMQLEMSERVRDGSSSNSGDGIQTLMVFQNINNQSSMQADEPSQSWHFLYEELCTGYPQSSDENQAIVSPENAHYTETVLSILHGNTRRQAAEPNIRSYLAVSHQSSFSAWHPTILGRTITERATPQRLLKSVLLNAACSSHCKPHDDPRCDNVGTVGLRREVDFSGNHVLQERKRREKLNERFIILRSLVPFVTKNDKASILGDTIEYVKQLRKRIQDLESWVGRQVQGDPPMVRPPETKSVRGRSDQRAAAPSEKRKLAALEGSSSSSSTDPVTVVQHSTDVQVSIIESDALLELRCPDRRGLLVRIMQALQEQLRLEVTAVQASSDDGVLLAELRAKVNYSAQQNYIMIRSGVHGYS >cds.KYUSt_chr5.43131 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272057732:272063595:-1 gene:KYUSg_chr5.43131 transcript:KYUSt_chr5.43131 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSVAVQSVLTLLLGVLHVGGQRALSTAGFITIDCGLPAQTSYVDPITKIPHISDAGFVDAGYNRNISAEYMKPESQLSRGYHNVRSFPDTERSCYTLPSLVPGSKYLLRAFFRYSNYDGLEKLPIFDLYLGVNWWRTVNISEAEQPVMAEVSAVIPDESVQVCLVNTGSGTPFISSLFLRPLENTLYPQVNRTQGLILIDRLNMGGTGLYPIRYPYDPYDRAWISWNDLSLWTNISTTEKVLGNIWDLRYYVPSTVMQTAITTLNGSMSKTIEVSLATELDHLDLMPGCIAIVYFAELQILLGNVVREISVAADGGKHNNVTVPKYLVTNAMYNPEPHPCSSRYNITIKAGENSTLPPILNAFEYFSVMSTANLGTVIRDGSAPGINGQLDNRQFTYKELEVMTDNFKIVLGQGGFGPVYDGFLQDGTHVAVKLLSQSSNQGIREFLTEAQTLTKIHHKNLVSLIGYCKDGEYLALVYEHMSEGNLNDKLRGLEYLHKACSPPFVHRDVKTSNILLNANLEAKVSDFGLMKAFNHVDDTHVSTARMIGTPGYIAPEYAMTRQLTEKSDVYSFGIVLLEVVTGHSAILQSIEPTHIVQWTRQHLVGGNIEEVVDAHMQGDYNVNGLWKIMDVALKCTAQDPAQRPTMTDVATQIQECLELESEGHTSSNANSRVDMNNGSIGDYSLPV >cds.KYUSt_chr6.6301 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38126044:38130075:1 gene:KYUSg_chr6.6301 transcript:KYUSt_chr6.6301 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK inhibitor (CKI), Seed developmen [Source: Projected from Oryza sativa (Os02g0762400)] MPSSPRATENGSSNCCIFTAFFVLADLSTAPASIANLTSMLGSNNNEAGMGQVFVVSIFEANLPSFSTIRNQQVTNDFRDRKRRYPQQPDQVDGAVRRRGGAPVAVRFGSDPVPAPGLNWRGICVGAREPRTGESIQTCKGAAAAAYRPTPPPHRTAHRETAKDKAENAKEARTMGKYMRKCRGAVGDEVAAMEVTQAVGVRTRSRAAAVAKRTRRPLPPSPLRAASAAVAAAASPGGGQTGGSCYLKLRSRMLFMAPPPPSTAAAHEAPALASGLSRCSSTASSVDASSPAPHDRTLPCRSDAAEAGSDNVRQGSASGNDNSESGRRDHHRERRETTPSSRHLPGESSDLESDLAGRSGSRSLPSALTTSAQLQAAARARMPEAAEIDEFFAAAEEAEAKRFASKYNFDVARGVPLSAGRFEWSPAVAST >cds.KYUSt_chr3.7697 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44271738:44272639:1 gene:KYUSg_chr3.7697 transcript:KYUSt_chr3.7697 gene_biotype:protein_coding transcript_biotype:protein_coding MATISTTSTALSMKLLVDTRAQRVLFAEASKDVVDFLFSLLALPVGTAVKLLGKESMVGCLGSLYGSVEKLDCTYVQPGAAKDALLHPTVLSPAFSNKSSLLGLPAAALSPGATKPKMFYRCNKGCGPVGGFGAISTCGSCRGYITDAYATECPSCRSQMTTKLTLVPAESEDQVVAQAAAVVSGKGFVQGIVTYTVMDDLAVAPMSSISSITLLNTFAVKDIGALQEKTVHLGYKEGLEILKASLQSQTVLTDVFLGKKINSGA >cds.KYUSt_chr4.21462 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135166606:135175071:1 gene:KYUSg_chr4.21462 transcript:KYUSt_chr4.21462 gene_biotype:protein_coding transcript_biotype:protein_coding YALDALVGGDFLHCNGDQAFNAIKKLVASHDLANNFDSALISIYNRLNNLEISTSRLDDNYHHVRNRLEQVLVNSKPSLWDPTVKIVIGDQTLRANCDIMSEFCLIPESIYKSLKLWGVDEGGEEITLIDNSVIIPKRIAAGTGKITLGRSLLKLLGAVIDVGEGILDFTSIPGGNHTFPKSKRKKNNKKGKGKAQGSRLARNLWCCAALHPAAINLQRASWLLLMEMNNDVVTDSMSDSSDWSTSDDSDIDELLQDDDVEMMSLLVEVQSFEDRAKLMDQRRGSTMGRTTIYRNRALGHEHLMEDYFAEINGNDYSMGYYLTDGIYPEWATLVKSIKEKNGVPLSRKEAHFTRAQEAARKDIERAFGVLQASSGAPHLSDGMSHRGKTAKVSPPSRVDHLSALPDSVLQYVLGFLEAREAVRTCVLARRWRHLWRLIPRLSVTDADAFRSVEKLNEFVNRLLLPRDQSSVLDECEFDLRGFLRLDDASVDLWIRHVLMCHTQVLQVHFHANLQTTQDQPLVTLANQPLLSQHLWRLELSGISLENSFLDFSSCLVLKHLKITNCVVDTDKILSRSLKHLSIMGCELCWQLHPTLISAPSLISLQLADYVGVTPILGSMPLLETASVSLGHQNEEYCDFCDNGGSEDCDCGMVYLEDDCAPDVSVHLLGLSTATCLELIASSKMVTFKRDLRYCLEFSKLKSLLLSDWCLVADFQTLLCFLHYTPALEKLILQLCQKPKSEELDGSGLMEESLTLKKLKIVEIKCQMIDERVHNIFRILSDSSISLEKINVQKL >cds.KYUSt_chr1.10396 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63695370:63700511:1 gene:KYUSg_chr1.10396 transcript:KYUSt_chr1.10396 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGSIDRLVLLLLLLVSSYASSAFANGILGRKGGAIINQVPELASAAPGKYAVIFDAGSTATRLHVFSFDKKMELLKMGDDVEVYATVEPGLSSYAGRPKEAANSMLPLLEKANGIVPGSLMKETPVKLGATAGLRLIGDDKAEQILEAVRDLVHTKSKFQYNPSWINVLEGSQEGSYLWVGLNYLLGKLGGDYAKTVGVIDLGGGSVQMAYGISPDAAAAAPVMPDGKDPYVTKEYLKGRDYNVYVHSYLHYGALASRVEIFKAKNGPVSYCMMAGFNGKYTYNGEQYDAIASPEGAAYEKCREDVTKALKLSAPCETKNCTFDGAWNGGGGASQAELYLTSSFYYMALDAGLIDSKATSGKTTPAAFKASAEKICPMSFQEAKAAYPKFRASDAPYICMDLIYQYSLLVDGFGLDPTKEITVAEKVKIGFIWVRESPPKLTEYSFTDDPSSEMLESATGDSTSTSALEWRCRATDADDMSISQLMVLRRTWTWVQEVAEAA >cds.KYUSt_chr1.24542 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146677114:146683586:-1 gene:KYUSg_chr1.24542 transcript:KYUSt_chr1.24542 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPKQKAARAHKPRRFSMLDKLRKRYRWSWLLWAALSAYLFLPALPSLRRSSSEPRPGVRIYAYDLPRRFNRAWVDADARCARHLFAAEVAVHEALLQRQRRSGLRAEEADLFLVPVYVSCNFSTPTGLPSLAHARGMLADAVDLVRADMPYWNRSAGADHVFVASHDFGACFHPMEDVAIASGIPEFLKRSILLQTFGVQGRHPCQEVDHVVIPPHVPPEVAQELPEPEKAHRNIFAFFRGKMEVHPKNITGHFYSRKVRTELLQLYGRNHKFYLKRKQYNGYRSEMARSVFCLCPLGWAPWSPRLVESVLLGCIPVIIADNIRLPFPDVLRWPDISLQVAERDVSSLEAVLDHVAATNLTTMQRNLWDPVKRRALVFNRPMDKGDATWQVLKELEAKLDRSRQQGSKRVAVVTGGNKGIGLEVCRQLANHGVSVVLTARDEDRGIAAVEKLKALGLSDVVFHQLEVNNAATGGNEQLDDAMISGVEKFNGMDVHQRLEWMKKNTRETHEAAKQGVQTNYYGTKHVTEALLPLLLSSSDGRIVNVSSSFGLLRHFGADEVELRWQLDDVDSLTEKRLDELLDAFLGGFDHSSAAEMRGGGRTAGFSAYKVAKAAVNAYTRMLAKRHPALRVNCVHPGYVRTDFSMNSGLLSPEEGAHGVVGVALLPNGGPTGAYFAEGQLQASFV >cds.KYUSt_chr5.14776 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95616825:95619536:1 gene:KYUSg_chr5.14776 transcript:KYUSt_chr5.14776 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPQPPRDLAHAAPPPQDLASIGLGLAAARSLHHRPPRHGKMPPGSVEHQTISGSLIHSMIQFCGAPEYFMFNDPQPVISPQMAAEPSPNVPAQYFMFNDLQPVISPQVIPPQIAAEPSPSLPAAAISRAANTEADNPEEWEFISDESLNYISRMLMEEDIDEKVSMYQEESATLRATAKPFYDILGHKFPPSPDRRLTPWSLDSPGDSSCSGSCSQAQSLSSVVTSCSIGGAVDSNQPHNVAHCEQLEAYRGFCGRSSQPLLGPSTGVSEGLEDALITNGRIPEYLFESLPTWDFRRGVEEAQKFLPCSDRLVIDLEAADVSKPQDAAAKDKDAPSRTEVLKAKKNRQSQDLDLIEGRNIKQSAFCSDEPDWIEMFDDLLRETEKKATVLREQMRTEASKNSQVVQAKSTTGVRTRGRKPTKNDVVDLRTILIHCAQAVAADDRRTANELLKQIKQHSKVNGDGSQRLAFCFAQGLEARLAGTGSQQYRRLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMTKNASKVHIIDFGIYFGLQWPCLIRRLAKREGGPPTLRITGIDVPEPGFRPTERVEETGQRLAEYAKKFGLPFEYQAIASKWETIRAEDLKVGKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHYSALFDMLEATVPRDDDQRRLMERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQDIVIKAKDKVKDLYHKDFVIDEDSGWLLQGWKGRIIHAISTWKPNNK >cds.KYUSt_chr3.25663 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159321049:159328082:-1 gene:KYUSg_chr3.25663 transcript:KYUSt_chr3.25663 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFHHSSPTPRAPKSPAPHAEEHTRTPARVPPPSSPAASKASAFARSLGAYFPRSASAQVQPARAPPEVAGLLRAIEQLQERESRLRVELLEQKILKETVAIVPFLEAELAAKSSDLERYRESAVRLESENLRLCAELDAAVLEATSRKQRIVQMEKEMTELRKQQEALADDCCSSSASVSVEHCESSIAAANTASLAPLQPPAFVSKSSFPASMHASPASSSSSSASSSTSTSPTNSGSSSSCTSPVPRTRVPELSKLPPIPPPPPPRPPLVSSSPPSSLGVCGSAGAPPPPPPPPPSRRAVSRACSPSKSGSCVRRVPEVVEFYHSLMRRESKRDGGSGGDPANSGGAAATRDMIGEIENRSAHLLAIRSDVERQGDLIRFLIKEVEAAAFAGIEDVVAFVKWLDDELSRLVDERAVLKHFEWPEQKADALREAAFGYRDLKKLEGEAASFCDDARLPCATALKKMQALFEKLEHGVYDLARVRDSAASRYGRFKIPSKWMQDSGIVSQIKFQSVKLARKYLERVSSELEAIKVGPDEEELMLQGVRFAFRVHQFANGFDVDTMRAFQELKEKASMCHFQRQRQNQRLRQQTLVART >cds.KYUSt_chr6.15770 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99248543:99253050:-1 gene:KYUSg_chr6.15770 transcript:KYUSt_chr6.15770 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSDHAHRTTVDILPDHGDTLKSDLLIPEIKIHSDATWKTKKAPGRADITSSGIGIFCQIQESNFKANVSIQASAPMTSSVLQAEAEALLLAARIASTFQLQDITFLTDNSTLAKAAAVRLVSHSQVPWEIRNHIAGYINLTQSLAKNMAMVRRCLCRSEEDLKEVLRTTNIQLFSYKEIKRATDNFDHVKKLGRGGFGTVYKGTFGDGTAFAAKVLSSESEQGIREFLTEIESIAEAKHANLVRLLGCCVQKQSRILIYEYAENNSLDHALKGSGCSAGGATDLTWTVRSAICLGTAKGLSYLHEEHEPNIVHRDIKASNVLLDGSYSPKIGDFGLAKLFPDNVTHVSTRVVGTTGYLAPEYVVHGQLTKKADVYSFGVLVLEIISGRRVSQSIRSDTFLVRQAWLFYEQGRPLDIVDASMKDYPEAEALRFVKVGLACTQAAPSGRPMMRQVVKMLTRPAAFLELEMRPAAGWPVSTSSASSITNSTSITCTEIVPR >cds.KYUSt_chr3.8787 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51176644:51178309:-1 gene:KYUSg_chr3.8787 transcript:KYUSt_chr3.8787 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDLAAIQQQQQQQQLALAAAADENMSNLTSASGDQTSVSSHPLPPPSKRKRSLPGNPDPDAEVIALSPRSLMATNRYVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRNPNEVVRKKVYVCPEPGCVHHDRARALGDLTGIKKHFSRKHGEKKWKCDKCAKRYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVAVDPGMLYQHGGAAGFQMPAVMDASHPLGAGHGLIQELCLKREQQQQQQQQQFAQPWLSEQQQMEMAGEGGAPGMFGTARMDQEFNGSTTPESSTQPAGMGFASFSSPAAGPSASGSTHMSATALLQKAAQMGATLSRPSGQGQMAPSTLSSSSVGGTANNNAPAAATTTNSATTSTAIGAGFAHTFEAPAHFGVHQRSNSSSRNAGNGATGAGGSGNAGGGNDGQTRDFLGLRAFSHGDILSMAGFDPCMPTSASASAAAYDQQGHQSSEPWHG >cds.KYUSt_chr4.14417 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88930366:88932855:-1 gene:KYUSg_chr4.14417 transcript:KYUSt_chr4.14417 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLSRRLLPAFSHGTLPPRRDLAANAALQWLEDELTSLTLPGPGPGVDSHACAKLLQACIARGDARGGRAVHGHVVRSGGLARLDLFCANVLLNMYAKVGPFASAHRVFDALPERNMVSFVTLVQGYALRGEFDAAVALFRRLRLEGHEVNQFVLTTVLKLVVAMDALGLAWGVHACACKLGHDRNAFVGSALIDAYSMCGVVGDARRLFDGIVGKDAVAWTAMVSCYTENNCPKNTLQIFREMRMAVPKLNPFALTSVLKAAVCLSSVVLGKGIHACSVKTLYDADPHVSGALHDMYAKCGNIEDARLAFEMVPYDDVILWSFMISLYAQSNQNEQAFELFIRMMRSSVVPNEYSLSSVLQACANMPLLDLGQQIHNNAIKIGHESELFVGNALMDLYAKCSDMESSLKIFSLLRDANEVSWNTIIVGYSQSGFGEDALCVFREMRADWVPSTQVTYSSMLRACASTASINHVGQVHCLIEKSTFNSDTVVSNSLIDSYAKCGYIRDARRIFETLKEPDLISWNAIISGYAVHGHAADARELFDRMNQSSIRANDITFVALLSVYGSTGLVSQGLSLFDSMKLDHGIKPSTEHYTCIVRLLGRAGRLHDALNFITDIPSAPSAMVWRALLSSCIVHKNVHLGRFSAEKVLEIEPHDETTYVLLSNMYSAAGSLDEVALLRKSMRNIGVRKETGLSWVEIKGEVHAFSVGSEDHPDMRVIHAMLEWLNLKATREGYVPDTNVVLHYVDEEQKARMLWVHSERLALAYGLVMTPPGHPIRIMKNLRSCLDCHAIFKVISKIAKQEIIVRDINRFHHFKEGTCSCGDYW >cds.KYUSt_chr2.52948 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330352985:330360513:-1 gene:KYUSg_chr2.52948 transcript:KYUSt_chr2.52948 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMSSLIPKLGELLIQEYNLKESVKKGIGDLRDELMSMQGALVKVKIWANEVRELSYAIEDSLDSFLVRVEGVDQQTKPKFKHLLKKARNKFTKFNARHEIAGDIKDIESQVMKIKERYERYKINDIVANLASTTVDPRLSALYKKVSDLVGIDEPTNELMKVLFEDADTSQKDRKIVSVVGFGGLGKTTLAKALYDKLSNTFECQGFVPVGQNQGAKKVLSDILFELDIDLYRAAERMDERQLINQLQKFLAGKRYFIVIDDLWDIQTWEMIKCAFVDSHPESKIIITTRIVDIATRVGRIYRMKPLSDDDSKLLFYARTCGGEEVSWDNQPDEVINKILKKCGGVPLAIITIASLLVGKPSADWCKVYDAIGFGHEDSEVIQNTRKIMSFSYYDLPSNLKTCLLYLSMFPEDYFIEKKSLIWRWVIEGFVRDREGMGSYELGEIYFNKLVNKSMIRWIEPDELDFEQGGCRVHDMVLDLIRTMSSDINFVTVYDMEQHNTHLLGKRTNMVRRLALHGRSVEHSSSIEMKQVRSFNAITCGDSKLPLLLNFNVLRVLVIEDCGFSEGHSLEHLGKLVHLRYLGLVMSKVNKLPAGIGHDLKFLQILDVRGGYISELPPSVGELHNLRCLWADEDTSMKGEIGKLTCLEELRLYLVDECPNFFTDLGKLTNLRVLQIQFDECEETAGKALAESLCKLHKIQSLIIKRFNFNEDMDCFKKEFYVRFGSLEDLAPSSKLRFFCLQSIVIPRMPSWINSFCVPLLSYVWLYVEVVETQGLQALGRLPALLWLFLESGEEKCISYTFGSYEFHKLRCLATNIEITIGEGALPMLERLAYRVRAGRKDNLVPWNNSSRLLEAVCCRLDCANSGRREVKAAKAVLRKAGLAHPNAEELDIEIFVQNYRRKAARLIDALGSILHGLDRPDGEEITADQRELRCMIKSLETLLRDDDEPRVGRYGEQELRGFVTKFKSLLHDEAATDQEEELDSSDATDGEDDTDTEDDRDDDNGDNDDDNGADDDSKQKELESHAAAPFGVPLPQSRVGTATLLLLITFRSRGPRRWRPYWGRPAHGWGLPGSSQGCGMRRSSDGNLTVSYFCTALSALFAEESAELSRPAYVGAEQGSNLEDEYFFKALPVVQKRIAQGGVRLGAILNRIFSGNSRLQSI >cds.KYUSt_chr7.16276 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100812237:100814186:-1 gene:KYUSg_chr7.16276 transcript:KYUSt_chr7.16276 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRRLLLLAVIVVAIVFLPQVFSQLPNICGTKANGRYACPDCSTSAAATSNRSAGFEANLLELQASLQNMAPADASFLNATFATTDGDMADTVYGLAMCFADAEQSDCSACLAGAAAELAGTRCAGHKDMVLWYSHCLVRYNDTAFGTADTSPAQRFDVPNPNNFSNPVSLGVARQRLASRMLPAAAASGVRFTFDSEEVTANTTLYGLAQCSRDLLAEECSRCLASHTAWLAGCCADMDGVRLNGPSCYLRYEFMGFIPSMPPSMTPLLPLAPASVPGTPSGRKNARIYILVGTLSALALLSFFLGALLCYKKKRYFSLPPMPWKRDTPKIESFLQRQHPRRYSYSQVKQMTKSFAHRLGQGGNGVVYKGSLPDGHEIAVKMLKDNKDVDGEDFMTEVASISQTSHVNVVTLLGFCLQGRSKRGLIYEFMPNGSLERYSFGQATEHSLGWETLFDIAVGIARGFEYLHRGCNAHIVHFDIKPHNILLDRDFRPKISDFGLAKLCSQKESTIAVSIVGARGTIGYIAPEVFSRGVGAVTSKSDVYSYGMMVLEMSGARRSMDADEVPGSETSNSSKYFPQCLYEGLDQFCTRACEIDSEATELVRKMVVVGLWCVQISPSGRPSMSRVVEMLEKSTEELQLPPHGP >cds.KYUSt_chr4.48233 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298655521:298657860:1 gene:KYUSg_chr4.48233 transcript:KYUSt_chr4.48233 gene_biotype:protein_coding transcript_biotype:protein_coding MEISDGGLGLGLAAFIANGEDLGPMIRQGFDCGKPEALMQSLRGIVKKKEVEIEELCRLHYEDFILAVDELRGVMVDAEELKSMLSAENSHLQEVSTAVLLKLDELLEACSIKKNVGDALKILKICVKVISLCMACNNYIAEAKFRPALKTLSLIEKSYLQNIPVKLLKMVVGRQIPLIKLHIEKKACGEFNDWLVHIRRMAKQIGQVSISHASLARQKDEEIRARQREAEENSHAGPDEHKYTLDLENTDEETALDFDLTPVYRAHHIHMCLGIGEKFRDYYYKNRLMQLNLDMQISTSQPFLESHQPFLAQVAGFFIVEERVLRTADKILTQSQVEATWETAVAKITSICENQFSRMGTASHLLLVKDYITLLAAVLMKYGYQTRPLIDILDKSRDKYHQLLLTECRKQVDDVLANDSYEQMLIKKEYEYNMNVTAFHLGPSDVLPDFPYLAPFSASVPDVCRIVRSFIEDSVSYLSYGGDMNLYDVVKRYLDRLLIEVLNNCLLNRMYARSLAMSQMMQLAGNISVLEQACDLYLLYSAQQCGIPKRVAERSRSSLTARAVLKASQNAAYNALINMANSKIDEFMVLLDDVNWIVEESPDNANDYMNEVLIYLETLVSTAQEILPQEALYKVVSGAMSHISDSIMATLLSDGVKRFTASSVSGLDMDLNLLEAFADEKFHITGLADLGKETTFRDRLVEIRQLVNLLLSSQPENFMNPVIRGKNYGSLDFKKVAIVCDKFKDSADGLFGSLSNRNTKQTARKRSLDVLKRRLKDFG >cds.KYUSt_chr6.6390 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38715831:38717683:1 gene:KYUSg_chr6.6390 transcript:KYUSt_chr6.6390 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKTPAGAHNKAVIGVWTVRGGDKEPRRGCRPPPEVLVVGFLGTMALLVLSFGGGGLMPIGLPRAELVQRPGRDVASPVHGDPDRDKPPSSLRQDQADGRLLSGLLSPAFDDKACRSRYASYLYRRSSPFRPSPYLVERLRRYEARHRRCGPGSPLFKEAVEHLRSGRNAARSECQYAVWTPFNGLGNRMLALASTFLYALLTDRVLLVHAPQEFDGLFCDPFPGSSWTLPAGFPISDFEGTFTMASPASYKNMKRVGEISGNRLINVTAEALPAYVFLDLIQSYTGAAFCESDQRVLAKFNWMVVKSDVYFATAFFLVPAYRRELARLFPEKEAVFHHLARYLFHPSNDVWGTLRRLYEAYLAGADERLGLQVRVFPELPVPFETTYGQIMRCSAQEDLLPKVARDDGAATSSSAVPPPDGANKTTSILVTSLSPEYYERIRGVYRSNMTDARGHVVVHQPSHEGAQHTEARGHNQRALAEIYLLSFCDRIVTTAVSTFGYVAHGVAGVRPVVLLRAPSPEMPAEPPCVRSLSVEPCLHAPPQQMCDAPEGSDIGTRVPYVRHCEDVHRGVKLFS >cds.KYUSt_chr6.31132 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197300907:197303412:-1 gene:KYUSg_chr6.31132 transcript:KYUSt_chr6.31132 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQGSSARRPRPLGLVGLRTATFAPPLVQRNLQPVVPPGNPVRHVGLMRPHGSSLVGGINTIFSGGSMAGTSSGSGAGTSSGSGVGTANGSASEPLQAVRRVGLSRRRQLSRASSIVTADPPLNLGGGFRIDTSSAFFQHGRSSGMGGNMDGIGSSSFVHAESSDQGERRSFGVENNASGQGYRGPEYNPNFENADEYPPSQQHVQANPPPAIDLNVMANKPTRTYYSTEVKRQIYSWILQRNGTSTKMKRGVSAAVAELAKCPRRVVTRIWRQGLKEGGINNVKCRKKMKCGRKKINLDIEALEAIPTNERTTLRQVAAAMNMPTTTIFRRLKEKQIRRVTSELKPMLTEENMKARVGYCLQHIEPSTFQDDDPTFKAGFNVVHIDEKWEKGEWELKPCTDVDKAKSREYLVKFVLPAIKAKWPASDRYKTVFIQQDNARTHIQPDDPVFLTEAARGGWDIRMVFQPPNSPDTNILDLGWFASIQSMFQKKMPKTLPEILSKVNQSLAEYPHEKLNRIFLSHQACMREIIRRKGTIHYDVPHLKKKVLERQGKLPIRLTIDKEYVDAAIEWLNANHVV >cds.KYUSt_chr7.9457 pep primary_assembly:MPB_Lper_Kyuss_1697:7:57696731:57698051:1 gene:KYUSg_chr7.9457 transcript:KYUSt_chr7.9457 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSQGLEVSAQGLGCMGMSGRYGDLKPEADMVALIRHAVDAGVTLLDTSDVYGPHTNELLLGKALQGGTRGKVQLATKFGITGTFQDVRGDPAYVREACEGSLARLAVDCIDLYYQHRIDTAVPIEATMGELKKLVQEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEQDVIPTCRELGIGIVAYSPLGRGFLSKGPKLVETLPEGDFRKSLPRFQPENMEKNAAIFERVSAMAATKGCTASQLALAWVHHQGSDVCPIPGTTRVENFSQNVAALSVKLTPEEMAELESFASVDAVQGDRYHGNYLKTWKDSETPPMSSWKAT >cds.KYUSt_chr3.34607 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217044445:217046064:1 gene:KYUSg_chr3.34607 transcript:KYUSt_chr3.34607 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRHLSAEFRSEIQTLSKVEHLTLVKFLGHLEHEEERLILLEYVSNGTLREHLDGSRGEPLEFSQRLNIAIDIVHAVAYLHGYTDHPIIHRDIKSSNILLTGQLRAKVSDFGFSRLAPDDAEATHVSTMVKGTAGYVDPAYLHTNHLTDRSDVYSFGVLLVELITGRRPIERNRGRQQRLTTEWALRKSREGEVVVAMDSRMRRTSAAVAAVERVMALAAVERVMALAAECTAPDRAARPAMRRCAEVLWSVRRDFQHEQQRAAGAGKLRRHGSTGAATYGSSAVRSVGSLK >cds.KYUSt_chr2.34530 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213212902:213213540:1 gene:KYUSg_chr2.34530 transcript:KYUSt_chr2.34530 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVASTGRAEIDTSRPFQSVREAVGVFGGERRAPGGRNGGSSRGSSASSSKFSVPPAASPALLGCLKKLEAELAEARSELADLKQRQSQMEMAVSSVSVQLANSLGIFSVSLNKGKELAVVDRSAMVGAEEEYGDGRVRSDLWVDDTRAEEWIASLDYLPSLSEALSIRMIEDDFRKVKSDKKAKKKHPKKQRKIAVSLVRGIFSKKGKSR >cds.KYUSt_contig_1253.491 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2998353:3000437:1 gene:KYUSg_contig_1253.491 transcript:KYUSt_contig_1253.491 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHDRKAPPARRMLALPAVCPCEAISPGTLLTSLLALAADVASRDAGAFPVLRRGAGEALRITRVLLAFLEELREASAAVTLPGAAVLGLSELHVAMQKLRLLLADCARRGARLWVLMNADLVASELRFVLGSVATAMDVLPADVVGSSAEAGELARLVSDQAWRAAVGPDADDGRAAWSVRSMLAKFRTSAAPHADDAKMVLGRVGITTWWDCAEEVAFLEAELLERLEDGRENDNDLVLISGLMAFLVYCRVVLFDRVDTKKKADATAPEPTPAASCPPWSPSQEALLCPITLELMTDPVTVATGQTYDRTSIKRWVKSGCRTCPVTGERLRSAHFVPNVAVRGIVQQLLLRNGVSLHEQPSSKHRSAVDKTAAAFGPAAAGGVRVAVACLIARLSRGTPEEQKKAAYEVRKLAKRNVFHRACLVEADAVPWLLHLLSSADASVQDNAVASLLNLSKHAAGRRALVEAGGLSLVVDAVNVAAKVEAQQNAAAILFYLSSNADYCKEIGRIPEAIPTLVHLMREGTYRGRKNALVSLYGVLQGAASIAKAVSAGAVAVLANLISSDREDIVNDSVALLARIAEQPAGATAILASSELVTSVVDSLGASSSRSGKDHCVSLLASLCRHGGDKVVALMGKMPALMPALYALMADGSPVAGKRARWLINEIHRVYEHRQPPPPVAPPAGDRVIRV >cds.KYUSt_chr2.47819 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299055046:299056119:-1 gene:KYUSg_chr2.47819 transcript:KYUSt_chr2.47819 gene_biotype:protein_coding transcript_biotype:protein_coding MRITRVKSHLRTPEPNQIVSLLLWLVLHCPCCAHLQIAFSPDPSLLNLVRGQAVLASSAPNPPRSQVSLILFSGTFQSGEAMSTVITKFAVTSMLMWMVPVAIVYGFNHQIFPGVGQLSPSAQTLASGFLAVISVNLVIGFYIYMAMKETPHQEPQPDPTFLANAKASINQPTSSQVSDDSHGKGKVE >cds.KYUSt_contig_815.123 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:702922:706406:-1 gene:KYUSg_contig_815.123 transcript:KYUSt_contig_815.123 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSLSGGSGGGSLLRRSPASAASLARCRVVPRSSRRRAPTRRLAASRADDSQPAPFEMTLEGALKLLGVAEGASFDEILRAKNAVAASCKGDQDAVAQVEAAYDMLLMQSLSRRRAGKVVDNSIRYADVKPIKTAGSAPQWMQSTLKNVPVTIEPPSSSNLGIQSSIYGALAVLTYASGTSTSLPSAYTGPDVPGFILATGFGASLYFLTKKNMNLGKAALITAGGLAVGATVGSGVENWLQVDIVPFLGIHSPSVVVTEFILFSQLLVSLFVR >cds.KYUSt_chr4.44377 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274986148:274993613:-1 gene:KYUSg_chr4.44377 transcript:KYUSt_chr4.44377 gene_biotype:protein_coding transcript_biotype:protein_coding MRISAGSLGSSWYRFRGVTSWYQSLRLTVYTWVGRSLPYPNRSDLRRTTEAHYQQRNNDFLLVVSTVLHGARILVSGVEEIDTSPEDPIVHLSPPYLEVILMGRSPVVPRPHLRPPQILHVAAHLRRPLPRPASASLDKSELDLISAMAFLSQNSALFWIHGWAKAQLSPRRQPSIQRQQQARSGPGQRSHFLVHANVDQHTAEPRGSLRRATDPLPRRRSELSSPVDNDDPQPSIHDLIQRLAFTDYEDEYQNPEEGPQDVYDN >cds.KYUSt_chr7.8661 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52483329:52485577:1 gene:KYUSg_chr7.8661 transcript:KYUSt_chr7.8661 gene_biotype:protein_coding transcript_biotype:protein_coding MSREPCFVAAILAVALLLPLVRSDADADAGSTPTTAYDELRLRGFPRGLLPANVRGYTLDAGSGDFTVDLVSSCRIVLPAGSYLANFNDRITGHLDDGRISGLSGIRVKAFFRWWSITGIHADGDDLVFEVGSVSAKFPARHFKASLECPAKANS >cds.KYUSt_chr6.5214 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30954192:30968863:1 gene:KYUSg_chr6.5214 transcript:KYUSt_chr6.5214 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQNDESEGIKFNAAHLMQTTEEVAKAFISAASAATVQSTRPSVVYSSGEESGSPMRKLQQQFSKIMKGFSNSPEVSGTYNPEILTTHKRQWSRFQLKSLGNRCIKEPSHIFESIVIVGLPPQADIHELENIALGRNDDDAKKSRNIFGNNHHQVHAVSNLEPQVLFTYPPERSLPLKYKDIVSFCLPGGAQVNAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDPTLYGCCVLVEEIVQRPSKLVSMLTNEKPVFPRLSRYVVTTPRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSMLNSLSPEEICEDDNSREGTEVVGQKLYFDGTIVEISSEPNMDVSKQLSDTDSSSGCRENQLDFISQEGQQESGSPLEGQNDLATGTATQCDTAEEPDNCVSYTAEEPDNCVSDDTTTDMSGVKTHELNSIPVVPNESDAEKNLNVSDDATTDVSGVKTHELDSTPVIPNESDTEKNWDFSHEIVYDGELDIFVNDTILPLIRSRLSEGSESPPSSQDSPSESINSRNDTHDMDLEEPSSIGHGDVVGHNSILQWAKAKKYGSLQVVCQYYQLQCPTRGSSLNFHPLEHLHSLKFHRPGETALHLAGSTIDLRSRDTSLEVAEMRNALYAEEESTALSTWAVASLCGCLRLEHVMSLFAAALLEKQIVIVCSNLGMLSASVLSMIPLIRPYQWQSLLIPVLPNDMLDFLDAPVPYIVGVQKTSDLQSRLANAVIIDANKNQIKSASLPQLPQQRELLSNLRPYHSRLVGESYLARKRPVYECTDAQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNNDKVSLILRESFIGSFPTRDRPFMKGCLFCCDPVVDSSCVLAVTWWTDKPPSPSNTRSLALGTRHVRLASLRAALGADDSVVMDEGGAASIMNSDSSSDELSMKVLNKNSLNLLRTIRGQQGKINGLSTWLKRQGKWTRFSYLKIGLTIG >cds.KYUSt_contig_1407.71 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000141.1:351127:351603:1 gene:KYUSg_contig_1407.71 transcript:KYUSt_contig_1407.71 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKPTRAIVGETFLYLFFLVYEHLHGHMILPPSWMLDTCPSSLFIHPELAKPYPSFLPPQQPPPPPSLPPPKPTESTIDVYHSPWPPTRPPKPWNGATTVPSTSWFTSRSWARVETAKIFHAAVDFYPCLTFFLAGDLPCRIFLVVDTSRVSSCMT >cds.KYUSt_chr2.38212 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236560844:236562027:1 gene:KYUSg_chr2.38212 transcript:KYUSt_chr2.38212 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWNDGESDEDDCLSDFDYGHGLGPRKPDEEMCDKVEWVDGPWPPPLQRSLVKLWAMHDEERDSRIHGNVEYATRNYQLTLQKKELEKKNMELHKQVGNALEYVSEITSHDLELEVAKREKAEQEVISLREEKKRLEHELAKRPKTDDECSTLKEEKKRLEYYVAELLKQSHALKDKMKKIAEICGE >cds.KYUSt_chr6.20443 pep primary_assembly:MPB_Lper_Kyuss_1697:6:128790928:128791377:-1 gene:KYUSg_chr6.20443 transcript:KYUSt_chr6.20443 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSADVPDVAGSYRVCDTVVLFCIALACGVSVLALAVCFRRVFEQAGYAPGGAASASSGAAGRRRSSRRSGLAPSELAAIPKSTYRRCAVAGGWAQCAICLAVMPDGEVVRRLPACGHMFHVECIDTWLYSHPTCPLCRCDVGQDKV >cds.KYUSt_chr6.6242 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37725021:37726310:-1 gene:KYUSg_chr6.6242 transcript:KYUSt_chr6.6242 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATAPSSPPPMQEFRFDSALLDDAYHADSSPSRNPFAFAPADDVNPFLASALTAPPSPNPFGLLPPDDTAGDPFDLFQHFASAPASPSRAAAIYAQFSGANHDDDGADRDAGHDNDDDDGFQPRASYSTRTVPSAVPFEWEERPGKPKHGYTSSAAATTNGDAEEADFDFGVLLDRNAQKAPELTAAEELFDEGKIRPLKPPPRLLESGSVGSSPRSARSTMWSPRLRGLGGPGPDSDPFATAMANASKAPALSPLGAAAKESVPIHAGTDTVPMNPESAASPRSVPLTTAAGNGGGRKKWRLADLLLFRRLSAKGRATASDNVSREPVFKYSPVQHLGTPVKKTEPVAGGGDVSAAVGKQKKQSKYAAASGGGDGNGMAAARHKQSLMGCVRLNPGLHRLAKGLNVSSTSHFGRRNGTTRTAAMHG >cds.KYUSt_chr4.11735 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71367871:71369025:1 gene:KYUSg_chr4.11735 transcript:KYUSt_chr4.11735 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPSTSLFLFHRFVRTDPNTTSDIPFQGPVLTSQDKQAVGFEEKQDEADSIVRDFVLAADLKGLPLFSSLFLGGGSRYTCIHTVDKDLILIASDFPGDNSVEVILIYNAIDRSLRMIPTMPRTYPSISSPIVLVARQDDEDSSYTLVYPGTDAEGDAVLYMWPSSSKSPWSTVKKSHFCMPWRRFRAGEVFSFRGRGYWVDLFVGIMYCDCGDLISDVIDRVDIRTLDLPVECPVEIEFQKKVFRAIGPVGDSIKFVDINDYRDRKNCMVRVWRLLADMTWALEYELRFQSLLAGGQFKGDAVPDFMNPMHPFLSPHEDHVIYFAVGTYDILPVPFAMLRVDLCSKTFNRTELLSPMAHYSLGVHVPLYTNTEGRLLGLTA >cds.KYUSt_chr6.6049 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36306942:36308684:-1 gene:KYUSg_chr6.6049 transcript:KYUSt_chr6.6049 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREEESAAFDALDDSDDPFEEEDGVPWEEPTHVTRKRGRRAGKKVAARPSRPVRVPGAYDDYRGLCLLCTQPGHRAAECTVGPVCLRCGEAGHMARECSLPRQPRPPSPPPEAMETARQRGDEVGRGRRVVDGAGDHRARAPEVHQAARDVRRDAGRRVVRRRVDAPARAAAAPRRAMDAPRRADPPQRAAFGRQVAQVSMVVAAAGDARAVAPVPVGERAVAPVLPQARRDEGPPRPRRGADGQVAPLALRAPAVGGELARRPARAACVLPRTVEIDEAEAALSNALLAVIVGVRRAVTTEEVSMALEDIHRLPPGSFSVHCHRPEDFLIFFATKEVRDRVLREEVIASPFFRLLLWPWARRTHAASGGLCVHAEIEVEGVPANAWNLAMAETILAPAAWVERLHPLTRSRADMGTFRLSAWCLDPALIPREVDLHIVEPDEPPSLEDLAAPAQAVIPPHINTLAYPLLIHVTSTADFRRSTPRQGPGDRVVDGDGSTPAWPTRRQYTYTRGIPDVLPGNGGGGNGAVHPAPSGHGGGGHGGNTRVLASGAIVGELAVDRSTARQEEEAWWTQGPRA >cds.KYUSt_chr3.34303 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215207333:215209606:-1 gene:KYUSg_chr3.34303 transcript:KYUSt_chr3.34303 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLAQIWREWEIQILVLLSFTLQVFLLAFARIRRRKGSAVLRILLWLAYLMSDSTAIYTLGHLSLRTRSSGSSEKHYLMAFWAPFLLLHLGGPDTITAYALEDNQLWLRHLLTLAVQVLGAAYVLYQLYVPSTGRSLVMAASVLMFVTGLIKYGERTWALKCANIDSIRKSLDIGVCDPDSDGSRRPYDGRRRGELDAEEVLLGAHYTFNVCKSLFADSLTTSVPEHDAMNRGIELNGGKYMYELIEMQLSLMYDILYTKAAVIHTWYGWCIRVVSPTATLTAFLLFQLDNNDNIDAYRVDAIVTYVLLLGALVVETTSLFRAIGSSWTCASLHVRRWDLLYGALVCIRRRFKAANSRRWLYSIGQYSLLGFCTRDTTEWRSRITKRVGLGDWWNKLHYSSTTEVSQSARDLVLGAIPRRELGDMRNARGRWILQKVGLYDEISWSIDDADFDQSILIWHVVTDVYLCCRETAPPAAAEAGNEQAQDQSADDLLAKTVRELSNYMMFLYVVRPEMLPGPVRGSRYDNNCHGLDTLWQQRSPELQGRDDYGARTPREHLARLLLREYGDDSDDHGSGTSSSSSRHADVSSIQIEDNNPHGLAYIDGAGLAGMLLSDEWGVPDVLQMIAEVWIEMLCYAARHCSEVAHSKQLSNGGELVTAIGLLVEYTARSNFHRDGLAAANGTAPAGTSMVDGDASTRAAEISTTDADADRRAAEISTADGDANDDASTRAADISTADADANTRAPKLSVDPDVC >cds.KYUSt_chr6.19025 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119762764:119764486:-1 gene:KYUSg_chr6.19025 transcript:KYUSt_chr6.19025 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPVSATAQGVSLWSAMYVIANTLVDPFPSFGHILPIARWSGQLGKLVVLLELIVTRFGDFVPRRLAVLGLRPEPPEPLCRRHEPPLLRPWSGPGEICPGETGTGDDG >cds.KYUSt_contig_528.309 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1866864:1873367:-1 gene:KYUSg_contig_528.309 transcript:KYUSt_contig_528.309 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHYQATTLIASPSYPNAITWSSENLVAVASGHIVTILNPAALDGPRGLVVLGPSNPFPIDLLEPCLLPTCLSRDTEPCARSVSWSQQGFAPNSGCLLAVCSGDGRVNLYRPPIFEFGDNWVKFLSYIRKRQIMSKLLRFEGYVYDEDEDGLGASKDADFSPNPCSRLSKGFMKKVTTNSSAPVSSISLSAPAQPRDEVNLAIGRVSGSVETWKLDLCNNKIENSSACHAHDRVLSVVSDRCFGLTLAPGELMIAVVRSLDPNMLDPMYQARTQKAVVEFIWIGGQFLGIALHKNIHISSEQSVMLSDTNFLWWGSNIFWSLKKYEKGMVDKLVPGTFFTMTTSPLQVNHDGELLYSAPVVPLCPFCGILLQRLMPEFLLSVSPV >cds.KYUSt_chr3.21659 pep primary_assembly:MPB_Lper_Kyuss_1697:3:133134396:133141727:-1 gene:KYUSg_chr3.21659 transcript:KYUSt_chr3.21659 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPSSSFVSFIHLLLAALVATAQNAPSQVRVGVILDLKSPVGHRRRTGIQMAVEDYYAAHPASATKVVLHFRDSEGDVLRAASAAVDLIKNAQVQAIIGPPTSAEAEFVSHIGDRAHVPVLSYSATSPELSAAQTPFFVRTTANDSFQTAPVAAVLRAFNWRAAAVLYEDSPYGMGILPALADALQGVSAKIMDRASVPSDADDARIDAVLYHLMAMPTRVFVVHMLYPLAARLFRRAKKAGMMSEEYVWVATDGVGGFIDMLSPEDIDAMQGVVSLQPYVELTDDVKNFSARLRERSRLENPSDADVLDLTLMRLWSYDTAWAIASAVEAAAVPSPAFQTPQQGTALTDLDRLGVSATGATLLKAVLTTTLDGIAGKFKLVDGQLQLQAYEVVNIIGKGARTVGFWTPEFGITKDLSPDSAKLLKQILWPGEPRSTPKGWTVSPNGRMLLVAVPVKNGFKQFVDITVNSTTGETKITGYCIDVFDEVMKSLPYPVSYRYVPNNDSFESYNNFVNLVRDQEVDMIVGDVTITASRMAEVDFTMPFTESGWSMVVTVQKDTSSTMWIFVQPLSTSLWLSSLAFFIFTGFVVWMIEHRINPEFRGTPWQQFGLIFYFAFSTLVFSHKEKLESNLSRLVVIIWVFVVLILTSSYTASLTSMLTVLKLQPTVTNVRELQRRGHYIGYQEGSFIKSFLTKMGFEESKMRMYSSEDEYADALSRGSANGGVAAVFDEIPYLKLFLSQYCDGYMMVGPIYKTDGLAFVFPRDSPMTGDVSRGILTLAESEKMTKIEKAWFGEPGACRGPSSAAIGSSSNLSLQSFAGLFIITGVASSLMLLVYLATFAYRERDELRAAEPAAGSGSVSLRKLRAWMQHYDRKDLRSPTFKTWNDESLRNGNESGNRAPSPRWMGEGSQRNGATSPFSVSVRSEMNVASSVEETPPSDALDNSSEQEEEGATINSLEITQFPSFVQSSR >cds.KYUSt_chr3.19249 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118554593:118555063:1 gene:KYUSg_chr3.19249 transcript:KYUSt_chr3.19249 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTPPSSSWVILGSIPRVSTAADAADASVALTAPPRVSILTVSPRVFPNPPTPHFFPFVLAADPSGMLLLQANLRCTPTCEAIDRPYTKSVSWKLDDRRYFVLDATTCSAFQLPDPAATILHQALLGLVVSPGGGGHYMVAELQSEPYFSAPP >cds.KYUSt_chr2.13891 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87929774:87930205:1 gene:KYUSg_chr2.13891 transcript:KYUSt_chr2.13891 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNAAVFLLGLLLSCATMSGAARILEEETAPSKDEEHKPELPALPKVELPPSPEVHLPPKPELPKVELPPFPEVHLPPKPELPTFPAVHLPAKPELPKLELPTFPEVHLPPKPELPKVELPPKPELPTIPEFHFPEPEAKP >cds.KYUSt_chr4.45802 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283450394:283451113:1 gene:KYUSg_chr4.45802 transcript:KYUSt_chr4.45802 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSLLGKLTTLMGDEYRKLKGVRNKVVSLHEEFSSMNALLVKLAGMDELDVQAKVWRDQVREMSYDIEDCIDDFMHDLEVKGATTGFLKKTAERFKKLKVRYQIANKINGIEARVLQVHERRIRYKLDEYNPTTSIVHIDPRALAIFVDAAGLVGIDTPRDELVVLLMDQGQELKVASIVGFGGLGKTTLANEVCREIKGKFTCHAFVSVSLKPDFFFRNGSFAPASASIDAHSRFIA >cds.KYUSt_chr3.38134 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239953997:239959132:-1 gene:KYUSg_chr3.38134 transcript:KYUSt_chr3.38134 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSRRPFPSPRLPIRRLLRCRCTYSPVSSAPYHESFARRMALAGIHPHHRIAVGVSGGPDSMALCVLAAAWKKAVERKSEDKGSVAPGFVEGLLGVVVDHGLRLESAQEAQLVRDRVHAMGVMCEIATCEWPNGRPNLGHLQEAAREMRYQKLLDICIKQQIGVLLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFASQLFAPNLKYDGHNFCRYGILLVRPMLEFSKNDMYKICQGSNHLWVEDPTNNSMQYARNRIRASLRCLSPDGAFQSELQKLIYACRLTRVCVSNACNMAIKQSVTITEYGYAVIDLKKLDPQNVDDLSLSQYLACVLQIVCGMGY >cds.KYUSt_chr4.33384 pep primary_assembly:MPB_Lper_Kyuss_1697:4:204752243:204756444:1 gene:KYUSg_chr4.33384 transcript:KYUSt_chr4.33384 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLSPLVARTLHAPLLPASASASLLPASASASAAPHTSRLRALGSQPRRGCRLAVVSDKQAAAVVTEGSDEGGRYDAIVVGSGIGGMVAATQLAAKGARVLVLEKYIIPGGSSGYYRRDGFTFDVGSSVMFGFSEKGNLNLITRALEAVGCKMEVIADPSTVHFHLPGHLSVLVHREYNDFVKELVSKFPHEKQGILKFYGICWKIFNSLNSLELKSLEEPLYLFGQFFKKPLECLTLAYYLPQNAGDIARKFIKDQQLLSFIDAECFIVSTVNALKTPMINASMVLCDRHYGGINYPVGGVGGIAVALANGLVEKGSEIRYKANVTNVILEKGKAVGVKLSNGKEFFAKTVISNATRWDTFGKLVKVEELPEEEKNFQKNYVKAPSFLSIHLGVKASVLPAGTDCHHFVLEDDWSNLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWESLPRKDYEQKKELVANEIIQRLEKKLFPGLQDSIVLKEVGSPKTHRRFLARNDGTYGPMPRDKPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIDLEQRSPILDTGLLGVLRWFRTLA >cds.KYUSt_chr4.11410 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69393535:69394716:1 gene:KYUSg_chr4.11410 transcript:KYUSt_chr4.11410 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRQFVNMLVSDSVKCMYTLRRFDLSRNQFFHPTAEAAKVLPTWSPNQNKMETHSVAAKEKKKLVRPPPALVNMKPSICLTNPEMEFKMHCYPLTETSLFTDSSARVFRFDADTRCFTTMPSLHTRKYSPLAISVPASPPTTAISYKNAGEGGCLYIIDGMLKAGKGVQFEAMVYRSCDNFLNRNIGNAWHCDALPLPPYVNSKAYKPAPICSYALVGGDTICISTMGVGTYCFNTVAREWYKAGDWMMPFNGKAEYDPELGLWFGVSATNFHLPCAADISGVVKGEEPPPKQTQIWTDIDVPKGWHPRPSHPTQVVSLGSGRFCITEFFHTLAYASPFDPPLPDETFAVFTGVEVVLPPDGNGKAKCATMMKHKSVTCRTHVANVIKSVV >cds.KYUSt_chr2.9130 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57384239:57386531:1 gene:KYUSg_chr2.9130 transcript:KYUSt_chr2.9130 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDWLVAALSYLASLARRLDEFPRRVGTASSHAIDLIAMASPSSSHRDEPAPAPEEATRDWAELPRDALLTVLHKLGHVDILMGVAQVCGPWARAAREEPELWRRVDLRLHGRRLMPPRRTNSMAREAVRRSAGQCQAFWAEGALDHSVISLLADTSALSLKSLRLIYCDWIYDTPLALTITKFTLLEELELSNYRADFPKTCTAAGKACPLLKRLRLSSERFVKHSQVPADGEVAAIATTMPGLRSLQLFAKRLTNGGLAAILDGCPLLESLDIRHCFNIVMNDELCARCSLVEMLRHPHDPTDDYDLQFSSPDLDYPWVRMTPQTEYYDEWEFSSR >cds.KYUSt_chr7.14748 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91166448:91167366:-1 gene:KYUSg_chr7.14748 transcript:KYUSt_chr7.14748 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQATRGDQHLKAAQQEQPEAIQYGHVFAVTGDLAVQPIAPRDAEAMRSAEDSVPGVPVPQASGGGFSAAVAMETAAAYNQAVGAVHPGQASAAATMKGITVTQTAVPGGRVVTEFVAGQVVGQYSVADQAMMEQAQRQQQVVEEDTSKVTIGEAMEAAGLSAGERPVEEADAAAIRAAETQAQGEDGVLPGGLADQAWAAASANAWAERDEDKITIGDVLTDATTKLADDKPAEHADAARVVQAETYSDAGARTKAGGVGAAMTTAARLNQEDDDDDA >cds.KYUSt_chr1.40505 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248245067:248249335:-1 gene:KYUSg_chr1.40505 transcript:KYUSt_chr1.40505 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRLTLALLAAVLLHALLPASDAEGLVRIALKKRPIDQSSRVATRLSGDEATAATRPLLLRGSGNSGDEGDIVGLKNYMNAQYFGEIGIGTPAQTFTVIFDTGSSNLWVPSAKCYFSIACYLHSRYKAGQSSTYKKNGTAAAIQYGTGSIAGYFSEDSVTVGDLVVKNQEFIEATKEPGVTFLVAKFDGILGLGFQEISVGKAVPVWYKMMEQGLVSDPVFSFWLNRHADDEEGGEIIFGGMDPKHYVGEHTYVPVTQKGYWQFDMGDVLVGGQSTGFCAKGCAAIADSGTSLLAGPTAIITEINQKIGAAGVVSQECKTIVSQYGQQILDLLLAETQPKKICAQVGLCTFDGTRGVSPGIRSVVDDEAEKSNGLRSDPMCNVCEMAVVWMQNQLSQNKTQDLILDYVDQLCNRLPSPMGESAVDCGSLGSMPDIEFTIAGKKFALKPAEYVLKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGPYHTVFDYGKSRIGFAKAA >cds.KYUSt_chr5.12061 pep primary_assembly:MPB_Lper_Kyuss_1697:5:78418576:78429611:-1 gene:KYUSg_chr5.12061 transcript:KYUSt_chr5.12061 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRTAAQRAHGKQLEMQKEKIKEELINIYVRIHCKGSGAPDPSKAKVELNEALGKWEASMEKRIDNASDAFHMEDVVVLKAIQAAADWDVSDIWVESVAQGCPEDLKRNLQQVIGMDSEALEERYLGLPTVVSRSKEGCFKHLHERTWGKVKGLKGQAKAWLMLEERMGVSCFGPRGKWFWPRWHCIQRHSDGEAYAHKDATYPRVPLAHQVTNASSSRGPLPQATAAAGMNWIPSISLRWAWARAFCVICFDLKRGQPVEVCFPLDALAHGSLDRLIAFSSFSDEKLRLLKRHNTTDNCIGVAAGAGIPEVVVVLAACPGARIQDMDCWRSTDGVAVEHVLLPVRVAALRVTADGDWDLGSLAHKLAAALLSSTA >cds.KYUSt_chr2.51296 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320701929:320703588:1 gene:KYUSg_chr2.51296 transcript:KYUSt_chr2.51296 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSESPFTGGLFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >cds.KYUSt_chr4.15492 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95722712:95723886:1 gene:KYUSg_chr4.15492 transcript:KYUSt_chr4.15492 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLHLPGSGPNAATVASFFAFDHTASISTSPPEMSPAPPFPATEPGRKSSYHTMGSDIMKARIMSHPLYPDLLRAFIDCRKVGAPPEIVGRLSSLADELESNSDDSQVQEQPADPALDQFMETYRDALVTYSQELTGQIQEANEFFMNMQAHIDSIAPGCNRRLITPQKILKNKLKRTGILPPARAVSRHTSKTLRPLEVEQTGDVAGKETEPR >cds.KYUSt_chr3.47219 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296287308:296292658:-1 gene:KYUSg_chr3.47219 transcript:KYUSt_chr3.47219 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLELPRNAAESAVRSIGLGYDVAADVRLKFCKQRGEPLIELDRDGVQDIVLPGSLTVAGVPKSIKCDKGERMRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNNMFEFTGSWQKDAASTKSLAFDGWCITLYTVALSKAQIVLRDHVKQAVPSTWEPAALARFIQKYGTHIVVGVKMGGKDVIYLKQQHSSSLQAVDVQKRLKEMSDSRFLDANGQSDISFRDAYGKDKSDRREQRLRFVESSPLNSYASKEDLVMMPKRRGGRDKDMISHGEWLNSVQAEPDVISMSFIPITSLLNGVPGSGFLNHAINLYLRYKPPIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSSASLPVTFIGPKLYVCTNMVDVGKRPITGLRLFLEGKKSNKLAIHLQHLCSLPQIIQLEDDPYNNQSPEPYDRKYLEPIGSWKRFSHVCTAPVESEDSSIVTGAQLEVINQGFKKILFLRLTFSKVLNAAPVRQPEWEGSPNLIQKSGLISTLISTHFSTAAQKPMPRPADVNINSAVYPGGPPAPVQAPKLLKFVDTTEMMRGPQDLPGYWVVSGAKLHMERGKISLRVRYSLLTAMLPDDEDTLDDDF >cds.KYUSt_chr3.34394 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215772278:215776925:1 gene:KYUSg_chr3.34394 transcript:KYUSt_chr3.34394 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSGRVPEVISESPRWDWRRRRLRKACHLMDDKSSVKKEVGSLAPRPRKGGLKFAPKKPPKKPAKVVPKTEPVEESKDEIIDKELLMKLKTSQKTIQRSHLGKGVLHMQDLFLRDIIQQDYTHSDYPITLPLRRPYCGDPEILDEEEFGESYASRAQDGELTAAEELGLMDRSDTPQLLFFRLPSSLPLPKQTQSVEEPNTWFEKNAELANVTREQRRPSSFAGSKIKDLPGGHMGKILIYKSGKVKMKIGDALFDVSISWLKLHVRPGGCSD >cds.KYUSt_chr2.33796 pep primary_assembly:MPB_Lper_Kyuss_1697:2:208836387:208837006:-1 gene:KYUSg_chr2.33796 transcript:KYUSt_chr2.33796 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSDDGAAAVCCMCGDHAMPQELFRCKLCGHRLQHRHCSELYPRAATYQQCNWCLRDDGGGGSPSPARQTTAAAKKRMMTSSEGNGSDENKRRRSCGGCSRCAFCTEPDKPVKMPPKARHERAVQPTREVEMAAKGRKPQAAAGKGRFKVKVRRYKLLAEVISC >cds.KYUSt_chr4.12389 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75696355:75696765:-1 gene:KYUSg_chr4.12389 transcript:KYUSt_chr4.12389 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSLALLLLACALVQSSYGSRSPPGEPPKPEEVTPSTAVHGATEPRRGDDATPSTAEWATGHRGADGDGAAATSALGGAGTGPEHRDGGTVVQQMLTTAASRKMARRVLQGGVAARSSCRSHDASVTCPSPALH >cds.KYUSt_chr1.42337 pep primary_assembly:MPB_Lper_Kyuss_1697:1:259176557:259178305:1 gene:KYUSg_chr1.42337 transcript:KYUSt_chr1.42337 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSTARASAATLVKNTGVAEADVVPGGWSRRGAAASGGGLVLTVADEQEHGLGGEIVPDLNVQPMAEDPLGHSSIESGLYFLYEIYYYEQHYGKLGETSSVRKRKFEEFDDSEDSGHSYISSEVDSGDAVSYNSDASSDNEVSKYNVLSYEKKVEEKIWAEGISAYMKPDGRYYCKFHPSKQVPRDGMREGLVSHVKEVHPKDLRDKANHAALRKVLEYYGQD >cds.KYUSt_chr5.3871 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25107432:25108424:-1 gene:KYUSg_chr5.3871 transcript:KYUSt_chr5.3871 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRRMAHIIPALPITALPCVASSGRTRKLREREPRSRLQDRFNHKHATGVVTHRLFLLRLALSIKPINKGPAPIELLTVPPIMAMAATSSALLGALLLLPLFSVVVHCSVAGVAPAAPLDQLCGSLGSFYVTPELCTAALCGVDAAACRSARGTPELAALATRLAAANATAAKASLESALALAAEPASAADAEATKGMRSCLQLYAGAVPALRWASRAVAAGRYRGAREVLDAAQYVASGCAGMAGEAALPRENDRFSSMAIVAHAVVASMSTA >cds.KYUSt_chr6.10013 pep primary_assembly:MPB_Lper_Kyuss_1697:6:61739376:61743422:-1 gene:KYUSg_chr6.10013 transcript:KYUSt_chr6.10013 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAQAPSGGGGAAWRHLLLLLTALPLALALLAFALQWRGGGVDDPATRWPPHSAEPTTSSWLSRRSSSACADILAASSVPTFPYLRGWSFPFDAPHHPKVCVQTSTSAGLDQILPWLFYHKVVGVAHFLLFVEGKAAKPGVAGVLESIPGVKVVYRTKELEEQQARSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIMHLDTDELLYPGGAPEYSVRRLLADVPGDVDMVIFPNYESSVEHDDIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFITYGNGKSAARIQDHLRPNGAHRWHNYARSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRAAFIIASTASEEEMLRWYNERVVWNDRQLNLKLLRKGVLTRIYTPMAIVQGLRESGVFTSVIAASQSAANDKVPFQKNKTDSELRSVSGTFISSNSRKILSIVEPPFRDNDVSAVPPLSPPGLDEQQSFT >cds.KYUSt_chr3.26887 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167749787:167751313:-1 gene:KYUSg_chr3.26887 transcript:KYUSt_chr3.26887 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVGDALTNPNCVRLVSSPRRSSPHLPAAPPTQSPAMSDSSPLVLSCKVRSDDYFHLGDSLRIEAAKPSPSPSPSSLLSIISRRTFGVCPSNYADKVVDSLQFEMHLEEDPALSHLTVRGEGAEYMAIHAVDRNLIVFDWCLPVYKSPVYLVYDAVMESLTMIPSSPWRLTPILPEVKSYTAGAIRLLVACLPGADKRSYALVRMAETAIYNYKDYKPVEKQDVLYVWRSSSPSLGWDLIRAEFPSLFKQGNAPLIYKTVLAFVCGSHAFWANTSRGIMYCRLDALLSARSSCHNGPKLMFRFINLPVELPDDPTCPFSCAMEMNSYMYRTIGRSGESSIKFVTIDGFVQLLDFDKCTLKVWTLSPADNMPRWTERVLCLGSLVTQAKFKKAGLPTNMVPMCPSISVEEDDVVYFMLGECKKCCSLHKGSKNRCAGYIPAAKNLRYHLSVDMRSGVLLASARLPDLISTSMSIASTSLLPFVTDSERIKSQDWNEDNSQRRELPRW >cds.KYUSt_chr4.19409 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122030142:122030672:-1 gene:KYUSg_chr4.19409 transcript:KYUSt_chr4.19409 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSLDASVGTNQCKDKFWQRIEEHYLNVVKISSYRAQGSLSHRWGAILDCCNRWSGAYETVNNSPPSGVPITQYTPLQEEVYKHHNNKGGHKAFTLFHFYKELEGNERWPRRNYEITTPKRSRITTAIDADDDDEEEDLNDRPEGGEIAKEKKKRGGAAAYKDEFNAIIETKKAL >cds.KYUSt_chr3.30077 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188267512:188268503:1 gene:KYUSg_chr3.30077 transcript:KYUSt_chr3.30077 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRILTPCRLSVVRLAVSDVDAPRCRARPVAARLTVEPARNRRAPLACEQGAGEEQLRTYSPLCSTGLDFGDFRQREKGTADGVLLDSVCRNPFILVPIITTIRLSRSRPACVPEIQVAAQDYRVKKGGAFTGEVR >cds.KYUSt_chr1.28736 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173727705:173729036:-1 gene:KYUSg_chr1.28736 transcript:KYUSt_chr1.28736 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSHLVASTATALLLLLLFLSSHSCRAASRSGKNPTAVVLPVRKDSATLQYVTGFRQRTPQTPVTAVLDLGGATLWVDCDPGSYASSSYARVPCASKPCRLARTAACATSCVGAPSPGCLNDTCGGFPENTVTRVATGGNLITDVLSVPTTFRPTPGPLAAAPAFLFACGAKFLTEGLASGAAGMASLSRARFALPTQLAATFRFSQKFALCLPSTTSAGVVVFGDAPYAFQPGVDLSAGSLLYTPLLVNNVSTAGVSGRGDKSTEYFIGVTAIKVNGRAVPLNATLLGIDKQGVGGTKLSTVAPYTVLESSIHKALTDAFAAETAMIPRVAAVAPFRLCYDGSKVGSTRVGPAVPTVELVLHNEATSWVVFGANSMVAANKGGALCLGVVDGGPAPRTSVVVGGHMMEDNLLEFDLQRSRLGFSSSLLFRQTTCNNFRLG >cds.KYUSt_chr6.5621 pep primary_assembly:MPB_Lper_Kyuss_1697:6:33765564:33766472:1 gene:KYUSg_chr6.5621 transcript:KYUSt_chr6.5621 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSRRGSSGTAADFLVCFPPRAHLALMPPKTTCSPSRPSASSERRHSTSGRPSLSGSHLRAAAASRSRRLAAVDVDVDNEPSSPKVGCAGQIKVSRSAKPKAGAGGKAPKKSTTWMHALGIKKDALPFLNAFHGAFRLNVSGCFGRFPGAVVEYSSEDEDDEAEEKVERALKGTEHDDPLTKWFMVLEEDKRVPSRKKQQEQEQPHDEEEDTAPPANALMLMRCRSAPAKGLARRLGVDAGDDGEAKIAKKAGEEEEVEKENLVVMRYPPDFFHVSVDIAKETWIVGGDDSILRCRSWKK >cds.KYUSt_chr5.29394 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186299123:186306219:-1 gene:KYUSg_chr5.29394 transcript:KYUSt_chr5.29394 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDFAAMGAVDKARSLVADHPQRHMHKGGDALARIETRRRRQQQEVGRGGGAPGRPSSPASPVEQPTTPLRPPEARSRSKADAAPRSPPPPRCDIRQADDEDAHESRAQFLAPGTSILHDFSDTDSSVSVSNSMYRSVTPSPTESPTCALRQNDASGLGAMATTDSDDARVLADASIAENCQESHGPSCIFDFGDNIWCAPPPEDEIDDVESRLFGFDDEEDEVGDKSNTFAPSCFSCNKVAGVDGVIEGSYQESVQNDLFRHFQALVAQLLEGEGVSLASDKDSESWLEIVASLAWQAAYFVKPDTKTGGSMDPSDYVKIKCIASGNPTDSNFVRGVVCSKNVKHKRMVSEHRNAKLLILGGAVEYQKASDKLESIGTILEQEKEYLRTIVGKVESRRPNVLIVEKSVSSYAQEILAKDVSLVLNVKRPLLERISRCTGGQIASSIDNIASARLGQCDMFKVEKVLESSRSEHPEKRPSTKTLMFFEGCLKRLGCTILLRGTSLEELKKIKRAMQLAVFAAYHLSLETSFLADEGATVPRVLSMSAIGAREALTSTDHISAISDDHDTADNLRAAVENYSHNATINQIFDGISISPPLSQLDGKSLGSVPEYTEPESPVNRVNSSVAGDVCQKAVLDKISMELCYLENTGNNVSLDNIRAGDLDEQNKLSCSYLPATDNHQSILVSLSNICIPKHLACERSRLFRIKFYGSFDKPLGRYLRENLFDQAYCCPSCKEPSESHARCYMHQNGSLTISVRRLLSQKLPGEHDGRIWMWHRCMKCKFKDGMPPSTHRVTMSDAAWGLSFGKFLELSFSNHATANRIASCGHSLQRDCLRFYGYGNMVAAFHYSPMATVSVNLPPSVLNFDCHGMQDWVKGEAVMAFDEMESLHMEIYGFLNNIEKSSVSLDEPGKAGIQSQIIEMRDLLNRERNEYEGLLLPVMEGSGHSTKSTFDTLELNRVRRGLLLDAYIWDCRLCNIDSLKSNGNISRTETSNPENPQATCVEEDKSELLQTVSQNGETHEEPATGPWWSSVGRRKSLLSREGHSIDDGIILVDTDLPIGLEDGLVSGAGDLDVVFSKFDVCENGQCLSMDSIKVPVERLPSLASILSDKIDMAWSGSSELHCSIAQDLTTGGNGSHSLVNNPSYKKAISPARVHSFDSIFRLHEQEQTGLLPASLHLSLKMKSAQSFRDFTNLVKDPMTNMRRAFSQLSPRSRGNLNVILTRAPTYLKSASHMVSDGARLLLPHIGSEGALVVAVYDDEPTSIVAYAMTSQEYVEQVTDKLDTKCSFQHMSNYAAVSNNGLQKSLPSREAPSDFKGTHFKFSFDDEAFSADNTKFSVTCYFARHFALLRKKCCPSDIDYIRSLSRGKRWSADGGKSNVYFAKTMDDRFIIKQVTKTELDSFVEFAPHYFRHLTQSLASGSPTCLAKILGLYQVSIKGTKGGREVKMDLMVMENIFFQRTISRVYDLKGSVRSRYNSDKSSHNKVLLDSNLIEEQHTKPIFVGSKAKQRLERAVWNDTSVLASLDVMDYSLLVGIDEEKNELVVGIIDFLRQYTWDKQLETWVKASGILGGPKNEMPTVISPVQYKKRFRKAMSRYFVAVPDQWSS >cds.KYUSt_chr3.19712 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121329123:121329806:-1 gene:KYUSg_chr3.19712 transcript:KYUSt_chr3.19712 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAASIMSLSHSAPLYLFFEVPAWEEYWLHGGSFDFAAAGAKSVGDDKENTSHVSAAFADELFRGRNLLPLKLPPRLQNPADWSNATSPTGQQLGRVSSWSPFTSSRRHRGIDPFAAALEKVRRDRIAPAPTMRRARSLSPLRGAAAGKSLSSTRLASTTPAAAQQKTSERPLWRSTSTRRRGVKHLLCWVVMASSEAAPRDLRTPRRRDGGAPHRPGFFICFGF >cds.KYUSt_chr4.4314 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24740665:24743316:1 gene:KYUSg_chr4.4314 transcript:KYUSt_chr4.4314 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYAQKEEQKAAMAAKKKASREKRRAESAARNAAREEKAARRTARAEDKKNGAGPSTIILSSSSYFEWTSTPVSDTTPSSHSSDFDWDSNSDDYKVGETIAFEVKQIVPLPRLCCILLLLSTTSFSHAKEKPCKCSGSGQDQSRNYAMDDAEKDVVFLVGNQSGLIRKPIHKIPNAEAKKTRVLSTIDNLIKLCQRRHDGSGLDESSFNITVVMRNQKTHRRRSSIFSLLILPKEALGFPQVKDSLPLAKQLATTTGKGYQLNLSRPTSRSGSVSDRYAAYAYMKIGKLGNPARTGYDNGKRAMGVDIVIRPPASNYSVWIDYDRMGRVSVYIDVEGKPKPPSAMASAPFNISSAVSWTSPFVHFGLLSTLEMRLRPRGIRFSATVDNLPDYPDKGSFLKKKVTILSSILGSVAAAAMMAVAVMGYFNSRYRRWHKELNQLAKSMERLPGMPTKVEFADINKATSNFHDTMKLGGGGFGTVYRCTLPAAASKTEWPMDVAVKRFTREVQNRRYGDFLAEVSIINRLRHKNIVPLVVVSRNIVCGCTQGADTTGIWRSADGHPPSELRDGTKGKGI >cds.KYUSt_chr2.18389 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115895401:115896183:-1 gene:KYUSg_chr2.18389 transcript:KYUSt_chr2.18389 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVVVCLLIVAMDAAAGVLGIYAEKAQNQGRHLRILFIECRQPVRQAYKLGVAAAAVLAASHAIANIVGGCACVCCSGDKLRRSSPNRHMASFALVLTWMVLIVGLALLILGALPNSKKSMAHCGVMRHNFLSIGGILCFVHALFCLVYYVSANAAAREESGRGSKAVGVRT >cds.KYUSt_chr4.47096 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291395278:291397239:1 gene:KYUSg_chr4.47096 transcript:KYUSt_chr4.47096 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALVLDGPTRRTASSSAGAFRETQAHLLAAKEYTRAATPPGNRTSSGSRCDPWMRTRSCLGSARAALSTYLASLDRELAAADMGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKNRLLAEAEWRALGVQQSRGWVHYAVHRPEPHIMLFRRPLNFQQQQEAASAAAQMIAK >cds.KYUSt_contig_988.500 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2883978:2887959:1 gene:KYUSg_contig_988.500 transcript:KYUSt_contig_988.500 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAGRSSAAAAGGGGRMVLGGGGGAGPGAEDAVVMELAAGDGEDSVVTVNCPDQAGLGCDLCRTILEFGLRITRGDVSTDGQWCYVIFWVVPRSQSINVRWSSLKNRLMSMCPSSYSIPFYHDINPPGPPQFYLLKLFSDDRKGLLHDVTHILSDLELLIQRVKVSTTPDGRVVNLFFITDGMELLHTKERQEETCSMLIDTLGPSVSCEILSAEGFQQGFSSLPPTISEELFRLELDDCESSSGSLSAEMKKVQKASIKFDNSLSPAHTLLQIICVDQKGLIYDMLRTLKDCNIKVTYGRFWSDKKGFREVDLFIKQADGKKVIDSEKQDALQSRMRSEMLHPLRVMIVNRGPDTELLVANPVELSGKGRPRVFYDATLALKALGICIFSAEIGRQTASERQWEVYRFLLDDSKEFPLSNSLTNRNRVVDRCNLLNLGSDDDGFV >cds.KYUSt_chr1.18918 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111038846:111051269:-1 gene:KYUSg_chr1.18918 transcript:KYUSt_chr1.18918 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLDAAAAASSPVSALRSRPPRALLRSIITLLVTCFSIVFIGGLLFHKFRKKEQPLEECLWEAWACLCSSSTHLRQKTRIERVIGFFLAIWGILFYSRLLSAMTEQFRTQMYKVREGAQLQVLEDDHIIICGVNSHLTSILNQLNKFHESAIRVGTATARKQRILLLSELPRKHIEKLAESISKDLNHVDLFTKSCSLSLTKSFERAAANKAKSIIILPSKNERYEVDTDAFISLLALQSVPQIASVPTIVEVQHLVSEIVDTGLGKQISRIRPSLSFVGAEEVMSLVTAQVAECSELNEVWKDILDAEGDEIYIKEIGLYMKEREKISFSELSERAVLRREVAIGYVKDQKQVEIKTLAGPTPAAVDPAKMTSEELHAHFTHLLGGHARDTEARIGDVDAKLTDALDKLDDLEAAFNSKLDAKFQELLTRLPPPRDNVRRRARRVPRADVHAGTAPAAAAAHATPYDEGYEDYKGDADEQVEENVLDGEEVEQPAPGRPRQLNRNAAHLHARYVMMMIMLLN >cds.KYUSt_chr5.29652 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188063722:188074539:-1 gene:KYUSg_chr5.29652 transcript:KYUSt_chr5.29652 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRPLSKPATTASPAPPPTAAATVRQPPLQHAPPTVDCNPSFAVDLVADMPSPVLGISLLVPCAQAPALLATPRRCLLSYRKRCMRRLHARLVLATTIGLSGLQLLQPLVASGGPAEVPLPAGNALPALSLLRATSLGSDKNDDDEELTPRSPLDATKGVVSGLVRDAADVRHDEEVLVKLCGLSLAVDSVGDDEGWVHVGRGGHPSREPSSLLQRDGLERSLAFKRWAQGRCFRCLERDHQVSTCREPFRCIHCRRPGHRERFCYARFPAARSRSSDARRRPHSSDARDPTERSRSPSSQPRRPSASQSWAEVVCPSSSPATSSPKHSPRCCEEFNDNASFDSRLQCQFSLLRMELSQLVANRVEEALRPLLEEVESLKLLLAHGGVSLEPIEACSSGGLDLATAQVLFPLGSAETKSSLVEEQHLYSCFSPRGSPCQSSRPIVLAVSEGERIDEILAPVLQITPELHEIGGDSSLVPELLELRDDVVMCCSIEEVRSDLHGISVVASPSSQALGFEKICVVDVAVSSSSVSSRRLVPIADGVVKSGLLANEPGVVVAREFHLGFASETGDAEAVVFAVSGEGPGVGCSSGCRAPPGYLPRALLPARTRLPFPILSASPVVTLLLWRYGIAAIAPFSHRLAFDDLPADIQRLRCKVNFEALVFLPYIISLGRTLEKRLRSPVQGHSIELAQQGVEENTNQAGKYAVLHLRFDKDMAAHSACDFGGGRAEKLALAKYRQVIWQGRVLNSQLTDEELRNTGRCPLTPEEIGLILVALGFDNSTRLYLASHKVYGGEARISSLRKLFPLMEDKRSLASEDELAKVEGKASVLAALDYYISMHSDVFISASPGNMHNALLAHRAYRNLKTIRPNMALLGHVFVNKSMEWSGFQQAVQAGHKGRYGQIRLRKPTQSIYTYPAPDCMCQG >cds.KYUSt_chr2.16670 pep primary_assembly:MPB_Lper_Kyuss_1697:2:104778480:104778821:1 gene:KYUSg_chr2.16670 transcript:KYUSt_chr2.16670 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSASRLILAVLVAAACFAQLGSAARGVPAEKPVAAAADTVKRPETFQEGTVLIPGIGRYELGTHYRPDLGGLDHSIPAAANGQFLPGADDTWVPNPGFEVPNPFRPGSESP >cds.KYUSt_chr1.4909 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30196513:30196845:-1 gene:KYUSg_chr1.4909 transcript:KYUSt_chr1.4909 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLAMDSRWRIGPVEEARHQEVMAGLGVHGAEWALWKVLEVSDVDAGQNRLLLLRDMVRGGPIPKLFPELDELDVDGLNARRTVSFTLLDAEGREKELRIRYLNSNKH >cds.KYUSt_chr3.31804 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199836874:199837267:-1 gene:KYUSg_chr3.31804 transcript:KYUSt_chr3.31804 gene_biotype:protein_coding transcript_biotype:protein_coding MANHAAATLLIASLLAAVILADARVAVQVQRDIVGGYAVKAVPALKCNEVHQVQTDDTCSSVAASAGLTDAQFLGFNPNINCLKVFLGQWVCLDASSA >cds.KYUSt_scaffold_6468.560 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2689257:2690078:1 gene:KYUSg_scaffold_6468.560 transcript:KYUSt_scaffold_6468.560 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATGALGSLLSKLVELLADEYNRLKGLRKDVEFLESELRSMHAVLRKVAEVPRDQLDEQVRLWANEVRELSFNMEDVVDRFLVRVQGPDDRIKSSHKLKRLMKKMADLFTVGRTRHQIAHAIKDIKGQVEDVAARRDRYRINDIVVNPTATTTIDPRLLALYKDQKELVGIQEACSELINRLANGNNDVSTQELKILSIFGFGGLGKTTLAKAVYHGIKEQFECMAFVSVGRNPDLKKLVKNILFELDQKKYENFNEAGLDERQLIDELRV >cds.KYUSt_chr2.9915 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62707704:62708331:-1 gene:KYUSg_chr2.9915 transcript:KYUSt_chr2.9915 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMWSTAASALAGSTFLTVECTSWYRKNSTWLLTGRLSAKGTGLEKIRDEATDDGAYREEDGGADAEVEAETRATVGVEEAGDDGEVRGVVPGQPPLLAFNMELAGAMAAPSPPLARRGGLYSDGELRQSLTKILNISELFFGSLSGRRGVAAAREGEG >cds.KYUSt_chr2.25235 pep primary_assembly:MPB_Lper_Kyuss_1697:2:154332941:154334764:1 gene:KYUSg_chr2.25235 transcript:KYUSt_chr2.25235 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVENSSDRRVKRDVTGYFFEVSLDGEVASDRLVKEPVTRHTPVFRARILLVRFFPARHLHGLAFPALVTWPAGPHGSSTVGQSIDQEAAVELPRIMPRAVPAKNYGDRLVSVPECHASSRNSRESWRPRIRPGVALDYRWIIRLPCYKTQVRLTVNRRRRNAGSPSWHPFTVLAFDQDQPAMSDQSCFVYFLSQAAHHILMSSVPSFNLIL >cds.KYUSt_chr4.33913 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208201332:208206962:-1 gene:KYUSg_chr4.33913 transcript:KYUSt_chr4.33913 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPALHLHLHLHHPPRLHHLFLSSSPLSSSSAHYPWLSAWSRPRRGLGPPAPALDLRPEPSPTSDSDDEDSVGTSRHSGRSTMSLILRRLQRAGYSPDSQTASQHPRRGSVEDVFRADDGVLPNARGGFDDDAESSLVDARFPWERPMPPPEAAARAARSPAWMAELTLPEPELRRLRHAAMRIKSKTQVGGAGVTREIVAKIKDKWRTDEVVRVKVNGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGVAYDVPETTKGTNRTWQTLGTKSSSKVPPIPTLPPNENVNGMEDSNGALISNAEKEEIVESVPEIIYEEEIDRLLDELGPRYSDWPGSNPLPVDADLLPATVPGYKPPFRVLPYGVRRSLSRKDTTNLRRLGRGLPPHFALGRSRQLQGLAAAMVKLWERSSIAKIALKRGVQLTTSERMAEDIKKLTGGVMLSRNNDFVVFYRGKDFLSTELADALLEREKSMNSLLDEEQARLNPRLSFASSTEAFVEATVAGTLGETLEANSKYGNELDENHTDKMTRTVEAAKHADLVRKLEWKLAIAEKRIGKAQRVLGKVELGLKPTEDTKPPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTVENMHLHWKYRELVKILVKAKSFADVKRTALSLEVESGGVLVSVDKVSKGYAIVVFRGKDYRRPSMLRPKNLLSKRKALARSIELQRMEGLSRHIGKLNRRVNQLRFELVQIEKVKDQGDEELYARLDSAYSSEDEDVEDEDDESYLRSFDNLAVGSTAEDDDDSSYDEDDDSAEDNGDYSQEDEDVGGEEDTEGSDYEDDAGEDEDLEPSVISAVSFCRDMGSDCSDGDLGSKSEGSSDADDIRNSSAYREDLI >cds.KYUSt_chr7.3791 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22586186:22587967:-1 gene:KYUSg_chr7.3791 transcript:KYUSt_chr7.3791 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLQLLITKATHIVMRPSSILETVSRPVAEEKPKEKLHLSLRVDMPCTLQAWLIYPAIELGVLDELLQLLCALDAMQTFFIHLRFNAAPSDPAVGGSAIHKKDGLLGVKISVEKKKVDARKKSLKRL >cds.KYUSt_chr6.20662 pep primary_assembly:MPB_Lper_Kyuss_1697:6:130306556:130308957:-1 gene:KYUSg_chr6.20662 transcript:KYUSt_chr6.20662 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLPAARCAAAASASASVAFSRPPPSRLRASHLVFAFRRLRKYGRQSREPVPTASQSFDEADEDDDDDDDDDIEEAVDEDIFLKNRPKPPGFGVGKTYTTDIEEQLLREMGLGGAGRKSEPSPAKSVKAAGSAKETGADLSKDGVHVRIWNLPKKKNIHKDLNLAFKGFPGLVAINPVNSGTKKTRDPICKGFAFLKLESVEAATRFVEVYSSKDVLFGKVQKPIKCCISEGHSSPDTSKQASGVQVPGPSNPQRLVTVR >cds.KYUSt_chr5.41676 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262937984:262941439:-1 gene:KYUSg_chr5.41676 transcript:KYUSt_chr5.41676 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHSSAPMLLLRRRSSSTSTSLPSRQAPHVALAAATERACSGTLSPQDAHEVFDELLHQDTPVPAGALNGFLAALARAPASTACSDGLSLAFALFNRMPRGDGAPVPPPTVHTYGILLDCCCRARHQDLAFAFFGRFLRAGLKTNIVIVNTLLKVLCHAKRTDEALDMLLHRMPHLGCVPDAISYSTVIKGLCDGSRSQHALELLRMMAKQKAACSPDVVSYTTVIHGFLKEGKFSTASNLFHEMVQQGVVPNVVTFTSMIDVLCKRGRSKEARQILDCAILKGLKLNIVAYSTMLHGYATEGCLVDMNNLYNLMVGEGIVPNQYVFNILINAHAKCGSVDEAFLIFEDMQKQGVKPDVVTYLAMIDAFCRKGRMNDAIQQFNQMIDMGVRPDVQTYGCLIQGYCTHGDLVRAKELVHEMKEKGIRCPDVMFFTSIVNNLCKEGRVTDAQDIFDFMIHIGSGCLPCASEWTANLVDRQSGRVLVTASSIEKPLRDGLECGRACNTKATAAVGEVLAMRLRVDGLASEPIHAAAAKEVQTKGFKNRTKVWAILNALRDHGGQPPRRRRR >cds.KYUSt_chr4.4092 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23407247:23417264:-1 gene:KYUSg_chr4.4092 transcript:KYUSt_chr4.4092 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHNTRNKNKRPRANDDSSASPSRAVLKRIHSSGAVTPDDIRQLYNICKPLCQGCHGNTKDSPNCFCGLVPAGAGGARKAGLWQKLSEHVRGLGPDPSKDLRESTETPAGLTNLGATCYANSILQCLYMNTSFRAGIFSLEPDTLEKHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEHSLSHSKVPGAKAIVQHLFRGSVSHVTRCSICGRDSEASSKMEDFYELELNIKGLSSLEESLDDYLSVEALNGDNQYSCEACKKRVDATRCIKLRSLPPVVNFQLKRYVFLPKTTTKKKISSAFSFPGCLDMGKRLSDPSSNYTYDLAAILIHKGSAANSGHYVAHIKDESSGQWWEFDDECVSKLGSHPFGEKPGKSSDKAEQKSQGTSTEGSVSNGSNNHEAASTSAMGEMFSSTDAYMLMYKRSSKDDNGVESNNTVEANTSSLPHHFVDEIGALNASYVKECEEYKSKKDSFLASVTERRQEVKSILTEAPATPDDDSYFWISADWLRQWADSATPPSSIDNSPIQCEHGKVPASKVTSMKRLSAKAWANLLFKYGGGPTLSKDDACMECLKDGAKTAVSADVYRDRKASLKNLAEAALAGSIPEGPSNFVSKAWLTHWLRRKNVDINSDADNGPTSALRCSHGNLLPEHAPGAKRVSVPESLWMFLYETSSARKADDIVTFPSDCQPCEICDQKLSVVASVEDNLRAVKLKQRQRHEKLISGKGLALHPGEKYYLVPSSWLSEWRSYITATGKNVSSLPEPQSLEAAINSLKCEKHSRLLQRPLDLVCKRGSITQKTSNTDGLAIISASDWMSFCEEWNVAHADGTCAEIVLSKSSQDKLHESPEARVMLDEHPDQSINDANGDLEDSTPYVRTDPEVCEECIGEIESCALVEKLNYQDEDIHVYLVRGKEAPKSIREASSAVPVPDRRTSKRSRRTTTGNSISLKVSGSTTVYQLKLMIWESLGIVKENQKLHKGPLEIEDDFATLADKTIFPGDVLWVKDSEMFENRDIADEISGQKSDVLPAEEGFRGTLLTSSVSTQLCQDIALSE >cds.KYUSt_chr3.24053 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149272629:149275039:1 gene:KYUSg_chr3.24053 transcript:KYUSt_chr3.24053 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRGRIRFLGSALRDCSSSSSRDAFRPSRAVLPTVVFNAVYFDPSFPSSTTLSSTTLLLRHRLLHLHRHLHQIAHSMPRSRRHQRVERARDGNAVYDTGSSLYDSYELASVNRMLDRHLAGPPSPDESLREGSGSPPVEGTSKVVVAPRPRRKVTLRAIFRGVASWAILENRAALLLRLVIELGL >cds.KYUSt_contig_1658.188 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1226335:1227243:1 gene:KYUSg_contig_1658.188 transcript:KYUSt_contig_1658.188 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVLGMQLNGATRKLEGRDVFTVSDGDAASKGALAKDDVSKLAVIKETAVVGEHVKKDGGEEGVVKPDDVLREAAVGKGLAGALRLLQDRGTLNEGGDKTPDQKKSKPVGSIKDGPKEIRIERTDEFGRVMTMKEAFRELSHKFHGKGPGKTKQEKRQRKYQDELKTKRMKSSDTPLMSAEKMREAQARSKTPYLVLSGSAKST >cds.KYUSt_contig_686-1.1153 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:7090352:7090687:1 gene:KYUSg_contig_686-1.1153 transcript:KYUSt_contig_686-1.1153 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKQTLARSPPLQIKAMASSSSAVSKRGGDSGGVLAAISRSAVAQHGRDAAAVAGKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQMVDLDLQQQALLGAPPTPSLAK >cds.KYUSt_chr1.32246 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195839721:195844827:1 gene:KYUSg_chr1.32246 transcript:KYUSt_chr1.32246 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSSTAPISLLRRSSSTSTSPPSRRAPHVALAAATERVRSGTLSPEDAHHLFDELLRQASPVPERALTGFLAAVARAPASPACSDGLSLAVALFDRMPLVAPPTVWTYNILLDCCCRAGQPDLALAFFGRFLRTGLKADIVIVGTLLKVLCHAKRTDEALDVLLHRMPHLGCVPDAISYTTVIKGLCDGSRSQRALDLLLMMAKQEGGCSPNVVSYTTVIHGFLKEGKFSTASNLFNEMVQQGVVPNVFTYSSMIDVLCKRGRSKEARQILDCAVAKGLKPNVVAYSTMLHGYATEGCLVDMNNLYNLMLREGEKPDVITFNSLIDGYCLVGKMQKASRVCDDMVSVGIDPDAITYNTLIDGYFKAGMVDAALTLFREMSVPKPLRKGLGSAALLLPWIIRKHRNDCVFERGRPSVADLMSKIKEEASLWARAGAIGFSVAMPTTWDMH >cds.KYUSt_chr2.6965 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43476856:43479471:-1 gene:KYUSg_chr2.6965 transcript:KYUSt_chr2.6965 gene_biotype:protein_coding transcript_biotype:protein_coding RSRTMPLGCHDIVPRTMPLFHHAMKEHGKTSITWFGPMPRVTITKPELVREVLSNKFGHFEKLTPTLGRIQRLLHNGLGSHEGEKWAKHRRIINPAFHLEKLKRMLPAFAECCTELVHKWEGVVGSDLPCEVDVWPHMQNLTGDVISRAAFGSSYLEGVRIFQLQGEQVLLVLQAMNKIHIPGYLFLPTKANRRMKHISAEIGRILRGIITKRENALKSGEARSDDLLGLLLESSIADCRGDGCSNAGMTTDDVIGECKMFYFAGAETTSVLLTWTMIVLCMHPEWQDRVREEVMLVFGASTPDYDSLSRLKIVTMVLYEVLRLYTPLTALQRKTYKPMELGGVRYPAGVALMLPVLCVHHDKELWGADADEFRPERFAQGISKASADAPAFFPFGWGPRVCVGQNFALLEAKMGLAMILRRFSFELSPSYRHAPFPLGMLQPEHGAQLKLMRLP >cds.KYUSt_chr5.114 pep primary_assembly:MPB_Lper_Kyuss_1697:5:795046:796284:1 gene:KYUSg_chr5.114 transcript:KYUSt_chr5.114 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSMAGKRKAPEADCDVTNAVVPSQPPPLLPSVSTDAWATSASVAVATAARQQQRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPRPSPPPAAVQPPVAMPPSPALPSKVSNLLLLRLKARNQQLLLNDAVPQQEAALLQLQQQQQRPSSTSSCQEPYGHGRDEEYGFQVDDFLSDECNNSPEMEEEEEEEDVVEEDEEELNFQFMDAHAASTEAGGEASALCSPFEMVAEELGGSAPAEADDVDGEPETAVQEAVRRMNYERKVSASLYALSGVSECLRMRLGGGGAGAAREQLSGLREACRKKQRVAPVQAQEQSPKPATDAHNVKASGREECSGSGSSSSVLTEAASSSSSAGAHGGDGEVLLWSSLDLAPICFMA >cds.KYUSt_chr2.6124 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38154674:38155529:-1 gene:KYUSg_chr2.6124 transcript:KYUSt_chr2.6124 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASPSSSSSPPPNPKSRLLRLNPAALLLRPVPPSTPPPPPSTPPPSAAPPPRPTAAHPLITFLSSLLPHRPDPPTLSATRRAADADADAELQLVGCAVPLFRPYVARLPWHGGARAWLSKLFPRYGHYCGPNWSSGKEQGSVLWDRRPADHLDFCCYCHDMAYDTHDQAQLLRADLAFLRCLEGSRKTPALDGVAAAAYYRSMCLFGLKAILIPYRTNLVRLQTGPNYADAITDFMKRMASSSGRATTGGDKQRL >cds.KYUSt_chr1.19935 pep primary_assembly:MPB_Lper_Kyuss_1697:1:117503980:117509688:1 gene:KYUSg_chr1.19935 transcript:KYUSt_chr1.19935 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPDACGGGGRGWISPAIANYGRGHGTRDTCALHTARLSCDTLVDFWSPLGDEARSSLLRLKEEDFTERLMHRFDNKRFCRDCRKNVIREFKELKDLKRLRREPRCTSWFCVADTAFHCEVFEDSVLVDWHQDFLEQDGAYHHFELGIGTDEGKADILEFEDVGMNGQVHKKGLDLDQYEDYFVTLRAWRLDGRCTEFCVKAHALKGQSCVHRRLIVGDGFVTITKGESVRSFFEHAEEAEEDDEDDAMDRDGNDPDGDGLHPQKHAKSPELAREFLLDAATVIFKEQVVSGLHQHAAGLAQLVVGLVQLVASLQQIVAGHHAARHRPPADRRRPPRSSSPASSRLSPASRSSSPASAQLVAGLAQLVVGLQQHVAGLAQLVAGLQQIMAGLAQHIAGLPPHLVVALQQAGWRGEARAAAAGRTARRGASGGRLAGEARAKTGRGDEAQAEVEKAFREGTARQNAHSVFVSLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRAKDREKKLRRKERLKEKGRDKEETPVSKFCDILLSPLSKSITPGNCQSPDMLDSRYSASEEEDNIVATEHSSPETSADQCLSTESDEQSNEQCGKTAEFVPTDCNDSFICEQSKSRRKMKFRRDSFHEQANNCWYEDRQDGSGDMGDIHCQSRERIRSTARSCNPSFSTNSRTRDRYEYNSCSCGHQEDYRYYPSTARSVREMKMPRKTLLEKPRLQYRRCYPLDSFVVAKGSRGCSTPSKNASPKQVWEPMDAKKKAGLANANDDLETVDNADQSDQLKCCKDINTCQKLDIGFEPPAEVCPDKSEEPCKLDTDDPCNKGDKTQAAYCDAPIVANKQDSCLTNDAGQMEKLTSSDSSSCLSEGDIDSSISSLTSLSAQNPESSSTSDSEESSERNNSNPSDPPAKSASRSLLEMCAGNGFREYQPKSIQSPGPNQFGFGMAPFQDHVLHHHKVHAPPYSSTFVGFHSHPLSVPTSGYLPYSQPGNFYPSPVGYGVTGNQCVDFSMQYSNVHPYSGPPEYGYVPAQPVNKPILTFCAMPPPLSINGAQLVMTPDMRHRTSTPESEFVAAQNGCSEHNAKLGDDSTPFSLFEFNLPIGPPASVLSKDERRGRATAMKPPTGQSQPCSREETDVKEYNLFAGTTNTIHIPSFT >cds.KYUSt_chr7.24940 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155620714:155621424:-1 gene:KYUSg_chr7.24940 transcript:KYUSt_chr7.24940 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLLGGPAAPFLGEEDDFGAARAPPLFPGNFPGAVFNPPSPTPSIDLPEYDFSPGYGPEPDTYLDLPTPTPALSDENLEYFMPPGYGPVPGLEPLPQEEETSAPVAAAPLAFDLNVEPEDEETSAPVAAAPLAFDLNVEPEDEETCAPVAAAPFALDLKAEVGPKDEEAGAQAPRPAGPATPPPEARRLLRRFAVAMASRQPGFRAGSWNPALLGFSNEPERGESSAGRRPAEHR >cds.KYUSt_chr5.27824 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176125199:176126584:-1 gene:KYUSg_chr5.27824 transcript:KYUSt_chr5.27824 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSAHHKETHGTSGDISEETSVECVRAPNLLERAKEEIEALVSAIHGKMEHHSSPRRKEGELHKDSKEAIHATTHNKTHETETHGTSNDISEDTPVDKVKGPNVFERAKEEIEAIVEAIIPKKGSDKCPQEGMSSALPNPGVVIAEFFIVSSLLAVEQKLYKV >cds.KYUSt_chr1.34522 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210157155:210158445:-1 gene:KYUSg_chr1.34522 transcript:KYUSt_chr1.34522 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKGANGSADMEVSEQKRQAPRLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGMIKVDRILYSSVVYPHNYGFVPRTLCEDNDPIDVLVLMQEPVLPGTFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFHNLNHLSELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPADDARAAIQHSMDLYAEYIMHSLRR >cds.KYUSt_chr4.17442 pep primary_assembly:MPB_Lper_Kyuss_1697:4:109112751:109113506:1 gene:KYUSg_chr4.17442 transcript:KYUSt_chr4.17442 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPFLLGLLLITCVVTAGGDGGARDLPHLELPGSPNSGRAHASDAPPPPPPQGQLMDIMSTSGCGRFAALVAATPDASDVFQQLLVPDGGGLTVFCPDDKAVAAFEPTFGALAESDRLDVLLRHAAAARYDRALLAPFAWVEVRTLGVADKETVLIRDRGDSIRLWLGPTWPRDGQAATVTKAISSSEHPLVLYVVDAVLLRRQKPDGGDKAAACGGQEFCWLFGCIIPIVSEWLSLIITHELFRDRA >cds.KYUSt_chr3.35803 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225006632:225010391:1 gene:KYUSg_chr3.35803 transcript:KYUSt_chr3.35803 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDATVAPQPVAAGAPEMRKAELLVIDVDAGAAAHGVACRICHLSPEGGDGPATVPGAQVIRLGCGCKEELGDAHRQCAEAWFRIKGDRKLTSSKKNHGRAVEVSLTKIRRWFPFMAADEDHRHDHRGCLDDISPPRTVSRLPCQPIANTVSERLPMLSVTLPFFLP >cds.KYUSt_chr6.29695 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188322264:188324293:-1 gene:KYUSg_chr6.29695 transcript:KYUSt_chr6.29695 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASASGAPPSPLVPFEPLWRPALAAALTGKEGLGVVLPGTTAPHRWCGGRYRLFFRRICSVGVGSGRSGYGSDDGGTSGVILPLEGIVLEQMIPGGSHMAERCSIYCADVGGSRRHGAAEPRRRMQRDGRAHDGGVVWRRGGIGGRPRKIIALISPEDGRDSAREEEPMEGKKRRPVAAAAWVLVILLSVQQQHVLPVADAASAFCHCYASCYPDCRANHGRAFCKVSCGWLCVAGDQAGGGCPGVCQQASLCGTVVAADGDGGEPGAADAAAACAEDCAKYWKAKHG >cds.KYUSt_chr1.32622 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198134927:198139291:-1 gene:KYUSg_chr1.32622 transcript:KYUSt_chr1.32622 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHATVAAAAARASSSPAKRDTEAATSASSPFLSSPRGGGDGGKDGALRSSPPLHQRSPLPGPVRVLLALEDPRSPSTPTSYRILLAVLACLALAALVSAPSVWSRLNAPYLCRKDGIRLQCPGVSGRGTLWENPRAAAMSWKPCAERRSDEISDLVSENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKYDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYVDNVLPRIKEKKIMSIKPFVDRLGYDNVPMKINRLRCRVNYHALKFLPSIEEMADKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFVGTRKEKAMMAEYRQKQWPRRFKNGSHLWPLALEKRKEGRCPLEPGEIGFILRAMGYTKETQIYVASGQVYGGNNRMAPLRNMFPNLVTKEDLASKEEIEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPEATFPHYDLWENPLTHCMCRA >cds.KYUSt_contig_319.308 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2159536:2166299:-1 gene:KYUSg_contig_319.308 transcript:KYUSt_contig_319.308 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDALGIPFFIDKLSGKMASGRGKSKDVMVTDEQLAQVEYELARNRMVAENEERMARLGLRRTSAELKDVCEASRPPKQRKRKAPSSALENTTERRVLRSRGTNESDNANPTDQPSKEITTKLVDGDKGGRTITKKANIYARMNKPKIKIPFNVYGQPIGPDATEFANFIGTLVRKHIPPKTIDWRDVDEEKKLLVWDHLQAFYELDSTALKYVINTSQRKWKEWKADLKKTKFDPELTDEELMPRCDDRISEADWKDLLKYWRSPEFEVRSSTAKENRAKSTVPHTAGSKSHARVTQEMADELGYAPRRDEVYIRTHTLKKGPQKGQHVQQAAPIINKLLQAVDEHPDWKEKSLKEGDLFARAVGMKEPRGRIRVLGLGPTPQDVGTPDTRGKVSTRVLVEMVARREAEHRMNTLEDQMQQMREQMHKMQQMLASPGGRNLETPSSQDGSNSRQNSRIELGEDNDMEDDEEDEEDDYLQRRGMDVLLYSWTGPESPVAKATVLSVDPDTLVGGEPLGPATYEVIVNVAIKRGATLPYQYDDLRYIGDAVTRSIAWPSSKMKPYKPAASGSSRR >cds.KYUSt_chr3.39825 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250995908:250999944:-1 gene:KYUSg_chr3.39825 transcript:KYUSt_chr3.39825 gene_biotype:protein_coding transcript_biotype:protein_coding MGACLAVMQASRHLLSKIASGANSRLNSAGKPEEVVIFAYREGIVRLKSKMDAHVFEMEVAGEYDSKGSTTNDDTDTDGNEHSTHRTKSCAGDNASNGLDADSQSSPPDRLGCYGSTAWRGQNIFTFFIGLDGSFRHEDIVFFCLDLAGLMGLVAVASLVSDLQGQEKFIWYDDWSVIGWLYLRYPVLFTFAKDRFCSLAFQFWQIDLHPNLSLTTVLEHQDMVEIDKLTEEDIDSVCLFVFKFDELHEKLMMRDAILQLELEGLYETKELYDSRTTNLKKTTKSPDSNKLKQLKFATRKSLELEELINDRKMELHEVHLLKIKSEAAMRSNVSLLSQYAAHEEEGSMIGYLARIMRMD >cds.KYUSt_chr7.10328 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63318603:63320049:-1 gene:KYUSg_chr7.10328 transcript:KYUSt_chr7.10328 gene_biotype:protein_coding transcript_biotype:protein_coding MASPILPAFTVRRGEPVLVSPAAPTPTETKALSDLDDAEGMRFYSSGIHLYRADPSKQGVDPAAVIREALARALVPYYPLAGRIREEAGRKLVVDCEAQGVMFVDADADLTAADFGDVQSPPFPCFEQFILEATTVAGVEPVIDRPLLYIQVTRLKCGGFIFGQRFCHCVVDAPGGMEFEKVICELARGEPGPSVPPAWGREMFMARQPPQPSYPHAEYSEPAGGADRMLSTPASDMARVPFFFGPREIAGLRQRAPPHMGRSSRFELVAACIWLGRTAALGYGADEEVRLSFIVNARGRPDVPLPEGFYGNAFAYSVAATTAGELCAGGLGYALELVKKAKSAVTYDYLLSVADLMVLRGRPLFALARTYIVSDVSHAGFKSVDFGWGEPVYGGPAKGGEGPLPGVTNYFSRSKNGKGEEGTVVPICLPKDAMDKFQLEVQGLTAEIL >cds.KYUSt_chr3.40675 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256562947:256563336:-1 gene:KYUSg_chr3.40675 transcript:KYUSt_chr3.40675 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDVDGDFPPGGGAPEKGPAAGLDKGQRLAWKAAGRGHRGASPHLVPFLQSVSLAGSSQGPEQMAESGPRTGACQLNAKHCLEQDNEATGFDCLLFSN >cds.KYUSt_chr1.19616 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115310003:115310960:1 gene:KYUSg_chr1.19616 transcript:KYUSt_chr1.19616 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSKSGSDQRAFVGEAGAVPMLVTLLYSEDAGLQLNAVTALLNLSILEANKKRIMHADGAVEAVAHTMGPGGATWRAKENAPARQLEATYGLDSAPARRLEGSGRGSSSECLGAHPGGRGWPWRWAGALALEADRRSDGRGGAGGLPDDDGEQAARLNGRRRRLMLTRAARSAMQRSGGRGEETHRRLRGEQHGLPSPLR >cds.KYUSt_chr2.40744 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253203385:253205475:-1 gene:KYUSg_chr2.40744 transcript:KYUSt_chr2.40744 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLPTAALPASAVPTHSTPHRAALRDVPQLHAALLKSGALTTSPESFHSFLEATALPSPVTSPAHLSYAIRLFRLGPRPPLSTPSYNILIRAFFRAGHPEDALHLFVEMLDAADVWPDQHTIANTVKSCARMYALATGRGIQAYAVKRGFMVDRFVLHSLIHMYASCGDVVAAQVLFDTVEEKGVVTWNAMIAGYVKNGEWKEVVEMFKGMLDVHAPFDEVTLVSVATACGRIGDAKLGEWIGAYAEEKGMVRNRNLATALVDMFAKCGELDKARKLFDRMRSRDVVAWSAMISGYTQADRCQEALTIFSEMQSTDVNPNDVTLVSVLSACAVLGALETGKWVHSYIRRKGLPLTVILGTALVDFYAKCGCIEDAVKAFESMPVRNSWTWTALIKGMASNGRGREALEVFSSMREADIEPTDVTFIGVLLACSHSCLVEEGRRYFDSMTQDYGIPPRIEHYGCMVDLLGRAGLIDEAYQFIRNMPIEPNAVVWRALLSACTVHKNVEIGEEALKQIIPMDPCHSGNYILLSNTYASVGQWKDAAMIRNEMKERGVEKIPGCSLIELDGTVFEFFAEDCHHPQLREIYEKVDEVIENIKMAGYIPNTADARLDVDEYEKQVSVSHHSEKLAIAFGLMKSRPGATIRLSKNLRVCVDCHSATKLISKVYNREIVVRDRNRFHHFKDGVCSCNDYW >cds.KYUSt_chr6.32534 pep primary_assembly:MPB_Lper_Kyuss_1697:6:205214812:205215096:-1 gene:KYUSg_chr6.32534 transcript:KYUSt_chr6.32534 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHARRAFKRLFGHFFPRQKEPEDFLALADVFNAAEDPTLNYRRAATKTGVEISMAMAMVHGEVVDWDKVSSSHAVDKAGQPTSLVPFLKNAK >cds.KYUSt_chr3.21165 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129755377:129760700:1 gene:KYUSg_chr3.21165 transcript:KYUSt_chr3.21165 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPPNPADAGDPAGNNAELPSLPFHVVTKPSQLPTEFLEPSAAKRLVIGFDCEGVDLCRHGALCVMQIAFPDAVYLVDAIEGGKELVEACKPALESDYITKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIEEQEGKKRAYDVYISFVSLLADPRYCGMPYPEKEEVRTLLRQDPNFWKCRPLSEMMIRAATDDVRFLLNIHEKMMEKLSKVSLWRLAVRSELYCRCFCINDNEQADWPPLPTIPDDIEAEVHVPEVDILSLLNVPPGKMGRVIGRKGSSIMAVKESCNVEIHIGGSKGPPDRVFIIGPVKEVRKAEAILRGRMLEF >cds.KYUSt_chr3.15551 pep primary_assembly:MPB_Lper_Kyuss_1697:3:95257801:95265804:1 gene:KYUSg_chr3.15551 transcript:KYUSt_chr3.15551 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRRVSFRDGRLASRKAEEAAWRRHQAAAWLDTMVGPCGISPCPSEQEFVAALRNGIVLCKAINKIQPGAVPKVVAFAPCDSHPSTAFQYFENIRNFLVAVQELKLPCFEASDLEKENVDAGSVGKIVDCVNSLKSYHERKQCGGANGSFKFMKSPLVPRSAVHVQSENLALGSSTPQKRLDMTVTDSEGHSSQSGVSNMEEAIEKLQRIILDCMISCKENLDQDVLRKDPVTLVGNILSNQLEKEQFKPLLQLFSPEGSAIENESTQHIEIENRMRIIEAQESELLELKKMFHEVKVDFRSLQSQFREDITELGHNIEGISKAVHGYNKALKENRNLYNMLQEMRGNIRVFCRIRPLNSESISSIEYIGNDGSIMVCDPSKARTTRKIFQFNKTFGPTTTQDEVYMETQSLIRSVMDGYNVCIFAYGQTDIRTSTNGLFNIPDAKMCPVQSPSDVMNLMLLGDKHRASGPTAMNNRSSRSHSILTVHVNGKDISGNVSCSCLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSCLGDVITALAHKNSHIPYRNSKLTQLLQSSLGGNAKTLMLAHISPEGESYVETLSTLKFAQRVSTVELGTAHANKESNDIRELKEQVDTLKKALATKDLEKSSLKLKENTVTSERTKKLPERTPPRPRRLSLENASSGKGSIPGTAPKPPISVMKFNRDHMTTNDKECSIDGFSHTKHHRSVVQVSPKLSEQPVRQETEKFVPTDDVVTFYQLPPDAYNQYKQSGLDTPQRTPCRSRYMGVQVSQTDESSEAKLDKTTATNVTKKGSHLRRSIQSSIGKLIHGSERRNTPHSTHATPAKINTNTNYDGPSPIATDARLRRRQSSTGLPPPSRRSSLGDKSDSSSNDKRAKTPPPMNSAAKAKRWL >cds.KYUSt_chr2.52239 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325859285:325859653:1 gene:KYUSg_chr2.52239 transcript:KYUSt_chr2.52239 gene_biotype:protein_coding transcript_biotype:protein_coding MCNVITIPSVAWLRRAVRRWRGSRSAAVPAGHVAVCAEGARFVVRLAHLGHPAFLELLRQAEEEYGFPAGASGPVALSCDEHRLRDVLRRVSSSSEERRSSRHRNGDSRPLLQGVAGEKVFL >cds.KYUSt_chr1.17939 pep primary_assembly:MPB_Lper_Kyuss_1697:1:104538397:104538861:1 gene:KYUSg_chr1.17939 transcript:KYUSt_chr1.17939 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAELVVIALLLLLPAGEAQERRPSEAHPHGLPFESPLALSPAAYEFFHPSERVSGAPALSPRVGQPRGAVVVPGAGASAASVARADQAEGGVAPARMARRGSAVRSGVVAGVFVGAAVVVVAALGLAYAVARRRVGVAHGDAEAVTAPKSIA >cds.KYUSt_chr5.43361 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273258584:273258910:1 gene:KYUSg_chr5.43361 transcript:KYUSt_chr5.43361 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRPAGVLLLVVAAAALLLAETASPATRAYSGRIVIDHAAAATMSRRRLEDEVAPELSWSAGLLGGGIGESGLDKDRQVCVRADCAAPCPGCPYTRPCTYKDRCRH >cds.KYUSt_chr7.33304 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207778308:207778607:1 gene:KYUSg_chr7.33304 transcript:KYUSt_chr7.33304 gene_biotype:protein_coding transcript_biotype:protein_coding MHILPDLMELCGGVLTPPSVEEVRPDSHESSDVTSPPCLGLEKCDIVDAAVSLSPEFDRQVGPIGDEVSESAVPGAVVAREVCDFLATLAIAYPGATVG >cds.KYUSt_chr1.960 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5074760:5081467:-1 gene:KYUSg_chr1.960 transcript:KYUSt_chr1.960 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSIGKSVLNGALSYAKSALAEEVALQLGVRRDQLFITNELEMMQAFLMVAHDEGVDSMVVKVWVKQVRDVAYDVEDSLQEFAVRLQKQSWWRIHQTLLDRRRVAKQMKELRANVEDVSQRNMRYHLIKGSSSKPATIGGQSAVAEGTTMSGTAEVMRLREKAKVDLVRLINRKDNDLIVIAVSGTSAGHLGKMSIIKDAYEDPMIQKKFECRAWIGKLMCPFNLTEFLQSIIEQFHVNFLQESGEKEKEKEKALDLQVLRKMRRMKENRLVREFKRYVNEKSYLIILNEIHTIEEWGQIKPCFLINKHGSRIVVCAEQVGVASLCVGPEDTAPEYKQLLAYHNLYAFYEKGSRDGTNLTEAGPSSNVGTAVSDNSANRKMLNRTGTILEVLKESQLIGRETEKEEIIKQVTTEDSQLQVISVWGMGGLGKTTLVRDVYQDEKLSGKFPKRACATIMRPFNVNELLQNLASQFGYNNVPEMDKELLGKKYLIVLDDLSSNAEWDTIIPHFPLTQTSSRIIVTTRVKDIATHCSKNHEKIYTLRSLEDDKALDLFTKKIFGKATNMDEEYPELVEHANLILKKCNGLPLAIVTIGGFLANQPKTVMEWRKLNEHISAELVMNPEIGIIRTILMRSYDGLPYYLKSCFLYMPIFPEDYMVGRKRLVRRWSAEGYSREVHGKSAEEILDGYFMELISRSMLLPSQQSIHGIEGIGSCQIHDLIREIGISKSMEENLVLTVEEGCSSNSQGTMRHLAINGNWKGDRSDFESIVDMSRVRSVTVFGEWKSFFISDKMSLLRVLDLEDTTGLRDHHLKHIGKFLHLRYLSLRGCDAIYHLPDSLGNLRELVALDVRGTRIIKLPRSIVNLQKLSYLCSGRKPDDEDGSYEDNFDGIPKFLDNRPCIMFLVTGIACCWRRAAAEILYDGIDLNCHDACTALSCYYGPFIAMRLDLHGVLVQSGMRKLKALHTLSVVNIARGGKDVLKDIKGLTQLRKLGVTGVNKENGQGLCSAIEGLSRLESLSIRSEGEPGLSGCLDGTFSFPEKLQSLKLYGNLVKLPEWIQGLRNLVKLKLRSSKISEHDDAIQVLGDLPNLASLHLLTKSFEQTNACLTFRPHMFLNLVVLELHSLLIHSEYLNKALFVKFEQGATPKLELLEFRSAWINSRTVSGLPSLASLKEVLLEGGYYDDDLAYLRAELAKNPNLPVIKMV >cds.KYUSt_chr2.10907 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69258247:69264395:1 gene:KYUSg_chr2.10907 transcript:KYUSt_chr2.10907 gene_biotype:protein_coding transcript_biotype:protein_coding MQSATRLTLLLCAAWAAALLYGEMGAYWAAHLSCSWPSASSSSPSPDNHVKIAVIADPQLMDSTSLGLPASSVVLQAVELFTDLNMRRSFQSVILPFKPDVVLFLGDHFDGGPYLSDEEWQESLFRFKHIFSMSEQQTNPHVPIYYLSGNHDIGYSGFHSVHPKVISRYEKEFGPRNYHFLSGEVDFVVVDAQTLDGGSKQSKERASTWEFIKTLSAGNESNPKVLLTHIPLYRPDNTPCGPHRSSPVINQRIHAAAMDQGIRYQNYLSKETSDLLLRLLKPTLVLSGHDHDQCTVVHSTTFGPVTEHTLGTISWQQGNLYPSFMLMSAGPKLTPNSTDSIHGVLTNLCFLPKQTHIYIWYIFQFLITVLLLVFWPTNGLSSLPYMNKFVSFMTSVGAELFSRTKEKDDEEDGEYEMVFDAEGSMHLVKKAVTRPPRTNSDSQTMGRGNVVARPTARKHQTEPDSSVLVEMGSETPPEDAGKLPRPSKWNIRKVLQRLFRVVQSIVIIAALNVPLYMMLLFKDWIDR >cds.KYUSt_chr3.29389 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183969637:183972744:-1 gene:KYUSg_chr3.29389 transcript:KYUSt_chr3.29389 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNQFDILGTDDNDDPSQLLAAAAAAAAAQKAEAKKPAAAPAAGKGPQTGPAAKLPTKPAPPAQAVRDARSGGAPSRGGFGRGEPGRGRGGRYGQNRDFGSENTNGYQGGYGGGAGSGDGAVAAGGYGDSERGPRPPYRGGGGGGRRGGYRNSEFGDDSERPPRRNYERHSGTGRGYGMKRDGAGRGNWGSSTDEGLAQETDEALKIEDNVPIAEKQAEQDDAPTTEENKDNKDVAAKEEEENEEDKEMTLEEYEKIREEKRKALLALKTEERKVEVDKDLQSLQPLSTKKANDEIFIKLGADKDKKKESAEREERAKKSLSINEFLKPAEGERYYGGRGRGRGRGEGRGDRGGFRGGFGGGYQRGQAAAPSIEDQSQFPTLGGGK >cds.KYUSt_chr2.43475 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270597188:270601109:-1 gene:KYUSg_chr2.43475 transcript:KYUSt_chr2.43475 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLHLPLNQTQRVRLAAALLELQTLAPAAASAAAVTVADTIPVNHEDNILKGHGTTDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVVCAEVRGFQHDGSLHLQARSQKYGKLKRGQLLTVPPYLVKRRKQHFHHLEQYDVDLILGCNGFIWVGEHVVVNEITTFNEDQEKLSAEVETFTPMETRKHICRLANAARVLSALGFTLTIELIIQTAEASLSSNVKINDMLGAEFYVQTAETEAKRRADLLGRKKGGAR >cds.KYUSt_chr5.16214 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104405010:104408119:-1 gene:KYUSg_chr5.16214 transcript:KYUSt_chr5.16214 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKAAGCGGAQAVPADIAGRMTCFSGGCGRVAVGCDDGTVGLLDRGFRISYGFQAYASSVLFLQQLKVHPGIKSSGYAEFFYGFFVKSSLQLDHIASVLVLEEAPPILLIAIGQDKYQEETELMRRETEDLKTNAKVFQLRKCTAFTFTLVPAVHFMCMHPFHLLCLGDNEKECPECAAEYRSVMEAKQKL >cds.KYUSt_chr4.26861 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168684674:168684994:-1 gene:KYUSg_chr4.26861 transcript:KYUSt_chr4.26861 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDELVADLLDLLPLTYSYGSGNVLLLNEEFYHAFKASTRSCTWAASTATNRAATGCEPPWRHVALHASDYGGRAPCRFVKPRACARGVDHLVGDGLPPKRVTG >cds.KYUSt_chr4.40848 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252378606:252380998:1 gene:KYUSg_chr4.40848 transcript:KYUSt_chr4.40848 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCDAPLAVAASRRAMPLLLAAPLLLGVLGPLGEEDGGEWGGAARNPSKEIFSELDEINAEGPILPRSFQKTEESTKWGHEAARLQGGVA >cds.KYUSt_chr4.6161 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36211071:36212405:1 gene:KYUSg_chr4.6161 transcript:KYUSt_chr4.6161 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLELSKRLAARGHAIAFVSTPRNLARLPPVPPHLSSRLRFVSMPLPRVDGLPDGAESTADVPPEKIELLKKAMDGLAAPFAAFLAGGTKPDWIIHDFCHHWVPPIADEHNVPCAAFMIVHAAFVAFLGPRWANAEYPRAATEDFAVAPGWMASQSTVAYRRRSEAEWVAGMFRANASGVPDIERVWGMFERSRLIIYRSCDEVDPGMFALLTDLLRKPAVPAGVLLPPVVAAHDRDEVLRWLDEQPRKSVIYVALGSEAPLTRKNLHELAHGLELADVRFLWALRKPAGLFDSGGSAGDRGVLPDGFEERTRARGLVCTGWVPQVAVLAHGATGAFLTHCGWGSTVESFAFGLPLVMLPFIVDQPLVARAMEEKGIGVEVARDDTDGSFDRDAVSAAVRRVMVDDEGKVLASNARKLQEILADEMVQERYIDDLVEHLRRY >cds.KYUSt_chr4.1396 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7368397:7368735:1 gene:KYUSg_chr4.1396 transcript:KYUSt_chr4.1396 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGHGAGEKKGVMENIKEKLPGGHTDHQQTTGTYGQQGHTGVTGTGTHGTGEKKGVMENVMEKLPGGHTDHQQTTGITAGTTGTGTHGTDGTGEKKGIMDKIKDKLPGQH >cds.KYUSt_chr6.12503 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78116971:78121160:-1 gene:KYUSg_chr6.12503 transcript:KYUSt_chr6.12503 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPPRGAPRDAVGQRWLAVFAFQAALSAAASVIHLATSPRRRHASLGVPPALLLALHPFLSCAATGLLALAFLISASPHPRPPPVPLRALAAFLLAAAGALCVAAAASLVPEDAGWAAVAGLGFRGAVLGAVFAAHYFGRGRWLLQFPVVQRPLFYGLKMGLLPSMKRALKVSLQAFCLSFVLIFILPRQFRIGGSIGSQIITQIGIFMVTTGVSFCWEISHHFVQVVHTRRCSFTPPQSTAAAETNPTDYILETLELSDPRSLMQYLAFQDLCAVSECNIEPWRRGAFFEESGETYKRIVTACLKPLEDFTTKIAEALEGFSSDKPELLSQQSKLYGAFSDAQICSWCARTLATLTARSRREDRYGVAQLTGCNAAVMSTLLSALVAVEACLGKKTNPQPVHSLGPASIRWGNFSTAKKGNVTAIASTQRGGLHTKAYLMADVFRTSIYQIVSAFLDDMRANAKSSSLEKNWISEGRKPIFGSPAVLVQKLSLFTEYHAV >cds.KYUSt_chr7.9903 pep primary_assembly:MPB_Lper_Kyuss_1697:7:60604303:60607039:1 gene:KYUSg_chr7.9903 transcript:KYUSt_chr7.9903 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAGYLARRAGQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLRDKFEANRNVENLDVIDRLIDDAEARQRNFQHPDPYIVPWAPGGTKFTRNPPPPEGVGPIFLAARGASRLGACPLRHFTDQTFAVSPFPSEIVMHAVERSL >cds.KYUSt_chr3.23445 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144939170:144940870:-1 gene:KYUSg_chr3.23445 transcript:KYUSt_chr3.23445 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGPAVKHTPVKFLSCRGVAFEIKPSQVSPFSFDAAGAAEQPPAVPNGGRWIWFPPPINRGNSTTTFRSALSSATSNHFCDLEDSDDDESFVVDEIEDDEEMALATAAGDLRNRSRRSLASSAKPVRAAAKGHSRLGVILLDQGLFTVYKRLFVLCVALNAAGIAAAGTGQFPYAKRHAAVFAIWNILALTLCRSEAVLRAVFWLAVALLGRPWVPVAVKTGVTAILQSLGGVHSGCGVSSLAWLVYALVRALEDNQVTPREIVGVASAILALLALSCLAAFPLVRHLHHNVFERTHRFAGWTALALLWVFVVLSAGYDSTTRSYSRLTVATLAKRQELWLAAAITFFTFLPWLSVRRVPVTITAPSTHASIITFQGGVKAGLLGRISRSPLSEWHAFGIISDDGDTHSMLAGAVGDFTRSLVSDPPSHLWVRRVHFAGLPYLISMYQRVTMVATGSGICVFLSFVMQPSSAEVSVVWVAKGIDANYGDEMSAIVANSKMLAGRVIVHDTATMGRPNVAELAVGAARRWNAEAVIVTSNPEGSRDVVSGCKKAGIPAFGPIWDS >cds.KYUSt_chr5.14137 pep primary_assembly:MPB_Lper_Kyuss_1697:5:91743747:91754013:-1 gene:KYUSg_chr5.14137 transcript:KYUSt_chr5.14137 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDGDCGVRGKEEVRQERTRRWAAATPTPILVPVLSSSPALDVIFRRSDGSEHAPARMGRTGTVVVGGAWGERMEKVAAQRGSSGASAGEGRRQPAGLEISFSGADGKIKCSSCGTAGKEGRKIGLKVKAYKGLSVCKAPVLEASLFGSRGQLRHGAENQGDLIWSLGRCRLSGKQKSMCQLKRQREIGAGSSTFDEYWANEIIVGQAADEVVSVAARRAEAGGYSYGCAMMWLSMGCPPAPGKEVNGSLVDGRRSYDRELDRFEDGLRQCIDDVIPDDPCGWGELGI >cds.KYUSt_chr6.4253 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24620216:24624656:-1 gene:KYUSg_chr6.4253 transcript:KYUSt_chr6.4253 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGRHPLNVFFHGARLAFRRDDLGKEIMGIAVPGALALLADPLASLVDTAFIGHIGPVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDATSCDRYKDEIGGENEPDSEMDELIPRQDTSATSGKSSLQTDTGEIQIELKRKNIPSVSTALLLGGVLGLVQTVLLVSFAKPILGFMGVKADSEMLKPALQYLVLRSLGAPAVLLSLATQGVFRGLKDTKTPLYATVAGDAINIVFDPIFMFVFQYGVSGAAVAHVISQYFIAAILLWKLRLHVELLPPSLKHLQIGRFLQNGFLLLARVIAATCCVTLSASMAARQGSTEMAAFQICLQIWLASSLLADGLAFAAQAILASAFARRDQSKATATASRVLQLGMILGVFLSILLGVGLRVGSRLFTENQDVLHHIYVATPFVALTQPINTLAFVFDGVNYGASDFAYAAYSMASKHPAVRLSVL >cds.KYUSt_chr2.6359 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39659289:39659549:-1 gene:KYUSg_chr2.6359 transcript:KYUSt_chr2.6359 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMGPYDWGFLDGVCTIIGFQIGMNLISFIGEIIVAKIKGPRNGHGGNQAGGGGNHAGGGGNHAHAGGGGGHQGVGGNQVGGEAV >cds.KYUSt_chr1.33022 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200420067:200421701:1 gene:KYUSg_chr1.33022 transcript:KYUSt_chr1.33022 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSHDNTRLHSLHPELHVNLSERATQHCPDAMVDLPPSTGFPDLRAAAPYLAAAVALYFLLEQLSYLRKKGPLPGPSLVVPFLGSVAHMVRDPAGFWDAQAARARESGSGLAADFLIGRFVVFIRDSELSHRVFANVRPDAFHLIGHPFGKRLFGDHNLIYMFGDDHKDLRRRIAPNFTPRALSTYAHLQQRVILAHLRRWLDDQAAAADSEPMPLRVPCRDMNLETSQTVFVGPYLSEKARERFAKDYALFNVGLMAMPVDLPGFAFRRARLAVARLVRTLGDCARQSKARMRAGADPECLVDYWMQDTVRETDEAATAGRPPPAHTDDEELGGFLFDFLFAAQDASTSSLCWAVSALESHPDVLARVRAEVAAVWSPESGEPITAEKIQGMRYTQAVAREVVRYRPPATLVPHIAGEPFQLTEWYTVPKGAIVFPSVYESSFQGFPAPDAFDPDRFFSDARREDVAFKRNFLAFGAGAHQCVGQRYALNHVVLFLTLFVSVADFKRNTTDGCDDPVYMPTIVPRDGCSVYLKQRCASFPAF >cds.KYUSt_chr3.37561 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236209071:236214646:1 gene:KYUSg_chr3.37561 transcript:KYUSt_chr3.37561 gene_biotype:protein_coding transcript_biotype:protein_coding MSEISFAQSPPDSPRKQNSKPQLARNLIKMSPPPPATDGSCTLFRCFCCWRRRCSPRIPRVAPGRDYVCIPAGADDRPAWSLLVGFRADSGLRLHRFRVALSGRILGRSNDALEIFHHFDSDRAASTWGANATLSPDGRSLCIFCSKRLVDAESKVISAPVLELQLQDDKKTIAQLPTVLLGRLMHCRPVTVGGDIWAPYFHLIPHSPCRLVMQRLDRGDGRWVVAGTIEFPYEMENPMKWGGSIFQGHAVIHDTILVSLRPAHLLFSFSCSTCTWTAITTITNKTTTSSKNPSFRTRSENTSTSKDTTRLDTAVSSSAPINPSPGSSSKNISRDNTTSSINTSSGSSTTLSGGTTRVGKYVPIRDRGVYVEEDDAIYFLQKSTIYAYKLHGCGQGQQPLVELKPPTKIDSVCPFYPDGFGLLTHLGGRVMCSVWISVARDCSCDKYHVIISTFQVKYCSTGIANGIEILHSTCRQLDMFPCKPTVLPVHEFCFLQEYEEYNHENAMAPSRLLEGIEDSTSYDAEDSSEMLACCRCFLLNLNVREWEDVLPDVESECFSGPHGQDVYVTIVQVKTTKTPVGKFRPESIDHVDIATCLIPLEDGVKLYGAHILPPPIISVGISVAHMACCATEITLSVAHVLRCATEVVDSVAHLLGCATELRNPSNEIFSESDEINAQVPIFTGSIQNTREGPEGGTGPPDHRPARPRPWPRCPMVSSPLRPSCAASSPI >cds.KYUSt_chr1.38556 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235744408:235746054:-1 gene:KYUSg_chr1.38556 transcript:KYUSt_chr1.38556 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARKNLSMANDAAGISGRPRLTHLRTLGRGASGAVVSLFAAGDNELLAVKSAGAASAQAQLRREEGILASLCSPYVLPCLGSRAAAGGGEYQLFLEFAPGGSLADEVERSGGSLEESAVRSYAADVARGLAYLHGASMVHGDVKGRNVVIGADGWAKLADFGCARSVDSAGPIGGTPAFMAPEVVRGEEQGPAADVWALGCTVIEMATGHAPWSGVDSVFAAVHLIGYTDAVPEAPKRMSAEGKDFLDKCLRRRADERWTAEQLLEHPFLASAGCGGDVEAKWVSPKSTLDAAMWESDADEDDDIVPDYTADQRMKALAESYSVLPDWESDDGWINVLSVQSGLPNGAAAETPAVWSSNESSPTVALAGESTSDGCCWDDEGLEEEIGVEDGLVTGAAVPVAAAPSVEAACDYDTCSWDEGLLLVEAAEMGAEALDAGAGVGDELALQNVGVADNQDSVNQQLVDVYWDPISDPTVVADSESMPDFLYLYFSSTLLLRFYFKVQTETTNLNISSENHMNSTRCKAERQPPTCSCTVFRNWWSETWS >cds.KYUSt_chr1.24364 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145417121:145417991:-1 gene:KYUSg_chr1.24364 transcript:KYUSt_chr1.24364 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVKVFGSPTSSEVARVLACLFEKDVEFQLIRVDSFRGPNRMPQYLKLQPHGEALTFEDGNVTLVESRKILRHIADKYKAQGNPDLIGMGALERSSIEQWLQTEAQSFDVPSADMIYSLGFLPPTMPLDGKKDGAGNGAGGRDARQQQQQQKQQGMMMNPTHVQKLEEMKQLFEKSSKDLGKVLDIYDQRLEEAEYLAGDKFTLADLSHMPNADRLASDPRSARLIQSRTNVSRWWADVSARESWVYVKSLQRPPASEAPF >cds.KYUSt_chr6.19876 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125356534:125359597:-1 gene:KYUSg_chr6.19876 transcript:KYUSt_chr6.19876 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMDGAVGVGVGGGVEAARRWRLADERCDLRAMESEYVRRFHRHEPCDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVKFVGGDHRLKNYSSILTVHPEVIEGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLAEVSERLVIKDQTELLDR >cds.KYUSt_chr5.39961 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252561469:252561717:-1 gene:KYUSg_chr5.39961 transcript:KYUSt_chr5.39961 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRPWKVDCAVGSTAGNVAVVVVSLFGHEDGVERMAGDPGVGGTSAVAAPRSWFGKLPRGQRAAEFSGVVGGYFRAKAQR >cds.KYUSt_chr6.3937 pep primary_assembly:MPB_Lper_Kyuss_1697:6:22782597:22783444:1 gene:KYUSg_chr6.3937 transcript:KYUSt_chr6.3937 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTVVAQRCWREGRLVGSGDDRGTASQGLDLKQCEPLLEVEVNVLCLMAIEILVKERNVHRIEPRPVKVVKPKLNTASYMKKSIMMRFRKMEQ >cds.KYUSt_chr3.19512 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120021204:120021503:-1 gene:KYUSg_chr3.19512 transcript:KYUSt_chr3.19512 gene_biotype:protein_coding transcript_biotype:protein_coding MILIDHPNVVKALCSFANNQTLWVVMPYMAGGSCLHIMKSVQPNGFEEAVIATVLREVLKEVSDVPFC >cds.KYUSt_chr2.2405 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14541310:14547410:1 gene:KYUSg_chr2.2405 transcript:KYUSt_chr2.2405 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAEVASSGLRHRIRTGVVPPRGAPPTSPALDSLRWFGEVVRQWALNRGKPLADDGGHEVDDAGDGTVLLLEGDIEVVSPPSLLFLYRTGSWEGAIAYVRKQLLRAVTAAALYAWPSSSLLRPGLPRLIALLPLFPLLVAAPLAFPSSAIVRGLAAFFLAWLGTFKLALLAVGRGPLDPALPVLPFLFTALLPVKLAPASSNKAKPVSLVSCAVKVAVVAAVVRLYQLNHRLHLYARLALYGVHTYCFLDLLLPCIAAAAAALGMEAEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRALAGVPAAVLATFAVSALMHEAMVYYLSLQWRSDGMMAAFFLLHGVCCVLEGVVRAAVGGAWVAGAAAARGDGAGRALRHGHVVLALLPGALQGRS >cds.KYUSt_chr6.33912 pep primary_assembly:MPB_Lper_Kyuss_1697:6:212655217:212658205:-1 gene:KYUSg_chr6.33912 transcript:KYUSt_chr6.33912 gene_biotype:protein_coding transcript_biotype:protein_coding MQNERVIYELLAEVERERKRDQEDRKKKGEEVDEEAEAAEDFLGVKPLIEKLERRKAKEARVPDDAFWEPTDSDSDEDDERFSADSVRRRADEFDRKCKRQSELLRSFAEAENLDDAHKLMMKIDKFEQRHLALPLEYRVIGDMMNRLKDATGKDRFILLQKLNRAVRLMEVKEAYDPSNPANFGLIQHQQVGSPDDVIDNAGFDKEKQMIQGAIEDDEEEFNEDKEKDDRLIEQLNSIEKKIEDKLADLDHTFGKKGRVLEEEIKNLVEERNSLTDKKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKYTALLATGNYNGVVGFAKAKGPTAKIAIQRAYEKCFQNLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMCAAGRTVETVMYLAGFSNVKSKIIGSRSPLNVIKALFIALNAVRVYYPKYLVTMLLRRQSFCDDFRPILLIDYSSSVLLMYVQIETPKDVEKKFGRTVVESYLL >cds.KYUSt_chr6.24868 pep primary_assembly:MPB_Lper_Kyuss_1697:6:157415865:157416879:-1 gene:KYUSg_chr6.24868 transcript:KYUSt_chr6.24868 gene_biotype:protein_coding transcript_biotype:protein_coding MELGARGFQREEDLELAGILDPSVGSWGLDGAEGQEEEPEARALATLSWSQDRCKGKPCAFENPEIPAQAQNLSNPLPTIRI >cds.KYUSt_chr3.27492 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171539026:171544181:-1 gene:KYUSg_chr3.27492 transcript:KYUSt_chr3.27492 gene_biotype:protein_coding transcript_biotype:protein_coding MIPADSCRPAACRRQEGLHRRRGDVDGGARARRLEEDVPERFSARAAPCFHMKKEWKGINQDTTVAWEVAAGNCRFTVFLCVLVCSDGFSVPISSGSLGKLHDRTKVVLTILQVLAMKQHDSSFDMKPDRTLNLHGSIATSGRKEDVEKTGLLSEVLGGVGNDGQRIVPRRCCCSNWSWRLPPAKPDDRERWGGS >cds.KYUSt_chr6.2688 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15711561:15712632:-1 gene:KYUSg_chr6.2688 transcript:KYUSt_chr6.2688 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLVDILLEHNNTFHRGQNGWSSETWNVMVKLFLSRHPYLRMEKSQIQDKEKDLKRDYRMLKEARMQSGVGWDESQFKLQAEPHLWENLKLIKRFKTKAFPLYDSLGQLYEGSIAEGNFNFTSTSTTSREDLTQVISDDDEPEGDTEADIPERESEDEYEVMDPAPSAQSMTQVEHQVKGKRVAAARNKLPKIPKRSPKKRNSDGIVQVMERMVQVREKEVNQEQPLQKFSITRCMDALKTLDGMTGSIKIPALDVFRIADNREIFLNLVDDKDDKDGTAMTWLLGQIAKLP >cds.KYUSt_chr3.31773 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199678222:199679925:1 gene:KYUSg_chr3.31773 transcript:KYUSt_chr3.31773 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPILKKLLADASRYLGVDMASELHELETTIMPQFELMIEAANRSNHRAKLDKWLQELKEAFYKAEDLLDMHEYNLLECKAKSRKGTSPSHDSTISTILKPLHAASNRLSNLCSKNRKLIRQLNELKAILAKGKVFRALLCLPAGNSAEGPVEQTTAVPQATSLPPLKVIGRDKDRDHIINLLTKAVGVETNSPTYSGLAIIGAGGMGKSTLAQHVYNDQRVEEHFDVRMWVCVSRRLDVHRHTREIIESAAKRECPRVDNLDTLQCQLRDILQKSEKFLLVLDDVWFDDLGSQMEWDQLLAPLVSQRKGSKVLVTSRRDTFPAALCCEKALRLENMEDSWFLTLFKHYAFTGAEISNPQLRQRLEVIAEKIAKRLGQSPLAAKVVGSQLKGKMNISAWKDALTVKIDSLSEPRTALLWSYQKLDPRLQRCFVYCSLFPKGYKYNIDELVHLLIAEGLIDPCNQNRRMVDVGKDYLSEMASGSFLQPFSERYKEPGYIMHDLLHDLAESLSKEDCFRLEDDKVTEIPCTVRHLSVRVESMKQHKHSICKLHNLRTVICIDPLILH >cds.KYUSt_scaffold_1700.366 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2447583:2448011:1 gene:KYUSg_scaffold_1700.366 transcript:KYUSt_scaffold_1700.366 gene_biotype:protein_coding transcript_biotype:protein_coding MPEWELKYQHDLKPSFLRHQRKAEVDRSWILDPVEDEQNHEWDSDDDSMADAEGETDSNNNRGSTFSYSGVDFLGYHPWKEIIFLGNRFRGYAYYLGSSKLEYLGYLHPSGLGHFPLMTPTLESFIYTPCMDDLLYDQNNPS >cds.KYUSt_chr3.20310 pep primary_assembly:MPB_Lper_Kyuss_1697:3:125085978:125089942:1 gene:KYUSg_chr3.20310 transcript:KYUSt_chr3.20310 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRGSSAINRGRTGRITGCQILCLDNFFFGQFGAGSNWAKGPYTKGAELIDCVLDVVRMEAKNCDCLDVFITKIFITKIKPVAQVVNRIIKKRIRVSVEHCSHPWLGVPADSTQLLLSPSSMR >cds.KYUSt_chr5.40375 pep primary_assembly:MPB_Lper_Kyuss_1697:5:254885578:254892431:-1 gene:KYUSg_chr5.40375 transcript:KYUSt_chr5.40375 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAKPYCCSSLNPAASAPLPRRAALSPFPAAKPRRLSHGLSAAAAANPRPPRAAVRACLAAAPRRPEYVPDRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGDDAHVPVICGLSRCNKRDIDAAWEAVRHARKPRIHTFIATSEIHMQHKLRKTPDQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPHEFGKLIADIKANTPGIENAIISTHCQNDLGLASANTLAGADAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLDGLYTGINSQHITMTSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKFKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRTKLVELGYEINDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVIWSLGDVQATCGTLGLSTATVKLIGLDGEEKIGCSVGTGPVDAAYKAIDQIIQIPTVLKEYGMTSVTEGIDAIATTRVLITRDVTGDEHSASGYSSRSFRSSSSSKSTEAGGYDGTSSYRPMAIVEDLTDEQFDELCRQFKPMSLPRRFEPPAPAVEARTILEITFENGFPEFSDGTSHYDVVADDAYSDDSDSEIEADDSDIAVDGLFQALFPYHI >cds.KYUSt_chr4.20866 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131236749:131239151:1 gene:KYUSg_chr4.20866 transcript:KYUSt_chr4.20866 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPQLRCRCGLLFSVFLLLAMLRSGVASTLATFAMAKADETTIVCGLLPSASSQDLVDLNCTAAGGDHQRQVSYPSTHPFSAVAGGEDFLCAVGPSSARADAVDMRWWDLSKNPGKPKRVYLGPPLQALDSSGYRVCGVLASGELHCWRWRGIAIPAELRFAAVAVGKGFVCGIVAGSPAGPIRCFANETENLEAVRAAPQGGSYDVVAACGRRACALSKGGALSCWGSGAPALDGANDTAGYADLALGRTGVCGLRTNGIIQCFGDGVAAPPDDLAGLQYIDVQAQGDAFCGVLMANYSLVCWGGHEFNATNRLVFDRVLPGPCVLMSTCKCGVLPGSANLCAGGRCICVDCAFELNIAIPKAAALTPGNDSSSSRRRKIIIWVAVGAAAFLLLVVAFQLALFLWCRRRRRRKDVADDLGALQSLMPPQLGSSRSRGPGSVVEHFTLDTLRSATEGFDDQRRIGSGSFGSVYRGTLPDGREVAIKRAEEHATKKSSSSARPARRRDRETAFNSELIALARANHKNIVCLLGCCAQSGERVLVYEFMINGTLHDQLHDRSPMAPSVMSWRGRLSIALGAARGIEYMHVYAVPPIIHRDIKSANILLDDSWTAKIADFGLSSVLEPAGDCEDNNGDSPQQQQPQPQKTIYTGGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESVTPKNVVEFTVPHILADDVARVLDPRLPAPTPHECEALAYVGYLAADCVGPVGCERPSMTEVVDALERALAATSTAPISRSVTARRVLSRSGTDQFDLTDTD >cds.KYUSt_chr5.22330 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145785250:145792715:1 gene:KYUSg_chr5.22330 transcript:KYUSt_chr5.22330 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPPELGQFDGWESSGEEERERWGWCRRSRSSSRRGKRLPSKGGDDGGVATGCCIRLWPAGSCPRPPRSKVDTSTSSASTHGAEKSTENGSRNQPVASVVSGSTSTSNAESSSSASKAGDDIKVSSKLRKFAFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWSIRMKVALGAAQGLSFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLVDPRLEGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEALKPLLNLKDMASSSYFYQTMQAERMAHSSSMNGRSSHSLKVHGSFARANGQQPMRSMSDGPRASPFRYSPKPNMK >cds.KYUSt_chr3.44873 pep primary_assembly:MPB_Lper_Kyuss_1697:3:282871427:282871891:1 gene:KYUSg_chr3.44873 transcript:KYUSt_chr3.44873 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNTLKMVIKETFRLHPPVPLLLPRLCKEPSKIMGYDVPRGATVLVNVWAIGKGEESWADASDFKPERFESDIVDYSGTDLRFLPGGAGRRMCPGMMFGVSNIEMALASLLYHFDWKLPSGENPDKLDMAEGYGMTTPRKTELLLEAIPFVT >cds.KYUSt_chr5.39638 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250764069:250767793:-1 gene:KYUSg_chr5.39638 transcript:KYUSt_chr5.39638 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHKCIMESGMLPGLKVENALIDMYTNVARWKQLGSSLRACRAMGEDLHGIKMDTFVGTWQCIHRIERASDMFKEMHSTAKFSWTTVMLEHVWNVHAEEAIDIFYKILRAFEAPDGVTFFGVLTGCVHAGLVDNGKLRAALETTGQIPMRPNSGIRGGLLAACRVHGNSEIGELAAENPLELDPEKSMAYILLSNLYAKSNRWEDVRWLRQAKKLMTPLMLEAIRSAQALIGYLPGLLQRKCIQEDIQSMSCREALLLVLQRRAAVCLQLFPQRLISALPARHCILPVSMVE >cds.KYUSt_chr4.48256 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298821352:298823933:-1 gene:KYUSg_chr4.48256 transcript:KYUSt_chr4.48256 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNARARSRDFSRQFWSVLCHALSECFLIVMLLVIAVVSYTATRLEIARLSYCQIHSKLAHSDGLCEKCFLSCSGSVGKPEPFKKRSNAHMISEEVSGRSPDDGMRKVKQRSRAMVSVGHSSDEDCDQLPSGGYRKLNACRDSESEIHVSDGDDNDHAVPYKAQHQTRDISYDDAHLQPMITSSNILSTSHSETTIPTKRMNTIPLVPLNTTADTGNAAKSLDPTIGHGLEEINWSQVNASNNDLDMHLMAGPEQVHQELPKEKTFLVGIEEVSNSEGVSGSADEEATKSFATSAYDGTSSTDAHFNRNNSMKNAPGGRADLRSPRWSEVMSAKETNSSTQEEVRTFMSQLSSARGFDGPWSDLAASPRISIHIDEYRQSDANLEPSDSHGTSEDEGEISLESLKQQCEVNKKNLRILYKELEAERSASAVAASEAMAMINRLQVEKAAMHMEAMQYLRMMEEQADHDQEAIEKLNDLLTEREKEMLDLEAELENYESRFCGESTDLGKVDATYGDMGFRVLDSSDFVRNTFFDFEDEKTKILESLRRLEETLGMPCTNRYDSGSANDGLQNGSLRDHPSDVLGHHVENPVSECRSSLLPPEHLNDESVSSQLNDENQSVENQKYDLGSANDGPQNGSLRDDPSDVLGHHVENPVSECHSSLLPPEHLNDESVSSQRNDENQSVENQKYFGSGSHPDDDNISAVTSIKQEISLLNSRFMALEADQKFLKQILISLKCSNDGEQYVQEITTHLRELRRTVTEQRDRTVL >cds.KYUSt_chr3.21408 pep primary_assembly:MPB_Lper_Kyuss_1697:3:131306497:131309367:-1 gene:KYUSg_chr3.21408 transcript:KYUSt_chr3.21408 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAAAAAPGRKSPVVVLLLCVATISLLMFILLSSYSPSLQPHGRSPHRRLKLHPKNSAAVASSYGTGESGGSGGQNHRAAPFDPAIAELERRLEDKEWEREHYRLLHGDGDEKDDHMKDWEEFLKEEEDFINDDDRFNVSDRIRALFPKIDLDPQDGFVSLHELIRWNLEQARGDQLHRSAREMELYDKNGDGIVSFGDFQKLRKESHGEGNSLGFPWWKEEHFNASDANEDGFLNKAEFHDFLNPSDSDNPKVINLLCRQELRQRDKDGDGKLTFEEYFHGLHDHIHGYDDENAEISHIGNMTIAKERFSKLDKDNDGFISERELEPILDKLHLSERYYARQQATHAISEADKDHDGRLTLEEMIENPYAFYGSVYFSDDEDYFHEEFR >cds.KYUSt_chr4.4775 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27335294:27336235:-1 gene:KYUSg_chr4.4775 transcript:KYUSt_chr4.4775 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIPQPEPGLKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKRKSSKSSSSAASASGATTGGTSSSTSSTTTGGGNSGAAAIMPPQAGHAQAQMPFFASLHPMGSGGGDHYGTGASRLGFPGLSSLDPVDYQLGGGGAAAAALGMEQWRMPQMQQFPFFGRADGMQQQQQMAGIYPFDAAAAADGFAVTGQMLGGGGSKQVPGSSGLITQLASVKMEDNQPSNHAMASAASAREFLGLPGNLQFWGGGNNGAGGNDSEGSQNGGAIAPGGAGGGSWADLPGFNSSSSGNIM >cds.KYUSt_chr4.19135 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120254862:120267584:-1 gene:KYUSg_chr4.19135 transcript:KYUSt_chr4.19135 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSRPPTPPVLPISEHEDEIVAAVEANPVIVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELGVPLGEEVGYAIRFEDRTSEKTSIKYLTDGVLLRESLSNPELKQYSVIILDEAHERSLNTDILLGLMKRLIKHRASDLKVLITSATLDGLKVSNFFSGCPVLNIPGTIFPVEKFYSTDRPTNYVESSLRTAIDIHVKEAPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCIDALVLPLHGSLPPEQQVRVFAPAPPDCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSTGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPSAIYQNEFLEATIPEIQRTSLAGSVLYLKSLNLPDIDILKFDFLDPPSRESLEDALRQLYLIDAIDESGQITDVGRLMSELPLDPSLSRTLIEANELGCLSQALTVAAVLSAEITLRQTRSKDMEGKRKRQELPNGSGLGDHVQLLQIFESWDQAGYDPRWCSDHDLQVRGMKFSKDVRNQLSQIIQKVAKGPTDLQARRGCKSDPDYRKLRRALCVGYGNQLAERMIHHNGYHTVGYRTQLVQVHPSSVLVEDEYGKLPVYVVYHELINTTRPFMRNVCGVEQDWVKPILKKLEKLNINKLSGGSSALMDSELLNDKQPGSPTKATGPKQSDVDSKIQAARERYLARKGKK >cds.KYUSt_chr4.26765 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168171438:168177430:-1 gene:KYUSg_chr4.26765 transcript:KYUSt_chr4.26765 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVPAARQCLSPAAVTALDAAVVSARRRAHAQTTSLHLISALLSPPAPPLLRDALARARSAAYAPRVQLKALELCFAVSLDRLPSASTSSSAATDEQQEPPVSNSLMAAVKRSQANQRRNPDTFHFYHQAATAQPPAAVKVELSQLVLAILDDPVVSRVFGDAGFRSGEIKLAILRPAPPMPMLGRLPTRSRPPPLFLCSFATADDADAPSPAAGSGTGEENFRRIGEVLARGRNPMLVGVGAASAAADFAAASPYRLLHVGPASIDHTDLGVAAAMASATSGLIISIGDLKDLVPDDGELQETGRRVVTEVTRVLETHRAGRVCVLGWSATYETYLTFLSKFPLLDKDWELQLLPITAVRAGASTSAFIPPATTTAAAFSRPASFMESHVPFGGLVHDTYEANSLVANSCPQALRCQPCNDIYEQEVATIIRGSGITAEDHHQGGLPSLLQNGSMIGPNNGFDAVKVRDQMVLNSKISNLQKKWNEYCLRLHQGCHKISSGPYQLFPNYTGVPAEGERAANLNRSSESVALQREVVRPSLVSASHTNASTKSISPPSISNQRNENLVLELQAGHSKSDEHLQDRRVQSQHETLLNCHDREDLVSPSSAASVATDLVLGTPRESSSKATQQKSIPKKVDDLYLKSPRLFAQPYACSGNSTNMGQTSPGARHSATSRGVSAFGHWQKPSYLEAQGSDLSNYKLLVERLFQTVGRQEEALSAICGAIVRCKSMERRRGANKKNDIWFSFHGSDSMAKRTVAVALAELMYGSKENMIYLDLSPQDWGDSSYRGKTGTDFIVDELSKKRRSVIFLDNVDKADCLVQDTLIHASDTGRFRDMRGKEVDVNDAIVVLSTRKIRGSRSVSVGVEEGHTFSEEKILAARGHQLKILVESGTSSTGEAHGDKVVIAPGHCHTKIQASLYSGGSVSKRKFNISDDQEKLQQSPSISKRLHRTSSVPFDLNLPIDEDESNNVDDHSGSNDNSCGSPERSIDSLLCLADGSIDFKPFDFDKVADYMLQELSNTLRRVLGSGCTLEIDIGAMEQIIAAAWASEGKRPLQAWLDQVFAGSLGELKVKYGKHANSSTLRLVPCENMAASKEDGCFGACPPLMDRGGLDPSIRFDVNKTKPILDAKPHPSCETASEENVCRGFLNLVAKLAISTILPPPAL >cds.KYUSt_chr4.44588 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276170959:276172214:1 gene:KYUSg_chr4.44588 transcript:KYUSt_chr4.44588 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKGSPRFGSIVSKASQSRQLKATQVSSTKKANKANQEDALWDNLVISFPKIKRFKTKSFPLFDALGELYDGKIAEGTYNVNSTQPPKYPDLTQADDGDELSHTEREFPRFEETWAYNVEQDADLTEHITIGDEDGSVARTEARTDESVARTEARTDESVARTNPRTNKRATAATDRNKEEKETKKPKEQSSNEIAGSMDRYIEMREKQFAIESALLAGEKKGAQSGDYSIKRCISEMMTMALSTDEKAPAGDVFKDPDNREIFLSSKEDDPEVALVWLKKALAKLS >cds.KYUSt_chr5.18916 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122368247:122370903:1 gene:KYUSg_chr5.18916 transcript:KYUSt_chr5.18916 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTEANLSAISESLVKLNMMLGRIAVRQDQMLVDLKKMTVALAPTATTTPTSVPVRVLGQQTSKSSSPSLFSANQVVGAGVPGADVVLASTMPTRCSTQGPAANFSCNHAVVAFPTSGTQHFPPTTSMNARNNTLQDIGESGMDTPTCFSTHVLSWPRDDPGAHSQDDTNMSAEVLTHIGGLSLFQELCVDAFDAMAKLLPEYVVLGDEIADQVLTHVGSFSLFIEIDMDTHNEPEGKIDKPTPWEHGLGGVEPVFPLLPPAEGMMQQLLLREMQQHGKIIAIISLPWDRGKHMLLLQDLGWQPTNAGGDTTLLCEQGPLQWSHMMQQSSSKHVAKSADVKVLESFGKDELKKLYNDNFHEWISFQQYEWMKWMNKYVSKLWPFVSQAASPVVQEPVEPLLQDYRHPRNVSPRIEGIRIQILQPVQIIMDIHFRWCGDPGIILDVDARVTSLPILLKDLHVLTAVCVIFQLSEDISCIFVVTIALLAEPEPRKQYRLMAVGGSLTASLTAIPGVLDMIDDTVHSIVNDMLQWTYRFVVPLDVNVDTSELELKREGKLSVTVIKATSLKDKESTSKSDPYVTLHVWPMFKLKIQVIDDNLSREWNETFELLLKDKETQSIIFEVYDEDKLHQDKRLGVAKLAVNTIQPETPFEITLNLMQPLDSLKIKGYMEEQPQALENKAMQKRKRVNDAAVTGSTMLALGGATSLVFPCQRLNGALNVGLAKALEDAIEKLVLKFSCTCLGSRLLILSTSLKVELHVGARWSGACTPLLGGKQCFLGAVLSCPIPLEIQAWEPWAMGW >cds.KYUSt_chr5.32197 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204261064:204261936:-1 gene:KYUSg_chr5.32197 transcript:KYUSt_chr5.32197 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPPPPAEKPADVEIREVYAHNLESEFAVIRDAVDTHRYVAMDTEFPGIVCRPVGAFRTNDALNYATLKANVDMLKLIQLGLTLADSTGALPRLGTGNRPCAWQFNFRGFDPRSDPANADSIDLLRASGIDFDRFAADGADAGRFAELLMSSGVLLNEDLHRVTFHSGYDFGYLVKLLTGRNLPDTIPGFFDLVRVYFPVLYDIKHLMRYCNSLHGGLSKLGELLGVDRVGTCHQAGSDSLLTLRCFTKLREVYFRGSTETYAGVLYGLLDDGAGGEQASSSTSSSAN >cds.KYUSt_chr3.27554 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171969959:171974174:1 gene:KYUSg_chr3.27554 transcript:KYUSt_chr3.27554 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGASKRFRQKEDFELLLSKSDIRESSYRKHSLWMAHWTRDGISAEPQNSKSCSPFEEINDVRYAKDCGNLPFELMKARVAERFMVGVSHGGVVLSHLGDHIGLDSDHAGRKLKGHLSNEESCSCRFASTSIQKDHGCLDSAKTERLVSSSIKRESSCCEGNETVNISRMRNPISKALTESPVCSDPSDKWLKRLKRDVSDPHVPCSKRPKSGDSPTPGGACTMYSQVLDYKMDSTIKHVKEDQLTQDTLMDQQNKDGSSVSAKNLNHWIGRWCQGGTPNFHGSLSLGKQSRKSNTPPDCLEGQFPSIAAMAMMGRVMNKLRPCELEKKGPSVVWKTEGL >cds.KYUSt_chr2.11916 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75766849:75768618:-1 gene:KYUSg_chr2.11916 transcript:KYUSt_chr2.11916 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGLAALKLSFPNKSGTASGTPTRLGCPTNPRLTTRRWTVAAAAPVETPSRSIDVDMQSSPVPREQVEIVRSLNGWVAKNMLPLLLPVESSWQPHDFLPCSAAAPGASTEDEALSAFTDGVAKLRAGAAGVPDEVLVCLVGNMVTEEALPSYQSMGNRTEGIADDTGASSLPWAQWLRGWTAEENRHGDLLNRYLYLSGRVDMRQVETTVHHLLRNGMEMLVPKSPYHSVIYGAFQERATFISHVHTAKLAGQHGDQALAKICGVIAADEKRHEAGYTRVCAKLFEVDPDGMVRALAYVMRGKVTMPGLLMSDGHDCSETLFDRFSAVAQRAGVYTARDYGDLVEHFVRKWRVAELAGLSGEGRRAQEYVCRLPPKIRRMEELAHQRAARSELRPASFSWIFDRHVMLG >cds.KYUSt_chr2.9046 pep primary_assembly:MPB_Lper_Kyuss_1697:2:56865560:56866536:1 gene:KYUSg_chr2.9046 transcript:KYUSt_chr2.9046 gene_biotype:protein_coding transcript_biotype:protein_coding MSADRRHDRPAGQQYVGRSMVKQAAVRWPIDGTTGAVASAQAQKGLGASVLLRAGGTAFGNMAVGYDNVNVDTANMNGIAIGNTDGLTEMTAELGVSLPVAAGRRTVEVDQYMRAGLYNGWLLHMSLKLPEN >cds.KYUSt_chr1.28920 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174990693:174991496:-1 gene:KYUSg_chr1.28920 transcript:KYUSt_chr1.28920 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAFASGAAMAVSPVQAYPGGTMRVLAVEEDPTCLTALTQMLQRHGYQVTAKASPEEGLRALQDNPEGFDLVMTIVRTQEPWIDGFVLLRHAAQLYPVIRKSCVICISIHGFRLASLFARVLIDRSACVRPCVRVYAVFSGVESAETKMRGMLGGACAFLTKPLRDEQVRDVWQHVIARRRLTSGVKAVAHAPSRDVVREDGTARKRGLNDSGEGGSDGRTVKKNKLRGTKGN >cds.KYUSt_chr1.34506 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210024774:210025085:1 gene:KYUSg_chr1.34506 transcript:KYUSt_chr1.34506 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr6.24996 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158377171:158377533:-1 gene:KYUSg_chr6.24996 transcript:KYUSt_chr6.24996 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFRRLSRVAAVDSASATTAYRQLRTPKQAPSSGGGGGGAKVPQGHVPVCVGEEGGPVERFAVRADLLGRPAFAALLMRAAQEYGYGHPGALRIPCPVADFRRLLVRLSSDDPCAAEC >cds.KYUSt_chr5.4745 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30186634:30187809:1 gene:KYUSg_chr5.4745 transcript:KYUSt_chr5.4745 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALFATVVLLLLPAPVAVPTSADETDEQLLERFKAAVRNKRELGGWIRGDSVCQFPGAGCVGSRLSSLSLAGVPLDVDFRAVAGTLLRLGSVRTVSLRGANVTGSLEDASGERLRCGHMLAKLDLSGNGALLRGHVADAAVLAGACGGLRELNLSRNALVGGRRKGDGGVAGFAGLDVLDLSYNRIAGDLSWIASAVGVWHLGLAGNMISGLIPPLSNCSRMESLDLSRNDISGEVVPGVISGCSALVTLDLSNNLNLTGAFPPDILGFASLSYLNLSFNSFSDGLPAGDSLAAGIPRVATLSLSYNYFNGSLPDTMGSLAELTTLELNSNALTGVILPSFCPSTGTSKLEVLHLQNNYLTGGIPPSISNCASLQSLDLALNYINGSIH >cds.KYUSt_chr6.1573 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9590511:9591191:-1 gene:KYUSg_chr6.1573 transcript:KYUSt_chr6.1573 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVLTVLGILTISALLLTYYVLVIRCCLTWHTTTSPESGSRLIISLSRRRRRHSNTHELPVVHGPAPDEAHHGLAEMAIRALPAFRYSKAVKDDDNTGSDTSECAVCLGEFQEEERIKLLPSCLHVFHAECIDTWLQGNANCPLCRAAITSTTQVPTADLELHSQRPEEVLIQVQVASPDEEADGPEGDAAYEQAGKENTKTDAHFHGDGSGSGSGSSEVICRL >cds.KYUSt_chr5.39220 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248202348:248202803:-1 gene:KYUSg_chr5.39220 transcript:KYUSt_chr5.39220 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIKEEMGGESGSPCSGDYYSPSTSSELQQVHSQKQTPWTKRPAGRTKFRETRHPVYRGVRRRGNAGRWVCEVRVPGRRGSRLWVGTFDTAEIAARAHDAVMLALAAGDSCLNFADSAELLAVSASSYRSLDEVRHAVTEAVEDFELLDN >cds.KYUSt_chr1.25622 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153835521:153841762:-1 gene:KYUSg_chr1.25622 transcript:KYUSt_chr1.25622 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPCFVDIIWIGAVIKKIPDNIQIRASTFTSNCPNQRPTVYVKGIHIHPDILDQVLAHFRLLAGGGKVQRPNAAIFDGFHRLREAAATTVELPRSPCTYPKPRQERQQLVITRTVTEESSKRTSASGGGEMTSGKDNRASSTEDGEIYPTTGDNGGGASGSDGFNLSSENQGFEFLGFIMSDAHEDRVWRCVQEIEEMRRRLRQMEEQEILPAVTAAVSHEDPAAEATAFDKSEVDARSIYVGNVDYTCLPEEVQQHFEDCGTVNRVTILTDNFGYPKGYAYVEFLEVEAVQNALLLNDTELHERRLKVCPKRTNVPGMNHPRGRRPYDPYYPPYPTYGRVPRFRRPPRYWPY >cds.KYUSt_chr4.33161 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203188338:203188601:1 gene:KYUSg_chr4.33161 transcript:KYUSt_chr4.33161 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMVWMPILEDGVFRFDASEAARAAAGLSLSFAEPWRREVVLLREGVDGDGPAVVPECEVVGDRGVQKVLIKVQYFIFGLQVLNF >cds.KYUSt_chr3.44303 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279635576:279636193:-1 gene:KYUSg_chr3.44303 transcript:KYUSt_chr3.44303 gene_biotype:protein_coding transcript_biotype:protein_coding METDKECFCGYVASLVIGIIFASVIFTMTITQVAKPNGLLLVVVGGSLQMEESLPGNVTVRFTIRAENPTSCNITYKNISGTVALLNEYGENEGHFLRFNMTEFDVQQPTFVDSPAQVTATQVGIAVNSIAAVLQFNGTMEMNSRNSTAVYGCRIWTRNYDQNCPRVK >cds.KYUSt_chr1.3784 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23178675:23179214:1 gene:KYUSg_chr1.3784 transcript:KYUSt_chr1.3784 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRIPPVRMPDGTTRRCEIMCVGPHCPEAPAVAYPRHRYRAATPYDRPENHVCWSSRPRRQSPAPHDQGVTNVVCVAKMISPVDPLEDDEFYRDFLENVTEDAGKFGDLVKVVIPRPCPGIGGRATPVVAGVGKVFLEYAHVDDAAWCRRRMDGLRYGGKEIHAEFFPQSKFAAGEYE >cds.KYUSt_chr4.49904 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309182019:309182762:-1 gene:KYUSg_chr4.49904 transcript:KYUSt_chr4.49904 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGAAPTISFYPGTGVDQSASFLRGASYLTPAQILQLQSQLHHVRRTPAAAMAAVGQPMKRHGVAALPVRPAAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDAAAFRLRGDSARLNFPDLRRGGEHHGPPLHAAIDAKLHAICHGADLPPLQSHVNAAPAPSSVPEVKSEPGWCSGSETSSSADGEVSSTDSSDAVPEMQLLDFSEAPWDESDSFLLRKYPSLEIDWDAILS >cds.KYUSt_chr3.12067 pep primary_assembly:MPB_Lper_Kyuss_1697:3:72023061:72031104:-1 gene:KYUSg_chr3.12067 transcript:KYUSt_chr3.12067 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSIRGFAASKRGEQAAEGRRPLGMKALPPIEAPRFRWWADELASAVAAGPAPSPRRSPKAKLPKKKRSISDLFAAAPPLAEPPSDDEQTEAEDDEALLAIVRRAKEEKKRKRRLQEEEGEDEAAASADGSGGREAEGNFETRKEALENPNMPDGFATQPSQKPEASQHLRKEIENISRKRKQEKIHNTKKKADTDKCIDSNKADKVRKPRDMEKHLPRQSILKIKRTSVKMVKEKHGNSKGKKVIELCRKSVKRVKFSEADNILGSDMQSCELPKRRSLSKLFSDAMASSSSSSSSSSSTSTEGDKCITTDNSSFHMPKEVVTKTKEANLCSNHEDSSTEGNKCIIAESCSSHIPEEAITKSKEANLNSNREDSPKPSNTELSLHLLDLNEEAVPETTDLNYTYNSNSEVPYLPDGREHWRNLSFESHRLANQLPAADSGSVRNTSSGGTLLRAEQAEVSGIDIVGPPLSLRELGETHRDCGNVSVKDTLSTSMSPCALSDHTFQGSFQQHQNVFHTNLNYGGSQLSTRGKFTPLSLRELGETHRDRSNVSVKDSTAMSMSPCALPGHTFQDSIQQHQSGFRTNLNHGGSQLSASGRLPSWSSHEFNVQRDCSPSMGQTLRLMGKDLAVCTTRGAQMLRRLRTKEHNSLCGTFGYWPLHESTNICTAFIQFRSSSSLAS >cds.KYUSt_contig_6047.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001214.1:45692:50149:1 gene:KYUSg_contig_6047.3 transcript:KYUSt_contig_6047.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPGEHAYSWPRSEMIRGGNENAGAIDADTERLATLQLERLRGKEITAEQGGKERTFNLVGGRAPPGRAELGARSRSTSDFATVGVDLLGFREEFATGPSKPLPQPQLVESMVEAVATMEERLASITANLNDSRRLLASCAWRLGAKEMGGKAASTSSFASSTLPLFVGTRAPEQATTKSSPSSTLSAYRVVADGAIYADAALTTTTPTRCSTVGSAVNGSGNHAVVAFPTSGTKYLAATAWTDNGNNKLQGESGIGMSTRAGSSTHILANPLEGSTAGARAVHTDLLRNTSFPRFDGTNPSLWRVQCLEYFNLFNINRCLWVIAARMHMDGKAKEWFEAYKLCQVVSDWPEFIDDVEAHFGVGDLPPSTLIFGVDHLNVTVDSSRGISPNVMDKAAEPTELTTVTHLAEPVSPSDEMVLTNVGGVSMFLESWVEPAETVSSKTTMIELTEETSIFVGDSSLFLELDTDSSNKVFKGDVLTIVGCVSLFLELDTDVDHIYYDAEVLTQVSALSQFLEQIMDSHHEIDKSMCLDHGVGGVRVVPLAVPSTEGKLQQLPWREMQTGTNRATLFLPWDPGVWIIVAKIPELSTIWTSATRGLNQQQVMLPEDTFSMKLKKKLSLQVTQLTTQQWDPGIFMLTIEKLHEGWGLLYRVYITVVPMLRHSPLLILADVALSGIMVNLIPQAFNVKLLRSEFAEQCCELTAIDQSLRFEDLNCWSGWFSVFQCDMWAHLYRLLFAPDQRTCLSSVRIYMAHAGSSGVLTCGKEPMEALYLMQTWCLCIMECIQQQMLDTDSCNLCPTTLYAEKLFWLALWDIMPFTECSVEVNSGYVSIILECFTCSVGLLDSCISLLSAFYCLCGIYRTQVHTFGKVSLYFPSRHPWSRIIKVVIVSIWDVLELLSAAEGCMEVLASAWMRCQHNLGHLELVVHMNQQDGPRCDFHLQSRLILFNVYQLSEMPVLVGIPWDPGGVHGSKLRAIAWGQAMFCRGGSVTPGILPGPCSSEGLICMKFLLIKSHRKSFLNSRGQSRADATQLGKGEIFWEFDSRGIPRKDAVHSF >cds.KYUSt_chr7.26551 pep primary_assembly:MPB_Lper_Kyuss_1697:7:165783876:165784172:1 gene:KYUSg_chr7.26551 transcript:KYUSt_chr7.26551 gene_biotype:protein_coding transcript_biotype:protein_coding MAELADVHGSGASKRAKMGKKVRWMRLDVLDLMVPIVMPETRRKFTGDEALRRRSAVNVGNSVENEIGRGEWFCGRARSPGTSQRGRLRRRMAGAAGL >cds.KYUSt_chr5.15709 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101372805:101374326:-1 gene:KYUSg_chr5.15709 transcript:KYUSt_chr5.15709 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNRGAVGGGHGCGPPDGTQLRCREKELVAQLHELLFPSTAPSPAGGGASWSSSSSADLSVEHCGSPVKAPVLCGRRRGRGSKRVREGHPQEEQKQRGGSSTATKAAHGRRKKEGTTTTTIVMTVPDFDGYQWKKYGQKQIEAAQHPRSYYRCTNSADQACPAKRIVQRNDDGDGDGGSPKYTVVYISEHSCKLTEAAAAPVILETTVRTKTPAAPDTAAVFSTSSSAYSTGTQSPASSDVTWNGCTVSEANPMLRERGECSSLFDVDGDCWEWDPSPTAPAAALLQDMDFAGPIMSPVHVAAADGSWINDLFLNESPFVLNSCQLFGY >cds.KYUSt_chr4.37615 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231884896:231886566:-1 gene:KYUSg_chr4.37615 transcript:KYUSt_chr4.37615 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGWQAETMERKIHGGPLTLEKYHRFFADPWGARITIDHLNHILSMHGFVKLHRQHKRKIMDHLVGQVDLQPPRRSTLHTAARLAAANIVAAQAVADVAAIGWTECPIGCVSVYAGFGEAPAAPLEPMPPPADHVLSLAVRRARSKRTRGSAYPRRAASKEALWVKDEDLEEGEIWQPPPSPPPLWARLQLAITTRLRLATATRLQLAPATVHEPAYIGAAPYPTGLRLAGTTVLEPAYVGAAPSTTKRLPCAPGDASSSAAILGLAVAYCATAVRPRSAACVHASFSACRTGTTLATDDAAVLSSSVLGRALCAAATANALALAASTAALGTASYAAAPAADATLGLHEAVGTSWLNIRRVSWSSSIGRGCCLHSLR >cds.KYUSt_chr6.4534 pep primary_assembly:MPB_Lper_Kyuss_1697:6:26388780:26392480:1 gene:KYUSg_chr6.4534 transcript:KYUSt_chr6.4534 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGNSIAECDELRRTLWPQKNKPRTGRLFARFPEVLELDDEDFEADFQKFEADTRDSDFEFDFQEDSDDEVIEIKPPTVKTSISKDGLGTMTTAGFDDPAERSLKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNSAEEAARAYDVEARKIRGNKAKLNFPEEQTVAPNFRPAALKVRKSKEVQIPAVAPTVNNIANTNQPPDQPDNVSFVPAMNSAAPVEAPVMNLYSDQGSNSFGCSDLGESALVQSNTYSYESGTVQSNTYSYESALVQSNTYSQVVPPVMHNSAVDLTDGLEDLEPYLRILMDDVVDEPIDNFLNLDEPQDVLGNMDLWSFDDMLIPGEFY >cds.KYUSt_chr2.8843 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55699588:55700574:1 gene:KYUSg_chr2.8843 transcript:KYUSt_chr2.8843 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVLTNHDIHASSSSSPFQKLRPGPRQAPGEDEQLGGGAEGAGDDSQGAPPLRDGNSGAFAEEPLKALAMIHRALRRSGTATAARSRRSCWATGGRGARRCRCRASRTTPATSPGTAPRVAAHLRAPRLECLAVLRDRDDLLEQLPALQQLLFRLVGCQPEGAAFGNYLIKYALALVRKESFKFCLAWNMPPRVRL >cds.KYUSt_chr6.5186 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30759120:30759341:1 gene:KYUSg_chr6.5186 transcript:KYUSt_chr6.5186 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRCTGSTVYRFLGRTGEGVGAVAGLLMASRVAADAILYCDARDLQVAVRLLHGSYHFKTSLDGDSDTTPL >cds.KYUSt_chr3.38404 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241911653:241914407:1 gene:KYUSg_chr3.38404 transcript:KYUSt_chr3.38404 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNAIHIIPDAAGPEAWANSAAPPAGDSGIWATEDDYNSQWNGDPGLGGGGYGGDRNSSQPQSRAGSEQPPPGKKPRGGGGPSGGAGDAGSTSKSRAIGKMFFKTKLCCKFRAGTCPYITNCNFAHGMEELRKPPPNWQEIVAAHEEASEQREEHQIPIMTSSAVVPGDTASGRAYKGRHCKKFYTEEGCPYGDACTFLHDEQSKARESVAISLSPSVGGGSYNSAAATAASPNGPGPTILKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDIDGRDIALTPDSKQAAGSAKTPAETTAASTAAPPHADVYHLGNQSQRSALASQRSGQLQRPIQKWKGPDKISRIYGDWIDETD >cds.KYUSt_chr3.17899 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109886068:109887120:-1 gene:KYUSg_chr3.17899 transcript:KYUSt_chr3.17899 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTCTDLTDAARSVKLLRIKAFSAASTMYTEHYIKSRWEVDGYEWELRFYPNRKTTTHDWWVDLDLVLLSKPRTSAVRTTVVCRLVDPKGMIKPSAEIRESKSLTYRNDLISVGLIHRIDLARSSYLKDDALTVECTLTVLKEVEVPAPVKEVRVPSSNLLQHLISLLHSGTGADVTFVVSGDSFPAHKNILAARSPVFMAEFFGEMKERSSGHIKVKEMEGATFKAMLHFIYTDMVPELDEQLETATVMAQHLLVAADRYGLDRLKLICEAKLSDGINVDTAATSLAIAEQHHCPQLKAKCVDFILSIPEILDGVLATEGYKHLQASCPSVLTELLKSSRGVKKLKRC >cds.KYUSt_chr2.44701 pep primary_assembly:MPB_Lper_Kyuss_1697:2:278234846:278235571:1 gene:KYUSg_chr2.44701 transcript:KYUSt_chr2.44701 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVSPATSPATATMVPAGHRRLYRTLRDLRRFIGRRGFRGPRRHQQVAPAVPNRLRTGPAAALLEADGNVDPALAPPPPPPPFWCSMHGWVICPLHEYSPAASPTGEDSLPSPTISIVGLGARSQSPTSVFEFGGASSTAAPEANDIAPRVPMRPPPPTSATPPSPRADVRRRLAALGLPLSSPASATPSGSHGRRSGTWSAAALGLANGRADGIAPGARPRGDSSNEFSRGGSTSVRR >cds.KYUSt_chr7.40329 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250422190:250427092:-1 gene:KYUSg_chr7.40329 transcript:KYUSt_chr7.40329 gene_biotype:protein_coding transcript_biotype:protein_coding MVGILLLLLLLTPPSATATAQLCGSGGNYTANGTYQSNLAALATSLASNASSSPQLFAAASTGQSPDAVHALALCRGDFANDTACRDCVTASFQDAQQACPNRKGAAVYYEYANTQQPGCVVGFSGDDGFLSPANGITDNATLFQSWNQNNISGDGTNNVVPADVLNLLTVTAQNAAADPARRYATTVLDSVPTLFSLAQCTPDLSAGDCLACLQRLNGMVNSTTSMRLGGRIFVLRCNISGYMAPEYASEGLFSTKSDVFSFGVLILEILSGKRNSGSNQCGEFINFIGYAWQLWEEGRWTELMDVSFLSKCHSEQMMRCINIALLCVQENAADRPTMLDVIAMLSSETVIQREPKHPPYFNLRVGSEEASGTTTSYVTISTINAR >cds.KYUSt_chr5.42436 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267501026:267502414:-1 gene:KYUSg_chr5.42436 transcript:KYUSt_chr5.42436 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAMAASLLFLLLLPLAPALVSSTPYAMMAKEARPVIKQSAKAYMEQKVQVQQGIASRSSQQMATDSSGALVFDLSVGTSPQKLSVVMDITTELVWAQCDACSTCTRLTPPATPTFLPNNSRSFAVVGCSSQTCQRMIPGEHGCTHDDDPCGYPNDLYGWNTSGVLATDTFTFGTTPVPGVLFGCSGDIMVQDLAGASGVAGFSRGPLSLVSQLNISSFTYFIAPHGDGASKSFVSWTWGGAADHQFAAGQMRTGGRRSSTPLLAAKKNQLHPDLYYVNLTGLQVDGQLLTAIPPGFFDVRPTGSGGVFLSSTLPVTYLEEAAYNVLRPELVRRIQSQGVAPVTTGDDVNNLCFLTRDFSGAKIPGIALVFDGADATMELKVENFFFAVADGQLTCLTILPSIGGSILGSLLQAGRTMTYDIHGGQLTFQTAAAGAPSPGLWPMIMATLLVWVLCPENRS >cds.KYUSt_chr1.8502 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52229707:52230879:-1 gene:KYUSg_chr1.8502 transcript:KYUSt_chr1.8502 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKQVMKFVDNVKKRELTYKNRLRSLVKKISQLAALCSVDILLVGIKPGTASVGGERGITVWPADHGVLKELATRFGETPPERVKESLDIGTYLQGELGKEQRKLVKVKQCRLDDVLTLWDRNLDDLSVDDLIAIHHAMDDTLERARRQMAKLIGGSVDAVATSDVSMVTAPALQHAPSVFPGNAFDLNLSDAGSSIGPQDHYYLPPDILPPPFPVQSPYIGFQFHMQPPCNPFGGAPMPCIAFGGQSMPFPMVAPLGFSFPGGGINVTDRQPATTSGVYDDFAMQGPGQGFPAGGAYHQEFQSAGTAMAYHQDFLSYSLDAAGTNAGAYGLDSAGTGAAAYQLGTPNNPMGTATYQLQNDTMLWPDNGHALGEQEEQSRLPRFDRWM >cds.KYUSt_chr7.36225 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226451392:226453926:1 gene:KYUSg_chr7.36225 transcript:KYUSt_chr7.36225 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRFLVGSLWFLALLRLGATAEAATAAAGVVSVDGRRAIASTGEDFVCATLDWWPPEKCDYGTCAWGRASLLNLDLSNKILLNAVRAFSPLTLRLGGSLQDKVVYGTGDLQGPCAPFVKNASEMHGFTQGCLPMRRWDELNAFFQKSGAKIVFGLNALNGRVPLQDGSMGGNWDTTNAASLIRYTVSKGYTIHGWELGNELSGNGVGTRVGADQYVKDVIALKSTVDNAYRGSSLKPLVLAPGGFFDAGWFNELIVKTRPNLVNVVTHHIYNLGPGVDTHLVDKILNPSYLDGMVGTFSSLRGLLKAAGTSAVAWVGEAGGAYNSGHHLVTDAFVFSFWFLDQFGMSAKYDTKSYCRQSFIGGNYGLLNTTTFQPNPDYYSALLWHRLMGTRVLDAKFNGTNMIRTYAHCAKHSPGITLLLINLHGSAINRVSVTSEGAHGHTSRRHGRKFAQAATAMREEYHLTPKDGDIQSQVMMLNGRALVTDADGNIPRMEPVRVAAAQHIVIAPYSIVFAHIPYFRAPACS >cds.KYUSt_chr6.3564 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20576103:20576974:1 gene:KYUSg_chr6.3564 transcript:KYUSt_chr6.3564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitous nuclear protein, Regulation of photoperiodic flowerin [Source: Projected from Oryza sativa (Os02g0793900)] MYVPANGRSLQVQELTNEAAATEEAANVLQPQEGEEAMKIDDANAASTTTDDDAQHPDPMANLSEKRKALFEPLEPINGKRSSSDMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREIGGLNEQLEEDSRVLELLQKQLADERRKRTEIEKENSMLQEQVSMLMNMIDENEAFDDEGEEAPPGEGFD >cds.KYUSt_chr1.39556 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241986308:241988221:-1 gene:KYUSg_chr1.39556 transcript:KYUSt_chr1.39556 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLLRAAVFAMALLLLRASSVDAFFDPFSIFRARTEGDILDPFGVGSTGNGGADDVPEKPEVAQTEVEEKGAARPNTMGLTRVPYAGVPSKASTETTVLRVNTRDDKADGAWTIVSDNSGVSAMHLAIMRHGRAVMFDTVTTGRSLMRLRFDNCRVDPRAKKPNTTDCWAHAVEFDYNNGAVRPLKILTDTWCSAGGFDAVGNLVQSGGYFEGDKAVRYLSPCDTCDWVEHPRSFADGRWYATQQVLPDGRFAVFGGRRSFSYEFVPKPGLFNHQSVPLPFLRDTTDDVENNLYPFVNLLPDGSIFIFANNRGIILDHKADLVIREIPQLPGGARSYPASAMSALLPLDLRGGKLHGGADPEPEVIICGGAPTTSFKVGENGDKLMVALRDCSRINLANQDARWTTEDMPVARVMGDMLILPTGDLLLLNGANRGCAGWGFAKGPVLSPLLYSPGQPQGSRFRPLAASNIARLYHSTAALLPDATVLVAGGNTNAGYNFSGVDFPTEVRVERFSPPYLERHVATNRPQIDMRSVPKDGMRYGTRFPFRFRTPVAAVVEADVMVTMYAPPFTTHGYSMNQRLLILAITSFVADGWSYGITVDAPGKPELAPPGYYLVFVVAKGVPSEAAWVKIQ >cds.KYUSt_chr5.22970 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149911492:149922928:-1 gene:KYUSg_chr5.22970 transcript:KYUSt_chr5.22970 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTADMEAEPEAAAALVALGFEPSPSKLDNFKNSLHLLSNGNTSDFDAWVSLISSAEETSANDIEVISLVYRSFLLEFPLCHGYWIKYAAHKARLCTYEDVVEVYEQAVQAVPHSVDLWVSYCGFGMSVYEDPALIRSLFERGLSLVGKDYFCYHLWDKYIEFENSQKQLIQLATMYINMLKFPTKKLHKYYESFKKLVKSLEQEVTHCGAEISTENIHMSEVMEAEESEGDISAKIAGLFDQGGHLKPEALKQYLFAGDRLYRISSKLNEEICGFEASIRRPFFHVKPLDDDQLENWNQYLDFVEKNGDFDWAVKLYERCLIPCANYSEFWIRYSEYVDAKGGREIANYALGRASSSFVKGVPTFSMYYAMFKEQVGDAPGARALFAKGSSNFTSDFYTNINRMANMEKRMGNTKAATEIYENAIEDAMQKHNTEVLPDLYANFAQFKYAASHSIAEAQEVFVKGIEQAPCKPLIKGFIQFMSTYGGSTEIPLLDSLISNAVTPGSDVSTALSPEDREDISLLFLKFVDLYGDVQELRKAWARHSKLFPHNTRNLSQQCCIADSDKRRIAEFLIVAHDHTPEGTTRLKQSSKSDTWLIDKNVGSQVDMDAADPGKRQGVANEQKIVGTVDAHLEVGDTAQKFIDMAHSQHSLEKHGTQSQMDSHADQKTIHDLSVCEQIEPTTKAHASVSERAPQAESCNYDSPSQSIADAQINAQVDVKTIQLSAIDHSETVCSRSDSPSGASLPEEGIPSDTTQIIPALEENQHEKIQVKLETEYDVSVSNAKPEKSTDSPEATQCDREVQTQVMSVCAKPSTSEMATTQTTTGSQFSSDNAVTAQVSVQHQMDNPQAYESSNLCLTGQNMQQMQQQGLAYAIPQNVQTSPQTQAQVVAQPNQGNQQYLQMMQGYASQMWQYYQQQLYYLQAQHNQQMQSLQQQQLPTEHLQQSFAQQVQQLNQQMVLWQQQVQQQQQQAQPVQQQSDKAQGQQYHPSPGDGKHEQNKHLPQESQIDHQNQQSQQQQLLYFQQQQQVYFMQQQQQMYQQQQQQQQQLMQQQQYLSQMPQQKQDVVQQQQLFQQQQQQLYEQQQKELVVLQQQQQQFAQQQMQQYLQQQAKQQGFRDQNCELNPQDTRKMQMEHGQQSEASQSDGSKLRGGDQSELSYPSTPQSQRSNY >cds.KYUSt_chr2.28900 pep primary_assembly:MPB_Lper_Kyuss_1697:2:177635707:177650905:-1 gene:KYUSg_chr2.28900 transcript:KYUSt_chr2.28900 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLESPKAAKPVKPRASAPAGRTTSTLKKRADGVTSAESNASRSSSSMKPTSTANAGSVQRRSSTGTAVKQQENGRSAAVANKASPSLSDGPKKSRPVSVPTVSSRPSLEKRTSLLSERTKVDSAKKPTVKASPTSTLKKVPSLTESSNGTSASASSRRMASNASLNSPRSSSVTSSATKKFGSQTSSVDKGSSVSSRRKSSTATSRDSRFMMLPQVDLKASDELRLDSRGHRVRSLKQLRLTPKLEFVYLRDNLLSSLEGIEILSGVKVLDLSFNDFKLPEFEPLENCKVLQQLYLAGNQITSLASLPGFPNLEFLSVAQNRLKSLCMASQPRLQVLAASRNKISTLRGFPHLPSLENLRVEENPLLEMPHLEAASILLVGPTLKKFNDQDLNPSEAELAQQYPAHTAICIRGGWEFSSPEVAADSTFSFLLEQWKNKLPQDCIVKTAYVDQPFEEDPCHCHFSFTNLSSEGNIVLKYQWFLGGKTPTDFVPIPEELSEVYWPKRENVGRCLKVECTPLLNDAEFPPIFAVSLPVCPGTGCPKVINLTVSGELVEGNVLKGVPEIAWCGGTPGKGVASWLRRTWNGNAEIDGAEGMEYQLTIDDIDSSLVFMYTPVTEEGVKGEPQCTVTDFVKAGTPSVSNVHIIGDIVEDITIKGNGKYFGGKEGLSKFQWFREKENGEFLLVLSNSIEYTVTKDDVDSRLKFVYTPVNLEGQEGEAACATTEAVKKAPPKVFDLKIVGEAREGSKVSVTATVTGGTEGSSRVQWFKSSSSELPFNHEIEALCTSKISKTFRVPLGAVGYYIVAKFTPVAPDGEIGVPAYAISNNVVETLPPSLNFLTVTGEFSEDQMLTASYGYIGGYEGKSLYSWYLHETEDDEGSPLTEASGLLQYHIKKEDIGKFVSFKCIPIRNDGIAGEPGVFIGKDRVTPGSPTLLSLELNGDAIEGTTMVANRRYWGGEEGDTLFRWVLTSSDGTQKEIEDATSSSYSLKCDDIGFYVAVSCVPVRSDGVHGSLVLTEAVGPINPGPPSCRSLELAGSMLEGGRLTYHAEYTGGVKGNCIQEWFRVYEGGSKDKLIANECLELTLADVDCRIEVTFTPVREDGLQGSPKSVSSDIILPGEPKGTSLVLPECFEDNEISPVKTYFGGQEGTVKYTWFRATEKLENLESDLVASSSEVVGETLVYKPSLDDVGFYLILSWVPTRCDGKTGDTLMAITDNPVMAAFPSALDVSLKQTSSALYNGVGIYYGGYEGSSLHKWYRESSDGTRICIEGADSSTYEVTDADYSCRLLFGYTPIRSDGVIGEEKISGPSDIIFPEQLQIETLSFKGNQVERETLTVVEQIPSNETQEHIWRNYKKEIKYQWFASNESGTDLSFEPLATECSRSYRVQFEDIGRCLKCECFVIDLFGRSSESVSVVTSPILPGIPKIEKLEIEGRGFHTNLYAVQGTYSGGREGKSKIQWLRSMVGSPDLISIPGENGRMYEANVDDVGYRLVAIYTPVREDGVEGRPVSASTEPIAVEPEIYKEVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRILEANRKRIKVVKPGSKTSFPTTEARGTYTPPFHVELYRNDQHRFKIVVDGDNEVDLMVQTRHMRDLVILVIRGFAQKFNSTSLNSLLRIEA >cds.KYUSt_chr1.36943 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225337667:225338953:1 gene:KYUSg_chr1.36943 transcript:KYUSt_chr1.36943 gene_biotype:protein_coding transcript_biotype:protein_coding MADWMMLDRFVFRRDDDPSFHDDEAALCARGTTSQGDTFRVAFRIVDPPCVSRLYLQWPGVPKEGSSCDLVAAHRNLLLLRLTSGPMDKDGSLVHPQDYFLCEGRRPSSLPDQPPLNLHRIPVCTIPLVFHLDHGKERTAPRPFDLHAVGILSHGEEFAVAQLCVTKPHRPGRVAADLCVLRSNVNTSDHSWEVEQHLPITYDRVELYQLERWRTDIVVPFDKLLCWVNYSLGAILFCEVLEERPAITYLPLPARGKPGDSDPHHTHDQVKCRCCCVCTTEGGHKLMYINIGHEGTNLVGPLSATTGFTGHILRKEESGRMEWDKIFAIRSNQLWFTYDFPREPLIFPLANVVKPDVIYFLMSEEAMCGVNKVYVVSFDINANAVLILPYITDDLEGKDADFVQRKSFQLDPFIASELPRFLGNFLGA >cds.KYUSt_chr6.23699 pep primary_assembly:MPB_Lper_Kyuss_1697:6:149814899:149825617:1 gene:KYUSg_chr6.23699 transcript:KYUSt_chr6.23699 gene_biotype:protein_coding transcript_biotype:protein_coding METVLVFGGLRLAGNGGWMLPGGTVFGVVADEGRRMEEEEGMRRSVKIGRKGDITTRRLLAMGTAIPYLLVCTWFRYILDVKTGPTLERMVLRVCLDQALERRRVREAFRDARLNVDHCLFKVYLAVEAMRSSNSGRWELGKAGASSGGSPDSAVASARARRPASDLAISGLFLDGLCRSLASIGKNHSSTKYNADAVIQRLTSHTKLFTFFFQRNGSSSPDKSQNSKYHQIYAIEETNRAEPETSEAFDNVGNPYVDPADLRRGLEKPSYPLGRINLDVQFGTRENYRIERLEFEVVDFPSQYHALLGRPAYARFMAVPHYTYLLWRLPGPKGPITVKGSFALADKCDKDFHRLSETFGMQAEYMASRLTTDYDVLPDVGRSLREPTFNTTKDSKEVQIHPTDPKKTTSIATNMDLA >cds.KYUSt_chr4.22065 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138757794:138764999:1 gene:KYUSg_chr4.22065 transcript:KYUSt_chr4.22065 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAQITTDLRSSDALRQSSALLQALQQCAAGRDVSALARTAATEILSAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLRSDLSFPDPDVAASSIASFPSLPSHLLPTLLSSAHADITAALSSPAESLRLAAVTSLSSLLPRDDLALMCSTNPSLMGHATTWWGRLTELSLDSADAVAASAFEAFARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAAAAIDFIWSRRNMLIARSMVMPVETFRVTVYPLVHAAKMVASGAVNTLRQIAKPGDTTVAETVEASAEKLVGVSDIVSHLLPFLSSLYPPLVFEVGINMLSLADAPGGKPEWASAAIVAILTLWDRLEFSSMRETIVRAVVANLHLLDLGMQVSLFKRLLQMLKNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDARVKDDLNSITSKSLFREELVASLVESCFQLSLPLPEQKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCDGRTYAIDCYLKLLVRLCHIYDLRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTSRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKTLFNTDSSATTSNRIQDVQAVLICAQRLGARNARAGQLISKELEEFRASTSADSVTKHQSRYVLQIIKYVTNHPDNRWVGVGDATGDYPFSHHKLTVQYSEAAAAQDRKLEGLVHKAIQELWRPDPSQLTLLQTKGIGALHKDLPKASTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEEDSQMTRQKRSLRPELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSIYSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITREIESDLQGWLDDITDGAVEYMPEDEVKSSAAERLKISMERIALLKAAKPKVPPAKTEQEEEEEKKEREELDGFGNPKGPSTLSKVTAEEAEHRALQAAVLQEWHQLCKEKALKAQ >cds.KYUSt_chr6.31949 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201847902:201858898:1 gene:KYUSg_chr6.31949 transcript:KYUSt_chr6.31949 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFLMRFSQDEIPGTLPKDEIPGGQPLRRQGTSVVDQFHGPLLRVDGGLRPLANAGDAAKALAPGRFNHSGFTSASPSTLPKDEIPGGQPLGRQSTSGVGLFHAPLLRVGDSRRPVANNGDGAKALAHGRFNHSCSTSTSPSLPIDEMPGGQPLGRQGTNVVGQFHGVHERRPLANAAKAIAPGRFKPSGSTSTSLSTSTETVTAEGKKMRAVADHWARMKKGERTLDSWDLTYLECKIFSWSLEDVLNKNLLKEKVKKIPKTFASLEHYLDSFADPLVEEVHADVLSSFEGYHQAPFVQVTGIQELDRGKKSTFFCFLAAKSSRETSYTPTKDDIVAVSSRKSKHLSSYVLGSVCKSGEDDEDFPADCFIVRLLSAPPVEVDAETKKPMAPLFVSFLMNTKTYNRIWTCLQLGKTSGGKRRSDASLVDAIWRYSTSKAVEDDYQLSHRSAHIDLGLDDRFRLNKSQLNAVEDCVSAIGSPSPSLKLIQGPPGTGKTKTISTILWAMLLRGHRTLTCAPTNTAVLEVASRIVQLVRELSNGSNGHCFLSDIILLGNNERMKVEASHDLSSVFLQYRVERLLQCFSPNTGWGHCLRSLIDFLGEPVTKYRLHTDKIIRNQEEEKKRNISSNVDKKNRNIAKCNKGKGHEKGRSKNEPRDVQMLVTLSFKDFVKATHKELAHNLCHCIEILQNDFPRDSTMAQSYRCMSDVVELTGILGALVDAGGGDDHEAWVDDLGEACSLCSVNSDPCKKCKFRKVRSQCLQELIYLRNNLKLPNWYDKRQLEINLLRRAKSIMCTVSTSFRLYNVLPKDKLVGGQHKEAEILLVVDEAVQVKECEALIPLQLPCIRHAVFIGDERQLPALVKSKISDNADFGRSVFERLRSVGCGKHFLNIQYRMHPEISRFPVSKFYGGQIHDGSNVISESYGRHFLSGNMFGPYSFINVCGGRETSEEHSRSPKNTIEIAVVSLIVERLFQESTSSRTRLSVGILSPYNAQVRAFQEKLEKSYSSYDGFSLKIKSVDGFQGGEEDIIIISTVRSNEDGAVGFLKDTKRTNVALTRAKYCLWVIGNATTLSKNTSVWQNIVSDAKKRRRFFHANRDKGLSDAIQAATIELDGSENLRKMGSLQ >cds.KYUSt_chr4.23440 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147534921:147542161:-1 gene:KYUSg_chr4.23440 transcript:KYUSt_chr4.23440 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIHERPVVLEPEPDHAAPAPAPVNPDPSSISADAWLPFEDAALAVVGRIQPSVPSEGRRAAVVQYVQRLIRCTVGCEVFPFGSVPLKTYLPDGDIDLTAFGSTWSDENLANEVRAVLESEELRRDAEFEVKDVQYIHAEVKLVKCLVQNIVVDISFNQIGGLCTLCFLEQVDQRFGKKHLFKKSIMLIKAWCYYESRILGAHHGLISTYALEILVLYIFHLFHQCLDGPLAVLYRFLDYYSKFDWDNKGISLYGPVPLSSLPELVCDAPGTHDGDSLEREEFLKECAHRFTVLPRNSEKNTRVFSRKFLNIIDPLKQNNNLGRSVSKGNFYRIRSAFDLGARKLGKILQVPASSAVPEVNQFFRNTLKRNHTMVRPDVQDIALDFNVERDNKDSSPLYSNSFGDLSDQFNNISISDLNNHESLKAKEQNLMVEHGEMKSVNPVTSSISTRDASDLCEAAPSTSEIWPSWKAHFAPHLSYEPGNGKGGVNYDRNPSHHGMASKGCTGNVSVDKSSHPVENCLTPAIESDTNGVHIKDVGGDGGTISDILSDLTGDDGTNLNNLFYAQGCHQDYLMNHEYPVNQVYYQMPAPPPAQYQNNRSPNGHSRRNGYGYAGASGISPGSYPPGYYVVRPFYQPDDPMRARGTGTYFPDPTLCKDRPPAGRGERGRHHNFHPNNYHRGQRFPRMEMPADIAPSEEWRQVHPLQIYIPGAHDHGIPSPLNIPLSSPSPRAPRDNIRGNGFIHTQDNKLEFGTLGALPLEAKGTSQDQANRSNSATTSQPSIPVSPVSPAPNPGKGSNRMSLSYWDCHKLTIHELELTLRSLDLVQCHGETIMGRQLPKYLVGKRTRNAGPYHLKDNGDFPPLSS >cds.KYUSt_chr1.18206 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106304388:106305086:-1 gene:KYUSg_chr1.18206 transcript:KYUSt_chr1.18206 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSASGRRRPWAAALGFICCRGGGEARGRLRRPQERKLRTVPVPVPAVGSAEDDQGVRRGEDAAGKVSLLRTFCCFLPPARTPRRKGSRAQQKQQERMPAPAPGPRNGDNDPPTEASPARRVVVPATAAEIDVAAATTTPRDAGTNGGSSGEALSVAARSGGSREAAYFQGEFGPAAGLCVVAATSVAGLLGGRLLAVVACLCAWLFVLWIRRRRRLAASTGSKSKGSEQR >cds.KYUSt_chr3.1352 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7539066:7540313:1 gene:KYUSg_chr3.1352 transcript:KYUSt_chr3.1352 gene_biotype:protein_coding transcript_biotype:protein_coding MALATIAATHATGALPRRRRRRAPATTTRFTTPPPPPATLDRVLSDLQSYPHLLTPSLLRPLLAALPLHPSPRRRLAALRGLLPESLLRRNPDLALRLLHLHASLGLLAYAHHIFDHLLPAHTRRGRAFPWNCLVAGYAHLGRHEDALALYLQMDEEGAPRDGFTFASALRACAGLRSAALGRAVHRDAVRAGFASGDVVAVCDALVDMYAKCGDLDMARRVFEAMPGVRDTVSWNIMLAGCLRHGLSTHAIEFWRRMLGEGHMPDSVALSMMLSLLSDDKQGLAVHGWVVRHALEQELPVANALIEMYSRKNELGHALWVFESMTVKDLVSWNAIISAHRQDFGILMMFRRMVDSGVQPDETTFPVVLSACENLGLAEGGTRLFSEMESKYIIQPTLEHYTSMVNMLIKGGMLK >cds.KYUSt_chr2.13682 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86709502:86710707:-1 gene:KYUSg_chr2.13682 transcript:KYUSt_chr2.13682 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVRNLRSSRAHRRARKLSLEIFQFNTSASINRLVADAVDSWRVEDLEVVAKSTGPTLLRHPPPVYRFPCGRISSKPGDSRFRSLKLVNCLPPSLEGFTALTTLVLRDLPMSTPVAVYQGVAVACPQLRVLHLVSCQFKREKAPWLVLDSPMSEIRELVVEGELMAVKLRSLPKLESLTAVDADVQLCPDAVPCLAHVSLVFSIRPLYYWILNHTISMFMLVLKDAIDIRSLILRFTGPEMWIARTKNPFPRMPNLKKLLVADVPSSWDVSWPHVLIQAAPLLESLHVHVSQCEHEEQEPSQHASYAQPLASQRHRHLKELVVVGFERMSMQLIHLVKFTVDTSAVLCRVDLLKRGHVEYKGPWDWEMVSQQSTWSNEDKLAVLDGIGSSAAQIEVVLG >cds.KYUSt_chr5.33073 pep primary_assembly:MPB_Lper_Kyuss_1697:5:209654916:209658570:1 gene:KYUSg_chr5.33073 transcript:KYUSt_chr5.33073 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVVLALAALPLLFLLAKAIWVTISCYYLTPARIRRILSSQGVHGPSPRLLVGNLRDVSALVAESTAGDMGSLSHDIVGRLLPHYVLWSKTFGRVFVYWYGSEPRVCVTDAGMVRELLSSKHAQVTGKSWLQRQGAKHFIGRGLLMANGATWSHQRHVVAPAFMADRLRGRVGHMAECARQTVRALREAVARGGNEVEMGAHMARLAGDVIARTEFDTSYDTGKRIFHLLEELQRLTARSSRYLWVPGSQYFPSKYRREIKRLNGELEKMLKESIQRSREIADEGRTPTAGACGQGLLGMLLAEMEKNKNKKESGHGELGYDAQTMIDECKTFFFAGHETSALLLTWAIMLLATHPEWQDKSRAEVAQVCGDAPPAADHLPKLAVLQMVINETLRLYPPATLLPRMAFEDITLGGELRVPRGASVWIPVLAIHHDEAVWGADAHQFRPDRFAPGRGRPAAGRFLPFAAGPRNCVGQAYAMVEAKVVLAMLLASFRFGISDEYRHAPVNVLTLRPRHGVPVRLLPLQQRT >cds.KYUSt_chr3.41392 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261106747:261107400:-1 gene:KYUSg_chr3.41392 transcript:KYUSt_chr3.41392 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFLDLIEFWAYQKQEKEPKKMSWWKSRSHLSDSDEAYGEATPGAGVNRSTSPPKKSRGKKAADEDYPGYGDGNRGGGYNGGGYGGGGGGYNNGFGGSTPYRSGNSVRGTVTPYGGGGYNSNSPYGNGGYNSSPYGNSGGGGGYNNSSYGHGGEGYDAGRTPMYINTREVHVYGAPTNNDGDDQRRGGGSGGFFGPALHAVGHFVDRRFGLDSRN >cds.KYUSt_chr1.22831 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135211180:135211830:1 gene:KYUSg_chr1.22831 transcript:KYUSt_chr1.22831 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLHLVLPLLSAVLLSCWCGIAGAARPVPPDIRGGGNASFVTAWCAGTEYPALCNETLSPYAAAVGDSPTRLACAALNVTLGGARNATKAMKGMASGGQLAPAAAEAARDCVSMLGDAVGLLGQSVEAMEEAAAEEEGQAPQPSRRMARFRVDSVLTWASAALTDGDVCMDGFKGQAAGHGGVRKAVRRHVLGLVHLSANALCIVNAMANQAPP >cds.KYUSt_chr7.34778 pep primary_assembly:MPB_Lper_Kyuss_1697:7:217158233:217168302:1 gene:KYUSg_chr7.34778 transcript:KYUSt_chr7.34778 gene_biotype:protein_coding transcript_biotype:protein_coding MEHELRGRATAALFVDRPTYTVFDDRRHSSLLSSPSSVSMSNLSLPSDTDSEGKSPGWHHWWDQAATSSSDDSPPPGSEEKWDADEEDEETEEQAEEEAEEEEEEDYITTRLVRAFPFPLDTPRQPAPQNLAAKSMARRGRDDDDDDVEEEEEEEEAYDLDDEDEDEGDDYEEEARGRGKAASRSRAASGGAGGGARKRSRQDNFIDDSAIEDDDEEDEDDGGGRPRKKGGGGGVRGFFDEEAQVDEDEEEEDEGEGEDDFINDAGADIPDDDAGRGSRSRHSIPMRDEEEDIDEIERQVRERYARSTHIEYGEEAADVEQQALLPSVKDPKLWMVKCAIGHEKETAICLMQKFIDRTDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYSSAKITLVPIKEMADVLYVESKNVDLARDTWVRMKLGVYKGDLAKVVDVDNVRQKATVKLIPRIDLQALASKLEGREVVKKKTFVPPPRFFNIDEAREMHIRVERRRDKDSGEYFEMVDGLMFKDGFLHKIVSLKSIHTQSIQPTFDELEKFKKPGDDMNGDSSSLSTLFSNRKKGHFMKGDAVIVVKGDLKNLEGWVEKVEDTTVNIRPKNPDLPRTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVDGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRTSAQDRSKNMVSTKDVVRVVEGACKGTQGPVEHIHKGILFIYDRHHLEHAGFICAKAQSCILVGGSAGGRRGNGMDAADPRLGALRSPASILQSPGRLPPRGPNMNYGGRFGGGGRGGRGHDAMVGKCIKIRSGPYKGYRGRVKEVTGVLVRVELDSLMKIVTVKREDIADTPSTVATPFREPRYSMGSETPMHPSRTPHHSFQTPMRDPGATPIHDGMRTPMRSRAWAPMSPPRDNWEDGNPETWGSSPAYHPGTPPARPYEAPTPGSGWANTPGVSYNDVPTPRESNYANAPSPYVPSTPVGQPMTPNSAAYLPGTPGGQPMTPGNAGMDIMSPIIGGEGEGNWLLPDVLVNVLRAGDDGPGVVREVLGDGTCRVALGSSGNGDVVTVLPTELEVIRPKKSDRIKIMNGNFRGFMGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAT >cds.KYUSt_contig_402.289 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1758746:1759108:-1 gene:KYUSg_contig_402.289 transcript:KYUSt_contig_402.289 gene_biotype:protein_coding transcript_biotype:protein_coding MLPISAPRPWCPETRTLGAKLEELQGGEVGDPRWCRFSALAPSAPAVSTSSTIVPRALVLPRQGGEGMELGPGCGEERGGEEVVRHGEKLELRHLVDFEPSRGRRPGRVRRNLNGEARRG >cds.KYUSt_chr2.6156 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38374073:38375704:-1 gene:KYUSg_chr2.6156 transcript:KYUSt_chr2.6156 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQHRIYVLQFDKGEPDQQELSCKVSPPKGAGRRIMYYYHDYGSGGGGGAGGGKNGKTPKSRTLSPRGFFGLLLICFFGLVTLLAAPGDFFSFARVKDAAAAAATQQRAPCSGITGNDTLCCDRTSTRADMCFARGDVRMHSASQSFQLVSSSPPAAAQDEEERIRPYTRKWEAQVMKTIDEVRFRRVAPGDAARCDVVHDMPAVLLSTGGFTGNVYHEFNDGLLPMFVTAGHLNRRVVFVILEYHDWWITKYGDVVSRLSAFPPIDFTADRRVHCFPEVIAGLRIHGELTVDPARTPDGRSIRDFRRLLDDAYRPRIQYLERIERKAARKQRRANAAAAAEKARLALLTSRRPRLTIVSRTTSRVIENEAELVSLATEVGFDVRVISPERSTEMCKIYRDLNGSDAMVGVHGAAMTHFLFMRPGKVFIQVVPLGMDWAANEYYGAPAARLGLRYVEYRIRPEESSLAREYPAGDPVLTDPAAVSRRGWEVTKKVYLDRQNVRLDLARFREQLVDAHRYLATSAAAGGGKRKRRPRSKAAVM >cds.KYUSt_chr1.15356 pep primary_assembly:MPB_Lper_Kyuss_1697:1:89414478:89415026:1 gene:KYUSg_chr1.15356 transcript:KYUSt_chr1.15356 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRFRRPWCLSGLPVTSIVFPEIMRSPDTISSVPISGSHASVYVEAFHNHNHHPAKLIQRLLGLGPFTRQLLRR >cds.KYUSt_chr4.21406 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134803793:134804011:-1 gene:KYUSg_chr4.21406 transcript:KYUSt_chr4.21406 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVLARDADQRPSPTAFLFTASSPWAALFHVAGISILDANILDLPARFSGPRIVRPSSSTSSRTLASLRCS >cds.KYUSt_chr2.46648 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291643489:291653395:1 gene:KYUSg_chr2.46648 transcript:KYUSt_chr2.46648 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSTCTKPCVHSGSDEIAADNAWLAKCPSALSSFNQIIASMEGKKIALFLDYDGTLSPIVNDPEKAFMSPEMRSAVKNVAKFCPTAIVSGRSRDKVFEFVKLKELYYAGSHGMDILVPYSGSQSITNDDKEAKLFQPAREFLPMISEVNNSLVEATRSIKGANVENNKFCVSVHYRNVDKKDWKLVADIVDNVLNAFPRLKLTTGRKVFEVRPVIDWDKGKAVEFLLRSLRLDDPEIVLPIYIGDDRTDEDAFKVLRQRNCGYGILVSQVPKETEAIYSLRTPSEVMEFLNSLGEYFTALEVDSLEMYKDGEDLVAELVHAGAVAVGLRVELVQPLHLHLMEYTCKSYQLGHGGNIMFEKDLEQLVEYLGRSYPEFFGIPLNNPLGGPPRWEVTADLRGKLGAPIWETMWFSVTGNTWKEGLVRAMQEAIARLCGQNMNKLKNTRFIYYPRHDPMGRPITMPPHPEINHYVAYLDFMIYKTRKELDNALAFRQAHYP >cds.KYUSt_chr2.24790 pep primary_assembly:MPB_Lper_Kyuss_1697:2:151624721:151629947:-1 gene:KYUSg_chr2.24790 transcript:KYUSt_chr2.24790 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCASVRLHGRVGAFKCRAALATSSGFSFPGARRWTARGIHCQFPPRASADGVDATTSGADVVVPEAGEFAGSAKEVAAVIQPTVFQQKGGEVSDFVGSGGNGKFPPGSGGGDGDNGTGGGGADGDSEGDDEFGPILSFEQVVQEVEKRGVSFPSLPADMIEAAKNVGIQKLLLLRYLDMQASAWPLGPAVRSCGLLRNRMLVDPAFLFKIGTEIVIDTCCATFAEVQKRGDEFWSEFELYAADMLVGVVVNVALVGMLAPYARFRGGSTSAGLLGRVRHAYDALPSSVFEAERTGYSFSVQQRIGSYFFKGFLYGAVGFSCGLVGQGIANLIMTAKRSVKKSEHDVPVPPLLKTSALWGK >cds.KYUSt_chr2.53031 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330897025:330904809:1 gene:KYUSg_chr2.53031 transcript:KYUSt_chr2.53031 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHVCRIGFGLVMAMLPRQCHPPDIDRPSDLPTSKLAHPLTNEGAEDKVNLEITFFRVSLRALGFYEVIAAGYGCMQDWLQTGLVMAMLPRRCHPSHIDRPPNLHTKSLMTVNEIGDTAQCPALPATCLVRSGLSGTVLVEIVRFTQKNGLKGAEGGWKDFLALNDKKYGVSVSDPKKRTKDVLLSFLVTFSKDFQKYFSKLVRRHTERRAIEQYMNEFSGKISPEQKLVQLTTEHTEYRKNYYFPSYQEGWKIVRIGKLASSMNSGAMLAIDCEMVLCNDGTEAVVRVCVVDSKLEVKLDELVNPLKAVADYRTNITGVSKKDLEGVKFSLVDVQKSLKKLLAKGKILVGHSLYRDLYVLKFDYSRVIDTAYIFQYANLPTTASASLNSLCKSVCGYTLREEGKPHDCLKDAEAAMNLVTAKLKHGFNDPIEIAENSVPESDQMKLLAHRIPVYLPSQELLKILSGNPSIEEKIDARIRGDFYSTCILFKDIDEADKAFDELDGQETKDTSGRLQKSVLLKRDNGDVANFFVRKMVYGSRPNNTDVSKKRPEPTDNVEQKREDVDGDKQKRQRTSKKHRKKAKTPVVE >cds.KYUSt_chr3.35602 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223592811:223598180:-1 gene:KYUSg_chr3.35602 transcript:KYUSt_chr3.35602 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVATVVPRLVAPRGGRAHEIEMFSHYVAKQIGFDDPNECPHLCTMACDYLKKSKGYEQNLLAFFHNNMNPDAMLVKLIEELDRCILSYFSFHWHCAAHVITQVLTAEQPRRKLRSMVLEATRKMRFERVTRELKVTRLFSTLMEELKVIGISSPDNKPRCPTTEVMVPAAHSVRSPVLLLMGGGMGAGKSTVLKQIMKEVFWSGAAANAVVVEADAFKESDVIYQAITSRGHHDDMLQTAELVHQSSTDAAASLLVTALNEGRDVIMDGTLSWEPFVLQTIAMARSVHRQRYRMGVGYKVAADGTTTEQYWEPVEEQSATGPFCRAAMKPYRIELVGIICDAYLAVIRGIRRAIISGRAVRVNSQLKSHKRFAGAFRKYCDLVDNARLYSTNTIDGTKLIGWKDGDSRLLVDMQEIGLLDRVSKINEEADCVHELYPDGHPTGGAGSVWEELVASPVRASIQRELKAAILDSEACFPSP >cds.KYUSt_chr3.44904 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283018033:283018810:1 gene:KYUSg_chr3.44904 transcript:KYUSt_chr3.44904 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEPVKLIGCFGSPFVHRVEVALRVKGVPYEFIEEDLNNKSDLLLKHNPFHQKVPVLLHGDRPAVCESLVIIEYVDEAFSGPSLLPSDPYERAMARFWAAFMYECKDSMWIALWTDGEVQAASAREMKANLTLIERQLPKGKKFFGGDTIGFLDIAVGAIAHWIGVFEEIAGVRLLIEEEHPALCRWAREYTLDETVGQCLPDMDRVVAALTPRKELYVSVAKAMAAQK >cds.KYUSt_chr1.16212 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94185605:94187029:1 gene:KYUSg_chr1.16212 transcript:KYUSt_chr1.16212 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRLLSRSLYIAPLQPEVLCAFPTKREIIDRPVQQDRQLAKMMSGGALGGCGLLTTIVALGVALLATPSECARAFFVFGDSLVDNGNNNYLLTTARADSPPYGIDYPTHRATGRFSNGHNIPDIISEQLGAEPTLPYLSPELHGAKLLVGANFASAGVGILNDTGIQFVNIVRISKQLKYFQEYQWKLRALVGASQAQQVVNRALVLITLGGNDFVNNYYLIPFSLRSRQFSLPEFVRYLISEYKKILVRLYDMGARRVLVTGTGPLGCAPAELALRSIDGQCDTDLMRAAELFNPQLSQVLSELNGRYGEGTYIAANSMRVHFDFISNPAAYGFRTAKEACCGQGPHNGVGLCTVASNMCADRDEYVFWDAYHPTERANRIIVSQFMTGSLDYISPLNLSTVLQMDARLMN >cds.KYUSt_chr2.36412 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224688956:224689382:-1 gene:KYUSg_chr2.36412 transcript:KYUSt_chr2.36412 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNVLTTAMAFSVSAFFVLFVFTRILCARINLRNAAAQHAAAAQAGDVAIHVERSVHGMEPSVVTAFPTVKLGDDSQRPPAKEESQLSQFHFSFLFYEFSFCVASITTAYC >cds.KYUSt_chr2.30898 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190337944:190341706:-1 gene:KYUSg_chr2.30898 transcript:KYUSt_chr2.30898 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGAAAPLLDGKIKINGEGEDAAAGSMRWWRRGVWDAEEAAGQVAFAAPMVATSMAFYAIPLVSVMYAGRIGDLELAGATLGNSWGTVTGIALMTGLSGSLETLCGQGYGAKVYHMLGVYLQASIITSALSSVLVSLLWLYTEPLLIFLHQDPEVSRMAAVFLRYTIPAQFAYGFIQCILRFLQTQSVVMPLVAFSLLPLVFHVGITHASVHYLGLGFAGPAMTTSVSLWLSCVMLATYIIFSKRFKRTWGGFSAEAFQYVLPGLKLAVPSAVMVCFEYWSFEILVLLAGLMPDSQMSTSIIAMCVNTESISYMITYGFAAAISTRVSNELGAGNTDKAKKAVKVTLMLSILLGVTFLLLLGLGHNLWAGLFSNSETVISAFASMTPLLIGTVVLDSTQGILSGVSRGCGWQHLVAWTNLVAFYIIGLPLSLLLGFKLGFHTKGLWMGQICGLLSQNAVLLFITLRTKWERMELTTNREEGNFVC >cds.KYUSt_chr5.16822 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108261501:108263010:1 gene:KYUSg_chr5.16822 transcript:KYUSt_chr5.16822 gene_biotype:protein_coding transcript_biotype:protein_coding MELYAMKKRTSLLVLEVLLVPALLLLLLLPPGAKCHGSQSGGGGGGANLTVTGTVFCDACSSSSFSNHSYFLPGVKVRIDCMLRANSTSKEEIKITAEKSTNSHGAYRLDIPAIDGLGCTTGGEVISFCRAAVLDNPSALCDVPAVSATSSHISFSTQDPNNACIYNLNSLYYRPGKKDASGQCDGAGTSMAPAALNTSLFYCPHWPWPPIPFCTPRPWLPPIPFFTPPPPAFPFPLPPIPFFTPPSPPPPAFPFPLPPWPWTPPAAEPPPAFPFPHLPPIFSTPSPPPPPPPVFPFPLPPIPHLPPFPHFPPLPSLYSPPPPPPPPPPPPPSFPWPFPPLPFFPPTSTPSIPSPPPSVHYRKDPSTWSSSQTKP >cds.KYUSt_chr2.55543 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346458279:346463620:-1 gene:KYUSg_chr2.55543 transcript:KYUSt_chr2.55543 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDNSGDEDGGGVYGDAFRGHFPIPAACRNRDFCPPDLGFAMAAALEEKNGKVFVLKFFTDNEGTPKSEILKNGQSHKLGDVPAVPHVERAVPQILLRYVTSSPVTILLMPSTAQTVENTQKLPHWPWFFTADTTPFSL >cds.KYUSt_chr5.11199 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72562361:72564406:-1 gene:KYUSg_chr5.11199 transcript:KYUSt_chr5.11199 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTSSSSLASLNYPHFLPTKLVPHSKPFKLPIPARALADADAAAVTPGTTQVELRPDSKNAPALSAEICRLVRAGRFRSALSLLDHLSHRGVPASPSAFTALLSACRSLAHARQIHAHLRVHGLDSNEFLLARLIELYLLVGAADDARKVLDGLPRASAFSWNALLHGHVRRGRGEAGNAVAGEFAKMRAAGANANEYTYGCILKSISGSARPSMAMATATHAMLVKNAFAGAPGMLMTGLMDVYFRCGKVKLAMMVFEEMPERDVVAWGAAISGFAHKGMKREALEHFRWMVDNGIKVNSVVLTSIVPVIGDLRARNLGREIHGLVLKKFPDRKDVAKVHAGLVDMYCKCGDLASGRRVFYSTKKRNAVSWTALMSGYASNGRPDQALRCIVWMQQEGIRPDLVAVGTVLPVCTKLRALSEGKEIHAYALRRWFLPNVPLCTSLITMYGACCHLDYSRRVFHVMDKKTVRAWTALVDAYLKNGDPSTAIQVFRSMLLSSRRPDAVAITRMLSAYSDIGALQPGKEVHAQVLKLRMEPLPLVAAELINLYGRCGDLKGAQRVFNRIESKGSLTCTAIIEAYAINQRHKEALDLFAWMLSNKFVPNNVTFNVVLRICDAAGLHDEALEIFDSMVQEYNLEASEENYDCIIRLLTGAGRTSEAQRFADLKGALFSLPDPI >cds.KYUSt_chr4.10617 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64511561:64514303:-1 gene:KYUSg_chr4.10617 transcript:KYUSt_chr4.10617 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLSDSLSDFYLFSDHYSRRKLADKEEEVTVERTPEEEEARLRYLEFVQQAAAQAVVLAAAAYAYARQDAGPLRPGVDHVEGTVKAVVGPIYDRYHAVPLDLLKFLDRKVRTRES >cds.KYUSt_chr3.4712 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26766371:26771555:1 gene:KYUSg_chr3.4712 transcript:KYUSt_chr3.4712 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSRITSEADMAMKMPPAMISLSGRVPGRASEPSRARVCDGDRDGTFRGWRLGCLAGHLFLCLTAIWVLTTADLAAGQRPGCPAKCGDVDITFPFGIGDECALHSGFGLSCTTVNGTTKPYAGTIEVTKISVPESKAWLNTWVSSQCYFPSNGTNYLNAWLNLSDTPFWISEVDNAIIVIGCNTLAYMTSSSVSMVLQERAGLTQFNDSTNHQTTSYDRKPRRSYQSQIENSLH >cds.KYUSt_chr2.33411 pep primary_assembly:MPB_Lper_Kyuss_1697:2:206234874:206236359:1 gene:KYUSg_chr2.33411 transcript:KYUSt_chr2.33411 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQCSRFGIVAPEVADTLLAFPLLRLSLLGGLDLFFERPEPQLGSAMPGCSDSSSGGVLVVCCWGWGARGGVAAACAAVLTVNWWCGGYFVLDAGRMVP >cds.KYUSt_chr5.34236 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217144786:217146415:1 gene:KYUSg_chr5.34236 transcript:KYUSt_chr5.34236 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEPDVLFEFVHVLKGDPRGIQRLPDSFAEYVGGVRPRTMHLREHSCGYCRWIVKAIYDARGKMYLNIGWEKFARHHSLEAGFILVFSYFGNRDMSVKVFDERRCLLFLRSEYVHGVSVNQLLQMLQGNSISNLNTLYEVLSVSEDATYDEIRAAYKSAALSTHPDKAQTTLEPFVSSSEQHGFFGVQEAWEILRHPKSRAEYDKQLRSSRQSIEIIASEIEIDDMTVESSTDGVELLYDCRCGDYFSITSCELGEMGILVGEDGEIELQAPDSASISVVLGCGSCSLKARLVINKT >cds.KYUSt_chr3.21326 pep primary_assembly:MPB_Lper_Kyuss_1697:3:130773149:130773891:1 gene:KYUSg_chr3.21326 transcript:KYUSt_chr3.21326 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDHLADLCSMTETRESLKLRKKRPQQTVNIKVKMDCEGCERRVKNAVKSISGVTSVAVNPKMSKVTVTGYVEPRKVLEKVKSTGKAAEMWPYVPYTMATYPYVGGAYDKKAPAGFIRSAPQAMAAPGAPEVQYMNMFNDDDVNACTIM >cds.KYUSt_chr2.1207 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7111984:7117304:-1 gene:KYUSg_chr2.1207 transcript:KYUSt_chr2.1207 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRFSPSLRWKDVEAAIKSDWESDQKEEDEVGTGSVGTVRHAQGIHNVAGEKDYNAYMSEELLDAQLTPLGWSQIENDEDVLWKPDVREANESVAARGMKFIDWLWTREEKEIAIVSHSGFLYHTLNMYGKECHPTVAEELGKHMLGSGTPTCNFPGKIPAGLDLPSDVVDEKRVEEARKN >cds.KYUSt_chr2.8903 pep primary_assembly:MPB_Lper_Kyuss_1697:2:56099208:56099456:1 gene:KYUSg_chr2.8903 transcript:KYUSt_chr2.8903 gene_biotype:protein_coding transcript_biotype:protein_coding MRESARSKWKTKGSSLVEVLASGNRRRKPDDEHLLAKATVNAGSMEYRLGKIQEEVWEILLLTRNMLQATSGPEEDGQRRNR >cds.KYUSt_chr4.33407 pep primary_assembly:MPB_Lper_Kyuss_1697:4:204860908:204876165:1 gene:KYUSg_chr4.33407 transcript:KYUSt_chr4.33407 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPVYRKVPRELAEHGDVRVDNYYWLRDDSRSDPAVLAQLRAENDYTAALMSDVKHIEDEIFSETRRRIKEDDTDAPLRKGQYYYYERTLNGKEYVRHCRRLVPTDGHITVYDVMPIGPDAPDEHIILDENVKAEGHAYYSIGAFKVSPSGKLVAYGEDTNGDETYTLYVIDVESGKYVGQPLKGVTSDIEWAGDDYLVYITMDSLHRPDKVCLHKLGSDQSNDSCLYHEKDDMFSLGLQASESKQYLFVRSESKKSSFIFHIDISKQNKQLLVLTPRLYGIDTTASHRGNHFYIKRLSEEFYNSELVACPLNNVAEATVLLPHRESVKIQDFQLFENHIAVYERENGLPKLTVYGLPASGESVGQLQGGRVIDFVDPVYAVEPEESQFRSSIVRFRYSSLKTPPSVFDYDMDSGVSVLKKIHTVLGGFDASNYVSDRTWAAASDGTQIPISVIYREDLVNLDGSDPMLLYGFGSYEVCIDLSFSGSIFSLVDRGFVYAIAHIRGGGEMGRKWYEDGKLLKKKNTFTDFIACAEHLIERKYSSKEKLCIHGKSAGGLLIGAALNMRPDLFKAAVVEVPFVDALTTMLDPTIPLTTAEWEEWGDPRKEEYYYYMKSYSPVDNVKAQEYPHILVTAGLNDPCVMYSEPAKFVAKLRELKTDDNLLLLKCELGAGHNSKSGRFEKLREDAFTYAFILKALGMTQRD >cds.KYUSt_chr6.3055 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17898892:17899254:1 gene:KYUSg_chr6.3055 transcript:KYUSt_chr6.3055 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEDDDDLGGFRQEDDGEELNHGLHAKQLGRGTKSPAAPNLAVVSNTDRGRGGGAAAALAAASSMGRTRDGRAGVEHGRRQSGGGIAEEEAGAAAPAQRRSQGTGGGGMRRVVREERGR >cds.KYUSt_chr3.47821 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299648997:299655440:1 gene:KYUSg_chr3.47821 transcript:KYUSt_chr3.47821 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLLFLLTLGLVAASNGAAVGAATTEEEDLAQRLEAMAQVVRLGNPSWRQPPSTDTTHYSIRSPPITIAQKLIPFLVTLALVSAMASTDRAGAFPAARDDDDLPQRQDAMSDAVKVFSGYNPASTNPEDLKRAVSTVNEAMAPLRPIFMAISEMPESTAAEARAKEEARAAAKEQLTRQLGQLLPGGSVKIINEL >cds.KYUSt_chr5.12350 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80568334:80574304:-1 gene:KYUSg_chr5.12350 transcript:KYUSt_chr5.12350 gene_biotype:protein_coding transcript_biotype:protein_coding MRRACCATVVTRASVVAFEYIKQWFADAAADEEEFGYYEPPDEVADEEDQIVSDTPYVEEPFGENSTEKNWTIVSLALLDVPLSLQIAVRLPSNRTINGVLGLYDDYIAIVSSFDLVPEVRPVNFHCGVQSESITASGRAFNSGQLMSLGKKTKTYMMQIEVLLPPHQRVNGTLELYHLNYNIAIISVEKHFLAARPANIFYTVQKPAKNVVAIGREAAEGLLLATRGEVTDEPSMPSELDCGDLKLSTCKIKKVGIGGPLISSDDGSLVGMNFYDDTDKTPFLPMSKIVDVLRGIELPSQRGLNCPVNLMDGTEVKKNRWTVPEPYWYHPLFDEDWDPIPPCVGRVKQ >cds.KYUSt_chr5.16969 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109260172:109263292:-1 gene:KYUSg_chr5.16969 transcript:KYUSt_chr5.16969 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQIRPLPARSAVGAVHRLVSPAPPSLGLGQHGRVAVGRTATSKTPLVCRSVASPAANEGAATMQRPWKLSDARLVLEDGSVWNAKSFGASGTQVGEVVFNTSMTGYQEILTDPSYAGQFVLMTCPHIGNTGVNLDDEESVKCFLGGLIIRNLSISTSNWRSIETLDEYLRKRSIMGIYDVDTRAITRRLREDGSLIGVLSTDQSLKDGELLEMAKNWKIVGVDLISEVSCDAPYEWLDKTGSGWEFNDKQSSETFHVVAYDFGVKHNILRRLASYGCKITVVPASWPASEVLNLKPDGVLFSNGPGDPSAVPYAVKTVQEIVGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVRDNRTGRVDISAQNHNYAVDPESLPQGVQVTHINLNDQSCAGLVFPKMKLMSLQYHPESSPGPHDSDLAFGEFVELMKSNRL >cds.KYUSt_chr3.35055 pep primary_assembly:MPB_Lper_Kyuss_1697:3:219962009:219966279:1 gene:KYUSg_chr3.35055 transcript:KYUSt_chr3.35055 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVPRAQAVAGEHEAGVWPGELDEQLISELLSDDSLLASLVPAGDSEKNHSCDKGGSISGRCNSGGSSTAAEHERLPPASVARQAMCSVYSGPTIRDIQKALWSRPYPSSRRFGSLYFRYGAPSTPLESRHTAKVRSCGGKTPTDGYKWRKYGQKCIKNNPHPRSYYKCTSSHCSAKKHVEKSTDDQEMLIVTYEGTHLHGPQQLLRRLQPQDLPGAKKKTCRSSPPASDEEARGVIKLQSSQRGRDRDGLQRAATGSCDGSASSAVPRAADDATMLSSSDSPPSNWSGSGQDTLWSLESLLPAERI >cds.KYUSt_chr2.39720 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246508199:246514538:1 gene:KYUSg_chr2.39720 transcript:KYUSt_chr2.39720 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVRVYEGSSPQTKLIMGRRIHRGFTIGFQMTSLIESLNKGPVMTMTLKCDTEFPKALKNIMESMGLKGEAVYKGFPVMDNGQEYWWVQLHLYKDEEDDHKKMEHWMFTNPELHTSFFDSARCVAWAAINELGERLKYRLHNTQKDPKEEKEENTNLSTTISQLRRDMVDISLKLCDYEELNKAKDGTSTDTHRDRHLKKMTSLIESLNKGPVMTMTLKCDTEFPKALKNIMESMGLKGEAVYKGFPVMDNGQEYWWVQLHLYKDEEDDHKKMEHWMFTNPELHTSFFDSARCVAWAAINELGERLKYRLHNTQKDPKEEKEENTNLSTTISQLRRDMVDISLKLCDYEELNKAKDWSDCDHGETIIARIVNLPEVNQEALVRSPFKEYVMTTL >cds.KYUSt_chr1.21837 pep primary_assembly:MPB_Lper_Kyuss_1697:1:128946185:128947595:-1 gene:KYUSg_chr1.21837 transcript:KYUSt_chr1.21837 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASPLRLPRPRHRRVLLCVYSVLAKPEYAFVPDVRLVLAKLWLHFVLDGSDCIDFGIDHVHDCLGASPSLSSHTTSPAATLTLAPGYDIDHDNPSRGSLDQEESFDKVSAMAKAAAMLVLVVLVVTAGGASAAQCDASNLAVCAGPILTGSTPSASCCSSLRAQRGCFCQYARNPAYAVYIKSANARKTLKTCGVAIPRC >cds.KYUSt_chr3.20553 pep primary_assembly:MPB_Lper_Kyuss_1697:3:126292598:126295123:1 gene:KYUSg_chr3.20553 transcript:KYUSt_chr3.20553 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-affinity nitrate transporter, Nitrate transport, Auxin signalin [Source: Projected from Oryza sativa (Os01g0547600)] MVTMGKDEEVHQEQYWYGDWPVDGVDAEGRATELRPLALSRPHTQAFHLAWLSLFACFFAAFAAPPILPALRPALVLAPSDASSAAVASLAAALVGRLAMGPACDLLGPRRASGVASLVCALALALAAVYASSPAGFVALRFCAGLSLSNFVANQHWMSRIFAPSAVGLANAVAAGWANVGSAATQIAMPLAYDYIVLRLGVPITVAWRVAYLIPCAMLIATGLAVLAFPYDLPRGCAAGGGGGDKGGNKQGFWKVVRGGVCDYRAWVLLLTYGYCYGVELIMENVAADFFRKRFQLPMEAAGAAAACFGVMNTVARPAGGVASDEVGKRFGMRGRLWALWAVQSTGALLCVLVGRMGAAEAPSLAATMAVMVACGAFVQAASGLTFGIVPFVSKRSMGVVSGMTASGGAVGAIITNRLFFSSSRYTVEEAISFTGLTSLLCTLPVALIYFPRSGGMLCGALESDVVDQDCHGDEEDVNKDDDYMLLK >cds.KYUSt_chr5.5496 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34317626:34318288:-1 gene:KYUSg_chr5.5496 transcript:KYUSt_chr5.5496 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSTGTTAAMACCFLLVVLLVAVADARDVPATAAAKTTPTAATAETTTTSAKNVGAAVAAAPAVLGDEKTFLPGVVGGGYGGGVGGFAGPGVIGGAAGAVGGIGGVAGGVGGIGGVGGLAGVGGGGIGGLGAAGGGIGGLGGAGGLGGGGLGGLGGGGLGGLGGGSSGLGGLGGGSGGLGGLGGGSGGLGGLGGGGGLGGGAGGLGGGIGHGGGCTHP >cds.KYUSt_chr3.36195 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227520270:227524356:1 gene:KYUSg_chr3.36195 transcript:KYUSt_chr3.36195 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEIREEGVPGTSGGAGKAMTVSLSGKRGRYVRQVTGRHNDTDLHVAARAGDAAALRRALDEAAVVVAAGEGGEELEAVRRAVAAEANEAGETPLLAAAERGHLDAVVELLRHLDAEAVAAKNRSGYDALHVAAREGHHAVVQEMLRYNRMFAKTFGPANTTPLISAATRGHAEVVKLLLEQDDFGLGEMAKDNGKNALHFAARQGHTEIVNALLEKDPQLARRNDKKGQTALHMAVKGTNCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVIVLLRLPDTHVNALNRDHKTAYDIAEGLPQCEESSEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDNSGVAVVVQAASFRIFFIFNAIALFTSLAVVVVQITVVRGETKSERKVVEVINKLMWLASVCTTISFIASCYIVLGRHFQWAAILVSLIGGVTMTGVLGTMTYYVVKSKRIRKIRKKEKMSRRSGSSSWIDNTEISETELNQVYAL >cds.KYUSt_contig_1861.107 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:489542:491097:1 gene:KYUSg_contig_1861.107 transcript:KYUSt_contig_1861.107 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPVLPDVLLDEILLRLPPDDPGCLFRASLVCKPWRSRLTSSAFSLLYRQFHGTPPLLGFFENDEMVFFWFTALSRTSPFPSAHPSHCDLVVLDSRHGLVLLSKLGSSGEPLSLLVWDPVGGCNWDFPYPEFAEWASVLDSAAVLCAADGCNHVDCHGGPFKVVYVGTNEDDGIARACVYSSDVCAWSPLTSCEHPEFPLEVVGTAPKALVGNALYFSCEPSTIILQYNLTTQELATVTRPQMYDWLHDKYILIAMEDGVLGCASLQKSRLDLWSGEASNDGSLTWALRRVVEIESELLSYSTTVINFADAAGVFFASSQCGVFTIELKSGRVKKVSGNRDHVIPYTSFYTPDKTGGITPLSTLTSLEENVQPTRDGQNDLMLWHTSGDESEEDEWEQEQDNSAQELFNKGSMAIEKGQFVDAKDHFCKVLETRLEFLPSTCCSYSKLITDTTSC >cds.KYUSt_chr3.23969 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148694715:148703052:-1 gene:KYUSg_chr3.23969 transcript:KYUSt_chr3.23969 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQVAQLGPGTACCGWNHCGRRLAAGAIDGSVSVYDSQPSPSSRWQAHEHAIVNVVWLPPDYGDAIACVSADGTLSLWEEVAEDDQLPTWRKCKVFEGGNSHILNVQFGLLLSSLKMVTAYSDGQVKVYELLDSLELDKWQLQAELQNITDPVSRNGKPACISASIAWSPRRGEGQQASFAVGFNSDSPYFNSCKIWEFEQAHQRWLPLIELGSAEDKGDRVHAVAWAPNIGRPYEIIAVATCKGIAVWHVGFNPESDGRLSTENVAVLPGHNGEVWQLEWDMGGMTLASTGGDGMVKLWQANLNGVWHEQAVLDCNGFLLSPIVDAKVYGRSINTGSVKWSRPKASYVKLNVDASFHEDLKAGSIGAVLRDVKGDFIAASSIFLQNVDSVVMAEARAMKEGLELVIRMGWESKRFLPTRLSGKQGTMKFINKIERAFLWSAKDTTTGAKCKVNWETICQPKKLDALGVLHLEKIVMALGLHWPWLEWKDSNKIWIVSGNPCTIDDMELFYATIDITREDGKKTPFWHAPWLSVCSLQDLGFTGVPFTFDNKRKGEANVKVRLDRAVATPDWRDLFAESRVQHLVSPVSDHCPILVSLVQEERSSWRPTKRQYEILWERASDLPERVSNKMYYKRLEKEYNMIQSTTVVESFFLRIQVLQ >cds.KYUSt_chr2.50401 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315204559:315205098:1 gene:KYUSg_chr2.50401 transcript:KYUSt_chr2.50401 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSHGPSYIVLFLLATSSCSASILEDACKTFSAARPDIGYGYCIEFFQANKDSTTADKRGLATIATNIARATAMNTRKLSAALRNMVKDQKTRECLGDCAVLYYGIVGRLDEAAKGITSGRSQGLQDAVWSLSAALNVPETCEEGFRKLGGKSTLAAADSEFSKEVSIALVLARTLSR >cds.KYUSt_contig_1658.119 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:776528:781707:-1 gene:KYUSg_contig_1658.119 transcript:KYUSt_contig_1658.119 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTSLLLLLATLLAVAPLSPAAEPEAFDVRRHLSTVTRYPSSPSLRSQPPPDPLPARPWICGGARYDVSRGSNNAVAAPSISDECRVIHLNLVARHGTRAPTKKRVKELDRLAVRLGALVNEARQGPDSEAVKEIPSWMKGWESPWKGKVTGKGGELVSEGEEELFNFANRVKERFQDLFDEEYHPEVFSIRATQVPRASASAVAFGLGLLSGKGKLGAAKNRAFSVLSESRASDICLRFFDSCQTYKDYRKRKEPDVDKQKEPILEQVTSALVNRYRLNFTKQDVSSLWFLCKQEASLLNITNHACQLFSEAEVQSLEWTDDLEGFVLKGYGESINYKMGLPLLKDVVQSMEDAITAKEENYPDGTYEKARLRFAHAETLVPFTCLLGLFLEGSDFEKIQREEPLDLPPMPPQKRNWKGGLVAPFASNNMLVLHQCPGKTGDNGISQGQNSLYFVQVLHNEAPVSMPGCGNKDFCPFEEFKEKIVQPHLKHDFDMLCKIKKPDAAEEPSSLSSKLYNFFVGLFWRKSYVAKAEDVKTEL >cds.KYUSt_chr7.20305 pep primary_assembly:MPB_Lper_Kyuss_1697:7:125975612:125977901:-1 gene:KYUSg_chr7.20305 transcript:KYUSt_chr7.20305 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAGGGGRRVGKVKKGSSSGKLVLSPSEQWEVGSPNAPANIVVTREEKRLEIIEVLRSFGVTKLELVPVYNVPTFRQGQNPDVDPLNVEVCKRYTVMGDRRRDYLLLEIRTRGQETDQTKKEKKAIRRELDAYEDEVAELFIKINDARGIPVDVPEQFSDYPMYVPKK >cds.KYUSt_chr3.24686 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153214926:153216330:1 gene:KYUSg_chr3.24686 transcript:KYUSt_chr3.24686 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEVKIEMNGEKTSGNKGPVVVTGASGFVGSWLVMKLLQAGYTVRATVRDPGNVEKTKPLLELPGAKERLSIWRADLSEEGSFDEAIAGCTGVFHVATPMDFESKDPENEVIKPTVEGMLSIMRACKEAGTVKRVVFTSSAGTVNIEEQKRPAYDQDNWSDIDFCRRVKMTGWMYFVSKSLAEKAAMDYAKENGVDFISIIPTLVVGPFLSAGMPPSLVTALALITGNEAHYSILKQVQLVHLDDLCDSMTYLFEHPDANGRYICSSHDTTIHGIARLLKERFPEYDIPQKFPGVDDDLQPIHFSSKKLLDHGFRFRYTAEDMFDAAVWTCREKGLIPLGAEGAGGKASATSKLGAVLVGEGQAIGAET >cds.KYUSt_chr5.8089 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51092862:51100629:1 gene:KYUSg_chr5.8089 transcript:KYUSt_chr5.8089 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSPSRRKRKLEEPPAATAEGGTHAREPPPGAGQGEEGGPSAVDRISDLPDPILADIISLLPTKGGARTQILASRWRHLWRSASLNLDCDGLARGGYYNPNDDHAVAALIPRVLSTHPGPGRRFCVPANYLRSRPAAVDTWLGSPTLDGLCELEFLDVYGKIRPWPSLPESAFRFSPTLRVAIIRKCHLPDSATQALHFPQLKQLALENTVMSETSLHSMIAGCPALECLLIHSTSGVRCIRINSLTLRSFGVCVGPQLTDELQLEELIIEDAPSLERLHRLDLFDGLHVSLTSAPKLKTIGCLTDISRSPLDGPAQVIQGLHLDRLTAVLCTVNMLAIDLFLLDLDTVIELMKCFPCLEKLYIQSVQAEKNLWRRKHRNLIKCFDIRLKTIGLGSYRGIKSEVDFVTFFVLNAKVLELMIVQIRPGDYYRGFAAEESRRLKFENRASRGDPYLCHVGSISECSWRRLAEESENKEEVEDQDEEEAEEEEVAARAKADADAKAKAQPACTFDDEEDTSSSDASTDTTSSEEVMSRKRRREDDEAGPSWKK >cds.KYUSt_chr3.29882 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186991223:186994078:1 gene:KYUSg_chr3.29882 transcript:KYUSt_chr3.29882 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSIHYGSLLVATAVAALLAAGEVHGIGLNLHHRSSSVVRRWAEARGHAPGTWWPEAAADGTPEYYSALFRNDRALFARRGLAASNGQGELAFSGGNATFQLEGYLHYAEGGQKYSPSRSSTSKTVTCEHALCDRPKACATRNSSCPYHVRYASANSSSSGVLVEDVLHLSREKQHGGGGEAVQAPVVFGCGREQQKGAFRDGDGVMGLGMGKVSVPSLLASSFSMCFGDDGVGRINFGDAVDPGQAQTPFILTNPHSTYKIATRGMNVENLDVPLEFQAVVDSGTSFTYLTDPVYTAFSYELVSKINDTRANLSASIPFEFCYGLSPNQTEIYIPDVSFWTKGGAKFPVTRPFFVIADRHGKGAVGYCLAILKSETDINIFGQNFMTGLKVVFDRERFVLGWSKFDCTDKGLTNVGVDYLKHKR >cds.KYUSt_chr1.9883 pep primary_assembly:MPB_Lper_Kyuss_1697:1:60460184:60460828:1 gene:KYUSg_chr1.9883 transcript:KYUSt_chr1.9883 gene_biotype:protein_coding transcript_biotype:protein_coding MAADERSVGGDECVLKHGPVEERTLMSCATKRKREAAAAGDGNMRTIKRKTADSELLEQGSTAGYSELLEQGSTAVAKHEEDEAPVRKMTRLPQEEIQYILGRVMDDSRAPRDYKALKRRNPDLIPSPEEEMNQRLVGLYAGARVFYAIGERFSNFQDRIRSQYDKHGYVEDDDDFLANRAQIQSWNDEAREEALKEFDFSDMDDDMKRAFLSF >cds.KYUSt_chr5.15695 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101280622:101281218:-1 gene:KYUSg_chr5.15695 transcript:KYUSt_chr5.15695 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARGGDHDGPTVAVARRGDRDSPGAEPVRGWWRNNQDFILRHQERTRGRAAARADHSYPAVAVPRRGDRDPPRAEPVGGWWRNNQDFIRRHRERIRLAAAAAAEAEEPASKKAMVALRVPAAGEVPEQDCAVCLEDFAAGGRKLRTMPCSHSFHQRCIFVWLLVNRRCPMCRFAMPSRAGDEEDGDDDVERELVAE >cds.KYUSt_chr4.51503 pep primary_assembly:MPB_Lper_Kyuss_1697:4:319568770:319571846:1 gene:KYUSg_chr4.51503 transcript:KYUSt_chr4.51503 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDPLIVGNIVGDIVDYFDASARLRVLYGNREITVGSELRPSHVANQPTVQITGLSRSFYTLVSDQFYAQTFDNRMRADPDAPSPSDPSEREYVHWLVTDIPEGGDVSRGTEVVAYESPRPTAGIHRFVFVVFRQTVQQIIYAPGWRANFNTRDFAAFYSLGAPAAAAYINCQREGSCGGRRYR >cds.KYUSt_chr2.9578 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60438120:60442292:-1 gene:KYUSg_chr2.9578 transcript:KYUSt_chr2.9578 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTPELEQIDGEIQDIFRALQNGFQKMDKIKDSNRQAKQLEELTGKMKECKRLIKEFDRILKDEESRNPPEVNKQLNDRKQYMIKELNSYVTLRKTYQSSLGNNKRVELFDMGAGSSEPTAEDNIQMASEQMKRIGNELDSVHFSLKKASKLVKEIGRQVATDKCIMGLLALIVFGVIAIIVVKIVNPHNKSIPDIPGMAPPAQNFQTNRRLLSTRSFRGL >cds.KYUSt_chr2.25635 pep primary_assembly:MPB_Lper_Kyuss_1697:2:156856051:156862026:-1 gene:KYUSg_chr2.25635 transcript:KYUSt_chr2.25635 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTSRLLLLSRGADLARHRHRLLLLRSLQPYGANADPSPRPFSAASPVRSYSSAFTSVHGGRPSSEYAKIRKESLETQFGRILGSSSRTLFADRGFGPFLALYRAATISFHVVKLTVWHLLLSDMHKRAEKFRETLIRLGPFYIKLGQALSTRPDILPSAYCQELSKLQDQIPPFPTRIAVRTIESELGSRISDLFADISPEPVAAASLGQVYKAHLHSGELVAVKVQRPGMAPLLTLDALLFNMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEAKNAERFAILYSHGSGGEGRTSIKVPKVYWNYTRKSILALEWIDGIKLTDAERISKANLNRKRMIDEGLYCSLRQLLEDGFFHADPHPGNLVATADGSLAYFDFGMMGDIPRHYRVGLIQMFPNLDGLVHSNSFFSYKGVMSHLYDVMYDFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLICDDGSIRWNRLERLVCNC >cds.KYUSt_chr2.37531 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232394849:232396595:1 gene:KYUSg_chr2.37531 transcript:KYUSt_chr2.37531 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSGTAAAMDVEAEVVIVGAGIAGLATALALRRVGVGVAPGGVLVLERHAELRSAGAALTIFPNGWFALHALGVAHKLISRYDAFETSRVTTLETGATQVFRFAGRKSSGDDVKVRPMHRKALLEALAEELPPGTIRFSSRVVSIDSEGSPQIAVLRLDDGTVIRSKVLIGCDGVHSVVAQWLGMSEPASSGRSAVRGLSVFPDGHGLKKEIRQFLSEGLRAGMVPISETDVYWFLVNNTISAGKTCKKEAGADPAKILREVTDNLGRNMPAEYLDVARHSDLGNLSWAPLLYRHPWAILTGPAARGAVTVAGDAFHPMTPDMAQGGCSAPEDAVVLARALSRAATPAAGVAAYVSERRWRAAWMVAGAYLSGWVQQGGTNVQGVRGYMVRLFRDCIFYRFLFPRLADTMWFNCGELVERKEQGKTHSE >cds.KYUSt_chr3.17926 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110072396:110074952:1 gene:KYUSg_chr3.17926 transcript:KYUSt_chr3.17926 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHTANFDNPKIGSIGEILDGADLLNLLHQTRSVADASLVEMGKKAIRYAVVDAFATEPFKGNPAAVCLLEGEIAAAAGDDRWMQSVATEFNLSETAFLVRDSSRPAGAAPRFHLRWFTPVTEVELCGHATLASAHFLFTTVLAEDGMIEFMTKSGVLTAKKVPAAQGSTRIVPGEEQGKLFIELDFPMIDFLDCDEMPSIPETLNRASIVSVHRSAADGDLIVELSSGKEVADIVPNIDEIRKLSCGGVMLTGPAPAGSGYDFFTRLFCPKFGMDEDPVTGSIHCVLGPYWGRKLGKQKLTAFQASPRGGTLYLELDDANRRVKIQGETVTVVAGTVLA >cds.KYUSt_chr4.51175 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317357806:317359005:-1 gene:KYUSg_chr4.51175 transcript:KYUSt_chr4.51175 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVPIIPVIMFLAPCAFFLFTSDLGLPRIRIEYSSRDAPASAPAKKPLRQLTDRPYTLGAAVSDYDAHRAAWLAAHPRFPASVAPGRPRVLVVTGSSPRRCSKPDGDQLLLRAFKNKADYCRVHGFDIFYSNVVLDAEMSGFWTKLPLLRALMVAHPETELFWWVDSDVIFTDMLFEPPWGKYARHNLVLPGWDDAVYDAKSWLGTNAGSFVIRNCQWSLDLLDAWAKMGPRGPVREHYGKIFGEALSNRGAYEADDQSAIVYLLVTERERWGDKVFLESSYVLHGYWKGIVDRYEELRSKWRPGLGDDRWPLVTHFVGCKPCGGQGASYDAAECRRGMERALNFADDQILNLYGFQHRSLNTTAVHRVRNDTGRPMDADDEEIGRLLHPTFRAARPS >cds.KYUSt_contig_1181.1170 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:7620358:7624024:1 gene:KYUSg_contig_1181.1170 transcript:KYUSt_contig_1181.1170 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLDLSENSLSGEIPESLGNLKKLSLLALYTNSLHGEIPPELGNCTMLDRLDLSENSLSGEIPESLGNLKKLSSLALYTNSLHGEIPEGLFKNQFLQYVYLHQNNLSGHIPSSVGEMASLRSLWLHGNGLSGVLPDSIGNCTKLEELYLLYNQLSGSLPKTLSLISGLKVLDVTGNGLTGEIDFHFENCKLDKFILSFNNQLRGEIPAWLGNCSSMTELAVVNNSFSGHIPASLGLLSNLTYLLLSQNSLSGPIPPEIGNCRLLLWLELDANMLEGSVPKELANLRKLQKLFLFDNRLTGEFPEDIWSIRWLQSVLIYRNGFTGMLPPVLAELKLLQNITLFGNFFSGVIPAGLGVNSRLRQIDFTNNSFTGGIPPYICSGKRLRVLDLGFNLLNGSIPSGVTDCPGLERVILENNHLTGAIPTFKNCMSLDYMDLSRNSLSGGIPETLNKCRNITMINWSENKLVGPIPPEIGNLVNLGVLDLSQNSLQGTLPMQISGCSKLYRLDLSFNSLNGPALTTVSNLKLLSQLRLQENKFSGGLPDSLSDLGMLIELQLGGNSLGGSIPSSLGKLIKLSIALNLSGNGLVGDIPTLLGNLVDLQSLDLSANNLTGGLASLESLKLLQALNVSYNRFSGPVPEYLLKFLDSAGSSFNGNSGLCISCNGSDFSCKRSNVLKSCGGSKKSRVHGRFKVALIVLGSLFIGAVVVLIICCILLKTRDSKTKDGGEAISNLLEGSSSKFREVIRVTENFDDKYIVGAGAHGTVYKATMDAGEVYAIKKLVVSARSSSYKSMIRELKTLGKIRHRNLIKLKEFWLRGECGFILYDFMEHGSLYDVLHRTRTPSLDWSTRYNIALGTAHGLAYLHHDSVPVIIHRDIKPSNILLNKDMVPRISDFGISKIMDQSSAASQTTGIVGTTGYMAPELAFSTRNSTQTDVYSYGVVVLELITRKMAVDSSFPDNMDIVSWVPHALNGTNQIGVVCDPALMDEVFSTVELEEVQKVLSLALRCTAKEASRRPTMVDVVKELTDVRSASVPSSKQVKPGPSGSSGLSSSVSETAHAWESFSCCMEQADLFVYFTSLSLKLKLTDDG >cds.KYUSt_chr2.49324 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308661454:308664935:1 gene:KYUSg_chr2.49324 transcript:KYUSt_chr2.49324 gene_biotype:protein_coding transcript_biotype:protein_coding MELEARPTPALMLKEWLELEFIAELSRDGFGCYPRHLVATRRNGDVISRVSAAVRAALFRLPSGREGEVSGNSPRRPRMGFWKKPMGDEVVDRRSRSCSASTTSSGRRDNQASAKSSRRRSWERVLSGGAGRRNHDTEVAKIKATRHLDQEQERKQRLSPVSVMDFLSQDEDDGGGGEDDDGGEDETASPTFQRSIASIRRASNQLLQKIRQFEQLAEQDISDVDDATTTTEDINCHVDIESTDDSEGAPTQSLLDLLEESSSGSTRCVKKLLIDFFHDEKKPNGASQQKSVLETAQAWLDGQSYSLKPIWTVVKTEIKSLEQWRCLREDEQKRLTIDLEREIFSSLVEELADELLHL >cds.KYUSt_chr4.17280 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108110892:108115389:-1 gene:KYUSg_chr4.17280 transcript:KYUSt_chr4.17280 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPLLRPLVGDGDAGSFLTKTGVEGGGADPPDLDADLDPDEEDDGAILRGVQELLCRRDARELGTCECCPQQASGREDRILQLDREDDDLMELLWCNGHVVMQSQGHRKLPPRPEKPAPAPPVQEDDPGLWFPFALADSLDKDIFSDLFCDVPPPGAADKASREVDCKPSELMPPPKSTHVSGSQRQQSMSMSLADNAGELSDLVQARAGKAAAVDEGASSTLSAIGASFCGSNQVQVQRGAASEQGRAGTTAYGGGSAMPSAMGSGNANAIGRGHEATAASSSGRSNYYCFGGATTTTTTTTTTTGTEPTSTSNLSSKRKRGLDTDYSTESPSEDAESESAALERKPPQKLATVRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKTLQMQVQMMWMGGGMAAPPVMFPGMHQYLPQMGARMPFMPPQRVVPGAQPGHRMPEHYAHFLGAVNHLQPPLTSHHHHQHYAQGLGYYPLGAKAMQQNPALNHGPNGSGGAMPAANATHPNKR >cds.KYUSt_chr5.22114 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144283791:144285125:1 gene:KYUSg_chr5.22114 transcript:KYUSt_chr5.22114 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRKRKPLDAATEVFNVCPERAREAVRQRFQSELVAVRRLLEKAAALPVPVPRSEEPPAKRSKEASTAPVVIARKMMAEEEDDVDIPGGASPMAIALAPPRLQPAEDKGARELVRQRFQSELVAVRRLLKKAAALPVPRSEEPPAKRNKKASTAPVVAPKMMMAEEKEEDEDEDEHVDIRGDASPEKMPKCLGDDAAISGSPSSSSSSGCDSDSDSSSDSDTDSESESVDKAEEDVEKEEEVDRCGGVSPMVIVPAPLQLAEDDDMDICGDASPVVLPKNLGVDAIISGSPSSSSSSSSSDSDSDSASDGSSSCSSNDSDDSDSDESVDGPAPAECAATPPITLPLARAKESQERQLKEARSRAREKARQEVLKTERTAMPNSERVHRTVFKSLDIKEYNMAMPENVLHQLGLFLKPDDDGDQEEQLHRQSFEEDLEEGEIRF >cds.KYUSt_contig_1253.1021 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:6424428:6429325:1 gene:KYUSg_contig_1253.1021 transcript:KYUSt_contig_1253.1021 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAAAIDGAQLCVFDLRRGQQEGQELDKILFFHPADCPILLQLSVIGLCEGIITFTRIFSPEDDCEVIESDRHSHVFYQAEPDIWMVLVVEKTKDSESTLRFGALQGILKESHSLFTMFHGPIRALLDRQPSAELARGHLHTFVTDYLSDFIVGKKLQLPTYRDSLTERGTVQMLTVSREVALEVQSLTAVLGSCLGNVICQSIVLFEDLLVSTTLPPDDTLNLYTYAILRLTPRALYSNANSWSYLRKGTYVNAGPASSSSNGAAAAERYHSRSRDTSPGGQNQMHHNFRPLQREKLSKGKDGFVAADFATTEVRAAVPLTPILWFQQAEERMYLCVYQHKNLTILLLIPASSVINGEEGIAHVKKHLLENASQNIVTVEQKLTRGWGGENAYHVAGYRYLLVDPDRKVSRASPPGKVTTLSKDSLLALNRLREEIDLEKSRAKRSDPTHDKDYEVCIRAKNNAWVIAKITRGRELYMALEKGGETLLYASTAVEKFSNRYCEGAFSTD >cds.KYUSt_contig_786.106 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:636006:637139:-1 gene:KYUSg_contig_786.106 transcript:KYUSt_contig_786.106 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPVVNGTYAAADGAVAPGAAGNDVATPRVAANNHRRDELKARAANACADSCLEACDVAKAATVKAQAAAARCVLARDDAGTAALLVRKAPICSVAHKEARRAYRESADVLKEARKDAVAAGLAARRAAVSCAAASRRAVSAAAGAREIANCCVTAGGVRVVAAAAAAREAADRCVAATAAAIVADAATAAAIVADAADGDSLPAVPRADRAASEARKAAESCDEALSDAGADKDFLDKMRGWLMAVTTLFVGIAFQAILHPPVGMSSCDHCYKSGGKTATASIETACLYLAFNTITMATAITLLVMLLAMKKTMSSIVTLRFIKSLLSGLAVTVACSFITATSGGQRVQLFTLLVFGTYVFVVSLHPILRFYFRL >cds.KYUSt_chr6.32722 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206130621:206131532:-1 gene:KYUSg_chr6.32722 transcript:KYUSt_chr6.32722 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVEVLKGKTITLEVGILDTVYSVKAKIHDKEGIPINLQRLRFAAHGVLEDGHTLGEYNIQEGSTVLLILELQIFVETLPGKTTPLKIYSCYTIEHVKRDMFLGQQEKLNVYMFNGKQLHNSISLADYGIQEGSTLLLDRRAKEKMKIFLIETLTGSIITLEVATVDTIGDVKEKIQDEQHFPKNQQCIIFANKQLEDDVTLEDHNIQKESTLLLVVNHPSQRGKMTIYVKTLWNKIYILEVENNDTVYDIMVMLQGKMSVFPHVQRLIFGGKQLDVNRTLDDYKVKMYSTLHLVLRFGGG >cds.KYUSt_chr3.42743 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270221221:270222375:-1 gene:KYUSg_chr3.42743 transcript:KYUSt_chr3.42743 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELMGGDPMSKLNDDILVDIISRLPYKSTCCCKCVSTRWRDLFSHPDHRKKLPQPLAGFFHDGCNNNQFPNTARYFTKVSGEGGPLVDPSLSFLPRYDSLDILDCCNGLLLCRCWKTADRKTLDYVVCNPATQKWVLVSATDWSSKVNVARLGFDPTVSSHFHVFEFIDEKAWGIDESELTPCDGRIETLAIYSSAVGVWKHQSLDSFAFAIPKDSKGVFLNGILHSATSYKFIAAVNVEGNEWRFIDIRVPPYYDDARDDAIFVSQGQLYLANIYAGSELLVWALEDYNVEEWTLKHNVSLLELFGARYSSFADHFSFISFHPERNVIFIVCGHENTLMSYELDRRKLCFICQLGRDCQNQWGKTPYLPYVPLFSEALADG >cds.KYUSt_chr1.29651 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179557953:179563359:-1 gene:KYUSg_chr1.29651 transcript:KYUSt_chr1.29651 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNASLPPGVRAERCWCGRLAKVKEVVDFSDKFGMKYFMCASYDHDPPRQSSSSSSRPASPPPLCMWFHWIDQEQPDWARREVEEKQRRAWAMFHEEERFEKAIANDKAERERQIQKLRAEQARNREVNQKRMDDEVARRYAEEEIEQTLEQTMNQLRILGQPAEDINYKLPARRGTVVHHTSKARRPPETERTGHGATSLAVDAYGCGNLNPKELKAACDKTPYTGYCLTITKARPDDPMMYPMRTPQDMAQYLMSIAAGIGHNMAAMARAESGRLPGGSARRRCMQRCAAGFDAASSKLNVVDGALLDNSDETFELVYLGRKLGRLPGETYRRRHGCQAHALLHAHGHHTRARQASSSPSGVILLCLHASEFVG >cds.KYUSt_chr3.2778 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15990463:15993557:-1 gene:KYUSg_chr3.2778 transcript:KYUSt_chr3.2778 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFLVAAILLCLVNHGVDLAIAWEDKDFFRHCPPSRCSKDGPEIRFPFRLESSNTSSSCGRSCIILACSGQDTILDHPLLGLWKATAIDYTYTVIKIIPLVDSLSSSPIQKLISKSISPDDALAGYLQRDCIRFRFATLVCCSKEFTPSIHYVDTVAGPVPCLSNAIHFSYLVYAFESISILPSDCKVCLDGVIPIPYDRTSSFKTDAERILSFEVALEWKDPGMDNDMVYCELCSHIRVIAATSSVATFVVLLLVVATTLYLSLKTRYNEEIHLKVEMFLRTYGTSKPTRYTFSEVKKMAKRFKEKIGQGGFGSVYKGELPNGVPVAVKMLENSTAEGGEFINEVATIGRIHHANIVQLLGFCSEGTRRSLIYEFMPNESLERYIFSTGSNINRQLLVPDKILDVSLGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRTFGGISYKSDVYSFGMLVLEMVSGRRNSDPGVESQNEVYLPEWIYERVITGQDLAPQRETAQSEDETVRKLAIVALWCIQWSPRNRPSMTKVVNMLTGNLQTLQMPPKPFVSSASNLMQ >cds.KYUSt_chr4.16884 pep primary_assembly:MPB_Lper_Kyuss_1697:4:105115077:105119249:1 gene:KYUSg_chr4.16884 transcript:KYUSt_chr4.16884 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVHNLQLTPLAQGAVFPVLERLTMSRCHLNTDALVRRCPRLRVLELLQCAIGTIKVHSPTIEELVVKQSFYTQCIHIMAPVLKRLTMDIQVWLFFTLSCFSAPMVEDVSWECRSFYPNIGVGEWWRLQRLKLLTENSARTLRLFIDAKMLRHTTHLTLGFFHQENEDVRAFQRRRRPCDSAQPSFVPTASASHLLGTLSLGSHLLGGLFEHARPVASRNGRLVLELRREERAQGLTLSVYNPMTGEVSVLPSLAGEDCPGTTRAPYSRATILTPPALRRASSACSSSTTAAAFTALRIFSSDVGSWGGRRDARRKDGCR >cds.KYUSt_chr2.46482 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290594568:290597168:1 gene:KYUSg_chr2.46482 transcript:KYUSt_chr2.46482 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIEEESNRFPPGFRFTPTDDELIEYYLLPRLQGRPHVPTDAIIEDYVYRYHPDQLLLNGDYKNRDHGGCWYFLSSRLRKYENGDRPSRCTEDGRGRWKTSTGTNSGVTRGKIKYSYSVLNYFEGTNYKEEDKGEWLMREITIPEYENKLDGSSKRRKTWLQLDEFVVCKIYLTKEGRKNKNKAAADDDEDEAGPSGTSEETCPAATSQPLPEETGHQKEAKPKISKRRKRERTVQVATPQQALPALPPGRCLGPQAGGQSQTPSICGGGMKARPGATGYHHHHGGTGRQMVYSTHASLPPRPPVAFTGHQAPAYWAAPVASPGCFGPTPMGRQLGPAGQSFTPPRPVTLPTNYPAMQAQPETEEMRERRVYQQHVNELAMHHHRMMMQQHHQQQQNGNTAYPAQQQRPMPFMQQQQQQQQTQNENVAHPAQQQRPMPSMQQQQQQQPPYFGPGFNRQHVRPMAPQFLPHSYHQRVEAGQQVQCSSAVADFGATTTTTAETEVGSVVGATKEGTHVVKNDEET >cds.KYUSt_chr4.25817 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162420140:162420838:-1 gene:KYUSg_chr4.25817 transcript:KYUSt_chr4.25817 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRCSHCSNNGHNSRTCHARGVSSGGGGGGGGCLRLFGMQLTSAPVAAMKKSTSMSCIVSSLKGGSGGSSPAAGAGGGARGRGVGAQGYVSDDAMHASCSTNGRGERKKGLSSFLAITACHHTVDLWRPAPLTSSDGMNGIPVLWYLSTLQQEVVYYISI >cds.KYUSt_chr7.34606 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216003973:216011592:1 gene:KYUSg_chr7.34606 transcript:KYUSt_chr7.34606 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLIVGGIVGTVVDYFDASARLRVLYSNREITNGSELRPSQVANQPTVHITGLPGSHYTLAQSVNRSMQVSSCFMAKPYRARSRASRDYVMIDPDAPTPSNPSQREYLHWLVTDIPEGRDVRHGTEVVAYERPQPTAGIHRIAFVVFRQAVRQAISAPGWRSNFITRDLDECYSLGDMDPKCSTGALDLNEPAIEGDRVIEDVSLFHDLQIEVTGSIQRTLSNPDVTKSSEHSKHVSGSNTGASADTNPETGETLSTDDSGGDEDEGEVQSTPCSQTEVETPFKGKIYDSWEDAKMQYNRYAKKIGFSIKCSTSKVSKIDDQRDKQLFVCNKSGKNEDINKLEAPPVRQRNRNITKKTECKAKLRIKRRGTKWYVTYFIEEHNHSLMKKFSLKKYLRSHKGIPKEQKDFVQLLHKVNLSAGRVMRIMGEVYGGLANVPYDNHADKVDRIFWVDGPAIAAYKNYSDCLSFDSTYMTNMYNMPFTPFVGINRYCQTIQLGCGFLNNENIESFVWLFQEFLEAMGGLQPDNFITDQDAAMRSAVLVSFPNCCHRNCRWNIMQNAQAILGNFLSKHEELRTELNEIIDYSMSVEEFETRWAQMITKHNVVDNTHLYDLYHIRATFVPTYFKERFFPFLQTTARSEGFNAVLKTYIDPHNNLHHFFLQYMKLQEKIDVAEDAVEFKDEDKTLRAWGDFPVEEQALQVYTRPIYLRFRAELRKVTSYNVQHVGHETYDVSPIKNYVYGYGSRSYKVEANLEAENYNCECCKFSRDGLLCCHIFRVMMQLGNIDRIPEKYILKRWRIPEEIIVEEKLELPKVPVDRKMSNKERQQLRYGTMCNDFTKVAKISSTSDKGKALADKYMQALGKELLDMKASESAKRKKRKNATTAQDGEGANDGGLDSFPQFDHVQDPVYVPKQGRPAEKRKQSGLHLKSSKVVKCSLCGSN >cds.KYUSt_scaffold_869.137 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:753855:757998:1 gene:KYUSg_scaffold_869.137 transcript:KYUSt_scaffold_869.137 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSSPTLQDHLSQPTGPLHLKVWQVVCIALGVFMVVVFFLTVWLTMRSRKRTRRASANIPITQIPAISKEIKEVRVEQVPASEYGAHDGVLLTIQDKANDRESDKVMVHLGVSKSRRGDESHSGSFRYTDKDAGFQSAEEGGSGNFRQASNHGITAPSPLVGMPEFSYLGWGHWFTLRDLEMATNRFSKDNIIGEGGYGVVYRGEIVNGTPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRILVYEYVNNGNLEQWLHGAMSHRGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFDAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVILEAITGRDPVDYGRPSNEVNLVDWLKMMVASRRSDEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRGDRRSRHNRGGSTEMDSQRDNNSDTDKSDNPDCKPSRSRASSSK >cds.KYUSt_chr7.39951 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248135936:248146344:1 gene:KYUSg_chr7.39951 transcript:KYUSt_chr7.39951 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVKKLQRACTALGDHGDESTLPTLWDSLPSIAVVEGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGTREYAEFLHQPRKRYTDFAEVRKEIADETDRETGRSKGISSASIFRMDDYTKNDCYEIGEHKVILDLLPCMWSLGAVQLWDPTGCRCGSSQQSTVSVMIGSPHCIKPRSQSEKREGIQAKAAQIASVFHSSANIAAQDEAQRHRPEDSRHDGGGYRDDGGYRDGGGYRGRREELCRDDYRRDDRGN >cds.KYUSt_scaffold_1259.279 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1741125:1743090:-1 gene:KYUSg_scaffold_1259.279 transcript:KYUSt_scaffold_1259.279 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAARDAKEYHGKLTLYVFLTCAVAATGGLIIGYDIGISGIVSLEYTNATAHGHQTGGVTSMDTFLEKFFPSVYHKEQMPHGGSSQYCKFDSQLLTAFTSSLYLAALVASFFVASVARSFGRKWCMFAGGVSFLAGAALNAAAQNVAMLIVGRILLGVGVGFAGLSIPIYLSEMAPPRLRGTLNIGLQLMITVGIFSANLVNYGAAKIRGGWGWRVSLGLATVPAGIITVGSLFLPDTPSSLISRGHHEQAGRVLRRIRGTSDVADEYGDLAAATEVSGTVKRPWRDILERRYRPQLTMAVLIPFFQQLTGINVIMFYAPVLFKTIGLGGDAALMTAVITGLVNIVATFVSIATVDRLGRRKLFFQGGAQMFVCQVIIGALIGVMFHRNGGGGVPTTFAASILVFICVYVAGFAWSWGPLGILVPSEIFPLEIRPAGQGINVAVNMLCTFAVAQAFLPMLCHMRSGLFYFFGGWVLLMTAFVVLFLPETKNVPIEKMAMVWTTHWFWGSFVADQDSHVQVGHGEVDVATGKLNQTIV >cds.KYUSt_chr7.35998 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224974532:224979333:1 gene:KYUSg_chr7.35998 transcript:KYUSt_chr7.35998 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNGKHYYTMCQTMFEIDTKYVPIRPIGRGSYGTVCSSINQETNEKVAIKKINNVFNNRMDALRTLREMKLLRHLRHENVISLKDIMMPLRRRSFKDVYLVSELMDTDLDKIIMSSQPISNEHCQYFLFQLLRGLKCLHSAGILHRDLKPGNLLINGNCDLKICDFGLARTDNSEGQLMTEYVVTRPYRAPELLLGCNNYGTAIDVCQLKLIVNVLGTMNDGDLEFIENLRGRNYIKSLPYTPGIPLYSMYPQAHPLAIDLLQKMLIFDPSKRISVIEALEHPYMSALYDPSANPPAQVPVDLDIDENLGVDMIREMFWHEMLQYRGRQNGEYLTSRRWTYLDDRMLDGLTLATACSDVVSSVPDEISVSANVLRQMELDRLTVVPNDSTRLETSSIDDEEDDDILDGQLLSSIIGAVSEVDLEHSELSSVYDLTEVLVTWLNIPTLLLVVEIMI >cds.KYUSt_chr6.10909 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67632570:67632950:1 gene:KYUSg_chr6.10909 transcript:KYUSt_chr6.10909 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNWNNQGPPPPPAALHECPLCGKLLLSLQGLGCHLAWHRRSQPLAPHAPPAMPAPAAPAHIAVPAAGRVPRLAPNPAFWEEYRRGGPAPVEIDFIAQLATAQAQPFMENGDVPEPPVDVTCTKE >cds.KYUSt_chr3.6915 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39789628:39790452:-1 gene:KYUSg_chr3.6915 transcript:KYUSt_chr3.6915 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCDTSCGDVAVPYPFGIGPVRCYHSPWFNLTCDRRSHPPRLLLGDDGTFRVTEISLWSSTVRVVRSGGIINAASSQDGLNVSFGPNLTGGVGSPYTLSRDNELVLTGCNALAALLVEGTGGDIISGCASFCKQFGPSFGGGVSGKSCSGTGCCQAPIYTDVVPGGLQFGWINVSHSVGMATLPTYVFVAEEGWFDQPVVTRLFTDPTHRPSTAAALEVPIVLRWMVKQGMAQAKSISTRPPDEAPERRFFHPDGEIRLSRAPGFSFSSGFGP >cds.KYUSt_chr2.2286 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13855518:13856347:-1 gene:KYUSg_chr2.2286 transcript:KYUSt_chr2.2286 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGCRASVREGDNLGGRNRPCVDLHGRAGLRASAVNGMHLTSNGICSGSHGAQPVDWSCRGARPCPTLKPPCPASMRLDMQPAPRLALFADLLDDTVAGAGQRSMACCSLVCHKCNSSIDSKINRHALMLCSKHPVLAPSCSWRDARGGSHVRISGSIHAALRGAARWCGKVVNLQQHLCASSRFFTFINSANCFIPFGELYVLVACI >cds.KYUSt_chr6.3618 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20870638:20880863:1 gene:KYUSg_chr6.3618 transcript:KYUSt_chr6.3618 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKAKPKAKGDKKKKEEKVLPTVLDVTVETPDYTHLTLKGISTDRILDVRKLLAVHVDTCHLTSFSLSHEVRGAQLKDTVEIASLKPCHLSIVEEEYTEEFAVAHVRRLLDVVACTTAFGVRKPEQKPAPATDAAAEAGSPGAVVAGGGGGEEPMYPPPKLGEFYDFFSFSNLSPPIHYIRRSTRPFVDDKREDDFFQIDVRVCNGKPVTIVASRAGFYPSGKRALISHSLVGLLQQTNRGFEGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPVVADSPSVFPPLPTEDETWGGNGGGLGRDGKHDHRPWAKEFAILAAMPCKTAEERQVRDRKAFLLHSLFVDVGVLKAIAAIQQLIPNDKSSHEKTNGTASPVLHTQQIGDIKITVTKDKADASSKLDVKLDGSQAPGVSFDELAKRNLLKGITADESATVHDTATLGVVLVKHCGYTAVVQVPLDAQLTTVVPAQQDIHIEDQPEGGSNALNVNSLRMLLQKSCVQSSGAVQRLQSSDSEESDTTTNFVRKIITDSLQNLEGESPRETRPIRWELGACWVQHLQSQTSEKTDTKKSDETKDVPTVKGLGKQFGQLKEIKKKSDDKSGKSASSKESTSLNTSDANTVTIQEDKEAILQSALSEAAFQRLRESETGLHAKSPDELIEMAHKYYDDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVELSDKLPHIQSLCIHEMVVRAFKHVIRAVIAAVDDINDMAESVASCLNILLGPFPEENNDGKCVEDHNLRQKWLEVFLMKRFGLGWKDEYSLDLRKYAILRGVCHKVGLELVTKDYDMDMPHPFRKSDIISIVPIYKHVACSSADGRTLLESSKTFLDKGKLEDAVNYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGVDHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQSKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMLKKQARAKIKGRTGQNPSEIADDEDQRSPPANNDRSSTEKENSEVKEKLTEKKNSEVKENGTVVEQVKVKLNDEIPSNTVVHMPPADYTEEYRSDEGWQEAVPKGRTTGNRKTAASVRRPNLAKINTNAVNENGRYKGRAPPNFSSPRVSPNETAASVASSPLAKKLAKSSSFNSRASNPAIQSNSGENSPNPKSMAATPAAAKVIPSAAPIVSQTVRKSLSYKEVAIAAPGTLVKALNDAHTEEKHATDPNVNLESAKAPKESNVRPSEEKDGTIKVSPKDNSSQVSKATDEPKSSNSDSEQTNGSIGLNQAEKASDSAETYTEKKQPSAAQADVPNEEAPILTEANDSSSNDDERDPGEDNQEQLSSGAENEKSSPSGSEKNDSTVEGAKETTSKLSAAAAPFSPATVPAFGSMAVPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGFNRSGHRVPRNKPVLPSGEVLPEANTSPKVMNPHAAEFVPGQSRSPNGHPGSPNESLASPAGIQASLDGLPSSPDSPVESPKTASPQVSESGETSPEGNDTSSGVDVEAGSENKNTEKTNHVESEDGEVKPDIQTIVSEGAEVDATAPKDVQDDSSVPKDAQDDSSMTEKPKSWADYSDGEVEAVQVAS >cds.KYUSt_chr4.54153 pep primary_assembly:MPB_Lper_Kyuss_1697:4:334931727:334938583:1 gene:KYUSg_chr4.54153 transcript:KYUSt_chr4.54153 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAAVMAGPVEAVARDQAPYDANIEAALLAESARSNAALAEIQARFEFNMTSPSTSTSTTNAMSIDPRLPALFEEADRLVGVEASKDEIVKWLTQDIKKWKVISVAGSGGLVLETSSHASADQRKLKQTIKSKLGLGPIQDLTLICMIREFLKNCSVYPEDHIIDIEQLIWRWIAEGFIIGQHGQNLDEVGERYFNELVNRNMIQLVEADITGRGISCRVHDIMLEILISLSSEENFVTIVNSKKLTSSTSKTRRLSLQGNCEENQAWLGIGNFSHARSLNVFGDCMQLPPLKGLQTLRVLDLLDHSYSREDNGHIENIVSLLQLRYLYVCRQITKVPREIQKLQLLQTLDLSRTYVTELPATIVQLKQLVRLFVPGEVKFPSGIGTMRALQELWALNCRSNSVNIVVELGFMPSYNCWTKRGERGVMMEDNEEEEEDDDMYHKYDDTATGHDEDEEAGEAEDEDGSDEPVDDDLRRAIAYAHREAESANKK >cds.KYUSt_chr6.30451 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192871681:192876993:1 gene:KYUSg_chr6.30451 transcript:KYUSt_chr6.30451 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGCLGRGFGCGCCCCVPFDASRCVRCCSGVPSVFRGCDLFALRRIGELLVLGYAYPAYDCYKTVELNRPEIEQLRFWCQYWILLAMLTVFERIGDNFISWLPMYSEAKLAFIVYLWYPKTQGTSYVYESFFKPYIAKHESEIDRNLLELRTRASDMAVIYFHKVADYSQTRFHEILQYIASQSQSQRSRSQAQQQQQRPPPPRTRMANPAPPPVPTPSAPPMPPQPAQVPPTPPRMQAQDKGPVPVVPPGAVLPPVQPQPASGPGAVASNGSLNTEEMQVDQSGPSTSNMPPRPTMPEDEETLIQEAIRLTRGRLRRRMAGSGGPPSN >cds.KYUSt_chr6.27774 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176146176:176147828:1 gene:KYUSg_chr6.27774 transcript:KYUSt_chr6.27774 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVDTVEDGVLSLDVRGDEPDVVTIAKDRDQLSAKTNDVDGDASLLLPLDAATDIDDCVLQLDDSTDDNDDLLSVDLAASGPLVAIATDGDAGKPGLSYAERRALVATSKHGLEDTAADDDGKSIMLRIVLAASSNDGIFPLDVMCEILLRVPTAAAICRFRCVSTSWRSLLRHPNFIAAHRARHPQAPLIAATVRGRSDGMVMGVNLLDTSGNVVKKIRSDAAVTRSSVYGMCAHRELSCLVGTDRRIRVLDAATGAVATYPRKPRRALTCTLGRVPSTGEYKVLAMVSVTRRWGHGNYQVGMVLTLGSNGGGCWREIGSPPAIVGRRHKDVAVVRGIAYFSIESCLHGHEPEAHMIVEFNLETEEWRPNIFRVPTARDGGDDDHGEISLAEVNDFLVAAHHHRHGASSVKLWFMMEKTKTTRTWYPLYTIAMPDHGASRFRFEKPLQVLNDGRIVVWSSTTDGSHDGMPRIYDPKTETFTEGAVTQNCYAAGSWTGCLLRVGSSDPRSHKTLELLEDEESPIWQALRTIEHGSEALVLQSTTTSVY >cds.KYUSt_chr6.12475 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77974661:77975050:1 gene:KYUSg_chr6.12475 transcript:KYUSt_chr6.12475 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVCAARVLQLTGVTQCLRSLVFRTVGFGSNAALMGAVILGATNLVALMLSALIIDRYGRKVLFMVGGVQMIIAQVAIAWKGGEAPMARPYGVAVVVLTCVHAAGFGWSSAGQAMNVSIGLGLTFVQT >cds.KYUSt_chr4.7217 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42696558:42697169:1 gene:KYUSg_chr4.7217 transcript:KYUSt_chr4.7217 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGNILLAAAVAALMVASAAAQSGCTTVLIGLYPCLNYISGNETAPTKSCCSQLSSVVQSQPQCLCSALGGDSVGGITINKTRALELPKACNVQTPPVSRCNDGSENDPLFLLVAGGASAPGAAVAPTTPDVQTPVPAGSGSKATPSGPLQGSGVSSLNGPAGLVFALAAAAFYTMSTV >cds.KYUSt_chr6.31557 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199447207:199451576:-1 gene:KYUSg_chr6.31557 transcript:KYUSt_chr6.31557 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGLHRHGRFSTLQKCTVAMRMLAYGAPGDSTDDYLRMAESIALDCFYRFCRAVIAVFGDLYLRSPTVEDTAKILAVNEARGFPGMLGSIDCMHWKWKNCPFAWQEMYKGHKKGCTVILEAVAIHDLWTWHSFFGMPGSNNDINVLQCSPVFSKLVEGHAPPVNFVINGRQYNKGYYLADGIYSKWPTFLQTISSHVLPKEHQFAKEQEACRKDVERAFGVLQQRFAVVRFPALTWSKDQIFESESSKLQREETEATAAASPLEYRSPARTHLCRLSLFHQGKIPSSDMAGDLRQRRAAVDANGEEEERAKAGTSSGGGGGGVEEAKAAERGSGGRKEALGWLEWSRGWLATVGEFFFQRIAASHLANPLELPPLDGVSIIVTGATSGIGLEIARQLAQAGAHVVMAVRRPKTAHELIQKWQNEKSECSMPLNVEVMELDLISLDSVVKFAEAWNARMAPLHVLINNAGIFAIGEPQCFSKDGYEQHMHVNHLAPALLEMLLLPSLIRGSPSRIINVNSVMHTLGFVDAEDMNLTSGKHKYRSWLGYSNSKLAQVKFSSIFHKRIPAEAGVHIVCTSPGIVHTNVARDLPKILVAGYGLIPYFIFDAQEGSRSTLFAASDPQVPEYCETLKSEDWPVCACINYDCNPVNASEEAHNLDTSHLVWEKTLEMIGLPSDALEKLIAGEPVQCRYGAEKSE >cds.KYUSt_chr3.2766 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15946441:15947031:-1 gene:KYUSg_chr3.2766 transcript:KYUSt_chr3.2766 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHYGQGSQRRSSLCVAPRKKLRSSKKLPATKQAELGYLLRHHDDERNSRADEDLDGETFCGDYWRRSRQICISHHASTLESRRSASPESSRCLARTSTPSEKKDKGKKEQREPKLLLAMDPAWGSQLKSKSPPHPHQEWQEEEEDSLQIWLRFYLPGRKLSLRNLKLQGARPLSHPAPATMAAGGEGERGRRRI >cds.KYUSt_chr4.4219 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24145215:24150999:-1 gene:KYUSg_chr4.4219 transcript:KYUSt_chr4.4219 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAGMAPAGVAGADDDAAAMPYYVPMKATSEGVWQGDNPLRFSLPLVIVQICLVVAVTRGLAFALRPLRQPRVIAEIIGGVLLGPSALGRSKVFLDNVFPRESLTVLDTLANIGLLFFLFLVGLELDPASLRRTGHRALAIAVVGISFPFSLGVGSSLVVRAAITPDAPRGPLIVFMGVALSITAFPVLARILAELKLLTTELGRMAMSAAAVNDITAWILLALAIALSGSGSPFVSIYVFLSGAAFVGLATLLVRPLLRYMARRSPDGEPVKESFVCGTMAIVLCAGLVTDTIGIHALFGAFVIGVLVPKEGAFAGALTDKIEDLVSSLFLPLYFVSSGLKTNVATITGVKSWGLLVLVITTACVGKIGGTVLTSLLMRVPLREAVALGLMMNTKGLVELIVLNIGRDRNVLNEEAFAIMVLMCLVTTFLTTPAISAVYRPARQEVSYMHRTVERDDADADSELRVLACFHGSRGIPTLINLVEASRGTRRSKVTMYAMHLVELSERSSAISMVQRARRNGMPFSSRRGRKGGAGGEEVQVAFEAFQRLSTVTVKPMTAISDLATIHEDIISSAVHKRAALIVLPFHKLLCHNGTTVASVGRAYHHVNVRVLRKAPCSVAVLVDRALGGMSQVSAPDVSYTVLMLFFGGPDDREGLAYAVRMAEHPGIELTVACFTAAAAAAAAAATAKQPGAADELAAMDEEAIQKHIVPGASVKYEEVVARERQEVASAIKALGKGKNLVVTGRSARAVPLVDKSDCPELGPVGSYLATAEFSATASVLVVQRYDRRSDPSSEREATDEDVEDAVVRAPMPSPAYTPTTPSPAEQISPDAGEAGDQSAHPRQLDDLPRECSVQIDVTLL >cds.KYUSt_chr1.34330 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209098292:209098678:1 gene:KYUSg_chr1.34330 transcript:KYUSt_chr1.34330 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKGPKLDLRLSFSRSRGGGGGGGGPSAAPPGGSNSPRRMSSSSSSSASPASSCVSSEGSPEPEAGGGGASMILAGCPRCMMYVMLSREDPKCPKCHSTVLLDFNDAGADQRHAGFGSGKGKRG >cds.KYUSt_chr2.20635 pep primary_assembly:MPB_Lper_Kyuss_1697:2:129769302:129775280:1 gene:KYUSg_chr2.20635 transcript:KYUSt_chr2.20635 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKHLKNKNGNDKHEKLKLEGNTFMKRNKGKRNKSAKSSMLFNLNVMVHHILKVKIIVAIMMIDLMVMEDHLLINKIETTIEMLKVHNLNFNNVKTMVKKDHLLDAMTVDKIFRIHNFSEAKKVAMASLEFEGYANVWWEEQQDCPPRDTASDDAHPYLGVHGSNHEPPSAPHIPYIHSLRHAFKQLSQFGKDSKTVATELGAVNDKHDIHHEHIAHQLVHIRENSVDDQSARRSSQGAGKHSAWADDPLRHSHNGRIKTRLKL >cds.KYUSt_chr6.6249 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37751538:37753940:-1 gene:KYUSg_chr6.6249 transcript:KYUSt_chr6.6249 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKHPDFAIAYSKIQDPVNIGFFVSAGSGPGRITWLADTEDKTGDDTEDSSVVAWLSTLPCDPSIPSKDASFNAEDDVPWFVVDFFVLVLVDVWLLNVPACAVDPLGGGADILGSDAAASDPMSTVVDPLADPAASGNLCATAPDPLGAAFDPFSVVDPVDAPVASCTFHVAAAEALRAIVDPLAATAASGIVATDAASAPLIAVVDPLADPAAFATLAASACVVAFDPWTVSGALFWAHVVADPWTVAAAVFTACAAAFPGALKGDLNGADVDFNVVAVGTFNVL >cds.KYUSt_chr7.15348 pep primary_assembly:MPB_Lper_Kyuss_1697:7:95261941:95262726:1 gene:KYUSg_chr7.15348 transcript:KYUSt_chr7.15348 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVRSVWAENFDTESQVLRQVAPHAQHVALNVQYPGCVVAHSSGRHHRDLTTDEKYEVIRANVALLKALQVGIAVLTVDGQRFAWEFNLRGFDVESDDEARDPKSIAYLTDCGVDFNRLPRDGIDGCKLRCLLQDSGLLKARPSWATFTGAYHVAYFVTIMSGKKLPESVDAFMEMVRELVGPLYDVKRLAREHDGSCVGALSNVVKKLAIVPPGEEISKSKPAGTGSMLALLAFQTLKQKLGTNTEKYSHELCGLQSI >cds.KYUSt_chr2.27623 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169727851:169732576:-1 gene:KYUSg_chr2.27623 transcript:KYUSt_chr2.27623 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLLGSLTTTLLTSTVFSLLLLLRLPFATRPAPGGGGARLYEGRVRHSRRRPAGHAFEYAVRYALVDLDLLPLPGYLSAAEARRIASTSGPVRLLTVPKSVGYEQNPLSVYYCYDEAAQEQDEDLKMCIAEVTNTPWGEKVMFTFQPGSDLVAKPLHVSPFMDMLGNWSIRADAPGNNLYVAISVQHPTLGNYFTAALQAKLVGQTSDSVKLATFFWLMPHKVAAGIYWEENHDGIISHMSSDWETNRTTPTLEVKECFKQLVIPAYSLALDVKQAHTIFAGAHLQAFRLWLKNVRFLDHPRYLSLSYRDEALKRDLEIRSSCSFLGQKPKVDIGSSGMETDDEMTKNNHPDFKDAGGSVRGRWCVWRDAQWPWC >cds.KYUSt_chr6.30818 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195334604:195337615:-1 gene:KYUSg_chr6.30818 transcript:KYUSt_chr6.30818 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVEVADASGLSPKDGTASCNAFVEVEFDGQKQRTATRPGDCSPQWNQTLLFDVRQDPADIPTVEVSVLHDRRLTDHNAVRASVFLGRVRLAGDSVARSPDEAVPQRYPLEKRGLFSRVSGDIALRLYILDERGDRAAHDAAAPMNNQDRQNQHEQRAEPAVASMDPERIARNVFAAVPSESSGQNNHEQQSRVFRAVPSSSAEPRRATLHAVGAPSKGGSAPASAPGSDYVLVETKPPLPAKMARSAAAKIASTYDMVEPMKYLYVSVVKARDLPTMDISGALDPYVEVKLGNFKGVTRHMEKNANPVWRQTFAFSGAHLQASQIEIIVKDKDVVRDDFVGRVIFDMSDVPSRLPPDSPLAPQWYSLSDAHGNKLRHGSHHGFGEIMLAVWLGTQADEAFPEAWHSDAHSLSLEGLTNTRAKVYYSPKLIYLKVNVIAAQDLVPAEKGRPLAATITKIQMGNQIRRTKPQSGTANPAWNEEFLFVACEPFEDPLVVTVEEKEPIGRLIIPVNSPNVPRNDLAKSIASKWFSLSRGMTVEEAAADVTTSLKNRESSKTFASKIHLKMSLETAYHVLDESTHYCSDLQPAAKKLRKSAIGVLEVGILSARSLGGNKNAYCVAKYGAKWVRTRTLLGTAAPAWNEQYTWEVFDLSTVFTVVVFDNANLHHHGDGGAKDSRIGKVRVRLATLESDRVYTHYYPLMTLNPSGLKKTGELHLAVRFTCTAWANMLGQYGRPLLPKMHYSNPIPVLQLDYLRFQAMNMVAARLGRAEPPLRREVVEYMLDVDSHMYSLRRSKANFNRVTSLFSGALAVGKWFDGICKWKNPLTTILVHVLFLILVCYPELILPTVFLYMFMIGVWNYRRRPRKPPHMDTVLSYAELASPDEFDEEFDTFPTTKPGDVVRMRYDRLRSVAGRVQTVVGDLAMQGERAQSLLSWRDPRATAIFVTLSLVVAIVLYVTPFQVVAVMAGLYLLRHPRFRSKQPSVPFNFYKRLPARGDMLL >cds.KYUSt_chr7.41015 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254102056:254109106:1 gene:KYUSg_chr7.41015 transcript:KYUSt_chr7.41015 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRANPAATVAVPIPSFPTSPAASRTARSFPAARCSVGNLSPVRFEPLRADPDPLSQNGVDVRAGAATADGDDQDQQKQQKHDEEGTEDEGKGSKQEGVSGISVPRQRYIAVPKAALLDALLPLFPAQPPAAADDFTRFARCLDAVLHAEHKETLEEMRAYYMLRGQEEDENDQTSTVSDRDQAAVNGKGSGLFGKSGDDENGASSFLLRSLDWRTLLGLSPDPVTPTRVAFATHFQRAFMNLLRNAQFEELSAQDLLLTYSLNSDYLLTLPVYVDWKKAAESNAIIFRRGYATESQRGLLLVEKLDYLQSKLLQNIFFSLSKPLGKLGKWINEALKRSTGSQGFQIWIDKLKLWLKQQTYAENSHMLIENYSQDTLVSDQLPDADLPIWIAAQRAVSRYEGFLSPVGPRGRLLRRLLTWTGLIPSLPEATAKSDVDTEQLEGYVRPNFLPRITLANIWEPASRESCDNNFWEILKASFGILFAKSTLQEPAFQELIILYSDDDAQNAERDKSEMLPPQLKIYEKIPIPDLPVVFPHKKLSFRILDTVRLDIATVVGLLAYVVNYKFESLASSPSAFLLDVAAFTALAILVFRVTLGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQYKEALLAYAMLLCRNKYQVSSRTSIRDRCEQFMYEKFNAKIEMPIDKAMDTLLRLGLVIELPSNGSSSVIALPCPDAYDILRSRWDSLLEHRTDQAYNNGIRALSGKVRFEPRALMEARQSEEARNDVFVSSSSDNDVDVWKRLWKLPVVPSHAKLFWEVAKGLLLVKLPRLHPLTWAKDILCEKAFSQKERAIIISVMYSIWSSRNNLTHGEAGFIPAKSIELVKETLQTLELPRENPKPIKLVAKCQRPPDGFVKINSDGAFSISDNLAATGVVAREGLLYRQARP >cds.KYUSt_chr1.10011 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61313123:61319423:-1 gene:KYUSg_chr1.10011 transcript:KYUSt_chr1.10011 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSEPDPNAGDGQRLRFAQGSQSSVASELEGPPVNGSTDIGLIGGWHLWRSCIAGSAMAQPASMQLHLSPAVSAPFVHVGRPRLRALAKAGPCRALGKEDVGHGVVEPAGTRMARRRTTQANSVSGAAKGLQTDPVDEFQTLKRHEEPRFIPEASFN >cds.KYUSt_chr7.23213 pep primary_assembly:MPB_Lper_Kyuss_1697:7:144262721:144267951:1 gene:KYUSg_chr7.23213 transcript:KYUSt_chr7.23213 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRRLLPTLLRTLAGTGQAGAARGLATEKAVGAAAVVGSHTAKWMQDTSKKSPMELINEVPPIKVEGRIVCCEGDKNPALGHPIEYICLDLDEPAVCKYCGLRYDIIQRENAMDRAGVTVEVKNWPPFFPIIHHDIANEIPIHAHKLQYSAFASWLGIVVCLSWNVFAVSVESIHGEDIVLFLLAVIYAIFGCPLSYILWYRPLYQAMRTDSMVTFGQFFVFYSMHVGFCVIAAIAPPIIFMGKTLTGILVAIEVFNGDMIVGVLYLIGFVLFTVESMMSIWVLEV >cds.KYUSt_chr3.44694 pep primary_assembly:MPB_Lper_Kyuss_1697:3:282067727:282070469:1 gene:KYUSg_chr3.44694 transcript:KYUSt_chr3.44694 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGDAPPSAAVVDVSVGGPPNAGVVSAMISATIPSKRKRIPKQFFEAPTAAAASPAAASPAEAPPAAKKGRMKTKAAGPRGAAPAKVRTKAISRIGLTPPPPSKATTSPPSVPSDAPPAPPPPTMDVDKVFDLESTTSYMDMLNGSAVNLDTGIDAFDGECNVEEIDDEEEDEGDEEEVVEVDPVAAGSSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQARYKDMAGSKNKEFQFHHCFSILQHLPKWKLRDNEPKCKKEREDAKLKAELDMKMIALKEAKAMKELLAEERDIMMMRTDGMDEDQLAWWNETKADIIARKKAAREARAASAQVIIGEKGEENANENEDYDDDDDESEKMQKLELSYRDRKGA >cds.KYUSt_chr5.30041 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190516125:190519071:1 gene:KYUSg_chr5.30041 transcript:KYUSt_chr5.30041 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARQRARPSEPPPAADLLASLPPPLLDAILARLDIRDAVRTSALSRDWRRRWEALPSISLSFLDKRGGTPTSVAVDRVLARYPGHISSFSFHCDKYSAARVADWLVALCGRGVRSINLQCSYYYYSITLHSSVFLCTQLVYLELNGCLMPPLPLGFPGFPVLEELKLYAAQFPENGESLLEEILGGSPSLNTLNLSYLYIRDDGPQNEWVIGGPNIRKLTIISDKSYGWRITDLPCLDEATIDLGKYIERTFLDGIEANAENHNTQYTDAFCANLQVVKIKGIGWLPSEMCFIKLVLSKAIVLRTMYLRLGYESSKSNEDVLCELMTYRRGSPHAQVFFNGKIE >cds.KYUSt_chr3.31174 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195679107:195679328:1 gene:KYUSg_chr3.31174 transcript:KYUSt_chr3.31174 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPALHRKPLRQHGRCSGSTATGFGSTAVGFGSTTRPLQQKSLRQQRRSLPQHRRPLRQKPLRHRHHRCSLR >cds.KYUSt_chr3.32812 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206231332:206232422:1 gene:KYUSg_chr3.32812 transcript:KYUSt_chr3.32812 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSVFSPLLRPSLRLPTSCGTRSTTVHAVSADNAAAATPPAPLAVVSHRRELVVGTALGALFARTPLPAGAREVEAGKYLPPAPSSPGFVFFKATTKDTPALRAGNVEPYEFILPPTWKQLRVANILSGNYCQPKCAEPWVEVKFEDDKQGKVQVVASPLIRLTNRPNATIEDIGSPEKLISSLGPFVTGNTLEPEEIIETNVEKIGDLTYYSYVLETPLALTGSHNLAKATAKGNTVVLFVASASDKQWQSSQKVLKAMVDSFQV >cds.KYUSt_chr4.37660 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232157453:232162500:1 gene:KYUSg_chr4.37660 transcript:KYUSt_chr4.37660 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSGVTVTALDLSLRCLAGDMRRCTRRCCSFGDDLLMPRSPYFPVEAAGERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANVIVAQLLYLDAMDPNKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVATVCIGLAASMGAFLLSGGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLSYHTGQPLDKINVDTDRDFFMSAKEAKEYGLIDGVITNPLKALQPLPASS >cds.KYUSt_chr2.26353 pep primary_assembly:MPB_Lper_Kyuss_1697:2:161367718:161370735:1 gene:KYUSg_chr2.26353 transcript:KYUSt_chr2.26353 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDRSWIKIDLALKLGACCGLMGWTCSKMRRKENIYSLPDLKGTPISNACLYSAAHVAARHMGAVLYLDTSNSFSPSRIARILDELPISFIKEPKDVRLKRVMSSIICESVFDIFALFEVLNQLEVSLNNKVNNGGKKICLLIIDSISSLLAPIIGGKYSQGRSMMISVAMILKKLADKHNLSVLVTNHMVAGNGAPKPALGESWKAVPHIRLMISRDRGSNICTATILKHTLL >cds.KYUSt_chr7.39871 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247689100:247690392:-1 gene:KYUSg_chr7.39871 transcript:KYUSt_chr7.39871 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLISSPLHLTRAPASWPRAAGHHHLLPATAAPRSFAPHRGAVGLRLPHLAPLLHRPPPSVLAAASASRSVNPAPLEQDDETAGATVSPSSGPRRFFQKVASAAVVALLAALVVAAIQPSSMVPTALASALHSHSHSPAVTAGRSIFKSEFLGSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSPVESAAVGALWGCGHDAGQVMFGLLFLGLKDRLHIEILRTWGTRVVGLTLMVIGAIGIREATQAAPCVAAALDGAAGGPHQHGGNSLEKALMSGGGKKKEISFATFATGIVHGLQPDALMIILPALAMPSRAAGAAFLGMFLVGTVMSMASYTVLIGTCTEALRERVPRITEKLTWAASLVAISMGIAIIVSESFGVSLY >cds.KYUSt_chr2.42257 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263230897:263235608:-1 gene:KYUSg_chr2.42257 transcript:KYUSt_chr2.42257 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTANGGAAAAAVAAVPAPVGVGGPQPVATTSLYVGDLEASVTDAQLYELFSQAGQVLSVRVCRDVNSRRSLGYAYVNFNNPMDGINRSTTPPLSLSLSLSDRAIRDYDRGFDVVELRYDHQIGLAARAMEALNFAHLNNQPIRVMYSNRDPSSRRSGSANIFIKNLDKTIDNKTLHDTFSAFGPILSCKVAMDDIGQSKGFGFVQYEKEESAQSAMKGLNGMLINDKPVYVGPFLRKQERDNSFDKAKFNNVFVKNLSESTTKEDLLKVFSEYGNITSAVVMVGMDGKSRCFGFINFESPDAAARAVEELNGKKINDKEWYVGRAQKKSEREMDLKRSFQQSMKDAADKYQGQNLYLKNLNDGISDDQLRELFSSFGKITSCKVMRDQNGVSKGSGFVSFSTREEASQALTEMNGKMISGKPLYVAFAQRKEDRKAMLQAQFSQMRPVPMTPTMTPRLPMYPPMAPLGQQVFYGQAPPAMMPPQPGYGYQQQLVPGMRPGGAHMPNYFVPVVQQGQQGPRPGMRRSGAGSAQGQQTPQPFQQQMVPRGRVYRYPPGPVRNMPEGQPMPGVGVGGMIQPYDMGSFPVRDAGLSPAAPMGTLTSNLANATPDQQRTLLGESLYPLVEALERNQAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVAQQQNPSAPTSQLAGLSLADNIIA >cds.KYUSt_contig_2528.42 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000367.1:346054:360292:1 gene:KYUSg_contig_2528.42 transcript:KYUSt_contig_2528.42 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRCRSHRCSRILASQLRWGGRAGAGEHLGRQRRRGGEAVRGGRAPKRQHATVGFGGNACRQLRWRHGSERWTEELGHAAIVGGGRSHTPMAASEQQAKEEYADFEARVKRTIYIDHLSPEVTSQVIKAALAQCANVVNTEFIVNYTIPYDIPAAALVELDDESQAKAAVDLMNDFPFIIGGMPRPVKAVYAKPEMFRDRPSRPGLKMKISWVKQGDPEYDGMSKLKVLAKRQEAENMALIKTELEEEKELATQQQETLDANYKKYDMIENIMQNGNIKNLAHHYKEGEAAHSELAGESGEEIARGSKEGSHGKQGAMRRADSARVFTIINQCKKDIWPAVTPGESFGGGGFALRPGQSIVFTAPVGWSGRVWGRTGCDFDPAGNGTCETGACGTSLQCASSSGATPASLAEFTLASVDYYDVSLVDGFNLPIVVTPKASANGSSCAVAGCDGDLRQDCPSELAVKGDGGTVVACRSACDVFGTDQYCCRGQYANPVTCQPTFYSKKFKAACPGAYSYAYDDPSSIFTCAQSPDYTIIFCSNRKQSVCSYHNERLVCSGSSGSTSALTLLLLLLLSSFIALQFALPYAVIDYLWYRRYVSGADSNSYGFDNIDAWGRPFPDLQRFPSSKADKGFTQIASKVHGMGLKFGIHLMKGISTQAVNANTPILDIETGKPYVESGRQWAAGDIGLNQTKCKWMDKGFMSVNTDIGAGRAFLRSLYRQYADWGVDFVKVDCIFGDDYSPKEIISISELLQGLDRPIVLSISPGTQVSPALAQNISEHVNMYRITGDDWDSWNDVSSHFSVSSAFAAANKIGATGLRGKSWPDLDMLPFGWLTDPGVNQGPHRPCKLTIDEQKAQMTLWSIAKSPLMYGGDLRHLDNNTLSIITNPTLLKINHYSKNNMEFHYVYGYGESTSSTGYSGHLSSRYPIHLTKHDGIAVGLTSCSSDQAKGWFVFSQDGKSDHICRNYRRENGKDISFCLGKTKPQIASDDFIMDNEEHQAKFHLAVADINDACLDASASRRWTASEIKLPMFSRCKWHAKQLWELNDKGNLVSSYSRLCATVEFSKEGVGITGARAWIATGSKGEIYLAFFNLDDSTSRKITARISDLQKVLGTSFFVAALQAKLQVKQSIFER >cds.KYUSt_chr3.6378 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36589230:36596038:1 gene:KYUSg_chr3.6378 transcript:KYUSt_chr3.6378 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRFRPPDAAGPTVGANCRCLFDGAPGEGSSWRGEEVGAIGRRVLGTVGSQDPAYMESPSRITGCLTTVQYLAVYVKDPPSIYVVLDPGFSWAFTDPASSESRLGSGSLILGWTSSFMINSNWAARWATCHITVCGPPGLAGSRHCRCTGFYHDPVAGWYYSSTDGQYYIYENGDYVLWTSDASKELNVHSPSDEASQSFQECSSGPEPDIPQPPSEWLEETLINMYLSGYSNTDDKNEKLLGESQTIEENRSEAVENKLSNLASDNVSDSLNDASLEQIEDKMQTENLTAVDESLGEEEEKWLSQYGQTERVNDGLPLSPSVDLWDWDMITESVSKGQPMSRLVGRLTRGSSTLHPSLPARGRLLRTAPVREVHLDLVRVSTGKLYRLRNPSRKYWASLSAYDSSNPTKDWGFPDIYANPNSNSDKQSTACCQSEVAHESSTEVGVSAASGKQKINTYRDRAAERRILHRGLGIGPGQKQSNGINSDEYEEANEDMDSLGAAPVDMNFRSSGLKSAKRIMENMGWKEGEALGKSSQGIVEPIQPNVNKHGAGLGWNHMR >cds.KYUSt_scaffold_1700.619 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:3885933:3886895:1 gene:KYUSg_scaffold_1700.619 transcript:KYUSt_scaffold_1700.619 gene_biotype:protein_coding transcript_biotype:protein_coding MGFANRHNVDGPHSRSSSVASSGTTPAASTSSSCTRTSSLSSVDGGASDDGSGRPGQSPARAPGHTLEAPSRKHRSGRSSSRSARLFQKLRSALPVLAPRCGKTPSGSAREIAVAAASTSSGAADTHLLSHVASFSSGGGRPCRRVTGTLFGRRKGRVALALQESPRCLPSLVVELALQTHALLRELGNPAGARIVLETERRASSGPGASEGSAGRPPRRPAPLLDEAAWTMFCNGKKTGYAVRREATGDDLTVMETLRAVSMGAGVLPPAEGSSPDDEVAYMRGCFEHFVGSRDSESLYMVAPQGGGTGPELAVFFVRL >cds.KYUSt_chr5.19811 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128566564:128567949:1 gene:KYUSg_chr5.19811 transcript:KYUSt_chr5.19811 gene_biotype:protein_coding transcript_biotype:protein_coding MELCSVTSMMSLGSLAIHWTYLCSLVFRVLPKLLGFTPSSLKKFCKDVHQPLTETMVGTSPELPQDILMGIFATLEMPDLIRAGAVCLSWHSAFTSLRSLGQYELSQTPCLLYTSASDSSAYLYSFTEKRSYKLTLPEPPIHTRCLIGSSHGLLVTVDERSEMHLVNPITSEQIALPSVITIEQVKPIFDEYGVLHKYEFSWHTGMCGCNSSWIFALDKLRYKLQYKAFVFPDASTGSYIVVLIHNPLRRLSFARVGDDKWTWLPPHDLYCDCIYKDGLLYAVSKTGDLRTFDLSGPVITMRMIISTPRKYEHEYVYIVQAPWGDLLLIWRVFEDYDIEPDPGASVFWNTTGFRIYQVEAAESGLKEINCLRDHVLFLGHNQSLCLSAEEYPSLRANHAYFTDDNALWTLGFKNKQRDMGILNLDDNSKEEHVSPQLCANFPAPIWITPGLRNMNVASGAA >cds.KYUSt_chr4.41599 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257373613:257373819:-1 gene:KYUSg_chr4.41599 transcript:KYUSt_chr4.41599 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQRQAVACCPSRVRPVKLGDALEHAGMTFLSTLAVALTEAPSLSSSAMEIRSRSASSPLTRPSPTS >cds.KYUSt_chr2.8716 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54781327:54782220:1 gene:KYUSg_chr2.8716 transcript:KYUSt_chr2.8716 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPPSCGGSLRWREYDGSWEAGPWWPVVLGHGLLPPVVHAARSLRGAGTGSPWGRASSTSSVPAHPVGCFDLLLGDAALTLENLGFFNVSDEVMIGTVVWICGLTFRSQSLSESDWKRIAWRFLGIVVL >cds.KYUSt_chr7.18030 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111902512:111903624:1 gene:KYUSg_chr7.18030 transcript:KYUSt_chr7.18030 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPQWSDLPTDVLGQVLARVPLPGDRARFRAVCRSWRSTPGWRLPWIVHRDGTFVTFPDCGLHRLPLPDKASFLGATNNGWLALERAVEGKEHARSYSLYNPFTGATLPLLGLESVIVKVSKWFEVRKVLMRSTVDDVVVVTTNNWYYPVILCRAGKPGAWIPKPIEMPYACLIDVEFLGDRLYGITSDEELVAFDLGEDDEGRPTVSGVTYVIRHPTSGGEEEDMNGKYVPELYQGDGEVPDNEVAVDRHLGRKGHITDSWHLLASNGDLLMLRRQKSTPRCSPEYNIKIEVLKADMNIGSWVPARSEESQKLFISKRFCKCFPAPTKKLTTCYFVGQWDIDDHSSEPLHEYDTRSTWVFPPEVVL >cds.KYUSt_chr5.42080 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265242729:265244978:-1 gene:KYUSg_chr5.42080 transcript:KYUSt_chr5.42080 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGEPAQTLLHLLRLRRSSSTSTSLLTHRPPHVALAAATARVRSGTLSPEDAHNLFDELLLQATPVPECSLNGFLAALVRAPASASAACSDGLSLAVALFSRMSRGHAPPVASPTVHTYGILLDCCCRARHPDLALAFFGRFLRAGLKADNLIVSPLLKVLCQAKRTDEAVDVLLHRMPYLGCVPDAFSYTTVIKGLCDGSRSQDALELLRMMAKQEAGCSPDVVSYNTVIHGFLKEGKFSTASNLFHEMVQQGVVPDVVTYNSMIDVLCKRGRSKEARQILDCGILKGLKPDIVTYSTMLHGYATEGCLVDMNNLYNLMVGEGVVPNQYVFNILINAHAKCGLVDETFLIFEDMQKQGVKPDVMTYLAMIYVYCRKGRMNDAIQQFNQMIDMGVPPNIQTYSCLIQGYCTHGDLVRAKELVHEMKEKGIRCPGVMFFTSIVNNLCKEGRVTDAQDIFDFMIHIGEKPNVITFSSLIDGYCLVGKMQKARRVHDDMVSVGIQPDAITYNTLIDGYFKAGMVDAALTLFKEMSAKPDTLTYNIIMDGLFKAGRTVAAKEKFHEIVKSRVRLSIDTYNIVLSGLCKNGCADEAIMLFKKLRAMNLKFDIRTLSIIIDAMFNVGKTEQAKNLFAAIPAKGLVPTVVTYTTMMSNLIEKGLVEEADNIFSSMETSGCAPDSRLLNIVIRKLLKKGEIVRAISYMSRLDGKGMSLEASTTSQLISLFSSQGIYHKHKDLLPARYQFFEGDIHS >cds.KYUSt_chr7.35291 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220510200:220510562:1 gene:KYUSg_chr7.35291 transcript:KYUSt_chr7.35291 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARCECCGFTEECTPEYIAAVRAEYLGRWVCGLCAEAVGDEVRRAGSGTITTAEALDRHGRFAREAPRAPGKAAEELVAAVSRLLRRCLDSPPASPAAPRGQKVAAGTRCPDADDA >cds.KYUSt_chr1.11298 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69232031:69232714:-1 gene:KYUSg_chr1.11298 transcript:KYUSt_chr1.11298 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNHSRVIRWLDQQPRGSVVFLSFGSGGTLTWRKTAELALALEMTHRRFLWIINRPDEDTASGAFFGTRRREEDDDELGFLPRGFIERTSEVGLVLPSWEPQTAILAHTSVSCFVTHCGWNSSLESILNGVPMVAWPLYAEQKMNTAMLEVQAGVAIRVHADADGFFNKEDIAIAIRHVMGEEEGAGMFREFRDKAEQALTEGGSSAIALAQVADKWRSSCTEKFF >cds.KYUSt_chr1.35488 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216422053:216423485:-1 gene:KYUSg_chr1.35488 transcript:KYUSt_chr1.35488 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHGDQTQLLPDDVLAAVLARLAPRDLATSRCVCKPWRDTIDARRILRAELLPHSLAGFFINFNDLRYSEFFSRPPPSPSEGPGIQEKELIYLTMQAHCNGLLLVRDTVANPATGWRAPLPPSPPKTPGMECFIDDAYLAYDPTLSPHYQVLLLPRVPYIELDIEPDEYPLNMVDPAMFELEWPPSSCVLPVFSSFTKRWEKKAFSRVGEAAGTVLELGIADLWDNSSTAVYWHGTLYVQCQKGFVIRLSLSSNTYQVIKPPEDLEPESCETIHHLGKSRKGVYYASIKDSYQLQVWVLNESCAYTKWELEHDRHFNLLLNDGQQVYGPWILQDVNYNEEEYINVNGDDGTSVVEGNFRWDSDDDEEEGDAKDLVDKFNGGISILGFHPFKEIVFLSADLSRGLAYHLNTSKIQHLGNLCPKHYHGITRHGFIRASFPYTPCWME >cds.KYUSt_chr7.15970 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99114623:99115348:-1 gene:KYUSg_chr7.15970 transcript:KYUSt_chr7.15970 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPFTFLTLLLATRLAYDYYGVVAATFTGSFSLQIFLFYCFARWYNQTMRPRADLLPSTSRQHDDAGGGPPVLTPLLEANSGAGAGAAAAAGTLLANRCLAFVFMVFVPLIIVVFERSQADVVAYGLCLVNIVVMVIWLSPDSAGSMSAAKSFLRLSDDEDDDGGSGGGSAEEDKCCVCLAGMREEQSLRDLPRCGHRFHDKCIGKWLRAGHPTCPVCRALALPPPARYADPLDDSISPV >cds.KYUSt_chr2.1790 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10638586:10650712:1 gene:KYUSg_chr2.1790 transcript:KYUSt_chr2.1790 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGTLLLLLALCSLAPAALSVPPRPPVRCGGSGGGCVLTNAYAAWSSDRADCPVAAVAYPASEQELVAAVARASAGGLRVKAVSGFAHTIPKLACPGGGIGANNTSTLLISTARYAGVAVDAAARTVTADAGAPLRAVIDAAEAAGLSLPASPYWEGVSVAGVISTGSHGSSWWGRGGAVHDHVVGLTIVVPAGLNQGWARVLPLAKGDALFPAALVSLGLLGVVSKVTLALEPRFKRSITYDYRDDSTFQDDFADHAARHEFADIAWYPSQHQAVYRIDDRAPLNATGDGANDFIGFQSTLIAVSAGIRALETSLEKSRNAEGKCTMAKSEIAAKRLIGSGLRNSQHAQLFTGYPVVGFQGKMQTSGSCAHSPAYNLLAACAWDPRYKGLFFYESTAVFSPARFRSFILDVKRLRDLVGADHMCGVDVYNGLLVRFVKRSEAFLGQPEDSVVVDFNYYRASDPAAARLDQDVWEEVEQMAFVKHGARPHWAKNRMVAFRGVQGKYPGWARFVAAKRQLDPRGLFDSPWSDEVVGNGLSAGDGDGCALDGQCVCSEDRHCSPVQGYYCREGRVYTEARAYGLYSTLEEWSLGKSQPAISGKPKQVSMTQAIFFRAMLYMALLATVLSGASPPTAAAASRRNCPDKCGNVTVPYPFGIGTGCSLPNFRLDCKEGVLLRGNIRVDNITLEPAQMVAYLILTYKCVAPAGANPRTQDMNFNLTGGPFLISQEENVFTAIGCSLVARLRGRTTDSTDSTDHYLTGCITTCTSVNLNDTTKDGAPCSGQGCCQTSIAAGLTHVSSRWNQDEKANNTVPNNICQYAFVAQKGWYNFNKTDLVGSNFAKKCGEGMVVPLVLDWAIRNGNCPSPPDDGNNYGACISAHSRCVNASNGPGYFCRCNDGYDGTTGAISISTVLIIFILMHHEKRRLRDRFKRNGGQLLESMKIEIFRKEELDKITKNYRTIIGRGAFGEVYKGTTSEHVQVAVKRSIAMNKDRQNDFANEIQIQAQISHKYVVQLLGCCLETEVPMLVYEFVPRGSLYDVLHGKNNNGRKEPLSLQARLDIAISSADALAYMHSQASQKILHGDVKSGNILLDDEFLPKVSDFGTSRLMSIEKDHTDWVVGDSSYIDPVYMKTGLLTEKSDVYSFGIVLLELITRKKARYDGNRSLPLNYVKASADGSTVQMYDTEILSSGEDMKCLGEVGLIAMHCLEADVNDRPTMTEVAEKIKRCKSRWSQSHWHGKTNEI >cds.KYUSt_chr6.29466 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186761495:186765537:-1 gene:KYUSg_chr6.29466 transcript:KYUSt_chr6.29466 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSACVGLRSATHAVLAAANKANNELSSHQRKVFRVADHAGVALAGLTADGRVLSRFLRNECINHAFVYDAPLPISRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFEKFNDYTPDQLIRDALSAIKETLQGEKLTSSNCTIAIVGTKEDGTVEPFSMIDSQRIQEIIDSMEAADEAPPADVPMQEEGGDAPPADAPPADAPAADAAPMDI >cds.KYUSt_chr6.32252 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203509355:203509898:-1 gene:KYUSg_chr6.32252 transcript:KYUSt_chr6.32252 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSVALWKDKVYADRRRRGHDDVADDVMMMMGGGVSDYGLPVGSGAFRSEEAVVPPRPSASGVLERAGGTPARPIWQRRVLMGVKCQLPRFSGMILYDERGRPVCGGVRDRARDQEKHAAAINILRDML >cds.KYUSt_chr2.53527 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333892654:333897299:1 gene:KYUSg_chr2.53527 transcript:KYUSt_chr2.53527 gene_biotype:protein_coding transcript_biotype:protein_coding MSALYSEKRRHLFVLLSLTLAAAASDVSFYPSAETAAAAHCDGTLYPELCLSTLATFPDLHKKSLPEVITHACNRTETDVTAMSSNCSSYFRGKSLSARDRRALSDCVELLDTTMDDLRATISDLQDPAKRPIMDHCMTVLSAAITNQQTCLEGFSYQKGGDVLSHVEPGISHIARMVSNSLAMTRKLPGAGAPSPSTSSLHEERQPFTGYGQMVKGFPRWVKPGERRLLQAPASGINANAVVAKDGSGTYSTVSAAVAAAPTNSKSRYVIYIKAGTYLENVEVGKNQKNLMFIGDGIGKTVIKASLNVVDGSTTFRSATLAVVGDNFLARDLTIENSAGPSKHQAQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRECDIYGTIDFVFGNSAVVLQSCNLYARRPLASQSNIYTAQGRTDPNQNTGISIQKCKVAAASDLAAVQSSFRTYLGRPWKQYSRTVYLQSELDSVVDPAGWLEWDGTFALDTLYYGEYQNTGAGASTSNRVKWKGYRVISSSSEASTFTVGSFIDGDVWLTGTSIPFTTGL >cds.KYUSt_chr1.31591 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191552008:191552667:1 gene:KYUSg_chr1.31591 transcript:KYUSt_chr1.31591 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYPNVAVPSLALPAAAAAVAGDEEPVTLTVWRKSLLFNCRGFTVFDASGNLVYRVDSYASDSRAEVVLMDAAGRPVLTVRRKKRAIGLVGGDQWLVFPGEDTRAPPLYAVKRRGAVIVGGSGGNKSMAHVAACGGAVGGGRYEVEGSYARRCCVVYDERRRAVAEVRPKEAMVGTDVFRMVVRPAAAGMDVSLAMAVVLALDEMFGKPSLLRNWSS >cds.KYUSt_chr2.38752 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240204571:240213867:-1 gene:KYUSg_chr2.38752 transcript:KYUSt_chr2.38752 gene_biotype:protein_coding transcript_biotype:protein_coding MTINLKLSHDNDFAKLHRSYASQVERLRRSLKKKFKTLVKGKGSKQKEFHLTPDGICVFTVAFTDGKRTVTAIIEGRHMWVRGFQTTDGTIYEFLKNKEEESDESDKKKKKKQQDDERHEPRKYIKGSILLSHGGGYPRLLPGGPDELENFEVGYPALKDMLNELSEFDPTRSSVKSLRAMAALIIHTAESIKNNFIHLIVLKSLDPLLGARMQRLAELGKAYINNWGKISRLILRYLHISTKVWDVNEQKKVKNEEFYKVPCWTKDPKDVLNHIIFIKGDEFPDTVLHVEDGKTRNLPDVLQASLGVVVVGGVNLALEYTTDIPCVAQVLLRATSLSSNVQLVEGEFPIYTSDHLNLCTLHLNLRKKEGHHKEVVKLTLTVSALLEATAHVSQVDEAIYCQRTETCQTNSELLKSQDPFEAFALNLTMYKYHSIDQSMVFQQVQPGEFSRFQTLFVVEFLRQDTSRALAFFLPHALHVVDSHGEAYCMVGICLTGVSFGGSAMVHKWTYGEAIGFLELVRNDHWVGKRPAKIRSPRELLQWMLEWLGRVHDSELDTTMMALYHMWLSRNEARDKQIENPDALAKRIVHLTEEWRIIRAPKPPKAALSREHCLLPRVGWMKVNADGAVAKSSEKGGGARTGRDGGLGGGKEWELTTEELRSSGVDVDEEEAAKGRC >cds.KYUSt_chr1.8042 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49351355:49351972:-1 gene:KYUSg_chr1.8042 transcript:KYUSt_chr1.8042 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQQQQGSSKLYSKMLSKEAAATLAVPVPSFRVYYGVASAGSVPFLWESQPGTPKNSPSTAALPPLTPPPSYYASGGKGGKMGASASGSGRRWPGGVMALLRRPRRRTSPCSSSLSWSSSSSTASSMSPVFTVQSSPAARGSHRRAFSAGDIFEDAVAPARCFGMERECERGMVKGCGVAVAVRNALATIVGHKSGGRATSAAA >cds.KYUSt_chr1.40027 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245130500:245130718:-1 gene:KYUSg_chr1.40027 transcript:KYUSt_chr1.40027 gene_biotype:protein_coding transcript_biotype:protein_coding MQASPKLTETKRWLQLAREGTEQHQAVEEELLRRGSQQGMPEVEMAALPLSTVSSGTPSRFSKREGRGKRRA >cds.KYUSt_chr6.20341 pep primary_assembly:MPB_Lper_Kyuss_1697:6:128160354:128167580:-1 gene:KYUSg_chr6.20341 transcript:KYUSt_chr6.20341 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQHEASVSGTAGDVGNHTGGRRATAAIAHEKGRMMAAAIDVTSEGRVHLVLLSSAAPCLAAAAPRLLPAYARLGRYDEVLLAVRELSARDPAQAQDLYPLAVSSLGAAGELSLMEDALKEMSRAGRRVNSATGNAFVRHYAAAGTVWEMEAAVGRLRKTSLLISADAIRAVASAYVTQRQYYRLGEFARGLGLGRRNAGNLLWNLYLLSFVANFKMKSLQRAFLEMADAGFRPDITTFNIRAAAFSKMCMFWDLHLTADHMRSDGVKLDLVTHGCFMDAYMERRLACNLSFAFRRLDGAGPATGARGAVKVELLAPAHAPSAGSRAPPRPAAHRAPACCALCRLPHGFSARSVDAEKQSVNSCTGHRVPGGTREALFSERSTELVLLVVQLTLART >cds.KYUSt_contig_402.36 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:149450:161519:-1 gene:KYUSg_contig_402.36 transcript:KYUSt_contig_402.36 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDWLLDTERKLNTVGCNDEEKIKYATHMLCGTAAAWWENIVAVHPVSRVFTWAEFKKKFRDAQVPESIMELKRREFEYLEQKENNIMKYVRDFSALSLYAGDEELVDVAITMEDDFKQVQEERRKRAKFEPKKFINTKPNTNLSFKPRFTPGDKRPQQGSGNNFSNIICKNSGLRGHTTTDWKPKIVCFGCRKEGHTKMDCPNQGPGGGRSGGGAPNKSGNSSGGWKAKKPYGKLNCTSLEEVIMSDKAVIAILGMNWLEENGALIDCTDKTVSLKSPDGGRIIYQGDKHTQIEVELQLNSLKDVKIEDIPVVNEFQDVFPKELPADALSRKSTGGVEQELPMELKKEISQAQIQLWEKEAHEGLSALQNAGELDMHLKNEIIMSQLDDPFIMEEIQDAIQPVPANELNFEDAPAVNNELNLLEWLQNAPLFNFQAISSEQGVNYEAGLHPPANNLADSPMQAWIDSIVNSSSDDDMAIGYKDLMQVNSFAITSDSFLDLHAEIWARLSLAKSAIKSCISPSVDLDNCKLIPVEIQLPANVLYEMVGEFLHLSTSRKTTRKDTALDSPSSSFVHIENGSSSTGSVSETSSDYSEDSFELVSPAVGQK >cds.KYUSt_chr4.49817 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308565609:308568607:-1 gene:KYUSg_chr4.49817 transcript:KYUSt_chr4.49817 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGCFCALLGGSRKKSKDAKKVPYGKRANGHDWQKVKPVESMDGTDAAPPVVCKGGSIDSAPARDTKVVAAQTAVEVACRGRDGDKASTARVVPNVGLIGAATDSSGCSSDGGDKDAISAAAAPDDVIMPDAVGRTLPNTPLRLQRSCSNIETARSGWKALDDTLAPAKSRSHEDLVALPAGSLLASPDANGEAGGGSPASSVRSTCSADRVMLRRRSSSQVLPSRSRKPWWSLFLWSHRNLHRPMSSSPRPPADTNDNDDGRRSHQRHDGYTSDTVGSKKSKEIVSPAEDEPARAIPSQWVAFSAEASSSLDRVSAWVSSSLIHAEDGDDDGIVEVGESSGTTKWHAQAVPARRRSPANEAAVQAASSVVKTLNAFSTVAHVSGMGLKVVPVISAFSSLRAVNLSGNLIAHIAAGSLPRGLHALDLSRNNIGSIEGLRELTRLRVLSLSYNRIARIGHGLSSCTAIRELYLAGNKISDVEGLHRLLKLAVLDVSFNRITTSKGLGQLVANYNSLRALNILGNPVQANVGEETLRKAVSGLLPRLEYLNKQAVKPLRASEAAKDSVAKAALGNSRWSSRRRASSRRSSLSPGSSSKRAGSSSRSRSKSSSRVQSSSVTRR >cds.KYUSt_chr3.18683 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114951152:114956537:-1 gene:KYUSg_chr3.18683 transcript:KYUSt_chr3.18683 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAATPTRPVSSAPVLAALAILLLAGSASLAAGAMAGHVLGGKTDTPAAANSLDTDGLARFAVDEHNKRQNALLEFVRVVEAKEQVVAGTVHHLTLEALEAGSKKVYEAKVWVKPWLNFKELQEFVHTGDATSFTASDLGAKKGDHEPGWRDVPVHDPVVKDAADHAVKTIQQRSNSLFPYELLEIIHAKAEVVEDFAKFDILMKLKRGTKEEKMKAEVHKNLEGAFVLSQMQPEHDESSSQ >cds.KYUSt_contig_1253.877 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5466654:5478924:1 gene:KYUSg_contig_1253.877 transcript:KYUSt_contig_1253.877 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVPRSRRRRLVAPILLALLVALDPPRCAAAGFQAWDLLPRRLAWSLMGDTIHSAVDLLPTFVAFAAPGGPAAAWRGACFADNEAVITLTPGPGGRNGTAAAGAVLHLKTAAAQSWTCMDLYVFATPYRIGWDYYTTAHQHTFEIKSWEDAGEMEYVKQHGIAIFLMPSGLLGTLLSLVDVIPLFSNTVWGQNANLAFLQKRMGASFEKRSQPWSANIRKEDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVCLKDENGTLWIAESGYENKKGEEIIAVVPWDEWWGMALKDDSNPQVALLPLHPDVRAKFNESAAWEFARGMYGKPYGYHNMIFSWIDTMSENYPPPLDANLVMAAMSMWSRLQPRYASNMWNEALNKRLGTEQLDLHGIITETEKRGMSFNQLLTIPEQDEWEYSDGKSTTCVAFILSMYKAAGVFAPFTESIQVTEFTCPFSRIIWFEVLKGLDRTSLLPTNVDGLLEWWPRVLESWPTKLKPQARSLFLLVLRSIWIERNNRIFKNKSRSEALLLDAIVEEVDRYIDQEASHEDQADGERAGNDEDGATASRPELPPPRTGTSGQMPSRFPPDAFQRPEDRLQPELPPLKTGTSAHRNFHPSSAQVPKVGENHTGCYCGTMAQFRSKAGTWPELPPRPELPPVQTGTSAPGRNFRPS >cds.KYUSt_chr7.20953 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129784038:129795955:-1 gene:KYUSg_chr7.20953 transcript:KYUSt_chr7.20953 gene_biotype:protein_coding transcript_biotype:protein_coding MPSILFFHEASRTPESQQRRATGPPDDRVARPGPEPRPPIVSPPPSLIPPAGEPVSFDRFVAKRGVEDEKENASFFAGPQEGSSGVDERGDQGIGSSVEDGGEQERGSDIDGRCAEELGSVAGGAHNLDSGSDVPQEGSLDVDDAGVGYKKRSTKGTSGYNADDEDSVGYDGHGNPGHAAAVVGAENAEDLLFAPNEDAAGHAVGEEQVAENMFAGVVDFAAGMVALEDGDSSSDAGYQATDEHEDGDDEVLGPCRDFSSLTASGTLCSDGSRLGSSDCGFGHRTVVPELTSAAGYFFRAGAEGKRTCPTAASLIHDDVLDVADTRRGMDSLNSAVGNKLAVLAGDFLLFRAFSAAGSLDNTEVVSLLATALNNLVTGELMQMTITPAQRCRMDYYLQKTYYKTAALISNSCKAVAVLAGQTAEVVGLAYQYGRHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQRIMQ >cds.KYUSt_chr7.39887 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247766562:247776359:1 gene:KYUSg_chr7.39887 transcript:KYUSt_chr7.39887 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSASRREAARSGELGRTSGAEPPPRPSPAPAPSAAAKARSGELPAGGAAVRHEGWMVRYGRRKIGRSFFHSRYFVLDNRLLAYFKKQPRDNMVPLKSLLVDGNCRVEDRGLKTHHGQMVYVLCVYNKKEKDNPITMGAHNIEDALVWKKKIELLIDQQQDTMTARNRKAFASLDFDMDLGALPFSDHDSGPEDEEEPRPMLLRRTTIGKGIPDSVHDWTKEHDVGLSNQSDTNQSNSRKNWRLLRCQNGLRIFEELVEVEYLARSCSRAMRAVGVVEATCEAIFGLIMSMDVTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLNWCSMVVWPRDLCYVRYWRRNDDGSYVVLFRSTEHQNCGPQPGFTRASIESGGFKITPLKSVNGRPRTQVQHLMQIDLKGWGVNYVTSFQYHSLLQMLNCVAGLREYFSQTDDIHIVPRIPVMSTMTNVASVKKNQKHQEADSKTKQADSANENSDMIDDESEEEDDYQVPEASLEEDSTKFDGDTKSSDPIDLSCFSGTIRHDGNEKSRNCWAVPDSKIFKVRSKNFSHDKSKVSAGKYLMEFVAADWFKDTKRMDHVANRKGCAAQVAAEKGMFSFVVNIQIPGSSHYSLVLYFVTKSLKKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYIRGPEYMEVDVDIGSSAVANGVLGLVFGVVTSLVVDMAFLIQANTYDELPEQLLGAARFSHIEPSAAVVPVLDEASAGE >cds.KYUSt_chr2.53141 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331542873:331549795:1 gene:KYUSg_chr2.53141 transcript:KYUSt_chr2.53141 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGAVLRDHNGDFILSLSEGVKGFPSPELAEALAVRRALTVARSHGVTKVRETLSDIEKRMMRLINRAARSRRFSSDRHSVPGLNVEDELKLVSNKARFLSGVASGDIKLINRKRGELLQEIVERGFDPIPVEWLPIETGYATDYEKEEDEESPAEVTTGYEYLTSIPFVGFGDDTLHELLKRKSELEEIMRSATPLHHGLTGLKISEKEPSVLDVNYSETQSDGVICMDIAAKDSIVPMRRRKRAAADSVEDAMLETYEKLLKQVDNRARFLAGVLSGDIQCINRKKNELRQEFIEKGFDPLPKEGQPVVIRPTGVKEDASDYDYLTKMSVSSLTEEYLEHLLVLKKRLEIKVGLLRKAAAEYLKSEKEPRLLDTNYTKAPSDRDNMAAKDSQESAMLMDGDENEALELKDQSQEHTGKHKYNAAIVKVQIFNGKITCAYIETEWSQKQERKKQRKGPKKQRKESSETGTPIHCCPALDFRGHDKSGDGFLVEEIKRISSGLDGIEITHCRSKYGSSRVG >cds.KYUSt_chr1.36705 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223992793:224004833:-1 gene:KYUSg_chr1.36705 transcript:KYUSt_chr1.36705 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRFPGQARVYYSFDSVEDDARNNYPLDYLNSLTPNGLPPHDLKLKINCPVILLRNLDPHNGLCNGTRLMIRAFQDNAIDAEIVGGQHAGNRVFIPRIPLSPSEDISLPFKFKRKPRPVELCNDHQQSPGANHSDRWSQEKCAACKKILHPLEKINSRLELSFDVNMDVDDVFINLPNGATTDIAGVIMYVSPLDHETYFPVGMREVAVVDSSNHIVFLRIFDELAQRHEEQLLLGEKHYSFVMATSMEVDQASNYACTGDDWSVIDKIRNEPSDKRYLVSIDDGYLKKAELLSLLTPGEFIGDEIINAYINCIRGTEHLQVRSSCGLWMLNFMEYFTGDILSDIPEQVNMTDFRNKLAVILVDSHLNDDNIRNRDLKDDEEHTFDPTDCVIVDGPPKNNKTSKLASEIGFISQSLLHYHLANPMDQELIDELCLYISRVDDIPSLETEWVKSSSPYPISLNLRQISSILKMNENMDVSCFNMAVRILAWHDIQLARDVPVHYMDLNFCLMSQYARDPSRSDYPDVARLAQLFLSWPDSNEYHISECNMGIAPGAISIDSIVIFIAIADSHDEE >cds.KYUSt_chr5.35615 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225080477:225086746:1 gene:KYUSg_chr5.35615 transcript:KYUSt_chr5.35615 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRGSGVKWLKHYSSAQSILVVGDGDFSFSLALATAFGSGANIVATSLDSYGALIGKYSQAEANVMDLNIMGTKVLHNINAKNMTGHHDLRIRKFDRIIFNFPHAGFKGREEEMRVIKLHRKLVKGFFVNARHLLKPYGEIHISHKMGYPYDAWDIEQLASESSLATIEIVSFHKHHYPGYNQKKGDGPRSTPSPPSRAAALGAVVPNLEEEQYEIRLHHRWSGDAEAMMEGEDRAARFRVRATAPITARPMRPRRGRGEAAPPDHGHATAAITARPVRGRATNILHGRGHPPVRRLASIRRTRGSREDPAAPITGRARLCQRWPPGTMRREGGGGEDGGGG >cds.KYUSt_chr2.7031 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43998076:44001535:-1 gene:KYUSg_chr2.7031 transcript:KYUSt_chr2.7031 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGKESAWSHQPASSSAFTSKTSKTKLQQTDTAHPTSSLSGAHLTSSGASHSATHGNTSGPPLYSTDQKTMCSSGAPPISGTHGNSAVSSLYSNVEKTAKSLASMLPDPIAMARSYTISGPNFQSGYQNPQGSNTSNTAVTGLKLQLEKEKKSAANLRGELGRERDEVVRLKGLLLKKEAGCKADHITELKTLKDANHRLSGQLTEERDAVRAFKTELRTQKDAAHRLKTQLTLEQLQLEKERAAIKSMRGELQTKGAEVLRLKGLLLIKETEVGWALKAEHKTELKKLKDAVDRLSGQLKEERDAVQALRTELKTEKDAVHRLKTELALEHQRTPVSLQKKDQELISMRKQLGEAESNLKSSVELEMAVNRASKESTGKTRCGAVYNSELDHKEVAIEILNNKSYQRQQDFNQKVDTLTNIRHPNLVSYIGEYEKKYALICEHLPDGTLQDRLVNKRQTSWEERIRISASICSTLLFLHNMKPSPVIHGDLEAQNISFDADNVCKLSVFGMSYSTQHTTTTTTNNNNNIVPSIQSDISAFGIVLLQIVTGQAEKDLVRKEVLKMGDLNQFQEKDIGWQREVLRNKKIVDDKLDNWPTEDAVKMLVLGLRCSHPEENERPDLATEVWTQISSMKRGASESQGRPGGLFGR >cds.KYUSt_chr3.34795 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218155743:218158717:-1 gene:KYUSg_chr3.34795 transcript:KYUSt_chr3.34795 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKSSAQSLPQPRAATKKSPPRAAADAAAGAGVESPLSSLFQPTSHGVNGKEQDLYAILFKGQNGSAQASITDGKPQWSPAKSRMPYTKENKQSHTYDSVGTSSCFGSSVHYGGRDYYGSFAPKQSSEYNDYKSANKDPVTDSHGDWWQGNRMGDVEAVFLDSIAYLT >cds.KYUSt_chr5.5136 pep primary_assembly:MPB_Lper_Kyuss_1697:5:32412529:32416512:-1 gene:KYUSg_chr5.5136 transcript:KYUSt_chr5.5136 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVVSSGWPNKNISLSGSSARLTYAAMAAAAAVARCLLLRASAPAPALNPMDGAKAPASIPTSLHVRAAGPRSSARRLWTPPLRCTSPSSDAPAEPKLAVLLEVEGVLADVYRFGSRQAFNVAFQNLGLDCANWTEPIYADLVRKASGDEERMVVLFFDRIGWPTSLPTSEKGSFTKSVLREKLKALEKLSSTDALPLRPGVEKFIDDALSEAVPIAILATYGRNGEKISRSIAEKLGPGRTSKIKIVGKEEVERSFYGQLVLGEGVASSLDEQLTKEAQKAASAEKQRVAEEVASLLKLSVDISTASKRSEKIIATLRAGSEYVGRDVQNCILVTGSQPSVIAAERIGMPCIVLRSSLTARAEFHSAKAVMDGFGDTDLTISKLLSKRWS >cds.KYUSt_chr4.2843 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16194403:16196671:-1 gene:KYUSg_chr4.2843 transcript:KYUSt_chr4.2843 gene_biotype:protein_coding transcript_biotype:protein_coding MILDNCRKMIVYHEGGKALVAIHTDVARPIHKATIIPRGNSLGMVTQLREEEDVYNISTKKMEKLYIIMEGGVAGELIFGDSEVTSSAVSDLARQLSWRQTWSQSMDILNANTSNRSFYGTVTRVYVLLQMIFRNQPWRSC >cds.KYUSt_chr6.30554 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193555126:193555908:-1 gene:KYUSg_chr6.30554 transcript:KYUSt_chr6.30554 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMKGSVKWFNDTKGFGFISPDDGSEDLFVHQSSIKADGFRSLAEGEAVEFVIEQGDDGRTKAVDVTGPDGSFVQGGGGGGGGGGGFGSRAGGGSRGGGGYGGRGGDGYGGRDGSGGFGGGGGGWGGPRRSGGGGAGGSCFKCGEPGHMARDCSVNAPAGGGFGGGGGAGGACFKCGEPGHIARDCVNGGGGGGYGGGGYGGGGGGGSCYNCGEPGHLARDCPTGGGGGGRSYGGGGGRGDCYTCGQPGHMSRDCTAK >cds.KYUSt_chr3.4597 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26015256:26015902:1 gene:KYUSg_chr3.4597 transcript:KYUSt_chr3.4597 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACTSTWLDPYVLAAKVRCPNEAYGCRSSVPYCMAGDHQLVCAHAPCCCPEPGCIFLGSPPTLRDHLASHHKWVVTPITCGKCIVLEIERGGAGERHVKVVRVRASAEEGPAWYRCKVWTHAPVDAETGCKDVLMLEAKVKSCAKPFEDAAMEVGGRCLHVPSDTPPAAEGIVLRVRIDKLEVPSCSSSSS >cds.KYUSt_chr4.21566 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135732163:135740496:1 gene:KYUSg_chr4.21566 transcript:KYUSt_chr4.21566 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSRSRSRSGGLPSKRRSSGGGGGGSGYGRTAQSLIDDTLRSIFSRLDDHFDLAHCSAVCKSWNTIISTGHLMRDLYYKRNPQARSSSITFSTKSYFEALAVNGHASTLTSGSVEVHQWIGHPMRATLCRMKSGSVLTGKGDKVLRLWSAENCKYMNEYNVPDSKMLVDFDFDENKIVGLTSSQVCIWKRSGPRSIFQSCGGTFSHGLCMSYADPEVVIGCEDGRAFVYDMYSRSCSSIHRLHPSPVTCLALTDDQLIIGGSTFGSVAIADHTSGERLGLLKSAFTPTVIRCLSSSANSHLIFAGSSSGYAHCWDLRTLRPLWETRVSPNVIYSAHHFPGDTSVLAVGGIDGVLRLICQRTGDTIRSFIMDAGQPTQSSSRLQAEKKHGRPAESGPRKQVEKKNAREIAPDARLDNIPTNLRPQITGLSVGMRKIVTTHGENYIRVWKFRS >cds.KYUSt_chr5.35225 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222684805:222687975:1 gene:KYUSg_chr5.35225 transcript:KYUSt_chr5.35225 gene_biotype:protein_coding transcript_biotype:protein_coding EKIEIRRISTLGGKHLPQLCWAEQSFSNQKAAIRVLACLAWSSHRIAHTALSSPSKQSTWSSSSLSYFFQSAQQFISLSMDMNESSEKGMEGNGSSGVEWQSQFSGGFSTQQHHAHMMDSFAAASGMWAAPSAASQNMSGLSDVNGMSAAARGAGFLAPVPGFLPPPGLGAHFPVDSGFIERAARSSCFVGPGAGGGMMGVGGFGGGGDQHMGSTFGGGSDGYLDHRRKDDKAEPELAGSGGVPSSEAAGGDCSSKGSDSKKRRRPSEVMGGDQVQSSNVAADSANESTHSKDRGEESSPPTATTTGGRSKGKGAKEESEKEDYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRLDLNLEGLLSKDLLRFPGVSSSSIGFSPEMMHPQLQLSQPGLMQGGAGAMGNPDVFRRIMQAQLGGAKDGSQMPHALNGSFSDHVAAQMAYPSSQDLSIRPPQDAYQM >cds.KYUSt_chr5.1810 pep primary_assembly:MPB_Lper_Kyuss_1697:5:12345489:12345785:-1 gene:KYUSg_chr5.1810 transcript:KYUSt_chr5.1810 gene_biotype:protein_coding transcript_biotype:protein_coding MPGASGSILEVVVPYSRASMAQLLGKVKHTSTRIDRIPPPGHSQIRSCLDTRRTDHLMLQLPLQFTSKQPTEDMALAAFNRALKLSGPGLWVPSLTSS >cds.KYUSt_chr2.19906 pep primary_assembly:MPB_Lper_Kyuss_1697:2:125264511:125275367:-1 gene:KYUSg_chr2.19906 transcript:KYUSt_chr2.19906 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSGGAGGRLPKTESAEMRWVVPGGAYEEDEIDSSDDGGGGTDTPTAALGSRGGCSDADEDEEDSLLRQRLVRTGPRADSFDVEALDVPGLYRHQVCFPSAANALEFTVGRSIVLALQTLGVVFGDVGTSPLYTFDIMFNKYPNTSKEDVLGALSLVIYTLILIPFLKYTLIVLWGNDDGEGGIFALYSLICRNAKASLLPNQLPSDTRITSFQLKVPSVELERSLRIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAFLIVLFSLQRFGTSKVGLAVGPTLFIWFCCLSGIGIYNIMTYGSEVLRAFNPIYIYYYFERNPPQAWMSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVCLVLPCLLLGYLGQAAFLMENLTKNEQVFFLSIPSQVFWPVVFIATLAALIASRTMTTAIFSIIKQATALGCFPRLKIIHTSRKFMGQIYIPVMNWFLLVSCLAFVTTFGSINEIGNAYGIAELGVMMMTTILVTIIMLLIWQVNIIVVLCFLTLFLGLELFFFSSVLGSVADGSWVLLVFAAVLYLVMYIWNYGTKLKYETEVKQKLSMDLMMDLGCNLGTVRAPGIGLLYNELVRGVPAIFGHFLTTMPAIHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKNYHMFRCIARYGYKDVRKENPQTFEQLLIESLEKFIRREAQERSLESDENGDIDSEEEIASTSSRVLVGPNGSIYSLGVPLLADCAGVSNPTLGSNTSLDGSLDETMDGRRSLDNELSFIHKAKESGVVYLLGHGDIRARKESFFAKKLVINYFYAFLRKNCRRGIATLSIPHTRLMQVAMQYMV >cds.KYUSt_scaffold_6468.575 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2776225:2779889:1 gene:KYUSg_scaffold_6468.575 transcript:KYUSt_scaffold_6468.575 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATGALGSLLSKLVELLADEYNRLKGLRKDVEFLESELRSMHAVLRKVAEVPRDQLDEQVRLWANGSHKLKRLMKKMADLFTVGRTRHQIAHAIKDIKGQVEDVAARRDRYRINDIVVNPTATTTIDPRLLALYKDQKELVGIQEACSELINRLANGNNDVSTQELKILSIFGFGGLGKTTLAKAVYHGIKEQFECMAFVSVGRNPDLKKLVKNILFELDQKKYENFNEAGLDERQLIDELRGLIQNKRYIIVIDDIWDTPTWEIVKCAFVDSNRGSRIVTTTRIFEVAATANNFYKMEPLSDENSKELFRKRLFGEEENFVRIFDREEPNTSSKVHPRRLATQKRVIEYDHLDNMHTAQVRSFNVTGCHIAAMPSRLGFQALRVLAIEDCTFMKDYAFHLENLGRLHQLRYLGLRETPISKLPKEIGELRFLQTIDLWECKNVEALPQSIILLRQLKCLRAGGAACKPISVPDGMGNLTSMEELWLRYVDKCPNFVQELGKLTELRNLNICIELPESWMCKTFVESLGNLQKIQVLSLHALNAKLSWEGYVPPPQLWHLTLTTRTPDSPSWINSTLLPNLVHLEISLGDAMEAHDLVTLGEFPELLRLKRCGSDNDIPVVVGGNSFHKPRSCNMTAPLRFLPGAMPSLECLAFTVHVQPLKEANFDFDFGSLENLPCLREVSVFICCYPDKAEADKVEAAVRHAVHNHPNHPILDLTKLNDLVSANNILTSLSYA >cds.KYUSt_chr1.41789 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256241712:256246925:-1 gene:KYUSg_chr1.41789 transcript:KYUSt_chr1.41789 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDGAVKEQQVSASSPPAEVVPVPVEEHSSESAALAAAGAAGAEEAAGPSRPGSDKRLGVRHPVKYHRFRARGKAMGPGGAQPPVEVVVEGEGAGEVEEEASSPEREALAGFVEVESVEMEVEEGGDMEVSPAAAVAVVESQSAEEEEEEVLSPALVEEGVNLGAAAVGAAAAAAVPALEVPRDQDQEKERKEKERQRERERADEVGYMSGGCKSDDGSLSCGYSSFRGKRASMEDFFDIKSSKIDDKKINLFGIFDGHGGSRAAEYLKEHLFENLMKHPEFMTDTKLAISETYKKTDSEFLDSEVNTHRDDGSTASTAVLVGNHLYVANVGDSRAVISKSGKAIALSDDHKPNRSDERKRIESAGGIVMWAGTWRVGGVLAMSRAFGNRLLKQFVVAEPEIQEQEIDDEADFLILASDGLWDVVPNEDAVSLVKMEEDPEAAARKLTETAFGRGSGDNITCVVVKFQHDRTGSDSPSPSGDKS >cds.KYUSt_chr2.484 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2951292:2952290:1 gene:KYUSg_chr2.484 transcript:KYUSt_chr2.484 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEAGAGDDYDPAAVVVCKGKRSKRQRVHAAPPPPPADVAIAEAESSSSSVAEDDGWLSSGVDQEAASGCVTEEEEDMALCLMLLARGGRAGSSSSSSSTLLAVAPDAADSTATAAKEGKFRSRRPADGAGAGAGEFVYECRTCGRCFPSFQALGGHRTSHKKPRPLLPSTPQLTTTATATTTNEKKRPRPPAAEVKTPSPAANATTADPTVLAIPATPPKHEPAVVTATGATSGASRQQHGSRVHECSMCGAEFASGQALGGHMRRHRPLLPASSLCAAAKEDDEVGATRKEKSFLELDLNMPAPCDDASVDTTSTVSSFASATVVDCHY >cds.KYUSt_chr7.18576 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115090544:115090798:1 gene:KYUSg_chr7.18576 transcript:KYUSt_chr7.18576 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLLAVPAAVTAAGGFVHAFHFSILLWPFNLVLPHSQLRHLRRVCATLRSAGAHYDAELRAYLTARPRRRVPAQPLQPLGGF >cds.KYUSt_chr2.48864 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305741792:305745578:1 gene:KYUSg_chr2.48864 transcript:KYUSt_chr2.48864 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSAKEGGEDGSRAAATPAVKSLTSQLKDMVLKFSGSGKQYKATAGSPSFRGRGYGRHYPGFIDDATFTPASRSAVDGAYASSRAGAAATAANGGARAATSATWDMTGRGWPGIDEEDGGVGVDVDAAVPREWTAQVEPGVQITFVTLPGGGNDLKRIRFSREMFNKWEAQRWWGENYDRLVELYNVQTFSGRQQGGSTPTSSVDDSVLRDSSYSRGGSTRAESPVAMIPPPSSSLSRDPVSRSMSCKAMMPPPPPSGSSYAAGPSTRAAPCYPYAAAVPDPSDHVWAHHFNMLNSAGGGPSSYDPSRATTSSRDEASVSISNVSDTEATEWIEQDEPGVCLTIRELGDGTRELRRVRFSRERFGEDRAKVWWEQNRDRIQAQYL >cds.KYUSt_chr2.13121 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83215510:83217926:-1 gene:KYUSg_chr2.13121 transcript:KYUSt_chr2.13121 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKASMKYYYVTPGKELANGLLFLGDDISCKKMSDCTIDGRLAEVFVEYHGEEDEEESEKSGSDFQDEFETENDNEDGEISEPEVVLIADESNVIGEENILVPVVARVINSVVTSPAKTNMNRNSTSNSFQRSDKFGQGKSSQGVFSQGAMTSSSQVLNPNTQGGSQGHLLADHSGMADIVGGEYDSDSDDSDYVLPSDDSGEDDKTVQLRKFARMYKKKLKDSQRFVESKATCAVPIDLMANIEEVTEQQNIEAEYDSGSEDFSYDEASDGEGKFIRRRTKYARYDSNTEIPHFSLGMVFKSKIEFRKAVIKYGLKTYRNIKFMKSEDDRQSQTDFQVRAIQMQNKGKEACQSSSGKRKGSKPVDAAGKFQKKAKK >cds.KYUSt_chr7.29569 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184048704:184052689:1 gene:KYUSg_chr7.29569 transcript:KYUSt_chr7.29569 gene_biotype:protein_coding transcript_biotype:protein_coding MPNELHIFLQVSSQNRPCKEKKHQILKRSKGERDRERLISQLQILTDMAPHVQHYASQLGDDNESDWLHRNEAWQMQAKPGGNRPILDAQFNFTGAGSLSQISDPGETNITDESDPPGFLNHLNKMLGSKKTRKPCKRKKQVLDDDEECRIFTGLGDVFDSYQLTTDVPQSEQNDDPYDFVYHNLPKKHHVLKTSTRSASIVEQ >cds.KYUSt_contig_319.754 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:4844141:4844833:-1 gene:KYUSg_contig_319.754 transcript:KYUSt_contig_319.754 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYEKAPPSRRHHPFILSPWRNLLFLGCLCPSFPSSSPNPSRTFRNPQRRPDTMNGLLASPCTRPALFRPIPSSVTRLLPAKTLALPPLRLPRSIRASPPPPRAAAQVAASAVGGLLAPLSALEVGLRSINLAPLRPPIAAAMSAAVRWLGVYREVLLVGVLFSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLVAFMAIDILIMILRPQPRM >cds.KYUSt_chr1.34822 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212124677:212126656:-1 gene:KYUSg_chr1.34822 transcript:KYUSt_chr1.34822 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQDPCTGDGSVDFKGAPASREHSGKWRACCSILGGEFCGALAYYAVGTNLVSYLTKVQHQSNVEAASRIISWQGTCYLASPLGAFLADSYWGKHRIIIISLAIFTLGMALLTLSAEAPESISSVAISPQDALSSVGLYMAALGLGGIWPCVPTFGADQFDDTDAAEKAQKELYYNWYYFAVNGGFFFASTIMVWIQDNCGWALGFGIPTIFLSVGIAGFLSCTRVYRYQKPGGSALTRTCQVAVAAIRKLHVDVPVDSHLLYETPGKESAIAGSRKLMHTTGLTFLDRAATVTTCDKTSGDLLNHWRLCTVTQVEELKILVRMLPVLATAIIFNTAEASFPLFVEQGSVMDNRISGFSVPPASLMTFNCVCILMLAPAYNKFLMPMASRITGMKRGLSELHRIGVGMFFAMISLVLAASVEMVRLNVARSIGLSHRNVVVPMSILWQVPQYFFVGVAKVFSVVGFIQFAYEQSPDAMRSMCQACTLIMVTLGSYLVSIMLKIIGSATSIGGKHGWIPENLNEGHLDRFFWFTAGLQFLNLLVFVYCSARYRRKLPN >cds.KYUSt_chr2.49473 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309630029:309631849:1 gene:KYUSg_chr2.49473 transcript:KYUSt_chr2.49473 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSDGDATTKRLRLPVDDEPNQHNAAPAAAAAAPDHLSALPEVLQLRILSFLPLKSAIVTSSLSSSWRHRWKGRWQDDGNPSWLYHHLRPYSSPSSKKLLDSLELRRSQGRGRLDRYTLVVDTPAMTARRFSRYLESAAGCGVEDLRVELRSPPSPATLRFPFSAAPASPALACLTLHGIEVSGLNSRAARPCSALEVVRLHSVRINDGGLARMLALCPRLRVLGLHSCDDLRRITVTAALGMRMNLRSVTIAGCSRVVEVDVAAVSSLQSFRYSGGFLSSFYLPGGACLTDLCIRFGVQRSGNVLICKKVVSSLPIAILHAESVKMDDFFKNMTELQLLMLEMRAPDLANIYMFLKNSQCCNLERLFVQLPSIPSELLVDPFDYVLVEPTENGLENLKVVKIVNFNWNHIELQLVCFLLRKATSLDKMILVTPTLVPSNAPGIQKADLLFLAEAGANGKVILRESDDAVAQPFHSDVFADF >cds.KYUSt_chr2.36077 pep primary_assembly:MPB_Lper_Kyuss_1697:2:222885375:222886124:1 gene:KYUSg_chr2.36077 transcript:KYUSt_chr2.36077 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSLCPCPSKLPNVVADGPCFSDGLRESFHGGCQARTAVPPARKKERKETEHQSLASPSPSIGHAGAPPQLSRRPAPHRQPASGSSPPSTPLFHFLPVLHPSSHVPPAAARFPHLSPPCPFNLQLIRQGKIAGRAVLLAGQPSTGKTALAMGITKSLGAETPFASVTASELFSLDLSKTEALTQAFRRAIGVRIKEVAEIIEGEVVEISIDRPVAAAGSGAPSRAASPPKALVPSTAGGRREKQAGV >cds.KYUSt_chr5.43462 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273826279:273828285:1 gene:KYUSg_chr5.43462 transcript:KYUSt_chr5.43462 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSFCLRFKKKKFTETIELQIGLKNYDPQKDKRFSGSVKLPHAPRPKMRVCMLGDAQHVGEAEKIGLDSMDVEALKKMNKNKKLVKRLAKKYHAFLASEAIIKQIPRLLGPGLNKAGNASIVSVNNHLLAEPCKFPTLVSHSESLEAKVNETKATIKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPARVF >cds.KYUSt_chr4.10027 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60644309:60649505:-1 gene:KYUSg_chr4.10027 transcript:KYUSt_chr4.10027 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDWSCCFLFYFSCAAVAAAAAAVFVAPGADGPCAFAVGLQDGTRAVRTVRVRNISDLAGEREVREFFSFSGEIDHVHIRLDGAPAGRTAYVTFKDAKALEIALLLSGATIVDRVVNITSAEDYIYIPVNEQQLVVNEVTSTAPTADLEQPTEANASPTSGRVYASKAHDVMTTVIARGSAIRQDAVNKAKSFDEKHQLRANATARISSFDRRVGLSEKLNTGISVVNEKVKTVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQLAVSNISAKEALRAALHILFVQTEMKQNFICIMVNCHARDLRSLLKLAVLVLAWGNSIGNFDCDGDALSSDEATQHHSIVGGLQYLTVTRPDLSFVVNKVCQYLHEPHTPH >cds.KYUSt_scaffold_1700.330 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2219292:2220974:-1 gene:KYUSg_scaffold_1700.330 transcript:KYUSt_scaffold_1700.330 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGTPASQCRGGTCTSLTGGTRARIGFRCRPSRRPAAPRYAEIRRRRAQLPADLRRDPACGDASPKRDLWFEVLDPGHAGVVPHLRSAIYWFTTSRSIQRAFPCSFNRAASSSPCSSEMASVSLKLFVHSVRQVQNAAFVYVFFFCTCTLSTTQ >cds.KYUSt_chr2.50450 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315492076:315493117:1 gene:KYUSg_chr2.50450 transcript:KYUSt_chr2.50450 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRLGWETLQPSVLLIISPVHSRYQNQIPRAEALQQPTFEPTVISMMHLSPAQAARMALSPIHINDYPYLLHLSPAQAATRALSRLSINEDQPRHVVDFAYNTFLPSQTQQLPLQDGVTKVVCLTHMLQGSHHLLEHDEFYDRFVDKVEDQAWEFGHLVKLVIPRPNVDPAGVGKVFLQYACLGGANVCKIMMDRRCWNGDKQIVARFYPEDKFAAGDYASGQ >cds.KYUSt_chr4.50047 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310000736:310003738:1 gene:KYUSg_chr4.50047 transcript:KYUSt_chr4.50047 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPEAAAAAAAAAVDGDDGRRRKGSWYAVGERAVLVPYLREHVPRYHEWMQDPALLEATASEPLSLDQEFEVHRSWTLDPLSSVPAPTSPRFGIQVTYCGGLFSDMCLFAEHTFIVLDKELIQGEFVVGNPHTEAMVGDVNIYMNDPDDMQLAEIEIMIAEQKSRRKGLGQEVILMMMTFVVEKYRIHTFRAKISDSNTASLKLFRKLGFKDASYSAVFKERSAAVCCIMPLLCHDGPGHAFNDSLSQ >cds.KYUSt_chr4.38212 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235602573:235603172:-1 gene:KYUSg_chr4.38212 transcript:KYUSt_chr4.38212 gene_biotype:protein_coding transcript_biotype:protein_coding MATMATSKSLKKASISGKAWRLLRLAVLWARKGSAARSLRLLKTLRRSGLGLHGRRNDQLRYGEREFSIDETPSFRFRTPSARVLRFIPCIATAVPDTPARYGEDRYFFCDAREKDEEGCSGDYYDDAELSECGVEDDQLLERAMMEASCGNVDAAEGGEDAGVDVKADEFIAKFYAQMKLQRQISWLQYNEMMHRSVC >cds.KYUSt_chr3.40931 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258363827:258367387:-1 gene:KYUSg_chr3.40931 transcript:KYUSt_chr3.40931 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQSRSESRDGSGRGRYAHSGSFQQPAPPQYPPPYQPYGDAQGAYPYGAPPPQQGGYAAPYPAYQPAPVATPPARGGGAAKPRTLDRRYSRIADDFQSVEQVTDALAQAGLESSNLIVGIDFTKSNEWTGKFSFHGRSLHHISSVPNPYEQGISILGQTLSKFDEDNLIPCFGFGDASTHDQDVFCFYPDERPCNGFSEALERYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSAQEQKTVDAIVRASELPLSIVLVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFSEIMSKNMPQSRKEAAFALSALMEIPQQYKATVELGILGRRSLKAPVRVPLPPPAGSHDAYSYGAKSFSKPTTSSSYPPYETAHTAAPAAPSSAYDNQVCPICLVNPKDMAFGCGHQVRHHALLVPQ >cds.KYUSt_chr2.27944 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171476945:171486168:1 gene:KYUSg_chr2.27944 transcript:KYUSt_chr2.27944 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATASAPTSASTSTSAACDAPAAASPSSPAAVCLVPFRWWAGVREEAAEEGGVRYAATPAASPSYYGLRLLHSFLHPDLVLRLDRGERRAGAAAGGSRSYALVPADELSRALARQNSSLALHNKHSFAGDSAGAYPLVLRISVRETSILTVKISKKDNPVDNYKRANKMFNIDYQPVHVWDFSGQTNLILMNEWNRPHQDYCHSEQENLLEVQVYAMSDSLTFKIGGTNMNIDFFCGSFGRAGSMGLIGLENLGNTCFMNSSIQCLAHTTKIVDYFLGDYDRDINRTNPLGLNGELALAFGELLRSLWTNDQKPVAPHRFKENIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDEEVADEYWSNHLARNDSVIVDTCHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTASRAMTVTVFSTDGSREPFSYDVSVPQFGTLSDLVQAISAACTLGDDETLLITEVYNNHIIRYLEESSDSVSLLRDGDKLVAYRLPKQYEKSSLVVFTHKHFVKDSGVDSVIPQMKEFEAPLLACLSGTLNGLTLQSIYLKLLNPFQFSKSTSLITDGERCNGDRTIDLMDATPSYPDESVPLEDNPERSHCNANGCEVTGPTESSGGLTAVSDMEEHTEQFEFYLTNERDDIQHTRIEVNDLKLIETTPSRLHVSVHWHHSASRQYNTSVLNNLPEIHKLELIPKESEDCVALHGCLEAFLKEEPLGPEDMWYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPISDLDLSSYVAAKTDQPNSRYHLYAISNHYGNMGGGHYTASIYQEGKGWFKFDDECVTPISEDNLKTPAAYVLFYRRE >cds.KYUSt_chr1.30704 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185900735:185908128:-1 gene:KYUSg_chr1.30704 transcript:KYUSt_chr1.30704 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGTDADDVSGDPMDTIDTAAGDPHFSEDGYHGDSTEYSSSFGPSCSLSDDETRSGVHDMEVDSPFLGRVSAGGAASAPKPVRQKHVTKWKYAYISVCPMKLVTDEWRKTVRPLMWRCQWLELRMKDLSSQVAKYDKEIALIQHEKNLQLEMMKADSSDPELATLDAQSHDRNTMERRKRQRDEDIVDTSLYMNNHEILSYYYEKKNSGADTEGLLIHDDFDNAVDDTKRRVPGNTLPGSKETDRVFEQYSLRDILLTIDRMQSRVLGLQNRLSKVCSNHTQVKVPQKSHKARTQIASCNKDGHRPQKKRDLHTLLENEDTYRPLVGVPSTLSDRSTGYVTGYAKRNFAEEGATQPYAKKVTFETIFGADNPLIHTHVGELYKESADDVLIYNQAAQLEEYQQFERVKKEAENQVKLANKVANTLLFRGEETVTRQLVKHEPVHEIASTVKAVGPGSKRGKKPKKKPVSFLPPLEDQAKKSPELMLHGVDVGYHVEAQVDLLHGFSTCAEASPIPYKTNWVCTESLKGVVVPDLKVK >cds.KYUSt_contig_2097.282 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:1480700:1485985:1 gene:KYUSg_contig_2097.282 transcript:KYUSt_contig_2097.282 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTREETTAREKLRSNPAVEKLNPKAYIMSRELVDLTPGQLRKLADLIHRQEVEKLQELKFQSYAEQQKYLHDAKYAHDKVCNILESTQEMITQTEAERDVTKQNIAKDVYDYCTKAIQISLQFIRSYNTRLAYLEKLKSHGDYLIKQLKWLNPATQQKEAQRLALEAGMYKKATLENAKKFQHFVPNQFSKYLKENKIKFEDLVQSNIAKLGFTGPFKKLDDIKKLQVYENIIAEAGQGKPVVTYSFEALGKVGVALLVFTAAAMVWDIYTAEDKLQAAVRDSVNALTAVVALEVGGVVNAAVEAGFVALDIEIASAVVTVIGAVAGFGVGVLIGIATSALLDTIFSSGTSKVKVTDGLTVCRVAPMPDGLQLARLVKHNYPDL >cds.KYUSt_chr6.20930 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132000904:132007527:1 gene:KYUSg_chr6.20930 transcript:KYUSt_chr6.20930 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPIQESTWNWDPTAIPSSDEDGSPSSTLSRSSSDTIATEEDSLRGSFPKDADYNTEFSCELGRKGTCVGPDSQGAGFQRNITPESPTFRRGEIPDSREASSERKSTLELAMNRQKGRSSDAIIAPEIVMEFDSLQEAYDFYNIYSWEIGFGIRYGQSKKNVAKSKTVQEITCGCEALVKNLRDNNIGLTKAFIVIGSFFGAMENVPFNKRSLRTLCANISRDHSEDDVKKTFDLFSELKMKDPNFRDSCLADKDGNIRALMWTNGKSRMQYKQFGDAITFDTTYRTNLYDMPFGLFVGVNNNFQSIILGGVLLTNERTETFEWVFKEFVSLMGGKAPVTILTDQCRAMELAIAVVLPGTTHRWCKWHVLRKAKEHMGLLYSKASGFRDEFHKILEYMITVEEFEAAWAILIAKHYEKLQFDRDAEENFQEMRCRVGGVVLNSGFPIEMHASKIYTPNMFDLFKVELFQSGSYIVKEVTDGHRFIVKHIFAEKREKWSRTEFEVIFDSQRETFKCECDRSYENTLRYYISTITTHNNSKESSTTYLSKFYAARLYDARVDQNFVEFLSCRVRSSLPGTGSDSHNLIHSAEIVELIITNGWGFKRISQPIAAVPPTPHRLYRSVGVQKGKDTAGFPRAIIDLMVNVVCMALESLDGIGN >cds.KYUSt_chr1.20581 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121545273:121546976:1 gene:KYUSg_chr1.20581 transcript:KYUSt_chr1.20581 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAARRLLGLASASASQSAARRIAPSTISSPAAAAATGYFSRTFSSALNYVKEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMDAIAKIVEVAPIRVYEVIL >cds.KYUSt_chr1.23629 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140742252:140743250:1 gene:KYUSg_chr1.23629 transcript:KYUSt_chr1.23629 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSHPFVYIDAEALHAVLPFPSLISHLRDGLPAFSGDIHCPHRVSFPLPTSPSAALLLMPSWCAHPSLPYLALKAVTSFPANSPRLPSVHAAVSLFSSATGVPLASLEGSALTLLRTAAVSALAASLLVCPSRPPSTLALAGAGALAPYLAEAHLSALPSLSRILVWNRTKPKSAALVAKLRSAHPGVAVEEVDGMDEAVSAADIVSCATGSQEPIVRGELLRPGAHLDLVGSFTPAMRECDDEALRRGRVFIDFEAAMEEAGELVGAVQRGVLRREDVAGTLAELAAGTVAGRRSDDEITVFKSVGTAVVDLLAAQLAYENYIATKNA >cds.KYUSt_chr3.36674 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230663499:230667148:1 gene:KYUSg_chr3.36674 transcript:KYUSt_chr3.36674 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAESSATDNHPADEHLETLAFDSSSPAPAAASTDPLLHPPPSPSSTSPAAANHDAFVHEDGEADFVPVLPTPDAPAIPREPSPEFYQITVSDPRKHEEAATGAAGVIPGSGTYFSYLVTTRIADGGRFCVRRRFRDVVALADRLRATHRGLFVPARPEKSIVEGHVMQRHDFVNQRCVLLQRYLCRVAAHPTVGRSADFHTFLTEPSGIPTSEGESPRYNPATAAAMPTAVTTPTTPAKGGGRDFFGMFKDLKQTVTNGLMAVRPPPVEEETDAKFLAHKAKLEELQQQLTATSLQADSLVKAREDLKTCTAHLGMTFLKLAKFEKDQSTCTSQRSRAADISHFASAAVKVSRCQGRLDAEIVKHLDTIRKYLETMTSVHNAFTDRSNALLNIQNLSSDLFTLHSRVAKLESVSSRGIDQERSRYLKVAELKDTIRTAEDAKSHARKEYDLIKVEYSDQIASIWAKLAEETKVYADRRS >cds.KYUSt_chr5.38894 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246263891:246264352:1 gene:KYUSg_chr5.38894 transcript:KYUSt_chr5.38894 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAPRLWPHMAISSVPGSPKTKIPGGEAGDEWGGLLRGASHGGDPARWTPTAGVGRRGRGRQPASHRAREPSSREPFTRWFGAARMTASFLVKKLSVSFSPGSDNRVVHELRQLAKSGGSESHAFIGEAGVVPLLVQRRRPPIAATSPAGV >cds.KYUSt_chr1.36267 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221122022:221131579:1 gene:KYUSg_chr1.36267 transcript:KYUSt_chr1.36267 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGVGGGATPGRPRWGSGATTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIVLRSVEPAAAAAAAAAAAGAGSASSSASPSLAVAAPRWADPGPPRSRSPAIMRTSSHRLLQFSQELKAGAMSRAKQFSQDLTKRFTRTGSRANLVADPSSASASAAGPSSGIDAALAARAERRQRAQLDRTKSGAQRAIRGLRFISGNAKASNQAWIEVQRNFDRLAHGGYLSRADFPQCIGMTESKEFAMELFDTLSRRRQMQVDQINKDELREIWQQITDNSFDSRLQIFFDMVDKDADGHITEAEVKEIIMLSASANKLSKLKDQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKMSSKLSYYLEDNWKRLWVLALWIAIMAGLFIWKFIQYRNRYVFTVMGYCVTIAKGAAETLKLNMALILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAVAIVVGVILHVGNHLACDFPRLVTSSDVKYAPLSQYFGPTKPTYLTLVKGVEGVTGVIMFVCMLIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYISLVIHGERVYLILDWYKRTTWMYLAVPVGLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPMSGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLINNIIKMEEEEEASTDLYPPIGRNNAHVDLDTLMRITSKPKRALKTTNAYFYWVTREQGSFDWFKGVMNEIADLDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTRVRTHFARPNFKRVYSIVELQF >cds.KYUSt_chr6.523 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3244987:3247254:-1 gene:KYUSg_chr6.523 transcript:KYUSt_chr6.523 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRGKKGAAAAATKQQQPPPPQPPGPDAPVQEKLRWLTDQETAIRAIQAAEVESVLSRLHLVRTYISKEQEEACALQYFQENLPNVSVVPNEKQDELELKWKEWDNHMYGDHRDDKVSRASITSLATAAGFHFSADSVQKNFIESTFDFNNFNLEMEVKSRLVMPQALYSSSSRGEAGRY >cds.KYUSt_chr2.3044 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17858658:17860879:-1 gene:KYUSg_chr2.3044 transcript:KYUSt_chr2.3044 gene_biotype:protein_coding transcript_biotype:protein_coding MGRANLPGPCYQSPGGKCVPSSQPRAHPQPLTLPRIAASPDRRLPSPHAAGPRGLQLQPPQLGPLLPRRRKQALPSRSRGNEKTVVDSTLDLGVSANPNPDEHQVIIESRSEFKVIFQRSNYRKKQQTFENMTMFQTLEWFLDGIRLCRSSGKRLLPPRSCGTDSFVDMDARRHAIHLGKQPVCRLLEASRTGERWRALPTSVARWLILLPA >cds.KYUSt_chr4.28171 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177006653:177013211:-1 gene:KYUSg_chr4.28171 transcript:KYUSt_chr4.28171 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGLPALMARAVPAPAAIPAAAMPAAEVAAAEVVRRVHPTHASDRRRAQVVDYARRIVGTALGCEVFLFGSVPLKTYLPDGDIDLTVIGNTSRNSTFIDDIYYILGSGEQNTDAEFEVKDLEHIDAEDLFDILNRSILLSTDMTLPGLMLPSYTETDGRKLSPVSSHSTEVSQQSQDEDHWGAVFDLNACGIDVPSNGLSPSYFADSDISVSWCPTLEAVPTMHGTGLYTREKHIASIRENGKTLINQSVKTKNSHAAVPKRSFVPYKEQLAPDSVTNGVRISQPLRIDNGLNGYNCSGREMIEKHNGQTQNGCVKPHYEARHVQRYYGDVCSNKSFLQKKNYNTGMECVRPASAEHQQPEVQGTPDVGAYLNNTLARKQIYDTHKGHNILNQSMHRRLTSEPLRPQSNVQSRGFSKKNLATKINCDNRSEHLSSVRGTRHVPNGQVVNIPNGSIKEVKLNEGVIANGSKPRPLLTNIVIPHDSRNSQRMLLASSTSRPFFPATKGYSQPGALNTQPDRIIEFGSLGPLSLTSPSPKSDKAPSTSSSSKASADSASVLPSNGSASVLPSNGSAPVLPSNGSASVLPSNGSASVLPSNGAGSTQSRSSGFYRIEDEDQFPPLHARTRKDLQ >cds.KYUSt_chr7.19230 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119118215:119120646:1 gene:KYUSg_chr7.19230 transcript:KYUSt_chr7.19230 gene_biotype:protein_coding transcript_biotype:protein_coding MADADRLAGAPPPPAPPPLPPIPPRPAIRVPSASASDTYPPFFSAPLLPLPPVDAQLERWLRRLGAFLAASGLSASTRAEVALAASVLAVVGVALPAAAVALSPCHDRGVVCDDYEVELFEEFVMVSQAAAAAVAVACVSRKMAMYGLRKFLFVDPELGMRIRFQKEYAARIEDFFRTLLWWILPCFVVKVTREIFRFSHMLHESTWRAFIVFFASIISWMYLTTIVLSSSLLFNLVCNLQVIHFDDYGKVLEQDADPLVYMKEHLQLRHNLSKISHRFRMFLLLLFLSVTASQFAILYKTTAYKGPINFTNGVLYLLTNPGGITLYGWTVDRTFLNTILTVELTLVLFVLSQTIVSPASTSFNSYMSFR >cds.KYUSt_chr4.4896 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28035580:28036095:1 gene:KYUSg_chr4.4896 transcript:KYUSt_chr4.4896 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGMKRAREEQPVSLALSLTTDSTSSTTSADSSGATPMTARKRPRRGRMIATSGEGEFVCKTCGRAFATFQALGGHRTSHLRGRHGLELGVGVAKAIKDRKRGEEKQPHECQICGLGFEMGQALGGHMRRHREEMALSGGGDGRWLIAQLDQEVVRHGADRPPVLLELFV >cds.KYUSt_chr2.25624 pep primary_assembly:MPB_Lper_Kyuss_1697:2:156771397:156779517:-1 gene:KYUSg_chr2.25624 transcript:KYUSt_chr2.25624 gene_biotype:protein_coding transcript_biotype:protein_coding MRATVLLFAATPVVAVRHRLEADVRPVPRHARRSKTRARAAWATPTQPLDSLLIATNVVAALAFFSIACIGGSKAGSSSDQRRPDLAPVLRDPRLHEKGRAGLLLRATAAKVRWPWVFELVDAAMEGWIVEQMHTLRPVVETGYENLLLVRLLVEILVPSARHSSVSEGLSVQEILENWLKLKSTIMSEWNEDRDSLVDLFGRIRDDWIENDLPGWIGANSPKVKVLQQLQQMPQHQGLTLQSLSIPLSLATVAVEIGRGSPTTLLLADLRALLWTGVGDLVCCLAICDDLFCNWIHGDLKNPIQFASWVARLLLWLPQDSPELLLAPSFNLRREALFTLPFDGKDAAGDGGKCIAGEVLPAVL >cds.KYUSt_chr7.41200 pep primary_assembly:MPB_Lper_Kyuss_1697:7:255239919:255245338:-1 gene:KYUSg_chr7.41200 transcript:KYUSt_chr7.41200 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGAGVLAPLARSLAPGFGLVEAGCGRCGRCPAGLPGLSLRGWRGSLGAGLALARRTRPCPWGRLCCCLRHHERQELWERWRTWGPVTAPDPWMGLGPGSPPGVSPLSCASSSPDPAQKQRRFPRGLDDLCPSPIRSDLRRISTIAHHQQRNNDFFLVVLTDQHGATTLVSGVEEIDTSPEDPIVHLSPPYLDVEPRGEELDTGATPVTPWCRLRHVLVPHSEACPPRHLSCPVSLFPDVSMLDQTLLRHGRFFKFGLWYIKCSVTATVHRSEPSLVVGSLPTSWRSRVQILLL >cds.KYUSt_chr1.9401 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57345826:57346431:1 gene:KYUSg_chr1.9401 transcript:KYUSt_chr1.9401 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAACKHHNAASRRRLRICAVVSLIVLLLLAAAVTALAITALRPRPADTTISAMRLTSVSLSPGSLSLNVTLDAVLSIRNPSPVASFAHAAGQAEVFYRGAVAADADVPPGRVGAGGNETVTLRLTVLADRLASYAPQLYGDVVGGAGDVSLTVQTTVPGTVTVLGLLRHHAVVITVCHVTVSVRRPGAQSSSCQYRTKL >cds.KYUSt_chr1.2247 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13161706:13163458:-1 gene:KYUSg_chr1.2247 transcript:KYUSt_chr1.2247 gene_biotype:protein_coding transcript_biotype:protein_coding MACNSHVRWEPQEEAHHPDLDLPSASVQHLVEHVHHLLLRPRRAPARSVASAYARVLRAHLLTVLDAARLSPDPAAKHVSAKIVVRLVTAPGQQRPPESKPRHGQAQTAVDASGRLAPSAPVAPPSVGIACSRRQRAALLVPFDAVLHVNLI >cds.KYUSt_chr5.15224 pep primary_assembly:MPB_Lper_Kyuss_1697:5:98295878:98297683:-1 gene:KYUSg_chr5.15224 transcript:KYUSt_chr5.15224 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRADSFYARLRAAAVAAASAASSPLLILPSAADADSLCALKVLAQVLSADSVRFSIYPVASAAAAATLLASFSASQPLCLLLINWGAHRDLRGILPPASTAFVVDSHRPVHLHNLAAGNDRVVVLFTADDEHTADLSYDFDVSSLADASDLAADADDHLRASDSSDDSDSDAEGGAGGGRRKRRRLSDDGEADGDPERLFAKLRKQYYRLGTFHGKPSGCLMYDLAHALRRNTTDLLWLACVALTDQFVHDRITNERYQAAVMELEHHINGSGNLDPSGLGSVVTLKDGTKIRAPEASRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKRFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFMRVHGYRSKVSAADVVYGVTALLESLDADSKDSKESCAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKSGFIRSAKKFRWVKLDDPVDTAKLCHPQALTKFCFFLMDALKERGARMKPLICACLAREPEKVLVIGVCGKPRLGAVQGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVLAVSSFMIRLTEKL >cds.KYUSt_chr6.14653 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91876473:91879125:-1 gene:KYUSg_chr6.14653 transcript:KYUSt_chr6.14653 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQLSCTNSTLYAYSSTPYASIDHYILVNYIDINNGILKVKDNTYSEEGFLLRMNEDVELYVGYGESVSQHWAVANLTCREAQQNRTGWKKDIQNKLRRKHFRQNQGLLLEQLISSDENASDNTKIFSLPELEKATNNFDATRIVGHGGHGMVYKGILSDQRVVAIKKSKVIEKIEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHVDTTSSFVLSWDDCLKIAAEAAGALYYLHSSASVSIFHRDVKSTNILLDGNYTAKVSDFGASRLVPIDQTHVVTNIQGTFGYLDPEYYHTGMLNEKSDVYSFGVVLVELLLRKKPIFTSDSGLKQNLSNYFLWEMSHKPLADIVAAQVLEEATSEEIDDVASLAETCLRLRGVERPTMKQVEMKLQHIRSKRLRSSQVAVTSEDLQHLLSGQRQVNLPLLGVQAGIGNRANLPSQREQNYYSLEQEFMASATLPR >cds.KYUSt_chr3.31847 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200041222:200042907:1 gene:KYUSg_chr3.31847 transcript:KYUSt_chr3.31847 gene_biotype:protein_coding transcript_biotype:protein_coding MCTHTHGRSTPSSISRNQAHMAKGTAKKTAAAGDGRSKEGVVEKVDGMAPVKGGGGGDLANEVVVSMPVHCEGCARKMRRSLLRTEGFEEVIVSNSTNTVVVTGQKALEDPMMVVDRVERRTRKKALLLSPSPAKLPPPSAVKNKDTKKEAAKADMKNDVTELDMKMGVVMKIEMHCEACSEEMKRRILNIKGVEEAVPHMKSSELMVKGAVEPATLVGFIHKCTGKKAAIIRAEPLDPPPAAAAMMGGQTPADANAKQQEPSDNLKEKNEGVKEETKQEAKTGGGGGGEVQNVDEKTKTEIQDKGDGDGVEKEKTQVHMPNDAIDGVVEEKNQTKDHQFKLPVQDAVVAVAPEADLYEYYYHPPYAYAYPHYAYQQYQYPYAGHPAAMYRPYPHYPPAPQTLSDENPEACTIM >cds.KYUSt_chr3.23487 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145165111:145171384:-1 gene:KYUSg_chr3.23487 transcript:KYUSt_chr3.23487 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAEVRPRPRPLKVEAIKSFVATAFGKELRDLKLDVLGTDDSPIPITGYYTACTHPKLSARFSLLQKSLAPSSVNSLGSRNNCPVPGTLINTNNMLGFQNLDVASLLREEGKKILHDILSGKIEECPSLLLRFLVISFADLKNWKLYYNVAFPSLDFNSNMTLLSLHSASQVLSQEEAISLSKSMKEWCESNETTVHPFFWVDISSGSSVVVRQLKDWKDRQGGGQKLLFGFYDHGCHQDYPGWALRNYIVFLSLRWKIEKVQFLCYRERLGGIDLEKSLIGEASFAPHHGWDGSDYVPEVIGWEGETPGDGRKEMKVNSISLELMKKESQEEQQQLMHLKLMGWRHFPVDLDKLGSTRCLVLGAGTLGCEVSRLLMTWGVRKLTVVDGGCVAMPDLVKQSLYVEKDCGVPRATAIVPHLKERCPAVEVEGIRMEIPVPGNPVSPSVLDDCRLLQTLVAKSNVVFLLTDTWESRWLPTLLCANENKIAITAAVGYDSYLVMRHGARPGTRSGGMDDVIAQTKNLSTEDALGHQRLGCCFCNEKTSLFNSVSNETVALPGLTSIASGKAVELFARMLHHPEGIHAPGDIAGMDTEHQLGLLPHQMHGSLPKCVLSTVIGNSSNNCTACSDVVLSEYRRERLDFIMKVINQPTYLKDLTGISNSLIKSDTCLNLPASFPVNSGKLSSVKCLILGAGTLGCDVARILMDYGVRKLTVVDSGCVVVSNLARQSLYTYDDLNAPKATALLKRLKERDSSLDFKDCKMEIPMPGHSVSYDGADHVLEDCEKLQKLVAAHDAVFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLAMRHGAGPRTNSEGSNVDPAMTMSAEDVIDRKRLGCYFCNDVIAPVDSVSNRTLDQQCTVTRPGLAPIASGHAADLFARMLNHPDGIHAPADIAGTTSERPFGLLPHQIRGSLSSYNILTLLGYSSSSCIACSDVVLSEYRSRGMDFVMQVIKEPTYLEDLTGLTELMKSADYSRVEWVDDVDDDDEFAEIC >cds.KYUSt_chr5.13393 pep primary_assembly:MPB_Lper_Kyuss_1697:5:87180053:87186526:1 gene:KYUSg_chr5.13393 transcript:KYUSt_chr5.13393 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQGSSSSASSARFVTASRAFSKQALDDLRARFASLAERSGTQGRAISRPVFLEYFGVRGALGDRLFQLVAKDGCEEDGVTFEGLIICKATYERGTRDEADEFIFQLCDVMGDGALTRSDLESVLASIHETIFENNKEAGEGSNKRTSEAFLNSAVFSTNAEGVSEKSMSLSDFRNWCIVMPSLRKFLGSLLMPPDSGRAGFQVPLLHYPENISSELLLLNKEFRPEVIECWGIQVKGSLDDKPELVKGTVLERFKEDRNMLKLIESAINLKSKHNSTTAVRLEQRTFDHHQSLHGNILKSVDFSSHQPDTAFHYIADMADSVVFVQHLACQIKQFKLRKKQQIEWRDWI >cds.KYUSt_chr5.16918 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108943242:108943469:-1 gene:KYUSg_chr5.16918 transcript:KYUSt_chr5.16918 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGAGGGPDWNGLLKWSLAHGGDGTTPSRVLRSVQIPPSNPPGSPIQILRKARAAAVSVNWDSDFTARVTSGF >cds.KYUSt_chr3.9246 pep primary_assembly:MPB_Lper_Kyuss_1697:3:54150298:54151881:1 gene:KYUSg_chr3.9246 transcript:KYUSt_chr3.9246 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASSARVMEDPPRDSGQSTNGPEPELESTILDDTGGSRSHTMDDSNGQSSMDVNRDLSFMDVDANGRSSLDDDSKGKYSSESHPEVPIDMSLGSLEEFCKEASRSFFDEIGLISHQLNSYNQFVSHGLQELFHSLGEITVEPDYDPSRKGPGGWRHAIIKFGKVELHKPVFWSEKTDFAEESLVLEPRHARLQNMTYSSKMEVEVNIKVYSMEKSDKAKTGNDLSVQSRVLIMNETTTMNIGRLPVMVNSNLCWLHKDKKSEHKDKKSGHADKAIDCLYDSGGYFLIKGMEKV >cds.KYUSt_chr2.51891 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324006209:324013248:1 gene:KYUSg_chr2.51891 transcript:KYUSt_chr2.51891 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLCLAACAAVAVHLCLLLSPSAAVRWLSDPAPESNAAAVHDSYRTAYHFQPANNWQNGPMYYKGVYHFFYQHNPYRATWGNGNLSWGHSVSVDLINWSALDNAMDPDSSFDINGCWSGSATFLADGTPVFLYTGIDANNNQVQNVAFPKNASDPLLREWVKPSYNPVIPLPEDVVHDNFRDPSTAWIGRDGLWRVAVSAGFYDGTGSTLVYRSKDFRQWERNAEPLYSSGDAGMVECPDLFPVAGPGDHNGLDYTPSNGAAASYVLKQSVMVTLSDYYVLGRYDDAADTFSPVGADNDCRTWHRFDYGHVYASKSFYDTGKNRRVLWSWANESDPEPDYIARGWAGVQTVPRRIWLSDDGKQLLQWPIEEIETLRKTRVGLLGAEMNAGGMNEIIGVTGTQADVEVVFEVPSLEGAENLEPNQLLDPQRLCGEKGASVLGGANGPIVLASGDLQEHTSVFFRVFRHDGKYKVLMCTDLRRGSRARLCGRGDSWPGHVHGRAHDVLGMALGALGTTCASPRDFLSGNGGARQLQGCKGKLRDMGARVEGKEKGGECTMCAMAGMAWLCHAWLAPLGFLCCCDEERGQGDRVE >cds.KYUSt_chr4.40904 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252751248:252755433:-1 gene:KYUSg_chr4.40904 transcript:KYUSt_chr4.40904 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLASELHRLRRSPWEVLWSALVSCGLVLFSQLAVAIVPRLLPSISLLAMLPVAGLVFLATIVVGRLWRRFIGVGASAPLFVLFNVLFLWGVYIFVIRRDTSSLLDMLINAECALLLWGLYRILSGDPGIVAYESSFLEEAGCKDFVDAICSSEKHPSLSRVRQCNCCKANVRGYDHHCPAFGNCIGQKNHRLFMALLTGFVVAESTYTMCSTKYISRCINSGTIRTENPLSLNMVIGAMLFSVLQVLWQVVFLIWHVYGICFNIKTDEWINWKKYPEFQMKEQPQSDCGVKFVNPYDKGMLCNIREFLKPK >cds.KYUSt_chr3.30730 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192806333:192806893:1 gene:KYUSg_chr3.30730 transcript:KYUSt_chr3.30730 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFSPTPFLFFAASSFASALLLPYPGRTNRRTHAAAAITRVQAAVGCWRSTSSAQWVFRPRTWSEGVGWRTDDDALFKEVGRPAGSGNDGDAASLVASGYGRPCAVRILEFPPLPPFSLTRLISSLSNERSGGGQEDVSVRRLHRREGDKGDVGEARGNPSDGYEDEGRAALGGYSGGEQGQRPP >cds.KYUSt_chr2.47769 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298805603:298806980:1 gene:KYUSg_chr2.47769 transcript:KYUSt_chr2.47769 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPKLPTPSCRSPPNPPKSQFALSAGGRFHGSGSAQGAAPIHLNFPLLLSASQQEAPTAKSGDTRSRGASGGGGDPRRSDFYLNLGTAVRTLRDDLPAVFVREPNYDIYREDITFVDPLNTFHGIDNYKTIFWALRFHGRLLFSEIGLDISRIWQLSENSIVVRWELWGTPRVPWESYGCFSGTSRYKLDRNGKIYEHKVDNLALDFPRPVVKVGRIADLVVAAYPPSPNPTFWNVVGADDRCSWTKLYRAVLETVEREGDIPTGICVEGLLTCA >cds.KYUSt_chr4.34361 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210938426:210939009:-1 gene:KYUSg_chr4.34361 transcript:KYUSt_chr4.34361 gene_biotype:protein_coding transcript_biotype:protein_coding MASISTHDDAAAAAAVTSHVENAPAPAVATGDREEVADVGKGTAAVKETSAEEEQAAAARRDVFLLAGIRKLIKSFRSLSHIFEIYKDEEEEEEDIMDIQIGFPTDVQHVAHIGLDGSSNVASLRGMEGAARDLLSLSTNLSIQQFDFAMASLAAHDDRNAALARN >cds.KYUSt_chr5.33125 pep primary_assembly:MPB_Lper_Kyuss_1697:5:210074781:210076943:-1 gene:KYUSg_chr5.33125 transcript:KYUSt_chr5.33125 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEILSRLPIRDIVRTSVLSRFWRHRWKALPSIDLTWPAQHVVAREAVKAVLHCYPGRIQRFSALVGKGLARSLGVWFRKLASCGIEYLYLDGACIFPLHVSVFALASLTSLELCECRVPGLPNGFMGFPNLTKLKLFMVEFPAGGEYHLQDIIAKSPLIEDLYLGEARTLSDTMNLWAIQAPNLRHLTLASSDNYGWILGDFPLLEYALIDLGKYLSGRDFGMLLGKLYHAKMLEIYTCHSIPEVQIKDIGFYSNEMFFIELVLSKARLLSRLSIRLDVECLIPKEEVLDVLLKYSKASPNVEVGLEDLDDDMDMEIGTDLEQSTDDDS >cds.KYUSt_chr4.19858 pep primary_assembly:MPB_Lper_Kyuss_1697:4:124914709:124916284:-1 gene:KYUSg_chr4.19858 transcript:KYUSt_chr4.19858 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFVPVASSAVGYDDEDRISALHDDLLHIIISLLPVKDAARTSTFASRWRHLWRSSPLFLSDDDLLPSAVTRVLADHPGPFCVVEISRCRFASHDYELTEWPRLLAAKGVHHLLLVNSIDESTVDDSVSLPADILRCTSLRELFLGGFSGFPDTAGLPRAPDVFPHLHQLGTVMMTISGWDLDYILACSPVLQTFIFAQSTMPNLLQLRSQSLRCVTLWNSTVDGVTMVDAPLLERLFLLEAPRGGDGNTVVLSIPCASNLRALGYMEPRFHSLHIGDNVIKPGTMPSPSTVVPGIKILACKVNFGVLHEVKMLVAFLRCFPNIDTVHVESLTEPTGRTHAKFWEEVFTIECIKSHVKKIVVHEYRGDQSELEFLQFIVASAHELRTLSVLISKNTFSNLANAAEMTSILGTLSGVPWRRHCKMTVLGPEFQNEQNILKASDLTVDDPFDW >cds.KYUSt_chr3.39048 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246014390:246023959:1 gene:KYUSg_chr3.39048 transcript:KYUSt_chr3.39048 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYKGGIKYPENIEYGMEFEVLFGVSDGIPDITRSSGMVRRIRFIYRKSFSSFENDPRKHQSNKSKMKTFFVLSLMLTIVAVAIDIHLKDLNTHFAIKDLGGLHFFLDTEGHPIELFRVQAMEAVEVESKGSHGGDGDRRTSRNDRRSSWGCTLLLVNNCLQNTSYFGLSTNLVNYFQVELHAGSKSAANSVTNWMGTSSITPLAAALLADSFLGRYWTITLFLVISVAGYGLVTASASAALQSAVFYAGLYLVALGGALSPVMVSFGADQFGDDESERGQQSSFFNWFYFSMNVGSLVGGTVLVWVQTAHGWRLGYGIPALLSVLAVALFMAGTSAYRRNQPPGGFPATRIAQVVVAAVRKWDVEAPDDAALLHECASDDGVSTIQGSRRLVHTDQFRFLDKAAVEMEGDRRVWPASPWRLCTVTQVEELKCVLRLLPVWACGIIFAAAYTQMSTTFILQGDTLDPRLGSFRVPAAVLSVFDTLSIMIWVPLYDCVIVPLVRRLTGNNRGFTPLARMGIGFVVLTITMLLAGVLEVARRRVLARHGTYIDADGAEYVPMSIFWQVPQYVVVGAAEVFTFIGQLEFFYEQAPDTMRSVCSGLPSVAFALGNYASSAIVAVVVRATARGGRSGWIPDDINDGHLDYFFWLLAVLCVGNFSAYLVVARWYNYKKTVD >cds.KYUSt_chr2.26009 pep primary_assembly:MPB_Lper_Kyuss_1697:2:159216050:159217726:-1 gene:KYUSg_chr2.26009 transcript:KYUSt_chr2.26009 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAFHQLRSATSSSVSSQDGDSGSNSTEDETENQDGNDESRLEKKDGVKVVAWTADDQKNILKIGCLEIERNQRLETLIARRRARKYVNRNLIDFDSSESLPTVEELSKFNVQLPTVFAPRRNPFNLPYDEDNFPESAPSAPLKMRNAFQLPRKQEDESSSTGGDNLSNVEPGTVAPQPQKNRMLRRHESFTEGAPFITDFWQDLQPSRFRPYFVTETTDNDGFTVPILERETSAKSSVQDSNSTASVADQEIQKELLEDSSNQGQGPSFSQTDEQSPSAQYMREDPLALDIDPPVLISESSDDDMPLPDGHIYDWEEAQGSENLNLSHLEDLSVMQYPQEMEMTSNDFHQISPHSDDLESMSSSTEATGLFEVNNIELTGRESEIDETQIGDPIYDSSPSGTDKPTSMGSPIDAVFLQEGNAHNYSDAQASKEEEGSPSRIEVPSNEIISPSLSSMEASRQNGTSEIMDHAIVDYGVHTDSLCHPDPAVSDISSRSVASS >cds.KYUSt_contig_1253.883 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5539317:5544345:-1 gene:KYUSg_contig_1253.883 transcript:KYUSt_contig_1253.883 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDAILGVARSEAPSTGDAAIPDQSQMQVDGPVALNRSAEHEPDPSDAMDIDGAPAQAPPPTLTDTIVQVQKQLKRKRASNGPAIAAAEKEALVAGCRQELQGLFEYYKEVSGHRMQLEGGNLSGNAVIGCLLEESALGLTKLVDEAFEKLKGTEGVSVASVRSSVLLIGQRMMYGQSSPDADVLEDESEMSLWCWEEKAVQKMQKQLEKEAKRQEKEEQHIMKQQKKMQEEALREQKRCEKEDAEAKKRQKKQEEEALKEQKRREKEEAELRKQQKRQQEEAEKEQKRLEKEAAQLKKQQALQKQASLMQRFFKSKDGEKQDQSGENNTGACSVDQCTTTKELVSAATSIIDSSFSLKERWTLEYLRRLQITGWQKLSSYNRSSRWGIRHKPKKEAFKALKLQKTSDDMLDEVLSTSNEDICHNSSHENESDKLGNDIDMLPASEMQCHATNSNNSLTTRLIKRKLLQFAKSNRPAYYGTWRKQSAFVGPKCPLKMDPDLDYEIDSDDEWEEEDPGESLSDCEKDADEVVEEDSKITDEEEEDSFVVPDGYLSDNEGIQIEGLLDDDKDDEASSLPPSQCPEIEEFRTLLRQQKVLNNLTEQALRKSQPLVISNLAHEKAELLTAQDLMGTSKVEQLCLQVLSMRICPGGGVVDVPVIDSSAIAEETNQSNAKSSPAASSILDTDLPEIVGVVLSSRDGINKLVESLHQKFPTVAKIQLNRKVREISDFVDNRWQVKKEVLDKLGLTNSPVNHPQKTKATASPSLPQKTKAAAKLPRKTKGIGMYFSKRCLPPEEAINALASSPELRLKSKTVQGNNGAAGAPQVDLFPSTK >cds.KYUSt_chr1.8346 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51370494:51372186:1 gene:KYUSg_chr1.8346 transcript:KYUSt_chr1.8346 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGTTGFEYPPGHYFVDYAEDDYVEMPPRRVPPPPPPPPLEIGQALLNVTQILTQLAQNQAQNNEGNGRVTIREFLNLNPRTFDTPLKPLDADDWLREMNRTLNTARVAPADRVSFVTFLLRGESAAWWDSYLERRDPDVETGWDEFQALFRRHHIRDGAMDKMREASSHS >cds.KYUSt_chr6.19949 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125780160:125780504:-1 gene:KYUSg_chr6.19949 transcript:KYUSt_chr6.19949 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRANTTWYLVDDDDLVEVARRRDAVAKRARDEDLREEADARGWSSAIPDRSLPLRCRRGSVSPSFARATTSVAVAEEQICSREVASSTMLHSKSEAQGIATVCAETYFLTVL >cds.KYUSt_chr6.30973 pep primary_assembly:MPB_Lper_Kyuss_1697:6:196333824:196336305:1 gene:KYUSg_chr6.30973 transcript:KYUSt_chr6.30973 gene_biotype:protein_coding transcript_biotype:protein_coding MADPWAREYGEAARLADDVGSMVAERGALPQSGPEATRHASAIRRKITILGTRLESLDGLLARAPPKSVYVFARSITYVSSTDKELRKRQDMLSTLKSRAKQMGQSFNMSTFANREDLLGQTKKTADDINRVAGLDNQGIVGLQRQIIKEQDEGLERLEETVLSTKHIALAVNEELTLQTRLIEDLDDHVDGTNSRLQRVQKRLAVLNKRAKGGCSCMSLLLSVVAIVMLVVIVWLLMKYL >cds.KYUSt_chr5.6553 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40723943:40728759:1 gene:KYUSg_chr5.6553 transcript:KYUSt_chr5.6553 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMMESVALGNSAEQSLRALVVVACNELLEAGLKRRHTTEESSTKLRQSSKDGVKVNDAEDVGVEPTKRIEILAARREPRESCIVVLPWLSWNTVPGAGDVLDGEGAEMLPWLSLLHAPRMLPKEMRLWVVVAWLFVCGATALAGGEQPLSRIAIERTILAVNDSVHVKASPLVLGLKGENSGWVQVEFFHPTPSKDDWIGVFSPANFSDAICEPENNSQQPPLLCTAPIKYQFAKFKNSGYTKSGKGNLKLQLINQREDFSFALFSGGLMKPKLIAVSNMVTFANPKAPVYPRLAQGKSWNEMTITWTSGYDIKEAVPFVEWGAKDEPRFLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSFLKELWPDSPYTYRLGHRLPNGTNIWSKLYSFKASPYPGQDSLQQIVIFGDMGKAEADGSNEFNDFQPGSLNTTNQIIRDLENIDMVVHIGDICYANGYLSQWDQFTSQIEPIASTVPYMIGSGNHERDWPGTGSFYGNIDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTYYETEGTLEEPMGREALQELWQKYKVDLSFYGHVHNYERTCPVYQSQCVVAASDYYSGPFMATTHVVVGGAGANIADSKFTTSNIQWSHFRDFDFGFVKLTAFNHSSLLFEYKKSRDGNVYDHFTISRDYRDVLACSIDNCPRTTLAT >cds.KYUSt_chr7.3861 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23041094:23041603:-1 gene:KYUSg_chr7.3861 transcript:KYUSt_chr7.3861 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTLTPVMKPERRRGAPDTGGEAQTGEGADGSTTCMPACSMSATMGWRRRVARLARREVQSTGIGDVDCGALDQGEAWKGQRRQGRGQDGAARMPVVMSAGPGGEDAGGEDDARRLLVCRSQAKLDVAKPVGAGDYKAGQGEAGKSEVGHGEAGDGVCRRGEAGCGGS >cds.KYUSt_chr2.16122 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101458893:101460301:1 gene:KYUSg_chr2.16122 transcript:KYUSt_chr2.16122 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRQEKRARIADPPGEHSGSTAAHSNWRDWANLIAGPASLIAEHVLAIDVADYLRFRAVCGSWRRSTASLHTHGGLDPRFHPRRWIMLPRTLGALRKRREFMNISTGKRIMVDLPELRYQYIFGATSGGLIVLCDKRTYDVRLLNPLTRQLTSLPNATTLTGSLERRLSGYSIKFRRVYSAGLADDSTVTLHFDQYWLVTAKPGDKHWTRLIVHYPINTGVVASLSFAGRFYCVTETAVMVVDTSADTPQLVAAAELGDRAVIKLYDRTTRLVDNDGELMLVHRIPYENLNTLREGYQVHSVDLEARTTLPMEGLGEHALFVGHGRLGKAPAVLLPARLSPYVRANTVYSCKHCGDYYWCRNRHGKFDDRPIIDVYRLPYGRVRGGIGDADSCSIIEYVSRYACGSDIVVKQQPRRSQRLEQRRLLQLSALQHNMGIQE >cds.KYUSt_chr4.19897 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125131576:125131968:1 gene:KYUSg_chr4.19897 transcript:KYUSt_chr4.19897 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTGPTFRRPRTSTELLYPADVLVEKTLRVWAKSRWRTEVELTRDFLDEGFSHLPPGSPVMYYVNESREGVALKLLTVTFANRFDAYALLGEVFWCGCESIFFTTYNVFTDCNAIFFSGKPMHCLPYYK >cds.KYUSt_chr1.18315 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107031225:107034226:1 gene:KYUSg_chr1.18315 transcript:KYUSt_chr1.18315 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDAEKVLVDVGTGYFIEKTMTQGKEYCERKINLLKSNFDELLEMATKKKSIADEMGMLLQAKLRQASASPSS >cds.KYUSt_chr5.42482 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267717872:267725388:1 gene:KYUSg_chr5.42482 transcript:KYUSt_chr5.42482 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPSSPSPAVAMACVLASEVATVLAVMRRNVRWAGVRYGGDDGGGGGADDEYLDHPLVAGLKSLRRRAASWGTRWPAGDPLLYLRPFLDVVRSDETGAPITGAALSSLHKILSLDLVAPGPGVAGAMGAVVDAVTGCRFEVTDPASEEAVLARVLQVLLACVRGRAAPALANRHVCAIVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRCIFGRLADFDATAIVSDQISKNQDLDAGDLDNGKSDYVCLDSSGDEVGAGLDVVQDKAMMEPLGVPCMVEILQFLCSLLNIAEDMDLSQRMNPIDFDEDVPLFALGLINSAIELSASSIHRHSKLLAFVQDELFRNLMLFGLSMSPLILSTVCSTVFTLFYHLRQELKLQVEAFFSCVILRLAQGRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQCSNVFEDLANLLSKSAFPVNSPLSALNVLALDGLVAVIQAIADRTGHAHQHHEQTVPEISEYFPFWQLKCGSSNDPDQWVRFVHQQKSIKRKLMVGVEHFNRDKRKGFEYLQGVHLLPEKLDPCSVALFFRYTPGLDKNLLGDYLGNHDEFSILVLHEFAKTFDFEEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPHMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGTDLPREFLSELFHSICRNEIKTIPEQGAGCSEMSYSRWVDLMWKSKRTSSYIACDSYPFLDNDMFPIMAGPSVAAISVVFDNVEHEEVLTGCIDGFLSVAKLAAFYHLDDVLNDLVVALCKFTTLLNNSYADDPVIAFGVDAKARMATEAVFTIATTYGDHIRSGWRNIADCILRLHKIGLLPGRLTGDTGDDHESSSDSLPSKLGSYTVAPHILPINTPKKTYGLMGRFSQLLYMDAEEPRSQPTEEELAAQRNALETVRKCQIGTIFTESKFLQADSLSNLAGALIQAAGRPQRISSSLDDEGTSVFCLELLITVTLNNRDRIVLLWRGVFEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLKSLQLILKLDARVADAYCENITLEVTRLVKANATHIKSQMGWRTIISLLCVTARHPDASDAGFEALVFIMSEGAHLSPANFIVSVEASRQFAESRLGSAERSVHALNLMADSVNCLTRWSREVKEAGGEADRILEGIAEMWLRLVQALRKMCTDQREEVRNHALLCLQRCLVVDGISVSSSAWLMSFDIIFQLLDELLEIAQTYSPKDFRNMELSLLHAVKLLCKVFLQSLKDLSVQSSFGKLWLEVLDMVEKFMKVKLRGRRTEKLHEAIPELLKNILLVMKANGILSKSAGGANTLWEATWLQVNKITPSLQSEVFPDNESDSVTKGEQSSPAQEGQTAERPATVQPAAA >cds.KYUSt_chr1.39278 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240245068:240246062:-1 gene:KYUSg_chr1.39278 transcript:KYUSt_chr1.39278 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSAALFSVLPHPTAATPASARAHRSRHRPTRSAIRCSAASPDLSPGAPPPAPPKPLIELEFLAPKPGADGSYPVDRATAVSGDKLLRDIMLENKLEMYAAYGKLMNCGGTGSCGTCIAEIIDGKELLNERTATENRYLKKKPESWRLTCQTIVGNKENSGKA >cds.KYUSt_chr6.3610 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20821380:20823650:-1 gene:KYUSg_chr6.3610 transcript:KYUSt_chr6.3610 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIELADLRVHLPGALQHEPADTASTLPAAQSLRAGGPQRPLPGALQHEPADTESTLPAAQSLRAGGPQRPLPGALQHEPADTESTLPPARAFELAVLADHFRVLFSTSRRTPSPPCQRPRAFELAVLSDHFRVLFSMSRRTPSPSPLRPATPSPPRPAAPCLAATLSPRPAAPRPAVPSSPRPAAPSPPRPAAPCSAATSSPHPAVPSAPRPAAPSPPRPAALSRLARLRLRRLAAAPSPSRPAAPFAASSGCDFVASSGCASSDRTLRRLAWLRLRRFVGCALSAATCRLVWLRFRRLVRCALAASSGCAFVIRLRLCRLDRLRLVWLRLVRLRPAAFAWLRLSLVWLRLRRLVRLLFAASSGYDLPPRPAATSSPRPAAPAPSRRLRLRRFVWLRLRHLVRLRLRRLVRLRPRRLVQLRLRRLVWLRLRRLAGCAFAVSSAAPSPSRPAATAASSGCAFAVSPGCACRHLVWLRLPSRRLRLCRLVWLRPRASSGCAFAASSGCDFAASTGCASSAAPCPLRPPPRLAALRRFVRLFLRRLVWLRLRRLVWLRFIASSGCAFAYLAGCAFAASSGCAFAVSSGCDFAASSGCALVASSAALSPLRPAAPSPSRRLRLRRLVRLRLSPPRLAAPSPPRPAATRRLVGCDFAASSGCAIAPRPLRFAASSGCAFAPRPAATSSPLPAAPSPSRRLRLRRLVRLRLLRFVRLRLSLVPPPRPAAPSPPRSAAPSPPSSGCASAIIRVRLCRLTHR >cds.KYUSt_chr6.26201 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165996499:166001656:1 gene:KYUSg_chr6.26201 transcript:KYUSt_chr6.26201 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSAVTGGSSAGAEGASAAPLGQRLMVHVAENGHSMEFECGGDTRVDAIQRSIELVCGVPPADQLLLCNNIHLNAANDLAHYNLPRDDREVFLYNKARLHAEAPPPAPESIDIPEPSIPPQPQPEDTPLELSADPALKALVSYEIRFRYDFHVANAYYHSSKAKYEVCNRLLREWQVQERALDMARSNLEQAFRKPSQRYSNFLRSFTQQHRGYVEVLSSFERDCKRLRAVRLHPDLQCEGRRCLLDLMDENILRKLADEYLSSYKNFEVVVSALKLKFAELKKRVDGLLNAMSSSAWKDLEAMIKEHARVLGDQKSIMQSLSKDVNTAKKLVDDCSRSQLSDSLRPHDAVSAVGRIYEVHEKDNLPSVQKFDHMLTNLLQKCKAKKNETNTLVHVCVRGVKSAQIDIKDMITNQFILYEEAIDSRDKEYSYLKLLGGLGHAYKACLAEVVRRRHSFKLYTGLAGQLAEKLAVEREAEIRRREVFLRTWCKYIGGEIMGSMGLFGTPSQCDVNIAPFDCNLLPIDVDDLERLAPQSLVGSLLKSERSQQKSQSSDSSTPGNFSNSEQNNLNTDGKMDLQDFFGGCDTDITGTSILEVENARLKAELASAIAVLCTFGATPESFDEGENDNVLRDARERTAQALTAKDEYANQLQSMLKAKQEQCLSFEKRIQELEEQLANQYINGHMVSGSKGASDSLLSTFKGHDLDASGGRQTHLRDESSVAMDETSSTSEHPSKQTEGGDENMTDVSGALNLQLLNSAGCTNLDASMAEFPRDNELKPVNIDKEGRILTQHTTTNTSDVPAEDPLSIINSRTNEHHTLDLRNSELFVLELQNAVDKKSKQLDEAENKLSSVMGEVNSLKKELENAQGLLDESQINCAHLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGMSGFADSLRSLALSLASSVKKDEADSTIQFQQCIKILADKVGFLSRQSDELVERYSRMEAAHGILVRELEEKKTLLNNLYSKLQLEKQASKEKISVGHFDVHELAVFVRNPAGHYEAINRNRSNYFLSEESVALFTEPHLPRQPAYIIGQIVHIERRAAKHVDQNEASSRPGRHRRSMPNSNPYSLPAGCEYFVVTVAMLPDTAR >cds.KYUSt_chr3.2080 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12108837:12110063:-1 gene:KYUSg_chr3.2080 transcript:KYUSt_chr3.2080 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEELLRCQIQEWYPAFRRHTVPTVIIPLPAAFLRYLAGKTAYPDPAAAASDEDGPLPFLLPTITSGRTPFPPVRAHLPDPVSLLDHDASELIFDSCSSSDDEDEQNLLRPAFPELEAAVDAAIADLGGAALPKLNWSAPKDAVFMAADATTRCTCFAEVAMLLRASDCIAHDLVSARASCQDFVRPEGVRRNARQGTGALPNGVESSNGSGPLNDPARDEADSDTSEEDDSWLEDGFQYYLALRKWYPGLRPESEFRCFVWGRKLVGVSQRDPSAYYPSLPGWRAEVQPKIEDFFDDIVEPQFASENYTFDVSVRADGRVKLIDFNPWGGYTLPLMFEWEELEEEQRGDDELEFRVVMQQGAVRPGLMTAVPYDMLDWGDGSGWDVFLKKADSELNRQMASLDVDS >cds.KYUSt_chr7.39882 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247737255:247738178:-1 gene:KYUSg_chr7.39882 transcript:KYUSt_chr7.39882 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIMAPSISTAMLLLLPFGLLVAVILVVYPNELALQSSPTCQNNAGLTATDVVGVAVDFRLLIGVLTLPGLYERRNLIRTVYALQQPSLPASRIVDVRFIFCRVASEEERVLVALEAMRYGDVVELDCTENMDNGKTYSYLSSVPALFGASAYDFVMKTDDDTFFRLPQLAESLGRAPREDLYYGCRVSCDMRRAAKDEYMSGMGYVLSWDLVEWIAAAEVIRNRTAGPEDWTLRKWFGLGGKAKNWVDAKPAMYDFPQPRDACAHQLVPDTIAVHKLKDNERWSTTLKFFNFTAGLQPSKFFRNV >cds.KYUSt_chr5.5558 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34717315:34718486:-1 gene:KYUSg_chr5.5558 transcript:KYUSt_chr5.5558 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEIFTEKHNCTLKARGGGAASSGCSLDARVDTTASRSLRDDHDHDADVESHSNNRWSPTAPDSPVTKKRKPSPAPATASAACSCDQSSSPQRAGDDATLLQCTIERPFHLQYLNLTKALCDRMGWTTSRAAELSVAGGGGERRWEVRVKVGDKGGMIMAGWAGFAQDNGLRVSDACVFRPLLLADGTGDQLVQVQVIRGTQ >cds.KYUSt_chr5.11896 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77246471:77253564:-1 gene:KYUSg_chr5.11896 transcript:KYUSt_chr5.11896 gene_biotype:protein_coding transcript_biotype:protein_coding MNARTPYPYEHLRGTEPAYLEVDEVTTGTSLLTGTSPTTESIAPVKSWNKSSLIPNVNSVGSIGGPDGSRYGGSVAGVMNNDRFMVKMKIVVKIVIPCYLLDDGGSRHQALGDAGVNKSLQVWINLASKDKVVFNIGQNEILRGTRAATLEATAERTERGCLPNSLHIQQIHAG >cds.KYUSt_chr3.29080 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181915711:181930494:1 gene:KYUSg_chr3.29080 transcript:KYUSt_chr3.29080 gene_biotype:protein_coding transcript_biotype:protein_coding MLACVDVGLVKEMHELAQESTEDVQDMVERVGGERPYIATNMPQDEADYTYSIPDGQEILDTLKDMKRNASRGPDGFNVEFYLATWEWIGNDVIQLLSNDLQVNRAVELDTQVKGKELDQLQHTEQRHGGTIKTLSSLTGTLIFSDAAFKENRKTGKTSPSCAGIGIHCQIDEQDEKGELMIQATIPLPNSVLEAESQALLLAAAVASALNLKGCSFLTDNKTLALATLTGSPSLHQVEGKAWVRVDAEPDGDGKCKVVIGCDLEGKWVLHWGVSYDGETGREWDQPPEEIRPPGSVPIKDSAIETPLEISHSSEGCVLHEVEIKFDKDTPIAVINFVLKEEGTGAWFQHKGGDFRIPLSGSLKDKDSSGAKQGDKLEGSNAQPKETVPEDKGPNRKCISQFYGEYPILKSEYVENSVSVTVTENSETNKSRVEFDTDITGDVIIHWGVCKGNTMTWEIPPEPHPPKTKIFRQKALQTLLEQKTDGSGNTISFLLNADYSGLVFILKLNEDTWLRNLENNFDFYIPLTRVDQIGSTQEPRKADEHKLDDKSSQTDGLISDIRNLVVGLSSRRGQRTKNKVLQEDILQEIERLAAEAYSIFRSPTIEIAEDSVYIDDPESVKPACSGTGSGFEILCQGFNWESHKSGKWYVELGTKAKELASLGFTIVWSPPPTDSVSPEGYMPRDLYNLNCRYGTMEELKQLVNIFHQNGMKVLGDAVLNHRCAQFQNQNGVWNIFGGRINWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDLKEWLCWMRKEVGYDGWRLDFVRGFWGGYVKDYMEATEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHMALERSEYWRLSDEKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPYGMEMQGYAYILTHPGTPAVFYDHIFSHLQQDIARLISVRRRLKIQCRSKIKILKAEQNLYAAQIDETVTMKIGSGHFEPSGPINWTVAVEGHDYKIWEAPS >cds.KYUSt_chr4.12870 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79152897:79155809:1 gene:KYUSg_chr4.12870 transcript:KYUSt_chr4.12870 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQFPSTFSPSSFSPSSPAMFQEATYGQTSRFTPSPPELAGGNLNENQYEGISSALRRGPLPFGAKAAPNDEVMNEMINSVSMAAAASPGFFTQEEARATATVAARNEWVEDVADGSQAVEEEEEEEPTQAEVADANLPKGKKKRKKDSPPSEPRIKWTGKEEQCLAEAWMTVSMNGITGNQSYDTYWLRVKQAFDERKLVDPYFNKTIMNRGDRAMATHWGIIQTACSKWHGIHEDIKERPVSSHDFEAKVRRAFDMYQDDTGLTFKFMNVFSRIEECEKWMKTRKSLSKSKNEQYNPDAPAPGSSDGRPELGQKKLKDLKKMGHPAERLQASFDKCWADARTHAARRDDKFDDRWRKMLANQGARIALLKTTAAAKKRNTDLAFLMGGNMDLMDEETRICARAVLALVLLCVLLHGDLAESKVYTVGDRGGWTLSSGGWSRGKRFRAGDVLLFKYGRGAHNVVAVNAAGYRSCSAPRGSRTYSSGNDRVTLARGTNYFICSVPGHCGAGMKMAVNAA >cds.KYUSt_chr6.2491 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14486329:14487219:-1 gene:KYUSg_chr6.2491 transcript:KYUSt_chr6.2491 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEKTAMEEAATRGLELAVVVPHVTIGPALQRTLNESINGILKYITGAKATYPNAVAGYTDVRDVARAHLLVYECPDARGRYLCIGEILHRAQFIHMLRGLLPDHYPVTTKYGDDGKPMVKPYKVSNQRLNVISQGIHSTSTLELSQEDPLQK >cds.KYUSt_chr1.23855 pep primary_assembly:MPB_Lper_Kyuss_1697:1:142150028:142150333:-1 gene:KYUSg_chr1.23855 transcript:KYUSt_chr1.23855 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLSGRQAQRSFSRRRLLAGAAPPLVLSSTQAATHPCHSQAPSDLNAGRRTYVVPQAPPASARAIDRQPLQRLSTPGHNASLSILHLKPAAHLPSLDRES >cds.KYUSt_chr5.6702 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41548183:41549268:1 gene:KYUSg_chr5.6702 transcript:KYUSt_chr5.6702 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRAGASDEYGRAMARAAVAQALEAAGFDCTHRSAVDALVDVLLRYITHLGRAAAFHANLAGRTHANECDVIQSLEEIGADFDGFAGAGTASSAAHCLAGSAVVRDLAAFVDARDELPFARPLPRFPVPCAPNPAPSFAAAGRDTAGLRHVPDWLPAFPDPHTYVRTEVWTEQAARDRVDLVEQVRQRRKAEKSLLSLQQRLALAGADGFRPAISNTTTTPKGKEIQLAGTKRNPFLEPALPPGDNNVPELDMPPERKKLSILEAFAPAIQGADDAMEIDSGTGWDQGQNRKSIVPKERAPVRLKIGIDRKPLAAALNSQSVDLREDPSFLKEEIKDDRKRRAGMILRASMENPQELPQL >cds.KYUSt_chr3.38518 pep primary_assembly:MPB_Lper_Kyuss_1697:3:242605497:242605992:1 gene:KYUSg_chr3.38518 transcript:KYUSt_chr3.38518 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRSMSGSIGAVVLGLMLALCFATTLVCGERDWTVGEGGGWTFGVAGWENGKPFSAGDALVFKYNPSMHNVVEVDEAGYNSCTAGAGARTYTSGNDNIRLSGGKTFYICSFPGHCQGGMKIAVATQ >cds.KYUSt_chr5.2035 pep primary_assembly:MPB_Lper_Kyuss_1697:5:13928684:13931703:-1 gene:KYUSg_chr5.2035 transcript:KYUSt_chr5.2035 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSSEAFLGGRVRARRRLAPLLALAAFAYLLFVSVKLAGLGGWDDVSPPDATSTASRDSLLHRGPLEPAPRGRRAAAAATVAAGYGRITGEILRRHEALGGSRRRWGLRGNFSELERMAAEAWARGAEAWEEAAAFSGDVDSILSGDGGSGDCPGSLALGGGKRETAALLPCGLAVGSAVTLVGTARAARAEYVEALERSGNGNGTVMVAQFAVELRGLRASGGEDPPRILHLNPRLQGDWSRRPVLEMNTCFRMQWGKAQRCDGTPSKDDDRVDGFPKCEKWERRGTVDSKEMKSASWFNRFIGRAKKPEMSWPYPFLEGKMFVLTIQAGVEGYHINVGGRHVASFPHRMGFTLEDATGLAVTGGVDVHSVYATSLPKAHPSFSLQNVLEMSDKWKARPVPEEPIQLFIGILSATNHFAERMAIRKTWMQFPAIQLGNVIARFFVALSHRKEINAALKKEAEYFGDIVILPFIDRYELVVLKTVAICQYGVQNVTAEYIMKCDDDTFVRLDTVLQQISTFNRTLPLYLGNLNLLHRPLRYGKWAVTFEEWPELVYPPYANGPGYVISANIARDIASRHANQSLRLFKMEDVSMGMWVEDYNTTTTSAPVQYVHSWRFCQYGCVDNYFTAHYQSPRQMLCLWEKLSLGHPQCCNYR >cds.KYUSt_chr3.39434 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248455944:248459312:-1 gene:KYUSg_chr3.39434 transcript:KYUSt_chr3.39434 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQGNKVRDFLHANGNVVLERVDNNSDLRSFTQKEIEDITDGYCTVLGEGGFGKVYKGKLDYHRPVAVKRYKNGTKKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFVCNGNLESILHCNNANGPAAFPLDKRLDIAIESAEVLSCMHSMYSPVLHGDIKPANILLDENLRPKISDFGIARLLAAEENQYTRSVIGCIGYVDPLFCQSGILTPKSDVYSFGVVLLEIITRKKAVDGNIILAQSFTEALRKGKKVRQMFDVEIANDRKNMKLLDDIAKLAAECLKLEEKMRPEMVEVADRLRTVRKAFHQRKGKNSTGKKNVIGAKPGPVLSWAQRAKIALSAAIGLEFLHEKARPCIVHTCIKSSNILLFDNDLAKIGGVGIHRQPPEYVDNILLDRMGPPPESGYDAPDYATKR >cds.KYUSt_chr5.36647 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231703340:231708879:1 gene:KYUSg_chr5.36647 transcript:KYUSt_chr5.36647 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain containing protein [Source: Projected from Oryza sativa (Os10g0100300)] MEAKAAAVSLSAPLPRCRISNMLACSARRSSRRCRYHHGAPLRPLAASSSARSFPAARVYASAAATTPAKEQDLVFVAGATGKVGSRTVRELIKLGFRVRAAVRSKQRASPLVQSVERLELGEGTASSRLELVECDLEKQGDSAITAAMGGASLVVCSIGASEKEILDVTGPYRIDYLATANLVRAAAAAGVEHFVLVTSLGTTRVGFPAALLNLFWGVLYWKKLAEEALVASGVPYTIVRPGGMERPTDAYKETHNLVVAPRDAYVGGLVSNLQIAELIACVAKNRSAAYCKVVEVVAETTAPLLPTEELLAKVPSDPGRSPPPPPPPPAPAAPVTVTEAVKESSPAPPAAEAPAPPAASPAPPPAAAPKAAERPLSPYTAYAGLKPPSSPTPSFSSGATSQAKETPPVAAAQAAPAPAPAAPAPAAPVPAAPATAKQRPLSPYTAYEGMKPPSSPTPSFSSGKAKDDAPPSPPLSAASPDAATSTAAEAAATPPTSLGSSDNGAPTTAAPARPLSPYASVISFGGHDVYVATVAPPRYPRQVLRCASPPPRAGSSAPASPAVVQVMMAGEELPTKNPRTRGPNLERNVDPNASGSGPKAPPPPSQLDEVRARLSTPLTRGADPTTIEADLEAHRRLLLKQTEEPAAAKRRMEITQREYNRAHGLTPGGDEPSRAGQIRRRGRDLGAEIARDGAPSPTPSAEQPVYNTPDKNMRAAQAAAEELSRLQGEELRR >cds.KYUSt_chr5.8920 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56705504:56705896:-1 gene:KYUSg_chr5.8920 transcript:KYUSt_chr5.8920 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTGPTFRRPRTRTELLYPADVLVEKTLRVWAKSRWRTEVELTRDFLDEGFSHLPPGSPVMYYVNESREGVALKLLTVTFANRFDAYALLGEVFWCGCESIFFTTYNVFTDCDAIFFSGKPMHCLPYNK >cds.KYUSt_chr5.15736 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101604729:101605872:1 gene:KYUSg_chr5.15736 transcript:KYUSt_chr5.15736 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSKDSTSSSRLVCDNDHDRSAAVLREMAREQSLVTQLRALVLPALQLAGGEQAEVVAHMFESILDCSAKAIAELKLLRLDRSKCDDVPPLTGVDDKRRVRKILSGGGNGDSAKPNRQQHKRRRLANDSVTLETPVPHYDGHQWRKYGQKLINNANHPRSYYKCTYKQEQDCGATKTVQQYQQDGGTDDPAMYTVVYFGQHTCKPAGNDTDAAVVKTESTGRSSGGGAGKLSPSDSQCSNISVTCTSVVVDHHQRTASIASNCELLDMAADLENTEVNTYDQIYDEAGFSPFDLDTDWAIDAHGHDLLKYGW >cds.KYUSt_chr6.29868 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189224970:189227324:-1 gene:KYUSg_chr6.29868 transcript:KYUSt_chr6.29868 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRLFDNFFSTKEMRVVMLGLDAAGKTTILYRLHMGEVLSTVPTVGFNVEKVQYKNVAFTVWDVGGQEKLRPLWKMYLSNSDALIYVVDSLDRERIGDARQEFQTIIKDPLMANSIILILANKQDLRGSMSPEEVSEGMGLHDLKNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQETGHATSVAGPSI >cds.KYUSt_chr3.32113 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201827508:201827837:-1 gene:KYUSg_chr3.32113 transcript:KYUSt_chr3.32113 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNCFKSQRAAASWVDDDEWVVDVEEEGNSAAAEKVDQRVEVKIRVTKRQVQELLQKAGLDGMGAWTEQVLAELINSGTVCCDQPEARGHWRPSLQSISEGEEAHFS >cds.KYUSt_contig_1991.142 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000237.1:745127:758152:-1 gene:KYUSg_contig_1991.142 transcript:KYUSt_contig_1991.142 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDGQGQSDTHSSDPNWLPGHKFQSNSPAKQERNSDFADASLNSREVDHTFCASQALWSTGSLSSPIPNGFYSVIPDKKLKECFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLSVALVKGLHSNLAAMIKKLAGLVCDFYKRPNPQLSPARTSSEEISHFMENRGIRLLGQIRHGSCRPRAILFKVLADSVGIDSKLLVGIPNEESHEYDDSPKHMSVVIMLNSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDENNRSFKVPSLRDIMRRTSNSMEGKLRSSSHSEPNVANAFSGRRGRKVSEHQRTASSSPEHPLSRAHGRSNLGDRQYGDGVAVSRSDGASTSNVRRGRQKSMSTAPEIGDDFARAVKEISESMRKNRHSRAHNDGSPGHSNDSQQNESVGGFNGDEVSVREPNVQEGSRRQISTQKALSLPSSPHRLGNHGSDLGEPADFLTAADLMSKWNKALQSSPFLNKPLLPFEEWHIEFSEITVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTMENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMEMGSLYYLIHTSGQKSKISWRRRLKMLRDICRGLMCMHRLKIVHRDLKSANCLVNKHWTVKLCDFGLSRVMSNSAMSDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWAGKPPVQVVYSVANEGARLEIPEGPLGSLIA >cds.KYUSt_chr5.34726 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220015017:220015898:-1 gene:KYUSg_chr5.34726 transcript:KYUSt_chr5.34726 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETPAQTQRREQQQLTSGDDAAVAAKPLHRNPRLVVSLVLMVVGSASSPLLLRAYFLHGGNRKWFSSLLQTAGFPLLLAPLCASFISRRRRSASTPVFLMSPRLLAASVGVGLMTGLIGLLYAYGTAYLPVSTSSILSSTQLAFTAVFALLLVRQRFTPFSVNAVVLLSVGAIMLGMNAGGDRPAGVSLAQYSAGFAMMLGAAALYGLMMPVIELSQGQHAARTGSAVTYTLVMEMQVVIGFIATAFSTVGMLVNNDFHVSLSTHTASLAVVRFPYLARDRGICDAYFFNF >cds.KYUSt_chr7.9067 pep primary_assembly:MPB_Lper_Kyuss_1697:7:55122314:55128098:-1 gene:KYUSg_chr7.9067 transcript:KYUSt_chr7.9067 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPAILEALSCREALALAVDLYLSRVKVASDCLEVINSLDGNYLEKFSRSCGLSEILETPAKSSWNVAAADSKRRTTAAADERRPRLSTGKAASGRKDMVPKKRIKYPMQASRKQEEEDMKARFEDWVKKYHRTYPDEEERAMRFNVFKDNIKSFESQGLLPNCFADLKDEELPSRRSCIADIDQDIEECLQAKILAETETHTNEESPASKLRVCDLDHLVVKLEKRAPGWKGSLLPSGGRLILTDAVLSALPSHAMSVILLHGTTVDRADRPRRGMLWKGRSQCSGGDCQVAWREVCRPRSEGGLGVRDLRCQNLCLLMKFVHKLFSGEITPWACWVRRWYGEFGIAQAPSALDTPIWRTFKKVFALYRQLTVVAAGSGVTVSFWLDNWHNAGPLFARLPALFSHCTQPTISVANALRASGLLLPLQPRLTAVAEMELHVVSAAMREVRLAGGIDACSLPGGGTFRSSDVYNLLLISGVSLPLNNVNWDNFAPRKVRIFFWIARHGNTRTRAFLHRLGCLTSDACPFCSAPEELHHMLFSCPRLGPLRAALGVPATAVANDLEGICDIFGAPIIHLHDVTRHTAILLVLWIVWKSRNRKVFDNVLTPPRQLSSMVSAHCMLWLNRLPKKLPRLPVEAWCASVCEALNLLV >cds.KYUSt_chr7.37215 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232262636:232263769:1 gene:KYUSg_chr7.37215 transcript:KYUSt_chr7.37215 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDRIPPDPATSRLESPNGASVEPSGTRHIRQSASWREKKSKDHRHYSQDQSVPTPLVNSDAAKAERGRKGDPVQDRTNPTITGSGRSHPPDLAGPGTATDASPLLLDASRRRPQAPAMGQPPGPAPPNRSPTSSHERPPGRASPAHHRVGASTAACDNEAANQALPRAHPGGSPAANAAGEDRNGGGN >cds.KYUSt_chr6.494 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3113749:3117115:-1 gene:KYUSg_chr6.494 transcript:KYUSt_chr6.494 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAAKLAFFPPEPATYEVLAEGAGLRMTGVLPDANVDVHALPTRAGTRVVAAFWRHPSARLTLLYSHGNAADLGQMLGLFMELRSHLRVNIMSYDYSGYGASTGKPSEYNTYYDIEAVYDCLKKEYGIEQEDLILYGQSVGSGPTLHLASRLEKLRGVVLHSGILSGIRVLYPVKVTLWFDIFKNIDKIKQVECPVLVIHGTADDIVDFSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIRHLRKFINTMEKLAKEKIPKAPQMPASSSMEEAKQNKCLRFGK >cds.KYUSt_contig_1181.942 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:6277697:6278636:-1 gene:KYUSg_contig_1181.942 transcript:KYUSt_contig_1181.942 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAEKSPNPCSICDEPMAASEVHRGGSACSHAFCPACLTGHVRAKVESGAAATVRCLDASCTGTLDPELCRAALPVDVFERWCAALCETMFLGARRTYCPFPDCSEMMVADDDGEPVTQSECQVCRRLFCAQCSVPWHAGADCAAYKKLGRGDRSREDLMLLEMATKKKWRRCPKCDFFVDKRGGCLHIVCRCEFQFCYGCGNEWESDSCTCDDRDDDDSDSEDEDDEDDEA >cds.KYUSt_chr3.28007 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174800776:174803174:-1 gene:KYUSg_chr3.28007 transcript:KYUSt_chr3.28007 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPAPALAGGGRTLANILSATEWMLPTAATQVHTVSVLPSHPSSPPGNLAFSNLTTAPKSSGGKGEEQGIPRFDVVRDDLLHPLANGNKARKLDALLPLLRRRGATDLVGNPPSTLLSSQCLSFCSDGVFTSSIADNMRGLPERSCGSRRSHLLLRGEQLDVPTGYNLISLMFGNVTYAARSVYAHRDEMLYEHARKVAGTNGTVLWADDIVRDDFAVDEENAHENDSKRVVIVKEGAGTVQALLGVMRLVEHLSNLSSFQKDEVHIVVDAGTGTTAVGLALGAEKSLISDFKALCHEDCRDVIGADGLVHWVDRSSPRKFGKVLSGEISSCRHVAQQTGILLDPVYTLAAWEQAVDLCRGEDRGAKVVMIHTGGTLGLFGLAQRYPQHFSAANGQS >cds.KYUSt_chr2.10148 pep primary_assembly:MPB_Lper_Kyuss_1697:2:64284571:64285185:1 gene:KYUSg_chr2.10148 transcript:KYUSt_chr2.10148 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQASPQLVTKPSSHKVSLYGRDADATSFRVSPALSSAAPTRCSTLGGNVSGSNATLSFQSWGNTVEFLAPFEASLDNPLQSFGDLEFSMLTPTTGGSINWCHDEPKSALMHVTSSSSPTPTLLDGALFNPTPMVTTDQQLRMPAADTCSTKCCQTEANIHQPDFTREMILTAPLNAAMFDNPRDKILRGSHQCWRFVHVLGV >cds.KYUSt_chr3.5573 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31464092:31470743:1 gene:KYUSg_chr3.5573 transcript:KYUSt_chr3.5573 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLRQQRVLLSVVLGTLILLHHATTTPAAAAVVTCAPKTCGNITLSYPFWQTDAAQPAPCGPSAFQVDCLAGGRASLSRSFRGGYKLLRVSYADRTVVVSNDNVQTNATGCPVPTIDVSASLSLAPFSASAANRQLFFLFNCTPPPAPGFVDVMCSSTQAVVRLDAAYNTTAARAVTGRCNYAVVPVVGSLSPGGSAGGEYPRLLREGYVLEWRASAGDCGACSASGGQCGYDSNADAFACVCADGSSHPARCNDKKSKRKVILIVSLSITFGLLLACLVTALKFHRRIRSFSYSTIMDRKTIRADNANVEKLLKKYGSLAPRRYRYCELKKITNSFKIKLGEGGYGTVYSGTLPADHRKVAVKFLHHSGPKGEEFLNEVISIGRTSHVNIVSLLGFCLEGSKRALVYEQMPNGSLDKYIYSAPSSTTAAPVKSLGWETLQEIALGVARGLEYLHEGCNTRIIHFDVKPHNVLLDEGFRPKVSDFGMAKLCDPKESILTMADARGTVGFIAPEVFSRGFGVVSAKSDVYSYGMLLLEMVGGRSNVKAFAAEKEADLFFPLWIYDYMVGEGGVLVAREEDGGGGEGETIARKMAMVGLWCIQTVPASRPSMSRVLEMLERSIHELPMPPRPYHPSSPSFSPSISHSLPSSYPSSTSGFTQR >cds.KYUSt_chr4.10366 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62850798:62854638:-1 gene:KYUSg_chr4.10366 transcript:KYUSt_chr4.10366 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTHRVSVDFVKSRVLASIPGFYFRMWYAAKKYCLANNVLGISSHIQGVEMLSLGPLKTLTILPFPIAGAAWRSSLLTSADTVIPGIFVALALPGVIGFVAVHCLWDGEVKQDDTNQLMGKVWDWNMYGAKLKFDGWVLHTANEDGERGEDDATALPENGGDGPEDGGDGPEDGRDGAEDGGSGPEDGGSGPEDSNDDSVPHIQPFGQRAVQLLAICADFPICKIIGYDWQHSRYIYVQPEGEVQEEGMVDLVPIGPREILMAYTSFGLEVYTDNESGPPITDAWVVDDDEEIEEYTQTICAGPSRKLEITYLVIPSAIEAKVEVKLKLKEIGSRSRAIYGKIKASATDYRNKSVHLFSCERGSSLSFPSGSTSILLLRPCKVAVPSRLQLELNIEVDLAVITSDSQEEQDKNLKFILEFTREIMSHKREVDDDQVEVNIMWYPIG >cds.KYUSt_chr7.35896 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224277427:224278911:-1 gene:KYUSg_chr7.35896 transcript:KYUSt_chr7.35896 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHHETTVVHFDADGCHGSSSSSGGSSPYSLSGPSLDSDDGSTSTDEYCPDDPLEFRRHVSIFSPSVLAEVDPSSFRPISQSLSCVLADVDKHLQRMVLLLPAFSASAASTARTDALQKWLAGFNVGWVLDMDDNGSLPRREVGRRVSEWAHALGTMDRVFRHRHREVRSPVNEAAVAELAALGWLAGESAGAMLRLAGSVVALGSSPSKLLAALDMHAHVSETYPGLARTFSWPSSHPVSVASDAALAGLLDASRRCVCDLSAFIRAPQYPWRMPQGGEVHPCVGFWMGYFRGMLRNRVSLYFVLAGAHLDEEQTTPLPPDEGSLVMELISCLEAVLEDKSGALAFPGLSQIFMLNNTSALVRRAVRSDLSMFLPPGWVLAREERMDGYLKGYLEVSWAPVVARLAGKPGALTVLRRRNPLSPFYSAFENVCSMHRGWKVPSPALRSILRSTVSESVLPAYRRYVDDHPEVEISAGRSVEELEHQLSELFEG >cds.KYUSt_chr7.13611 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83968683:83972374:-1 gene:KYUSg_chr7.13611 transcript:KYUSt_chr7.13611 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVYALVARGTVVLAEFAAVSGNAGAVARRILEKLPAADAEPRLCFAQDRYIFHVLRSPADGLTFLCMANDTFGRRVPFIYLEDIQMRFMKNYGRVAHSALAYAMNDEFSRVLHHQMEFFSSNPSADTLNRLRGEIHTVMVDNIDKILDRGDRISLLVDRTSTMQDSAFHFRKQSKRLRRALWMKNAKLLTNEATVIHVDDTTGKAPVTAQPPPPAAPTAPVQQQQRKSGGVPFLLRGGADGFRRCMAFVDLLLRIVAFGPTLAAAIATATSNETLSVFTEHFEFRARFDDFPTFTFFVAANAIAAGYLVLSLPFVVGIIRPKATVARLLLLIGDTVMVVLVTAAASAAAAIVYVAHEGNMRANWVPICMNFHGFCERTSGSVVASFLAVLVFILLVLLSACAIRRRPC >cds.KYUSt_chr1.30643 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185524023:185524775:-1 gene:KYUSg_chr1.30643 transcript:KYUSt_chr1.30643 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHLPPEPGHHHKGGKGVHADDLKPARRRGYGYYGHDRGSSGLLRTLCFLVLVILLLAGITALVLYLVYRPTGPAFAVNSIAVYSISNVAASSAAPGAPSAVAASFQCSLVIRNPSGRASARYDRLTAYVVYRGEAITAPAQLPPLAQDPDSAVVVAPVIGAGAGAPPVPVSHDTAAALANDVSYGVVSLRVVLLGRVRFVSGPFRRGWRSMYARCDLLVGVRKGPGVGAAGVPEAPLLGNPSCDVEI >cds.KYUSt_chr4.3679 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20909547:20912318:-1 gene:KYUSg_chr4.3679 transcript:KYUSt_chr4.3679 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLARSSCSAAAVALVALALVSAAAGEVFFQEKFDDGWEDRWVKSEWKKEDGTAGEWNHTSGSWSGDAQDKGIQTSEDYRFYAISAQYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGETPYSIMFGPDICGYTTKKVHAILTKYGKNNLIKKEVPCETDQLTHVYTFVLRPDATYSILIDNVEKQTGSVYDDWDILPAKKIRDPEAKKPEDWEDNEYIPDPEDKKPEGYDDIPKEVTDPEATKPEDWDEEEDGEWTAPTIPNPEYKGPWTQKKIKNPNFKGKWKAPLIDNPEFKDDPYIYSFDSLKHIGIELWQVKSGTLFDNILITDDAEYAKKLAEETWGKHKDAEKAAFDEAEKKKLEEESANSTDDEKDDASDDEEDDDADIDTASDMETKDDSAAEKPQQASADKKVEEISKDASERKDEL >cds.KYUSt_chr7.37520 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234114346:234114711:1 gene:KYUSg_chr7.37520 transcript:KYUSt_chr7.37520 gene_biotype:protein_coding transcript_biotype:protein_coding MARADKQLLVYRRRTLEEREAAAARRREEEEAAARRREEQQAAVTLKQLGECFRTTQVIQLPTDRPKPTPKPRRYHVSPNLEEKVKAGVMLCWLKKGMKKMKEALKIGRGKDKGQVYHRKG >cds.KYUSt_chr2.1339 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7934275:7937548:1 gene:KYUSg_chr2.1339 transcript:KYUSt_chr2.1339 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGLAHITIAAVEEEVDAGVTSARHRSAGVKVEGVVAAAWHHHQHHNTMPPPATSPHHHQNAIAATSTISPPVHSHSSIAQVAGVVRVANKDNQGLEEVVEIDEKLEPAPEMAGDMEASKENLQYNSKIEESTTTVRDRNLPDESYTTSTMEKTRLAVEGN >cds.KYUSt_chr7.21963 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136112474:136114271:-1 gene:KYUSg_chr7.21963 transcript:KYUSt_chr7.21963 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAQTLARPAPTSASLLPLRGFATKVEFIEIDLADEDASVPGAAAGDGSPSVEVAGIRRLEEAIHGVMVRRSTPEWLPFVPGGSFWVPPMRRPHGVAELVGRIAASGVGEAGQVAYQAEAYVPMTEEEELSLSTARGWPSSAYFVEAAGFELHAGDGAGSEAVAQAGASSLSVFSFGTTTFSIGMTGLYFVKS >cds.KYUSt_chr3.27108 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169245763:169249927:1 gene:KYUSg_chr3.27108 transcript:KYUSt_chr3.27108 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQESPPESPAQPEEDASAAPPHALADDYDGAEASGGPRSLPPRPRPPSQAAPSTSAAPPPPKAKVPAHKEQDDEDEEEDQMEVDIDKLPSGTSDPDKLAKMNQYSFIALAFFTLIFVSSNLALLIASSRVASTYASFISSNDGATKVALRPLPLSPGDGAFAWDLFGLAPAATWAGTAVRAGLPATPHFGTKCIPKRLRFFTFVAATKFATSAGFGSAPLAPGETRTTGEGGGSCEVPPAADGVELRLISHRSGSGIGGDGGGAENGGSGGSCARMGGAEIFVARKEWGK >cds.KYUSt_chr7.2940 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17388491:17389125:-1 gene:KYUSg_chr7.2940 transcript:KYUSt_chr7.2940 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPSTKSFRQAFLENLLVSLQLQQEHTSKHDSSSVGAAMSLHERKLAVKSSADVAMAAARGAGARWTRAILAPASSACKVQRCRRIVIRCRGRKRSLTTRSARAGDGGTSSGGEIARRLVRRRTMALREVIPGGRDAAVDEATLLREAMDYVVHLRAQVDVLRQVSEAVQRSGSSILQ >cds.KYUSt_chr2.7058 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44184077:44185348:1 gene:KYUSg_chr2.7058 transcript:KYUSt_chr2.7058 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSPEAGASRGTDGGGETVIQGVTSKLAKLGLTTREKQILVMEDEKEDGENPVKFAVMGKVLSSKKFHIQTIDSALRPQWGNPRGLKFTAKGDNIFLASMEFDRDRKRVWEGAPWTVSKHAVVLDDFDVSMKPSEIKFKRLLMWFRCDDLPFNWMNEARGKAIANQVGEFIKLDLHDNGSRSGWGQSLRARVWIDLDEPLMRGFPIESKKRKTVEWYSIAYERLPYFCFSCGIIGHSENFCPTPAETDEGKCPYDASLRYQEPWKQESQWQQARTRDDTKKYPQGREGDSKDQHDGAGKGNGTDPDRRFDLSTSHVKEVVSKFNFRVTSGTRGGGTLVYKRRETPKVPLDLAFPVIKGPNDVVMFEDDPAGQKRNAIVNSPSKVAGISVPAKRAKGTGENEEQRSDSDILAEAENQPRQDQ >cds.KYUSt_chr4.1596 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8444815:8445165:1 gene:KYUSg_chr4.1596 transcript:KYUSt_chr4.1596 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVETTTMAVAMRPRRPKGPAAGLHRGGGVGDGHCAEQLSENSLTGMASPSSRASHPSGDTPEQQSACATSSPDNISTGAISRAPPVPAPPTHGTLYVPLTWVPFVFVVTEDSDD >cds.KYUSt_chr1.37706 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230216701:230217548:-1 gene:KYUSg_chr1.37706 transcript:KYUSt_chr1.37706 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVDTQHLNARAEAKTRSRRSDIHAGPEAAPPTRVDDQVTQETKADTLTPRRNTAEAVPRSRRSGNHASPRAAHRPADNEKLADTNHQSDPLVPPTGGMELKGSGGKWRVVIPASQGFDENITDFRDDARQIDAASGFCPDMLYSTSVGGTRGTAFNSDLAPSRLDSSIRRPRSLASSPESG >cds.KYUSt_contig_2792.72 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000505.1:299484:299972:1 gene:KYUSg_contig_2792.72 transcript:KYUSt_contig_2792.72 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCTPAASSRTSSSRLVDGEDGAALHAGSLLADVVVAPCSGVDGATLHAGSLVTDAVVAACGGVDGAALHAGSLVTDVIVAARGGVDGAALHAGSLITDVIIAARGCVDDAALHVGSLLADVVVAACGCVDGAALQPIASSRALSSLWRMVYLVSQFLDS >cds.KYUSt_chr3.30576 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191763193:191768710:1 gene:KYUSg_chr3.30576 transcript:KYUSt_chr3.30576 gene_biotype:protein_coding transcript_biotype:protein_coding TLKGPQDAPGHWLVTGAKLANFGLENLASLSLAVNLIMYFMLIMHINLADASNLLTNYMGTSYMIAVLITAFADTFVGRYQTVIISSMVEIIGLLLLTLQAHYEKLMPPKCNWPLPPCEKVSGNNEILLYAALYLIAIGSAGIKAALPAHCADQFEEKHPKEKRQMSSFFNWLLLALCIGGAFSVTFFVWIQNKKGWDKGFGGATGVMGLALIAFLIGLPRYRIYTAQGSSALLEIFRVYVAAIRNWNLELPENPEELYEISRSKASPETEFVPHRNKPFRFLDRAAIVQTPAGEAPNPWRQCRVTQVEYAKTVLAMVPIFCSAIIMGTCLAQFQTFSIQQGSTMDTRLGPYLTMPPASLPIIPLGMLIFIVPIYERVFVPFARRITGHPNGIPYLQRVGVGLVLCIISMCLAAVVEMYRKRVAREHDMLDAIPTIQMLPMSCFWLAPQYAVFGVADMFTFIGLLEFFYSQAPPPLKSMSSAFLWGALSLGYYFSTIIVKAVNAATKNYTTSRGWLQGNNINRNHLDLFFWLLAVLSFLNFLNYLYWSSWYKYVKQQDQPKDVSEQPEQV >cds.KYUSt_contig_988.304 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1829489:1829821:-1 gene:KYUSg_contig_988.304 transcript:KYUSt_contig_988.304 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPLVRYTSSSSSLAGGGLVEPSQVTPLLGGAVAASRLSSSLAVGGLVEPSLRHAPSSLLIGDGSRTANEQTHPGGAVAASRLLLLAHRRRLLDVTACGGAASQGKRER >cds.KYUSt_chr7.37273 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232645718:232646789:1 gene:KYUSg_chr7.37273 transcript:KYUSt_chr7.37273 gene_biotype:protein_coding transcript_biotype:protein_coding MYKILSTYSLINSFEGLLRYFHLVEGCLDLIRERESQGKFTYNWIVRSRVDGYWTAPLSASDFPYFDDVYIIPQGSVFGGLNDRLGIGRRTASEAALSRLSMLHRLNAAGYKALNSEAAFRAQLKVSRVAAQERRMSFCVVSDRSYSFPPVGYGVPVASLGSIGPLSGAKCRPCRHPMSCGGRGKVCVVERLHRGWSWTEWRNGTLELCNASEAWEDGWEEVFDMTAGEEAAVERRRMAHMRLEECVAEMEALRTRTERWDAPNPEEICRLGVLAAPASPSPFSLRT >cds.KYUSt_chr5.21986 pep primary_assembly:MPB_Lper_Kyuss_1697:5:143564268:143568436:1 gene:KYUSg_chr5.21986 transcript:KYUSt_chr5.21986 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMRHAIAALGAACAAAAVVVVAADRGFSPASAAAATVVAPEEEISLLNKIADFMWRSDGNSYQHVWPPMEFGWKMVLGSLIGFFGASFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAMSKCMITGAAVSTVYYNLKLKHPTLDMPMIDYDLALLIQPMLMLGISIGVIFNVIFPDWLVTVLLIVLFLGTSTKAFLKGVETWKKETIIKREIAKRLEQISEEPEYAPLPTGPGATVDAKIRSDEAPSLMNNIYWKEFGLLTFVWLAFLAIQITKNYTSTCSTWYWVLNFLQIPVSVGVTMYEALGLMNGKRVLSSKGSEQTTLKFHQLCIYCFFGVMAGLVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSASMSVVEYYLLNRFPVPYAAYFVAVAFVAAIIGQHVVRRLISWLGRASLIIFILAFMIFVSAISLGGVGISNMIQKFQHHEYMGFENLCKYDA >cds.KYUSt_chr6.21738 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137200365:137200826:1 gene:KYUSg_chr6.21738 transcript:KYUSt_chr6.21738 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAVIIKGEETMEVEVAPMENKVDEAPMENKVEEAPMENKVEEASMENKVEDLVLSSTCEVCICPSVCYRCGVRGDSAIFQCLGCKRAVPQDLRRCELCANVIVPMKYWCKDCSTTVAMGKDGYCFICGRNSSEVEEDEENEEFVPDTMEN >cds.KYUSt_chr1.34274 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208637385:208638470:1 gene:KYUSg_chr1.34274 transcript:KYUSt_chr1.34274 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPPGYRFYPTEEELVRFYLRHKLDGSRRDDIEQAHPAAFGGDGEPWFYFCARQDREARGGRPSRTTPSGYWKAAGTPGLVYSADGRPVGTKKTMVFYCGRAPAGAKTDWKMNEYRAFDDDDADVVAPAPNPFLQARSEFSLCRLYTRLDNLRQFDRRPCTAARCSAFQDLASSSAAAALANEDDEAGRGQKRKRHAATDGTSSSDDADRPMQHQHQHQQHQEQEDADEELLGDMADWAEFLDWI >cds.KYUSt_chr3.14030 pep primary_assembly:MPB_Lper_Kyuss_1697:3:84922300:84926171:-1 gene:KYUSg_chr3.14030 transcript:KYUSt_chr3.14030 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWRWYQQSLSSYPVRTQVVSSGILWALGDIGAQAVTHNTVSSHHHAKNPEDKDKEFKIDWKRVGITSSFGFAFVGPVGHYWYEYLDRLVRRRYLPGSFKFVASKVAADGLLFGPLDLGLFFSYVGLASGRSLEQVKEDVKRDIIPALALGGVIWPAVQIANFRFIPVRYQLLYVNLFCLLDSCFLSWIEQQGDADWKQWFPSFQKKIEDQKSNA >cds.KYUSt_chr1.23850 pep primary_assembly:MPB_Lper_Kyuss_1697:1:142109266:142110099:-1 gene:KYUSg_chr1.23850 transcript:KYUSt_chr1.23850 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLPIGGEDSERVCASSAVEKEHMFEKVVTPSDVGKLNRLVIPKQHAERYFPLDGAAAAAAAANGSGKGMVLSFEDRAGKAWRFRYSYWNSSQSYVMTKGWSRFVKEKRLGAGDTVLFGRGGVGESRRLFIDFRRRRPAYVFPPPATSSSLHCLAAPLPSVPLSPWRRDYGITYSGVSAAAGSMSSRHVLFLRQPISAAVVLKSVQVRAALEVPSRQKRVRLFGVNLDCPPALEEGGVCGVTHTVAPTLLQQLLPSPSSSTSSTAGKEACSLDLGL >cds.KYUSt_chr5.18565 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120033205:120034410:1 gene:KYUSg_chr5.18565 transcript:KYUSt_chr5.18565 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDQYYADGAEGTATIASRVASICAMLDEHDAAETPMSSRQVEEISAMIDDVAVAAASESEWTTTVDSKPPGCMLSTCFYENLGKIGEGSAAVVTEARHLTTGQTVAMKAIRENCRSVSGGELLREACLMAACLGHPSIVALHGLARAPGTEDGDYSLVMEHAGPSLDRILGARMRCTGWPFSETEVRRVMAQLLSGAAEIHRHSIVHRDIKPENILIDGAGNVKIGDFGSAMSVAGGQISAEAYYAAGTTTYRSPEMLLETPGYDARVDAWSLGCVMAELLTGEVLFNENSDSDQLWLIYDVLGVPGKEAWKPHESSFVASRVPLWRREQQRRRRKGRWHSRRLRELFPEELLSEDGFKVLKGLLTCNPDRRLTAAAALKLPWFADEDNAPVKNYAAM >cds.KYUSt_chr2.49442 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309423573:309423926:-1 gene:KYUSg_chr2.49442 transcript:KYUSt_chr2.49442 gene_biotype:protein_coding transcript_biotype:protein_coding MSTACWPCPCVDGDDDGSVPAMTQLRTHVSYSHEMLAALFSADIRAPGYEEKEDVNVSRELAGAFTCAVTREAIAVQVVGRCRGHGSLAAMPLAPKEEKPMAKSRAVESVGLALGNL >cds.KYUSt_chr5.27890 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176469650:176471264:-1 gene:KYUSg_chr5.27890 transcript:KYUSt_chr5.27890 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGDASPPAAVVDIPVGAAPNAGVVSAMISATIPSKRKRFPKQFFEAPAAAAASPGKAPLAAKKAGRMKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKATPSPPSVPSDAPPAPPPSTMDVDKVFDVESTTSYMDMLNGSAVNLDAGIDAFDGDCNIEEIDEEEEDEGDEEEVVEVDPAAAGSSSTPKPHTTNYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRTKSMTDRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQQRYKDMAGSKNKEFQFQHCLSILQHLPKWKLRDNEPKCKKEALLTMDDEAEDMSGRNTGKPEGNKKAKERVKVQLEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKMIAAKEAKAMKELLAEEREIMMMHTDGMDEDQLAWWNETKADIIARKKVARQARAQGESPASGGAGGDGFVDA >cds.KYUSt_chr2.17216 pep primary_assembly:MPB_Lper_Kyuss_1697:2:108479308:108483140:1 gene:KYUSg_chr2.17216 transcript:KYUSt_chr2.17216 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGALVHPFTIALFALFLLVNEHIPAQRVSRLYIHASKVWSSDKRASVAKNIVSSHVFVTKSCSNDASRLTFWLSNTVVLREIISESFDISHQMTPTMTTNSINDGAQCFDGKSMPMLWKNNSNGKQTKHVLHIPDDWKETSTVLAALESIESWIFSRIVETVWWQVMEQCVARLDVAMFYYRLGGNDALVVIFFFKVLPWVRRVMGMLRFGFECRGWSHGGSAASAGSVPLCLGKEGKGSPPKFGAACQDDMSTLLHAGFVLALGHMAMAPLLHTFYINDISRQLPFLVFDSMFGDKASQGWLCAVR >cds.KYUSt_chr2.13384 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84901029:84901580:-1 gene:KYUSg_chr2.13384 transcript:KYUSt_chr2.13384 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIPSLLLVLLAVATSSAPGVAGLGGGEKLKHIHLYMHETFSGENATEGAMLPSPFGANATFGSVGVFDNELRTGRSRDSPLVARYQGIIVATGVAEGPALEGRMSVASILFIAGEYNGSKLSLEGPMIGFQGTAERSVVGGSGKFRMARGYYLLKLLGLTSPNSAVSEIDFYVLPCDPSYL >cds.KYUSt_chr5.39835 pep primary_assembly:MPB_Lper_Kyuss_1697:5:251848678:251849700:1 gene:KYUSg_chr5.39835 transcript:KYUSt_chr5.39835 gene_biotype:protein_coding transcript_biotype:protein_coding MCMYSSRDLSLIKSQHNDAGATVAASPTASVETAPTVKLRLRLPHPHLVELVIWRSWWFTTDLIRLSYGLLLAHYAADHVGKGNTVLILVLTTAWAAAYLASAVDLTVLWIALMAGIVSIFDLTDEPRLPHRLDELDIFSILSFAGLIYAAFWVAAVGELAMHGVFMKGPIPWLMVGLIPWTMVSPAVIFLLSRALWVMLNWLLMMVLLAFLGYNLAVYVCYLQTAEAEPATPMRSLSSLGSSTLAEPVPGETSVSEGSRQ >cds.KYUSt_chr7.7091 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42672398:42673135:1 gene:KYUSg_chr7.7091 transcript:KYUSt_chr7.7091 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSQFSLLSRLGYKATAIPDLGHLSLEAFSLVTMASFLQANSGFSTISGSPCSMACRPRSGNVQPRSPPGVTLVRWTPPRFGWTKLNFDGSVLHDGSGRASIGGVIRDANGRVVLSFAERTEHAPIGIVEARALIRGLKLALDYGCDRLVVEGDDLTLVKLLRCESTQTRIPHEMLDEIIWLLDSFDVCEVQHNYREGNSVADAMCREAYKTAVPRLLIGMVPHALWDKVAEDRRGVVHERVRG >cds.KYUSt_chr2.35135 pep primary_assembly:MPB_Lper_Kyuss_1697:2:216700161:216701057:-1 gene:KYUSg_chr2.35135 transcript:KYUSt_chr2.35135 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPADLKVEGDAPLVLDDAAEKKAGVVETDPAADGSRRRSLSELLKENADSDVEAPDEEEKKAEPAAAPADNTRATTELLTVQPSVTTTEQDHTGEELGAKAEQDPHTQAPVAEEEKRVDPDSVQVAAVPAQSVEESSVDPDAAQVAADPAPSVEESKVVADASV >cds.KYUSt_chr1.4165 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25409026:25410085:1 gene:KYUSg_chr1.4165 transcript:KYUSt_chr1.4165 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMAFMAAALSSVSMASGLRYNFYNTSCPGAEDKVRSVVQSLISNDATMGAAFVRLFFHDCFVRGCDASILLDPSTSNPNTEKQAIALRGYDAVNKIKAALESICPGVVSCADILAFAARDSTTASGGFTFGMPSGRRDGLVSVFGEVFQHMPSPSSQLGDLLKSFDAKGLDAVDLVALSGAHSFGQTSCNFVKPRLYPADPTMNATFATALRSVCPQQGGGSILVNNNRVSQDPNKLSNAFYQNVASRQVLFTSDQTLTSRNDTAAMVADNAARPIAWMARFTGAMLKMGRIDVLTGANGEVRKVCFATNSGT >cds.KYUSt_chr3.37489 pep primary_assembly:MPB_Lper_Kyuss_1697:3:235663258:235670229:-1 gene:KYUSg_chr3.37489 transcript:KYUSt_chr3.37489 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRVRCRIGTKPPSGFRRLSSMNIGGTLSNAIDQLSALTYLDLSNNPSLGGPLTPNIGNLKQLTTLILVGCSFTGNIPQEIGNLRQLTFLRLDHNQFSGPIPKSIGNLPNLTELSLASNQLNGTVPDLTNATNLTYVFMENDQLNGTIPSALFSLPQMQQISLAKNAFTGTLDMSGIISSQLRVVNLTNNQIATTRVNPSYTNSLILTGNPACYTNISSCTLQQKQQVSYSTSLGSCGAISCPTDHLANPAPSQSCACTNPFQGLMIFRAPAFSDMTNPTIFQLLESTLAQNVSLPPGSVALSDIQFSPGAPLIFTLKFFPVSGTNFNRSEVIRISSTLVNQIYKAPPAFGPYSFIASKYFTSPSDKKSSTHKGVIVGIAVAGFVLIAGLVLVAIYALRQKKLAKKAVERTTNPFASWGAGGKDNGDVPQLKGARYFVFEELKKCTNNFSETHEIGSGGYGKVYKGTIANGQMAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPNGTLRENLMGKGGMHLDWMTRLRIAIGSAKGLAYLHELANPPIIHRDIKSTNILLDESLTAKVADFGLSKLLSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELITSRQPIEKGKYIVREIRTALDQYDQEYYGLKSLIDPAIRDSAKLVGFRRFLQLAMECVEESGVDRPTMNDVVKELEIIMQNEGGQLLDSAYLSTEHFGNEISRDPQEEHLPMKDDSSSSVFDYNSVYSYSAVEPK >cds.KYUSt_chr3.12262 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73214828:73215547:-1 gene:KYUSg_chr3.12262 transcript:KYUSt_chr3.12262 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAGSEPRLPEEIVLWEILTRLPSKPLLRCRAVCRSWRRLLTSDEAFLLAHHRRQPALQLVTIGKAQECRVDVLNHRAGERRPVVRTDRHSSSDNLHLLAACDGLLVVFACGAYHICNPATRQRAPLPLLRGVFICSLYPHRPSRSYRVLCCKGRAVYYVYTVGSSELIRCVGEPPEQWATSDISLMFGYCYPPILVQGRLHWRPVALSGSKCDNMLVFDTMAETFRRVRTPVRRPL >cds.KYUSt_chr1.42003 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257477165:257479830:1 gene:KYUSg_chr1.42003 transcript:KYUSt_chr1.42003 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNKFDLLDDVDSDDHSQLIAAAEKKAAAKPAPAAPAAPKPAAAKLPTKPPPPGQAVEDGRSFRDGAGRGRGGRGGRSAGPRRDYGDADANGFQGGYGGVVRGGDDGEGRARGPRQPYRGGGGGGRRGGYGEGDESGRPHRPYERRSGTGREYGMKRDGAGRGNWGTATDEGLPQLRLAVFKLRENVEAVNTEEAPAVTEDEKKPEDAPPTEVDEKVKEGAEKEEEEKEAEEDKEMTLEEYEKVLEEKRKALLALKAEERKVEVDKELQSMQQLSVKKDADEVFIKLGSDKDSKKKENSEREERAKKSVSINEFLKPAEGERYNSPGGRGRGRGLRGRGEPRGGYNGGGVRRQVPAPAIEDQAQFPTLGGK >cds.KYUSt_chr4.3940 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22602624:22605367:-1 gene:KYUSg_chr4.3940 transcript:KYUSt_chr4.3940 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAVSCSGSGSGSADEEGMTYKGAGVDIDAGTELVRRIRKMAPGIGGFGGLFPHGDEYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATSRLDVDLAEKVIKGIVEGCQQSNCVLLGGETAEMPDFYQEGEYDLSGFAVGAVKKDKVIDGKNIVEGDVLIGLPSSGVHSNGFSLARRVLERSGLSLTDPLPRNDGVTTTVGEALMAPTVIYVKQVLEIISKGGVKGLAHITGGGFTDNIPRVFPKGLGAKIVTGSWEVLPVFKWLQQVGKIEDAEMLRTFNMGIGMVLVVSKDAADRILAESSPAYRIGEVIQGEGVHYA >cds.KYUSt_contig_1467.245 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1553345:1553767:1 gene:KYUSg_contig_1467.245 transcript:KYUSt_contig_1467.245 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRALPVLLLLLSTAGASAAPPPAPAPATGLEVDELCFGISTSNKSLACAANVALACLAANAATEEVTYMPCFATGILTEHCLTRAPPEEKGCIGVDISEELITCLGKVGLWCQGSSFGDVGFVTCYLGSAIKCLLYK >cds.KYUSt_chr6.8359 pep primary_assembly:MPB_Lper_Kyuss_1697:6:51330609:51343806:1 gene:KYUSg_chr6.8359 transcript:KYUSt_chr6.8359 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAMRLRCLLQHPPLWCKCSSLGISTSGGGCFVRRFSAVGAPRPCDAGRRLCRFYGSKGGVGSAEARGGGAAEAAAGSSGRCIEQEHARLGERDQQEWLSGERFLSDCKRRESPFLTRRERFRIEFLRRVVPWEKGNLTWQNFPYYVNENARQLLRECTASHLQHKGITSEYGSRLPSSGGRILLQSLPGTELYRERLVRALAHELRVPLLVLDSSVLAPYDFGEDYSESEEEDEHGESEDEGSESEMEDEGDEDWTSSNEAKSGESDDEDALKSVEELKKSVDDLKKLVPCTLEEFAKRVVGEEEGTSSESSETAKSSEEEKRPFQRGDKVKYVGSSAVVEADQRIILGKLPTQDGSRNAYTFISGRTLSNGQRGEVYETNGDQVAVIFEPPAQKLHDGDETSKEEDANSPSIYWVDAQDIAHDHDIESEDWHIAIEALCEVLPSLEPVIVYFPDSSQWLSRAVPKSNRREFLQRVDEMFDQLTGPVVMICGQNILAAVPKDKDKEPPTLMFQNLSRLSSLPSSLKRLVGGLKGQKDSRSSDISKLFTNSLIVPLPEEGEQLRVFNNQIEEDRKIIISRHNLVELHKVLEENELSCVELMHVKSDGVVLTKQKAAKVIGWARSHYLSSTVLPAIEGDRLIIPRESLEVAIERLKEQVIKSKNLSQNLKNLTKDEYERNFISSVVPPDEIGVKFDDIGALEDVKRTLDELVALPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSSLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGALEHEATRKMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVGLPDAQNRRKILKILLAKENLEADFKFDELANATEGYSGSDLKNLCIASAYRPVQELIEEEKKGRGSGSSSHLRPLVLDDFIQAKAKVSPSVSYNATSMNELRKWNEQYGEDGSRTKSPFGFGN >cds.KYUSt_chr7.35006 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218685637:218686056:-1 gene:KYUSg_chr7.35006 transcript:KYUSt_chr7.35006 gene_biotype:protein_coding transcript_biotype:protein_coding MRQIYCARFSTLQNPIHAQRSNRPEISLARMEPPLVAVDVPRKPPRSSTSPCPSSTTVSAARTSPSSPCTSPLALRELRLALLGGGARPPRSTSSPSLPPDAMDSAGRRPLWSTSSPSWPPDAMDYASQRAPELRLALL >cds.KYUSt_chr3.28002 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174775515:174779349:1 gene:KYUSg_chr3.28002 transcript:KYUSt_chr3.28002 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGFAVNEWSSTWRARISDSGNGLNDARCFRFRVAGTMGKMEEERKAQKKYWEEHSKDLTVEAMMLDSRAADLDKEERPEILSLLPSYEGKSVLELGAGIGRFTGELAKTAGHVIAMDFIESVIKKNESINGHYENTSFICADVTSPDLVIEDNSVDLIFSNWLLMYLSDEEVEKLVRRMVKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPKYYTKVFKEGYAIEQSGGSSELSLVTCKCVGAYVKNKKNQNQICWLWQKVNSTEDRGFQRFLDNVQYKTSGILRYERVFGKGFVSTGGIDTTKEFVDLLDLKPGQKVLDVGCGIGGGDFYMAENYDVHVVGIDLSVNMVSFALEDAIGRKCAVEFEVADCTTKTYPDNTFDVVYSRDTILHIQDKPSLFRSFFKWLKPGGKVLISDYCRSPGKPSEEFAAYIEQRGYDLHDVEAYGQMLRDAGFHDVIAEDRTDQFLTVLQRELAEVEKNKDDFLADFGQDDFDDIVNGWNAKLQRSSAGEQRWGLFIATK >cds.KYUSt_chr7.32470 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202251295:202255427:1 gene:KYUSg_chr7.32470 transcript:KYUSt_chr7.32470 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPACLLALVAALLLVLCLPAPAVAGRPLVAPRKPAPAEAAATARWLAAQNTWGVLSTISSDLGGSPFGNVVSYSDGVPGESHGIPYFYLTTLDPTARDALKDERTSFTLSEFPLGTCGEKDPENPSCAKLTLTGKLKVVDLQSPEADSAKTALFSKHPEMEGWPKNHNFGIYKLEIENIFLIDCHKSCRPPTMPLGPSCLLSDSSLAIDEVLYLYQKKV >cds.KYUSt_chr3.23003 pep primary_assembly:MPB_Lper_Kyuss_1697:3:142392318:142392563:-1 gene:KYUSg_chr3.23003 transcript:KYUSt_chr3.23003 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASVPQTSHRLMPRLQAPHEMMRPRAPHEMMIRPSDATPDYANAMMKPEPELEFEALKLSDFDKVGDLGEGACGQARP >cds.KYUSt_chr4.50061 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310088533:310089108:1 gene:KYUSg_chr4.50061 transcript:KYUSt_chr4.50061 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPGHTAALLLVATTALLFAAGATAQDCANATFPAGRSFERCNTLPVLGASLYWTYHAANGTAELAFRAPSTSGGWVAWGINPTGARMPGSSVFVASQDGSGAVSVLTTVLESTSPSLTNGTLKFDVPVPPTAEYAGGAYTIYTTVALPGNSTTQNMVWQAGPLNGGAILPHLTSGPNLQSVKRQDFLSG >cds.KYUSt_chr3.37261 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234309724:234311034:1 gene:KYUSg_chr3.37261 transcript:KYUSt_chr3.37261 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPLTLDGDIPTWDGIEAASTEARACLGQQCGGGELPHATCGAMVLAAVPSTGSPLQEQFEGMISDVAAPTPDLRPAVLAVAALTAPFRAAPDEAFTLRADLAVVQDETNTRLEEAAGRRPSGSRIPRRLALQADE >cds.KYUSt_chr3.29571 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185029639:185034160:-1 gene:KYUSg_chr3.29571 transcript:KYUSt_chr3.29571 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTRQNGKTLSGTCRSLHQYPEIMSRDLILKAASKDTSRPNEIQQSSTPYNDNPKGLTDDELRRILAPWRCNGKLDEKSQTEAGAQVVALGRGFESAKLMATEGAVTGKRSRYICEELQISTCKITKAGIGGALVDFDGNFVGMNFYDADQTPYLSRVRILEIMKCFNAERTVPVAVETPDESELPSWPVPDPEWFYPSRYPKPRYVEFDKLE >cds.KYUSt_chr1.11945 pep primary_assembly:MPB_Lper_Kyuss_1697:1:73774681:73775988:1 gene:KYUSg_chr1.11945 transcript:KYUSt_chr1.11945 gene_biotype:protein_coding transcript_biotype:protein_coding MANHVELSDSDLSADSVNSRAPAPPLRSEIVAPAGLGLGSRGWDVGAGTSRAAAAGDARQEAPWQTQRNRNARRAPARAGRRQQPRLIRPSSRPTGPNASRIPTQLHGCCYNCGEEGHIAAQCLNATICVRCGGSEQTSRSCSLPRSGSNGARTGGRQEPPPATNSSGPRSSGRGPPPSGAGSDRSWRDVVSAGSGASGSAPVGFLAPFSENATAAAASAAPSRPPSPDAPDLCYLMPSNGMVQLEEDLDRAVMVTIAGTRPALSLDVVAAAIHAQLVMTSADFSIRAFEPADFLILCSSMEIRHRLVAAGRVSVVSPRCTLNLAPWSRRAGADLKEVPFLAEIQIRGIPAHAWAERTAVKLLEGSGIVDAIDPATATRTDMSVFKLSAWTHDVTAIPAIRWLAVPEPGSGLRLQVANGRPRILTPKVLWYKIRF >cds.KYUSt_chr3.7969 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45789502:45792238:-1 gene:KYUSg_chr3.7969 transcript:KYUSt_chr3.7969 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDSAGAGGGGAAAAAAAKWRSDASRAFQYYLDRSTPHATGRWVGTLAVAAIYALRVYMVHGFYIVSYGLGIYLLNLLIGFLSPMVDPELDPSAAQDGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFVVAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQILHMVKYKYVPFNIGKQKYGGKKGGASSSSSKD >cds.KYUSt_chr5.43548 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274317994:274321249:-1 gene:KYUSg_chr5.43548 transcript:KYUSt_chr5.43548 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGLPLLECVYCLGCARWAVKRCLHTGDRDSATWGHAAAADFAPVPRMCRIIMANYDDPSSPAPPLLSPTVDPANIVRRRTYAHTRGRVTPYLVYLDHAHADIVLALRGLNLGRESDYALLLDNRLGKRRFDGGYVHNGLLRAAGWVLDKECDLLRDLLHRYPDYTLTFTGHSLGAGVAAMLTMVVLLNLDKLGVCRSRTRCYAVAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSILCLPCLLCLRCLKDTCIPEDALLKDPRRLYAPGRIYHIVERKSFRCGRYPPVVKTAVPVDGRFEHVVLSCNATMDHAIIWIEREGQKALDLMLEKENAMSVPSDQQMERDETVQREHVEEHKAALRRAATLSVSGIASAYGTFGGTWPERSESFPVSGSKQPRVSWDDLIEQVFEKDEDGQIVLRSSSPSS >cds.KYUSt_chr1.30290 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183363678:183366099:-1 gene:KYUSg_chr1.30290 transcript:KYUSt_chr1.30290 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETAAQEELLRAVLEEQKNAAAAGGDEPVVEDDDDEDDDDDDEDDKDDDDAEGADASGRSKQSRSEKKSRKAMLKLGMKSITGVSRVTVKKSKNILFVISKPDVFKSPASDTYVIFGEAKIEDLSSQLQSQAAEQFKAPDLSSVISNPGASAAAQDDDEDCDEEGVEPKDIELVMTQAGVPRAKAVRSLKAANGDIVSAIMELTN >cds.KYUSt_chr4.11234 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68319308:68320623:-1 gene:KYUSg_chr4.11234 transcript:KYUSt_chr4.11234 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGAKQARSSIQWPPCPHWPPFAREHCALARFVAVAAVCLLLVAGTYCTAGADDDDLEAALRGAADANRTVILSVLNKAYAEEDGLLDLFVEGLKQGEGTQRLLRHVLLVAMDRPAFRRCRSLGGVRCYRLPAAATNGTDDLSSEQMYMSGGFIRMMWRRIRLLGDVLRHGYSFAFTDLDVIWLKNPFPSLNRTRDEDLLISSDRFNGRPDDYLGNELNTGFFFVATSNRTVALFDEWHAARNQSAGMKEQDVLNQMKRRGAFARLGVRTRVLDTARFSGFCQDSRDARQVTTVHANCCRTMRAKVADLKAVLDAARRLNRTTELRWPAHSECAKSWR >cds.KYUSt_chr5.18526 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119690666:119691937:1 gene:KYUSg_chr5.18526 transcript:KYUSt_chr5.18526 gene_biotype:protein_coding transcript_biotype:protein_coding MREQHVRGKQRRLSPPAVTADAMARLDERHHAEILLRLPSPASLALAAVVCRRWRRISISPDFLRRFRRLNPPQLVGFFICNGGFTAKRIGDRLVGQILEPTFLPVLPPPRGVGGSAARYSEFSLRDLPDIDRWTLADARDGLLLFSSIYHDRMTIPRNFVVCDPLSGRSFLVRDAPRYRLDDEAAYLGAALVTMDGGAGASTLSFEVILVTYFMFGPRLSIFSSCTGQWSVLPEAKCGKSLMPMLSGVGEPAHTNGCVYWVMDDDRELYLLMLDTHTKEFSTSIKLSASMREQYRGNMRVLRNEDGELRIVAMAWKSFALHVWLLDRSRSTKGRWVRETVGELSTFQGVFELCIADVSGGTIKIMDAGEGAVFFKKFGSNWVHVVNLEERTVLKLPHQRFSSGPALPYRMALCPPLPNQVQG >cds.KYUSt_chr3.35481 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222767511:222770365:-1 gene:KYUSg_chr3.35481 transcript:KYUSt_chr3.35481 gene_biotype:protein_coding transcript_biotype:protein_coding MWYSAQGSQNNRITRVVMGYWQLFRSLIVKTSTDGSLPLFLSIFKAAKLRGEELELTSLITSTGPVITEADIRRVVSSWMGLPVEKVSMDIVLPEKKRMMTRKPVAST >cds.KYUSt_chr7.3852 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22969105:22969350:1 gene:KYUSg_chr7.3852 transcript:KYUSt_chr7.3852 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDEVEIEDMEWSEELGAYTYPCPCGDLFQITLADLRLGEEIARCPSCSLFLTVVYNEEDFAGAKEPPQKAAPQPVAVA >cds.KYUSt_chr1.22976 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136240423:136241670:1 gene:KYUSg_chr1.22976 transcript:KYUSt_chr1.22976 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAVRGAATSSSAIKASGCARLLALQLLPPSSSTGRGGEGRSGVCVLGVVVCWRWGSVCPVLWRGAGHLRTGASGELLRWKKKELQVSILPFLKNKRLHRPFSCSALLAFVSFFPAGHGGEGEGGDAAVAAWMRKVHLLLLCANHMVDMIVAMIRGQEDRRSCRRFNDASSTSNSEALVSSHGESACVRSSRLFPCVSLLGLKGT >cds.KYUSt_chr4.5525 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31992064:31992948:1 gene:KYUSg_chr4.5525 transcript:KYUSt_chr4.5525 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPAADALLPAGATALEIPTDRPAAIELFKSPDVPAAATAVRRLAGVSLPDSLKIYHNGSGDDSGVHYSRRLAFSRITPDSAPCRDEPGPFIRLVFRTLALDLPQTFELLPPVVGFGDVPVLFRTPEDRDAAMRRQPFVLDGVTVKLVPVEMILNEGCTENGYMVHVVLHDYPDQVVRTPTSIRDNCCRVGFLCEIDPACVVAGPDLSTTVRVVLQVEHPRQIPHELRFNYTNGTTSVVPVEIVSVWDCRHSYDADGQYVPLFKQPQDENDARLVLDMWMHAIALAQAQGLV >cds.KYUSt_chr2.32921 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203048981:203052385:-1 gene:KYUSg_chr2.32921 transcript:KYUSt_chr2.32921 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSVSEGAIGSLLRKLGDLLAGNYNLLKEAIDDIVSLKAELESMRAFLERMSEADEEPDKQAKCWAKEVRDLSYDIEDSVDDFMRSVECESNRKPSGFLKGFVHRTMNLLTTMNSQHKIAKEFRGLKRRVVEASERRTRYKIDDAVFKPNNTPIDIRLLALYEDKSGLVGIDGLRDELIKLMVDEEDVPTQQMKVLSIMGFGGLGKTTLANQIYGKLMCNFDCGAFVSVSQKPNIRNIFRRMLSEVGYEAPEGTNMDIWAEDELISALRKFLMDKRYFIVIDDVWDETAWNVIRCALPKNSKEDYIMKKNDLVRQWVAQGFVNKAHGRDPENVAEGYFNELINRSIIQSVNIDHNNEVLSCRLHDMMLDLIIHKCREENFITATDDIQAIISLPDKVRRLSLNLDGIIDGTVLETIQLSQVRALARFGTSAYAPPLLKFKHLRVLALEFSSGNSLEIIDLTGMCHLFQLRHLKIKAYCKIVLPSNIRGLEQLETLELGAYGLEVPSDVIHLRRLLHLIIPIGTKLPCGIGNMKYLCTLHAFDVGLNPIDNIRDLGDLTNLRDLRICFAKLKALDDMERRQRLDVLRCSLEKLCNLRNLLTDSKISSTYALNPLSCQLQRLQRLWVFSRVPVWIGELHNLFDLDLAVEVLENDIGILAQLQSLSHLKLHIEGTPEAEDKLVICGTGFPVLKDFRLSSKKISELTFEAGAMPSVQELDIRLDSDYGTYPMGIKHLLNLKNIHVELWVLSLLDGLSWRKYGQKKILGAKHPRCYFRCTYHRSQGCKAKRQVDCRDNDPERFHITYHLKHTCNQ >cds.KYUSt_chr2.54380 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339322818:339325398:1 gene:KYUSg_chr2.54380 transcript:KYUSt_chr2.54380 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDKQLAFSVIASSPELLNKGKNVINMSQGSSLLPKDKSHAEDSVKVVGTKRLHTDAPSSPVYHNVYVRRKVESEHSKVSSSQELKGNGRDKTKKQEDQKNMESEHSKCNSSQELKGEGIEKTKEQKEQQNMETEHSKMDSSQELKDNGSERTREHEERRVQQDQASKPEMLPLTAESGIEELEVQQTVQNDQVNNPEVAPLIAESGIKEEGRQKVQHDHVNQPEVAPEVPPLISESGIKEKDGWQKVQHDQANQPEVAPPSFESEIEEEEQQTVQHDQVNKPEVAPTIAESGIKKEVKVLHDQVKMPQATPSVADSGGLLLSEMASPVAESVGLVPSESPEKANVESIPKKNETNVASANEPPATPGSAVQGDIHSSNNQNLYWSERYNRLQTYLENCDRSSQEGYMRMLRPLSATGRSMHAIELEKRAIHLLVEEGKELHRMKALNVLGKSPPNASAKQR >cds.KYUSt_chr2.25238 pep primary_assembly:MPB_Lper_Kyuss_1697:2:154345818:154346937:-1 gene:KYUSg_chr2.25238 transcript:KYUSt_chr2.25238 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAECGRGGEGCCRAGGEEAKEKYCAKADRTAQVVPLDDAAAQEVMPPYVMTVDDSSVDRALVTALLRRSKYRVTAVDSGKRALEMLDSEPNVSMIITDYWMPEMTGYDLLKKIKESSELKQIPVVIMSSENVPTRITRCLEEGAEEFLLKPVRSSDISRISNRMLQ >cds.KYUSt_contig_60.61 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:330595:334789:-1 gene:KYUSg_contig_60.61 transcript:KYUSt_contig_60.61 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCAADYRSMNWRENSAVQAMCMEFVSSRRAAQRLSNITVENEARSVGCSWDLKKNSFTGPLPAFIAELTTLQYFGLGSNHFNGSLPNELGKLTKLQQMYIDSNDFSGPLPATLSQLTNLSILWASDNNFTGQIPDYLGSFTNLNQL >cds.KYUSt_chr6.8831 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54261719:54264725:1 gene:KYUSg_chr6.8831 transcript:KYUSt_chr6.8831 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLRRVPAARAPCAALMAAARPISDSTAPLTIETSMPFTAHIVDPPSRDVATTPAELVAFFRDMSLMRRMEIAADSLYKAKLIRGFCHLYDGQEAVTVGMEAAITRKDSIITAYRDHCIYLSRGGDLVTAFAELMGRQVGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYRKEDSVSFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPSYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHAIANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLLLAHDLATPAELKDMEKEIRKEVDTAIAKAKESPMPDVSELFTNVYVKGFGVEVS >cds.KYUSt_chr7.3562 pep primary_assembly:MPB_Lper_Kyuss_1697:7:21255401:21256644:-1 gene:KYUSg_chr7.3562 transcript:KYUSt_chr7.3562 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLDSRQAERLTDDLIVEILSRVPAKSLCRFKCVSKHWLSLTNERKYCKKLPQTLAGVFYSCPSGDGFLEPFVQFTSATPRCRSPVCTSLAFLPNHQRAILLDGCNGLLLVLWCPSAQGPECYYVVCNPATEKWVALPDPNQVNKEDVLHLGLSFDLAVSSHFHVFAFLKKKDGCEWDPYWCGVEVYSSETGTWVYKDNVWNGDIMLANHQSASVFLDGYMHFHAFHREPSYRCLAVVDTKGETATHFRVPGGIYQGFIQKSQGCLHYANFQRDEGSAVIRFVVYVLKDYGSKEWTLKHSSETSYIFGENLAYLHPHFEWIMIHPDCNLIFFTVGHGIWCYNMDRRQVELIPDTEYCMGPYLPYVPLYSELPSLHE >cds.KYUSt_chr7.16164 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100161601:100164094:-1 gene:KYUSg_chr7.16164 transcript:KYUSt_chr7.16164 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLCLVASSFLPIGAQRLVSSPNPAARLHRHLLMIPSLLYFSPCAKPQRLYNAATFLRDLIVVSPPLCTNGSLTPWETTPMQLLSTNSSNDHDAIDNELVRSGVYFNMGFKEQQMKKVATDVLAFAGVHVTTLQLYNHIRNWRTKWSVIMKMKSDRILDWSEDGCCYYSGDEGTADEYIQVRSLIQFLHRSKSIYMSMSFALTVVPCGLHSYPKHRQYVGTPITNYAQMKTIFTPRFVCKTRLFQPNLLVRVIDFIADNEAEYVVYRKLQPSERRSWLRTWLRNQFPA >cds.KYUSt_chr1.10090 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61867812:61876851:1 gene:KYUSg_chr1.10090 transcript:KYUSt_chr1.10090 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQAGIGVTRVVMLIGAGMAGSVVLRNGRLSEILTEMQEFLEKADKGKEAGGGGADHGISDALNEVRLLAMQVRQLGSPRSITVLNGGSGQSGLSGLIVPAATVGALGYGYMWWKGISFADLMYVTKQNMANVVSSMTKHLEQVQTSLAAAKKHLTQRIEKLDDKLDQQKALSGQIKDDVTGARLKLETIGSEIRNIKDLVWGLDEKMDSMEAKQNFSCAGVMYLCQFIEQNGGKLPERLEGIKPSAKRFSSIGIQGLQLAIETGDFSDFSNADSTDKISSKPISDPDTDLHCGVDDLFPICAVTLSQRLCDKQSNTNPSGKKCVIGMSKCTTSPVSVADTIVKAQLSNDSPDAQITGVGNFKQLWKEIVDPEYSFDNFRIVYPAMPRQGNGHDCGVFVMKCMEIWTPGADLRDYFLVVNIPNIRVQYANQLFFSSKNTADKSLVNQLFREGKFHGVRKSITSGSNDDQAIKK >cds.KYUSt_contig_2073.66 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:382150:385618:-1 gene:KYUSg_contig_2073.66 transcript:KYUSt_contig_2073.66 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPDGQGLDKLISESADDSKDMSLKILVSFSLALVLMKRGEEVSSSPDSIDDVLYMLENEMFMRIKQQGLGITPKTLIVTRLLPDAVGTN >cds.KYUSt_chr3.34616 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217114400:217117125:-1 gene:KYUSg_chr3.34616 transcript:KYUSt_chr3.34616 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPFVLLQPLAPKPYLPFGPLHPSLFRTRHLRCSPNGAAAPEPPPKPTPRRGRKKASESDSSPPKAKVTRRKTKKAGQASDSESDGEPAKPKSRRTKKSPKQEAKQQQQEEEAVQAEEPKKDPSSKEEDSGSDSDSDAPAADLPYEWPPLVCCFGAPRWEFVPTVRVSDHQMHPDQYSTWIHLQWEPPEFARAPGSAASNVAIALARLGGRAAALGKVGDDEFGHELVYRMNRERVQTRAVRFDGAASTATARMKVGFRSREDGQGGTTSLVAETVKSSAEDSLGEDEINADVLQEARMLHFNSEVLLTPSMHNTLFRTIELSKKFGSKIFFDLNLPLPLWKSRDETKEFINRAWNEADIIEVSRDELEFLLDHEYYEYKRATPPQYYLEGFHLTRNWPEYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVVGTEDALITPTTTDRTGSGDAVVAAVIRKLTTCPEMYEDQDTLERELRFSVAAGIISQWTIGAVRGFPTESAVQNLKEQVYVPSMW >cds.KYUSt_chr2.38820 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240649928:240650314:-1 gene:KYUSg_chr2.38820 transcript:KYUSt_chr2.38820 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAAATPAKVTPKKANLLDPHSIKHLLDETISEVLLAMHAKPYPILPLEIYVPLPLCFPHLIRSVPRFVQVVKSKGYAEDNRLGNWKLLIGTAVIGVALLAQFYPKKFPQNREFLLGCIALYPLM >cds.KYUSt_chr6.17242 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108590435:108592050:1 gene:KYUSg_chr6.17242 transcript:KYUSt_chr6.17242 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYVVLVAALLAFCYVTKKVLGSGNSRLPPSPPSLPLLGHLHLMGRVTHRSLLSLHLRYGGGGGLMFLQLGRRRTLVVSTEAAAADLYKNHDLAFASRLHNAAIDKLYYGSNSNVSFAPYGDAWRRRKKMAVVHLFSPRRAESFAPVRAAEAAALVARARRAAVAGHAVELRELLYGYSNAVVTRAATGAAGATADRIKQLMANSAVFMSGFQAEDVLPDAAAKVVRWATGLEKSLDGQVEAWDKFLSEIIAEHLEKKQGNDGPYKEEDFLDVLLRLREEDTAGLELTDNHIKSIVKDMIAAGTETSSVTLEWAMAELVRNPRAMAKLQDEVTRAAEDDLNKMEYLKAVVKEVLRLHPPAPLLVPHESTEAAVVQGYEIPAKTALFINAWAIGRDPAAWGDTAEEFWPERFLGGGSALGVDVRGNDYRLLPFGSGRRLCPGISFALPVLEIALASLVRHFDWEIPGGTCLDMSEAPGLTTPPLVPLRLVPKCKTTASLGLV >cds.KYUSt_chr4.12371 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75561729:75563007:-1 gene:KYUSg_chr4.12371 transcript:KYUSt_chr4.12371 gene_biotype:protein_coding transcript_biotype:protein_coding MNGELLKPKPLAPEEVYRDCSEEADGDTAAGDYGKELAEQQDLACILPDDVLADVLCRLSPCWLAASCCVCRDWCAAIDTCRLLRVDLLPLSFRGIFLHLDEQMLPDFFARSSASTEALDFLPDPNTYMEVSNRGYHQTWRDCHIQDHCNGLLLLSRHVVNPATRHCYLLPPCPPAPRLVRHMAGHVNASFNTYLVYDPMVSPHYEVFMIPRRFSVGLVEELDDFDPLVKECRWASSPCIFRVFSSETGTWEKRSFVREGRVQGTNVKQFRSLRWRERAVYWRGALYLQREANFVVRISLSTHKYCVIKPPVDMGLSLRTTRYLEKSEQGVYFAALDNYHLRVWVLKESSGQREWMLKYDNDLQAALAPHHGQQVHRPWDLGVERC >cds.KYUSt_chr2.30247 pep primary_assembly:MPB_Lper_Kyuss_1697:2:186169678:186170787:-1 gene:KYUSg_chr2.30247 transcript:KYUSt_chr2.30247 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRCADYVLKLPHDLIEEILIRLPPLEPSYLVRASLVCKPWRRLISSPSFLRRYRVFHRTPPMLGVIHSGGELSDHDSRFVPTTSRSPIEPCYLRWWPLDCRHGRALFSNNLCFIDGFSLVVWDPMTDGRRGLHEPGIPYTRSTAAVLCAAGDGCDHLACQGGPFLVVFVGTNDREDIARACVYSSETGEWSAPASVQLNCDVDIKPSVLVGGAVYFLCSCSHEIIRYDLAKQVLTVVDRPPEEYDDIVLVAAEGGGLALACLDDDVHPHCNGIYVWSMEAVGWVRRGGVIDIRSMLIPPQDPVHALCLLGSAEGTDSILLDTDHGVFAVNLRSGKVGKKVCERGSFYAIFPYMSFCTPGVLSDSHP >cds.KYUSt_chr1.6690 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41226670:41227644:-1 gene:KYUSg_chr1.6690 transcript:KYUSt_chr1.6690 gene_biotype:protein_coding transcript_biotype:protein_coding MENYERLEKLGEGAAGVVYKARDRRTGAIVALKRLRPAGRDDGQLSEDLGREVSCLMACRGHPSLVEIRAAGRDASNAFLVMEYVGPSLAQVMRKRAGLGRGPFTEADARRLMRQLLDGAAAMHGLGVMHRDLKPDNVLVDAHGNLKICDFGLSRFAAPTGAAPYTASMVTRWYRAPELLLGSREYDAGVDTWALGCIMAELLAGAPLFPGRTEMDQLNLVFDTVGTGDMTNWPSFVRLPRAGSPLCHQSRPPSRLREMFPALSSAGLDVLTGLLACRPDRRLTAADALRRPWFTDAESADQARNACGARFSERVGGVADAIVV >cds.KYUSt_chr4.33034 pep primary_assembly:MPB_Lper_Kyuss_1697:4:202441999:202446798:-1 gene:KYUSg_chr4.33034 transcript:KYUSt_chr4.33034 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHPRLFVLAAAAALLVAAFFTLSASPLVSTSRRFSSAAHSGVRHARRLRLLRPHLLAGAFGGIVVDEKTRRRVVVDEKAPPGTGAGRRGDWGISAGENGDMQANWREWGYASKLEGESTGFGFPVADYAGLGLSSGASMQGFFARVRGPFTPTQWMELEHQALVYKHIAANAPVPSSLLLPIRRSMHPWVGWEPFFPGSADVEPGRCRRTDGKKWRCSRDSVGDQKYCERHINRGRQRSRKHVEGRKVAPTIEEPAMVVSGGVSSHSQAVAWQQQVKNLAANVTDPFSRQSNRELLEKQNTVEQSLVSAPMDSFDFSSQHSSSNCDEVAFLPLKLHHDLDQAYVPHGACSSSEKEGVEPVKAIFQRRWIDCKRDPGPILVSRSA >cds.KYUSt_chr4.26570 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166813053:166817124:-1 gene:KYUSg_chr4.26570 transcript:KYUSt_chr4.26570 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILKCFKGDDEKEDQYPYYRPTSRPQYQPHYPQQQQQQQQQEAHGHGVAALAHDLLNFETLSMVPEGLRQHVTASKKAQNKWYQNMLEAYKNARTPPRTPAEAAQLIVTALNWIQRADLEGILEFYNLPIPSLPATSSSHRPSSLPEGVQFVLNTLPVNNQNIGDGDGFTAYVATTDPRESAIVPIEVHEMVIARTEARNRRDYQSADALQSRITEAGYKILVCSDEEVLARKYRIRMRGIDAPELKMPYGKESRNALVKLIGGKRTTIHVYCQDQFERYVGDIYCNNVFIQEKMLKNGHAWHFTTYDKRPEFAKWEREARAANLGLFASLNPEKPWDWRRERRNGEVQYAIPDEYADMNCEEQAPIYCSTLLCYLLLLDSMSP >cds.KYUSt_chr1.26730 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161124499:161130997:1 gene:KYUSg_chr1.26730 transcript:KYUSt_chr1.26730 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHARLVKATLVSACAKLSCTRWHISFTATEGWWDILLLGEAASCGAVEAKGGSFWGGGLVDCAPSQGSGLLVWPKDVAFCLLIRHGACLWAGQGRVEQRRTMKYSKEDKPERAGGGGAGSRAVPVALVFLFLCGFSFYLGGIYSTGRTFTLFDPAATAATTRTLRVPLSTKQETSLAIANIEEEEVEFPECPADFQDYTPCTDPKRWRRYGNYRLSFMERHCPPPPDRAVCLVPPPAGYKPPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLRKEGDRFAFPGGGTMFPNGVGAYVDLMADLVPGMKDGTVRTALDTGCGVASWGGDLLARGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPIPSGSIDMAHCSRCLIPWTEFGGLYLMEIQRVLRPGGFWVLSGPPINYENRWHGWNTTVEAQKGDFDRLKKMLAGMCFRLYAKKGDIAVWQKPLDGASCYDKLTPVTSPAKCDDSVDPDAAWYVPMRSCVTAPSAKSRAAAKQLPKWPQRLGYIAQDRVSAVPGGSGSAMKHDDSKWKAAAKHYKALLPALGSDKVRNVMDMNTVYGGFAASLVKDPVWVMNVVSSYGPNSLGVVYDRGLIGTNHDWCEAFSTYPRTYDLMHADGLFTAESHRCEMKFVLLEMDRVLRPTGYAIIRDNPYFLDSVATIAKGMRWTCNRHDTENKENEKEKLLICHKKLWSPKSTQ >cds.KYUSt_chr7.5908 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35326070:35327665:-1 gene:KYUSg_chr7.5908 transcript:KYUSt_chr7.5908 gene_biotype:protein_coding transcript_biotype:protein_coding MEACFPFLGVRKRRVPPPPVEGDPHHRNIAAAARTFSFEELAEATRNFRDEYLVAGREPSMYRGRLKSVNQVVAMKLQHLVDRNNVSTEQRNSEFLARVLMLNALRHPNLVNLIGFCADGNHRILVHEYLPLGSLEDHLHDPSPDKGRLDWSTRMNIAADVARGLEYLHGKGVVCCYLRSSDVLLGVGDGYHYPKLSQYELAKDGQLLDGIREARDFPCTIAPETAMCGKVCRSSDVYSFGVVLLEMITGRRPFSPQAAEEDRDLVTWARTLLEDRVEFRRMADPALQGRYPSLGLKEALTVASMCIHQDPAMRSPIGAVVTDLARLAYDRSS >cds.KYUSt_chr1.32689 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198494225:198503446:-1 gene:KYUSg_chr1.32689 transcript:KYUSt_chr1.32689 gene_biotype:protein_coding transcript_biotype:protein_coding MYAENPKAHPGYCPENAASPELTEVWPRYFPGETELSGRDRRAILVGALVNALEERSKLLPRVEADGAISFLPIDFQQLKNLCCGTDLLNALKENPKEALLCMGVAVHLVDDSFHAKFTSVTPITHVFLFHSLYFGPWCFLQYKWIQELASAENREEGRVPRTIECELAEDLVDCCIPGEVVTVTGIVKVLNNYMDVGGGKAKSKNQGLYYLYLEAISVRNSKGHAVSENSAASSTDIPPSGSFSFESFTDKDLEFIYEYNNEHGPDLFRQMLHSFCPSIYGHELVKAGITLALFGAVQKHSMDQNKVPIRGDIHVIIVGDPGLGKSQLLKAAASVSPRGIYVCGNTTTNAGLTVAVVKDSMTSDYAFEAGAMVLADRGLCCIDEFDKMSAEYQSLLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRGKTVNENLKMNAALLSRFDLVFILLDKPDEFLDKRLSDHIMALHTNDRDRCTSNKRLRTVSQCSDTMGAEIGEKSLASKLRLHPEKDRDFVPLPGPLLRKYISYARNYVTPRMSKPAGDTLQEFYLYLRDRSTSADGTPITARQLESLVRLAEARARVDLREEVTKQDAKDVIAIMRESLYDKYVDEHGFVDFTRSGGMSQQKELRRLLNALNKQSELQQKDCFSRAEIQSLADKINLQVPDLDDIVERLNSAGFLTYKGLGKYQTHDEPYLLYLSTATYLIIFTDPADKVKMAFAIVVPILYFQEGFAHNMIAKAE >cds.KYUSt_chr3.37620 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236718615:236725593:1 gene:KYUSg_chr3.37620 transcript:KYUSt_chr3.37620 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHPLLEEPSRSASWFRDLACVNGVLKFIEMENPAPPENKDDIISDSDLIMWLKRKAVDRNSEQHLSSFRNDWRAVTWSREVSPSSANFWRQTCATPVAKIKGSEQLLMMLIKDTQQLVTFRDLYSAFPILIPADNDHDILYLKSLEEPSHRDGWVAAVDIGKKALKEIALYYLPDDWYYSHRCNHLQSFLPIMRPEHTLKSSKPEKSKCSRMRNKLHLKATVQGDLGDETGVIPKTDVVGDLPSASLPSADLEDIAVDVAVDDKHVDEDNDQEGVATKKKNQSSLFNRSSPMKIVRVWKGMTPKQMELVSTSVFADIAQMKCSKLVPELCRFLMSCFDPVRCCLDFGGRGIIPVNAESVVRDLGVSVGSADVPYHPNVDATCLVLKMFGIHNGVHPNVSTVEKELGPEYPADDSYMRKFVIFLISSVFAPTTGIKVSPKCYPSVVNIEAIGTLKWAQFIVDIICQTASAKDKKNWFKACMRYLMVLYVDSLETDALDVPQDGTRCSVWTNKMITTVCDLDTRSDGSFGALPLKTCFRVNLSLFISEPSQVDMFIKRHLTGNHTEEDIVNCRKAVVSMYTTFEDGLVTFIRSLGCNEGTTSKHNQEEEVPCSKKQKRRRVTRAPTKEVLGGPKENQIQSHAEMMDVDNVVPQNADEVAGDVADHNAQEDNLNKSILGHEVPLHEDVVCLDDQFVHPQSSNVPQLTELATPNALNQLQVLYGSASQHTTSSQNAQSKDQDYDGSGTKFVLIGSSTGKKKSVSFDLQGENHFQQPKLNEDTVALKVLGAPMCGFGN >cds.KYUSt_contig_1253.167 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1173447:1177257:-1 gene:KYUSg_contig_1253.167 transcript:KYUSt_contig_1253.167 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRSAAISAAAVVALRGFSLRRLAPPRAATSAAGKMLPRSAMQASAFTTVVPDSDEAVAEPSVEADTETELSKLACPVCYYPLVSSIDHQSAPTKSDSSLECSTCKKVYSSKDDYWDLTVSVGSAEYSESMPAATELFRTPLVSFLYERGWRQNFIWGGFPGLEREFDMAKTYLKPTNGGVIVDASCGSGLFSRLFVKSGLYSLVVALDFSENMLKQCNEFIKQENISDERLALVRADISRLPLVSGSIDAVHAGAAIHCWPSPACAVAEISRVLRPGGIFVASTFIADVLPPAVPVLWIGRPYIGQITGSNIFLSEAELEDLCRACGLVDFTFVLAFPVLLKGLVM >cds.KYUSt_chr4.11527 pep primary_assembly:MPB_Lper_Kyuss_1697:4:70108174:70109427:-1 gene:KYUSg_chr4.11527 transcript:KYUSt_chr4.11527 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVVVRRARSRSSPGRFDSTRRTRPRLDEAAPRTDKEADTSSALPDDMLLEVFNRLPPPTGILRCAAVSRRWRRVASRAGAAALPAPPRHFGFFRNHGPSALPPFVPTAGVALDLRFLPVAPTCGAILVDTRGRRLLLRELGAGSATSLKLLVCDPLHKTFARLPPLPSVGHKVSCCTLIPGEGPHFRVVVVLFGVTAPNFYVLIYSSSSSAWEAATGALKRLLVPHQGPSVVVGDTVYRLQCDDKYIMAVNTTKMTLAALPLPNAGMLLYTGNNWIAQSDDGRLCFFVIREPLILAKWVMDAPGKWTPQEPVALRPLMTPAAVGDLHGMKLSAKIADQLHGCKLVSFAAFCQGTGTLFFIMADTVVSLDLNTLKMERLWLNDDEYRPLGDVFPFQMVAWPPVLKDFVKAPAISD >cds.KYUSt_chr2.2772 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16518356:16522721:1 gene:KYUSg_chr2.2772 transcript:KYUSt_chr2.2772 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSDANLAHIWASGENVTQHLRQPPRLPRLRLPAPPAIAASAGVDRISALPSELLCDIVSRLPVKDAARTAVLSSRWRPIWHSTPLILNDAHLLPDGHRWPFTPANSPAITAAVSRILEAHPGPVQCARLVCTNMSLYRPQLARWLQLLAAKGVEDLALVNRPWPRDLPLPAAVFSIATLTRLYLGQWRLPDTAVLRGASFPHLRELGICCVFMKHGDIESLVARSPVLEILNILGCMEGLRLRLVSQSLRCVQFCLTSMEHVDVVKAPLLQRLVMYGSSPKARGLCTTVRIADAPKLHAFGYWQPEDQVLQIRDTIIVPGIKTSASTMLTSVKVLSLDVRFGVHSDVMKVPTFLRCFPNAERLHIMSKRCDQPTDNLAPNFWDESGPIINVILRINTMSLREFRGEPGEMAFLEYFFRSARVLSFVVVGMANPMYAPFSTDEAYSKVKKCYNIMASKSCNKLVLGSNGPAGGDLWKFKDGADFSFHDPFSIAEVGRVS >cds.KYUSt_chr3.39312 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247636049:247637222:1 gene:KYUSg_chr3.39312 transcript:KYUSt_chr3.39312 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTTVKPENEEEMSTGYGNDSESLRLVENRRFTYEELDMITNGFQRVLGRGGFGNVYDGFLQDGIQVAVKLRSHSSNQGNKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMAQGTLREHIAGMYTNLPWGERLRIAHESAQGLEYLHKGCNPPLIHRDVKATNILLNARLEAKIADFGLSKAFDQHNDAYISTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKQAILSDPEPTSIIYWARK >cds.KYUSt_chr2.6622 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41318630:41319931:-1 gene:KYUSg_chr2.6622 transcript:KYUSt_chr2.6622 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLFNSLAAPDPASPPPEPDTAGSASPSPAAPSDAGGWGFGGLLKTLTSQSETVLETYRRDLAEFSTGLRRETDAFREAAAQAARDLPSSAHALDGLADIVAQGKDALAQATSAATPSSTPAPTDAAESDLSSASGHLRHYSRFEAQLRALQSDPATFGADPDDAEDFAAWRRTAGFSVDERQEEIEALCYESDAVEGMLDRLVPDAVEGELFWARYFYRVHKLKQQEDARAKLVKRVIAQEEEEDLSWELDDEADEEEPEIKQASINEEPKHELQEAENRVDEVKQAGALEKETRNADAPQPEVFGSSMVVVDKEEKEDASKSIVEESSDKKTVAEEPRSSAGDDAVKEGAKHETSDSSKDSDYSLVSRQQTATEDDLEWDEIEDLGEHEEKKGSAHGSSPAPKEELRKRLSVAEDDEDLSWDIEDDDDKA >cds.KYUSt_chr3.6866 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39448212:39453843:1 gene:KYUSg_chr3.6866 transcript:KYUSt_chr3.6866 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNGGKKRKRKRGRRMKRKPGSSPSSSASSDDASPPPVEEERRGGLEVSGGLPDYEFGWHNSLFVGTKGNVDILGSVRNQLLKTCFLCAILYAAEQDIRSWLAIEEPDRQPDIYFDYDTYVTQYETEIKALIGQVQSSVYRTREDRPKTALKIFLRDGVMAYSKSKEWPGGKIIKISDFQLHKDMMFEDFENIIASGGSVIGGFPVSPEFKDLQPDAIYEFSPPGVKRQSMGAHMVQFIGTGADGGREFLVFLNSKKRPRKDGVGKVYFDQIYSGVYTLQCRAPPPPSDETGLIVAGPDDNDGTLGSSGAAPDGNKMSSFFSAPDGNNTSSSTAPTKSLSQVRKCIRYDDDTISSSCTIKIDGWVLSAPTLLVEDIQTRTCASIVKIDGMSKQFEANLPPPPESLFCVATERARESPTDQIVDTYRFRKDDCLGHKVDSFFSRDDFSGFTFREIYSAGASAKKVACFHSLSDGKLLATGGHNMKLGRLPVEPAALRDCSEDVGMLFHSTSPNPNVPYWRPEGVISRAKIEDIKAMAICHKESVTLYANMSLCKLPVGDGEGALSDALRCRLLRPDWAKACYRQAPAHMLLKEYEQARDALLDAQKLDLGMQKLRVNYGNLLSYAYLF >cds.KYUSt_chr5.5411 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33849718:33851975:-1 gene:KYUSg_chr5.5411 transcript:KYUSt_chr5.5411 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLLFLAFLLPAACAATSSCHPDDLRALRGFAKNLGGGGVLLRTAWSGTSCCVWEGVGCNGASGRVTTLWLPRHGLAGTITGASLAGLARLESLNLANNRLVGTIPSWIGELDHLLYLDLSHNSLVGELPNLKGLTTTGHLLGMAFTSMPLDVKPNRRTLAVQPNTISGTNNSVLSGRNNTVSGNDNTVISGNNNTVSGSFNTVVTGSDNVLTGSNHVVSGRNHIVTDNNNAVSGDDNNVSGSFHKVSGSHNTVSGSNNTVSGRNHVVSGSNKVVTGAVPVVAEEGVEAEEGVDAEDGEELLLGVKLNDLELDAADALLPARHLILPHLADGVGLLPAPASAGLRLRDRRHLRDHGILLVGAVDVIPCGECWLARDDDVFLLGARGTARTAGGGALAAVVRELAWGREPPVRPSPSERLNFRCSASVRNKGSRGGESPPPKPVPPRAELPREDMGWRSWGEAALQRGGFLVGEGGVGDMPKRQ >cds.KYUSt_chr5.15559 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100491977:100492640:1 gene:KYUSg_chr5.15559 transcript:KYUSt_chr5.15559 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDAIIEDMIIDGTNGPPSFIPQEAEAYADDEWASVLERQDIKLDLIKANIVAKKRKEDLAILIVDTSGMEDDVKAWCAAQRATILAESRAPPAPQPSTATPATDTTPPATDASPSTASPPSPIATLTTPTIVPDSLVEEIA >cds.KYUSt_chr6.9550 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58931347:58935237:1 gene:KYUSg_chr6.9550 transcript:KYUSt_chr6.9550 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRPGRSQPMAVGAASRRYMGPLLCTNLVMHGAVLGIAGWSISKFIDRETHRHLGGNTATGYLLVFSLMAGVVGTCSVLPGLLHVRAPWRGESLATAASTGLVSWALTALAFGLACKHVTLGNRGRRLRTLEAFITISALTQLLYLILLHAGTLSSVFGPGCRNHDHSQGCCEIHREELDRSHKQDMLQWMDALETKQAWIAAAAVSSSCPQSAQHRGQEDKEKP >cds.KYUSt_chr7.33251 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207459994:207462511:1 gene:KYUSg_chr7.33251 transcript:KYUSt_chr7.33251 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHAGERGLRRESALRTVRLLKDAQVTDPGATATTPRIGTDHQGVGAAAVPKALCTMAQVSLRLHAVVERMLWRELCVTRAPRMMSALGTTGPPPSSELGPGRIRYSTADEPHP >cds.KYUSt_chr7.2076 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11904100:11904701:-1 gene:KYUSg_chr7.2076 transcript:KYUSt_chr7.2076 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEGKPTPAAAPSHPQGPPQVNPWSTGLFDCTQDPASCWLTCLCPCITFGQLAEIVDRGSTSSGASGALYMGIGILTGWEFQWIYSCFYRTKMRAQYGLQETPFPDCCVHCLCEPCAICQEYRELRNRGFVMDIGWHANMELQQQQGRGGAAATSPPAMHADGMTR >cds.KYUSt_chr4.24912 pep primary_assembly:MPB_Lper_Kyuss_1697:4:156756943:156759363:1 gene:KYUSg_chr4.24912 transcript:KYUSt_chr4.24912 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAAASRRSMGERWGLAGTTALVTGGSKGIGHAIVEELAGLGARVHTCSRNAAELEECRRRWEDLNLCVTVSVCDVSVRAEREKLMDTVKRAFGGKLDVLVNNAAQSMVKPATECTGEDYARVMATNLESCFHLAQLAHPLLLSGRGRGGGCGGGSVVHISSIAGFVGFPGLTVYSMTKGAMNQLTRSLAAEWAGDGIRVNCVAPGGINTDIAKNVQETMDPEMVSSQAARVPMGRLGETAEVASVVAFLCMPGASYITGQVLCVDGGCTIA >cds.KYUSt_chr3.43645 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275374638:275380984:1 gene:KYUSg_chr3.43645 transcript:KYUSt_chr3.43645 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGEKPAPAAEGGGEEQEAVESVKLFVGQVPKHMTEPELAAMFQQVALVDEVTVIKDKATKASRGCCFLICPSRDEADKAVNEFHNKHTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVSDTELTDLFSKYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAMAAIEAVNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLQPPNMPNGGPMPHSSAFGALPMGYMPQYNGFGYQPPGTYGLMQYPLSPMQNQTPFQNQVNSIRGVNPELSPNSVPRSFNGMQLGSPYPAVPGMPYTGSYPGALMSNRPFGNSHNLLKVPSANVNAIPYSPGSNGGNHTQTEGPPGANLFIYHIPQEFGDQELSEAFQRFGRVLSAKVFVDKATGASKCFALCMSAESAREPHVSSSFGSH >cds.KYUSt_chr7.3193 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18965743:18966894:-1 gene:KYUSg_chr7.3193 transcript:KYUSt_chr7.3193 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQQTLCAGGGEEDARGEDEAMELIPGLPEEVAEKCLLHLPFLYHRLFRTVSSNWNRFLTADTPAAKPTSPPPTVSLSLPFLFAFAFDPASRRLQCQALDPFSRRWLLLPPVPAPCGGGGGVAAGSFAVVALPSRGEIYVIGGVEADGGEKPVRSVAVYSAATNGWGLATPMRTARGYMSAGEVGGRVVVAGEDGDAEVLDPDTGRWSPAAVRAGAAVARYDSAACGGRLYVTEGWAWPFERAPRGAVYEAATDSWAEMARGMREGWTGSCAVSGGRMYIVAEYGEWRLKRYDAGRDEWRMVGGGGVPAEVRRPHAVAGEVGEVGGGRRRIYVVGAGLDVAVGTVSAVQGAEEEEERVEWEVVKGPAEFVGLAPCNAQVLYA >cds.KYUSt_chr4.13351 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82304031:82304825:1 gene:KYUSg_chr4.13351 transcript:KYUSt_chr4.13351 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAERVSLTLQRCNLVVIRSCLEWEPESFPQLATLGGKPVVPLGLLPPSPEGGRGVSKDGEDATVRWLDTQPAKSVVYVALGSEVPLRTEQVHELALGLELAGTRFLWALRKPSGVPDAVVLPPGFEERTHGRGLVVTGWVPQISVLAHDAVGAFLTHCGWNSTIEGLLFGHPLIMLPIFGDQGPNARLMEGRQVGVQVRRNENDGSFDRDGVAAAVRAVAVEEESRMIFVANAKKMQEIVAGSEVHERCIDGFIQRMTSYKE >cds.KYUSt_chr4.16360 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101676033:101679471:-1 gene:KYUSg_chr4.16360 transcript:KYUSt_chr4.16360 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRRLLRVLRRAIPKPRPPPTPRRRFLHTPSLLDAVAAAPSPSPNLLSRSSGSLPPLSAATVVEEAAPTAAAYLVELAETVLPAAQVVAALLSAWVHWRSTPEGPHGMVLTLVGANVAVHALCRLADPSFKMNHFATSLDNFKSGRLHTLLTSAFTHIHLDHLFKNMSTFYFFGSDIAGMFGPAFLLKLYVSGALTGSAFFLAEMACLAPREEGASAAVRATVFLEIFLYPKRMLYLHFCIPVPAAIAGAGFIGADLWRVKKGQSGVAGSAHLGGAFVGALVWTKLKGWI >cds.KYUSt_chr6.25702 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162863037:162866319:-1 gene:KYUSg_chr6.25702 transcript:KYUSt_chr6.25702 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRKAYGALKDSTRVGLAKVNSDFKDLDIAIVKATNHVECPPKERHVRKIFYATSVSRPRADVAYCIYTLSRRLSKTKNWTVALKTLIVIHRLLREGDPTFKEEFMAYSYRGNILHMANFKDDSSPLAWDCSAWVRTYALFLEERLECFRVLKYDIETERLTKSSQCSTKAHSRTRTLPCLDLMEHLPALQQLLFRLMGCQPEGAACSNYLIQYALALALKESFKIYCAINDGIINLVDMFFDMPKYDAIKALAIYKRAGMQAENLADFYDFCKDLELARTFQFPTLRQPPPSFLATMEEYIREAPRPSIKSVESGERKLLTYNEEEAPKEPEKPVEEQKEETVETEQEPEPEPEQQQLQPLQTTGDLLNLDEEVNPLITDLEEHNALALAIVAPGDQNNAPTRLDLFSGSTSGWELALVTAPSSHTSQPIETKLAGGFDKLLLDSLYEDEARRQQIASMTYHGSSGQANPFETNDPFAMSNSFTPPSNVQLAMVTQQQHYFQAQQHQQQYYQPQLQEQYFQAQHHQHQYFQAQQHQHQYCQQQPMSSAHSSGAYNPFGDPFSDLVTLASPKQGNSSLL >cds.KYUSt_contig_528.569 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:3529898:3532757:-1 gene:KYUSg_contig_528.569 transcript:KYUSt_contig_528.569 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVWGSSQSSARCFCIRKRKFTGNRRKFTDIRKGKFTCIQLILKSILSKASPYIWEPVLFPQNILKGKGFHISQMRARSALALYRIDLVNGRLKRRSMAGAEVIDDASCGRLCRPPGLAPKWQG >cds.KYUSt_chr1.42844 pep primary_assembly:MPB_Lper_Kyuss_1697:1:262116183:262116839:1 gene:KYUSg_chr1.42844 transcript:KYUSt_chr1.42844 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAGVHLLMVVAVAMATTTDKSELTLKKTAEEEANAQSPASSTTSFSEHKTEVTPATAAENTTKKGFYKGMSREFVNEHNKVRARYGAGPLLWDKTLALYARRWANQIKGDCDKARHSTKQIYGECFFLGTNGTAQDALCSWEKEEEVYDKGTTECTPGHNYRDCGHFKIMVTPGWKWVGCGRAPCTAGPRQGQFFISCSYSSAKPDPSSPAASNP >cds.KYUSt_chr6.2341 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13640512:13644905:1 gene:KYUSg_chr6.2341 transcript:KYUSt_chr6.2341 gene_biotype:protein_coding transcript_biotype:protein_coding MLECKRDDITDIGFIDPNTMHVKTIDDPLYNKDTPQTLLRFLKRQRDKKLILWPYNFEFHFILLVINLEIGEVEVLDSLSKEKDLYVSCFLMLGSVWQTFIKEDTSREWPPKLRWRAKEFRPVKAKAASLPPGVTAERCWCGRLAKVKQVEDFSDQFGMKFFMCASYEHDPPRSSASSSTRPPSPPPLCKWFHWIDTEQSDWARQEVEEKHRRAWATFFEEERREKVRANEKAERERRIQKLRAEQARNREVNQKRMDDEAARRFAEEDVHGHLFVQNLETMDHTSSTRWTYASTTSTRMKRRCKREAGLEGLITLLEGFIPMDKVDYRYLTIFYRCRASIDKGSAGEAVLAYRAIGLLALNVGASADCSMEILTNTLHLHALVKTLRTPSDGAAAKVVAAIDCLANRHLRRCAAPAGRRGISPRPLERDHDYTQHDGQQCGLDRSHVRVDVIAHRPRR >cds.KYUSt_chr2.51221 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320233463:320234428:-1 gene:KYUSg_chr2.51221 transcript:KYUSt_chr2.51221 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPQGPPTDGGPVPSVAVVDVPVGGPPNAGDVLAMIYATIPSKRKRFLKQFFEAPSAAAAPPATAPPAAAPPVAKKGGRMKTKAAGPRGAPQSKVKTKAVSRIGLAPLPSSKATTPPPSVPAAATLAPPPPAMDVDKVFEPKCKKEALLTMDDEAEDMSARNTDKPEGNKKAKERMKVEGEASSFREKLDQLMKSKEALTMKTLETKLLITEKKKEVKLAKVEARREDAKVKAELDLKMIAIKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWKETKADIIARKKVAREARAASALGSSTPRGESPESCGAAGDRLVDG >cds.KYUSt_chr1.5081 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31290328:31292102:1 gene:KYUSg_chr1.5081 transcript:KYUSt_chr1.5081 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRSHQQPGNDLPELLWQNGPALQRTAAAPFPPFASSAAGTSTSGRAPDLARHHPASATAMRDLLGAPDDDAVPWLHYPVMGGVDDDDSDTAPLPPEYCSTLLSGYPDLPAAVEGAPATSHCAVAVPAPMPEPAVPRHQQQARPSAEGIMNFTFFSRPLQRAPPPANERTSAAAAPSNPVESTVLQASTNRLRSTPLFSEQRMAWLQPPKDSRATVAPVPPPPPPTPPVPIRQGEASAAFAQRVQPEARAALERAPPQPPATATTSSVCSDNVDRSQLKRNSSSHQAPEWSVSQEDEDLDDEAGGLRRSASRSTKRGRTAEVHNMSERRRRDRINEKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSTMGTAGLCMPPMLAMQHMQMQMPPMAHFHHHHLGPMGYGMAAFDPRLAAAAAGAAQFPYPMIPGMPMFGHGPAMPSPPPFPQQAAAADAQMAAGTVVDNDAAAPAEQQTSGDHPQVPGAM >cds.KYUSt_chr7.763 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4174121:4177856:1 gene:KYUSg_chr7.763 transcript:KYUSt_chr7.763 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIVMGGGCRVNLLITLLLMCACIATATVAGAEYAKYRDPKQPLSRRISDLLGRMTLAEKIGQMSQIEQANATADIIKKYFIGSVVSGAGSVPAANAPPETWVEMVNGMQRGALSTRLGIPILYGIDAVHGVGNVYKATIFPHNVGLGCTRDPKLAKEIGAAIALEVRATGIPYAFAPCAAVCRDPRWGRCYESFSEDPDVVQRMVTAVISGLQGEGSRPGESFVPGGGQRKVAACALHFVGDGGTTDGDKQNGTFHELLRVHMPPYYSAVSQGVSTVMVSFTSWHGVKMHANHFLLTEFLKTRLQFKGFVISDWEGIDRITTPAHADYLLSIELAIMAGIDMVSKLRLTIRSEVRCENNYKCLFQVMIPYTYTEFINGLTKLVKNGHIPMSRIDDAVRRILRVKLTVGLFESPYGSRSLAGEVGKKEHRELARESVRRSLVLLKNGKAGDKPLLPLPKNAKGSILVAGSHADDLGSQCGGWTVTWQGVAGNNLTTGTTILGGIRQAVQGHGTDVVYYEKPDAGFLRRNKDHFDYAVVVVGEPPYAEAFGDSLNLAIPPPGPSLIRDVCGSVRCVVVVVAGRPLVVEPCLDAVDALVAAWLPGTEGQGVSDVLFGDYGFTGKLARTWFRRVEQLPMNMGDAHYDPLFPFGFGLETQPLKA >cds.KYUSt_chr3.29220 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182822328:182826614:1 gene:KYUSg_chr3.29220 transcript:KYUSt_chr3.29220 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAASDDDDDGPPTYTVARGTRRDPRLKDMGISCMLNTEVAALLAVIRRRPDPYTYLPPAVAAAEEAVFAGLIQSLKSLRALLFQPRHGAWRCSDPSMYLSPFLDVVQSEEVPPAATGVALSSVLKILRIDVFDECSPGARDAIQAILTAVTNCRIERIAEAGAGAEEAVLLRVLQVLAALLRARAAPLLSDSAVCTAVNTCFQVVQHAASSRGSELLQRTARHCMHEILQAVFARLPDIRDEADDDVSVPSAAGFGARCMVDIFNFLCSLLLNAPDMVMTPEGHGAFTSEEDVQLFALVLLNSAVELGGEAIGKHPKLLRLIQDDLFYHLIHYATECSPLVLSMICSTVLNLYNFLRRFLKLQLEAFFMFVILRVGSGASGLQLQEVAIEGLISFCRQPTFVIEMYVNYDCDPLLRNVYEEVGRLLCKAAYPLSNPMTTIQLQAFEGLVNMITTIADNVEVEKAPDREAYNVDISEYRLFWLERWDSSDDHGHETWVDYVRKRKLKKKKVAIAANHYNRDEKKGVEFLKLCHLVPTPPEPKSMAYFLRYSPGLDKVKIGEFLGDPDDFNLKVLKEFTDTFDFAGSVLDTALRTYLETFRLPGESQKIQRVLEHFSERFFEQQAQEVFATKDAAFILCYSVIMLNTDLHNPQVKKKMSEDDFIRNNRAINSGKDLPREYLSELFHSIASNAITMFSQGITNIEMTTSRWADIVKRSRSMEPFTPCDFKHKLSREVFIAVSGPAVATLAAIFDYTDDEEILNQCVEGLISVARIARYGLEDVLDELLCCLCKFTTLLNPYSTTEETIFTFSNELKPRMSTLALFTIANRFGESVRGAWKNIIDCLLKLKRLKLLPQSVIEQEGGMSSGSDRLGHRPRSDSGVIFPSSHRGAGTSRHVSGMIGRFSQFLSLDNAGESLLSVGNEFENNLKIIQQCRIGSMFTESGKLPDESLQNLGRALIFAAGGKGQKFSTPIEEEETVGFCWDLILLVSLANLQRFSLLWTHMHDCFTAVSQLPLFSPCPFAEKAIVVLFKVAVKLLPGQPSPDRFAEELICKSINLMWKLDKEILDTCCEGISECIVKLIMDHAGSVQTPIGWKTLLHLLSVTGRHPETFDQSVAAMIKLMSDGAQITRFNYAACIEAAFGFAALKISPLEISTKILELMADSVNWLVQWHKSGYSDPGSSNSSGSSNSGSSWPEDASRMGNLATNMFIKLAETLRKTSLVRREEIRNQAVADLGRSFALAAAGELDFGPAGCLACFNLVIFAMVDDLHEKTLEYSRREGAERETRSMEGTLAAATELLADVFLLFLGTLAQGPGFRTFWLGVLRRMDTCIKSDLAAGGGAGVMQELVPRMLKRMIVEMKDKQVLVQRDGDELYEITHIQIQWIAPALKDELFPE >cds.KYUSt_chr4.20069 pep primary_assembly:MPB_Lper_Kyuss_1697:4:126187124:126187711:1 gene:KYUSg_chr4.20069 transcript:KYUSt_chr4.20069 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVLRGKSRAGPAMPRGFAGNRGYSTALNSQRLAGKVAVITGGASGIGKATAEEFVKNGAKVVLADVQDDLGHALAAKLGADSASYTRCDVTDEAQVAAAVDLAVSRHGNLDIMFNNAGILGSLARPPLASLDLADFDTVMAMMPAPPPRSPRRPSPSPTLCVITSNRWWAAAVEGVAARGTARSRVRSSIGAA >cds.KYUSt_chr3.18736 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115199539:115202780:-1 gene:KYUSg_chr3.18736 transcript:KYUSt_chr3.18736 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLAFPQDSPPLSIISAAKVAGVSLTIDPTLASGSVPTLHFSSGDFIHGVNTILRYIARAASVSNFYGQNAIQAANVDQWLEYAPLILSGSEFEAACSFIDGYLASRTFLVGYGLSIADIVVWSNLTGTGQRWESLRKSKKYQSLVRWFNSVAADYAGALDEVTSAYVGRRGIGKSPAPSLKEKMPGLKENTSGHQIDLPGAKVGEVCVRFAPEPSGYLHIGHAKAALLNKYFAERYNGRLIVRFDDTNPSKESNEFVENVLKDIETLGVKYDVVTYTSDYFPKLMEMAESLIKQGKAYVDDTPKEQMRSERMDGVESKCRNTTVEENLSLWNEMVNGTKRGTQCCVRGKLDMQDPNKSLRDPVYYRCNPDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRVLQDMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNKKVEDWTDARFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIVDPVCGRHTAVLKDRCVLLTLTNGPDEPFVRILPRHKKYEGAGKKATTFANRIWLEYADASVISVGEEVTLMDWGNAIIREIKTDNGAITQLVGELHLEGSVKMTKLKLTWLSDIEDLVSLSLVDFDYLINKKKLEEDENFLDNLNPCTRREALALGDPNIRNVKQGEVIQLERKGYYRCDVPFVRSSKPIVLFSIPDGRQKSTSIGSGA >cds.KYUSt_chr6.518 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3211237:3211530:1 gene:KYUSg_chr6.518 transcript:KYUSt_chr6.518 gene_biotype:protein_coding transcript_biotype:protein_coding MFWGCLGMRPLDHGVGTPVSSPQSRLSDALRLCWSWRHTVYCNAGGTPVSPHGLGYPMPFDRARALLRVLMLVSSNSTTCSLRSACLRCGFSSELPV >cds.KYUSt_chr6.9318 pep primary_assembly:MPB_Lper_Kyuss_1697:6:57436547:57441395:-1 gene:KYUSg_chr6.9318 transcript:KYUSt_chr6.9318 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRILNWREDGCCFYVVDEETTDEYIQRYPRHRQYVGTSITNYAPMKTSFTPRIVCRAQVFQPNLVIAIRRNGVEVQDEPSRVDQELEACHPYGVHGYVKMCRRKSSPIVEYGEAIRKTMVFHFVAVKIIIIELKWNTQEEVKDPMAVLVARQGRELQRYSPRTGGRIVVGCIPYLVRDDGELEVLVISSQKGHGMMFPKGGWEVDESMDDAARREALEEAGVRGDTGVVLGLWHYQSRRYQQTYEGYMFPLRVTDQLGQWPEMASRKRTWASVQEVMEGCQHAWMREALKELVDRHAKLQSAL >cds.KYUSt_chr3.728 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3770022:3773155:-1 gene:KYUSg_chr3.728 transcript:KYUSt_chr3.728 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRTLFLSCFHGSGSEVSRQLGIDDAIPSMAVQEISKTPSNDYRSNETDEAVVDIEMTSVHEGQRVDPINSELEGGNNGNSGINIDQAGENVPTHEIALQLITRAIPQVGALTVHAVVHVAHSEPASGLGFGHNKLVYNLTLAAIFTIGVAEIFTGIWISMSSLTYRRRVWIGEIILFLSIWPLVFILSIGGLA >cds.KYUSt_chr7.36384 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227411560:227414280:-1 gene:KYUSg_chr7.36384 transcript:KYUSt_chr7.36384 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNFAAAAAGLEGGEGAAAAAGAAAGEVAGAGAVAGAGAGVAAPAGAVADSGAGAAGADLGGIADAVAAAAAAARAAAERDAAYKEIDAMYEAIQYVQPCEYNTDDDVDEDNFESYVKRQVKGFESRILKTVRRGRHACPFCPCKVKDGALASLEMHAMDTRDSAREWQGKADHEALARFLLGPRLPRSGRILKRRRNI >cds.KYUSt_chr5.28370 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179669728:179672536:1 gene:KYUSg_chr5.28370 transcript:KYUSt_chr5.28370 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAAPEPAPAIESKSMDNRGLTVMPLPLPLSGPESLAFDRRGGGPYTGVSDGRVLRSVDMCAAKKKLMVPENVCGRPLGLQFHHKTGDLYVADAYLGLLRVPARGGLAEVVATEAGGVPFNFLNGLDVDQNTGDVYFTDSSTSYPRSEYLLVVALGDETGRLLRYNPRTRRVAVLHDNLSYPNGLAVAADGSHVVVSHTALSELRRYWVRGPRTGENETFAELPGYPDNVRSDGRGGYWAALSHGPNDAIGAAVDAAPTMAVRVGRGGAVEEALDGLSFATVSEVGERNGTLWVGSVDTPYAGELSPR >cds.KYUSt_chr6.23959 pep primary_assembly:MPB_Lper_Kyuss_1697:6:151419831:151423064:-1 gene:KYUSg_chr6.23959 transcript:KYUSt_chr6.23959 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRTGFCCALLLATLLPLSANASSKLYIVYMGEKKHDDPSVVTASHHDVLTSVLGSKDEALKSIVYSYKHGFSGFAAMLTESQAEALTKFPEVITVKPNTFHELHTTRSWDFLGLDNYQPPQQPGLLQKAKYGEDIIVGVIDSGIWPESRSFDDNGYGPIPARWKGKCQTGEEFNATNCNRKIIGARWYGRGINADVLKRNYNSPRDITGHGTHVASTIAGVEVRGVSYGGLGTGVARGGAPRARLGIYKVCWVGPDCSMSAILAAIDDAIHDGVDVLSISIGGAGHEIPGTLHAVQRGISVVFAGGNDGPVPQTVTNAAPWVTTVAASTIDRAFPTLISLGNQEKLVGQSLQYNPSVTSSDFKNLVYAGRCDAASVASSNATGKIVLCYAPGDAKSTSPWVALPNAINFTIVAGAKGLIFAQHTANLLDFLQPCEGIMPCLLVDFEIAQRIRSYMAITRNPVVKVSPTATVVGNGVLSPRVASFSSRGPSPIYPGILKPDITAPGVSILAAQQDSYVFKSGTSMACPHVSAVTALLKSIHPDWSPAMIKSAIITTASVTDRFGMPIQAESVPRKLADPFDFGGGHINPDRAVDPGLVYDQDVKEYNKFFNCTIGLLDGCESYELNLNLPSIVVPDLKNKVTTWRTITNVGSVEATYRAVVEAPEGVAVSVEPSVIGFTAGGSRSATFRLTFTAKQRVQGGYTFGSLTWSDQSAHSVRIPIAIRTVIQDFVADTA >cds.KYUSt_chr5.8817 pep primary_assembly:MPB_Lper_Kyuss_1697:5:55895029:55895703:-1 gene:KYUSg_chr5.8817 transcript:KYUSt_chr5.8817 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRSRDSRHRIRVKFMCTAFTSSSPSLPRSGACAGEAVEAVWCLCLTGEAVAAVKLGPFVPGPLPCSAGAGSGAGGEVSGVRFRSGWPAAVVLLRPASPDGGGGAAEVGEWLAVVGSGTFGPDLERVVLGARRRPLLFCAEDPVLRGWWSLRFFNAFWLEVPPAPWTVVVGGCFAGVRAGGGPRRWRVDDEDVDPQEFLCLYPLLLCLYLYAFRSCIRATVG >cds.KYUSt_chr7.10967 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67442239:67449000:-1 gene:KYUSg_chr7.10967 transcript:KYUSt_chr7.10967 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAAPLSAAGRRRDRLSALSDDLLHQIITQHLPVTEAAKTAALARRWRHLWSSTPLVLRDAALPEPARDALVPRVLAKHEGHFRTVALHDLRLASLDRELPGWPRLVAAKHTQELHLANRCQANDILPLVPADILRCGSLEELMLGSWAFPVDLSRGAGVSLPNLRQLTLVTAAIEDRDLKHLITACTALEILKLAGTTANRIHLCSPSLRCALVMLSRDENLAVVDAPLLERLVLFLPATPAMVKIDHAANLRVLGHLDTRRLHRLQIRDTLIELNTMASTTTAIPSVRILAVTVNFVILREVKMLASFLRCFPNIDTLHIEEASPVECLRSRVKKMVIHEFRGDQNEFEFLKFVAMNSQELQSLHVVLQEENTLPLARHASPEIWLISTSMNTTTGYSVESSLVFASNSFLHKWHLTLPSEAELVLANGEEANIVQSFRSPSIRACVLLCVIDFGVICVAAVNFVRYHQMFRDQDTANAYDPMPYLGALELGSTKSAFPICTSNHGGYHGQYSVSCALGLSIHNSNTFMNIAVQLDV >cds.KYUSt_chr2.51457 pep primary_assembly:MPB_Lper_Kyuss_1697:2:321566576:321567159:-1 gene:KYUSg_chr2.51457 transcript:KYUSt_chr2.51457 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVLKLDLHDDKHKQKALKLVSGFLGVDQVAVDIKDQKMTVVGTVDPVDVVEKLRSKLFPTAKIVSVGPAKEDKKDDKKEGGDKKDPSKDVAYLPYWFPPPPHHPHYFVCSAEEDPNSCVIC >cds.KYUSt_chr5.4965 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31392714:31393674:1 gene:KYUSg_chr5.4965 transcript:KYUSt_chr5.4965 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLAVVLLLAVSTATDAATITVVNRCSYTIWPGALPGGGVRLDPGQSWSFTMPPGTAGARVWPRTGCTFDGSGRGRCITGDCAGALACRVSGEQPATLAEYTLGQGGARDFFDISVIDGFNSPISFQPVGGAACRGVSCAVDITKECLPELKVPGGCASACGKFGGVTYCCRGQFTDNCPPTYYSKFFKSKCPDAYSYAKDDQTSTFTCPSGTNYQIVLCPSNALVSDA >cds.KYUSt_chr6.26021 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164856137:164856978:1 gene:KYUSg_chr6.26021 transcript:KYUSt_chr6.26021 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKYQGQMNVEWSNKAVVMKYLFKYVTKGSEMMLLQAPQSVTKPPGPSDGKGEIGSQVERAMFTETSPEQPEEVLDPQVQSKELGLPASDAPDSPPAPSAAPLVDRFVQYVFLTYDD >cds.KYUSt_chr6.10617 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65800861:65801469:-1 gene:KYUSg_chr6.10617 transcript:KYUSt_chr6.10617 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYRYSSASHFFFAAGDPNPTAHKPAKTVRIPVTSPSPPKTAPDAAAAAARIQAAFRGHVVRRHVAAVRSADREATRLERLLRRQETVDAVRGDHRERARFSEALMAALLRLDAVPGRDPAVRDARRAVSRRVVGLQEVFDSILAAPEADTYGVPASLDQVLEGIWGVRGEAPLPAAAAGEQEEAEARRSGTCWGRFFGVL >cds.KYUSt_chr3.27515 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171681974:171684253:-1 gene:KYUSg_chr3.27515 transcript:KYUSt_chr3.27515 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLCSPASKSLSVTTSALFLSSLASLSHTHPLSASAAVPPSVPPTALIPNSRFLVDAFRHAGDFSAAYFLSHFHSDHYAGLGPTWRRGLVFCSAPTARLLASALSVPPELIVSVDTDVRVTVDGWGVVAVDANHCPGAVQFLFTSPGPSAERYVHTGDFRYAPSMRTNPNLLEFVGADAVFLDTTYCNPKFAFPPQEDSVEYVVNAIKKVKEESGERVLCLIATYVVGKERILLEVARRCGCLIHVDKRKMEILTVLGFGGENGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKVKEIMLERGYTKAVGFVPTGWMHETKKEGFAVREKDSIKIHLVPYSEHSSYDELRDYVKFLHPKRVIPTVGVDGGKLDGKDAVSLQKHFAGLVDETANKHEFLMAFHRRSTSASHEDVLAKCSREQDSEDCALLSEHSYVSELPGSFQIKITEEMKKELSDCLPSWVNQEQILGLLMSSGGDVVQAASDFFERERDFFEEANVSNNEKPKSGEIRASIQGSSVEASSQQEVPSFSQNPMEHSTKLVNLTPVKMKHSTNLVNLTPVKMSSTLPKKEKKRGSSIVSKSKKKGRSNASTESGGRKQPTITNFFGRATAAASKSETGDKVPVDAHENNGDNDSQLTDTVKSHQQGVNQLLQIVGGNMSRESAISLLEKTGGDINVAVDIFYSKIPSNDVPASDKSIVPQNTQNEMIDRYNSTNIVHNSSQATPKMQNLYVQTSVAQADSVNISLPVEKYLPIEHG >cds.KYUSt_chr1.17378 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100875642:100883418:1 gene:KYUSg_chr1.17378 transcript:KYUSt_chr1.17378 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEQIQEGFSPRPMQDVLSNPIPWSWWQLRLTNAFWLGAHPAPRLDRTGAGFSSGGGRVGGYGRLVVTRKTMDSKDGDNMDKDLLSAASTWDTSSQGQSPRIELPGFEDGDNMSKDIPAAATSSQGQDLRIQLPGLEDGKGQYPRIELPGKGGPGSSAIVQMRPGLTMDTFLPPAAKRRKRSASISQKLPLDLVRVILEHLDQTTYRKAIDTAFVFLRASLSTCCCEEIGPRRIGTLVATLIESNKGGYLRVAAPAQARSPWLESAALMLQRYLCLACESGWVRAVACRGGAIVVIRDHGATEVKIMKPFDRITIKQLVPASQAEAADLGSRLESTPTGGTKISLGHKRISAYVPHDEDDVLDHSYDEKLQASPYMLTSKGPDLHRIRERYQWRYWSGTTSNALRYLKRYLERYYRS >cds.KYUSt_chr5.21828 pep primary_assembly:MPB_Lper_Kyuss_1697:5:142582630:142584286:-1 gene:KYUSg_chr5.21828 transcript:KYUSt_chr5.21828 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAASSHLGRGPLEAMEAQYPKFLLYGLLILGSWLISCLLHFQFLHIYLLSANPNSGAAFHVVLPRSVPIALNVSFLPPAPSPVGPDQDGALALPPAPSSASCEGRYVHMLDVPSRFDVLGGCAEGSPAFQDEGSMCSLMVNAGMGPELPPATGNGSDGDTGVIPNTGWYNTNQYALEVIVHNRMRLYECLTDDPAAATAVYVPYYPGLELQQHLCDRNFTVRNSLSSEFLQWLSSRPQWAAFGGRDHFMVAAKTNWMFRQSAAVKCGNDFLDHPESRNMTVLTYESNAWKPLDFAVPYPSYFHPTSAGEVAGWQERARAAERPWLFTFAGAPRANGMLVIRDRIIDSCTSSSRCRLVDCSHDKTCKSPRRVVSAFAASRFCLQPNGDSYMRRSSVDSVMAGCIPVFFHEASTFKKQYRWHHPEPDSSDGEERRYWVLIDPDELLEGKVDIEEVLARHTDEEVAAMREEVIKMIPRFLYEDSRVRFEGDMRDAFDVSFDEVMGRMRRIKNGQDLG >cds.KYUSt_chr5.42419 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267435735:267436361:1 gene:KYUSg_chr5.42419 transcript:KYUSt_chr5.42419 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLLAVVVVASCAVGLCGATDHIVGANRGWNPNINYTLWSGNHTFVVGDLISFRYQKGTHNVFEVNQTGYDNCTMDGLAGNWTSGKDFIPLNERRRYFFICGNGFCQAGMKVAVTVHPGALVGTEMPMVPPGPDSTASAAAATTSTSFTASAWLAVAALAVVIAAVA >cds.KYUSt_chr3.27805 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173584306:173590401:1 gene:KYUSg_chr3.27805 transcript:KYUSt_chr3.27805 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHGASLRILLAGAAVAHLSSSPVARASPCAPPAPLRLRAFASYSASEPPPPPPPPPPSSPSRVLASAGAACDCEPGAKPAICTADELHYAPVPGSDWRIALWRYRPPPEAPKRNHPLMLLSGVATNAVGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSTRGSELAAASTKSDLSPNSGVDEISTKKVNVVRPAKDMSTNEPQSVQVPVLTDKNMVETNTSEEPQLVTKLTNALARLSATFSGYVNDSRLRNIADSFFDRVTELHPDDSLTTSIEEVADKILGLMEFPQTSAIYDQISQLSQRLVKILGEGQQNVSPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAIDYIKQQSVTKDGKLLAIGHSMGGILLYAMISKCAFEGADPELAAIVTLASSVDYTTSNSSLKLLVPLADPAEMLRVPAIPLGTLLSSTYPISSRAPYILSLLRSQISAKDMMDPELLSKLILNNFCTVPAKVLLQLTTAFRDGGLRNRAGTFFFKEHLRKIKVPVLALAGDEDLICPPEAVYETVKLIPQHLVTYKVFGKPEGPHYAHYDLVGGRKALHEVYPCIIEFLSQHDEVSS >cds.KYUSt_chr5.23998 pep primary_assembly:MPB_Lper_Kyuss_1697:5:156073404:156073809:-1 gene:KYUSg_chr5.23998 transcript:KYUSt_chr5.23998 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFQQAPELLFVDLTCASKLSELRPRSSASPAMLHLKFVILHVRSRLSRCFRRLRQALLLVAVHHRRWSGSKGHALHLVQESNWLSCLVSALCPISFSLGLLVSELG >cds.KYUSt_chr4.10899 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66182368:66187498:-1 gene:KYUSg_chr4.10899 transcript:KYUSt_chr4.10899 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIVELGVVPSALDPLVIYCDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEDGEVKICKVHIDPNVADPLTKALPRAKHDQHQNAMGVRDMLPWSDSFNGNSLSYGKLRWSSNIPSFLKQTVFKNGGVQPGLLSVLATTSASVGNQCVPGWAIPHNPLQACRTYVVSQICGVGPLLLTWDMKERCCRELSAIPAYCRCEALRILMDGVVTAEGVFEGGLQDIHMPQLQCPRQMQRNHAATLVALQECSLTTIHSGPYSLVGSQVAV >cds.KYUSt_chr7.35473 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221642611:221644146:-1 gene:KYUSg_chr7.35473 transcript:KYUSt_chr7.35473 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDIIPPPRRVTDGPLWRNQKKKGPTGDAPVARRRRVPEEEESYEDFEADFEGFEEGLGEAEIWSEDEVKPFSAGRKRVASAAAVDGCASGSAKRKRKTQFRGIRRRPWGKWAAEIRDPRKGVRVWLGTYNSAEEAARAYDAEARRIRGKKAKVNFPDEAPVASQKHCAKPTFVTLPEFNTEEKPIVNAVANTNVYSYPLVDYTVCEPFVQPQNMSFVPAVNAAEVPFMNLSSDQGSNSFGCSDFSWENGTKTPDITSVLASIPTSTEVDESAFLQNNASDVSLPPVMGTANVDLADLEPYMKFLMDGASDESLDNILSCDGSEDMVSNMDLWTFDDMPISADFY >cds.KYUSt_chr3.48755 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304715411:304716182:-1 gene:KYUSg_chr3.48755 transcript:KYUSt_chr3.48755 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFDPWPVFFKREWGRNWPFLAGFAVTGILITKLTAGFTEEDLKNSNISFAELLVLALMSGHIPRVLFPDCPSHLFSLR >cds.KYUSt_chr1.38770 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236851238:236851927:-1 gene:KYUSg_chr1.38770 transcript:KYUSt_chr1.38770 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLGPGSHPPADVVEGFEAAICSMVARLGLPAAVGERAKDVFRKMDEANAWPPGPGRRKDRSKGKRKGPLAYAACLSIACRTEGSARSLRELALAAACGGAAARKEIVRLVTHIRMRLGEEEVGQATGIGMVCPSSYVRRFGALARLGEADEAAALALAAARRLEGGALDVRHNPEPVAAAVVCLALERGGAARKPVKDVATATGIAYHTINRVCMILRPHAGRLFG >cds.KYUSt_chr5.18188 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117459841:117460660:1 gene:KYUSg_chr5.18188 transcript:KYUSt_chr5.18188 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQRCWREGRLVGSRDNRGAASQGLDLKQCEPLLEAEVNVLFLMAMEIFVEESNVHRIEPRPVKLTPVIRSSNMISLWQHHHWKPTNVLMVTPFRGLTLRGGEAQTEYLSVHEEGPHDEL >cds.KYUSt_chr7.36185 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226186091:226186798:-1 gene:KYUSg_chr7.36185 transcript:KYUSt_chr7.36185 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYDWLSRAGLGEGLAAEYAALFESNELDAADVRHLDHAFLATMGVAVAKHRLQILKLARKESSASAAITVLPWRATRLLAVAAQRSARSVAGCLRSATARRDRRAAVAPRPLELCQQRINGGGSGATRGPARWKGAPAVADSRGTATKLAAFLAQFSKPVLMLTKSSGIKGTKNGAVTPTTRSAKAIAAGCFASTETGSDYDADDDDDDETDDGGGEDPETPWESMFQNLNPT >cds.KYUSt_chr4.26376 pep primary_assembly:MPB_Lper_Kyuss_1697:4:165763243:165765564:1 gene:KYUSg_chr4.26376 transcript:KYUSt_chr4.26376 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPAAEKVIAVDDDAKNGRGDELDDLPVDDGTKHQISVDPWYQVGFVLTTGVNSAYVLGYSGSVMVPLGWVGGTVGLLLAAAVSMYANALLGRLHLLGGKRHIRYRDLAGHIYGRKMYGLTWALQYVNLFMINTGFIILAGQALKALYLLIKDDGAMKLPYCIAVSGFVCALFAFGIPYLSALRIWLGFSTVFSLIFIVAASVLSLRDGVRSPPRDYSIPGDGPDRVFTTIGASASLVFAYNTGMLPEIQATIRPPVVKNIEKALWFQFTIGVVPIYAVVFIGYWAYGNETSSYLLNSVNGPVWVKAVANLSAFLQTVIALHIFASPMYEYLDTRFGSSEGGPFAFHNVMFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLVANRHRLSSLQKSWHWLNVIGFTVLAITAAVAALRLIAKDSKTYHIFADV >cds.KYUSt_chr5.14695 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95230216:95231327:1 gene:KYUSg_chr5.14695 transcript:KYUSt_chr5.14695 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVCEEFFAMPAADRESFHSDDNLKPNRFFTGSTYKNSGAKFWFDCLRLSSTFPIGDSKKDWPEKPEKLREVFERFAVQTRGMGEQLLRLLSEGMGLQPDYFEGDLGRGNMNLTLNQYPPCGDPEGVGLPPHCDRNLLSLLIPSTVPGLQFSYKGSWFTVETMPNAYIVNFGLPLQVVTNGMLKSIEHRVVTNPKESRRSVGVFITPTWDCLISPAEEFLSKESPPIYHAVTYREFYDMHGVVKDGLSSVLTISHKTAI >cds.KYUSt_chr5.29596 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187725093:187728556:-1 gene:KYUSg_chr5.29596 transcript:KYUSt_chr5.29596 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAADNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRRWERELASYNGPPPPPPRNNAAGHRPTAHGCQLVVFRSGALVIRDQPSQPGRKRKAAKKEDAAAATNAAANRLAEEEARRAEEAAVAEAIARSLTDLVPADNALRGRRLGVEPVLKSPLGQALEKRPDILSSPVEVVEVFQVSSDSTTGTVVLPASSTGTVVLAASPLGSPVSPTSVLRVAPLTFIDLVVLTDFHLVAVQPHQKLEDEVECHHEDEIRSHFGLERSGCCFYGGDEGAEDEYILLRILIELLHRSQSIYMSMSCTLTGSIRKAQLFQPNLLVRAIDFIADNEAEYAIYRKLQPPERRSWLRTWLCNQFPA >cds.KYUSt_chr6.8642 pep primary_assembly:MPB_Lper_Kyuss_1697:6:53137573:53138557:1 gene:KYUSg_chr6.8642 transcript:KYUSt_chr6.8642 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLKQLVLGLLGAASGGHGGKKKKERRQLRQTVELRVRMDCERCERQVRKALTGIRGVEHVEVNRRQQRVTVTGSVDPHKVLRRARSTGKQAELWPQQNPCYNGAAVMAHHGAIGAVQAHERWAPPVFPRHPDAMGAGAEHITDLFSDENPNACTVM >cds.KYUSt_chr2.11520 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73275734:73282578:1 gene:KYUSg_chr2.11520 transcript:KYUSt_chr2.11520 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRLNCPAAADADTADTEDWDNDDFVIPSLSVEESDQGDWEVSQASAPQPPPKVSFQSRYSILELFTKQEPTKDTENIYLGPHWAAPSRGKKQEEALATSGYHDKNIKVKEADRKVSGTGRDNKVGFSRDFHRYNNAGHHVK >cds.KYUSt_chr6.33483 pep primary_assembly:MPB_Lper_Kyuss_1697:6:210293324:210295213:1 gene:KYUSg_chr6.33483 transcript:KYUSt_chr6.33483 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTAALPSSPAAKAAVSSSVSPPCFLACPSRLRNASRGVRTAQVSTTETAEPAAPAPVKEKKISKKQDEGVVTNKYRPKEPYVGRCLLNTRITGDNAPGETWHMVFSTEGTEGQSIGVIADGEDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDAGEVVKGVCSNFLCDLKQGQEVKITGPVGKEMLMPKDPNATIIMLGTGTGIAPFRSFLWKIFFEEHEDYKFNGLAWLFLGVPTSDTLLYKEEFEHMAKIGGDNFRLDFAVSREQTNAAGEKMYIQTRMAEYKEELWEMLKKDNTYVYMCGLKGMEKGIDDIMVDLAAKDGINWIDYKKQLKKAEQWNVEVY >cds.KYUSt_chr3.41801 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263755450:263761735:1 gene:KYUSg_chr3.41801 transcript:KYUSt_chr3.41801 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGGGGGGVHGSRRSMSSAYGTRRRASTADNGYDAAAPRRASATISRTTSTLTGERTVKRLRLSKALTIPDHTSVHEACRRMAARRVDAVLLTDSNALLCGILTDKDIATRVIARELKLEETPVSKVMTRNPLFVLAETLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGTSVSGPNTFVETLRERMFKPALSTIISENSKVATVAPTDTVLTASKKMLDLKVSCAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQSPECATVDTPILEALHTMHDGKFLHLPVLDTDGSVLTVIDVLHITHAAIATVGNSAATGSEATSSMMQRFWDSAMSSGPLDDDDDSRSEGSTKVASEATDIGRSAFYPASGLSNTFGFKIQDKQGRMHRFNCGPWSSPEEGLRHRLLSASPGSKPLGVQSAWAVDDEPARSLPVEVDILEKGRPSAIICRGRAVMPAEVPW >cds.KYUSt_chr1.18163 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106034362:106034760:1 gene:KYUSg_chr1.18163 transcript:KYUSt_chr1.18163 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRRHAAHPIVPKLEPQSPPRMTWLRCRLTLCHPILLPQLGAPQEGEEATTSAAEEVEEELPTFLAGYRIPSLLHDSANLEKIPGLNATLCESANIMLVATEYVEAWLARDSDIIDISDDDSGSGLCLRRR >cds.KYUSt_chr4.41372 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255921885:255922373:1 gene:KYUSg_chr4.41372 transcript:KYUSt_chr4.41372 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLFDPFDGFPFGSGSSSSGGGSLFPSFPRTSSDTAAFASARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERNKEQEEKTDTWHRVERSSGRFLRRFRLPENAKPEQIKASMENGVLTVTVPKEEAKKAEVKSVQISG >cds.KYUSt_chr1.38113 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232790477:232791229:1 gene:KYUSg_chr1.38113 transcript:KYUSt_chr1.38113 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSEKAAAAAAPSAAAATGLALGVGGGGGGGGVGTHFRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREYRGSKAKTNFPLFPSALATAVPVGAGGDGSRSSNSSTVESFGGDVQAPMQAMPLPPASLELDLFHRAANAAGGAGAGVRFPFSGYPVSHPFYFFGQAAAAAAAGCHMYGSSMPPQVTVAAVSQSDSGSSSVVDLAPSPPPAAASAQRAPVDFDLDLNCPPPAELL >cds.KYUSt_chr1.24551 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146731655:146739773:1 gene:KYUSg_chr1.24551 transcript:KYUSt_chr1.24551 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGAHSDAAHHLPTAGASTSAAAGAAAVRRRRRPGLSCRPSHLFFALLVALFTASLLVVWQLLPIGDGDAAADGEGALATPAAGGGAMRFSASRMALRAFDGGSRLEAARSARRWWPGLQPVRLALVVGTMNIDAESLLLTTLAKSLVGLGYEVEVLAFSYGKAHDIWRTICHVNIVRFDKLESVDWLKYNAVLVSSLEGKRVVSILMQEPFQLLPVVWLIHDDVLGQHLRNYPESHLSIANHIEDWRAHFNACTYVVFPDNHLPLLYSPLDTGNFLVISGSPVDIWAAKGYGTSHSQETLRKQHGIGEEDVVVLVVGSYLFFDELPWDYAAVLRASAPHIMEIARTRKLRVQFILFCGNGTDAYNSAFQGFASHTGFPDDSVKHFPMTHDIRDLLMFVDIVLYGSLRQEPSFPPLLLRSMSSEIPVIVPNLTVITKYVTDGIHGFLFNSDDPSTAASAFMRILGEKRLLDTAYSVALEGKLLSKNMLAYECIIAHVKLLETVLHYPSDANLPLSFSKVKERTWLWDHFESKAALGNSSSEDERHSHTRIVGILLEESSQSNQTTYSDSNDTSSYDYPSLSDWNDLSEVEVSEDIETREMEEIDERVERPLLTWDEVYRNARKSERLKPEGNERDEGELERTGQPVCIYEIYHGEGAWPFLHHGSLYRGITLSKGGRRPRSDDVDAVMRLSILDNPYYRDLLCEFGAMFAIANRIDTVHKLPWIGFQSWRAAGRKVSLSESAEVILEKTMAGENHEDVIYYWVPIDTDQTSNFWSTCDCLNAGHCRTLFEDAFRIMYGLPEGIAALPPMPNDGDYWSTLHSWVMPTPSFLKFIMFSRMFVDSLHSLNGNNTEPANCLLGASQPEKRHCYCRILEILVNIWAYHSGRKMVYLNPVTGDVREQHPREERNEMWVKFFNFTLLKSMDEDLAEEADDGMHPGNDQWLWPLTGQVFWSGIADREREEKYIKKLDKKLKNKVKLLERQKSGYKQKPLGQ >cds.KYUSt_chr6.16281 pep primary_assembly:MPB_Lper_Kyuss_1697:6:102449537:102450799:1 gene:KYUSg_chr6.16281 transcript:KYUSt_chr6.16281 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVRVSLGYSPPTRQVAPRPNGLLFDCLIHIDLVEDWRVPDRRTPSSGQSGLPSSSSEDEPPYPAIQPYTWVMTVPDDGGARRGPILGGCSGGPGPSRRCDHDDEGDSFDRHRLFRQLPVAQRHPASRGTTPTSGLRGDHQRSRSPRGGGHRRRASVNPPLAHEELPPPPPLPTDGSVPQLAFPPGAAAPIVISSTTINAAPASPPRRDHSPVSSDSSDPLADFMCVDQLDIGGWAPHRLDPMCMEIEALCIASMQQPMLFSPGPINLVPESCPLLLDAPPTPVPDELPPSVEGAQAHDLLQGLFSAPPASVLGTTPPSPRIARNDPPPASRRTTRSSVKMAGTPVSQRATLRLAKELAAIDPAEQSADKAASALLGRFSEPLSSTDIDGLAILARVDREAIVRAASRAGSEGAAAAAH >cds.KYUSt_chr5.43529 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274260181:274261579:-1 gene:KYUSg_chr5.43529 transcript:KYUSt_chr5.43529 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETKEQQDAAQGYVAAKPKPKPAVAADAPAAPMTEAEVEELPKTIVRRLVKDKLAQIAGAGADGEGGAEVIVNKDAMAAFSESARIFIHYLSATSVPPPTSVPFPSIPVFRPNFRFDLREFHLLFGNFGANDMCKDAKRQTINAEDVFKALDEIDFPEFVEPLRTSLEEFRTKNAARKPATAKKQTEKKRKLDNEPAPQDEQNGAADEANPNADED >cds.KYUSt_chr4.4899 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28045643:28046155:1 gene:KYUSg_chr4.4899 transcript:KYUSt_chr4.4899 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAREEQPVSLALSLITDSTSSCTTSADSAGAAPMAARKRARRGRVVATSGEGEFVCKTCGRAFATFQALGGHRASHLRGRHGLELGVGVAKAIREKKSEEKQSHECNLCGLGFETGQALGGHMRRHRTEIALSIGVGADDQWLIARPDQKEVVGRGAHRPPVLLELFV >cds.KYUSt_chr6.12568 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78511917:78514491:-1 gene:KYUSg_chr6.12568 transcript:KYUSt_chr6.12568 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAPAPIALPPALAGWWEHVNGSPAWQDGIFWALGILYGLVAASSFIQVARIQHRVPEYGWTTQKVFQFLNFVVNGARCSIFAFRRQVQLVNPEIFQHVILDLPGLAFFTTYAMLALFWAEISYQARGLDTDGLRSGFYTINAVIYALQVLVWVLLWLNPNPSMLILSKLFIAGLSFSAALGFLLYGGRLFLMLKRFPIESKGRQQKLSEVGKVAAICSCCFLARCVMMCFDAFDKEADLDVLYHPILNFFYYLLVEILPSALVLFILRRIPSKLRLSHYHPLSSG >cds.KYUSt_chr7.11084 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68113070:68114293:1 gene:KYUSg_chr7.11084 transcript:KYUSt_chr7.11084 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWERSERDGVKKPKIPPAPPVAALTDHLLGEILRRLPDMASLLGAALCCKSWGRVASKPAVFRRFLSLRRPPLVGFILTDRGAKPVPFHQPDVCFITASPRHPKLASAASDGDFHYLRHPEIDDGDPHYCNEWRLRGCDGGLLLLSRGRYGDDLAVYDPLERSAVFFGKPQLPPPDHRWCNVRHAIVTDEVDASFQVIAIQHGEEETAAVFSSRTREWAMIAWGTVRYRFNWPFNDGIAAGRFVFWRPNTMKDDTEEEILVLDMETMAWSVIIAPFPPGDSYCIADMVEHGGLCIVSSKEQCVKLWVRANNGGWVVKKEVSLLNQFGYLKKLRRDEWMKRVRILAMKAGYVYMEFWSIRRSESYLLVLNLNTIKLQIIRNNVDKPYRGAAFPFFLRLPPLPAPDY >cds.KYUSt_contig_195.91 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:576044:576508:1 gene:KYUSg_contig_195.91 transcript:KYUSt_contig_195.91 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSSSEKEVMVDPEVEKNASGETEMTTEMKKKIKIVFEVPASEVRQVMNFKPKPYKVDISDELIRRFPEMAATMYMMSVDTAPVAESYNRWMLERKEDFTRQLKAKGIVTREAEVDEDYDQEKDYAPSHRGRRRHRPGVMKKHQGVATKLN >cds.KYUSt_contig_6372.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001291.1:28035:30625:-1 gene:KYUSg_contig_6372.1 transcript:KYUSt_contig_6372.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKARAFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLAWNAVVYSLPFLLFLLLCCFVPAVGYALGYNMNSASVGRGASDEQLDELPRWRFKEMDAPRDREHDDQIRRCNLQAKESTNLVEKTWESAQERPDHEVEEGHRQHALLLQDAKDLPKQPVPSGTTARRDPALPSASVHCSPPSAALPPWSPVHPPVPTGNCRPRGAALPPNSPARRPGRHCRL >cds.KYUSt_chr7.2483 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14462095:14467481:-1 gene:KYUSg_chr7.2483 transcript:KYUSt_chr7.2483 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIRRRLLLVLLLPVLAVAALPAAAAAASCRAWLVQSIPTDMPHLRRVPGVLSTADVLQWLSGNATKNLDILAQYWQLIAQPKNPKSGDYGFSESDMARFGADQGLRVYKALENAADRKEVTFKHCVVAEAGFAWIVQHSGFAPEFDQESADLAAGRPNVQNATLLFEDWWGSGVLHAKVWISDKKDLYIGSANNDWKSLTQVKELGIYFASCPQIAKTVEVYFQNLWTLSTLNSTTYTKVALDKQWQTSRKVPCWSHFLQPKDRCRSPLPLSVDVPYVDGYPSLANPKLLDVLIETPGLKSFNKEHYFSYLSFAPPELSFDKFQADEQGWVDTIKSVNSEGMVRINTMDWLGQSQYAPQTVFWPSLSSAISEVIISKNATVRILVAYWTHFIPNTENYLKSLLYSNILCVSSKYNHCGGKVEIRYYVVPGYNSTGPALSQGDATGNHYPDFTRVNHGKYAVSDTRANIGTSNLIWDYFYTTAGVSFGTYDPSIVSQLQDVFDADWDSPYTVPVKPLQASV >cds.KYUSt_chr7.28172 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175955083:175955601:1 gene:KYUSg_chr7.28172 transcript:KYUSt_chr7.28172 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPHGVIAARPSEAAASAHVNRPGPPPLHPPSSTTRQPCTLPRIYHTTRSTDSKSGAFKKVTAQCVAAARSEEQRFSPGERNNSRQQETKLPDEALNREKWYPGAPPTSAPNGARLSPGVSMLLACATQALAVGEANRARDLVAVHQTSTKRLRSASWQTAAETADPAAER >cds.KYUSt_chr5.35105 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221933422:221934510:-1 gene:KYUSg_chr5.35105 transcript:KYUSt_chr5.35105 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSYFRQHGGLILFNEMKSKEGNAFKIFHEEELQQATDNFSEKQVLGHGGHGTVYKGILKGDVEVAVKKCKTIDEQHKKEFGKEMAILSQINHRNIVKLLGCCLEVEVPMLVYEFVPNGTLFHLIHGNHGRYISLATRLGIAIESADALTYLHSSASTPILHGDVKSPNILLDGNLSAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCYLTDKSDVYSFGVVLVELLTGRIPFNLDAPEKEKSLAMMFMSAMKENRLANILDDQVKGEDNMEILEEIAEIAKHCLDMCGDNRPSMKEVTEKLDALMKVMQHPWAQENPEEMEGLLSEPMASSTIASAEYFSIEKKAMNAMQSGR >cds.KYUSt_chr7.7507 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45251360:45252673:-1 gene:KYUSg_chr7.7507 transcript:KYUSt_chr7.7507 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVADMWEPASLFGVSGVPAPIKQQQKSLMLQLGIAREGEVIGDEALEAYLIYFKKPMSEEDLTACLALFGWLRSTLPLAVEEDLVV >cds.KYUSt_chr7.13525 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83299779:83304344:-1 gene:KYUSg_chr7.13525 transcript:KYUSt_chr7.13525 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVFAWPVLATPPHAFIPDALPSSASSAACRLLLLPQQRLPPSERLPRLNDDVDKNTIYLNYVLQGDYDTIDHDNNGFIDIDIKGYTTSSASSPGSPNMAQDPEVAGVFHGRPSELAIIIPSHGRPTSPSSSKRRLRSPSRAHLTHLGILLKRLMKHPLDLILLHARDSEDPSRQIKERIELCIDQIDAVHAAGTYPLSKMKIRLRYFQDFLQLRSGHETTRLSIVSGRLGPNLTLRVFQGIQLNIDHAASHPALENSSCH >cds.KYUSt_chr1.3897 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23798700:23799968:-1 gene:KYUSg_chr1.3897 transcript:KYUSt_chr1.3897 gene_biotype:protein_coding transcript_biotype:protein_coding MPELGCVPDALSYSLVLKILCDTSRSQRALDLLQKAGKSGAARSLNVVPYNTVIYGLCKEGEVSKACDLFHEMMRHELVPDVVTYSSIIDALCKTGAMDKAELFLRQMVDNGVPPNKVTYTSMIHGYSTLGRWNEATKMLSEMTSRGLAPNIVTWNTFMASLCKHGRTKDAAQIFDSMIANGHKPDIFSYSILLHGYATEGEVSKACDLFHEMRQQVIVPDVVSYTMIIDALCKSGSVDKAESFLRQMVENGVQPNNMTYNCMISGYASLGLWEEAVQIFKGMASQGVIPDIVNHNALMICLCKNGRSKEAAEIVHEMIESGRLCRDNCTDEAIALFQKLGAMDVKFSTTIFNTMVSAMYKAGRTKEAKELCAAVSSNGLIPNASTYGVMTKNLLKEGSLEETDHMVSSMEKSACAPASSVE >cds.KYUSt_chr6.6998 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42487029:42491875:-1 gene:KYUSg_chr6.6998 transcript:KYUSt_chr6.6998 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFQKIFGLYFPDRAGPGQAGLSLIGLDRDKLAARPLKKTKAARCLLSQCPVPTTASDPSERKEERRRRRPQPPPAATGDDRVLLGFGLDETQAVNICPGDQISEETTHSGAINCQVLLGPQLISVGAMGKDYSRLSALPDDILLNILDRLGVLDAARTSILSRRWTQLAAKLSRLIINAQDFLPEGVSNVNVSDDEMFRVNAAAAEATKSILTRRNPGEHTIRVLSTAFYLRDHVPISIGQAVGRAVETHLVETAQFSVMTGKVGTDDVDDDELVIYGRDFMRFFEACPNAFGGLTSLDIENLRFGESDISNILITCKRLKHLRLLNCDSGDPSTLQVEHSHLSELSIVNCLLEQVKLNWLPQLTQMTFDGWINYQDPLVLGHVPLLKTVSLTNTGYSFHNLVKLSEFLCGTSIRDLKLGFKSEKVWVQPESLTKSLASVFHQLRFVNLVDLPEGYDLTWTMFILEAAPLLKELYITVWDHACIMETDEEARKENLYSEDRGVEWDSAAPDFQHHNLVTLIIFGYKSEDCMVSYLRRVMATAVNLKDVFLYANAAGASKKQAYDASIKWERPRPGQVKLNVDASFHEDVKVLG >cds.KYUSt_chr5.19297 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125134267:125134590:-1 gene:KYUSg_chr5.19297 transcript:KYUSt_chr5.19297 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPPSDHPWLPAASCLSSDPSLMLFSNFRVIRYIPGLSVLPTRTAFGHQYDLGTGAAEIKLAMGQGDDLGTGSVEVKLAIGQDACWPSVMANKLARLLASYPLSR >cds.KYUSt_chr5.20856 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135437392:135437970:-1 gene:KYUSg_chr5.20856 transcript:KYUSt_chr5.20856 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSKDTEEDTDSCLYPSDLESSPLAVDGGSYQPAVGLAAARALRFVPYDAAVSTVRALQGASHDDLRLRVHELSSSLNGVFFNGGLEPEKAPPFSAGVRFPEGALFVCADRAPLAPALREATRAMMQVAVKDASHGPCDYYYDAVRQLMLLLVDDAGRPAPEVVFSRETFETVFNLQWVPAPDAVVDDASL >cds.KYUSt_chr5.20600 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133814479:133819950:1 gene:KYUSg_chr5.20600 transcript:KYUSt_chr5.20600 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSDHVRATPQAAAPVHIAAGYRPAGSAARPHRLRLVRRAPAATMHPAAGRAHVRVTLPQQLRLRHATAISAFRLRHGLLRLTPATASSGSTRLSTNARHSGCALRALGRLRRCVQARAAPSTTKSKSWRSPHMNDVQREITLGIPAFADVSSNESAPQSLSTVRSTLRPTLDPATDRNPAHEPADTESTLPAAQSLRAGGPQRPLPGALQHEPADTESTLPAAQSLRAGGPQRPLPGALQHEPADTESTLPAAQSLRAGGPQRPLPGALQHEPTDTESTLPAAQSLRAGGPQRPLPGALQHEPADTESTLPAARAFELAVLSDHSRVLFSTSRRTQSPPCQRPRAFELAVLSDHSRHEPADTESFVASSGCTFAASSGCALSGCDLAASSGCAFVVSPGRAFAASSGRAFAASSGRAFAASSGCALSGCDFAASSGCTFAVSSGCASSGCDFVASSGCALSAATSPPRPAAPCPAATVASSGCAFAASMRLRLVRLRPRRLVRLRLRRLARPRLRRLVRLRLVRLRLSPRRLRLRRLVWLRLVRLRPCRLVRLRLRRLAPPRLSPPRPASSGCASSAAPSSPRPAALLRRLARLRLVRPRLRRLVRPRLVRLRLRRLVRLHLRRLVRLRLVRLRPRRLVRLRLRRLARPCLRRLVRPRLRRLVRLRLVWLRLRRLVRLRLVRLRLRRLVRLCLRRLVRLRLRRLVWLRLRRRLRSSRLVWLRLVGCDFAASSNYTFAVSSGCALSGCDLPPRPAAPSPPRLAAPCPAATLPPRPAAPSSPRLPRLRRLVRPRPGCASSGRAFVASSAALRRLAGCASSDCDLSPRPVVPRPAAPRPAAPRRLRLRRLVRPRLRRLVRPRLRRLAGRAFAASSGRALAASPGRAFVVRLHPRRIVRLRLVRLRLAASSGCALVVSSDCAFAASSGCDFVASSDCAFAASSGCALAAIVWLRLRRRLRLRASSAAPRPAAPCLAAPSPPSSGCAPRRLVWLRPVRLRLRRSSSGCAFTASSGCALAAIVWLLLVRLRLRRHRPAAPSPPHPAQSSPPSPGCASFGCALAALVRLRLRASFGCALAALVRLRLRRHHPAAPSPPRPAAPSPPRPAAPSLPYAWLRSALPTARLAMVYIDIAIIIYIINIEFGTLHATRLLFESHAGSGANVGGITPGMAKSSSLQARSTSRIKKRRHGSKDMKENGNNDTLGPTDRAWADSGFSWPDPAPAVLGPPKLCARPASGSRPCDRSRPPGPPPPGPPLAYVAGSRARSIERSIDMAGSFSYVLAWPAAPRKHCGGQLLQGHARSHGYSRDMAG >cds.KYUSt_chr5.42652 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268688902:268692953:-1 gene:KYUSg_chr5.42652 transcript:KYUSt_chr5.42652 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSGSGMPGHHINHLNVVKLFGCCLETEVPLLVYEFISNGTLYDHLHVEEPKASLPWVDRLRIATETARALAYLHLAVAFPIVHRDVKSQNILLDGTLIAKVPDFGASRCIPVDQTGDDTAIQGTFGYLDPMYFYSGQLTEQSDVYSFGVLLMELLTRKKPCSYRSSEEKSLVACFTAFLAKGDLISLLDPQVMVEGCKKVEEIAMLAAACVRMEDNQGVLTKSIEKCRHPAADCLQM >cds.KYUSt_chr7.14188 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87747939:87748212:-1 gene:KYUSg_chr7.14188 transcript:KYUSt_chr7.14188 gene_biotype:protein_coding transcript_biotype:protein_coding YLPDRKQALVLQLEGSEMEWRTTVKTDVKGGRWICAGWAEFVAGNHLQLDDIVLFQLAKSTNEVRMNAGKRTSELRMTVYLLRKSDIESS >cds.KYUSt_chr3.39113 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246470444:246473001:1 gene:KYUSg_chr3.39113 transcript:KYUSt_chr3.39113 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSSGLAAETQERKHDNGVNDNPEDPGSNGISSLEQPLLKRSNTLTASHLAMVGAKVSHIESLDYEIIENDLFKHDWRSRSNVEVLQYIFLKWALAFLVGLLTGVIASLINLAIENISGIKMLHMVQLVREKRYWAGFFYFSGFNFGLTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIFGSICAVSSGLDLGKEGPLVHIGACLANLLSQGGSGRFRVRWKWLRYFNNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRSFFSTATVVVVLRGFIEVCRNGRCGLFGEGGLIIFDVSDVTVNYTFGDLLLVTLVGVIGGLLGALYNYVLHMVLRLYNLINAKGRMAKLTLALAVCVFTSAGLYVLPFSVPCTPCDPAFGAACPSNGMSGNFKQFNCPAGHYNDLASLLHATNTDATRNIFSTGTSGEFRLDSLLIFFAIYCVLGLITFGIAVPSGLFLPIILMGAAYGRIVALVLENVARIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPMTMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPEPWMKDITVGELAAAKPRAISLQVVERVSTIVHVLRNTGHNGFPVVDRPRPGLSELHGLVLRSHLVAVLKKRWFLPEKRRTEEWEAREQFSSVELADKSAKLDDVDLTPDEMDMWVDLHPLTNTTPYTVVETMSVAKAVVLFRSVALRHMLIMPKYQGPEISPIVGILTRQDLRGHNILGAFPNLANKKKAH >cds.KYUSt_chr7.19503 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120886716:120889757:-1 gene:KYUSg_chr7.19503 transcript:KYUSt_chr7.19503 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLEISPSDRKEEQPAAPLPLPAAFLEFLGENGLDPMLYSMADTIPRYIRLKPGTESRMVTEIETELKCDLAKVSWLPDFYAIPPEIQIAGSNAYQQGKIYGIDAASGAATIALDVQPGDHVLDLCAAPGAKLCMLADMLGSTGSLTGVDVAKHRLAACRTMLQKYSLGDRSRLFVADGTSFSILPVNSNLGSMEGYIVTEDGGSIFSEWTSKRLWKDRQKSKKANSAGSPHPTSTSEPELIYYGKDSGLVGLQKHYVLHPSTDEAACTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLEAERTGNLLHLQLGLLRNGFKLLKTGGSLVYSTCSLTVAQNENVVQQFLSQCPSAELLKIDSADSWPCRSGSIEKTLRFDPATSQTSGLFVAKFVKLPS >cds.KYUSt_chr2.37927 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234743783:234749016:1 gene:KYUSg_chr2.37927 transcript:KYUSt_chr2.37927 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASQAKPAAVLWLAGFLQAARLHRVISFCASSRPLSVRIAQCFLLNGFIFLGSLLTLKSVVIPIILWILPEQCDRLWRQNLCDHTAALAIYSFLRSGLVQIFYVFWFYPLYIFSFIISTLWYGDIAKHSLAVVKSKKLDASQALDSETHKTSVSEDRPEGFDGVAIGVGEQVYSILLLTIFFAEVTMIGYIPYLGKVMNFLLLSLMYAYYCFEYKWNFFAVSLNHRLDFFESNWAFFAGFGSPCVLPIFFFSPLTSYGVMAILYPLFVMTAAGTEEEQAIDELEPTHGGKLKRIPLFFVAKRLTTQVLQLFPEAQKEQ >cds.KYUSt_contig_2868.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000549.1:154959:162161:-1 gene:KYUSg_contig_2868.21 transcript:KYUSt_contig_2868.21 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGFDDVPEVVDWMSSMVDRGGRRNQESTRLFLARRTALEMLRDRGYSVPESELARTLPEFRAWWEKDPDFDRLAFATARASDHSDKVQIRFCSQEPVRIATIQEIYDQIEGENLSRLILISKGKIMPRAKESMKEKFTFKVDSFLVRELLVNITKHVLKPKHEVLSAEEKANLLKKYKVEDSQLPRMDATDAVARYYGLDKGTVLKVTYDVARYHKEVFGGVT >cds.KYUSt_chr3.47719 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299039523:299040725:1 gene:KYUSg_chr3.47719 transcript:KYUSt_chr3.47719 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCCFPVSTRDCAELGDGPAGLIADRVLACDVADYVCFRAVCRSWRRCSADPRAHGGLDRRFHPRRWLMLREELAVPDRRSFLNTSTGDCVQVDIPELRDHLLLAVTPEGLLVLVHKPQRATVRLLNPLTRHLIELPPLTTLLPPKDHGKLSEDNLYFDGEFKAWGSGVATDDSMVVLCFSKLRMIGIAKPGHDSWNLLEYRGDGMTTAPLMFASRFYCVNLSGVMVLDLGADQPPQLKVAAELNMLISPISDSMHLVDNCGELMLVHRRCGHLLNTGFKSGRLCDAYRVDLDSGTLFPVDDLGGSAVFAGFHCTFSVPLEVFPSGTMSADTIYFSFDIRETSIFKARAYNLRDGGIQLSWNLVPQPHTLVDCLSLSNTVEERIDSTSIHTSGLWWRGV >cds.KYUSt_contig_2087.114 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:715752:717587:1 gene:KYUSg_contig_2087.114 transcript:KYUSt_contig_2087.114 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPVSFYLLEHRFGAGFRSTQERGADQNMASNYVDTTGEEGTFHGPGHHSSSTTPTGSAASPRTTRRRWPGSASAPSGATHGPAYKCVCAPATHPGSFKCRLHRTSPQGPSSPTAANAAPSSSSRTVAAQ >cds.KYUSt_chr1.3569 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21743698:21747376:-1 gene:KYUSg_chr1.3569 transcript:KYUSt_chr1.3569 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVDYYKVLGVGRAATDDELKKAYRRLVMKYHPDKNPSPQAGPLFKQVSAAYDVLSDPDKRAVYDQYGEEGLKAGVAPPSPSAAPPPHAHAHGAGPGFRFNPRTADEIFKEMFGAGSYFGQPPRSPATPQSVPGATASSYAAAAAAAGFSPGSGEASGGSMRKAAAIERQLACSLEDLHKGATKKMKISRDVLDSAGKPMSVEEILTIDIKPGWKKGTKITFPEKGNETRNVIPSDLVFIIEERAHPKFKRDGNDLVYTHKISLVDALTGCAVQLMTLDGRNLTVPVKSVINPTYEEVVPGEGMPITREPSKKGNLRIKFQIKFPTNLTADQKAGVQQLLS >cds.KYUSt_chr4.40182 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248066998:248069455:1 gene:KYUSg_chr4.40182 transcript:KYUSt_chr4.40182 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAIPFISAKEDLPSPLTSASELLPLFDGTTRLYLAYHCPYAQRPWITRNCKGLQDKIKVVAIDLADSPAWYKEKVYPANKVPALEHNNQVKGESLDLVKYIDSNFDGPALLPDDSAKKQFAEELLAFSDAFNSAFFSCLRSKGHVSDEAAAAVDKIEAALGKFSDGPFFLGQFSLVDVAYVPFIERFQIFYHGIKNDDIAKGRPNLHKFIEPWPPPALAMVVAPGRSRRWGKDGSPCSFRYVR >cds.KYUSt_chr6.19588 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123372008:123374009:1 gene:KYUSg_chr6.19588 transcript:KYUSt_chr6.19588 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIHSRFSPQPLDAVAFLSPVSSPNGSMTAGARCVWDRRAEAAALYALCRLVACRSEILVNYRRLEKVIHRRLGSRSSSPGTRQEASVSGHGELPLAPSLLLPRPFSVTDLALLLWSTSSSSFVLTR >cds.KYUSt_chr4.9208 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55612315:55613769:-1 gene:KYUSg_chr4.9208 transcript:KYUSt_chr4.9208 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSHTCSEARKVFTRMLSSGVGGNDLAVEAFDPAKRLFKLIISCRHASGLEIELDHCDLRVTPDVAERVLERLDNAGMLAYRFFEWTRKQKRGGCAHTVRSYHTVVTSLAKIRQYQLMWDVVAIMRREGVVNVETFGIIMRKYARAQKVDEAVYTFNVMEKYGVVPNLAAFNSLLGALCKSKNVRKAQEIFDKMNGRFSPDAKTYSILLEGWGRAPNLPKMREVYSEMLDAGCQPDIVTYGIMVDSLCKTGRVEEAVLVVQDMSTRGCQPTTFIYSVLVHTYGVEYRIEDAVATFLDMEKDGIVPDVVVYNALVTAFCRVKKFENAFRVMDDMEGHGITPNSRTWNIILNKLISLGKDDEAYRVFRRMIKRCQPDSDTYTMMIKMFCDNDRVEMALKVWKYMRLKQFLPSMHTFSVLINGLCDKGEVSQACVLLEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLDFLVDKMKILIQEPLFD >cds.KYUSt_chr4.25224 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158527620:158532613:-1 gene:KYUSg_chr4.25224 transcript:KYUSt_chr4.25224 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLEGETAAEHAELSAGGGCSEDCLSALPDDVLLHILRVLGSTTTAARTSVLSRRWRRLWALLPDLYFVLRANPDSLRGALAALEAMSDDEAPPLRKLYFFVFSEHASPDSLAAWLPIAARRLAGLLHVCILGNAGKDGRGAFELPCFERATKMKLRLGFLSLNLPPSGIFARLTNLSLEKLHLHGPCRIGEAVSSPRCPSLQRLKVVNAQGLGNFAIHSESLLKIRLEKSCGLQQLTIVAPALKELIVLYCFAHDSDPSQPVADIAAPQLVSLHWRDAYHPSSVQFGEMAQLELLGATFFLVDEADGYEHNRDCVRLLRHFQDVSTLTLELAYMPEDIEEDLGEHHYLMEDMARLPYVTFLTLLITAKGHSFGASSFHVLRTCTSIRKLMLIFSSSVDLEASETPLLRVLYGRRAIRFLGSAIGATTDFITDFDDHFPDEDFFPHIGSLYLDDMAENDNVNANAGAQLQFTPSGFVASVKPPLFEGASFSKSDRLFGAALLSVLGENIVEPYMSFTNGKDMWAALEAKFGVSDAGSELYIMEQFCDFKMTGDHSVVEQAHEIQALAKELEYFSCVLPDKFVAGSIIAKLPPSWRNFATSLKHKRQEFTASDLIGTLDVEEKARAKDTLARGAEGGSSANLVQKKNFQSHKFKNKGKFDGKSKFDLEEQALTVHYFQEED >cds.KYUSt_chr1.28813 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174283302:174284315:-1 gene:KYUSg_chr1.28813 transcript:KYUSt_chr1.28813 gene_biotype:protein_coding transcript_biotype:protein_coding METLLSPSALLTPLRGSKKPAPPAVSCSLKKQTQVGVAWRGDGASGGVGSWASFLHHGLAAAALSLALTLSPAPAPAVASEYDVLNDGPPADTYVVDDAGVLSRVTKSDVKRLARDLEARKNIRINFVTVRKLTSKADAFEYADQVLEKWYPTVEDGSNKGIVVLVTSQKEGAITGGPDFVKAVGDAILDAAVSENLPVLATDEKYNEAIYSTAQRLVAAIDGLPDPGGPAFQESKRESNYKSKQETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYISKK >cds.KYUSt_chr7.24034 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149675943:149676392:1 gene:KYUSg_chr7.24034 transcript:KYUSt_chr7.24034 gene_biotype:protein_coding transcript_biotype:protein_coding MGSARVPAGDVNLDLGLVHLTAAAVRHPRTAPPVAAMDEGDRTFSCTYCRRKFYSSQALGGHQNAHKLERSLAKRSRELSAASSSPPTSDLISWYSPPGGADSGAQAAGSAAAAAAVVSWIADGGRRRYGHRVHAAGDGDDGIDLSLKL >cds.KYUSt_chr1.41475 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254378329:254381652:-1 gene:KYUSg_chr1.41475 transcript:KYUSt_chr1.41475 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAAAQRASLLASRSSPSSPLLSLRGGRVASPAISVSGGPHSRARFVTSASAEPYAADLQSKVTNKVYFDISIGNPVGSNVGRIVIGLYGDDVPQTVENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVLSMANAGPNTNGSQFFICTVKVV >cds.KYUSt_chr1.40642 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249237954:249239222:1 gene:KYUSg_chr1.40642 transcript:KYUSt_chr1.40642 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLLSDDGVSRVRRKKDFSHMDRLDGRAVNILQGLELHTAVFSPEEQRAIVAAVLDLQDRGRRGHLRERTYSEPRKWMRGKGRATIQFGCCYNYAVDRDGNPPGIVRDSAVDPLPPLLAAMVRRLVLWRVLPRACVPDSCIVNIYDVDDCIPPHVDSHDFLRPFCTASFLADCDILFGRTLKVLGPGEFGGAGSTSINLPAGSVLVLNGNGADVAKHCVPAVPAKRISITFRKMDAGKVPFGFTPHAMLQNLSAAAPPVVRPGTASTGAAEGKSPGGVPFSLSTDEFPSLGGSSPAMRPATVTTPLYRPHNTEAADQQSQSAAARAATPKQQQAATPQNKNHAAPVTAGQSAGGVPFGLSTDEFPALGALPASGRRPGRR >cds.KYUSt_chr3.26728 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166638794:166641101:1 gene:KYUSg_chr3.26728 transcript:KYUSt_chr3.26728 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHIDDMSGMGMEEVEMDMEDDGEMDMEMEMQLQEASARWPEVACPYCYEDYDLGSLCVHLDEDHPYEPHPAPCPICSEKVTRDMLNHITMQHGYLFKALLGGGHSHRSSNPATTTTNIYADPLLSSFGLGFATSDAEEPSKSPVPVPDGTSKLKEAPPQPWESRIYIVFVAKEFTFSKSKLPPIVFQIF >cds.KYUSt_chr1.33689 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204648631:204650323:1 gene:KYUSg_chr1.33689 transcript:KYUSt_chr1.33689 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFVLNTGATIPSVGLGTWQISPGVVEEAIRAAIKAGYRHIDCSPQYGNQKEVGLALKKVYAEGLVNREDLFITSKLWCTHHAPEDVPEAIESTLHDLQLEYLDLYLVHGPVRAKKGAMLSVENYLKPDIPATWRGMEKLYDSSKARSIGVSNFSCKKLEDLLAVARVPPAANQVECHPVWQQRRLRDLCHSSGVHLSAYAPLGSPGSPGKEGPDVLSHPVVVSVAKRLRRTPAQVALRWGVQMGHSVLPKSDNEAWTRENIDVSGWCIPEDLMAKFTQIEQARIPYTYVFHSVSSIFC >cds.KYUSt_chr7.2160 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12560765:12567473:1 gene:KYUSg_chr7.2160 transcript:KYUSt_chr7.2160 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTEPTFPVPSASTMAFPAPAGPCSGGSKSVLDGMAGPSMKPVELEELAASSMKPVHLEEMAGPSMNPVELEELDPMGDVDKQRLKWETINEDQQVWDALDEQRKLRKKEEMKQRQEERKHKQEERRHKQEERRLKQEYNKKIKLEVAARRKELQDRKKKQANELQDTKKKSKMVRAEVRRRFSLRSDQVSWSEYAEVSAWSSCKRGSFKKREVAVGYGWKSPFTEKCQVYAEVAIYAEVAIFIEVAISGDFSVGWFENNSFTRNTIKDDIMKLYYEKKLITSEGFKRGMERIVHVHHVDKDAFLKGNIEPDPEEVDLEFDLSPSFAEVVEQVRVELNWNEPNDGVELEGRHNVGFGMHTRWKTMRINSEQRWSVYKETVAGSQDKTLELFATKTVDARIELDLNRPSSPVRERSP >cds.KYUSt_chr6.8036 pep primary_assembly:MPB_Lper_Kyuss_1697:6:49429833:49432316:1 gene:KYUSg_chr6.8036 transcript:KYUSt_chr6.8036 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIRSDDIEKQDEVMLPGFRFHPTDEELVRFYLKRKIQQKSLPIELIRQLDIYKFDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPQKKPLEKTIPPNNTLSIIDSISRPPTSILLPPSDAEHQAMSILSAIPLDLQAGMDIASMVLNQSSITLSNMDRSTPTNIEFAQPQQCNSNMINRCMVDFPDISNNIDGAPRSISFPYNLQGPLSDDWRANVPWDSLPCTTEVTTNYQPTKCYT >cds.KYUSt_chr4.28693 pep primary_assembly:MPB_Lper_Kyuss_1697:4:180277339:180278184:-1 gene:KYUSg_chr4.28693 transcript:KYUSt_chr4.28693 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGQTAPAAEEVKLSISGAALPALLHRCGAATADCDGLLFGRAARPPALPPSLSDYDDHAPELSAPPMSITVSGHSSLSQPSSLTNPLGRFHPLPPSSPPPLGFFSSRRRAPLRPSMRELSLACSLSKALQPLAHPLIFILVSPSASRDLSTHSFDYRAYLLRGSRLVPSSLTVVNVGPGFRDQYHTFAPQSPFPLLPPQAPQGGDGHSIGEQKAVDAMVEGFGLGRLQELVRAAAGQAAEMDGMYAGMLRRLEKLAKEVEKSNHSVLDQVVLSSCISCL >cds.KYUSt_chr7.8151 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49294032:49302238:1 gene:KYUSg_chr7.8151 transcript:KYUSt_chr7.8151 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPFLPHPPKFEQEAFPGQSSSSSLQLSGDTGNVHDEAEQSDDIKEFLNLSGDASDGSFHGENHAFAFAEQMEFQYLSEQLGIAITDNEESPQLDDIYNTPAPQMSSLPVSSCSNQSVQSLGSPAKVPLSASLSSSASAASNKSRLRWTLELHERFVEAVNKLEGPEKATPKGVLKLMKVDGLTIYHVKSHLQKYRHAKYIPEMTKEDKKASSDIKRVQASSSGSDPCKNKNLAEALRMQLEVQKQLHEQLEMQRQLQLRIEEHAKYLQRILEEQQKAGNGSGRSVPLKTPTEPSESTSRGRTEPEAVTASSPQPSTSRAAPDADTERGSPVGTKRAKLQQLQPLMEANGHSDLLTIEAVRKFIFEAGHGPDVLNSSYLKETVRAIANKFQVGIPTYKSLLLEELKETQTRERELKQEWEKSGCSVILDSWGCGAKSFVSVLVHCRKGMLFLRSKDVSAIIEDVDMLEEMISCVVDEVGASNIVQVVMNDASPYMQNARHRVLKKHRHSFFFPLCADFCINFLLGKIAALGHISEVLTMAKEITRFINGNEMPVKLVGNGKIVSNSCLKYVAAFLTLEKLVSERANLVEMFNSPEWASSDWDASSTFRYICDIVKTDAFWCAAADVLKVTIPFVKVLFKLEREDCPMGILVFYKDHACDDPEVISGIEACITQMANVCWWSVHGTDTPELQTLATRILSQTCFGAKRHNINWHVSEKVHEAKAFHNQDLYRGLEYVHYNMRLAGAKPLIGGLSGDQMPPPTRSTNQDAMMQMMQMMMADQEAERAERQANIAALQQIAQNNQGHGNHDHPGSKLKNFQNTNPPMFSKTEEPLDADDWLQTMENNLEVAGVEAAEKVLFATHYLSGPARAWWTSASAMNAGQMMTWEDFKLKFSKYHVPQGLIKKMRDEFRELKQGRMSVVEYHDRFLTLSRYAPDETDTNEKRKERFLNGLHDEMQTVLVNIPFADLEALMDSAIQMEGKLHQANENHKRRMMNQNGSSNAQKYRNNSSGGFAPRYNKPTTHTYRPNYSNNNGGPPKPGSNNNNHNNNHNSNNNNGNNYNNNNNNTGPRTGSNAIPVTPKDKSTITCYECGVVGHYSNECPKRLAKTATNPAAPAQQQRRFAARRNQNNHNGRLYHMKATEAPEAPQAMPSMFSC >cds.KYUSt_chr3.33397 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209673795:209675749:1 gene:KYUSg_chr3.33397 transcript:KYUSt_chr3.33397 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFPHPGSDGRRRTRPLDCRHGRVLIHMLPGFLVWDPITGERHCLPPEPKEIEWLIYSAAVLCAAEGCDHLDCHGGPFRVVLAGTHDHTEIIVASVYSSETGAWSVPVCLDNTCETYAQHVLQAVRERYYYIPYLQPRRAAVVGDAVYFTVRRDNAIVKYDLGKDRLSMIDPPTPDVYYITLMAMEDNTSLGFACIQDSTLYTWSRKVDTQEAAEWVQYMVIQLEKTIPVANPNNESIVVGFANPNNESIVVGFAEGVGVIFVSSGVGLFTIKLKSGQAKKVDEPGEYFSVLPYMSFYLPGNGGGHDATDLPHNT >cds.KYUSt_chr3.29348 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183710364:183711194:1 gene:KYUSg_chr3.29348 transcript:KYUSt_chr3.29348 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVVFDFDKTIIDVDSDNWVVDGLGATELFDHLLPTMPWNTLIDTVMGELHARGKTLHDVAEVLKAAPIDPHVVSAIKAAYGLGCDLRVLSDANRFFIETILDHHGLRGYFSEINTNPSRVDADGRLRIAPHHDFHSGPHGCGLGTCPPNMCKGQVFDSIRASVEADGGKKRFIYLGDGRGDYCPSLRLEREDFMMPRKGFPVWDLICENPGLLQAEVHPWSDGKDLEETLLRLVGRVLVEEGNLLPPMDCKQELLPVVAVQDGIPMPLGVKS >cds.KYUSt_chr1.28724 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173661922:173663193:-1 gene:KYUSg_chr1.28724 transcript:KYUSt_chr1.28724 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYSSRFGCCPEILLDQNCRISYCHNSTSATTENSEGLSVDVSFEIRGPPALSLCFVRCYDLTGRQRFSEEPKPSIIGVAGGLVLLDIPFWDGDGDINQWSYTDYFVYRAGPGAPSLHLLPGPYPAICSMKVAILPIYDDVEHYAVVFPFVQFVVLDSSHHYTLYIYRSDCKAWCTRVARIADDMETQNAMLKLALHYPTSVVHARPGLIGWVDLWWGVLLCNVLDESPTIRFVAVPVPEPCETLTEFFVKFEDQNRAKRPYRQMTVHNGVMKFIELELHRGPACFNTKRRDDPGWTATTWTRPISSDVWHKSLTFDTSDISVTDWSSLHLLLPEILDGKRKLTWEKFISGAPTLSLHDDHIVYIVVKSVWHQTAFILAVNTRSLTLESCAQCSGEMIASLEPTYVPSALSSYFGMTTFVLP >cds.KYUSt_chr7.38706 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240897891:240898797:-1 gene:KYUSg_chr7.38706 transcript:KYUSt_chr7.38706 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSLDLGLSLGLGLVPQPQPSFWYSGGNVAPEVQEVGPPPAAATEEYGTCSAGSPVSSGSGSGMKRGAERSAGSGDEDQDDDGGNARKKLRLSKDQAAVLEECFKTHHTLTPKQKLALANSLGLRPRQVEVWFQNRRARTKLKQTEVDCEYMKRWCEQLAEQNRRLEKEVAELRALKAAPVPAHGGAAGPLTTLTMCLSCKRVASTSSSAYAAPNATNLYTAIGATNAGIGMAPPVALPGHRQLFCGFGETGALYGGSSGHGKAVKAAR >cds.KYUSt_chr2.39742 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246665438:246665917:-1 gene:KYUSg_chr2.39742 transcript:KYUSt_chr2.39742 gene_biotype:protein_coding transcript_biotype:protein_coding MELNREQHILDQGLLDAQLELWHNTFGFVKSMALKSALDLGIADAIHHHGGAATLSQIVAKATLHPSKISSLRRLMRVLTVSGVLGTENSADGEAVYALTPASRLLVGSANMVPIINMLLNPILVSPFTDLGTWFQLPARAAGPGPVQAEARHDLLGAG >cds.KYUSt_chr6.29362 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186035602:186036917:-1 gene:KYUSg_chr6.29362 transcript:KYUSt_chr6.29362 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLRLVNPTALSLPAAVIVLLIVGTRLRGSFFGTYSPGDAAIPSGSRHQVVSNSTSAVVPEGCDIFRGEWVPDDGAPYYTNRSCAFIQEHQNCMKYGRPDLGFLGWRWRPDGCELPRFDAARFLQVVSNRSMAFVGDSLARNHMQSLVCLLSKVEYPKDISTTENQEFRTLYYESHGFTLSIYRSRFLVKANLSDNDADHGLWNLYLDEPDDAWLPFVSGADYIVISGASWFTHPSLFYEAGGRLVGCKSCNLPGVPELTLRYSMRAAFRTALRAITTLKGFTGTVIARTLTPTSHFEGGEWDKGGDCRRTRPYAARVGVAGQDLELHEAQVEEFAAAKEEAAARGARMMLMDATAAMVLRPDGHPSRYGHWAHENVTLYNDCVHWCLPGPVDVWNEMLLHMLLAGA >cds.KYUSt_chr4.53197 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329422384:329424456:1 gene:KYUSg_chr4.53197 transcript:KYUSt_chr4.53197 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAVARRVSVSERRVIKLDLIKASMAAKKRKEDLAMLLVDTSDMEDDVRAWCADQRGRIMAERRAPTAPQPSSTTPPATSTPPSTFLLPATEVSPEEPSGDFDLQFQDVVAAFTTKLPSLLDYFPPLACRIVADPRSGLPELDCDNQGAEVVVCEVGEELGSLHYGDLEASLARIGVPVQRGADGVAVSLQLVSFACGGFAVVWGSSHVFLDGCAQCMIINAWSELAVAGKISAAPPNHNRSVFQPRAPPAYDSSSIGELFAVAPAPPLEKALTADAEAETCFVGRTYYLEKRDLAMLRAQAGCLAKPKHKSKPHGGGVSVPVEAPAEAMRDYFGNVTSYAVAEADVEGIKRRPLHEIASMMRESIKSTATDEHFQQLVDWVEDHKGKSKQGAAATYVEAATLGLGSPLLGVTSFASFRFNTDFGFGQAAYAMPIWVDLKLGCGFMTVTTSPDGSCLFFSMSISSKLAAVLESDEQRIFKPLTAEYLRLT >cds.KYUSt_chr7.17966 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111448437:111450315:-1 gene:KYUSg_chr7.17966 transcript:KYUSt_chr7.17966 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPSRSGAGGDDTGDYFAQAPRKAGSADWWSTGLLKLVTAMVIFMAGVVIGLSVSANVSRYYYNSHTELFFPAATYSSGACDRRGASGDCGPGFRAFVHPPTLAHSMTDDELFWRATLVPAADEFPFQRVPKVAFLFMTRGPLPFAPLWEKFFRGHQGLFSVYVHTIPDYKLNVSKASPFYGRQIPSEEVSWGSITLVDAEKRLLANALLDYSNERFVLLSESCIPVFNFPTVYEYLINSEQSFVESYNIDTPQSAGRYNRRMAPHILAEQWRKGSEWFELNRELAVRVVADYKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGALNANRTITWVDWSRGGPHPARYGAGNINVEFIKAIRNNGTQCTYNSKHTSVCYLFARKFAPSALGPLMNLTSTVLDF >cds.KYUSt_chr2.20140 pep primary_assembly:MPB_Lper_Kyuss_1697:2:126608387:126614444:1 gene:KYUSg_chr2.20140 transcript:KYUSt_chr2.20140 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRFLQLLLAVSASSALLAVLAADLNKEPFTIRISCGSFDDVHTAPTNTLWYRDFGYTGGRFANATRPSFIVPPLKTLRYFPLSDGPENCYYINNVPNGHYQVRLFFALVADPNLDSEPIFDVSVEGTLFSSLLSGWSSDDEKTFAEALVFVQDSSLSVCFHSTGHGDPSILSIELLQIDDNAYKFGPLWGNGTVLRTAKRLICGSGKPAFDEDLNGIHWGGDRFWLGLKTFSSSSDDQTISTENVIAETLLAPNFYPQSMYQSAIVGTDRQPTLSFEMDVTPNKNYSVWLHFAEIENGITAEEQRVFDVLINGDTAFKDIDIVRMTGERFTALVLNKTVAVSGTTLKITLQPLEGTRAIVSAIEVFEIIPAEMKTLTEEVTALRTLKGSLGLPLRFGWNGDPCVPQQHPWSGVDCQFDGTKRNWIIDGLGLDNQGLRGVIPSDVSKLQHLQSINFSGNSMKGNIPLSLGTISGLRVLDLSYNELNGSIPESLGELTSLQTLNLNGNDLSGRVPATLGGRPLHRVRFNFTDNAGLCGIPGLRECGPHLSVAAKIGMAFGVLVAFLLLVVFAACWWKRRQNIIRGQKLAAAREAPYAKSRTHFTRDVQMGKPHRPHESSRGGNSESSPHLLS >cds.KYUSt_chr4.14472 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89351708:89354712:1 gene:KYUSg_chr4.14472 transcript:KYUSt_chr4.14472 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDHFSLRSSVGSRGSAPHSHHHHHHHQHVTPYPRPHGNPDPLASVWIRRLHLAPNPPPLPHSGFAPPPPHSSFTPPPLHEHDAVSNRSAGFGPFRWSPRPQRDAPVGAPAPAPPMTSPFFRSQPPPMPAVGEFAPVRTAIGFGGSGAGAGGFPGLSSRATVDVYPHSSWLATPAAGSAYPNHPVDMVPMRNSHDLHVRQHGMIPRYIPRPAPSSSSQNEEPFSYWNMGRFQRSTTTSSISQVAAMPDNFVKKRSADTDGFLPLKFRKLSRAG >cds.KYUSt_chr3.7604 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43756567:43758699:-1 gene:KYUSg_chr3.7604 transcript:KYUSt_chr3.7604 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTDEWKLPDVTPFEHLGKEWILHLLDGTSELESTRILMTLWRIWHARNEVIHDKMAPSIESSRRFLCSYVDSLMSIKYYPHADVKRKQPAVENHEEIQRERSMATQELSIKLLINKKTGKLCFAEAGSDVVKFLTALLSLPLGTITSLLAKEGMVGSVGTLLGSAETLGAKYNTEELQLIPAAAPATVSSLQQLLGVKLNGSGTLYTCLGKAAATKCGQLSALYCSLCPSCRSYRRKAMTLAVDETNQPVVSAPTYTVKDDLSVTPASLSMITLLAQCGVKDLSVLQEKIVKIGNDEALGILAAAFKSKTVLTDVFMPKKSARGKRGAPEEAGPKKNGRCKTEPPEEVIEI >cds.KYUSt_chr3.33542 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210597014:210599452:-1 gene:KYUSg_chr3.33542 transcript:KYUSt_chr3.33542 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRPLRRSSSSTSTSPPSRSWSPHAAFAAATGRVRTGTLSQEDAHNLFDELLRQATPVPERSLNGFLAALSRAPSYDDRRSGPALAVALFNRVCQEDAGLQVAPPTVCTFAILMDCCCRARRPDLGLAFFGCLLKKGLKTNQIIANTFLKCFCDAKRTDEAVDLLLHRMSELGCVPDVISYNTVLKRLCEDSRSQQALDLLLTLAREGGGCSPDVVSYSTVIHGFFREGEIGKACNLFHEMLQQGVVPSVVTYSSVIDALCKARAMDKAELILRHMTDNGVRPDSVTFNCMINGYSTSERWKEAAKMFKEMTSLGLVPNIITCSSLIASLCKHGRSKEVAQIFYSMTAKGHKPDITSYSILLHGYGNEGFLLDMINLFNSMKNNGIVPDCRVFNILIGAYAKCGMMDEAMLIFAEMQGRGVCPDLVTYSTVIAALCRIGRLGDAKDKFSEMIVVGIQPEKVVYHCLIQGCCMHGDLVKAKELVSEMVNKGIPRPNIVFFNSVINSLCKDGRVVDAQDTFDLVIHIGERPDVITFNSLIDGYGLVGKMDKALRVLDAMVSEGVEPNVVTYNTLVNSYFKSGRFDDGLALFTEMLSKRVKPTTVTYGIILDGLFRAGRTDDAKKRFHEMIQSGIAVNISIYNIILGGLCRNNCAGEAITLFHKLAEMNVKFDIKTFNIMIDAMYKVRRREEAKKLFAAISNSGLVPNVFTYSIVIKNLLKEGSLEEADNIFSSMEKIGCAPSSRLINDVIRMLLENGKIAKVGDYLSKVDGKSISLEASTTSLMLSLFSTNGKYREDMKLLPAKYQIFDGLG >cds.KYUSt_chr3.258 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1507884:1508279:-1 gene:KYUSg_chr3.258 transcript:KYUSt_chr3.258 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSTRVGVRSVLSTGEASMLPLIGGFGGKSRKAVSRLFTQAGLRGAWTEQGRGGAGREAARKSTAVERRPVASAGGVASNGGGKEARAGACSSVVVVTSSTVVPTPLPLLLEVVGRVKYSAVASCVRTR >cds.KYUSt_chr4.34684 pep primary_assembly:MPB_Lper_Kyuss_1697:4:213051431:213053793:1 gene:KYUSg_chr4.34684 transcript:KYUSt_chr4.34684 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQQGPRWNRTTTLSSSIPPALGIICAVHTQYVHKNRTCKNREQQNHQLRRRPPTPALAAMSLRRLLAFSSASSGHLRRSLSTAASDSPAGGLSWYMLEKVTMAMGSAATPSVRFADPPRVSELYMPENLFDPGAIPVPGRQSDTVRMLSGQAGSASQDGLLLLNYKDIRITAPIVATEHRGNLSRGIRKPTGGRPDPAEVPDMAHFVFNPFTRELSPRLPEIQGPVKISDGFEVGLLTQADAGRGPPDRYAVAKLEGDVMLRFLSETGEWETVQGSPCQLPAAGRRFSPCQEVVAFGGRLWWVDVTWGAVCADPLSDRPEPHFVELPSGSVLPADACEQAIRSSIFLTEANGMSKMYMRLPNMCRRVGVSGGCLRYVEVSQTAPFVLSSFALDASHSGWTLEHRVALSRLWADGAHPWLPLEGANRPQIGALHPYEANVVHLIVGKHILAVDMHKGEVTEHVPYRGHHQVSILPCPVPSWLPTARIPSIGKKDVSKKTTLADVLVRSD >cds.KYUSt_chr5.18872 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122042097:122043110:-1 gene:KYUSg_chr5.18872 transcript:KYUSt_chr5.18872 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVPPQSDQESATKSASEDHILLPKQSQLKDFMSTLPVREGWSQPLIQYKRYWFRPQMLEKILQVQDVYKPRTDDIVLATQPKCGTTWLKALTFTITNRSRYSFANHPLLTRHPQDVVPFIEIRGLSTDHADIETLPSPRLLATHMPMSMLPRDTTSSRGRRIVYLCRDPKDTLVSRLHYENRVVKGCNLSMENAFSMFCEGFSPYGPFWDHCLEYWKESLARPNNVLFLKYEEIMSDPVQAVRTLANFLGVPLTKEEESSGVAEEVARLCSFETLTGLQVNQVGGVDRGNKVYLDNSVFFREGKVGDWTNHMSQEMAEKLDRIVQEKLQGCGLMF >cds.KYUSt_chr6.11398 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70724516:70726756:1 gene:KYUSg_chr6.11398 transcript:KYUSt_chr6.11398 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFAYVILGGGVAAGYAALELVRHGDGVSPGELCIISDEAVAPYERPALSKGYLLPRGAARLPAFHTCVGANDELLTEQWYKDHGIELVLGTRVVSTDVQRKTLLTATGETISYKTLIVATGARVLKLEEVGVTRSDATNVCYLRSLEDADKMVGVMRSCHGGNAVVIGGGYIGMECAAALVANDIKVAMVFPRKHCMDRLFTPKIAEFYENYYAAKGVTFIKEARVTYFETSSDGKVTGAILRDGRRLPADMVVVGIGARANTELFDGQLAMEKGGIKVNGRMQTSDAAVYAVGDVAAFPVALLGGDVRRFEHVDCARRTARRAVAAILERPDAAAEQGEMGFDYLPFFYSRVFALSWQFYGDNVGEPVHFGDFSVPAGGRPKFGACWVSGGLVAGVFLEGGSREENEAVASAVRCRARIGDVAAELESRGLAFADQESRRKLTRRCYGLAAGGDKPTYAWHATVGVAAAVSIAAFAYWYGWQAPYVVKREF >cds.KYUSt_chr1.36876 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224973958:224976994:-1 gene:KYUSg_chr1.36876 transcript:KYUSt_chr1.36876 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKFKGKPTGERTFSSEEELAAGTSAGRPKSFKKKQVEKEVYERREEPIDEEDEEEVEKTEKHKGTAGLIEIDNPNLVKPKGIKAKDVDVDRTAGLSRREREEIEKQRSILHYKRLQEEGKTDQARKDLDRLSLIRQERAEAAKKREEEKAAKEERKAEARK >cds.KYUSt_chr7.25107 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156681014:156682114:1 gene:KYUSg_chr7.25107 transcript:KYUSt_chr7.25107 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSISDALLLLLNMAGALLAPRAPHSLPVPAADDEGVDFFFFPFLVLYKSGRVERFMGTDTVPASVDPATGVASKDVAIDAAAGLAVRLYLPNSTAETLPLVVFYHGGAFVTESAFSPTYQRYLNALASKAGVLAVSVDYHLSPERPLPTAYDDAWTALRWALRSARCGSGAEAEPWLSRRADLTRLFLVGDSAGGNIAHNVAMRAGREGLDGGATIQGVALLDPYFWGKRPVPSETRDPAERRWRDRTWSFVCAGRYGVDDPVINPVAMASDEWRRLGCARVLVTVAGLDVLAARGRAYVAALGDSGWGGDVRLYETPGESHVYFLLKPDGEKAAKEMDAVVAFINGNRPLESSESEVSAIS >cds.KYUSt_chr7.8594 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52112634:52117548:1 gene:KYUSg_chr7.8594 transcript:KYUSt_chr7.8594 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHAACIATAAAELLSPFTSWAARRCLQEDTGGGAARVCEGASRIFFEVVTGGGVAVVFEGPSRILFADSLTRQGIGPWKYTEEWGEKTKQFVDERLTSLADPGQLFALVTRPPSAPPSPPPRRKNAQPRRLRTPSPSPPPAEDDDEEQWGGEDEEDGEEHGGQDEEAGGEDGGEDGGEDSGEDGGEDGGEDGGEDGGEDLEEDEGLGGMPQELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKYENMQERVVRHFWKYFKRAEGKEIACDVILHELCRVRVTGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQGVLSCDGHMVDIPRVRRKHEEGKRSVREMGGGSHVLGSKNLALTLRDETGVAPNLFGFFQKSKTGKEPHPETGSLWVNDLAEGRCGACRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIADGLVDPSTIPSLRQIRRGRTSEQPRVETRPRASDLAIEKLRAEMEERERRHQEERDARCSSSLGRTCGCSSGCCSEMQQQRQMFQQMFMNRDRADFTTGSSGPSTSCPPMFPHFIPTPDPAVMALLQQAPSQSPLTPGLTVNNTGIIRDSQQFLGGQGGGEGQGSGEGQGSGEG >cds.KYUSt_chr3.42463 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268575101:268576112:-1 gene:KYUSg_chr3.42463 transcript:KYUSt_chr3.42463 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMFDHQSMQYKQEVLTQSASVPFDEGRLLKKDVEFVWHPWTASLIPLRGGRRACPEAIGQQYDDGERTVSWTAIVQQGDDGERNASRATAVRGRRFGRGDMDAAGRPGREEGVVDVENCCWADAAGRPGREEGVLDVENCCWAAR >cds.KYUSt_chr4.5507 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31895843:31897239:1 gene:KYUSg_chr4.5507 transcript:KYUSt_chr4.5507 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAGRPASLACKYRAAAALRMTLVDLQRSARRYALLLPCARPRARRQRPDPERGGRVYLVVVIGSDREGTMSFTLFPIVDVALAWEADGGLLLIDMGKSVILVFGGHEDFCLSGGNVGAVDNSSNNSYGSINRCKDWQGASKGIEADSWGPVGIANAEAHH >cds.KYUSt_chr5.36245 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229069507:229073312:1 gene:KYUSg_chr5.36245 transcript:KYUSt_chr5.36245 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAVLLRRAPTPGGHEVRYDQAANAPRSKMSKHKVCHRCDKEADLLLLSSDDNGNNVLLLTGQPTVGLSYTLKAVTSAPAAGYVPHMLPQPASHYSDMPLSYLWLRLLVLRPTETWGFWLGIDERCGALLVSPERMPPSSHPAARRPG >cds.KYUSt_chr4.38891 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240153168:240155678:-1 gene:KYUSg_chr4.38891 transcript:KYUSt_chr4.38891 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSKRCSVGSRGGGGGVLALSLLLVLLLQHAAAPAHGQSPSSSDGVPIAQADLQALRAIGQALAAPRGLLRGWNGTGLDACSGAWAGVKCARGRVVALQLPFKGLAGTLSDRVGQLTALRKLSLHDNALGGRVPAAVGLLRDLRGLYLFNNRFSGAVPPQLGGCALLQTLDLSGNALSGAIPPALANITRLYRLSLAYNNLSGAIPASLTSLHFLESLSLNNNNLSGEIPATIGNLSSLQFLDLSDNLLAGTFPVSLCNVTSLQEINLDGNRIGGHVPDAIDGLKNLTKLSLGRNLLDGEIPATVGNLTRLSSLDVSENNLTGGIPESLSSLANNLGALNVSYNSLSGPVPVALSSKFNSSSFVGNLQLCGFNGSAICTSASSPAAMAPPPPLPAKTRKISKKELVIAVAGILFLFSLLFCCVLIFWRKDKKDSASDKKKGAKDLASKEVGKPGGGGGKGSEAGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMEDGSYVAVKRLREKIAKSSKEFETEVNALGKLRHPNLLSLRAYYHGPKGEKLLVFDFMTKGNLASFLHARTPESPPVSWPTRMNIAVGIARGLHHLHADATMVHGNLTSNNILLDEDTNAKIADCGLPRLMSAAANNNVVATAGALGYRAPELSKLKKANTKTDIYSLGMIMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAGSETGEELVKTLKLALHCVDPSPVTRPEAQQVLRQLEQIKPSMAVSASSSFTGEASHTTATGTTITDDTKSTTGTE >cds.KYUSt_contig_2763.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000484.1:66369:66896:-1 gene:KYUSg_contig_2763.5 transcript:KYUSt_contig_2763.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSCGPDLLDWVGTDISASIFHLLDHPADLVRAAAVSRPWRRFVIDNNLSKSMCLRLCPEVANVAAVAEVTRSASSPAVPVSESDVAIFTHCIGASSTDQFPKETMEHTLDEDYIVNFRPSYWSSGGSDSPDEPGSLTGLQAQS >cds.KYUSt_chr6.22860 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144436242:144441311:1 gene:KYUSg_chr6.22860 transcript:KYUSt_chr6.22860 gene_biotype:protein_coding transcript_biotype:protein_coding MENVRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTSESCPTMTAGPKYEYRWADGVLIKKPIEVSAPKYVEYLMDWIEGQLDNESLFPQKLGTPFPPNFKDVVNTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTNEFGLIDKKELAPLQELIESIIVPY >cds.KYUSt_chr5.37973 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239846054:239847280:-1 gene:KYUSg_chr5.37973 transcript:KYUSt_chr5.37973 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFSMVHPWASVFGILGNIISFLVFLAPMPTFLRVYRKKSTEGFSSVPYVVALFSCALWILYGLVKTNSSPLLTINAFGCVVESAYILMYLIYAPKAARLRTLASFLLLNVAAFSLIVAVTVFLVPQPNRVKVLGSVCLAFSMAVFVAPLSVIFVVIKTKSAEYMPFSLSFFLTLSAVAWFFYGLFTKDIYVTLPNVGGFFFGIAQMTLYFCYRKPGTSALVLPTSIDDAADTAAAAEEAADQAVAEASASSHPAVAMLTVSTLPVLAELQKMELEIGTPTPRRGYIKAF >cds.KYUSt_chr3.42125 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266114032:266116662:-1 gene:KYUSg_chr3.42125 transcript:KYUSt_chr3.42125 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAVEADGERKADLLVRDDAPKLLAALKEMKDGLDLVRSKVESLTRKVRKGQLPTGDGIGYLEAKHHLLLGYCQDLVYYLLRKAKGLSVDGHPVVRSLVEIRLFLEKIRPIDKKMEYQIQKLTNAADSAAAQDKVPDAQPVQQQGEEDLLRYRPNPDMMDTKLAQDGQGNNGGIYRPPKIQPVSMEDRRSKDNSRREEALARKAMDNPYLKEMIDAAADRPEEWKESAGDESKEYLNYMRQREMQEKAEQAMFTRAPVTKREKYMEKQMKQQLNDVQGLTDGFDLGMNMLLDGDKEDDGGASEPRSRSAGRKKHQKGGKKRKRH >cds.KYUSt_chr3.20304 pep primary_assembly:MPB_Lper_Kyuss_1697:3:125043088:125049716:1 gene:KYUSg_chr3.20304 transcript:KYUSt_chr3.20304 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSCATTTMAPSSSHAYGLRCYKCKQQGHRPRECPNILCEVCKVKGHEAWQCTKPRAKTLYFNELQAQATKKLFTPTLHDEDRQGELKGEVEPSLALNNTMAPSIEDDLGGDGVEMVEHGNFPSTKEAHGDEKVEPTPLCFIDELVPIPCEHESHLAHLSESDSELSDFHPICEFECFRLEDMSDTQSELREVDDRSMEDIALANTLTSPSFVSSYVALGSTEDEFPLMETMYMVHEDDDISPCLLQDEHDDHMDPPTSTTPTSNESTYKGTRMTTSTATEHELTKRAIESYPNKDEIHDPTHGIHAKGCRHRQGPREKELPWCKEERRRRRKEEKKEEEEEVGGRGPAGPEAGQTGPQAGQAGPRAGQTGPQTGSTPATTGIVPTPNRETFANFPVAAVPAAAALGGGVTLHVDRKQVMVDNGVVQLTLSRPAGRITGVRYGGEGNLLHYSKGKGGKNTGGYWDMVWNIPGAQQGLSTTLDGSEFRVVTQTEEQVELSFRSTYDPARGNGVRLNIDKRFVVLKGSSGFYTYAILEHAADTPAIDISLARIAFKLNTERFNYMAVSDGIQRYMPRDSDREAPRSSPLAYREAVLLVDPSDPQFKGEVDDKYQYTLDSKDNRVHGWVSSGHPNPIGFWLVTPSNEFKSGGPLKRDLTSHVGPTCLSVFHGSHYVGDDIAARIKDGEYWKKVMGPVFVYLNSNPPKGDPRALWEDAKAAAEAEAAKWPYSFPESPDFHKAGERASVTGRLLVTDSHVNMPARAAYVGLAAPGQPGSWATESKGYQFWTRASNTSGEFSIDNVRAGDYNLYAWVPGVLGDYMHTTRVTVTPG >cds.KYUSt_chr2.19614 pep primary_assembly:MPB_Lper_Kyuss_1697:2:123387173:123387646:1 gene:KYUSg_chr2.19614 transcript:KYUSt_chr2.19614 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGEEFDEGEVWDVLQDRSKEAALAGFTPPVRSRRGVGSKNKGAAVPKDDNDEGTGASARKGKRPPSSSTAPVAIPDSRSSGHSGVDGRRSGQEEEDDEEAGEVLPPHEWLARKMEKMSTVPAASSPEMVRGRSKGREMRKFRDAVLPKTAFSEH >cds.KYUSt_chr4.7286 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43139547:43140053:1 gene:KYUSg_chr4.7286 transcript:KYUSt_chr4.7286 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHASATSLKRKCPDSDSDSEETAVECPSGCGFYGAAATGNMCSKCYKEKVVAASATTAADSVAIAHAASTAPPEKKPKTIVTVASSDGAEPSVASTKQPMPAKNRCATCRKKVGMLGFRCRCEGTFCSVHRYSDKHDCGFDYKTAGRETIAKHNPVVVADKLATRI >cds.KYUSt_scaffold_1259.394 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:2616753:2621078:-1 gene:KYUSg_scaffold_1259.394 transcript:KYUSt_scaffold_1259.394 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLCASSPTFLRSSASALRTPLSSLPFRPDLSCSKLGPARLVTTAGPKARIGGGGSVMDVRAAQSGEIHVIVGPMFAGKTTALLRRVQAEAGTGRTVALVKSDKDNRYGLDSVVTHDGTKMPCWALPKLSSFQDKLGTEAYNKVDVIGIDEAQFFDDLHDFCCKAADRDGKIVVVAGLDGDYKRNKFGSVLDIIPLADSVTKLTARFWIEFEIDFLD >cds.KYUSt_chr2.55266 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344567867:344568352:1 gene:KYUSg_chr2.55266 transcript:KYUSt_chr2.55266 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHRGRLLCGSSAGEGPGTHPIPTPNIASKAKLPRRSRPPPPTRLVQRPRPPCRSRSRHSEEQREMASSCPKFPTSSRRRQRERRRNGDEDEEAKSSGVVAAAASPTTPRKPSHAGAAAGNANPNLTDPKGRQESTPQAPRFGPGGPRRDGRSRGRLPRS >cds.KYUSt_chr2.274 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1618847:1624703:-1 gene:KYUSg_chr2.274 transcript:KYUSt_chr2.274 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAGPARWRWVALLGAVSVLLLVGVLAGGGSGLATFPGGRLRAGTTSAATTVTRAASGARRWLRDSTSRLASTATSARTDVADEDADVPTVAGAVRDPETVVSQVHMSIRNSTARRNLGGYLSCGTGNPIDDCWRCDPDWHNNRQRLADCGIGFGRNAIGGRDGKIYVVTDSGDDDPVTPKKGTLRYAVIQDEPLWIIFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACLTIQYVTNVIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGSSHVWVDHCSLSNCADGLVDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYLKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTTWNGWNWRSEGDLLLNGAFFTPSGAGASASYSRASSLGAKSSSMVGTITSGAGVLSCHKGSSC >cds.KYUSt_chr7.38674 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240723673:240724864:-1 gene:KYUSg_chr7.38674 transcript:KYUSt_chr7.38674 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQTIEVPTDAELLQAQADLWRHSLYYLSSMGLRCAVELEIPTTIHHLGGVTSLPDLMSALSLPPGKMSFLARLMRVLVTSGVFAADIGSESGVELYRLTPLSRVLVHGVVADEHHSQKYFVLGVTSPHYTEAAFGLANWFKKDHEPPVPSSFEDIFGVPLCDEKTPLLDKELDKVVNLGLAAHDNLGIATILRECHDIFKGLESLTDCGGGDGTTARAIIKAYPHIKCTVLDLPKVVDKAPADGVINYVPGDLFHLVPSSQAVMLKLVLHIWNDEDCVKILAQCKKAIPSREEGGKVIIIEIVVEPSLGPIMFEAQLFMDMLMMVNSKGGQRGENDWRKLFMKAGFTDYKIVKKLGARSIIEVYP >cds.KYUSt_chr1.33606 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204150943:204153660:-1 gene:KYUSg_chr1.33606 transcript:KYUSt_chr1.33606 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMSAPAREERPVSVVAELEGTLLRDTCTFPYYMLVAFEASGLFRFAALLALWPALQLLDLLLPGRHLAARCAAFVATAGVPRAELEAVARAVLPKFMADDVDPAAWAAFGACKGRRVVVARDMPRVMVEWFAREHLGADHVVGSELEYSRLRRCTGLVRGGDDDVAQRVRALFADGERPDLGLGRSDVARSSFLPFCKEQLQPPFSVEHDMAMQPKCAPFRPVIFHDGRLVRRPTALMSLAILFWIPLGVLLAFVRITLGLVLPIQALPYIAPFVGGAVITRGYPPPLTNAKDGSPSGVLFVCTHRTLMDPVALAFVLGRRVAAVTYSLSRLSELLSPIPTVRLTRDRETDAARMRAELARGDVAVCPEGTTCREPFLLRFSALFAELSDRIVPVATNYRVGLFHPTTARGWKAMDPIFFFMNPRPVYEVIFLNQLPVEATCAAGKSAVDVANYVQRILAATLGFECTTLTRRDKYRVLAGNDGIVNNAKPAAATKPGWQRRVKEVLGVLAPLRSINHSGELQTS >cds.KYUSt_chr5.9455 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60019701:60021027:-1 gene:KYUSg_chr5.9455 transcript:KYUSt_chr5.9455 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFQGTTTKCNACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKVLLRLPYRPSSNPVPHYVPLRCQFLNFCKRLLGYVYETMRRGDGVSLTQLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPERNVDNENAIKVSSSFAGTREKCFGCSKTVYPIERVTVNNTMYHKSCFKCSHGGCTISPSNYIAHEGKLFCRHHHTQLIKEKGNFSQLENDHEKSSQSAGSVDDEESEY >cds.KYUSt_chr6.10533 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65192907:65193814:1 gene:KYUSg_chr6.10533 transcript:KYUSt_chr6.10533 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDTGSDVSWVQCKPCTAPSCHASAVSLFDPVQSSTYVPFSCSSAPCTQLRRKGNGCSSSQCQYIVRYGDRSTTTGTYGSDTLALTPSETVNDFQFGCSHDEEGLVSQQNTDGLMGLGGDVQSLVSQTAATYGNAFSYCLPKTSSTSGFLTLGAPSDTSGFVTTPMLRSEKVPTFYALLLQDIRVGGNLLGISPSVFAAGLRPSIPSTRASTSQGKTTSPYLVSHLFDGGAIVNLGFDGIIQNGCLAFAATSDISIIGNVQQRTRV >cds.KYUSt_chr2.7359 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46183664:46195599:1 gene:KYUSg_chr2.7359 transcript:KYUSt_chr2.7359 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGGGGGRRASTSRASRRAAPEPNENDDLAAAPSSSSSPSAAAAATHFALPPRSPLAAIADPGRNPRSAPGTPKSLAGTPRASAAASGARDRSSSIGGAAKRVFDPRDLTATHFELDEDPAFWMDRNVQVLVRIRPISATESTAQGQKRCLVQDSSKALSWIGHPETMFTFDHVACETISQEKLFRVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELSKEGNELNSDSGLTPRIFEYLFARIKEEEERRRDDRLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVDNLTECYVSSVKDVMLLLLQGVANRKMAATNMNSESSRSHSVFTCVIESRWERDSMAHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLGEVANGKSRHVPYRDSRLTFLLQDSLGGNSKTTIVANISPSICSSNETLSTLKFAQRAKLIQNNAKVNEDASGDVMALQRQIEELKVQLTCLRKQQNVPGSPSFHLLNSGSDNEYNTSAEDHQSSCDLNLLKQKVSHLEAVLVGSLRREKLAEVDIRKLEAEIKHLSRLVDLKEFDSQRLRAMLKLRDEKLTRLHLLADDLVPSDGYLVDENAAMFQEIQLLQKQINENPQLTQFAFENKRLIEQVRTLQNFHKQGEGEMLLTEISLLRDHFLHILEQKYATPPRNIEAQGDEIVKELNDCRNELDACLENNVLLAREVNKLRCELKQHQESSAHQVAPETERNHGIPEISQMQHDPVGWNFSSLAYNDAEITTNSTESVELKIPFEITSEDQESHSHLHPFDSERCGVNDCTQVPECGDELSQCYSLALGSSHNIFDKGISGHKEDEQILNLQHDEIDQINGNVPNMDTCLHRETSLCHQETEIPSSSKHVLQAELVHIKSTNEELRKKLVTMAEESARLAEIIVAKDVEIASLSEEWEMAIVDLTSFLTDGCRSLDDAYQNIDNMISSFPYSNNTVSEHVEKAMKVSIEKEKIIFRLQIELQAAHRMGREVKEKLHILRGATLAISEAQQLYNDESSQETRENKCQQDCNVEEQNCLFAEAVERKNDMSAQKIHNEDGSAVSEAIPDYQSKLDDVLHLVEDKSNKVLALFSNFEEAQETMKEADLMLSALLKANEELKLEKDSCSEAVELLLAEKTSLIEELQQLEASSSCTAQRNNKLNQQMNECIKEMTNIATLMKGSFHQIQRVTTVELFGLCSEIINFGQELKRWIRDSKSYLVSVVSVLEEKATSAAQHVHLLNANVCTCVCQQVGSRSCEVDDSNPAFLHETQAIPENSREHIISLTDMTWEGFHGIGNVHKKALKHQQICLQNGNTQAGYTADYASLRRDFDRKSDIAEGLSFDLKLLQESTSYAKDIKDKADDVSSALRNVQRELEIKTSETEEMLVKQKALVEELAENGAVLIILRSELELSQDSSSVLLKENGDLRVMLEEETGKTSEIKALLEDKAKVIEGLESEILLLNSSEEGKLRSDVEKLSNNIEILCNQNRNLKAEILKLNDKLEMAMALAEENEAATVEARQTAEISKIHAEEKEEEVTILEHSVEELESTITVLEEEVRNLKEEVRSYQAHKQSEAEFQAVEEMLTLDTASRCDGNEGLCPRKCQLKKILRAEIMAHQDARKKIEGLKTEAKRKDEEIRQYKEHIAELVLHSEAQSLLFQEKDAELDVLKEQFGQLIQNRDSLLDDMDQRKADLLETQLLVEQLEQREQMLEAQNEMLQMEKENLQQKIMEMDETIELLEGSNQVRADTTLRMVNTPHPPIPTLTNPSAIAVPSSETQI >cds.KYUSt_chr4.50336 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311831650:311834814:1 gene:KYUSg_chr4.50336 transcript:KYUSt_chr4.50336 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTDRGGDPELVRNSQRRRGKPVELVDEVIVLDEAWRKSLPEPNPFRIRSPDTPTIILSAAQFDLDKIRQELNKTSKEIGKLKAIDAEDSRCMHAFCGKVVGCIRQSKGSTGHADPKAKIDTPFAVPVTSYPQSPTAHSHPLLLEKSSLISRSLHVTPPAPVTGPRSRASPPLASTASSSFRFLHATGDYAALTKG >cds.KYUSt_chr4.45271 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280368584:280369123:-1 gene:KYUSg_chr4.45271 transcript:KYUSt_chr4.45271 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPFPVPAPVEMEHGDRPVWFTSAAPVSSPAASTEVPHHAPEADDDMGRMSCTMEELLGPQQQEQTLPVVVEEEDFDWDSLDRESEVHLLLKPWDDDDWESEEQTPPVEAEKNNIQQVDTHQPAPSASWELPEDLRNLLADHNDQEALLYMGCSYNTVAAACLHAPSLQGFFSFGAVN >cds.KYUSt_chr3.6230 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35656180:35664426:-1 gene:KYUSg_chr3.6230 transcript:KYUSt_chr3.6230 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQWHGQTLTRSLARVAQPAAALSLALVSAALSLARVAAALFRLLCRLSRGLSLICRTKLEKNTIFPPPTTGSAVLVLDPSSQSLGGAPIMVVVDNSDGCWERELGGDLEVNANARRTTRQEQNDVYLPAEMGIMSKQPTNYFCKTLTTSDTNTHGGFSVPCHAAERVFPPLDAQELIARDIHDNEWKFRHIFRGKFVSVNSPGLVPSTPTSSPCFRNGEANGNGKLVSVVIWTEACQQWPCSGDHNEMARMTSRPWWRMLVGGTSGGARRIVRVCGFGGREKSWSAGPEEKHRSTARPLPAAVDGPALTPTSTAPCPLRPSCPGRSWTQESWRPGSEYNDQGKQLDARIFFVLLLVFAAAVQSAAGRQGKRYNVMDFHAAGDGSTDDAKAIAATWNATCGDSSSPTMVIPGGKTFLLSQIRLNGPCKSPVTVQLDGNIVAPNAIWTTKAANLLTFYRVNNLTVNGSGQMDGNGAIWWSCFNNKLLAFASCNNLSVKNIHLKDSPDKHMTLFRCSQVQVNNVSVRAPGKSPNTDGITMAFSDHVYISNCSFKTGDDCVSILSGTSDVNITNSACGPGHGISVGSLGGNNTTALVERITVSNCSFSKTMTGVRIKSWQGGSGKANGFLFENLNMTDVQYPIDIDQFYCPPGNCQPQDSAVAISDARFINIHGTSSNPKAIRILCSQSVQCRGIYLDNINLSCSRHTARTRATISNAYGTIAGTVKPHVQFLGA >cds.KYUSt_chr3.43063 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272218784:272219905:-1 gene:KYUSg_chr3.43063 transcript:KYUSt_chr3.43063 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHLRSRVLSLLRFPSHLPAAHLAPLHSLLSTTASIPPKSFSVKDFLVTDCGLTREQAIKTTKRVSYPTSLSRPTAALAFLSARGVPRSAIAAAVAADPRILSASVGRVLAPRFAELTEIGLSPSQIVDILTIRRTASLRGNLLFWIQTLGTYHKILVLAKCNCDLLRASLDKVIKPNLNTLRECGVSASDIAGGGRYSSRLFTVKREVLMGAIARVEQLGVERGSGVFPRALAALSFLSKDILDGKIQLLRKLGFSQDELVMILKKAPQVLALSEKKIQRAVEFLMRDVSLQAPYIARRPALIMYSLEKRLMPRHSLLEVLRHKGLLGVEWDYFTIAVMTEKKFVHKFVDPYKSSFPGLADDYASACLGKA >cds.KYUSt_chr1.42753 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261497219:261499104:1 gene:KYUSg_chr1.42753 transcript:KYUSt_chr1.42753 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTISDRYSQAMGYGNLHFGPVANITSRSDLLSTARLSRGMVGMRRVISEDPPLPFDKPALLHSDPEAWPWDRDPKIIDALLMPLDNPIVHAVVPVDVGGPETSDDAKTSDETGPKPETSDDVETVEGLLAGPRVIHALLIEEPANDQAGGDANEDLASLSWYLAALGWNLLWIHTIGARTSSDGDPISHMGRELISYPSLRCHIFLRNAWPWDDPVAPEWIRQDNLQGNSVFVGINFPFFLEPPENSEHADTNEFLPVFKPNCVFATHLHGQDRPQPVPDWCCMHINGRAAAIGSSLNYQAQEHREQMFEAPM >cds.KYUSt_chr4.8462 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50659911:50660889:1 gene:KYUSg_chr4.8462 transcript:KYUSt_chr4.8462 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASPWRHWRRLSAACVGKESRTVWYTEDFRAAASVHHAGPPHRRPAPSWSSCLEVAVLELAFTRVAVRMGTCCARTAARLAAAQRRRRGSAATRTWSSSSSQVTDTIGSSPWTPLLPKLLLTLVEDAVAEAAEHLEVDASATTTASSAVDASQGKLASTTQVSSASTPDITRLIFSVSASTLFASPSESELVRGMASSIVTGALKQVDDLASPSDHAGDHGWSR >cds.KYUSt_chr7.29477 pep primary_assembly:MPB_Lper_Kyuss_1697:7:183446407:183452199:-1 gene:KYUSg_chr7.29477 transcript:KYUSt_chr7.29477 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELSSLQKQRALYHPALPPCLQGTTVKVEYGGAAIAADSGDSHVISHAFPHTYGQPLAHFLPKTANVPDAAVVTEHPVVRVGVVFSGRQSPGGHNVIWGLHDAINAHNPNSKLIGFLGGTDGLFAQKTLEITNEVISSYKNQGGYDMLGRTRDQIRTTEQVKAAMNTCQALKLDALVIIGGVTSNTDAAQLAETFAESKCSTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLIGNVCTDALSAEKYYYFVRLMGRKASHVALECALQSHPNMVLLGEEVAASKLTISDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHDKGVSIENISSHLSPWASALFDFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEINKRLDEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYILGHVSYHILAAGLNGYMATVTNLKSHVDKWKCGAAPITSMMTVKGSRGPAASQIGKPAVHMASVDLKGKAYELLRQNSSSFLMDDIYKNPGPLQFEGAGAEAKPISLCVEDRDYMGRIKQLQEYLEKVKSMVKPGCSQDVLKAALSSMAHVMELLTIMSSPSYNGQATI >cds.KYUSt_chr3.42676 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269862776:269863123:-1 gene:KYUSg_chr3.42676 transcript:KYUSt_chr3.42676 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFRNGVVKLVENPASAAAAAGGVVRRKALLHTPTGEVVASYASLERKLTALGWERYYAGGGGAAGDCMLRFHKRSSVDLISLPKDFGHFSSVHMYDVVIKNRDAFRVIDV >cds.KYUSt_chr4.7787 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46400730:46401272:1 gene:KYUSg_chr4.7787 transcript:KYUSt_chr4.7787 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSGRRLRSSQQLRSPGGGGGPDRISALSDDMLLLIVGRIGCAVDAVRTTVLSRRWRGIFALHWATVSEIVFRDVAFSSLEAALSRVCLCHPSTAVYYLRTRVHGTCIPDERAHSSLLRAASRLRAREFSASLSSHAGTGLCEGSTFVGGCFHNQLPGSVRATCHVYLRPGEYVRRYA >cds.KYUSt_chr5.36419 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230164289:230167203:-1 gene:KYUSg_chr5.36419 transcript:KYUSt_chr5.36419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF296 domain containing protein [Source: Projected from Oryza sativa (Os09g0491708)] MEVRASAEQQGVMAGREPFGLPNSPPTPPSSGPMHGTMRMAYGPDGTPFFAPVSSAPPPTETYQPVGGGAPVPDSAAAGGNGSPAFLVNSMDDSAKKKRGRPRKYGDDGSMALALVPVPNPAEPAPGTFGPFSPSPMPAAGTALGVAPVGMKKRGRPKGSTNKPKPPPSPLDFIALAGAGFTAHVLHAQAGEDVAAKIMAFSQQGSRGICVLSANGAISNVSLRQAATSGGTATYEGRFEILSLSGSFLVQEMGGHRTRTGGLSVSLAGPDGRVLGGGVAGVLIACTPIQIVVGSFSPDTGEKKAKKQHAAPPEPASAPPKLAPIAPVPIGVGMGPSSPPSRGTLSESSGGPGSPMNQGGAATATASNSNNSQQGGLSSMSWK >cds.KYUSt_chr1.10934 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66876898:66880349:1 gene:KYUSg_chr1.10934 transcript:KYUSt_chr1.10934 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTQAVENLKKEWSQAVAQLEVCVAAIESCGKMGKGTEEASSLPRLNGSAQDSLQQLNALQFRLDLLVEQLPTFEEVQSGRATLGSWKEEFQKLLSNLRNANLQAKSNIKKAAQVERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERSANTLSTFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILMIGFLIFSLAVLYIVWRRIGLLALQRKLADAIRSGSISTEDVVAKVQHGRAPANPLTAPPIYDEL >cds.KYUSt_chr2.35941 pep primary_assembly:MPB_Lper_Kyuss_1697:2:222092687:222093385:1 gene:KYUSg_chr2.35941 transcript:KYUSt_chr2.35941 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSHFFSASSSGKKKKSTASKRQHVDSANTPRYLGVRRRQWGRYAAEIRDPATKERHWLGTFDTAEEAAIAYDRAARTIRGAQARTNFAYPDLPPGSSLTPYLSPDLSADQLQHLYANPLPAVQTPAQAADHGIAGNESSYHRAAMPYGDDSNAGGGGGNAGLDMFGGNVAGDGSWCHASELEFDAYDASESHSHGVYFDDGYVHSPMFSPMPAADEVDGFQLGGSSSSYY >cds.KYUSt_chr2.8014 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50344794:50346473:-1 gene:KYUSg_chr2.8014 transcript:KYUSt_chr2.8014 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGKPLVMTITAQLRSATRPAHLHQLHAAMIKASLFPYHALPTARLLASPLAPLPYALSLFAAIPCPTLFHHTAILRALSAAPSFPSAASASLAVLASARARLPALDEFAFQPLVALCAKNPRDDAAASLGRQVHALLLRYGFSGVVSLGNALCHFYCCLGDTAAARRMFDEMHDRDAVSWNTMIGSYVRAGEICAAVEMFGAMRCSGVDVSAAAVVALVGCGWRGESVHGFSVKTGLCQDVKVAAAMEGMYFRKSDIGCAKKVFEEATRRDLVLYNCMVDSCAKAGQIEEAMALVRRMIQEGVRPSTGTLVGVLSACGASGATAAGRRIHELAMELGLELDTALGTSLVDMYFKCGYPSEATAIFYAMRDRDVMAWTAMIMGLGVNGQSGAALSLFHAMEQDGVAPNEVTFLAVLNACSHGGLVFEGKKHLESMVQQYGLSPDTEHYGCIIDLLGKAGRLDEAYELIKSLSSHGDAMAWRTLLAACRVHGNVELGRMVQAQLDAMGNYHPSDTILLSNAYALEGRWDEIAHVRDSGGQKMVMDKKEAGCSSIEVSS >cds.KYUSt_chr1.1372 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7471092:7472288:1 gene:KYUSg_chr1.1372 transcript:KYUSt_chr1.1372 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAILAVLASLLGAVSGEFSIYAGYGFPPPNPSVPYPLPPACPPLSPAPGLKVGYYADKDKCPGAEEIVREVVEKATAGEKAGLIRLFFHDCFVEGCDGSVLLSGTDTERTAVPNLSLRGFELIEEAKAKLEKHCPGIVSCADIVAFAGRDASYSLSYGRINYRVPAGRYDGKVSRANDTFQNLPPPFGDLALTTAMFAAKGLNQNEMVVLSGAHSIGRSACSSFPDRLPPAANSSTAMEPKLAGQLTATCSAGSSVNVPQDAVTPDRLDNQYYKNVMSRDVLFNSDASLTTSSQTEDLVEFYAGNLPFLGGKFLGPLAWYHDFEDAMVKMGYIGVKTSAEGEIRKTCASINKP >cds.KYUSt_chr3.19380 pep primary_assembly:MPB_Lper_Kyuss_1697:3:119294305:119295441:-1 gene:KYUSg_chr3.19380 transcript:KYUSt_chr3.19380 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKLATLALLLALLGCVAHTCQASYGYPYPSSAPAKSTPPAAPALSYAYYYKTCKGAEKIVRDVVQAEIKRNRGIGAGLIRLFFHDCFVQGCDASVLLDKTQANEQTEKFGLPNIGSLRGFEVIDKIKTKLEAKCKGVVSCADIVAYAGRDATYFLSNKKVYFEMPAGRYDGRISSANETLFNLPPPFADVTLLEAMFAAKGLSLDEMVTLSGAHTVGISHCSSFGDRLPRNASDPKAMNSRYAKSVTMKCKSGSSTVDQDIYTPNTLDNRYYKNVLNHEVLFTSDAALESSKTKYLVKQNLKPYLWEAKFKKAMRKMGGIGVKTSANGEIRKNCRLIN >cds.KYUSt_chr2.5371 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33301003:33303591:1 gene:KYUSg_chr2.5371 transcript:KYUSt_chr2.5371 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVCVLSGSEGVKGTIFFTQEGDGPTTVTGSVSGLKAGLHGFHVHALGDTTNGCMSTGPHFNPAGHVHGAPEDEIRHAGDLGNVTAGEDGVAPINVVDKHIPLTGPHSIIGRAVVVHGDADDLGKGGHELSKSTGNAGARVACGIIGLQG >cds.KYUSt_chr5.38835 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245853036:245853505:-1 gene:KYUSg_chr5.38835 transcript:KYUSt_chr5.38835 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKDFYRQKKKGGVAKTSSSSKKKTGASVGASNPAQMPALISHGSLDLKDDFSEQEEQLRQFDLDMKFGPCIGVNRLQRWERASAMGLQPPPHLRDLLTQASSVKNRNTECLWEGKI >cds.KYUSt_chr6.28562 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180891425:180893005:1 gene:KYUSg_chr6.28562 transcript:KYUSt_chr6.28562 gene_biotype:protein_coding transcript_biotype:protein_coding MRGELRLGLPIEEELHLLRPHHFLHRRKRMGCSHRLGLGTAGNHLLICLAEYLARTFGGTTSTPVPAVDWLIGLVVTMSSGEGNQLPMTRHQHWIATQAVHAKLNQFEAMIKDTNVRYEERAQVQRIDLNNQVQDLNEKIETQGNELKATLTIQGLRALIVQGHELLHRLTMIPMKTNATIMWMFKCFTNKLKKRSKLDFDLSKNVNALKKSAFNKRISMFNSNKSKNAFDMIKS >cds.KYUSt_chr4.21639 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136269247:136269984:1 gene:KYUSg_chr4.21639 transcript:KYUSt_chr4.21639 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCDVNHLMCSFCRGAHGESCGRTVAHSTLADDFAAAVFVPCDYEKHGCKVGGVVYHEAAYHRRACQHAPCGCPERCGFSGSLQNLLKHVSEHSRAIFVIHYGQPGAISLPLSWRWQVLVGEDDNDADRRRSVFLLSASERGAEEVEVSLVCIRADGGVPPQFSCKIAVEHSDDGTRQTLESPVMKSSSLSSIAPAPGEMKCLRVKKDYLTGDNVPVTVYIDRLAHPPPFSPKSPAFSPQPSSI >cds.KYUSt_chr2.14122 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89363791:89366830:-1 gene:KYUSg_chr2.14122 transcript:KYUSt_chr2.14122 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGAGPKIFSTSPRRCLMAKMPEDKIPENDLQRKIMKIRAKMPDPSPTPDGLNRYPKTAKPVSSGEEYRNRIEKGRSQRDLEAFIKQWHNYKEDQKEKRDYKQAQTLCTTDLESYRANAIGSSSNATASTRLDAVKHNAAGDMATWGEVPGANYIGAEGPPNRHNAWRFDFTCKRRPGRTDADDEGGSSRRTTILDGSRCRAAMIPFPGAASALPCCGWHWGISSSTFEERASESSSFNTIFSCQSLCKFLAAITTIALQRKSEEGKNR >cds.KYUSt_chr1.2558 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15253606:15254688:-1 gene:KYUSg_chr1.2558 transcript:KYUSt_chr1.2558 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFALGLTKTAVEGTVSRVKSVIEEEAKLKVQVQHDLLFITGEFQMMQSFLNVTNSDRTKNETVRTWVRQLRDLAFDVEDCVEFVVHLDGKSAWWWRILPSCMAPPLPLDEAVAEIKQLKARVEDVSHRNTRYSLIIGNESGSSSIKPFAISATAEKPNMVAVAYDILKEVWEDTGKKPEDSISSLKTLITNYGNDLQVVSLWNRSEGAAHVGEIYNIINEAYCDKEICQEFTIRAWIKLMHPFNPDEFLKSLLTQFNATSSRLQANNFREKMKSPMEDNLIQQVSEHRYLVILEEVSTVVEWNIIRMYLPDNHNGSRIVVSTKKLGIALLCTGEPYQVSEIRRFSGDQYICAFFKVHL >cds.KYUSt_chr6.704 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4518003:4527852:1 gene:KYUSg_chr6.704 transcript:KYUSt_chr6.704 gene_biotype:protein_coding transcript_biotype:protein_coding MATACSLLVVAAAFLILPLLGSCAVSTHRLSRHAPVMLLGGDAGASAWDHGGRSTVREGREDSSPAAAAAAAAPPLDDCLRLLRGERDEQKLAGLLIAANVCRAGDAAAVAEVYRAVGPRFLRRLLNTGLGKVEGGKEEEREAYLRLAVTVLAGLARVPEVAADEGVVSTVPLVAEVVSKSPDLTITEECFELLSLVAIASDDGAHKFCEPGIIDMLFGQFSSFPDVSSEKLQALLLAECMMSILGESWLSEDHKILDNKNVMSVDKFVLLVLESARVEVAVLLNELAFSKYESSKSSQIDDAISQKQRNLAILFSLIERIIKMISDASGGEGEPSQTIHERTIIQAIKGLNETISLVLDFLQDAKDHGQRKDDDLLAAVRIVGSYLAETPYACQEKTGRLLEFIFSIEGTTDASSVIMTACSLCTMVMELTSEEFLLSCSDFDPKTLGSLSDLIVRSLHQDIPDGDMEQLNEKQIIVSGYKRWADRFPRVRNVVHQHVSV >cds.KYUSt_chr1.38234 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233661484:233662148:1 gene:KYUSg_chr1.38234 transcript:KYUSt_chr1.38234 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFVRWGAVERVMHGGAMEEQARRRRWLVRELSLVALLLPPRELLKQSSSPAAGKKEQGVRKVPPSKEKAAREPTLMLFDLLELALNRVLEEVSPASLSAMACVCARLSRSGPSGHAFVHCQLAIQTGLGALFLWFTRELTIS >cds.KYUSt_chr4.49156 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304418404:304419477:1 gene:KYUSg_chr4.49156 transcript:KYUSt_chr4.49156 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLVDILLEHNNTFHRGQNGWSSETWNVMVKLFLSRHPYLRMEKSQIQDKEKDLKRDYRMLKEARMQSGVGWDESQFKLQAEPHLWENLEISFGTRIKRFKTKAFPLYDSLGQLYEGSIAEGNFNFTSTSTTSREDLTQVISDDDEPEGDTEADIPERESEDEHEVMDPAPSAQSMTQVEHQVKGKRVAAARNKLPKIPKRSPKKRNSDGIVQVMERMVQVREKEVNQEQPLQKFSITRCMDALKTLDGMTGSIKIPALDVFRIADNREIFLNLVDDKDDKDGTAMTWLLGQIAKLP >cds.KYUSt_chr5.15254 pep primary_assembly:MPB_Lper_Kyuss_1697:5:98519282:98527375:1 gene:KYUSg_chr5.15254 transcript:KYUSt_chr5.15254 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRDRLAKERNHNIQEASHVRELAQQRECPIVKDLAAETRPSKRLPTSDLHKSELSGLESKKRAPTPLASKRFISQVNPAFHIESTRCSVQNQHYRFKDFDNIEYADTYSKWSIHVRVDVKFLPNKYNDNICFVLMDKTMGDCGEFTMMATPEEGDDDLFGDQLVTLTTSLGLPPPEFRGKQLPPTAPGDHRWIIEGNVRGRLVRTETQDIVFYKMSPNWEIGVEMAMQEALARTVQTYQHEMSQGSSFYAFGRRFEDGTTNRTAGDRVGMDYRVIQMEDLECHIVNLEESLTAEMRKNENLRVTIEKLKRGNTELTMELCDMDDKLIARDTEITELKNAMTPKETPAVPHDQDTQNEEEDPEERIAFMPNGEELEIVSEEEDTPTGNTPPHQRRTISTRTYHALLNK >cds.KYUSt_chr7.19499 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120873022:120873246:-1 gene:KYUSg_chr7.19499 transcript:KYUSt_chr7.19499 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLDLILKLPPEKKMLSIAFIWSLWTERKKANRGERRLSLSELLFPVRAHCLEWHLHLRKKMTAEGHCCLGSA >cds.KYUSt_chr1.1431 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7781504:7785038:-1 gene:KYUSg_chr1.1431 transcript:KYUSt_chr1.1431 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRKFGKSPAQAPAKKAKEEDAEEGLFSSRSFADLGLHPTLCAHLQDKMGFQAPTRIQAQAIPVAMSGQHLLVKAATGTGKTLAYLAPIVHLLQMREPRVERTHGTFALVIVPTRELCLQVYGIAQQLVHRFHWLVPGYVMGGESRSKEKARLRKGISILIATPGRLLDHLQHTSSFVYSNLRWIVFDEADSILELGFGKAVEDILGLLGSRIDASGQNKSKTDHVQRQNLLLSATLNEKVNRLANISLKNPVMIGLDEQNKPSERSRALGKKHTSLLSDDEEEIPVKHNDMVQHAVDDFKLPAQLIQRYVKVSCGSRLAVLLTILKSQFERQVSQKVVVFLSTCDSVDFHHTVLSQLEWSRGLALDAENKQKFLSCKVFRLHGSMDQEDRKKSYLGFSTEKSAILVCTDVAARGLDIPKVKCIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPVEIDYLRDLELHGVSLTEYPFQKVLDGFPLNGEKPLKRKPISLDMHPWILSVQRTLENYIASEATANKLARDAFCSWLRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLVGRSHQVQLKKRKKEQKRERPNNNKRRKLHAKK >cds.KYUSt_chr5.30057 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190587188:190587736:1 gene:KYUSg_chr5.30057 transcript:KYUSt_chr5.30057 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDSRRRWKETEEALEVPQREMRPREEAAGNEEEGHMMGMGRAPAVGVGVDDDDAMFPAVFAVRGGEILDTNYNPESSCKSLLTGPDFGLRIPSDKVGLKIVSTLSCLLCVFAQDSSTI >cds.KYUSt_chr6.2798 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16454216:16460609:1 gene:KYUSg_chr6.2798 transcript:KYUSt_chr6.2798 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWRKAKMSLGLNLCVYVPRTLDDDGGPPSTGSSAAALVSPAASSSCATSANTTPTADPGSARGSGALMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTIMKPGQGHALFTAECSHTFHFHCIAANVKHGSNNCPVCRTEWKELPFRGPMVAEIPQGSARINLVNGNQNSGQMTLLRPLPRARSSGRLHHVTCLLPDTDRSIFNDDEPLDLSEAAEDRQQGCSRTVEIETYPEFTEIPESTSERNFTVLIHLKAPLAQNLLKPGNLGGDNGPNMGRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTESGRQKSLQAVNSLTSNGGTNIAEGLRKGSKVIEERQAKNPVCSIILLSDGQDTYTVSPTTGAHKVFAGSPTAGAQKASPTASSQKAAAEYCALLPSTNGSQQVPVHVFGFGADHDAASLHSISQTSGGTFSFIETEATIQDAFAQCIGGLLSVVAQDLRVKVESLHPDVHFGSIRSGSYSSRVADDKRNGSIDVGDLYAEEERDFLMSVNVPQGCGDETILLKVGCIYKDPLMKETINMAEVQVKISRPAFVSVQTVSIQVDRQKNRLHAAEVMAAARFSAERGDLTHAVSLLEDCRRMIMTSASGQSGDRLCQSLDAELKEMQERMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLVQSYQTQSMVDMVLLSQTLTRSSTPRQTPQMRHAKSFPARPQPR >cds.KYUSt_chr4.50876 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315401925:315404728:1 gene:KYUSg_chr4.50876 transcript:KYUSt_chr4.50876 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSPDPCPTRPLSRQGGGAAAPDHSPLAASSQAPPMAGVAPAGADPFISPTEALAQRAQRLPATLLFPAQPFAAPSAAHDAGLRALDLLHFVRLDLSASGAPRPDLVAELIANYRCKPGSDRGWSSVRGKRIEVSSEALAIALCLPVGRATTSRSAAGDPAVVASAAQEFAKVYILQRGVKDAALRAVEEGRAHDIDWTGLIWRQVKVEIKVFMANQSADRVCYHGAHLQRLIWVQRPELFRRPLEERRSNHRSLVGDMQRPTLFQLRPQQQEGRGIKRAAANENQKPCLEFDMPEDRPRPQQINLASKKYDAASKNFDSASERFIVASKNFFPASMMTHTKSKKSDMAVEGFDAASEKLDMASKMMDAVSKMIEATSKQLDARGKQIGEQKGKLDARAKHLGEQEGKLDVRAKQLEEQEAKLDAGAKQLGEQEGRLDARAKQLDEQEGRLDTRAKQLGEQEGKLDTRAKQLGEQEGRLDARAKQLGGQEGEVNATAEQLGEQEGDMQAMELLNSALVTKERESNDELQCARKMLIEALQKCTNGRSHIGVKRMGELDPRAFAKACTSNVHHEDAQLNSAVLCSKWQAEITNSKWHPFRIITVDGKPMEILLEDDKKLRELKEQQGEEIYRLVTKALYEINEYNPSGRYVEPVLWNYKESRKATLQEAILFVLKQWQSHKRKR >cds.KYUSt_chr1.33395 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203040978:203044237:-1 gene:KYUSg_chr1.33395 transcript:KYUSt_chr1.33395 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAGEGEELLVRSVEEGGELCVGERSWRLNFDGLRRQESEQHKPPRSLHDCLGMLAQRPGDVLAEYYNQQLEMLEGFSEMDTLTDRGCLPGLSKEEREKIARSETLAIRLSNIANMVLFAAKVYASLRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKRRMQPLGILVFASVMATLGLQIILESTRSLISDGGEFRLTKEQVMWVVDIMLAVTLVKLLLVMYCRSFTNEIVKAYAQDHFFDVITNIIGLVAALLANYFEGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEFLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPCDMPLQEAHDIGEALQEKLESLPEIERAFVHLDYEFTHQPEHARSYDT >cds.KYUSt_chr1.33511 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203671320:203673982:-1 gene:KYUSg_chr1.33511 transcript:KYUSt_chr1.33511 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTQASLLLQKQLKDLAKNPVDGFSAGLVDDSNVFEWQVTIIGPPETLYDGGYFNAVMTFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWRENRDEFKKKVRRLVRKSQEML >cds.KYUSt_contig_319.132 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:931101:933952:1 gene:KYUSg_contig_319.132 transcript:KYUSt_contig_319.132 gene_biotype:protein_coding transcript_biotype:protein_coding MGETAAPPRLSTLPPTSPSPTTFQTPATTLPLVLTPGPTATSPLPGSPSLCSDSDRGKDAAADPLLPLLQSPAARPASASSYAALPATPFIGLDAALQGMLHEGGRGAPSDSSPAGSVSPVRGQPSLALLAASAGQPASETAPSLSRCIHTPTTRLEDPADGRVWQTSRPKHRRRELPVPASGRGSNGARASGPRPSSLPLAFKKDLRGCCFRCLSSKHFASSCRDPIRCIFCRRCGHTERHCRRRREKLRARASPPPSATPPAQAPHRAPPPPPPPTPAPPTFAMATRGGAAPLRLFESHSFVVATPPMEHMVKALTTSALVGTLSESRDVSPDAAARALERELGIPWAKVVVTKHAPEDFLIRFDVPNHRRIALEAGSLPCRGVTLSLKPWSPTARGIQRTWRFYCRLAIEGLPQQSWTVDAAQQVVAGKVIVDRLEQQSVERVNTSACFAWGWAWNPDVIPTSNTFSIIERIDLSGRVVCAEGVPPVPERGGATYPVLIHLDTSKESAGPNGVVPPPVRYSWALGVEDTRASQPPRPRGGAHERLQPRRRDDDADDAGAGQRASARARARGQRGGAGRRRDEAAVDSPPACGSESAPSAPVTCAVDEHVLSTELVVPPPPAEPWLLLGEPVPPSHVSLGSPVQDLAACQFDFDADRCWSDLTHVDPMLLEDGASRMPAIADAAVDWPLLHSGSDCELMELALPPPVMLGEPVATDGWSWPVPVARSSCMVSPPPLHGLLQLTLGHVGVGRADDEAFFEAVGAFGPAAPPPATVMGYPLPLVDDVARQLQCLELGGGTSFLDGLFSRPEPAVLGAPHSVAAADAVAVQPPLSGTPARRSARIESLGLGSLTAAERAQALLAKQLDFIDRVQDHTPKVRGRYVDRFKSPLGRKAVTKLARIIGLGSHASIALPDEDLQACLGAEEVGA >cds.KYUSt_chr4.34868 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214156219:214156902:1 gene:KYUSg_chr4.34868 transcript:KYUSt_chr4.34868 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRRSVAYFAHDPSHRLLLAPDADDDGEFTCDGCQVVGAGPRYLCDHPGCGFKLHEVCARRFPRSLKSIVHSKHRLKRRESAGGGDGSKCEVCGEDVKGACYGCDAGACASATVVVHPLCVHLPPVARGSTAAHPGGHDSWLVQKASEGGAASTCAACGRGIDGAWRYRCGTCREDVHPRCLVPAVDQCRGGEASAVAMGKRCCLSAENDVIGCLNISYYYRGSV >cds.KYUSt_chr4.18047 pep primary_assembly:MPB_Lper_Kyuss_1697:4:113131870:113137348:-1 gene:KYUSg_chr4.18047 transcript:KYUSt_chr4.18047 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAAPDLSLHISPPSATNVGGDEMAITAESTKLCLGLDMVTAPRNGHSDLQQRLHQPSQIPRFKKSSCDSQAGSSGGAARSGTGAGGKKSSRAPRMRWTTALHAHFVQAVQLLGGHESHGQMRDMGFPRRGGEVDSFDVFGNITSRRQPWTSRPVEELPYLQQQASGGMQLPSPYLMSIQQYFMKQNQGWRGGGALQQDGALQRPGQDKGQRLRVDAGHDETDRIRSSGAGLAKRWSSSSSSPSLSPSLQLNGRSAGSAAEQACTKQQHAPSRIPSLEMSLGRQGWQNGMEQQQRQGQRSVESSASKELTLLKCL >cds.KYUSt_chr1.29174 pep primary_assembly:MPB_Lper_Kyuss_1697:1:176564170:176570822:-1 gene:KYUSg_chr1.29174 transcript:KYUSt_chr1.29174 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIENEEAVARCKERRQHMKAAVAARNAFAAAHSAYASSLRDTGAALSEFAHGEGVPPPPPPALAAADPKPGGRLSASTAAAAPAPGPIDEEMPPPPPIDTMLPPPPPLPEFSPSPGKIHRSMSMPMPPKAEARGPAAMLHTDSIREEDEVEEEDEDDATDDDDAQLDNRRRRLRHRHQAQPASSPPPPETPITPQPPPPPPPQLDPKSGSVDTWDYFFSMEEGMAASLATEDDEIVPDPEDNHYIPASPPPPPRSPPPPPPPAPIPSSYDNDDEDDEPRTPEMATPPPTLPPKHPKKKKGKGKAKAKTKEAHHQHTESAPPVTLVAGWGKPGNGKVAPAEAAPRVDLLRVLKEIDDSFLRASESAKEVSTLLEANRMHYHSNFADNAKGQGVLKLFQWNAHNLVWLTIALLALSILGHIDHSARVMQIITWNRSFSGLQNGDDGKDDFENDPSETLATVVDKILAWEKKLYDEVKAGEIMKLEYQRKVALLNRQKKNNATIDVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYQKLLDLADRMAKMWEDMHMHHANQLKTVLDLKSADISDSNIETSAHHHSHTRQLRDIVEKWNLNFSDLMSHQKEYINALYSWLKLNLIPIESSLKEKVASPPRMQQPPVKALLQSWNEHLAKLPDDLARHAIISFRAVLDTILSVQDEELKQKENCNQLRKEYSRKARAFEDWYHKHGQRRTFDDPESGEGTSQKDAISEKRFAVESLKNKLDDEVEVHNKLSKQVREKSLSILKAHLPELFRALTDFSSASFGMHSQLRLMSLMQDQGSHN >cds.KYUSt_chr5.8836 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56006398:56009290:1 gene:KYUSg_chr5.8836 transcript:KYUSt_chr5.8836 gene_biotype:protein_coding transcript_biotype:protein_coding MQASMGSSVPSDARPSKLFGPCDREQVANWLHKNDSKVKQNYGGNGSSSSWAETPLIVCFPSCPAHLYPEPSGSPDSVSAPRTHGGGGVALTALPSARHALAFPPYDGPRRLASSPPPLKFDPLDLPGFPTLDRHRRSPLLSQPSNAYRSLNTDCAAMLCGCGGHVEAKHAYCLCPVTVKAMLRNFPQARRLLRRMGFEKGDEYFFKQMGKGMLCTYALFGAAWVWNETSPLGWWTLKPRPKEEKEMAHLYERREFPYPGDEAAVEEFIKSGGALGTTIGPKGFADTNMDSDNMQKQLQSKKFEQEAQKLWFRMRNEVVQELEEKGFDVQ >cds.KYUSt_chr7.8864 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53879358:53881392:1 gene:KYUSg_chr7.8864 transcript:KYUSt_chr7.8864 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Endoplasmic reticulum stress respons [Source: Projected from Oryza sativa (Os06g0622700)] MPRANPKPAWHIRSLPLARPRHHAAWVPPVPSNPHLPRTFLSFLPKQATELHASVYNRRSEYTEAKRSHHHISPPPMDADLDLDALLASFAGESDLLVPSPPDAGAGSPESVTSRASPAGGEALSEIERFLMDEEAAAAEGVEGVSVDEFFDALFDGGEGGEAGGSTDGDSGRVEDVVEVVTPETEAEAEVVETPETEVDGDDPISKKKRRQMRNRDSAMKSRERKKSYVKDLETKNKYLDAECRRLSYALQCCVAENMALRQSMIKDRPVGAPTATQESAVLTETLPLVSLLWLVSIVCLFLMPGLPNRSPVAPRSAERELVMVAGKLSSDKPETLELLLHGRRCRGTRARIKLDTSPLHAAAAC >cds.KYUSt_chr3.27645 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172648164:172651740:1 gene:KYUSg_chr3.27645 transcript:KYUSt_chr3.27645 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVLADAATGRLLIPEISRPPARRRRRDGASAGGDSSSSAAADDEDGTEVVRLRTRRGNEIVAVHVRHARASATLLYSHGNAADLGQMYGLFVELSRRLRVNIFGYDYAGYGRSTGKPTEYNTYADIEAAYNCLKEKYGVPDEDIILYGQSVGSGPTIDLASRLPNLRAVVLHSPILSGLRVLYPVKKTFWFDIYKNVDKISLVNCPVLVIHGTSDDVVDWSHGKQLWELCKVKHSPLWLSGGGHCNLELYPDYIRHLKKFVSGLGKKSTKPDVKEVTATDDTSKKDTEPASVDKPQEDAKCRQISRKSLDSRVGKSKTADAAEKPRMSSDDVDKFRRRRCLVW >cds.KYUSt_chr3.18122 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111284646:111288823:-1 gene:KYUSg_chr3.18122 transcript:KYUSt_chr3.18122 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQSQAAMAVAAPPPPARAHETVGGDAPPKQVAQAMERLGRAGRIIADIRLGADRLLEALFTAASAPPHSAQQHIERNERVVVEEEVSMHRHFDDLRALGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEDEVLSHLPDDESGIAKRPRLSPGNGELEEKTLSEILKNLENEVPNMKVFTYRRLDWSKRASSLASLMDDDFVDPSKELNLHNMGKSRPGSITTPIDQVAVIELLVPSIFRALVSLHPAGSTDPDAVAFFSPAEGGSYLHARGVSVHHVFKHVTEHADKALQYFVSTEPSKALPLLLRWIADYQTLFTKLCSKCRRLLLMDKSLALLLPPVHRPYHQTSNVGSDHHEAYHIGCSSYDA >cds.KYUSt_chr5.1399 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9670865:9673445:-1 gene:KYUSg_chr5.1399 transcript:KYUSt_chr5.1399 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAFQVAALSATSSRLSMSLSVCPGKCGDVLIPYCIGIGDDYAATSLNSYFTVTCNSTFQSPQPMIGDPLTETIDISLFFEMGHPSLCINRCIRLIDISLERSEMRVYSPISYNCFTSNTTVMDNNTGGFDLVDAPFIPSTTRNRFTVVGCSTLGIIGRYMHGNPDLYVAGCYFYRQGINPGRPPEPHALGRAAVKPPSLQTSPTLCFRQQDLVGHLGFINNRAKSHELTDRSDVYSAGDVLLELITRKKAIYIDSPNEQKALSHAFIVMFHQNKLHDMLDYDITEDEKLAELFIHCLSPRGDERPKIK >cds.KYUSt_chr7.14522 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89763459:89769551:1 gene:KYUSg_chr7.14522 transcript:KYUSt_chr7.14522 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPNLLRFMKPFIEPVHIKKYAGQRVGIDAYSWLHKGAYSCSMELCMNPKSAGARRYISYFMHHVNLLRHHKVVPVVVFDGGSMPCKAATDKDRHKKRELSLVLGKEKLKQGNTAAAIDFFRKAVQITPSMAYQLIQLLRSENVEFVVAPYEADAQLAYLATLDADQGGIAAVITEDSDLIAYGCSAIIFKMDRFGKGEEFIMDKTLMTVKDGLSFQDFDQNLFTGMCVLAGCDFLPSISGIGTKRAYSLISKHKNIDSVLSTLTLDKRYSVPDDYTDSFWKTLAVFNHARVYDVKSKSLKHLKPLEEQYLHYLAGDLDILGPELAPSMARAIAEGRLNPITMETFDKFSRTISPIEFIDTSTLSVINQYGSQEILTQESSITICSSQESKDDTIEFATDKITSGERKGNKGALALGKFLLPSATKKHSSPVLERNQVEQKNTPENNPFKKRKLPTDHGQAPDQSELLIDLDDEEPVVLCSSLSPESFSQAESQDRNEVSAGLCWPLSQGSVESGPNGRSSKRQNHLKAFRDKTNKKVTERSGILKFFVPL >cds.KYUSt_chr2.32575 pep primary_assembly:MPB_Lper_Kyuss_1697:2:200954202:200955640:1 gene:KYUSg_chr2.32575 transcript:KYUSt_chr2.32575 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATPVVVPRMKLGSQGLEVSAQGLGCMGMSVAYGELKREPDLIALLQHAVAAGVTLLDTADLYGPHTNEILIGKVVAALQGGVRQKVELATKFGVLVLADGSWDVRGDPAYVRAACEASLARLGVDCIDLYYQIRIDKNVPVEITMGEIKKLVEEGKVKYVGLSEASASTIRRAHAVHPITAIQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFLSTGPKLVDTLTDNDFRKYLPRFQPENMEKNAAIFEHVSEMAARKGCSPSQLALAWVHHQGDDVCPIPGTTKIQNFNQNVGALSLKLTPEEMAELESFAAMDVVHGDRYLNIHPNTWKDSETPPLSSWKAT >cds.KYUSt_chr1.29256 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177042085:177042954:-1 gene:KYUSg_chr1.29256 transcript:KYUSt_chr1.29256 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLAALGFVVLLSIGFTNASRMLASSSSASGGGGGGGGGGGSDGGSGWGSGGGKGGASGYGGTFTYGGSQNNYAQAAGGGGGEGAGGGSKGGAGSGSGSGYGSGTGASGSTSAPTGNGYANANGNGGGEGQGAGADGTSGKGSGEGGGQGSGESGVVLAPAPGAAGVSYSDAGGSGTGGGGGDNGNGGGKGAGAGQASSDETSGGSASGQGSGDGGGIVKGVAQGPSIGVGSGSGSGGGQTGSSGTSGSGYATGEGAGGGGGAGSSDNGGTGSGGGTGSGSGSGGYH >cds.KYUSt_chr5.16440 pep primary_assembly:MPB_Lper_Kyuss_1697:5:105731281:105733125:1 gene:KYUSg_chr5.16440 transcript:KYUSt_chr5.16440 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGSISFTSMSAILLVSNSCGSWRFLLNSSVFGGARANISDDVSIVLQIKRMGKGDGLQEDDDRWVHMEKNNKLKVIDWLEQCARPLVHLVVVALAVQSFFDVLLVFKAYPLMNPLFRGLR >cds.KYUSt_chr1.30145 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182460036:182462629:1 gene:KYUSg_chr1.30145 transcript:KYUSt_chr1.30145 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGDQVESIRSMQVRQVLSQIISLGMIVTSALIIWKGLMVATGSESPVVVVLSGSMEPGFKRGDILFLTMSNEPIRTGEIVVFNIDGREIPIVHRVIKVHERQESAEVDILTKGDNNFGDDRLLYAQGQLWLQKHHIMGRAVGYLPYVGWVTIVMTEKPIIKYLLIGALGLLVITSKE >cds.KYUSt_chr6.30795 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195172764:195173489:1 gene:KYUSg_chr6.30795 transcript:KYUSt_chr6.30795 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLAALGFIVLLSIGLANAGRVARYSSSQGTGSGEGGGGGEVSGGGSGSGSGAGFGQSSSSGAHASSGGGGEGSGHSQPGGTGSGSGSGAGSGYGGYNGEYYNGGYTEDPVVGLVPAQAPVQAQGPVSAQAPLQAQGPVSAESPMYIYESDVGESSSSGGSGGGGGGGQATGGYYGSSGYGSGSGYGSGSSDASNNYYYGYRNANAYSNGGGSGSGQGTNGGNGGGSGAGSGFGNTNP >cds.KYUSt_contig_2742.43 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000474.1:185806:187323:1 gene:KYUSg_contig_2742.43 transcript:KYUSt_contig_2742.43 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEFLPHGRGDAVRRHFLIVAFGVQSHINPCRVLARRLAQLQDEDGSGPVLATLSVPLFTHRRMFPSSGDGVLDEEEATDGVISYAPYSDGFDDGTDAKDADGRARIRRASSQSLSAVVARLAARGRPVTCIMCSLILPCVLDVAREHAIPMAVFWIQPATVLAAHYNYFHGYGELIASHAADPTYEVALPGLCRTLRIRDFPSFYVDTTGGEVAKFVIEMFREQFEFMEAQGQSANKYLVNTFDELEPAALAAVRQHLDVFAVGPVLGSSADARIHIFDHASADSKRYMDWLGAQPEKSVVYVSFGSISTYVKQQIEELVHGLRRCGRPYLLVVRKDGRQEDVSRCLDGVVQEGQGMVVEWCDQPAVLSHPSVGCFVTHCGWNSTVEAMALGVPVVAAPSMFDQPTNAFLIGEEWAGGVRGERNGEGVFTGAELARCVEMVMGSDARAVEIRERVEALKGMARRATASGGPAERSLRSFVKACTKDSMSPTMSESILSKEEI >cds.KYUSt_scaffold_869.767 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:4782520:4784051:1 gene:KYUSg_scaffold_869.767 transcript:KYUSt_scaffold_869.767 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDRKVDGIGVVEEGRRSCVECRTTSTPMWRGGPTGRRSLCNACGIRYRKKRRQDLGLDQKEPPQPQLQHNSEEATTTDVKDSNSNSSSTSSNLQVVQKRRFLMGVEEAALLLMTLSSPPASTLLHG >cds.KYUSt_chr5.37554 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237316038:237317483:-1 gene:KYUSg_chr5.37554 transcript:KYUSt_chr5.37554 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDPAAKGVKLERYASGSALLLRRVASGKFVAASSHLLFRATVLATLALVFLFAFHYPSLLSRSFSLVSADASSSSTGASRHTSHRSLLMSSASAASVYGGEKWEKEIRRSAKPRRDGGISVLVTGAAGFVGSHCSLALRARGDGVLGLDNFNAYYDPALKRGRQRLLSDRGVVVLDADINDALLLEKLFEAVPFTHVLHLAAQAGVRYAMEAPLTYVASNVAGLVTVFETAAKHADPQPAIVWASSSSVYGLNTQAPFSEEHRTDRPASLYAATKKAGEAIAHSYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFARSIVAGDPITLYAEARRDFTYIDDVVKGCVGALDTAGRSTGSARTGKKSGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRVVTMPSNGDVPFTHANVSHAAHDFGYRPTTSLDAGLRHFVDWFVDYYKLDTKIAKVATRATDKKPATKKKAPAMSASS >cds.KYUSt_contig_7463.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001544.1:16407:17624:1 gene:KYUSg_contig_7463.5 transcript:KYUSt_contig_7463.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPIPDELLVDIFLRLPTPIDLVRASAACISFRRVAVDRSFIRRYRKLHGPPLLGFLDRQKVFYPAMAPHPLASAANAVALAADFSFSFLPAPASDWVVQDVRDGRVLLDRTPQYATDSTRHLFVFPELVVCDPLHRRYLLLPPIPHDLAASVDDPLWTDRFRYCEIFLAPPGGDDEEASAAEETSFSVIWMAQCTTELVASVFWSSTGQWRAISSMSCSDLFSGLLPLTGSHHFSWRQYSYGCFYWVTDWRERLLVLDTQTMEFSIAEPPPEARGLPCVDIAIVEAGEGMPGMFVLSEDAVYLDYTIRRSNCGSSSQWQHEKTFSLDSKCSVMGAVGRHLLLYQLGNPSLGAGCFLLDVKTLQLERLLVSNSSRPYGHIYSNFPPSMLSTPAISSGKLSVAS >cds.KYUSt_chr2.9111 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57251962:57252255:1 gene:KYUSg_chr2.9111 transcript:KYUSt_chr2.9111 gene_biotype:protein_coding transcript_biotype:protein_coding MQASFPLSSTEQKSSVVEVTPELHELCVDSSVVPELLKLGNDEVMPPSVEEVKHVVPFGDGATKSEMLATGPGGVVAREVCDFLATLAATYHASAVD >cds.KYUSt_chr6.31831 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201158089:201159612:1 gene:KYUSg_chr6.31831 transcript:KYUSt_chr6.31831 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEARGQRDGAASKFSLPVDSENKAKSFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLIAPTVFCMSLIDDAAGYITVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIKKCGATAFVAWRIAYFVPGIMHIVMGLLVLTMGQDLPDGNLASLQKKGDMKKDKFSKVLWGAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYYFDHFHLDLSTAGTIAACFGMANLVARPAGGYLSDLGARYFGMRARLWNLWILQTAGGAFCVWLGRATALPASVTAMVLFSICAQAACGATFGVAPFISRRSLGIISGLTGAGGNFGAGLTQLLFFTSSQYSTGTGLQYMGIMIMCCTLPVAFVHFPQWGSMFFPASADATAEEYYGSEWTDEEKKNGLHIPGQKFAENSISECGRRNIILAASATPPNNTPQHA >cds.KYUSt_chr2.52261 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325987433:325997599:1 gene:KYUSg_chr2.52261 transcript:KYUSt_chr2.52261 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTVSGGQLSIQEIIVQLQWIVPEESYQWEVVQVEDNVYRVNFPSKMDLVRVQHFGRFNVPNSEIFMTFDFWKRSVDPIWRADDVWVRVYDIPTPVLDDFLALWALGTLFGKTRDIDMVFTRANDVLRILITCLDPTLIPARMDIRVLDDFYRMRFEVEGLQPPPVADVVMNDANNGEGDMEHDGQNENGEHQNGKDTNTSGVEQGDTGTGGNNTSPVHVPGNNVALSPVKFGLVGTEMIVNKEVLSCVTKSPNVIFSATIQANLVEHACARMIDSAVAVETEGEVGEFGTAFPPIIVDKECCNSGLVSQPNMHGASLNEVTSITAEVYDTRSLSPVRTPVGGNPTSTLPKTSMTEVINFGGIQPSSAMGLRSSNRLRAQPNADDTQMERAMMIAQMRDNVQTQGRQYTWANRRENPTYEKLDRVLATVEWEQKFPLVTPPHRHRSGHHRHRRVVATVATATASPTTATAVYLATVGTAVSPTTATAVSGHRRHRRVLPTTATATACHHRHRGVVATAATAAHHRHRVYLPTTATATASPPPSPPCTGHRRVLPTTATATATRVPSPCHVSPPLPRASPAATAMYGPPPCTAHHRHRRVLATVGTAVYCPPPPPPPLGQHRHRHVRATAVYCPPPPPPHVSLPRATCRRRFHVPRPAATAVRRVDPASTCHAPPLPRATCRRRFHVPRPAASIKSLWQTLMMRKVSRQITCGIKVQNSTEN >cds.KYUSt_chr5.29959 pep primary_assembly:MPB_Lper_Kyuss_1697:5:189955740:189956417:1 gene:KYUSg_chr5.29959 transcript:KYUSt_chr5.29959 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLATTHVLPHHLLSPSLITDSSSFSSSSLVSIKNPASATPAPATTQSIDPAQLVEPADRGHRSPPPKPSLQSMATGSATKDSAAAPRRFALACGALSQFVKASGAAASVAAAAQETHGPPAEGAQQLTIFYGGRVVVLDGCTPARAAELILYATAAATPAALPPMLVDVPIARKMSLQRFLSKRKDRCVPEVEMAPPPPPAKKGKTEASSWLALGSLGDMHAQ >cds.KYUSt_chr7.24556 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153093029:153098265:-1 gene:KYUSg_chr7.24556 transcript:KYUSt_chr7.24556 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDVACDLIDFLNASPTAFHAVDEAKRQLKAAGFAHLSEREEWTGLKPGGKYFFTRNHSALVAFAIGAKYVAGNGFHIIGAHTDSPCLKLKPVTKITKGGYLEVGVQTYGGGLWYTWFDRDLTIAGRVLIREKKGGTVSYAHKLVRVQEPIMRIPSLAIHLNRTISSEGFKINNQDHLVPVLGTLVKNEMQALVKENASQESIVTNDAKHHPLLLQLIAREANCEVDQICDFELQLCDTQPSIIAGAMKEFIFSGRLDNLCMSFCSLKALVESTSAEHSLNDEPGVRMVALFDHEEVGSDSAQGAGSPAMLDALTRITGSFNHSNSKLLEKAIQRSFLVSADMAHALHPNYMDKHEENHKPKLHGGLVIKHNANQRYATNAVTAFIFREIAERHQLPIQDFVVRNDMACGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDIKYSYEHFKAYFEEFTELDSKVKVDC >cds.KYUSt_chr3.3980 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22632988:22633581:-1 gene:KYUSg_chr3.3980 transcript:KYUSt_chr3.3980 gene_biotype:protein_coding transcript_biotype:protein_coding MPWGILLPVSSSAFWWRLMLVQLLVELLWKEPEAGGGATSTSLSTSINLEVEAPNRRDLEPCFFRSCSHGDLHEEEKVLAAPCSEALRDRGVCVCTLEASSSCGVHQRRQRYVGVIFGDSSQSTPRSDELPWTAFLLVYRPYWRIFIAYNIGFITYVAPSGMFPGGCAGSRSWRFTGCGGKNQGSDCFSPFSLGFFL >cds.KYUSt_chr7.35378 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220956567:220957049:1 gene:KYUSg_chr7.35378 transcript:KYUSt_chr7.35378 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGVLQACAFRDSYEKYKKAGAEVIGISGDDAASHKAFAKKYRLPFTLLSDEGNKVRKEWGVPSDLFGTLPGRQTYVLDKKGVVQYIYNNQFQPEKHIGETLKIIENL >cds.KYUSt_chr1.31907 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193577235:193579871:-1 gene:KYUSg_chr1.31907 transcript:KYUSt_chr1.31907 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVQARASELARMRGRGEVDTSSQFESVRQAVDRFGGGALSPWRQTQPPPPPLQLRPEEVELMKVEEQTVKVEMGLFVKESETFKVLKELQSTKQVIDDLKLQIEKATLEAGNAAAGHPLPDVEQKPHSHSEPLIQSINSKQSPLTTLIKLNQAKAFLNLDTVMMFRGQIEEEKASLQKTRERLQLNMRKASALEADLSRTTAQLQAVKDPKPVLEPSEIWLQMKQLNSEKDKHKKMIEDSKFEIDELTSTIEHTRSKTKTLQFRIIMADKLKEASRRGEAFALAEMAKLSGTEDQETDRSDVKLSVAEHSALVREAQESEDTARSKIDAAMQELDDANHGKAELLERVEEAMSAVQTSRKVLGEALKREESANKGKVAAEESLRSLRSDQIILNWRPTSNKCNSAKFKTSAATPTTPRKAGTGIYDVNGLSLVTTTPKSTKAMSIGQILSMKLDCEFEPTTPRKTASAKKKKKVSLGQMLSDKYEMYSPKRIDHDGASRKQFQPRRRRLGFVVYALLLHRKRQARASSWTHGQGGCSVKVV >cds.KYUSt_chr4.50914 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315691504:315697245:-1 gene:KYUSg_chr4.50914 transcript:KYUSt_chr4.50914 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVVLREWFDRVDAARTGNITPPQLQMIRMYDFDGNGTMSFEDDLIITSLAVIIALAEFLALNKFLQKVQTVFSTRERGRGFLSLEEVYEALIKLGFSLDSPAFYTVCENSRVQRAERSGELSPLTSVSAMATISAALSISFLPPPARRAASTTFSPRVIKRAPRFRCCAEPPSPEQETPPPSSLWGVSTSAWTAGVAGLGLLETGYLSYLKLTGSEAFCPVGGGGCGDVLDSDYSVVFGIPLPLVGMLAYGLVAALSLQENSEELLPGLDDLDIRLTLLLLATSLATASAYFLFILNTKFVGTSCVYCLSSAFISFTLFFIRIKDIGLARIQKFVGLQLAVAVIVALSLTNSYSSATTQLKGTDDFVLEPYKIEVTAESTPFAISLAKHLHSIGAKMYGAFWCSHCNEQKQMFGREAMEVLDYVECFPNGAGKGKKMANECAATGLEGFPTWIINGKLLSGDKELSVLAEESGFVSEVPEQS >cds.KYUSt_scaffold_1854.510 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2721493:2724813:1 gene:KYUSg_scaffold_1854.510 transcript:KYUSt_scaffold_1854.510 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRSKPAREPEPEPEPKEKPKVKVVVPPKVKVVVPPLFDPPPTAARTRMLVPEYELWFGKPWLHRLFEDYFDLAGSVQAGLMLKMLEDPHANLTANVSPAGGKAQIRWQRGLDNPDSFVDILVSTSKPTLKLRSSIYSTTYGVGAFAAFPLDLEKSWSHHSISTRLPKGREGYAVLCHRDP >cds.KYUSt_chr3.7780 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44631662:44634841:1 gene:KYUSg_chr3.7780 transcript:KYUSt_chr3.7780 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVSLIWSLLALLTIPVVSAGDEVDLLAFKAHLGDGGSLSSWNSSADFCSWEGVTCSQGRPARVVALSLNGTGLTGALSTALGNLTFLETLDLSFNWFHGEIPESLGRLRHLQRLFLHDNSFSGVIPVNLSSCISMAEMGLHNNKLHGRIPAELGENLMSLAGISLGNNSFTGPIPASLGNLSHLQYLDLSNNQLMGAIPPGLGSIQRMRQLELYKNKLSGTLPSSLYNLSSLESLQLRENMLCGTIPADIDNKFPRLQILNLYSNDFRGTIPSSLTNLSYLMELNLRTNRFTGYVPPNLGRLGTLQYLDLAKNRLEADDDKGWEFIDSLSNCSQLSHLSLGGYSFGGQLPASITNLSSTLEKLYIADSKISGSLPADIGNLVGLNRLVIVNNSMKGVIPESIGKLRNLIDLGLYSSGLTGLIPQSVGNLTKLNRLLAFYNNLEGPIPASLQNLKNLFLLDLSTNYRLNGSIPVEIFQLPSLAWYLDLSYNSLSGPLPSEAGTMTNLNELILSGNQLSGQIPSSIGNCIVLQKLLLDKNSFEGSIPQSLGNIKGLNILNLEVNKLSGRIPDAIGNIRDLQQLYLANNSFSGSLPAVLQNLSSLSKFDVSFNNLQGEVPDGGVFRNIATKAIAGNTYLCGGAPQLHLAPCSTSATSKNKRKKAKSLVISLATTGAILLSLSVILLVCILCKKLKQSQQTVVQYSVAEDHYERIPYHALLRGTNGFSESNLLGRGSYGAVYKCILDTEERALAVKVFNIGQSRYSKSFEVECEAMRRIRHRSLMKIITCCSSFNNHGEEFKALVFEFMPNGNLDGWLHPKSEEPVTNNTLSIAQRLDIAVDIVDAVEYLHNYCQPLVIHCDLKPSNILLAENMSARVGDFGISRLLEENASKGVQNSYSSTGLRGSIGYVAPEYGEGSAISAQGDIYSFGIMLLEMFTGRSPTDDMFRDSLDLHKFAEDALPDRTLEIADPTIWLHKEKNDNITSSRIQECLVSVLRLGISCSKTQPRERALIRDVAVEMHAIRDAYLCFAG >cds.KYUSt_chr7.2588 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15208725:15212878:-1 gene:KYUSg_chr7.2588 transcript:KYUSt_chr7.2588 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRSTSFFIGKPQISGDTMHDRADSAASDAAASAGELTLPNGDLYSGTLSCGVPEGTGRYVWSGSGCVYSGGWRRGMRHGDGTTSWPSGAVYEGEYSAGFMDGQGTRVDANSSSSYKGQWKLDRKHGLGLQAHANGDVYEGSWVHGQMEGHGRYTWANGNTYVGTMRNGLMSGKGVVTWRGTAGGGGGDSFQGNWLDGVAHGYGLYTWEGGGCYLGTWTRGLKDGKGTFSHTVPAALVDDLRMRGVLPDLSFGGTAADAEPTAAGLSRRNSGLGRPPTKKKPSLQRRRSIGVTGGPDKTMKCEDSSSSPVLEREYAQGVLISEIVLDKWSLPESSSKKLKLGLRRAAKRPGETIIKGHRSYDLMLCLQLGIRYTVGKITPIPEREVRTSDYGHQANFWMNFPKNGSKLTPSHRALDFKWKDYCPMVFRNLREMFKIDTADYMISISGSDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVQVLLRMLPYYYRHVQTYENTLVTKFFGLHRVTPSSGRKFQFVVMGNMFCTELRIHRRFDLKGSSLGRSTEKIKIDENTTLKDLDLNYSFHLEPSWRGALLKQIEIDSEFLRNHGIMDYSLLLGFHYRARRNLQIGSSCRESILPDNLTVLSEADVAEENSVYNYQDGLILLQRGSNKNGPASVGPHIRGSRLRSSSACFEEVDLLLPGTGRLPIQLGVNMPARAEKEDKQEDGSKSLHHVYDVVLYIGIIDILQKYNMTKKIEHAYKSIKYKYNPLSISAVEPRFYSERFFKFVGTAFPENSYNQ >cds.KYUSt_chr1.36885 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225049263:225050315:1 gene:KYUSg_chr1.36885 transcript:KYUSt_chr1.36885 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTVLGIFVIHEAASEDKEVVYGLTPTTRLLVSDEVKSNLFPILSLMLDSTIIFPFLGMHSWFLDECSTSLFKKAHGITFWEMAGQHETYNQLINNAMVSDSNFLMDIILRECGDVFLGINSLIDVAGGHGGAARAIAKAFPHMKCTVSDLPHVVAEAPTDGNVSFISGDMFKYIPPANALFLKILKKCKEAIPPRDAGGKVIIVDMVVGSGPNEIVTRETQVLYDLFIMCIEGIEREESKWKKIFMEAGFSDYKIMSVLGVRSVIELYP >cds.KYUSt_chr4.9844 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59521575:59523785:1 gene:KYUSg_chr4.9844 transcript:KYUSt_chr4.9844 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGVVVAAAVVAALAAFCGTDPLRLGSMVDFPGFEAHVVELPDAAEMPPHADAAERLRGAEIRFRGEVQGPESVAFDPRGRGPYTGVADGRVVLWDGARWAYFAHASPNWTADRCGGPKASPMEYLRDEHVCGRALGIRFDRRTGDLYIADAYYGLCKVGPDGGLATPLAVEAEGVRFNFTNDLDLDADGNVYFTDSSVLYQRRNFMQLVFAGDPSGRLLKYDPKTKETTVLHRNLQFPNGVSLSKDGSFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNEKGEFWVAIHCRRSVYARLVSRHIRLRKFLLSLPIPAKFHYLMQIGGNLHALIIKYSPEGEVLDILEDTKGQVVRAVSEVEEKDGKLWIGSVLMPFIAVFDYANES >cds.KYUSt_chr3.29921 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187213597:187213938:1 gene:KYUSg_chr3.29921 transcript:KYUSt_chr3.29921 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTATTKAKLGELVWEHRLRAAAAVALLAAAVVSVSAIGPRLGAVVSFFWPLLVSTAFFLVAMTVLLRISPPPAGADDSGKDLVDFVAGPHHMDHFLPEACATVEVPPEI >cds.KYUSt_chr6.15349 pep primary_assembly:MPB_Lper_Kyuss_1697:6:96263501:96264325:-1 gene:KYUSg_chr6.15349 transcript:KYUSt_chr6.15349 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSMRFSFCLLWLSLEFPSVESWSRSQDPIGRILRVLGSVVLAALLWWQELWLVRMVNLGISNNKAVLSFFWRLVDPVVFRDTDRSLVMFFILLSRRELIVDRSSEVFFNKRVGDLLFYSGLLLLLPFPAGRGGEEKGWLVGAICCDGKGWGLRDTALDWSSSSVALDWLPTLDAGGQQLLAFVMRQVFYNLLWRLCVSHAAEFILATVPSGLVPGAGGDGRCARLRIDGGVGGPDGVFIFIFRVFYVKVKDVVYFCFFCKVLFVTCIAPR >cds.KYUSt_chr1.6659 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41046443:41050451:-1 gene:KYUSg_chr1.6659 transcript:KYUSt_chr1.6659 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPVSCYLLGHRFWSSGWMQVVCGGVVFIYHVVDDDSRWHGAAGYRRRARTAGCVQDGGSVWCYGDIDGRPDTVNALISLGDGFEIDGVCELPVVCNGTPSGFCFLDDVRWCIVRKYGLVHGHPLHRRTRRERSNLKRRIGGGHALTPATTMRTSVRIAIVAAVVLMLAATATAHFRMDLMDGYKPQARRSNGGGGGGAFDFMKLW >cds.KYUSt_chr5.2873 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18863150:18864616:1 gene:KYUSg_chr5.2873 transcript:KYUSt_chr5.2873 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQNGGFFNGTVGLGAEVDEVFGLVICYADSTDTECVDCLTGAPEGITKLCPHSRTVRAVYGACTLRYSNESFFSVADLAIEHHHVQQIPPYYSDAVTLAAYLLDTAGMSRTRFELFRRLAERAGLSAGRVAWDIQQLNDAQEMTAGVQCTRDLLARECLRCLSNFTDQLPRLLPTNSSGAIKGYSCYLIYFITTKKPLVRDLVHRWDNDIYETSSDRAAMESERSIERQKQEHQNQERQKQRRRKVAIIMGLVFGATVLVLCMIGLSVRYKLNWWQRRMAAAKVFTRSAAKVFTRSALLKEMAYFRGKRLYHDELEQGTGPRRFTYHELAAATDGFSSGNKLGEGGFGSVFRGFITDANLHIAVKKVSKSSRQGWKEFVSEVKIISQLRHRNLVQLLGWFNGGNDDDLLLVYELMPNGSLDAHLYKPDHLLPWTVRYEVALGLGSALLYPHQEMEQCVVHRDIKPSNIMLDVSFKAKLGDFGLAR >cds.KYUSt_chr3.14728 pep primary_assembly:MPB_Lper_Kyuss_1697:3:89526353:89526934:1 gene:KYUSg_chr3.14728 transcript:KYUSt_chr3.14728 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAAAGLHTSTSESIAGHPAPSTLSPAPHGRHEPPRQDGIGFRSTRPEQQHHALGAEEDGQGGGAWPYPGVGGQNGQGPPNPDRARRAQTRASKPAPPWRHLRPPSTTCRQRRRRRPNAVAAIPSRCRRRGPPEDTTRPRRPPPDLVAAREQPAASGAGSPPRHEASTPPMRQPRRRALVKRAALTGPVGPR >cds.KYUSt_chr6.19277 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121280870:121292730:1 gene:KYUSg_chr6.19277 transcript:KYUSt_chr6.19277 gene_biotype:protein_coding transcript_biotype:protein_coding MELRCPRELDPRPSWTLGDVLTELDALQATRQAAQPTPLKEPPEWASSAREKPFVMRVEEDDGSEEEEDSSDEDARAIVTTGARFSCNDLELSAEVLDKHLSMVQSDHEQKSQILERRIKDDAAVEEAKRREQSMKDEKIKQERTRQEAEARQKAAAKLAAEAQKAAYEAAKKEAAEKEAAKSRAEVVSTSGQTSQNNIDIKSELPGIKVYANSSALKAESRGRALHDQVPSNIYLSKDYSRYDRQIGKSISKLMPTTDSVKARASELIKALDGQECPRPIACRLFADKMISIVKSRNPKDKTFGNLAFACGYVMLLVTNQVPDAMDYLLAEFHKVCMYTVPKHLHALNAQARNTDYFRLIGYQEEDGKLQSTEAYLVNVAAYVKLYAAMIQTEIKGVRHPHGLAEGWKWLAMFLNSLPAITATACALHAFLKTAGFALHKKYGSQFMKILDVISRQFIPALKEQGPKVQAEAISNLQNYLDDKKYLEEPEGQYLAQQLLSKGEQKIKTLTPREAGYTFKLTDKVLLDVRPSNERQKAWVKGSTWIPVFDVDTSSDVNGLSKKAFSFVMGGWWSGSSTMSFNKNFVQQVQEKFPKDTDIILVCQKGLRSIAASEQLYNAGFENLFWVQGGLEAAEEEDLNYFTYDSVVSV >cds.KYUSt_chr2.34631 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213847831:213849674:-1 gene:KYUSg_chr2.34631 transcript:KYUSt_chr2.34631 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMAHAAWAGVRYTVVLPLLHVAVYLCAAMSLMLFVERLYMGIVVAGLWLNRRHRRRRGRRGRDAAAIVDDKRDDSDDLEAGGAEKPMVLVQIPMFNEKQVYRLSIGAACGLWWPSEKLVIQVLDDSTDGTIRSLVEAECRRWASKGIRVHYENRSNRNGYKAGAMREGLKKDYARDCQFVAVFDADFQPDADFLRRTVPLLLADPAVALVQARWLFVNADECILTRIQEMSLDYHFSVEQEVGSACHAFFGFNGTAGVWRLQALADAGGWKDRTTVEDMDLAVRASMRGWKFVFAGDVKVRNELPSTFKAYRYQQHRWSCGPANLMRKMFWEIVTSKQVSAWKKFHVLYGFFFVRKVVAHLVTFLFYCVVIPACVLVQGDIRLPKYVAMYVPAIITLLNAICTPRSWHLLVFWILFENVMSMHRSKATIIGLLEASRANEWVVTEKLGSTTTTMATKKKKNQNQGFHTPEILLGLCLLYCAIYDIIFGHDHFYIYLLMQSAAAFVIGVGYIGSQ >cds.KYUSt_chr4.36885 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226893360:226893638:1 gene:KYUSg_chr4.36885 transcript:KYUSt_chr4.36885 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGARRVLEAWRLGVVKYGEALKLQERLVADRRAGRVPDLVLSLQHPPTYTFGKRRTDHNLLVPESSLGRLGAELHRTERGGDVTFHGPR >cds.KYUSt_chr7.31301 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194913143:194913550:1 gene:KYUSg_chr7.31301 transcript:KYUSt_chr7.31301 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSIPATASRVLFPANATASRRAMATAAAASATPPPPQQPAPKAVRVVVKGRVQGVFFRDWTVETARALGLAGWVRNRRDGTVEALLSGDPAKVDEMISRRLPVGPPAAAVTAVLPSPADPLDPSEGFQRKPTA >cds.KYUSt_chr7.13543 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83430721:83438776:1 gene:KYUSg_chr7.13543 transcript:KYUSt_chr7.13543 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQIGKEWEEALPPSTPSAYHDLRLRIYERLVAAGKQGESDPSSFRDMLYAHFDKLPYRYLIDFGVDKAEDVLLHRRVIDEAANSNGPVFEARFLEAIQVQPYGEGAYPECNDPTQRLLLEDLTLERIHGADNMGYMSSPLRDSGPIFLHEIIFSSFDKPKLLMRVVKITGFGVAKLGNQDGQMTAETGTYRWMAPEIINHKPYDHKADVFSFAVVLWELITSKVPYDDMMPLQAALAVRQGFRLEIPPSVHPGLSGLVELCWDEDPDARPVFAEIIGRLEEISRQVQAELEFIKTLFCTNNRYFEWIDVYIQRLQLQESIGAIGERNLGGGLAVENVAERGAVPIMLNAPNAELVEVKRELNKMNKQLRQLIELKRSKLI >cds.KYUSt_chr7.39974 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248318390:248320525:1 gene:KYUSg_chr7.39974 transcript:KYUSt_chr7.39974 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDENGVIVLTADQHNGAVPHGAVGHDAAMEEIQGTVCMSCTKSRMKGAAAEEADAEEAATVQGSKEGAEELLLKVVLSEEEAYRLYCDYGHRTGFSVRKGKQSYFTGTKRVRTKDYFCSKEGLKEAEKLTDENFNDPHTRTNCRAMVRFRVNGQGEWKVIRLVSDHNHNLAKPEERHLLRSARSLIAGRSCSTADAVLYGGCQQVGGIPSQMAVSTSVTNNNAETPRPDMVPGFSGVTRTAAIGTGDLQILVNHLKSRANIDGMFYWDVQIDRDGRMTNFFWRDGRSRTDYDCFGDVVVFDSTYRLSKQNLICAPFVGVNHHWQTTMFGCALLVDESMSSFTWLFKSFLEAMGNRHPRTIFTNQDQLMSKAIEEVFPNTCHRIAHWHIQKNAASRLGSLNGSKAFNKVFTKCTQGCNSEAEFEETWAAMLREFKLQDNKWLNKLYKLKQKWCGALNMCNFDGGIENEPQFDILSNIFTSIADKFTSLSTVIVLVDKLTDDWREREFDEDMRCSQRPPACIIKHSDILNHAAKVYTHRIYKLFETYFLDGCGATKFKELQCEDSDTYRFEMTMQGRGSRVCTVHLNKSTMQLTCSCSQFETMGLLCPHTLKALSIKNVCKIPEMYILKRWTRDAKQWVFNPKQYESSYQECMDDEAAYCNHAMRYAYDLVMKSQGQVELRRSLWETLESGEKELEKYLENVTQYAESYAT >cds.KYUSt_chr3.25592 pep primary_assembly:MPB_Lper_Kyuss_1697:3:158882442:158885803:-1 gene:KYUSg_chr3.25592 transcript:KYUSt_chr3.25592 gene_biotype:protein_coding transcript_biotype:protein_coding MINILRVRDCNADLSMIMFMCYFKLHLGPRKRENRRGYPRRTFSLAATRRWNSGRRREWNGGLRRRHHGNHWVPRRRFGPGGGLHRRLRSNRLRGNRWAPQQHNRHGNRWLQRHRYHGEPGPSTTAAVRREQTPVVAAEPAVVVTAPEVTAEDVVDAVYENEASASNVTADADELLPPPPAFTVPPMDWLLGGPSAGWLANDPERDDDELEIPSPMLRYFKRHGNRPRLPSPTPSDEVAEHFAPLGYADMTEFFEPPAAAPVDALPPALTTNLQTEMEGNEAVATARARALVPDLNLPAAEDMEEGNEDAPPAPSLALPTPSPEARVLLRRFASVMAARPAGIRRGTWSPEALGVAELRLNEAASHLPSSSVEKPVRR >cds.KYUSt_chr4.51649 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320539729:320542361:1 gene:KYUSg_chr4.51649 transcript:KYUSt_chr4.51649 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPNGKHYYTMWQTMFEVDTKYTPIRPIGRGSYGVVCSAINQETNEKVAIKKISNVFDNRVDALRTLRELRLLRHLRHENVLCLKDIMMSIHRRSFKDVYLVSELMDFDLHQIIKSSQPLSNDHCRYFLFQLLRGLKYIHSAGILHRDLKPGNILVNEKCDLKICDFGLARTNSTKGDQFMTEYVVTRWYRAPELLLWCDNYDTSIDVWSVGCIFAELLGRKPIFPGTDSLNQLKLIVNVLGTMSYGDLEFVDNHRARKYIKSLQCTPGIPLPSMYPQVHPLAIDLLQKMLVFDPSKRISVVEALEHPYMSSLYDPSANPPAQVPIDLHIDDNLGVEMIREMVWQEMIHYHPKAITKQAAVVHGSRLDSIQIDRVGRAEWEDRWQ >cds.KYUSt_chr5.41123 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259506482:259514959:-1 gene:KYUSg_chr5.41123 transcript:KYUSt_chr5.41123 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPIPNLLHPVSCTGYLGDVDYLDREIAAEEEENADEDEDELAEMADYDHDDGGPAWDLETQPPDITEEEAIAMALANSELDELNQLALWDGLAIQLRESALAQGRPAPAAAPAWEPLPPSPQPPAQETTWPQLPQLVLPPPPPAYQLPWSTPELSTSSATTSRDIILLVVTALVHPKGSPSFTHANRRRASPEDGQLRDPSTTSPPTLPFAPATTGWPRLTSPPESPPATGGKKPAASGIGGPGSNPKLAAAAAAIPPPTTPSSMASSAGGDEASPPKPILLHGDLDLWILEARLLPNMDMFSEHIRRCFATCGTAASCAPKQAHPANHRGGDQRRHHRKIITSDPYVTLSVAGAVVARTAVIPNSQEPRWAEQFFVPLAHRAALLEFQVKDNDTFGAQLIGTASVPADQVAAAVDEEVEDWFPVLGPSGKPYKPRTALLLRFRFRPLATNPMYRSGIPGDPDQRGVKDSYFPLRHGGQVTLYQDAHVNEGDLPEIELEPGKLFQHNQCWEDICHAILEAHHMIYIVGWSVYDKVKLVREPSSSRPLPEGGDLTLGELLKFKSQEGVRVCLLVWDDKTSHDKLFIKTGGVMGTHDEETRKFFKHSSVICVLSPRYASSKLSIFKQQVVGTLFTHHQKCVLVDTQAWGNKRKVTAFVGGLDLCDGRYDTPQHRLFKDLDTVFENDYHNPTFSGTTKGPRQPWHDLHCKIDGPAAYDVLKNFEQRWRKATKFRNRFRKVTRWKDDALIKLERISWILSPSPNVPNDHVSLRVSKEEDPENWHVQVFRSIDSGSLKGFPSDSKEASKQNLVCRKNLIIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSYAWPSYVNSGADNLIPMELALKIASKIRASERFAVYVVIPMWPEGVPTAASVQEILYFQAQTMEMMYRVIAQELKAMNIENANLQDYLNFFCLGNREEPSTNGSPDSDKSSDKSAAGLARKYRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHHAWATKGAHPHGQVYGYRNSLWAEHLGVVDDRFKDPSSLDCVRFVNQIAAENWERFTSEEMKTLQGHLLRYPVKIEPDGNIGPLPDQECFPDVGGKICGAPTSLPDSLTM >cds.KYUSt_chr4.25892 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162835857:162842738:1 gene:KYUSg_chr4.25892 transcript:KYUSt_chr4.25892 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRDETLDFAVVPHACFISIDCGYITTPSYTDGNTGITYSSDEGFTDAGLIHPVDKGNLQGDLADRYLNIRYFPSGERNCYTLRSLTPGGKYLVRAAFGYGDYDKLNSLPTFDLYFGVNYWTTVTIVNSSTAYLFEIIAVSPAEFVQICLVNIESGTPFISGLDLRSLSSDLYPEANVTQSLVLLSYFRDTVGFGPNRYHFGTNYQHIRFPDDPFDRVWQRYEDVPSWTDVPNKSDGAIHNSPNDTYDAPSAVLRSASTPVNASRMDISWSSDSSMSVGVDPTFFLVLYFAEVEVIQELRQFDVSVDNNQLASAFSPKFLLSTVLSRIVQGSDDHSVSLVATSNSALQPVISAMEIYMVRPVNESTTDSLDGMHYNFQKNLSYNGLVGEIDASFGQLTLLQHLLDNNPSLCGNNICNPTQNKKKNKAKLLQIVIPVIAAAVVVLLLVAVFVHVIWRRIKSRPDVSYSANPLENRRFSYKELKRITNNFNTKIGEGGFGFVYAGRLENGVSVAVKMRSEESLQGNTEFLAEAQHLARIHHRNLVSLIGYCKDKKHLSLVYECMDGGNLQDRLIGQVPLNWLQRLKIALDSANGLEYLHKSCSPPLIHRDVKTRNILLTANLDAKLSDFGLTRAFSSETMTHTTTQPAGTMGYLDPEYLATSHLSEKSDVYSFGIVLLVLITGQPVIINTSDTERTNVALWARHRLHVGDIDTVTDPTIREDCDINSVWKVVEVALRCTERVARDRPTMGEVVEGISESLQLEMLSRSMRSSSMRTGSSAFADGDSVGALEAELIGETSAR >cds.KYUSt_chr6.21662 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136680189:136682181:-1 gene:KYUSg_chr6.21662 transcript:KYUSt_chr6.21662 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRLHELPLLAALLACALLASAASALPATPLQQQQQGMSSDSATETDQDMRVSTTMIVLLATVIAVFVLITASIIYLHHCTGYSHAYAGRPSDRAAPVADVSLSTFLAQRLYRRTRGLDADVVEAFPTMRFAEAKALRVGSKKAVPLPIARFATVVVVVAVIIVAGIGVAVLERRRVSTRGLGLRVAQAGATVGHDALESPAAPPQPTAGLVEVRRRRAALLVPGKRPLTPIDEEAFPSRAVVGMPLGIPPLLWRELEVVVVRDGHLARHT >cds.KYUSt_chr5.40905 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258239013:258239687:-1 gene:KYUSg_chr5.40905 transcript:KYUSt_chr5.40905 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQGRPPPSPRTHRDAASTRARGRRGRRRPSSARDSADRRTSYARLVTGRLAAWRAGAKKGQMGCLGWIKTRTSSRSGRRGSSSAPPTTTTTTTASAISMSRSDDSSGPVGRRASKSTGSASSQSQPSISSLYEERGHAQLRAFDYDELRNATNDFGRTQKLGTSALHRRPRRPHPRAAPLVPRTTFPARAPIGDLIDDSTDAHLIKQWIQLTSCCRILFFLF >cds.KYUSt_chr2.34603 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213684333:213693280:-1 gene:KYUSg_chr2.34603 transcript:KYUSt_chr2.34603 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSRTVDIFVSESSDPRLVVPTRCTPTPHSAPKSPFLYPRVSFDSSPAAGVFADPCRPTTDGVEICERGRDDGSHLGGEKKQRLNVDQVPRAEEQAGARARYSYRALGLQPRQVVIWFQNNRGRWKTKQDHDVLKRQFRTIKAERRPPLPQQEAPSRVILGEIANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKERLHMFGIVGCILCVVGSVGIVLHAPKEREIDSMEEIWHLATRPGFIVYSCVTVVGVLFLIFWAVKRAGHRKMLVYIAICSLMGSLTVISVKAVAIALKLSFSESNQFIYVQTWFFIFVVIICCVVQLNYLNKDWVSQNATQIATQLCGFVTIVAGTFLLHKTNTSNTDSNVRSTPTPPPPPPGQLCLEE >cds.KYUSt_contig_1307.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000126.1:151970:153049:-1 gene:KYUSg_contig_1307.17 transcript:KYUSt_contig_1307.17 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRPKGTGRKKIEIRPIESKEARQVCFSKRRVGLFKKAIELATICDAKVGAVAFSPGDNVFTFGHPSVDSVLDRFYSSEMQAAEAEGGGEDGGGDLNQALAEAAGGGDDGGGVLNQALAGGGDDGGGDLSQALTEATGGGDDGGGDLNQALAEAAGGGYLNQALVEAAGDGGGDLNQALAEALGGGDDGGGDLNQALVEAAGDGGGDLNQALADLNQEQDELHGRVEAEKVQRDSAEEVVAKARAEGCQTTAWLDRYVVQMGEEDLGPFAAVLAKVQAAAAARAGQVLQQALFTHLTVQGGGGGFEFGGTSSGMEMDRETKNKLHMIMVPPPPLPGYAAGPEMTPQGQGFGPHGFPQ >cds.KYUSt_chr3.12987 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77974002:77974271:-1 gene:KYUSg_chr3.12987 transcript:KYUSt_chr3.12987 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTGKSRAVPAAGGGGNGVAAAGGSLSYRPGLVPAPEDTMTKEARAMREAEKADLEKKIASAKDEIVALEAELAEMDAAAVSEPTKP >cds.KYUSt_chr1.15286 pep primary_assembly:MPB_Lper_Kyuss_1697:1:88873307:88875396:-1 gene:KYUSg_chr1.15286 transcript:KYUSt_chr1.15286 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPEAGQSTGRDEQERDAYEQHQTRVLAALMQGFCAARYRKADNTPCPIDQGLYLGSVGAALNKDALKSLNITHVLVVARSLNPAFPAEFTYKKIEVLDSPDTDLVKHFGECFAFIDEGICTGGNVLVHCFAGRSRSVTVVLAYLMNKHQMSLQSAMSLVKSKRAQIAPNEGFMSQLVNFEKSLQ >cds.KYUSt_chr6.25107 pep primary_assembly:MPB_Lper_Kyuss_1697:6:159084910:159086061:-1 gene:KYUSg_chr6.25107 transcript:KYUSt_chr6.25107 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIRNSILARLLSSSPASPIFPLHRLLSTAAAAGPPKPSFAIQEYLVETCGLTRARALKASAKLSHLKSPYKPDAVLAVLAGLGLSGADIAALVAKDPLFLCSGVDTTLGPNIVELTGLGLSRTEIACLVSLVPACFRCKSIVSNLPYYLSLFGSYENLLRLIKNNSSILRASLAKKVKPNVAFLQNCGLGACDIANLFIGTPWVIGMKPERLQAMVACAQGLGIPCGSGMFRHAMSAVRFHSEEDVAAKLDYLKKMFRWSDAEVGIVVSKVPYALVRPKNMQRRSEFLISEVGLEPAYIAHRPALLTCSLEGRLRPRYYAVKFLKENGLLKRDLSYDTVVKLTEKVFMEKFICPHKEAAPHLAEDYATACRGEVPTRFRFA >cds.KYUSt_chr4.9789 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59219101:59223181:-1 gene:KYUSg_chr4.9789 transcript:KYUSt_chr4.9789 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLPLLAFASPPINSIRFLPLRTRLRCKCSACSYDAPVGTEVLSLLRKEFHASRFNVLLRVLLVVQQLLQENKHCSKRDIYYMYPTIFVEQAVVDRAINDICILFKCSRHNLNVVPVAKGLVMGWIRFVEGEKKVYCITNVNAAFSIPVSIEAIKDIVSVAHYILVVEKETVFQRLANDKFCERNRCIVITGRGYPDIPTRRFLRYLVEQLRLPAYCLVDADPYGFDILATYKFGSMQLAYDANILRVPEIRWLGVFTSDFEEYCLPDCCLLHLSSEDRKKLEGILTRCYLQREAPEWRSELEAMLEKGVKFEIEALSASSISFLSQEYIPQKIKLGRHI >cds.KYUSt_chr2.1494 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8971342:8972200:-1 gene:KYUSg_chr2.1494 transcript:KYUSt_chr2.1494 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGPTCWVGYPLRRRRPHAQPRRRRGSQSALAAELGRGEHRHAPSEAHAYVARVDGRVEAVAGVGEEEEQQIVGGGEWLAAGEARPCQHHNGGEGGWRTRQGRAVGFAELDDALLEALDVVHVQGVPDVHTRCRMQNALGSGRGGQGLAVEEEHDGALHGLHLGGDVAALEIRLDLVGHGGGALVDTSTYGLKKL >cds.KYUSt_chr3.9004 pep primary_assembly:MPB_Lper_Kyuss_1697:3:52514226:52515413:-1 gene:KYUSg_chr3.9004 transcript:KYUSt_chr3.9004 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLRRRTRDPKARLADFFDVAAGSGAGSVLVAMLVARGADDARTLFSAEDALAFLVRSLRRGWSSSNGAGGGIRALFRRPASAPFRKVFGKLTLRDTAGPVLVPCYDLATGAPFLFSRADAAERPAYDFRLHDVCARRHVRRDGPVVVRGGDAVREFPLASGVEDLLVISIGSGEGEQRPAGGGGGASTSEIVRIAAEGVSDMMDQAVAKAFGHSRASNYIHIQAMGSPRARGGVTVAAEEMLSQKNVESVLFRGKKKLGEQTNAEKLERFAHELVKERDRRASSTGAPAVVKQQSAAEDAAAPASFSNLVSQMLTSIL >cds.KYUSt_contig_2804.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000512.1:40791:71697:1 gene:KYUSg_contig_2804.7 transcript:KYUSt_contig_2804.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPLHEDPTSGVPSKRQRRPAFALFPFPSIRGLGNGGFSNLGFPRHHRSPAMASGGATASTSGGSGGAGTKTVPAALWWDPFVALSDDLDRAAASPSAAISDALAERIKRHHAWLRGSVSMFGKPNDASRTALDATQVAVGEHRLAVKPDLKEAALRLSKSLNLDEVQSYILVKRSSESTPKTHEADAEEFLRLVSLQYYLERQCLLKCIRRIFVHTTCTDDDSDSTDAIKEEALLLLNEEMEQILLSIVEDSLAAAFSVKSASDLTVSWLEESLIEISLILEVLFLAICDNLSRCNGRLWITLCSIFKDMLSGSYDVGKFGVSVEAKKSFHYAKAQLLLILVETLDFENLLRMVHDEVSFSGGYSPYSVADILEMDVEVSKLPESAVESGPLFLAWAVFLCLVLSLPGNNANLEIDHASYAQRAFGLAPFNYLHGFLCSSIFRESDGPVSGFRGVLRTFISAFIASYEISFQTEDCSLGMILNIICEVYGGEEALCMQFWDKDSYVDGPIRSVLHIVEKDYPFHIKDLLRFLSAVSYGTWPAQCVYNYLERMNGVTTWYTIPGNLPDSVNYCDQIEIHHPISIPGMEGITLPHGTHGYILKIQEDGVALVRWEFPHSGVFILLVTLSQDLYSCNYVEACDILDLLYQMVSSNKNLCPALLDADKSLAVQKSKSLGQIEEHIRIDVVKILCSSIFKYAQDGNNGSIMSKTLRVLAEALKCVPYRVFDVAIECGIFSSQPNGPSSDWLLSGALARMLFAASEENGDCSSLASSLLDFAIQVLRKGAAADDTISSFIVFSIQYIMVNHMNWKHKKYSCWKTTLKVFELVKSCIQVKPIFSKLGGMIWQILLYDSSVHSVLWHIVCTSTQLLEVRGSFCNGVEDIEDIQLVLCCGLDIIFFMLSNLPEEFMPVAPFVTMVLSSSSKPFPFVTATISAMSFQNSALQVAAARALSILCFTAYRVQPQLMENCTFIIDGSEIWRLQASISHILDKEENINNCLIVAIFNLLTSFVRYQPALFVSLTEEHARIKADRNNSVNSQISGSSVLSSSRSKSRLIEQILGYVVNSTEFMNRSPSLLLSILDLLEALWENGIQFICILDKLRSSRTFWESLSQCICATFDRCLVGSVVTADEKFSSRYNCRAKFFEIMSHELFLKGRLLVEAKTSNPVPEHTKEQKEPFASCPSNVVLKWFDSVLLEDFVKHLSSNGYAKELFHRAKVASCVCIIRLIMKLSTGDTASLSFSAVKKVQLISSKLLQHQAFVALLSQYALHGYSGEQELTSLVINDLYYHIHGELEGRQITSGPFQELLCFLLEFKCFERNALDQPYRAFPGATDNILFDVAHTRDDLGVELWTHSDWKPEKEVAERMLDIMHKANKMKCDADAKLSTLRSFTTFLSVYIGTSSSNKVTLSDGGISTTALEAAIRCACTYFQSTVASLFPEVDTNEVLYPLLSGQVDLLLTLARFFFNQVKQTKNSARLHPVIVLLMKTSGASTSFLVDLMPSSPALKKPVKSLLVLILSLFGFIYDKDDIQDVSGDVNLFGESSVISMSLLPVLCKLAENRDYTDLAVGTMDLLLKGFIPPNVWFPILQKHFRLQAILHKCQNGAILSIQVILNFLLTLGRTKDGAKMLQSANIFAFLKVLLSQLSLDDSCFRNSLSSQAKDVNIWGMALAIVASLNHSMDDDISRNCVANSTISFLSGQVPLMSSYLSAQNVGTHHSKKRAVLQQSQTSLSALGLTENILSLLCILAKYHFPHDTSMKEVDSELREIIIHLLAFISRGNAWTGDSPNWNPSFCCPPIVKEEVTLHEDPPLIRSNHGWFRFAASSTLSTAAVSAPSNAALSIVVRDKSSGDSGSAKQTRFTEMIAVQIYRIAFLIMKFLCSQAKEAVKRAEELEFVDLAHFPELPMPDILHGLQDQVVSIVTEVLEANVSNTLVSETDRVCHLLLVILETSLYMELCVSQSCGIRPVLGRFEDFSKGIKAMLHGANKDELNMGIRHNGMRVIKPHNCTESIPFNSLACGMPDH >cds.KYUSt_chr3.42594 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269270896:269274051:-1 gene:KYUSg_chr3.42594 transcript:KYUSt_chr3.42594 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGVPWAEGVRAVGLQIRNRFRVAPVDRRWLWRRPEGRAASEAVRQWSDRVRALVQRDKKQQDQGSAAAPDGAVAVKPSSSAMRFYKKKGTEVLRYSAKMLATVVLVSLVGKEIDGLEDSVIMRSLQALAVPLIGNACHIFMHGLNTVQIYGAEKLQQALKERPKGKPLLTVSNHVAAMDDPFVIASLLPPSIMLEAQKLRWTLCATDRCFTNPVLSTFFRSVKVLPVSRGEGIYQKGMDMALSKLNNGGWVHIFPEGSRSRDGGKTISPAKRGVGRLVMDADSLPVVVPFVHTGMQDIMPVGKRIPRTGKTVIVVVGDPINFDDLIADSSDDTQHISRGILYDKASERIGQQLQQLKVKVDRLAAEHRAELQNRQTDNTVNEGCRVWQQVDWDTFGVGSMLPSAEHSSVQELPTKQVQHELLMAEQSISPPKQAEPEPHLEEQSVPPVPTAAIPSNLGIPHWFSRHADASELMGFAARGLFKNGRFMEEGYRQLDQSTVFSVWREAQGNNAMPRWSTA >cds.KYUSt_chr2.19961 pep primary_assembly:MPB_Lper_Kyuss_1697:2:125581875:125596547:1 gene:KYUSg_chr2.19961 transcript:KYUSt_chr2.19961 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEGNLDLDATTSTLGPEDDTAQGLILPNKDRVMYRPPPGKSALGLDLLAHRKREKDANNAFKPPPQKVVAAASSMDEDEKPGSTENDASSLSGGDRGTVSRRYRGTNSSEKTSSKESTITDENGRGSTPRHRDESHRHQSHSSRYDSHDDRGSRDTRGERETSASIGYSSSGKRGYRDDRESNSRRNERDRSTSVDYTNKRSRDDYSSRSSRTPARSDWDSGRWEWEDTPRRDYRDDRPGSQRYHPTRSPMLAAASPDARLVSPWLGGNTPRSTASPWDHISPSPTPVRASGSSKGSSYSSSSGKSHQLTFSNDAEADRSPSAADRNYEITEEMMQEMDYNADRAWYDCEENNAVFDGDNYVADDSSYKKKEAQLPKKLTRRDGSLMTLSQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVMLLVHDTKPPFLDGRVVYTKQAEPVMPLKDPTSDMAIIARKGSVLVREVREKQSQNKSRQRFWELAGSSLGNILGVEKTSEQVDADTAVVGDQGEIDFKEEAKFSQHLKEKAEAVSDFAKSKSLAQQRQYLPIYTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGVVGCTQPRRVAAMSVAKRVSEEMETELGNKVGYAIRFEDVTSAKTVIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFNIPGRTFPVNILFSKSPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIETTCYALAERMEQLISSSTKTVPKLSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDSGYGKMKVYNPRMGMDALQVFPCSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTEIGWKMVEFPLDPTLAKMLLMGEQLKCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKALKIPLTSCHMEWDVVRKAICSAYFHNSARLKGIGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVSAVDPQWLAELGPMFFSVKDTDTSLLDHKKRQKEEKTNMEEEMEKLRQKQAEDARIEKEREQRKRAKQQQQVSMPGLKKAFCERWNNETNTAHFMGFEMAPSLRDVSYILGIPVTGHVVTSEPIGDEAVNWNPYKDINPAIVPGYCVAADNICYSRTWLISFNIKEVYVPDRFSRQFGREQGRLHQVPLWARRTWSKAKDWRVEYAREIEEFHQLVGCRFMPSVETNINSLPNEFGQSTTGGSRNASQSQNFSMMVLPPEVASFLEKVSTMIKTYSPAQSSPREDEAAQCKNVAARANNSRKRARKPSHLEDPLSPEDSGVNQYQGALVPYEASSRFASVLDGDGTVPPPNGRESFKEHEIMEPWQISHMTTESSSSPDSSSPGSTEWRRQQPDEAPTRRSNESLRRSRRHCVQLKMFKHVDGVGAEETNPIFL >cds.KYUSt_scaffold_869.673 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:4264337:4266715:1 gene:KYUSg_scaffold_869.673 transcript:KYUSt_scaffold_869.673 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEGPLAINLLQRSSHLSFRFSPSSDDDDKLDKPITEAMDELCGEAPLEKPVVNGINTSGLKINGLTGTDGGIIEQLLTSVQATVPTPKDTAKGDLSSEC >cds.KYUSt_chr4.53774 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332704486:332710386:-1 gene:KYUSg_chr4.53774 transcript:KYUSt_chr4.53774 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDAASAAGDDPMDFSWTAGWEAGGAAARASPDTADAPQPPPPPAASPQEEAESMILASGPRVAVAGLRRADCRADECVMFINAGGCAMEPADTSTLFSADSFFEGGDAIETSEDIAEGGDYPSLYSSARYGNFSYKFDGLAPGDYYLDLHFAEIVHTDGPKGIRSFDVLVQEDKILLELDVFAVVGGNRPLQVLDIRATVESSGAIVIDFRGVRGNPMVCGICIRRGPVLPAAKAGTDGSTLCRRCLTDVEVSSPIQKRTAKLISKYEKQIEELTSQCNMKSDECSMAWSLVESTNQELDRLKIELHQKLVQSDTFEQVLDTQTDQLRKVSQNYENDKKLWAAAISNLESKIKAMKQEQALLSLEAHDCADSIPDLSKMIAAVQALVAQCEDLKIKYNEEMAKRKRLHNIVQETKGNIRVFCRCRPLSKVETSSGYKCVVDFDGANDGDIGIMNGAKKTFKFDRVYTPKDDQAEVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTDRNRGVNYRTLEELFKIAEERKDSVTYNISVSVLEVYNEQIRDLLATSPSSKKLEIKQASEGSHHVPGIVEAKVENISEVWDVLQTGSNSRAVGSNNVNEHSSRSHCMLCIMVRAKNLINGDCTRSKLWLVDLAGSERLAKTDAQGDRLKEAQNINRSLSALGDVISALASRSSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDNDVSETLSSLNFASRVRGIELGPAKKQIDTAELQKVKQMLEKSKQEARLKDDSLKKLEENCQNLENKAKGKEQLYKSLQEKLDSKTHFQITSEKQQCQLSGKLKEKEEICTALQQKIVELERKLGQQQRSDSESAVLKQTIEELELKLKEQEQQRAVAESKAREIGQELLEAQKTESMLQSKLLDVEKNLQERTTLEDASMVAQEPEPKLESKLLDVEKKPQEGTKLEDSELMPAPSVSLPRVVPTTPVEAKVPVLPSPREEAMSEKAAHHRILRSSDSANKRVPSSLFAAAPTEAVNERKRKGDARNTIGGGEEHENNHPGAGGHTAARKRNYSLQGGGEEVENSHPAARQRGARNRSLQGEARSKRTSTEPQARVPVRPSTAVGSRAASAAASKTGAGSRVTRPQAPAASSNKTRGWVR >cds.KYUSt_chr1.11064 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67734590:67736478:1 gene:KYUSg_chr1.11064 transcript:KYUSt_chr1.11064 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTRSTATRLSDASGHVWEVPGIVGVHGRARWREGELKDMLRSAISRDEHKRWFRLDADYLGQLHHRHGGTQRKPTMADDYRRSDQQPWKGKCERKGRLPEIGTEVVDSVGQSARTAAI >cds.KYUSt_chr2.33942 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209823333:209825105:1 gene:KYUSg_chr2.33942 transcript:KYUSt_chr2.33942 gene_biotype:protein_coding transcript_biotype:protein_coding MELELSLGDSLAPVKHTITHAQAPICTGKGEGHDLALGLGVTPTKGSGQDSQRKSLPREDTEVLEDDEACPQVELPIQASISCALNLVSAQTGTFKRILQTMYALGSMNSEMCRPGFDVNVVQVDGGASLVRSLSPSFMQVEVPWIHAVDQEASEDEENGGGRVRKKLRLSKKQSAFLEDSFKEHSTLTMEQKSNIANRLSLRPRQVEVWFQNRRARTKLKQTEMDCEYLKRSCQTLTQENRRLQREVAELRAFRPTYPLYNHHLYGARACPSCDNKATTYNNPLFVIPSNATVPSPRPSASPPSAMSTLFARPHFGPFTTGHPVLRRQPSATS >cds.KYUSt_chr4.27065 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170022878:170023660:1 gene:KYUSg_chr4.27065 transcript:KYUSt_chr4.27065 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRLPPGYHFTPSAEELVHNYLEPWIAGQPLGELASVVCIADVYGSDPAALTERFREFGHDGQHWYFLCVTKWKVRGAAALRSRPAAVMNRAVEGGGYWQRWGQAGASQHSFQYRDADGRSTGWMMVEFRSGLPAATDGEGVKVICKVYLSPKAPTTPAVDEERQHVGTKRPAMAVKEEQRDGKFVVEDAGNLVPDTVDGAAAAAAAAGTTAWLQPNYGGAFIKMEDVKPLVMVRPNGEVVRFGLPAPAVSPGGTDEP >cds.KYUSt_chr5.19413 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125932131:125940650:-1 gene:KYUSg_chr5.19413 transcript:KYUSt_chr5.19413 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPCSFTCAGAPFKEKWREGGATAAGSERRRRGAGSGGLEQRRKGLGGAVSWSLGGGRAVLRSGRRARVVGHLKRSCGCCYPGGWSGEKKNCCEVVVLEMVAGKEDALVVTVKELAVVLRVALYGSGKLPSLEQELGTGRRLGMRSMHDGLYYLDKDISPAVAAVLSPSSPLNEFLLQHRRLGHISFATLGQLYPNLYNRIDKISLVCDACDFGKHTRSSYTPSDNRSNQPLHTIHSDVWGPSGVRSINGYRTRGDKKEENQITTSREIIVGVLPTQGVHDDVCLLDAVQEQVQGEQQDKPNEAMQWPRFNEEKNLQVYSRRHRDWQLVSSRRRRRALTVPSSARGVNGGLPRSSSPARPDRFIWRFKGRCLRCLASDHRALHCRDPVRCACCLCFGHRARHCRKRRSGLGGDPSPSPPPLPAPSPPPLPMARELRLGEPAQRPGRGSTFTFCSPGTEDSIDQLRVSAVLVSLPEPRPDITTHHVARAIDRDLAVPAGRMHVSKHHPEPFLVRFEHPGHRDIVLAAGRAACRGTTLSLSPWTPATGGHQRVWRFYCRLAVERVPLPCWTKEKLQDAVGRSCVIDRLERLSLTWANTSCVYAWAWAANPDAIPTSNDFSVLDLPPEPRDHAPPQEGSPETEGLQGPQFPILIHLDSTKDYAPLPVGSTAAWPRVECFHWISGVKDNAAADGSRPRRAAVHDRTRPARRDDDDEDDAPRRRRRSRRNRRAGMLGCRADDAARGSTQRRHQDDGGAARSGERRHAAAPAVSSDGGPVSSWDADHGLDPADSQDESLAWSSWPEPASGGALGSPTPSVGLAVPALPAVVPAPILPEPDMVPLRADLDDFWSELTRVDPLLLGGDVDQAWAAVEPRWAPFTAEDQLIWPADKLAVVPWTGPSPDDLGLDVQLFGQDAPLLPAPGLPTPDLQDGADAMASFESAPPPAVGAAAMELLADRMLSLEVDGNTTFLSKVFGMLPASIMGAPPAFAPFEQEVPLMVPTPPPAPASAPQPRRASDRIAKGPKGLTQEQKAQARLAHQLEFIDAPRKFNSDVRAKFVDRYKKPLGGLTKKLARVAGLDSVACIRLPDEDLAVLAGEALGGLA >cds.KYUSt_chr6.27235 pep primary_assembly:MPB_Lper_Kyuss_1697:6:172737728:172750377:1 gene:KYUSg_chr6.27235 transcript:KYUSt_chr6.27235 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATVDAKKDGPAKAAVGSSFRSVFMHADAADVVLMALGLVGAVGDGLSMPVMLFITSRIFNDLGGSAADLLQEFSSKINENARNLVFLALGCWIMAFLEGYCWARTAERQASRMRARYLAAVLRQDVEYFDLKVGSTAEVIASVSNDSLVVQDVLSEKVPNFVMNTAMFLGAYAVGFALLWRLTLVSLPSILLLIIPGFTYGRILIGLARRIREQYSRPGAIAEQAVSSVRTVYSFAAERDTLARFSAALEESARLGIKQGLAKGVAIGSNGITFAIWAFNVWYGSRLVMYHGYKGGTVFAVSAAIVVGGLALGSGLSNLKYFSEASAAGERVLEVIRRVPKIDSASDAGEELANVAGDVEFKNVEFCYPSRPESPIFTSFSLRVPAGRTAALVGSSGSGKSTVVALLERFYDPSAGEVALDGVDIRRLRLKWLRAQMGLVSQEPALFATSIMENILFGKEDATPEEVTAAAKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDLASVGRTTIVVAHRLSTIRNADMIAVMQYGEVKELGSHDELIADENGLYSSLVRLQQTRESKEADQVSGTGSTSAVGQSSSHSMSRRFSAVSRSSSARSLGDAGDVGNSEEPKLPLPSFRRLLMLNAPEWRQALMGSLSAIVFGGIQPAYAYALGSMISVYFLTDHDEIRDKTRAYALIFVALAVLSFLINIGQHYNFGIMGEYLTKRVREQMLKKILTFEIGWFDRDENSSGAICSQLAKEANVVRSLVGDRMALVIQTVSAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIRAQSESSKLASEAVSNLRTITAFSSQGRILGLFNQAQNGPRKESIRQSWIAGLGLGTSMSLMVCTWALDFWFGGRLIAEHHITAKALFQTFFILVSTGRVIADAGAMTTDLAKGADAIASVFAVLDRVTEIDPDSPEGHKPEKIKGEVEIRGVDFAYPSRPDVIIFKGFSLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPLRGMVKIDGRDIKTYNLRALRQHIGLVSQEPTLFAGTIKENIVYGTEAASEAEIENAARSANAHDFISNLKDGYDTSCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALERVMVGRTSVVVAHRLSTIQNCDLITVLDRGIVVEKGTHSSLMSKGLSGTYYSLACITDPARIMYYCTRDIVGRLIFHIETARGKETIPAAVKGTGTAQQESSALDEDQDYNQQDDDDDDDSGSSGIDWDAEAASIDSGLGDIDYSLDLRDEATDRLRNQRISYSGDNMNIQLSYTASRYLVELLAVSPRSYFVGDLCASDSNHRSLYYCSPKREEFKRNLDSQGNLILHADGVALEDDFYMEINIPEDKDSGELEDVGMFMFSVDAHDCNRVMTQTLSTARGRRMVLTFIPMHTAIQVNVQVTLDLVSASGTTCYVDGEISAHHEFYNKERVVLFSTREEDKAEVVDGKLPLSRTWAAVPISLEPLLIIKLNLRVLINRNEDGEGYTIPFRGDLTFFRAQHEKTICSADHGQLKVQLNYR >cds.KYUSt_scaffold_869.592 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:3799950:3800685:1 gene:KYUSg_scaffold_869.592 transcript:KYUSt_scaffold_869.592 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKFYGDLNIIGPKYLTRVSNSSPEKERSSPEKSMGPPQPGKSIISIEACARPIAVDHRISLPYYFRIAGTLLRQAKIYRDEKNILDLYVILLRYTSLLCETIPKHRDYPVFKSREAEFVRNANSSVIPLYELSN >cds.KYUSt_chr2.2342 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14147682:14148695:-1 gene:KYUSg_chr2.2342 transcript:KYUSt_chr2.2342 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQDSIPKVYAVVAAAALYARASSSLLRPGLPRLVALLPVLALLAAAPLAFTSSASVRGTAAFFFAWLCMFKVGLLAAGRGPLDPALPLLTFLFTALLPVKLRGGAEGASKAKAPVSLASCAVKVAALAAVLRLYQFRDRLHLYLRLALYGVHMYCFLDLLLPCIAAVGGALGMEMEPQFDRPYLASSLRDFWGRRWNLMVSAILRTSVYEPVRARAGVAAGVLASFAVSGLMHEAMIFYFTLQWPPTGEISAFFLLHGACCIAEGWCARRWTAWGWPSPPRVVATVMVVMFVTTTSFWLFFPPLFSGGIEEKIQEEWAAVGAFFLDAVTKIGCT >cds.KYUSt_chr1.11976 pep primary_assembly:MPB_Lper_Kyuss_1697:1:73951336:73952356:-1 gene:KYUSg_chr1.11976 transcript:KYUSt_chr1.11976 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAVPVKFVFPIVGDVASPGPSDRGRQTSRDPEMLSDNSDATSDDDMLPTANSSDNKGRSEELPTSNDESSGSKEERELTDSSKKVASRKWPTDMVNINFSNWKQFKCVPRRALVDEIMKHFYNNEDWKPAMEKATLEKARDA >cds.KYUSt_chr6.12401 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77518276:77519329:-1 gene:KYUSg_chr6.12401 transcript:KYUSt_chr6.12401 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVARLSCVPSPLCLYTAHVARSADDDNSGRDRKRLHLTTAGRGPTNFASPPRIFPSLIALSSSCPLVVVSPGSMDVLPAELCFKIFHLLDHQALAAAPQVCRKWNALTCDDELWRRLFEGRWGADAAAFYAPAPEGSKPWKDVFVVQDRCDRYGLGVRIIREGNDYYLIYQGEIQSYLGTSSDSGAKDAAQQPQGGEAEKKRQISDRILFFLGDLETAYADAKRVKA >cds.KYUSt_chr2.46938 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293546786:293550783:1 gene:KYUSg_chr2.46938 transcript:KYUSt_chr2.46938 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKLAAGDGNYDFHLRSLSAASRDSAAAADPASDPNLLQSVRMVFEICKEAKGANDEMVARAFPVMSKLFQRCAAAPTLSTASTGVLLLTILQFFLDFGEAVLHDADGSLKTFFRSCLSREFADPVVAERTLEFLIVNKMKILSCFPTLVPQFFPLLLKLIASNGERLDKKFSEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSLATIQKSAAPEMLLALMDEAYTGSAIEDQSGNSGSDDSGPLDLADPMFLDLLKDENDGIAAKHWTSPTISSTLQAALNSPQSDRLKQSLKMAPRFLSVYFATALRDVNNSLLCALIPVVMSRYAAMFPDKDFSFKVRKRLSDFLLSAFQRSPDIIALLKKPITDRLGEAHGNPAKAKLALHLCWAIGEHGAGGINHKDVAREIFENLELLLYENLATSRLGLSQEPGFDSMGPTSRKSSQARLLCFVVTAIAKLATCHNELLPRARVSLAKVARSRTLDRRVWQRACDYLGLMNEPAICLSVLGPSTAQENGPGIVNWSEGGTKMVAHIPFYLLAEQKGPPSHDFSYVDLLPAE >cds.KYUSt_chr4.43685 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270768531:270770822:-1 gene:KYUSg_chr4.43685 transcript:KYUSt_chr4.43685 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAASGWPGSSRRIHLLQFLLHASKRLDLRPIVKYSALEFFDARFLPALPRKMGFCGARSGRAVRSWLVEPLRDSNLQLFALVAVWIASKIHEMRPLAVKSLKALSDRIIADQHFTCRDFADAGNIKLGDLVNMDVCMEILDILYEAEDTSWLFNFACPLAASTLVTAYVISVPKQKWEFPIIPWVQFTTSYAEEEILKIVMAILMHVIKPDEIKEKNKRDFSM >cds.KYUSt_chr5.30125 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191105475:191105921:-1 gene:KYUSg_chr5.30125 transcript:KYUSt_chr5.30125 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPPPLAQGTPDPCPSPRRGLDDQLTRSPMDGSKAPSEGAAAEDGSQGARGDKSKLPAAQDGGSSSGAGSSRVPAAYGAYDKSPGAKPRVTFHDPTTPRPQDQYKIMVNNHSVPFDHVWLDQSEDGRPIHPLVSVSSHLASFRFVR >cds.KYUSt_chr2.43287 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269356441:269358640:-1 gene:KYUSg_chr2.43287 transcript:KYUSt_chr2.43287 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAESKKTSEEETMAETYLSRIQRQHPKAHPYQLRREDEARELTVMVSAVVGVTGDEVFARWLAEVKNRMNSPPFAKLHASLPVRDRGCGSDSDEEDQIRIINACCVLHNFARDRQHVRDNLLLQEVDAELANMAPEHVDEATLIRSVQLTAAWTAFREQFAEDIMADPKPKKGGTRTYLQWTPEMDTALLDTLVEHHNNADRAQNGWKPHVYNACIKHVKETCGVDITKDKIQARIKTFDKHYEIISKMLTQSGFGWDSEKNMVEVDSDEVWSRYVEANKEAGCYRNKVVMNWQAIQTIYSRDHATGVGAATPAESVQEQVTPAPEESPEVPQKRQRTGEAILCMMGEMRTSFDEALKATEPLPMPKVTPPTEIYDALKKLNFEESDLLKAYGKLIINERLFEALKALPEEIKKPWLLSLP >cds.KYUSt_chr5.28434 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180057458:180057706:-1 gene:KYUSg_chr5.28434 transcript:KYUSt_chr5.28434 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGFLTGFFPSNGNSSNCGIGGGRALPPAAAPEPFSIRVAWEEKRRKLLGNSGLQEQTPLARLRRSLVGLGSMYSRRIEI >cds.KYUSt_chr2.52422 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327065422:327066316:-1 gene:KYUSg_chr2.52422 transcript:KYUSt_chr2.52422 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHELEGWLLGAAAEQSNARLQYSVLRHGLLAAVDARRLQDSVFWHGVLIVEACLGDLGAIADRHGCGGRCAASFASSPSLAPLRAPKTNFTELLNFLLLFIKQVPPNPGVFRLVNHGVPRDLTARLFRLTRDLLDTDPGEKDLPGYFGGTPVPSLRVKEYVAHMARITRKLFYALADGGEELALDAAQRTSYLEEHGGTFRAYRYPACDPAGQYLGMEPHTDNSVMSILNMDLVGGLKVLVPGGAQGGGAAVGN >cds.KYUSt_chr7.7928 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47885366:47885773:1 gene:KYUSg_chr7.7928 transcript:KYUSt_chr7.7928 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGRASNKIRDIVRLQQLLKRWKRMAVAPGGGRGGSKNGGGGGVVPKGSFAVYVGEEMRRFVIPTEYLGHWAFEALLREAEEEFGFRHEGALRIPCDVEAFEGILRLVSGGKKDAGAGMCDCSCSSETEILCR >cds.KYUSt_chr4.52663 pep primary_assembly:MPB_Lper_Kyuss_1697:4:326800727:326806537:1 gene:KYUSg_chr4.52663 transcript:KYUSt_chr4.52663 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPAGRNAATADPPEEPAPAVGVPVETPPRVDGGSADPEARTEAELALAARPRLLDEETYRRLPTAQAQDDDDEDPDVDDAVVSLGLKDALLSMALSIVSVTSTAPDGSKTVRCSGIVVGVCSDGAGKHARILTASAAVCTSQGVLQDPKPKLSVRLPDGTDFEGQLLFFNDHYGLALLDITVDIEPPVASFGVSPEYGQEVFVLARGESVMARHGTILLLEEPFLGRNHNMFLSCKIPEWGTGGAVIDHDGRVTGMAFFHSPNPAIITTSTILICIEMWTQYSCIARPVLGMHLRAVDLLDLSLREQLSICHDINKGFIVDQVIIDSAAERLGIRQGNVIVFREMCGSTLPEQLEDFLLFRGWEYLQRNIDSCLMVDFKLDVHDLLGRARRNITLTVGLSDSSELVENNWCKLDSPRQVSTLSDPDSAAALHSLQDRDMVLTAVRSVVGVSSTRPDTNNVFQCTGIVIAITSNEAGKHARILTSSSIFCSDEGNLHHPEQKVFVHLPNKTILEGHLSFLNTHYQIALLDISTDYPLRSASFGQMPQYGQKDFFGGPVIDTYGNMMGVIIAHYPKVAIISSTTVKTCIDMWEKFRCIARPMIDMDLRAVGLLGIGQHDKLSCQYNIERGFVVDMVRYGSAAEKNGIRKGRVDVIEIIDEECGSTLPQVQISLIFADNVV >cds.KYUSt_scaffold_869.1055 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6756645:6756992:-1 gene:KYUSg_scaffold_869.1055 transcript:KYUSt_scaffold_869.1055 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPASAAALMAASVLLVLLLARCADAGDLPLSWELGLTGVEEVADDGYGFAAGDGDAVVRRVLQGGNGYISYGALRRDNVPCSVRGASYYNCRAGAQANPYTRGCSKIAGCRG >cds.KYUSt_chr2.26141 pep primary_assembly:MPB_Lper_Kyuss_1697:2:159980040:159980381:1 gene:KYUSg_chr2.26141 transcript:KYUSt_chr2.26141 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLMEISKKWHGSSSSRVTSPTAAASAAATAPACPRGHFAAYTRDGSRFFVPIACLTSDTFRELLNMAEEEFGKPGDRPIVLPCSAACLEQILAAFRGASKKCAGNARTKIW >cds.KYUSt_chr7.6669 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40219119:40223762:1 gene:KYUSg_chr7.6669 transcript:KYUSt_chr7.6669 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGRARANGHVHAVAKVTGSLLARGESKGVNGVGRATSCLCVFVALAVRALAWHGTPGHVWASRVLVLVGFLLARERVHHDQQGKGNNMAVVIVSAIANSVLVKATSFGTGWAVNAINSARNVKKEIEKLERSLASICAVLTDAERKQSTSYALQEWLDNLKDAVYDIDDVLDDMATEALKMEVDKGLSTSISHWFASHFKMCKRIKEVREILDDIASNREQFGLSEQTMHSQASASSNRETHSFIDQVDIIGRDEAKDDIVGRILAAADSNPFSVLPVVGLGGIGKTALAKLIYNDEQITGKFEKKLWACVSDVFDLKMILDGILQSGTGTSHNKLNLEVLQSNLRGLLRGKRYLLVLDDMWSDKLNEWEELRSLLSSGGNGSVIIVTTRSSNVASMVKTLEPYDVAKLTQDECMQVFIQCAFRGNGEKDPRLLKIGNSIIEKCCGVPLAAKTVGSLLCNSLDVEEWERIKDDKLWNIEQEEFGSLSALQELTIGSCPQLASLPSAMKHLSALRVLQIGNCKELDLMGPGDALSGLESLCMLRLVGLPKLMGFAESLKSAASSLQYVLLRDCKGLEKLPSFIQTFISLKKIVIHDGSSRIN >cds.KYUSt_contig_1181.170 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1071118:1072215:1 gene:KYUSg_contig_1181.170 transcript:KYUSt_contig_1181.170 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLCRKSGETAGHLASLNGTPDFGCPEDLRQFRDSLEEVDAVIKSRGQPAIMGTADSDACGIACRTLNLMFPREDRDDDWSDRSAGEDDGDVGDGDNEKDGPGSEVASDCSTQEEGSDEDDDCNGQVKYKDKEAKCPAESTLGYRTPEEMLQFFSLRLSSFDTH >cds.KYUSt_chr5.883 pep primary_assembly:MPB_Lper_Kyuss_1697:5:6128324:6129988:1 gene:KYUSg_chr5.883 transcript:KYUSt_chr5.883 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNNVAGGRAYEEEEGEELDPAVEWKQAGEEQDVVEIRLPGFRKEHVRVQVDNYGVLCVTGGRPARGGRWVRFTKDLRLPDGCDADGVRARFEDGRLLITLPIVPAAAGDAASPTTPSPEPLPRTSSFQPPSSVPPPPLPPPPGKPNFFEPKLRPPLLQPRPPPPPAPPVKPTALEPKFRPPPPPPRDPISRPLPPFPTKPLPVIEPKSKPPPPPPQPPAKPTAFEPKLRPSLTLPREPSSRPLPPFPAKPSTAFEPNTPSPSKVPAPASLFPSRPPPSRATSTSSVPKLPPPPPPPPPPRLPSRLPSFPKPPPARAPSRLPSFPPPPPPAKPTFFDSKHWLPGRHTGQAPDNAPQPTTAERNPAAAAERKPWAPPAIIPGPRTALPERMPSPPPFPPRKQSTANVPDPKSRTLSDIPKSPTVSDIQIAMSSPSPPPPPPPPPPRRRLPGATDKTKKQGNEQHGSGKVRLPLLEEMKKKEKKKMEGRKAREAGNVGNGTPPAVNERREVSPNLLTAASRGPISTTELVANMAAAAAVLVGIAVSVWHTMSGSS >cds.KYUSt_chr6.18245 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114716224:114717139:-1 gene:KYUSg_chr6.18245 transcript:KYUSt_chr6.18245 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLAPLALGAALLLLASAMAAAQNCGCAATECCSRFGFCGTTSEYCGTGCRSGPCIVPATNNVSVASIVTPAFFGALVAQAADDCEAKGFYTRDSFLTAIGGYPSFGRTGSDDDSKREIAAFFAHVNHETIKFCYINEINGPSKDYCDPTNTEFPCAAGKGYYGRGPLQISWNYNYGPAGQSIGFDGVNDPDAVARSPVVAFQAALWFWMNSVHDIIVSGQGFGATIRAINGGLECNGKNPSAVNNRVGFYEQFCQQFGVDPGTGLTC >cds.KYUSt_chr6.17014 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107127934:107128842:1 gene:KYUSg_chr6.17014 transcript:KYUSt_chr6.17014 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGTLAESGDGGGQAMGPAKPMSMSERARLARVPLPEQGLKCPRCDSGNTKFCYFNNYSLTQPHHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKQAATAGAPAANGTASGGSTMTTSSTCTPAAAANGAPTLPAMLNSGGGNLSCLLPPLLRLADFDAMSLGSTFSGMGKPSSMDVASGFYPVGGAAAAAGLEQWRVQQMQGFPFYHALADQQHAMAQAAAPAMAMPGMFQYLGLDSGGRGSGEEDGDHHFRATMASKRKGYPRSGSIGMYGGGDHRLTAGYTSSYSNTATGNHLL >cds.KYUSt_chr2.34121 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210910163:210914880:1 gene:KYUSg_chr2.34121 transcript:KYUSt_chr2.34121 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKARPLEFTPTWIVAAVCSIIVIISLIFERLLHRLGKRLMRSRKKPLYEALLKVKEELMLLGFISLLLNVLQGPMGRWCVNPDIMRHLLPCKPPPRASRKTEHLGDAVFAGARRLLAGGGGDSEGYCMEKGEGVKAEDETEAPPPFELKASTTEAKERVMAVTTPPYPDLKTQMPIPSPADPKSGPPPEHGGDAIGDAPCPASRTGANRPATYGPAVLPDRALGRSRLGVSSA >cds.KYUSt_chr7.6046 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36208878:36216228:1 gene:KYUSg_chr7.6046 transcript:KYUSt_chr7.6046 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFNAPVDLIDADRRIGNELTRTNVTLSARDHALQNGDAKPFVPSSDTLVRQGASLHNDLIAEDPHTRLMDPETKELYFRSRTQEEEILLLRKQITDASSKELQLLSEKHILERKLADLRMAVDERQEDAISGALKQLNEKRNHIEENMRLSNDLKNTMFAHLKYMLQPLQLLQRSRIGTLSPSTLKIQMIDMLNKCTMDPLLIITATPSNYFQENAGPREARLDDDSQFYRNDNQEYSTDGDPLPGIEGFQIVGDPRPGSNLTACGFPTNGTTLCNFQWVRYLEDGTRQSIEGATMYDYVVTADDVGTLLAVDCTPMDDNGRQGDLVRKFANDENKISCDPDMQNDIDICISKGKANFDIYVLGYSPDEWELATLVLRRTGYQINLSQKSEVFIDEKYSPNLEALDAKRKGKA >cds.KYUSt_chr3.40899 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258152825:258155044:-1 gene:KYUSg_chr3.40899 transcript:KYUSt_chr3.40899 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRMAQVATYFAVAFAAFLFWQSNRNPTPPPQPDMPLPPPPPPFPRAASTVLPDPARFFAPELLAAPLPTNSFFQNFALNNGDQPEYIHPYSVKSAAGALTVCYPKTVHSPPFHAQTFVADLTLSSPSAATPAAPHRIAAFDDLSVTLDFAPSLRAFLVRGSPFVTVATAGAGVPVDISLASVHAFLEAAPRDDTLTRWRLRMNSGQTFLLYASAPIRLSMSSVTQLAAPGFSGVIRVAFLPDAAMEAVLDRYSGRFPTGGEAALNRPFSVDYAWRTQGSGDLLMLAHPLHLRLLSKDRGAVRVLEDFRYRSIDGDLVGVVGDAWALRTDPVLPTWHSTRGVSEDGVPEIVAALRKDVDDLASTPISTTSSYFYGKALARAARLALIAEEVGCPEVIPAVRGFLAATVTPWLDGSFEGNGFLYDPKWGGLVTLQGMTDTGADFGFGIYNDHHYHLGYFVYATAVLAKIDPAWGRQHMPQAYSMVADFMTTTSREAAGASYTRLRAFDLWKLHSWAGGLTEFGDGRNQESSSEAVNAYYAAALLGLSYGDARLVSDAATLTALEMLAAQTWWHVREGDATYEDDFTGSNRVVGVVWANKRDSGLWFAPPEWKECRLGIQLLPIVPISEALFPDAEFVRDLVGWTAPALARDGVGDGWKGFVYALEGTYDKEAALAKTRELASHDDGNTLTNLLWWLHSRGSPGADAAAGIGSAAVDGGTTAHGMLLDMLATEPALVSS >cds.KYUSt_chr4.4812 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27530830:27531366:-1 gene:KYUSg_chr4.4812 transcript:KYUSt_chr4.4812 gene_biotype:protein_coding transcript_biotype:protein_coding MQANAASGAGVNSECITKFNELQAKRLHRYVTYKLHDSKEVVIDQVGERGATYEDFVSALPENDCRYAVFDFDYMTPEEVPKSKIYYIFWSPDCAKVRSKMLYASSNSKFKTGLNGIQIEMQATDASEISRDAIQDRIKDRAC >cds.KYUSt_chr5.30240 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191750719:191756096:1 gene:KYUSg_chr5.30240 transcript:KYUSt_chr5.30240 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKTKPSAKPWPPATSTSHAKTTARPFIAAVRTDDDDDFQIPLHASGARPLKPPNGAVSRHLRKKLKLPSPHPGKENHPVAGGTASAGRVAAAAAETLAAGSSVGRAGLRCVPEEKEATGEGIRGISRYGSGDPKLSGATEEEGLGGYDGCKASSSRFPNSTESRALEPGKTCDLGGGHCEEAKGVSRASNPVPGKGAVGARSGSWLHGPACNGRGVDAKAHVASLSGTEKEWSRFGLDDGNHHSHSIESELLVPSSKSGFEGADCKGFQDPGLGTCSLVSEGRKVVVEDVDISKTEPAEKKSSSAADSVEYHCSNSAESVHLESRTVHRSEAVDCDDFEIGTQLNELINLCMRDQVDGHHNSSASPAEGNTMDSGRFESVYKVQCPLCGSDISDLSEEMQLAHTNNCLDKDEPAKESDHNHERRPCTGENTENECVVKWLRNLGLSKYEEIFTKEEVDWETLQWLTEEDLLGMGITSLGPRKKIIHALGELRKKNDHTNDMEEDVVISENTNRTKVPMNGNKLITEYFRCSPSDQKQRGSKVQRPSNVNNQKNSSAKVATSRSHTRKTKVKDTPIWCCIPGTPFRVDAFRYLRGECSHWFLTHFHLDHYQGLTKNFCHGKIYCSSITANLVHHKIGVAWDRLHVLPLNTRITVAGVNLTCFDANHCPGSIIILFEPPNGKAILHTGDFRYSSEMANNPILQSSHIHTLILDTTYCNPRYDFPSQEIVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFTEVARLLQKKIFVGAAKLQILKHLELPQEIMPWLTANEAESHIHVVPMWTLASFKRLKHLSSQYASRFDLIVAFCPTGWAFGKGRKKTPGRRWQQGTIIRYEVPYSEHSSFTELREFVRFISPEHIVPSVNNDGPESVDAMLAQLLNEDQ >cds.KYUSt_chr7.30113 pep primary_assembly:MPB_Lper_Kyuss_1697:7:187508721:187511852:1 gene:KYUSg_chr7.30113 transcript:KYUSt_chr7.30113 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLARPRRQQRGTSSDATPLRRSTPRRDKRRDECRYGQEMEQRWVDERRRQRVERDALYRERRESIERRRRESIERRRRESIERQQQMAVEERQQREAALAAIWGRRADQLAAEADVLAAAMMEAPTDVEDDAPMEEEEAEAKETEVEDDDEFEWSDDDGLHPDETADQQRALVESFESEKKLQDDARAREEAQIQVVRPAVDGTKNVPEAASAAKVRRVVVVSSIVAVDSNPKDWPTYKFKDENSWSDEEFCRNNEDWYSVSKITAEKAAHEYGEKTGLDVVILNPAVVFGPLLQPTVNASNQFLIYFLKVLVAAGGPDQMRDKLWHIVDVRDTADALLD >cds.KYUSt_chr7.16633 pep primary_assembly:MPB_Lper_Kyuss_1697:7:103024073:103025521:1 gene:KYUSg_chr7.16633 transcript:KYUSt_chr7.16633 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAKFPGRYQQVQLLGEGNFAKVYLARHMDTKQEVAIKVMDKEKLIKLGAVHQIKREIAVMRRLRHPNIVQLHKVMACKSRIFVVMEYVRGGPLYRHVPVNGGMKEDEARRIFQQLVSALTFCHAQGVYHRDIKPDNLLVDEHGNLKVADFGLSAHADTARQEAILHTVCGTPLYVAPEVFLRKGYDGVKADAWSCGIVLFVLAAGRKPFRDDNLRVLYRTICRGDFRCPRSFSPELVRIVRRLLQPNPTHRITLLQIMETDWFKKGFKEISFYIDKKDCLRSLDGPEEPDFCDSDSDDETAMSPDSSSPVAQAQGMHTSISAPSLVNLEKMHRSASRAPQTRLRRIKSMNAFDIIASSPSFDLSGLFEERGEQMRFVSSAPVATIIAKLEEIAGQVSFTARTKDCQVSFEATRNGHKGALAISAKILQLTPEIVMVQVCKKAGDTAEYHQFCSSELKPGLRGLVDGLPEEGLPPALSVA >cds.KYUSt_chr7.1860 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10726094:10727983:1 gene:KYUSg_chr7.1860 transcript:KYUSt_chr7.1860 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSPTSHEHQLMAFWAPFLLLHLGGQDNITAYSIEDNRLWLRHLQNFFVQVLAAAYVLYQSSIVARQTLLRPAAILMFVIGVVKYGERVCALKLACSDNNLSGKNYQNFVKKVKNDSGGGGGDGGNIQKVAQGAGKYSQTFRAHLLLDYAKHLLKGPLPVASVYGRIGIEWQDMYGVAEMQISLMHDVFYSKAELIHTWHGYCIRVFSLPATVAALLLFRRFNEKDGYRRADVAATYVLLVGAVVLEIIAAVRAMFSTWTYGKYIRASRDGVARPLARLASWSHELARYAMCRGTGTARYWSGSMGQHNFINMCSHCKNSLGSKIARWIGREDWWNMLVYTSSIAVSPDIIEVLKKVLESKDVDNESPDHIRNSRGRAALKRRPGLYEELGWSVDTEPDQTILVWHIATHVYLSWYEAKHSRRPHSLAQVTQELSNYMIFLLAARPYMLPDNASRQWYIELCNKVIHELEYGSEADLLTLIRVQGDTTLSFREPPQPAGVQGDTTLSFREPPQPYKPADRFERNLTFDRACQLGAKLISKDQETPDANMLELINQVWVEMLYYAAYRCRPDSHVRQLSNGGEITTVVALMLEYTNSGFFRSNKEGSDGLPDFFFPMPTAPPGAFRQT >cds.KYUSt_chr7.8033 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48594928:48595635:1 gene:KYUSg_chr7.8033 transcript:KYUSt_chr7.8033 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRPASAYGSAVAAARDASLRLRHHGQGRSPPARCARRRTPPSTPVPELREAPLHRLHLRRATRSSPPPSPPTGNVLSASDERADLLLLPFHAMGGSPSPSSRPGPASASAALDRAIGMALSPSSSSPLRRRPSTAAVPVVRREVHFPPPPSLPRGELSSASASITREGLDRAIRGLASQEALQGRRHCREAPSPPPSVPAAPLSIVLHRAGGSGPRHPRHGVAGGSPGPPSLP >cds.KYUSt_chr4.4069 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23294633:23302536:-1 gene:KYUSg_chr4.4069 transcript:KYUSt_chr4.4069 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAKSARTAKALCRTAKNGARQSVGIRRCFEVDTGAGVVGVLEERCRRVIGLLRRLLVTLRGYFEVDRNGDGRKRRTTVAKNILRYLPVLPRIQRLFMTEDTAQRDEARTDKEMKSELRKIARGFDHSVEAFNSYDVNGYRFQTHQYTTSRPNAKTINSGVTMNKKTRAWMETMREDEAEDVCAPEDLSLLEAFKAGIDLDADGPPPGFIDDYCSFQFEDPTQRPPRGYSNILGGLLRWYFPGIVNFPTGGCDVAWRWAHYSLAEDPLGRGTAADMVVAKFWRAEGKENACDDVLHQLARKRVTGMHYEARIQCVRDWHADRFVHMSKEDARDTLMQPWQYLQNPPQYVGNDDRCFRAMVMWWTCPRYLKKHEEGKAKRAEMRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSLWVNPQSETQCTAYVSKFKQKHGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRRDPSWSRRWQRRSEAAREQRARDGAADSAVPSSSETTDDAARCNSSDEMMQQQQAQMSWLMSQTALSSPPGSIPAPPPYSMPWMPPPPTQSPGTPLTVNNLNIIRSMNRGESSCAQPGTCTCSSVQYANANVHSINLIDYMSQGNDDEAGGSGGGQG >cds.KYUSt_chr2.53143 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331559214:331559516:1 gene:KYUSg_chr2.53143 transcript:KYUSt_chr2.53143 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVVLLAVPAAACGFMHAFKLAVLLWPFNLALPQLRQVPRVCITLRAAASHYAAELREYLAAGNHRNSYYDLRGVGVQGRSAERLLAHAMISLVDISY >cds.KYUSt_chr2.43831 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272854961:272857241:1 gene:KYUSg_chr2.43831 transcript:KYUSt_chr2.43831 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRGPRIGAGCPYGVTDDSEEQDIRVLLDHIHGFYEAALDLLPVETLPSLAPRLLQAGMCFGFLDPVSNIIANTIAYYPPSPRPRPDEEDDDDDDDDANSILSKVIADPCNNLIYDMFIPPEEIVCMNIARRSLRGLVSFLTFHFRFLAYTEAIRYLRLAGADLLAAVRLIKQDRDSDSNPVFNFTSPFSKMALYCAAVSAAHPKSSLLVRASLSLASWMVKVTSLLSGDNCPLTNASIKRLTGWLSKDPKIVRRKLMCLALDLASWRQQSTDRQERKRKRDEPMPGDTGPVQKKSHRKPPMHSAFGYTQSLKLLLLGKIHALYLEALALMPGDALRKTQHSNLIKGGYCYGPMDPVSNIILNTVWYGAMFPTTKDFELGFDVSMICTRHLARVECCSLYGLVAFLRKRFVTLTENHAMWYLLMNNADLREAMEKVQQAGHVMSGSYSDGLKEAAVQSCHPDCDALLEFMGLHSADMPYKQPSSLTYCRLEHLVTTMLSKSSPAKSAECVEQSTNATLSSEILNENQRMFIVAAKEKFKADQGFFVKKVNAVLQEFSQEKGVDYELHIICGVNPEVTKRSSLSLFKRNFKYEYFHINFLATAKVSNEAGTTPELFFAECSNSDKDMEKRASLCFPVKDSSVDDG >cds.KYUSt_chr3.29542 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184865143:184870172:1 gene:KYUSg_chr3.29542 transcript:KYUSt_chr3.29542 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYITAAAAVADDGGLTKPNKAPQQARRGCRSVVVTGLLAGLLLFRAALLAVETGASLCPSATGCLGWRAGLGDWLYGGGGDDPTEEFMKQWRRSHREASLLDPVLVEAAPDSLNSLMAEMDTILATYDRLDMEVVVVKIMAMLLKMDRKVKSSRIQALFNRQLASLGIPKSIHCLALRLAEEFSVNAEARSPVPLPQHAPRLTDTSRLHVALVTDNILAAAVAVASAVSSSADPSRLVFHVVTDKKSYVPMHSWFALHPVSPAVVEVKGLHQFDWRDGDAIASVMRTIDEVQKSSLGYHQLYDGAAEREVRRLEASKPSTFSPLNYLKIHLPEFFPELRRIILLDDDVVVHKDLAGLWEQDLDGNIMGAVGAHCPAADGGICIESALDEHLNFSDPALSSLGLDGLHCAWSWGANIIDLDVWRRTNVTETYQLWLQKNRESEFRLWKMASLPPALIAFNSQVQAIEPLWHLPGLGWRMPDPDLMQFSAVLHFSGPRKPWLEIAFPELRKLWLGHLNVSDSFLRGCGIVE >cds.KYUSt_chr7.39455 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245310152:245314551:1 gene:KYUSg_chr7.39455 transcript:KYUSt_chr7.39455 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKPHIHILPHNLHTKNAERYNCSEKGGRTEAPRNSAHSPACRVLLSGNSEHNLAYQVLLPGSPEDYISPFFFLEGGRPKVSKLYDNAPKRMTTLGATAVETENGQRFSPGALAWGIDHTGVPKRITTPASVATIDAKGLGFHLKSTPATPRNHERPAITKESLGALFHLMATVTDEAVAVPAVGAGEAEAVMEEKVEVAKEAGAVEEKVEEAKEAGAGEEKAEGDAKKPEAEEEQGTAKAKKPRSRKPRSEGPNHPPYFEMIKEAIMADGKAEASTYAIAKRVGERHGDALPGNYRKVLAVQLRNFAAKGRLVRVKASFRLAPSEEKKASKKRRGTATKKAASNSKKAAPAPAPARARRAKKAAPPAKPKPKPKQPKSIRAGMARKANKASA >cds.KYUSt_chr4.37937 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233946271:233955258:-1 gene:KYUSg_chr4.37937 transcript:KYUSt_chr4.37937 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNIRDNPIPAYQVSYLDSVEFGLNSLPDEYPRILVWKGNRIRQYSEMDKNSSKSFGKRPLKRLVPASVYQVERSFGKDSCKKFSKTFSSPFNLNGKLKGLFSSFLTNEVIDDIVQIIHTNNIGKPKDFEAWSHSLVCDVLSCLQNSQHAPQPSCSNNSIDIPETETISKPPVVDSFQENNAKPVPILNEGNGCVVDVNVQSVSPEVKIIGDCNFKAKYEAMSKETEEAYNKLKKIATTTIEETILRYNGNNGDIVQTAFEGANSAFRLWKSDQIPFSIVIANHWFLFAVCLKAKVFAFCDSLYDEGDPFHNAIRQPLRNTMKKHSSGTQGLKISELTVLALLACLTCKT >cds.KYUSt_chr7.15932 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98885785:98888195:-1 gene:KYUSg_chr7.15932 transcript:KYUSt_chr7.15932 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADNRRMVRARVPRAPGRIGALPDDVLRRIMSQLSSREAVQTCLLARRWRNLWREVPIINATFEDFEDSAAAHDRIEREAMFKKFVTRFLLLRNCVGLDEFRLDYSLAGGTEDLSAGSADANLWIFHALRWNAWAVKVLSREHQLELDPAVFTSSFLRRLHISSARLVPGFFDQLQLGCPALEYLFLSDSLVMDHEIFSNTVKFLILAEEVMFSCDHDDPVSISAASAISVSIECDLSVARLPTLKNMESLETASVLLCGHVRACDADGIRQFLGGLSHVTSLDFRYMDGKACVYVMSGELEDRSFTCEQLEIVEIICSETDELLPGVTQFLRHSGIGTDQMRITHKN >cds.KYUSt_chr5.21794 pep primary_assembly:MPB_Lper_Kyuss_1697:5:142299739:142307151:-1 gene:KYUSg_chr5.21794 transcript:KYUSt_chr5.21794 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPKGKVTTSRRPARKLQAGSAAAPPSADIEDLATSAALVGPASAPAALRSGLLRWYDAHRRDLPWRFSAAPGREGREKRAYAVWVSEVMLQQTRVPVVIDYYSRWMEQWPTVETLAAATQEEVNEMWAGLGYYRRARFLLEGAKQIAEKGEFPSTASTLRQVRGIGDYTAGAIASIAFNEVTPLVDGNVVRVISRLFAIADNPKESSTIKRFWELAGQLVDPSRPGDFNQAMMELGATLCSKTKPGCSECPVSSHCQALALSQENPLVGVTDYPRVVPKAKPRHDFAAVCVVQIAQGLEQEMAASHCNLFLLIKRPEEGLLAGLWEFPSVLVDESKTDSLNRRKEMDKYLKQWLDLDVKRKYDVVLREDVGQHVHIFSHIRLKMHVELMVLKIQGDVGQVCNKGQDSMKLKLVDENSIDSMGLTSGIRKLYLTRTVGEACGGDGGCGGGYWPRMVIFFLCVDDFGCVRVRDRELVTLGGKPPSWLGGWCSGDLFKKIVKRPGLLLRGACEVVVELAVSGAEEKLTIRKGPLSFVGVLVCSGICFNMGFKEQQMRKVAADVLAFADVHVTTLQLYNHIRNWRTKWSVIMKMKSDRILDWSEDGCCFYGGDEGTTDEYIQRYPKHPQYVGTPITNYA >cds.KYUSt_chr5.23518 pep primary_assembly:MPB_Lper_Kyuss_1697:5:153170644:153172149:1 gene:KYUSg_chr5.23518 transcript:KYUSt_chr5.23518 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPPWWSGYGPTLAVARALFVFLRRGGTPLEFLLRIDDDPLGIKRLPDKFAEFVDGVEPAHLRLREAGRNLAPGRGALEYMRGTKHGHNRHSAGAWRSVGERDPNPSPPDAMMDPSSTAPAPAAAPAATTGNGDGGGPAAAVSAAVTTASRRFQHLLDRSTPHVGRRWLGLGAVVVVYALRAWFVGGYYIVTYALGIYLLNLLIAFLSPQVDPEVAEVLGGEPSLPTRASDEFRPFVRRLPEFKFWCDPFLPPA >cds.KYUSt_chr6.30956 pep primary_assembly:MPB_Lper_Kyuss_1697:6:196231778:196234497:-1 gene:KYUSg_chr6.30956 transcript:KYUSt_chr6.30956 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCPCPTSPPAPPPMEDDDLLSEILLRLPPQPSSLPRASAACKRWRCLVSDPAFTRRFRRHHHSRNPPPLLGFFSQDAAGITFNPTMDPPDRVSPGRFTVLPEARVHSKILGCRHGLLLMFHQKLKRVLVWDPVTGDQRSRAVPHPLVFGTDKTPRGAVLRAAGDAHHFRVVLASCDRHEQHTRAFACIYSSETGVWSDLISTLVPSEDYMTLSTMPPVLIGDSLYWIITGNWRAVLKFDLNMLSLAVIHLPLDKFACCKPWNITVVPAEGGGPGLLLVSDLTAQLWNRNTDCDGVASWVLTRTVELDKLLSLDSEERRFLMIIGFAEYKNAIFLQTDVSLFMVQLESLQFKKFPVPIIGRFYHPFESIYAADREV >cds.KYUSt_chr5.40072 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253164607:253165589:1 gene:KYUSg_chr5.40072 transcript:KYUSt_chr5.40072 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLPIVLLLLPSLLAATATADDICIVGSGISGASTAFFRTNYTTSLPQLRVFECRGKVGGRLATITIGGDDFEAGIHPRNLHVRRFADLLGLSARDDGDDDWLRIWDGGRFVFITLRPPPGSSWLRRRLHGLANSLLLLRLYGLSLLRMDNFVQEMLQKFLLYYYGFESRPVFDSVEEMLKWSGLYGLTQRTLEEDLAHYKPNRLVDIRAGNCNLLLEDEDFC >cds.KYUSt_chr4.2538 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14231269:14233434:-1 gene:KYUSg_chr4.2538 transcript:KYUSt_chr4.2538 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPIQLWNQWAIQILILFSFVLQIFLFVFARARRRGSSAVLTILLWLAYLMADSTAVYTLGHLSITDSPSEHRIVVFWAPFLLVHLGSQDTITAYALEDNQLWPRHLLTLGVQASGVSYVLYKHYAGIPRSLRFSTVLMFIVGVIKYMERIWALKSATLDSIRSSIRKPHDLPYYYRALPSQRVRAQERDDEELVLFAQGMLPLCKAGIVNFPVALTSHPIFDWSNLNWNWNWKATFKVVEMELSLMYDILYTKAAAVHTWYGYCVRVFSMLATATVFVRFQLSDTGNCYSGTDVAITYILLVGAFLLDIASAFSALGSTWTCNFLLNLKWSRLGLAILYLRRHVKAAASNRGWSGSIGQFNLLRFSSRDNTKLTNIVAKMMGLEDWLNKWFYSETLVISEDVKELVFKHVWKVVKKIHLPSAENEIESTPYENGLEEPVAMMPSSREWPGFRPELYDDTARRRKKLDDALNFSAELQEMILTWHVFTDVFLLHITLDAESSSAYLNAIKALSNYMAFLVALHPDTIPGFELRSLYEATTMALCLMHSDKPKLVEALYAYDPDHPDEDPEKPLLFSRWRHLLPPKPVMQVQVESSIVISHGVLYSKLMVQLADAGNPDKPAVISGYENRYRVAMDKLKRLMPDLESSCQGGEFDIPKVLALILDTWVRLLILASVRCKREAHAKQISHGSELTTVVWLMEEHASAFFNQPAVLRGYMEE >cds.KYUSt_chr5.11859 pep primary_assembly:MPB_Lper_Kyuss_1697:5:76973495:76982949:1 gene:KYUSg_chr5.11859 transcript:KYUSt_chr5.11859 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPEPLSPEKQGDEEARGLLYEAYNELQRLAAELGGAAVPAPAIVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPIALHLRFNPSCHSPNCRLLAGSGEGDAEDDAGAADRAMPLADIQAYIEAENKRLENDPSQFSAKEIIIRIEYKHCPNLTIIDTPGLILAAPGRKNRVLQSQASAVEALVRAKIQHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKIPQFARASDVEVFLHPPTCVLDGSLLGDSPFFTSVPSGRVGSCHEAVFRSNEDFKKAISLRELDDVTSLEDKLGRSLTREEKNSMGVSNLRLFLEELLQNRYIESVPSIIPLLEKENRAASRKLRKVTQEFSDLNEAKLKEKARLFHDSFLTKLSLLLKGMVVAPPDKFGETLINERVNGGTFTGSENFQIPNKMMPNAGMRLYGGAQYHRAMAEFRLVVGSIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLSFRLLYILKRLSPISVFLLEKDAEHFSSHDVLVKRVQAAFDKFAESTEQSCRERCMEDLESTTRYVTWSLHNKNRAGLRHFLDSFVAPEQYAHTAHSSGPHEQSSGLNDNKQDRPKGDLRSIHPSDSNPSSVAPETRLVDLLDSTLWNRRLAPSSERLVYALVHQIFHGIKEHFLVTTELKFNCFLLMPIVDKLAALLREDLESAFEDDLDGIFNVAQLRHSLWADEAGAGSRTEADQAFKREVRRNKYEAQFSPG >cds.KYUSt_chr5.436 pep primary_assembly:MPB_Lper_Kyuss_1697:5:3084815:3085382:-1 gene:KYUSg_chr5.436 transcript:KYUSt_chr5.436 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVTKSSPMLVCPSSEPAGSGKGNGSHINLSSVDKCYASLPLVPTSTVPVDDLALQYPAPMCRRGDPLLLMQVTEFSCGGFTVAVTWNHAVADGKGMAQFLQAIGELARGMPAPSVLPRRPARCRSSPRR >cds.KYUSt_chr7.28061 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175240666:175241651:1 gene:KYUSg_chr7.28061 transcript:KYUSt_chr7.28061 gene_biotype:protein_coding transcript_biotype:protein_coding MELGNENATVKKARVEEPLLLPPNVQVKQEGGVVAAAAEGDTRKEMDIIKFDATLLHCPVCSDRLRPPVFLCAAGLHIACSCCQGKLPGKRCHSCDHGGGSYVRSPLMDKVVCSARVQCAHRQHGCTSCVAYFEASDHESACPRAPCSCTVPGCGFAASPPNLLGHLASTHAWPVHSIRYRTHLGLAVRASEPRALLVAAEEDGAVFLLSVAALGTARAVSVVCVRANGDAGQQYSLKLWAHGSGDRAVILDSEVTSSVAPGEINVDAWEFLAVPPTMMTGPGPDKEMVLTVCIDEAS >cds.KYUSt_chr7.28806 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179393572:179397006:1 gene:KYUSg_chr7.28806 transcript:KYUSt_chr7.28806 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFHFVAFLLLLVFFSLSSASASATSGHRTGGNVTATATLRPGLKQRRIRALLNKLNKPSVKTIESPDGDFIDCVPSHLQPAFDHPKLRGQKPLDPPGRPNNYNSTIPGGSRADAVVVQAWHATGEVCPEGTVALRRTTERDLLRASSLRRYGRKPARRSTRRDSTSSGHEHAVGYVNSEKYYGAKASVNVWSPRIGDPSEFSLSQIWVLSGSFGNDLNTIEAGWQVSPELYGDSSARFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAIGAAITPESVYNGRQFDITLMIWKDPKHGHWWLELGPGVVVGYWPSGLFTHLVHHARMVQFGGEIFNARPTGSHTATQMGSGHFPGEGFDRAAYFRNLQVVDWDNSIIRASNLKLLADHPGCYDIHGGFNSDWGTYFYYGGPGRNVKCP >cds.KYUSt_contig_1181.1246 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:8043432:8044844:-1 gene:KYUSg_contig_1181.1246 transcript:KYUSt_contig_1181.1246 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAMNYAALSPLQLPLPYLPPPPPPPLLPPPVPLMPLLSPPSPAALGSGFQSRISPSILLIILILAVIFFISGLLHLLVRFLFRPAPRDPGDADTSDGNATAFQGQLQQLFHLHDAGVDQTFIDALPVFLYGAVVGAGGKDPFDCAVCLCEFDDDDRLRLLPKCSHAFHVECIDTWLLSHSTCPLCRRSLLADFSPCGGGCSPLVFVLESGSEGSVSDRLDGASSAHVSLVMEQDEGCQGQKHGADVKEKEEVVVPVKLGKFRSQATEGGVGSSRANPDQDVRRCYSMGTYEYVMDQSSLLRVSVKPPAKKRPATRMPGHRVAMSECDCHSKREGFRGFDASAKLSKPTTGKKESFSVSRIWMRGGTRGKDGGAPSGSSSRRASSFRLPSALQRAASDIGAAPKRRADVVSPVTESEYNVSAWDKSASGSVVDWDVESGMGTAAAGLSSRADEAPSFARRTLLWIRGHL >cds.KYUSt_chr3.46220 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290876819:290878384:1 gene:KYUSg_chr3.46220 transcript:KYUSt_chr3.46220 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMSCSSNLSLAGTRTVLPPCRPAAPLPSQHLTSRRRRSSSRLVITSALSTDEAKAPAKEKPASVIGDMERGTLAEESGRSDGQLTSRWREIHGSNNWEGLLDPIDTVLRGELIRYGEFAQACYDSFDYDRFSRYCGTCKYPPKRFFEDVGLAGVGYDVTRYIYATSHVHLPNFSIAKHNPLDDRMWSETATFIGFIAVSSDEETARIGRRDIAVAWRGTVTKLEWVEDVSAFLRPIGQYGIPCEDPRVKVEAGFADLYTGKDPSCKFCKYSAREQVLAEVRKLVELYAGKGEEVSVTVTGHSLGSAMAMLSAYDIAETGANVSPSADVKAPVCVYSFSGPRVGNTWFGERFERELGIKALRILNVHDTVPKVPGILTEAFVPMPLLRLADALGLPSVYSHIGVLLALDHEVSPFLKRTFDLACYHNLEAHLHLLDGYTGRGKEFQLGGRDPALVNKAADFLKDEHMVPAGWRQELNKGMVRTDEGKWTLPHRPRDVEEHPDDTDLHLAQLGLAVAAAAT >cds.KYUSt_contig_2515.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000361.1:25423:28386:-1 gene:KYUSg_contig_2515.3 transcript:KYUSt_contig_2515.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDAAFPPPASVSKNSGRKMHKMLKSAFKRADSPGLSAASGDEPELSPSASVGSSASSGSRASSGKRVGRGSRSDDVGDRSSRESFELDDSFDDVNFEGSRTRRCGGAGGAADAKIASAYEPFPWRGKMRELLPVPDSSCSSLLLLPKATDAPHRHSPSMTPLLFARRLHRLWQLGSVPVQLASVRDREASSSRFSARGCLDGEHLPTRPTCPPSAYGLRITSGVDIGSARGGAVSVRQVGAKARPRWRLRCARETPQASASPLAAPRSGLIHVSSVADESIAGGGSRQVLLTPVSSQKLSPPHRHALRPITLHFLAWDDGVLPLSPQPPRSPVLMMSSPSAESDAEELDGIYTAPGSSQQGGLKGRPGFG >cds.KYUSt_chr2.34694 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214208385:214214857:1 gene:KYUSg_chr2.34694 transcript:KYUSt_chr2.34694 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAELLEKIRVLEEGQAELNRDIGRLIPERRGAQSTARRRACRALPPQRSSSSSSRRVANRGRLSDRYCHWILQSLGQAVHIVAPDGKLLYWNRYAEDMYGYSAAEAVGQNAVELMVHPADYDSAKIVIQNIFMGKCWRGKFPVKNKSGERFFISVHNTPLYDDDGSLVGLICLSLDVRILEEIYSPSGSAESYPSTTKPQFHANNRPKSSSLNTDSLHSQQPLQSAITSKIATLAMKVTSRVRSRIRTGQNAEEQYGSGCEGQYSELDVRVELSSSEASSPSGDVMPGAFFVEMKSASKSTKTSNVDSGEGKVGFHKMFSSKAEELLAKNGISWPWKGHGNDGGSGKSSMKLTQLRDKLENDQSHQRVPVLEPIIIPDCQNSDYTWASKYEVTGSWWDFDMNSTSSISSTGSSNSSGMDGADYEADCLDYEILWEDLVIGEQVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRSEPSNEKSDVFSYGVVLWELVTQKVPWDTLNTMQVIGAVGFMDHRLEIPSDTDPEWASMIESCWDSDPQRRPSFQELLERLQGLQKQNAVLAQTQRKAAGKGAGRMSIKES >cds.KYUSt_chr2.48672 pep primary_assembly:MPB_Lper_Kyuss_1697:2:304459018:304460713:1 gene:KYUSg_chr2.48672 transcript:KYUSt_chr2.48672 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKLPAAPVADLCDANASLILTGELRILEPVFQEYGQCISFSGQVVTMRVLEHNVGESELLETPGEGRVLVLDGRGSKRCAIMGGNLAEQACRNGWAGAVVNGCIRDVADINTFPIGVRALASHPRHPGTDGVPELHVDVEFAGAVIRDGEWLYADTDGIIVCSKEIYGFPEGGRQKTRTHARGIVLLEDEHRRVEAWKVIHRRAEPTSTLMSVAIGIMMVSLWIAVFIFRPDWLDLGLIVVCMLASLVGIFLLLKTEQVEQKRKRLGAKCQVVSDEV >cds.KYUSt_chr5.21213 pep primary_assembly:MPB_Lper_Kyuss_1697:5:138274449:138276106:1 gene:KYUSg_chr5.21213 transcript:KYUSt_chr5.21213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transporter, TAL effector PthXo2-dependent disease susceptibility to bacterial pathoge [Source: Projected from Oryza sativa (Os12g0476200)] MAGLSLEHPWAFAFGLLGNVISFASYLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIYYALVKSNESLLITINAAGCVIETIYIVMYLAYAPRKAKLFTAKILLLLNVGVFGIILLLTLLLAKGEKRVVSLGWVCVAFSVSVFIAPLSIIGRVIKTRSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNILGFTFGLVQMGLYMFYMNATPVVAEGKEGKQEGKLPAEEHVVVNIAKLSATPERNYGNEVHPVMQMAARAAPGSCAADVAAPEKGAALAVDVARPVEVVV >cds.KYUSt_contig_1253.85 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:553990:555787:1 gene:KYUSg_contig_1253.85 transcript:KYUSt_contig_1253.85 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQSVVVPEVAAATPPNTAALFPYPPPRAMPGVAVRKKYLQAQMELGSGLISGWVESMRASSPTHAKAAAALAAGVDDEHAAWMAKHPSALTMFEQIVAASKGKRIVMFLDYDGTLSPIVDDPDAAFMSDTMRLAVRSVAKQFPTAIVSGRCRDKVFDFVKLAELYYAGSHGMDIKGPAKASRHTKAKAKGVLFQPASEFIPMIQQVNESLIEKTKCIPGSKVENNKFCVSVHFRCVEEKSWSTLADIVKAVLKDYPKLKLTQGRKVLEIRPTIKWDKGKALEFLLESLGFADCSNVLPVYIGDDRTDEDAFKVLRKRGQGVGILVSKHAKDTNASYSLQEPAEASA >cds.KYUSt_chr2.30085 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185268528:185282121:1 gene:KYUSg_chr2.30085 transcript:KYUSt_chr2.30085 gene_biotype:protein_coding transcript_biotype:protein_coding MFCQFIRARRRQHDRERENAKATPHSARPASNGKRELQLIEFSPSAMNQAPTTADSLLLKLASCQSRLAVSLLRQSLRPRRRPPPMAAYQLSAQLHGHEDDVRGVCICGDVGFATSSRDKTVRFWTQHPEKKQEYVLSKTLVGHSSFVGPLVWVPPSDRFPEGGIVSGGMDTLVLLWDLRTGEVVQTMKGHSSQVTGLALDDNGDIISSSMDCTLRRWRDGNAVEVWEAHKVAVQTVLKLPSGELFTGSSDSTVKLWKGRTCLHTFSGHADTVRCLAQMPGMGILSASHDGTVKLWALTGQPLLEMFGHTSLVYSVDAHSSGLIASGSEDRSVKIWKDGICVQSMEHPGCIWDAKFLDNGDVVTACSDGIVRIWTTDNNRFCSDEELAAYTDIISQYTLSRKTVGGLKLTDLPGVEALQVPGNSDGQTLIVREGDNGVAYSWNSKELNWDKIGEVVDGPGDAAPGQVHDGAHYDFVFNVDIGDGEPVRKLPYNRSDDPYAVAEKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGGNAYVPGQPSSSNGSVPKQTFKHIPKTGMLLFETAQFEGILKKITEFNATLASDSEQKHLSLSDADFSRLAAIVKVLKNTSFYHTSKLADADMALMMKILKSWPSHMMFPVIDFLRMFVLHPDGATLLLKTIESGNDVLVDTFSKAVAQPVHPPNVLTLLRAITNLFDNSCLHQWLRNHCAEIIDSVSSCKQSFSKSAHLAYTTLLLNYSVLSIESKDEQSQAQILSAALEIAEDDAQDADSKYRALVAIGSLMLNGLVKSIALDLDVKSVASSAKDSMDSKIAEVGADIELLTK >cds.KYUSt_chr6.5688 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34128986:34130044:1 gene:KYUSg_chr6.5688 transcript:KYUSt_chr6.5688 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAERIQISDMSPQVLLIFAFYAWMFRSGKVRPLPPPPSPPRRSGSASSEDRLRDLGVAGICREILRVVLARPPRFVGTVVTLALHVSALSLAHATLVARLLDAWGSRWGAFLLLALLEAACLCVLIVLSCSTAASFAISVASFYCCAADDDRAREALVERLIEEARCRRLAENVFDALVVVLLYTAAAVAGMLALQWVWLVAAPGARALDFSYARDWFLAGLLYMGADYQLAAVVSVLEPDERARRCFGRSSALLAGNFCAAAGVFAFLVHCFESVHAVFGSLVLDGRMGLGFGLQVAATLAMVAALCAVLVAALVAHPVIYFVCKAYHNEAVDLGLGEHERVDNNNGVH >cds.KYUSt_chr3.43018 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271941440:271947420:-1 gene:KYUSg_chr3.43018 transcript:KYUSt_chr3.43018 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAALGRVISCLHPPPMLLLSARLLRHRLAASAAASPLRFVAAMSSSSAPAHGGAAGKPNRLAAEHSPYLLQHAHNPVDWYPWGDEAFEKARKLDIPIFLSIGYSTCHWCHVMEVESFENEEVAKLLNDWFVSIKVDREERPDVDKVYMTYVSALYGGGGWPLSVFLSPNLKPLMGGTYFPPDDQYGRPGFKTILRKVKDAWENKRDAIEQSGNVVIEQLRDALSAKATPQDVPNDLAARYVDQCVEKLASRYDPKFGGFGSAPKFPRPVEDCIMLYKFRKHLEARQESEAQNIMKMVTRTLQCMARGGVHDHIGGGFHRYSVDECWHVPHFEKMLYDQGQIANVYLDTFVITGDEYYSSVARDILDYLRRDMIGEEGEIFSAEDADSAEYEGAQKKEGSFYVWTSKEIEDTLGENAELFKNHYYVKSSGNCDLSGMSDPHNEFGGKNVLIERKPGSLIASKFGKSVDEYSQILGDCRQKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASQILKSGPPGTKYYFPVTGCDPVEYLQVAEKAANFIKDKLYDESSKRLHHSYRNGPAKAPGFLDDYAFLINGLLDLYEYGGKIEWLLWAIQLQVIQDELFLDKEGGAYFNTPGEDPSVLLRVKEDYDGAEPSGNSMAAINMIRLSSIFDAAKSEGYKRNVEHLLAVFETRLRELGIALPLMCCAADMLSVPSRKQVVLVGDKGSAEYRNMVAAAFSSYDPNRTVIQIDPRNIEEMAFWESNNANIAQMARGSPTEEPAVAHVCQEFKCSPPVTSPGSLRELLNKTVAVSGSSV >cds.KYUSt_chr3.2327 pep primary_assembly:MPB_Lper_Kyuss_1697:3:13306125:13306397:-1 gene:KYUSg_chr3.2327 transcript:KYUSt_chr3.2327 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATVHRLAASSSTSSSVAMDSSSSQYPPSSPSSLVVDMEKGWGSITREGDLLPVCLVLGNAMGSSVTRHRLPGSPMSPPPRTCPGSST >cds.KYUSt_chr3.4140 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23575737:23576485:1 gene:KYUSg_chr3.4140 transcript:KYUSt_chr3.4140 gene_biotype:protein_coding transcript_biotype:protein_coding MNISINNHESKIGNREDKRMHDKKTSNCDNKSSTRSVVKMGPCGGGGGNAWKMDMCGINRIVKVVVRHGTAVDAMSVFYEQEGQEKKTKLWGGTGGKRSEICLKPGEYLTSVKGHYGLYNNWFVIRSLTFVSNRRNFGPYGKEEGSPFKLPATGGKIIGFHGRSGGLLDALGTYVKMG >cds.KYUSt_chr4.42831 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265265581:265268055:-1 gene:KYUSg_chr4.42831 transcript:KYUSt_chr4.42831 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTFGRFHFRVEKEGAYRLEIPISSGLSAVDPDFSSSASSIESGDEETSSPRFIGTRASEKLAKIFSDVSFESSADSDISDDSSDIDGFNFIDRSTTVGKVFTNLCDGVIKPSKVQNPKYHQIYAIGEPSRDQEETSEAFDDLGNPYVDPADLRRGLGTKYVGSTPRLRVQLPQEAWDRAARAMDGTEPMTTTATSEELQAYQYRLARVGRELEKRTAALNKRQEAASASTSKRKMSQNGTDMENGEGSMIPREVPGSYGLPYLSAIRDRLDFYYNQGEDKYFESRVEKYGSTVVRINVPPGPFMAPDPRVVAVLDAKSFPVLFDVTKVEKKDLFTGTYMPSTSLTGGYRVCSYLDPSEPTHAKVKQMLFSLLSSRKDAFIPAFRTHFSSLFAAVESQLALSGKSDFNALNDATSFEFIGDAYFGVLPSTSELGTTGLAKAAKWLLWQLHPLVTLGFPKILEEPLLHVGPDPAVLSPI >cds.KYUSt_chr3.25342 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157301468:157306681:1 gene:KYUSg_chr3.25342 transcript:KYUSt_chr3.25342 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSMSVVAVVLLVLAAAQPARADPACYSRLFNFGDSLSDTGNYHFVFPNDNREPAMRLPYGETFFNRSTGRFSNGRLIVDFIAEALELPFLRPYWSGQSAKDFARGANFAVGGATALSPEFFEENDAPLPNPDTVCLDKELDWFSDLLEFLCPNDLAVPIPEIVQDYIVSNANELSLQKLIELGAKTLLVPGNLPIGCVPAYLMRFESDEEEYYEPDTGCIRWMNEFSQYHNKLLVDELEKLRKLHPGVVIIYADYYGAAMKVFRSPEQFGIKYPLVACCGGEGFYGVSETGGCGYGEYKVRDDPEKYGSWDGFHPSEAVYKAIANGLLRGPYTQPPIATTTNSCPQLTEIVSSFENKGFVADNILQGFDLNKPAQTEGYDGADQDGNDAANFVPGPHSTTTAGAFNVAEEDGEEICSQPVVPFVGMMFDDLEVAKQVYNDYAWKLGFGTRIGNTKYNTARRVPKDTILNRVFECVHTGKPAAECKNAAARSKEATAKVK >cds.KYUSt_chr2.28429 pep primary_assembly:MPB_Lper_Kyuss_1697:2:174491891:174498525:-1 gene:KYUSg_chr2.28429 transcript:KYUSt_chr2.28429 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVFAVNGERFELRDGDDPGATLLDFLRSRTRFTGPKLGCGEGTRPLSPSSIPPSGCGACAVLLSTYDATADEVSHAAAASCLTLAHGLHHRAVTTTEGLGSSRDGLHAVHARLAGFHASQCGFCTPGMCMSLAAALAGADGKCNGAGPPPREGFSRLTSAQAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWRKGDADVSKLPPYKEGSIGAFPEFLKAEIRASLSTDTPAASDMSKTMSSWHRPRSVEEYYKLIASHTSGTKVVAANTSSGVYRESELYDRYIDLRHIPEMNSVSNDTDGVRIGAATSISRAIEILRTQGDSFKDVVFSKIADHMEKVASHFVRNTASLGGNLIMAQRDEFPSDIATILLAAGSSVCIQVTSEKLNVTLEEFLEMPPCDNKTLLLSVFIPHSTPVSSSAGTVNMAGDNTASSLLFETYRAAPRPLGNAVAYLNSAFFAQVSSDGTSGGLILENLRLAFGAYGTQHAIRATDVEKCLVGKPVSASLLLEACRVLKKTIVPKEGTTHSAYRSSLAVAFLFSFLYPATKGNVKPAEAVRPNGFVASDTNGNSNSPHSVNLDVSLKETNCVKSGLNNNDHILDSCKQIVEISKDYLPVGIPTKKVGAELQASGEAVYVDDIPSPEDCLYGAFIYSTKPLAHVNSIELDPSLEQLKTVKVITVKDIPKEGANVGASTIFGPETLFGGPLTQCAGEPLGVVVAETRNFANIAAKRAVVNYSTETLDTPILSIEEAVRRCSYFETPPFLLPQKIGEFSKGMEEADQKIYSAEVKLSSQYYFYMETQTALAVPDEDNCMVVYSSSQCPEAVQNTIAQCLGLPCHNVRVITRRVGGGFGGKAVRSLPVVTACALAAFKLRRPVRMYLDRKTDMIMTGGRHPMKICYSIGFKSDGKITALHVDLFINAGMTMDISPTIPHNFIEALKKYNWGAFSYDAKICKTNFSTRSAMRGPGEVQGSYVAEAIIEHVASTLSTDAKLVRQRNIHTVESLALFHSECMEDALGYTLPSICNQLTASANYQHRLEMVQAFNKSSQWKKRGLSFVTIAHKVSSRPTPGKVSILNDGSIVVEVGGIEIGQGLWTKVKQMAAFGLGQLWSDQSEDLLERVRVIQADTLSAVQGGWTAGSTTSESSCEAVRRACSIMVDRLKPLKEKLQEKQGRVSWDGLILQAKMAAVDLSAREYYIPGASGSYLNYGAAASEVEIDLLTGATTILRSDLIYDCGQSLNPAVDLGQVEGAFVQGIGYFMSEEYVTNSDGLVTSDGTWTYKIPTVDTIPRQFNVELLNSGFHKNRVLSSKASGEPPLFLAASVHCATRNAIAAARKDIHCSVSGSSPPSFFELEVPAIMPVVKEMCGLDNVEKYLKTLVGPK >cds.KYUSt_chr4.52901 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328138292:328138918:-1 gene:KYUSg_chr4.52901 transcript:KYUSt_chr4.52901 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVAVIATLLALNLLFFTFSDACGCQCGACPSPGGGGGGGGGGGGGGGGGRGGGGGSGGSGGSSGGGSSGGGGSGGSGGGGGGSSGGGGPGGGGSGGGGSGGGSSGGGGSGGGGGGGGRGGRCPVDALKLGVCANVLNGLINLELGTPPKKPCCTLIQGLADLEAAVCLCTALRANILGINLNVPIDLSLLVNYCGKSVPRGFQCP >cds.KYUSt_chr5.31144 pep primary_assembly:MPB_Lper_Kyuss_1697:5:197249359:197254238:1 gene:KYUSg_chr5.31144 transcript:KYUSt_chr5.31144 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSSGLSLIQSEKLTSEDVAWLTKSAIAVGHNSFSQAIDFVQLENVNYAGSHGLDIKICGKENHYQPFPYLEPLVSKATECLEKALQGIGGIFVENNKFCVSVHFRNVDQKDWDLVEATVKTVLMDFPALRLDTGKMVWEFRSKEVFTKGDAVEYLFNHLLEDLKTDRSRVLAIHIGDDKTDEDAFKMLGEKGYGFGILVTTEPKPTRALYSLEDPSEVMEFLEKLVTWKEEEDGITELP >cds.KYUSt_chr3.31392 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197152180:197157186:-1 gene:KYUSg_chr3.31392 transcript:KYUSt_chr3.31392 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALVGCPMGLAIMAYTFPKRTMRKVEGWLGDYDGPITALLCGMLKELHCDPRILVLKYTYYDGEILTKCRVSVQLPAQLLMSRIMPYGEAKTITTAYHMALFKAILEIRQHKSVELLCSEFSHIPHAEEDEDPTLNHLVLAHRSPEAAAQHMDSCKSLLTTMYLLHMKMRGEIDHMLAEFTDPDKVQARMHDLRAQPQYTTPFFSLNSPVDLSDQLPKRDPLTPNFIPHYPHVSASTTRKRPIAAQGRRRILRRIRTRLIPLLLFLQAPHRLLRKFLHGRRGRRARAARRPGRAVLPPDPTTQPPPPLSIHPDPPSHPIPLRHRHRGRRGGPGGVRPLLGPPATPSAPVWEAALSGAQEGRGVRHPARDGGVRARPDQPRRLSCRLSGHFFSVYDSRSGKNGERRCGKDGDTELRPAVEEDEEKGTDSISLDLEMGLSKPSQYEEGESSGVKKKKKKMRGQVNRNPSWMTEEGGMYPTGDTYESLSSYLGMTDLCLGTSSDSDYIPTGRTFVPDGVRKTSRCTGWTPGMYAEANEDDEE >cds.KYUSt_chr5.42756 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269355694:269356060:1 gene:KYUSg_chr5.42756 transcript:KYUSt_chr5.42756 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGGGSCAGVVAVAAVSAAVTLATVRLFHGLESDFRRKIQLQRRSNKPGGLREATAKKKVRFADDVMEPSSSNKEYRRKRQRFPLLAGQA >cds.KYUSt_chr6.27377 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173853570:173855123:-1 gene:KYUSg_chr6.27377 transcript:KYUSt_chr6.27377 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMRAYGDEQQQQESLHGHGRDKDGAGGGESNKKARAGLCGVLRERKVLELARAKQRLVEVPYTATLANAANALLAGRVSAVTVAAPPGHWIGAGGSMIVESDPATGVARKHYIGMVNMLDILTHIAEAGHDDDAAAVGNGPAAPPEAIDLDHRMSVPVSSVIGHSLEGLTLWTFHPNTSLLDCMETFSKGVHRALVPLESSAENVVAVELVESAPVYRMLTQMDVVRFLRAHGAELGGVLSRTVRELGAASEAVLAVANRTKVIEAIRTMRAGSLTAVPVVDAPVDAYILQDGRGKKVVETFSATDLRDCPVAQLRSWLGTTVAEFKKKVAEYRRDGSKPLDAATGVQATDDGDTAGTTVDAGGVAGTGNEEKPPRPREMVTCSMDSTLGEVIEKAAASHVHRLWVVDDGEEALLRGVVSLTDVLRVVREAALDEDRELQNFVVLE >cds.KYUSt_chr7.24389 pep primary_assembly:MPB_Lper_Kyuss_1697:7:152021219:152023352:-1 gene:KYUSg_chr7.24389 transcript:KYUSt_chr7.24389 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGGGADDEALRVAMLASAGGGEEDDLEEIRSVPTFLRRAAEENRRLWLLAGPAIFTSLAQYSLGGVTQVLAGHLTTLELDAVSTENSVIAGLAFGIMYGMGSALETLCGQAYGAKKPGLLGVYLQRSWILLTAMSVLMLPLYLFATPILRFFHQDEEIAVLAGRFSLYMIPQLFAYALNFPIQKFLQAQSKVMAMAAVSAAALAFHVALSWFLVVPMQMGLVGLAVALNASWWLVVVGQLAYIVMGYCPGAWNGFELDSLAWTELVSFARLSLGSAIMLCLEYWFYMFIIVIVGNLPNAQVAVAAVSICTNLFGWQIMVFLGFNAAISVRVSNELGAGRPRAARFAIAVVLMSSVAIGVAFFVAVLLLRDVYGSPFTESPEVVDAVASLGVVFAFSLLLNSVQPVLSGVAVGAGWQWLVAYVNLGCYYGVGVPVGYAMAFPLQLGVQGMWSGMLTGVGLQTVILVAITMRTNWNKEASEATSRIRRWGGSPNFSDGI >cds.KYUSt_chr2.12011 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76245633:76247579:-1 gene:KYUSg_chr2.12011 transcript:KYUSt_chr2.12011 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTPTKDEEITTGSGVQAQVRVLEMALVAKRSRCTHSTTCLCLRGHINEDALFLVFRHINWNPKMIALISCVCKWFDEIAKRVLWKEFCHARAPKMMQDLHSGGSHIVDGNWKALGKLLIHCSGCTTGGLFDVRVPGHFVPKTRFSKTSGKSFLPPMCRTDVLYVSDPCEHLDEEEGDLGFFRGIFKSFASSKFKKVLIEKQANFHPKEVCPYCKAKLWDLLEADLIPTSAAVRLDAYDDSVEYYVCLNGHIIGFCAMMTVSDSEGEGTSNIKT >cds.KYUSt_chr2.10068 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63706952:63708267:-1 gene:KYUSg_chr2.10068 transcript:KYUSt_chr2.10068 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTTTLLMCLLAVAVVSLGGAEARRQQGKGTLGFYELRRGEFSMVVTNWGATILSVRLPDQTGHIDDVVLGYKKIGSYVNDTTYFGALVGRVANRIAGGRFTIKNHPYHTYKNDGNNTLHGGHRGFNQVFWSVRERVTGEFPYITFSYRSYDGEQGFPGALDVLVTYKIDGDYSYSVTMYARPVDKPTPVNLAQHSYWNLRGHGRGTILDHSVQIFASAVTPVGAHLIPTGAVTPVAGTPYDFRTPAAPGAHIAEVEGGYDINYVLDGAEVDGQGVRKVAVVSEATSGRVMELWGDQPGVQFYTGNFLKGDEGKGGAVYVKHGGMCLETQDYPDAVHNAKFPTEIYRKGQVYKHYMLYKFSLAPNK >cds.KYUSt_chr2.1208 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7118086:7121441:-1 gene:KYUSg_chr2.1208 transcript:KYUSt_chr2.1208 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRHAQGVHNVEGEKDHSAYMSPALLDAQLTPLGWSQVDCLREHVTKCGLAKKVELVIVSPLLRTMQTAVGVFGGGNYADGASASPLMVEGAGHSGRPAISSSNCPPFLAVEACREHLGVHPCDKRSSITKYRTLFPAIDFSLIENDEDVLWVPDVREENESVAARGMKFIDWLWTREEKEIAIVTHSGFLFHTLNKYGKECHPTVAEELGKHFNNCELRSMVLVDRSMLGSDTPTCNFPGKIPAGLDLPSDVADKKHAENGQV >cds.KYUSt_chr4.44929 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278157238:278159976:1 gene:KYUSg_chr4.44929 transcript:KYUSt_chr4.44929 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSTTRTTKYILEPVVGTCFDSLAEAYEFYNLYSWEVGFGIRYGHSYTNDEHYKSSQDLICQLEGIDKRDRNDSARCGCKAMIRLHRTDDHGWFVETNRPDHNHPLSDNCGEKMQWNSHKRIDQATKDTVRYLRENNVSLSKVHCILGSMHRSGDKLPFLKKSLRTVCQQIAFSQKDDDIKKTIDLFRDMRSADPDFAFRFDLDPEGRIKNLIWTSGRSRRQYSCFGDVVVFDTTYTTNLYKMPFGLFVGVNNHFQTVIYAGILMSEETIEGFNWAYTEFVSLMGGKAPLTMLTDQCRAMEVAIGMTLPNTVHRWCKWHVFRKAKEELGGIYSKKTGFKDAFNNVVNEMLTVDEFEKGWGRLIEDFGLAENSFMIRAFEARHKWAKPFFKDKYCARMTSTQRVESANHMLKTYVPRNSSMNKFVSQYNKLLKDRNEAEDSEEHKNKQCNRKERGGWPIEKHARRIYTRAVMKLFKAELERGQNFNPPKEIDGQGLYELEHSYAHLRPSWARTKFTVRVEEGQRFICECGLFGHFGIVCAHVIRLMIHLGLNEIPDFHIMKRWTKAARDILGPESKYRIVMKHITAAKKELREDDTPIAPLYYSSDDGDSRRSTNKTTQLEGLAESGAMTSDGMLIKEPLVKRGRGRPKATRFKSFLDGGCSKTAKKDKRNAVNRPEGLSQQTSFCKRCRKPGHNSSTCTALPDGGASPVTGSLQKKPRRQNRCSNCGGAGHNSTTCTASVEAQDD >cds.KYUSt_contig_2824.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000527.1:106414:114930:1 gene:KYUSg_contig_2824.13 transcript:KYUSt_contig_2824.13 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYSKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFDKPWFLSEGKLAADILLEPIDVFTEDELRKRVASVLLTFPETGALCYRDEFEEAIERIVKESRPEAASVAAPGKVRLADDDIPVLLVMGDTPTVDELPGVGPGGVFPPGWLDNRRKELEEECQPEKKKKIGRRYVLGDKVRVDLITKGFVEIHEDYMEEDPDFDPYEGRDLGDFSNATVFATEDFEGEVMILPPPDGYQEDVD >cds.KYUSt_chr6.7457 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45351398:45351700:-1 gene:KYUSg_chr6.7457 transcript:KYUSt_chr6.7457 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALMMSPELRDVLAKVVAFILVQGLVYLILTNSSDVFSKNKILRSLSFRTMRSMRVRRLLAPLSDVPVGTDDLGSAPPPSPSYLSRSCSSRRGGDRQD >cds.KYUSt_chr4.11335 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68909117:68911989:-1 gene:KYUSg_chr4.11335 transcript:KYUSt_chr4.11335 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLRDIVPLNFSSFSHLLSETADDSSCVLHLFGQNWAILPSVVASLGLLSAVLCYDISMLQITMDPEILQLQYMALRTFLKDILSYIHSLVPLRDAARAACVSHRFRSSWRCFPNLTFNLETLGLNKHQHREDEGRAKNVVESALGLNKHQHREDEGRAKNVVDRVNHILQNHSGVGVRTLELNLRACRDVITADYVESWLKLAVKPGIMELGVYLPSDLAYNFSCSLFSDEATSSLESLFLLCCDFHPTSGTGCWRSLTSVCLSSVRITEEGLGCFLASTFALEKLSLSGCNEMVILKIPSLLQHLSFLEVSHCRMVQIIESDAPKLSTFSYVGRPIKISFGDSSVVKNMYAGEHGNLRRDSILGDSSREMRRVPGFHHDSLKRLCITGFCSAKSMVELICQVLENTPSLQVLVLDTTLGFDSVFVPPGKCHIMGKEALDEANRAVEAFRMHVEGKVPSSVGFMVWEPCKRCNTPKFIHT >cds.KYUSt_chr1.33784 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205320567:205322309:-1 gene:KYUSg_chr1.33784 transcript:KYUSt_chr1.33784 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPFTETSHTRWGDYSVQGLNIEVVAEVSDMDALPGGDGRSIRIPPYFWGEGPAVDRLAGNGKLSKGGGFPVHVGYSEARALVGKGTVADLRRLSLEAENLVEEMFASIGVPHKHEQFGPEATRPRVVRLRLSPELELWKSTQHAIGNVLPPKGAGLTQASPRAIALLGAGDWPEAMTTTNALFGCGIASLLIGAADARTMFSNYVTDMAFYYEHGYNHVFPSLHRLLQDGLADAHARRTLGGRQRREAVAAGVRYIQAKIALEAAHRTRLKDAAARMDRRTAQVISLSESSLLGMAAEATARGFDAGAVMSDLVFSSPGTDVVDVGCDLVNSEVMNSFLNVADIAASGVVSEQALRDIYDAYAATCARMLTQRWHEPVARMCAALYTWHIQNDRHMFLRRVVLGWPKVRKSPAPPQREADFDEVFDADFRTTGFSRPLDPAHACDGGDTCNHVRRFLDHYQGEDLLGALWSSLVIGPLEYARRGEVDEQREQYLAESSRLLMAQLFSKGLVVHMVWLIAHANHHAWQVNYLFEASMFGSILDGGALVGKLDRAEGEEAQGQEKEKDMTYINVRNEQGR >cds.KYUSt_chr5.17602 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113561562:113562527:-1 gene:KYUSg_chr5.17602 transcript:KYUSt_chr5.17602 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSMRRGGDNDDDRHHRRIPCFEDIDKSRWKRYYSDDDDEVDLDQPRSVLEALHIARCDSIIQYDPKKDARVFTRVCNINIAGFDLDRESKAGLGPLLKDATPETMRFRATVSANVISVKVIESDWGYPVSIYGTVVARDVIDFRCVSLFRREREDPQIINSAVKQ >cds.KYUSt_chr1.5412 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33367576:33368781:-1 gene:KYUSg_chr1.5412 transcript:KYUSt_chr1.5412 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCKEAADQPSFFLPDELIEDIFARMPASSAQRCRCLSRAWAAALSSRSFIDRHLRLANRRDGSRSRSVFFLPDYHSMIAHTWSPSRPLVAVSRDIAVVTRQCRGLVVLMQLYENYYNGPGSAVRLAKYYVCNPSTGQMTALPKGKDAFGLWPLNHDSLGIGYDASIQKHKVVRLYCRGALPPACEVYVLNSTTKHWRPPAGAPDKVMPPGFATDFCSDQSVFAHGYLYWGAQPDRNSYGERVIISFSIRDEVFDILPPPPAVRRLYPCEITELDQRLCLFNNTDNKRVFDIWVLHDHRAGTWGIHCRIDLDREPLAGRRLICSYRVFPLDIADDGRNILLRPGPHDIPQDDSDAHQLYVYRPATGGVESLLVDGGIISHHTMARRVAVPYEESIEPTG >cds.KYUSt_chr3.2494 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14261817:14262640:-1 gene:KYUSg_chr3.2494 transcript:KYUSt_chr3.2494 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSYFLLTAVLALATWQAIASDPSPLQDFCVTDNNSRVLVNGFACKDPKDVKAEDFFLAAKLDMPRDTKMNKVGSNVTLINVMRIPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPENKFLSKMLNKGDVFVFPEGLIHFQFNPNPYKPAVAVAALSSQNPGAITIANAVFGSKPMISDDVLAKAFQVDKRTVDWLQAQFWADNHN >cds.KYUSt_chr7.4911 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29507078:29508124:1 gene:KYUSg_chr7.4911 transcript:KYUSt_chr7.4911 gene_biotype:protein_coding transcript_biotype:protein_coding MEHACINFTTVARSVRLLKVDGFSLTESMGNDDCVKSRWSFDGYEWEVRIYPATNCSVAVEVAFLSRPRKGSVRAAIGFRMVDPRGMIKPSNELCVSWVFSNPQECSPKKVVAGRRELGASGFVRADSFTMQCTITVLKELPDTAPDTVEEVTVPSSNLHRHLADLLQSETGADVTFLVSGESFAAHKNILAARSPVFMAQFFGDMKEKCSQRVEIRDMDPVVFRALLHFIYTDAVAELDEKDEAATVIAQHLLAAADRYGLDRLKLICEGKLSGGIDVDTVATTLALAEQHDCPQLKAKCVGFIVRSPAVLDAVLATDGYKHLEACCPSVLADLLKSSLRMGGRSDA >cds.KYUSt_chr5.16603 pep primary_assembly:MPB_Lper_Kyuss_1697:5:106772224:106772433:1 gene:KYUSg_chr5.16603 transcript:KYUSt_chr5.16603 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAASRRCRHSRGRSTPAPSTELLGEATVADPAGEGVAATERVATLEAVAAAAAEHEAALEDAEHTL >cds.KYUSt_chr4.53450 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330793928:330801984:-1 gene:KYUSg_chr4.53450 transcript:KYUSt_chr4.53450 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAYERRLLAAADLVLSADVGSHPPLFSSSADLGVTADLKPHQLDGVDWLIRRHHLGVNVLLGDEVLPFDVMLTSYDIALMDQDFLSQIPWLYVVIDEAQRLKNPSSVLYNVLKERFIMPRRLLLTGTPIQNNLSELWALMHFCMPSIFGSLDEFLSTFKEAGNLLLGSQANKANRQCKILKHLLRAFMLRRTKALLIKSGILELPPLTELTVMVPLTPVQKKIYLSVLRKELQTLLSFTGGSSRHQSLQNIVVQLRKACSHPYLFSGIEPEPYEEGEHLVQASGKLLVLDLVLKKLHRLGHRVLLFAQMTQTLDILQDFLELRDYTYERLDGSVRAEERFTAIRNFSSQPTKGVVGDDNNPSGAFVFMISTRAGGVGLNLIGADTVIFYEQDWNPQADKQALQRTHRIGQLNHVLSINLVSQRTIEEVIMRRAETKLKLSHNIFGDEDTTDRKGKDLGNEASDMRSIIFGLHQFDPADTAAETINEETLEKLESMSENVVKMRSHEPLEKDGRAFEINPNSTDGSGAVITRAYDSIKIDPGVDEAAYLSWVEKFKETSHSIENVTVELEKQRSAPEEKLLKREANKKKAEEKRLAKWKDLGYETLAVKDPDNIPNQIISDSGSVQLVYGDCTDPSKLCAAKPAIIFSCVDNSGTWGRGGMFDALTGLSTHIPDAYKRASESDDLHMGDLHLIQLDAEPNELITVLSNRRMNGYLKRCLVCNNNCYTWFPCIDEAFWKLTPVYDLFR >cds.KYUSt_chr2.11762 pep primary_assembly:MPB_Lper_Kyuss_1697:2:74890071:74892253:-1 gene:KYUSg_chr2.11762 transcript:KYUSt_chr2.11762 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYVSSSTIKMSRGGSAGGGQSSLGYLFGGGEPPKPAVAPAAAAPPVPAEALPAAGVPTEKASPVKGEVSKQIPAGIPGSQTNNYQRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGN >cds.KYUSt_chr4.6471 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38152409:38152833:-1 gene:KYUSg_chr4.6471 transcript:KYUSt_chr4.6471 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKYAYPYPAQGYYQGGPYQGGPYQGPPVMAPPQYAPPPPRAQPSFLEGCLAALCCCCLIDECCCDPSIIFVG >cds.KYUSt_chr2.14157 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89586167:89586772:1 gene:KYUSg_chr2.14157 transcript:KYUSt_chr2.14157 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASATTMVAVGVVMLLAGGTAVARVVTVSVASATTVVAVGSWTSPRPARGESGLAMIKGETALPLSVLIVVEGRSGEAGRRWSGPGGGEQLTSAFQASFSEEISIWANRLTVSAKGASARIIPGVSGLFVVTAASPSSSIVGDRVTGMEVTLVSMPFSTRPSRKAVARAFQLRSASDSARMGLIAPSKLVSPAGWFDGH >cds.KYUSt_chr3.21567 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132410287:132415740:1 gene:KYUSg_chr3.21567 transcript:KYUSt_chr3.21567 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTVVMEEYVPLSGLNMQLKKVQDDACKTVDKGQRWSLFQTQCIIKGKACKLMIDGVSCTNGISKAMAAALGLSTRRLPEPKRLEWLNSCGMLKITHKVLVPFTVDDYGDEIECDVLPLEVCGLLLGRPWPCAREEREASTSEETDTSGNATLYQRHCQHVHHGTAARSTPTLPLGAPRHCRPVYPGTAGVIPAALPPSFQQHGAYSCIGLAVSFALGAEKGSNRWMQNRSESSLTLGERLCAVLLPFVAVAEFVFFALTDCLADICPSSSSPSSSSSRHRRDPSASSFLLTARKTHLRRRIGPGCVSLGLRDLAHLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPVEDKINFAFRLYDLRQTGFIEREEVMQMVIAILMESRVKLSDDLLEAILDKTFEDADTDRDGKICQEEWKEFVLRHPNLLKNMTLPYLRDITTAFPSFVFNTAVED >cds.KYUSt_chr4.52082 pep primary_assembly:MPB_Lper_Kyuss_1697:4:323266230:323270176:1 gene:KYUSg_chr4.52082 transcript:KYUSt_chr4.52082 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSKVPRWRWNPWRCAALHSFTNNLHVAFISYWLLGYVGLGLNGKSPIKFGRALGTLALWKIWRKPIEVAPLIFEISKRKNWKVHQALRNNEWVKKIDLEKINNMEYLTQFVELWGLIDNVQLREGVEDDIVWRLTANGEYSSKSDYEIQFIGAIASNMNKLVVTVFLLIAFLFIPIGVVTLNASRQVVELVKRYDISCGPTHDKIGFIQNSETEKTCNITLQATKYMKSPILVYYQIGNFYQNHRRYVKSRSEKQLLYKSASHLTKACDPETNTTGGAPIVPCGLVAWSLFNDTFTVEVNGETIEVNKKDIAWKSDKMHKFGNDIYASNFQKGKLIGGAKLNQSIPVRGISLFLDYRKSQLPSFYA >cds.KYUSt_chr3.8142 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46932723:46933849:-1 gene:KYUSg_chr3.8142 transcript:KYUSt_chr3.8142 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSVAWRICGSPSAMDENESSAKKARLELAVGHVKREAAGGVGGAGALVAAGYGSRVELAVRIDMRVLHCPLCSRPYNPHVFKCKGGHFACAACVAELPDGQCATCEDGGGFDPCPELDTVVSSTRVACPHAGCGRLVVYHGAAEHQNGCAHAPCRCTEPGCGFVGLPAALAGHLASRAAAHYVPVHSIRYGEVLRVQVPSAPRTRLLLVAEDDGRAFLATVGALGAAAAAVSVVCIRASAAARPRFTCKMWVTLGPVADNNKVLVEMQVRSSTSPGAVVATDEPTFLPVLPRYQVPGDGPSQCQWRCLSASASTGSPHGRPDPALGCCAHQFMANETQFVLLDS >cds.KYUSt_chr1.22849 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135333768:135340139:1 gene:KYUSg_chr1.22849 transcript:KYUSt_chr1.22849 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSDHHEQNLTSPRGLIHKVLRRTNSRRSPTATEQDPPPVFPETSNAKFLKQKNTEDTIKDPEKAITHDNRIEDEKSDLLGYEVCSGKLTLDNKGKSVSGEQSGSGSSGNCFDARLTTEALVWGSNILKLEDIVSVSYNSGLRHFTVHACPLEKRSNGLSCFMKPRRNQKDLRFLSTTPHEAFRWINGFADQQCYVNLLPHPMASSKKHSSELIPFDAMLDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNEAVSVPIGIIPAGSDNSLVWTVLGVKDPISAALSIVRGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPISDADGAERKTVEGLEKVDGSDLYDDVVQRSRAEGLPRASSLSSIDSIMSASIMSGGEPEVSSPRANNEPSELIRALDPKSKRQSLGRTSTFKEPEELLHPQASTPSWRRSKSKSRTEKAWPGLTATNETKSSRGTTAHDKEDTSSTVSDPGPAWDTGPKWDMEPKWDNNQPNWESETPIELHGPSDDIELGLTKELVPSLDERWVVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPHVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQGKGVRSFDEMRINLIERSDTFALSLALVQFVISLILVLLDGMRAPPASLDVPCAVLGWGIKQHGLVVRGNTGRK >cds.KYUSt_chr7.22144 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137105972:137107644:-1 gene:KYUSg_chr7.22144 transcript:KYUSt_chr7.22144 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPIFTGEEKAQGGRAAPSSHPAAAAASGKSPAARRSELRYSICPYPAFRYSIYLPALVRGHSSRAHHLLDRLPSVGMSRSKRHDSTDDRISALPDELLHHIMSFLTPRETVQTCVLSRRWQTTWVSARCLIIDSDQFSILWELKTFLDNLLLDRGCTSLDKFWLRIIFGNVYPDNVMDYCQIRPWVCHALRCNTQVLGIVHEGELLTISNAFTSSNLKRLHLCKFEVDDWFVEKLFSGCPKLEELELICCFVNIFMLSSAKLKRLTVTTSDDRALSNATSLRSLSLTVNDEEAIKVVGRDALRCGTFSNLKTLSLGEWCLGSGCRVLLHLLQRSPKIQKLILHLTDVSSTFSIVDHCFSLTNLKAQVFLVRLELLRAISSMLLQ >cds.KYUSt_chr1.35073 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213665807:213667360:1 gene:KYUSg_chr1.35073 transcript:KYUSt_chr1.35073 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAADATAAALGAWASVRGFFTPATLFIVVNLVIGTIALSSRFQHRRRRGNDDGQHHHQQHQQQPFHHDQEDRYYDDQRQHHQQQQQYVPPPPAPEPLARTSSVLDRLRSIGLYRFRSGDFPPEYAAGTDSMAAPAPDSGDAHHARSRSEPAPAPAREVKRRPKKPSRSDTRFNPEVVAPPPAPAARLVQPAVLEEDDHDDVEHEEEEYARADEFTDSNFTTPPEEEESLYREEYVPPLRPAPLPRAPSVLERLRSFGMPGFLSTDHAAGHAVEDVHTDNNYFTTHNHQHQQPPAQEPEEEEEHLYREEYVPPLRPAPLPRAPSVLERLRSFGMPGFLSTDHAADHAVEDVYTHNNNFSTHHHQQQQPPAQPEEEEQYYREEYVPPPRPAPLPRAPSVLERLRSFGLSRFRSGEVDAAAAVAELEQSHHATQYSRSRSEPSREQSARGKKLQQESAEARMSKSGSVARKPAPVEPEAEAGGVDARADDFINSFRKQLQLQRLNSLLNYKDMLNRGA >cds.KYUSt_chr4.54376 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336037344:336037583:1 gene:KYUSg_chr4.54376 transcript:KYUSt_chr4.54376 gene_biotype:protein_coding transcript_biotype:protein_coding MELHDLMAHMKKERQTLNAAVDEAHADMKLIKERIGFSELSRPRAKLAELHEEDPTAQNIRARRLIKPNKRFYSNEWTR >cds.KYUSt_chr5.35999 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227435085:227435501:1 gene:KYUSg_chr5.35999 transcript:KYUSt_chr5.35999 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGRTVQSFVEDEGAFNASVDGRFAALDTNHDGLLSYPEMASELMSLRVLDKHFGVDEAGVLGPDELTGLYRGMFARFDRDGDGAVDKEEFRAEMKEVMLAVASGLGFLPVQMVVEEGSFLKVAVDRELAKAA >cds.KYUSt_chr3.14974 pep primary_assembly:MPB_Lper_Kyuss_1697:3:91188758:91191046:-1 gene:KYUSg_chr3.14974 transcript:KYUSt_chr3.14974 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTMVNAERRGFATAKLQPISGVMISFLNIMKHRGYIKNFEVFDPHRVGKITVELQGRIKDCKALTYRQDLRATEIEKYRTRMLPTRQWGYVVVTTPNGVLDHEEAIRQNVGGQVLGYFH >cds.KYUSt_chr2.41479 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258037682:258040623:1 gene:KYUSg_chr2.41479 transcript:KYUSt_chr2.41479 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSFVAWRGSSINKEVHGGVRAAWFMYVVTVLMNTVIVPNMLNLVTYFHGTMHMGISDSATTVTNLVGATCGFALIGAFLSDSYIMRSTTILLFGPLMFLGYGLLALQAHLPSLHPAPCNVEAELSNCKEVHGWNAILLYASLYINAFGDGFVRSCMPSLGADQFDHRDLIESRQKSSFFNWYTFGISLGGFIGLIFIVWLENYKGWDIGLGVCAILILFGLLIVAAGLPFYRNQVPEGSPLTRILQVLVVACKNRNLELPEKLEEAQESFTETGTRTQSVEVLSQTKSLKFLDKACIDRGKDGDWAVCTASKVEETKIVLCVLPIFFSSMIAYVSNTIVFIFTVQQGGMTDTRLGKVNVSPATLFIIPITFQMIMLPVYDRFIVPFLRKRTGYVGGITHLQRIAIGFASMILASVIAAVVEKKRKDAVVQMSLFWLTPQFFLLGVSDVTSFTGLLEFFNSEAPWGMKSIATALFWCEIGLASLMATFLVDIVNRVTRHGHQSGWLEGTSLNNSHLDLFYWVVAVVGLLGFLNYLYWAKKYVYQHNPRMAEQSVDHDLP >cds.KYUSt_chr7.23439 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145974786:145975181:1 gene:KYUSg_chr7.23439 transcript:KYUSt_chr7.23439 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWLLPSARPTAPELVLRQCYLAHSTHAWQALLRLLLLGRIPLLVISYHQHVGAIHPSPLLKSLTMPRCVGACRSLLQAGWSIGIDSRPVLEVPLATKDVFTPSPQTLKEMFYVMEFNPTVMCNDFDHAF >cds.KYUSt_chr2.32823 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202433274:202435411:1 gene:KYUSg_chr2.32823 transcript:KYUSt_chr2.32823 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNMEEPLVVGNVEKIGGPGESLVVIEVKKQMYLAGPLIVGSLLQNIIQMISVMFVGHLGELSLSSASIATSFAAVTGFSLLAGMSTSLDTLCGQAFGAKQYYLLGIYKQRAILVLTPVSVVVAVIWAYTGQILLFFGQDPEIAMGAGSYIWWMIPTLFVYGPLQCHVRFLQTQNIVLPVMLSSGVTALNHMLVCWLLVYKLGLGNKGAALANTISYLTNLLILALYIRISPSCKRTWTGLSMEAFHDIVSFFRLAVPSALMVCLEWWSFELLVLLSGFLPNPKLEASVLSISLNTISLVFRIPSGLSAAISTRVSNELGAGQPNAARLATQVIMVLGILSSLSVGLLMILVRNLWGYAYSNEKEVVDYISRIMPILAVTFLFDDMQCILSGIVRGCGFQKIGAYVNLSAYYLVGIPAALCFAFVYHLGGVGLWSGITCGLVVQTVLLLCITLRTNWDKEALKAKDRVFGSSLPVDLAT >cds.KYUSt_scaffold_2697.446 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2878411:2878650:-1 gene:KYUSg_scaffold_2697.446 transcript:KYUSt_scaffold_2697.446 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSFSFFCPMFSFLSRSSSRRYDDGYMSDWESARPQRYGSKVRSSDEDYGWWVGERDVDRKAADYINDFHQKKVSV >cds.KYUSt_contig_605.1682 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:6690061:6699708:-1 gene:KYUSg_contig_605.1682 transcript:KYUSt_contig_605.1682 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVKYDDEEDASSSGEEQEDQVDGGGSESDEEGEEEDEAPAREADGDGEEEVDADEIEAVTTGAGADEEDDGGAVAGGEDEESQSTEDDEAAVGDDDDEAEDPAGNPVVGKHERARLKELQKLKKQKIQEILDSQNAAIDADMNTKGKGRLKYLLQQTEIFAHFAKGSQSKEKKSRGRGRHESKMTEEEEDEEYLKEEEDALAGSGGTRLLTQPSCINGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEFRGITGPHMVVAPKSTLGNWMKEIARFCPILRAVKFLGNPEERNHIREKLLQPGKFDVCVTSFEMAIKEKTALRRFSWRYIIIDEAHRIKNENSLLSKTMRLFSTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSADTFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLVENAGKMVLLDKLLPKLKDRDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIDAFNKPGSEKFVFLLSTRAGGLGINLATADVVVLYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLSEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEETTAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKEESKADFKKIVGDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNNQRLNELYEKEVRYLMQANQKKDSIDGEDEDQLEPLTAEEQEEKDQLLEEGFATWTRRDFNTFIRACEKYGRNDIKSISSEMEGKTEEEVQRYAKVFMERYKELSDYDRIIKNIERGEGRISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDDLKAAFRMSPLFRFDWFVKSRTTQELSRRCDTLIRLVEKENQEYDEQERQARKDKRLAKDESNWTNIKDDERANRIRTRQRPQRRTPARGGTQRGGNNRSRCSSASTRGGVYQRTVSSWPLTRRAEKRRCLPGSQCLMVVVTLACDHDSWRSVTAAADGSKAPCMADVSPKQQRVFPFGNW >cds.KYUSt_chr1.32521 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197511944:197513779:-1 gene:KYUSg_chr1.32521 transcript:KYUSt_chr1.32521 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYTPAPPLQHRGQAAWLAVVGWLGLLLQVLLRVIRGAPSSCSKLLKFLGLRHPLLSAAPAVAFARLPTEAPRADELRSLPPLSPPSGRLTVVLDLDETLVCAYESSSLPATVRTQAIEAGLHCFDMECVSSEKDAQGRQRMNRVTVFERPGLHEFLQQTSEFADLILFTAGLEGYAKPLVDRIDAHNRFCHRLYRPSTVNTLW >cds.KYUSt_chr7.40202 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249605056:249606229:1 gene:KYUSg_chr7.40202 transcript:KYUSt_chr7.40202 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYSDHYAQVHKPYQIIRMKPGDRVYTLPSTNACPCICFLLFLLLLLLAATSRHHSSPATNHVLKLTSTAPPSTSPSSGKNEPGNCEDLEALDGAEAKCAYILSHTPCAPAGYIDYLRLFYCGFGAAPAASGGFVRDLCFLFFALCFLVAVLATGMVTVWVAAAFVSLYATYVVLVWTSQCYADAGAGKPDLAEPLLLDGDAPTLPSHSAKPTDPAKKSRLQRVLHALTMPLYLPRRLTIPDIAGHRWRKPYAVASAVLAPVLVATTFTSRSAAAASLDHGHGVGIPLAGAFLGPPPRRPSPRPPRMPAPRPAAGVTACRGWPRGSR >cds.KYUSt_chr4.19424 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122087600:122088506:-1 gene:KYUSg_chr4.19424 transcript:KYUSt_chr4.19424 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSDSDRDDSASTTTTAASSVSPSSSLLQPQTPPPARRRRTRNLRRRSRRSKNGPEGADSEAEAPADAEGAWCGAQWEAAWPRRAARPVVLAGEDASPDGAAAGAGDLGVGRARSLTDDDLEELKGCVDLGFGFSYNEIPELCGTLPALELCYSMSQRFLDEHQSPSKAEQVDLEPPAVVPASPVQSIPNWKISCPGDSPDEVKARLKYWAQAVACTVKLCS >cds.KYUSt_chr3.1263 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7076626:7077537:-1 gene:KYUSg_chr3.1263 transcript:KYUSt_chr3.1263 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQVTKKELGGVLMQAMLAAKNLRPQRDRLVQLRRRLQQQRSAGGDDDAEWIKELASNLFKVYFIGIEAGARMLISCLELAAKGGARRAFNPALIFIRNEQLYDVLIALGFPARPTTQTEALALVNLTFNAVKLAQEHHIPRCIELLIGERPPNVTGEATQFSGMVGYSDDPVAAAFQQFAKNGLPSPLPAAPARRSVAAAGKAPRAKGIIDLDQALTYLHRGCSLASLAVKHIDLAVAVLSSFLDPEKVASLSDFTDKRAYISEDGPYPSD >cds.KYUSt_chr1.40691 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249578498:249580312:1 gene:KYUSg_chr1.40691 transcript:KYUSt_chr1.40691 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAGNRLLIGAAGTRPFAGAGGSSSSSAAAAAGTRGYHERVVDHYSNPRNVGAFDKEDADVGTGLVGAPACGDVMKMQIRVDQASGTIVDACFKTFGCGSAIASSSVATEWVKGKQMDEVIAIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKPANADE >cds.KYUSt_chr4.27758 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174386542:174389712:1 gene:KYUSg_chr4.27758 transcript:KYUSt_chr4.27758 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTTTVDSLKDDNVEKTTTMDSVKDDNVEETTTMDSPKADDNVETTTMDSHKDDNVEKTTTMASLKDDNVEETTTIGSIKDDNVKVTTTIDCLKGDNAEEILFRLPSWASLGHAVRASDSFFDIASAGDFLDRFRARHASSPRSFLGVFAQRDSLGLPVFHLAGPARSDPGLVAVARTGDFLLAGLEDDPRWCIHDCRNGHLLLSKDGSLTVYDPVFLRRADISSPQDDPFPGTAINCLLDGGDSSFRVVSLQMDGRDNMRAVEYDSRLREWRYHPWVVDAMNPPYEYHATYASGHIFVDDDASTGTSSLMIDTRTMKFYTLELPPRIPRYSIGETEDGKICLVCVSNRRLQVWLLKKNHCGVSNWEFEKDKPFSKLLPGCGKYRVEKVVAGLAIVWAGSVHAGAGDKFHQYAIDLKNLSLVAKLCEGGTVAYPFHLPWPPSGLTAAKRRELHIDIGKVAYYCEMRQNQELHMWTLPMARAKVPCSEMSLIGKE >cds.KYUSt_chr3.42108 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265946377:265955769:1 gene:KYUSg_chr3.42108 transcript:KYUSt_chr3.42108 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDGVARSDPARAAGASAPPSPARPTVGSRFFCLAEDEDSNEETRETAEEAAWSVLGLDPIVQLIGRSPESSQEEVVGASKICVAAAGGEGQEVCDEGGVLVAGRPAAFPDAADEALERPASASSSHAGACFWGLPRCSFCTSAGGDRAFGSFVGICYLRGAWGISNTESRGGGGDAGTSSSVPAEVPAVRPLIAAGLEPRFKPADAALEASSGGPQGRWAWFDRAVMAVQKAPRCVPHDSSIRSRAVGRQSVVVLEEVLPTLEDASLPHHLDAGQRTFRVNGPVEPVIPPPWAEGQDDGTEGASSSIPFSPAMGDSPGAAVIQGATSPSTPVSTTSSPSPARSPPPSTSPSPVSTLQQPPPTVPPPVVQPTVRRSGRYALAADGAGATDEDAMQRAMRRKAEKNLDTAAVVDDEEEDDILDGQLLSAIIGHLQMYRQFPELTMAGFADALRLDKFTGVHFKRWQIKATLWLTHLKVFQVSNGLPEGTISDQDQNKFKEDNTLFVGCVLSILADRLCDVYMHITDGKELWDALNAKFGATDAGSELYIMESFHDIRMVNNRSVVEQAHEIQCIAKELELLKCALPDKFVAGCIIAKLPPSWRNFATTLKHKRQEISVENLIASLDVEEKARAKDTTEKGEGQSSANMVQKKPYSKNKGNNKPSFNKPMKTTTFKKKKMINKADPSCFTRGETGHFSKDSSERGQTARKRRGKSTL >cds.KYUSt_chr4.40114 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247678445:247679209:-1 gene:KYUSg_chr4.40114 transcript:KYUSt_chr4.40114 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSANCWSLLSTVTLWSHSFLFNEQEANMEKPRDLEEVHCKAEDEEGKVSGEHGKVEGEHSKMEGEDGKVKGEHGKVVGVHGEFDGVDGEVEASDEEVELTEGQVEELEDEFQRVYTTIEDLFQKIDAATNLGAGHSRISARARYIRTSNLAMRRRATFQSSCDACMFPPMCRKCGVRGDSSIFRCLACSLPVPMDVLPCEMCANPLLYDDYWCKDCATTIGVGEDGVCSRCGRNTTALAEEDNEFVPETELN >cds.KYUSt_chr3.36604 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230225258:230225941:-1 gene:KYUSg_chr3.36604 transcript:KYUSt_chr3.36604 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLATLLPLKPLTPTAGLNPSQRSHCHRPKRLLASTTPAPPPPPRTPNPATAPKPLKPEPRADDQNPKDVDADFPTTKPRKPRRGRRSEAVAVEDFVRDRLEQVFASIQERDPEVLQGKGEILKPKEEEERVAGEDEPRQEDGEQKTVLEEEDPSWPLDADVGWGIRSSEYFDKHSIKNVTVDGVEIDWEGEVDQGWVKEINCLEWESFAFHPSPLIVLVFERYNR >cds.KYUSt_chr3.3394 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19353250:19357940:-1 gene:KYUSg_chr3.3394 transcript:KYUSt_chr3.3394 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVKPLALADWFLLTFLAVLPALATSGVQGDGNLTLRCHPDQAAILLQLKRSFSFFRYPSPLESWQDGTDCCFWEGVSCSDSSGHVSALELSGYGLYSEGLDPAIFNLTSLQLLDLSLNNFGPYSLPASGFERLSLLTHLNLSNSVFRGQKPAGIGKLANLISLDISTTYDDAPDDSVYRFDTSFFDVVSPWLQGPNFQNLVGNLSNLRELYLDFVDMSSSRDWCHTLAKFLPDLRVLSLRHCNLVSPICMSLSTLHSLTVINLEGNFRISAAPFPEFFMDFLNLSVLNLAGTNIQGLFPRKTFKSKSLRVLDLSGNWGLLGHMPNFANTSSLEAMMLDGTSFYFVKPSSFSSFKYLKVLSLDVNFVHVEPQPSLETSWSSVAKLKSLRSLAISECSFTRPLLSTLATVGNLSNLESLDINYCGFSGPIPDEVGLLKKLTVLRIGQCSLSGRIPNSMVNLTRLIDLDLSSNLFSVISILDLSFNNFSGRIPSCLIEDGHASVLSLRDNQFEGVLPNNIKDQCNLHTLDLNNNKIKGKLSMTLTKCLQLGFLDIGNNRMVGTFPSWLGKLPMLRVLVLRSNRFYGSMGGYLDRDDKSGEYFSSLQILDLASNNFFGNLSSHLFEGLESMMTKMNTTGLIVTYEGGFHGHPYQDTVTIAYKSIYRTFDKILTTLTAIDLSNNSFDGAIPESLGRLVSLHVLNLSSNAFTGHIPHELGRMTQLESLDLSRNQLSGDIPEELTNLNFLGILNLCNNQLVGKIPRSGQFSTFQNSSFEGNLGLCGPPLSNPCSFSPAPPSVAHVEKSSNVDVMLFLFIGLGFGTGFAVAILLRCGRTDKCLVKSTRGLRT >cds.KYUSt_chr2.52399 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326957410:326958270:1 gene:KYUSg_chr2.52399 transcript:KYUSt_chr2.52399 gene_biotype:protein_coding transcript_biotype:protein_coding MVGESCSAAAASRRRRRWWAALGAVSLLLLVAVGSGCVASYGGRLRAGSAAAATTVTAAAIGARRWLRDSTSRLAAATARSNVADADADGSATGAVAGAVDDPESVATQVHMYVASRFPL >cds.KYUSt_chr1.34760 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211721969:211723498:-1 gene:KYUSg_chr1.34760 transcript:KYUSt_chr1.34760 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTGSWTDRFLRRQPSGEDRISTLPDDLLLLVLRRLDTRTALGTAVLSSRWASLPRELPALDFSVGDILPPRYHQWIRLHGDRGTHAPNTDKKHIVPNIRRYERRAMRALASSVESILDAPADDDRRVSRLSLQFFITSSSGCCMNRLIDKALDTWGVDDLEAVAKPTYCHRRQAHTFPTHGLCKEPRTSRLRRLKLGGCLLPPMHQFSALTTLILQDLPESTPTAAYEGVFTSCQQLQVLHLNSCGCSGDKIVVVDAPMSEIRELVADHCAFRRIRLRALPSLERLASLQTKVYFESGSCPSLRQRNFALRLGTTHEGFRQCFRDYLELELDLFFQHTPDITNMIIRFTGPDRWFVPSTSPSLLLPNLRRLLVADVPSSWDVTWPRLLLEMAPSLEVLHIHITPCTEEPNDELSWQPTKRRQHHLKEFVMAGFEGTERQIYLVKFVMSVCTTLRRVILSKNGYARDKGHWDWEMVTPHYSWSDQEKDTMLKQIMDGVSSSTAHVHMV >cds.KYUSt_chr1.38644 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236142797:236144137:-1 gene:KYUSg_chr1.38644 transcript:KYUSt_chr1.38644 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQWTRVRTLGRGASGAEVFLAADDASGELFAVKSVHASGAEALKREQVVMAGLRSPRVVSCIKGRAGRHGSYQLFLEFAPGGSLADEVAKSGGGLNEPAVRAYAADVAAGLAYLHGESIVHGDVKARNVVIGADGRAKLADFGCARKTFSSSPIIGGTPAFMAPEVARGEEQGPAADVWALGCTVLQMATGRAPWSGMVGDAFAAMHRIGYTNATPEVPQWLSAEAKDFLAGCLVRQAGDRCTAAQLLEHPFLASATSVDTKLEDVKTKWVSPKSTLDAAFWESESDTEDELPASQSSAERIKALACPSSALPDWDADDGWIDVLCAATTEGSVAVPAEETTGMDDALTSKEATAESAGVLDIFVGSTYISNVGESCDDSVVPTVHQPLQISFRHELVPCKLFCDGSTNAIDQVLAQTPRLALLLCFSAHSTSSSLHCDTFD >cds.KYUSt_chr4.4599 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26406276:26409956:1 gene:KYUSg_chr4.4599 transcript:KYUSt_chr4.4599 gene_biotype:protein_coding transcript_biotype:protein_coding MADITVRVIDESSLHLGRNDSYKDMVVEKNLRGVLRSEVDRSVSRDSIIIVDSLNNIKGYRYELWCLARASGIRYCVFFCDTEVDHCREWNVKRQEKGEPSYDSNIFEDLARRFERPDRRSRWDSPLFELFPSREGIVESSPVIGEAVSYLTKKVDSKTRDVKVLQPTIATQSVRTTEANSLYEMDKATQEVVSAIVEAQSGLGLTMNKISLGPNLPTISFTV >cds.KYUSt_chr6.1575 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9599586:9599975:1 gene:KYUSg_chr6.1575 transcript:KYUSt_chr6.1575 gene_biotype:protein_coding transcript_biotype:protein_coding MACREGQMESCGGPAPAPAATGKPSRRKADQEYSSSEATDHQKADKTVAKAVHQKTTDADASCDFEDRPDDEDVIRNFNKMGRMKLFTPEFIEDLKKEHRIHTALCLKHYEDVLKDEEEESNAAAQSSS >cds.KYUSt_chr5.18785 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121402663:121404711:1 gene:KYUSg_chr5.18785 transcript:KYUSt_chr5.18785 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPRRPPPLYLLAVVFFLLPVQPPPAVDAATPVAFSFPSFSLRNLTLLGGASLRSASVTLPPPSSHALFPLPLPFPRNASFSTTFLFASPASARPASRLSFLLLPDPLAAAAAAEGSLTNRSLPPPLEVTFDAPKNRVYASSAGDRVAANSTGAVDLRNGNEVASWVVYDARRARLEVFLGHASLRPPAPALVVPNSTGLAARFADFMFVGFHVSISSSDNNGSSSSSSGGVDGGGFAIHSWSFQTSGIPAANLASRPSHNVSDSSVRRGPAASDRAAGPASDGRRRRLALGLGIPLPVLFLGAVVVFAAMSLRKWRARAAGFHDGGARAKAAGQPREFMYQDLFSATKGFDPSLVVGSGGFGTVYRAVCPRSGVTYAVKRSKQSRESRSEFTAELTIIADLKHPNLVQLRGWCAEKDELLLVYEFMANGSLDMALHPVSDSGVHRCPIPTLSWARRYNVAVGIASAVAYLHEEHEQQVIHRDIKCSNILLDSQFNPRLGDFGLARLKDPNNSPRSTLAAGTVGYLAPEYLQMGRATEKSDVYSYGVVLLEICTRRRPIEREAPGSMNMLNVVDWVWNLHSKGKLLDAVDSTLDGEYDTEQMMRLLLLGLSCVNPFSEERPVMRTVLDILEGRSEPLPVPKKKPLLVFVSNAPIDFEGIVSECNQSTVSSDLFELKIDIN >cds.KYUSt_chr7.10936 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67206727:67210678:1 gene:KYUSg_chr7.10936 transcript:KYUSt_chr7.10936 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVVCDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKLRTGSNWYDESMIEDMKFRLRLNFVDARVVYAPRSSRHPSDRRSVACHALFVLLRLEPEILDLLLIDLIRVFGQMVFSGRTPLAVWPCLMSNWLQAVSTENEPEGVKRQEGESNPPGKASGRRFRSKARGLSLGKTAMEQDIPAPRAASATRIWGSAQMAKRSRNSRLAIGNG >cds.KYUSt_chr3.3268 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18720743:18724159:1 gene:KYUSg_chr3.3268 transcript:KYUSt_chr3.3268 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQEEGLVFKDQLKEGSVYIMEKFDLYGPRKSYRSVPLRTCFTLRTVLTPPENFPMFAYTVLLFSMLSDCIDQNTLLSDVVGLVNKVTDVFPPSASTPLLLCGGEQADLSDADGLMEASNEEPGLLAFKSTSVTRWYVNMPIPENAAVRDRSKHLPWLIELHSGNQGFPGSTPLLLCGGEQADLSDADGLMEASNEEPGLLAFKSTSVTRWYVNMPIPENAAVRDRSKHLPWLIELHSGNQGFPGSTPLLLCGGEQADLSDADGLMEASNEEPGLLAFKSTSVTRWYVNMPIPENAAVRDRSKHLPWLIELHSGNQGRTEPKVSTIAEIATFESNYIMVLSTPYTQSFSLAFSP >cds.KYUSt_chr4.48251 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298763976:298764221:-1 gene:KYUSg_chr4.48251 transcript:KYUSt_chr4.48251 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVSSAAMARAVVVAVLLMQCCNMVLAARLLEGDSGWLQGGAGAGALIMQVLKKGGPAPGPPNDCTDFSGQAAGGRCNHN >cds.KYUSt_chr7.18754 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116214067:116222011:-1 gene:KYUSg_chr7.18754 transcript:KYUSt_chr7.18754 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTITNLRHFIRRRDLLPDANGGVGRLRFSRSRRHLSRCRHGPELLSSAAHAVAAVILIALLRLPPSLSTPPAPLADSHEDQEQECELSSPLDCADPRLFHLMTRSAIDAFHFTRFSRPVPGDPPSASCDMAWRARSNSSSPTKDYRRFTISLTPRTCAHSVVSIGDYHSGPNARKPRPGASTAAPPPPRPSRAQFAAAAYHGGGDRCKPMPHYTRSLLCALAEARYLNRTLVLDPTLCLAAAHTAAFISQAPRLLLRRRQPPRLLGRRHRRGTTLLGGRQATLIVRKFGDVEPGNYWYHVCEGNVLARPHLAIRLAPSLMRIVDAIISTMQPDFDSIHADANAAEDLKWKIGESVGAGRQVYLAGEGVNMVLAEALQANHSNLHYLDQFRGLWRKDSKWFLDMKGLNGGVPVEFDGYTRELVDKEVFLKGNRRVQRVSTYGRSFLLNCSLQNIMIQRSSSGRVVSTLYLCRYARTRDNYWQCKFIRLIHPYMSEEPLNRMEGTARRRASPAGIRTRPARVEPEPPPTKRYEIGTARVAVVYYLCRNQHLEHPHFMEVPLASPQGLYLRDVMSRLDALRGKGMAAKYSWSCKRRYKNGFVWHDLSEGDLLLPSQGTEYVLKGSELQLDQSTPDTVSDHQQDSSANNAKVQLPKPAQQQESPRSTGTNQGWPSTCPSPAPTTEPAVAVVKEEAVPLSPPRVAVVSATKKILPPPAPQPALLSPPSASTIGYDEQCRMPHSGSSSDSSPKASMPSSGASSPGLNNQAAAHDAATQTDDKARRDSTKHQQGQDTAGVTPENPEIVCESHSKRRAAAEQPSGRRSGTLQSLIRAEAAGRRRGLLEEDERTATISVSGRLKPANLLMCLMTCGPHNPGSGLVRTSNKQRFTRLEYPSSSPELYPLGELKPGTTSAFTAGGSETENSASNRLDSASGKLKPSSSRGHQDGVCEEAHPSKWDSANPSRSASNNTFKAVSFHDENEKGVKMEERQ >cds.KYUSt_chr7.36121 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225763942:225764208:-1 gene:KYUSg_chr7.36121 transcript:KYUSt_chr7.36121 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPHPRGAATTSMPGLPVRRAAPSPPPMRRSDDLREEAAGVQCGTINTPTSRDNDVRDEAAGAYSAIDTTPGRRDDDVRDEAAGA >cds.KYUSt_chr7.2145 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12399845:12406216:-1 gene:KYUSg_chr7.2145 transcript:KYUSt_chr7.2145 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTGEEGGSVGGGSEKMKLLCSLGGRILPRPGDGTLRYAGGDTRIVSVPRGVALQDLLARLSDAYGGATGPHFAVKYQLPDEGLDALISVSSPEDLDNMVEEYDKLAVASPKLRVFIFPVFDAAASGEDEAAGFDAGLRYLEAVNGIVRKDSIASLSSTQCSDAGGGPPAAAPTPAAPPSPSPVSPTSTCSYDAARSAFNAAPPPPPQQQQQPLVDVFSNAAPAPAPLKQPPPQETAPAPQPPQPQPEAARYRQPLSQLPPLPPVFMNEAMQGLNQPPPDNATWFQDCNMCVKALPHAHSDPVMNDYASDVHGGAAPEPMPVFMSLRPEDVARIMMAERQVPAQMGAYGYTHMHPVQHTNPLPVDPASFHQHVYVQQQQQHQHQQLQQQQQLQQQQQQQQLPPQQQVPSTYGGFNHVPVMPNEMVSPNSAHSDMASSHQQSMLHQLPSVHGMAQYLVRPSNPTNPLEGEGSLSGNSRHREDGQVLRDNMPPVAPVAVPSYMVNVDRMMDSLRVSPNESRSPEQRIYAENGLPQSATPEYLQSNTNTFFDVNEPKVAPPTEPVPLPSVASPYMQNVQHPNVSHMPHMVSIGGPYPSYVAATIGHGGLPPSAYGVDLAYAKAAVNPVSEQKDLLPEVYHREAPHESVPPTNANAQVPLPTPALTNHAPNVEQLQESSLPGQQFNNAHALPPRPKRIPSRENISSKDAHSQNSLLNCKGPDLNIPAEEQPYHKDAHAEQARFVKGDGITNPDLLGIEDGLAAFEPPPPLLNEGVAAVTNKVDGQAHTNEVTKSKPGDWTSGLPVDEHGRLQIIKNDDLEELQELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKPSEQEKMRHDFWNEASNLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNSKSLDRRKRLIIAMDTAFGMEYLHNKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPQVPESCDPEWRSLMEQCWATEPSERPSFTQVAVRLRAMAASQKDCGKKNFLRSLFWDGDVNRVMEIPTAPPAMDDFTAWRQQI >cds.KYUSt_chr7.25623 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159909014:159912044:1 gene:KYUSg_chr7.25623 transcript:KYUSt_chr7.25623 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPRPSRSDGGRDAGEQPRVYQVWRGNNKFFLQGRFICGPDVRSIFLTMFLIVAPVAAFCVFVARHLMNDFPDHCGISVMVVVVVFTVYDLTLLLLTSGRDPGIIPRNTHPPEPESIDGSNYIRGQTPQQYRLPRTRDVIVNGISVKVKYCDTCLLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFYMFVFSTTLICLYVFAFCWVYIIKIRDAEESSIWRAMLKTPASIVLIIYCFLYRYDRRANPYHRGVLNNILEIFCSSIPPSKNNFRARVTADQDIQQARSPSRGFMSPNMGKPVGDLEMGRKPVSWDEPRTAADISDLEVGLGGMLDGKEGRVTHASPNLSQEEFSPELVEGRAGMHSRRSSWDRRAGSLGSVDSNTLQTDPAEEANVGVHATTSGAR >cds.KYUSt_chr7.40435 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251057803:251059200:1 gene:KYUSg_chr7.40435 transcript:KYUSt_chr7.40435 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGEASAAAAAPQAALRPALAFRSGTHTVSLPALRQQSPGRSPSAREIPARRGRRSLFSGISATVLRRTLYSTTRMGLYDILKKRWTRENGGVSPLHRRDEASMGGARAGASPGGRSCQAASASSTLRSRPATRRTAIRPPGARLRLYNTPARPASRRRKAIQSNNHSKKTQQIEIETKKMQHAKVKVKDSASAMKANATITRAKVAEKAEAATARSHDERELAHERGKAKVAAAEMELHQAKVAHREEAMEHRLRKHVHGHKKDDKHAGGH >cds.KYUSt_chr3.32243 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202526042:202526257:1 gene:KYUSg_chr3.32243 transcript:KYUSt_chr3.32243 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLLLCAFLLALLSMASLVDVTEGRGGVRFPSFRFHGVGVSGSNPRSISGGTWAVSIGSSLLAAAAMLL >cds.KYUSt_chr3.42811 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270596924:270599408:1 gene:KYUSg_chr3.42811 transcript:KYUSt_chr3.42811 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAAARHQHHNPALGRRRRGGSGEVVRCSTSPAQEFAALASVFRRRLVVGASTAAAAAVGANFGGVTSFLLGLSPELGRSLRLDVLYPVGGFTRCLDSDNGFEFIYPANWVGDQTILYNQVRKAEQQRSLDPPPLSNGRLSRPQNTSGPVAAFGPPGSNGELNVSVIVSPVPQDFSIESFGGPKDVGEVVLRRIARTKRNPDIRATLIDASLREDAETNVKYYKLEFCVESPSFRRHNVAVCCVRDGRLYTLNAQAPESAWKAVQKEFFAMADSFSLVTDV >cds.KYUSt_contig_319.1727 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:11400833:11411145:1 gene:KYUSg_contig_319.1727 transcript:KYUSt_contig_319.1727 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISQLPSDTSSEDKSARWRHWWDRTRTPSSGDDSPPPVDSEEEWQGVEEDAEEEGSEEEAAAARAKAEADVKTKAKAKAKAQPASTGDDEEDTSSSDASADTASSEEKATVPCPVNGAVRMVDGGTATATPTPTRPGHTTNPQPDGIFAVPDTFVLPFPSLEDFYYVLRCPSDDAMAMAATSCALVPAFVAGRRRAPPRRRAAATVVRCSLDSNVSDMGVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYDSRTFIGGKVGSFVDKQGNHIEMGLHVFFGCYSNLFRLLKKVGAENNLLVKDHTHTFVNKGGVIGELDFRFPVGAPLHGIQAFLRTNQLKVYDKARNAVALALSPVVRALVDPDGALQQVRDLDDVSFSDWFMSRGGSRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVIYEKSSDGQTYVKGLRISKATSSEIIKADAYVAACDVPGIKRLLPAEWREWDMFDNIYKLDGVPVVTVQLRYNGWVTEVQDLEKSRQSQKAVGLDNLLYTPDADFSCFSDLALSSPADYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVQKQVLDLFPSARGLEVTWSSVVKIGQSLYREAPGNDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRRTAAYICGAGEELLAVRKKLVVDDSEKALGKKQNHRLCSVRCGSGSRGSGGNDNGTPPVVRAAVSAITELLRALSPSKKPRQAAESDDTELELPRSVEDVVAVLEADYQRAYFLTGNFSLGIYAEDCLFEDPTIKFRGRSRYSQNLDLLVPFFDSPSLELENIEKGLRVDTKFVIATWTLRTYLRLPWRPLIAIRGNTTYDLDEQYKVVRHAESWDVSALEAIGQLFVSTPKQTGS >cds.KYUSt_chr3.23709 pep primary_assembly:MPB_Lper_Kyuss_1697:3:146558315:146567341:-1 gene:KYUSg_chr3.23709 transcript:KYUSt_chr3.23709 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKGGGGAGGGGKKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLKCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTVFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFDITFENEKGERSMVWQNSWAYTTRSIGVMIMTHGDDKGLVLPPKVAPIQVIVIPVPFKDADTTAIKGACESAVYSLNQAGIRADLDARENYSPGWKYSQWEMKGVPLRIEIGPKDLANKQVRIVRRDNGTKVDIPSADLVEQVRMLLDGIQVNLFETAKQKRDACIAPINTWDEFTAALNEKKLILAPWCDEEEVEKDVKARTKGDLGAAKTLCTPFDQPDLPSGMLYADN >cds.KYUSt_chr1.37998 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232037330:232040165:1 gene:KYUSg_chr1.37998 transcript:KYUSt_chr1.37998 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRPQQPPQPRSPPPRPKEPEAAAGPGSASRPRRARRLRVQSPSLASARRAAAPPATPPLRWPGDAVAPPTGAAGASVRKIAAALWRVHPPQAPPRESGEARRRFEVRFRLEIRLDLPVVVVSELLSRSRVSCDHALLEPAPNPKPLHTPDRCNYYKTVLEGRSGRKPLVNGSIHEVGGYSPSPRIEMEVATKWDRRYLNTLRDADYDSFDQYPVTADEEVSALKAELMQARSRIHELEAESRSAKKKLDHTLRGLSEEKASWRSREHDKVRDIFDGVKEGLNRERKNRQRAELMNSKLVSELSELKSVAKRYLQDYEKERKARELMEEVCDELAKEIAEDKAEVEALKSESMKMRDEVEEERKMLQMAEVWREERVQMKLVDAKLTLDSKYSQLSDLQSEIEAFLRTHRGNSIDKETVRDAEMLKEAICSMKFHDIKEFSYKPPPASEDIFAVFEELRQREDTSEKEIGQCNGDTPISHATQIHTVSPETDIFLEKPSKKYPRQPCARNEEEDDSGWETVSHVDEHGSSNSPGGSEPSVNGFGGQNGASVSGTTDCDDCRSNSEISEVCSTTAEKFRKKGSSFARLWRSSNDNGRKKIGSELTNGRLSSGRISNSALSPTHKGSEVCTVSPSVGEWSPESVNPHVVRAMKGRIEWPQGAQKHNLKSSLMDSRVDSRKLQLRQALRQKI >cds.KYUSt_contig_973.545 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:3983037:3986969:1 gene:KYUSg_contig_973.545 transcript:KYUSt_contig_973.545 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSRTRDLLMEGFEGFVRDGSFKWPVPRRAGDDDDDPGRSPSSGKSASVAGLSPMASVVVSRCSRILDVTIEDMIYDFDMQASDSIKHQRNYARNFLEYCCFRALAQVSQVAGYLADKSLRRLTFDMMLAWEVPSSSGQLTVKVEVDSTVSLEAFSRIAPAIPTIADVVTSSNLFDALSSTSGGRLPFPVYDKYLAGLDRAIKKMKAQSESSLLSGLRSQRGERVLEVDGTLTTQPVLEHVGISTWPGRLTLTDHALYFEAVKVVTFDKPKGYELAEDLKQVVKPELTGPWGSRLFDKAVMYKSTTLTEPVIIEFPELAGHSRRDYWLAIISEVLYVHRFLRKFDINGVDKEEIILKASLGILRLQAIEELAFPVSSRYESLLMFNLCDKLPGGDVILETLASAISSRSSAQVNKPGLNIGMRSMSALAVLSNLGVVSPGNNSERLSVGEIVVGEMSSLQKAVTESMNNYKKVELAQATVDGVKVDGLDTNLVVMKVNTEQK >cds.KYUSt_chr5.9218 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58469328:58470699:1 gene:KYUSg_chr5.9218 transcript:KYUSt_chr5.9218 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFISLFRLGLGLEGAAASGSGAGPPSKVKVVMLELRGEFSNDNQEIWTQEELKRHIVQGLDGQSSDEWELLEQQPFPTTCTDIADHSFATTIFGRQSYAQAHEHCLTSLERRIAEDRLDELATSLIAVTFYEGTWMEATLLRRSVQVRLSTLFCGRSGDDEPQVGRPPAASGAAAQREGAVEGGGAARELLDAGEGQRGSSSVLLEAPHPLRLEAPPSLLLERSQTTGGAAGSPSKSSARAQLQRGMGTELRRDGNVGILDLDLRTTFGKN >cds.KYUSt_chr2.1382 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8274064:8275368:1 gene:KYUSg_chr2.1382 transcript:KYUSt_chr2.1382 gene_biotype:protein_coding transcript_biotype:protein_coding MPHICATFASLRTPRTRCVTPLELGADGFPVRTDANSRQRPFAPPPKTAPASASAAATQHRGGIPEEIIIWEIVPRLPARTLLRCRAVCRSWRKALTSLKSNPDLLLAHHRLQPSLPIASCFQLASHDLRAVALAPRSAALAPVATLRHPTLQVRASCDGLLVLSLSPANYYVCNPATRQWLLQPFFPHFLGFYPHRPSGEYRILHGTGPPSRNRKASYSVFTVGSRKPRGIGRPAASSSEEKALADGIIKTAPDRPSILLHQSLHFYPVKKKDSNGSSNMVMVFSTTAESFRWMTAPPDDVPASATLFEMDGKLGLSSVSDDMTQVDIRVLQDYGSEVWEFKLRLKLPALEMNLSAARRSFIEVVASEDGGVLVSNFTRIAHADAEGKLLSGFQYPEQSLMIVPYKLKESLLRHAFFPTRDAEDANASLSPFM >cds.KYUSt_chr4.2845 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16202862:16206427:-1 gene:KYUSg_chr4.2845 transcript:KYUSt_chr4.2845 gene_biotype:protein_coding transcript_biotype:protein_coding MATHCARHRLRLEPPPLRPAATAGPSASSPEEHRRKPSLPRADPFARGVEFQTENNDDIFRDREAARHLAKPGSAPAASLPSFRVTAAKTTSADLSNYVKALIRIELRPPGAHANSATNSTSSNDVKRVDGKSCTFDAVNDVSMNSTTKFSDVKGVDEAKAELEDIVHYLRDPMHFTRLGGKIPKGVLLAGSPGTGKTMLARAVAGEAGVPFFTCSGSDFEGKYIGDGAKRVRELFGAAKKQSPCIVFIDEVDSVAGRRNSEDATWQRQTLNQLLSEMDGFKQNDGIIILAATNFPQSLDTAIVRPGRFDRHIQVPNPDVEGRRQILEACMSRVKAQGVDMMILARGTPGFSGADLTNLVNVAALKAAKDGAEAVMMDHIEYAKDRILMGSERKSAVIPDNVRKMTAYHEGGRALVAIHTDGARPIHKATIIPRGNSLGMVTQLPDEEDTYNVSRKKMLAELDIRMGGRVAEEIIFGAGEVTLGALSDLSEATHLATDMVTKYGLVFYGDGAGGKKATLSGQMVAQVDDEVNNLLDKAYKNARNIVTAHSQELHVLADALLDHGTLTGDQIMQLVHQVNKWF >cds.KYUSt_chr3.27592 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172322838:172326207:-1 gene:KYUSg_chr3.27592 transcript:KYUSt_chr3.27592 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMAAAAAADDDHHRHRHRLANPTSPPSGPPAPAPPPPQPHPHRRRLHSFSFPTLSWGTHRLLRCANNPASSPPPPPAAPDTPSPDNEKPRPPADGAAAAPQQRPWNLRTRRSATVAPRAFGPSHDAPDAPAAAPDPARRPETSSKRGFSVVLSKDEIADDFALFRGTRPPRRPKKRPRTLQRQIDSMCPGFCLADVTPDTYKIEEVAMSFEASSSSSAMAPAGSLGESVSEKLTGENFMIWRAQVMPAIRGAQLVGYLDGSIKASETEIVSKDDKGEEVQIPNPAYARWILQDQTVLGYLLRNMTREVLVQVAGLESAAEPHAVDMEIVEAVEVLDSAEAAAVAGATMMEEDVAMGHNPTILEEGIILRTTVVEVAIPHMADSTRIVKVGEDMVKEELLA >cds.KYUSt_chr6.11262 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69743979:69745010:-1 gene:KYUSg_chr6.11262 transcript:KYUSt_chr6.11262 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYDGGFVDEASTFDALLGGADASALFDFGGYGYAHDVPNSASWAGAGPSMLAFDRAAHGHGEQAVAAVVADEEADCDAWIDAMEDDQAAPASSSIGFDPASGCFSLTQRSGGARRPFGLLFPSTPNGTGSPDVAAPARLSTQKRPSSVRMHDAEPRAAKRQCVASRETSKPKPPAPTTTPPKDPQSLTAKNRREKISERLRTLQQLVPNGTKVDMVIMLEKAISYVKFLQMQVKVLATDEFWPVQGAMAPEISQVKEALDAILSSTPPSERGRLN >cds.KYUSt_chr3.42746 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270232960:270235391:-1 gene:KYUSg_chr3.42746 transcript:KYUSt_chr3.42746 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRLHVAAPPPPLLHHHRLRLPRPPKLTLLSSPAPAYSPLRPLRHRALSPAPLRASVDRAEEGSDGEGEGLVGEDSAVFRLGDQRVLSWAYFSGILAVVLYALNVLWIDPNTGFGTSFLDAVGSISDSHEVVLLLLTIIFAVAHSGLASFRETGEKIIGERAYRVLFAGLSLPLAVSTIVYFINHRYDGIQLWQVQGVPGVHELVWLSSFISFLFLYPSTFNLLEVAAVDKPKLHMWETGIMRITRHPQVIWCLAHTLWMGNSVAVAASVGLIGHHAFGVWNGDRRLASRYGEAFEVLKKRTSVMPFAAIVDGRQKLPADYYKEFIRLPYITITALTVGAYFAHPLMQASSYKLPW >cds.KYUSt_contig_1781.87 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:513028:513423:1 gene:KYUSg_contig_1781.87 transcript:KYUSt_contig_1781.87 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIIYEEVVEESQEEYFHRSLLDWALGRRVPWIDYSEQGREEDEEEERFRLGPRVPASREAVKELKAITWAAAGARLQTECAVCLKDFEAEDMVSKMPCDHCFHHCCISQWLRVSCVCPLCRHAALPTA >cds.KYUSt_chr3.38809 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244526541:244533208:-1 gene:KYUSg_chr3.38809 transcript:KYUSt_chr3.38809 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRKEKRVVAVAGAAALVAVGLNLAFSAVAAHRRRKRQELPGFTAQVNLSAAEIKRLADRIIAKSKETYDAVAAVPLDKVSFANAIAPLAELDAQQFPLVQACLLPRMVSPSDDVCRASAEAEKRLDSHFLLCRQREDVYRVVKAFAERGERIGPEATRFVQCLVREFERNGAKLPQTKRKEMEKLRSHMDDLNLKYIQNMNDFTKFLLLSEEELAGMPLEFVKDLEEIDGKRKVLLTSYHVTPILEHCKVGSTRKLIAVAYGQKGGKQNVAILQKLVQIRHRLARLLGYSNYSDFAIEPRMPMTSRKVLEFLEEMSEQLNDPSNGELRLLKDLKVKEEGDAQFGMEDLLYYMKRAEQHKVDLDIGEIKRYFPVKLVISGMLKMFQDLFALRFEEIKDVEVWHETVRLFSVWDASSSDHMGYFFLDIFSREGKYGHTCVVALQNGCMCSNGTRKVPVAVLLSQCPKEFDGNSALLRFPEVVRIFHEFSHVVHHISNRATFSRFSGLRLEGDFAEIPSLLLENWCYESTSLKMMSGFHQDITKSVTSEACQSLKRRRDMFAGLKLKQEILLCLVDQVIHTSEDVDIDDLIKDLHPKVMLGIPLLEGTSPASCFPRIAVGYDAVCYSYIWSEVFAADLFATKFKDDLLNQHAGLRFRNKVLAPGGSKDPLEIITDYLGREPSLQPFIQSRTRNTL >cds.KYUSt_chr3.19234 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118403296:118404045:1 gene:KYUSg_chr3.19234 transcript:KYUSt_chr3.19234 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELQPIIGCSTATLLCFSTEVGEWVEKSVHYPLPPRPLSPICVLSHHGRLWWIDLSWGVITCDPFADEPVLGFVPFPPGKVLPCRQAKGVTDMFRCVGVSGGKLRFVDTYMDRRAHVHAGSIPTVGVWTLADPDSTEWTLEHEASFTEIWADQSYKATGLPNKVPRLALIHPKNPDVLYFFLQERLFGVDVPARKVVECEVYGLVAPPSCCIATRFVRSWELPRALSSGGYEYDTSISFPLFFMSVL >cds.KYUSt_chr4.22714 pep primary_assembly:MPB_Lper_Kyuss_1697:4:142873033:142874567:1 gene:KYUSg_chr4.22714 transcript:KYUSt_chr4.22714 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQCNTRPACDPLPTPPLTNFPHELPNLLPMSLTSCPISIYSRLHGTFSGVSEGFASPAVRRHGRDDRGIGSCVSGPPSAATITTRCVTKPAASSAPPTPAAVAASAAPPGHGSARPGDLRGVPAGHARPWLARPRRRPAPSRPRPRPSRRPPPRPATARPAPATTAPRLPARGRAAAAARPGLLARAHEWPTRPAACPPVRARRGRSRGAHGQLRPAPPLADRFSRLASGNLGPAQRPPLSDRRSPLPVSRFVAKRGGSLSGFSVRGRPAPPPLPDLQRGRPAPPPLADLQRFSHRGQPAPSPLADLQHLNHHGKQQLITSRWRLDPTGWSAHTRRPAAPPERAGAWPAREKARSMAWQSWSGARADGILFSLWWLIPDQLRWPYNLFSNFR >cds.KYUSt_chr2.9281 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58504073:58505023:1 gene:KYUSg_chr2.9281 transcript:KYUSt_chr2.9281 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLLRHASNGRLSRPAPPRRLHVAAVALRSRPSSSLAIPGFPPATAPAPAPALEHVLPSPPVAADAAAVLLEAGVPQEDLRRAAGMCPELMSVPVETIAAALRFLTDEAGVPADDLPRVLRRRPRLLVSPVAARLRPTLYFLRALGVPDIHRRADLLSFSVEDKLLPRIEFLQSLGLPARAARSMARRFPALFYYSVDANMRPKAEYLLGAMGRDAHELFDFPEYFSYALDTRIAARHQACAARGVRMPLPAMLRPGDPKFEASLAGCVGSTPPRRRSPLWHAYWVDGEGAGLGDVVDKARRDDASAASYRHVH >cds.KYUSt_chr1.1182 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6474087:6478431:1 gene:KYUSg_chr1.1182 transcript:KYUSt_chr1.1182 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAPPPEAETEPEFAEVDPTGRYGRAPKPLLNVFFHHVIFSRYRIKHRKVDIRALKKWSRQILSGLVYLHGHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPASLAKIDDPEVKFFIEKCITQASQRLSAQELLVDPFLLDVDDERIFYPPSNANTSDTVGSSNPSSNYRYDRVPSSVGSREQTGSMQGSHVSDTHIHGNIDRHPSTGRIITVESQRKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTSIAEMIDAEIRAHIPDWSFDESVDTQGDEIANSENGSSEADDEISELRNEHDATNNGFTQEQLPSGRKYWSDSPRRDNEISHSVEDPQIGDSMPNGTLKQNDAHDTESDEKPELEDICGRISCSLTLLNPSGVDRSSAGASAGTSSCSSNDGHSTTDPAERLASLLSQQQEELSVLRKKHKADIEEILNDVPAQHREETLTRCRLKADQKNI >cds.KYUSt_chr3.48320 pep primary_assembly:MPB_Lper_Kyuss_1697:3:302318729:302319166:1 gene:KYUSg_chr3.48320 transcript:KYUSt_chr3.48320 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEIVTGRKNNDDDYDDGSRQSDDLLTRVYIRLRALVLLVWEHWMSGTVREVVDPCMNGRFSEKEVLKCVHIGLLCVQGNPTDRPTMSVVVMMLGGETFTLHAPSNPSFGSKTDGAGAD >cds.KYUSt_chr7.15919 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98816548:98816826:1 gene:KYUSg_chr7.15919 transcript:KYUSt_chr7.15919 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKSSFFLEFAGRVPPPIVGFRNSGGTDAAAPTASMLGEICTTAPPLPPSPPLTCPGCAPLAANSADPRSSVMSWSALIQFEVDSIGISG >cds.KYUSt_chr1.39353 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240839699:240840982:1 gene:KYUSg_chr1.39353 transcript:KYUSt_chr1.39353 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVLARPHRLFSHLRRHDCRSGAQLGRRADQIISRSRSYTSSSEDDTDSDTDPLEAKLVAPNCTSPKQRKGHLYVVLDDWKKGFSIHKLDLDDGSDGSRDLTLRPPVYRQATECFRWNFAAVGSKIVAAGEITNEGHGKEDNGVTLVYDTETAAMSIVPRLPTALRVPWFLATAARNGMYAFDGVSKKNTQYNVSMYHLEEAPPPTVSDACWWGTNDHWSWKSIPSQPPLNTYGVGMMKSIAVHPEGGERIIFVSVGCSDPNRGQTYSYDVQSQEWKDHGDWGLPFHGQADYDTELDAWVGLHNRYERSTKLDGYICSCDVVSPSGSSPRPASKLCKERLFDPNTQIPSLLYMGDSTYCIVKVQELERYKSSRCAGRGNGSMISLSMFRLKYNKNGELTITARQPDRSYLFSRYMSSFVVKAFWI >cds.KYUSt_chr7.14017 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86603343:86607956:-1 gene:KYUSg_chr7.14017 transcript:KYUSt_chr7.14017 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPAAAARRAAAIARHLAGLPSGTSAAFAALQSSPCLSYAPPESTEAPPAFSPTELRALLDGHHLRDRDWVFGAMEESPLFCPRRAGGKVFVSPDYNEGKEGQREATMRRIGYLTRRGVFRGWLTEPGPEAELRKLALLEAFGVYDHSLVIKIGVHFFLWGGAIKFLGTKRHHDKWLSDTENYVIKGCFAMTELGHGSNVRGIETIATYDSQTREFVINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQIRDQDESVLPNIHIADCGHKIGLNGVDNGRIWFNNIRVPRENLLNLVADVLPDGQYVSTIDDPDQRFAAFLSPLTLGRVNIAVSSVYISKVGLAIAVRYALSRRAFSITPDGPEMLLLDYPSHQRRLLPLLAKACMMSSASNFMKNMYVKRTPEASKAIHVYSSALKATLTWQNMTTLQECREACGGQGLKTDSRVGILKAEFDVQSTFEGDNNVLLQQVSKALYAELVATQKKKPFKGLGLEHLNGPCPVIPGSLTSGILRSSKFQMDLFCLRERDLLKQFTKEVSHYLAQGESREKAFMLSYQIGEDLARAFTELTLLQIFLEDEMKTPAGSLKDVLGLLRCMYVTVCIDESASFLRYGYLSRDNVATVRKEVMALCSELRPHALAIVSSFGIPDAFLSPLAFDWIEANALSSGCE >cds.KYUSt_chr1.32584 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197923238:197923564:-1 gene:KYUSg_chr1.32584 transcript:KYUSt_chr1.32584 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRSLLAVVALPRLVASLVPGGRVGVRAPAARGSRARRGSSATGRFVVAPARRERLFLRRREGTGGTAQPALADAAGAGTEEGRGETRAREGLGLAGRAEKRARKPR >cds.KYUSt_contig_528.206 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1313173:1313505:-1 gene:KYUSg_contig_528.206 transcript:KYUSt_contig_528.206 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKWTAGFPSFAEEVRLGEERRQREKEAEMAEARRQALLRRKLLILQISLASEWAIKQMEKGWRPQISGQGLEEQVAAALAMVKMKDPEDPRRLMAEQGLEDLENWTP >cds.KYUSt_chr3.29267 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183111412:183114668:1 gene:KYUSg_chr3.29267 transcript:KYUSt_chr3.29267 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAAAAAEEGEYVSDVDDSPVLALRRRAEASDDDDDDDDDDDDDDSGGGGGGGGGGGGGGSGSPPPSTVVVCSDSDSDGQGAAELYGEDEGSEKCQGSQEDFGAGRSGGGGDAKEVADEGKYDDEEEEEEAQAKGGAAADGEDKAKKEPYAEPRIGAFFMHDDRFHDKENHSRPRHREFFGGQKLRHPKDDSVWVHDLFDEINIHDVQHDNIRRPTIPFGPWAGDRTHGVNHGYLEGTKSPSYYHGNRADYKYIPKSSLTRYESSNNYNRFPNEAHTSYDNSKNYRSIPRKLHSYYDDNNFDNARREPPTYHLKGIGYAPNVYRGKPSRPYQPHWKSTFGISSVQRNRSQNEEACSSAGEGKCYYRTSDLQNEQDIPLKEKLPLIQRRKAPPAIFSKLFTTSVHMAHSSLKTQYRPVLGVKPFVPSGGHENAVDSGSTVSIKAMPSLGSHSSVSTSNNYSQYSKSRDQGGGLNNGESAKNRFSSTSQATGYDTEFSDAVYQQRSVQQLQPRQRASTQIFHQNIVNKNNIQSHPQATSTSSTEDAETSPPPGSKNSEAPAVVIGQTDKEEPVSASFSHDGGQALRDQGFPGTPASLPAMQFSGQHPNRPDIPSIGMALPGVLSHQLGGNSEMNHLTWCVVFNFL >cds.KYUSt_chr7.30159 pep primary_assembly:MPB_Lper_Kyuss_1697:7:187805491:187810733:-1 gene:KYUSg_chr7.30159 transcript:KYUSt_chr7.30159 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVRVLEARNLLPSPAAAAAEAAGDPYARLQLGRQRARTKAIRRSPTPAWDEEFAFRVGDLGEELRISVVDEDKYFSDDFLGQVKVPLSAVLDADGRSLGTQWYRLMPKSSKSKTIRDYGEIRLAISLSQSYPEETTTTTAALAHWGSDEFTSSSDKSAELRKGSSLPNIPIEPSTPVAVPGSDEPDISKEDKPNGGPSFINRLQQIFAAKPREAEASLLPPVSKHDRGLDTLEEETPSTSPQVSDKQDSEASANMSFDELLKAYASQHEGSDMPENFAGVLIDQVYAVAPSDLNVLLFSPSSDFLQSLAEMQGTTGLDVQPWRLESDGQVLKRVVSYTRAATKLIKAVKATEEVTYQKADGDMYAVLADVDTPDVPFGNNFRVEILTCIMPGPELADGEKSSHLVISWRLNFVQSTMMKGMIENGAKQGLKDNYNQFSELLARHVRPVDAKDTATTNIESLSSVQPETESDWKLAFRIFGNVTVISSLFAFIYVFTHIVLAGPSIIQGLEFPGLDLPDSVGEVVVCGILVLQGQRVLNMIARFVQAKRQRGRDHGVKAKGNGWLLTVALIDGANLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLRPQWNEIFEFDAMEDPPSVMEINVYDFDGPFDEVASLGHAEVNFLKYNISELSDIWIPLKGKLAQACQSKLHLRIFLNNSRGTEVVKDYLDRMEKEVGRKIAMRSPHTNLEFQKTFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNIFGHKTKFFFLWEDIEDIQFVPATLASMGSPCLLIILRKGRGLDAKHGAKQLDDEGRLKFHFQSFVSFNAAQKTIMALWKARSLTPEEKIQLVEEESDTEDLQNEESASFLEIEDAKMSEIFKSTKHLDVSTLMGIFEGGPVERQMMEKVGCMDYSVTAWEPVRADVYQRQVHYRIDKKSSRHEGEVMSTQQRSPLPDKNGWLVEEVVTFEGIPVGECFNLHIRYQLEQIASKQKSCTVQVSIGISWLKSCKNRKKITQEVASKISSRLKKIFSQLEKEFVPVK >cds.KYUSt_chr2.33866 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209317180:209321274:1 gene:KYUSg_chr2.33866 transcript:KYUSt_chr2.33866 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVAASLEGFPYRGSRYWGFRDEGFKLLDKSISTLQMELAAKRSTLELVRKGEKLLQLEEQKGIVIRFTIGHSATSNSILDKSIDAEDAQHHDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLVRHKSKPRTYIGCMKSGPVLADTPILHKYANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERLKDVHARCGEGDSSVWSALI >cds.KYUSt_chr1.24554 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146782403:146782819:-1 gene:KYUSg_chr1.24554 transcript:KYUSt_chr1.24554 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFYKPAPVFSPTPCSSPLLLHGEAAAGASVGQEGASGALEEQCCRTPTGVGSELKQVAATCPPAPRKPRAPVAPCRKRLFEVEVFSLRLDELERLFWRPHAAQPQPAPQPEKKVKRRRVAPPLASPEPSARAS >cds.KYUSt_scaffold_869.923 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:5861988:5882683:-1 gene:KYUSg_scaffold_869.923 transcript:KYUSt_scaffold_869.923 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRHWRSYYKHVLLLAYQSCGVVYGDLSTSPLYVYKSTFSGSLRRFEDEETIFGVFSLVFWTITLIPLLKYVFIVLSADDNGEGGTFALYSLLVRHAKFSLMPNQQAADEELSTYYKPGYTAQDTPILRALRHFLEKHSKSRTFLLLMVLFGASLVIGDGVLTPAMSVLSSFSGLQVHCHALTNGEVVIMSCIVLVCLFTLQQWGTQRVAFLFAPVVIVWLLCLGGIGVYNIVVWNPRVFYALSPTYLVRFFLRTGKEGWIALGGVLLSMTGTEAMFADLGHFTATSIRVAFVGLIYPCLVLQYMGQAAFLSKTPDCDIHFIFFQSIPRTLFWPVLVIATLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSNRIHGQIYSPEINWILMLVCLAVTVGFRDTMLIGNAYGMACAGVMVVTTLLMSMVIVFVWQQGFILATLFLLAFGVVEAAYLSAALMKVPQGGWLPLALSLVFVAIMYVWHYGTRLKHTFDVQNKVSLRWIHALGPSLGIVRVPGIGLIYSELATGVPAVFSHFVTNLPAFHQVLVFVCVKAVPIPHVRPEERHLVGRVGPRDFHMYRCVVRYGYKDVLGDDSDFENDLVLRIAEFVQMEAAEAAENANRNSDGAASVEGRMTVITRPSDLARTGLLVREPLDGEESVVVRAATAAADVHKSDTLQSLQNMYESESPGFANQRRVRFEISEMAGEYVDPRVKEELSVIVEAKHAGVAYIMGHSYIKARKSSNVFKKFAIDVAYNFLRKNSRGPAVALNIPHISLIEVGMIYYV >cds.KYUSt_chr3.45388 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285968288:285972168:1 gene:KYUSg_chr3.45388 transcript:KYUSt_chr3.45388 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLGSWFGATISTVTSPLRDIFVTHASYCLNTGNNVRAHERVANTLKGKQAAVEGEIEAGIPNGFIKTEEAGLWLNRAKEAISNVEKNRERYDKRSRTFSCCYLNCWANYKIGKRAAKEISEVEACAESIPHNATVKGPPPFVIDIPIQSAQLPSQESIHLNAYQCITRTDDPVGMIGIWGPDGVSNTHLLKKINNSFVGDSSYFVIFVTASRECSVQRIQAQILQRLEIRNDGDVVTQATNISKLLRIRNFLVLVDDLYEKLDLTEVGIPYPLGTVGDYKRKVLITSQSETVCVQMDVNKYIEVPCLDEIEALKLFAQNVGQEDIDSDPQIRALAKDLVKEIKGVPSDLIHYGKQMGGKRDPREWEDVIQAVRKLNLQKKDPTFVRRTLKNLEDSAKNLHARKTDVRCRIEVAERLGMTSTNEVDRWLEKVDAISSDVQIIFEGNKLNKNVTMEAVAKLSEVQECLRSCPNSDNIALVSVPPPAQEIPGPSMPTENHNLQKALQFIEDDPVGMIGIWGQGGVGKTYLLNSINNSIAVAGGISFNVIFVTASRGCSVQTVQGDILKKLGMERAGDVESQGQKIYNFLRNRSFILLLDDLWEQIDLQAVGVPYPLGTVNQLKRKVVLTTRSKKVCGEMDVRKELKVTCLQEDDAWQLFKEKVTQDTLSSSPRIEALARELVKELKGLPLALIVVGRAMYPKIQPSDWEYAIKQLQQSCCDEDDLLSMENVFRQLKFSYDSLRNDTLRRCFLTCALWPEDWEIMKADLAQCWLGLGLVDGDIQSSYTKAYSLMSDLRDACLLENQGNWYGFVKVHDVIRDMAIWISCGCGENNNNWFVRAKVGREDKFSIPWSKAEYISLMLNEMRKLSPFRLDPCPMKLRMLCLQNNYFDGSIAETIKNFTALTYLDLRSNLLKNIPDELCSLANLEYLDLSHNPDIYQLPYCFRSLVKLKFLYLLCTDIRIIPYGIISNLKVLQVIDLRSWRAYHGGGSLNYNPTLFQEFGTLDQLKAVGIEADGFTEYESLRDATNLPIRSLILGSLKETDAFCLSDILSADFARCTLYELEIIQSNIEQITVRQEPNYQFPTLNKLSLWFLVKLKEITWVGRPPSSVFPTLTCVDVNSCSKLEHLSWVMYLPCLEQLEARFCNNMKEAFLRTGHQGEIMSMDQENPRTFPCLKHLCLSDNAKLVTICGPHVTFPFLEELVLTKSPELISLPFKTNSLPLKLQELRVDNIECWDRLQCEDGVKSFLQPTLKFGYETEMP >cds.KYUSt_chr5.35167 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222377949:222383592:-1 gene:KYUSg_chr5.35167 transcript:KYUSt_chr5.35167 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLGALSQSDLVALAAASPYAVDPRRGRRDDDILPPPKIDRAVFNESAGSRKQTFSRHRVATNLSHNATPSTASTSTAAPTDEDSENRLIVFHLQRLFALHDPSYPQPPPIQQTLAAPPLAVAATPVAALPIPSLPDPDRDLMNPKGAAVDLARLAGLVDPYGEEIQKQTAGLVLESALLGFMNGLEGQWGSRRRRRKFVDAAMFGDHLPRGWKLILGIKRKERVAWINCRRYVSPRGHQFATCKEVSSYLMSLVGHVEAKLTPVQSNNVGVCDFSAVNVFTSKPIQLRIHKVQCQPLLLHYPFTVDQSAYVQHQLSFHQRKAKRRRVDKSVDLGVGKDEKLETRECQRTSEDKPGYFGHGVADVKNQDQTAAELFGGTSSGELGVQPSLAAVPCGSQEMTCLPEQNEKPSSGEPVSAHQKDPVWEINGLPQQEKESSASEPCTEHQKELFNNSGDHGIRDGACHIAEESLTSYVASDLRTCKSPEVHKHDSSKAVEFPSADCSRSFNRSDDFCSITEEVAPNIDAPAESKCTDHQLDFTDITLSERASEHCDLLDPKFSSFAGETEFNDQVKNSPLSANLDEPDLSSIGMEVDESKFRGVVNPTSSEYHKTVEDQIDQGVAASRNDEINIDVRIRDVNLNSCLDTVSSPVSGTNCETSNALHDRRSSIIAQCFGASPNDDNVCQDEGFVNQNNVPKAENFVNHNNVPKAEIFVNHNNDMMYQSNLTMNPISPAQISVDYFNASCSLTPEIKNDASRRDNAKEQFVNSVNISSNEPGYDAEAYNNDIFTGAIGETSFAQLTNAMNMKADFSSCYSLSDLNTLTGGTPTDVIDFNGMRSSFASGSTRRSEPNELDFDIKGSMLEALERSDSDLENQYNGGDPACDSLPAAGTSGSMDDFMSMHANFGSLTSLVRSVEGGPMSRLIQDQCDLQLGFGAQKQQMYPTFEEHLRMASAGAPQFGNLGRHNPVPVPEPTLMLGYEPTLMLGYGPPFGNCPPVQLGWDMSKMVGMLQSVCVWCNTRFQHFGTAEQQADSVGFICPSCKDKTSGHLSILNNGSSL >cds.KYUSt_chr5.6228 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38473069:38476667:-1 gene:KYUSg_chr5.6228 transcript:KYUSt_chr5.6228 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPTRRTIHQSPPSMLVDGTLPAAAAMEARASTGPREQGDADAAGARVHPLRLPRRPRKLELRRPSPHKLMPDDHDSFCTTSTFFCSDKAVLIKRDAVGRDLLLLLVIRSVTAYCFREGHDLVVERMSSTGSTQQCRPDIRFRSL >cds.KYUSt_chr5.11574 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75088713:75090767:-1 gene:KYUSg_chr5.11574 transcript:KYUSt_chr5.11574 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFAGELVPDSSLVGLLLLDQSCRRAVGNTDHDDSGLTGVLYPVILFAQAAGPECIMPSQQAPLRLMRIKVINDTVWLANIAPNISRKTLQDVQFKVIEYFSNYKPEEEILCTTIQMDLVQCDSADLPEKGWVVRLIRLMYEFQNCEWSCEHPSKQKLIRFGQIHS >cds.KYUSt_chr3.11059 pep primary_assembly:MPB_Lper_Kyuss_1697:3:65878575:65885083:-1 gene:KYUSg_chr3.11059 transcript:KYUSt_chr3.11059 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIGQVASKEGERMYLDVASKEEGGVRKVPHAMAATAGQGFLSDQIRRSKPWDGSCAVRRRLQIWTSTLIVMVSHVRAPTITAGGIGLGALECVVGARLRSIDEDDGPVMDSPTSGDPSRGGYKNRPAGAGQVPVKTQDADKEDCRREAMKKPAKVKSVTWKLQGTSNAMSTMMAKTVKAVPRVTPTRSKWRIWNPDRSEAYVVLRGVIVRNNHARLQKTRDATTLYDPEMKPVPVSISRLPPVLKLSIVRGIGCGIGSSG >cds.KYUSt_chr2.2758 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16477052:16480720:-1 gene:KYUSg_chr2.2758 transcript:KYUSt_chr2.2758 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTPFTNLDPATAADAIRQGSDPHELDQATERVLLYTYANLPDFPCYGCHLRPSLAVADPGGVDRISHLPRGLLHDIVSRLPLKDAARTAVLSSRWRRIWLATPLVLKDAHFLPKGHCWPVTPANSPAITAAVSRILEAHLGPIHRVRLVCTNMNSYRPQLARWLQLLAAKGVQDLALVNRPWPRNLPLPATVFAITTLTRLYLGVWKLPDTTVLRGASFPRLRELGLCCVQMEHGDVDSLVARSPVLEILNILGCLKGLRLRLVSQSLRCLQISASILENVAVVKAPCLERFILYASCTPRGLCTRVKIGDAPKLHAFGYLQPGQVLEIRDTVIMPGIKMRTSAMLTSVKVLSLNVCFGVPNDVKMMPTFLRCFPNAERLHIMSKKCDQPTTGHLTLKFWQESGPIENVTSRINTISIREFRGEPGEVAFLKYIFQSARALSTAVVVMANPSFAPFSTKEAYSKVQKCYKDMASDSCNHLVLESNAVAGVGIWRFKDGADFSFHDPFSVAEVRRPLN >cds.KYUSt_chr1.5320 pep primary_assembly:MPB_Lper_Kyuss_1697:1:32706687:32710393:-1 gene:KYUSg_chr1.5320 transcript:KYUSt_chr1.5320 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVATQEATSGSGSAASRNKRKFRAEPPSGELGPFGLEYPLTTDCVGFEFMSPEKAAAAAAEGANLDLIPSACDACKGIHATAEELLECQRYVNWSDPNEAQLEEILLKSLDTTFDNAVGVITTMGYSEAAARAAVVRAAAQYNWRESLAGFGEAAVEVLKTEGDLLPRDGSSLEDMRKIEQVVLGTLIGVVNEAQPFYTTGDVMFCLLMSDMNVAHACTMDYSTASLPAVGAQVIAQPVAGNGEPGPSPDLSVSITHPQTGITFRGKLTPVPPGSYNAVKADSSTTSVSPNVPNGKPSTSAKMHPVVPNVKPKEHTAAMPDHSEDQPFVAAATQSVKDDKPFPSKRGSSKRDSLHRQKLMSFDKNSRALGSKGSLRSGKHSSSAIAALERKCRPLPDSATCSLKCQSKIVKGFAASMKGSEYAVDLSFTATGTIASIPSFDAKATKNADPASAASTELSLSLPLPLPSPSSGDGSAPSLNHDSNTEAVDPSSKINFAYDEDQKVWVPQDKKDEMVLILVQRQKELQAHMRDWRDWAMEKVMQVTRRLAKEKEELQSLRREKEEVDRLHEERHCLVESARKKLLEMESAISRLSAQLDKADASARRREAENAQLRVQMEAAKRHAAESAANFVELSKKDESSLKRSQHWESERSQLQEQLVAEKSKLSWVQQQLQHAKEKKEQLKVRWRQEEAGKTEAIAHVTSERKERGQIETSLRSEENFLHLKAENDMQRYKSEIRALEQQISQLKVSLDTSAAAAPKWNTDDKTRPSRLSEGRKNGNTQVLARVAASPALDIDLDDLQRDRECVMCLSEEMSVVFLPCAHQVVCAKCNELHAKQGMKECPSCRAHIQRRVCARPIGR >cds.KYUSt_chr4.10688 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64915002:64916846:-1 gene:KYUSg_chr4.10688 transcript:KYUSt_chr4.10688 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDSYYSFQDDSHFFAGAGGIPGSPDLPFADLIASLSEPLPAPASQSAFQDYRATAGTRGGRNGNVNIHRRMMGVLGRMAPGSGEEEEELPQQQEQKQQHVGAVESSRGFRHMMRERQRREKLSQSYADLYAMVSSRSKDKNSIVQSAASYIHELKVARDQLRRRSEDLKAKILGHDAQQPCVKVQFEVDEPSSSIDSMIGALRSLKCMNVKTRGIRSTLAGHRLTTEINVETTIAASEVEKAVEEALQEVERKQLPADSDNTFPGSRSSNWPQSHVQNVF >cds.KYUSt_chr4.35918 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220765931:220766242:1 gene:KYUSg_chr4.35918 transcript:KYUSt_chr4.35918 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr4.50088 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310303970:310307018:-1 gene:KYUSg_chr4.50088 transcript:KYUSt_chr4.50088 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRGHHFQGRKDFGQCDAKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTHCVSKEDHPIVQRKGLAVVDCSWARLDDVPFAKLRCGAPRLLPWLVAANPVNYGRPCQLSCVEALSAALIICGEKDTGELLLAKFKWGHSFLSLNRDLLKAYSKCENGTEIINVQNSWLASATSVPKSPANATEKSHQSTEEGSDDDSDDGLPPLEENMNHLNLSEDEEESEEESETE >cds.KYUSt_chr1.26896 pep primary_assembly:MPB_Lper_Kyuss_1697:1:162321779:162326113:1 gene:KYUSg_chr1.26896 transcript:KYUSt_chr1.26896 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAQDPTAATFYSAATGLYASTHAPLPLPADPALSLVPHLFSRLPLHHHGQPHSPPCFIDAATGASLSRADLRRLVSSLAHGFRRAHHIRAGDVVLLVLPNSIAFPVAFLAVLAAGGVATTMNPSSSRAEIAGRLRDTSPSLILASSDNAAKLPPSAAPVLVVPDTFPAAEDEYAPFRALLDSSAAGAGATDEFPSAEVGQDSDAAFLYSSGTSGRSKGVVLTHRNLISMVELFVRFEASQYAPPACDNVYLAALPMFHVYGLSLFAVGLLSLGTPVVVMRRFDVGEALRAIHRFKVTHLPLVPPIMAALLRAKSAGALSLESLVQVSSGAAPLGGKLVQDFLQAFPHVDFIQGYGMTESTAVGTRGFNTSKHKKHASVGLLAPNMHAKILDVETGFCLPPGSCGELWLHGPAIMKGYLNDEDTCARSDGWLQTGDLAYFDSYGYIYIVGRLKDTIKYKGFQIAPADLEAVLVQHPEIVDVAVTSAEDEEAGEIPVAFVVRKSGSTLTCVQVMEYVAKQVSPYKKVRKVIFVEAIPKSAAGKVLRRLLKDSLTLDAAASNNKTNPSSRL >cds.KYUSt_chr3.48297 pep primary_assembly:MPB_Lper_Kyuss_1697:3:302160008:302165529:-1 gene:KYUSg_chr3.48297 transcript:KYUSt_chr3.48297 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIIPCSLKYGDTAMGEVEDQEELDDRADDIDQAIADARKYCETEMERLKFDQMLEDHNKLFYPTCEGGQKNLGVACFGFGAFHVTGLYGPGRWVSDPYGLTGKVQAVNPAWGAEGFDPFVPGGIASHHIAAEKEGLSLKIMKKKRMITIIPCSLKYGDTARGEVEDQEELDDRADDIDQAIADARKDCETEMERLKFGQMISIAVVVNHFIPYNIDKPISFGFVC >cds.KYUSt_chr5.6355 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39332377:39333693:1 gene:KYUSg_chr5.6355 transcript:KYUSt_chr5.6355 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHWRIVEQLEKTPSPPANIVDVHVLMLSPSDVETLPPSSGAECPLCSKVFSSCNSLREHMSEHSQKKLLSKPVEEAAGLMEALAIVDGGYNVMLSPSVKRKRSKRGPPVVNFGEMDAAATLLLLSESSKKIPAYEDCCAEDMDSSLLHVSKDLNQNAFDHLLIRSTEFKKTKGHKSSTYDDCYGKCEKDDNLNPNVPKDNSPISNVPKNSAYQDCCGRYDNENILFCNVSKMESNVIRNVSDKDNTLIPNVLKDSTFILNIPDNSAYEDCYGQYEKDNILFPSDPKKDSNVICTVPEKENTLIPSVPKEVELIVLDHVLAGDSELRKPRTDSVEIKCGDLSAAMKVKRYQCNTCRKSFGSGQALGGHMRCHHPNCNHRRQGFADRPESVVMDEQKQKTELDSKLLDVRLPALTDRHYIFSGLQFEPEPWPVASRVH >cds.KYUSt_chr3.42144 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266312243:266312734:-1 gene:KYUSg_chr3.42144 transcript:KYUSt_chr3.42144 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEKGGRRKSLASRTLERCRSGLTSAAGKPSTVAGCFSVYVGPERERFVVRADRANHPLFRRLLDDAEREYGYAAQGPLALPCAVDAFLDVLWHMNHDSDDGDSVVAPTSPICGLQRGGGGGNKGRAAGHRVLSTAKSSPASFFLSSKASLTTMTDGKRR >cds.KYUSt_chr1.2238 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13121743:13127915:1 gene:KYUSg_chr1.2238 transcript:KYUSt_chr1.2238 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRLLGVCPWLMMSLPGTEGGWLARDQASTVCRSMPEGPLRLPGRCSGDHRHQQQRSKFSLWPPRRLEVIISIGVEVELLHVIDFVGQLQNTEIHSSSLADAYPVKVESMSEEDLKGENRRRSGTGRRSFESSPAARLQAERLAWEERVDEAGDVDEDDGFARAFNETSWHFFEVDDHVDQRKEISPEALSFQKLSL >cds.KYUSt_chr3.36413 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229019192:229025137:-1 gene:KYUSg_chr3.36413 transcript:KYUSt_chr3.36413 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGLDEVMAFLTEHGFASTASALRDDVLGRTAEVASDSSPALDPRLPPLRMPASASASGVGAGTTAPASPGSSSESASSSAFVSMRSTPSGLLNPYGVWSPPHSLSDASSSEMEFGTARQYDTSDIFFQEGWLYDDHIFHTKLDDDGRDKEEDKFVLGAHGGSGRTETFDLAAGGGRRHEHAGTDGCEGCAEVYTCSSPLCGCCGGGLKDVRNSSSAVYGRYKIMDDQTEVLDECGLDVFQLKQSDDAVLECDLPRDSEQGDEHVELNVVDRELRMLSSFDTCADADIVAGPVTTNVELDDNTENNLESSGEKEYLKDYSSDPFPQNGDSDDIYDFGDIGPLNTDVKKSAALIAEEEDPESNIDMSLANFHREYEVFELRIVHRKNRTGFEENKDFPIVLNSVIAGRYYVTEYLGSAAFSKVAQAHDLQTGMDICLKIIKNDKDFFDQSLDEIKLLKFVNKYDPSDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGGEEYFTFPRIQAIARQCLEALVYLHHLSIVHCDLKPENILIKSYSRAARSPSPATSSSSSSYLQEEHQVLFEFVVVLNSDPLGIQRLPDKFTEFVASNEPDALHLREAGCDCCRWPVDVLFGGRSKMYLHTGWEKFACYHYLQAGCVLIFSYLGDEDMSVKVFDDMCCRRHYHDDDNEKDD >cds.KYUSt_chr7.36698 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229346863:229348338:-1 gene:KYUSg_chr7.36698 transcript:KYUSt_chr7.36698 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTRAPTPSTAPCHVVLLACPGVGHLIPLAELASRLVEHHGFAATLVTFNGLPGPEASIPLSVSTASLPAVQMDDLPADARPLTVLVELIRRSLPSLRTLLRSITPLAALVPDFLCSAALPLAAELGVPGYVFVPTSLTLIYLMRRLVELHDGAAPGEYRELPMPLEFPGGLSLPLADLPLPYHDISKLVYAHTLKAGQEYRLADGFLSNTFDEMEAATVESFKQGAFPPVFPVGPLVRSNSDDEAGGSCPMLQWLDRQPTRSVVYLSFGSAGALSVEQTAELAAGLEASSYRFLWVVRMPSLDGCSYAYGSGGDEDDPLAWLPDGFLERTQGRGLAVPGWAPQVRVLSHPATAVFVSHCGWNSALESAVYGVPMLAWPLYAEQRMNAVVLERRLGVALRPRPREDGSGVVAREEVAAAVKELMEGEKGRAARRRAGNLQQAGARAWSPEGSSRRALEGVAAKWKAALTVPVRDGTKNQVAAEASLRKL >cds.KYUSt_contig_3510.24 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000679.1:170721:172661:-1 gene:KYUSg_contig_3510.24 transcript:KYUSt_contig_3510.24 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIADLKSQGENAVKRKDYLGASKIYSEALELDNCDATLYSNRSLCYVQIGKSQKALLDAHVCIARRPNWVKGYYRKGAAHMSLKEHKKAVEAFLDGLKLDPGNAEIEKVLWESLEAMKKDHAAAGNLEATD >cds.KYUSt_chr2.43045 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267852704:267857639:-1 gene:KYUSg_chr2.43045 transcript:KYUSt_chr2.43045 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLNPLVLNIQKMELELTCPVWYPTSPRLSSPIFSHPCLFSLDLDAPEVAQRAYDATMFPHVLQTMEGYSCAICKLPYNSQDLKPAYNLEAIVKIHKSLSSTLSSMVTQQGAQGDIPVASQQTPVRGNKNSYNSVASKLLYGQSTEPVKAVVGGQKAMDPALNQSNGVAVQPTVLVHKGPCRSSQSSDGTRDLDCDSNDLEGELCAGPLLHYLNGEPVKDDQAWKSNVLHVHEKCTEWAPQAFFDGDIVKNLENELARSLKIKCSVCGLKGAALGCLVRSCRQSFHFPCAHGIPGCRWDEVGKVCYAVSFPFIKEAALSSSDAILGDLNSPFPMERNEIWTASPFLSNEWVICGSALSGRDKEVLGQFQSQTGITVTNNWTPNVTHVVANTDEKGACGRTLKVLLAILAGKWVLNVNWLKACLEAREPVPEEPYEISSDVHGSVDGPRTGRLRAIQKAPRLFSGLAFYFIGDVLPMFMADLENLIAMAGGSILDKADLSSTSLILYNMEVPPGDDQANIELVMEKRRAEAEELAATVGCRALAHTWVLDSIAFCKVEFPM >cds.KYUSt_chr1.29680 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179725522:179726040:1 gene:KYUSg_chr1.29680 transcript:KYUSt_chr1.29680 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSCAANRGPEEGIDSVCSCPTKLKLAVEADHGVEAPKKETKRLSNRKIDWILSRRKRRPYCSPDEFPILCNPGPDYSQAEADDFRAMLLGWNESREAEWKIMSEMQQWARSEYAAKGFVEVDEDHCLFHGRDHDTLSDAQVNYPEEDYAEEDYAEEGEAFRNMGPDDPVI >cds.KYUSt_chr5.22385 pep primary_assembly:MPB_Lper_Kyuss_1697:5:146052634:146062521:1 gene:KYUSg_chr5.22385 transcript:KYUSt_chr5.22385 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAGAVAVALLAVFATVAVHAAGGGGGGRKMASHGEGTNPRVSPGPPAPAPAGGSAGQFRGQARLPRFAAPRHYELRLRPDLVACTFSGSVAITVAVSASTRFLVLNAADLSINSTSIRFQALAPTEVVFFKEDGVLVLGFAKTLPFGEGVLKMDFTGTLNDQMRGFYRSKYQYKGKERNMAVTQFESVDARRCFPCWDEPAFKAKFKLTLEVPSELTALSNMPVANTTVAGPIKTIRYQESPLMSTYLVAIVVGLFEYVEGVTVKGTRVRVYTQVGKSRQGKFALDVGVKSLNLYKDYFGTPFPLPKMDMVAIPDFAAGAMENYGLVTYREVALLFDDKSSSASSKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSHLAVDSFFPQWNIWTQFLDSTTTALRLDSLEESHPIEVEIHHASEVDQIFDAISYEKGASVIRMLHSYLGADRFQKALASYIKKYAYSNAKTEDLWAVFEKETGEPVKDLMTSWTKQKGYPVINAKLKGNDLELEQAQFLLDGSSGPGMWIVPVTSGCGAYDTQKKFLLKLKRDKLVIASQCGDRKKGGNFWTKLNVNGTGFYRVKYDDELGAALQNALETKKLSLMDRIGIIDDSHALSMARQQTLASLLRLLYCYRGEADYSVLSHINSVTASISKISVDATPGLAGDIKQLLIKILLSPAEKLGWDPKNGESHLDVMLRPMLLLALVQLGHGKTINEGDRRFNIFIRDRNTSLLPPDTRKVAYLAVMQNVSSSNRSGYDALRKLYKESAEGEERLRVLGILSSCRDKGIVLESLNLIFTNEVRSQDAYLVVRGLQIEAREIAWNWLKENWERILKTVSVSSLVGDIFKGIVTLFASNEKAAEVSKFFATRTTPGFERTLKQSLENMRISARWVEGIKSEPGLAQTELLAGVTVGVGNKNAFLPYHLQKMLPAMLFDCSS >cds.KYUSt_chr3.43577 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275023864:275025417:-1 gene:KYUSg_chr3.43577 transcript:KYUSt_chr3.43577 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMELIECGKMKERSASSRTHAETKALLAPSPINALVAQITKAADTSLYTLSLSHKDYLLDLSGPLLWSPCSAGNPTVPCSSAECTATLGTHRYFAQGQCRCTARLKNPVTGDRAVVSDLTLVDLVTTATDGSTPTAEVTVGGVLSACAPASFLGSSPLPAAVAGDAGLGRGSASLSAQLHSKLSLKRQFAVCLPSTVGRIGVAFFGDGPYGLMPPTPFDVSSVLSYTPLVRNPWNPSAYTIQLAGIAINQEAVQLPPGALDLVTLDTAAPYTVLRRDVYRAFVAAFQRATASVPRVPAVAPFEVCFNISDLGFSGVGYAVAPVDLVMARGGGNWTVFGFNSLAEVAEDTACLAFVDGGWAAPSAVTVGGFQMENNFLVFDEAASRLGYSGTLLFIRTTCGNFNFARN >cds.KYUSt_chr3.19267 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118669903:118677173:-1 gene:KYUSg_chr3.19267 transcript:KYUSt_chr3.19267 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVPKQLAVRLPENEEVARLLLEKHRSMLEKGLTDNLSLTLSAAYRNVCGAKEHIRTLKDLLKIKGVGRWVIRLVKESFPESTPDLSPPKIKAKSKKGKKIKRSEHSPDFVCSSSANMASQELIQLMSQEQISCSSEVQNTYQGSAEFSGLDKDTDGLSNSILAMPPRLCNEKFLEAYEVVLVLDDRETFGYHGMRVVSNIHSKFRVPVEKCGLRKLIYLVEGDPNASHASESVKTACLTTEILEGFDVQRTTGYPDTEKTYGHLTLSIIDYYSMHFSSRANTSRVCLTYDEFVKKCSDPKKLTVSDIFALQLMQVPQVTEETALAVTELYPTLLSLARAYSMLDGNTREQEQMLNKKSKMVNTGASRNIFKLVWSEG >cds.KYUSt_chr4.11402 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69323118:69324500:1 gene:KYUSg_chr4.11402 transcript:KYUSt_chr4.11402 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLSLSSCCASSAGGGQLLHPVILIPGSGGNQLEARLTDDYKPSSLTCRLWPPVRGRGGWFRLWFDPSVLLAPLTRCFAERMMLYYDRDADDYRNAPGVETRVSDFGSTSTLRYLDPTLKLLTGYMDTLASTLETAGYEEGHTLFGAPYDFRYGLAAPGHPSQVGSAYLDRLRLLVESACAANGGRPAILLAHSLGGLYALQLLARAPLPWRAAHVKRLVTLSAPWGGSVQVMLTFASGNTLGVPFVDASLIRAEQRSSESNLWLLPTPKVFGNTTLVVSERHNRTYSAKNVTQFLQDIGFAEGVEPYRARTRPLGEALPEPGVPVTCLVGTGVDTVESLVFGEEGFDAGPVKVVYGDGDGTVNLASLVGPIKAWSDSPAQIIEVVELPKVSHTGILKDKSALEQILRIVDSINRNATSTSPRQST >cds.KYUSt_chr7.40947 pep primary_assembly:MPB_Lper_Kyuss_1697:7:253828446:253831297:1 gene:KYUSg_chr7.40947 transcript:KYUSt_chr7.40947 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAVVPGTTAPLLPYAYAPLPSSSDDARENRSSGGVRWRACAAVLAASALAVVVVVGLLAGGRVDRVPAGADVASATVPAVPMEFPRSRGKDFGVSEKSSGAYSADGGFPWSNAMLQWQRTGFHFQPEQHYMNDPNGPVYYGGWYHLFYQHNPKGDSWGNIAWAHAVSKDMVNWRHLPLAMVPDQWYDSNGVLTGSITVLPDGQVILLYTGNTDTLAQVQCLATPADPSDPLLREWVKHPANPILFPPPGIGLKDFRDPLTAWFDHSDHTWRTVIGSKDDDGHAGIILSYKTKDFVNYELMPGNMHRGPDGTGMYECIDLYPVGGNSSEMLGGDDSPGVLFVLKESSDDERHDYYALGRFDAVANVWTPIDRELDLGIGLRYDWGKYYASKSFYDQKKNRRIVWAYIGETDSEQADITKGWANLMTIPRTVELDRKTRTNLIQWPVEEVDTLRRNSTDLGRITVNAGSVIRLPLHQGAQLDIEASFQLNSSDVDAINEADVGYNCSTSGAAVRGALGPFGLLVLANGRTEQTAVYFYVSKGVDGGLQTHFCHDESRSTRAKDVVNRMIGSIVPVLDGETFSVRVLVDHSIVQSFAMGGRITATSRAYPTEAIYAAAGVYLFNNATGATVTAERLVVHEMASADNHIFTNDDL >cds.KYUSt_chr2.11031 pep primary_assembly:MPB_Lper_Kyuss_1697:2:70005021:70015186:-1 gene:KYUSg_chr2.11031 transcript:KYUSt_chr2.11031 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDKTCADVVKLLTSWLPRRSKPENVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGRCTANSVPVSSGPDKSDDEGDRIRVCNFCFKQWEQEQIAAVKQLLPVLSPCLSEASLFSTKSTITIKSVSTLAGSYPAGNYKHVARAPTIGPPPPKFSQDKLSHSMQDSHLPEKSMSIISNRDDSSSIQFGYYTNRSDDEDEEYAAYCSDRQVQHQQHNNQYFRSDDFDELDATYNTTIARPVEENVIPAELSSCALDHGFPSTLTVTKVEDEPELDNSSECGAASSIYALETVDTNSVDFEKNDNFWIPPEPEDKEDELETDMFDDDDDDDAPLSDGGRCYLQSSSSFGSGEFRSRDRSSEEHKKVMKNVVDGHFRALISQLLQVENIALEEGDDMGWLEIVTLVSWEAANFLRPDTSEDGSMDPGGYVKVKCLASGHRSESAVVKGVVCKKNVAHRRMSSRIEKPRLLLLSGALEYQRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLILVENSVSRYAQDLLLEKNISLVLNIKESLLQRIARCTGAQIGSSADITPSQKLGYCELFHVDKHIEHSVTPDNKTKKMLKTMMFFEGCAKPLGCTVLLKGGSMDELKRIKHVVQYGIFAAYHLALETSFLADEGATLPELPLRSPLTVTLPDKRSTADSSISTVPGFTINTSNSQQATASFEHLDTDSVISADPTATAVVEPPVDSDCLTSQKANPCSFGPLCTNGCNFNSKNGDKLEVTATADSVSMSSIATSDVPMDHSHIYSTVEKRSVYSGDYHEDYSRSSDLKLVRADSVNSNHHQSMSVVSSNTTNYSNLKEPLEGSSALPNVRIINTNNLLLVQPVSTPAVQNQENSHGHDVTSNREDVVPSDHQSILVSLSIRCVWKRSICERAHLLRIKYYGNADKPLGRFLRDQLFEKDHKCRACDTPPEAHVQCYTHHQGSLTISIRKHADIVLPGERDGKLWMWHRCLKCPRSDGFPPATPRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGLGKMVACFRYAPINVHSVHVPPHKLYFTHQPLEWIQKEVNEVIDRAKVLFDEISRTLHLISEKKAHSSSVGVEFTNYIAELEGMFRKEKLEFEGCLNKVLKKEVPKCQPDILEINRLRRQLLFHSYLWDQRLIFAARSDRNRHELSNIRPGDKEIIHSADSVADPNATGQLHSLNSGTGAANKEAKYAENLQVSICGQNCSRVDGGNSYCNLDHRILTSESDFLERNVQTPLYSSVSVNADSVPLESDLVARRTLSEGQFPSILDVSNALDAKWTGENDPVTSKVAVPDSTASSEDSEEHISDTTPSYASVLLNKLGDCAEDHSNWIGMPFLQLYRSLNKQWSRSKRFDALIEYTPVYISFLRPVERQIGPKFLFPIGTNDTVVGVFDDEPTSIISHALASHEYHLQLSDELEKEATDTSLPLSDSRSVSLTESVDETTSELLRSVVSAEDNILSMPGSKNPSTSDPFVYGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFKYLSESIVTGSPTCIAKILGIYQVKSLKGGKEVKMDVLVMENLLFERRVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLLEVMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKRHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPSAIIPSKQASESGQDNDQLVSTGSSGMLDR >cds.KYUSt_chr7.22671 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140604676:140605666:-1 gene:KYUSg_chr7.22671 transcript:KYUSt_chr7.22671 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDVAAASLFGADRRLCSADVLSPPEVRARIEVAVLNFLAALSSPSSPSISILPLIARKSANCSLRSSLLSDVSSVYLSYAFCKRSLMRENDARAFVMEMCYKILGEGKLVTQRELFYKLLSDSPNYFSCQRHVNQSIQGLQNSISPLGSCFIIV >cds.KYUSt_chr1.10028 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61431688:61433259:1 gene:KYUSg_chr1.10028 transcript:KYUSt_chr1.10028 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRTFLLSYPEFLLAAFCFLALAALRLALQWRAAAGSNVPVNWPVVGMLPFVLANLGHLLDAATAALRDSGCSFAFRGPWLVGGDYLLTCDPAAVHHCLAANFARYDKGRDFAEMFDVAGSGLLVSEAATWTQQRHVVATVFAAPAFRSFVVSTVENQTARLLAPFLDHAAAAGRAVELEDVFMRFSLDVSYAVVFAHDLDSLSLEAANAPYPPFGQATRMAGEAVMFRHVVPARWWKLLRWLNVGIERRYAQAKAVLDEFVYREIGKRKAGHLPLLGGGGDLLSMYMAWPRDPAMTDQKRGEFLRDAAVGYMFAAKDLVASALTWLFYMICTHPHVEAKILHELKSLRANEPAECGGTVMFDCDTLRSASYLHAAVLEALRLHPPAPFEEKEAREDDVLPDGTAVTKGTRIIFCIYAMGRIEGIWGEDCREYRPERWLSGSGRVRHQPSYKFAAFNSGPRSCLGKDLGLSNLKIAAAAIIYNFRVELVDGHAVEPTDSVVLHTKNGLMVKVKRRGATFDG >cds.KYUSt_chr5.34263 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217262484:217263788:1 gene:KYUSg_chr5.34263 transcript:KYUSt_chr5.34263 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGHPYGHKQAYLLPYLLPVTIKPNGHDMSYARNELYAYIGLSAVTPTQYIVSMAMAIAIRSGATFCSVWAATQPWQRLVVDRRRRPLQQPYSFATISTQLRWMIHSTSHKNGAVQAAATEAELDDEVLLEAPAQFRIYKSGRMDRLNEPTLSPPGLDEATGVTSRDVVLDADTGVSVRLYLPKLPDHHSPTKLPVLVFFHGGAFLLGSADDAAYHNYVNALAAEAGVLAVSVDYRLAPEHPLPAAYDDSWAALRWAASAQDGWIAEHGDLSRLFLAGDSAGANIVHDMLLRAASNDNSPRVEGAILLHPWFSGTTAVEGEPPAASKVTGMLWSYACPGAVGGADDPRMNPLAPGAPALEKLGCVRMLVTAGLADGLAARNRAYHDAVAGSGWRGTAAWLGSEGEGHVFFLGKPGCENAKQLMDRVVAFIAGA >cds.KYUSt_chr4.30067 pep primary_assembly:MPB_Lper_Kyuss_1697:4:188816563:188818687:1 gene:KYUSg_chr4.30067 transcript:KYUSt_chr4.30067 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVTVPGSSVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKVIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYVFTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQMVKPRERNSRYVDAVLTIPKGTLFPMCGMNLAFDRQLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLSLGVKTGLPYLWHSKASNPFVNLKKEYKGIFWQEDIIPFFQSATLSKECDTVQKCYISLSEQVREKLGKIDPYFVKLADAMVTWIEAWDELNPSAAAASDVAAENGKAAK >cds.KYUSt_chr5.15009 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96903626:96908101:-1 gene:KYUSg_chr5.15009 transcript:KYUSt_chr5.15009 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRGHPSPRPPAAIAGSDPPGGPSIPEPTSGVKGSSFGNYAAYAAEILVLFETPSGFAVFSMKEYYLNQQDAMKNIWAIFGEDFRPRGIARLKEFQMFNDKSSAINHVTGVSRELSEMISRYHHPYQTLAVGKSEYKGIIEKSLPGVPCRFDEAVLEVMWGLKNLMDSLVPEEELKLTQEDRLPMSQGLKMFLDRHGFDVKPEMVKGDVVVTACLLYDAEVIENRYGEQLHWAAVKLKDVSGIDSAGWKMMKIATALKIMFDPLQTTDHDMQMFTPGEVKRLERDARKFEDIINEDESLKIYRELVVLHAVKIDALRNIALNLRRS >cds.KYUSt_chr2.28199 pep primary_assembly:MPB_Lper_Kyuss_1697:2:173071032:173081598:-1 gene:KYUSg_chr2.28199 transcript:KYUSt_chr2.28199 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDGPTSSAVRAIAAALRVAAAGGPCLGAIGKMLLVTDLRSHWVPIVASGMRPPNSKKCEVPSHGGQNGGDQAFHGKCQSCDGVEKPSHSRENGVSLKEHRGTGSFNGDHTDALSVDGAKGSLARSGHVDSAGCGHDGCLHGNNGSCMACDDQESDREQGGHTLDDLFFFNDEEEDDIDWEPSARRVENRWFCLNCTVPNLDEVTHCQNCHELKGSEVAGYDDFKTQISQTALVSADTASQLVSTAIGFDERMLLHSEIEIKPNPHPERPDRLRAIAASLAAAGIFPSKCALVPPREITKEELIRVHTSDHVESIEQTKNMLYSYFTSDTYANGHSACAAKLAAGLCADLASLILSGRVRNGFALVRPPGHHAGVKQAMGFCLHNNAAVAALAAKKAGAKKVLIVDWDVHHGNGTQEIFEGDKSVLYVSLHRHEDGSFYPGTGAAHEVGVLAGEGFSVNIPWSCGGVGDNDYIFAFEHVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPAGYSRMASMLTACSEGKLLVILEG >cds.KYUSt_chr6.13559 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84959510:84960062:1 gene:KYUSg_chr6.13559 transcript:KYUSt_chr6.13559 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLVLSRIYSKAIKTSLGYDQQTLNTLSFFKDVGANVGILPGLINEVTPPWVVLVCGAGINLVGYLMIYLSITGRTRRARPSGSCASTSPSAPTSSQSVANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAIYGADNDGADLVLPEPI >cds.KYUSt_chr7.35815 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223713229:223721653:-1 gene:KYUSg_chr7.35815 transcript:KYUSt_chr7.35815 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTAAALACLLPASQASRLPKAASFAPLPAHSAAWRRAAVSVAAPRRRRSAPGVAYATAATEKSIYDFTVKDIDGKGIALSKFKGKALLIVNVASQCGLTTANYTELSHLYEKYKAQGFEILAFPCNQFGFQEPGSNPQIKQFACTRFKAEFPIFDKVDVNGPNTAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKNGKVVERYPPTTSPFQIEVLESCQVHDESCSEWLTGHLQAA >cds.KYUSt_chr4.915 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4754457:4755666:1 gene:KYUSg_chr4.915 transcript:KYUSt_chr4.915 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANRDQSPFFHQWGFHFPDLPVRVKVALEAVGALLLVDDQEFDHARKILGVAGNRTGLSSRFVLESKTRGKDTVGAEISTMLGTGAIVGEEDTGRRPLELTRLQYCAHINDWLSMILVPFGAQCNGFLHGTSLIENLRSQASLDGPPSFMEQHNCAAGLSMKGSNFTVSLAELIFGSGAQELSDDHGVANRITSFGQVRYEPAQDVKLSLSGLWQIRPSSSRFNNLGTLAVPFGSLKPQINTSPATHLGSNVMAAAGTLAPSRSAQSMAAILVDCELFEAMRAQGWVEMEGWSGRGPVRWGCCLSDTPEHELGWGVRMGGTAEGETHRPHLEGFLSFNLGRGGKLQPGLVYVMEGQKRTPALVLRSSWFM >cds.KYUSt_chr6.26118 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165446149:165451029:-1 gene:KYUSg_chr6.26118 transcript:KYUSt_chr6.26118 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKASMRSSGGTAHRRSSGGSGSPWSQTRSMEEKLSDLISPPQLHCACKIPLCQKRSNTTRNRNRDYYSCSRIGTNIVRNDFFVKGSLSPDLCMLPKMQCTLLRLTLFSLSVADAEAPFLGDIVAEAAIQIVCAAGDASQLFGTTVVDGDAPFVCAAILHTASKPLVASFVDADAAAFLGPGDIGGFLPFIPTPCSATNRSKDTGAANRSTPESRQFLSIQSRWPKNRWWCWIFQRDVQPLVVLDIPKKCAATLPGHGWRPPEEDFIKINTDGGLSLVARKGGAGGVARSRSAFLGAWSKPYEGITDPLIAEALAIDEKKEELYNMIFDIVCKYKVPGKIGRENNLLLERLSVQVKPRPNDVYWRSCRRTEKNNRILTNVGAYSVGLVSPFVLHWLITEVCPRRKTWYEEWWDAVILGKRS >cds.KYUSt_chr4.49403 pep primary_assembly:MPB_Lper_Kyuss_1697:4:305940689:305941609:-1 gene:KYUSg_chr4.49403 transcript:KYUSt_chr4.49403 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPTAPARRVTAGHLWPQGKKQQRRADDFEAAFRKFDDDSEEEQDVMEDSTPFAFRASSPNSKKTSHSVRQRKPAQYRGVRRRPWGKWAAEIRDPVKGVRVWLGTFPSAEAAALAYDHAARAIRGARARLNFTSAAATAPSSRKRARAEAAPAAKAATTPVVILVDDEEEEDAAAHAPSFIKHEAEATESSQSSDALPDFSWQGMSAFDDAAAHPGIPVPELVIEQPTKRPRTEPNDTDALFDALLFSDQFPFFDGAAAYDSLDSLFSADAVQSDDPALGLWSFDDSCLLDDCRLSF >cds.KYUSt_chr4.41458 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256439796:256441239:1 gene:KYUSg_chr4.41458 transcript:KYUSt_chr4.41458 gene_biotype:protein_coding transcript_biotype:protein_coding MVANWPRFLLILSIFFRVRYTAALQYTEPYAFDHALGVHPVVLLPGNTCSQIEVRLTDAYEPPPAFCVARKGDGRWSLLWKNITAPDAEVPCFAGQLRLVYDQAVGDYGNAPGVETRAVSFGSTRGFLADDPADKELCMGKLVEALEREGYRDGETLFGAPYDFRHAPSPAGQANRELSLFRWRFRALVERASRANGGKPVLLVSHSQGGYFALDFLRWSPLPWRRRFVKHFVMASTGAGGFVLSMQGLAASITSSSSSASSPSPADVLSLPSVGRTFASTSYAARDTPAFLAAAGLPPYSVQLYETRALPVALNLGAPVVPVTCVNGGGVPTPEMLVLRDGGGNAPEVVYGDGDGVVNLPSILALDEVIGGGPRQEYYKFVRIANMSHLGVVSDSTALQRLIGEILVATRAVDTRVM >cds.KYUSt_chr2.3472 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20757640:20762784:1 gene:KYUSg_chr2.3472 transcript:KYUSt_chr2.3472 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPIYSKKTQASKLGDAQGIPFFIDNIIRFHIGAGIPGVAPHYIPPPSTFNVLLGSYWVQLNAGSLELGATALELQVVISAMLFLVVAARIAGTELVQ >cds.KYUSt_chr7.24068 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149923089:149923562:-1 gene:KYUSg_chr7.24068 transcript:KYUSt_chr7.24068 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGRRRSFLKSSKRGWRGEVMDGACLCRAGAAIRATARVDGIDSLCLPHPPAPYLSSKEIQRQLAPSVSLSHVRYRDLSSFPFLYFSSVAPELELNRKEDKHQMEAEPSGETYCRPCSCTSGRPPIPSTDVAAPPNTSGSELWSLELDEGTPPLFL >cds.KYUSt_chr2.817 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4795159:4798092:1 gene:KYUSg_chr2.817 transcript:KYUSt_chr2.817 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRIAKDLKLDRSAMDLFDKQDEEDDFNGLDRGSRAEILKVAKLIFQILDGRRCLMILSNGSDSEVDLAASGIPVFDWRCKVLWTFQGRFRLDPKIRDKVKSAHVFLSVRVLRSLLPLVHQEAAQINHDANSTVIADCWFYMSLLQYKYDNSIDYYQDTHASNYWVCDGIVSGDNAWEIGGQLQEAMRLNYIQNEYLEFIRRTINRQQKKSRWVSITSKNAKVQNIQCVPKETTSYFLALEKSDHPAILPKHLFGQSSKLRVLSISWCTFNFASPPFICCNNLRFVYIDSCKDEDLEIIGEGHDTEWTFLKSLWVLDIRHTRWDWILSPTKMVLMIELRELNLTNTGAIRSGWCMDKLDMTWLCDLRRLRVINSSTFLTTLVEESFMGMHKLELLDLSGNSAMQVLPNLSAAIGLKVLILDGCDGLQHVKPDVMSTSLESFSFDGYGKASRWKNSMQIPEKEVRPSSRYNQELPKVSKISLEGCARLKNVFLRGLPNLVELNLSETAIETLDLKNLKVENLVCLFLIGCENLRRVCWLDAEDPPLRSLCVDTRGKPTRSKLDGDCQRSHSYFEEDYTDVSFYTDYVFYSDYTHVVATDIRFFRGFHVFGSNFRLYISSTLSIRQLLEIEETENDGSDNELYLIPVVGSSSFPYSDVFEEVSEEGDSEDISKQISNQLLPSKRHIEFSKGGCNWELKNGLEIIISLMNSAQSLHVHDSCSITAANLELQQAKQFKHLRWCRIERCPKIHTAFVAETYHMSPSFFKSLEILWVSHLLAARSIWSRSLSIREKPVVPFSQSKNKIDRYKNAFSKLQHIHLHACPRLTFVLPWSFPTLDSLETMHITYCGELRQIFPNGDERYWQQLATNIEFPNLRTIHLQELPMLQHICEINMTAPMLETIKLRGCWSLRRLPAIHAGRPQYKPMAVVDCEKDWWDMLHWDGLEASRRLFSPLHSRYYKKTIPRGSLLRCATCLLPNI >cds.KYUSt_chr4.9150 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55134784:55136050:-1 gene:KYUSg_chr4.9150 transcript:KYUSt_chr4.9150 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSSSSCMVVLLALAISAQLGASDLSPNYYSSTCPNLESIVRGVVAQQRDATIKTIGSTIRLFFHDCFVEGCDGSVLISSTAGNTAERDADDNKSLAPEGFNTVNAVKTAVEAACPGQVSCADILTIAARDAIALSGGPFYPVELGRLDGLSSSASSVTGQLPHPTHTINQLTAIFRAQGLTRSNMVALSAAHTVGEAHCDKFSDRLYGNPADATLNPKYSAFLRSQCPASGSPNPTVRMDQTTPAQFDNQYYRNLQDGGGLLASDQLLHTDSRTRTDVDAWAASSDAFSQAFVDAITKLGRVGVKSGRQGNIRERCDVFN >cds.KYUSt_chr5.7857 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49539209:49541800:1 gene:KYUSg_chr5.7857 transcript:KYUSt_chr5.7857 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASTGEYPCPVSPPYPAASKDVELRRAMTASARSGAYSSATIVFEDEWLSVVDKPAGVYCEALLSALPCSTAAAGNPSNRPNLHLANRLDRDTSGLMVITKCNKVAGKLVKAFTEHKVKKTYLALCIGGPSVRDMSTKFELLGTNGKGQFREPSNVDSDDIELITVQEKAADHTSNGDVKNDMIFVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYGGVIEWNDVACDGHALHAESLSFVHPVTELPITFRAPLPSWANEVISTMG >cds.KYUSt_chr1.4790 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29499939:29503039:-1 gene:KYUSg_chr1.4790 transcript:KYUSt_chr1.4790 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAREDVAGDEGCRERVALLGAYKLGRTLGEGSFGKVKHARRRATGEHFAVKILERSKVLSLRGADDQVRREIATLTMLNHPNVVRLHEVAASKTKIYMVLEFVNGGELFDRIAMKKKLSEKEGRRLFQQLIDGVNYCHGKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGGDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYIMLVGYLPFDDRNMVVLYQKIFKGDTHIPEWLSPEAQDLLRRILKPDPKKRINMAEIKTHEWFQKDYIPVAPYEDNDDDVQLGTILPAKEQISEAPGDKTIYQMNAFELIGMASSLDLSSLFEEEEVSQRKIRFTSVLSPKDLFDKMESSATVSGFQVQRVDRKIKIMRNDNILNNPAPFLVCVEVFELGPSLYVVELKKSHGNAALYRQLCDGISSDLGIPNILRTEPLYSEDLPSFDSRAATPLVAL >cds.KYUSt_chr1.34542 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210270664:210275412:1 gene:KYUSg_chr1.34542 transcript:KYUSt_chr1.34542 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEPEVIRDKAAMRAWSRRRRAEGRTVVLVPTMGFLHEGHLSLVSEAAAIAGPIAVVVSIYVNPSQFAPTEDLATYPSDLAGDLRKLASTGAVHAVFNPPDLYVRGGTAVGASAGAAVSCLDAAVGGDGHETWIRVERLEKGLCGSSRPVFFRGVATVVAKLFNIVEPDVAVFGKKDYQQWRLICRMVRDLDFAIEIIGSEIVREADGLAMSSRNVHLSREERDKALSINRSLVNARTAALNHSNSASEHIKKQIVQTLTEAGGQVDYVEIVEQESLVPVETIDRPVTICVAAFFGKIICYVSFVTMGKPSPICFFDSVAAKFMSSAVGTAIAIGLNNPGDLRSGADKGLNIAMSASGSRDNVAVVCLVDVLHQDDPGVSGLKVFVVFLPVDDTGEGSRLNVIMIRHRSQHVMADVSALALSYTLISVEMMYMFSFLAPETQPEGTIDKSTARNKSTGTSDLDNHISEASPDTDVNGSDLANSAHELQVKTGVAV >cds.KYUSt_chr1.25458 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152723058:152724872:-1 gene:KYUSg_chr1.25458 transcript:KYUSt_chr1.25458 gene_biotype:protein_coding transcript_biotype:protein_coding MREIPGRVLDRNPGAHDNRTPDQIGCLPVRTGAGSAIAQIGVVAVNYVAIATRDPLAGTFRSSSLKLDAIAASGDWRGEIIENNKILAPGELGEFAAVAPVGCANPFEVLVEDASSDSDVSCDSDVPYGAAMEVVGSPRAPEWSSLGWRPSRWRVADGCERQDRRCAGAWRSAQGRCFDRWPKAAEEGAGGDLAWPCPSASRHAAGHSWSLHRGGDEGNGSGDRQRFGRARWRVIFGAVSARACWWGRAAILVGRTGPRVQIPVARLWTSIVDSARVLAAAAIVYLDFVAVGTVSLQLVAAPRLLFVYRFGSLFFTKFFVPDAKFCSFLDKAAASDV >cds.KYUSt_chr4.43394 pep primary_assembly:MPB_Lper_Kyuss_1697:4:268997346:268998596:1 gene:KYUSg_chr4.43394 transcript:KYUSt_chr4.43394 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRQEPPPPPPPPPPAEPAPTTLFDLGEDLLLEILLLLPSLPSLVRAAFACRTFLHAVRSSPAFRRRFRALHPPPLLGLYFDPDGAGIPAFAPLRRRSDPDLRAAVRRADFFLTGLPDDDDHSPGWVISDCHDGYLILGNCTTGQVAAYNPLTQALDLIPRPPADFYDGFRGFYDFLDYHIISPEEDDGVFHVIFACYDESRVRAAAFCSDTGAWQVFPWFEALTTPQPADQHWLTVGEMVNGFVYWIYAGEAAMLVLNTTTLQFSKVDLPPDLEGQTHMFMVGKTKDGGLCIVVTIGFELHIWLRKTSDDDGIEKWVNTHAIGLQNIVEQTGGTLEEHARLKVVAVVDGFVYFSTFETFRDGMVPCWFFSLDLETSVFDILFQRRSDGHIHPYIMPWPTSLIRDKACLQVEGVS >cds.KYUSt_chr1.11381 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69762067:69763461:1 gene:KYUSg_chr1.11381 transcript:KYUSt_chr1.11381 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGDGGVEAVKGAGACSDPLMVVEDSQDKEKIGPARGPAGAEDNVAEMLERLNLTSDEADAVILEDEREENLMNLEWALIGKVLSPNVLHIQTIMSALRPAWGNPKGLVARPVADNTFIVEFASRADKERVKEGAPWTVGKHAVLLNDFVPTLKPSEVTFDRIMLWARIINPRFEMMNKIWGELLGAKVGKVEKVDVDAQGRAWGDYLRVRVSVNVAKPLMRWVTAYSKKHKAYETYEVKYERLPHYCFSCGIIGHSSLECPTPGERDEEGKLPYNADKLCVKEEKKKFFSMSKFGQSSQMGARNSQYEEKHGESHPPKANSGEKRMDIMNIQDEVTLPIKSKEQTHKQKAKVEVGIVSKEFALAQSNVTKVTGQKRKQLKVYRPKGQVQVHEEKTHDVSNLASTLPGRNPSGECPFPYLTARGDDDQIDSHKKQKMERVTYSTGSADQAEAAAEQPCQTQ >cds.KYUSt_chr3.37070 pep primary_assembly:MPB_Lper_Kyuss_1697:3:233172355:233177256:1 gene:KYUSg_chr3.37070 transcript:KYUSt_chr3.37070 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADFAQSKQAMSYALCKHLDRDPNSISSTVIKESDIASLFSHIVTNPQDEVKKWVEFCSNFASSDGGQHALLGNLNQHLSQMSVLLASGFIPSVADIVVFATVHVFMCHLSDSELQKYPNISRWMDYIQNVVDFGTSLQKINVAKSVFSPPPPSLPKKADKGDADPTSKKAVSGQKIADKSDGTADSKKAAGENKVPGDKAIPTSAKNDKPSGDKKKTPGKKTEAAAEKAPQKSAEKDSECNVSILNIQVGVILKAWKHPSADSLLVEEIDLGAGNVRQVVSGLAKFFSPEELVNRRVVLITNVKPGKLRDVMSAGLRKFVLSSVVNL >cds.KYUSt_chr5.19058 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123265884:123267131:1 gene:KYUSg_chr5.19058 transcript:KYUSt_chr5.19058 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSSLGLATPPSGAAIDVLTGDDLRDILRRLSLADLLRAALTCHRWRRVAACCLPRAPPLLGHFFHPVDPPPPPPMKRREKTHYDAVFAPLDDASSPRLSLDFAPEASRFVLYDCHQGLLLLEPTVAIPKSIIPRILVLDPATRRSVLLPPPPRDTVPDDLRWRRSRYYIGSGLLSRAHPSKLCFEAVCFAIDDGHPRAWVASVDSGDCSWRALSRDEEVLVDFDPHWFKRSCVHAAGKMYWHICNSGRVLVLDPATLRFSYLLAPAELGPYAKYRIGETPEDGRLCLLAMGSDSRQLQLWVRGEARCSDNGWLLEKDIMDMRVVWDAVPGLPTDLERRIFNLWPSDMDAGRTGKAFIQTFGYGRYSVHLDTGRWRAWRPRMARSTGTRSTPTSSPGRLHSSLQISTDFPLIN >cds.KYUSt_chr1.29038 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175641624:175642569:1 gene:KYUSg_chr1.29038 transcript:KYUSt_chr1.29038 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGSASDVGYPSEGEVRILVVDEDPLSLITLIRTLKSCGYQDHLLLSMLAVTAKASPEEALREVRQKPEGSFDLVITVARTEGTGIDGFGLLEHLRNRLPVILVSNEPEPMETMMRGYLGGAFEFLTKPLGEKELRYIWKHVSRWRINAANGSDPRWRRSAGAVNGSGEGSSRGVRQQKKRCRTTRKTQFNSLPNLHALFVEAAEVLQGTEGAYVRHNPKRAIKETVHLSICKPIWPFCYCIMPDLFLLALCLGAL >cds.KYUSt_chr7.8637 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52358908:52361023:-1 gene:KYUSg_chr7.8637 transcript:KYUSt_chr7.8637 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTMLQRWSSSVWGGMSGSGRMRLWENKAWLAHAGMAFVQIAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALAILAPVAFFKERRVRRPVTPQLLGSFALLGFTGIFGNQLLFLLGLSFTNASYAAAFQPAIPVFTFLLAAIVGVEVFNVFSKDGMVKVLGIAVCVSGAVLMVFYQGPSLIGLAGTNAAGGNALGATSWSSTSYPAQWITPAMLSFGLGTWNIGVLCLIGNCFLMGAYLVIQAPVLVKYPASLSLTAYSYSFATIFMVLTGVFATNGLHEWALTGTEIIAILYAGIIASCINYAIMTWANKILGPSLVALYNPLQPACSTILSTIFLGTPIYLGSVIGGVFIIAGLYIVTWIRYKEAQRLLMGSSYLTPLLLEADGAPDHKSAESSLNGSIDP >cds.KYUSt_chr5.37312 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235928345:235933464:1 gene:KYUSg_chr5.37312 transcript:KYUSt_chr5.37312 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEGAEARRGKDALSLSGGHLCHVCGHQYPNPNPSAKLRRSHRKNCSKPAAAAASGDRNAAEAPLALGGGGGAGGLGDGEEPGNGAASGGSASPGSAEGGGDSAQDKENADEGDGTNLAKPCTNGVQHKIPKTSSEIVPLAVAHTVEREDSFDEYQDASSFLHQSDPEDGLAVAPESNIPKEIKNLDKGSMGTSIAADVISVEMNGLCKDEFSNGPSMPDFPAGSKVENKAECYLGVLTPGLVGCEDGLNLQSTVECSGDIGSNDTDRKPGKTSGYCEFIGDLDSPSLQKCSPLISYPELQSACPRKVDSLLIGGMDALDSMSEVSPRAEIAGSDNNGSETISNSGTNSVPTQDELKVIDTENTSADYSVEHSSHNLSVQDTSDAQLPVDNSCLLNLTCCKPGYQNDLAVTEVDAMLKSIAEHNYSNGILVKGSELDSSCALPPQQDVEQIVTAAEGNTSLEKHNGVCTEEVCNREGDTEVPTKYQVSSSQEHVTLLMDQMSSIKNPFNLDDTRSEDLFELSSGSYNFEEPNVVESKQRFESTTQTVSSVASVADRQHCLIPDDRDSILAVSSENGYAVGAENVSVSSSADPTKNIVLHGASVNHSKQGGEPHTNGTVCAPTPVLPTEFGTMTVSKEINALSTYVGDMIEIEDTDAKAMAAVESIDNFEEKKQTEDASVKELNAALFTENVEEEKQAEGSGANEAVDENNQSESTDSRKVRAVQSTVNIHEKDQTEDSPKEVDTEFCIDDADNMQTDGTNKEEMYEGQHVDEAEEKKQAEETRAKETSEDNAEGQKQAEGIVLEGNKENQEIAAIGSRLNSARISVPLKVLLAEASLESKEKKPSAKERVLSFRRRASSKDDASSAKPGLVGSGDLYWNSPARLPHDGNVEKRSKVRKQPWMPFICCHSVH >cds.KYUSt_chr2.14472 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91545035:91546689:-1 gene:KYUSg_chr2.14472 transcript:KYUSt_chr2.14472 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPVAVALLAILAVASCRDLAAVPVAQAADGAAAAATDDSAQAQIKPTGEGKREIFQLKYEKMPRLCGSCGFIGHSHLECGTGEHIEEELRWGEWLKADRETWHGRGGPAGRGGGRGGRGGRFTDFRGSGRGRDPSVRGSPNFTSWRHNALPVVEGTIVLDPALKDTASSPLKGKDMDIDNGGDISSGAKRNLNDQFSEEAEISESGGGGLPVGDVPAAMMSDLPPMEAGKDKDAMLASNRIKRTKKDGADSSIGSASSHEELVRPQ >cds.KYUSt_chr1.20518 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121053978:121057401:1 gene:KYUSg_chr1.20518 transcript:KYUSt_chr1.20518 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRASLLLAAVLCALAATSVSATRDLRSRRTQFVVRGNVWCDTCRAGFETPASTYIAGAKVRVECKSKLTGAKTCSFEGHTDHTGTYNIPVDDEHGHEICESVLVSSPDMGCAKIVDGRERAPVFLNNNNGVTSNIRLANALGFQKDIPLAACAQILKMYEEVDDRV >cds.KYUSt_chr3.33088 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207712766:207713942:-1 gene:KYUSg_chr3.33088 transcript:KYUSt_chr3.33088 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGMPIPPPPTGGDALETAIEEVRVTLSDEQRADPRFFPDNHKAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGGSSGALVIRDQPSSLQRGRKRKTAKNEAAAAAANQLAEEEAKRAEDAAVAEAIARRSEQRRMLDPAAARQLAARAAAPSSARNAAPREVIKLEESSDDDIYRPSPPRAGDAGQGTSRWYEAPPPQDDAGSSDDDDGGDYTTFYRHFGM >cds.KYUSt_chr5.5891 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36650643:36657791:1 gene:KYUSg_chr5.5891 transcript:KYUSt_chr5.5891 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVASPCCGDPRRSAAQQAGTADLALTVAAVPPVASDTSTPTLHHEAHATNGCQASLPTKHMETPEMADLDWENLGFTLVDTDFMYMAKCGPDGNFSKGEMLPFGPIALSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENARRMVIGADRMCMPAPTVEQFMDAVKQTVLANRRWVPPTGKGSLYIRPLLIGSGAILGVAPAPEFTFVIYVSPVGNYFKEGLAPIKLIIEDNFHRAAPGGTGGVKTIGNYASVLKAQRTAKEKGYSDVLYLDAVHNKYLEEASASNIFVVKGNTISTPAIEGTILPGITRKSIIEVAESKGYKVEERPVSVDELLVADEVFCTGTAVVVSPVGSITYQGKRVDYEGNHGVGVVSQQLYASLTGLQMGLLEDRMGWTVQLN >cds.KYUSt_chr1.42640 pep primary_assembly:MPB_Lper_Kyuss_1697:1:260907342:260907797:-1 gene:KYUSg_chr1.42640 transcript:KYUSt_chr1.42640 gene_biotype:protein_coding transcript_biotype:protein_coding MCIQQITEELTRKIQQKKEELYDLMLKAEQSIWTSSFSNKRLLQLLSVHLKPRPGDTKWQLMCLSKRAINAFEIAGLVALSSLIISAGLGFKRLVGERKLIEAMVVEDMRKAEAALVSPD >cds.KYUSt_contig_686-1.828 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5051415:5058475:1 gene:KYUSg_contig_686-1.828 transcript:KYUSt_contig_686-1.828 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVVGSWLKADGSGSLLRHRRAWVPVLKFDGVSRDMLPWSDSFNGNGLSYGKLHWSKLEEELDGWLMAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALSKATVYSTPIGDLPVDQEVIEELKATGKFEFMDLNVDEAEHSMEMHLPYLSKVFKGHNVKVVPILVGAVSSQNEAMYGQLLSKYVEDPKNFFSVSSDFCHWGSRFSYTYYDKNHGAIHQSIEALDRLGMEIIETGDPDAFKQYLQEYENTICGRHPISVLLHMLKHCSTKIKVGFVRYEQSSQCKSMRDSSVSYASAAATVDSPGEESKD >cds.KYUSt_chr4.47773 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295736462:295737286:1 gene:KYUSg_chr4.47773 transcript:KYUSt_chr4.47773 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPNHPPAAKPSASSKPPASASASAKSPADMAARKSRWGPPPAGAAPGSAGEKGVSTSRRTPTPTPSSNARRHPPAPLARNPPSPAAAIRAPPPAETPPPPQYGFNNLDRRTMLLADGTVRTYFALPPDYPFEPAPFPPPAHLPLHAGPEAWPPQQQFPPHDAKRKHHADPDEGFSRYPKQPRFEAPHHPSQAPPPVDRQAVRKAFLKYSKMLNESTAQKRSYLEGGRVPCLPCGRFGDPALLVTFFLGNILVQIVARFLCWFLSIVELLLTL >cds.KYUSt_chr2.2753 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16443991:16447813:1 gene:KYUSg_chr2.2753 transcript:KYUSt_chr2.2753 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAKLLYIVVVDDNGSSFRYTRSLLHSTLQLMGCKPRHAFEISRRVFDVIRGDPAEMNMLMAMSGGGGGGGVQRYELPDAATSPRQFQFELYKRRTTVLVPRDLFIQLVCQALSLYKYLSPNQRNDLMLACRIKERKESVTVLLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVDEKENPLLWASTYHAGECLDPIAVSEAKARRKAKKRSGVSSSPGMDYERSGVQSDKFDGKPIGRKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYISSEGKHTERFAVRAKYMTLDPTKNKYVKYINNIRTIQEYLCSRADKYLVPKVNNTNVDRSVASIHATVFSSLRRRAAGDQLYDPGTNTVAAVNDEYKNQCVANSMSSKGMFKLIQRLGSSRKLMAIINVDGSVSKAWPVESSGGDGKSSAEDGNQKSLGNPIYGPLNIGRAESVNLQFGSFGISAWPTDTGCTSQAGNVDDSWIDGNEGSSSHVASSSGSPKKLDGHCKEIKESSAASGSDDDDEDEAEVPPNSGSDEDLSEEDNEENHDEMEGSVDEDCNRSDEEYDDLAMRDSMEIGYLSDDGMVRTCLSNSTLFFLCRRPSRHSRQEEHDSQKGEADPERLIPLAAEELPVSCRTSDKAGGLGCSSGS >cds.KYUSt_chr3.1536 pep primary_assembly:MPB_Lper_Kyuss_1697:3:8803331:8804839:-1 gene:KYUSg_chr3.1536 transcript:KYUSt_chr3.1536 gene_biotype:protein_coding transcript_biotype:protein_coding MARADEAFRAESRATVFFGVVTSKSDPLTFDDSLRFVRKVKARDHMLYLSLFDILGRMDLSQLEAYRALQLLFRDHPDLCEELEKFRPPMPAKQAPNNIWPWVFVCAVPLVAVSLIPALGNPVLWFVQQTLGEKMKAAA >cds.KYUSt_chr4.8649 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51934797:51936534:-1 gene:KYUSg_chr4.8649 transcript:KYUSt_chr4.8649 gene_biotype:protein_coding transcript_biotype:protein_coding MELEARKLYVGGLPPSTQQDELMDHFGRYGEVRCVRVVRNHETGLGRGFAFVEFADDEGPRAALKEEEKDSHVFGGRTVDVKRARVRPMRYQSDQPFYMHYAYPSPVHSQWYHQSSSNNSYAANGYRGSDPNKVFVGGLRGHITKEDLKSYFEKFGTIIDVVVIHDGLTHKSRGFGFITFDSEEAMLKVLENTFHDLNGTKVETKVAIPKDRYYYDQDRRQQSPMIWGGVGSSMGFPGVYPPNNMQYIVNNHYMVPFQQYMYSPNGHGDYGYMVGGGGGVSPSVAAMMTRQSTPVYSYGTQYSGSDSKLHDIEDQQQVNEKPLDLEAKNIPVSE >cds.KYUSt_chr6.762 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4857072:4858392:-1 gene:KYUSg_chr6.762 transcript:KYUSt_chr6.762 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPAVFNGRRIEGRRLGFAPTTPVISRSPARGESVSSHHPIYLLPYAFPTRADQGADLVRLLGLGSGLRFWAREPSLDELLRSLNLRGEDIEGLFVAKSEVEILKEEKKWMSVIRVLTLKPFSAASLKQTLQFAWAPAQEVNFRDVEENRFLVQANCLGDWKRITEQGPWIFRDYGLLIGKFDGSCSAAAVELNRIHVWVRIHDIPELYRQKKLITGLARNIGDVVQVDMNGPGQDSGDYVGVRVWLDVRR >cds.KYUSt_chr2.54633 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340873111:340873926:1 gene:KYUSg_chr2.54633 transcript:KYUSt_chr2.54633 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSSPSLLPSSGANKRPALAADASDPAEDQLPLPQDDGVAAGNNNKREQLECPRCRSRNTKFCYYNNYSTNQPRHFCRVCRRYWTHGGTLRNVPVGGACRRAGNGNGSNKRRRSDEPAPSPSPSPSSPPAYRAADAPPGFPFLTDGAFLPHFDFGLGSAAAAPAGSPWMPASSSAPGLDDGLTAPWGGAADGTLPGSGVWDDFTTGLDLTWPPPPPPPPAAGN >cds.KYUSt_chr2.12852 pep primary_assembly:MPB_Lper_Kyuss_1697:2:81404100:81405536:1 gene:KYUSg_chr2.12852 transcript:KYUSt_chr2.12852 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSPDSHYHLAPQMCRAWERSHFRRRRQQSVLEKRSNERTVLSIMTCGCLSAVIFTLEFSSYKKQCLRIIPSHLATLPSMAPTTSGSRGLPPSTAAPSDSHTYFLPSPSSIFLDNNQASSSLHIPSGYFFPAADAQNPSPPTSGAPKHKQTRKRPRPSRRQPTTVLTTDASNFRAMVHEFTGFSTPPPFVHPPRPHVLPGTGAVLTPSHFNLSAAARASPHNGATSNRTSSSLLDAIGTFLGWNATPAADSGAAVHSSGFGFYGSSPV >cds.KYUSt_chr7.21275 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131722115:131725494:-1 gene:KYUSg_chr7.21275 transcript:KYUSt_chr7.21275 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQGRHLEVAVWPKLLEHPFHELQELTIGSRSSATISSCNNRELLLVPSLPMRDARPLCPSSTQVRRSPRLALRPSLAGSEAVRVENLVADGREVLVNGFVCKDPKDVKAEDFFLAAKLDMPRDTKTNKVGSNVTLINVMRIPGLNTLGISLARIDYAPLGENPPHMHPRATEILTVLEGTLYVGFVTSNPNNTLLSKVLNKGDVFVFPEGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPMISDDVLTKAFQVEKKTVDWLQAQFWADNHN >cds.KYUSt_chr4.11763 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71491479:71507646:-1 gene:KYUSg_chr4.11763 transcript:KYUSt_chr4.11763 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPIYHDGTAVKEVAEGGAGAGAGGFRFGFRNVLFPTGFLAMMTRRNKVPAPQVEAAEVKQRGRHAGGNRETEDKAAALGSKFEEAIAVEGCMHGELDKVYDTMRRLEEAEGIKIDLLICCGDFQAVRNESDLHCVNVKPKYRTMNSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGGMSGIHKQNDYYSGHHERPPYSEGNKISVYHVRHYDVLKLMHVKEPLDIFISHDWPVGITEYGNWQKLIQQKRHFEEEVRTRTLGSQSAAKLLSKLKPPYWFSAHLHCKFPAIIQHGEDGPTTKFLALDKCIPRRNFLQVLDIPSNPGPYEIQNEELDIQNDRQWVRSKLNARGAKPFDFVQTAPPFNPSMPVDNPPAAGSTLAPESLVLRRTTLLHQQPSRGLHLLLAAVANNPMNMNGPNPVPATNLRSCGATSGGGNHAENVDGPSATVVEPKKSRAFLSLGRNKASPARLVKLNEILSGDQKTLITEWGWGGMLMVKATEMPVDLSMWVLECFDPIRSELAIPGRGTIPVNAASYNKVFGLPNEGLSVRYEMETEPIAFMNEEYNIQGGSAPGFKQWRKMIQEMAGAADMKFLRAYCAAVILYLDSLDVDEPVPSIEECPIRAAAWDDKLIQAIMRKDMKSNGEFGKMKLKDGVGVTIRDGLFVGMTRLEEFVSSRLPRNYPCQKKRKLDVMIGE >cds.KYUSt_chr4.15721 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97176123:97176323:1 gene:KYUSg_chr4.15721 transcript:KYUSt_chr4.15721 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYFERHGNRPRLPSPTPSDEVAEHFAPPGYADVTEFFEPPAAAPLDALPPALTTNLQTEMEGNE >cds.KYUSt_chr3.11252 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67088479:67089759:-1 gene:KYUSg_chr3.11252 transcript:KYUSt_chr3.11252 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSHQQEKLDSSTASLKDSECGSTSSVLTAATTVSTVYSRTRSLVGFEVRAGTHVAGFKVIGEIAMSLF >cds.KYUSt_chr2.10585 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67341461:67351272:1 gene:KYUSg_chr2.10585 transcript:KYUSt_chr2.10585 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVEDVLRVNGCRRFAAALAAASPFASLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSPSVSKWSKEEQSAAISTATDSTAQELAEWNARYRDKFGFVFMICASGRTAPEVLAELKRRYANRPIVELEGAAQEELKITELRLAKLFSSEAAAPTTSAEGHISQPDKAADRMRIIGAHLGALPQPSANKASQTTGSSNRTRPPITTHVLDTALGSPASGIEVHLEMWKAVSSPPSFENKDFNGWTMLGSSITNNDGRSGQLMDIVDNVAPGFYRISFNTSKYAPSGFFPYLMTDRFEMSMMGEMRPLLGFEIKQLRERTFINQAKYVQDMLKRFKMKDLKGVATPMVTKCHLELYPNGKDVDQKEEVVHPSKLTACQQATHKKNVKATAISGKQPARATIITMTYLEYKELRQQNPYLIPRNPRVTDLNFHRKTQEDIYFEIYIPFKKQVSPHHSIDTTKMAMSMAYFGESYAMCEEFGLFPIMTLNKDYGT >cds.KYUSt_chr5.4711 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29984832:29989145:1 gene:KYUSg_chr5.4711 transcript:KYUSt_chr5.4711 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTHRSSLGSHVDTSPLGYSASYSALLCRFPALFALLSTCFSFQKCAYGCHVFGMVSAPLRHELLVSLSDGNPLLPLAPGKPPCRSIVCAGSILLPVEGAEPHTHSSGDDFSREMPPRLQGNADEAHVATATWSHLNGRGAERIQWWCWRRSVASGVGGELLSTCIAICRELAAHLLLLLLPSDVLFPGTLAEGLVRVPLEKLPVDENREDA >cds.KYUSt_chr3.47183 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296127899:296128975:-1 gene:KYUSg_chr3.47183 transcript:KYUSt_chr3.47183 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVLLLVVAALAISVSATAAATKISAPLPPLAKGLNFDFYKATCPQAESIVFNFLRDAIRKDVGLAAALLRIHFHDCFVQGCDGSVLLDKTGGTDSEKIAPPNVTLRPTAFKAINDLRALLAKACGAVVSCADIAALAARDSVHLAGGPHYPVPLGRRDGLAPANLTTILNALPAPSSNVTTLLRFLAKISLDANDLVALSGAHTLGIAHCTSFQERLFPQNDVTLNKWFASQLRLTCPALNTDNTTNNDIRTPNVFDNKYYVDLLNRQGLFTSDQDLHTDARTKPTVTRFAVDQAAFFDQFVFSVVKMGQINVLTGSQGQIRNDCSAPNKGRTNDDLPWSVLETVTEAAQSLVL >cds.KYUSt_chr4.6481 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38189860:38191952:-1 gene:KYUSg_chr4.6481 transcript:KYUSt_chr4.6481 gene_biotype:protein_coding transcript_biotype:protein_coding MELFQHAKEVRLKSRHDKFLYADEDELRVTQDRKGSSPNARWTVETVPHAPGAIRLRSRYGRYLAASSEPFLLKGHKVVQAAPSPAGRPDASVEWEPVREGFHVRFKSRLGGGAGSVGGSGGKYLRANGGLPPWRNSVTHDVPNRNNAQEWVFWSVEVVQVITPAPATPAAAEPEPKLRPAAAPQEAHHRPTVSQPATPPRPVYTPRPPPSYAPPPLPTAKPEPKLESSYTYSAALHKVEGRAVHYHIADGNGEVGDNIKRHSFTFNGSNLEELTEKLKEETGIDDLIICTRSPINGKLIPILLRLPPNNAAMHIVLVRESSKGVFSLLVLCIIHIP >cds.KYUSt_chr5.37857 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239142901:239144592:-1 gene:KYUSg_chr5.37857 transcript:KYUSt_chr5.37857 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVRTPRGFVQLLAGAEVPPSRSAAGQFHAASTKLGFAANVFAGTALLNLYCRSRCLREAQQLFDEMPEKTGVTWSSLIYGHARSREPGLSVEAFVRMARAGVSPTASALSSVLVACARMEDVGVGAMLHGVALKCGVCGSSSVVVGTVLVDMYAKCCDVSAARRVLEETEEKNVATFTALVSGFASARKPLDAMVLVREMQQSGVAPNVMTYSSLISSFASPEDLDHGRQAHCEVVKKGLEHNPYVLSTLLTMYSKCGSLEDFRKVQTAVSCQDQVSLNSVISGLSSLGRGDETFHQFMVMRRNGADTDVFTFASMLKAIGNSSSLLEGRQVHALILKTGHESDVNVQNGLMSMYARHGEIGKSTDVFASVRAPDLVSWNSLLSGYAQHGYGQEVVQVFEQMRRLNVQPDHTTFLLVLTACSRVGLVDKGLEYFNLMKTDGFLAGARQEHYACIVDLLGRAGHLQEAESLVHDMPIEPSVSVYRALLSACQIHGNLEIAIRVSAHLIELYPHDSSAHVQLSKAFAGDGHWGNAAEVREAMAGKGIVKNPAWSCVENQIQVA >cds.KYUSt_chr1.42221 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258544114:258544717:1 gene:KYUSg_chr1.42221 transcript:KYUSt_chr1.42221 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRHAGRRLVGGGRLAQAPSRPVSTTPAGVGRPDFTQNFRSHGSEKANLLMQVQQKKELLYNLICEHGCEVNGKMCLPNLELLRHLSAQVKPRPSDLACPVVTLYGSWIVFKTTRDRLRGEEN >cds.KYUSt_chr7.36601 pep primary_assembly:MPB_Lper_Kyuss_1697:7:228710170:228719599:-1 gene:KYUSg_chr7.36601 transcript:KYUSt_chr7.36601 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVAEAAEEMGAKERVAAVGRDEVELDVRSEVEKAIEEGEIGGEGSQADEEVEEEEAAAAAADEENRVFEVIFGFLVNFNGRNPRHKLDIFNIPGVYGGAIILCNRVTKLESFKQKLFSLPGYATSFIRKIRAGMLLFVFEREERKLCGVFEATSDGALNILPNAFHSSKKSRPAQVRFRRVWFCKPLTEAEFSDDIKGLQPEMSFFGISYQQVLNLVNLFSSKRISLEPYQKPKSRVIWDYNVSLTLAGLGFSLHKGNNAFPRRPSSMLCNNRISVPHSSFMYAKHNANHAAYNYGSSLHPPRIKSVIFKAPDIKEQGLEPDADFIPLDLDDCKSDSDTVPSDVLGPVGLYSALAGGSISYEDQDPEPFSGKHNEDGWYPAPVLNQSFISLSETSENSAIAHFMKERQSSMLGRGCKRRATIQFDGHSHLPSSRSCTIGEKVPFSFEGDKIPVTSDKALNRPALAELKQNREAVTKERKREVGYLVQDTQGRSGDDDSEKSKLVVKLLHLFSSRNRLQPRQNPRLQDEPPRESEISSVINQTDNQSGSNSSHGSFKSPGQTCTSSTVGDDAASPTHKLAKPMSIMYKELQPDISDVAKSNSSGTSFHTAANTDIVPEPGTQEAMDDECTDDYIPLQMEEDDGVDNLSDLLGEESQSSESKGSSDSEEHTTFHQSCRGKKDDCDQPMANSKLRADIEGRKSVFARLIGRPKSFSQRDKSMTKPFASIKARSQRKKKRRAQQNKASPRDNSATIDIPLADKVTRVPALNYSFVRGDNRRSNKFFGGKPSNIQTGQHPYLREENKWDVWAKEPDRSDASRKLFVPEDRRKLTESCDRALNKYPVSAEVHESCEVTVKEEIRTPFSDFKRRAKYPNVEGGDADYDTEDVEEAARKKTRLASASYHREEYQSETALVPKDTKPTDILTISDGDCKLKSIGFSSADTSTQMARAYLETEVLLPDEQQRIQSYCEDVTSDKLLILEDFGNAPKVSFGNGQPVLNVETRSEVAFGHLETETSLQEKQNQSAKSCYGVVNADKMLLLGKPGTMDFSPNHDEDCRSKMCLPSDGITRHVSSSHLVPEMPLHQKQTPSIQSCSRVVHRYEVLVPENSEEMLPKFDRNCERNKSLSSDEAYNYVEASRLEIDVALQEQPYQRAPSSGEIVNAADQALCQESSVTLNTSPMCDGDRGKNSLSSDGIVGYVASDFLSPESHGDSAHKNMLPDQTLEMASSDSQQACKLPQEKCFCGIIGDGSEYCGTMDTSRGDEDTVHKTSFYQKDAEAVYSLTGSENHEDTKPMDILTISEGSCEPKSIGMSLNDTCNQMAGTYLETKMLQQVEQQRTHGCCEDVTGDRSLVLEDSGKNMSPKLSFGNMQTIAETRTELDVGHVETEASLQEKQNQRARSCYGVVNTDDILHLENPETMDLWPNHDEDGILQMVATDTSSVSEYSGTMDTTTGKGHCDQKTSFYQKVAEASYLPTGSEDHAGTTNALALSKAVPQPETPYQDLPSEHEAAYENSDSTDPFAVCAEGYGSRSGMPIDSIQGHLVDADLLGTTSESRTGFFDGSSSELAEMIMLAHDPGKS >cds.KYUSt_contig_6320.23 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001280.1:126160:131095:-1 gene:KYUSg_contig_6320.23 transcript:KYUSt_contig_6320.23 gene_biotype:protein_coding transcript_biotype:protein_coding MALELPEIPSHLLAQIFLRLPAPEDIARTSAVCTTFRRLVTDGSFLRRFRRLHAPPLLGFLDLEGFHPALPPHPSAPAASSFAAAADFTFSFLPAHCGWIVQEIRDGRVLLARDHGEDAHSPVFKELVVCDPLHGRYIALPSVPDALAASVCRPAPVVRMPWCEPCLAPLGEDEAATAFTVICVVHCESKLATFVFSSSTGQWRASVCKGWRELFRGRGESTVNSPSNSPLDPMFLRRHYAYGCFYWESTMIKRKELLVLDTRRMEFSIADLPSKGWSTFGVAILEAGEGKLGLFGIRDEPAGGKRDLCYTIRQNKGKRSSQWQMVKAIALGSGCLHNIKASTERFDGAILRSFLIQINSDSNGVEFSGDVITLSTAQSTLLHLVVVVSIVSVN >cds.KYUSt_chr2.41044 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255093728:255094016:1 gene:KYUSg_chr2.41044 transcript:KYUSt_chr2.41044 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDDSDGALDSWAGFCALTGELVGGAGDLSVGPRLAPAVADLCARGLATLVRDYFLHNLEVRTSLFSLPPHTRNLYFIAARVGNLGYGSRCVLGV >cds.KYUSt_chr7.29235 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181912784:181917330:-1 gene:KYUSg_chr7.29235 transcript:KYUSt_chr7.29235 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPPLEWNGLSTPAQAPADQQIGGAAYGNGQAVPRRITDLEKELHQYQHNMGLLLIDRQDMAAEYDQLSQVYAQNEEILKREQAAHLNAISEYEKREERIRKALCVEKQNAADLEKALHEMRSEIAEVKFVSQKKISGANSFQTNIDENSLLIKKKLSAANAKLAEANLMMSHADKKMEDIEAHQHRCEKEKLCYETEWKAQKNQLKEKEETQDEWEKRLMESHNRQVSFQRSLSGREEKLNAHDKTLKMKQEELQEAKQTNVALKLHECDINNSLHKLYLYEMDIKSKYKFLEEKEEILDDWEERIDMKEKKLIEDQKACRESKIQDFELVMKRERESLSEEMQKIITNLQWREKDLKLKECDLLKSEQELNWNTEMLDAWKHDLDSRSHALKKLEEALKIDEQNMLAKKHCLEYERKAIDMYKLDLEKTMSSTEAEKQSSCLKNQEILKHTKEERDAHSMMLVQLNKVTEGYRVGSNSQFKEADDLRQQKLKLERSWEDLDMEKAHTEEAAKKLSYERTRMESFHDSEKRRLKDVELEVRAKYKKWMEDIRLNEKAFMCDIEQQKLQNDELLEGGRANNKCSFDLHCQNMEMEMDQKQVSKEQELEFKQSELNKKLELVENKIRSMVELNESKIQQIIFERNQIENEKNALVKDQEKLEIDKADIKDDINSLNILSKRLKERREVYKKEKNHLIGLIDKHKVCKTCRVPVFDELDVPGIKDSTGSQYPNLGIEDDDRPLNTERSPHGSGGLIDSTGHFTLLQNCLGHFRSSSSEKAEQSLEHKVSFRARLDNEALKHEEDYEPSRIYEGVNDSFAFSQDTRSYSRDVEKRELESASFGKAGSSSFAVAENILKTQSGDTNSSMEIDANIVSNDASENGSEGGLHSETLNQGERLQNREGRLRSVRRTRTMQAVIDEAKALIGPISEEKHIDQKDFVAASAGSIEQRVENIEVYYDGDASGALRRKRQQLGGTATHVPGEIRYNLRHNRVVSSATLSKTRSGKTRATKVGSKGKTEAINGDDSRGASTCEVPSAQLSTELGEANKSHESSHMILPAEDKEVNTPVGSTSKNDGGNVNGQPTVPDSVHSIASNSELGAEDGDEDGEESPTANLSIGKKLWILFTT >cds.KYUSt_contig_686-1.1355 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8577513:8578250:-1 gene:KYUSg_contig_686-1.1355 transcript:KYUSt_contig_686-1.1355 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPNDHYYHHGYSVSFSSSVQYTHNQHGFTDMENGDDELSSFLMEAVSTPTTSSSSASSASAWEEQEFVYGKRGGSEITTTPAVSSSFQESHGGGASSARMPDSPNPLIGVRKRPWGKYAAEIRDSTRNGKRVWLGTFNSPEAAALAYDQAAFAVRGPAAVLNFPVERVQESLAALGIGSAAAAPGDSPALALKRRHCIRKRNPSKNKRTGTAATAAAASATSVLELEDLGADYLEELLTLSDL >cds.KYUSt_chr2.6098 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38006069:38010047:-1 gene:KYUSg_chr2.6098 transcript:KYUSt_chr2.6098 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQPSAEKEGWWWRWRMAAMEASWRLEEKNAVGALQEGSLRFAMEPAGSAAVPSAGPIGETVLLDDDAGRVSFLSSGHQDMWRSCQYYRAKKKLVSKRSTSYGCCPGCFGALCQKHDRRLSRGRTEYAAVEREIRKLNSNLVYLQDYLTDADRKRITDKSVKAWVGKLRDVMYEATDILELCQLEAMERPQEGASSRSSSLGGYMKKKLQGSFQPLLFCHRNPVFAHETGSRIKKLNEELDTNRKDATKLNFINLGSYQERSRLKNPRHLRNKTTSGFNKSEAVGENIEEDAEKLVQKLIALDGRDFKVVAIIGQGGIGKSLLAKKIYAGGHQGRVQNQDMAEHHSALRQSGAAKVRHHPRRVEAQ >cds.KYUSt_chr7.7786 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47006020:47012960:-1 gene:KYUSg_chr7.7786 transcript:KYUSt_chr7.7786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to P1B-type heavy metal transporting ATPase [Source: Projected from Oryza sativa (Os06g0700700)] MDGAAEPAPAAAGKLEKSYFDVLGICCPSEVPLVEKLLEPLPGVHKVSVVVPSRTVIVLHDASAISTAQIVKALNQARLEASVRAYGGASQKTTNKWPSPYVLICGVLLVVSLFEHFWRPLKWFALAGTAAGLPPIMLRSFAAARRLTLDVNILMLIAVAGAIALKDYSEAGFIVFLFTIAEWLETRASRKATAGMSALMSMAPQNAILAETGQIVACQDVKINTTISVKAGEVVPIDGVVVDGRSEVDESTLTGESFPVSKQAGSQVWAGTLNIDGYIAVRTTAMADNSAVAKMARLVEEAQNSRSSTQRLIDTCAKYYTPAVVIMSGAVALIPVIVRAHNLKHWFELALVLLVSACPCALVLSTPVATFCALLRAARTGVLIKGGDVLESLASIKVVAFDKTGTITRGEFSVEEFRTADERVPKQQLLYWVSSMESKSSHPMASALVDYAHFNSVEAKSENVTEFQIYPGEGIYGEIDGVGVYVGNKRILSRASCQTVPDIKDMKGVTIGYVACNNELIGVFSLSDSCRTGSAEAIRELRSMGIKSVMLTGDSNAAATFAQNQLGNLLAEVHSELLPEDKVRIVDELKEKDGPTLMIGDGMNDAPALAKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPKAIKLARRTHRTIIMNIVFSVVTKLAIVALAFAGHPLIWAAVLADVGTCLLVIMYSMLLLREKGSGKVAKKCSSHHGSHSKKHGTSHHCSAGHHHEHKSTGSCVDSSAGKHACHDHHHEHDHHKEPNNPHSTDKHGCHDHSHGHNHCKESSNQLVTSKHVSHGHGHNHCKEPSNLDSAAHKHDIHEHEHSHREESNGSHSMDERACHDHKHCEEPISLLCATEHACHDHDHDQDHEHHCCDEQQTLHTADDHSCHDHKHDDNSIQEHSISIEITSPGHHEEKSQCGHHSEHKEKGCGHHPKANDHIPAVTECSKGNCQSTMSSGSCESKGYATVYPLM >cds.KYUSt_chr1.31326 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190056317:190061362:1 gene:KYUSg_chr1.31326 transcript:KYUSt_chr1.31326 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRLRACHRLLRLRSSLPLPITITITMAAPQPQPGCKTISSPSTAGPPPKRFRTMATDAAPPPASAMKAKFARHTDYLNALNDKRERLVKASRDVTMNSKKVIFQVHRISRNNKEEVLSKAENDLAAVVNQHIGKLVKELQGTDFWKLRRAYTFGVQEYIEAATFCRFCKTGTLLSLDEINDSLLALSDKSVEPLQINVLDYILGVADLSGELMRLAIGRISDGEVEYAKDICSSVRDIYRELTLLVPLMDDNNEMKKKMEVMLQSVVKIENACFGVHVRGSEYIPMLGSSAEPDYAFFGGADYD >cds.KYUSt_chr5.35931 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227031261:227034388:1 gene:KYUSg_chr5.35931 transcript:KYUSt_chr5.35931 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTPSLEAFPRERGMQVEGCQEWITDHADFACFRSVCPPWRSASAAHAAGRRVPLLLLPAEYQLRVDRHLWSLADDSIAAIPLPAALGFFLFASPRGWALAVDHYFSAALLHPFTGASAALPELPPSFRGDDGSWSPVECTEEIGQVSSITYCDGAFYLFDGETRRTVGVDCETFAVATVIEPPPVTLIVPDEHLLTWNRPKAESTLVVSSAEEFLVIVRTHQPEGSYGGSGEDVLIEAFRWDRRSWAGVDDIGDHAVFVDSFRGFCVEANGVNGVRRNCVYVADGSSDGTCTVSMLDLAGLATERLPLGNLGSHRCGRFWQRPSWSMPNLH >cds.KYUSt_chr6.14955 pep primary_assembly:MPB_Lper_Kyuss_1697:6:93677094:93681807:1 gene:KYUSg_chr6.14955 transcript:KYUSt_chr6.14955 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFARFRSKIRTGKGKRAPAARSNLSTASSSAAATSQSHSQDSSATGRQVGSRSSGSASSARTIPELYEERGASSLHEFGLRELHAATNDFSRLLKIGEGGFGSVYKGVVRLPGGPVGGTVVAIKRLNTSGHQGHKQWLAEVHFLGVVEHPNLVRLIGYCAARSERGPQRLLVYEFIANKTLDDHLFNRAYPVLPWDVRLEIAFGAAEGLIYLHEGLEVQVIYRDLKASNILLDEEFRPKLSDFGLAREGPSADQTHVSTAVMGTYGYAAPDYIATGHLTTKSDVWSFGVVLYEILTGRRSVEKNRPKKEQRLLEWVKLYPVESKQFSMIIDTRLEGRYSREGAREIAKLANRCLAKRSRDRPTMREVAKSLKQAMQLGGESGVSGNSSTPRDVHGKPTADDIAVASARRRMLHLAALVGGIHIFIGEIVDSDGNALVSNADATAAEQVAVAKIRSEMKELPKEDSTLDLENSKPTQSAPEQEIKVEDQCRSAWVYQVLEKQRCHFVHFLAHTAGTAPQEVGAGPEQVEAPENSAAPDQQEAVGESDAPGEESILGNLSPISGDSSTMDTEKFDRRMKEFGCDDEADVESAQPKQVLATLAALDQRHLEEEHSESDPQPSHAGSLLSRERPHKEVLSPEELVEQAGMDAIAHSDILNKPITPEDAADAEALEAKRQEMLETAKNSLPPQQQCWRRGKKPRTSWIISPNGNGRLTNHWNKLRTSGSIGRTRSTRLNRRLIG >cds.KYUSt_chr6.28525 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180692287:180692670:-1 gene:KYUSg_chr6.28525 transcript:KYUSt_chr6.28525 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMGYFRAPKLGGRKSSSPERDGQSLRAALLLDGESTGTVPKGYFAVYVGAEARRFVVPMSLLCQPAFRALMERAAEEFGFGQAGGLRIPCCEEDFVATVAALLPDESRQRRRSAVGGRRSASVNW >cds.KYUSt_chr2.20354 pep primary_assembly:MPB_Lper_Kyuss_1697:2:127753185:127757960:1 gene:KYUSg_chr2.20354 transcript:KYUSt_chr2.20354 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSDTASRSLSRSAPSLAPVKKEPASPPRHRTRGGGIVIREPSTAQGRLRPKREHDTSGERKRKPVKVKVEEADSAEDAAILEAVIARSLQDLVPAENAMPLDQACVWSREQWEKEEAERQARLLQDVARYRRPATPPSGAAVPVVDLEASDDDLYKPSPSPPRTSGRFMVWQCAAMDNGISESCWSQHGALVLLHLALTFRALSFPFYRWRSFGYENSVNAVGTSVFSLPFELAREFRSSRWHMVGVEWIPSFFSLMEKMGAGGQGDGFLTYMRDSNPLSIEAKKIFGFSVSLILINLASIMERADENLLPAVYKEVSAAFNVGPTDLGYLNFLMNFLKSIASPLAGVLALHYDRPTVLAIGTVFWALSTGAVGVSQHFHQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATLMAGKDYWGLPGWRVAFLVVALVSLIIGILVYLYSTDPRRIPGNHLLDDDDYERLHLSSKDVLPPASIWTDSWVAMRSVMKVKSFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNRSSAALNSLFAIGCASGAFLGGVIADRLSQHYPDSARVMCAQFSAFMGIPFSWILLTVIPQSTDYWFAYAVTLFFMGITISWCATAANNPIFAEVVPPKHRTMIYAFDRALEGSFASFAAPAVGLVTEKIYGYDAKTVNIANGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYIVFKRDRDSAKLSIFKDQELI >cds.KYUSt_chr7.36496 pep primary_assembly:MPB_Lper_Kyuss_1697:7:228082783:228084207:-1 gene:KYUSg_chr7.36496 transcript:KYUSt_chr7.36496 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSIDEDGGGVDGDAFRGHFPIPAACRNRDSCPPDLGFAMAAALEDGRPKSADKSIPKLDYHTLTKLDCRTSGQPPAHVAVVEQLLSFLSRAATLHGSRSTTLLLATSYLPYWFTAGSRMIRVQHASVGNPKRKV >cds.KYUSt_chr3.32494 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204241337:204252495:1 gene:KYUSg_chr3.32494 transcript:KYUSt_chr3.32494 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCSAFPLPPPLPLPPPPVMLHDSFAGKSEFRTINRRPLESCFNPSPYLSIDVSPGGPLPDEGFVNVTVGGVYRPDGSHWVAMITPSNSSVSGCPLSGVNYVETGDLAKLPLLCHYPVKAQLVKSDPNYLGCRKAACQKRDGSGACEVRTCSATLTFHVVNFRTDVEFVFFSDGFQTPCVLKRSGVLRFANPAKPLHGHLSSTDSTATSMKLTWVSGDGRPQQVQYAGGSRSAASEVTTFTQKDMCSVPVLPSPAKDFGWHDPGYIHSAVMTGLQPSQSYDYRYGSDSVGWSDTVKFRTPPAAGSDEVSFVIYGDMGKAPLDPSSVEHYIQPGSISVTRAVAKEVQTGKVDSIFHIGDISYATGFLVEWDFFLHLIRPIASQVAYMTAIGNHERDYAGSHSVYVTPDSGGECGVAYESYFPMPAAGKDKPWYSIEQGSVHFLVMSTEHSWSEKSEQYKWMEKDLSSVDRTRTPWVFFLGHRPMYSSNLGIPTSVDPNFVDSVEPLLLKNKVDLVFFGHVHNYERTCAVYQGDCRGTPRKDANGIDTYDNNNYTAPVHAVVGAAGFKLDGFSIIMLKAPLYRPFSTLHQCIDMVTILIELMKNNGQITRVVPHLVNG >cds.KYUSt_chr4.8454 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50613489:50616771:-1 gene:KYUSg_chr4.8454 transcript:KYUSt_chr4.8454 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPDLGSDFAQKMLLDLRRRRERLGFGSAVQQQQRTASNAATPRETTTKPEATARSSGSSSKKTRSNNTTKIITGKPRRRREVAPVTAADARAIVPFQEGGGAGPKHVAAGNVDVQMAALALALSDGGKLRNIEIMARKGSVFLRGPDTPGHHRGGAGGVAIGVQDLNDMLMAAYSSGGGRRRPDEPGKRLFGGSMDMEEALSMLVMLQDASGYMEGSGSGKVLLLKGKENRESSATRSPSSARIVELVEEESETEQAKNASMQIVVHNKFQSHHSPGSCSVTQSGPSDSQTSNASEGEKDGSKVRMPSVIAKLMGLDNLPSSGKTVVERKGTERFVKPESVTRMEIRANAMGRKLPIRIVASEKVLSNGQHNIMLSEDWKNSLTSFRESELSNSSPHPATSNKHVRVTMREMLRKMVGAERGADGSQEVEERIIHEDKTATEEIKLQKPVSVGCRSDSGKKMDFLKRFRKNSDSRPAMEDKHIAQEKSASVGKKQATGMKRLLGRDSEAKSRRAREKLNKENLATAETKVADPGKNIKADQIRRQAQSKHIERQTTPRKVRNRRETPSETSSWNLEDKNSLMSEAAHMKEKPEYSVVIQREDEEPAEVNDVNLSKPSDSTNGDGGFSEQLSIVAGGSTTTREASSDQPLQKITEGASDPTIAVQANEELNFSDQSAVAEISDGRTSHTTSESTRIPETFTEEAHQQQQQQQHVMVKEQPTDGLDHTTTSTDSTGSQEHTTHVVSFDSFTDNQLLLARMLAKDRYLLETAKAIVRVHDPVSFIDDDNRLDKGNYDLLSDVAREVIRRKGKRTEALEDVSVACTVTMKLRHLDDLVRELDGDVESLDIQNSMAEGLQKILQSDIQNDHPDANSTWDFGWNRVSKLPIEKNEVVKDLEKNILGGIITDVARDLIGVSVRHGPCACVA >cds.KYUSt_chr2.28157 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172750589:172762117:-1 gene:KYUSg_chr2.28157 transcript:KYUSt_chr2.28157 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRLSRPRRALLPLSALRLQLSTQPLPQPREPSSSPTRGLPAFLSFLAAAAAAGGTTATVAFCDSDGRDHRVGGKESTELVVRGERKRVPQEFVDELASFLGENLTVDLEERSFHGTPQNSFHKSVNVPDVVVFPKSQDEVRRIVMACNKYEVPIVPYGGATSIEGHTLAPHGGVCIDMSSMKKIKSLHIEDMDVVVEPGVGWIDELNEYLKPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVINLQAVLPNGDVVKTGSRARKSAAGYDLARLIIGSEGTLGVITEVTLRLQKLPSHSVVAMCNFQTIKDAADVAIATMLSGIQVSRVELLDEVQIRAINLANGKSLPEVPTLMFEFIGTEAYALEQTLLVQKIAAEHHGSDFVFVEEPNAKEELWKIRKEALWAGFAMKPDHEAMITDVCVPLSRLAECISVSKRLLDASPLTCLVIAHAGDGNFHTIILFEPSQEDQRREAERLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEKELGIESLRTMKRIKAALDPNNIMNPGKLIPPHVCI >cds.KYUSt_chr4.44715 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276825679:276827474:1 gene:KYUSg_chr4.44715 transcript:KYUSt_chr4.44715 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMVNMSSDERQRHWTLAVVTVASLLERADEAVLPAVYKEVGAAMGVSPAALGSLSLCRALVQAACYPLAACAAARHDRARIVAVGAFLWAVATMLVGFSNTFLQMAIARGFNGVGLALVVPAMFSLVADYSDDTTRGKAFGWLMMASRLGAMVGGSLGVLLAPTTFLGVPGWRLAFHVLALLSVALAISTWLLATDPRPASRLKKTTASVAKEMLMEAKDVVRVPTFQILVAQGVAGSVPWSALTFAAMWLELVGFTHWETTVITNLNVFAGAFGALFAGYIGDPMARRFPDTGRIALAQVSTASTIPIAAVLLLALPVNPSAGAAYATVFFVLGFVMPWCPSATNNPILAEIVPAKARTTVYALDRFFETIFASFAPTLVGILAELVFGYEPAAAGVAAERENAAALAKAVFAEIAVPIAICCITYSLLNWTYPADRRRAQMAADLVAVENHDYEDGSAGSLSKYNKRLATVVGGVAVTFVATIVGDSMVVTVEV >cds.KYUSt_chr5.14707 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95304273:95308981:1 gene:KYUSg_chr5.14707 transcript:KYUSt_chr5.14707 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLASKKKMLATLVGCNYAGTRNELRGCINDVETARDTLVARFGFAPADVAVLTDGRGAKVLPTGANIKRALADMIARAAPGDVLFFHYSGHGTLVPPRHGHGHGGDDKEEEAIVPCDFNLVTDVDFRQLVDRVPPGASFTMVSDSCHSGGLIDQEKEQIGPSVDLPDSADASPLAAPARTARFLPYGALLDHLSSVSGMPSSHHAADHLLALFGADASAKFHGGHGGTLPAAEPAPAPRPDEGILLSGCQTDETSADVAAEDEEEGGGKACGAFSSAVQSVLAAHPAPLSNRELVARARAVLDENGFEQHPCLYCSDANAEAPFLSQDVTAASETPLLRVLYGRRAIRFLGSAISATTDFITDFDDHFPDEDFFPDISSLYLDDMAENDNVNANAGAQLEFTPSGFVASVKPSLFEGTHYKRWRARAVLWFENMNCYDATLENIIEPYMSFTNGKDMWAALEAKFGVSDAGSELYIMEQFCDFKMTGDRSVVEQAHEIQALAKELEYFSCVLPDKFVAGSIIAKLPPSWRIFATSLKHKRLEFTASDLIGTLDVEEKARAKDTLARGAEGGSSANLVQKKNFQSHKFKNKGKFDGKSKFDLEEQALTVHYFQEED >cds.KYUSt_contig_2197.53 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:311875:312644:-1 gene:KYUSg_contig_2197.53 transcript:KYUSt_contig_2197.53 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRSCALVLACAALMAAVAGATQYNVGGDNGWAVPGAGAESYNTWAEKTGFQIGDQLLFVYPKDKDSVLLVQPADYNACNTSSYDKQFSDGSTVFDLDRAGAFFFISGVDANCRANEKLIVMVSAAAAAKGAPAPSQGSPTTTTPPPSPPSSSDAGGAAQSPPSSPKTPATPNAPAGASNSTAAKGTPPGAANGAGLTVAGLAGSFVAWVGYAMLAF >cds.KYUSt_chr5.40572 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256131459:256135446:1 gene:KYUSg_chr5.40572 transcript:KYUSt_chr5.40572 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKVAAGFLACAALYIAFSYFRRERISEVQLPAVTHRVYLDVEIDGQNIGRIVIGLYGEVVPKTVENFRALCTGEKGVGANGKSLHYKGTPFHRIIPGFMIQGGDIIRGDGKGSESIYGGTFSDENFSVKHTHPGVVAMASSVTDSNGSQFYITTIKTSWLDGEHVVFGRVIQGMDYVYAIEGGAGTYNGKPRKKALITDSGEIPKEKWTEEIQ >cds.KYUSt_chr3.33908 pep primary_assembly:MPB_Lper_Kyuss_1697:3:212762708:212763340:1 gene:KYUSg_chr3.33908 transcript:KYUSt_chr3.33908 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARNRSSPSSDRFLASFLPPAPAADLPASAAFELDEDDLFASGVASPERTQPQPPPRRPLLLSSIRGANPSPRPRRPPGGILEALPERLAPLSPPPSTSSSPATALPRMIPTAPRPAPTPAMYMPQSAPVNVPAARLRRPPVEAFASEPDEDDDDEEMLPPHEMVARSRARESPMTTFSVLEGAGRTLKGRDLRQVRNAVWRKTGLLD >cds.KYUSt_chr3.47940 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300263551:300271134:1 gene:KYUSg_chr3.47940 transcript:KYUSt_chr3.47940 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTSLGNSVRRTPATQGLRGNALYAVDPFVFVRFTSTSPPPPTTTRTTGTITSTGSHRSSTTSGRPRRCYTEEIEAAGLNTELCAKSWFPWTFSLSQDTTGEGTWTARDHGSVSFVNILGNSVRRTPATQGLRGNALYAVDPFVFVRFTSTSPPPPTTTRTTGTITSTGSHRSSTTSGRPRRCYTEEIEAAGLNTELCAKSWFPWTFSLSQDTTGEGTWTARDHASVSFVNM >cds.KYUSt_chr5.16356 pep primary_assembly:MPB_Lper_Kyuss_1697:5:105215475:105216206:1 gene:KYUSg_chr5.16356 transcript:KYUSt_chr5.16356 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERPWQDLSFCIAEEGEEMFLTCTKSELRNGERLNFWSGRLIDGRSVEQLAPNLMLFVRPAARHMTVAYVLQNNRWISAIRGARSIPAITDMWDLLREIRIEEGEDLITWELTTDGIYSAKSAYEAFFTGRTRAPATQKLWKAGALLLHKLHLWFAMQNRLWTADRLHRRGLQHPAVSAARSLKLQTTILFNVPFQDRFGTHFFCSIDSIVSVLVQLMKLWFGGVTCRMMCQGSTARNLMH >cds.KYUSt_chr5.43425 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273626204:273631213:-1 gene:KYUSg_chr5.43425 transcript:KYUSt_chr5.43425 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRWRGTPLEKASVAVLELVGCHPRSDTGVVGDNLEDRHDDVVPDNLWDALAALKGFDEDHIAHYYAHLVDNPKTAKAFMTLKLENKLVWLGLALLVALPSAASASASPADGCKSERGSSGEKRRKHEPLWVFGDSYADTGNLGDLGRELTHSWYSPYGETFPRRPAGRFSDGRVLTDFVASALGMPTPVAYKGRRRASRDKLARGMNFAVGGAGVLDTGNFQRNISAQIDLFQAMQKQRRRGCARVAGNNNNTGTALVVVSGNDYAYAADKDNGTSAAIAYIPTVVRELGYQLRRLRDEAGMRRVVVTNLHPMGCTPVFTRPLNYSGCDPLANAGAAQHNAALAFVLAALDPANATFLLLDVHTPFAAILLDGDDKKDTSGGFVSTLRPCCESFSPDGYCGEQDENGTRQYTLCDDPRRYFYWDDVHPTQAAWATVAATFRATVKSFMST >cds.KYUSt_chr3.44107 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278445352:278446725:-1 gene:KYUSg_chr3.44107 transcript:KYUSt_chr3.44107 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHAAAAAAASASDWSSLFPELLEDIARRVGEDDRNRDRAAFAAVCRPWRRASSATGARLNRHSLHLVSLRPGASAVDFSSRHGEVVKTAYLGSDDAGTAGGARPHRVIGCSRGWLVVVDRACGASLLEPVVDGRRLPLPSVTSFDCGFITPLAGMYGTAGFSVDNHAYRSHIRCPRKKVSPPETVTIQAMRDEFFYKAALAATGNQPESFTVMVIHSGGSGLAFARPGDESWTSVRTSASTRYADVIHHNGAFHTLTRGDGSIEAWEKVDGRRNLKPRLVTGPVVTWEFKRCVEFHSETFRQQAYYEGARYLAERDDGGGGGLLVVSTVAIFDDGNALRTRRFKVFGVDERQGEWRALQDVGDEVALLVGINHARRVSTREYPCLKPNCVYYVLRSFAPEFDAMDEDGHDGEECLRYESGVYDLRTGVPSRKSVFRRAGGGHPVWFVPPVAAPRR >cds.KYUSt_chr7.1348 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7281103:7281492:-1 gene:KYUSg_chr7.1348 transcript:KYUSt_chr7.1348 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPKRWCVQLEEDDLYMLLIPQEFRTYVSGRPFPLVVEINYRAGCIWNVHAHPFSTDPNVKSRSRRVKARDNPMQPVVVDNGWYDLADFLGLKVGDYVMFKVIPNGFKMTMYDCITSCEREVSCNDHL >cds.KYUSt_chr1.38338 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234172539:234186533:-1 gene:KYUSg_chr1.38338 transcript:KYUSt_chr1.38338 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSSWSMDGYLNEHFHIPAKNPPSEARLRWRRAVGRVVLNSRRRFREFSALGAVDDAQRRKILGKVQVVINVHRAALQFINGVKQYHLTHELIEEGFRISPDELAEITGMHEDSTILKKHGGPIGISRKIKASLEDGVKETEIMTRQKLYGTNKHAEKPPRSFWMFVWDALHDLTLIILVVCALVSLLVGLATEGWPKGIYDGLGIILSILLVVLVTASSDYKQSRKFMELDREKQKIYVAVTRDKKTKKVLIHDLVVGDILHLSIGDVIPADGLFISGYSLLIDESSLSGESEPIQVSEEKPFLHAGSKVVDGTAKMLVTAVGSRTEWGKILGTVNEDGVHETPLQVKLNGVATIIGQIGLVFAILTFLVLLARFLVDKAMHVGLMNWSANDALIIVDYFAISVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKIWISDVSKSVNADANITELKYVISERVMVILAQGIFVNTGSEVVKGDDGKRTILGTPTEAALLEFGLTLEGDGYAEHNKLSRVRVEPFNSVKKKMSVIIRLPDGGLRSYCKGASEIILGHCDTYLSSEGNIIPLSEKQKENVLDIINSFASEALRTLCIAFKDLNEISDEEPIPDNGYTLIALFGIKDPVRPGVRDAVMTCMAAGITVRMVTGDNINTAKAIAKECGILTEDGIAIEGRELHDKSSDELRELLPRIQVIARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALCESDIGLAMGIAGTEVAKESADVIIMDDNFKTIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACVTGTAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRRGDSFITKVMWRNILGQALYQLIVLGTLMFTGKRLLKIEGPAADKTINTLIFNSFVFCQVFNEINSREMDKVNVFRGIFRNWIFVSILTATVIFQVVIVELLCTFANTVPLSWDLWLLSVILGSLSMIISVILKWIPVESGKTNTKPHGYELIPEGPEAL >cds.KYUSt_chr1.34754 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211670687:211675102:-1 gene:KYUSg_chr1.34754 transcript:KYUSt_chr1.34754 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSPAASIPSVERLVSGEICGEPHDHVHVREEEKGGSPARKKEQDGGQNKQKKSQKKLDDQKLKQVVKEILVMPLFRANLGRVSEKGTMGINWDWSWSSHLPQVSDMAWDDSFLFGWREVRPGRTMVI >cds.KYUSt_chr6.22616 pep primary_assembly:MPB_Lper_Kyuss_1697:6:142898853:142900084:-1 gene:KYUSg_chr6.22616 transcript:KYUSt_chr6.22616 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVLLQVEDFLFGGGVRCSSAADLPPRPCWLLCGAAVCGFPFAGCCGMADLASTVVQEVGEVYKDNNIVRQLVKKVEVAGFKEITLTIDTLRLGQRKADIKNRSHFTRISIMRSVYCEFMICYVRFALPPHQVLNNFEGLDLGIMDKIYDFGLASYIDGRVRKKKERQEEGKKRIRRLCG >cds.KYUSt_chr7.39908 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247896039:247896524:1 gene:KYUSg_chr7.39908 transcript:KYUSt_chr7.39908 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLDAVVLESLRMHPPVPFIMRGAHGEGAKAIIGGTAAVVPADGLRVLFNLGDIGRDNKIWTDPDRFRPERFLAGGEAEDIGPSPGPKEIRMMPFGAGHRHCPGMNMGMLNIKCFLAALVREFEWAPSAEDCSNGVDMTEVDGFLKLMKKPLSACVMRTH >cds.KYUSt_chr2.6872 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42899107:42900107:1 gene:KYUSg_chr2.6872 transcript:KYUSt_chr2.6872 gene_biotype:protein_coding transcript_biotype:protein_coding MPWAVRLQLRALEAGVDATQRRDGTVNRFLFSLVVDRQAPANPARPDALGTEPSPRPVIVYFHGGGFTYFSAATRPYDALCGTICRETGAVVVSVSYRLAPEHRFPAAYDDGEAALRHLATIGLPAEIPFRADLARCFLAGDSAGANIAHHVAQRWTAAATPPPIRLAGLLLLAAYFGGEDRTESELALEGVAPIVNLRRSDFWWKAFLPEGADRNHPAAHVTGEAGPELELPEAFPPAMVVVGGLDPLQDYGRQYAAMLRRKGKEVRVVEFPDAVHAFYFFPVLPDTGKLVAEIKAFVGSIGPEPIA >cds.KYUSt_chr3.25223 pep primary_assembly:MPB_Lper_Kyuss_1697:3:156686746:156690507:1 gene:KYUSg_chr3.25223 transcript:KYUSt_chr3.25223 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGKGWTETATRVVKTAWFMVAMVASLLAASAPVVVAAGDVAVALWLEASLGCLRCGGLRDHFRHYAFRSSLLDIPLVSVLRSLVIACVYLLCDTSGLSHGPYLGTTTFCSLASLLILLMKACVYSPVQDIGPELSPSLADHKLNMKKLWGMPVLFLSSLVFALGHVVVAYRTSCRARRKLLIHRIDPESILAYKNAFPGCYKVPRSPNPHSGKLYSRSESETKRKTLVHDDRDIPISFLADSDSKFIACQGITIHYKVSRPSTCVSPAPESYPEINHDVISSSISPRRQRHESPPSATSSTRRLLNRSYSHQYHQTSLYAPLLVEPLTSPTLLDGIPLLNLDDESLQVSSKPMGFDLEAGEHGKFAVVLVHGFGGGVFSWRHVTNLLARQVGCTVLAFDRPGWGLTSRPRRKDWEDKNLPNPYELESQVDLLISFCSDMGLRSVVLVGHDDGGLLALRTAEKLRASRDPREVEVKGVVLIGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQVINRRAWFDATKLTTDVLNLYKAPLFVEGWDEALHEVGRLSFSTVLSPKRAADLLRSVEDLPVLVVAGSEDVLVSLKSAQIMASKLVNSRLVTISGCGHLPHEECAKALLSALSPFISRLVSSDDSLQRL >cds.KYUSt_chr5.4295 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27501434:27502047:-1 gene:KYUSg_chr5.4295 transcript:KYUSt_chr5.4295 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGVAFCATALTDRTHTWRQRGVRPIPFQESLGEINRPAARTAPHQRQHHVFHDDKFRHARRRLVELSAVLRGKKRQTMAPTVSNDEHQQQQTGRAAVHGKKAAATAGRPGVDQKETEAGGGGMSDATVYMLFDRFAPS >cds.KYUSt_chr3.14194 pep primary_assembly:MPB_Lper_Kyuss_1697:3:86063631:86064439:1 gene:KYUSg_chr3.14194 transcript:KYUSt_chr3.14194 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTSKLSLATRFALRQFRHRLRLRQRLAGDTIFTIWTRPLFACAAGAAGSPLDPRFLRRGLANLPAGEDSTGRYTRLPVGAEPEDLEDDYDDPYPGDPATIFNYDERDLVSEESLWALYERWCSFHKVARSHDEMRRRFDCFKTKARHIYEFNKSGMSYIKGLNHLSDKLDHEFFFRRVPLPPRSVYKSSHVIFNKNGEVTAFINDDGILEEVNSPAPRTVKPVKMTKSPEI >cds.KYUSt_chr5.39222 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248211018:248211419:-1 gene:KYUSg_chr5.39222 transcript:KYUSt_chr5.39222 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKVADSLATPPHLLATTAGQMGKQRRQTPNTEEAGNTDLIYGAWSASHRRRLWLSPRERHRNSSSSHRDPGVVHSTQIEAPVANLIQRDAKIQQPHLRRRLPPARHSEAEKIQGAVSPVARDHQPTLKLH >cds.KYUSt_chr7.15827 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98310583:98313034:-1 gene:KYUSg_chr7.15827 transcript:KYUSt_chr7.15827 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSHESIEKSMPLRIVRKITEKTSLRPSNGMKSSHPNNEKSMSSSSRSVRKNSEISRPSSLKPNNGVASCQRTAKSCPSPSTRMIDNSRPSSLRPVNGMDSVNKNSNGGALRLKNIEKPRTCYSNANNTKMPRSPSSRPSDVTVSPPMKIKELNNGLVPSQGNNESYKSQPSRLNNGSSLVLKFDPNSLLGNSIESRHSSIFGNSRIAARLVNGEAQKQDISTMLETPNLSLKLKGNQENIGIGHVAKVSRMITNKDQHAESMGDHFQVDGRKLNSDLLEHGGIGANKLKRKWLEPLLEENHETHEDDDSEDPENCRPKNRRRRLILDDYEDDDAGDANLAGVEFDTAGLTTKTGAVKDSSIKVSSPFLSESMKLQQYGSLPIDEPVWSGIIKRSSKKDVSLAAHMSTKCCEAVWNLAESLQQEIVVTELPMLEAWPKSFEASRPTDDNIALYFLPCEMRQEDANLEQLVKEVVEKDVVLQAVIGEAEMLIFPSILLPEQHKTFQGKPYLWAVFKRRKINVATVEAKQHGKGRCAEDEMGKQQESHSSVDKEGHSVALLNTDTGPEAPEEMELEQNPLSARVNTPSPARDPTMNATTSAHHGQILSSLAVPTGAVFGFVVQGNPRIEHLIQEMQREGAVVVAMRGQMIGPGLGQAEASGREEDKKPPSSS >cds.KYUSt_chr2.53614 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334391095:334391827:-1 gene:KYUSg_chr2.53614 transcript:KYUSt_chr2.53614 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGHQFSAAAFRWQEADGNPDVVAGNCKAVTDAIGDIYAQDMTNVIFGDLYRRAYSLVLHKRGEELYSAVEAAMASEVQALVGSLAAAGPSGQSFLRELLATWRRHTAAVSAIRNIVMYMDRTFVPATGRTPVRELGLGLWRDGVVRSDSIRLRLVEAVRLEQGREGEDGVVDVPGAGDLVAGVTEMLTELGADVCHEFIDAPPTVSS >cds.KYUSt_chr5.4666 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29740222:29745290:1 gene:KYUSg_chr5.4666 transcript:KYUSt_chr5.4666 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTTELGHVLLDMSVYNTVAYFHFHPTTPMAAAAPPPVPSSGEDEGGGPDRISDLPDAVLGEIISLLPTKEGARTRILASRWRNLWRSAPLNLDCYELTTTWGELAGVVSRILSSHQGPGRCFRIPCGFLPYEAATVDSWLQSPAIDNLQELRFSYPMLHNQSPLPLLPESFLRFSTTLRVATIEHCHLLDSIVQGLQFPQLRQLTLSIVRISECSVPHVIAGCPALECLMIRDCFGFRRLGINSISLRSVGVHAECYRDELNFGELIIENAPCLEKLLHLGCTGDLHVSSYLSGPKNLWLSKHKNFINGHDIRLKKIMFKLYRGTRSQLKMPFLDVKLPLDHHLNGPIHHLRQSRSAASVDAWLRSPALDNLQELDYTLFEQPPASVFRFSRSLRALTIGCCSLPDDTIPGPLLFPLLKHLGLEDVTISERSVQSLIDGSIGVLDQTSYAESDLVRLQELFIDNAPRLERLVRNDVENGLHVSVVAAPKLQAIGFLSDGYKFSEQCYPDHLYRLELGSTVIQGLRVESLAMAVSTVKILAFWTRTLCLDTIVELLKCFPCLEKLYIKPHMGLREPNNLWRRKHHNFIKRHDIRLKTLVLRRYWGNKSQVNFVTFFLLNARMLESVTLQVERYNEEFVEDQRTKLQLENRASRAAQLHFTTQRSIRSSADVKRVHDLDVVDPFEPPC >cds.KYUSt_chr2.37824 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234156041:234159453:1 gene:KYUSg_chr2.37824 transcript:KYUSt_chr2.37824 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGQQGVSLQRFDQPRMQYQHGGGRGRGRMPHFARGGGFGRGGRGRKQFYQPPPPPISPGMPPQHRYEVLMEAGRLAAEYLVAKGVLPPASLQRGGSAGWSQMPPPLQEAPAFYDSRRNGRAEYSDPNPRSRRNNGGDYSSGSYNGRGKRRFGAYNRNSEWGQDRERSSRGYLDSRNYDDDDNEEDSAPGYRRERRGSGVVDEIGSSLSGVAGEGPASKVEAVGESELEDTGSKVIPNSNGMKGVDALQDADDSNKMQEDSKVSSSVVLEQGANEESILDHASPSVDEEGEIKHSPLRSDDDKVSPDKPDGSSSIMDEGAECDKTSNEKAEDKASDEKVSTVQNDLNDDCQHLLSQCSFARAPTRPRSVLAHRNGAPAHRDAALPEQVDLAPQMLIDEVASGSSLTNVQGDNKDGLVCLEHTDPSIACNQMVEQVRLQEKETQDMRERNNTAQHYAVQEAKEQDGLCPTLTSHQDCLKLQIREGVEIYNIDTPPQDEDLIDSADKGKTASVELLLPNIGAEAVVKMEEEKLGQSSSFKIRDLNLIGSPENAEIRHDLGFGQCSTNVSSVETRNQQHLDFVASMDNASNTDMYSHIPLDDKVVIDIEDDSPIEPSACDTTRAESGIVYSSIDTIMHPAVNTNIFPGLQDDYNLAIPDFLGADMPCYPPIQTDVHAEMGLNESEVITVMDDPIYGSLTDIGFMEVWDQQPPDYAKFF >cds.KYUSt_scaffold_1259.293 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1939422:1940801:-1 gene:KYUSg_scaffold_1259.293 transcript:KYUSt_scaffold_1259.293 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHGRKYVEGKEIPAEMLHEILLKLPTRDVVRCSLVSRLWRSAVKISSFRKLHDASHVAAAAPPEVLMVSEHRKRKGRCAEASVFNVSSGKPMCHIVNPPGYSLTNVCGGFLCFAPTKKDREQPAILCNPATGEKLKLPETTMRGWNDLVALGFSPSTSERKLFRYTEEMTSGKKYLEVYTLGESSGWRRHSYISQHGPASGSHLPVLVGGKLYVVIDRPDGYRRKPDRILVIDVASEMHCTYRLPRFEANAQVNAFELRGQLCLAVSDGGWCKHPKVQFWVMPPLDRLGYSEDEGTELNWDLRYSFYIEGDFKRNFPFIRFSDPPRGVWLDDKEMLCYRLGNTLYKYDTNADSLSPNSEPLQWNQKLVLPPIPQRLDRHWGIYPGYRPTLLSPLTFLSPPSKEEEEIQQFEHALLETLRTNISQNVRSAVDERAAKRICGRINSSDVSLFPDIFKFR >cds.KYUSt_chr2.36403 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224630634:224631461:1 gene:KYUSg_chr2.36403 transcript:KYUSt_chr2.36403 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAKWSAPKPMMGGGRRAAAPNYSLLATSPPTSISNDACSPHYPPPPSHDDAASSFEPPQRHSPQLGVADWQRQSSGSSVGGDDADGYSSVSTTVANAESKANNAGAADRPPDSSSGGKSWAQQAEEAYQLQLALALRLCSDAASAADPNFLDSSSAPEHHHHHTATSLSHRFWVNGCLSYSDKLSDGFYLIQGMDPFIWTLCTDVQDGGRIPSIDSLRALNPTESSLEVVIVDKVADYDLRQHISTAIDVSRTCADSKEITARLAGIVSVKMG >cds.KYUSt_chr3.32572 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204792626:204798200:1 gene:KYUSg_chr3.32572 transcript:KYUSt_chr3.32572 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPVAMAFSGERRKMILLSVLILTKSACGDFVNLKTRRMSSSTQSLGDAHRGLLQLVTTENTSTVSMARATACAFFSVAVVAVFASMTFLVLSIYVDKGTVQLRVPTSYALVDCSPPPPPSSAARNSSAFRKSLLPLLSALPAAAAPRGFASLHSDDHSAFVRGVCLGFDRTNNCHACLAAAAENLTSNCLGASRRARRSLEERELLLGLRRHEHHLRAGGRLP >cds.KYUSt_chr1.37251 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227694828:227700541:1 gene:KYUSg_chr1.37251 transcript:KYUSt_chr1.37251 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSVTEAEVGITCFTSSSLPGFRGVLKHRYSDFIVHEVARDGSVVRLTSLDLPDECVEPKEEKAAPSADADHSQALEKFSGLCGDADCSAVRGLIEKAAAGGEADFPPVILSPDADKAHRSEVHGFFKNNFKFLVTDTVEHSDGVQKCIRVRVGSEAGGGRGRGGGGGGRGRGGRGGGGRGRKRKDMNGSDWGPYDSRGSSNWPAHIGKFMRFYLCKENKDTQDALGVIGKMLGLQSRAFGFSGTKDKRAVTTQQVTVFKVPANKLAALNKRLYGIKVGNFCYVKEGLGLGQLMGNRFTITLRGVVAESEDVIKAAVDGLGKNGFINYYGLQRDDIKEVREHYKQHGDIDMALRNFPRHLVAERSILLCLRKSPDNYLQALKGIPRTLRMMYVHSYQSYLWNHAASMRVEKYDYLVVDFSIPFLIYANWSLDRHFTEENIQSVKIVDSEDLLKGIYSFEDVVLPLPGSQALFPGNEVAEIYHEIAKKDGISLTESAHGVKELMTYTDDSASLAETDLDLLSKDKLTDAKVAANEPTSTGNSVSKTADCKLEGPLQTSTPTSETSVVENKSIGSSDTLPSKLAVKLTFTLPASTYATMAIRELLKNSTS >cds.KYUSt_chr2.45013 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280552802:280553200:1 gene:KYUSg_chr2.45013 transcript:KYUSt_chr2.45013 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAGEVDDFDLDIIRQHLLGAVGSFSGSSASHQHQGPFCDDINFPVLPPPSTAEPAAYQPMSFFPQQQMQGYVDLQREYVNTSAPGAETAVFRPPEPLAPAPVMMIQFGGEPSVKVGLDVLYLSCIRVLCT >cds.KYUSt_chr3.40325 pep primary_assembly:MPB_Lper_Kyuss_1697:3:253959470:253961808:-1 gene:KYUSg_chr3.40325 transcript:KYUSt_chr3.40325 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRAPPTGLRLVTPPSSASFRPAALRTSFVTGRVSLRAVQVRQSNVNRFKCNAIRSNLFDRLTRVVRSYANAILSSFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQADADWYRRAQLALQKGDEDLAREALKRRKSYADNASSLRAQLDQQKSVVENLVSNTRLLESKIAEAKQKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEK >cds.KYUSt_chr2.11251 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71472469:71474025:-1 gene:KYUSg_chr2.11251 transcript:KYUSt_chr2.11251 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRASEKKAHAVCLPYPAQGHITPMLNVANLLHARGFHVTFVNSEYNHARLVRTRGAAAVAGSRGFRFATIPDSLPAASDDDVTQDIPSLCKSTTETCLGPFRRLLAQLNAAASTGESDHPPVTCVVSDLIMGFSMDAAKELGLPYVQLWTASAISYLAYYHYRLLIDRGIAPLKDLRQLTDGYLDTPVEDLPGLRNMRLRDFPSFIRTTDPDEFMVGYVIKETGRTAGASAVILNSFGDLEGEAVEAMEALLVNVKVYTVGPLNLVVPRETSSISRLSLWKEQEECLEWLHGKEHASVVYVNFGSIVVMTSEQLVEFAWGLANSGRQFMWIIRPDLVRGDAAMLPKEFLAETAGRGLMASWCPQQEVLSHPAVGVFLTHSGWNSALESVCGGVPVISWPFFADQQTNCRYQCNEWGIGMEIDTNVQRDAVTSLITELMDGEGGKKMRKKAQEWREKAILAAMPHGPSQRNFDELVRDVLLAKN >cds.KYUSt_chr5.4627 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29496172:29502381:1 gene:KYUSg_chr5.4627 transcript:KYUSt_chr5.4627 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMSVRGSRAKEERAGNRTRTPHGNVNTHGKVWEQRTAKKPSTAKKDLAHGKAISHGKVWEQRTAMIVGRQSPCKPHGKETMHGKGLGTAVSRPFAVQTDQLHGKGSFAVLEYQQQQAQMMLQMQQQQQMMQQHQAQMSWLMSQTALSSPPGSIPAPPPYSMPWMPPPPTQTPGTPITVNNMNIIRSMNRGINEKQQKNEKSGPFAVCVHTAKDFWSLCRVHTHGKGATWHKPVLLGAPGGSAGKAFAVRAGLLPHGKASFTAQCSRTAMLPRTAKEVPHGNVSSAFMPLNGMPVFAKSSERPETATMAVPDLSLSSPAIDVPCLAALSANSSVVTDAGEQQRQQSRKARRCWSPELHRQFVAAL >cds.KYUSt_chr2.13879 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87858682:87859507:1 gene:KYUSg_chr2.13879 transcript:KYUSt_chr2.13879 gene_biotype:protein_coding transcript_biotype:protein_coding MILQQCERSKTVRRVIHTASCLAASPLKEDGDGYKDFVNESCWSPLNVTYGYSNFHLDGYVTSKSLSEKELLRYNEKGEDRAFEVVTLACGLVGGDTIQPILWSSIPLVVSPLTGNEAYHNSLKFMQAVMGSVPLVDIDDVCDAHIFCMEQPAMAGRFLCIAGYPTMQDYVARFATKHPEHKILLKKVVGEGVRVQGNTNKLGKLGFRYRYGVEETLDRSVECAKRLGEL >cds.KYUSt_chr3.9869 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58005183:58006733:-1 gene:KYUSg_chr3.9869 transcript:KYUSt_chr3.9869 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSWWVLGFLGKYPAEIMASLACVVLMLFRCHRRDGLPTNWPVVGAMPAITVNAGRVHDWLTEFLRAAPGMSHVIRGPLGSPIDVLVTANPEDVAYIFTANFGNYPKGEEFAVLFDVLGDGIFNADGESWAFQRRKAHALLSDGNFRATVAVSTARKLHNGLVPLLDGFVSAGAVVDMQDVFMRLTFDLTAMFVFGVDPGCLAADFPRVPFAAAMDDAEEVLFYRHVTPIAWLRVQTYLNIGHHKKMNQARQVLDASIAEFVSLRRERAAGADATGGETDDGADLLTLYLACQAEVGKEGAEFDRFLRDTTLNLMIAGRDTTSSALTWFFWLISNHPDVEEKILAELHEHPPSGQHRNTAELKRLVYLHAALSESLRLYPPVPFEHKAAVRPDTLPSGVAVGTTRRVIVSLYSMGRMESVWGKDCLEFRPERWLNKAGRLRHQPSYKFVAFNVGPRTCLGRELAFSQMKAVVAAVVPRFRMEVAGTEAIPKLSIILHMKDGLKVRVRNRQDDAS >cds.KYUSt_chr2.47228 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295421089:295423844:-1 gene:KYUSg_chr2.47228 transcript:KYUSt_chr2.47228 gene_biotype:protein_coding transcript_biotype:protein_coding MLISNSGYFALGFFSPTSTNNSFYLGIWYHSLPGPRTIVWVANRDNPISTHSSATLIINNSSDLVLSDSHGHNIWRTETNITAGATRAHAVLLDQGNFVLRLPNGMDIWQSFHYPTDTILPAMKFVFSYKAKIVERLVAWKGLDDPSSGDFSYSGDPSYPYLQVLTWNKTIPYCRLGVWNGMPVAGGRILTNTSSILYQTVINTGDEFYFVYAISNNLQFPEMKVPGNFLHIRNKSFDQCEAVCNHNCSCTAFAYANMSGGSAMADPSRCLVWSGELIDIGKIPNGENLHIRLADTAVDKKVSSVKITLPIIACLLLLSCIALVWIYKYRDRKPPSPPFAGIEAPREDLSMPADDNENRPRESKLHDDAPKRVTTQGAAVAETKNGQRFSPGAQAQGSNHNGAPKRDTTPAGAVTVGAKALGFRPKSTPTARRTGVQPAVIKQSLRDQIWEPPLPKHHRRQESPLPTPRHPHVPGDKPPPLQRRSP >cds.KYUSt_chr2.12097 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76722794:76724303:1 gene:KYUSg_chr2.12097 transcript:KYUSt_chr2.12097 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSGRCSRSLSDDSVARSRIAKPPERAWLPWNWTGWCGVHTAAVVEEIVVAAESTLGLQIRVGLKQAFSSSSKSSRACPEFAMAGFDLTSIDSIKSILNELLLLQSVQVQETTTEHFSSRTSLERTPTRKGAPARADERLRDIFGAGTDTTAATLEWAMAELIHNPQTMARAKLEVQERLGKGRSTVTNADLRDLRYLRMVIKETLRLHPAAALIHRASLQNCEVMGYAIPKGTHVTINAFAVGRDPAHWGEDAEEFRPERFEETGATSVEYKQGAQMEFIPFGAGRRQCPGALFATNTIELVLANLLYHFDWAIPAGAGPETLDMSEVFGIILHAKSSLCLEAVRSSPSTEVSVS >cds.KYUSt_chr2.16252 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102167687:102190754:1 gene:KYUSg_chr2.16252 transcript:KYUSt_chr2.16252 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPREVDSLSEAADGAAAPAIAMVEVEVTKPRNDKRGYRRVVLPNALECLLISDPDTDKAAASMNVSVGYFCDPDGLEGLAHFLEHMLFYASEKYPIEDSYSKYIAEHGGSTNAYTTSEHTNFYFDVNNDCLDDALDRFAQFFIKPLMSPDATLREIKAVDSENQKNLLSDPWRMSQLQKHLCSNNHPYHKFSTGNWNTLEVKPKEKGLDTRLELINFYDSHYSANLMQLVVYGKESLDNIQTLVESKFCDIKNVGRKQFSFPGHPCSSKDLQILVKVVPIKQGHTLRVLWPITPNIRHYKEGPCRYVSHLIGHEGEGSLFYILKKLGWAMSLESGEGDWSYEFSFFSVVIQLTDVGHEHMEDVIGLLFRYITLLQTSGTPKWIFDELLAICETGFNYRDKSPPMNYVASISSNMQIFPPEDWLIASSVPSKFSPDAIQRVLNELTPDNVRIFWESKSFEGQTNLTEPWYGTSYCVEAVPPSTMQKWVEKAPDEDLHLPKPNIFIPTDLSLKKVEEKTTFPCMLRKTPFSRLWYKPDTLFFTPKVYIKMDFHCPLSHSSPESSVLTGVFTRLLMDYLNDYAYDAEVAGLYYAVVPNDTGFQVTMVGYNDKMTTLLETVIGKIANFEVKVDRFSVIKETMAKGYENFKFQQPYQQAMYYCRLILEEQTWPWDEELAALSNLEVSDLENFLPHMLAKTFIECYFAGNIEPSEAKSVVQHIEGTLFNSSISVCKSLAPSQHLTKRIVKLERGLKYYYPAMCLNHQDEKNSSLLQYIQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYIALLRQRNDSGVRGLQFIIQSTVKDPFNLDARVEAFLKMFEVTLHQMPDAEFKSNVNALIDMKLEKYKNIREESAFFWGEISEGTLKFDRKEAEVAALRELKKEELIEFFDNHVKFIWRYMNDVVFNAANPTILVIKTEIKDVFFLKKKIKEEFER >cds.KYUSt_chr7.34800 pep primary_assembly:MPB_Lper_Kyuss_1697:7:217400949:217403079:-1 gene:KYUSg_chr7.34800 transcript:KYUSt_chr7.34800 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRSSRFGSWDAKDHRDLRRSPTVSARSGRDGSHSKKIERSGQNGHKAHVEPSVVAFPSPPFLWVLAALPVRWLGATIGFSIRSNNSMVLPPVLPHSVAITCLVLNNLNRLSLPIKENYNSGWAADQFNENANQSFGGDFGQFDEGYYDGGNNFGQNMGNNGGNNFRRPFRQQFNGNNRNNGFNNGGYRNNGRGRSPRPPINRNGRNPPAAVVNQPGVQSEVVDTTTLRKSAVVPVAAGSSAVQKPVSMDASVGDAERSSKGVKVDKTLCFRCDQNGHRADVCTAVLCVYCERATHASKDCHLLNMPKPAPTIYGICRAELRIYDVPASDELKFKHDSGKVARIKVDGGVLSADQVISELGWIVPGEHQWSLEKVEDNVFKTVFPTKVDLARLVKICSVPIDAANGLFLLFEEWSSGPVDKFKLEEAWVRVHGLPQKLRCDYLALFGVGALIGKPSVVDMEFTRKHGIARMFLQITSLQYVPDGVDHTYDGEGFGITFEIEGYKTPNPADEEMEEANGNGEDNPPKETDGNRKHNVPAKEAGKDVAPTPQVRQGSSQVGKTMDVGKSAPLLKVGSLFIATTPCGSPSSESKLGVHTARRSWGESLMSSPPLR >cds.KYUSt_chr3.8213 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47240654:47243470:1 gene:KYUSg_chr3.8213 transcript:KYUSt_chr3.8213 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLLVTAFLLSLINHRTNLAMAWDDKDFFRYCPPSKCSKHGPEIRFPFRLEASNISCGRLCMELACSGEDTILVHPLLGPCKVTHIYYSRLVIELIPPPALSPCPLQKLISQTIPIQYAYAEQSNQVLCGIYDERHVMLVCCLREITPSIGAAGPISCLSNTTHLSYLLPTYLLMTDLPLSSTSSVATLIVLLLIVATAVYLSLKTNYNKEIHLKVEMFLKTYGTSKPMRYTFSEVKKITRRFKVKVGQGGFGSVYKGELPNGVPVAVKVLEYSTGEGEVFINEVATIGLIHHANIVRLVGFCSEGTRRALLYEFMPNESLEKYIFSRDSHVFQDLLVPQKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFTPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDQDIDSQNKVYLPEWIYEKVTAGQDLVFSRELAEEEKVKMRQLATVALWCIQWNPKNRPSMTNVVNMLTGRLQNLQMPPKPFVSSETNHVQ >cds.KYUSt_chr2.43951 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273572623:273574026:-1 gene:KYUSg_chr2.43951 transcript:KYUSt_chr2.43951 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGASGDGRGTGSRRWKGKGITPIQARRQQQQQLEPVMENSSAALLRPLRKIGRSPDRLHRTTSSLSTSSSSAPASPRSFPASDAVLQPSSSPPPSARHMFPFAYEPASTTATRGSLNLLLPSPSPQQQQQPLRHQQMISFGGAPPSAAPSFFVPAETSQQQQHLLRYWSEALNLSPRGGLAGMPPSLYQQLMGAPPPPPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDRFLAKGRAGGSGRTSAASSAAASAAAATTSCSSSSSSPPQTSDEAAANTQQPPGQREQQHAQRSTFQDQPQHPLPTTASQEGGSRDAATVSHSAEMLHTPEASAGVGMWAPADEAWFNAWGPGSSFWDYEMDDSARGLFLHSRFSGEDAAGAGMGHSHAQETAPATAAAGTSSDTPCDDVPVTYSSDPHPHPGTAQAPNFMWKV >cds.KYUSt_chr3.40995 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258768187:258790052:-1 gene:KYUSg_chr3.40995 transcript:KYUSt_chr3.40995 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAQGLYIDDVAKDSILNKLMSHFNLVPPEGDAAEKAKMEQALREFAKKKMAELFKSHKKRLRVLIKKKKTPDSEKVKNHWDEFVKYSTESEEFKKRSETNKANAALKLYHQILGPGGYRANRPKWEAAEAELTRKGVRLGTHGWIERCKEWFYEIGGTLHPETGKCIYKKAHLKFPIEALEQAHKDVEEGRFQPERENDELTRALGNKEHGGRTRGTEGSVPWKYGFPAERKRFSDKRHERRKARETDRIASLEEGMSTMKAQLTMVTQVLTSQMAQGQVVDPALLNALVPLQSQQHRKSSVASSQQVDNDDDDQVVEPPRYPPWMISLRAILVSCMLKFSTYPSMRRSASSYLQGEDGEIKELGEARRTTVQWRKENIVFPGEKPPSRPPCPPPPPPPQSPPRDDSPLRDDDSPVHEQSPPRENTPPPPPPRQETPPPLPKQKRKLTAAPPTAPKRSSTPMRAQTPELLPHEQTEEQNAFLAPKKMFIPPHTVKHFAETRMKRPELRADYDRSLGQSSRASKAKKVAQLGQQDIQAIPHFVVQPYDDPETASMIEREARAQGASIEYEDYYPTAQVVNKYRYGSDLVKPGELARLETHMRRLDEWYLKACRRGDRYLTVYLRDEHYFRGRGGGGEEINLELEELFQLFNQDALDKAVISCYCLMKKLECKRGKLLELGFIDPDTVHEVTVQQYPKDTEDNMVMFLVKQANKEDIFFPYNFKFHFILLIIDLHKGVVNVMDSKRKEHAEWADMAAILQRAWKRFINTVPVNMNPLKRSSESSAQEDDADRQKRQKTECQEFIPRRYQLDVYEVAKARNTIAMLDTGAGKTMIAVMLMKDFRSKVDKSRNDRKVIVFLAPTVQLVTQQCEVIRAHTDFEVELYYGAKGVDEWTAPRWKEEVSKYQATVSSVLDCEVQFSELEKLLNAKIYTVADREEIELCAPTAEYVNRYYAPKTVCFNYLNEELALLRSEYDALIRKLQNKPNYQKKEADEIAKQSRKHLSDSIEKILYCLDSVGLLCASEATKICIERSQRKGWLKKVSDATNIQSDTNSSFLCAEISALHLKFFDAVSRILDKHLQKGSNVLLNSESGCVEATKMGYVSPKLYELIQIFYSFRENAKQNNLVSAILRSKNSMVETALNRDSEDLLPGFFAVQETNEYIVGTTGAKVTAESSIGVIVHCCNKLSKDKYNTTNPSFESMDHGDGFVCKLTLPFSDVLPPLVGPKARSKQKAKQLVCLDACKQLHRRGILDDSLCPSFEKPPPGSDGVGTTKRKELHGTTGVHALSGTWASERTAVKLQSYKLKFSCDQVGQRYSDFVLLIDTTIENEAANLDIDLYLHDKMVKASVSPYGLLELDVEQILEAITSLRCSEDFSMERLELLGDSVLKYAASCHLFLKFPDKDEGELTSSRTDIISNAALYGLGIEHKIQGYIRDAAFDPRRWLAPGQLSIHPVPCNCSLDEVVTHDIDAVDDKPIVKIGQMCDKGHRWMCSKTISDCVEAIIGAYYVGGGLRAALSVLKWLGVDADIEEELIIQTILNASMRTYLPKVDVIKMLEAKLGYAFSVKGLLLEALTHPSHQESAESYSYQRLEFLGDAVLDILLTRHLFNSHKDTDEGELTDLRCASVNNENFAQVAVKHKFHQFLQHSSGILPDQITEYAHSLENSSLDKDKLLSDAALRGPKVLGDIVESIAGAVLIDTKLDLDVVWGVFKPLLSPIVTPENLELPPFRELLEWCSKNGYFVEIKCTVGEKIEATLGVQLKEKLLVRHGCGTNKKDSKAHAASMLLMDLQKEGLLIPKNASRTQHANGSNNLFDALDIRLPTPTSGKKSTGSKLAAILALQIPSDDKISLTGDGFADKKSAMDSAALLMLHELQRRGRLQVQEVHPKVCKIENCSSVMESYTLCQLGITMSNTANEEMRKEYTSVTRITSYSDEGLDHGIAKQKEFM >cds.KYUSt_chr2.27996 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171774010:171774902:1 gene:KYUSg_chr2.27996 transcript:KYUSt_chr2.27996 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERSVPPAAARVVVAMKGHPGSGKSTAALAIASALRCPLLDKDDVRDCTLHLEGAAGGSGMLNELSYAVLWRLAERQLQLGLSVVLDSPLSRRAHLDMLARLPATLVVVVECRPGDEGVWRRRLEERGGALANGGGDGWHKPKTWAELESLVEGYQGCTDYEIGDVPRIVVDTTDPAFGAEEIAARVVDFISSKVPRNDPPMYVTDFEALFGFLQ >cds.KYUSt_chr4.45769 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283274763:283275563:-1 gene:KYUSg_chr4.45769 transcript:KYUSt_chr4.45769 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVRGAPPTTAAPPVPRARCSAPEKAGRASLLAVPAAVSLSLVLWSSPVNAGILSGSTGLESVPAPELPRLEFLDKWNAENQRKYAENDSRFKSSKVLKELLEKSKQNKEKNERAIQDKYCLRGAEWGVGDCSTVGMTDQERDDFIGELRKKVGE >cds.KYUSt_chr5.36531 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230897387:230898268:1 gene:KYUSg_chr5.36531 transcript:KYUSt_chr5.36531 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPSVSPTLLLCNMYQRPDMITPGFDPQGNPIDPSKIQGDFEDFYEDIFDELSKYGEVENLHVCDNLADHLIGNVYVSFREEDQAAKALQALQGRFYSGRPIIAEFSPVTDFREATCRQFEEHNCNRGGYCNFMHVKEIGRDLRKRLYGHLHRSRRSHSRSSRSPSPYHYHSRDRDRSSRSSRDRGDYYGGSLDRGDYGDYHHHSRRSSERNRNYDSDGSRRRRRRSRSRSPVREGSEERRAKIEQWNREREAAQA >cds.KYUSt_chr1.25072 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150323427:150324539:-1 gene:KYUSg_chr1.25072 transcript:KYUSt_chr1.25072 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTTTNAVAIVAVLSLLVLSVCSAEDYDTSLAKSYKSGWLPAKATWYGAPTGAGPDDNGGACGFKNVNKYPFSAMISCGNEPLFQGGAGCGSCYQIRCVRANNPACSGQPRTVVITDMNYYPVARYHFDLSGTAFGAMALGGQNDKLRHAGIIDMQFRRVPCNFPGMKVTFFILPGANPNYFPVVPAYGNRDGAVVKMDVMRSRNGRPTGSWEAMYRSWGTVFRLDTREPLQGPLSLRITSDSGMTRIANNIIPYGWKGGSSYWSNIQF >cds.KYUSt_chr4.8116 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48480380:48482254:1 gene:KYUSg_chr4.8116 transcript:KYUSt_chr4.8116 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTATGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKSRYEEIVKEVSSYLKKVGYNPEKVPFVPISGFEGDNMIERSTNLNWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTIKPGMVVTFGPTGLTTEDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKEIEKEPKFLKNGDAGIVKMIPTKPMVVETFATYPPLGRFAVRDMRQTVVVGVIKGVEKKDPTGAKVTKAAAKKK >cds.KYUSt_chr3.26838 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167441772:167443999:1 gene:KYUSg_chr3.26838 transcript:KYUSt_chr3.26838 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFLGRGHSLDRFLPGRRVMASSQSFPSSPSPSSSECSCSGRGGMDEEEIVAASPLPPLQKRTLSRGHGSRAASGRRHSELPAKKVEMPSEMDLMQERFAKLLLGEDMSGTGRGVSSALALSNSITNLAASVFGEQRRLEPMKADRRMRWKKEIDWILSVTDHIVEFVPLQHESEDGTSMEVMGTQLRKDILMNLPALRKLDAMLLGYLDNFKDEQEFWYVSKDADESEKGDSPRNGEKWWIPTVRVPPEGLSDQSRKWLQHQKDLVGQVLKAVMAMNADVLTEMEIPEEYIESLPKNGRDSLGDSIYRTITDDHFDPNELLNSVDLSTEHKIVDLKDKIEASVVIWQRKLCNKLYWGPGSLEKREQFEERAETVLLILKQKFPGTAQSSLDISKIQYNKDVGFAILESYSRSLESLAFAVLSRIEDVLYADNVARDPRRSKSKKRSSLDDIPQSFVVDDTEASSARAGDSLNWDELEDRSLDCGGAKLRKVPRIVSRKRMHVEKIE >cds.KYUSt_chr5.2564 pep primary_assembly:MPB_Lper_Kyuss_1697:5:17156201:17157586:1 gene:KYUSg_chr5.2564 transcript:KYUSt_chr5.2564 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGAAFWNEYDTRNLQLKFNARTQRGYVKEHFKYRWNSLKYEYTRFRSRPPDNLSLLDKMFGEAHVDKSFAIPVEDIGEEKEEAARVTIHGSDEDAEITLSAMKKVNLANKVSSPMINVLTKEDKNLPLLEYKKDVGKSTVILEEDLGEDKQEATRVNIDGLDKDVELTQSAMKIAKLLPPIPQRGLGEDKEEATRVNFDGFDKEVELAQSATKKAKLSIPGEHLDEYKEVAAPVNIDGRDKDAELTPSAMEKAKSTKRKTSSPMVNVLTEDKNPVPQKCKRAHTTAEVSSCDSNMVPTIREAVGLIRGCGVLEGTALFYTATKIVAMNPEYREVFSLIQTREGRLDWLQRAHDDAAVSVHICSSK >cds.KYUSt_chr4.40728 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251436352:251443004:-1 gene:KYUSg_chr4.40728 transcript:KYUSt_chr4.40728 gene_biotype:protein_coding transcript_biotype:protein_coding MDMWHMLDKFRAEVVDSSSDEESDQSTQTLTTTAASMIHEFTSNPGSEHRSSVKGRSKNLPRNRVEGQARLHKDYFHLTNPVFSKKLFRRRYRMSRDLFLVLDAMAQKEANGNGATTRPPPTPSPLRFSKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTEPLLVEVDQVYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGVEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGDDLTVQKPGTQTRSFCYVADMVNGLMKLMNGENTGPINIGNPGEFTMLELAENVKELINPEVAVIMTENTPDDPRQRKPDISKAKELLDWEPKIVLRDGLVLMEDDFRERLAVPKKTKA >cds.KYUSt_chr4.20962 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131999185:132001991:1 gene:KYUSg_chr4.20962 transcript:KYUSt_chr4.20962 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRLRRALAAASTSAPLLRPAASAALSPSRSLLPAPFARSLPRRLLPGGAAGFRSTAAAAARGNYGGGADDSHISPDEILFEGCDYKHWLITMEFPDPKPSREEMIETYLNTLAKVVGSYEEAKKRMYALSTTTYVGFQAEMSEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYENGVITPRAPPTHYSKPSRTDRNRSFRGNYQDNPPPQGNYPRGPPLQGNYQDNPPPRGNYNSGPPPQGNFQPYRPPQDGRGYNPQQSYAQAGQDGRGYARNNSADRSGYNGPPAPGAFEGQVNQPGQGYQNPQEVRNFSQGQAGDFRSGSPPAPGTYGQPSGPGTYGQPSGPVTYGQPPAPTYPGGNQGGPGTGIGFGGDNRQGAGPAYGGDNRQGAGPAYGADNLHGGSGQYSSPGEGQQGNWQV >cds.KYUSt_chr6.803 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5125973:5127427:-1 gene:KYUSg_chr6.803 transcript:KYUSt_chr6.803 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEPLLADILTRITRASDLNSLSLVSKQLYTFEGEHRDAICIGCGLNPATEALTSLLSRFSNLSKVEINYSGWTPSHGEQFNEHCLSVLSSQCPLLSDLTLSFCSNIQDASMAYLARCTKLRCLKLISAPAISWCGLSLVAVVCKHLSVLHLVDCIAVSVDGWLAYLGRHGSLEELVVKDCKEISQYDLLKFGPGWQKLQKFEFEINGNYWMPMSLDPSYVPGYPYGYEICCENLKDLRLAHIITEPEIGLRFLLGKCKALETLCLEYVIGLDESEMIALFQRCSNLKSISLWLMPLHCHSVNHGFQFRTPLTNGSLEALALSCPMLEVVELTFTFCDPDWPTEIGFTQKGILTLMQSCPIRSLVLNGASIFYNSGMKGLSSCQFLQTLEIVDCMSLTDAGLDFVIQAPRLSNLTLRKCNNVTDNGIAALACSGKLESLTVIGCRQISQEGVHGAAKSVRYSADTESHDSLKGMKVQCPGD >cds.KYUSt_chr4.11060 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67120666:67121070:-1 gene:KYUSg_chr4.11060 transcript:KYUSt_chr4.11060 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRSGRWRRLRAGHGNGEIRGEVAALEDETRSEPHCSTSAAGSSRPRGAAGPARRRCAASAYEAETLTLAAGMLQVDRLRAVMPSPAAEQPPALELELMRGGEAPLLASSAGFLRSSPWSAGKKGKREDKARN >cds.KYUSt_chr7.25698 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160358363:160360628:1 gene:KYUSg_chr7.25698 transcript:KYUSt_chr7.25698 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGKAPVPAKKKTAQVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALHQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSIVHKKTASVLCLTTVKNEDKLEFSKVLEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKARERLIAKEAAQRLT >cds.KYUSt_chr6.23111 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146055373:146056632:-1 gene:KYUSg_chr6.23111 transcript:KYUSt_chr6.23111 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPGSKESQNYDNNSQKVYPQPIDENMNQNVGSMIGSIFNNISSLKAAYIQLQEAHTPYDPDKIQTADRLVIDELTRLSELKHTYREKNPKPVAASPQDSRLLNEIQEQQNLLKTYEVMVKKFQSQIQNRDNEITHLQQQTDEAKHRKSKLEKKLKQRGLLNKESEESDEEENYFSVELTPSLFTSTADNAYQSIHDFSKPLINMMKAAGWDLDAAADAIEPDVVYSRRAHKKYAFESYICQRMFSGFHEESFSIKAANATVSNEAFFHQFLAVRAMDPLDVLSQNPDSVFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKVRIFQVKKGSEFSEIHMESVVKNIVLDESAERPKVDLMVMPGFLIGASVIQCRVYLSDVKCTE >cds.KYUSt_chr1.33379 pep primary_assembly:MPB_Lper_Kyuss_1697:1:202901400:202902187:1 gene:KYUSg_chr1.33379 transcript:KYUSt_chr1.33379 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRVLVTGGELPAPWSELLSCCVLVTCGSLQGSARRYYSREERERDNENEWWTTTAKYLSGRTMELDKVDEPEHDD >cds.KYUSt_chr2.52502 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327581817:327582032:-1 gene:KYUSg_chr2.52502 transcript:KYUSt_chr2.52502 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVWSAMLDGKTLVVDEEALDVVVPGDDAVLEGDHGGVQRGAPPARDGEEGVVQGEAGGAAARYGCGDRA >cds.KYUSt_chr3.44424 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280330960:280344228:1 gene:KYUSg_chr3.44424 transcript:KYUSt_chr3.44424 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSAPKKRKVHLPENEDVAGKILEKHRSMAEQPGGLSGQQALDLSAAYRGVCAAKQPIRTSRDLLRTKGVENWVFNFMKDSFPSSSPDLSPQEATNVSNIAGMLNGIEQLNGSNYVTWKEKLEITMALLNIDYALLNDPPEVPKENNENYEALKKEYDIIKTKWDDSNRKCLMMIKGSITRSQTVINVPNNEPLRRSQRARKPAIPDDYLTYMSEDTNEPVLDNDPTSFKEAMESEYSSKWLDAMKDEMKSMSTNDVRIIWKAAKKVLRYLQGTKGLMLTYEKSDNLEIVGYSDADFAGYMLTEEGEKTARDCLERSGLDDTVGPSTHHSEVVLSDSDSDEPYEDSENFAERSGPPKLKAGSSSSFDISKGPIDAPLSSRGMFGQQSFSAMGSAENFLLAMPPRHSIENFLEAYEVVLILDDRETLGCFTTEILEGFDVQRTTGYSDTEKKYGQLTRSIIDYYSTNFSAGADSSRLCLTYDEFVKRCSDLEKVTMSDVFALQLMQVPQATEEAVLAVTSLYPTLLSLAQAYAMLDGDRRAQEEMLMNKCNLVKAGASKAIFKFVWAEG >cds.KYUSt_chr6.5812 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34896099:34902909:1 gene:KYUSg_chr6.5812 transcript:KYUSt_chr6.5812 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSARSGAGGAAAYSTPRAWIVAGVVVAGVIVLAEAARRRRRWLRGKSAAPPDSGAFCDSLVLSPPPQAPPPAARQQLSDLTFAVSDNFEIEGYVAGFGNPDWKRTHAAATRTAVAVTNLLKQGATCVGRTVMDELGFGVTGQNLHYGTPINPSSPSVVPGGSCSGSAVAVSAQLVDFALGWLARDPRILHRVGDILLPAAVGGFIKGKSQLIFADDCFESLKVPSQKTVHVIESAVLTLPGYQPPKHINIGQYIISNVPSLKEFCEPSTNMQEGNSALKALCTVMLLLQRYEFKANHEDWVNTVKPKLGLEITTRVLQAVNFRDDNIKSLYNVRSEWRSALKNLLKDTGILVLPTMAGYPLKRNSKQRLSSEFEDKMYAFVSIAALSGCCQATVPLGNHNDRPISLSFVAAHGSDKFLLRAISDMYSTIQEQVVSVSKLAPPPVINHDVDASELLKEKGNDSFKRKQWSKAIEFYSEAIELNGTNATYYCNRAAAYLELGRFKQAEADCDQALLLDKKNVKAYLRRGAAKERVLNHQEALQVKLEKDLLAIVHRVNISGGARVQKL >cds.KYUSt_chr2.48998 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306671022:306672248:1 gene:KYUSg_chr2.48998 transcript:KYUSt_chr2.48998 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVDLADRFDISGPTHIMSRRSGVHHSSAMVIDWNNEEDRRCVAACVVKGTYILEDDRTMCRMQAAEAEALAPAWWESFHFRLVDVLKEESFRRKSVKLTFGAIYEHVPPAGERRDPCAPQYIVAFRGTMLPHPKVIHDVVLDLQILANTLEYSRRSHRAHKAVDKLLGTIDSSAVWLAGHSLGASLALEVGRTMMAERGFNLSTFLFNPPHVSPAPAINKILPCEGLRKEIYAKSARVKARLGQFLSPHRERMEALFERLSPWAPNLYVHDMDVICQGFVHYFEQRQQLEERCRSATTLSYRDMFFSTIGKEKERPHLLPSATLWKNSSMDRDAHGIQKLLAAHELQQWWKPDGELRMIATRYSFA >cds.KYUSt_chr3.47448 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297685093:297687420:-1 gene:KYUSg_chr3.47448 transcript:KYUSt_chr3.47448 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLSVASPDSAPEPAKPSPPTTRLIPHTLFCATSMTVGFRFSRLVVFLLFLPTPPLNPAAHLVSLVHHGPVFVGHHTIGVRKWPHPDPSELLKAHHILAAVQTAQRSSSPRGNGPPRPVIAITPTVIAKINGEASTPTLSAPPRSGRPHLGAVDLNLEFRATSPRVDTNYYRKCGSATLALKAAAVAAMLAVLVLPSMAANSPSPGPKPVPATTPAPAAKCDCSSCGQKCEVSVATKCSQYCSCDGCKSKELNKCRASCTGSSCDKCVNDANMACSGICFGSTCSDCKRQTSKQCVADCCAAKCG >cds.KYUSt_chr2.30947 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190727333:190732794:1 gene:KYUSg_chr2.30947 transcript:KYUSt_chr2.30947 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKRRGDGAATEAPVEVAQQEAPAAAADSAAAAKTGRQRGGDATAEQEAAAAVHAPGPADSGKETTDVEGKKPKRRKKKKKKKKKKTDQSPCPPASNADAAATGKSGDDCTDGVGVLGDAQVTMNPRNGDHPERKKPKRGKKKKNMQQPSPSAVSDGNALELDKSRTDCKDVEDVPGDDETIRSPINEEDPGFSAEQDIPGRKKPRRKKRKNNNQEQSPSAVTDGDTIVVGTSGNDCTHGKGVPGVADVSMSSRNGEDQDCPEVNIGEKGAPERKKPKRRQKKKNMQQPSPSAVSNGNAVELDKSRTDCKDVPEDDETIGSPRNEEDPGFSAEQDIPERKKPRRKKWKNNKQEQSPSAVTDGDAIVVGTCGNDCTHGNSVPRVADVTMSYRNGEDQDCPEVNIAEKETPGRKKPKLKKRKENKERSTATVSDDGAVLLHKSENDCIDGDDASGDAQVSVSTTNVEDPYCPEVNIAEKGTNERKKPKPKKRKENKERSTATVSDDGAVLLHKSENDCIDGVDASGDAQVSVSTTNVEDPYCPEVDIAEKGTNERKKPKPKKRKNKKEGSSSVILDAGAVVPDESRNSCKDGDCAPRDIEASISPPNGEDSLCPAVNNAEKGIPEMNEPKRKKQKKNNQQPSPSAVLDATDVVMDKSGHDSTNVGAPSGASDVTISPRIGEGPEYTKLNSTDDFLEENKVNKDYSQKSKLKKQMKNKHEQSPSALLDTCAAVEDKSGNSYVDGKGSLGAADISTSSRTGKDHDCPEVNIANDLVEVKNGNNNNSQKPKNRERKRKKMAPVAVTETPPVGGVSSDERKDAFETGSMKVTAKSTIISMTSTSGENYKENVRDIYSPEGSLVRFQRKKLLILDINGILADINTDRDVAHMADGRVRGKNVFKRYYLDDFLRFCFQNFELGIWSSRMKVNVDAVLDILMKDLRRHLLFFWDASQCTTTNRGTLENRYKPLVLKELKKLWNKEDPDLPWEQGEFSPSNTLLVDDSPYKALRNPPHTAIFPQPYSYHMEEDESLGPGGDLRVYLENIAAADDVQRLRHVNGDASPAKRLPPTSAKAVVAMPCVPRPSPASGHIKRARALRPHPLVASPSSTVAPPSSSCDATRLARKSMMGPGGRRSRHGPGRPRDRGRGRRGGAATAPRSLSPLPSSSSQEERYFEFLLRIEDDPLGIKRLPDKFAEFVNGVRRPAATSVGGL >cds.KYUSt_chr1.36586 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223244048:223247271:-1 gene:KYUSg_chr1.36586 transcript:KYUSt_chr1.36586 gene_biotype:protein_coding transcript_biotype:protein_coding MDERAMEAWRRAFRRTSQTRMPSSRRKGQTQCGEGGRRLAEGLHPGAEGEVVGEARSVQCECCGMAEECTPTYIGRVRNHFHGKWVCGLCAEAVKERQQREPDLAVGGAVEAHAALCQRFNSTVRLNPKLSLASSMRDIARKSCQNRATGSDSCTAGADNPSDSACCGAARAGCCALPYV >cds.KYUSt_chr7.17255 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106927119:106929404:-1 gene:KYUSg_chr7.17255 transcript:KYUSt_chr7.17255 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKMGNVATVRAVLAILQWWGFNVTVIIMNKWIFQKLEFKFPLTVSCVHFICSSIGAYIAIKVLKIKPLIEVAPEDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGILLTSVTELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSIPAIVLEGGGVINWLYTYDSTVPALIIIITSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAAAVLISWMIFRNPISAMNAVGCGITLVGCTFYGYVRHLISQQATAQSPRTPRSRLEMLPLLGEKQEN >cds.KYUSt_chr1.9894 pep primary_assembly:MPB_Lper_Kyuss_1697:1:60520082:60520511:1 gene:KYUSg_chr1.9894 transcript:KYUSt_chr1.9894 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKLTGASSTTTVARVLRPPSSVTSDLVCKSVHVRSATAPSSFIWPPSYLQLRLPASLFVFPDASGFFSRSVSPAHSSASAAPRCSRLGRYFCVFLVGHVRHGVTGVVHANLWLRMV >cds.KYUSt_chr2.37045 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229225792:229226873:1 gene:KYUSg_chr2.37045 transcript:KYUSt_chr2.37045 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHPPHLHFNKLKKRARAAIASGTGSHRPAAKARKGLAAILYKLRDVHRPPSSPPSPLPCPSPSTPHHRQLCYPPAPSTWPWPSCRHPRTSSFRARPEDIAVAAAVYRTANAVYDASSEHFLRRPSLDEAWCGKRSSVSVSGQDAVDREPEAEETNKEVQLRETAVVCGVRSERLFFEPAGAEFLSPKQAEALLGEATRDAPTKTDEATTAPAGEKDHHTPPAEPSELKGGTVVVMVESEDPYGDFRASMVEMVAAHGLRDWEGLEELLAWYLKLNAKGVHAVIVGAFVDMLVGLATPPSPSPPSQSPSSSCITFEDRLLVGDPR >cds.KYUSt_chr4.51612 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320304888:320306005:1 gene:KYUSg_chr4.51612 transcript:KYUSt_chr4.51612 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVTLPSSSPSPFPVATTSARRSLHLRSPPLRRAIRVAASAATEAPPKPAAANPIILVDPAEAQKVHRLKTVYDTKVVPIITEEFGYTNVHQVPKIEKIVVNCGLGVDAGNNKGLEAAMKDLASITGQYPVKTKAKNSVASFKIREGNTIGIAVTLRGRVMYNFLDRLINLGLPRTSDFLGVNPNSFDGSGNYTIGMRDQGVFPEIPYEVGGKKNGMDVSIVTTAKTDNEAQRLLALLGMPFAAHMKGDEYKKKRLKKHHFMSKGRGRK >cds.KYUSt_scaffold_3611.172 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:979686:980555:1 gene:KYUSg_scaffold_3611.172 transcript:KYUSt_scaffold_3611.172 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMDMDDEKLFRQEHGWSLQLKSGQEITLTPGANEANEPLCVTDVFLVDPESTDGQGVVAAFVEIGTRNILLAALSSERPRVALQTPVVLDEQFCFYLMRPGTDADADADAEADAVVVQFQGYVVPSSDTKGEIEEDDEEDDMIDDENHEEEQEEEDDGNGTEDDEDARDSDSHYEYDSRDDGEVCGLPPGVELNYAYAPRRDDSEEEFVDRKVRAQAVRERRASHKAQRRRRRFATEAEGRSLGGPAPPGLAFPAERRRRVPLEAALLGIFVFVYLMAMVALYISG >cds.KYUSt_chr7.33060 pep primary_assembly:MPB_Lper_Kyuss_1697:7:206253406:206255045:-1 gene:KYUSg_chr7.33060 transcript:KYUSt_chr7.33060 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTPKSGTSFFKTCFNGVNALSGVGILSIPYALSQGGWLSVLIFTTIAVICFYTGILLQRCIDSSSLVKTYPDIGELAFGRKGRIIVAIFMYLELYLVAIDFMILEGDNLDKLFPSVNFHVAGLKIGGKQGFVLIFSMLVLPTTWFRSLNALAYVSVGGILASIILIASVAWVGAFDGIGFHEKSVLLNWAGIPTAMSLYSFCFSGHAVFPMIYTGMSNRKMFPAVLLLCFIICTLGYGLMGVIGYLMYGDSLMSQITLNLPSRNLSSSIAIYTTLINPFTKFALLVTPIAEAIEDSLHVGKNKAASLSIRTALVVSTTIVALVVPFFAYAVALTGSLLSGTATMLLPCACYLKIRSRTCRKLGFEQVVCVAIIIVGVGVVVVGTYSSLKQIFQSL >cds.KYUSt_chr5.28373 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179695561:179697184:1 gene:KYUSg_chr5.28373 transcript:KYUSt_chr5.28373 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAPAAAADSPGEAPPAAKKTGRVKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKVTTPPPSVPAVAPPAQPAPPPPTIDVDKVFDVESTTSYLDMLNDSTVNLDAGIGAFEGECNVEDFDEEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDQYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQQRYKDMAGSKNKEFQFQHCFSILQHLPKWKLRDNKPKCKKEALLTMDDEAEDMTGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKLIAAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWNETKADIIARKKAARQARAQGESPASGGAGGDGSLDG >cds.KYUSt_chr3.26304 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163688753:163689400:-1 gene:KYUSg_chr3.26304 transcript:KYUSt_chr3.26304 gene_biotype:protein_coding transcript_biotype:protein_coding MALEARLRLPLARPTPATAFLSGSNPKQTHLSFSIKTTSTSLSAANAPPPIVVVGSANADIYVEVDRLPLVGETVAARAGHSLAGGKGANQAACGGRLALGPTYLVARVGNDANGRLLEGALADAGGVRLDRVARAPDAPSGHAVVMLMPDGQNSIIIVGGANMEGWASEVDPEDLDLIRQAGVLLLQREIPDWVNIQVAQVGALCPPSLEHLHR >cds.KYUSt_chr4.36127 pep primary_assembly:MPB_Lper_Kyuss_1697:4:222036188:222042185:1 gene:KYUSg_chr4.36127 transcript:KYUSt_chr4.36127 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRAKVRTRIVLQAAYDGDLRILKSKCTPLSLSISRNFYSKRSSNMFFRGNFSLIEMAKQMDLGVAEDDEGANALHLAAHGGCLECCKFLVEEAWIDVNSTTTEGTTPLVCAVFEGNIEVMKYLLDRGANPRKKCPEGFTLLHAAAAKGHPADITLEDHSGTPLHAAASKGQDEAMKILLEHGADAGADVNGSYCGPTSLTQAIKIGFTDIVKFLLEAGADPNDPGEDAVPVGARLTDFKSRAKEAFRKKDYQAALYLFGRVIEINPFDATMFSNRSLCWLRMREGERALSDAQRCRQLMPGWCKGWYLEGTALEFMEDYQGAADAFTEALKLDPESDEIKRALGMANNMIHGDEASVTTSVHSLQNYELISGTQHGSVNIKGKATVYAILEPALPDADGSIRWEPPPTGWIKANVDASWDAQTERGGVGVVIRDQTGSVLRSVRSFIPTCASAEAAEIIACVEGLRHLVDFTQWPTILESDAAQVINTLSAGCNDSSANWSLYGEARGLMAGLPEVMLSKVNRQGNEVAHCLAQLGKGDLCGVLDDSAPPCVLAMVMEDCNNIMS >cds.KYUSt_chr5.43414 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273552811:273557425:1 gene:KYUSg_chr5.43414 transcript:KYUSt_chr5.43414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein, Cytokinin signaling and stress respons [Source: Projected from Oryza sativa (Os09g0567400)] MAAVALKNQLNAHIASMFAAGFLDKQFQELQMLQDDGSSPGFVSEVVGLFIDDAEKITGLIAGLLDQPVVDFDKVDALVHQLKGSSARCVMALALLRNEFYDSRSKFQTMFQLSEFLAWLIPLVELIAIWMERVAWDIDQLDPTTCQPLRLCWSLLQMQA >cds.KYUSt_contig_1253.603 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3699796:3702982:1 gene:KYUSg_contig_1253.603 transcript:KYUSt_contig_1253.603 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQEEMHPHPVKDQLPSVSYCITSPPPWPEAIILGFQHFIVMLGTSVLIPSALVPQMGGGNGEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGGSYTVVAPTISIILASRYSNETDPHQKFLRTMRGTQGAFIIASTIQIILGFSGLWRNVVRLLSPLSAAPLIALAGFGLYELGFPGVAKCVEIGLPEIILLLIFSQYLPHVIHVAKPVFNQFSVIFTIAIVWLYAYILTVSGAYNKAPMKTQVHCRVDRSGLIGGASWISVPYPFQWGAPTFDAGECFAMMMASFVALVESTGTFIAVSRYASATMIPPSILGRGIGWQGIGTLLGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSVLGKFGAIFASIPLPIFAALYCIFFAYVGACGLSFLQFCNLNSFRTKFIVGFSIFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMVNVPFSSKPFVAVLVAYFLDNTMHRRDSAVRRDRGYHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >cds.KYUSt_chr7.27300 pep primary_assembly:MPB_Lper_Kyuss_1697:7:170575813:170580227:-1 gene:KYUSg_chr7.27300 transcript:KYUSt_chr7.27300 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRRMAPPPAVGVPHRKPAGAPRALQAGDALPLPIRHTNLIFSALFAVSLAYLMRRWRAKIRSSIPFHVVSLTEILATFVLVASLIYLLSFFGIAFVQSIVSSTDEDEDFLVASSSSSTTAKPPAPAPPAQCGLLGSADAVSDKMPEEDEEIVAGVVAGKIPSYVLETKLGDCRRAAGLRREALRRITGRQIEGLPLDGFDYASILGQCCELPVGYVQLPVGIAGPLLLDGQRFYVPMATTEGCLVASTNRGCKAIAESGGASSVVLRDAMTRAPVARFPTARQAAHLKAFLEDPANFDTLSVVFNRSSRFGRLQTVQCAMAGRNIYMRFSCSTGDAMGMNMISKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWIEGRGKSVVCEAVIKEEIVRKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVSAIFIATGQDPAQNVESSQCITMLEAINDGRDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGTNARILATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSRDMSKAASLSLTP >cds.KYUSt_chr2.50856 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318033051:318035402:1 gene:KYUSg_chr2.50856 transcript:KYUSt_chr2.50856 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCGAVCILLAVVFAAAAAAAEETERQSSYIVHVAHKHAPLLPGRGGLVATGAYGSFLRDHIPVHMSVPAPRVLYSYSHAATGFAARLTGRQAARLASQRSVLAVVPDATLQLHTTLTPSFLGLSASSGLLPASNGATDVVIGVMDSGVYPIDRDSFAADPSLPPLPHGKFRGSCVSAPSFNASAYCNGKLVGAKAFYEGYELQLGRLINETEESRSPLDTNGHGTHTASTAAGSAVADAALYGYAKGKAVGMAPGSRIASYKVCWKYGCMTSDVLAAFDEAIADGVDVISISLGSTGSAESFDMDSIAVGAFSAVRKGILVSASAGNSGPGESTARNVAPWLLTVGASTVNRRFAADVVLGNGDTFPGSSLYAGPPLGATKVPLIYGRTVGSKTCEAGKLNASLVAGKIVLCDPGVNFKQGDAVKLAGGVGAIFTSAKEMGEQAFGSPQILPATAVTFAAAKKIQKYISKNTSPMATIVFQGTVIGGPTPPSPRMASFSSRGPNVLAPEILKPDITAPGVEILAAWTGASSPSGLEWDTRRVQYNIVSGTSMSCPHVSGIAALLRQARPEWSPAAIKSALMTTAYNLDSTGGLIGDTSTGKASTPFGRGAGHVDPNRAVDPGLVYDAGAEDYITFLCALGYTDDQVAIFTRDGPATNCSAHAGSSVGDHNYPAFAAVFGSIKHKVITQRRVVRNVGGNTKATYNAMVTSPAGVRVTLNPRKLRFSVTKETQEYEITFTRAAGSIKEAYTFGSIVWSDGEHTVTSPITITWPSTSKIAEI >cds.KYUSt_chr5.3669 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23717131:23719263:1 gene:KYUSg_chr5.3669 transcript:KYUSt_chr5.3669 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLKAENDTGRQEVMYVIGKSGVGKTKLVGNMYEQHETKNHFDVQVWVSVAPNLSAPSILKLILYNLEKELYESKYLVVIDGEVSSIEWERILTQLPYGKAGSKVVQITQTRPEASHATWQCIELDDLTMDEATNLFLATLFIEDIDEQYWRHVHQSVREDFQEQIFYITGGLPLALVLLSGLLRTKECPAEWSTVIDNLKVKALRWKRLDTLLSMCFDDLPHDLKSCFMYFAALPVNTLISARSLVCMWVAEGFLGPKDGKGMEKVGEHYLKELIARRLVNLPPAADQASGDERVAIQSKVHAYLLREAQEARFVEVHRGNDISVLSNPRRLSLQGDRDKYVALVNPLPRLRSILSYSEKVDDIREEEEDQQNQACPCLHHSRHTNDSKSKELMVMESAIRQLLQGSEFLRVIKLHGLEIGDKLPSDIGNVVHLQYLGITSCSLELIPPSIGKIAGLQTIDVRDTNVTVLPEEFWKIRELRHVFGFLVLPRKVGHLRHLQTLESIKPPDVHGWERTTLEKMLHLESLYIWKLPARNANALTAVFKLQYLVVLSIQGEIIISYLFTHSNLPRLQEMRLKGVIVQPPNSRKSSSGFYLPNLTRLYLKKTQVTNEFINKLGKLPFLATLALNHDSYVDTDDHLVFISGFQSLKKLVLDVMLKKIEIGDSVFPKLEHLEVFTYSDDICAVIHGNRPHILSLIKQADIRLCDE >cds.KYUSt_chr5.19754 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128230394:128230936:-1 gene:KYUSg_chr5.19754 transcript:KYUSt_chr5.19754 gene_biotype:protein_coding transcript_biotype:protein_coding MANPYTFLRPHIDPFFLPFQRSLIAPPSSSPTKRALPLLSLLPSSSYDHSKILGYAGDHNQHKKEDEDVSISLQIGPPTANSALNYDGDAAATSQDDELCGGGDHKVDKEEEEDNDDDVASDDLCLEFAVGKLTKGKYWIPTPAQILIGPTHFVCPVCCKTFSRYNNLQVNSSTGSHISS >cds.KYUSt_chr7.583 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3258822:3259376:1 gene:KYUSg_chr7.583 transcript:KYUSt_chr7.583 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISTTTTFIPIVLLLCFAPAFLADSVVDERFKGECGVTAYPELCVSTLLRYTGSTKTYTDGKELAELEVLAASRLLSLASTAAGSEHWNDENMSKEDEDCFKECKEKLHGAVRVLNPYPDKMKLADVRSFLDEAKAKNLEWNCDACRHGDGKKRVDEISKGNKAEKFMEILPLLLHKTLDNK >cds.KYUSt_chr7.37633 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234747700:234748011:-1 gene:KYUSg_chr7.37633 transcript:KYUSt_chr7.37633 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLTAVLAVLAVCAATTPATAYPPESCGTQNSYFINCLRRGFGERCCAMVETPRCFCQVEREAEIHCVPGRSCPSRGLAKVVKVAEMHLRCMKNLKCKRA >cds.KYUSt_chr5.37826 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239016534:239022090:1 gene:KYUSg_chr5.37826 transcript:KYUSt_chr5.37826 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLEFMEGMQRFRLLQIRNGSVASAIRNGSPCHQDDDSHDSKRMIYSGPELPEDIWCHIHSLMLLRDAARAACVSRAFFRSWRSHPHLMFDILNIGCPGIGRGFTSRVDPILKNHSGNGLKTLKLDFTDSYDANASSCIDSWLRIVITAGIEELSLKMFSRKGEYNFPCLLLSDESESSIRYLRLVNCTFHPTVRLGRLRSLTTLHLCDVRITGDELGCLLSSCIVLQRLELRKCSEITCLKLPFLLQQLSCLQKLTTTMAPSKFLHLKYMRISLCCTYDYLSLVSFLDAAPSLETFILKVLIPPERVECQSIFGDTSPFRRVPGYRHGKLKRVNIARFYSAKSLVELACHILENATSLECLTLESTAGHYRCGLTGSAKCYPMSNHKEIPKAILAIRTYIEGIAPPTVDLNVVKPCDRCYVI >cds.KYUSt_chr5.22059 pep primary_assembly:MPB_Lper_Kyuss_1697:5:143908529:143913776:1 gene:KYUSg_chr5.22059 transcript:KYUSt_chr5.22059 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGSKSRKLMTTTTPKSTWAPIRDDAFEEQWRRIISISVKQATIEKGARHLMADKDMGMEVEAAPVQVKVADAEVPLFQDTESKATAKEREEAAVFGADNGKVAANATNDLAPPKDAVEDWPEPKQTYTFYFVKIRSFEDPKLRAKLEQADKDFQNKIQARSKIFEAIKAKKTERSAIIAELKPLSAENRQYNEAFNVKLKEIEPFRNRLGKFRDENISMRAESAGLCSSLEELEQEIKRLEHRIAHESIPFDEEKRLIKEIKNLEKTRPKVSSNAAKRAKLQDTVVERDAIQDQVKIIGEGLDGVKKDRQEVRSKIKVLEDELKIVDAEYQALQEDLDAATARKDKAYDSLNELRKARDANNASFYQNRNVLNRAREHSSRSEVEELQELQKTEVEKFMTEWCGSKAFREDYEKRTLTSLNGRQLTRDGRMRNPDEKPIFIETHQPAPPVVQEPIPSKVPSKPAKEAVAPQAGAPKDEPLAKASAKVKAAAVADDVYEAEPAKEKPKPKEVDVAKLKEIKRQEEIEKNKIALERKKKQAEKQAAKAAARAQKEAEKKLKKEEKKIKKKNGETDEPTDSDTKSDEAAETQAEEDLAPVSVTVNKEQKERVRSRSAFTKSKAPLPKAILKRKKAQSYWSWATPAAAMAAVAVLVALLAVLGYYQYYRPASTSN >cds.KYUSt_chr4.876 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4598268:4601174:-1 gene:KYUSg_chr4.876 transcript:KYUSt_chr4.876 gene_biotype:protein_coding transcript_biotype:protein_coding MASINEEDAAAIAMDAKLMVATELGDVKKLKGLLNKEDATAVVVVTAMSKPTSKEDQSQASSIKPMLLALSREGSYAEMDIYLGSTMTQESPARGSNAKGKSAASAARDMEEGVDNQSASFAAQACLKGVTPDGNTALHEVASNGDGHDFLMCVDIICSLDSGLLFAKNHKGDTPLHCGARAGNSNMVSHLLDLAAREGADKKLNLLRAENNLMETALHEAVRNEDGRLLGRHELASPDADRKKKNGGSNKPEEKSIVKLLMGADPELANHPADGISPFYLAILLEKSTIALTLYDMSAGNLSYAGPNGQNALHVALLRDRDIDWILNMQDNDGNTALHLAIHARGFRMFCALLGNRKVNLNLTNNHWETALDLSRSKLPRAMAYGGNSDIKICYALRSVWANQGILRWDMTEEKYSRRVRPEDEGKESDRLKDATQMLTVASVLIAAIAFSAAFALPGGYIADDHTNGGTPTLAGGYIFDAFIMATTLAFICSSLATAGFMFAGTPMVNLVTRRVNFSVSLFFMSSSLTCMSVAFALGVFMMLAPVARGTAVAICLITPAVLLMTNMGNYLKWAILARPLCIRKGLFLAITQIVCCNVRTAFIALWPVIVTFGWAGLARIHQLR >cds.KYUSt_chr1.31355 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190197001:190199468:1 gene:KYUSg_chr1.31355 transcript:KYUSt_chr1.31355 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPTVAPIPLLPAPDGDGDVDVDRQEQLQIVLSSAAVAPAPSKPEPAPTVATHTRTIGIIHPPPDIRVIIEKTATFVAKNGPDFERRIVQLNQGNAKFNFLQPSDPYHAYYQHRIAEIAAQPPATDAGMAAVPDDAQQLPSDPADGSDDKPDHSTPFRVAPPTKVLVPPKAELYTVHLPEGITGEELDIIKLTAQFVARNGKNFMTALAQREATNPQFNFIRPTHSLFTFFTMLSDAYSRVMRPDEGVPALIRDLREGSKDLTTVLERCLNRLEWDRSQEQARQQADDEVELERMQMSMIDWHDFVVVETIEFADDEYEGLPVPLTLEELKRRKRMETLKEDDEPAELAEPAKDDAMEMDDDEMQLVEEGMKAARLQENEGGAQVMVTGDDEPPMRIVKNYKRPEERMPAERDPTKVVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMMAKIKETTLAPDDEIFRNIIGLVRTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGWTATQAMSMGGEEQQFDASNVLGPAPLPQPGMSLPRPPQPLPLINVPRFTPNPMPYHIHPPPHHMQGVPHMMPNMHQPPPPVQQQMIRMTGPMVHMPNSIPPPPGHTTQFMPGPPRFPMPQPPHMQTMPTMVNPIGIPQPPPPLPPQPPAEEQPPLPDEPEPKRPRTDDASLIPAEQFLAQHLGPARISVSVPSLDEGNLQGQVLEIPVQTLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLSLAYYNVGPGVVINLALRELGGRKK >cds.KYUSt_chr7.7740 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46656982:46659943:1 gene:KYUSg_chr7.7740 transcript:KYUSt_chr7.7740 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSMQKSWRKACGAIKDSTTVGLAKVNSSRDDLDVAVVKATSHVERPPKDRHIARIVGARSCADVSYCVQALARRLANTSNWVVALKALVVIHRALRDGSSGAFAEELLGHGRQRGQTLQMSSFKDDSSHLAWDCSAWVRTYALFLEERLECLAVLRYDVEAERLRPPAPAASERAPPKGQSRTRSLGKDDLLEQLPALQQLLFRLVGCQPEGAAFGNYLIQYALALVMKESFKIYCAVNDGIINLVDVFFDMNKLDAIKAQDIYRRTGNLANSLSEFYAICRGLELARNFQFPVLREPPPSFLATMEEYIREAPRTMHAVNKTIEYRQLDFVAEQEEQPTPQAPSEAAFEEPAVEEPLPEQVEEEPHPVAEAAVEPEPTTATFDFLGLHEVSSAAAELEESNALALAIIAPGGRSNASPGAAFDMAGSSGWELALVTAQTASSGNQLTEMKLAGGFDGLLLESLYEDAAWRQQQHQATYTTDPHGDPFAASTSIAPPTDVQMSTMAHQQQEMFGMPFQQPQSYAGATSQSQANPFGDAYSAMLPQAQGNPFQGSLI >cds.KYUSt_contig_824.195 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:1236960:1238723:-1 gene:KYUSg_contig_824.195 transcript:KYUSt_contig_824.195 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEDHISRLTDDLLRLILRLVPAKEGAFTTMLSRRWRPLWTSSGAVNIVARILENDEQHVMESTAFYARRDRFVAGTFKALDAFHKAAILDPVKTLTLRVEGQAGNIIRDYLNRDADWRGRPQVLAKLLSHPAARKLEEIRIAAVDNIDGEPMLFEQIDREADPNSFRVRLYTLSIGSLPSRTIRVLDLTNCGELAPASSELPFPLLVSLRLRHCNVPLEVLQGLIRAAPKLSSIYLEYVLLEEQQSFQEDDDPPQDAVLRLLSCPSARVLVIDRCCIKEEGTFRIYAPLLWRFEYTGVIRNLLLSPPPLDLVQAEVTLVDYRRKLERDPVAARHNFWSIVRGLCHARQLTLHIFHIEEFAVATKADQATLLPVLPNLKRLKLDGVFWPTRTEAATTIGNLLRCCPALVHLRLNLRTHQEMQTVSESDALAFLKRKYEDEFKESISRLERHRTQQAVAARDKEEDFKESTSRFKRRRTLQAVTATDNEDDVYFDDTVPDLAGLSDHTFECLESSLRTVSLQFLYAEKNRFAVYLVKYFIKRGKVLEKIFVDPGNQRFGDRMSIKIEKWVTCLSTRRIKVLPLLRD >cds.KYUSt_chr2.45406 pep primary_assembly:MPB_Lper_Kyuss_1697:2:283146255:283147277:1 gene:KYUSg_chr2.45406 transcript:KYUSt_chr2.45406 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAFLLRPTSATTNPLVQLSTANSSPKSHFLRLHSPRRRLPLPRLSLTPPAAATAGSAPSPSPPSPSPPPPPPLFSNWSPPRAIWRGLSALLLAGQVFHRVLTGRIHRRNLLAQLRRVGPGSAGVSLLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALARELTPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGAQPIDYLVVPRVLACVLALPVLTLISFALGLASSAFLADAVFGVSTSIILESARKALRPWDLISSLIKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVISLVGIFVADFALSCLFFQGGAGDSLKHAMG >cds.KYUSt_chr4.45035 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278888777:278890950:1 gene:KYUSg_chr4.45035 transcript:KYUSt_chr4.45035 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHASSELIAFPPEAAYGPAAESPDIAPLVLSPGAPPMVFDIDSYGASAGGDATEAFLGAWKDACNSSDDPSVLLVPAGKSYLLMPLSFTGPCRATSISVVIQGTVEAPSNRSVWLDGDLPDWWITFEDIDNLRVTGGGTINGNGQEWWINSCKVNKSMRCVPGPTALFFRSCSHLVVDDLEVKDSMQMHVVIAYCWKVLVSRLFVTAPGWSPNTDGIHVSNSREVSIIDSTITTGDDCISIVSGSEFVRATGIFCGPGHGISIGSLGANKSRAHVSDVLVEKATLVGTTNGVRIKTWQGGEGYAERITFRDIRMFNVTNPIIIDQNYCDSKKPCSEQESAVAISNIRYSQIHGTSSSKIAVNFNCSSAVHCDGIVMQDVSLVGKGSYLACSSLNARVIEVGFNSPYCSATM >cds.KYUSt_chr7.35186 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219803285:219806814:1 gene:KYUSg_chr7.35186 transcript:KYUSt_chr7.35186 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAACGSVVPLSGSLSLCGHGCTRPGALDVLPVGCAAKASALREKGQRRRSWRRMIAPAVIWLAKSGDDGVLDVVPSLEASSWELEFGPYVFLVDLSADHMGGRIHKYNSLNEQPDILQPLYSALRPSSSFEEPRYYDTRLSDQQMALLQYQRDNIHYLSEEVLRLQECLSKYHRTVSASTPQVDLAHLLASRDQELRALSAEMNQVHSELHVARGLIAEKDSEIQHIRSNNNQYVEENERLRAILGEWSARAAKLERALEAERVSNIELQKKHAKQRPTNQGEKD >cds.KYUSt_contig_973.431 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:3309346:3335152:-1 gene:KYUSg_contig_973.431 transcript:KYUSt_contig_973.431 gene_biotype:protein_coding transcript_biotype:protein_coding RPVSEMMRYINKFKSDFGGSIISLERVQPSLDHVPHRYLLAEAGDTLFATFIGTNQYKDIIADVNILQGTVFHEDNAQDLADAIDSEQKSDQNGEENIGVSCREKPKQLLKSKPAAHRGFLARAKGIPALELYKLAQRKNRKLVLCGHSLGGAVAALATLAILRVLSSASTTKEANRLQVKCITFSQPPVGNAALRDYVHRRGWQGYFKSYCIPEDVVPRILSPAYFHHYNAQTPEASFVNTANVKCEENKETSTERGEDNNGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVLQKQANIFGKASTQLDSFLQSKVDESEEEPRSLEIQEGSEGIALTPLSDNRGESAEENTRSEKSNTSEVGGSQRWGRVPSLPSYVPFGELYLLVDSSVNTLSDSEYSKMTSMQSVITELRERLQSHSMKSYRARFQKMYDVFMCANAPLFTGIEQLQQFSHLQQLLGLTATDSVELGHIVEPPAIRTATSILPLGWTGLPCGKSAEPLKVDIIGHGLHMCTLFQAQINGSWYSTVIETLPSASSYSSDQPTLQKMRILVGHPLKNPPNYTSEDFMVPVVTGVNLNLDYGFESFFEDNGCCKGLNDFLIYGTSDFVTVCKEVHVRTRRVRLLGLEGAGKTSLVKAMLRQVKKRNNVVLECIHVDLHGEGVSNGLCYLDSATVNLQELPLEVRRFKEELQLGVDDLSRKIDLIIVVHNLAHRIPQYYQSNNCKPEPALSLLLDEAMALGVPWILAITNRFAVSAHEHNTLISSAMEAYKASPDNTKVVNSSPFLMPSSTSSLCPISSSSGDSENKDPLGRSAFHPVNFVLSLLQTKDIVMHVDGVTALRQHLHHVIVSNEEPAFEELARKRLSLELAREKAVSHQAKQKPGKRDGSVTAAAVGASLGAGLGIVMAVIMGAASALRKP >cds.KYUSt_chr3.26393 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164376566:164384411:1 gene:KYUSg_chr3.26393 transcript:KYUSt_chr3.26393 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRGVVRFRRLVLLLVVSLGGACRAREAPLPLPPARIAAGAGSRRAPERHGLSLDFYARSCPAVEQIVGNVTAARLRDHPAAGPAVLRLFYHDCFVEGCDASILIAPTAKAGAAAAARPAAVERDMEENRNLPQYGFDTVEMAKAAVERKCPGVVTCADVLALAARDFVQLAGGPHYEVKKGRKDSKVSLAGKVRGSLPRANSTVDELLRVFAGKGLGAGDLVALSGAHTIGFAHCAHFLGRLYDFRGTRQADPFMDARLVKALRMACPSTGGSARAVVPFDVSTPFQFDHAYYGNLQARLGLLGSDQALFLDARTRPLVLELGADKARFFKAFVASMDRIGSIRVKKGKKGENVLVLFRWKVPYESDFKLKSHQQINLVPSVDPMVEKEERGGKKASAWSAGVRTEGQSSPVRAPGADYFNIPGDDYLIAAPLPNVIFSIKDGYFPYDAKVEASLPQPQNEPKGEEEVEEEHQGPEQEQPSQNDFTTYEDMHTLEGSIESMSNLAINLQDTTADLSSQFTHWSSQWNFRNYPPPAR >cds.KYUSt_contig_1253.362 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2330475:2331044:-1 gene:KYUSg_contig_1253.362 transcript:KYUSt_contig_1253.362 gene_biotype:protein_coding transcript_biotype:protein_coding MHPCDIKRAECNPRHRSCKSRPPVLQTAVARAANAGHRCCIIPSPELQPPVAVASKAGRCCCNLPSQELQPTIAEASKAGRRCCNLSSPELQRPSAAAATPVAGAAKVGHRCCNLQSPELQRPSAAAATPVVGAAKAGHRCCNLPLSELQRPGDGAAGGAGGALPSVRGGAARRMSNAARRGGGAFNQS >cds.KYUSt_chr2.38596 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239258843:239261766:1 gene:KYUSg_chr2.38596 transcript:KYUSt_chr2.38596 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGATLEETPTWIVASVCSIIVFISIIFERSLHYLGKALEHRRETLYEALIKLKEELMLLGFISLMLVVSQDLIQKICIDESLMGHWLPCLPATSVTTAHYGVSASSSSSLGIGARRLLKGEPAALGHCSTRQGKVPLLSLHTLEQIHIFIFVLAITHVALSAFTVLLGLLQMRKWKQWEKSIQVDGDSAAAPKMIHRVQQYKFIQDRYKGYGKTTMVILWIRSFFKQFYGSVTKDDYVAMRLGFLMEHFRGNRKFDFYDYMIKALEKDYKRVVGIKWYYWIFVMIFLLINVTGWHSYFWISLVPLAMLLLIGMKLEHIITQMAYEVASKHATVDDGGIAVDPSDDLFWFHNPRILLILIHFILFQNAFEFAYFFWTLATFGFNSCIMDRLGYNVSRIAICVVVQVLCSYSTLPLYAIVSHMGSSFKSAVFADDVVGHLRDWAGGARMRRRGDEPGCLGAGAAATASSREEIRPSRD >cds.KYUSt_chr6.5476 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32639763:32644613:1 gene:KYUSg_chr6.5476 transcript:KYUSt_chr6.5476 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNANPALAQRDGNHDDGKDQKNSGENLGQNSDKSVRFRRHEEVVGCSRKRKEKGEGLTRNTLDGHGKDGAGTASVGVEEEEVEGEASGLRYWSESNEEMLGNANPALAQRDGNHDDGKDQKNSGENLGQNSDKSVRFRRHEEVVGCSRKRKEKGEGLTRNTLMVTERTEQVRGGRKPRRCVAGEAENDDLGVDFVADWRRTRVEELQRDEEKLVVALVQRKVVGHDGRR >cds.KYUSt_chr1.5565 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34311662:34313695:1 gene:KYUSg_chr1.5565 transcript:KYUSt_chr1.5565 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRTRSRASTRSRNSSRGRSRDGVPIIGSASTTDAELAALRAQQRAERDARSVGRRLRTYQTESSAAITMLTSQMENMAGMMARMQSSIDRLAPSEGTTNTDTGQQRELVTPPPRSSTQLSPIPEVPTPQATPAPQNPPPFPPNLGRLTPAQLPVPPRTEAVRQKSVSEPGAQTTEGLAAQTTFVQRTNPSTQPQYYQPPNPSASTSHAQTLPQNPSTTQLQHTINEPPYTQPTHHYHPPHNHQITNYTTHHPQFHNQHHPTPPTQPNIQNRFPTPPTSVPHHTYSHHHNTEIQLRTPHVEIPTFHGESPRAWLLECEDIFDLVDIPTESRVKWALAHIRGQAKTWISSSGVDLHSINWQELSQVLIERFPDNVANDPMDQLQLLKQTSTVNAYIDQYELWMTQMKRERSYLPQDFFVDRFVSGLKDSIKHLVQCQKPDSLLKAYWYARKYEQAYLVNVKKAAPVVPVPRAYPPQRDNRNRPPPQNRAPRECWHCHGRFFLGHKCPQMQQAINMIEFQGYTEEEEHLDMLLCDPLPPEIEQLPLPAAPILAPQQVAPPVLQLPQAAAPPAAPADEAVMAISSAAYNGCPSDSTISLLLHINKAQAIALADTGSTSTFMDLSFAKKHKIRMTPSTERTVKVAGGGILSSTAIAHNCRFTIQGHEFVTDFRIFGAARI >cds.KYUSt_chr1.28715 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173607058:173607630:1 gene:KYUSg_chr1.28715 transcript:KYUSt_chr1.28715 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEMVGTCPSAGQSGHHFVDLFPSSNTPSPALLSLRGGADRTKAGDRVAAAPTRVRWKAVDLAEEQRVLGLEELHFPGTVVTAGSVLASPPPPPAPESWRTTTEVSALDSYARRPPRVRDGRPVEALELAVVRVLDQHGGRIRHLAVRHFRSRAPIWLSICCPWRRVAEEASRDGLSSTRYTGTRRQLD >cds.KYUSt_chr4.22930 pep primary_assembly:MPB_Lper_Kyuss_1697:4:144264838:144271896:1 gene:KYUSg_chr4.22930 transcript:KYUSt_chr4.22930 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSFFSWLVCKYPNIVSSAYESDDDDDEEEGDDTAKEENNSADKEDEHDGKEEDGEKSSATGSSEERIIFDNLYLDMNDIIHTCSSPKNRKMLSVGSRAEVLLLLLLIWVVLWGAFPPHNGAAPSILGEKEGSFFGGGSGCSYFDSSPSDDEDWCSPMVVLPGVSPPMLIKRMYEGLDHDAVFLVAAFLWCELPEVEAKLLTDIFKAQGKEVEPRETYEFQDPTVIMPGTKFMETISGALEYYIRRRISTDPWWKDIKVILSDANVPGEGEDKIMSFIRAQQSMESYDPNTRHCIYGHDADLIMLALASHEVHISILRKKPHSTGASPKGRPPNEPHQFLNVWVLREYLELEMAIEDKHAAYLDVSRLEKFLQALSLSEEKIFLKRFELRQGIAKLPFIDEKLLLSATKTVEKDLTVDEMVRNTIRQERIFLRNSNNLANAAAFVALSDDSQEKLPISTRSAVFFNPEAVKPIPRLLDNVILPDKTVTESDIPKRPLWYTYPGARLPLVTRKPDNLWKASSPAMPKEEVKNGGTGWLGRGRGSVAAVAIAAETQQIGRSSCGREKGDAETLPQRSGGGGYGRGSHGVAATQSRGGRFDGGDGGAYSSRPGGAWAGGGSAVQREPTAWRPAGAWARGGGRGGGGGRSGQPRAW >cds.KYUSt_chr4.39371 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242913386:242915666:-1 gene:KYUSg_chr4.39371 transcript:KYUSt_chr4.39371 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTRGKCIGKGAFGTVHLAVDRATGRAFAVKSVDTKGGAPAAAMACLESEIRILKHLSSPFVVAYLGDDATAASRNLHMELVPGGTAADAAAAGGIGERATRCVVRPVVAALRYLHDVAGVVHGDVKGRNVLLGGNDGAKLADFGAARLVSEGAPRGPRGTPAWMAPEVARGGASTPASDVWSLGCTAVELLTGKRPWSEIGGALEVGELLLRVGFGGKRPELPSCLSDPCRDFVDRCLRRDAGERWTCEQLLRHPFLATDAHDDAGVPEPSPRAVLDWAAAEDSDSDASSGCSEVDMEDEHEVMARAKGRIAELASDSTRTSWARELDECPTWASDAWAPLPSLEMSTNVRSPSDAATVADAGNGGARGPAVSSGSRDGVLVTAGGDGGVNGRASCDDPRWDRTSVAHDEADRVSFPT >cds.KYUSt_chr3.14844 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90249271:90252755:-1 gene:KYUSg_chr3.14844 transcript:KYUSt_chr3.14844 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQITHDDDGFQETDRSQGNGSNGEVTTADIVSRVELEVSFGSEKLLNLQMLLMEVAHRAYDIEALMLDPDSLSTESLKKAFEFDALYGILDSEVSELEKLVGSIQTDIGSVEKEVNEEESEGRLKGKLHAATKALVEMKELISTIRRESENFDKVMDPSHQKSGTGEGGAYENGHASSPTTMQAEDQRNVLHMLEQSIANELDLEKKLSDSGAVVEELKMKLHHVEQDSYFLEESVEAISERTFAAENASELFLGISKELTDRISTMQSELSASGRREDDLKSKLEQSLVQLNALEGSSEMAQDISEKDASKEAMQSQRSSTPELFTLQHKLQKLEEWPSGGANEKMQNMSVSEISTFENIINDIKDTISKAESRAQNAEARCVELTQANVQLNGELNSLKSHGSNRADLLEQKLMESDAQLEHARASLEAISEHQGMLRSSISDMEHMIQDLKEKYSKAETRAESAESKCTLLTDTNLELSEELSFLRGRVESLENSLRHANRQKLSTAKDIGIKTKTISDLVAKLALERERLHLQIVALTKKNRMLAQKCKENASEGILLSKSIAANEGELTNVTEEVLLTSSPMQTQVKTAADNLGGNEAVIAALLEDESGTLETVRSIQPTQLNWKYIFAALFVLLAATLVHLLTQSVVPGLE >cds.KYUSt_chr6.29502 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186981933:186982833:-1 gene:KYUSg_chr6.29502 transcript:KYUSt_chr6.29502 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTYGALKSNAPEMVELPLDYEASSLQEEQCNGQGHASIVENADFMPVLEGADGGSVQNTNIISDQGNAEHGSPRSGDGEDSKVQTTSSDVGDDPVGPLP >cds.KYUSt_chr5.42307 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266689709:266690860:-1 gene:KYUSg_chr5.42307 transcript:KYUSt_chr5.42307 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRFSVQALLWLASAWALLVAGGASATWCIARSGAPTKTLVAGLNYACSPAGGADCAPIQAGSGLCFLPNTLAAHASYAYNSAFQRSGAAPGACDFAGTATITITDPSYGSCTYPASPRYIPEYYLITSAQLLEQLNQKNDCDSMLNF >cds.KYUSt_chr6.1889 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11306804:11311113:1 gene:KYUSg_chr6.1889 transcript:KYUSt_chr6.1889 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLPTLAARPLRPPPHLALPPLSSSWNCRTKRTLLQPAPFAAGADTPRGRGGPLPEPEQRDQLLLAALHAARLRDEESRRPDPLFIDPYAAVLLSLDVAHQASEPLALHLTPCADHYRLTTRYVDDKLQNLITSSDNFRQIVLLTDGMDTRPYRLSWPKLSVVYDVSPGRVFSAAAQQLRGAGAKIPRNCVLLHTPLESPNLQEGLCKNGFNGNRPSLWVLQGLPLFTSSSLENLLLVISNLAMKGSILVGELTHFPDWTASADMVSEKDRLENLFFTQGFQVSFVHYENVAKDLGLGLPPPRKQCGRVLLVAEQLRFSDAQMESFRTHFERTEEDADEEGFEEL >cds.KYUSt_chr2.46892 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293220580:293222446:-1 gene:KYUSg_chr2.46892 transcript:KYUSt_chr2.46892 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKIHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYSCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRYEEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGQLVTFGPTGLTTEVKSVEMHHESMLEAGPGDNVGFNVKNVAVKDIKRGYVASNAKDDPAKEAASFVAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEIQTKVDRRSGKEIEAFPKFLKNGDAGFVKMIPTKPMVVETFAQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >cds.KYUSt_chr2.43865 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273063030:273065572:-1 gene:KYUSg_chr2.43865 transcript:KYUSt_chr2.43865 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKPFGDSVFAGHAAAGAAAISASTVSVHPLDTVKSLLQASAPLPRPQIPPLMFCASLSLSGFDLFLRVLQLSATGPKQKMGLRQAVDRLMAVSGPAGLYSGLGWSIFGKLPGVGARFGAYELLTAFYKDGREDNHVYYSEAMLAGITAGAVEAFLSTPFELLKLRSQVGSAIPLKNTANVVQDSFPLLSKLLPGYVPDIRVWNGSVSLLSNLSPKHPDMMGALKQHPWMLTGSGKPPLPSDVQVPARVIALEGWGALWRGLRPAIARDCVFSGMFFSSWQFIHTAMLTWKSVNMNPEPRNLDEAGPVHPLASSLAAGFSGVVAAAASHTFDTAKSRSQCTVIPKYIAMERRFHKWRAPGIWIERMTGISPADRNVLFRGIGLRMARSGIASFVLVGSYYFAINQLL >cds.KYUSt_chr7.23620 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147250816:147253474:1 gene:KYUSg_chr7.23620 transcript:KYUSt_chr7.23620 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRLLRLCNLQAVMPVRRPFVSIDTASTACCSPSTVVPRGEAGGCAQELKIDAGAEEFKWDDVKVDKHRENYLGHSVKAPVGRWQKGKDLLWYTRDKKSDEEDAMKEEIRRVKEEEEQAMREALGLAPKRSSRPKGNRLDRHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKGPQTEEEPSSPGPSETKPEQIEFAPAAKQEDSEDDRKGKRRRDDERRGDREKERKHEKHSGGKERRRDKHDSRHDSEDRERRRRKDKQKRRHDSD >cds.KYUSt_chr1.22621 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133779673:133781848:1 gene:KYUSg_chr1.22621 transcript:KYUSt_chr1.22621 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPEIFELSNGRITAKIASWGATIISLLVPDAQGDLADVVLGFDTLEPYLQQTYAVHLTSNLHEQRQGSLDIAKYCARIKTIVDALHGVD >cds.KYUSt_chr6.3108 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18185394:18195149:-1 gene:KYUSg_chr6.3108 transcript:KYUSt_chr6.3108 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTLANIRKKLKRQKKGKEEGDGVAAVAGEGDEGGEVLAAQQEDLHGGDDDMGEGVADENGNLEGHVNVVLGLKYSGDLGLEDSLSVLFRKPGRKPRQQVSSKEEEDVEVADSHKEEEGVEVANSHKKEDQGVEVADSHAEEVLDTRSGLALDTPAVGKKRRRRRTKEEMRIAAENSATAMVSDRLLRRSKAKPKASHSDKPSKVGTNEASGELASESPPDSEKKSLEKEEAADDGLCCVSLGETLLQDVETSRVVEDGSRNSSDGASHPVEVSARDSNFPGLNPCSAGPHAEVASQSAVNASADGISDAQTCSETLADDRNADADCSQDEPPVPAIRRKTGPKSKEGPKKPVRRKELPVAGTIDEPVEIVESDVSSKQRRKNKILLARSSTLQSNSILANEASRTGDLKYGNIIPNAAVTAEENLDQPAAFGVRGSCVAKISLPSCNMAASAKEIDIVDVAAPSDLEGTQNAPKVKRVTRSAKKRKYGDMAYEGDLDWETLMQEQGLFSNPSAGFADKAVKSKDKIKALEVSENGRVAAVSAGLMAKAVSPIEKIKFKDVLKRKGGLQDYLECRNMILSCWNKDVKHLLDLADCGLSNVPLKDDDLPRQTLIRDVYFFLDQNGYINTGIASAKVMKGHGTPCSEVVEIAKLNESREMKPVSFQGGIDSVSSQNKGHDNGTFGPLTEESKENNVPDTPCDAQALIPPLQSKVQIFEENNLDVSTEGRDASLPSIHISSVGNTEGPILHQPEATIVEHSPNNCELNHRAESVGSCKRIIIVGAGPAGLTAARHLRRQGFAVTVLEARDRIGGRVFTDRTSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLNSACPLYDVVTGNKVPDDLDDDMQSEYNGLVDEMEQLFAQNGESAMGLSLEDGLEYALRKKRGAHAVSSVGQDNQLLSRSNAGGLDISGSASTEKEIAGCGRDDKIDVLSPIERRVMNWHFAHLEYGCAAMLNSVSLPYWNQDDVYGGFGGPHCMIKGGYGAVLESLAEGVDIQLNHVVTEIIYRSEESAARGIDGKTVKVSTSNGGEFAGDAVLITVPLGCLKANAIKFSPSLPDWKISSIDKLGFGVLNKIVLEFPEVFWDDDMDYFGATAEETDLRGQCFMFWNLKKTLGAPVLIALLVGKAAIDGQSISSDVHVSNAMVVLRKLFTDAAIPDPVASVVTNWGLDPYSRGAYSYVAVGASGQDYDILGRPVANCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVHSGKDYVAEVEALHTDQTQSDSERNEMRDMSNKLEAHELSTALCKNSSDASYAVVSKESLLQEMFFSAQTTSGRLHLAKELLKLPPNALKSFAGSKEGLTKLNSWILDSLGKNATQLLRHCVRLLLLVSTDLLAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSMWIEVFRKEKASNGGLKMLRRMPSVESNKTRSKGLQSGMPTSHLSNEAGARRVRSAGSHSPHKTSKKPDNKALKLESIMATRSDGSSIRSQKQHHDVEPKVDHGMVMSEEEAAAFAAAEAARAAAIAAAQAYASADAAISVPRELPKIPSFRTFARRDHHLDESDTRKKVISDNLGRLECISEIDSRNGKTKNSSAGQADCPDVDSSKMTIDNCTQRSFSNEKTCPISIRDNSVDSGAVDSRFTRAWVDTDTICIDGVKDPLAIERWQAQAMEADKEFYSRICIPEEDSSSQKQTCKSSASQVAESKPASEGQLRGVEHIKQGLINFIGTLLMPLYKSKKIDRETYKTMMRKAVTKIMDACTEGEKLMTSNEFLDFRRKTKNFTPTPATPLQDLLDFVAGHQEMASHCDLFPIWLCLTPTLAIPLQDNILCCLPTVAGASSKRWLPNLAGLFSVNYEEDTKVHLFPVVNGKSSLAVEF >cds.KYUSt_chr2.7857 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49163174:49169597:1 gene:KYUSg_chr2.7857 transcript:KYUSt_chr2.7857 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTDEDPKRAAGSGSGGGGGSSGSGGDGHARRRFDDKALVARTSLILWHTHQNDAAAVRKLLEEDGALVNARDYDSRTPLHVAALHGWQEVAECLIANGADVNALDRWQNTPLADAEGAKRHAMIDLLKKHGGLTYGKTGSHFEAKSIPPPLTNKADWEINPLELDFTKAVMIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLVTEFLRGGDLHQYLKEKGSLPPLTAINFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNTAANHLKVGDFGLSKIIKSQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDIFSFAMILYEMLEGDPPFSNYEPYEAAKYVSDGHRPAFRSKGHTAELKEYQSETIFLRDTQEAGEDQGKLIIPRSPLAFILTIVVLILVTNNLNYRCREWFFMGDTFLESLFAFRDLGDAHHLDTPVWKDIILNLDAFRSVSKVAVGNGCATAFWLDLWVGDSPLRDRFPNLCSHSTRPNMAVVSAMSPDFCNTLGPRLSLAAEAELRDLANVLSSVDLRHDTPDTCACRLTNSKLSNKSFYFNSFRHLQIDDVACKVWKSAAPLRCKIFCWLARKKRLPTNERRFRHHLCASVGCPSCSQDEDVAHLLFRCPQALQVWKFFYPDFDEQGPSSLTEFWSSCCPSYVTTTITTAIAWSIWKRRNGRVFHGIVEDLALVTQRCIEDIRLWAVNKVVQALL >cds.KYUSt_chr4.41316 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255533413:255536419:-1 gene:KYUSg_chr4.41316 transcript:KYUSt_chr4.41316 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGMAAAATVDGAAAVAPRRRYSTQQQPQPQPQQQQLATPLHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATMRNTTIWREASRIVYEEGPRAFWKGNLVTIAHRLPYSSISFYAYEKYKYWLQMLPGLDKNSGLGADVGVRMLGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALFAICRDEGPRGLYKGLGATLLGVGPSIAISFSVYETLRSHWLLERPCDSPVLISLACGSLSGIASSTFTFPLDLVRRRKQLEGAGGRANVYKTGLFGTFTHIVRTEGYKGLYRGILPEYCKVVPSVGLIFMTYETLKSMFTGGASDE >cds.KYUSt_chr2.28160 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172781762:172784368:-1 gene:KYUSg_chr2.28160 transcript:KYUSt_chr2.28160 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEERLRQEKVKKFEDFVDRRLKPDLVNAIAQRDNLFQQQKTFLDLKRNIENLEKNGVTSMRSMVNIGSEVYMQAEVPDTRHIFVDIGLGFHVEFTWQEALQFISVREARLASQIDEYTHLIAGIKAQIKMVCEGIRELLQIPAE >cds.KYUSt_chr7.26139 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163201957:163207005:1 gene:KYUSg_chr7.26139 transcript:KYUSt_chr7.26139 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERAADEEEKAPKSPRPTVGGKSLASMGLQGVVVAPLSDSSSSSSDSDDDSGRCHHLTLDSDAVEMAVLDLSISEVPPTCHEPRCGVTWKGAGYDKEGMTQCLECHYIGCTRGLDREDPRGHALAHAASSKHYVAQWYDVPNLGFCFKCVRVMRLSDSPALNQEECSEEDRKIKEQRAMVASKTLPAGKSKDDWGTTASSPRVDWPTAASTPSPRVDWPTAASTPSPRVDWPTVASTPMVDWSTVASSSKVDLGNVARNILDQWGMGAGNDMAESAIGNGYIIRGMPNYGNTCYMNASLQCLLALGKLRTIILGPDASDARLGTMGLELKWLFQETSSVNNARRMLDPQTILGCMQSLYQDRFEVGKMEDSHEFLTLFHTGLDNEVEELNRSHVLEGGGVFPTFGHSIFSSQLIQTISYSTEVKDVVQSHMQTQKNDVPQEIIEVPVDLDFIPKLFDDFEEMEESEADSHNRENKENARGSDIVHDEAKYIDTLGSIEDCLTLFSHSLTNCDNCSKVAELLETNASKSVEPIMASTSVNTSVHGDQTLADSHQEGILSDDTTTEKITSGTSCDEKDLASCSTANEKAESREGVQKAAPICLTTDQQTDLLSALHIQDTRTQKQDSGKHVLDDHSAQRVEATQNEQTDGNGRSIQTQLISKLPPVLTIQLKKYAPDLSKLRGHVSFKEILHLGPFMDPSSEDKDNSSYRLVGVIVHHGNRRNDGHYIAYVRGSGSSWFWASDTNIREVSLEEVLRCEAYILFYERMND >cds.KYUSt_chr2.35376 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218345541:218349195:-1 gene:KYUSg_chr2.35376 transcript:KYUSt_chr2.35376 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQTLTADAAVMVKQAVSLARRRGNGQVTPLHVASAMLQAPPPSGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAGASPLFGHQHHGQGYYPPSLSNALVAAFKRAQAHQRRGSVETQQQQPVLAVKIELEQLVISILDDPSVSRVMREAGFSSPHVKANVEQAVSSMEGNNPCTIAPAADAHGRNPKPSAAASSLPMPPHQEAKARKLPLDQVREEDVAAILDCLATQSKRRVMVVAESASAAEAAARTAVDKIKRGEARQEAMRGAQVVSLKMSMFRDLPRVEAERRLAELQCVVKAAGGAVVLVVEDLMWAAEFWVGRMEAGRRLASGCYYYCGVEHAVAEVRALACHGDGVRLVGYGTYQAYMRCRTGHPSLEGLWGIHTLAVPAGSLALSLNCVDVDSEVALNHRRSMKAECDVSGNGSTLPACLSLLDGGGSGQLTAASTCCADRTATEAGPVKELHRSIVPSSSSIPPWLQHCRDQEPSHFKNWSSTCGGSPSIRTTLNKNFSTVLSPSSSVSSHEQYYRQQLYQPWLVAEAHGPKHPGRAQYGGYAADGVRLLSAAAKSRDSSASNSSVEVECRSRFKELSAENLKVLCAALEKEVPLQKDIVPEIASTVLRCRSGTAKRRNVDGRGAKEETWMLFLGGDTDGKARVARELASLVFGSRRSFIFIDVNTLSPARSDSTEQHRAAKRPRSAASRGYLDRLFEAVRDNPHRVILMDGVDQVDRRCQMGIKEAVESGVVRSHVGDEVSLGDAIVVLSCESFGSRSRACSPPPTNNAMRQEDSTSGHRQNEAAATPSTGPCFDLNMSVDDVDKFEEQECCFGDAGLLKAVDRALFFRPPANL >cds.KYUSt_chr5.22285 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145453907:145454862:1 gene:KYUSg_chr5.22285 transcript:KYUSt_chr5.22285 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAMGMERLHDAVNAPAFTLPGVMISDTAANKLDAYMSSSPYPVVSFAFACETVTGENRAPMVAGFSSRGPSVVAPEILKPDVVAPGVNILAAWSGAVPPSGSKKIIDPRRVEYNILSGTSMATPHVAGAAALIKKVHGDWTPAMVRARPPAARHGGMDPGLVYDAGTQDYVDFLCTIGYTAEQMRQFVPQMSSGCGARTIPGGVANLNYPSFVVGFDRSNGGGVRRLTRTVTKVSAQPETYNVTFAAPEDVKVTVTPETLEFKRENEKRSYTVESASSRKER >cds.KYUSt_chr5.6314 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39065286:39065849:-1 gene:KYUSg_chr5.6314 transcript:KYUSt_chr5.6314 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHVRQCDMKVMKMAMLKHEEIFRQQVHELHRLYRIQTQLMAGDLSTRRQPRRPGNKQPRRILNLQLPPDQYLVGAEDEDDEELELTLAVGGSTGKAPKKRGDAKYSAGGGFASDCSGGTSLSSSSPTSPAEYSWGAAGVALHGYAPLRRPCQRTMAFDLGMAEAMKQHQSPWQLVQCQYPILRMT >cds.KYUSt_chr2.53559 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334113299:334114108:1 gene:KYUSg_chr2.53559 transcript:KYUSt_chr2.53559 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQSQRLNVVPTVTMLGVVKARLIGATRGHALLKKKSDALTVQFRAILKKIVATKESMGEAMRASSFSLAEAKYVAGDGVRHVVLQSVRSASLRVRSHQENVAGVKLPKFSHFVDPAGASGGPSNASPALTGLARGGQQVTACRAAHVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTITYIKGELDELEREDFFRLKKIQGYKRREVERQMIAARQFAEEQLAEDLALKRGISTGAAANILAGGGDKDEDIIF >cds.KYUSt_chr7.27099 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169384574:169384972:-1 gene:KYUSg_chr7.27099 transcript:KYUSt_chr7.27099 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGKEERDIAPEQEWGLTDESALVLTSSPSWTLHGGYAGRRRRRRCGPAGRDGGEWDGAGQGREKSLGRADETRAVRRPPSSPPVALHRLPPAGWPHLLRPGHPLLLASSRVHYLLRGLHGGRVTPLLGG >cds.KYUSt_chr3.18262 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112153638:112160926:1 gene:KYUSg_chr3.18262 transcript:KYUSt_chr3.18262 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGGFSGSAPVCNFIRKPPKNIRKRPAASAGSDDEEGGEDDSGAIAAARSKKPPSTTSKLFFSSANNSSEPRRFQFESSRTIQSSTDNRATATLETETAYDRDARAIRERQLKQAEASVKNDPSASGSSSGDVYKGINGYTDHKAGFRRENTVSGEKAAGAHGPLRAPAYIRISTQIDYQPGICKDYKQTGTVATAMLANSCTTEFGPQHRSGTCGLPFEASKSEDRKMQHITLHKVYDSADDGDQRIVPVGTRSVIGRSNHDTSNFTSNFVSNFIDTIIANPGKPDSIRLLRIYSAQRFLPFKLFRSTRKHGDDLRKHPLQRQRGRNPSIAGIAHFRIDESKRVIVTRPIGWSNRIDVFTRALDSPLGVLHVGRI >cds.KYUSt_chr4.18797 pep primary_assembly:MPB_Lper_Kyuss_1697:4:117896190:117902655:1 gene:KYUSg_chr4.18797 transcript:KYUSt_chr4.18797 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRHGRALRPRDGGGTARENAAAGGTGTGTHSSGGPAVLALEASSGTSKTAMDAPLLPRRSGRIAPAPSQERASAGLGNVTRPRSKRHKNGAAAEGARLGVSTMTKNRALGSLLTESDRSAGSMNGAEEQVSENARNGNASSSLKKRKVTNSRSYIKRFKAVQGANAAGAVAASPDRVGKENTAGGHVADSNISKLCEGSRLIGKNKRHSSDAVSKVSRSLVSGLHETSDTRVNQSSAPFSEEPSTSESRNTGHIEIDNIAKPSMLLGGTTSLVRGVNANYDDTLDTDGVHLESPDLASSQSLVALVGIQAVLSCMSSQQGTDLSAQQNVAPSRYPPAEAGQIGLSGTQVVQVLQPEMQPSILFLDAPPQNTHPDVGSETVCEPDGATGLSEGGTATSHHFGDVRVQFQGKYDGNVAAEPVLQESPTYHADSPVTLHVSTKVEAHICEPSMAAKQSTNPPAQQTSTISRNPPAEVQPFISLPDQPTGAEQAGTLGSIAAQDLQPEMQPSTLTQDVPFERTYLSVMPVIQSPVVLQYVGPSLDPHAGVESAGLVIAHDLQSKVQPSASVPSEQSTCLPGEQRLATSQHRPADAEPVGILGTEASCNLQPEVQPSTSMLNKPEEIEDEHEAEDEPAETEQAGTLGALASQDLQSEKQLLTSTQDVPFEQTYLSGMSVLQSPTVHQIVEPSLDPHAGVEPVGMVTAHDLQPKIQPSDAAKIQLSVSVSAEQSTSIPAQQSLTTSQQPPAEAKPAGIPGTGPACDLQPTVQPSTSVQDELAEAEDEPEVPEYEPEVPEYEPEIEDEPAESERARILGAMPAQDLQPEMQSSTSTQVVPFEQTYLPGMPVVQDLAIHRSVEPSLDPHAGVESMGMVTIQDLQSEILPSATVHAEQSTSLPAQQSLATSQHPPAETKPVRILGLELACDLQPEVQPSTSLHDEPAEPQDESEAEDEPEVPEIEDEPKAEGEPEIEDGAAEAEGAGTLGAIVTQDLQLEMQSSTSKQVVPFERTYLSGMPVLQGSTIHRSVEPSPDPQAGVESAGMVTVHDLQSEILASYLVPMEQSTTLPAQQSLVTSRHLPANILVTEAACGLQPLQGEVQQSTRMHDQPAEEPACIMGDVAAQSLHPETQPSTSMQSAPFERTCLVGMPVVQSPTLHHSLEASLDPHVRAESTHTLGTVTDHDLQSEVQQSASMQDRPAEAEVTVMLGSTAAHDLQPELQSLNTVQDVPVERINSEERRQVGFQPNIVPGPEQPIQIPPVTTLVFNNPILSDEPLTNELDRLMHCNNVLSKDHEHKKTLLLIEYNQEIEKIKKNYDSLLQNEDSTYLQTERELTDLHRKVLLNKSLAENFRGLFPPSPAAQGRSTSPVMEQPFESSSVAQTAASPVILSSAIRPPMHNSPGSYVRPSFVAQPSSSSSWNAQPDSTLPGNLYGTSSSLFVPAPVQYGSYGSAGSQSRALQPQSALPGNLYMAMSHVPPPRLPRGNYRSAGARLRSFPPHLRQLRMPSPYAFHAGQQQLPASNAGNTSLGQYVPVMIGSFASTIPQRGALLNSMDSSSVHQTMLPSASSSLPPHLAYSLTSGRYPQSMVNFLQSSSSNPAFFPAQQSSYQNIALGSTSGLPNAVPGSQHPGAQIAGAYQSGTESASLNAHLPARFGLGSSSSPVSAGTSAVVVCLSDDE >cds.KYUSt_chr2.28355 pep primary_assembly:MPB_Lper_Kyuss_1697:2:173934678:173935171:1 gene:KYUSg_chr2.28355 transcript:KYUSt_chr2.28355 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLQPTAAAVSPSSCCAVWLPIPTFPVRRYRSSISVRCANSDASDISKAKLKVGSPIVIIEEPPMLKTAASVPSLRQNAGRVKPGDVGRIMARKPKDVWAVRLAVGTYLLDGKHFKALEVVDEEGDSSNNQAEDK >cds.KYUSt_chr5.12908 pep primary_assembly:MPB_Lper_Kyuss_1697:5:84199767:84200891:1 gene:KYUSg_chr5.12908 transcript:KYUSt_chr5.12908 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLEPCQPTPLPGTLASTSPAVARMLRRWNFKEGSGLGPRGKGIVAPVQAVLQQNQHTGIGYSQKAAYENGLPDKPPVVQEEWRRRCEDLCRVLALEVECCEKTIAMLRDMMEEDDSSVEAADALAAIMESKKVFKEERTPGMWKATLPSSTRKYIVEKVIKPAMAAEAQEWKPSWDPDCHHWLRPWIPLIGHLPEDLYDTVESKILSRADECDYHDVVSPWKDYMHPTQWNTFTRRHILPMLTRLVRELMLTPPKQIDPSLQTAMLWAPLVPVPDVVSILEEELFFDRYEDSLRHWMQSGGKPPLSEAVAWCTGWKNFFTPELLAQERVLARLDAVMALVDGEAYLGVGSQKSAQALLVDCMHRLVQVAL >cds.KYUSt_chr5.36252 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229140695:229142728:1 gene:KYUSg_chr5.36252 transcript:KYUSt_chr5.36252 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPAPAAGEATPPPEPPPSRVSVRSSSSSSRRRCALSSRFREPASPRRHAWVSLQGRLVGAEEAASAQVAAPGLPKEEAMAWELFSPLHRVLLVATVAAASSRSHAARRIEQLQRSIHFRDEVLQSMQQKLDDLFGEMNSLHQQYVKCDSFISAEKENIELVSSNKVGHEEGAKCCVSSRAETAATPQKTKDFCRTDDVKSDVVDRSSVSLVDHEERRMSDLSDFCWSVVSSVDTHVNGDNQLTSLAAEQELYNIQKECEEKDAIIKELTAAAHTSSNADAKRIAELQDILKRKNMVISKLKKDMSSLKQMVVELTRAKRASSVNLNTVSSELPVMSNNLLYDMNSSSPSSSDSESPVTPRELLNVHLTDGTPGNCESKGSSIVSVRKTSLPVTKSSACNLRPAIPFKETCLNPKVETSSVGRQKQLVSSNGDFKKTRRQSYQDLRNKATKRWM >cds.KYUSt_chr5.31840 pep primary_assembly:MPB_Lper_Kyuss_1697:5:201882128:201882787:1 gene:KYUSg_chr5.31840 transcript:KYUSt_chr5.31840 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTRMPQPTRRTERRGPEPHHSEPPHSLPWKPDDLTKEISHHCPEPLLRHPGATVDQSPTSSLLDARGRPYTRQPTGGTRSCHRQGTAGSPAATRDGIGNAAARSRIGPNRAPHGRRRNRAAAAPVIVCRTTHRTCRVATTPSAIEGSSPHRTSVGEPRASPPGHPHGPSAAASAEPPPRPASPSDPGAQAPDPPPHGPEPPLRLPRAREPPLSPRPA >cds.KYUSt_contig_7373.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001492.1:54202:54648:1 gene:KYUSg_contig_7373.6 transcript:KYUSt_contig_7373.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVYHVQKHLMREMQTSRLTQAHAGTKPKLDVWRNEKATDCHKFYGFSESCTPASEEECNLELTLATGSHSSRNSSRSQKGKQVMKSADSDSGTAVSTTSTESELAQFKEFDTAAARFQSDIKRFTIADEKNRAPWPNQPASLRMAW >cds.KYUSt_chr1.36391 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222024202:222024852:1 gene:KYUSg_chr1.36391 transcript:KYUSt_chr1.36391 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLLLLLVLAAGGGVVQVHGQLDNLGFISIDCGLPENAAGYVDSGTKLRFTSDAGFINSGTNHNMSAEYITPSMERSWHNVRSFAVGARNCYTLRSLVAGLKYLIRATFMYGNYDDLNRAPVFDLHLGVNYWTKVNISDANTPEIYEIIAVVPGESAQVCLVNTGSGTPFISSLSLRPFKDGLYPMH >cds.KYUSt_contig_319.1233 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8206268:8207345:1 gene:KYUSg_contig_319.1233 transcript:KYUSt_contig_319.1233 gene_biotype:protein_coding transcript_biotype:protein_coding MELFERAKTVRLRGHHDKYMYADDDESHVQQDRNAASPNARWTVEPVPHAPGVIRLRSRYGRYLTASNEPFLLGLTGRKVLQTLPHRLDSSVEWVPVRDGKHARLQTRYGNYLRANGGLPPLRNSITHDVTHHRHTGWILWCVEIVQVLPYPHPVESSDSSSSAPSSPPHYKPPSRSPSPSPSPLPTAALRPHLPPHHRTATPFVAQPPPPPPGSLVPPTGLFRLESTDSFSVPVTMHKVEGRLIHYHIGDGNGDVDDDDEGHSFTFNGTSLQELLERLQDETGLNDVIICSRSPINRKLMPLRLQLPPNNNAMHIVLVQESSKGTYY >cds.KYUSt_chr2.4375 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26993738:26999725:1 gene:KYUSg_chr2.4375 transcript:KYUSt_chr2.4375 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLRRLAGASGSPSAAALLLRPALTRPISTGFREERDTFGPIRVPNDKYVLALFISQGLVLIDPFDSHPLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMDYGLDPTIGKAIMQAAEEVAEGKLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGDKFVHPNDHVNRSQSSNDTFPTVMHIAAAVEINSRFIPSLDQLHKSLHSKSDEFKDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRIACTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETDLPFVTAENKFEALAAHDAFVESSGAVNTVSASLMKVANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTIGGSNGHFELNVYKPMIAAGLLRSLRLLGDASVSFEKNCVRGIEANHKRISQLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGTTLKESALSLGVLTEKEFHELVVPEKMIGPSD >cds.KYUSt_chr1.20954 pep primary_assembly:MPB_Lper_Kyuss_1697:1:123787383:123796261:1 gene:KYUSg_chr1.20954 transcript:KYUSt_chr1.20954 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSARSSGGVAHSASNSTGSTGSPSGLAGPSKGAAAAAATTSASVSTPASGSTVARRLNDLEIQGDDAPSSQPTASKKKKKGTRVVGPDKGNRGLRQFSMKVCEKVEGKGRTTYNEVADELVAEFADPNSNIGSPDPDNPNTQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIDKFKTELIGLKGRIDKKSAYLQELQDQYVGLQNLVERNEQLYCSGDAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSFVLKAMGFSGKEETDGTMALVANGVECSGTASIYGHQSPQPARPNGIRLRTSPPIPGILKGRVKHEY >cds.KYUSt_chr3.38183 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240323705:240325309:1 gene:KYUSg_chr3.38183 transcript:KYUSt_chr3.38183 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLTTSSTALLRLIKSLSPAVPGAHLSASGIHCLLLKAGLLHAGAHLPTALLSAYASLGCPAHARSLFDEMPERGLVARTAMARAHAASGQAGQALAVFRGILSDGFVPDNVALAVVLAACRGGAASCTAKRNPGRMVHAFIVVSGIEPDMFVSTELIRVYGERGELAVSRRLFDDMPVRSNVAWNAMVHQYARNKDVEAAYHLFLAMPRRDVVSWNTVIAGYCLAGRCREALGLFRQMVSPSSCRVRPNGPTMSTVLGACAGIGCLETGIWVHAYVDKNQMNDDGALDRCLIDMYAKCGSIDKALQVFEKAPGKRDLFSWTTVICGLAMHGRAADALQMFNMMQDGGICPDDVTLVGVLNACAHGGLVDQGLGYFYSMQEKYGITPKVEHYGCMVDLLGRVGRLPEAYTMIKTMPMKPNMVIWGAFLSACKVHSCVELGEIAAAEVTRLDPEDPWARVMMSSMYAKAQDWSGLARERREMNSLLMKKTPGCSSVELGGEVHEFVAGGSQHPLHAEICTVLEFVEAQSPTDTG >cds.KYUSt_contig_319.1382 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:9254944:9257855:1 gene:KYUSg_contig_319.1382 transcript:KYUSt_contig_319.1382 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQTPIAFLDRVKDDFTKRYGGGKAATAGASSLNREFGSKLKEHMQYCVDNPEEINKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTQVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFNCSK >cds.KYUSt_chr1.33859 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205797132:205799509:-1 gene:KYUSg_chr1.33859 transcript:KYUSt_chr1.33859 gene_biotype:protein_coding transcript_biotype:protein_coding MLATTFRYPKRVARWRCPNWRCPNSRNKERTLDRGSRDGSRRTPKLTLILSGGNTFYNKDQKENDQYTEGGTLTYSSPATAGEEKGGASGPGSRGEAELQERIEPRGKKVEDGSQAAAEIVDSLLGAGAEPATVGAAVLDQLARTKSAMGRRWDAVADLRRTLELKGRVLDGGCADACRDVAEAYVGVLCFDKALPLCLTALEITVKRFGDGSAEVRQILMVAYTGQRRGAGAEQAS >cds.KYUSt_chr1.37473 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228842617:228844165:-1 gene:KYUSg_chr1.37473 transcript:KYUSt_chr1.37473 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRRRAGEKKDLFHVVHKVPAGDSPYVRAKHLQLVEKDAEASIVWFWKAINSGDRVDSALKDMAVVMKQQDRAEEAIEAIRSFRHLCSRQAQESLDNLLIDLYKKCGKVEEQIELLKQKLKMICLGEAFNGKITKTARSHGKKFQVSIQQEMSRILGNLGWAYMQQNNYEAAELVYRKAQTIVPDANRACNLGLCLIKQGRHEEAMQVLEDVLLRRISGLDDDKAVARAEQLLRELCPTTHVSSPLDIGFSFTEEIVERLDLVMNDWTPFRSRRLPVFEELDAFRDQMAC >cds.KYUSt_scaffold_1854.310 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1690790:1691152:1 gene:KYUSg_scaffold_1854.310 transcript:KYUSt_scaffold_1854.310 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAARELAIVNQVIGATSLRDGHDTCSIDTSSAPAFSSRASYRMLSPALPVDASACIAWGSRLPAKLKIFAYLADIDRLSTRANLFFKNCAPSVNCAACPTEETGRHIFFDCALASSV >cds.KYUSt_chr4.45308 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280539047:280540252:-1 gene:KYUSg_chr4.45308 transcript:KYUSt_chr4.45308 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGQLDDFYRHHQFKPSKEEAVTYFLPRLLAGTPLPHGADSLIRHADVYACEPRDLAAQFAPVPNATSTGDRFFFTTCRRKSGNDARVVRRAGSGTWTIQTTEDVYHEGAKVGEAKHLSFKKGKTTTGWVMKEYRCLRPEAVVADGEMVLCKIHLAQHAPAAARQESDAYKLLPQEPAQPAPAQQSHKRPAPAAASVAAADPPCFKKMRMAASAPEPAASAHIPAPVEMEFEDCPLWFTSAAPVSSPAASMEVPHAAEADGDTGRFSCTMEELLGPQQQQEQTLPVAVEDEDFDWDSLHRESEVHLLLKPWDDDDGWESAAQEEQTPPIEAEKNNIQQVDTHQPAPSASWELPEDLRNLLADHDDQEALLYMGCSYNTVAAACLHAPSLQGFFSFGAVN >cds.KYUSt_chr2.1504 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9004601:9005795:1 gene:KYUSg_chr2.1504 transcript:KYUSt_chr2.1504 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSVACLLLPSNTMAPTSMASSATTVAPFQGLKSTAGLPVGRRSAASLGSISNGGRIRCMQVWPIEGIKKFETLSYLPPLSAEALLKQIDFLIRSKWVPCLEFSKVGFIFREHGSTPGYYDGRYWTMWKLPMFGCTDAAQVLKEVEEVKKEYPDAYVRIIGFDNVRQVQCVSFIAFKPPGCEESGKA >cds.KYUSt_chr5.40505 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255740548:255741811:-1 gene:KYUSg_chr5.40505 transcript:KYUSt_chr5.40505 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLDSLTILEANNVRVRTVLVRARRAFKRFFGNFFPKQKEPEDLLNLADVFNAEKDPALDYRQAATKTGVEVAMVMAMVHGENVDWDKVSSSFAVDDSGKPKILVYFLKSAKKFSKKMIPLIQPASASAKESSEFASGPSRQESMPEESSTSEAADPTTQSSKPSPGTGGTHHFEELQSELRILKDQIVVALSKVKRAAKREDYLLDLIFRASDDLLCVQLNPVTETERIKARMNVHMEISVGTGSDFWTDHKRCFNIVQLQDRVSQVSEFVEFRRSVLAMIYNTMFPRNPEPQGFAELVGKFKHAEDIHYFVKIQLVAGAKLALAWVRVH >cds.KYUSt_chr2.15507 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97650624:97651969:-1 gene:KYUSg_chr2.15507 transcript:KYUSt_chr2.15507 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAQQALADQKRAVRSDVRRALKALSPDQRASEDLAIQSNIMNSSWFKASKRLCAYISCAQLREVDTTKILAEVLSPNSEHDGQAKDIYVPRVEDKNRNMRMFKITTMDDLVKNSMNILEPSPLDASGNAREEVLSASSPVDLLLLPGQAFDRTGRRLGRGGG >cds.KYUSt_contig_319.1554 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:10332350:10342625:1 gene:KYUSg_contig_319.1554 transcript:KYUSt_contig_319.1554 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQGGLDEQIEQLMQCKPLAEPEVRALCEKAKEILMEESNVQPVRSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDSVRSFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQDKDPRVIKPIINPTTITTKEARARNPPPPPCLNPTAEMFLRSLLRRGAAAGGIRAPAARLDPPASLASLLLASRSYAKAKGGGKPAASTSNRGKIRVKDPKGVASEDASASGESSASTGGADELDAEFEMPTDPLPPSYDPALDVGPGGRPLFAFTDTFASFSHRGANAYVDFTKDEWDATLPEGLPAGMMKEFQDTRRCAVMVRESFLDLRDNFRRIVDPAITAKRKETKRQIILDGPRSCGKSIALAMLVHWARTEGWLVFYVPHGRDWTHGGFFYRNTYSDLFDTPVQAGKVLQDFLKYNETRLQQLPCQILEPIPLGEGTGVGMMKGADTVEMPEGSTLYDLIQTGITHSHAAVGVVVRLRKELSLVKDVPVLFAIDQYNSWFTFTEFQEPVTVRSCRSIHAKELAMVNAYRPMLHDDMMVGAFSHSTAVGKLRQELPDVPSDARLMFPRYTADEAETVCHYYMRQKIIRRESFSEEKWKKIYYLSNGNGSEMRWLAAFI >cds.KYUSt_chr3.21761 pep primary_assembly:MPB_Lper_Kyuss_1697:3:133827000:133834033:1 gene:KYUSg_chr3.21761 transcript:KYUSt_chr3.21761 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTGATISAPFGCRALRGGGPLRTPGWGADGRRRRVSSGPGAEQSRSWKVTCVATEKPDEKAAAAAAGLQVDFADEEDYVKGGGGELLYVRMQATKAMDSQSKIASKLSPIASETSVLDLVIIGCGPAGLSLAAESAKKGLTVGLIGPDLPFTNNYGVWEDEFKDLGLESCIEHVWKDTVVYLDRNKPIMIGRAYGRVQRDLLHEELLRRCHEAGVTYLNSKVEQIIESPDGHRIVYCEPDQKILCRLAIVASGAASGKLLEYEVGGPRVCVQTAYGVEVEVEHYPYDPSLMVFMDYRDCFKEKFSNPEEENPTFLYAMAMSSTRIFFEETCLASKDAMPFDLLKKRLMYRLDAMGVRILKVYEEEWSYIPVGGSLPNTDQKNLAFGAAASMVHPATGYSVVRSLSEAPRYASVISDILRNRVHSEQYLPGSSQGPSPSMLAWRTLWPPERKRQRSFFLFGLALIIQLDNEGIQTFFETFFRLPKWMWRGFLGSTLSSVDLMLFALYMFAIAPNKLRMNLDTMLHGSPVSPDEHRLTSPPSLHQPASTIIVAIDRDRNSQLAMKWVVDHLLSGASHIIILHVAAHHPAANHGFAMAETTQDALEAEMKEIFVPFRGFCSRNGVQESEVILEEADVSKAIIDYITANKIQSIALGASNRNAFTKKWKNPDVPSSLMKGAPDYCNIYVVAKGKPVNVRLAKCGVPADDSDFAVGTYSRRSSRGQLPPVMPESVSCSRRSVDRFGPELTTRPPFRERSLPASVTKPYHLSGRIDSTDGSYRNSLRINSHDPSNSSVDPDFGQAVHFSSMDFGENIDALSLSPRDSGSPLSTAQREVEAEMRRLKLELKQTMDMYNAACKEAINAKQRAKEMHLLKMEEARRLEESRQAEEAALALAEMEKVKCRAAMEAAEAAQRLADLEAQRRRNAEVRARREADEKVRALDAIASHDLRYRKYSIDEIELATESFSESLKIGEGGYGPVYSASLDHTPVAIKVLRPDAQQGRKQFHQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMENGSLEDRLFRRGGTPTLPWSQRFRISAEIATALLFLHQTRPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPAVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVVTARPPMGLTHHVEKAIEADTFAQMLDITVKDWPVEDALGFAKLALKCTEMRRRDRPDLGTVILPELNRLRNLGIAYDQARVASGDSSSHGQERTNVSSPTVDGACAWRTAES >cds.KYUSt_chr5.28721 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181937977:181943636:1 gene:KYUSg_chr5.28721 transcript:KYUSt_chr5.28721 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSGALGQDEAVVLQFVTHLQGPAELSFPRLATGRRRPGAGATLPQSSTTANRKGGGEMKKERVAPLSWPPRHDELRHGRPFFPFGVLLVLFSFALLLCLSHYASDVDNVPGLIFDYLDDDSYIPTRMQISYPWMEVTKETCDKANGMAIRSGQFRLKQCYCHWARWLRGGRIGWPLTSGLRHSVVATAMSLNWAWTGCSCMRNVTAWTCGAPPRSLTWRLSGKLAAIRVWHWLKCEHSASSYNSRLRCGRFGWPLASGPRRSVVATAMLLSWLCEEGEGLDMMDTTPEPDKEVVRSEPEPGLTRRYLSCLPPQSVQDGTFSRSGVLYRAIASI >cds.KYUSt_chr7.31015 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193170185:193171920:1 gene:KYUSg_chr7.31015 transcript:KYUSt_chr7.31015 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSWPTPRWVQSMKRRIEASSASATAERRGWRSSPWATTVATEACCRVQWEKRAGRRGKVYKDFVGSAIYVAPEVLHRNYGREIDVWSAGVILYILLCGSPPFWAETEKGIFDAILVGKVDFYTSPWPAISGSAKDLIRQMLNRDPKKRITAVQALEHPWLKEGGASHGPMDGAAILKAKQFKETNKLKQLAAKSTAEKISPEEIEGLKQMFRNMDTDKSGKITLEELRIGLTSKISEPEVQKLMEAVDVDKSGNVDYTEFLATMMNKHKASFGSSVFVSFKGGFERCFG >cds.KYUSt_contig_2609.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000410.1:25118:28487:1 gene:KYUSg_contig_2609.6 transcript:KYUSt_contig_2609.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYASLSLLILGSLGKLTARASGLVTSHNPEGNWAHPSLAMLDVCPKSCGNVSINYPFGIGSRCSRGPDFNLTCNNTAQPPKLFLRDGIIEVINFGDSVWNSNLLWASFSHTIPIESGVSVYNLSLKPPGRSFHLDSIVLNIIGCDLEVYSVEENAIQPICATVCPDPEITEMAAVHNCNGLGCCRVVFESYASTYRFKFVHNTKRTSIGSKHTSQLWDKISITTDGLKLSWDIGNQSACVACMSDHSGCGYNYAASDPYTTSRNGYYCFCNNGYTGNPYIPHGCSNQDKGYNPIPSRADCTRQCDTNNGLSLSWGDYLRIAMETAGALSYLHSSASVSIFHRDVKSSNILLDGNYTAKVSDFGASRLVPVDQTHIVTNVQGTFGYLDPEYFHTRQLNEKSDVYSFGVVLVELLLRMKPVFTSESGTIKNLSNYFLQEFKEGRITGIVNSQVLDGATEEEIKGVASLAELCLRLHGEERPTMKQLEMELQTLLTKRVNSCLAYPRNEEQMQPIRLTRRRARAALQSSELGDLRLGDNPQCYSLEKEFMSSASLPR >cds.KYUSt_chr6.2872 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16951314:16952708:1 gene:KYUSg_chr6.2872 transcript:KYUSt_chr6.2872 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAVALLMGTAVYFRIWARQSDDPSFTADDREELRRQFEHANLEAMDESAEWRMKYDTEFGRNRQLEDELLKAKTTLTASAKRLESLQKDNEMLKRQIESMKLQCNCTVPAKIAQE >cds.KYUSt_chr7.27069 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169182213:169186645:1 gene:KYUSg_chr7.27069 transcript:KYUSt_chr7.27069 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQMDNRSQSAGKRARTDGSRREDDWVCPSCRNINFAFRTTCNMRNCDQPRPAEYTTPPHYSVPARYMAPGTPPSMYLGAAPPQYAPSLYNGHAMPRYGIPQLSPGSGYQYGYGARLPMGSPYGPPVHMTGPPQYSAGPMIGGGGMYSISMPIDRYGLGSPGGPGAMVTRAGSYSEEGSQKKSAGAGRDNDWKCPNCNNVNFAFRAVCNMRKCNTPRPDNQGPKPDGSRGSKPKTPEGSWKCDKCNNINYPFRTKCNRPTCGEEKPLQANSPDDLATDQDNQLQLSHDFEDSSVLTKKDLPRHADGLPLPTSSYALRMAALSELRGSFMS >cds.KYUSt_chr5.37716 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238208691:238212033:-1 gene:KYUSg_chr5.37716 transcript:KYUSt_chr5.37716 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCHIPPQCAPAPLARRGTLPTATAAVRCARPPRAVVASAAAAAQVAAAVPTAERRGLAERLRMGSLLDDGLSYKESFVVRSYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRELGLIWVTNRMHIEIYKYPAWGDVVEIETWCQADGRIGTRRDWIIKDLANGEVIGRATSKWVMMNVNTRRLQRVSDEVRDEVFVHCPKTPRLAFPEENNGSLKKIPILTDPAHHSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEAEEESSNGSLFAAPHPEEQRQFLHCLRFAGKGDEINRGRTVWRKLAR >cds.KYUSt_chr2.38262 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236934906:236935961:-1 gene:KYUSg_chr2.38262 transcript:KYUSt_chr2.38262 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSKGPNTTVEQFPLAALRAATDVFSPTHRIGSGSFGAVYRASLPDGREVAIKRAERRDTGASSSAAAAAARRVNHESAFISELSLLSRLNHKNLVRLLGFCADGGEHILVYEFMRNGTLHDHLHKRPAPLSPPLASWPSRLRLALGAARGIEYLHTYAVPPIIHRDIKSSNILLDGSWSAKVSDFGLSLLKNLGTGDNAAGDDAPCVTAGTVGYMDPEYYRLQRLTDKSDVYSFGVLLLELLSGCKVIQRYEGSGTPKNVVEMAVPYIEADRVHRVLDIRLPLPTPGEMEAVAYVGYLAADCVRLPGHDRPSMSEVVGVLERSVAACEEYEDGGEAALSRSCTDGSTTM >cds.KYUSt_chr6.9860 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60714983:60715198:-1 gene:KYUSg_chr6.9860 transcript:KYUSt_chr6.9860 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVWSVMLDGKTLVVDEEALDVVVPGDDAVLEGDHGGVQRGFPPARDGEEGVVQGEAGGAAARYGCGDRA >cds.KYUSt_chr7.19351 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120059862:120063731:-1 gene:KYUSg_chr7.19351 transcript:KYUSt_chr7.19351 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGRRLPPWTSPRGAARWSPCSPAGDLVFGSHVTPPASAGCPSSSSYRVTPPTSGGPVATPLPSGGGGGCSRPPRAPPALDSPYVRAKQAQLIEKDPNKAVPLFWAAINSGERIESALKDMATVLKQANRAEEAIEAIRTFRDRCPNEAQDSLDNILIDLYKKCGRTKEQIEMLTVKLRIVDEDLASGRWKTKLSKSHGRVVYLSLRDEKARLLGNLAWAHMQSENYEEAEMLYRQALAIEADYNKECNLAICLMKIGKVAEAKYLLQSIPCNSNDENHVRSLARATEVLREMESQTLPSPITQMKSKDSRISVATDVENLEYLHPQILSSTQLNYEEAQIPVPADTEKHENCNPQALPSPITQLKRKEPQFMLATEEEKNGQCLEEHQDLSKLFNDAATPQSLLEKLRKRLVEKDRPNVSTENQIQTPISAECLPNSNGGIDASENPMQEGKGFADGARKTWADMVEEDEQQTTVQGRSSKHASEQRGRTPPSSQESSSLKTPVPGVRLQSSSAGSWRRSDSRISTDENMNPKFVRTAPSWKQQKAQDHSNRVSQRLNTIHLSEKAQGTGQTPWRSSAAQRSLFGGHVPFRDSENCQGASHTEATSRWPKNAAASTRPWRPQQNRLRVFRDITDEMNQNVT >cds.KYUSt_chr7.32252 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200908607:200914502:-1 gene:KYUSg_chr7.32252 transcript:KYUSt_chr7.32252 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHCCDRTSRLLQGGVEADLAGAGHQRRRRCRSRAEGPSYRPMAMVLLASREDTRMLCGPFFPGVWKVCVWLSGPCGTRVDCWIRSLIAKDGLQMEPTLNLIHGLISYDKWYSGLPKDMQLEELDVYNEACTTSEASNGHEESGLQDSSNDSIDVDDASFRPCSSESSINNGNIDKKQKIYKKYFPVYSVKENDSVCSDVKEVGCTDFRSVFFSTSDSPTCGLEKSLLPLRLKRAAGTSNDSFDSYWKYKSTPNHFYADAERCLRVALHSSPPVMAALVPLIQILLLGDKLKEALCELEKVCHSSTTALPFRLRGRLVEYFDQNQVSTISSCYEEALRRDPTCSYSVKKLIEMHRKGDCKLLACKAACASHLFGPRFQYVKAVEGYLSKQEAKDEFGLLSRNMENSVKLLHSLEKTT >cds.KYUSt_chr5.11232 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72964719:72965183:1 gene:KYUSg_chr5.11232 transcript:KYUSt_chr5.11232 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNSNATYPFLVLLLAAVAVAAEATPTAYDMLERYDFPRGILPEGVQGYDLGPDGGFQVYFPRECQFLLGKQWLVKYNRRIAGTATADKLAALEGIYVKVLFLWIPVAEVDRDGDRLSFYIGPVSTSFPLGDFADSPHCRGYDAAAVAAAVS >cds.KYUSt_chr4.10892 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66150434:66150880:-1 gene:KYUSg_chr4.10892 transcript:KYUSt_chr4.10892 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKPILLVAVLLSILAATSASVFKDQCVPGRKIPDKLRACHGYVARQVCGSTGGLQTLTTEEMKERCCQELSPIPKYCRCEALRILMDQLETVDVEGGGPLKELSQKCPRQWQRGFAATLAAPEECNLKTIHIDPFCISLGYQAVA >cds.KYUSt_chr2.647 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3988592:3992437:1 gene:KYUSg_chr2.647 transcript:KYUSt_chr2.647 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLTPPHLHHHPPLPRRRATPSAAASLAHPLHLHLQPRLRLTTSRPVPARRAMPPAIRSSLIDPDGGALVDLVAPPARRAALRAEAEALPRLRLAPVDVEWAHVLAEGWASPLRGFMREHQYLQCIHFNSLRLPSGAVVNMSLPIVLAVDDADKDRIGAAPDVALAGPDGDLIAVLRSVEIYPHNKEERIARTWGTTAPGLPYVDEAITSAGNWLIGGDLEVLQPIKYNDGLDHYRLSPQQLRDEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKKMAFFEPSRSQDFLFISGTKMRTFAKTGENPPDGFMCPSGWKVLVDYYNSLQTEEAAATAAAPATV >cds.KYUSt_chr3.2591 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14846062:14846298:1 gene:KYUSg_chr3.2591 transcript:KYUSt_chr3.2591 gene_biotype:protein_coding transcript_biotype:protein_coding MLREILLRLPPQPSSLPHASAVSKHWLGLVTDPRFLRQFYVHHRKPPTLGVFERSHEGIVFIPMLDCRSCPCQRPWVE >cds.KYUSt_chr1.2847 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16923702:16925020:-1 gene:KYUSg_chr1.2847 transcript:KYUSt_chr1.2847 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQEDRALLPTTSPASADGAAPSSSAARLRTAGLVAAWYATNIGVLLLNKFLLSVYGFRYPVFLTACHMSACALLSSLLSAATASKPSSPPRKPLSRGQAARVAVLGAVFCGSVVAGNVSLRYLPVSFNQAVGATTPFFTALIAYAIAARREAGATYAALIPVVAGVVIATGGEPSFHLFGFVMCVGATAGRALKTVLQGILLSSEEEKLNSMDLLRYMAPVAVVLLVPATLIMEPDALSAAAALARADPSFVWMLLLNSSLAYLVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFRNPVTVMGMLGYGVTILGVVLYGEAKKRSK >cds.KYUSt_chr4.37302 pep primary_assembly:MPB_Lper_Kyuss_1697:4:229673973:229678998:1 gene:KYUSg_chr4.37302 transcript:KYUSt_chr4.37302 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVASAGDLAMAASAPFTPGQVSAFLGFIWVLAAWVYAEILYHRKDAASLKTHSDINLSDMANSSNKGEDQAMLLEEGGQVASPKPVYASFASQMLRLFFMDQSLLVEHRLTLRATSELAGHLLYFYICDRTNLFGESEKNYSRDLFLFLYFLLIIVAAITSFKVHQDKSTFTGKSVLYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLGRFAQMMWRLNFFVAFCCIVLNNDYTLYYICPMHTLFTLMVYGAIGILNKYNEIRSVIAMKFVACFLVVILVWEIPGVFEIVWSPLTFLLGYNDPSKPDLPRLHEWQFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEEAETRTKLSIKASIVTVSLTAGYLWYEYIYKLDKITYNKYHPYTSWIPITVYICLRNFTQEFRACSLTLFAWLGKITLETYISQFHIWLRSKVPNGQPKWLLAIVPDYPLLNFMLTTAIYVAVSHRLFELTNTLKIAFVPRDNKRLSYNFATGVAISVALYSVSFVLVGIAGY >cds.KYUSt_chr7.6448 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38960117:38962111:1 gene:KYUSg_chr7.6448 transcript:KYUSt_chr7.6448 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKTVDAYTPEPWPCLGGSQERRRLDAAPPPISNRLARLRHLGTSPPRPPNPRPLPNAPAISLQVDAFPAEPFKGNPVAVYLLEEEGIAAIVDKLVVVVRSTEFNLSETAFLISDSFRAARGSTSDGSLCSLTTLLQVVYIVFWHPIGTESMETGDHAAGLVDNNEMRRKIWTWRLGMRRKNKLVKIRKN >cds.KYUSt_chr4.10206 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61734033:61739529:1 gene:KYUSg_chr4.10206 transcript:KYUSt_chr4.10206 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNNSKNRFSSGGSNGGGGSRPPGRECVIWTEEMNEYLIDALLHQQDVGNRSAEGRFLTAAFENVITGVGERFGVGIDRSNIKNRLKHVKDMFHECENLFDKQSGFNWNPATRRFHADPQLWKEFIERKPEAKKWMTKTIDHYDRLLELFGKDRERPPTFESPKAKTPAKKKARIEPPKEIPQRQHTSSNGLELAIVESSNQVLNESEVPDVTEKNKPEELYLSELCRSENGLVAIPVQANSYGKGFPYAPENWPCPGDVWYWKVGSRSAPGGHWMDRYLTPPPRFRDATGKKTTFTSKLKVEEFIKTEFPDVDPSTFFSMFIWRIPSGGSKIQGGTQEVRGLEPENVLADPAGPCKVRNKSCKLEREGFIESSPAKDCDICCTVPDFCRECCCIFCGRVVDYSFGGYSYIKCEAVVVENYICGHVGHLECALKIFMAGTIGGEIGLDVQYYCRRCDNKTNLMMHVEELLETCQSLKTRDEIEPILNMGLCILRGARQARAKSLEKYMASAMAKMKSGADLAEVWKMEDGDGLPMLSAEESSPPATGVPLLGVEPYPYLIDPQVDNELQRAAENVPVFITGDHNVVSLQFEDEIDHSLKELKRSQEAEFRLAEQKLYSQKDYVLSLYRQLDSERSELADPVPLSDTSRYSVLLSNIMNRLDKVKREEEKLKDMLKVSKGFGKTPANVKEHFGLPAE >cds.KYUSt_chr6.10487 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64834458:64841428:1 gene:KYUSg_chr6.10487 transcript:KYUSt_chr6.10487 gene_biotype:protein_coding transcript_biotype:protein_coding MCNYPRGHVEDTEDDYDIDDLADDMGDMNHEREMMLTDSEDGEYRQSNDKIPDTSAVEATKGKDIQEIPWERFAITREKYRQVRIDLYKNYENIPTSGEAAAKECRQTEKGGMYYEFRQNNKSVKSTILHFQLRNLVWATSKHDVYLLSHYSVRHWSALRGVDTEVINVQGHVVPSENHPGSLLEGVSQVQVSTLAVKDNLLVAGGFQGEVICKHLDREGISFCCRTTLDNDAITNAVDIFDTSSGAVHMMVSSNDSSVRDYDMETFQLCKHVQFDWPVNHTSLSPDGKLAVVVGDNPDGLLIDANSGKTLHSMKGHHDYSFTSSWSPDGRTFATGNQDKTCRIWDVRNLSEAVHVLKGNIGAIRSTRFTSDGRFLSMAEAADFVHIFDVQSNYNKRQELDLFGEISGMSFSPDTDALYVGVWDRTYASLLQFSRLYNN >cds.KYUSt_chr1.1127 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6184924:6188114:1 gene:KYUSg_chr1.1127 transcript:KYUSt_chr1.1127 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSQFPSRVLLPLILLLLAAASDHRTPRAYAATLPITRPGCPDKCGDVLIPFPFGIGSGCFLQGFEVTCNTTFTPPRAFITNREIDDPTAIWPYTGVFQDVLEEVYLAGSDVYSEPSSRKNMSVPLELFSITVADNQARGYGAISSDCSTDTTDHLYKYQGTVFDRDGPNGTFLLSATSNVVVGVGNNAEPLLSQVVGDTTGFWVSCVAKEDGYLMLTTNGSCTGHGCCQAPVPPEAEPRSRSTFSVALYPRNNTRRDVYPCSYGMLVEESWYNFSTPDTGGYETLPKKFPRGVPFVFDFAIRNGTCPAEGQRPPADHACVSDNSYCATATNGQGYVCKCSQNYEGNPYIKNGCQDIDECEDPVKYPCQGTCKNKPGDYDCLCKAGMKGDAKKGNCTEKFPTVAKAVVGAIGGLFLIALLSFLVLLRKEKRKTKEFYAKNGGPTLEKAKIIKIFKRGALKHILKSSNVIGKGGFGEVYKGTVGDEIVAIKKPINGSILENEQFANEVIIQSQVIHRNIVRLIGCCLDVDIPMLVYEFLSRGSLDDILHSNNMGPLNLDERLRIAAESADGLAYMHSKTSTKILHGDVKPANILLDDNLLPKISDFGISRLIPRDKEHAASVIGDMSYMDPVYLQTGLLTEKSDVYSFGVVLLELISRKKATHSDNYKLVNSYLEDHKNGKKSTALFDKDIAEESNLEILDSVAGLAVECLNLDVDKRPAMTEVAHRLLMLYQSRLS >cds.KYUSt_chr3.15275 pep primary_assembly:MPB_Lper_Kyuss_1697:3:93207640:93208981:1 gene:KYUSg_chr3.15275 transcript:KYUSt_chr3.15275 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGIVFRGCQLPPGFRFQPTDQEIIVCYLSKKAASAAAAVTSIIADVDIYKFDPWDLPEKAMFGEGEWFFFSPRDRKYPNGARPNRTAGSGYWKATGTDKPILAAGGTRCLGVKKALVFYQGRSPRGTKTEWVMHEYRLLHADAGAARHRPHDSMRLDDWVLCRVRKKGVAVAPDADGESGAPSQVAAPAAAATGEVHVPSTAYVGFGDDWTDGQLLQYLIGGGSGQVDGGASATGRARSESAPQELASVLETIKRNLSFHAIEDDDVYLLPPSKRANCMRGAGDGEEELSLTTSSLSMFEPDY >cds.KYUSt_chr7.33637 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209812029:209815272:1 gene:KYUSg_chr7.33637 transcript:KYUSt_chr7.33637 gene_biotype:protein_coding transcript_biotype:protein_coding MPAREVEAAVAEFPIGKSTVTPSQQGVHHVQHLRQERQEFQRFRVFVATWNVGGKTPNDGLNLQDFLQVDESSDIYVLGFQEIVPLTAGNVLVLEDNEPAARWLALIHQALNNPQEQLDSDEPPLAASSDPSTADAGRQNRRRDSMATRSSSGNLFFQTPSLKLLSNSYRVDSALVKTCNCSAETSTQRRRAAEARDSVYRAETPSTSTPTGEASSSTAASAWDEDSAGTAAATPAQGEPTDDGGGMSYCLIASKQMVGLFLSVWVKRELVEHVGHLRVDCVGRGIMRWLGNKGCIAMSMTLHHTSLCFVCSHLASGEKEGDEVRRNADVAEILKSAHFPRACKPPSTAHRVLPERIIDHDKMIWLGDLNYRVSLSYDETRTLLEDNDWDALLLKDQLMIEREAGRVFVGWKEGKICFAPTYKYTHNSDAYAGETVKSKKKRRTPAWCDRILWHGDGVEQLQYLRGESRFSDHRPVCGVFAVEVDAGVEGGGKMRTCHSLAARIGCDKTASPQTHGSSVEPSS >cds.KYUSt_chr4.24484 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154171813:154172191:1 gene:KYUSg_chr4.24484 transcript:KYUSt_chr4.24484 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRRAALCFLLALMILHANPTSIAAGDDGKPEVCKYRQPVVPFCKDWSCKAECWIEAKLFLARVQEHRCIKGGLLGVCYCLFCGKHLALD >cds.KYUSt_chr4.44566 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276047330:276048219:-1 gene:KYUSg_chr4.44566 transcript:KYUSt_chr4.44566 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAATSLLARRPRPKPHTAAEKHPHRDAQGQNRTVPDAAMSLVKPDTLSATSRSPLHSRKNTALTPQTEEDRLGSCRRGPPELSPPGPALAPTVERAGRTSAGAGPDRGPPVPDRGPSGPDRGPPGPKPAASPAAAPPPPSAAALGHEPHQPHPSAGTVAAMPRHRRRTEHAAPPSRTAADRSRPAQDHARAGNRLEISPRPRLWPWLPGV >cds.KYUSt_chr5.3554 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23035645:23036109:-1 gene:KYUSg_chr5.3554 transcript:KYUSt_chr5.3554 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIYRTFRNTTIQPARWDKASDRSSLGARETLGHPPLLGRTATPLDAARPHRRKRKAATDHRPRDETSVASPKPEDGHHREPPGRRGAEDPLATTRHDHTAEDARSTTVRVTPAASRIPKHHLAVAGAVKRGVPDPTSSGEHHEPKRELRNTP >cds.KYUSt_chr1.8509 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52266641:52269128:-1 gene:KYUSg_chr1.8509 transcript:KYUSt_chr1.8509 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSDGIFQGENPLNSALPLAILQICVVVVLTRGLAFLLRPLRQPRVIAEIIGGILLGPSALGRSSAFLNTVFPKESLTVLDTLANIGLLFFLFLVGLELDLRAIRRTGATALVIAVAGIALPFILGIGTSFVLEHTVNRGVSTGPFLVFMGVSLSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVVAWILLALAIALSGSGSPLVSVWVLLSGAGFVVASFFLLRPILAWMARRSPEGEPVKEIYICATLSIVLAAGFVTDTIGIHALFGAFIVGIIVPKDGPFAGVLLEKVEDLISGLFLPLYFVSSGLKTNVMAIQGRDSWALLVLVVATACIGKIGGTVLASLVVRVPLREAVTLGVLMNTKGLVELIVLNIGKDRHVLNDETFAILVLMALINTFITTPVVMAIYKPARRGAPYKNRTVLRANPDDELRMLACFHSTRNIPTMINLMESSRGTRRRGITVYAMHLVELSERSSAISMVHKARRNGMPFWNKRRNGDGDGDQLVVAFETYQQLSHVSIRAMTAISDLHTIHEDVVTSAHQKRAALIVLPFHKLHQMDGHMESLGEEYQHINQRVLHHAPCSVAILVDRGLGGAAQVPASDVSYNIAVLFFGGRDDREALAYGMRMVEHPGIELHVLRFLPQSGASGADDDEAFLADFRGNVVTRNESARYEEKTYREKADVVGAIKAAGRCNLFLVGQGAPCVPLADRSTDCPELGPVGSYLALPEFSTVASVLVMKQYDPAAKHYDLVEEVADMAVDVDTPVVRKGNRGE >cds.KYUSt_chr7.22164 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137213080:137215436:1 gene:KYUSg_chr7.22164 transcript:KYUSt_chr7.22164 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHIFSFMPAWEVVRTSMLSRRWRNIWASAPCLDIHYPCGCVDGPNAGQDWYAQFVKHLLLKRSLFEPLDTLRLHWNHDDANTWIEHALRRNARHIELSGDQHRPRLRPKYWIFLYGNLKILHLSHLEMNNNPLSQLCSRCTSLEELELKNVYIYASHIQSTSLKRLTMVRCVNRCGLSVDAPNLVYLRCIRPHNFVPRIVDSCYLLTATIMLDDTCLTSRLAPCRSCANDENPVHGESQSASDDSRPEDSDSEDPGHSESQSASDDSRPEGSDAEVLGHSESQSASDDSRPEGSDSGDPGHSESQSASDDSRPEGSDAENLGHSESQAGPDDNRSADAEDLRDTESQSEPAADSSAVSDAEHPDNNDDDAEIADVYSGDDDDYDDDEIADVYSSEDDCYGTPTRGGHGILRSLSNVITLNLRAHYGQLLLFNEIKNCPEFRNLRTLSLGEWCTDPGFDSLSTMLGKSPNLENLFIHLPLIVLYKAYSNPRERSFTCNNLRVKISYSMSDGRLAHQLETFLCANYGSREKRKVQDEAASSPAK >cds.KYUSt_chr7.37988 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236814184:236816623:1 gene:KYUSg_chr7.37988 transcript:KYUSt_chr7.37988 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQTKVAVQKIVVSLQSVHEILEDILYRASEEKVDRISERPNIDLKDGLRYLELEAHNIHDLAKKVEIKLSECREKERKEKSRMESTISSLTKENQDTRIMLEVAIAEKEAAENSFRALKDDSDQRRSAILQIAEKGLQKVGFGFIMEVISGDSEREEISSCSASAASNERESKQDIDSLASIVGTTLKNLHHEINDLRQALNESRSEVCFSKLKVEELTAGLKEVEREATRWREACALEVEAGKVAIKALNQEVTLLREELRRVKADLHAANSKIHLKEKLAASAMAAQAAADACLKLADSRSAGLQQRIEELTRQIEQEDAHKGAQLD >cds.KYUSt_contig_2793.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000506.1:23762:23992:-1 gene:KYUSg_contig_2793.6 transcript:KYUSt_contig_2793.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGVPCDSHACAAVLTACAEARLLLRGREVHALCAKLGIDAMPYVANTLATLYARCGDNMRNMLGDAAKGRSSY >cds.KYUSt_scaffold_869.256 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1549544:1552486:-1 gene:KYUSg_scaffold_869.256 transcript:KYUSt_scaffold_869.256 gene_biotype:protein_coding transcript_biotype:protein_coding MALAILARRRAAEAVLRRPHAPGAAALSAGRAYAAAAAAGEESDVVVVGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEARTSFAHHGVKVSNLEVDLPAMMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLVDGGSTVIKGKNIIIATGSDVKSLPGITIDEKKIVSSTGALSLSGIPKKMVVIGAGYIGLEMGSVWNRLGSQVTVVEFAPDIVPSMDGEIRKQFQRMLEKQKMKFMLKTKVVGVDTSGDGVKLTLEPAAGGEQSILEADIVLVSAGRNPYTSGLGLEALGVETDKAGRILVDKRFKTNVNGVYAIGDAIPGPMLAHKAEEDGVACVEFLAGKEGHVDYDLVPGVVYTHPEVASVGKTEEQVKASGIAYRVGKFPLLANSRAKAIDDAEGLVKVVADKETDKILGVHIMAQNAGEIIHEAVLAMQYGASSEDVARTCHAHPTVSEALKEACLQTFSKAIHI >cds.KYUSt_chr1.14890 pep primary_assembly:MPB_Lper_Kyuss_1697:1:86574903:86581967:-1 gene:KYUSg_chr1.14890 transcript:KYUSt_chr1.14890 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKAVEPPVPSTNGAGEKDRSSRRRSSAGGGRDLEERGSKRPRSGEDRERHRSGREHRDREDGKVSMKDEGRDREKVREKDGGRSREKVREKDESRDPEKIREKDASRDREKVREKDGSRDREKVWEKEREGRDRLMERELGRERRSRSRSERRRGEEEEMVRELQRERDRSDRHRDYRDRDFRRKKDDGTEPEVDPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALTGQPLLGQGVMVKPSEAEKNLAQSNATSGGAASGGARKLYVGNLHSNITEDQLRQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDQGGMQLGGTTTGDLDDDEGGGLALNASSRALLMRKLDRSGSTTSLTGGIVAPGLNTSVGLPAASMFGAPMAAASLIQPVGVVSGAPLLPIISQSADIGMPTEFLLLKNMFDPAVETDPDFDLDIRDDVQDECSKFGVVKHIVVDKHTAGFVYLHFDSATAAASAQRSLHGRWFAGKMITATFMTAQQYEMKFPDRQV >cds.KYUSt_chr3.34662 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217374173:217379762:-1 gene:KYUSg_chr3.34662 transcript:KYUSt_chr3.34662 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGVGGISGRFSVSWLSVQSMRINQLCNSTDIIAVNGQLPGPTIDVFEGDEVVVDVINSSPYNLTIHWHGIMQLGTPWADGPSMVTQCPIQPNSSYIYRFNVTGQEGTLWWHAHSSFLRATVYGAFIVRPRNGNAYPFPMPDQEVPIVLGEWWSQNVVDVENDAVMSGQRPIQSDAYTVNGLTGQLYQCANETFTAVVQPNTTVLLRVINAALNAHLFFKVAGHNFTVVAVDACYTANYTTDTLVLAPGNSVDALIFTDAAPGSYYMAVEPHDTLSPSTVATTDDDSTATAILLYNGTSATATPAMPTMPTNFDTTTANAFYFGLRGLPAAVPSPVDVSMTIELGLGQLPCDATQTSCTGKAFAAAMNGVSFRLPTQMSLLEAQFDGSPGVYTADFPDGVPPNGTAMVEGTKVRSLPYNSTVEIVLQNPVALPSENHPIHLHGFNFFVLAQGLGTFTPGNTSAYNLVDPVARNTIAVPTGGWVVIRFLANNPGMWFFHCHLDAHVPMGLGMVFAVENGTTPDSILLPPPDDLPMCKPGSKRRHVAQPPPPPEAKAQPPQSSEPKGQTPSNKEGHDITRGGAVRSGRDKEQGRSITYLLFLGLILGTIVPLAS >cds.KYUSt_chr3.35428 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222457549:222461119:1 gene:KYUSg_chr3.35428 transcript:KYUSt_chr3.35428 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSRGREQQQSSRSKSARNKLDGSEERLPSEGCGKRKKAKLDGISRRVSSERSSKKGKSSQDWLEKRSKKRNTGEDEDDGSCSSTVSSPLREPYMPDGTEINKPMDSAISDKYRDMQEEYYAKIARQMKMSMLCELTPPNCLVNDPTLLHIRESSKKIVLRAAQFIVGRSSSFDGEPLAWCFGFWIDLDSEKRIGTVVTSAHLIRTKRPSPDAWLCKYEYASDVKVTVHLRGGAVAKGRLLYHQKHYNLAFFRVKMHPSIQLPHFIDKVECAQDIFELGRDESVKLVIHHGRVKYSNPDVYERNHHMCIEGPHRDREYDNGGPVIDLDGVVVGMIDSCPEGSFIPSSILLKCFHMWRNFGRIRRPHLGLKFSAISLLNPIQVEDILIEHKINDGLIVHEVSAGSPAERCGIRVGDVIKCFDGKCVSTAVEILRERRKGKRGEEGNSKTGSCMSRRIHVSSMTVDKAIRIVLTLQRLYTLPTRHNLVLLPASGLWFSTHHLVYRQRDCDKVF >cds.KYUSt_chr3.31411 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197262904:197264816:-1 gene:KYUSg_chr3.31411 transcript:KYUSt_chr3.31411 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLREMMSLASMPWSLVFGTLGCVLLWQAARLLDRLWWQPRQLERALRAQGLRGTSYRFLIGDVNDYERRNKEARSRSIPLRCHDIAPHVAPLLHDIVREHGKVCISWYGPYLKVTISDPDLTKEVMSNKFGHFEKLQFPALSRLLGGGLATYEGEKWVMHRRILNPAFQLEKLKLMLPAFSTCCEELVSKWVQSLGSDGTCEVDVCPELQRLTGDVISRTAFGSNYLEGTRIFQLQSEQAERLLARIKKIVIPGYLSLPTKNNRRMKEINNEIESILLSLIGKRMQAMQEGENTKDDLLGLMLESNMRDIDENGQSITGMTIKEIVEECKLFYFAGTETTSILLTWTMIVLSMHPEWQDRAREEVLGLFGKNKLVYEGFSQLKTVTMILYEVLRLYPPAVAFIRKTYKEMDMGGITYPAGVLIELPVLLMHHDPDIWGDDVHEFKPERFADGISKASKNPGAFLPFGWGPRICIGQQFALLEAKMALCMILQHFEFELAQSYTHAVDNRKLLHPMHGAQIKLRAI >cds.KYUSt_chr4.23817 pep primary_assembly:MPB_Lper_Kyuss_1697:4:150039054:150043036:-1 gene:KYUSg_chr4.23817 transcript:KYUSt_chr4.23817 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHSAKNALAEKKHNRTFSDISDPSTPGKIEDTKDISIYNNVIAFTLFELETITKSFRVDYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGHQGHREWLTEVNFLGQIRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTASPLPWATRMSIALGAAKGLACLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRSSREHSLVDWACPKLNDKRRLLQIIDPRLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQLQSSGGGDATFRPIHAGGLPDYRMHRRLTGNGVHYRAIPNPKCSPAVPACRVR >cds.KYUSt_chr3.11307 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67388687:67390057:1 gene:KYUSg_chr3.11307 transcript:KYUSt_chr3.11307 gene_biotype:protein_coding transcript_biotype:protein_coding MERKATILMNRYELGRMLGQGTFAKVYHARNLASNQSVAIKVIDKEKVLRVGMIEQIKREISIMRLVRHPNVVQLHEVMASKSKIYFAMEYVRGGELFGRVARGRLKEDAARKYFQQLIGAVDFCHSRGVYHRDLKPENLLVDEHGNLKVSDFGLSALKECQKQDGLLHTTCGTPAYVAPEIINKKGYDGEKADIWSCGVILFVLLAGYLPFQDSNLMEMYRKISRGDVRYPQWFTSDARKLLPRLLDPNPNTRITMDKLIEHPWFKKGYKPAVMLGTPRSSNSLNDVQAAFSTDHKEAEEPDSPLKPVSLNAFDIISLSKGFDLSGLFEQNQEQKASSRFMTHKPASAIVSKLEQIAETESFKVKKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPSCYVVEVKKSAGDTLEYERFCKKDLRPSLKDICWSTQAEEKLPSLPPLTPSSKSITRNAI >cds.KYUSt_chr3.10443 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61972830:61986794:-1 gene:KYUSg_chr3.10443 transcript:KYUSt_chr3.10443 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCLRVSPFLAPPPLLLCRPRRARGGGGHRHRHAPRPILTLARYDPPPLLRLKVSDSSDCPPAAAHHPHHRPRPLIAPLASIWREGLFLVRCSAFAAILSITAALSWYAQLRARAFVEARLLPAACELLSDHLQREVRVGKVRSVSPLGITLHACSLGPHPRDFSCAELPVVKVRINPLASLRRGRLVVDALLSDPTALVAQTKDFSWLGIPAPSDTAPKRSSDEEGIDFRTRTRRLAREQGGEQWDAQRDAAARHAAQTGYLVPSSQSQTSPSPDEILLQDDDRPVGAGKSSPPLCADEMHRKDRHMDVPGIGSSSKHADLEKTFGVKSRIIPGLNFWSRMIPNPAKRRHRRKAHSKVLSDTDSSSQERILRRSAEAALAYFRNIDSGKIGSSSPGPGSNSSDGGHTDAGATPNNVPVVSSDEVPRNSGELPPGSGHFVDYPGPGNSASATLIINTDDIYKPSQRSSQQGHTSEELGSLSDDNIGPQQEFTSGDLGSCTYAYNWASFWPFQLKGPHVSFNAPYASLGVEIQKFKSRFAIGLGDAPAELVEGVGQIHPGGAQNTLPITLDSVYFSGGNLMLLGYGDQEPREMKQANGHVKFKNSYNRVHVHVTGNCMEWRQDQTSQGGGYLSTDVFVDIAEQTWHANLNVVNAFAPLFERILEIPIVWHKGRATGEVHICMSKGDSFPSIHGQLDVKGLGFQILDAPSSFSEIVATLSFRGQRVFLHNASGWYGDVPVEASGDFGLNPEDGEFHLMCQIPSVEVNALMKTVKMKPLMFPLAGAVTAVFNCQGPLDAPVFVGSGIVSRKSLSVSGMPPSAASEAVMQNKEAGAVAAFDHIPFSHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNAWICPEGEVDDSAMDINLSGSILLDKVLHRYIPGGIQLIPLKIGELNGETRLSGPLIRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIKVNSSSVSFDLNTRIQTSYIDDYSSHKEMHQMKKIMPLVVEGVDLDLRMRGFEFAHIASSIPFDTPRPLHLKASGRLKFQGKVVKPSHIVDEKIYGALRSIVDQSKVQSDVSMLVGEISLSGIKLNQLMLAPQSTGFLSLSQDSVMLSATGRPDEKISIEVNGPLFFGRNEVIQDESLLSIFLQKGQLKSNICYHPESLTNLEVRNLPLDDLELASLRGFVQKAEVQLNFQKRRGHGLLSVIRPKFSGVLGEALDIAARWSGDVITMEKSVLEQSNSKYELQGEYVFPGTRDRFPMESHGNGFIEKAMGGHLGSIMSSMGRWRMRLEVPDAEVAEMLPLARLLSRSTDPVIRSRSKELFMQCLHSVGFNAESLRDQIKAVEMYHDWLDDDTIEDITLPALAELKGYWRGSLDASGGGNGDTMADFDFNGEDWEWGTYKTQRVLASGSYSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQALESSTTDSIHFLRHWLTPIKGILHMEGDLRGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSIPVTYVDSSSMEENLEAGDGKQGIIRIPVWAKDRGSSNEISETRIVRDKPEDGWEFQLAESLKGLSWNFLEPGEVRVNADIKDGGMMLITALSPYANWLQGYADVLLQVKGTVDQPVVDGSASFHRATVTSPFLRTPLTNFAGNVNVISNRLCINSMESRVGRKGKLSMKGTLPLQNSEPSASDKIELKCEVLDIRAKNVLSGQVDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGAVTTRLASNKSSYLPAGFGQTTTSQDVSRFLGALSTSPDKTERTLEHGSFKPNIDARLNDLKLTLGPELRIVYPLILNFAVSGDLELNGMVHPKYIRPKGILTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPVLDLVLVGSEWQFKIMSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGKRLQASVVRQMKDSEMAMQWSLIYQLTSAASGQKSKWMVLVEKGEGKQNWMV >cds.KYUSt_chr5.11034 pep primary_assembly:MPB_Lper_Kyuss_1697:5:71566492:71568615:-1 gene:KYUSg_chr5.11034 transcript:KYUSt_chr5.11034 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGVAPLVTLVFFLPVRLLSLAFGLCIPTFPSGARAHRVRSAAAALTMAALLTVICAVPDAESSFASEAEALRSEIGELRLKLARLESILEKNTKNLRSKPFSLEGDNKLIEAMEHDTQILMNEEESSELQVLQQEVRKINEIAYSIESLAIDAEKRVEFLGSEVKKVCPD >cds.KYUSt_chr2.39971 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248176057:248178634:-1 gene:KYUSg_chr2.39971 transcript:KYUSt_chr2.39971 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKAQLMSVKRGEPTLVAPASATPTGEQYYLSNLDQNIAVIVQTVYCFKCPSGRGHEGAADALRAALARVLVHYHPLAGRLGISPEMKLTVECTGEGAPFVEADAACDLADVGDLSTPDPAALGQLVYSVPGAKNILEMPPITAQVTRFKCGGFSLGLAMNHCMFDGLGAMEFVNSWAEMARGAAELTVPPFMDRTVLRARDPPVISFPHREFDEIADVSGMAALYGAQELIYRSFCFDPDRLERVRALALAGGDLERCTTFEALSGLVWRARTAALGLAPEQQTKLLFAVDGRRRFVPPLPKGYFGNGIVLTNALATAGDLLASPVSRAAGMVQEAVRMVTDEYMRSAVDYFEATRARPSLASTLLITTWSRLAFDGADFGWGEPAMSGPVMLPEKEVILFLAHGKERKSINVLLGLPASAMDAFKELMDEI >cds.KYUSt_chr3.1900 pep primary_assembly:MPB_Lper_Kyuss_1697:3:10924094:10931424:1 gene:KYUSg_chr3.1900 transcript:KYUSt_chr3.1900 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGAVVQHLLEFNERPDLSHEDREMLIEDLVREITALWQTDELRRHKPTPVDEARAGLHIVEQSLWKAIPRYLRRVSNALKKGIQIPTEKSDDSPVQSPGRTRPGSSHMGRTPSAGQLRKMLFTESKIGRSSFRKLLEPSLSDRPGITPYRIVLGNVKEKMMKTRRRLELLLEDLPCDYDPAEYYETPDQLLEPLTLCYESLQSCGSSILADGRLADLIRRVATFGMVLMKLDVRQESGRHAETLDAVTSYLDLGIYSEWDEEKKLDFLTRELKGKRPLVPPYIEVAADVQEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLTVSGDMGRPCPGGTLRVVPLFETVKDLREAGSAIRKLLAIDWYREHVIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPKTAVRQLEIYTTAVLLATLRPPHPPRDPHWRHVMEEISRVSCAHYRSTVYEDPSFITYFQEATPQAELGYLNIGSRPAKRKATPGINNLRAIPWVFAWTQTRLVLPAWLGVGKGLQDALDKGHTEELRAMYDEWPFFQSTLDLIEMVVAKADAPMAKHYDDVLVSSPERRAVGEELRRELARSERCVLAVSGHCKLSANNRSLRRLIESRLPYLNPMNMLQVEVLRRLRRDDDNLKLRDALLITINGIAAGMRNTG >cds.KYUSt_chr2.14354 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90834449:90835461:1 gene:KYUSg_chr2.14354 transcript:KYUSt_chr2.14354 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFALALNKRLADDAARRNANLIFSPVSVYAALSLVAAGARERTLSELLGALGAPSREDLARSVRVLTEQSLADQSRMAGPRINFSCNVWHDRTRTLKPAYIDAAAKSYKAQTGAVDFHDKPEEAAAQINAWAAASTNNLIDKIIDASKLSDLTDLVVANAVYFKGKWKEPFDKKKTKDDKFHRLDGSRRRALHARFAQAAYRLP >cds.KYUSt_chr1.25142 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150780738:150781124:-1 gene:KYUSg_chr1.25142 transcript:KYUSt_chr1.25142 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYRRRPVQASRGDIQEAGVAWGRAAGGRLSCEDVQQAGRLPRGDVQEAARAGIAWGSAGGGRRGRRLGKCKGAGPARRSRVAVAWTLRRRAGGLLDEEADWGRAKRGGASGDGRRRSSRAGVGKSG >cds.KYUSt_chr4.20678 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130092852:130102154:1 gene:KYUSg_chr4.20678 transcript:KYUSt_chr4.20678 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTEAEGTKGDGADADLGTPPTDASSAYAVDFSLVIYHAISFPPLPSTPSSASGLVEYSIWFFRSWVEERGHISFNRRRRRSVGIEDDAPPRRQGPGGPREAGRNHIQRNRLLGHEQLMEDYFAEVPTYPAHLFRRRNAAGVMGFSAFQKISAAMRVIAYDIPADYTDEYLRIGEDTTTESVRRGHLKLAAGHGPYALANNHLCGGLKPSVSYPISQSWSTLTSNAGRHAASRSHLTVGNSERWRSSLKASPEDGTIVAEGKITVLVIGGGGREHALCFALNRSPSCEAVLCAPGNVGIAQSGDAVCISDLDISNSDAVISFCHKRGVGMVVVGPEGPLVAGLANDLVKAGIPTFGPSSEAAALEGSKDFMKKLCYKYNIPTAQYRTFTDPAEAKQYVQDQGAPIVVKADGLAAGKGVVVAMTLDEALEAIDSMLVEESFGSAGSRIIVEEYLEGEEASFFALVDGEYALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPVVTEELKSTIMQSIIIPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACRGELGTVSLTWSPEIAMVVVMASEGYPGSYKKGTVIKNIDKAELVSPAVKIFHAGTALHGDGNLVAVGGRVLGVTAKGKDIEEARSRAYSAVDVVDWPEGFFRRDIGWRALKQEQTVNY >cds.KYUSt_chr4.35092 pep primary_assembly:MPB_Lper_Kyuss_1697:4:215602216:215602497:-1 gene:KYUSg_chr4.35092 transcript:KYUSt_chr4.35092 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERASGRRAGAGPLRRRRLPPGFAAAGPASSLPIPGLGPPPPLPLQGTSAAACPPPSLAATTGPPAAACLQGLAAATRRCSSAGLAAAASL >cds.KYUSt_chr3.41259 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260336464:260337336:-1 gene:KYUSg_chr3.41259 transcript:KYUSt_chr3.41259 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIRLDDAVRARLRGDGGCTSSGSDHDASACLSDLVQAFLETDPAGGAGEDGAGPAPKGRDSDDDEDDGAETAASVRELLDPPAADDPFRIRLAAAVAAALDAEAPLRAHGAAFRRAVMRRLRAAGYDAGVCRSRWEASGGLTAGTYEYLDVVVPAAKGTKKTARYIVDADFRAGLEVARATAEYAVVVAAVPATAVVAREDAVGRAVRLASDAARRSLRAHGLHVPPWRKTRYMLAKWLGPYKRSPSSASSQASGAMPTPGATGMDIKCRAVGFFPSPTAAPAARIK >cds.KYUSt_chr5.10333 pep primary_assembly:MPB_Lper_Kyuss_1697:5:66352624:66356398:1 gene:KYUSg_chr5.10333 transcript:KYUSt_chr5.10333 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDLRNIPPPLLRLLKQVPYSNPISLHRIRAPHPNPNGRPPRAQCLLHLANHYAATPSPTASAAAAPLPDRLALCYVHHDAARSSPELKIAYRPGEKFNIRDFHHAVGNLPLDGFLHEQHAGDVPLQNLFSNRAIYSWATDDISKKVIAICFSAQNTDALRRSLMDASEQCITVEFVMLETEADAYMYDDVSGNSNNFIHRIGDLENCVVRRYSPETQVLNGLVKRWLEELNDDKEETLQAVFVFRVPIVKSVNQVSCNIYPAADQIIDGFPYCQICKCHGRPIDHVTANKSKWVCPTTSRHLSASDVTDTAVKIGEQTVLCLPTSEGGSDMRRASTSISFDVIERTELASLNEGVIMGKSHVVIPSSNDEVALTDESLDQNTQIFYGLCETLFKLDQGLVCSSTCNTETMKIGTLACYYLLQPSEKGPMLLRRLAGSEEILPLPDVSRPCNYTVANDIKNSIETSLSKVVLKDYNPLQHERGFHSKLNSLVKGSLQFGSIAYAVNDASYLDSFSEPQIPTLQRPRENMFMSQKEKARDADRIHAFSEPQTPLFRAPKDKLPGQPKEKQVPSQPKEKASPSISEEWEKLIIIDDDDFCTPATSSRAVARPPIPILPSPVKPLDEKTSRILERLEAPKSKKQRASKPPSTSNTPASSRGGSSTQIKKPLLPFEPSASQPLKPAFNRLRRKPAA >cds.KYUSt_chr7.18968 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117516811:117517068:1 gene:KYUSg_chr7.18968 transcript:KYUSt_chr7.18968 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRLRHDDGNEAAATTEGRRRSRRRRQGGGVAIAAIKRKMLRCSVSEAGERGRFKRSYLAGGGAAGDGSSAVFFYLACIACAPA >cds.KYUSt_chr6.2606 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15180448:15181250:-1 gene:KYUSg_chr6.2606 transcript:KYUSt_chr6.2606 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPAVFWFVAKHHDALSAHRRSASLLPVPCSSLPPRRGSFKFPQRSYSFPLFCGGKDSRVARDRPEVQLVLIEPTCKRLGPRVVWVSSDYLIRIAMAATAFVSSWSAGQHPGQEQGAPSPLQIGCASKVSCVVIQPVAVELDPAIALQRDLLERGVAAQQDLFRP >cds.KYUSt_chr4.1645 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8689666:8691935:-1 gene:KYUSg_chr4.1645 transcript:KYUSt_chr4.1645 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEEQAKQNGHGDGDAAEWKKVAELRAVVEDQDPAVKEEDDFALRRFLRARDHNICKASAMLLKYLAWKRAAKPHGTITDEDVRDEIAKDRVHMHGFDRLGRPMAYLYGARHFPARRDLDDFKRYVAYLLDKICTRLPLGQEKFAAVIDLKGWGYANCDIRGYVAALEIMQNYYPERLGRVLMINVPYVFMAAWKIVYPFIDEKTKKKFVFVANKDLDATLRDAIDESQLLEEYGGKLKP >cds.KYUSt_chr2.18409 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116006157:116008789:-1 gene:KYUSg_chr2.18409 transcript:KYUSt_chr2.18409 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNMLANYVQVNVMLPLDVVSIDNTFEKGEELRAQLKKLAEAGVDGVMIDVWWGLVEGKGPKAYDWSAYKEVFELVQEAGLKLQAIMSFHQCGGNVGDVVNIPIPQWVRDIGKSDPDIFYTNRSGTRNIEYLTLGVDDQPLFHGRTAVQMYADYMKSFRENMEQFLDAGVIVDIEVGLGPAGEMRYPSYPQSQGWVFPGIGEFICYDKYLEADFKAAAATAGHPEWELPDDAGQYNDTPEETQFFKDNGTYLTEKGKFFLSWYSNKLIEHGDKILDEANQVFLGCRVQLAIKISGIHWWYRVPSHAAELTAGYYNLDDRDGYRTIARMLTRHHASLNFTCAEMRDSEQSSEAKSAPEQLVQQVLSAGWREGLHVACENALARYDATGYNTILRNARPRGINKSGPPEHKLFGFTYLRVSDELLEGENYVTFQTFVKRMHANQAHDPSVDPIAPLERSEPEMPIKMILQAAEPKLEPFPFDENTDLPV >cds.KYUSt_chr1.7630 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46883835:46894153:-1 gene:KYUSg_chr1.7630 transcript:KYUSt_chr1.7630 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGGGRGGRGRGSGRPRGRGRGRRGGAATAPRSPSPAPSSSSQEERCFEFLLRIDDDPLAIKRLPDKFAEFVDGFESAQLQLREASCNFCRWTVEVLFDGQGKIYLHTGWDKFARDLDLEPGCQLTFPLRGGRRDDRQGVRRSMHYHTDDSGSDTDSPLDVANPIAMINMIYLNDVMVGNLAGSPIFAIYTRVQDTRFRTGGIPPASNTMFELEQQQVASSTSWRSYKTKQVSKLADMCLTQFSFPSGPELPFFVVSLRFPHLRNLYNGTRHKVPCRRNPKVRVRTGAAASCLLYKIQQLKGDLANVCLKHLKHNNLVYASGYLNSYHKVGATEKMADLVPPSVFSKVAHQWVHKNKRASIMFVTYVMKTDCRAQARTHLQTKLGKSLEEAIAIVNANSLDCCTGFIIGHRGRGAHVLTCCHSLKAFYSAEQQLTQEMVQWFDIHVMCTHQEEHLASSSSSLYDNPNYPRIYTAATAVEVDGNKDLMLLEISMNQLYRRTGNRCLRSHEPLKLAKSVPPQLNDVVMISWPPLQPDTVVTGELTNASRCYNQLSSETNKGYNMRLLELNMIGRDGCSGSPILNHEGEVVAVYHGRLHDKGYAVSFRDVHDFLGPHFRQMCGLT >cds.KYUSt_chr3.46410 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292007769:292013452:-1 gene:KYUSg_chr3.46410 transcript:KYUSt_chr3.46410 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTSSLSPGTQLIKLRSMVPFLLLLHLAVNAAAAGDATTLTATPATITRSDQWITLRWSNLPSPSPLDYVALYSPPSSADLDYLGFLFLNASASWATGAGTLLLPRLPDLRAPYQFRLFRSPPAEPSTNTRLDQDHGPLPDARHRAAVSGDVRAEGNGSRPAQVHLAFADAPDEMRVLFVCGDAGDRAVRYGLLGRREEEWDEAPAEARTYERRQMCAYPANDIVGWRDPGFVFDAVMKRLQPGRRYFYKVGSDSGGWSEKYSFISRDIEANETIAFLFGDLGTYVPYNTYFRTPQESLSTVKWILRDLEVIGDRAALISHIGDISYAKGYAWLWDHFFQQIEPIASSTPYHVCIGNHEYDWPSQPWTPSWSANIYNGKDSGGECGIPYSIKFRMPGNSSLSTGTGAPDTQNLYYSFDAGVVHFVYMSTETDFTQGSAQHNFIKMDLERVNRSRTPFIVFQGHRPMYTSSNGTRDAAHREQMIQHLEQLFVKHNVTLALWGHIHVYERFCPMKNNQCMNTSSSFVYPGAPVHVVIGMAGQDHQPSWEARPDHPDVPIFPQPERSMYRGSEFGYTKLMATREKLTLVYIGNHDGQVHDMVEILLYNNSMPVKLPPKVIWLYMGIAGGVMLSLLVGILAGFLVRRKIDSGRWIPIVNEEA >cds.KYUSt_chr7.4282 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25629287:25631484:1 gene:KYUSg_chr7.4282 transcript:KYUSt_chr7.4282 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKAFLGLLQLLLLLLPPSLRDYLWAAPSEHGARQQLEVYHPILLQAGFSCSSMEARLTDAYTPSLPRCGELKGKGWFPLWNRTADLVDHDYVPCFKEQMSLVFDPAINDYVNQPGVETRVTNFGSTYGFAWKDDSCPLCCNVKLRNELEALGYRDGDTLFGAPYDIRHAPPRPGQPSKVYTDYFARVKDLVQHASEKNGNKTVILIGHSFGARLLLDFLNSTPLPWRKQFIKHLVLLSPTPTIGFMQPLTNLAWGPTCIVMENVPRLTLRPMWWSFPSALLSQPSPAVFGHDPLIITKHRNYSAYDYQDFLPALGFSMNGVLPFNKWVDKRVEAPMVPTTYLSGFGIETTKQVVFWDDNFNAEPENVYGDGDGVVNWNSVLVFTKELERQQSAENVLFKFIKIPNVSHSYISIQEDSLKIVMAELLEIFEANS >cds.KYUSt_chr4.54749 pep primary_assembly:MPB_Lper_Kyuss_1697:4:338170696:338173599:-1 gene:KYUSg_chr4.54749 transcript:KYUSt_chr4.54749 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQPTPATRGDDNGAACPFHDAAFFLGVGGAAMMEEEVVEQSASPPPMSSCGRYILHRVCRFDTLAGVAIKYGVEVADVKRVNGLTADLQMFAHKTLRIPLPGRHPPAAVAAPHSPPSSSPIAAREWTTRRPPKNAALDPFPKPPRSTVSPSMSLLQGYYGLAPAPERDAADEVTELVTTNKGHHRKARSISTSFPIVNGDESWETDDAEKQIRRRQKADIELTAWEDNIGGLSARAAGQGLALRPKSGSRPDMNSSQQDLLAAGLVPSYGDGLQAVRKSSSTPEFQDSDNSIASVWLRSKWNLKPDAFTLPLQIMLLDSLPKPLFDNLPKPIAAWRNKAARD >cds.KYUSt_chr2.40875 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253891563:253891923:-1 gene:KYUSg_chr2.40875 transcript:KYUSt_chr2.40875 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLFGIVRLVALVFMVVASSLVDQAVVQVGAIRLHDRRAHGEQWAEERMQLRAYLTMDYNDKKLNKRHIPKHN >cds.KYUSt_chr4.42190 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260982500:260986573:1 gene:KYUSg_chr4.42190 transcript:KYUSt_chr4.42190 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPSVSLRAEAAPDPDPAALARWARAFCVIRFDLERGQLVEACYPPGALAHGGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRLPSPSPSPAFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDIGHAALTMVASHVAAWPAPAPGCPMELPIGSAALRVHLPPAPDDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPILVVAPSPPQCSEAVAGLVSLVAPILYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPAGGQTPASANGSNGTPVKLKLDKLAINKFSPTGLLNSIKLRRKGPLSLMTEHREALWSTYVPTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPLEGSSPFVDPPLLPPFHADEFVNGLAARGPGKFLSKRMRSNWLNLYRKFLEGPNFMPWFRQRRAAAEKDQQRLWRQARLNVDIEKLMSNMSELERIDSFNVIERYLLREMENSGTGTAESIAACQKLKTNLQAAFSVLPQDMQQLLLSNPKRSVLLQVSQEKVPELGDIVSQTSL >cds.KYUSt_chr4.24437 pep primary_assembly:MPB_Lper_Kyuss_1697:4:153859913:153860985:-1 gene:KYUSg_chr4.24437 transcript:KYUSt_chr4.24437 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGTVLFLHGFPELWYSWRHQIEHLAARGYHCVAPDLRGYGGTTAPPDVGSYTAFHIVGDVVALLNTLELPKVFLVGHDWGALIAWYVCLFRPDRVTALVNTSLAFMRSIMARTGPGFVMPIEYFTRTYGPSYYMCRFQEPGVAEARQFAPAHARRLLRQILCHCFSHGVACDEEMDDDKYPTSTLPPWLTEADVDYLGAEFERTGFAGGINYYRNMDRNCELAAAWSDAKVQVPTKYVVGDGEITYHFEGVQEYVHGGGFKKDVPLLEEVVVIPGTGHFLQMEKAQEVSDHIYEFIAKFSQ >cds.KYUSt_chr3.6822 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39178174:39178674:-1 gene:KYUSg_chr3.6822 transcript:KYUSt_chr3.6822 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQKSMEHAELLDTKKQELYELLCQSHATNGVPGRYSAEAEVKKPPIYMVRETVFLRCDLGENTREAFDRDKSGEAEGTGNPLEAELIAFVIKNLSLKKRSRSCMNCSDRRMLPTTLCVQEPGF >cds.KYUSt_chr4.41230 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254969143:254970867:1 gene:KYUSg_chr4.41230 transcript:KYUSt_chr4.41230 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKDVGVTEVILAINYQPEVMLNFLKDFESKLGIKITCSQETEPMGTAGPLALARDKLDDGSGEPFFVLNSDVISEYPFAELIEFHKSHGGEATIMVTKVDEPSKYGVVVTEEGTGKVERFVEKPKVFVGNKINAGIYLLSPSVLNRIELKPTSIEKEVFPHIAADKALYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPAKLASGTHILGNVLVHETATIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGARVKKHACISGSIVGWHSTVGKWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKTSILKPEIVM >cds.KYUSt_chr3.12179 pep primary_assembly:MPB_Lper_Kyuss_1697:3:72725996:72726745:1 gene:KYUSg_chr3.12179 transcript:KYUSt_chr3.12179 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEQSSESSTSSYASSYSASSCSPRSDDAGGGKKQQCNSNRKRARDPDTSAAATTAAPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPCPEMAARAHDAAALSIKGARAVLNFPDLAPALPRPASLSPSDVQAAAARAALMHDHDHRCSSAPTSTAAAPASQCGNAPAARDQPTARDEPKQEPSRGSDQHQEEQTAPQLDLAELVFDELAPLWVEDVVDFAPSDHCWTAYDCLDPVGFQPLLWEY >cds.KYUSt_chr1.28725 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173663620:173667031:-1 gene:KYUSg_chr1.28725 transcript:KYUSt_chr1.28725 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLNIPLSGGCAFPPVAAALRLPAASLPCRSAGSANRRRRPSLTRAGSDGSDGATAGAVTEGEGAEPSAEKPPPVVNPKIEKELKKAVQKTAATFAPRASTASKNPAVPGSTLYTIFEVQAYASMLAGGALSFNLVFPSSEPDIWRLMGMWSIWMFTIPSLRARDCSNKEKEALNYLFILVPLINVIIPFFVKSFAVVWSADTVAFFVMYAWKFPNAIPHGMWGLSVQHLDCFQFFSQTSFHIQQGKDNILRQNPGSEITKINMT >cds.KYUSt_chr3.30376 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190329226:190330680:1 gene:KYUSg_chr3.30376 transcript:KYUSt_chr3.30376 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFVTYLEKPRELRVLEFRAPPPSPVTGVLTNSSSGSSEHGDDEVSQFLRSSARVPVLRLPERAIPRKKKNKVAWAPPVIDVRLLEARGPVVEALRSAAVAFGCFQVVGHGVDVCLVSAATTSAAAARGSPALGEEEAGGEDPQDGEELRWSPSDGEKCRLRDRRKKRELQGAAPTMDALQRDSVGATDSLAGACRIGTSLLCIRTHHGKQRDSGSAISQDDVMRMLIKSSRCPRDLTLHICPGASTFHVFSRRGFSSFRPLNGALVVTVGDQLQAWSSGLYMSMAGKPAYSNDDLQEGGAGAVVSAEFLYSCSSVGEPLDKDGGRIIPLNLQIMAAACLVLVYHFLLSCLYATW >cds.KYUSt_chr7.21198 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131317000:131319166:-1 gene:KYUSg_chr7.21198 transcript:KYUSt_chr7.21198 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRLPAVVEMAPGCAPAALTRMLSSRLPAVVEMAPRCAPTAPTRMLSMRPAGGGDGAALRAGSPVKDVVTAPRRCRGDGAALRAGSPVKDVVTAPRSRVKVVVVAPRRCHADDGAALHAGSLVADVVVAPRGCHGVDAALHAGSLVKVVVVAPRRCHGDDGAALHAGSLVADVVVVPRGCHGVDAALHAGGLVKVVVVAPRGCHGVGAALHVGSLVKVIVVTPRGCVDGAALHAGSLLADVIVAVVELGTKPGCARRQPQRRRLHSPMTAEAGSRVPSVDVEAGYECQVLM >cds.KYUSt_chr3.2082 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12117555:12120026:-1 gene:KYUSg_chr3.2082 transcript:KYUSt_chr3.2082 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVDPLVVGRVIGEVVDLFVPSVAMAVSYGSKDVSNGCHVKPSMAADQPLVRISGRRNDLYTLLMTDPDAPSPSDPTMREYLHWYVVHACDCMAQTLRQQQRAPMHASKPATHGRRNCAARTQAKLAAHYVLVCMYEVWVLRLGIYLLGQVIDVVMPYLGPQPPVGIHRYVLVLFEQKSRIVDGYAAPPADRPYFNTRAFAFNHELGLPVAVVYFNSQREPSGNRRRR >cds.KYUSt_chr2.54746 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341546565:341547881:-1 gene:KYUSg_chr2.54746 transcript:KYUSt_chr2.54746 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVEASHRWLITDAPLLRWRKKLKRAAHECDDTLRRCKQRAAEDEARTDQLRRAYLPTRIAHATKSFISALAGDDGQQQQPSSTTVRRFERQADDAEEFLRFVRLGGTPLRPYAFSNPLVGQLMAGELLHYEMLRGGQYRHFHARTAYSPDRGIEATVSLVYADTMAPMESFYMFMVLRLSESVDIIGTAVKTLRSTLCRYRPTTINAVMAELTQLPTQDFHWSPPPATMAGQEESDGLHRAFVQRFRPDPLCCTDDHGRSRCMVPEHVIKFSLQRLVAMPAPGHPPLKLHTYIMPHDDSPMDLQAPAHEHECFAAGVIGAEEKLHVVHANIGLDELDQVLLPQAVEFLYRSTEATAYQLVWKSKHGTAHVYLEKTIVPLPPVISRTSITAFQPQEYLMETLKQACKDNLKPWAVRSSVKLRDAMLAFIQKFSPSS >cds.KYUSt_chr2.28356 pep primary_assembly:MPB_Lper_Kyuss_1697:2:173935489:173937449:-1 gene:KYUSg_chr2.28356 transcript:KYUSt_chr2.28356 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIKQRMEKDIDEVGKIARLAKAKFDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKGRMDEFQVLRESIRQEYREVVERRVFTVTGNRPDEETIDDLIETGRSEQIFKDAVQQQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFLDMAVLVDAQGDMINHIETHVSNATNHISQGVGALQNAKKLQRNSRKWMCYAIILLLVVVVIVVVAVIQPWKK >cds.KYUSt_chr6.5864 pep primary_assembly:MPB_Lper_Kyuss_1697:6:35208311:35209860:-1 gene:KYUSg_chr6.5864 transcript:KYUSt_chr6.5864 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHAVLIPYPAQGHVTPMLHLAKVLHARGFFVTFVNSEYNHRRLLRSRGADSLAGLDDFRFETIPDGLPPCENDDVTQDIPSLCTSFAMHGAALLRDLLARLDDAGRPPVSCLIPDGVMSFALEVAEEMGIPALVFWTTSACGFMGYLHFAELIDRGYVPLKDESYLTNGYLDTVLDRVPGMPGIRLRDMPSFVRTTDRDDVMLNFDSGEAQNAHRAQGVIVNTFDAVEQDVVDALRRIFPRVYTVGPLPALAVAAARARPELEAIGGNLWKEDASCVAWLDAQQQPGSVVYVNFGSITVMSHAHLIEFAWGLARCGRPFLWVIRPDLVAGETALLPEEFADETKDRGIFLSWCPQEQVLGHPATGLFLTHSGWNSTQESICAGVPMICWPFFAEQMTNCRYVCAEWGIGLEIDSSVRREDVARLVLEAMDGDKGKDMRAKAAMWKEKAVAATEEGGTSSIGIGRLVEFLLGRSDPES >cds.KYUSt_scaffold_2697.149 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1058258:1058542:-1 gene:KYUSg_scaffold_2697.149 transcript:KYUSt_scaffold_2697.149 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGDFVEAYVLRNAYKEKMRRMDDAAAAAAAVEGKNNKEGGAGGDSAGEKKAAGASSKGAFFGLMKKKVHPKAASSSSSSSSSSSSSSSSSSS >cds.KYUSt_chr4.48185 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298382736:298384369:1 gene:KYUSg_chr4.48185 transcript:KYUSt_chr4.48185 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGAGNRIQPTLRRNPDPWRPIKGPGSDPCAFISRIPGALEAEPQLIVTSRKRPDNSRQAAVGPVIVQVFRSWARYRLKSVLMMSLFFSLTTPLGVVIGIGISSGYDENSPRALIVQGLLDAAAAGILNYMALVDLLAEDFMNPRVQNNGRLQVIINISLLVGTALMSILAAWA >cds.KYUSt_chr5.567 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4226665:4227732:1 gene:KYUSg_chr5.567 transcript:KYUSt_chr5.567 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRGLLLRDQVCCVITLCTSWIGPPATTAESESAAAFLAHGIGSQSSRSVNCFKKFEASRRITGAGDVLGKQDHMPITHWPSRSTASSDALSDDVGVMVGQLWRVDDLGVIFNVWL >cds.KYUSt_chr1.37584 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229463238:229463771:-1 gene:KYUSg_chr1.37584 transcript:KYUSt_chr1.37584 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPGLGDTLSSRSRGSGRSWGSSISHSFRHQGLQADTDDPFRRGSRRHDDDDEENLRWAALEKLPTYDRMRRAIVLHEFAVGGLVEIEHLTSGEGGRALLERVFQDDSERFLTSLRDRVDRVGIELPAIEVRYQDLSVQVDAFVGSRALPTLWNVATNFLQVSHCQIAQIMAPRPI >cds.KYUSt_contig_1537.442 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:2644286:2651812:-1 gene:KYUSg_contig_1537.442 transcript:KYUSt_contig_1537.442 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVQPPTWRPFTASAAGARCFFAAKWFVPGGLEREEREGNDIPLLMRRVLGWGGEVPLDMGCFSCFDSPADEQLNPKAGGGRYGGSSVAAAAYGGGVGVGVGGSRQGERGYPELQHPMSAPRIEKLSAGNTRVKNNAVAREASVPKDANGNAISAQTFTFRELATATRNFRQECFLGEGGFGRVYKGHLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVSLIGYCADGDQRLLVYEYMAFGSLEDHLHDLPLDKDALDWSSRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDESFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNAAHASRKPGGVQRIKVGENGRAVSRSDEAGSSGHRSPGKDRDDPPKELPGILNNKDRDRERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWREKKRDGSNLQGSPDSPTEDG >cds.KYUSt_chr4.11977 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72789186:72794495:1 gene:KYUSg_chr4.11977 transcript:KYUSt_chr4.11977 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVERLEAAVARLEAAAAAGSSGASLSATPRDLDGVPAASDPAIVAYDEFVAQAFGRLNAAAEKIGGKVLEATKVLAEAFAVAKDLLVRAKQLQKPASMASAQDFFKPLNDACAKAAAMTEGRRPDYFNHMKSVADSLAALAWVAFLGKDMGMSFPTAHVEESWQMAEFYNNKVLVEYRNKDADHVEWAKALKELYIPGLRDYVKKYYPLGPVWGAAGSAPAVQPKAAAPTPKAPAVKGPPPPAPPSAPLFSADKTPKSSQPKQGMSAVFQEISAGKAVTTGLRKVTDDMKTKNRADRSGVVNSTAAAPAPEKTSRAGSFAFKSAPPKLELQMGRKWVVENQVGKKDLAIDDCDSKQSVYVYGCKDAVLQVNGKVNNITVDKCTKFGIVFKDVVAAFEVVNCNGVEVQCQGTAPTISIDNSSGCQLYLSKDSLGASITSAKSSEMNVLVPSGVTDGDWVEHALPQQYIHTFKDGQFITSPVSHSGA >cds.KYUSt_contig_686-1.1063 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6529090:6529722:1 gene:KYUSg_contig_686-1.1063 transcript:KYUSt_contig_686-1.1063 gene_biotype:protein_coding transcript_biotype:protein_coding MYWLTVGTEKVMSLDLEVGRVTPVKSLPAPAGPNCHLTVVRGWLGIAISDGSSPLEKIEVWVLEGSRREEQSWSRRYSIQVHMLRDQHPWSRQKLTQPQFAHGEYVLTKHSRDWNCFLYRNKVSDAGRLQCGVLRLSEKKWGMEIGTVGGYGRTFAYVKTTEPLDVYRVSDCRWIYRT >cds.KYUSt_contig_1861.63 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:332511:333692:1 gene:KYUSg_contig_1861.63 transcript:KYUSt_contig_1861.63 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPDLPDELVHEILFRLPPDEPACLFRLSVLSNPWRKLLSDPDFHHNYRKFNRSPPMLGFIYNNEVSSVTHFVPTTRPCPPCTFDPQMAEFIVCDCRHGRVLLDNGKLSMELAVWDPMTCRRKDLSDPCRSLFYLGTAVLCAVYGCDHATCHDGPFHVVFVGIDAEAGSTTAYKYSSETGEWSTPTSELALFDEHDIVVEPDLFDELGPVDDGYVAVLHSVLVEDSLHFLLMSGPQGSRILKYDVGRHFLSVIVLPAAVHYRNTILMATEDGRLGVAHLDRLILHLWSREVGPDGIAAWAEYRVISLMPFLPIGDPAIKVELIGSVEGANIIFATTALGVYAIDLKLLRSRKLCEGQAIRPFFPFMSFYYPGIVAVILDLQHLARRAFAT >cds.KYUSt_chr3.29482 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184547668:184551210:1 gene:KYUSg_chr3.29482 transcript:KYUSt_chr3.29482 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLAYKFNVTDPISGQEIDDQSQFISCVCWRGQSSTLLSANSSGNIKSSHSKSDDPVVGVVPETGVVVHSKEEEEVGMFVDGPTPLFFSSALFALLAAFSALHAAFSALSAFLAADSARCLARSAFFFAAAFALCSF >cds.KYUSt_contig_988.407 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2392508:2394194:-1 gene:KYUSg_contig_988.407 transcript:KYUSt_contig_988.407 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSATKDDLWLEDFASGLVLTMKTPSARPVVKPTTIRLLLSLAVTRGWSLRQLDVQNAFLHGLLEEEVYMRQPPGFVDPDRPSHICRLTKALIPFPHHPCKAKKQEHMATMAERLALAFLLAAAAALAASAVDTKLTLQNLCPFPVRPLVTPNGNFSSISDNTIELDPNGGLVSFPFPDTFWAGSVVARTFCRTPTSCDTGSSPPRTVVQLAVHSTEDLATYSVSLEDGFNLATVVTPLFSDGGQCPALGCPLNLTNGCPVDQVKIDDCRIIVACKGDPGYFKRRCPLTRVNGTDREPLPQSCIAPRELKVVFCQTELAHLTMVGAASAQTELAHLTMVGAASAQTEHM >cds.KYUSt_chr1.36858 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224855130:224857049:1 gene:KYUSg_chr1.36858 transcript:KYUSt_chr1.36858 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATRPITTTAEATEMPITALDVCECDGEGSGDDDGGMTNERGEFEMPPLGGDGVKPGGAGVTRDGGFVEEGGGNDGMGGAGVAGEGGDVGVLGVGEVDGGEDGVLGVGGDVDGGEGFTGDGGDVVCCPGAAGDGGDNVRGAGEGGDDAGGVGFTGDDGPDGGDDVGGAGCTGDGGDDAGGARFTGDGGDVVGGVGTTGDGGDSAGGAGLTGDAGDNAGGAGLTGDGDGSGGAGPTGAGGDSAGGAGFKGDGDGNGGSGTGPTGAGGDCAGGAGLTGDGIGGAGPTGAGGDDDGGAGSTGDGVGSTGTGVTGDGGDGSGSTGEGGDGDGVGADGATGEAADGAGGAGVTGADGEGVVGAGAAGEGGDVAGGAGVTGGPDGEGNGATGGVEDAGGAAGLTGASGGVEDAGGAAGVIGGGDDAGGAAGVAGGSGGGDDAGGAAGVTGRDEDGGGAAGVTGEDGGGAAGVTGGGADGGGSTGATGVGGTAGVTGGGDDGGGSTGVTGAGGETGVTCGGEDGGRSGVGLGEDGGGSTGATGVSGGEDAGGVSGITGVGVGGADGVGAAGVAGVDAGGGDDAGGSGGITGATGGGVLGSGVGAGGEGGGVLGGDGGGLLGGGDGGGLLGGCDGGGVSGNGAGG >cds.KYUSt_chr3.48282 pep primary_assembly:MPB_Lper_Kyuss_1697:3:302060551:302071619:1 gene:KYUSg_chr3.48282 transcript:KYUSt_chr3.48282 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHLLLVGAALLSLTFFSGSALAGEVGVCYGMLASHLMQPPAVVQLLKKNGITKVRLYDADAGALGALANTGIKVKVSLPNKNLVEAAGTMSYAVEWVKNNVMAYPGTLIDSVAVGNEVFYQAPELTPQLLPAMKNIQAALASVGLADAVKVSTPIAMNALKVPSWPPSVGEFRDDLAQSVMGPMIDFLDQTGSHLSFNIYPYFAYKEDPKIDVDYVLFRPNKGQTDKVTGLIYYNMFDAMLDAVLHAEEKLRNSLGHARGRMLEGVGSSTTVDETGGEAKVGSTNHTVTGQMSAVPAGWDAQAYNSNLISKVLRGTGTPYRPNADINVYIFSLFNEDLKPNEEERNFGLFYPDGTPVYKVDFQHPGPGPGTTSWCVANAAVGDKRLQDALDYACGIGQADCSAIQPGGRCFDPDTKVAHASYAFNDYYQRNGRSDQSCDFGGCGSVVHQQPSAARQVFGGNEVDMGVGDVDRFGLTKPRVWVKVDAWDYDPDFVAVMKDTCMKVHGLQPTCCEWDSIAQVVSVCGILQEVDWMSFFKDYAEVLKVKIKCKDPARIPDDRLFHFQGKFHQLMFQVEGEPVMGLAEDPPPPPPPPSDVNGGRGNEDQVNGRQDGMETHKGAPTGNASASSNSVTPNASSSGHVVRRVAGGGDDMKEYWSANIYAKIRKRKKSLMEEYDALDVKAGSQELLASQDGRFHVGAGIPGVARHYISPPSTFNMLLGSY >cds.KYUSt_chr3.36929 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232265688:232267778:1 gene:KYUSg_chr3.36929 transcript:KYUSt_chr3.36929 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRPAAATSAAPSTSYAYASAFMPPPPSPSDGDLLRALHRLARDLSAADTPAPFLRAAFASVSRRARLLAAAFDDLLLSVPDASDLPRSASLCLRELLLVLQRFKAVVADCAARSRTLLLLRSDETGAELRDLHHDLATLLDLLPVVELGLADDVADLLALASRQCRRSSGGAEAEAGPPALKAGVLALIDEIEREIVPGRDRLEGVLEEVGVNDPASCSDEIETLEREIGDRASERWTPAMIALVGLLRYAKCVLFSATPRPSSSDSKPDSDSTDADGDLEESPAPPMDLRCPISLDLMRDPVVAASGQTYDRESIDRWFNSGKSTCPKTGQVLTSLDLVPNKSLKNLITKWCRENGVAMEPCEASKGEQAQATAANKAALEVARMTASFLVKKLSATFSPDAASRVVHEIRLLSKSGSDHRAFVGEAGAVPMLVPLLYSEDAGLQLNAVTALLNLSILEANKKRIMHADGAVEAVAHTMGPGGATWRARENAAAAVLSLASVHTYRRRLGRNASVVERLVELARAGPKSTKKDALAALLSLAGERENVGRLVDAGVAEAALSAVSGEETAAAVLAALAKRGGAEAIVGIDGAVARLVAEMRRGTEWARESATAALVLLCRRLGARAVAQVMAVPGVEWAIWELMGTGTDRARRKAASLGRICRRWAAASAADGERGAECPASSVEPPAMMAS >cds.KYUSt_chr4.40834 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252214463:252217164:-1 gene:KYUSg_chr4.40834 transcript:KYUSt_chr4.40834 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKGDGPAIGIDLGTTYSCVAVWRPSHNRVEVIPNDQGNLTTPSCVAFADTCRLIGDAAMNQAAMNPVNTVFDAKRLMGRRFSDSTVQGDMKLWPFKVIPGPGDRPMIVVQYKGAEKQFVAEEISSMLLMKMQEAAEAYLGTPVKDAVITVPVYFNDSQREATLDAGVIAGLNVIRIINEPSAAAIAYGLDRMSNSGEAKMVLIFDLGGGTLDVSIINIDKGNFVVKATAGDTHLGGEDLNSRMVEHFVQDFLRRHKSNIRGNPKALMRLRTACERAKRMLTSTAQAKIEIDSLHDGIDFYGSITRARFEEMNMDLFRKCIEHVEKCLSDAKTEKFQIHDVVLVGGSTRIPKVQQLLHDFFNGKKLCKSINPDEAVAYGAAVQAATLSGEGDQKVQDLLLLDVTPRSLGVEIVPGLMSVLIPKNTTIPVAREGPYTTTFDYQTSVYFPVYEGEGEWTKDNNLLGQVTIWGVPPQLAGMAQLRITYEVEANGIMKVTVMDLTTGNKSSVTINKGGLDKKEIKSMAQYAKKCKTEDRKEINKIKKENEQEIKRMAKMKRILPAAEKYTPEDKKQVKKIKKENEEGWLSKEEMERTVKKKRMLPDAAKNKSEDKKQAKKIKEEKEEGGVELLSKEEFERMVQKKRMSQSKDNEQTNKKIKKESGGP >cds.KYUSt_chr4.46602 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288066219:288069754:1 gene:KYUSg_chr4.46602 transcript:KYUSt_chr4.46602 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAIALLLAQLVLCSCVSPAVSGDVVGARRVLHQPLFPIEWTPPPSPPPPPAPDFTSDPSPPAVTPGAPPGDFFPPAPPAAGGGVGVGGGSGSGTTTSPTTIAADVSNPPSASAAGSGPKKATIVAAGAAAAAGVALLGFACAFLITGRARRRCESQKLLGPDGGPAHRRTAPAATDFLYVGTMEPATPAHQHRGPTSADLAGSPYRKLRNERARRGVCLDEATDHPSPELRPLPPLRRAPTMGSSDEEAAYYTPRQRSGGSGSGGAGGVCGETWSEASASSPPTTTTASRRSLPSMTSDFFPPVTALPPPPARSRRTRTPPRTRFSTGSTPDVKQVISPSPRPLQPTNPPPRPPPPPPPPPPPPAPAKSHTAPKPPPPPPPPMASTNRIPPKTAEPTSRRRLMKPLPPEGPRIAMSMPITASTTSDNNGSTSMPEADDSAGGLPKLKPLHWDKVRATSDRAMVWDQLKSSSFQLRTGAQLLRDPYFSLPTMHHVSAVSFRLDEDMIEALFMNNSMPAAPPRDAGRKPGMPPFRQEERVLDPKKAQNIAILLRALNVTREEVSDALLDGNAECLGSELLETLVKMAPTKEEEVKLRDYSGDLSKLGTAERFLKTVLDIPFAFKRVDAMLYRANFETETNYLRKSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGADGKTTLLHFVVQEMIRSEDAKSEKETASSNEHFHKQGLKVVSGLSSELGNVKKAASMDFDVLHSYVSKLETGLEKIKSVLQLERQCTQGQRFFIAMQGFLKEAEKEIERVRGEEKRALGRVKDITDYFHGDASKEEAHPLRIFMVVRDFLSTLDHVCREVGRMQQDRTVVGSARSFRISATTSLPVLSLYGQRRENKSDDDDSMSS >cds.KYUSt_chr6.23416 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147900589:147901656:-1 gene:KYUSg_chr6.23416 transcript:KYUSt_chr6.23416 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRLSLTARCSLLLTAALLVLLLSHGAHGHGGAGLSSSFYDGSCPGTRDIIRRVIQDARVADARIPASLIRLHFHDCFVNGCDGSLLLDDDPQAAIMTEKNVPANDRSVRGFGVVDNIKRALENACPGIVSCADILALAAEISVELAGGPSWTVPLGRRDSTTTNIESAKNLPSPFDPLERLQEKFKILGLDDTDLVALQGAHTFGRAQCQFTQRNCTAGQSEGALVNLDGVTPDVFDNKYYGNLLLGRAQLPSDQVMLSDSIAARTTAPIARRFSGNQKDFFRNFAASMVKMGNINPLTGRDGEIRKNCRRVNKTPY >cds.KYUSt_chr2.34794 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214723733:214729815:1 gene:KYUSg_chr2.34794 transcript:KYUSt_chr2.34794 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAMEKPQQLRRLLPLIALALVLPGCLASGGGEPAIFQIPRDGSVVELDEGNFEAAVAAVDYLFVDFHAPWCGHCKRLAPQLDEAAPVLAALSPPIVVAKVNAEKYKKLGSKYGVDGFPTLMLFDHGVPTEYTGSRKAGLLIESLKKLVAPHVSVLESDSAIKSFVQEAGADFPLFIGFGVDESSIAEYGARYKKKAWFSIAKDFSEDMMAVYDFDKIPALVSLNTKYNEQSVFYGPFEGTFLDDFIRQSLLPITVPINAETLKMLKDDDRKVVLTLLEDEFDETSMQLIKVLRSAANANHDLVFGYVGVKQWEEFTQPFHDSKTSQLPKMIVWDKDEEYEVVEGSENLQEGDHGSQISRFLEGYRAGRTAKKSVGGRTPTLLVVNALYILLFLVVVLIALMFFAGQGEEDRQPTRAHQE >cds.KYUSt_chr3.22277 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137519060:137529826:1 gene:KYUSg_chr3.22277 transcript:KYUSt_chr3.22277 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPPPDAQPPAADKAPAAPLTPEAEDAPEQEAESEVDEEYVSDPDDALPEMRRREASDDEGSEDGRRPPRARIDPDHDHDGQGAPEDYEGEVEDDEEEEEYYDDLLEEEAAEGLEEEEYDGRAVPPKEVAAGQGEEGEKAVVEGEEADGEEKKEQEPFAVPTSGAFYMHDDRFAENGRGGGGRRISEAQEVVLEVVVVEAEPEALLVGLPEEGARSREPAAHSALDREAGQISNAHSDAVPAKKNVVNSSLNSASPPFYPSGASNHAGAQRRDMQAGGSNNMKQSGPIVRGRGTTDYGGRDRFHAEGHVRPSPTRTTGASSNSSGFAASAVNAGQSPTVRAQGGNSSIGVPSHNQPTSSFHQTSRTSAQQQNHTSVMHQKSGQVPTQPATRIPTQHLSHRSNPSPTAQHLPARSTESGENGSYPSSNQSKTTSSEVEKTNKEIGRGSFMYGGAQIIGSAGAAGLAQGEQNNFPGTPALLPVMQFAGQHPGGLGVGCHYWLAQLGLLEDHIHLTLPSIQAFTLGLQGKLHPPFHPGKLTLTKRLNLLRKVILRDELQSVKHHSACCLSSYSDKFLSVVEPFLCLINACSRCGYVTSQQSRGGICCLSCGSGLEEDEDSNERSGLLRSHRDQNRVVPVMDVDEQQPKASPAAEPKTVALKVSMHCHGCARKVKKQISKLEGVVSVKIELGIKTVTVVGNVTPVEVLEAVSKVIKNIRLPRSGRDKRSHSALKAVGELAVTVPVAFPFAVAFPVAVASPLPSTSPSPSPSPYVSSRIRTMGKATEQGISMISQNDTHLVQGNRNSDSSDEDGCDSEEEIIVLEAVSSI >cds.KYUSt_contig_1407.117 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000141.1:555356:556348:1 gene:KYUSg_contig_1407.117 transcript:KYUSt_contig_1407.117 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLQGGLAASSEPKKLVESGSSFHSTSQGLQQLDQPDPINVYDVQKGSACDKRGKETRGVSTLTCNGCLLVCHISCSDLVPSMSSGSWYCKSCSSATRNESAEGSMGLAHYEPNLLHGNCVLCKRLEVRRSQECKATVHGQTPVGESRAVVPFSSTRCTEGQELSTITDPAGSCKICGTPEEDGHMFLICGHGHCPYKYYHVSCLKSKQIASDVQRDKPCWYCPSCLCRVCLHDGDDDLTILCDGCDEAYHLYCITPRRTSVPKGKWYCSSCSVERAKAGMRRYEKKVLKNHRKDDALVQSRNFAAVDLLLSAAEKLREDEQQVTCAG >cds.KYUSt_chr2.7037 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44041026:44042271:-1 gene:KYUSg_chr2.7037 transcript:KYUSt_chr2.7037 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHSTLSLLIRPSPTCRSALTRPAPPARAVRTVSLTSSLFSFQVGHIGRPATAGADLDTVTTMEVTLPALLPLPSAQIWPSASDISQRTMAKSSARKETAPARPPATLPQQHMFPGAPSSSSANPSTPQHLYPHGSSYTNPLNQWARGEEQKQ >cds.KYUSt_chr7.7685 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46414175:46414718:-1 gene:KYUSg_chr7.7685 transcript:KYUSt_chr7.7685 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLSLSTNVPVDAVVAADIIRDCSRALARIIGKPESVTPPLPSLLSAHSICSTECLRIMCLHESFTLAQHVMVSIDGSVPTSFARSEEPAAYGEIMSIGGLGPGVNGKLSAALAEILEAKLSISGSRFYVKFDDVQGYNLGFNGSTF >cds.KYUSt_chr3.38182 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240315816:240322534:-1 gene:KYUSg_chr3.38182 transcript:KYUSt_chr3.38182 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSAVVPSPSGEKLLLVRNAEDDSPTKLEIWGPCQLENEIHIAQSVHGSLYTDGWFEGISWNQEETLIAYIAEEPPQPKPEFNDSGYRKEGLSQKDCKSWKGQGDWEEDWGETYSKKRIPALFVANISSGEVRVVKGITRSLSVGQVIWAPSSSDNLVFVAWSDDNCFQERPRKLGIKYCYNRPCALYAAPDPFKEDADKPSIDSNKGDATAMVKLTAELSSAFFPRFSPDGKYLVFISAKSAVDSGAHNATNSMHKIDWPADGKVEGSFSVDDVVPIIASPQLGGFPGIYCSGLLRFPWLSDGRTMILSSVWGSKEAVLSVNVVSGEVSRVSPQDSDYSWNVLALDNNNILAVSSSLVTPPQMYYGFEASHTDKSCHWDWQEIPSPFPKPSDKVSSLLANHKFSVLKIPISNASDKLPDGAKLPFEAMFVSCKDSASSPTIVVLHGGPHSVYPSSYSKSLAFLHAQGYNLLVVNYRGSLGFGEEALQSLPGNIGSQDVNDVLTALEFVIKKGLIDASRVAVVGGSHGGFLTTHLIGQAPETFVAAAARNPVCNLSLMVGTTDIPEWCFLEIYGKEAKNCFTESPSADILTQFYQKSPVSHISKVKTPTLFLLGAKDLRVPVSNGLQATV >cds.KYUSt_chr2.42355 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263832025:263834603:1 gene:KYUSg_chr2.42355 transcript:KYUSt_chr2.42355 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYHYGDDIGKKNEKALSRAAMDGNLHRLKDIRMDEVTQAVENLENDWSHVVTQLEVCIAAIESCGKMCIRTLHPRKQKRKVLRRLRSDKMASIKVSRRLHSQTSQVLVTPLMGLGYGSRSRSNPPPPMASTRWIFDARITPRSSSFVHGSLRAFSISFL >cds.KYUSt_chr1.40910 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250960868:250962626:1 gene:KYUSg_chr1.40910 transcript:KYUSt_chr1.40910 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLLPVPTDSEIAAEFRRQGLVPGDVEGLFRRAAASSSIDTLGPLAPVTALVADLLNNHPDKAEVLFLFARLFSTPNYAFLDPPVSPAARFFALPPDDAADRVSRLPDALLGDIVSRLPVKDAARTVVLSRRWRGVWRSAPLVLVDADLVPDTSVLSSVVAAHPGPIRCVHLTNSCTVEFHGLLKHCVEHLADKGIQELVLVNRRWPLDFALPATFLGMATLTRLYLALWKFPCTVGLPSATCFPNLRELELCTVLMEGRDLDFILDRSPVLENLCIQGNLLKFHLRLVSQSIQCVRLLGCFVQEIFVVHAPRLEQLIHSEAWIPGGNCSKIKIGHAPKLQLLGSLHPRNHVLELGNTVIKARTRVSPSTMVPTVTFLGMEVHFGVRNDLKMIPSVLRCFPNVTALHIRSGKTDQSTGKLNLNFWYDSGAIECIHWSIKRLDFYDFQGGRSELAFLKFFFETAFVLEEVVIQLATSFTSIEEVHSKLESLGSIQLASEACSVLVTGCPGSDQEWRLH >cds.KYUSt_chr6.8100 pep primary_assembly:MPB_Lper_Kyuss_1697:6:49810816:49814221:-1 gene:KYUSg_chr6.8100 transcript:KYUSt_chr6.8100 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGCPASPDFRAREAGGRAQRRAGSRRRQRVAAHSKRRSRRCASLSVTSGARIRASSPTTTKHGSRSSGEGTNANSPLTTALPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAEAIAESLKDPVPADNSLPIDAAAGVVQARPGAPGGGAAAADVGSGRRATTRRPRRRTVVLAERRAQGRIRRSSLAVAADDRIGATLGDVGEYSGGRWRWRLGRNKMAELTRDMGKSRGRIGVFRSNLRLLDTAVASTRRATLARARAAEGNEDNEVEDQEAPDEPADDDLGRAIARCKERM >cds.KYUSt_chr3.45913 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289068901:289069164:-1 gene:KYUSg_chr3.45913 transcript:KYUSt_chr3.45913 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVNGAADLGAGSFAVRLMSARSRLHWSTGDGEDQVALRLQSQLMVVLPPPHDVVSVDLKPAKDGDGVGVEMRIVRRREARALGCT >cds.KYUSt_chr2.52413 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327028939:327034964:1 gene:KYUSg_chr2.52413 transcript:KYUSt_chr2.52413 gene_biotype:protein_coding transcript_biotype:protein_coding MARARGKKRAAAAAADAGGSSRTDPAAARGRPKRARTEPKPKPEPEYFPEPRNLEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGKLYGKTVYVFGSTEPQLLDVNGESKIVLIPIVVAVDCPFPPSDKIGINSVQRENEEIVPMRAMKMAWVPYVPLKDRLSRMESLKTKIFTLGCTQRRSALKHLKTERVKKFDYCMPYYMPLSPPEDEDDTVVNIMYPLEPPIVCDFDWEMDDYEDFIDEKVKDEVLPEDEKEKFKDFIKERVRERKRELKQAKEARKKAIDDLDPKLKEAMQNIRFYKFYPVKTEDTPDVSKVQAKYINRYYRQAHELL >cds.KYUSt_chr4.20341 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127910300:127914242:1 gene:KYUSg_chr4.20341 transcript:KYUSt_chr4.20341 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVPGATLRLVFLLLFFFPALIPFFPAEAAPTLVTTLPGFDGDLPFRLETGYVTVDEENGAELFYYFIESDGDPRRDPVVLWLTGGDRCSVLSGLFFEIGPLKFIIEPYEQNGTVPRLQYHPYSWTKVASILFVDSPVGAGFSFSRNPKGYDVGDVSSSLQLKTFITKWFTEHPDYLANPFYVGGDSLGGKLVPFLAQKISEDIEAGSSPVINLKGYLVGNPATGESIDGESRVPFLHGMGIISDQLYENYGNYLSYFWANNNITRETLGIRKGTKDEWVRCHDGDLPYSSDIMSSIKYHRNMTTRGYRALVYSGDHDAVIPFLGTQSWVRSLNFPIVDEWRAWHLDGQSAGFTVTYTNNLTFATIKGGGHTAPEYQPERCLSMFSRWIYGGPL >cds.KYUSt_chr1.34084 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207253788:207256471:-1 gene:KYUSg_chr1.34084 transcript:KYUSt_chr1.34084 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADATTAMTIDFLRARLLSERSVSRAAKDRADQLANRVAELEELLRAVTAQRRKAERAAADVLAILDSQGVGRFSDAADSGSDDDGAGGPEAAERGGGGEARREAEDALSGSELGGPAGAPQPGPGGLSWKGRAASPDSDRRQPPHRARQRHGHSHRRSSYFRSLAADSSPKYQPGQSCRKIKRKEPSNRLQTEDEDGKDNAAESLEEEEQKSDCTVCTDEQHDLDGGEASQDGRGSSSGDGRVQDGNERYTVEYEKDGEMERVLEKQAELIGQYEAEENAQREWEKKFSGNRDSTSDDVDRDNKLNQNEKAWELRGRAGQVMTKDVVPEEAQSRGKDLFGINSPSECLLNGSVSGLSSNVPEVRATGKCKESGNDFGWATPVVASVDSELHARKDELVHRSYTEIIDGSGCSNLGRSASSPQKDYTSIPIAGHNKGQGDGNSDSGSSYHANARPYEHYITTPSVGSPLSDTPKSKMSEWSSSCFHNHTDNQIDMQPHQLSSDDIGGVLDALQHAKMSLREKLSRASPPRQDMLALRAPEDHYIEDDLQFNDTQLSLCMSLSQEILALPVSGGYLSRISLPGDDAKIPVSSSGLFRLPTDSFPQNEVCSTDGYGSEFSLVSSQQTIFSRNPASHMMPTSSLYQHGSSISPNPYHDPHSSMLLSKSAKRDASFIPQIRRSSDDTRRGMPS >cds.KYUSt_chr1.16893 pep primary_assembly:MPB_Lper_Kyuss_1697:1:98098167:98103403:1 gene:KYUSg_chr1.16893 transcript:KYUSt_chr1.16893 gene_biotype:protein_coding transcript_biotype:protein_coding MILLTLSVTVPALKPPPCDGTTCPRASALELGVYFGGLYTIALGHGGTKPNISTIGADQFDDFDPVEKLHKLSFFNWWMFTIFLGILFSTTVLVYLQDNVSWSVGYGIPTLGLLVSIVIFLAGTPMYRHKKPQGSPLTSMGKVVAAAVWKHKVELPMDAKQLHELELEHYTSRKRFRMDATDSMRFLNKAAVKVNPEKDDNDDDRESLGLPPWTLCTVTQVEETKQMAKLVPLLLTMFVPCTLFAQAGTLFVKQAATLDRRFGGFQVPPASLGAVVVLAMLICVALYDRVFVPVARRRTMNPRGITLLQRIGAGLLLQVVTMAVTAAIESHRLGFARSHAPDAAGVLPLSIFLLLPQFVLMGASDALLLVGQIEFFYDQAPESMKSLGTALSLTAYGAGNILSSAVLSLVVRVTGKWGTPWVTNNLNTSRLDYYYALLAVLAVANLSVYIALSRSGLHLGFLSPDLCVGCLPAETPSASKDRYQEEAKKYGSYSSQLKDRSQVPYYIPPNGQPQENDVSTRNSENIAFINPTMGSSSSSQVNLSQGSGMSYSQMMQSMDAMHFSQQGNCSFMDLLTGPMGDENRMFMITGRSEYVHNSEEDYPQRDNDTCGNMFEPEENSDANGDSAYKYKDHGSIQSSQIRFQKKVHVMNKNVLSQINLTVQMIKMLA >cds.KYUSt_chr5.20884 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135589136:135594438:-1 gene:KYUSg_chr5.20884 transcript:KYUSt_chr5.20884 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSPLTSPADGALPCPQSRRPANTSRSPAGAGASATAAVQDGDRRRRTGLTAVWTSGRIPSRRFAIRRSRCSPTGTTKVAAAARAPVPLSRRRIGGVTWGHGRGRVLRMEPFRDLQDPSPTSTPPSRSHPKVEIFASRARVDTTILYIYFISPGERAPTRAAPVTVGSWSCECRICLDEFKNAGRGMTIQLFIQKSARLRAVALLSMIIDLDHGDDLLHSQRC >cds.KYUSt_contig_3727.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000725.1:148685:149116:1 gene:KYUSg_contig_3727.15 transcript:KYUSt_contig_3727.15 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVELYVKQTAMGPWLRRWSRHEGARGEDDEPGHLHQPLCLHDIHLPQECWPVELPLFWRRDGREAEPSAPQSALSHTAAETSEEEKDKKAEPSVPRRCTAAKMGEERGGRRHSQRVPLDAAAHGPRQHPFFSPLVVLIWL >cds.KYUSt_chr3.2962 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17029033:17038456:-1 gene:KYUSg_chr3.2962 transcript:KYUSt_chr3.2962 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTHPPLRFHARPPALAAAFHRGLPPRRARLRLCRCADAGKAQAQAQARRAYPYDEIEPRWQAHWEERRTFRTPDIGEGLDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMQGFNVLHPMGWDAFGLPAEQYAIQTGTHPKITTARNIDRFRTQLKSLGFSYDWDREISTTEPTYYKWTQWIFLQLLKRGLAYQAEVPVNWCPVLGTVLANEEVIDGVSERGGYPVIRKPMRQWMLRITSYADRLLEDLDDLDWPESIKEMQRNWIGRSEGAELEFCAVDQEGHDLGAILTVYTTRPDTIFGATYLVVAPEHVSLPSLTSEGQRVHVEEYTEVAARKSELERTELQKEKTGVFSGSYAKNPATGEIIPIWVADYVLASYGTGAIMAVPAHDSRDHEFALKYELPIIKVVSPPNGNCDPEEAYADDGIMINSSSSSSGLNINGMLSQDAALKVTDWVESNGFGKKKVNYKLRDWLFARQRYWGEPFPLIYLDDTNEMVPLSENELPLTLPELDDFTPTGTGEPPLTKATDWVKTTDFLTGGPATRETSTMPQWAGSCWYYLRFMDPKNSSTLVDKAKESYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFKCLINQGLILGEVEYTAYRDNEGRWISADSGSSLADCYQEKVSADKITKVGDHYVLKDDPNIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLVVGAPLPDGSYKDGTMATDDKPTFEQLRVLHKCIARVSEEIQETRFNTAISAMMEFVNAAYKWDTQPKSVIDSFVLLLAPFAPHLAEELWFRLGHSQSLAYEQFPEAKDEYLKESEVVLPVQINGKTRGTILVDKECSGDDAFEKAASDEKLSKYLDGKVIKKRIYVPGRILNVILDQQKAMT >cds.KYUSt_chr5.20924 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135926255:135930542:1 gene:KYUSg_chr5.20924 transcript:KYUSt_chr5.20924 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLYGTTMMGSNQLGAGIHPNGMFNDDQLLSSAFRSMSFSFRDDGGGEALANPGSVASRNGYCPADVVVPSASSMVNTFHQLTPAEHLKPNSGVWNFPMYTGIHGSDDASGTLGNLPSSPLQQRLFVDGQSLAYPPYQQMRSNCRCQDTDAEGYPPVHSQYTYPQMPQVGTPGVLWSRSNYSTMASASSSLRAPSVDQLRHHSDDTYWTVTVNPNGNSKRSSELLNNCSDCSCGNCEYCHIKQAEKLDQYGIRWSLDGVGESIFLLAKDHKGSCFLQRIFNIGAPEYVMKFLLNAAIENYFELAKDCYGCCMIKKCIAHANKDQKEKLLYNITSRALDLSQHQYGNYVIHYIVRLKVMWATDIVLDKLEGYYGYLSMQKYSSHVVETCLKEAHEPKRLEIIHELINDPRLTNILLHKYGNYVIQTALKECENAPARAALVRAIRTHVAALRKNKYGKRILSKIHQTNTEY >cds.KYUSt_chr1.11360 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69627326:69629420:-1 gene:KYUSg_chr1.11360 transcript:KYUSt_chr1.11360 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSSRRRRRLRRGGDVRPSADWAGLPIDALLSVLHKLDPMELMMGGAGRVCRSWRRAVRDEPVLWRRIDTRFRKGHRCQIDEDTAKEAVRRGSRRCEAFCGEDATDHFLLFLAEQYHYLATLGIDHVSNIKALCFLSSAPTLKSLRLIFSDRISNEGLLKAINKFPVLEELELSRCKHVFGKVYKFIGIACPHLKSFRLSCLHSYRSEAAKYNKDEEAIAISTMSALRSLQLLGSELTNKGLTAILDNCTRLEYLDIRHCFNICFDTALRAKCARIKMMKLPHDSTADYDLEIGIPILRRSKTICRDYALWKFGT >cds.KYUSt_contig_3002.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000579.1:37761:38792:-1 gene:KYUSg_contig_3002.8 transcript:KYUSt_contig_3002.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLRSLALVSAVVTVAMCYVRLAARRLRPGLPRLAAFFPVLAILPFLPLAFRAVQLRIISGFFLAWLAEFKLLLLANGQAPLHPSLPLPTFVAVASGPIRLRTDKPAQTSPWGLGLVSSAVMAALLAVVVSLYRYKERMNHYLLLCMYSSHIYLTLELVLAFMAAAARTVLGLDLEAQFDRPYLSSSLRDYWGRRWNLSVPAVLRPCVYRPVRTWLGSAPAGVLAAFLVSGLMHELMICYITLRPPTGEATVLFVLHGACAVAETWWARHDKWWRPPRLAATPLAMAFVSTTAFWLFFPPIMRHGADKVIIAECEAAVTFLRDVGAGAAGSVRSVWTGSS >cds.KYUSt_chr3.40790 pep primary_assembly:MPB_Lper_Kyuss_1697:3:257496049:257503635:1 gene:KYUSg_chr3.40790 transcript:KYUSt_chr3.40790 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSRRRSGVGSSHLDGCGGSYPVDYVTEKTDCELHMLFRTASVKVAVGYVYPSEDGAMHHHMPIPPGCVHVGVDEVVPGFETVELDIPRGEDERTLADVKHGFALWPKKYVVLLQRPPTPTHEQQMPSTPPGGSPGEQPSPHLPERDPSVSPPSRDPPRRKTASVKRNEENAEAVQAELKKWFAPKVPEIPFEKTLDPVKVVRTVDNLYDPVPSSPSDYARSIERSYDKMIEATKPVQSGIREIKGIHSVYQLGQQPVQSVAPLKVFDGKTVQSSRQDATDYAFAERGISVCSRERFGRESQEDYNLLCAILCGSKMLECKRDDITDIGFIDPHTMHVKTIENPLYNKDTPQTLLSVWQTFIKEDTSREWTPKLRWRAKLSKKVIDLDELRMLSAQGVPDGTGVRSTVWKLLLGYLPSDRELWEQELTKKRSQYEAFKDEFLGNTVGGNSATRGSEDHSDGNAEHVESGFLDRSVIAQDEHPLSLGKTKEWDQFVENSEMIEQVDRDVKRTHPDMHFFCGDSSFAKSNQESLKNILIIFAKLNAGIRYVQGMNEILAPLFFVFRDDPDYKNANFAEADSFFCFVELLSGLRDNFCQKLDNSAVGIQGTLSKLMQLLRKYDGELQHHLEITTEVNPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQSYPPTNIGHLLYVANKLQ >cds.KYUSt_chr4.4518 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25864886:25869681:-1 gene:KYUSg_chr4.4518 transcript:KYUSt_chr4.4518 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSCVDGDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRDFFRIEVLEFSLGSRSRREQYHDGCEHFQHAGHAFSSSISSVFAPPPDDVELLQCGVHLARSLGHRRPHRTNFGFGRGAIALLCGSDLSNGRDTAEVRVLELLSMESDCAAGWDLGILQQYTSPGFI >cds.KYUSt_chr2.7859 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49177950:49180572:-1 gene:KYUSg_chr2.7859 transcript:KYUSt_chr2.7859 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAGSSAPRWLPPSVLLLLAFLFAASPAARALRFDLESGHTKCISDEIKVDSMAVGKYQIVGPENTSPDAPLPDSHRISLRVSHTDRSSSSCRARLGGDPVISLIWSVQVTSPYGNSMHYSENVQSGHFAFTATEAGDYLACFWAPDHKPPVTVTFEFDWKSGVTAKDWPNVAKKGQVDMMEIELRKLADTIKSIHEEMYYLREREEEMQNMNKQTNSRMGWLGFLSLGICLSVAGLQLWHLKTFFERKKLL >cds.KYUSt_chr2.1890 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11328073:11329709:-1 gene:KYUSg_chr2.1890 transcript:KYUSt_chr2.1890 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASPVVVAEYIAEIEKARRDLRALIASKSCAPIMLRLAWHDAGTYDKATNTGGPNGSIRLPEELRHAANAGLNIAVDLLEPIKQKHPKISYADLYQLAGVVAVEVTGGPTIDFIPGRKDSSVCPEEGRLPDAKQGDVFYRMGLTDKDIVALSGGHTLGKARRDRSGFEGAWTKEPLKFDNSYFIELLKGDSDGLLKLPTDKVLVDHPAFRPYVEKYAKDEEAFFRDYAESHKKLSELGFTPSRANLLAWKSRDKAKRVVTTTTAVFAVAVAVIACAYICETKKKLG >cds.KYUSt_chr3.26001 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161615756:161618076:-1 gene:KYUSg_chr3.26001 transcript:KYUSt_chr3.26001 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGGSRLAAPALRRLLGERAQPGLAAAAGGARAYHERVVDHYNNPRNVGSFDKDDPNVGTGLVGAPACGDVMKLQIRVDEGTGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVVTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKLGDGPAEKSAEA >cds.KYUSt_contig_786.488 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2716080:2717375:-1 gene:KYUSg_contig_786.488 transcript:KYUSt_contig_786.488 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILRGGDPMAAASPRTRHADRAPIRRLSGFPAVPSVSQLPAMALYKSPHSSSVASFLLHRPHGLHHKFHHLQLKNLMCSTGYTYVFRKNTSAVGPRSSPRRSTLRSHLVYPNFIHSPGRILLRSTPVRPPHSSHPHQVNRHRPSLTDGRRGAVQEPWHHALLARWRGARGAANSPGRVTRMADAVLSVYLHAGEGHGANHEDAPAAAT >cds.KYUSt_chr4.2296 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12834566:12835792:-1 gene:KYUSg_chr4.2296 transcript:KYUSt_chr4.2296 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFPKGDLKELLIACARAIDEEGSSIATDVMLQELRKLVSVSGEPLQRLGAYMVEGLAARLASYCTTDRVSGCKKPKMKKTSIEERISHMETLHDVCRYFRSGYLSANGAIKEAVRGEDKIHIIDFHITGGSQLVGLIRELSRRKGGPPTVRITGIDDSVPTYAAGSLLEEAGMRLQFVACKYGVALEFHAAAISVEELEGAHLAVIPGEALVVNFNLGLHRIPDDTVSTANHRDRILRLVKSLSPKVVTLVEQELDTNTGPFKQRFMETLDYYAAVFESMDLTLISRGEKREQRDYYGDFFEYINQAPPSDDSTRIGIEQHCLGREVVSIVACEGAERVERHEPFHKWKVRLTMAGFTPSQLNSDLNDRIRKRLQSHNSNYLCDERDGVFYLGWKDRPLVVTSAWH >cds.KYUSt_chr2.45896 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286457427:286458920:1 gene:KYUSg_chr2.45896 transcript:KYUSt_chr2.45896 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIVSKDDKSPRHPLRLYKTAAPKNELAPKKSPISGHPTRHCALSDCSIFSSKTEISPAKIPSIRILTQSPAMGFDDREGSSSSSGLDAAALLPRHGGTGKARLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWAAGSILLLAVAALTYHCMMLLVASRHRLADEHPKIASFGDLGAAVYGAAGRHTVDAMLVLSQASFCIGYLLFIANTLAHLYPIGGASASSPLLTAKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDAATWLAEKPPVFAFGGPAEILYGLGVAVYAFEGIGMVLPLEAEAADKRKFGGTLGLSMAFIAVMYGLFGAMGYLAFGASTRDIITTNLGAGWLSVTVQLGLCINLFFTMPVMMNPVYEVAERLLHGKRYAWWLRWILVVFVGLMAMLVPNFADFLSLVGSSVCVLLGFVLPAAFHLKVMGADIGWPGLVSDVAIIGVGLALSLSGTWTSLAQMISGSPNS >cds.KYUSt_chr3.31659 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199019085:199020196:1 gene:KYUSg_chr3.31659 transcript:KYUSt_chr3.31659 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTKLLAVAALLATASLGAVLLSSTHGAGVPWGGASSSSILTTLPFSPMAVLPLLPRRVSMAALRALRGVSDIFPVFVGAATAVPAADAAASSGVVGWKGACFYENEAWLEFNNDSGTAYGGGTVHIKLWHQYLPPVHPENQYRSLAYAATREANSCSEPVPGLRSCSVTLLPGCAHDSGLVSLSAVDF >cds.KYUSt_chr1.32350 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196361252:196362511:1 gene:KYUSg_chr1.32350 transcript:KYUSt_chr1.32350 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLATTALFLAALLVVSSSPAAAARSSSKHAIPTASSASDGKPAAAATTHDIPAVFAFGDSTLDPGNNNRLLTLVRADHAPYGRAFPAGVAPSGRFSDGKLITDYIVAALGIKDLLPAYHDHGLTHANATTGVSFASGGSGLDDLTAHEALVSTFESQISDFQQLLSRIGEPQASDIAGKSLFILSAGTNDVTMNYYMMPFRALNYPTIDGYHDYLINKFQSYIQSLYKLGARRFIVAGMPPVGCLPVMKSLRGQQPPLSSGHGCVDLQNQETQRYNAKLHKALAQLEANSTGATVSYVDNYTPLLDMVTHPDKYGFTQTGLGCCGTGMMEMGALCTSLLPQCKSPEQYMFFDSVHPTQATYKAMADQIIKAHIS >cds.KYUSt_chr7.15002 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92945056:92947524:1 gene:KYUSg_chr7.15002 transcript:KYUSt_chr7.15002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELAVSVLAGVRIAKTLRWIMLRSSRQPESEHCASTADWTDLPSDLLARILQLLQLPEALAVAAVCTSWRSVAEAAGDPRARIPWLVSWKPTTGYCRSSEFRNLIDTHKTYKVTLPEGRCHLDWCGSSHGWLVASNEYSNLVLYHPFTFDIIHLPPITDLVCVKAVRDSDGNIVGYCYGKDLEHDPTQHAVHSLGTWFYQKVVLSCDPSQDGDYVAVTIYYDSNCMSFARSREGCWRLAATIAHGSDDRYADCVYHDGRFYIMTLRGVLEAWDLRGPQEPSKEVIIAGGDKSICYHVKNTLWPVDANVVLRIPMREDMDVLWAWNPDPKGIFTANG >cds.KYUSt_chr2.53900 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336422942:336428605:1 gene:KYUSg_chr2.53900 transcript:KYUSt_chr2.53900 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVPSKKKPAAKTASASKKKPAAKTASASKKKPARKAAPTGGMHAPAPNLADAHQVSVDSLARRGDMARSHDDGGDGREATEALSGEEGRRVACETGQGKTDKKRKRMGAEAPPPPPAPSQEEHRATSSADDGLDYARAIVDKSVREILAIKANRVPSTELFERLTGERDHSLENTFGDSDDGSLESRQARKVALLVSKSIASLSSYAGGKRIRVCSGFAMCQTDTTGTSMILTSATLVRSLNGDNDLIPDVKVKVLLSDGHITDGHIFLVDFHYNVVVVKVAADLALLEAFHLKGTTHSGAVLALGRSYEGASWFGAMASGESAANPADVEAARCDVVRTAAWRRCTAVWRLRRPWRSGLIPALEHRVGRRQAIVEKPRHHHLPSSGVRPQPLLRQTSDGDRQVGDGAGCDTAGVEVVVQREVIREMTNGGGGGTSLVFPMLKRGDYTNWAMVMEVNLQAASLWDAIEDAAVSARGQAGLGGTAPHLGCTWPWRVFRVEA >cds.KYUSt_chr1.1446 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7833961:7836380:-1 gene:KYUSg_chr1.1446 transcript:KYUSt_chr1.1446 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSESEMQADLAAQRAACERQVAAGRDPSAWAAFRAGLHSARSGAHQTFSRKGTPPPLSLCPSVISTGAAEWDLAGLQKQLRDLETDLAQTLSLKIAKERKRERIMESISASAAASEQLRNTVTDQTNTRVQHAAVVSRALDAVEALEAKNSEDGQWREDIDKAVSWYQQFAGFQVVEEVQGVRFVFDKVDSQAPEKEFSMVLKFDKDSEELLKDLNLANDLPKFVRITRERIQAASMNGTLPVSTTVCPDASPLPISSPPIMSVDSSSRNDADQSHSQSKNKKKALPAKRRASALSAASPGSVRRSHAFRETCESSEEADPDPGTLSPD >cds.KYUSt_chr5.37908 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239415279:239418360:-1 gene:KYUSg_chr5.37908 transcript:KYUSt_chr5.37908 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAPGAEPQKQLLSIIRDFAADKSHGERTVSGLKRRLDDVLAAADAATAELEAAKRAREAAETDLRGSQVQASIAAATIQALEATISHLQEEIAKVGSQLEELKSKEDSERDEFISQMVEMNARIRQFQQMASVELARKCSEVSADVEQGNAADCNQGNGTDGHNVSDKNETAESEDMVTDLADKLSNIEAELHALEEEYQKDLLDHKQVFLHSSTQNCGIAEAFNVCQELADVQANRALIEAVMDETKQLQEVGGYPFYRLSQ >cds.KYUSt_chr5.6161 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38035780:38036962:1 gene:KYUSg_chr5.6161 transcript:KYUSt_chr5.6161 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDRSEYNKARGGSPTKAGGGTVESDGLAVATARVLTAHAPRLVDQLLEVFRAFDLDGTGYISAAELAPLPFEELRTMVRDADVDGRGCRD >cds.KYUSt_chr4.26850 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168620474:168620833:-1 gene:KYUSg_chr4.26850 transcript:KYUSt_chr4.26850 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCPAARFLWSFLQEALGPEWQASVLGEFIEAHANNTGRRRRLFWLVFAALTWTLWTQWYPLCRQRDRERLDGMLEDLLAAARRLSTPSSL >cds.KYUSt_chr3.31077 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194996617:194997558:-1 gene:KYUSg_chr3.31077 transcript:KYUSt_chr3.31077 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAEMGCILGRRRRGRRPKREIACWKSSSTILQFSAIKAILERSELAKGTCCLLPRNPPQGAPSPVCPSHLSDASTARDGGPSRVRIRCSTCHTFAFILGIETPLTVPTKDHVE >cds.KYUSt_chr5.17048 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109882129:109897777:1 gene:KYUSg_chr5.17048 transcript:KYUSt_chr5.17048 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFPAYKLERSFMMSEFFKLSQVSGKLAGDVDGSSKTEKGENLGELWGYCCGYTSWVYHRQWSNLASPEPNKIPPLLPLLKFLPRLRLRRYYPTSKPHRHLGFLGLGSLPLVFTAHRGELRPGSYTASSSRSLAIWGWGPEVLWVAVTAVGDEGDQHGWLPDTAASLSNIAVLRRRIGGSEEPWDYPRLDVALGSAGWVGRICRPPALPLLGVVAVMGTEVVHVDVVVDNESDGVFAEAVNGHGFVIRGDSVLLPAGPAMETTTPRRAAAADAAAASSYVSMSPSPSHTPRSATKAKRPLNSGAAIAASSPSPSLAKAAGGSGNGGGVNIQVLLRCRPLNEEELRINTPVVITCNEQRREVSAAQNIANKQIDRTFVFDKVFGPKSRQQDVFNHAVVPLVGEVLDGYNCTIFAYGQTGTGKTYTMEGGGGKTQNGALPSDAGVIPRAVKKIFDTLEAQGAEYSMKVSFLELYNEDLTDLLAPEESKFSDDKSKKPMALMEDGKGGVFVRGLEEEVVSSASEIYKILERGSAKRKTAETFLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINTLVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATIAPSVHCLDETLSTLDYAHRAKNIKNKPEVNQKMMKSALIKDLYIEMDRLKQELYAAREKNGIYIPGEQYLADEAEKKAMSEKLDRLELGLELKDKQLDELQGLYDSQKVLSAELSDTLQTLQKKMQETECALAVLEEKYMQATNTIKEKEYLIDNLLKSEKVLVREAHTLRSELENTTDDLSGLFSKLERKGKIEDANKNIVGHFHSQLTQDMSFLHRTISTSVSQQESQLKVLEEEMQSFITSKSKVSGGLQKQAREMKESFSSRIAELHGFVNELNLKSNLSSEKLNGQVSLHTSDLEDCLKGLLADADELLIRLQNGHSQQEEILTSLVEQQHEGLSRNLERTKSISATTMNFFSTIDAHAVELKRILEESQTSHQKQLLQLQAKFEACAADEEKYLMAKVAGLLAESNARKKKLVQDDISSLDKTASERCNNLQTETIKLNDFTSSMREQWEAHMETTEGAFHKSISSIEQKRCSLVDNLQQCKTKTELCSEQWSNAQNSVLALGRSNAETISSVISDGNEASTQLHMRYSSSVSTALEENDISSKALACSIDESLRLDHGICEAVKPIITASQAQLHDLQRSHHEKTLVISGNADRSLGDDYKVDEPTCSTPRRRQISIPSSQSIEGLVTPLEDLVKSFWDNRTPSKLVTGNAKRLDLAIETERVPLTTIN >cds.KYUSt_chr2.8352 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52602216:52604206:-1 gene:KYUSg_chr2.8352 transcript:KYUSt_chr2.8352 gene_biotype:protein_coding transcript_biotype:protein_coding MATANRNFPLRVLSPAMRPVGRAPLRPVAMVRLGSCSGVAAVDEVLRLPDEVPHLEVPVCCLTAAWTAKFCVPMPVVHAATDALQLEIAPFRARYLVWTIPTLHSLPTTGGDGAGPKGFAAANPLRSGVPSLVPCGPVLATPTPRSSPESAEMERGRVRNALPSCEAVTPVAETVILMAQKFNTTGGVPEVWLCCLASPTCYCRYLPTPVSSGNTNIDDVQQICDDCHQRVRTDMSVALSLPNAKLNQIDHLCKVNYYTLSEKILM >cds.KYUSt_chr4.13734 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84606289:84612575:1 gene:KYUSg_chr4.13734 transcript:KYUSt_chr4.13734 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDDDPGMNRHGYPRGYHFVPRNKELIGVLEDKLAGRPLPYPPNIFHDIQILDYHPANLYETYKEHEEAGCVYFFSLRKFPGAKRTRPVRATKDGNWTASGHGKLVTRGGVDVGYKHTMVFYEKKKNKANKSEGKTDWAMHEYTSITGPEDKQVADLALYRLYNKEEKEAGKHFRILSNDSPKKKKKKKRMKKNEAGQHVITLANGSPKSEELPSPCPTDGGMPVPSTSQANDYYHVFADAPLMVPSLQATAVNYCPQQQATGTPMWPQQQAGNDFELADWDSKPPSLPPLLAPSTASGSEAIGHSLPTSQQQDAEYPGPAVSNEQPLTTLPPSVVTVLGTAAEGDYLDNEFKVLCASPCWLPMLDDDDDLPMLMDEENYTCTIDELLGDMDDKAAMSTEEADPDDQPLNLEVKVFGSDIELEAVPCWRRNPWCCATLHSFTNNLHVAFISYWYVLDTLAEGNFIGTPALEASCIIESLVGIPPVNEAKIEISLEDVMKKLEAIEKNLPSIETKLGILLDNTDKLDKTLVGINERIAVLETCAIHDNQTHRIGELEESMETLGSTFVSLKFKEKAYVGKEQKFMYVSKMPKPKNHYELKIDKTLSTTMGNLDNGASKIPIAISCVFKENHDVDVSSVDVT >cds.KYUSt_chr4.53214 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329544358:329545639:-1 gene:KYUSg_chr4.53214 transcript:KYUSt_chr4.53214 gene_biotype:protein_coding transcript_biotype:protein_coding MELGMGTHYHHAPPPASSQMNHHHHAAFSHSHSQPHHYHFYGAPSAPTGGEDHMRVDEMLDLSSHLGAHDFFPGAAGNGNGGSHYHVKSEAPPTPPATAPASSFNLSSYADEFYLPVPTEEAAELEWLSNFVDDSYPDIPNYPPAMQAAMAAAARNGGGRQPAAGQDNSASAAPGARGARSKRSRAASAAAAAWHALAPRQTISPSSSSSSSDLSSSKPARSLGGGGGMKKSGLTVEVGGRENANVGEDGGVRRCTHCASDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQNELVHIRGGGGGIVSASSGQNGGGGSAEHMFRDYGVC >cds.KYUSt_chr2.2711 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16200920:16202933:-1 gene:KYUSg_chr2.2711 transcript:KYUSt_chr2.2711 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDSLSEAKKEFVLSNGSQHRHIAAQTFTFKELAAATNNFRADCLLGEGGFGRVYKGYLESVNQVVAIKQLDRDGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDPSPDKPRLDWNTRMKIAAGAAKGLEHLHDKTNPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSYGVVLLEIITGRRAIDNTRAAGEQNLVAWARPLFKDRRKFPQMADPALEGRYPARGLYQALAVAAMCVQEQPTMRPVIGDVVTALSYLASQTYDPEVHGVHRTSRSVAPGTPPRARNHGAAHQ >cds.KYUSt_chr5.3162 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20427867:20431605:1 gene:KYUSg_chr5.3162 transcript:KYUSt_chr5.3162 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGGGHPNRGGREPPRGGRGGSRWDGGPSGGQGSFVQGGPSGTAGEDAGSRDGQDSRSNVLDDGVFRAGQGRPYDQAGYRHTGFQRNNAVGDGRRYGNNNYQRRFTAAPYNERFASTRQPPVPNNLNEIQQQLVKETAEALARQMAEVSGHNQGLNTGSVVPNAVQNNVQVRKANDVLPRTATVQRDEVHIQPSNTTNATDALLAVVAPRPRLAMYGLGHVDLSFWELPLSDSVKPRIENTRLGRVTVSGGSLSVDEVITQLQWFVPDDLYEWEVQEVETNVLRVNFPSKLDLVRVQRFGRYNIPDSDISISFDFWKKEVEPVWAPEDIWVRVHKLPPFALDDFLAMWALGDVFGKTKDIDIVFTRANNVLRMLITCLDPSLIPATWDLKIKNDFYRLRFEVEGREPNISPDITMTAASGEDEDPNGNGSGQFEDKVADRDIKRSKGDSNKETQNDINTKSAQSKGNSNNLANTPVQFGSLDVTKLKNDWSDGCVLNTAEKGLYEASGQQMPAVHFPKDLGTVQGLSADVDVATSHLIMDVATDKIHLADTVDDIKNLKTSKLDDTGASVVAKSSDFVREQHLTAVPLSISRNFGDESNATVLLIFVDYKKNQDRKEKKKTRPVPTQNGKNQIRAFHSPSYNPDSAVDGAVGGGMAVVGGMPWSVARLPPRSTTGWFRTSTTPQSATSGRLVLGVRSWATRLDDVAVDAIRLGDAIRLLAAAGSWRRCAYVSAQRIQAWTQREDDALDNSSGWQAGKQCACLYCSVARANREK >cds.KYUSt_chr2.44980 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280264231:280265628:1 gene:KYUSg_chr2.44980 transcript:KYUSt_chr2.44980 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRCIPILLLVAMTASAGASEDRANSFVAKCYPQPTPMSDGGSAFRENLLDLLKALPSAAAPTGFASLQTGGVAVADRALVRGLCFGDSVPKRCRRCLSNARKKITRKCGDASRRAGFWDRRCFLGYADGANSSAGLDDDFSTVFFSGDAIPIPDTVSVQNLVALAQSLAPGAAKGSVVTADATTPASKGDATAGNRTVRVLAQCARDRAAADCVGCLREASLMMAKTWEADGGAHGRVAAVVGSNCYLRFQISTPRLPLIQKIRRMLKDNLVLTVCVAVMIVVVVCAVIVFLCVWTIRNNRCNAVLEAMSAADDD >cds.KYUSt_chr2.39905 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247746189:247752759:1 gene:KYUSg_chr2.39905 transcript:KYUSt_chr2.39905 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCSLLVVLMITVIAHPKLRACGDATGKVVKRRTISDIGIDDELPVSGRKRPVRERLGNTVVDPDSYGGKQGNKRHDLRLKLMRKGLSKKSNSETEQNGVDLREKLSRNSKNLQGYEARGRVPESRSSYDVREVPESGSGYGSRGRVPESRASTLASQLPSARLDPPKKCSKRHVSSKECPKRHVSSKKRHVSPKKCSKRHVSPKKCSKRLVSPKKRSKRLVSSKKCSKRRISPKKCSKRHVSPKNTEVPLTVTGLLNSLGLEKYVCLFQGEEVDMTALSQMKDGDLKDMGVPMGFAEANHRRRRPVSSGAPFPAGSRCHGSSARATAGDASPSAAVVDVPVGGPPNAGVVSAMISATIPSKRKRIPKQFFEAPAAAAASPAEAPPAAKKAGRMKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKSTPSPPSVPSDAPPPPTMDVDKVFDLESTTSYMDMLNNSAVNLDTGIDAFDGECNVEEIDDEEEDEGDEEEVVEVDPAAAGSSSTPKTRTVNYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPPQWKLRDNEPKCKKEALLTMDDEAEDMSGRNAGKPEGNKKAKEKVKVEGEATSFREKLDQLMKSKEALTMKTLETKLLITKKKKEVNLAKVQARREDAKLKAELDMKMIAFKEAKAMKELLAEERDIMMMRTDGMDEDQLAWWNETKADIIARKKAARQARAQGESPASGGAGGDGLVDG >cds.KYUSt_contig_1798.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000208.1:233516:235318:1 gene:KYUSg_contig_1798.25 transcript:KYUSt_contig_1798.25 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGENGQTNGGHEQSAMEEGRKDEYDQGCGFAISVPFVQKILAEIFGTYFLIFAGCGAVTVNQSRHGQITFPGVAIVWGLAVMVMVYALGHISGAHFNPAVTFAFATVRRFPWRQVPAYVLAQMLGATLASGTLRLMFGGRHEHFPGTLPVGSDVQSLVLEFIITFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPISGASMNPARTIGPALVGSEYRSIWVYVVGPMAGAVAGAWAYNLIRFTNKPLREITKSGSFLKSMNRANSVSA >cds.KYUSt_chr1.28530 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172354078:172355345:1 gene:KYUSg_chr1.28530 transcript:KYUSt_chr1.28530 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRWREDPAISNADAVAVADLAVGGADAVGGGAMEKVLSPVGGHSNIGESPSAQTEMALSSRRVAASAALLLLLLLATELGTTTVAEARTCISQSHNFKGACLSSTNCASVCRTENFPDGDCKTRRFQRKCFCIKNC >cds.KYUSt_chr4.6606 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38789163:38795740:1 gene:KYUSg_chr4.6606 transcript:KYUSt_chr4.6606 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKERESSSRRRRRRSPSPSDTEDASDSSGSPSPRRSRSRHRRRSRRKATPSSSSDASDSQASGSGSDSGGRRRKRSSSCKRGGVTEEQIVEYMSKKAQKKAEKVAKKMKGNAVSGYSNDSNPFGDPNLTENFVWRKKIERAVTEGQTVDISVKTEKKKMRDRMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKFRSEIRLREGRTKPIDVLLKNLNFADEFDVELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQVNVKYWEALMVVCDWELGEARKRDAIDRARVRGEEPPREAEERGLHASIEGDVKDLLEGKTSTELEEMQSQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASLLRKHLHRLENTAAPEQVVGADEELDTKEEDTMHDEEEGLDDKRYSPEPIAEETENHLDEEAGSFSPELMHGTEDEDAIDPDEDKAELDRQREAVVIEHQRKVKDVMTAKARKPDEMEVKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPVYTIEKDGSAGETCHIRFHAGPPYEDISFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >cds.KYUSt_chr5.8922 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56712328:56712627:-1 gene:KYUSg_chr5.8922 transcript:KYUSt_chr5.8922 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASERAVVVFTLSSCCMCHTVARLFCDLGVNALVHELDQDPRGKEMERALLKMLGKSPSVPVVFIGGKLVGGTNRLMSLHLSGELVPMLRNAGALWL >cds.KYUSt_contig_319.131 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:927770:928362:1 gene:KYUSg_contig_319.131 transcript:KYUSt_contig_319.131 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAVSVLAATLALVAAILVGGASAQSSSGCTSTLITMSSCLNYITGNETAPPKSCCTALATVVTSKPECLCVALSSDPAALGIDNVNKTRALGLPAECNVKTPPLSNCGTAPTTSPAGKTPASPSAGAGSKTTPTADVGSGGASLQGSVAIVAGFIVAAVYAVSGM >cds.KYUSt_chr1.27990 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168820594:168820983:1 gene:KYUSg_chr1.27990 transcript:KYUSt_chr1.27990 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPPPPPPSSLLITSPYGSGSDTVGSISIGSYGPLIAVLVVIAVLIIASLVFAQLCVRSGVFVNTVYDRESFVDQECGMRVGEGTLPPMKEDDNEGAASEELDELEELEVPQNEDVDEDSSSQEGF >cds.KYUSt_chr1.7056 pep primary_assembly:MPB_Lper_Kyuss_1697:1:43376565:43380601:-1 gene:KYUSg_chr1.7056 transcript:KYUSt_chr1.7056 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYSKKTQASKLGDAQGIPFFIDNIIRFHVGAGISGVAPHYIPPPSTFNVLLDSYCWCASRRPLHHATDDDVGAAGEQPGAIRHGEDDTDLDEAAGGQRNAISTAPTSWTTTTLTGLPACSAALSPPPARCSDNIRAGAAGGQRGTIFTAHASHDDDVRDVVAAVPARRHLHHTAGCDDDEFVPSMPACSLADGAQAASMLPGAIAAAMRVWRGLRHDELLA >cds.KYUSt_chr3.24275 pep primary_assembly:MPB_Lper_Kyuss_1697:3:150700257:150703818:1 gene:KYUSg_chr3.24275 transcript:KYUSt_chr3.24275 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGRRRYSTEQLLFDSPANAAPAGRWAQVRPPVFFKICVRRVTSASGVIHVLAHDFGFRVQRGGVRRGDGEIFVSVDPATPARLRGGDAAAAESPGLRQQLSPGLLDLHAFDTELIPDFQVQGVYDGAQKFSYANGGGFVDSDVSFSTQKQMSKSTVFAESNYLNAFTEKEKAAPVAKIKVVVRKRPLNKKEVSKKEEDIIDIELNSLTVHETKLKVDLTEYVEKHGFMFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGFQLFFSFFEIYGGKLYDLLNERNKLCMREDGKQKVCIVGLQEHRVSDLETIKELIERGNATRSTGTTGANEESSRSHAILQLVIKRRVDGNDSKPPRLAGKLSFIDLAGSERGADTTENDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNSKKEVTLAAAPLRESSPSPLASVVPSLSADEATNDITERNIFGWPKQQYAKEQPSPLFVDRMPKARGDTGFSSLNGGYFNEQRTSSTAETSIAVVPDTMYQQETQQTRKGRGSALEKNMRNSIAYPVRKAVPDEHDHLNDLLQEEDDLVSAHRKQVEETLDIIKEEMNLLVEADHPGNQLDDYVTRLSSILSQKAAGIVELQDRLAQFQKRLSENDVLLYSESP >cds.KYUSt_chr7.39349 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244711890:244714001:-1 gene:KYUSg_chr7.39349 transcript:KYUSt_chr7.39349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase, Chilling stress respons [Source: Projected from Oryza sativa (Os06g0131300)] MPALAVESATAPVVAPHAFGASACDAARFAAPLLLGPADVPKPDAAAWSADLSSALYNVDGWGAPYFFVNDDGAIAIRPHGAATLPGQEIDLAKVVARASSAPATGGLGLPLPLLVRFPDVLRHRVESLNAAFAYAVSSTGYRSRYQGVYPVKCNQDRYVVEDIVDFGAPFGFGLEAGSKPELLLAMSCLAARGSPDAMLVCNGYKDLEYISLALVARTMGINAVVVLEQEDELDIVVEASRRLGVRPVVGMRAKLRTKHAGHFGATSGEKGKFGLNAAEILSVVAKLKGLGMLDCLQLLHFHIGSQIPTTALLADGVGEAAQIYCELARLGAAMRVIDVGGGLGIDYDGTHSAETDMSVAYSLEEYAAAVVAAVGRVCDRKGVQHPVICSESGRALVSHHSVLVFEAFSASAPASSIDPATAYLLDELTDDCRGDYRNVMAAAVRGDFDTCAVYADQLKRRCAEQFKEGVLGLEHLAAVDGLCEIVARGMGVAERPRTYNINLSVFTSIPDMWAIEQLFPIVPIQRLQERPSVDGILSDLTCDSDGKVDQFIGGRHSLPLHELPTHGTRGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQSDGPHCFAVTRAAPGPSCADVLRAMHHEPEVMFEVLKQRTNDGATAAAIARAFGAMPYLSFDNEAVEVASSGMSSDSEGSAAGAVEDDDDEWEFMRGLTV >cds.KYUSt_contig_257.392 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2937809:2938285:1 gene:KYUSg_contig_257.392 transcript:KYUSt_contig_257.392 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSRITDCLNYGTISCRARQGSAFLIRRTGSGFLMGLLGSGFLRRSVRIRLTDSGPDFILHDQQQLGRPMGHTPPSPSMGHPGLPDLGTVDGTPMKYTHNKRHSDLLFSNQLAHPIQHEGGQSGRPYHVGPRPDRTELNGTGMYRIGTTCSTDLGD >cds.KYUSt_chr3.36359 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228748459:228751705:1 gene:KYUSg_chr3.36359 transcript:KYUSt_chr3.36359 gene_biotype:protein_coding transcript_biotype:protein_coding MAREVAALLALLLSAAAALADQESDRIRQLPGQPPNVAFSQYSGYVTVNQARGRALFYWLVEAEPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGKTLSLNPNSWNKGPSSSLQLQLISSSSSSSVSSLVAEFLFSVSVLMLLVVAAANLLFLESPAGVGFSYSNTTVDLYTAGDAKTALDSYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAKIIYEKNKGIQNPILNLKGFVVGNAVTDDYHDYLGTFEYWWSHGLISDSTYHNLKATCIFDSATHPSPECAKNLNLASAEEGNIDPYSLYTKPCNNTASLKLGLGGRYPWLSRAYDPCTERYSNIYYNLPEVQMALHANTTGIQYPWQTCSDIVGPYWADSPKSMLPIYHELIAAGMKIWVFSGDTDAVVPVTATRYSISALKLPTLMNWYPWLGVGVRFTKD >cds.KYUSt_chr7.39978 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248336790:248337377:1 gene:KYUSg_chr7.39978 transcript:KYUSt_chr7.39978 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRIFCHADIQALRNRSDQLLSVELVSLDSVSIASDSCKLLLNLIEGRDYPKKVKLTERRYWSCSQMVLLSLIAGVALELHKIKHDLYPLLSREAKLGDDGFVEHLLLLKNSALALVRLAKDAKEIVQGLDQEVVIDGLLGKVKKFGKVVEDTADLVLKGTHNIAWLQKRLPSVLVPVDLLLLTPVQFPDPNK >cds.KYUSt_chr3.43027 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271998414:271999100:1 gene:KYUSg_chr3.43027 transcript:KYUSt_chr3.43027 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVKLLGSFGSPFTHRAEAALRLKGVAYEFIQEDLGNKSELLLRHNPVHKKVPLLLHGDRAVAESLVLVEYVDEAFEGPPLLPSDPLARAAARFWAQFAVDRCSRTLFKALWTPDGEARRGLVAETKGNLALMEARLEGRRFFGGDEIGYLDIAASGLAWVTVLEELAGVEMSVIGEEEFPALCRWRGEYTSHEAVKACLPSRDQIVAFYAGMKERLALLAKSMQK >cds.KYUSt_chr6.13159 pep primary_assembly:MPB_Lper_Kyuss_1697:6:82198343:82203464:-1 gene:KYUSg_chr6.13159 transcript:KYUSt_chr6.13159 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALGALCRGGGWSYAAIWRSDRRDPRLLTMGECHCEDEARKVVENMLNQVHVVGEGIIGSALVSGECQWISDDISFHLVQPCNTESLGLFQGYTWWQHQFLSGIKTIAVVPIPALGVAQFGSTQKVSENLEFVDHVKGTICRRERILWDPSTKHIQGDDFSHISQFQLNSLSTKGRTGINADTENNNLLENTVSMESLGSLVSTSSNYTPSSSNGFTTYESCNIRNPTHIVAMPVNSKSINAVRVFHNASNLMQHNSGSVNPLQIQSSKQPDSTIASATTSYSSLNNLPRIEHELSCTPNKLGYRLQSEKSSSFHNSFSSCYSVVDELKPMLFDNNASRVESNLLQEVDTTGFTSQANCAVYELPNQMLEETAAGIVNTGRKGENGDTSLLDSTVFDPLLHDWWDNSVLLAGNIPHFGATTTVSVTEQLNSDPLSVEGRGLFSETVLEELLGATGPVNTDTTCGPVAASTDSLANCFSGCELPGYTLQDSYSVSKEQVPSLNFPSSSYTSENMPNGASKTIPVSLANLSMDDCCSLNTASSKVGQVTNPEGIKVIKKRVRPGESTRPRPKDRQQIQDRVKELREIVPNSAKCSIDALLDRTIKHMIFLQGVTKYAEKIKQADEPKMISKDTGAILKDNSSGVVLKDNSSTTSNGGATWAYEVAGQTMVCPIIVEDLAPPGQMLVEMLCEQRGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQLAKVISSGVPSFVEHQQSPMLIPVGLAER >cds.KYUSt_chr4.24617 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154910620:154911472:-1 gene:KYUSg_chr4.24617 transcript:KYUSt_chr4.24617 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCRSTHALLSLSISLVLLFRAASLVSADVKTACADTPYPDYCVTVLSAGPKSKSADAPALAEIAVRAAAKTTAAAAVLARDEEKGIKDGAWWCMDKCAADMEDAAARLGRKTVNLAQVRSFIARTESDLVVWNCDECRRDGASKKKDLLSKDCDLEKIMGVVSALVKRVGNLLTLSEMEKRELQREVGKVAD >cds.KYUSt_chr1.6769 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41597791:41599797:-1 gene:KYUSg_chr1.6769 transcript:KYUSt_chr1.6769 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLLNLLFNTVVLNLAVLAAGEDEFVFSGFKGANITVDGVATVTRNGLVDLSSGQETLKGHAFYPAPLRLRESPNGTTIKSFSVSFVFAIYPNYRPSQGMAFFIAKSMDFSSALPTQWFGIFNSVNQGNSSNHIFAIELDTVNNRDLHDIDANHVGININSVISNKSNTAGFYDDKTAIFNTLNLTSGKGLQLWIDYERESTRINVTISPVGVAKPARALISGIYDLSMVITEDAYLGFGSSAGRDGSRHYILGWSFGINRPAPAIDMKKLPRLPRLGSKPRSKVREIVLPLATTTFVLAVGITIFLLVQRHQRYAELREDWEVEFGPHRFPYKDLYYATQGFKNKNLLGVGGFGRVYKGVLPKSKLDIAVKRVSHESKQGMKEFIAEVVSIGRLQHRNLVQLLGYCRRRGQLFLVYEYMPNGSLDKYLYHGDLRPTLNWAQRFKIIRGVASGLLYLHEEWEQIVIHRDVKASNVLLDAEMNARLGDFGLARLYDHGMDPQSTHVVGTIGYLAPELARTGKVTPLTDVFAFGVFILEVICGQRPINQDIRGKHPMLVDWVLEHWRNGSLVDTVDTKLHGEYDVNEAYLALKLGLLCSHPFMDARPTMRQVMQYLDGDCATPEPSLPVDTNFEMLAAMQNEGFDPYVMLYPSSTASHGAASIVSSGR >cds.KYUSt_chr1.5820 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35862499:35863869:1 gene:KYUSg_chr1.5820 transcript:KYUSt_chr1.5820 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGGGDNGCTVPGHPPATGRLGRAEDAPPHPPPPPPFSATDVSLEVCSPRLPSSDLESNKGELKRTVSPVLQMKKKQKQEDEQEQQPLGSLPEGPLVDILSRVPYRSLCRFKCVSKPWLALCSDPKLRKRCPQTLSGFFFNKSKCGLSFRNLSGKGAPMVDPSLPFLGGKYERVEVEQSCGGLLLCKYWESYKGRNKKKYGYAVCNPATGQWSVLTLIVLPDPVDGVPVIYDDIDDFFLAFDAANPSRFAVFAPLSNSFGEFAQVAIFSSDTRRWTSVENEWGYKNVLIGKPECALLNGTMHFGTHYGTIVTVDMEGKVWGEFDMPDDRPDKHNYTSIGQSQGCLYAWQIDNDNDCKLCVWVLQDYATGKWTLKHTANVSEIFGRQLDEDDHSYTLFAIHPDCNMVFLTDSENMTLSYDMDNQKVSAISTSRTEFVDGALYVPCFAEWLAEGH >cds.KYUSt_chr4.34080 pep primary_assembly:MPB_Lper_Kyuss_1697:4:209023616:209023819:1 gene:KYUSg_chr4.34080 transcript:KYUSt_chr4.34080 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPTTSYYMWPALRLACGPWRCCSTAKVRCTSHFVVFKYDGHGIVTVKVFDETMCHRHYHIDDDD >cds.KYUSt_chr7.9315 pep primary_assembly:MPB_Lper_Kyuss_1697:7:56615991:56616947:-1 gene:KYUSg_chr7.9315 transcript:KYUSt_chr7.9315 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAADEFFLDIDEDGPGALGEASYFSFSDEEHQFIHSDIAPGFDIETLTPTPGSPFSFDSDHDHDLSPPLFHMRTAISPSRSPPFWDCLEEDLADNLAGVLDWEEIPDSADDASVPVGTGGTGPVRGQAGEGIGEVDPDVFGFFSERDILGVMEGIDSGDESLFSDEPPFNFGDLDDEGQEIDDMFRSVGWEEVIPATLDDDDEFEVLPGHMVDAAVGGAPPAARAAVERLQVVVIGGEDAAQGCAVCKDGIVQGELATRLPCAHFYHGDCIGPWLAIRNSCPVCRYELPTDDPDYEKQRARRCSAGASVGSPMHI >cds.KYUSt_chr1.35486 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216404410:216413064:-1 gene:KYUSg_chr1.35486 transcript:KYUSt_chr1.35486 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWLKSVLLGKKSSKSKAKDLSKAPGSRGYVAAGTNPAFSESSPVISEPVLVTPHNNDAVPEVAKGESSSFRGEPAAVAREVNLELEKQSTGGSDVLSNDLERVKEEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVSTLRATWLIVKFQAIVRGRNVRLSSDAVQFSWKLAEQKSLGAKPDAWKERLASNAFARKLLASPILVEALHFQYDERDPNSAFNWLERWTMSRIWKPIYQTKRTNVTDAKPQSRRASYTMETESGKLKRNARKSSALTAEPPQTNMPVETEKPRRNPRKFTSAPADSVPDSQLTELEKVKRSLRKVTNSMAEASKVSSPATETLDYPEVQCEKPQRAAQEVPVYPEIQEQHNGDLLDNAKMDIMVPDLKPEVVVTSYPVTTEEKVDEPTPVVAAAEIMPLQDIDNEENALVNDMEQRSKEEPLSVESLKNGIRRSSFSAKPEYPENGSKNSPAVPSYMAATKSAKAKLRGQISPRLSADSAEKTVYTRRHSLPSPANGKQNSHSPRTQRPTHLNSGNKEGVKADKSMLSSRDSTASAGFLVADARFFSVESADLLYKDYHTDQKFTLTTTAANGAAITVAGTKKNEVVFSEIQSQLKGKKYTVDVKATSDSKVITTVTINELYTPGLKGIISVPTPYQKSSTGKVELQYLHPHAGINASVGLNANPLVNFSGVFGTKAVALGVDAAFDTASGDFTKYNAGLSHTNQDLTTSLNLNNKGDTLAASYYHQVHGTTAVGAEIAHTFSSNENTLTIGSQHELDPLTTVKARFNNFGIASALLQHAWRPKSLVTFSTEIDTKAIEKSPKFGLALSLKP >cds.KYUSt_chr7.21059 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130486674:130488378:1 gene:KYUSg_chr7.21059 transcript:KYUSt_chr7.21059 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAHQGIAAPDAVLASPQQPPQPPQQVVATATPRLPLAPGPAATVASADGSGGGKGRAPSSPSESGGFLVFLYLILVLRRQEPGIWKAKYLLNKLDEPAYLGSSSLDSASDFGGHLMRLKQHRGFSFGQPWMRGKEMKLCSCFCIGRMATCWLPTRCFGAAISIQAEHMTLSFGVVIFGRKGGPSSTSNAEASSESSCRCSMLQRPQVVRPR >cds.KYUSt_contig_1181.114 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:769923:771128:1 gene:KYUSg_contig_1181.114 transcript:KYUSt_contig_1181.114 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMAGLSSPAAHAAGLRRLSTRAAAGPSSASASPRHGLHSFSALASAVLSHLRASGVAVLPGLTDAELARAEAEMGFAFPPDLRAVLAMGLPSGPGFPDWRTRAGLRSAFDLPIAAASLQIARGALWPRCWGPRPADPDRALRLARSAIRRAPLLVPLFDRCFLPCQPCLAGNPVFFVTDDRVLCCGLDVLHFFTRDSSFQPVPPDLRIPSSSSSTAEPSPYTRRSLDAACGGQSPRWIEFWSDAASDRRRRDSSSSEASTASSSSSGCSSPPAAAARMSRTPHWVDSYLDRLGSVLKKGGWRDMEVNEMVEVTASGLFDGEEAPAVDTDAVLDALLLKADRCSDSLRRAGWTSEDVSDALGLDLRRCKERSRPAVRVPPEIAVKVERLAQSVASP >cds.KYUSt_chr2.37160 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229981134:229982441:-1 gene:KYUSg_chr2.37160 transcript:KYUSt_chr2.37160 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAAQVDVPSYFLCPISMEIMRDPVTLSSGITYDRDSIERWVFTDGHGECPMTKQQLGAADREPTPNHTLRRLIQGWCAVHAVERFPTPRAPVDAARVAAIVDAARRGHDLLAASLKELADIVAESDCNRRCVEAAPGTVAFLVSVLKKHAAEDAPKQTSLGSQSQEDQMYRAVLASPKASSPEDTALSILHSLRLSEESWKRILERGDNFLDTMASVLRRPSHLSRMYGIQLLKAAVSEMPPAQLTSASAELVEGVVSVVTDKLSTKAVKIALHVLCRLCLWGRNRVKAVEAGAVAALVDLLLNECCGGSKQACELAIVVLDHLCGCAEGRLELVAHPAGLAVVARAVTRLSAAGTESAVRALHAVARHSATPAVLQEMLAVGVVGRLLFLVQAGAAGDRPRERAREMLKMHARVWRGSPCFSSHLNASYPS >cds.KYUSt_chr2.41672 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259275633:259285204:-1 gene:KYUSg_chr2.41672 transcript:KYUSt_chr2.41672 gene_biotype:protein_coding transcript_biotype:protein_coding METEFAEWSSLPADLINRIADCFLATSDLDYYMDFRAAVKAGARPPMIPRIAQTLVSGPAIVLLGHHRQGLQEDTKSYHTVSVLNPFTGYLIRFIAPLPDDHFVESATLVSGSPPTLVLLLCNKWYFDNDPEGSLRDSSRKVYMGDPSSESFVVYEDKNACPLIRLAARGMYTNGESGSLAPFPLAVAKAIFDLMRHFNADPAETSDDEDTIMSEDEAIWNFWIGYYSRCFLLESAGEILIIIKLKEGIEIYKMDTDRYILEHVNDIGNRAIFLDYWEGTCPVGLPIGNVISVQIKQVELFALLVVDALLSIFAMGVSSVLDGTECPDEFNALVNALVDDSLRVWAWKPCCKMVMLSEVEESDDFRNEDIVEHDTCDSTCQSDYPHASFLLFVPAMYTQSLLLSPSQALANRPTMYLLFAGILLLLPLLFLARKARPRKHGLTNPPPGPWQLPIIGSLHHLVGALPHRAMRDLALRHGPLMLLRMGELPVVVASSAEAAREVMKTHDIAFATRPGTHTFATLASDSLGIVLAPHGEHWRQVRKLCVNELLSARRVRSFRGSREAEASNLVASLASASLASEAPVNVSPLISTFVADAVMSAVVGDRIGNRDAFLEGLNEGLKVAVGFSLPDLFPSSCLARALSGTARRVDAVVRKMVRLMDGVIEEKRARSAAGAGGGGEEDQDLLNVLLRIHADGGPLDMGTIRAVIRDLFGAGIESSSTTIQWAMAELMRSPEAHRRAQAEVRGVLAGQSRVSEDALPELRYVQLVIKETLRLHAPLPLLLPRECREPCRVLGYDVPQGAMVLVNAWAIGRDTATWGLDAEEFRPERFEEAAAVDFRGADFEFVPFGAGRRMCPGISFGLAVMELALASLLFHFDWELPGGAGELDMAEALAITAGRKSDLWLRATVRVPAVPPPHKC >cds.KYUSt_chr6.26276 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166531199:166534294:-1 gene:KYUSg_chr6.26276 transcript:KYUSt_chr6.26276 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGAGEQLSLSAVRDQLIREEDSIVFALIERAKRPRNAPAYSAAAGGGSLAEFFVREAEALYAKASLLHFSASYPEINLTCSGGGGRMPPDRFRFSRPITVASSEFGLAVPSVFLPYCSAVMMSFDRNLEFMAWCHFWGTVPGCEMSHCRLDTIKSQKMFHSFLKIFRHLSFLPKVLHSSASRVCVNDAIWKMYFDELLPLFTVNGDDGNYAETVALDFACLQALSRRIHCGKYVAEVKFKDSPQDYSPPIHAKDNNALMNLLTFKAVEEKVKQRVEKKARIFGQNVTLEEDSVNKQDGNACDSECKVDPKVLSKIYDLWVMPLTKDVEVEYLLQRLD >cds.KYUSt_chr3.22026 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135659677:135662948:1 gene:KYUSg_chr3.22026 transcript:KYUSt_chr3.22026 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSPAAALLRRLAPRLSGGTVGSASSARRTLPPHIAPSVLARFSSTHTSSPPPSSSAGERDDEAEDHELQGAPGSSGARLSISVDRSGLCSPPEHSHEPSSDSELIKHLKSIIKFRSGPISVAEYMEEVLTNPQSGYYMNRDVFGESGDFITSPEVSQMFGELIGVWAMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSAKFVNFTKALNINLVECSPTLQKVQYNTLRCEDEHDGDEKRTVSKLCGAPVYWHASLEQVPSGLPTIIVAHEFFDALPIHQFQKGSRGWSEKMVDLAEDSSFRFVLSPQPTASLIFLSKRCQWASSEELEKVEQIEVCPKAMEITEQIADRISSDGGGALIIDYGKNGIVSDSLQAIRKHKFVHILDEPGSADLSAYVDFTAIKHSAEEVSDDISVHGPMTQSQLLGSLGINFRVEALMQNCDEKQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGSPVPFE >cds.KYUSt_chr4.40677 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251112877:251130465:-1 gene:KYUSg_chr4.40677 transcript:KYUSt_chr4.40677 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVFQPLGNRKIGGTTREIFWRRYGAENQRGRKALRQAEICRGNSFPEREIIAIATAIELDFIVIIITIISTTSTIITAASTPSRCNILAAEGADGGVASALGPVSGVASQRVAVSPGPVADATVQQAARGGCTALSLSPGAIPSSSPAPAVEAGGVAMPPTRGGGPSLTTPPHTTVEVAAPTGPTRPGGVAEELPTASSAGSATADAYASLMSYGCRGGSSPTPSSPKADDALAGSQRCPVAPPSVAASMPSRRSTRHRVGPDGSATSGEDSLAKAMSRKAEENLDYAGINKSSKSFLTFTTPLIAANLNNVGLSFNKSVSAISVSANALRRMEFDRIKLTPTILSKFDTSFSDEDDEEVYAISDRQLLSHLVGEVSHGATVLMVALAYISVSRYVKEATSVAVSSNMYAPLDHVAAGMQAILTANHSAFVVADYLAASNKNHLSQVEQNLFMAFAMQPHVAEMSYAGLDGAAFTYYRGKDGRPRKMFVSRRGKWYKQAADPVTGGPVGPIAAAPPPKGLPNTARALADAKSGSLVALGAGLARPSVQMVVFSAPVGDAGVVSAAVPTKDILSIADRAALGIGAVDAYYSITDTKHNVSTGYKPLVVGSDAKKKKMEDLFSDIKCTVSTVDAPKLELHDVRIGSHQREYTAACTSFDLSGEVHLGLRLVWQKEAMLHEIGVAVVSVVCLLAAMTTVACFFMARALWRAGSQEAALQGDLMRQKEALQQAERKSMNKSNAFTSASHDIRSSLSVIAGLINVSRTEARANPNLTYYLDQMEIGTKKLFDILNTILDMSKVESGKMQLEEVQFSMADVLEESMDMANVVGMSRGVEVVWDPCDFSVLRCDAVIGDCKRFKQILDNLLGNAIKFTQDGHVVLRAWANRPIAKTSMISTPSRFAPRWRASVFYRWLLGMREDGAEQNAHRSVQNYPNYLEFYFEVVDTGIGIAKEKRQSVFENYVQVKEGHGGTGLGLGIVQSLVRLMGGEISIKDKEAGEAGTCFGFNVFLKISDAEEDIEHGRAMPSFREPDCFKGGQCILLVHGDETRRILQTWMENVGMKVWPVLRAELLAPTMERARAAVGASPSRPASISLSQGDGDDLDGVADRCFSSKEMVTQVLRNSSGNHAGHLHPFGLLVVVDVSGGRLNEVLHEAPNLARIKHQVPCRVACISDLMSSSEDLRRLKEAASYDMDLRKPIHGSRLRKLLQVMRELQASPFPQQHSHQAGITINELPAADQATAVSSEITSAGEVPQEPPRLGDDKPLEAIAVSSETTAAAAVPQEPPKLEDDKPLEGKRVLLVEDTRVLQFIQKKMLSTLGATVVVAADGSEAVAMFINALEIAIDGSGSEERVASPYDLIFMDCQMPVMDGYEATKRIREEESCYGIHTPIIALTAHSEEEDLQKTIQAGMDLYLTKPIERKTVVESVHQVWKEDNN >cds.KYUSt_chr4.19154 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120348222:120360760:1 gene:KYUSg_chr4.19154 transcript:KYUSt_chr4.19154 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAIVVLGALVASLVPAILLLLYRRNRYYSAYNLPPGSLGVPIIGRTFSLLRAFRSNTDDQWFRDRIKKYGPVSIMSLLGSPTVLLAGPAANRFIFSNDGLILTQTTALRTLVGRSVLTLTGDELKQVRGALQGYLRPDMVRRYVRKMDDEVRRHIELYWVGRDSITVLPTVRRLTLGIICSIVLGEEAAPVKEGLCTDFVTLGKSILSFPVKIPLTRFSKGMTASAKIRKSITNIARKREESLLQECITTSDNDFISYMLILRSQGVHSLTLEDIVDNAMGLIVGAHETSSVLITFMIRYLANETEILDKVTKEQDEIAINKKLGDALTWDDVAKMKYTWKVAMETLRTVPPVFGSFRTTTKDIEYQGYHIPKGWKVSTPSYE >cds.KYUSt_chr6.13557 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84952309:84956536:-1 gene:KYUSg_chr6.13557 transcript:KYUSt_chr6.13557 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVREEHYFQEYYVNVDFAELFQLYNLRALDKSIISCYCLSKMLECKRDDITDIGFIDPHTMHVKTIENPLYNKDTPQTLLSEDSVESEHQRNLSRVAGFLALHNQPSNKITYPPPPLIPPTKLQRAKKVSVSPSLSSPRVPASPTTMAVSTAMSKALTVRGPSHFRHRLMATSSQQPSLPRQPLLPRRPSLTLAASPRMLPARPRLSSTESDLSPTPPSERTMTGWDLASLWVGLVVGVPSYYLAGSLVDLGMSALQGVATVAFANLVVLACLVLTAAPAATHGLPFPVLARATFGVRGAHVPAVIRALVGCGWFGIESWIGGRAVFLLLPSALKSHQPLLTPVPGLGAAPLEFACFLAFWAAQLGVIMNGMEGIRKLERYSAPILIVLTSALLAWAYVSAGGFGRILSLPPRLTGAEFWKVFFPALTANIGFWATVAINIPDFARYARSQADQVLGQAGLPLFMGMFTFAGLAVTSSTEVIFGHIISDPIELLGRIGGPATTVLAIFGISLATITTNIAANVVAPANAFVSMSPRRFTFAQGALITALLGIACQPWRLLSSSESFVYTWLLGYSALMGPIGGVILADHYIVRRTDLDVDALYSENRESPYYFQGGFNVAAMVAMAAGVAPIVPGFLHKVGLLPSVSEAFVTAYNNAWFVSFLVAGTVYCLLCCRRGGQVKYQNS >cds.KYUSt_chr7.1103 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5926527:5927566:-1 gene:KYUSg_chr7.1103 transcript:KYUSt_chr7.1103 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHKEGSNLEISGEDFFKLEICKIKETSQQWLIKAEKAAFDCGELPLDLVYGLITEGENLSVDVEKELKLLRDRSILYCICRKPYDNRAMIACDQCDEWYHFDCINVCGPPQETFHCPACCPNNGEVFILLPRPASEEDRASIEAGPHTPPASCDKLETVEANKCSNSNSRKKSEVRVDLVKFLRQDSELDKTWRENKKVLHRTARRRSNLL >cds.KYUSt_chr2.55422 pep primary_assembly:MPB_Lper_Kyuss_1697:2:345631246:345636255:-1 gene:KYUSg_chr2.55422 transcript:KYUSt_chr2.55422 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGTAATPEKKLGSEFEPSPWGDFFIEYEPKPQQRSEDWMMTRADKLKEDVHMMFNTSNSTEGMFLLDTLQHLGIDHLFEEQIHNTLSEILENKLTSSASLHEEALRFRLLREHGHWVSPESYTWAYVIYYERCFELPRIIIAKMMLLITILDDTYDTHGTIEDCRKLHEAVQRWDESAISLLPEYLKKFYIELLRTFKNIEGEMPIDINYDIYHLKKAIQNNVTGYLQEAEWSHKNHKPRFIDQVNLTSLTVGAPTVCVSMMTGMGDTIMKQALKWTADVPDVVVATGKIVRYMNDLAAFKRGKCNSDVASSVDCYINEYSVTRDVAIARIDALMEDEWRTLNQARFGNRVLLPAMQRIISLALSASFIYDNMNDAYTASEHLEKTIERLFIEPM >cds.KYUSt_chr2.52722 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328915338:328916701:-1 gene:KYUSg_chr2.52722 transcript:KYUSt_chr2.52722 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSGILALSIIGVLVTGIVTVVATIAIYLCAKVAVQVYLRQDGSKGAERDASPFNGGAGGNTVRDVDEADVEMGTMSFFIEGVQNERPVRFSSTQLRGFTQGFSHRVGSGGFGVVYKGRFPNGAPVAVKVLNSTLGRRAEEQFMAEVGTIGRTYHINLVRLYGFCFDASVKALVYEYMENGSLDRHLFGSTPETAIGSGKLHEIAVGTGKAVRYLHEECQHRIIHYDIKPENVLLGAGMAPKVSDFGLAKLCDREDTHLTITGARGTPGYAAPELWMPLPVTHKCDVYSYGMLLFEMLGRRRNLELGLHGRHESQEWYPRWVWHRFEAGDMDAVLARAMAAGDVDDMEKAARMCKVALWCVQYRPEDRPSMGNVVRMLEGEEDIATPGNPFAHMAAYSAGAMLSDDTTTESNASSGFIGKVPGLR >cds.KYUSt_chr3.37789 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237683476:237687628:1 gene:KYUSg_chr3.37789 transcript:KYUSt_chr3.37789 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGPKHCKNQSTMVMVMSYHCPASTKLTWILASLLVLVRTIQVRAQLPKGFINIDCGWKNSSSYIDDALGMPYTFDGESVESGVSHEMLPEFMADPTNKQEKTLRSFPDGSRNCYTLASTTGTKYLLRATFTYGNYDRLNKSLDGSLFLFGLHIGVNFWATVNLSNWDPSFTVWKEVITIAPSNSLSVCLINFGSGVPFISTLELRPLLDAMYPFVNTSVSVSNFQRFRFGNVNTFTTRYPTDYYDRWWDSFSMSVVDYSTSMISLNTSNNLESLPGNSNFSVPAAILQNASTKDTNQSSITINVAAGPNLGANDLQLLPIFHFTEINMTNPNRRFDIYSKDVLMFPDFSPSQLQVHSMYKSGQFMQNADGYFFLNKTSSSSLPPLINALELYSLVRMDNLTTNSDDVNYMKQIKTYYKLARTSWNGDPCSPREYSWEGLICDHSKSNQNPRIVTVNLSTSGLSGGFAISFMNMTSLENLDLSHNNLTGAIPDYQLKSLKVLDLSNNKINGPIPDSILQRFQAGKSVDNEDYAMYEEETPLHIDIRRFTYAELKLITNDFKTIVGKGGFGMVYHGTLENSDEVAVKVLMETSIADSTDFLPEV >cds.KYUSt_chr1.12665 pep primary_assembly:MPB_Lper_Kyuss_1697:1:77928182:77928829:1 gene:KYUSg_chr1.12665 transcript:KYUSt_chr1.12665 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTDSSAYASPSPASTWQQLLPAERGHQPSYEALASAPAAAQHRAARRIAKRPPRPSRRLPTTYISADPAEFRRMVHQVTSADEPVPPPPATSAAAAADGGGAPSPPHARRPGGADRAMLLPTLDTSAFLLGGCMARPAEARTDALPTPPCDAGSIALDNNAGGGNGCGFPSLESWFAQTSRSAAGHRWSSSGTYSARPAAGAAGVGGSATYAT >cds.KYUSt_chr1.35257 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214904571:214910142:1 gene:KYUSg_chr1.35257 transcript:KYUSt_chr1.35257 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSVYGGKLICKRRRPKSQFLNLPEDIQCKVLSELPLKEATRTSILSSEWGSVQSVHPRLRFDGATMCGGRTAAGSKQYTQEFVQNVNAVLQKHNGMFVEDFEVKFEFERELVVHLDNWIRFVADSQTKNLAFDLVPDEFRGRHDRYALPAELLGCRSMSRLRSIQLSFVSIKLPPCFNGFPNLRKLDLHLVHVTAKDLQDMLSSCSNLEWLSIVRCHLEDELKVDHPLSCLLHLHIAYCEITKIQFNAVKLKTFVYRGEWLPIDLTQSSELKDVHLYLDDFITLEFALTTFPTALPTVQNLTLKAAAPLKMPGLLENPRKFSQLKYLHLDLMIIYEDAGNILSLASYLRAAPLIEKLELHFGSFALPHYGQEPIRSLPGCRLNYLKNLNVMGFMGSMGQLELLLHAVENAPALEDIQCKVLSELALKEATRTSILSSEWGSVQSLHPRLRFDGNTMCGGRTAAGSKEYTQEFVQNVNTVLQKHNGMFVEDFEVKFEFDRELVVHLDNWIRFAAASQTKNLAFDLVPDEFRGCSDRYLLPAELLDCRTTSRLRSIQLSFVSIILPPRFNGFPNLRKLDLHLVHVTAKDFQDMLSSCSNLEWLSVVRCHLYDELKVDHPLSCLLHLHIAHCEITKIQFNAMKLKTFVYRGECLPIDLSQSSELKDVHLYLDDLTLDHALTTFPTALPTVQSLTLKALAPLKMPRLLLQNPGKFSQLKYLDLDLMIIHEDADNILSLASYLRAAPLIEKLELHFGSFAFPYDGWEPIRSLPGCRLNYLKNLNVMGFMASTGQLEFLLYAVENAPVLEVLTLDPACRFNVDHQGRTYFTETVREIGIKHLGERVLPTTKLCVL >cds.KYUSt_chr4.19149 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120325608:120326923:1 gene:KYUSg_chr4.19149 transcript:KYUSt_chr4.19149 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTALTRYISYLLVDGIVVDGEASQKAIVLATSSRHYLEAALGLADWFKKDVSPPLPSPFEHVHGAALFKESMALLDPESDKVFHEALAAHDHLGIGTTCGCFNHLRPITLAQGLDKAELDVEQDQRM >cds.KYUSt_chr2.42876 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266956601:266962331:-1 gene:KYUSg_chr2.42876 transcript:KYUSt_chr2.42876 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPVQQMPDPPTPPQETKQRPKKNKRGRSKKPKRAAAAADAPSSTSATVVEDPFLVLAGGKEGGFLELEEIDGADYGIFGSIVEDVGASARKVGGDQKRKTKRGKRKRGDGAKRLDADDDGDCADDLVAESKEEEGDKGEKKGKKKRNRKKRKVNDEEKDSESKEDVADDSMEDAQDVDENMEQDNDDELKLGEDELYAWLELRLHPLLIEAMHRLGFKEPTPIQKACIPAGAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKAERLHVEDEKLTEESSSGGPLRALILTPTRELAKQVCDHLKDAAKFLGIHVVPIVGGLSMDKQERLLKKKPEIVVGTPGRLWELMSSGNQHLVELHSLSFFVLDEADRMIERGHFKEVQSIIEMLPLSNSSDEPTVKATSSCETVLNLQVKKRQTFVFSATLALSANFRKKLKRGLSTSKASTTDDLSSIEALSKQAGMKPNAEIIDLTNASILPAKLEESFIECSDDDKDANLYYILSVHGQGRTIIFCTSIAALRHISSLLRILGINVLTNHAQMQQRARMKAVDRFRESENSILVATDGFARGMDFDNVRTVIHYQLPHSSDVYIHRSGRTARKSLAGCSIALISPDDKAKFYSLCKSFSKENLQQFPVDQAYMPQVMNRLSLARQIDKISRKNSQENANKSWLQRNAESMGLILDASDSEEERVQGHKQRKATSAKLQKLQQDLNELLQHPLQPKTFSRRYLAGAGISPLLQKQLEDLARRNVNGNTSNNENKGSQFVIGQDRVEPLQALQDSGQEICVNMDKQREKRRLAENWRRKKHEEKKSNFLSSFFSNSLRLHSCITSGHGSAIIHF >cds.KYUSt_chr2.25062 pep primary_assembly:MPB_Lper_Kyuss_1697:2:153145255:153164000:-1 gene:KYUSg_chr2.25062 transcript:KYUSt_chr2.25062 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPEVQAPPEIFYNESEARKYTTSSRIIEIQARISERALELLALPNDGVPRMLLDIGCGSGLSGETLTEHGHHWIGCDISESMLDVALEREAEGDLLLADMGEIKILITSADPSKQRIECILGLRPGVIDGAISISAVQWLCNADKSSHEPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQTEMLVSFAMNAGFAGGVVIDWPHSSKAKKSYLVLTCGSPSVATSLPKAKGQDGEMCSSDDDEDADDESNDDRTFRSISLPPQWEDQPIDDKGPKEECEWLEGFRWSTKREDEGDKWFADYLLRVGNGTEETDDDGNIRLPEDICVSSTGNDTADIKKLIDHVFPALEHNMENPNYMTSRAILSTRNDNVDGILYQSRQGPEGSVKEPRRGKPHGSTKRKGRPKGRREEGEEEVHPAQDPVQPGPRPDQPVTSPVDRAADRIQPVQTGSQIDANRKQFWGSGSPTRSPSSPASGLDQMGRPQPRSNRPPDRISRIRLDPTFLGLDPLCIKATLVEDLATCETIAAIFMQNQEKPYEKVKSLKRKIMQSNIRDNPIPAYQVERSFGKDSCKKFSKTFSSPFNLNGKLKALFSSFLTNELGNGGSLIFEHDLNALSDFLGRPHPEFHGIEVNDQPGGELQWIISADLRGKMEPPTSERILFSFRESNWLDRLARALQEALAHLYRTW >cds.KYUSt_chr7.27274 pep primary_assembly:MPB_Lper_Kyuss_1697:7:170428736:170436614:-1 gene:KYUSg_chr7.27274 transcript:KYUSt_chr7.27274 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVWELPTEDRLRNSGKEWTLNILNSSNPEMREKLMLLWWRAWHLRNNCIFGDGKASIAHSTDFLRNYRCMLNNRGAEIQAEDVKGKKPMFETERMPMGRKPENRSLEWKPPDEGWQSLSVDASFVKETNQASWGAAIRDHLGQIKITAWGIIKNCNSAEMAEALACLEGVKQAINRIETGLIIESDCASVITKVSSWEKDRSQTSSVITDIHRLLTLLPAYKGGNAPVSTPVGKHKEVPKTMQYTHEQTVAFGGIQEEARREVRSSGRLRTQPNTDMTQMERAMMVAKKRAETQVIDLLRHAYEDDGGRICTSSSCKLRLRLLACGDEAMLSFLLAGLGSEGDEGRYRWEILEIALPVADSKRLRLADTILAQRAYPASSIFFLHRRIFFCLRVASQAFSSGLVPWWEQEWLYLDVVDPIAFP >cds.KYUSt_chr2.30533 pep primary_assembly:MPB_Lper_Kyuss_1697:2:188112309:188115312:-1 gene:KYUSg_chr2.30533 transcript:KYUSt_chr2.30533 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGVMRFLLLVAVVAASATVCASQLSRNHYAGVCPDVETIVRGAVAKKFQQTFITVGALVHLYFHDCFVEGCDASVLIASTANNTAEKDSTANLSLAGDGFDAVIKAKAALDAVPRCRNQVSCADILTMATRDAIALAGGPAYAVELGRLDGLSSTAASVPGKLAPPSSTLDKLTTLFASNGLSQTDMIALSGGHTVGLAHCSTFASRLRPTVDPTLSPKFAAQLQSWCPPNVDARTAVPMDTVTPRAFDNQYFKNLQTGMGLLSSDQLFYTDPRSRPTVDAWAKSEAAFDKAFVAAITKMGRVGVKTDASQGNIRHNCAAFN >cds.KYUSt_chr4.40284 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248649197:248654882:-1 gene:KYUSg_chr4.40284 transcript:KYUSt_chr4.40284 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQDEAGSGEPHPAAPPPPPFPAWARTPTECLAELGVSADRGLSSDEAAARLLKHGPNELERHAPPSILKLVLEQFNDTLVRILLAAAVVSFVLALYDGAEGGESRATAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHNLPARDLVVGDVVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETSSVNKSSHRIQAEDTDIQGKDCMVFAGTTIVNGSAVCLVTGTGMATEIGKIHSQIQEAAQEEDDTPLKKKLNEFGEALTAIIGVICILVWLINVKYFLTWEYVDGWPTNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVRLVAIGRWPDTLRNFKVDGTTYDPSDGKIHEWPSLSMDENLQMIAKIAALCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGYTPSQDSSDLLRCCQWWNNDAKRVGTLEFDRTRKSMGVIVKKTDSGKNLLLVKGAVENLLERSAYIQLLDGSVVLLDDGAKALILSSLSEMSASALRCLGFAYKEDLAEFATYDGEEHAAHKYLLDPSYYSSIENNLIFCGFVGLRDPPREEVHQAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSPSENISSRSFAGKEFMALPDRKKLLRQTGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGMYVGIATVGIFIIWYTHGSFLGIDLASDGHTLVSYSQLSNWGQCPSWEGFNVSSFTAGSQTFDFDANPCDYFQGGKIKATTLSLSVLVSIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLTQIFGIVPLSFNEWLLVIAVAFPVVLIDEVLKFVGRCLTARARKQLGKRKEE >cds.KYUSt_chr7.39743 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247074519:247078990:1 gene:KYUSg_chr7.39743 transcript:KYUSt_chr7.39743 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSNNISGSSVIDGSIMQQSPPQEQMQKRRSSSVTSQPVIGPAAAFHAHAQKKPRVDIRQDDILQHQLIQQLLQGQSSLQLQGQHNPQLQALLRQQKLLQYQQQQQHQLSQQFPQLQQSQVAIPLQPQLRPPLAQPAMQLAGPVRTPVESGLCSRRLMQYLYHKRYRPENNPITYWRKLIDEYFSPRARERWCVSSYDKRGNTSAAIPQISQDTWRCEICKTHAGKGYEATCEILPRLCQIRFDHGVKDEYLFLDMPNEFRLPNGLLLLEHTKVVLKSIYEHQHVTHEGHLRIIFTPELKIMSWEFCSRRHDEYITRKFLTEQVTNMLQVAQNYQAIANKNGPAGVSSDDARNTCNMFVSASRQLAKSIDHHSLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNKLGPIEGLKNYSKQTGPKLAVQNMHDIKGVKTEMSPNVNNEVPGVGAIGNNLQSPAAQNSYQHILRSSSANQGLIQQEASQSAVAINNYQNMLRTSSANQGLLQQEASQNTAVLNNYHNVLRGSGANQSSHQQEASSMFKGPTAVHNGIQLEASRSFRAAQLGQFQHPMSFQQAMPQHQHNNFQGLGASPQFQQHVIHQLMQEAKNTNNRPLVQQQQQHQQRPSTPNANGGLSSGATITNSAASGEQAQHMNNGAAKGAAPVGIAAAPVGLTGPSNLINNSGAGIVQRSSSFKSVSSNPATTGGNATTPKAGESMHDLDELDHLITHELAESGLFMGEQWNL >cds.KYUSt_contig_686-1.934 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5678111:5678404:1 gene:KYUSg_contig_686-1.934 transcript:KYUSt_contig_686-1.934 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVAVAATTQFACAVKLQADDAPAAAEGPADAPSMAEGPDGPAFVEMVIKHPYPASSLGSRSADGLPVDPTPDGLPVDPTPDGLPVDPTPDGQSP >cds.KYUSt_chr2.39129 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242615471:242616859:1 gene:KYUSg_chr2.39129 transcript:KYUSt_chr2.39129 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQLHHPITFNSTSNARHLPTSAPSLSRRRPRQPRVASCQPRRLLALSGGEEDDVGATRQRPAPRFLCLHGFRTSGEIMRRQVEDRWPAEVTSRLDLAFADAPFPAAGESPVRGVFDPPYYEWCQFVGEDFLRCSNFDECVANIEELMVREGPFDGLFGFSQGALLSAALVGLQEQGLALSRVPMLKYIIVISGGRIRSPASAARAYHNKIKCTSLHFIGDNDFTKTHGEELADSFVDPLVIRHPAGHTVPRLDEKGLQAVLNYLDKVEGELLAWHNSIGVDNNSAS >cds.KYUSt_chr3.32049 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201457719:201458248:1 gene:KYUSg_chr3.32049 transcript:KYUSt_chr3.32049 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGKEGGGAGSEYEVVARAFVDYYYQTFDTNRATLAALYGNTSMLSFEGHLVAGAEGIGLKLAQLPFEQCRHSICTIDCQPTPSFPGGILVFVSGNLQLGGEEHQLRFSQMFQLVPNQQGSFFVQNDIFRLNYG >cds.KYUSt_chr5.33509 pep primary_assembly:MPB_Lper_Kyuss_1697:5:212545455:212548282:1 gene:KYUSg_chr5.33509 transcript:KYUSt_chr5.33509 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPELRLVLELATDEELLEFEEILYGTSYLSPVIKSIAKRPNSVSVVALDDIEERDVFISKLESRFFYLAADARSIIRGWRPSYRNVLLQVRNELGVQCSSKLCTADLEAEIFLHLLDEYSSRQKGSLSFPWDKQRSPKEKPRFEVNNWKVLTDAAWRIGSKGLESTFLKGGSALTVKTIYESLAKRLSGKLLMETANYEIKKELVKQGGRLAAVNLESRVGLLAAEQGLARAASRYVGLRSFMTLLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQVRFILSHYLVSVAGLVHMSPSLQIRLTRSCYMESREECQENIIGQT >cds.KYUSt_chr4.10277 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62246061:62246987:1 gene:KYUSg_chr4.10277 transcript:KYUSt_chr4.10277 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRCKTAKASTTGCEDRLSALPDALLHHVIGFLEAAEAVRTCVLARRWRHLWRLIPRLRVTDVEAFRSVKKLKCFVDKLFLLRDTSFVLDECELDLRGLLRLDDTLVDLWIRRVLACHVRILQVHIYTNLPTNQGEPLVKLVDQPLISQHLVRVELGGVYLEERFLDFSSCPALEGLKFADCVLSTDRISSPQSLKHLSIMGCQFLWRDIPTHIFAPSLITLQLRDCLSMIPILESMPLLETASVNLGHGYEEYCEYCDNGGLEEYECDCCMSEMYRDNDRILDFSVVFEGLSNATCLELITSREMV >cds.KYUSt_chr5.9575 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60859787:60860098:1 gene:KYUSg_chr5.9575 transcript:KYUSt_chr5.9575 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVTKLAGQRAVVIFSMSSCCMCHTVMRLFRELGVNPTVVELDENPRGKEMEKALARLLGRNPAVPAVFIGGRLVGSTDKVMSLHLSGNLVPLLRNAGAIWV >cds.KYUSt_chr6.18965 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119390661:119391856:-1 gene:KYUSg_chr6.18965 transcript:KYUSt_chr6.18965 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPRSTNSSSSASSLTQYDLYSYNASNARALLTCYTKAKPRPSKWDDAHKWLSRAPDGDHGRRRSSGANDRLLLPPASQKGVSRPSWTWSSVGGDVPAADAGALDDADTKRVVDSVRVSRQQRCAPLPLPLTLRDVGTEMTPAGSKEPSRTNTPRAALPTASRRSHVRVPANSPRKRDGGSLGGGAVDLGAPRESTEWRDPAAGARWTAVSPATAWDEAERAKHMARYRREELRIQAWENRERRKAELRMKTTEVTAERRMVRAQEKAAGKLAAAQAVAKEKRARAEAKLGRRAVKVGGGSPGFPSKLKLLSLKLPLLCS >cds.KYUSt_chr6.28816 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182635977:182636300:-1 gene:KYUSg_chr6.28816 transcript:KYUSt_chr6.28816 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGPGPGAGKLMHTPSSLLRSPTVSNCSSFQAVLLEDPEPDHKKAQAIAAQQAKDLHHPHGLRPAATHPALVLLALPLALLILLLVLVLHDHRRRRLQLLLQGEI >cds.KYUSt_chr7.39555 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245861654:245862808:-1 gene:KYUSg_chr7.39555 transcript:KYUSt_chr7.39555 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYELPFPIPPSALHVAAALLLAAVLHFLHIPSLLLYAVHTYIHPDAVPSTGPRVALRPPGSAAGKRGAAAPPSSFDDGSNSAQLYRLRLSHTALASRPHFAGFHLALLLPLALLPPALLLPPSSLSPLAPLLPVAFLFLTLVRLVLLPPTSTSSSPRPAHLASALAALLITTVLSSSPFAGSVASLAALPATRFARAFWLGTDQPRTGLAVLASSAPARLLLHLAVLVSSGASILQCCGFVDGPDLEAKLLAAAAGLQLLASRGAVQMYLNEAVFCWYQRLHANRAPDTEYGRAKVFLHNHHLCVAATQFVVPPLLVLSLLALWWVQGKDFFEGVAGLDWLVGWSVAMKEAALLAARWVVAVWSAVTVGTLVFYKRGWTFVL >cds.KYUSt_chr4.33830 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207580407:207582431:-1 gene:KYUSg_chr4.33830 transcript:KYUSt_chr4.33830 gene_biotype:protein_coding transcript_biotype:protein_coding MRAATYGYQALKEALAAAISAAGDVRRPHALAVVSGLAGNGYVASLLVSRYFRLGATDAARKAFDAVPGAALFPGPASVVRPKPLLYNAMLRGYLAHGLPQLAIELFQGMACPPDHHTYHLAVTACARASEFELGRRIGNEAAARGFASDLLVGTALVVMHSEAGDTEAARMVFDGMRHRDDAVWTALIAGYARAGQLDQALRLFQKMRSADGVTPTESTLVSLVSGFASSGSWKARNMMHTLVIRSGFHLSIFVCNALLEMYAEFGCLSEAVMLFRQMEVKDSITWSTMIGGLVRNGRPDSAIKVFRWMVANSTVLPTRSILLNAIVACTELGDLEEGKWIEQSYVLANSSEFNRDPSLVTALIYMYAKCGQLDCSVGLLHGVEQVRDDVVAWNALVKGCAVFGQVQKAIGFTVEMQRLGIHPDAVTFLEILPMISSIPALKKGMEAHGQIILRGFQNKRTIANSLISMYGGCGSLRHSLDAFSGIVDKDVISWTTMIQVYAWNGHAAEAVKLFELMKKTEVKPNRYTFLTGLSACRNTGLVEEGMDLLKCMEEQYGLEPDVEHISCVVDMLCRTGRLTHAYDLIKNTISEHVDNTVLWGILLSASRSYGNLVIGEAVARHLLSLDPENRANYKMLADIYVSLGRRDSADDILRLSLSRGLNSIPGCSWTEGG >cds.KYUSt_chr3.14813 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90025430:90027796:1 gene:KYUSg_chr3.14813 transcript:KYUSt_chr3.14813 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQAGADESIAKKDSNWWKKFTATDAVLKKWRFEEIMRKEYEAENKRFMDEYMDENKKTQAEVSDEITEQQAGPSGDMMEPQVGPSRQIMEPQAGPSRQIMEAGPSDEIMVPQAPPSRDIMEPQAGPSRQIMQPQAPPSRQAVLSYEIMVPQAPPSRDIMEPQAASRQIMQPQAAPSWDIMEPQAEASDEITEPRAEASETFGDTDSHAKISASHLRLGVVSIALYNEKVMVYACSGAAVRHWHDNIRDHHRIFVTTARLAHKFNENRTSDDNLRIELRTQDNATLHGFLGLYDENIAMVTSFSLKCVYTMDTCNHVDLPKGTRNSKLFAIGYATNGTLMGAKCSHPVFSATGLVSVNCKITEIGLGGPVIYFGRGGSGHIAGLITGYCKEKTTFLPTKMLHEWLQRLLLLTSKTSHFHGYSLPDGVKTVIPSGCVVRSKILQSLGYPLPPPLVFELNGRLAGRFEEYFGQFHYWDGYPFDFPYRYEGEELIWKQFGKDVLEKISQSVFSIASFHIHGKRKIRYFACTGLLIKGPDCTFVLTSASLVRTGDDGQIYGNLSIEVFLPPDHRAEGTLEFYHLNYNIAIVRLQYDLSTPISPVHIFSGRESRNTQVVAIGRAPKGAHGLLMASMGEVKGKYNAVTQRKNKHLAARQQSLDLDCEDLLLSTCQIKKAGIGGPLICLDGCFVGMNFYDESQTTPFLPRTEILKVLSKAANLIGRSSTSGLVDRAHTEGPFDLECLETSKMPSRMTRNMTRKRKRNQWPVSKPYWCLVGQVGVLDQLRGKVLM >cds.KYUSt_chr3.2041 pep primary_assembly:MPB_Lper_Kyuss_1697:3:11889706:11893631:-1 gene:KYUSg_chr3.2041 transcript:KYUSt_chr3.2041 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSILLPWRHLDLTDLANGYDTKEPPKCMKHAKRTVLSTDDVDGALNLRNVKPVYGFASGDPLRFKRAVYHNDLFYIDDREVDFKEARILLINESDKFTLSLF >cds.KYUSt_chr2.4317 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26543331:26543711:1 gene:KYUSg_chr2.4317 transcript:KYUSt_chr2.4317 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSEMREERGHDLPQYGVLVSVVGGLVVAGPLFMVDGGDAVLESVKELFGPVGLLLLPVSLILLIRVLSSGYRLVDIVGLALGESLDAAHRAGRGSPLGAALALLLFLLIVYYSSSSLFGGGGGD >cds.KYUSt_chr5.31712 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200961687:200962904:1 gene:KYUSg_chr5.31712 transcript:KYUSt_chr5.31712 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDVKHAPAMFPGNGSVKRARQAAAAVGVGAVVVVPSYRECLRNHAACMGAHAVDGCGEFLPAPQLNPADPASFTCVACGCHRNFHRRVMVEEEEQAPPAPAQQQVARLPAPPVSGGVVQVQHAPPRRGEETPEVRLPAADGDDDDDSESDSDGSGSGYDDERSVSPPQLLQAQPARVPAPVSQQPPAYFSPPPSHQQQQPHMLLSLNSSAPPPGAHVQAQGQRLPVQASPATAPPAHVGGATAAAARKRFRTKFTAQQKQRMQELSERLGWRLQKRDEAIVDEWCRDIGVSKGVFKVWMHNNKHNYLGGHSARRSASATAASSAATTPTAPAAAGPFHHIAPAPGAQAPPPPAPFAPSVTHSSPAPTASGFNMNGTATSAVTPTPVYTAVHQVNGTSSPQSA >cds.KYUSt_chr3.46570 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292905965:292909963:-1 gene:KYUSg_chr3.46570 transcript:KYUSt_chr3.46570 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQDAPSGGRSLASVGFAGAGAGAGVGTGGGYKNLLVMALPKDDGAKAVEVVGAGLPDVGEAVRAFFRSREIREFASGALAGAMSKAVLAPLETIRTRMVVGVGSRHIGGSFVEIMEQNGWPGLWVGNTINMIRIIPTQAIELGTFEYVKRGMKSAQGKWKEDGCPKIQLGNMKIELPLHLLSPVAIAGAAAGIAGTLMCHPLEVIKDRLTVDRVAYPSISIAFSKIYRTEGIGGLYSGLCPTLVGMLPYSTCYYFMYDTIKTSYCRLHKKKSLSRPELLIIGALTGLTASTISFPLEVARKRLMVGALQGKCPPNMMAALSEVIQEEGLRGMYRGWGASCLKVMPNSGITWMFYEAWKDILLADKDKARE >cds.KYUSt_chr7.18656 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115622928:115628365:1 gene:KYUSg_chr7.18656 transcript:KYUSt_chr7.18656 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSARFLLSIALCSAVSSGFTFFTASTGCTTTETSTTGATNDRELGLVSDGGNGGGSFVGHPLGLEWVCFGRQTCEPEQDKRTQEYVDSAERKGERRVRLKAKEDLHVATHLAPSSFPHSMWTRAPHLPIVIKTPFPAFHLQFEPTKEKSQGKQDEESSKSRAIPPKLLAPFVLFLPCLYTERTDSWWCRDRGAEPEQESGREEVVLFRNLAMEWLDEYEKLVIRMDTPKVVIDNAVCPTATLVQVDSARKRGVLLEAVQVLADLDLSVKKAYISSDGRWFMDVFHVTDRLGRKLTDDSVISYIQQSLGTWTEPSQPAALEGLTVLELTGADRTGLISEVFAVLADMGCSVVDARAWSHRGRLACLVYLRDEDADAVRVAGIESRLAPLLRGDSGASGVVAVPACGVAHADRRLHQLMYAARDQDRAFPTPSVSVESWAERGYSVVTVQCPDRPKLLYDVVCTLTDMDYLVFHGTIDTNFGEARQEFYIRHADGSPICSEAEMQRVSQCLQVAIERRSFEGVRMELRTPDRPGLLSDVTRTFRENGLLVAQAEVSTKGDMASNVFYLTGSAGQAVHQSAIEAVKERVGVDSLVVEEHRPQLYHKTQPDDQDGGGIGLVHLGNFVKRNLYHLGLIKSW >cds.KYUSt_contig_97-2.128 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:592093:594075:1 gene:KYUSg_contig_97-2.128 transcript:KYUSt_contig_97-2.128 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRSHHLLLPHAARLLLRRAAAAPLPRTAAAARLRPLRMSTSNSSASASSPAAPSPPAVPKSRIPFCPACGSPTKLAVPDGDEKMRAVCSSCGRVHYENPKMVVGCLVEHENKVLLCRRKIEPAYGLWTLPAGYLEVGESAAEGASRETLEEACADVEIVSPFAQLDIPLIGQSYIIFRARMKTPNFSPGVESLECALFALDDIPFDSLAFSSIIVTLRMYIEDVKSGNIKFHYCTINKRIGAGASDLRSFDIDNHLAV >cds.KYUSt_chr6.6190 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37350204:37353617:-1 gene:KYUSg_chr6.6190 transcript:KYUSt_chr6.6190 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSATAVKPSSDDELLRVIKSEIKFAEDCDDHDRVEEIPENFPFKITDKKGLNEITLTRTYQGEKIEVLVSMPSLVTGDEAEHDQDEDDKEKDDDQEDGEKAPKSTIPLVVTVSKSDGPSLEFTCTAYPDEILIDTLSVKQPAANEDEELIAYEGPDFNDLDENLQRAFHKYLELRGITPLTTNFLHEYMINKDSREYLFWLNKLKDFVKQ >cds.KYUSt_chr4.51613 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320306327:320309034:-1 gene:KYUSg_chr4.51613 transcript:KYUSt_chr4.51613 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTGRVALAMAVLALCAVGIGASPAGSRGAGCRKHVRRITDYGAVGDGKTLNTAAFARAVADLSRCAGDGGAALVVPAGRWLTGPFNLTSHFTLYLRHGAEILASQDLNDWPLIAPLPSYGRGRDEPGPRYSNFIGGSNLTDVIISGQNGTINGQGQVWWDKYHAKELTYTRGYLLELLYSRDIIISNVTFVDAPSWNLHPTYCNNVTISGVTILAPIHSANTDGIDPDSSSHVKIEDCYIVSGDDCIAVKSGWDEYGIRFNMPSQHIVVRRLTCISPTSAMVALGSEMSGGIQDIRVEDSIAINTESAVRIKSGVGRGGFVRDVFVRNLRLHTMKWVFWMTGNYGQHPDNSSDPKALPVVTGINYIDVLAENVTMAGRMEGIPNDPYTGICMSNITAHLAPKAKKLQWNCTDVQGVASAVSPKPCPQLGAVGKPCTFPEDELVIGPPELPKCTY >cds.KYUSt_chr3.46207 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290809390:290810895:-1 gene:KYUSg_chr3.46207 transcript:KYUSt_chr3.46207 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTHTTPPHTQTHCHTNSSAMATATTADGAAAAHHTDGREHVVVFPFMAKGHTLPLLHFATALSVHHKGLRVTVVTTPANLAFARSRVPSSVRFAVLPFPSLPPLPAGVESTDALPSPSLHPTFVQAAALLQEPFAELMASLSSPSPPLVLVSDLFLGFTRRVAADAGVRHIVFHGMSCFATALATSAMVGPLPSCAGQGGSFHVPRMPAHLTFTAAEVPPDALVKTTDHEDPSTDPLMDEDSEASSWGVLVNSFTKLDEEFVAIVESFNQPGARAWLVGPLFLAAGDILQQDPEGCLPWLDEQSEPVVYVSFGTQAHVADEQLAELARGLVQSGHPFLWAVRSETWSPPVDVGPHGRVVRGWVPQRSVLEHRAVGGFVSHCGWNSVMESLAAGKPVLAWPMIAEQPVNARHVADMIGAGIRMDVAVDGGAVVDRAEVEKKVRRLMDADGEEGRKMRARAAWAQQEAKSAVSGGGTSHIALLKLVEELQRSYDRDASVRA >cds.KYUSt_chr7.914 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4842874:4848565:-1 gene:KYUSg_chr7.914 transcript:KYUSt_chr7.914 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQSQSIKLSSMQFSSVLPLEGKACVCPVRSANNNGCERLKVGDSSSLSLRHEMALRRKCNGARGGGAANGAQCVLTSDASPGHPCKSHLFRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFRGTADAVRKFIWVLEDYYKHKSIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASKYGLVKFDSSGRVIQFSEKPKGADLEAMKVDTSFLNFAIDDPAKNPYIASMGVYVFKREVLLNLLKSRYTELHDFGSEILPRALHDHNVQAYVFTDYWEDIGTIRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKEAIISHGCFLRECTIEHSIIGVRSRLNSGSVLKNAMMMGADLYETEDEISGLLSEGKVPIGVGENSKLSNCIIDMNARIGRGVVIANSEGVQEADRSEEGYYIRSGIVVILKNATVKDGTVV >cds.KYUSt_chr5.31500 pep primary_assembly:MPB_Lper_Kyuss_1697:5:199594046:199594575:-1 gene:KYUSg_chr5.31500 transcript:KYUSt_chr5.31500 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFWTLPKEVSLKRTDKDRLLMLLNIINKDLHQAILLTLPLEVMTSEKWHLPFERGCNYTISKVPALLCNGTPSMGMAKGKCRQLFTGNRVDTTGAMIHYHLGCIAIAAGTVLPCCTSAEEAEAIALLEWL >cds.KYUSt_chr1.19321 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113405091:113405519:1 gene:KYUSg_chr1.19321 transcript:KYUSt_chr1.19321 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTQRRVSLEQAVARQRSAARVSYLNDSTALPYSQSPASASARSKPLTTAAREDPGLQLPQRHQRKRRPPGGLWVVRRSASRAVPVEYGEWNLVGKMGWRIRDTPAGELHS >cds.KYUSt_chr1.10530 pep primary_assembly:MPB_Lper_Kyuss_1697:1:64415126:64419316:1 gene:KYUSg_chr1.10530 transcript:KYUSt_chr1.10530 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEENFEPVDVEAICNIPIGRFSEDEWAWAYEKSGYFTVRSAYKLLAAPRLQNDNPSTSDVNSTSFWKNLWKLKVPPKIGKEKKLQPAKKLVLWRPLELDTIKINVDAGFRSDFMDGTAGVVIRDHSGKLLRAQAKWFDRVANALIMEAEAVREGIQLAHDMGLQRIILETDALEVVNLVKEERTTRSIIARLVRRPDDATSPRKHRLPQIHIVGPHFRTHVVHVASPRSHLPVSCRRTSAAIFHQAAVLLRKGQQRDWTRANGWHGKRPAADIEVLPLISFLSSSLSPWPAAAKDQSRWRSQRREQEVEVWRCLRAEYTEAILEHVLKICANKNVRGYNVWTKHGEEGVMMEDGDEEEDNDEKYRSMFSECFDTAMDDNEEEGGEEQASDDPVDDDLRRAISMQ >cds.KYUSt_chr5.39618 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250697209:250699929:1 gene:KYUSg_chr5.39618 transcript:KYUSt_chr5.39618 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSAYYVFDNMSTRMSPYAVVATVLLALCYAVVRKARGRSSKLPPSPPSLPLLGHLHLLGHLPHRSLRELHLLYGTDSGLLFLQLGRRPTLVVSTAAAAADLYKNHDLAFASRVPNAAVDKLSFGPDKNISFAPYGDAWRRRKKMAVVHLLSPRRADSFGPVRAAEAAALVAGARRAAEAGEAVELRDLLYSYSNAVVTRAAARTAGATMEKMKQLMGNTTALMSGLQAEDVLPDVAARVVRWATGLEKRLDGRVEAWHQFLNEIIAEHLEKKCDYSAGEEEDFLDVLLRLRKEGTAGLELTDDSIKSLVKVAINQLIA >cds.KYUSt_chr6.14393 pep primary_assembly:MPB_Lper_Kyuss_1697:6:90098831:90099679:1 gene:KYUSg_chr6.14393 transcript:KYUSt_chr6.14393 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPASEAAVLESIRQQLMEDTPAQRPVYCRSASFGSLVADQWSESLPFRPNDAEDMVVYGALRDAFSCGWLPDGSFAAVKPEPVLSPDSYDGSCLGSFLASPGPETPDEVASMTPRFEEGEVAASASRGKHFRGVRQRPWGKFAAEIRDPAKNGARVWLGTFDSAEDAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAAAVGDKRPCPQPASSDSSSSPSSSTSGSSKRRKRGEAAAESMSMALVPPPTPVQAPVQLTLPAQPWFVAGPVQQLVS >cds.KYUSt_chr4.17879 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111977315:111987816:-1 gene:KYUSg_chr4.17879 transcript:KYUSt_chr4.17879 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLQDAEVDLGAPTLEPFGFSDELEEEEEDDEEEEDEGEEGIAAKRYMEMPASKGKPFAFKHAWEHLKDFDKWNLRDQETAPKKASMLRMDDSDEEERNACKPEGTKKAKLRMKMKGEASSMREKMEHMMKSRETLTMKTLETKLLITEKKKEVKLAQVEARREEAKRKAEERACKADLEERMMKVKEAKAWKERMVEEKEHMMISKKDMDEEQLGDTPMSGGGDGGVEDSTTDAYGDEDFAPVGLRSRYFMNIKLIPTFMYDIRGKQAIRKAVETGYVGLHASDNDDIDRAIALSLSEEARKKGKAIDNDDHLGEDEELARALQESLKDEHPRCQNGPVGDVHSDSTPATSLLPRILPSSGVRVCAGCKTPLGHGQFLSCMDSVWHPHCFRCLSCSMPISECEFAVDEDHPYHSSCYKELFHPKCDVCKTFIRTNKKGFIEYRAHPFWMQKYCPSHDNDGTPRCCSCERMEPKDIKYITLDDGRKLCLECLYTSIMDTNECQPVYIDIQEFYEGLNMKVEQQIPLLLVERQALNEAREAEKMGHHLPETRGLCLSEEQIVRIILRRPVIGPGKKIIDISTGPYKLARRCEVTAILVLYALPRLLTGYILAHEMMHAYLRLKGYRILSPEVEEGICQVLAHLWLESEIVSGSSSSIATTSAAVAVAAEAEATAALAAEATAALAAEATDTTETPSESSTKKGEKTDFEKKLGEFFKHQIETDPSAIYGDGFRAGMKAVESYGLRGTLDHIKRTGFFPR >cds.KYUSt_chr7.22278 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137964326:137972254:-1 gene:KYUSg_chr7.22278 transcript:KYUSt_chr7.22278 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDGEAEDQWIQNVRSGGAVPCLPPENCPNGWATPPGDTFMVRGPEYVTTKAKIPGGQYLLKPLGVDWIKGPAKICEVLKNKNHRVRKAIDEEVSHGNQPFVWAFNLQLPSKENYSAIFYFVSLEPAPEGSLIDQFLKGDDAFRKSRLKLIANIVKGPWIVRKAVGEQAICILGRALSCKYVQGSNFFEVDVDIGSSIVANAIVHLAFGYVATLTVDLAFLIESQAESELPERLLGAVRFSELSPGSAEEEQRGGIGSARDGRPPRSAADTDMHSPSPSSSAVASSSPSSSDLSPADGFLCVKEGVDEMIKHVANEPSVGLYFVQQHANASMPILLDVKGKVAEKTREVTLHTEDIEDSICAVRSMTEFGLPIADDMVKDINKSLKIMSKTQPKRGLIQNPIWGFQSDKSSEACDDLGATNGGSSKNYLSSMFNTAKQKASILRWPQTDLATNDDISDKSVSSTAPESSQAGGHGASTPSDAERDDTLISSRLSAKKNAATVDQRVSGADISYTAESYKKFKEEQELKLQAWLRESKGADSS >cds.KYUSt_chr7.26987 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168590919:168591635:-1 gene:KYUSg_chr7.26987 transcript:KYUSt_chr7.26987 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLMEYAPGVGVALKRKGAEEPGLFAWPDDGDVDGAPISCRANKMRRLECAGGTGSDVPEPASDVMMGEEPPAPSPGAAEGGSAVVVYNHHPAVDAAGAGGLLGLLGKWRIRPWAPVSAGAEWIRGMLREADSCTVRALLSRAQEEGGAGLAVVPWVAAPAPGEPDQASTAAETVDGEEQDAEGAVAMDVEEGTGQRQTQTVPAGFGEGYLWRWPQHCLVPAPLPPVGQASPAVRSW >cds.KYUSt_chr1.36889 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225064560:225064832:-1 gene:KYUSg_chr1.36889 transcript:KYUSt_chr1.36889 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAVWQLLRRGSLTALLFIASRVLPPPLPNLVTGDEDAPPDAGLAWLLVFRRYVEVRPEFGRERRSTPLAGHDAHSPVFTSRARTGDGG >cds.KYUSt_chr3.13376 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80594700:80595043:-1 gene:KYUSg_chr3.13376 transcript:KYUSt_chr3.13376 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCCGGGDGDRDRGCRPLGFLLGLPFALVAVLVSIVGAIIWIIGLPISCICPCCLCVTLLLEAAVELVKAPLHVMTWFTSKIPC >cds.KYUSt_chr2.8067 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50739282:50743730:1 gene:KYUSg_chr2.8067 transcript:KYUSt_chr2.8067 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEMAKTQKNKATAHHLGGLKAKLAKLRRELLTPTTKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIMYKGAKVQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNMTFRRKEKGGINFTSTVANTHLDLDTVKAICSEYRIHNADVSLRFDATADDLIDVIEGSRIYMPCIYVVNKIDQITVEELDILDKLPHYCPISAHLEWNLDGLLEMVWEYLDLVRLYTKPKGLNPDYEDPVILSTKRKTVEDFCNQIHKDMAKQFKYALVWGSSVKHKPQRVGKEHELEDEDVIQIIKKI >cds.KYUSt_chr4.4644 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26618231:26618596:-1 gene:KYUSg_chr4.4644 transcript:KYUSt_chr4.4644 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPSKKQEEQHKHGGGPELAAYVDLGMMTGAVLHKRHEAATGQRQPDKVVGLLSAEEVKEQERKAEAAAKDGEAASEKNKGVYIF >cds.KYUSt_chr4.16735 pep primary_assembly:MPB_Lper_Kyuss_1697:4:104009955:104010713:-1 gene:KYUSg_chr4.16735 transcript:KYUSt_chr4.16735 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASAASPPPEAAAPADPPPSKLDTPTAAAAASPPAEAADPPAKEDTQPAAAAAADAPPEASAPADPAPKEEIQPAAPAPAAGGDVEAEGETVVFDAAAAEAGGEEEVGECPFCTYMKGGGCKDEFVEWEKCIEVAEAEGGDIVERCSKATTALRECMDKYPVYYEPILSAERRMSEDMEAAVKEEAQATQASPASPPAAAEEGEQGDNKKQAEEVKEKEAGGEAGEQGGSKKQEEEEVVVLKEKEDLAA >cds.KYUSt_chr5.10741 pep primary_assembly:MPB_Lper_Kyuss_1697:5:69480009:69480605:-1 gene:KYUSg_chr5.10741 transcript:KYUSt_chr5.10741 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTDHDNTEVEAATFDMNDGVAAPVPNAHALDTNNGHEQIKNNAGGEGEEEVVATNDKAGEETHGAESSDTNSTNMNKEKGWKRYARKEKVRKAGAEPGHHEEILKQGASRVRARTELDNIEEEKTRTKLGEKTKKVRLQPPSLSECLGKEGLMQLRKEEQLREEMQKAEEGESKEATDPGAAGPLSGADVSAWQEP >cds.KYUSt_chr4.2884 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16430998:16439363:-1 gene:KYUSg_chr4.2884 transcript:KYUSt_chr4.2884 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPTMLLRPYLLHDAASGDEPDQRASGNLQQRYRSSLSGQRIRVVHSDAASLRKEIYRSDPERVIHTFKSHPLLNSNAVAGNTTTGAGIGNAAMGEGSRSALRQLSKSGLLGTASAPFYVVEKGRLMKQLWRTISALTVTGFAIYGLKVVLNEFDEEEGKFDGLKEVATDLSTKFSDVKGVDEAKADLEEIVHYLRDSKRFTRLGGKLPKGVLLVGPPGTGKTMLARSVAGEAGVPFFSCSGSDFEEMYVGVGARRVRELFSAAKKRSPCIIFIDEIDAIGGRRNSEEPKWSRQTLNQLLVEMDGFKQNDGIIVVAATNFAESLDSALVRPGRFDRLIQVPNPDVEGRRQILEAHMSKVLKGKGVDMVTIAKGTPGFSGADLANLVNDAALKASRDGANAVGMDHLEYAKDRIMMGSERKSAVISDHSRKMTAYHEGGHALVAILTDGADPVYKATIVPRGNALGMVTQLPGEDGELEISRKQMLATLDVLMGGRVAEELIFGEGGVTTGALSDLSSATQLATDMVTKYGMSKEVGLVSYDNATMSGRWSPLVEEEVKALLDKAYDNAKTILTRHSKELHALANALLKHETLTGDQIKKLVSAGGVLRRNLQDLYQSRSIGQRAHGLHSGAGSFPRGFNNSNLERVIHDFERQPSLLSDPSALSEYVKALVSVELQTQANRSGLAKGGVLGTASEPLHMVTVEKGKLKKQLWRTFQALAPICLLIFGIKFLMELFDTEEARNFDGSKKEVKDSSAKFSDVKGIDEAKAELEDIVLYLRDPKRFTRLGGRLPRGVLLVGPPGTGKTMLARAVAGEAGVPFFSCSGSDFDEMYFGLGAKRVRNLFTAAKKRSPCILFIDEIDAIAGSRNPEDPMWHRHTLNQLLIELDGFKPNDGVIVVAATNFAESLDKALVRPGRFDCRIEITNPDVEGRRQILEVHMSKVLKAKGLDLMTIARGTPGFSGAELANLVNYAALKAAKDGANAVGMCHIEYAKDRIMMGSERKSLVIPDERRKMTAYHEGGHALVSIHTDGADPIYKATIVPRGSALGMVTLLPQPVDQYGVSKKQLLAKLDVFMAGRVAQELIFGEIGVMSSASDDLSQATKLAVDMVTKYGMSERAGLASYNSAEDDGGGKKAKTAMSERTSDLVDEEVKELLDKAYKNAKTVLTTHSKELHLLANALLKHETLTGDQIKKLLSAGRWF >cds.KYUSt_chr7.38380 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239184460:239184870:-1 gene:KYUSg_chr7.38380 transcript:KYUSt_chr7.38380 gene_biotype:protein_coding transcript_biotype:protein_coding MGETLDRCSNPLLSFPAQSPFLLSPPVLLSNGGAPHLLGMEPDGDDHGGRSEKTMQETARRRAAARRGHAMPCRALRSPSTTGSWRSSLAPEVACPVSGGGRPRRPLAKGARATAASLAAVHLGERRLSAGGGREQ >cds.KYUSt_chr7.21494 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133269824:133275587:-1 gene:KYUSg_chr7.21494 transcript:KYUSt_chr7.21494 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDDGDWQESPDQAVLFAAEIAAVRAVLGARLPAADVLAALARCGGNAERAINALLDGDAVADPSDDGDADRAIDAFLDAELARVKAERDVVATTPTPAPTPPVKLKAKAVQDANKQRPDGPPRAKGAPLLPPPRRVKDEDEDEVTSRLRPAGDCGISLVPRAARMDIDDDDVHITDAPAAPPRPKKRVREEDAADLTATHPLPYLNPRPIRAIPPQEAAQMYRPPAPPAPAPRRAKAIAPPPSSEWKMVVAPPEAEFGDFPPESDWFLVDKSYVTGLSTHSGRRALDAGEIVHFAFPSYGRVHGGVKVSVKKAAALAQIVRFSTKRAGEVGKLSPEWTKCLVPLVNSSKVKIQGKIVFPTVELRLMQDVLLYVSFYIHKSVFTEGDNSSLSQLAPANVDYSDNPLHALFKLLKLRESIKADFILDELTRKRPWNLRGDANGDDESTPIVGLETHRTAGQTFPEQGADEQAISEAALNKIIGTAETYDLKEAEPPHTLVSVLKPYQKEALFWMSELEKGRLDDEAKKTIDPCFSAYSIADKRAPAVYINVFSGEATTKFPSLSRTTRGGILADAMGLGKTVMTIALILSDPRGEHSNYIERDTRPVSGRGTRTSASTPSIRGGTLIVCPMALLGQWKDELEAHSAPDALSVCVYYGGNRNSDLRLMAEHSVVLTTYGVLQAAHKADGSSVFHMIDWHRVVLDEAHMIKSPKTKAAQAAYLLSSQCRWCLTGTPLQNNLEDLYSLLCFLHVEPWCNANWWQKLIQRPYENGDERGLKLVKAILRPLMLRRTKETKDKMGNPILVLPPAHIEVVECEQTVEERDFYEALFRRSKVQFDKFVAQGNVLSNYANILELLLRLRQCCDHPFLVISKADTKKYTDLDELAQRFLEGVQSDPGRLAIVPSRAYVEEVVEEIRQGATAECPICLESTSDDPVLTPCAHRMCRECLLSSWTMPAGGPCPLCRSPITKADLINLPGQCRYEVDAKNNWKDSCKVAKLIMTLEDLGKKREKSIVFSQFTSFFDLLEIPLEQKGIKFLRFDGKLSQKHREKVLKEFSESQDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKSAVQVRRFIVKDTVEERMQQVQARKQRMISGALTDEEVRSSRIEQLKMLFK >cds.KYUSt_chr3.28738 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179333285:179335411:-1 gene:KYUSg_chr3.28738 transcript:KYUSt_chr3.28738 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIKTLVGLKPATAAEKHGKGRKWSRLWRTSSGGRGAASAAASEVSETSSSTAAAAADALSSAVAAVVRATPRDFRVIRHEWAALRIQTAFRGFLARRALRALRGIVRLQALVRGRRVRKQLAVTVKCMQALVRVQARARDRRTRLSADSSQGDALDERASHADPVKEAETGWCDSQGTVDDVRSKLYMRREGAIKRERAIAYALSHQRSSSHSGRPSSPAVSLRNHGVNRNNQLSYLDGWMATKPWESRLLEQSHSEQTTSRCSESIDEMNEVSSKLSEASSVKIKRNNVTTRVSAKPPSVIAVGDESAPSTSSVTPMSGNNFASSERRSDCGQGGAPSYMGLTKSAKARLSGSGSHKPPLQRQGSCDTHNYSRGAFSSIDVQSTAGSEVSVTSKRLNSLALKGRATRRSLDKENDDQSSSFH >cds.KYUSt_contig_402.424 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2647568:2649275:1 gene:KYUSg_contig_402.424 transcript:KYUSt_contig_402.424 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTLHSPRLTGRPLAGRHAAARCKCSAAPLFAKRLPLVVAFPRSGASCSAVQESSAAAAAASTSVTEKKDADGEKKEAAAEAKPAAKPAAAKPKKAPPKPLPEMMQEEIIPPLKTALEAEEDVSQVVLSFQNNTLEGSFVKEDIPYYFWAFFPQGNLTGPKGFAMTSYSMEVSTIEPFLIDEKKVTPEYVVFWVYKRLAGQGILPVWKEEDLSPAE >cds.KYUSt_chr2.41511 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258233639:258235771:1 gene:KYUSg_chr2.41511 transcript:KYUSt_chr2.41511 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTPCMALLVLLVGVADRVVSTATDGGLLPNGNFEERPDKSQLNGTIVKGRFSIPRWEISGFVEYIESGRQGELVLPVPEGACAVRLGNDASIRQKIKVTRRTEYSITFSAARTCAQAEKLNVSVIFDSGLLPIQTVYTSSGWDSYAWAFRAKHTVVWLTIHNPGVEEDPACGPLIDSVAIKTLSRPRRTKSNMLRNGDFEEGPYIFPGSTWGVLVPPMDEDDFSPLPGWMIMSDTKSVKYVDAPQHRVPHGARAVELVSGRETALVQEVATVPGRSYRMLFYVGDAANGCEASMIVQAYAARASQQVQYDSKGVGGYKRGKMDFVAVDKLTRVVLQSMNYHMKPDGTLCGPVVDDVSLVSVRKGAARRLFR >cds.KYUSt_chr5.13112 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85496551:85501414:-1 gene:KYUSg_chr5.13112 transcript:KYUSt_chr5.13112 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEALRRLCEEVGWSYAVFWKAIGAADPVHLVWEDGCCGHASCPDGSEASEGGCESGGAVCTLVRKVMASQLHVVGEGTIGRAAFTGNHQWIVHDTAGDHGLRPEVAAEMNTQFRAGIQTIAIIPVLPRGVLQLGSTSVVMENTTYVLQYKKLCSQLNNRSSMVASTSAKNDSSQKVQPRSSHGLLSVYPTDTCSKAFNGSPVAYEQFYGADATAVSCSTSVNTGRKASLLNVAHRNDEAIGDHSLFAPDTRFRRQTSYCDRRVESASQGSVVSSGFISSTSTSAEKHPLLINDGHLELGNMEESSDIRSLLLKSLARRNPVIHENTSMPMLHGGSEVLDFLNSRGNFDFLPEGNRIVKSNLYNSSTSQVLDQSCRSTSGMTVHNPAISYKIPQSAQLIMKMGSPRRDSCHAAAALSSGSEIQAFSGLKAEYSQENQLNITDNVCQNVKAKEVNDSSFAVRIQGVKNMDRQKLPDISSERSSSFLMDSTTGNDLFDIFGAEFPHLCHNVDGNLTWNTAKQESSQRDAPESSVYPDTSPVFGALDDEFPFSGIFSLTDSDQLLDAVISSVNPVGKQISGDSASCKTSLTDMPSTSYCASKEAKQQESCGAPPLLVKNELAVSNFVKQPCFLEKTEDGCLSQNNGMHKSQIRLWIESGHNMKCESGSASNSKGHDTPNKANRKRSRPGESSKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMVFLQSVTKHADNLKDSNESKILGGENGPLWKDYFEGGATWAFNVGSQSMTCPIIVEDLDRPRQMLVEMICEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLVRLLEPSCDGGGSGENPDNVKKPLGIAQHQIIPATGHLR >cds.KYUSt_chr5.28234 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178724036:178724599:1 gene:KYUSg_chr5.28234 transcript:KYUSt_chr5.28234 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGWRVAFHIVALISVVVGALVYLFAVDPHFRNPEGGGQLLRKSAWVQMKDLVTEAKAVVKIPSFQIIVAQGITGSLPWSALSFAPMWLELVGFTHNQTGALTAIFALATSLGGLFGGKMGDYLSVRFPDSGRILLSQISSASAVPIAALLLLGLPDDSSTSVLHGLVIFIMGLSISWNGLATNK >cds.KYUSt_chr4.4289 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24558752:24563037:-1 gene:KYUSg_chr4.4289 transcript:KYUSt_chr4.4289 gene_biotype:protein_coding transcript_biotype:protein_coding MAATELDLACDMAELEEELGASSSSHSFTTKQTWNLRLVYRSTGPIKDPFGGRSGARPSPPDIDVEVPAGDGTSGSAPPLPSTHRRDPALLGGGGGGEMNPLTQVKQTQLINKKEAALGLSENASWHARFKDSAYVFCGGISFDLTEGDLLAVFAQYGEVVDVNLVRDKTTGKSRGFAFLAYEDQRSTVLAVDNLNGAKVLGRIIKVDHVDKYKMKEEEDEEEVAKKREERGVCYAFQKGECNRGDACRFSHDAQRNANTGWGSKEDIEPKWEHDKHRGPSNKGGVCYAFQKGECSRGDSCRFSHDEQVAVQNRGVCYAFQKGECSRGASCRFSHDEQRNANTGRGSRDDSNGRRQHDHDPPKSHKNFPDRTKEETRSGNREGQSSRSDVYRDRDSRTRHGDRDTEDRDRNRHERSPERSRGERQRDDDRYREERSESKRSRHDRDSGGRHERRGDEEAERHGKSRR >cds.KYUSt_chr4.13907 pep primary_assembly:MPB_Lper_Kyuss_1697:4:85584939:85595619:-1 gene:KYUSg_chr4.13907 transcript:KYUSt_chr4.13907 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRDVAAAAKIGKLLGERLLFSGIPAVSVSMSREQTYHGKVKAVMDSLAAAGAETQRREANRWNRKGTIWLKMLGALRSTGIDPYPHKFDAGISISDYVAKYDSLGAGEHLLTVTESLAGRVMSKRAASSKLFFYDLYGDGVKVQVMAGASCSEVGETEFSEYHSVVKRGDVVGVIGYPGRSSRGELSIFVTDLKLLSPCLHMLPNQRTGRCTSVVGKTTRARAAADCWVPGIGRNIEAYVLKDQETRYRQRYLDLMVNHQVRHIFRTRSRVISFIRKFLDERNFLEVETPMMNLIPGGASARPFVTHHNDLNMDLYMRIAPELHLKQLVIGGLDRVYEIGKAFRNEGIDLTHNPEFTTCEFYMAYADYNDLMDLTETMLSSMVRELTGSTKIKYHANGADNPPIEIDFTPPYRRIDMMQELKSVAGLDIPVDLSSDEANKYLAATCAKYGVKCSPPQTTARLLDKIVGHFLEATCVNPTFIINYPEIMSPLAKWHRSRSGLTERFELFINKHEVCNAYTELNDPSVQRQRFQEQLMNRQLGDDEAMALDEAFCTALEYGLPPTGGWGSGIDRIAMLLTDSQNIKPVHDACILPYDLELLSALQALDLHGDAITCDIPNAIFLDMTWIRLDVNSFSSVPGDFMVRDRSSSSASATCPV >cds.KYUSt_chr7.27221 pep primary_assembly:MPB_Lper_Kyuss_1697:7:170156873:170161151:-1 gene:KYUSg_chr7.27221 transcript:KYUSt_chr7.27221 gene_biotype:protein_coding transcript_biotype:protein_coding MQREAGPQVATPLYLHQIQQRPPHAAPSPNKRANPWPAATDPSHTTALPTAAGAAAGEANWNPRMWDWDSRAFTARPSSDALRLAAGHQQHHHHHHPPAADAQRQLSLQLGLREEAAALAMDVSPTTTISSSSPSPPARAAAAQEQAARPAKRVRSGSPGTTGSGGDGGGASANGGGGGGSYPMCQVDECRADLTTAKDYHRRHKVCEAHSKTTKAVVGSQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPTDGASQLLLPENQENAANRTQDIVNLITVIARLQGGNVGKLPTIPPLPDKENIVQIISKINSISNANPPPGKSPPSEVIDLNASHGPQQNSGQKAADATDKQNVPSTMDLLTVLSGALGASTPETNTSQSQGSSDSSGNNKSKSRSTEPACVVNSHEEAIRSFPAAGMMRSNSTHGSPDREPYLSLQLFGNNIEGIPAKMDTANKYLSSESSNPMDERSPSSSPPVTHTFFPTRPVNEGARHPRAADYGEDAATVENSTTRAWFAQPQLELFKDSERPTDNGSPPNPTYQSCYASTSGSDHSPSTSNSDGQDRTGRIIFKLFGKEPGSIPGNLRDEVVNWLKHSPTEMEGYIRPGCLVLSMYLSMPAIAWDELEENFLRRVSSLVRDSDLDFWREGRFLVRTDNQLVTYKDGMTRISKSWRAWNTPELTLVTPIAVVGGRKTSLVLKGRNLTIPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGLETFDLPGEPNLILGRCFIEVENRFRGNSFPVIFASSSVCQELRNLEAELEDSQYHDVSSDDLVHDVRRLKLREQVLYFLNELGWLFQKAASYTPSTKSDASDSEVIQFSTARFRHLLLFSNERDWCSLTKTLLDILSKRSLVSAELSQETLEMLSEIHLLNRAVKRKSSGMVHLLVHFVVICPDNSKMYPFLPIHPGPGGLTPLHLAASIDDAEDIVDALTDDPQQIGLSCWHSVVDDNGQSPEAYAKLRNNDSYNELVAQKLVDRKNSQVSIKLNEGEIRMDQRGNVGDKSASGINALEIRSCNQCAILESGLLARPMHSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLAYGPT >cds.KYUSt_chr7.26253 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163942993:163946126:1 gene:KYUSg_chr7.26253 transcript:KYUSt_chr7.26253 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEAKRRSEDKDLLKKEKQKTKTRKKERKDGGKRERKERSRDHRKDKRKNKHKREKHEDRTKKKGRGEERRQVLEQGTQKNGDLDNRRPKQIVYNEAVKDSKQTDELTSQITVQEGHANSTGSSTGKLLPRSIQSFGVVGSKVMERTSISRANEKSWQIGQHNHASENGKDKTRVMNYRSLQGGPAEKYSTGIHGSNGVGLQRESSKGVFATTAAIQQKRRITPSTNAAQRTEQVDQHSVSSSHSAYGKSNIVTTMTEKKNGSVNNFHSRMDKELVGGKKVAVQGNAKIKEEKANHQKVVKDGDTGHDVNHKAVNHKAIKDRDRDHNVMNRKAKDRKNGAVQSNAKIKEEKTNHQKSVKDGDRDHDVNHKAVKDTDRDHNVKKRKAKDGNEGKVREKRSVGHEQKRKELDGHGIRKNYIHEMDSAHLNGNKFISDDVKNREDLNTNNSLHEHGMRMSKMPRTSPANHLHVNGKTLKHSQGTAPCSSTLSAGTTPCEADMLQDIKECYNDGTTGSRYLEKHQSLVSSASYDSSEVYLTPPHPDTKYLSQMYSIPAADDWSEYIDQDWLFSGDLVHRKSTVATEPLQVWAEAQPMDSADVVAMPYIVPF >cds.KYUSt_chr3.27758 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173331903:173336647:1 gene:KYUSg_chr3.27758 transcript:KYUSt_chr3.27758 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVAAASLEGFTYRGSRYWGFLDEGFKYKVHQDPGEEDTPWSREGEEQRDLKMDIKLDPTSSVAREGREGAGQPRTRSTGPTTGPPGPSPGRPGGTPGFPRLAPGWRPVSGPVGTGLAGHRPGSVVRYFRGKNILITGSTGFLGKVLVEKILRVQPDVKKIFLLVRATDDVSAKRRIQTEVTGKEIFKVLKEKHGNKFELFIENKLHPLAGDIMYENFGLHDVQLKELTDDVDIIVNGAATTNFYERYDVAFDTNVLGPKYVCAFAKNCAKLKMLLHVSTAYVAGEQEGLIPEKPFVMGETLREGTHLDIVSELNLIKGTLIELNKNSNSFSEKTERRIMKELGLKRQFGWPNTYVFTKAMGEMVLGHLRGDLPVVIVRPSIITSLLKEPLPGWMEGIRTIDSVIIGYAKQALKFFLVDPNTIMDVIPGDMVVNAMMVAMAAHSEEQAQTIYNVTSSLRNPASYMILHETGHRYFVDNPPRGKNGEPIRLSKMRFFSTVAWLRAYMFIKYKLPLEMLHLVNIVLCGVFSRLYDEHSRKYILAVKLIELYAPYTLFKGCFDNMNLERLRMATEQNNDGEHYFDFDPKKIDWDDYFYRVHIPGVLKYLA >cds.KYUSt_chr2.36784 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227197976:227201496:1 gene:KYUSg_chr2.36784 transcript:KYUSt_chr2.36784 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAANRPPTPAPASGGMARKLSIVLFVILSALLYQQIQPPPPKICGSLNGPPVTAPRTKLKDGRHLAYKESGVPKEEAKYKIIFVHGFDSCRHDALPISPEVAQELGIYLLSFDRPGYGESDPDPARSEKSIALDIEQLADNLELGPKFHLIGFSMGGEIMWSCLKYIPHRLYGVATLAPVGNYWWSGIPLNVSRDAWYQQLPQDQWAVWISHHLPWLTYWWNTQKLFPPSSVITYNPAILSEEDAMFMKKFGMRPYFPMIRQQGEYNSLHRDMMVGFGKWDWSPLDLKDPFAGGEGMVHLWHGAEDLMVPASLSRYISERLPWVIYHELPKSGHLFPVDSGNADAIVKSLLLGDQ >cds.KYUSt_chr6.2760 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16155214:16160088:1 gene:KYUSg_chr6.2760 transcript:KYUSt_chr6.2760 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGLDLLQGLVRSYLNNRYEEETSIARGGEEQLDVKMDVKLDMELDMKIFHGRAREEREACAREEDEVQVATYRFVVGGYVRKCVELRISCRVEMKVGVLHERLEILRGVSGYFRPGVLTTLMGISGAGKTTLMDVLAGRKTSGFIKGSISLSGYLKKQKTFARISGYCEQTDIHSPHVTVYDSLLFSAWLRLPRDVDSNTRKMFIEEVMALVELTTMRDALVGLPGVNGVLNSASVQPVVAVERTTFYRERAAGLYSAFPYAFGQVAIEIPYTLVQSGIYAKIPIWWRWYYWMCPVAWSLYGMVVSQYGDIDDPLYDGVTTTTVARFVSDYFGFKHNSLMAVGAVVVAFGLLFAFLFGFAIMKLDFHRK >cds.KYUSt_chr4.4874 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27935992:27938020:-1 gene:KYUSg_chr4.4874 transcript:KYUSt_chr4.4874 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCERKKKLRRTAASGEFVCKTCSRSFPTFQALSGHRTSHLRGRHGLALALAGDCYFLKSKKTTSSDDQEKPAALHQCHVCGLTFEMGQELGGHMRRHREETVAVAQAPLVLLQLFV >cds.KYUSt_chr2.13045 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82756374:82761188:-1 gene:KYUSg_chr2.13045 transcript:KYUSt_chr2.13045 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNEDEAEEAPPVFNIDGVNYIHVKVAGLFFVVTTMVNISPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEALKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVANEPGGKKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNEDLGIGRSGSSTHDYRSSSGGGSVILDDCNFHESVQLDSFDIDRTLHLIPHDGEFSVMNYRMTQEFKPPFRVTALIEEAGPSRAEVILKIRADFSANVTANTITVQMPVPSYTMRASFELEAGAMGQTTDFKEGTRRLEWNLKKIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNASKLQVRPSAVKIIKPTNGSFCHPYNTTPTSTGANSVLFWGYMDQSADEDGSS >cds.KYUSt_chr1.38725 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236623436:236626381:1 gene:KYUSg_chr1.38725 transcript:KYUSt_chr1.38725 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLPAPAGCMTSTCDAAAAPGLGGKDVLFLAAGIFLLWVLRRRFWMAGHAGNNNGDNVRRQYLERLRRYAHNPGY >cds.KYUSt_chr3.9140 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53353022:53358115:1 gene:KYUSg_chr3.9140 transcript:KYUSt_chr3.9140 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVDEHLLCDIEGQRLTAAAILGHDGSVWAQSDAFPQPCTVLGNERPDSCEVAALRSTLLVKPEEITGIMNDFDEPGSLAPTGLYIGGAKYMVIQGEPGAIIRGKKGAGGATIKKTNLSLVIGLYEEPMTHGQCNIVVERLADYLLEQSF >cds.KYUSt_chr2.53494 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333690868:333693666:-1 gene:KYUSg_chr2.53494 transcript:KYUSt_chr2.53494 gene_biotype:protein_coding transcript_biotype:protein_coding MPDERGVSPDRVSALEKAFRGFADRGANAVVLPSVGLTFDSIGEAYDFYNLYSWEVGFGIRYGKSRSNVKGTRSMQELLCVCSGKPKNENTTSSRCQCPALVRLLRTDDQGWFICEYRGTHNHRLLSTCAEKLHFPSHRHIDKYTRDLVAQLRANNVNLSKVYSIIGTFFGKLENVPFTKRCLKTLCGKISQEQADDDVKKTMEMFSELKASDSEFTYQVQVDDESRIRTLIWTNGRSKLQYHHFGDVVTFDTTYKTNLYDMPFGLFVGVNNHFQSVIYAGVLMRDETVQSFEWVFREFVRLMGGKTPITILTDQARAMELAISSVMPDATHRWCKWHVLRKAKESLGTHYSKKSNFRLDFHNLVDEMLTVEEFEAGWKEIMERYGLAGNTFLIQAYEVRHKWAKPYFSGKFCAKQTSTQRSESANHMLKSYVPPACPMNIFVKQYGKLQYDREQEEGFQEKRTRLGGAVIKSNLPIERHASKVYTRTMFEMFGRVLFVAGSYEVEEVEPKKKYVAAHINPDAMKEWYKSRFVVEVHDDCSYFVCECGRFEHMGMICCHILKVMIFLRIRAIPSLHIVKRWTVDARDILPDHIKHYQKDMGPPEASTFRHSAMYITALELVHMGDSNPDSFECVMTGLCELKAKAASLCEAKDGKSMVEKSKEASGSITASLDSMQSRLSSKKAKVVTSRKSAQVCSGDGSFVQGGCSVLVEEDYGEVSSTLGAGLLLPPERRLKRGHPSTARDKPPYETTGKRSRFCSICRGKGHKSTTCPERGDTPVKPRKEAQCSNCGITGHRKTSCSKPLFSSVEGVAHMTG >cds.KYUSt_chr7.24314 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151489214:151489912:1 gene:KYUSg_chr7.24314 transcript:KYUSt_chr7.24314 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHQRSSSAPSSPRSNKPKVEQQLQSLSTTISSPSATMDTSCDGLRSLEGIYRSIEEMMCTPSSQVSLQRKVVEAELGRSLILLDLSNTMQESFMELKMSVQELLLALGRGEDAYAQVKAYIRLAKKAQKQFKKISKNTVSDNDCRMLMLMAEAREITISLLESTCRLLSKQFEMPKCSLVSKAFHKSKLVCKEEQMRALERSASDLESGVELMYRRLIQNRISLLNILSS >cds.KYUSt_chr3.46299 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291315710:291319668:1 gene:KYUSg_chr3.46299 transcript:KYUSt_chr3.46299 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGDTTLTKVFVGGLAWETHKEGVRGYFERFGEILEAVVIFDKSTGRSKGYGFVTFREAEAAMRACLDPYPVIDGRRANCNLAYLGVQRSKPQLSPYVQPYAAVGHVHGGSNMNTRAMKAAAIAGGASFVDHGIQQGIPAAAYNLYGYSPYFSDYSYQPMTYYQAYGGLAGGEQYQVYNGNAGAAATVPAAGLTLADPSGLYNPYYQYSPVSAHAAYNMMHYPQMYHYAAVGALPESSPTAVSSLHRFLGAAAFEPNTAGGQAAAMAMSRTAPALSAPPTPQYQHRLVSSMPPATPDQKRPLA >cds.KYUSt_chr2.49940 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312379970:312383240:1 gene:KYUSg_chr2.49940 transcript:KYUSt_chr2.49940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin, Al-inducible expansin, Root cell elongatio [Source: Projected from Oryza sativa (Os04g0583500)] MAPQLLLVLFLLPALAAAHERPSSYGSSALTEWRNAKASYFSIDPEDAVGGACGFGDLGKHGYGMATVGLSTALFDRGASCGGCYEVRCVEDLKYCLPGTSIVVTATNFCPPNYGFPADAGGVCNPPNHHFLLPIQAFEKIALWKAGVMPIQYRRVKCLREGGVRFSVSGKGSFFTVLISNVGGAGDVRSVKLKGTESGWLSMGRNWGQIWHINLDLTGQPVSFELTSSDGTTMTNFNVVPKDWEFGKTYTGKQFLL >cds.KYUSt_chr4.13948 pep primary_assembly:MPB_Lper_Kyuss_1697:4:85834077:85835846:-1 gene:KYUSg_chr4.13948 transcript:KYUSt_chr4.13948 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAARRAKPNRGRPLVPPNYVSLRDLRELRLKEKEEQRRREEEEAAARRVREDQRKRQEEEEEAAARRFVEERRRREEEEKARRARAAAAAPAPAPAPEMRASSSTSSFRSNERARGGQRLVVVADRPPPRREEVTALKIDVRGNKGPDGGPANAPPGGGFKAQVSAGPGEPAKPAAASSRAGKPEEKRKGEASGIQGTAPGTNSAPGELVDIASYRGPGRPRHRHQGRKKGLDGRSTETAMTSSIGEAVEASSLPVVNQESKGKSRPGDRSNETAPASIPGKAAEASPPRGVKSDNKRKEKPSGGRQASTAPGSDMPDGKKVEASVSEGTASGVSSVSSEHADADVASCRLPLKPMYRRKGKKGFDARSVETATTNVAGKTTDTSSFGGVNLEIKGNKGLTEQGTETALPMMSSKAADASLARGFKSENKLRNEPSAGRHAGMPPSTACRDGMKTQPCPAAVGSGSQRSSGGGQGTTWEAKTEGLQGKQRAEEVKAQSHPAAESSGYLRSSSDQGMIQAAKPEGSGEKQRVVEMRTASQLKPRNMRNSGRQWPGDHSRVNFAARQNSMVWMPKAAAAPDCAEVGNFP >cds.KYUSt_chr7.26249 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163918662:163920140:1 gene:KYUSg_chr7.26249 transcript:KYUSt_chr7.26249 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHPSSSSSSSAANVDAAAKGVKLDRYASGGGQLMRRAASAKIVSASSHLLFRATILATLALVCLFAVHYPSLLSHSFRLSSSSSSSPRSRPPHRNLLGSSSAYGGAAWEREVRRSATPRRDGGMSVLVTGAAGFVGAHCALALRARGDGVLGLDNFNAYYDPALKRARQRLLASRGVVVLGADINDAALLERLFAAVPFTHVLHLAAQAGVRYAMRAPQAYVASNVAGLVGVFEAAARHADPQPAIVWASSSSVYGLNTDAPFSEEHRTDRPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFAHSIVAGKPITLFRAADGTDVRRDFTYIDDVVQGCIGALDTAGKSTGSKSGKKRGPAPLRVYNLGNTSPVPVTRMVTILEKLLGKKANKRVVTMPSNGDVPFTHANVSHAARDFGYHPTTSLDAGLRQFVQWFLKYYKIDPAKLAKGGKVNASAGKPTKRKSTGAMSAAS >cds.KYUSt_chr7.20869 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129366918:129368769:1 gene:KYUSg_chr7.20869 transcript:KYUSt_chr7.20869 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSPPPPPPARAPGCGRRRLSRIRRRRSKRAERSNWAELPLDALLQVLHRLDHVELMFGGAAKVCRSWHAAAREPELWRLVDMRGHSRLFREIISLDRMARLSIWFSDGQCQSFFAKGDVDDGLLLFLADQAPLLKCLHLIDCHDVTNEAFAQAITKFPLLEELELCKCYSIYDARVFEVVATACPRVKHFKHVEDEGYAQCHEIVYRAEPNSGAMAIARMHELRSLQLFRCSLDGQGLTTILDNCLHLESLDIRRCRNITVLDSSLRAKCARVKTKKLYPYAFTDDWEHFQSSSHDDFTNDSEYFEPGSPISYCSSWSMEFSHHEDDNAERDCQVFFIAPCDSDDSHHSRYFSDADKLEFEEHDRILSKGMRRYMRI >cds.KYUSt_chr1.19203 pep primary_assembly:MPB_Lper_Kyuss_1697:1:112764771:112767727:1 gene:KYUSg_chr1.19203 transcript:KYUSt_chr1.19203 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSATVLAAILLVDLVAFGLAIGAEQSRPTASLETDARNEWTYCRYHPDASTGMGGAALALLLVGQVVAAVASRCFCCGAALRPGGARGCALMLFLSSWLTFIIAEACLLAGLVQSAYHTGYRTVIFENPPD >cds.KYUSt_chr1.21073 pep primary_assembly:MPB_Lper_Kyuss_1697:1:124455343:124456455:-1 gene:KYUSg_chr1.21073 transcript:KYUSt_chr1.21073 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMIFAKETPLDPEVAKQSEGEATGPLAVFKGMKNLPAGMPQVLIVTGLTWLSWFPFILFDTDWMGREMYHGRPDGSPAEVAAFQEGVRQGAFGLLLNSVLLGISSFTIEPMCRKVGPRTVWVISQGLVCIAMALVAILGSWSLGDFGGNVQDAAATEKGLKASALALFVFLGLPFAVLCSVPFAVTAQLAASKGGGQGLCTGVLNIAIVMPQMVIAIGAGPWDELFGKGNIPAFALASVFAFSSAVAGMIMLPKMPKSSFRSVSMGGGH >cds.KYUSt_chr6.14383 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89978165:89978866:1 gene:KYUSg_chr6.14383 transcript:KYUSt_chr6.14383 gene_biotype:protein_coding transcript_biotype:protein_coding METRRRPRFVRRRQTGFRRYGRATGFRRHRRWTGFRRHNHGRGLRHRSGLGRRRRLFHWNRSRHGGRRHGNRRQAPCTGRCAQAVSAQGTQSAPAAAPLAIDLNVEPEDEETSAPVAAAPLAFDLNVEPEDEETSAPVAAAPFALELKAKVEPKDEETGAQAPLPAGPAPPPPEARRLLRRFAAAMASRQPSFRAGSWNPASLGFSNLAMPNTSLPDGSTDEERRGGSCSRRW >cds.KYUSt_chr5.19242 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124711623:124712680:1 gene:KYUSg_chr5.19242 transcript:KYUSt_chr5.19242 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPSARSAVDYSISAARSYNSGWLPAKATWYGRPNGAGPDDNGGACGFKNVNQYPLSAMTSCGNEPLFQGGAGCGTCYQIRCTRANNPSCSGQTKTVVITDMNYYPVAKYHFDLSGTAFGALAMPGRNDQLRHAGIIDMQFRRVPCNFAGMKLGFYVLRGANPNYLPVLVQYANRDGTVVKMDLMRSRNGRPTGRWEPMYRSWGSVWRCDSRDALLGPLSLRVTSESGKTLVANNVIPNGWKGDTSYSSNIQFR >cds.KYUSt_chr6.3409 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19728600:19731023:-1 gene:KYUSg_chr6.3409 transcript:KYUSt_chr6.3409 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSPSSAIYTPRSPSAQPFHTPTSLPQPPPTLAIQARDPPPPAAGLGLFDASLSWTMGFVKRMEFVKLDDGWTFVRRKVPTYKENNEETVEGVTPKSNSTVHDAPYPPALRKFARRKTKSAWYEVVVPWTPPQGSRRHVRKMFAARRTNYVNTKFGPGYYSYGTFGDFGLEY >cds.KYUSt_chr2.54228 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338394839:338401241:1 gene:KYUSg_chr2.54228 transcript:KYUSt_chr2.54228 gene_biotype:protein_coding transcript_biotype:protein_coding MESAIEWLADTILATLQIGKLGAWIRRAGLADDTEKLRREVERVELLVRAARSGAPGNAPPARSLARLRELLYEADDVVDDLDYCRLQQQVQGGIGGVGKTALTQLIYNDPLVKEQFERIWLSVSNNLDEFLGDELVRIWISQGFVKCNDSGEKLEETGQCYLTDLVNLGFFQQVESKDRRVLFQAEIFSMRLMNPVAREESFTGNQTCYAMGGLMHDLARIVSRSECAVIDDLQCNEMLPTVQHLSVVTDSAYCKDQNGSIPRNYKFEENLRNTVTSVRRLRTLVLIGQYDSFFFQSFQDILRKAQNLRLTQMSASSSDFNSFMCTLINPTHLRYLKALKPGMVLPQVLSKLFHLQVLDMGSSTYPTISNGINNLVSLRHIVAQGLSAIAGIGNMTSLLELHDFRVQNSGSFQITQLQSMNKLLQLGISQLDNVTTTDEAAGAKLRDKIHLKKLCLSWKYTYHSDIAREVLDGLEPHQGLKHLRIFGYNGATSPTWLATNIAVTSLQTLHLEDCGEWQILPSLEMLPFLTKLKLCKMQKVTEATFPSLAELILIEMPKLEKCSCTSMSDLNSSLRVPANQDVPCIEDIPEYTYEDVVAANCIALPYLEHLHIHSCGITGKWLSLMLRHAPALLVLKLGACPQITQLSIEEEENSQSNLISTLQASSSGNRDYALASSVPEGLLRIPLTLLSTLQEMDIAVFPHLTFKRSMGGLSGFTSLEKLTIWQGYALYPQLEKLVTDDPCILTTPFCKQLTSLQRLELRTCESKAIRLTDEQERALLLVTSLQELQFWKCSYLMDLPGELRRLPFLKKLEIGNCQRILWLPEKGLPPSLEELNGLSSV >cds.KYUSt_chr2.41123 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255580758:255582705:-1 gene:KYUSg_chr2.41123 transcript:KYUSt_chr2.41123 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMPTTGTAAMASYDTPEGVDIRGRYDPEFAAILTRDALAFVAGLQREFRGAVRHAMERRRETQRRYDAGELPRFDPATRFVREGDWTCAPVPPAIADRTVEITGPADPRKMVINALNSGAKVFMADFEDALAPTWENLMRGQVNLRDAVAGTITFRGAARGGRVYKLDERTAKLFVRPRGWHLPEAHVFIDGEPAIGCLVDFGLYFFHSHAAFRAGQGGGFGPFFYLPKMEHSREARIWNGVFERAERVAGIERGSIRATVLVETLPAVFQMDEILHELRDHSAGLNCGRWDYIFSYVKTFRAHPDRLLPDRALVGMAQHFMRSYSHLLIRTCHRRQVHAMGGMAAQIPIKDDAAANEAALELVRGDKLREVRAGHDGTWAAHPGLIPAIREVFEGHLGGKPNQINAAGAPADAAAITEEDLIQPPRGARTVEGLRLNTRVGVQYLAAWLGGSGSVPLYNLMEDAATAEISRVQNWQWLRHGATLDAGGVAVRATPELLARVVEEEMARVRAEVGPEKFRRGRLAEAGKIFSRQCVARELDDFLTLDAYGLIVVHHPRAPASKL >cds.KYUSt_chr2.34011 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210243398:210244928:1 gene:KYUSg_chr2.34011 transcript:KYUSt_chr2.34011 gene_biotype:protein_coding transcript_biotype:protein_coding MRDALERAKMLVGMEVDEEAALPPPEEQSFFDDINRHCTLNTTQAIRRLLPPLSRPPSSASQSSRNYEAVWFRDMLGCWSDVHFLVNDRFLPSCEIWGYIHTWQYDGARK >cds.KYUSt_chr3.30857 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193550813:193552080:1 gene:KYUSg_chr3.30857 transcript:KYUSt_chr3.30857 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERDSSSLATDDELLGAQAELWSHVFAYTKSMALRCAVELGIPDAVHRRGGSATVPDLVAELSLTPSRAPYLRRLMRLLAHAGFFDARSEEQDTYYVLTLLSRLLVSAPGAGQGLSPFALAMLHPVIVSPSMSLASWFRGAADGARVPFAAAHGGRELWAVAKDDPGFGAAFNDAMACDGRFVMDLLVRGGGGQDLFRGLASLVDVGGGSGGAAKAIAAAFPHVKCSVLELPHVVASVPPGDGGVEFVAGDMFEHVPKADAVLLKWILHGWGDEECVKVLRRCREAVPAGGRVIVMDLVVGSIPEDTRMTETQLLWDVMMMGVVGSPERDEREWRKLFNDAGFSGYKILSILGIRSVIEVYP >cds.KYUSt_chr2.866 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5144311:5145627:-1 gene:KYUSg_chr2.866 transcript:KYUSt_chr2.866 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAGGSVQRLPWHVRALLLFLGCAVAVIIGLVGYYGGRDPPNFSVSVSSYEGLDVRSGDPAAVPPAFRVVLRVGNPLRRRRCFTQGSAVVDLDGVPLARADSLPGFCVPGQSVHEVPFVATGGWLGLPDQLYHDRVPMSVAVRVRLDGGNYWPVLLRCTATLDGRPSPNCPWFNMLERVPPLLSRPTALARRRATAASVGEGGDCGNDASCTASVGEDGDCGNGASYSAGIGEGGAARDGESCDAAVGGSCDGNSCSRVLLRPAGKSSAIRERRRPGCRRRDG >cds.KYUSt_chr3.29467 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184440375:184449752:1 gene:KYUSg_chr3.29467 transcript:KYUSt_chr3.29467 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPDVSVYFSGGSSRNRSGAESDDEEALRWAALERLPSFERLRTGILRSERRRGPGVEVDVRMLELTQRQAFVENVFKVAEEDNARFLKKLRARIDRAGIQIPTAEVRFRSLSVEAECHVGDRMLPTLTNAALDAVESMLGLVGASLGKTKTLHILKNVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPGLRVSGEVTYNGYGLDEFVPQKTAAYISQNDVHAGEMTVKETLDFSARCQGVGQRYELLQELMKKERQLGIYPDPEVDLFMKATSVEGGTLQTDYILRILGLDMCADVMVGDEMRTGISGGQKKRLTTGEMLVGPTKVLFMDEISTGLDSSTTFQIVRCIQQIVHLGEATVLVSLLQPAPEIFDLFDDVMLLSEGQIVYQGPREYVLEFFEKCGFRCPERKGAADFLQEVTSKKDQEQYWIRDEKPYRYVTVPEFVAKFKKFHMGKSLKKQLSVPFNKRKIHKSALAFSQQSVPALELLKTSFAKEWLLMKRNSFIYVFKIVQGIIVALVASTVFLRLRQNNEEDGQVYLGALIFVLIANMFNGFAEATLTLARLPVFYKHRDFLFYRPWNFTLPNVLLKVPMSLFESLIWVVITYYLIGFAPEASRFFKHLITVFLIQQAAGGLFRVVAGICRTVVMTNTAGSLILLIMFVLGGFILPRDEIPKWLVWGYWSSPMTYAYIALAANEMHSPRWMDQFTADGRPLGVAVLENSGVFTNNEWYWIGTGALLGFTILFNVLFTISLMILNPIGKPQAILPEETDKSTENVHEQKRETHITQRTTVPTTESASQNSMITLDKVLEQLRGHSPDTSKRSYANAAAREAPGKGMVLPFEPLSMSFNEINYYVDMPAEMKTQGVTADKLQLLSGISGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGYIEGEVYISGYPKNQATFARMSGYCEQNDIHSPQITVRESLLFSAFLRLPKEVTDREKKAFVDEVMELIELTGLKDAIVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGRNSHKVVEYFQEIPGVPKIVEKCNPATWMLDASSAAAEVRLNIDFAEHYKSSTMYQRNKALVKELSKPPPGTRDLYFPTQYSLSSFSQFKICLWKQWWTYWRSPDYNLVRMFFAIFTALLLGIIFWRVGQKMTSSADVLVIVGSMYAAVMFVGCENCITVQPLIAVERTVFYRERAAGMYSAIPYALSQVIVEIPYVFVESVAYTVIVYPMMSFQWTLAKFLWFFYVSFLTFLYFTYYGMMTVSISPNGQVASIFAAAFYSFFNLFSGFFVARSKIPKWWIWYYWLCPVAWTVYGLVVSQYGDVEDMIKVPGQPDQQVGQFIKSYFGYDQDFMGVVAAVLAVFTVFFAMIYAYCIKAFNFQQR >cds.KYUSt_chr3.7160 pep primary_assembly:MPB_Lper_Kyuss_1697:3:41385555:41387199:-1 gene:KYUSg_chr3.7160 transcript:KYUSt_chr3.7160 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVAASLEASSTRSPELGFRFYQEASHIGRPATAGADLDTVTTMEVTPPALLPPPSPRSGPPQGDGPSPAAGDLATAAHVPRSRLPSDASRLLVQKRASAVDVSHLRSQPYSRSWEPLSIRFQGHGADLGTANLSSFRPWLACFD >cds.KYUSt_chr4.49480 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306426008:306432219:-1 gene:KYUSg_chr4.49480 transcript:KYUSt_chr4.49480 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEGPALGRESPAARHLAAPPPSSRAIAAPLPAVAVLPEDPRHRGTFRAEEHHRRRRRPRAHLQTPGEKQLRRRWHRTGLCPDGLRRRQWMGRPEEGPSCRPEAASMDDDGLGKPLLGPESFSTEDIDLGNLPLEDVFEQLSTSRSGLSSADVAERLQLFGANRLEGKRENKVLKFISFMWNPLSWVMEAAAIMALVLANGGSQGPDWEDFVGIVCLLFINSTISFVEENNAGNAAACLMARLAPKTKVLRDGKWQELDASVLVPGDIISIRLGDIVPADARLLEGDSLKIDQSALTGESLPVTKRTGDLVFTGSTCKHGEIEAVVIATGVRSFFGKAAHLVDSTEVVGHFQKVLTCIGNFCICSIVVGVIVEVIVMFAVQHRSYRVGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTVNHLTVDKNLIEVFSGGMDRDMIILLAARASRVENQDAIDMAIINMLADPKEARANITEVHFLPFNPVDKRTAITYIDYGGNWFRVSKGSPEQILNLCYNKDDIAEKVERVVNRFAERGLRSLAVAYQEVPEKSRHGQGGPWVFCGLLPLFDPPRHDSADTIRKALDLGVCVKMITGDHLAIAKETGRRLGTGTNMHPSAALFGRGGDRAAAPVEELVESADGFAGVFPEHKHEIVRLLQAQGHVCGMTGDGVNDAPALKKADIGIAVSDATDAARAAADIVLTEPGLSVIVSAVLTSRTIFQRMKNYTIYAVSITIRIVLGFVLLASIWEYDFPPFMVLIIAILNDGTIMAISKDRVKPSRRPDSWRLNEIFATGVVIGTYLALVTVLFYWAVTRTTFFESHFSVGSLKSDTEKISSAMYLQVSIISQALIFVTRSRGLSFLDRPGTLLVWAFVLAQLVATLVAVYATVSFASISGIGWRWAGVIWLYSLVFYVPLDLIKIAVRYTLSGEAWNLLFDRKTAFAMRRDHGKEARWALSKRGGVQRRALSDHLISSRTPPYRHSLAAEHAKRRAEISRLGETHALRAHVESMMKLKRAGSHAIGSAHSL >cds.KYUSt_chr1.1798 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10352140:10357665:1 gene:KYUSg_chr1.1798 transcript:KYUSt_chr1.1798 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSQRCARLCLRHGRGRISAAPRTVPDASPFPDVVHLQRPVRRDVSRFPGAPPLHFNTLTHQDMDLDLNVTPEDLEDPEDVVHPKEAQGDLGHVIHPKEAQAAAVEVHISGGNIGPSAETDVLTGITLSSDDSGGEDEVQSTPSSQPTVDKPYPGMMFDSWEQARVHYNQYAKHGGFSIKSSTSRNSSIDKEKDKYLFVCNKSGKNPDINRLEAPPVKQRSRAITVKTDCKARLRVKRRGKTWHETMFIEEHNHPCLKKFSLKRFLRSHRGIPKEERDFIRLLHKVNLSAGRVMSIMAELYGKLANVPYVTKDVSNFMATIDVPETQQDMSLLLAEFDKVKKDDPQFFSKIDVDHDHRVRRIFWVNGLARFAYKIYSDCLSFDTTYMTNMYNMPFAPFIGINRKLSIKERKLIRYGTLCNDFTDIAKVASESDKSKAIADKYMLALSNELKSMKLTLQKPSCATMSSSSSASSDLSTPSSSSREPTPEPNPAEVHAANTRRAIEAGEESSHDFSIWSEDDKSLTDGESDLRFLASGETEEESDDDRFSCDFTSPEEVEEEEEEEEEDDTSSDEPPAKRFCPWPGNLSDFDSDDDDADEEDEDNEGPVGGHWSDDEPAGSSADSGDDGDDEGSDGP >cds.KYUSt_chr4.7503 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44673588:44681194:1 gene:KYUSg_chr4.7503 transcript:KYUSt_chr4.7503 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTTRVHLPVSVVATPQSAELRSTAMATNRRLRGLLLLAAALVLTASTSSAADFHYCNKGRRYPVKVSGVEIVPDPVVRGQPATFKISASTDKSIMKGKLVVDVTYFIFHVHSETHDFCSGTPCPASGEFVLASEQTLPSFTPPGSYSLQMKLLGENNEELTCISFGFSIGFIAPVAII >cds.KYUSt_chr4.19901 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125145903:125147359:1 gene:KYUSg_chr4.19901 transcript:KYUSt_chr4.19901 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLALSLAVLAAVFAAATAAAEYNVVDYGARPGGGADSAGAFLAAWAAACNHTGRRGSRPMMRVPAGRFLLSKAYFKGPCRSAAGVVVAIDGTVFAPPAVDSTAWIMFHYADGLAIRGGTLDGQGRAHWACRADPGRKCPPGTTTLDISQSKGVSIKKVTLLDSKNVHMSIYDSTGVTVQGVRIVAPADSPNTDGIHVQLSRHLNILGTTIGTGDDCVSMGPGTSDVLIRNIKCGPGHGISIGSLGGEAGEEGVRNVTVEAAVLTGTQNGLRIKTWGKPNRGSVTGVVFSRVTMRGVHNPIVVDQNYCPGHDQCPGKSSGVRISDVSYTDIEGTSATPVAVKFDCSGSNPCTGFRLSNIRLTYEDKTAQSLCRNADGSASGVVSPRSCL >cds.KYUSt_chr7.23205 pep primary_assembly:MPB_Lper_Kyuss_1697:7:144208688:144209011:1 gene:KYUSg_chr7.23205 transcript:KYUSt_chr7.23205 gene_biotype:protein_coding transcript_biotype:protein_coding MARREREEFGDVIGDAEDVEERGEFVLQLAHPGGHLNHPTRGISSTAGGISSAAGRPPHYMRICGLPTLGGGSLDGSLGASPTAPASPGAFPTVAAAPAHARRKGHP >cds.KYUSt_chr3.37048 pep primary_assembly:MPB_Lper_Kyuss_1697:3:233067891:233068349:-1 gene:KYUSg_chr3.37048 transcript:KYUSt_chr3.37048 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDPVHRRSSSGGGSIASVCAALARLSIDLSGGGGAILPGTLLDDYERLAIEAQLSRAVLRRSYSEPNPSRIVVLRPPEAARRGENVENGEGRSPGEPRAQRFWLLEALKRAWCWLGSAGAWGWHGRKVEQPMPQPAAPAATRVCLLDYLR >cds.KYUSt_chr3.35281 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221573851:221575023:-1 gene:KYUSg_chr3.35281 transcript:KYUSt_chr3.35281 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPREGWPRADAGAAAAGEDEDHFDRLPDAVLLDVFNRIGDVKALGRCALVSRRFHALVPLVDSVLVRVDCVIPDDPPPSSASSSPPPAPARGRGALAHIARFLLGGIVRPIQALGQILSPTLALVSRRSLAPPPTAPAPPPAGDISHHSPAEVLRSFKDLRRLRIELPAGELGVDDGVLLRWKADFGSTLRSCVILGASSVSPNPPQPTSSPTATDREPEELSNIPESLHTNGGLKLRVVWTISSLIAASARHYLLQPIITDHGTLDSLNLTDADGQGVLTMDRAQLQELRARPLSVSGTSHRTLMPSLIMRLWYAPHIELPGGVLLKGATLVAIRPSDDVLKEGGGAEAAGPAGAPWISDAFEEPYRTAAKALFKRRTYSLEMNSF >cds.KYUSt_chr3.8748 pep primary_assembly:MPB_Lper_Kyuss_1697:3:50914257:50920691:1 gene:KYUSg_chr3.8748 transcript:KYUSt_chr3.8748 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKVLQVERGASDDELKKAYRKLAMKWHPDKNPNSKKEAEAKFKQISEAYEVLSDSQKRAVYDQYGEEALKGQAPPPGAGGPSGSSYYGGDGSTFRFNPRSADDIFAEFFGFSSPFSGMGGMGGMGGGAERGMRGSRFGMFGDDMFGSFPQFPGEASVHAPQRSHKAGPIENRLPCNLADLYKGTTKKMKISREILDSSGRTMVVEEILTIEIKPGWKKGTKITFPEKGNEAPHVIPADIVFVIDEKPHDQFIRDGNDLIMTQKISLAEALTGCTVLVTTLDGRNLTIPINNVINPGYEEVVPREGMPIPKDRSKKGNLKIKFSIKFPSRLTTEQKSEIRRLLES >cds.KYUSt_chr1.25809 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155172905:155173105:1 gene:KYUSg_chr1.25809 transcript:KYUSt_chr1.25809 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGEAAVADAAGEVVATAEHEAALEAVATTEHTSEKATGAEHHELGMGGSGRPAMSVCGIDQPE >cds.KYUSt_chr4.25183 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158262145:158263455:-1 gene:KYUSg_chr4.25183 transcript:KYUSt_chr4.25183 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYELGRLLGKGAFGKVHYAKNLQSNQGVAIKMMDKEKVLKVGLSEQVRREITTMRLVEHKSIVQLHEVMATRSKIYFVMEYMKGGELFEKVAKGGKLTEGVAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTACGSPAYVAPEVICKGGYDGAKSDIWSCGVILFVLVAGYLPFQGLNLMEMYRKIEKGEFRCPGWVSQKLQKLLYKIMDPDPSKRISIQKIKESTWFRKGPGDTLTVKEPSENITTNAAPALGVRRKKNNQEDQKQLVVTNLNAFEIISLSTGFDLSGLFVEKENRKEARFTSEKPASAIVSKLEDVAKALNLRVRKKDNGVVKMQGRKEGRNGVLQFDSEIFEITPSYHVIKMKQTSGDSLEYQKLLDEGIRPALKEIVWAWHGDGDQQVHQA >cds.KYUSt_chr3.31895 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200369878:200371562:1 gene:KYUSg_chr3.31895 transcript:KYUSt_chr3.31895 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTSTVNTKPTRRYPLASLVAILALITLVGVYIFSLSLKQNGMLFGLKQTNMIEKEREQPCHNSSVPESEIPYVHYPSPDTYSRKECACTPVRFFAILSMQRSGSGWFETLLNSHENISSNGEIFSVKDRRSNITAITKTLDKLYNLDWVSSAAKNECTAAVGLKWMLNQGLMKNHQEIAAYFNRRGVSAIFLLRRNLLQRYVSILANDYDRNTKQLNGTHKAHVHHRGQADVLAQYKPTIDTKSLIAELKRSDKLAADGLASFKKIRNIVLYYEDVVSNHTKLADVLDFLKLPKTKLSSRHVKIHTKRLRDHIDNWIDVYNTLNGTQYESFLNGRR >cds.KYUSt_chr7.40378 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250684186:250686803:1 gene:KYUSg_chr7.40378 transcript:KYUSt_chr7.40378 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTEPLPGGEVAANGDAGVGVSGGGDDDLRELPDHALQGMLQRMQRGVDGGIASRLPDGGRIYRLRLLAIRRELERRQAAAALSAPAPPPPSSSSQSLPPRQGEHTIETAACPSEPLPDVHLIKTGPFEDSPLPAPEAAGDLETTPLSGDDPFFTIIMSRSQVQKKFQLCIPGRFHKHLPKACTAATLICRGKSWPMSYRGDLKVKKLDADWMDFAVDNQLQVNDACVFELVTSTKEEVVFHMQILRGGLPEEIISKGATANKPIIVD >cds.KYUSt_chr2.4055 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24539033:24547989:1 gene:KYUSg_chr2.4055 transcript:KYUSt_chr2.4055 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPERRAGRLAALAERGRQECMRRRNENHEAQAAGRVRLEARTAVERAALHELDLCGKRMVPPQEAEPILVCFSVIAVVAFAGTSGASGQELTADYYEESCPLALATIKFVVGAAIVKEPRMGASLVRLHFHDCFVNGCDGSVLLDDTDDMIGEKSAKANNMSVRGYGVIDAIKSAVNTACLGNVVSCADILAVAARDSIVALGGSSYDVLLGRRDATTASLDDADDSIPNPFMDLPELVANFKSQGLTLQDLVVLSGAHTLGYSRCVFYRDRIYNESGTLDPSYAASLDARCPPTGEDEPLASLDDTPTTMDTDYYQGLMQGHALLHTDQQLYRGRGGDSDRLVRHYAGNPDKFLEDFGAAMLKLGGLRPLTGEEGESLPPAVLGRILYLHTTVSIPKVTEQWHRRAPPTCCLPSPSSALETKKNRGRETYAARPPPPPSPARLRLRRLCSSRPDIAFLRRPCAALPETRRCELRCHLTLGKLRTSHGHAGALCPYPAMATEAVPVGALPPPPLLVILGADSVVACAAKFHRLVPSVKGVLVLQLMR >cds.KYUSt_chr5.22028 pep primary_assembly:MPB_Lper_Kyuss_1697:5:143787069:143795546:1 gene:KYUSg_chr5.22028 transcript:KYUSt_chr5.22028 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWSLPCGWRLLFFALLAVAEVHGSSGAKAADALPPRLFSAEVRTLRRIAAEIGLSHWNFSANPCGSGGLACDCSFNNKTICHVTDIFLNGHNFSAQLPPDFADLPNLIQLDLSRNLFHGAVPDQWARMRLQGLSLMGNRLSGPFPVVLTKITTLTNMSIEGNEFHGLIPPEIGQLAQMEKLIISTNEFTGPLPAALSLLTNLTDLRISGNNLSGRVPDFFGKLTKLVKLQIEGSLLEGPIPSGLSKLTNLSDLRISDLRGSGSSFPDLSGMQSMKTLVLRNCSISGSLPPYIGDMENLKHLDLSFNKLTGKIPDSFTSMGSVDYIYLTANSLTGDIPGWLLRRNNIADISFNNFTMGSSGPSQCLQGSVNLVESYSPEMNNLNNIQPCLKKNFPCAALNGQYRTSLHINCGDKEAIISGVKYEADMVPKGANMLYVSQTSNWAFSSTGNFMDNNINDDNYIASSTSKLNMPNSELYAKARLSPLSLTYYGLCMNTGNYTVKLHFAEIVFTNDSTYYSLGKRKFNVFIQGKMVLEDFDIEQSAAGAGKLVIKTFITHVRNHTLEIQFYWAGRGTTGIPYRGYYGPLISAISVTPNFPIPLAVEPPQSGGSSKTSRTSKALLIGTPIVAILAALVVGIYCIKQRRKSLMHQDLRALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSRQGKHEFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMENNCLARALFVEQYRLTLDWPTRHKICLGIARGLVYLHEESSIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYSAEEALLMLNVALLCTNAAPTLRPKMSSVVSLLEGHTPLQPLLLDLSLAANSLSSSGLRRNFWENPNDSQTMTAHASCNTNSDSYSLEC >cds.KYUSt_chr2.45762 pep primary_assembly:MPB_Lper_Kyuss_1697:2:285521223:285522092:1 gene:KYUSg_chr2.45762 transcript:KYUSt_chr2.45762 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGSARFTNVARVLVCLEEVGVAYEVVDIDFPAKEHKKPEHLARNPFGVIPAFQDGDLMLFESRAIAKYILHKYRTDEVDMLREGNLQEAAMVDVWTEVEAHQYNQALAPIVMECIIYPTAYGIPTNQKVVDESVEKLKKVLEVYEARLSEHEYLAGNFISSADLSHFPFTCRFMETPYASLFDSFPSVKAWWEKLMLRPSMKKISTNLHPKMV >cds.KYUSt_chr5.19468 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126275613:126278577:1 gene:KYUSg_chr5.19468 transcript:KYUSt_chr5.19468 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRGAQPTIYMARTTSSEGAAVDMDLLEQLLSDDNGCLEGATNASCSSNYFASPSTFLSDATTTTTMPPTSANNTFWVQSCSTFMQRLDQALAYIFKTLIDADVLSQFWLPVKGNDGQLVLSTTGMPFFLDKSSESLRRFRDLSTRYTFSTVVSSESSPVPVGLPGRVFMGKLPEWSPDVRYFSRYEYPQVNHAQHLNLHGAMWLPLFEEGNNTCLGVMEVIMTRQKLNFTSEMNNIFSALQLNNEILDVLREACTTHRLPLAQTWVTCAQQGKHGSRNSDENYRYCISTIDAACYVNDPKMQKFHDACSDHHLLRGQGVAGKAFTTNQPCFLQDIGSSTKMEYPLSHHARIFNLKGAVAIRLRCTRTGTADFVLEFFLPTDCEALEEQKAVLDSLSGTLRSASQTLRVVTDKEMEDEAMLEMSELKLFGSQGKNKVEELSFGDKAAEHREEASWTSLAGYSQRESDLAEQSIHGRQSSSLAGIQTSAQGSKGKRRRKTEKTVSLQVPQQYLLALIMQTLYSGCIYVCRV >cds.KYUSt_chr3.8656 pep primary_assembly:MPB_Lper_Kyuss_1697:3:50346219:50352189:-1 gene:KYUSg_chr3.8656 transcript:KYUSt_chr3.8656 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAWPDSADTYRSRSRKKKKDADIVTKLLTRVEALERNQRAPDQPLFLQDPQADAAPSQRRSSVGSSHLDGCGGSYPVDYVTEKTDCELHMLFRTTSVKVAVGYVYPSEDGAMHHHMPIPPGCVRVGVDEVVPGFETVELDIPRGEDERTLADVKHGFALWPKKYVVLLQRPPTPTHEQQMPSTPPGGSPGEQPSPHLPERDPSVSPPSRDPPRRKTASVKRNGTPPRKKARKEKQLPPSEKLPWEKLREENTEAVQAELKKWFAPKVPEIPFEKTLDPVKVVRTVDNLYDPVPSPPSDYARSIERSYDKMIEATKPVQSGIREIKGIHSVYQLGQQPVQSVAPLKVFDGKTVQSSRQDATDYAFAERAYQFVQGKDLVENLRKLYNLRALDKSIISCYCLSKMLECKRDDITDIGFIDPHTMHVKTIENPLYNKDTPQTLLSFVSVGASPNPGDQDQTESITRVRMDSSSWFHGDAANSGGAGGNIGYMCGYAASYALSASQYREEEQLHEMLLNSQIQQHLDQARMSSSMLNNLIRMEMNLDDVEAATYGGAAHSGDISTVMDDFDFLSSHHAAAACSFPSSSSASTSFRSASLSCSPEISSTAPDVLSAPQMSLQFPEVSSFVAPAGVVLPYDDQYVASFHDTPAGMAPSGMSTRASAFRRYEQHLSPRRRLTKPACGQRMFKTAMSALEKMHTAMKYSQQQQNQQYYYQQPQEQMLAAESSGNQLQHMISERKRREKLNDSFHALKTVLPPGSKKDKTSILIIAREYLTSLKSKVSELEEKNQALQAQLAQRVTSGASADEDKTEAGEHVEIQITTAEGDQSGEICTVKIGMWPARSNTTDTVVRTLQCLKEQIGEDVSLMSMRIDDGPHRAILTLHLKLASGAKWEEETVREVVTKAVTGTPAP >cds.KYUSt_chr6.4857 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28574594:28576326:1 gene:KYUSg_chr6.4857 transcript:KYUSt_chr6.4857 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQLCGSAVGAAAPVQALRVPTSAAVGVRPARRAVGGLVVRAATVVAPKVRSPRAMYHGFADHFPGGVVIVRSGICRRGAKSNNEIADIRGTLFSFLEYTTVKPLADRVLLKTKTAEQKTTGGILLPSAAQSKPQSGEVVAIGEGRIFGDNKVEVGIKIGAQVVYSRYAGTEVELNDANHLILREDDIIGILETDDAKDMKPLSDRVLIKVAIAEDKTVGGLLLTDSVKEKPSIGTVVAVGPGHLDEEGKRIPLPVSEGSSVLYSKYAGAEFKGADGTNYIVLRVSDLMAVLS >cds.KYUSt_chr6.3511 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20245713:20246045:1 gene:KYUSg_chr6.3511 transcript:KYUSt_chr6.3511 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRAWASSRWYAQTAAGGMTGGGGVRVLAWASSCCYARTAAGGMTGVEALTSPPIELALSAYFFFATAASDLVVFLNLPDSYPNLPSFKDIGETGWSPRPRRHSPAESP >cds.KYUSt_chr2.34025 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210330899:210332566:1 gene:KYUSg_chr2.34025 transcript:KYUSt_chr2.34025 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPLPPLPLSSHRHSLPFLSLPSSAGRPLRRGDLVIRMGGGPRTFPGGVSKWQWKRMQARKAKQLLKARLARERQLYEMRKRAELREAVLHLERPWDSDLSPVSATALAPNLLSVAADDQLKGLADRFHRPGGVDLWNDRDGPQVFASPDTGKTSARFFPKNAVHSVQPYARLGAGAEGTHGARANGAAEDVYGGREPTVELMERDGMWEPVTALDGEGDNNSVDRSWINDDVDSDSDEEEDDYFGHEQEAASGVDGRRSGVARPETASTKTVGRDRGRDWRGHGSFSDSEGARRGHVDQRWPDTSSGSGRKAPTGRWKPSNAEGSNAVGMDRMGGGSFSDSGMSRRSRRARNREHTLDTTMKWKLSYDSHGNVIRKERISGKLDSNPDSGSRDMMEPKWRGPNRFNPSENQRGRPGSNYRPDVNSGERPRGYMSRNSGGNGFASDLDEPTWKPRAKKEARNNDGSKEYKGNMSGVFRRGGSGAARRLDAHPGMHTNGEDGSDHDNRQRIWARLRGDEYSLRPTSELHGSVDSNGRRQFRRDGYSLRPTSELR >cds.KYUSt_chr3.19572 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120458273:120462079:-1 gene:KYUSg_chr3.19572 transcript:KYUSt_chr3.19572 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKSQDPPPSIQDATDRIYKRGDTVDEKIKKLDAELARYKEQIKKTRPGPAQEAVKARAMRVLKQRKMYEGQRDMLYNQTYNLDQVAFASEGIKDAQQTMTAMKAANKELKGMMKTVKIEDIDSMQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESSSVPSYLQPDKESDLDSELNLPAAPSGHAAAPNRQQEDELGLPTVPHASIRT >cds.KYUSt_chr3.12445 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74382686:74384171:1 gene:KYUSg_chr3.12445 transcript:KYUSt_chr3.12445 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRGTTPEDADIAGTNRSGCSAFAWSSPKPKSLKPIHEGEEDVPASQLAIAAARSQPCHNATVLQDEPFGRPRNRALPPARPGKSSRNLHVPEPPLRPSRAAAERTPHIDSPPAAGCRTAPQPPEEWRAAPPSKRHGAPPPWLQVPAMATCHTQWPTKAASGEVAAKEAAKAAEDAYDQQVHDYSTALGAYRDDLAA >cds.KYUSt_chr2.41580 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258624567:258630055:-1 gene:KYUSg_chr2.41580 transcript:KYUSt_chr2.41580 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGHPPPASAAAQNVSHSGGGGGGGGGGGGNPSPGGTAAALRHDPGLSREWSPEEQATLDELLVKYASDAPVIRYAKIAMKLPEKTVRDVALRCRWMNKKESGKRKKEDHSSSKKSKDKKEKVADSSSKPSVHIAGRPSVPPYPLPSLPIDDDEISSKAIGGPTGELLENNAQVLSQISTNLGTMQIQDNISLLCQTRDNILRVLKEMNDAPEIMKQMPPLPVKINEELVNSILPRPTVPMQ >cds.KYUSt_chr4.19893 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125102792:125104928:1 gene:KYUSg_chr4.19893 transcript:KYUSt_chr4.19893 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVRAMEEQIVMQRIQKKLEEVNAAAQQHLAGVQDHVNFTLQKAYFQCAYECFDRRQNQEAIGSCVENCSVPVLSANHVLQDEMAKFQERMNRSLMVCQDKFEAAKLQKMKTDASQEFESCVNRSVEDSIRVLPHVVEQIKSTIHMN >cds.KYUSt_contig_988.503 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2900139:2905104:1 gene:KYUSg_contig_988.503 transcript:KYUSt_contig_988.503 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGNRRVHNRLGPVPGAASSSSSYGKVCHHWRAGRCNRFPCPFLHSDLPEATANSSRPNQTDDPGAGGHVWRTPNSGGGGGGRGGSGSNRWGRGPGGADVAARNRVQDRPCKYFLAGEHCSYGERCRYPHKYCMSNSIALLTPLQGHEQVVTGITLPNGSDKLYSWSRDGTVCLWDCKTGQVFALWEVLKWLIVLMKGHIPYPDSKLTSILQSVLGGNAKTSFICTAAPKEILTDAGMLKRHKLEIEKLRQKLQVFKQLILKQRNGICIACVKS >cds.KYUSt_chr1.19789 pep primary_assembly:MPB_Lper_Kyuss_1697:1:116392390:116394003:1 gene:KYUSg_chr1.19789 transcript:KYUSt_chr1.19789 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGSLDHAAFTFTPPNFITSFTDLLSGSGPSDGERRSPRGFSQGSRGGVPKFKSAQPPSLPISPPPPGSPFSYFSIPAGLSPAELLDSPVLLNYSNILASPTTGAIPAQKYDWKDLIASQQEELSRGDNSFADFSFHAVNSNAAATSQTNSFPSFKEQQKVVEVSKSIPASTKSSGGNTNSNKQLEDGYNWRKYGQKQVKGSENPRSYYKCTYANCSMKKKVERSLADGRITQIVYKGAHDHPKPLSTRRNSSSSSACAAAVARAEDGPEHSGATPEHSSVTFGDDDADNGSQRSDGAEPEAKRWKEDADNEGSSGGAGGAGGKPVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASHDNRAVITTYEGKHNHDVPVGRGAGASRALPIASSSEISSGPIRPAATGQGPYTLEMLTNPAAGYGGAFQRTKDEPRDDLFVKSLLC >cds.KYUSt_chr7.32072 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199665257:199667942:1 gene:KYUSg_chr7.32072 transcript:KYUSt_chr7.32072 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRSRGGATAWVLAVAVVAASAFFLASEAAGASASAAPHNYEEALRKSLLYFEAQRSGRLPHGQRVSWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLLEYGGDVAAAGELAHALESIKWGTDYFIKAHTQPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDREHPGSDVAGETAAALAAASMAFRETNPHYAHLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGFHDELLWAALWLHRATGRAAYLDYVVDNAHEFGGTGWAITEFSWDVKYAGVQLLATRLLLNGEHSERHRATLELYRAKADHYMCANMGKNAADEDNVERSPGGMLYVRQWNNMQYVTSAAFLLSVYSGYLSAADASMTCAAAAGAPVGAEEMFAHARTQVEYVLGSNPRGISYLVGYGAKFPARVHHRAASIVPYKDRKEFIGCAQGFDDWFGRRSANPNVVVGAIVGGPDRRDRFRDDRQNYMQTEACTYNTAPMVGLFAMLNRVTREQSSLPTTSPRRESS >cds.KYUSt_chr6.29307 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185670502:185678049:1 gene:KYUSg_chr6.29307 transcript:KYUSt_chr6.29307 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIVLVTLISIAALLQPAVAAPPPPSQGMPGCNTTCGNVTVPYPFGMGPPRCYLPGFNLTCDDSLNLLTGRHDRGGRMLVVGIFLENSTVRVMRKSQGTVNLTSSGRRFRWRLMEGGPYSLAAANEFIVTGCNVDATLVDSRSGGNASSCASFCMGDNPWRENMTRCSLGTCSATIASTGHTSYDVRLRPVVDEDAGVQGVLNRLPMHALIAEKGWLGVNNAFNLLLGLDQLFPTVLDWACAADDVCKSAHSVRNSSGRGYTCQCQPGYEGNPYLTGGCQGLIIGLSVASAPCLMLLTLCAFLIIRKVNHQKAKRLKEKFFHQNRGQLLQQLISHKSDIAERMIIPLHELEKATNNFDQTRKLGGGGHGTVYKGILSDLHVVAIKKSKIVVKKEIDEFINEVAILSHINHRNIVKLFGCCLETEVPLLAYEFISNGTLSDYLHKEPPSPIPWEDRLRIAAEIAKALAYLHSAISVPIIHRDIKSANILLDDALTSKVSDFGASSSDNNPTPAKLIRPDSPSLQHFTSREVHFPVKARSGALAALPSLGRDPPQAAPAVSSVGHPGLLRPRPGLPGRASHRPAPAEPRPALGGNRHILSPEVRMGLGSISLFSTPIRVLRADSAGEYISQLLRGFPAEQGTLAQFSCPGAHAQNGVAERKHRHLLETARAVMIAASLPPHFWAEAVSISTYLINLQPSTAMQGGSCSADSLPTFYSFFTHQIPLSSITTFSTLYTFLSYVTFLAYGGPSLPFPQLPSSPLSAVLEPTSYRDALTHPEWPLAMAEEIDALERTGTWDVVTPPSSVSPITCKWVYKIKTRSDGSLERYKARLVARGFQ >cds.KYUSt_chr6.8575 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52736359:52737447:1 gene:KYUSg_chr6.8575 transcript:KYUSt_chr6.8575 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQNRLIYALRAASHAPASTLHLFHLSNAAATATAAPSAQFVVEDYLVASCGLAPAQACRASKYLRHLKSPANPDAVRAFLADAGIAEADIASAIASDPRLLCSKVDKTLAPRVAQLRDMGLSPTQISRLVAMIAGILYNPSKITRLEFYISLLGSYARVETALKRYGWFLLSQDIENVVKPNMAFLQQCGLSQDDTAGLCLRTYHLLTSNLEHVKTMVARAEKLGVRGVRPSSGMFKKALIAVCSLTAENVIARTELLERALGYAEAKIAVSKLPSILTMSEVKLAHRVEFLTTEFSLEPLYIAHRPAILTYSLERRLIPRYFVIHVLKAKGLVKRDIDLFGVFSQSHEKFVHKYLHHQ >cds.KYUSt_chr4.8569 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51475734:51476928:1 gene:KYUSg_chr4.8569 transcript:KYUSt_chr4.8569 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDATLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIRHGGFSEEEDRIILGLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQQQFALQVANGGQKEEGTRDTNGTNGFAAGAYNWHQHAMAMPVHPMSGSIVDGNRTGEEVDESIRKLLFKLGESPFGALPPPPMYGETQTFVMPSVHTAPLTEGGIQCSGVLPALELDESFQFNQVKLDGLECFFGMGDQSMRWNEVSPLVCPNTTMASSSQGVQQYCLVEEPVDLGMQ >cds.KYUSt_chr7.18559 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115002851:115005025:1 gene:KYUSg_chr7.18559 transcript:KYUSt_chr7.18559 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASASASYRTLLHLPNPTHSRRLSISAARRRRRPALSSAAARLSNPSAPAALSRLRASESPFAFEAEETEAQSEDDDDEQGWTGGIGAAAHGEEEHAGEGPAGEDDGSGRTQRQPRPCELYVCNLPRRYGVDELLQLFGPHGTVLSVEVSRDAETGISRGCGFVTMRSFAEARTAVNALDGIDLDGRDMLVKLAAHVVSNRRNPTLTHTPPMKDHIFESPYKIYVGNLAWSLQPQQLREYFTQCGTIVSTRLLSDRKGGKHRVYGFLSFSSTEEVEAALKLNNTSCFAVILDDISSMFTTMISYIDSHFCNRIFKDGILLSEKLMYKRQIRKLREAKP >cds.KYUSt_chr1.4522 pep primary_assembly:MPB_Lper_Kyuss_1697:1:27807726:27809153:1 gene:KYUSg_chr1.4522 transcript:KYUSt_chr1.4522 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALSVLPHVAIFPFMAKGHTIPLIQLVHHLRRRRLATVTFFTTPGNAAFVRDGLTGTDDTAVVELAFPTDVPGVPAGVESAEGLTSMASFVAFTGAVSLLQPQLEAYLAAMEPPASLLVADAFLYWANASAARLGVPKVSFFGMSAFAQVMRELRNRHDACAVLRPGDVDGDGNPTTFTVPEFPHMKLTFEDLMAPFGDPSSIAPMMELDGKLGKAIEESRGLIINTFHALESPYIEFWNKRCGPKAWAVGPLCLSQPASASDDAARPPWMAWLDEKAATGRAVLYVALGTLAAIPEAQLKEVADGLERAEVDFIWAVRPENIDLGEGFEDRTKNRGLVVREWVDQPGILQHESVKGFLSHCGWNSVLESVTAGVPLAVWPMQADQPFNARFVVEELKIAVRVQTSDGTMRGLVTSKEVSKVVRELMLAEVGAEAAKSIAELAKEAVSEGGSSWKAAEEMIHELCATKVHVK >cds.KYUSt_chr2.3541 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21200437:21203530:1 gene:KYUSg_chr2.3541 transcript:KYUSt_chr2.3541 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKSFSPFSSSSQPTPPSLHLSDLAMDLAGAAPPRSPEPHVPPPRTPPQPPLQDSCKDKGDIRTTEEKPGTPQELNLGQTNNSGLNSSIEDENQTSNGDEMTELGSKSEAAKTEGDGSSGEKVILKKPDKILPCPRCNSMDTKFCYYNNYNIHQPRHFCRGCQRYWTAGGSMRNLPVGAGRRKSKSSSVNCHGILIPGSNIAYPGGDASPIPLPIKATEPAAQFVSQPPLSNSTASVLRVEVQNKNDNPASTAHPRNGESQTCLPSSTTSDSPRIESVKGTVSGYQNGVTMDCNGATPMHPIPCFPGPPFVYPWNPAWNGIPAMAAPVCPAPAEPAKCSENGNVGNVQWNFPPMVAVPGFCGQPIPFPLMPPSVWPLVSPWPNGAWSAPWLGPGCSMPAAPPTSSSTCTDSGSPVLGKHSRDSNPQGDEKAERSLWIPKTLRIDDPDEAAKSSIWTTLGIEPGERGMFRPFQSKSDVKERTSDAARVMQANPAAQSRFQSFQETT >cds.KYUSt_chr3.35758 pep primary_assembly:MPB_Lper_Kyuss_1697:3:224722245:224725325:1 gene:KYUSg_chr3.35758 transcript:KYUSt_chr3.35758 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRVPGGWRLSAGGVPIPPPPTGGGALETAIEEVLATLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGAGVSTADREALQRRARHPRPALLAATRRKRKTAKNQAAAAAANQLAEEEAKRAEDAAVAEAIAGSPKDPVPADNTLPIDAALEWSRRDRERQEEEQQRRMLDPAAARQLAARAAAPSSSRNAAPGEVIKLEESSDDDIYRPSPPRAGRRRPWHEPPMQLLIVLPQLCQKSSLCFPVVFKRLHPTPPPLLRGGGDDLRGAAPAGQGQGQGGRNGNGKRPAVEDARAEANTRFNLLKDKERYCRQGGSSGDGGQQQQQQQQPQWWLERDKKREARRAAQALETKEAKCGRGHGSARPAGKPLAPPARKQ >cds.KYUSt_chr5.39341 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248984699:248987901:1 gene:KYUSg_chr5.39341 transcript:KYUSt_chr5.39341 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAEAAAMVDLNTLSRRELQALCKLNGVRANMTNLAMVDALHSLPSVDGIDQIGTTLCLPTPGKSAVKSVLRTAASSDQQQQQGSPLPRGRRVSVKSPEAIRMDAEGEEDETKRDLFREIVRTPGVALRSTSRRPRATPAPLPTPAAGTLRRSQRSTVRKATAPVEEVVVSTAKRSTRKTAIRKVAIDFDQEEEDAAGTKGVTSDEKCEDPEEEEVTKLPEEETSKGDEPEQEGEGAAAIEEEEKLVNPEKSAPLSAMEDSPILGVLSKVAPEPDMNNVANSSTEAREGLSNWSPVRGIADGINNASEDKEDAAVEVLGEAVEEHAFNSTIEAAASPATAAMTEKEIAVAEINNASEGKEDAAVEVLGEAIEEDAFNSTVEAAAAPNKIVTAAMSEKEIAVDESPEEYILVGQSSEEADLTKQSSEVDDLHQDEADLTEQNSEVDDLDQDEADLTEQSSVVDDLDQDEEDMLKAGRTIDEESDGTIEVAAAPNKMDPAAATEMEVAVDEVPQADLTDDESADEYDQDGESSEGADLSEESSEVDDLDEEEEEDMLKADQTVDEESDDTIEVSGSIDVNFDSDEEEEQLKMSGTGEETDEVEESDSLSGDEDDFSGDLSSEFDDVLNFIDGETERDSSPVALKGIDAAAATPSAAKTVESVITEETEVSSEGDVVSQHVDTTVESLDKVVITEKKEECAKEKTQLKVGKEMSLRKLKSAYKESLIAAKEGKKLTIATNDGNRVALAELDDNADC >cds.KYUSt_chr1.8764 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53849469:53850407:-1 gene:KYUSg_chr1.8764 transcript:KYUSt_chr1.8764 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWAGSMGGMDLRNHLSQFGGPPGGGAMGGGDQAPTTPNSSGSNNHDDESGAAQDSPTAGAGDNSPTPGASGGSGGGGGSSSGRRPRGRPAGSKNKPKPPIIITRESPNTLRSHVLEIASGADIMEAVATFARRRQRGVSVLSGSGVVGNVTLRQPAAPPGSVVTLHGRFEILSLSGAFLPSPCPPGATGLAVYLAGGQGQVVGGTVVGELVASGPVMVVAATFSNATYERLPLADEEPGEGGIAPTGSDGMQLPEGPPLGGNGGMGAPPGLPDPSSMPFYNLPPNLMPNGGGQMSPHDVFGSFRPPPPAF >cds.KYUSt_chr4.44287 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274399235:274400104:-1 gene:KYUSg_chr4.44287 transcript:KYUSt_chr4.44287 gene_biotype:protein_coding transcript_biotype:protein_coding MERIPISYIAMALSLVLLLPSYATASVPTGMIERETKQQILASIPPHGQENPVLFLTSPSGKYAAHFIRSQTVPGAGGLGADFCYVEILDASEPGAEGQSVWESECLAVSTINTCALVFSWKGLEVFDGSNSVWHTHDTESVDNNFLRTLELVDEGDMRILDKGGELAWKASDEPRAAQHCGMPGSPGLVSATAPFAQPIGQGSGNLPFGQDVGGNGNGNAGVAVLPQDASYGDVSGQGHGVEDVGQSIGFGSQPLVDSSPYDSGALKHGCSLLGTGLALGVITMALSF >cds.KYUSt_chr6.17555 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110511758:110515096:1 gene:KYUSg_chr6.17555 transcript:KYUSt_chr6.17555 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPDGAKPAEATSHSLASTASTTRHHYRDQPYIENKIAHELAVLSDHFRVLFSTSRRTQSPPCQRPRALELAVLSDHFRVLFSTSRRTQSPPCQRPRALELAVLSDHFRVLFSTSRRTQSPPCQRPRALELAVLSDHFRVLFSTSRRTQSPPCQRPRALELAVLSDHFRVLFSTSRRTQSPPCQRPRALELAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVISDHFRVLFSTSRRTPRPPCQRPRALELAVLSDHFRVLFSTSRRTPRPPCQRPRALELAVLSDHFRVLFSTSRRTPRPPCQRPRALELAVLSDHFRVLFSTSRRTPPRAGGHRVHLASGPEPSSWRSSATTSAIVRLRLRRLVRLAAPSPPCPAAPSPPRPAGCAFAASSGCASAASSGWLRLRRIVRLASPHRPAAPSPPRPAGCDFVASSSGCAFAASSGWLRLRRLVWLRLRRLVRLRLRRLVRLAAPSPHRPAGFASSSSCAFAASSGWLRLAASSGCASAASSGWLRLRRIVRLPSPHRLAALFAVSSGCDFIASSGCVFAASSAAPRPAAPSSSRPAAPCPAATRRLVRLRLVRLRLRRLVRLCLRRLVRLRLRASSGCASSGCAFVVSSGCAFVASAGCALSGCDLPPHPAAPSPHRPGCASASSRRLVRLRPRRLVWLRLRASSGCAFVASSGCAFIASSGCAFAAPSGCASASSRRLVWLRPRRLVRLRLRASSGCAFVASSGCAFIASSGCAFAASSGLRLRRLVRLRLRASSSCAFIGCAFAASSGCAFATSTGCAFAAPSGCASASSRRLVRLRPRRLVRLRPSPPSSGCALAALRMVEISPGGSAPLIHATPWFTSTSPLPSTSITSRSGPSPRLDCYSSPT >cds.KYUSt_chr3.4578 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25941807:25947838:1 gene:KYUSg_chr3.4578 transcript:KYUSt_chr3.4578 gene_biotype:protein_coding transcript_biotype:protein_coding MYHGIRLAGYYWPDIMTDCLRVAKSCHGCQIHGDFKHQPPVPLHPTVPSWPFDAWGIDVIGPINPPSSRGHRFILAAADYFSKWAEVVPLREVKSDNVINFLERNIIYRFGIPHRITSDNGKAFKSKKMYRFMAKYKIKWNYSTGYYPQANGAIEAFNKTLSKILKKTVTRHRRDWHDRLFESLWAYRVTVRTPTQSTPYSLVYGSEAVLPLEVQLPSLRVAIQDELTKDEQVHLRFQELDALEEERPYALQNLELYRQNMVRAYDKLVKHRVFRKGELVLVLRRPILVTHKTKGKFEPKWEGPYAIEQVYDGGAYQLVDSQGSRPMPPINGRFLKKFWLNVHAAEHNEVTDCYWHMVDVLLTRRAAKRKRQIIGEWLLPPPSSHLPPLDLAPPSSPPASGKPPMASPTPSHVSDTPANTPATAGTEERRWLGDLDSPLSSDSSSDNSFTTAVQRALASPASPVSPAATAEQPLPQPGRRFHASPVRFPVPEPSPPRRPARRAAAAGPSRGASPHRLSPTPAASPRSAAPRVDADADGFVQPHGRLYRRRNRRSASPPPPPPPPPPRSGRPVPADLWGLCFNCCRPGHKAVECWYPSRCLRCHEEGHRAADVALCTRQHSPPAVEDPRPAQRRRASSPPPPPPRQAPRSAPAPPTTILARQPHDSGRARTPALDNRDPPVSRRAAPAAPPANNQVVSATAPAAGVAAQLSPPSLEELMQHRPERTLCYIRRSPTISEAESALADAVTVMIVGSRPAVSRAQLAEFISARFSIAPGSFDIYDYAPEDFLVHFSNNDDRNRTLAVAGVLSAPTFKCTIKPWTRLAHAQACVCHYRAVVDIYGIPPNASCLGTASSILAPCSVYERILTDRSDRSKFRVAVWTLDPTTIPFSSVLFVEEPSPNAAGDDTQLHMLAYNISIKVREVTRVSSGPPPSPSPSPSSNRGDRWSRHDSRRQYDSSHSNGRSVNLPDHGRDGNGAPPPSSRFQGQPRHRQVIDISSSASSGSSSAPSSRPPAASSAASAAPASSTAPSPRRRRRGKKGRKRTTATCQSPDKSLQRWVVKSVGLTSAAAREAAAISNEPPAASHVDPPPLSLADPMLSQHTTSPPTPTTNHSMPATHHQNMQPPLLPQDTTTLCCSDPHPDPPPVQFLVGSVLLSTAVGPSPITETAAGPPPLPRWDTSPETSSTHAPILDMVIPESAPPDPPASPAAPPSALEDKQLSLAPTPTPARRHLHFGSPSWTVYSRQSRNPSTPDSPTPTPSADHCSRAAKLKMLTSPAKHLLPRPTVQKTRRKVIPDNFIPRRSKRVQARGAARTEGPSRSCTKAILLKLGICVEDDDRAAPSPAALARYEQQFRRIMGRNQIAALASLYGWDLPSDDELAAQTSAANNPLVVTGRSRR >cds.KYUSt_chr7.23133 pep primary_assembly:MPB_Lper_Kyuss_1697:7:143721440:143722040:1 gene:KYUSg_chr7.23133 transcript:KYUSt_chr7.23133 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKRTSHYTEESIAMKRHKQMPSLKGMPLLLQHCYNMLEHMEVERARSSTERGPFDQLDNDEDDVLTTKKNKERHDGNNKEKK >cds.KYUSt_chr1.23929 pep primary_assembly:MPB_Lper_Kyuss_1697:1:142623062:142628485:1 gene:KYUSg_chr1.23929 transcript:KYUSt_chr1.23929 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEVNQRYQALSLLDCDEDIPSVSESSAGHGADNSDGQNRGEDAAVSHHSSNDESDDDENPFLTDSYGKEMRFVFDEIKGEVYVIEECLKFYEHFNFTAKQAGSMVLFFAEVIPSGDTCNVLCCKPLDCDDNGRCLSCEDHQSYVKMRHPADEALYVGGHEEGQFPFILESSSEDDSD >cds.KYUSt_chr2.26697 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163458266:163462473:1 gene:KYUSg_chr2.26697 transcript:KYUSt_chr2.26697 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEVLCLLDMIVNSFAYTISTKPVDRYTRPEFTDDGPMAINAGRHPILESLHTDFVPNNIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRIFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLVVVDELGRATSSTDGLAIAWSCCEHLLSLKAYTVFATHMEGLSELATMYPNVKILHFEVDLRNNRLDFKFQLKDGVRRVPHYGLLLARVAGLPASVIDTAASITSRITEQEMVRMDANCEQYRSLEMAYQAVQRLICLKYSNQGDDYIREALQDLKESYAAGARGEVKVLEEIRLVSKELASWSREIIGDLQKRIKHVKAKLEEDRKGFVTEASLWKEQVLCYRMERVEEQWDTHWKQRVQSHGCKMVIGTPLILIRWHRMGKNTIQ >cds.KYUSt_chr4.631 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3348781:3351246:-1 gene:KYUSg_chr4.631 transcript:KYUSt_chr4.631 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKLIMAKCGGLPKVISVIAQEISKINSESYPETALATILGGICDDFMGKLETDPTFNVLKDLFSWMQSYFDACSDSLKPCIFYLSVFSADKRIRRRRLLRRWIAEGYSRDTSGGGTAEENGEKLFADLVKSSIIQLTQTPGSNDKVDDDVCQVNGFFREYIISRPMEDNLVFALEGCCSINSQRAGQHLTIRNCWDGDEIVFKSIDFTRLRSLTVFGAWRSFFISNDINMELLRVLDLEDTDSGLTDHVLEQIGKQLPRLKFLSVRGCKDITRLPDSLGGLRQLQTLDIRHTKIAILPHSIIKLVKLQYVRAGTTHVTSSEGGNAGRPSPDEDDSISTPSEDSLSSEEDGVGTVAGIATTQVDNDSLMRIEQPPPASTGEDETSRSQLTKSDGDCTGEKQSGTSNGEDTSITQSVLAADGEGTSTSQPPPAAADDSMSINYDDTSRRPQAEDDDWASTTGAPCRSKARNAVVSYSCSWWSKKKLCASQQIDVNFGVEAPAAGIGKLTALQTFGVVNVGGARGKSILKELNKLTQLRKLGVCGINRENWQDLCCNMLGYGHLKSLSVHLDKDEDGESFFSSTGAMFNNLPKNLKSLKLYNRDGHGNVLVPMKQLANLRNLTKGNLGLTISTQEDIDSLENFPDQFTFRHICVKPTQDCELHYYEKYREWPVLGSQFVKIDCGSYKLVFAFEGWIAKDVEVLVVHCSSTESSLELSGLERLESLKEVVLKGSYSEAVKQHLQQQVDQHEGKPYLVLLKQGHFLPRRHMPSSFSAGDTRLTFRRHTPLMPSRSNANLPLSGREQKLADTLFAAALGLRATP >cds.KYUSt_chr5.3467 pep primary_assembly:MPB_Lper_Kyuss_1697:5:22448728:22449069:1 gene:KYUSg_chr5.3467 transcript:KYUSt_chr5.3467 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYAMKSFRLGLVVLLLLLLLADSSPAKVDPTYRSYIVFVSRPADADTMSRSAHRRYHESFLPSPRPFCSYTLVMYGFAARLTDAELAEMAKLPGFMSALPDGKRQLLLMPL >cds.KYUSt_chr7.30621 pep primary_assembly:MPB_Lper_Kyuss_1697:7:190566473:190567429:-1 gene:KYUSg_chr7.30621 transcript:KYUSt_chr7.30621 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAGMTVPSSILLLLLLLGASPPCHVDGAPAHSITAILAGIPDFTDFSAALVAANLTGNIDERQTITVLAVDNAAMGRLRARQLKPDNVRYVLSLHVLLDYFGDAKLKALNGTPPTQEASLFQASGAAPGAAGIVNIAPVAAAGDVRRGVAFSAADAGGRVVFYEKSVKESPYDIAVLQVSGAMESPAAEGKAPASSPTPSPSPAPVAAPVVAPSPKNQTAPPPKPAVAPSPKNQTAPPPKPTGAPSSPKNQTAPPPKTAGEPTDPPTTPAGAPEDDDQPPADENDGHKNDAGGTAAPWSVGAVLVAAMPAVVFLLW >cds.KYUSt_chr3.28296 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176578659:176580143:-1 gene:KYUSg_chr3.28296 transcript:KYUSt_chr3.28296 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVSGQWTQLRTLGCGASGAVVSLAADGASGELFAVKSAAAAADAAMLSREQGIMSGLSSPDVVRCLGGAQRDDGSYHLFLEFAPGGSLADEVARNGGRLEEHAIRAYAADVLRGLAYIHGESVVHGDIKSRNIVIGADGHAKIADFGCARMVDSDRPIGGTPAFMAPEVARGDEQGPAADVWALGCTIVEMATGRAPWSDVDNVLAAVHRIGYTDAVPEVPAWLSPEAKNFLTACFARNTGERATAAELLEHPFLALQPGEAKARRWVSPKSTLDAAFWESESDEEADEEEEISVNASERIKSLACSVSALPDWDSNEGWIDVLGGEPCDEARDSPATKESGKAIGFAAVPAEGVAVVGGLSSDEQLEAEDRPPFGGDILADDRSTDRQNKVCSSSDRDVLSFEIPCNNPINAIEKFSSPHILLSRSSDSNFAFVPALFWTRTDKCVLGGFMRVFGQNSTPYFTLPTNRKEMCFFTASSFMITLRYTFSQS >cds.KYUSt_chr3.24232 pep primary_assembly:MPB_Lper_Kyuss_1697:3:150373199:150374204:1 gene:KYUSg_chr3.24232 transcript:KYUSt_chr3.24232 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLWLLAARRFRRRARAVSTITASAATAPATPCGYGYGVDDDDGPFFDLDLSCCRSAPASSAGSSSESEDSSTSSSSSCGAGGDADLVISLQRQRSCSASSPPYDRLSFGRCGWGGALPPQLKFCASEPNDAAAARLSASGRRGRLRTFSFGAASAKAAFYGGRASFSRSSSSARSARIFAAYGHDSPSPDQEQETGAKRGQPSADVIRRYLGKISRRLRRVAPAADIRLRKSRSASAAQTAAPAQFSSPPSRRDDTLLEQQDCIASAIAHCKESIHRASMSECDSSLLRSWSDPGTEPPCRRAS >cds.KYUSt_chr3.18085 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111136176:111136667:-1 gene:KYUSg_chr3.18085 transcript:KYUSt_chr3.18085 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRQRRLTALMVLLSLCCLGGAASAAGSTGRHDGAAAAATEKSAGQEAARGFTATTAAEEEVVPARVEMEQVDVQDYPPTESNARHDPGYPRPRGPRHP >cds.KYUSt_chr4.7135 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42237049:42246619:-1 gene:KYUSg_chr4.7135 transcript:KYUSt_chr4.7135 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPQTVSDAEEEEPVELEPGAFVPVDLRRPNPNGIEFDNLYLDMNGIIHPCFHPEGRPAPTTYDQVFRSIFDYIDHIFCLVRPRKLLYLAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEEMMRMQFEAEGRALVQKEKSEAIDSNVITPGTPFMFVLSTALQYYIQLRLNHSPGWQSVKVLLSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHVLYGLDADLIMLSLATHEVHFSILREVITMPGQHDKCFLCGQAGHLAAECRGPGPSDNVVELPPIHKKKYQFLNIWVLREYLEKDLEIADPPFKINFERIIDDFVFICFFVGNDFLPHMPTLEIREGAINLLMSIYRAEFKPMGGYLTDGGEVLLERVEHFIQAVAVNEDQIFRKRTRIQKAYENNEDRRRAQGEISEDNKYVDMVKLGEPGFKERYYAEKFKEEAESKPIDQVQRDVVQKYVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKGLTEFEITFFLGQPFKPFDQLMGTLPAASSNALPKHYGDLMTDPNSPLKYFYPKDFEIDMNGKRFAWQGIAKLPFIDETRLLAETHKLEESLTEEERFRNTTMFDIIYVRETHPLAAQIAFLYQMYSQHSITDPSYVIPIDPAASGGMNGFLCLSQRNWYSISVGSPVKGFNAITQNRVLNGTYINPQYHKHIAEPPEGVIIPPKILKPYDFKPFPVLWHEDNSRRQTRDRPQVHGALTGSVLGEAAHRLLKNSLQIKSGSSAGLLEMPYRGAPYGPRNGPRPFGPLGYERGFVDNPYHAHMSRSAPNPRPQFFGEAQANRQNVRLLERPNTRIQDGGINAGMSNLTIQDGPRMHQNNRMQNPGYIPNQPYPNQYAGFPPQRPMQNTNFARPARNAGFPQQRPVQNAGFPQPRPVQSAGFPQQRPVRNAGFMHQQPVNGVPPPLPPSTWIGKQTSGGGQKGIPAKQDPRTNRQPKQDNPRSQQESRQQAAKPVYRVRSQAPNGLPE >cds.KYUSt_chr1.26791 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161630419:161632429:-1 gene:KYUSg_chr1.26791 transcript:KYUSt_chr1.26791 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASATASLSATAALRLRHRQLCSSARVPAQSHPLLKLSRRSHAVSASAASLSPLSLWEGQGIRAESEGPGGVASGDVMGLLLRERIIFLGNEIEDFLADAIVSQLLLLDAMDSESDIRLFVNSPGGSLSATMAIYDVMQLVRADVSTIGMGIAGSTASILLGGGTKGKRFAMPNTRIMMHQPVGGASGQALDVEVQAKEILTSKRNVIRIISGFTGRTLEQVEKDIDRDRYMGPLEAVDYGIIDGVIDGDSIIPLEPVPERVKPKYDYEEMYKDPQKFLTPDVPDDEIY >cds.KYUSt_chr1.9375 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57240791:57244950:-1 gene:KYUSg_chr1.9375 transcript:KYUSt_chr1.9375 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVKASAARGEPPLLQAAEAARCAREASATCGGARGSLAAALVSNLCFAHNTGAMWKLLDQAMASRLVSPLHTLALLTSRSLPPTDSHFSLVSVKSIRPAGGLGVRDFDSRVISRGQLLCRVVPNRRAQPEAYRLYLELLGRYALVPEYSESAETKAMIAKSTDDAMQLSHRYGFQKMDFGHTVILFVLSRPENFKSLLQRLQLTGALKSNNVASGNTLLDNLMTHIQKVRSTGYQLGRSRLLRVLIGTQPSSSAACNMFGTGKGSCWIPFDLFMENVMDGKYLHAISSIEALTGA >cds.KYUSt_chr6.4390 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25407416:25421743:-1 gene:KYUSg_chr6.4390 transcript:KYUSt_chr6.4390 gene_biotype:protein_coding transcript_biotype:protein_coding MHLREENREAQAAIRVRLEAQTAVERAASQELELCGKRMVLPLEAERERAQAARAEMRRTTAKEHRKRATAEPPSCYSYPNRAALLRATLLPLLSIGICTTPCLRAPFLPFSTSVLAVISAPPQSQRNDSLVGNGVVGILAETVNMWERRAPLTPSHCARLVLGGGRSTSGVNRIIVQPSTKRIHHDGQYEDAGCEISDDLSECGLIIGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILQERVSLFDYELIVDENGKRLIAFGKFAGRAGLIDFLHGLGRRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAVGEEIATFGLPSGILPIIFVFTGTGNVSQGAQEIFKLLPHTFVGAEKLPEISAGKSLSPHYQSTRRAFQLYGCVITSRDMVSPKDPSKCFDKDDYYAHPEHYNPVFHERVAPYASAIVNCMYWERRFPRLLSTEQLQQLMKNGCPLVGICDITCDIGGSIEFVNKSTSIESPFFRYDPSTNLCHDDMEGDGVICLAVDILPTEFSREASQHFGDILSRFVTSLASTKGLLELPSHLRRACISYSGKLTPLYEYIPRMRKTMIEMSPAPANSLPDKKYTHLVSLSGHLFDKFLINEALDIIETAGGSFHLVKCDVGQSIDDMSYSELEVGADETATLDKIIDSLTSIANAHRGDPNATEISLKIGRVSERRIDDSMDKVGPKVLILGAGRVCRPAAEFLSSYRNIDINGCNDYKTDQVHVIVASLYQKDAEETIDGIKNATAAQLDVSDIGSLSNLVSQVEVVVSLLPASFHAAIARVCIELKKHLVTASYVDDSMSKLEQAAQGAGVTILCEMGLDPGIDHMLSMKMIDEAHAQKGQIKAFTSFCGGLPSPAAANNPLAYKFRFLGLHEETEIPKDCTSALSVISQRMEQRMAYGHDEQDMVLLHHEVEVEYPDGRPAEKHQATLLEFGKSENGRSTTAMALTVGVPAAIGALLLLQNKVQRKGVIRPLEPEIYIPALEILEASGIKLIERVET >cds.KYUSt_chr2.46227 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288664579:288666592:-1 gene:KYUSg_chr2.46227 transcript:KYUSt_chr2.46227 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARERRSAEESDRRQKAERSKEEEYWREAEGSKPRAARRREEEAEKKAEAAARRAENRRLAEAEEAALARAAASKTDARKANRVAAPAAKVTEAELLRRREEERLRLERDAEAAKKRAARVSEEEEYERVVVVPNTNRDDSIVEASSVGEAIARMSLVNSESPLPADRHPERRLKASFKAFEEAELPRLKEEKPGLTLKQYKDMIWKLWKKSPDNPLNKAAE >cds.KYUSt_chr7.39540 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245781271:245781567:-1 gene:KYUSg_chr7.39540 transcript:KYUSt_chr7.39540 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPAACVDDAERVPLCDKNTECRRNCIQVPLLDKLVCPYDPECSALIESCKTACESCLFGCDRVSTACKTPRSTAADVCKGISNEEGPNPRPRVRMG >cds.KYUSt_chr2.11064 pep primary_assembly:MPB_Lper_Kyuss_1697:2:70269039:70275777:1 gene:KYUSg_chr2.11064 transcript:KYUSt_chr2.11064 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAVAPPLCTWIVAACLSATCGADDKDKKRSCGGGGGSGSGLFGTRRHLAARRRGGARSGMPIAASLHPERGPVENKKSDTKQRRVVVTGMGVVTPLGHEPDEFYNNLLQGVSGISEIEAFDCSSYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMQYLIVAGKKALENGGITEDIMNELDKSRCGVLIGSAMGGMKVFSDAIEALRVSYRKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEAELMLCGGSDAPIIPIGLGGFVACRALSQRNNDPTKASRPWDVDRDGFVMGEGAGVLLLEELEHAKQRGAEIYAEFLGGSFTCDAYHMTEPHPEGKGVILCVENALADAGVTKEDINYVNAHATSTQMGDLKEFEALARCFGQNPQLRVNSTKSMTGHLLGAAGGIEAVAAIQAIRTGWIHPNINLDNPEKNV >cds.KYUSt_chr5.3207 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20714726:20718464:-1 gene:KYUSg_chr5.3207 transcript:KYUSt_chr5.3207 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNLSGVLDGGDAAAAATGRNMQHSGFLGGEYLRADMFDLDSLDVEVDKKTMAKLLATEKQRDRGDEEMEPWEIDLAKLEVHELISPGTFGSVYRATYDGKIVLAKLLDWGEDGFITEDEVAARRKALRKEVVVWKDLNHRNVTKFIGASMGTTDLNIPAENEDIAAYTDFPDRACCVVVEYIDGGTLRQYLYAHREEKLEYRVVVDLALDLAKGLSYLHSKDIVHRDVKAENMLLDSKRTLKIADFGVARIEAKDPAEMTGHTGTLGYMAPEVLEDKPYNRKCDVYSFGICLWAIYCCDMPYYPDLSFAETSSAIVHKKLRPTIPPCCPAPMAKIMKRCWDADPEKRPDMKEVVQLLEDLDTSNGGGMTPEGIAEGKNPGCFCMTLFYFQPLTCADRNVDDGPCHHRFGRAPPRCLLSPRIEEEEGHFLLARICIELVAISGPSPSDHGASPPPACEEENENVFARLFTADRGDDVCFASPHPAVTKATNVTRDEDADFSRMWVDVLGPDTTWMSDDALRRDIMGMSSNELRRQIACMHSMFR >cds.KYUSt_chr2.35336 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218124026:218124733:1 gene:KYUSg_chr2.35336 transcript:KYUSt_chr2.35336 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSGDHEVSYLALPGPASGDPTDELAAGYPWTGQPRLGNRSRFIWLSVALTLIVFVIVTKVTMLASVQPLLPSFAIASVTVASVSRSTEVRSGNFSVTLAVFNPNTKVDVRFDTIVATVYYDSTAIAEAALPPMYQEALAERFVAATAPHVGSDVVRGVRRDMSSGRGGDDVIWFRVEAACRLAFHQVNGAEVARIRWRNVMIRCGDVPVRFDNTTVPLTSGKLVGPVRPCTVK >cds.KYUSt_chr6.4466 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25765546:25769096:1 gene:KYUSg_chr6.4466 transcript:KYUSt_chr6.4466 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHGTTSWRRWLEAIATVLALATLAAAVAAGKQQDTTSKAEKGSATVVSRVSRIATSAAAAPASLLNVTALCSSTPYPGACRTAMSSSASRSAKDPFAASVQFAMGRAASARALARNLSSASSGRRRGALPPSGMEDCAELLDISHIQLGDALAAGSAHDATTWLSAALTNQGTCGDSLAAVPATTGREGVRRRVGALSEYIGTALALHAKLKGVSETTPAPPPSIASMPSSSPPNRRFPSWVSDHDRKLLESAVGGVTPDAVVALDGSGTHRSIGEAIAAVTAALPPVGSTEAGVGGGRKVIYVKAGRYEESVRISSKQKGVMLMGDGKGKTIIVGHRSVAAGYTTYDSATVAAMGSGFIGKGLTIINDAGPSKGQAVALRVGGDLSVVYQCDIEAYQDTLYVHSNRQFYAEDSISGTVDFIFGNSAVVIQNCDIRPRKPRTGQEDTITAQGRTDPNQNTGISIHKCRITSTYDISNTKVYLGRPWKKYSRTVVIESSLDRSITPSGWLEWSGQFALSTLYYGEYDNTGPGAVTSGRVKWSGLHTSMSAADATRFTVRNFILGDSWLGNTGVSYTSGL >cds.KYUSt_chr7.29122 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181254869:181256214:-1 gene:KYUSg_chr7.29122 transcript:KYUSt_chr7.29122 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFGKQPMQKLRLVNPTALFLPAIVLVLFVVTTRLPGSFFGAGIPTISCPGHPPVIRNSTSAVAGRVPKGCDIFRGEWVPDDAAPYYTNRSCALIEEHQNCMKYGRPDLGFLKWRWRPEGCELPRFDAAGFLHAVRSRSMVFVGDSLARNHMQSLMCLLSKVEYPKDISPTKNQPAVTMYYESHDFTLTIFRSLFLVKSNKSKSDGPWNLYLDEPDDAWLPFVSGYDYIIISGATWFSHPSMFYDAGGRLVGCQSCGVPGVPELGPRYSLRAAFRTALRAIANLEGFNGTAIVRTLTAASHFEGGDWDKGGDCRRTRPYAGNETRVTGKELDFYTAQVEEFNAAKEAARGRGVRMMLMDATAAMVLRPDGHPSRYGHWAHENVTLYNDCVHWCLPGPVDVWNQMLLHMLLAAS >cds.KYUSt_chr6.31205 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197687046:197687854:-1 gene:KYUSg_chr6.31205 transcript:KYUSt_chr6.31205 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCRHGFLRAPLRTFQGTEELLVRDPFNGHEHHLAIPPVLGRKSIHGAVLRAAPGVDHFQVVLVRTDVKQGVVACIYSSETGLWGNLITTPLPSRGRIDPNKPAVLVGGSLYMLLLRLEAPSMIVEFDVGRQSLAVIPLPASLDSEHSRFYSVMRADGGGLGVDSWMLARTVELDKLISPDLKRQCSLQIAGLAEENNVVFLWSSVGVFRVQLDSLQFKRLPLTNFRQWHPYEKFVGFILLVG >cds.KYUSt_chr1.5147 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31723019:31724737:1 gene:KYUSg_chr1.5147 transcript:KYUSt_chr1.5147 gene_biotype:protein_coding transcript_biotype:protein_coding MMADSIAPLRLLSPTPTVDGDDAPGASVAVVDVEAVAPRLPSPTAATPPTSPRTRARHRHTALGYTLSPPSGGHQEPGHAWMARIVNVHHMNKAAFLKGNAECVDPKEVVMVFTTSPTYAEVVERIRFDLKWMEPSDACELIGRYNAGFGHHNRLKIMPVDSELNWSAYKEIVEGSQDKSLELFATRKFVARLSIDLNRHASPCARDEPSEHHSADVYDTRMSQPPMSQPPMSRLSIMVWSLAPRAMEPWSVTLLEQTAWSVQKMTAKNDRNYDMT >cds.KYUSt_chr3.5993 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34179976:34180736:1 gene:KYUSg_chr3.5993 transcript:KYUSt_chr3.5993 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGHPASAAAPTPIRRWAEDVIVLSSGRPSAEAADLPPPEKLYYKTKLCDKYETSGRCTYEDGCTFAHGRAELRPPVPPLSSAPPHGFGGGVWRRPPGPDQDHRGGGYGGGKVCHNFRDKGSCHFGDKCAFPHASPAPGAQIRGPGGEQKLAADARRSATPGTAPPRYVGAPPAPGRAFPPVPGKATVLEQLALRKTSGIYGDWPEQYSS >cds.KYUSt_chr4.46501 pep primary_assembly:MPB_Lper_Kyuss_1697:4:287463349:287464335:1 gene:KYUSg_chr4.46501 transcript:KYUSt_chr4.46501 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTNTTLSPSSSSSSPPASGSGEKKKMVGGGAPTGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPDHFDFRRYNGLDAYVDDLLAILDALRIPRCALVGHSVSAMIGILAAIRRPDLFAKLVLIGCSPRFLNDSDYHGGFELEEIQQVFDAMSANYAAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQSVFKTDLRGVLGMVQAPCVVVQTTRDVSVPASVAAYLKAHLGGRTTIEPLATEGHLPHLSAPSLLAQVLRRALARF >cds.KYUSt_contig_319.416 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2674187:2675004:1 gene:KYUSg_contig_319.416 transcript:KYUSt_contig_319.416 gene_biotype:protein_coding transcript_biotype:protein_coding MDESQVQEGVDPKTACRLEILVNSYFSIIDGKKVYSKGRTVSWVVDYEKYALIDLRKDIAPYFTWASDQKANFWVVDSKQHMKCRLDTDSQLLELLKASQVVKLFMVVGAWEEGHVETNVAEEDMSAATKVVEEEIKVEGFAWAEVPTYGETTAGPPMAEEEEKEHFMTFGCDPHGDEPAGADEEWRYFKKGRHGGMRLTLRKENVVVDNGRCPENHALMLYTWLAKLGN >cds.KYUSt_chr4.41982 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259634500:259637462:-1 gene:KYUSg_chr4.41982 transcript:KYUSt_chr4.41982 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQAATVVSQQGDCCRHGQPPRWHAGGAGGEPLPFYLPQRKRLSVDGSAKPPGPRICIWECDGEAGDITCDIVAAPFRRSCSARPTQAPAAPFFRMMTPPPPRPQRVAEAEEEASKPGKAIRRGHRSYGLMLNLQLGIRQANQCALSQLFSSALPFKKLLASDFDPREKVWTRFPPEGSKLTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPADYMLAICGNHTLRELASPGKSGSCFFVTQDDRFMIKTVKKAEVKVLIRMLRSYYEHVRQHKSTLLTRFYGTHCIKQVGCPKVRFIIMGNFCCSEYKIHRRFDLKGSSYGRTIDKTERKIDETTTLKDLDLDYAFRLQRFWYEELMKQIQMDCTFLETQGIMDYSLLLGVHFRNDLSVSKIGLSQPIALTKSTTGKRKSFESGGNFCELCFMESGCKDRDLTIEPRKPFAQLGMNMPAQAEHSSRKILDKFLLNERHLFISTPGGGPCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPGRISAVDPKLYSRRFQDFIRRVFVKQQQLEEECTGLS >cds.KYUSt_chr2.4156 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25162966:25163415:1 gene:KYUSg_chr2.4156 transcript:KYUSt_chr2.4156 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAIAPAPVLAAIPRHTNRTLSGAGATVLTATGTVLFFLYLAGRLLWACKKEASATASVAGAPASSPAGVNVVARPLRRAVPLSALPVFLHEKDRDAPDFECAVCLSEFREREAGRLLPGCGHGFHEACIATWLQLNSHFCSGAPPLK >cds.KYUSt_chr2.8673 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54490762:54501653:1 gene:KYUSg_chr2.8673 transcript:KYUSt_chr2.8673 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRPAPRGGANGTGFSYSNLFNLEPLLNFKVPLPEDLDRYANSSPNGSTSSQGQASLSDQYNGISDASHGLHRKRKRHLGVASDDEEAEAYSNQVTEEHYRTMLSEHVHKYRRSKAKEGVFGSDPRADVRQIIKHKSDHTRPAKYRSDFKDVAAPEFNGAGCIGAYGGFNKIVASLDSSYLDMGDNVSYLIPEGYDKLAASLNLPVFSDLRVEEHFLNGPLDLRTLSAMLGTDSKFEATNRGGLAEPQPQHESLQERVKIQKFSLQVTEDPFAIPEGSAGRIRRSIISESGSLQVHYVKVLEKGDTYEIIERSLPKKQIVKKEHSEIAKEDLASFMKRWQIIARNIPKHHRNFAALLKKRQMDAKRFSENCQREVKLKVSRSLKLMRSAPIRTRKLARDMLIFWKRVDKEQYELRKKEERDAAEALKREEELREAKRQQQRLNFLISQTELYSHFMQNKAGESALPDEGSVPEGDEDEDPEEAELKREALRAAQHAVSQQKRMTNAFDSEIVKLSQSSDPGIPTDDSAEPSKIDLLHPSTMPEQSSVQTPELFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLSHLAEDKNIWGPFLVVAPASVVNNWAEEVIRFCPDLKILPYWGPERIVLRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGTLNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVHCRLSSRQQVFYQAIKNKISLNELLDGRRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSYYFNFAEIPNSLLPPPFGELQDIHYAGKRNPIMFEIPKLVYEGIICNREMPMHGCGFQSGYFNRLFNIFLPSNIHKSAFPESTSSDKPVLLSGAFGFTRLTNLSPVEASFLAMCSLFERLAFSATHCKRDVDEIVDLFLDSEGPDLQLSQNDATKVRAVTRLLLSPKRADSSLLRTKVEIGLSDNPCEALVLSHHDRLVSNIRLLRSTYGFIPPARAPPINVRCSDRNFAYKLTDEMHDPWIKKLFLGFARTSEFNGPREPNAPNPMIQEVCSVSPIPEPILQLPYRIFGSSPPMSNFDPAKMLTDSGKLHTLDKLLRQLRAENHRVLLFAQMTKMLDILEDYMNFRKFKYSRLDGSSAISDRRDMVRNFQNRNDIFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKQVQDDHLMRQEDVVSLLLDDTQIAHKLKEISMQAKDRLKKRRAKAIKVDKEGDLMLEDLDDPTASLMLEDLDDPTADSKKSSHKKPLKSQDNDSDVKALTENDIPESGPAEDEDIASQRPKRSKRLARSTGEDNEPVAASDVEKAVDAAETSMFHDYHDAQESQDQIPTA >cds.KYUSt_contig_2792.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000505.1:13442:15618:-1 gene:KYUSg_contig_2792.4 transcript:KYUSt_contig_2792.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFTNGLRRKDFVEELGRTRPKTIAKMMYITNGWADDEDAVSNKRARSPDDDRFRCSNDHKRRGGRNYEDYDGPKKVVQAKEDHEGVEEENEMTSTNDIHVDTAFFTKKRNKNFGKKVLCYRSENRTCYNCDEAKHFADKEDSEDEQKLVVVASLALAEPGSLFTYEYTKDYSDIPKKSDTCLMARGAMEDDLVLSSIPKNSDIFLMEEVLR >cds.KYUSt_chr1.28438 pep primary_assembly:MPB_Lper_Kyuss_1697:1:171809132:171814003:-1 gene:KYUSg_chr1.28438 transcript:KYUSt_chr1.28438 gene_biotype:protein_coding transcript_biotype:protein_coding LKMVECSHNKVYNVGFVYPDKVHHETVKNNIEETVENLTSFIVEQSFCDSMLFPYNYSFHWILLNIKVDKGIVEVSDPLNRGLDGFRDLQEILQRVWTSFKEVITGEHFAEKLTFTIVPCAQQPHGTNLCGYYVCESIRMLTTEQLNDNRFNVDYMRETLQPQEHVLGITEELVAFLLTEYNRAGRWRAHFLFVTNRKHSALAPDDTAAGVANRRSRPHRMPAPATVASFNALIASHARSGRPSQALRTFHDMLARGFPPDHFTLPPVLRSCALTGSAALAASAHALSVKLGAQGNLFVASALVQCYAGMSNLSHARRLFDGMRERDAVLWTSMLSAYSQGGQPEEAMRFFEGMVVAEVQLDAVVMVSLLLACGQLGWRRHGRSVHACCVRRFLGIPLSLGNALVDMYVKCGELAYAERLFSVMPRRDVISWSALIVGHGLNGSSDVALRIFDEMVAKGVEQNSVTFLGALSACAHSGMVEKAYAILEQMKRKGIKPELKHYSCVADALGRAGRVTEAVNLIEEMPCQPDEAMLGGILAACRVHGEVDAAERISKRLMAMSPAKSGYYMSLANVYSDAGRYVDAERIRGFMKEVKVDKLPGYSVSESNN >cds.KYUSt_chr1.21897 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129331428:129335413:1 gene:KYUSg_chr1.21897 transcript:KYUSt_chr1.21897 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHSAISRCSDNHPWVLIDSIARTGYCSNDTTAQTSSSRLFPIRVSFVLADPPDVSVCFVHCPGPKKWHFDGDPLILTASQAFVLLVVSFTGNDDDFDDYFVYRAGPGKPRLHRICMPSPRDYDDKTIGILPLSDGASNHYAVVVPVRRYNRASHSVDYSLRVFRSDREGWTTKETQMARDTETDRRNVRNLVGTRVISVGEGVLGWVDLWWGILVCNVLDEKPIMRLVRWPVAEPCDDVKHISPRPFRDVAVINGIIKFVKLKFHQPTFNQGVMINQGWTVNVWKRIVSSADWHKSSKVHSHDISIIDSVLMSQIPFLVPEILDVEAKRLAWDQVSCTSPTLSLNSDDDVVYIMVKAKLEHPIAFVFAVNAGEKTLEAVEDCRAEKMTYLEPTYVASAFSSYLTNAGPTPAKVLDHPYSVAGSNVENDVTVEPLLHVPNEEPIRIPVTASYSISPAETDVTKKSYASIVSQGPSNAAVKPKPLTKPVRIAVEGLTPKLEHPFIVAVSNDENNVTAQPPLAALNEQPKMTPVDASYFPTPAQKDVTKNTYASIVNQGSLTAVVTQKLVTKPVRIVVEGAGPPPEPERPNVVDGLDVENNVTVEPHLPVPNEQCKRTPVAANTQR >cds.KYUSt_chr6.2652 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15504257:15504911:-1 gene:KYUSg_chr6.2652 transcript:KYUSt_chr6.2652 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSASPPILHGDVKTANILLDSSLTAKVTDFGASKLAPNDEAEIATLVQGTCGYLDPEYLMTCKLTDKSDVYSFGVVLLELLTRKKLMDDGVRKEMGREALEEVSHLLTRCISMNGEERLRMKEIAERLERLEALRRYQQHPWGHSDGADSEEEEKSLLGRKQQRDADYKFRPHDVLDLEGGSTHYFSV >cds.KYUSt_chr4.53939 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333737675:333744923:-1 gene:KYUSg_chr4.53939 transcript:KYUSt_chr4.53939 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSGVTLALVVLPDSGVAPSRRTGLAAAARRGRSRGWAVAASPPLEEVAQMTEPLTKEDLIAYLASGCKPKENWRIGTEHEKFGFDVETLRPITYEQISGMLNGLSDRFGWDKIMEDNHVIGLKQGNKNISLEAGGQFELSGAPVETLHQTCAEINSHLYQVKAVGEEMGIGFLGLGFQPTLPLNDIPKMPKARDEIMRNYMPKVGSLGLDTMFRTCTVQVNLDFRSEQDMIRKLRASLALQPLATAIFANSPFKEGKPNGFLSLRSHTYTDIDNNRSGMLPFVFDNSFGFEQYVDYALDVPMYFVYRNKKYVDCTGMSFRDFMVGKLPQTPGELPTLNDWENHLATMYPEVRLKRYIEMRGADSGPCSRLCALPAFWVGLLYDEESLQGILDMTGDWTKEEREMLRRKVPVTGLKTPFRDGYVRDLAEYVLQLAKNGLERRGYKEVGFLSELDEVVRTGVTPAEKLLKLYETKWQRSVDPVFEELLY >cds.KYUSt_contig_973.576 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:4219439:4221289:-1 gene:KYUSg_contig_973.576 transcript:KYUSt_contig_973.576 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLVGLLRVRVLRGVNLAIRDLRSSDPYIVIRMGKQKLKTRVVRKSVNPEWNDELTLSIEDPTLLIKLDVFDKDTFFDDPMGNAELDIRPLVEVVRMRLQGVEDNTVVKKLVPNRQNCLAEESAIYMSEGAVKQDVVLRLRNVECGEIELQLQWIDIPGAKGV >cds.KYUSt_chr3.35964 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225994545:225995663:1 gene:KYUSg_chr3.35964 transcript:KYUSt_chr3.35964 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTCCCLRNGAPSRSYFKPSLPYTITRSTSPPLPPCRRSIFMFRCILNNPKRATGNSVVDVTAGRNTRPRGGFSFDFEQYMSANGKAVNEALDRALPVCQPERLNESMRYSVLAGGKRVRPILAIAACELVGGSAAAATPVACAVEMVHTMSLIHDDMPCMDDDALRRGRPANHVAFGENTALLSGDALLALAFGHLARGCADLGVVPAERALRAVAELGNAAGAGGVAAGQVADKASEGKPVSLAMLEYIHLHKTARLIEAAAVCGAIVGGGTDGQIESVRRYARSVGLLFQVVDDVLDVTRTSEQLGKTAGKDQAADKATYPKLMGVEQAQAYMAELLAMAEAELQGFDAERAAPLHHLARFIAYRQN >cds.KYUSt_chr4.40115 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247680204:247681564:1 gene:KYUSg_chr4.40115 transcript:KYUSt_chr4.40115 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESSQSNGLMDVTLFDMATISLSTANFATSSKLGEGGFGAVYKGELGGGQMVAVKRLSKYSTQGLDEFKNEVMLIAKLQHVNLVRLLGCCIHGEERILVYEYMENKSLDMFIFDKARSAQLNWSKRFDIILGVARGLLYLHQDSRFKVIHRDLKAGNILLDGDMNPKISDFGVARIFGGDGADSHTRRVVGTYGYMSQEYAMDGIFSVKSDVFSFGVLVLEIVSGRKNRGMYGSAEQTSLLSHAWKLWREGNVLALLDELVARECRDSEAALRCLQVGLLCVQERSEERPHMATVFLMLSNQRAVMPQPRHPGYCSDRGSASTDGEYSSTSTVNDITVTVVEGR >cds.KYUSt_chr1.28787 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174144591:174145343:1 gene:KYUSg_chr1.28787 transcript:KYUSt_chr1.28787 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRNNAACPARGFYTYDRFLEAAAKFPEFAEGLSTDTRKREVAAFLGQTSHETTGGWPTAPDGPYAWGYCFNREVNPGSDYCDTSSTDWPCVPGKRYYGRGPMQLSWNYNYGQAGLALGLDLLGNPDLVETDDMVSFETALWFWMTPQGNKPSSHDVITDQWTPTPADIAANRVPGYGVITNIINGGLECGIGPDPVSPTASASTSATATSSASATGPTSTATTRSPSPGGSRLGSPRSSENGQPFTV >cds.KYUSt_chr3.17679 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108412962:108415571:-1 gene:KYUSg_chr3.17679 transcript:KYUSt_chr3.17679 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPVLTVAVEKGPRRGETRQCRAGAALRVGRVVKGNDLAVRDGGASQHHLVIEFLPPPGAAGWAVSDLGTSNGTLLNGAPLVPSVPAPLSHGDTIKVGDSTVLAVSIAPDSDPNPVANPTSRHAAAAVDEKPPAATRRGRRNIKAATAAEAPEAEKEEPDAAAVVVDEKPPAVTRRGARSRAPPVAEPLEVEKEEPDAAAVVVEEKPPAVSRRGTRSKGPPVGEPLEVEKEEPDAGAVVVEEKPQVARRQGGRKKMAPGQMGETAEAPRQCEQKEAPKPSEPEKDDEEKEEVMVPTRRGSRRSVTEPPKPKEEENAPAVTRRGGRKKNVATVAPPPLPPKPKSVRGRGTRATASTTVLQEEQGGNELAASRAETVNLLSPTAVKGGEEQKGFKVAAGDGGVELQDTAKALKDEEIPKGRDNAHHAASDNDANAAAAEPTGEMEEAAEVNCHRRRMKVVEHYEPEKDGEETTVVTRRGGRKVFMEQSEPGKDDDTTLVTRRGHGRQKKLAEQPETTKDVEQTTVATRRGGRKKAADQLEPEKGMEETTILTRRGGQKKVAEQPELVKEDTEKEEGAPVLARRGGRKKNALLVAPPLPELVKENDEKEESAPALTRRGGRKKNALLVAPPSLPLNTSSTRGQGRVTRASMTVKALEEELPEGRARAKLSASNTVAHAAAVQLTVEMEETSEAPRRGRQKKAIDPPEPEKEDEEKEDAIRVTRRRGQKKDAVVACHAGRKKDSTVIAPPPLPPKTASGRGRRRVTRTSARNNVLEKDAKGEQEEDEVNVPIEQAGNLQIAMAVNDGEDREVKGAARASEDDSPEGRDSVKHASSENRGQEDQGGVHCSSRVHSGSSGIPNTTSKYREVK >cds.KYUSt_chr6.25659 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162568464:162570586:-1 gene:KYUSg_chr6.25659 transcript:KYUSt_chr6.25659 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKKLDEEEAVKACHDRRSFVKKAIAQRNLLASSHVAYLQSLRRVSLALFYYLAEDEHLYFLQESSCRHHPSSPENKVFVLNCLKQGGAPVHPLVEQWDGEDGAAETAVVDGFFGVDPRLFHPPANNVPPSSPRPLPPGWDLFWIDPFSSLPTDRDHCYMNHGVEEANADQEDEEIPELEEASVDDNDGGDREEESEEQEEEAGHEQAGAAHRVMEEPRKEEEKKVVDAIHKLRVMTSAEIEQQSSPGRFTVYVDRPPASVAEAMRDIKAHFSKVAEIAGEVSVLLEVVPYQKKVRTPAPRGDVDGEDGDEQTGAREASPEPFELFQSHKESLDRLYEWEKKLYDEVRAGERVRLSYEKKCAQLRSQDANGAEPFAIERTRAAMRDLRTKLNISLASVDAVSRRVAAVRDDELLPQLAQLIRGLARMWRVIGDAHRVMKRTADEASALLSASAARAEGGVGGPPPGPTRAATAAGALASELRGWRAALEAWAESQRGYAAALWGWARSCVKDGEDMPRLLVSWARAVEAVDVEAVTRAVEVVAAEASAIATAARRRNSNNGVEEPNEEEGKRRVCVGLAAALGAIAEAGGMASAAYGELVAEMEQREREIEMAGRDNEESSIQNNPQ >cds.KYUSt_chr4.53271 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329880966:329881379:-1 gene:KYUSg_chr4.53271 transcript:KYUSt_chr4.53271 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRKTHALSCSCCAEMERGQGASGSSRRVHGVQSVVCAFVSRVHQSHIKTAVMQEIDMDMRKRREELTGRLLAEDECAGKESIRNEQERHANLLSTMAVMRSDSRQQFRQFWGQQKNVGYILILIQTEDKLTRKR >cds.KYUSt_contig_1467.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:18601:19219:-1 gene:KYUSg_contig_1467.6 transcript:KYUSt_contig_1467.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQLLAAALVSALLLLWRPAGAAEYSVGGDGINGWDTGTNYATWAQAQSFVAGDALLFNYVKSQHNVYEVTEAAYRSCDATAPGSVLATYDTGFDRIVLPDAKTYWFICEIPNHCIGGMKLAVNVSGAGAPGGSPTIDVPPPSPSAATRSSWTAAWGGLVVLGVVHVMVNLAA >cds.KYUSt_chr2.18216 pep primary_assembly:MPB_Lper_Kyuss_1697:2:114848503:114850223:-1 gene:KYUSg_chr2.18216 transcript:KYUSt_chr2.18216 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLQDVLAPFIGKIKELADDSPVRAPARTPHRRVALHGFPGGAEAFELVARFCYTGGTGLAVTAANACALRCAAQFMDMADAPASSAKPSLVKMTEKVLEEMPHWPWQAVVDAVKECQCMFPLAESTGVFDRVVGALLSQMAVPGDATPTSSSPESSAFRFSCDTKCSSLSMRRTWWFEDLVVLSPGMVERVAKALLARGADHGIVARFIFYYLKCRIAGANAEDKKAMLEAAITVIADLDRSSVSCKGLFGILRIASPLKLAGACQDTLVDMIGRKLDHATLDNLLVPAPSGTGSLYDVSLVFRFLEAFMRHTGGAQVEPPRLKKVGALMDMYVAEVAPDPSLRPARFVELATALPAAARDSHDALYRAIDVYFQVHARLKDDEKMKICKGINYEKLSPECCKHLATNAGFPTRAAVQALASQHTVLKGIIRHSGPLKPASSPPPPFTGKYHQHIESYNDIDGDDGNGQVVLYASRLDLTLENQNLKSLLDGMHWRVMELEKVCSRMKTQMTKMKSSRRRAARSLPKMCS >cds.KYUSt_chr4.15300 pep primary_assembly:MPB_Lper_Kyuss_1697:4:94533094:94533426:1 gene:KYUSg_chr4.15300 transcript:KYUSt_chr4.15300 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRAWASSCWYAQTAAGGMTGGGGVRVLAWASSCCYARTVAGGMTGVEALTSPPIELALSAYFFFASAASDLVVFLNLPDSYPNLPSFKDMGETGWSPRPRRHSPVESA >cds.KYUSt_chr3.24057 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149285326:149287429:-1 gene:KYUSg_chr3.24057 transcript:KYUSt_chr3.24057 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQLGEAVGAINALMAFEPDLRINPRQCRLLADACAHALDAVTGEVRASLRFDERGAKWRGLEAPLRELHRALRDAEGYVRQCLDPRGSWWARAAAVAHGTDCVEHLLHNVLWCVSVAVEAVETAGENAGSDADDLARTRLVLARKYDGDMLDPKLFQHAHGKRYLVTHELVARMDAAWKEDRWLLSQLLDEMTGPAAPKRLTRNEQRLAEVLAAPSGKLHPASILLGGDYSVRRRLGGRLKEVQWMGESFAVKHIIGDGEAVGAEVALLSSVAHPNVAHAAHCFHDEDRKEYFVVMDQLMAKDLGSYVKEVSCPRRRTPFPLVVAVDIMLQIARGMEYLHAKGICHGELNPSNVLVKPRQPDGGYVQVKVTEFGQYGAKASANGNANGDDNTCIWYAPEVLKPEGADGETRCTEKADVYSFAMICFELLTGKVPFEDNHLQGDKTSKNIRAGERPLFPFQTPKYLTTLTKRCWHADPAQRPGFSSVCRVLRYVKRFLVMNPEQAGQADAPVAPPVDYLDVEMQLLRRLPAWQGGEGARVSDVPFQMFAYRVVEREKTTAVLHAKDKLASDSGSEGNSLYGDENGLGAMSPDHPSSGTVRPLPDSSDGKKLPASAKKADSKASNSKQAGSVQKVKPSTAKTPQAPRRTLGLKTDGLI >cds.KYUSt_chr1.38775 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236868955:236869902:1 gene:KYUSg_chr1.38775 transcript:KYUSt_chr1.38775 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSPADERVYCPDCHRATEVVLDHATGDTICTECALVLDAHYVDEGSEWRTFSDDGGGDDRDPSRVGSTGDPFLDAKLSTGITYAKKPAAAAGAGDNSKSLPRMSVPFDDGSASDRALVDAFRGISDMADRLGLVATIRDHAKETFKKLDDAKGCPRGRNRDAVYAACLYIACRNLGMPRTYKELASVTAEGAAARKDIGKMTLHIKKLLGEEEGQVMDIGVVRASDYLRRFCSRLGLGNQEVRDAGEAVRRLEEGLDVRRNPESIAAAIIYMVVQRAGAGRTVKDVAVATGVAEGTIKEAHKDLTPHAQMLFG >cds.KYUSt_contig_1539.1226 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:5675725:5680176:1 gene:KYUSg_contig_1539.1226 transcript:KYUSt_contig_1539.1226 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRCSWFGEHLPGACESLDSLSYWLCWTTRATALYLLVFGWHPCPCSASCGALYGGCSDLMTGCGCITLAVACVGREARSGQKEVEADTGEIGELLPYHVVADYEAEEDDRILDSDATGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLQEEKQATMELRAEIELREKAGREAAEAKMHMAMAEHARVEAQAHSDMIGQGPLRANAAASQGDDGPSHDMAQEQGEDGWENAQRDDDDPSEDFLNDDNDPENENPDMQEDWHQSGEFDLNSRVCGSFYIQMKNHYGMDADIGYEHKCMNMQSRVDVAPLANDTTSRPTSPPRGPMTRARAQALHEHAEPG >cds.KYUSt_chr3.32721 pep primary_assembly:MPB_Lper_Kyuss_1697:3:205629201:205630836:-1 gene:KYUSg_chr3.32721 transcript:KYUSt_chr3.32721 gene_biotype:protein_coding transcript_biotype:protein_coding MICLQFGYAGMNVITKVSLNGGMSHYVLVVYRHAFATVSIAPFALLLERKVRPKMTWSSFLQIFVLALLGPVIDQNFYYVGLKYTGPTFACAMSNILPAMTFVMACIFRMEKVDLRKVRCQAKVAGTVVTVAGAMLMTLYKGPLMQMGLTGHAHGHGGEAPVAAVDPTGREWFLGSLFVIIATLAWASLFILQAHTLKQYSAPLSLTTLICFVGTLQAIVVTFAMEHRPSVWTIGFDMNLLAAAYAVSSNSDLLLLIVKSRTQSDRSNVCLHDVQGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIVVAVMGSFILAEKIFLGGVLGSVLIVIGLYSVLWGKHKETQEESAALREALPMAMAPSSNGDAKEVDAAVQGHDPECEKDNGSVRSSSNGHGASV >cds.KYUSt_chr1.4327 pep primary_assembly:MPB_Lper_Kyuss_1697:1:26564966:26566411:1 gene:KYUSg_chr1.4327 transcript:KYUSt_chr1.4327 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDKTGSPVSSVRTGKIQKSSCSAYVDGCYGLEARRSAVMANCVVDNYVVVLCSVDIDWTPPTSSIKDLGHDLATMLDKQDLTDVTFDVGRESISAHRLVLAARSPVFKAELYGPMAESKMTSITIQDMETSTFRSMLHYMYHGSLPHAGNADVSSTLAEYQHLLVAADRYGLERLKKNCEDKLCANCITVDSVVSLLELAEDHVCSKLKARCFDFLADGDNFKIVGTSGEYLQVMQTFPTLLVEARNRLKIPHAEPAIMETPASSVEEQLLDLGHDLAIMFDNQDLTDVSFDVGEESFSAHRLVLAARSPVFKAELYGPLAEGTMTSITIQDMEACTFKSMLHYMYQGSLPNTDTNDVSSTMAQCKHLIVAADRYGVEELKKICEDRLCGTGIMIDNVVSMLELAEDHGCLKLKTECFDFLANGEHFKLVATSAEYFRLMQNYPSLLVEMRNRFKIAHEESTTMNAGPHKRSRVLLGT >cds.KYUSt_chr5.676 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4757549:4757755:1 gene:KYUSg_chr5.676 transcript:KYUSt_chr5.676 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSCAVCYSFAAAADELAPTDTLRVLRLQRLRRAAAGCLATIAEEDDSDVDDVPAAGEPCASVDRR >cds.KYUSt_chr3.2076 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12081571:12086405:1 gene:KYUSg_chr3.2076 transcript:KYUSt_chr3.2076 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPPPPPSSSSSSSSARPPSGAAASPETYLGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGIQIPASDKVYEYILFRGSDIKDLQVKSSPPQPPPPQPTPLHNDPAIIQSHYPQPASTSSSLPTAGGAALPDLSSQAAQYGFQRPSFQSNIPLYQPGNTPWGSSVPPAGNGAGLSVPPMYWQGYYAPPGSLPQHLQQPPLLQPTPGLSAPQTFQYPGMNPSLQSGPQKLSELQPPLLQPSVAGQGPSSSIMPATTAPSASLLGPEASKPLPNMVPLFTPPVPSHVTSLPIASQPISMTETNATASKNLNSAANPSSTLSYQTASQAISSTVASSSTVGLEMPAPFLASSGQLLQNAPSMLSSSQSMQTPLQMSSNIAKPADPKTRVAEPLLPDPPTRALPENNEPILPLPKQTPQKYNGASSQSHHHFRGCGRGRGSSALSQSLTKFTEEFDFMAMNEKFNKDEVWGNLGKKSQSRDKDGELGDDVFNEDLEYDETDNPELDVKPVYVKDDFFDSLSGGTFGRGGQNGRPRFSELRKMDTETFGDFPRHRQPYRGGGRGYRGGGRSRGSYYGGRDYGNMGGGRDYGNMGRGGQENYYGGRGGGYGNTGRGGGQENYYGGRGGYGNTGRGGGQENYYGGRGGYGNTGRGGGQEDF >cds.KYUSt_chr1.16198 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94080392:94084734:1 gene:KYUSg_chr1.16198 transcript:KYUSt_chr1.16198 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDAEMADRKLARAEAEAEERERQKRWRRGGDPVEALGEEVMGLVMELLDARSVARCTAVSLTWYGLAADNRLWAPKCAELMAGKAHIPRLTMIRTASKLSTYSMAIMDGKRNRITKEDLCDHAWEYCFTIAAPEYWRNLDPSWKHTGPPMRRYFHQDGYHSAEPHDAVWGGHECEYTIMTSFVGDGKIRDHYVRINQWPPMKVSRKDDWSWELSNHLYRYNSIPDAEKKGCTGPLFPVW >cds.KYUSt_chr5.39511 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250063520:250065649:1 gene:KYUSg_chr5.39511 transcript:KYUSt_chr5.39511 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGDLMRITLSGRTLLYWLRTVAVHPDLNGAGCGAPVTHLAYNTCPPPSPWPHTGNSGPLPRLLSSTAAMATDGAAPADPKKNLLTFDTEEDVAVALAKYTAELSAKFAAERGAFTVVLSGGTLIETLRKLAEPPYLGTVDWSKWHVFWVDERVVRKDHVDSNYKLAVDGLLSKVPIPTGQVYAINDALSAEGAAADYETVLKQLVKNGVLAMSTATGFPRFDLMLLGMGPDGHIASLFPGHPLLNENQKWVTHIMDSPKPPPQRITFTFPVIKSSAYVAMVVTGPGEAGTVKKALSDEKTFPLLPVEMAILQDGEFTWFTDKAAVSML >cds.KYUSt_chr3.35312 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221779971:221785544:-1 gene:KYUSg_chr3.35312 transcript:KYUSt_chr3.35312 gene_biotype:protein_coding transcript_biotype:protein_coding MESDTKELSNSTQRKDMIGFQNASAGTLHINRPFSYVFQNPDHQVVMPTVESDVAFGLGKLNLSLDEVRSRVSQSLDAVGMLSYSQRPIQTLSGGQKQRVAIAGALAEASKVLLLDELTTFLDEYDQLSWFGDSETDQDTDPGRSHCFGRYRKSATDNLIQNTLRQQFSWVTVITIAHRITSVLHR >cds.KYUSt_chr1.240 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1342048:1347868:-1 gene:KYUSg_chr1.240 transcript:KYUSt_chr1.240 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMYRKNEEERNLTEELTARLVVKRNGSGTVDDAGRGSTERGGHRGVCAARASSGSVLRTGEEVEDLGVAPGAAAKRVAAWFKRLTSSRRSAVFMASVPVTCRGEEGSKESVAARSGRRLRFCWGLLGEERGKEEQMESGGGTSRQRRMSVSIRMVQVTFGYIGKYVMVLDMSRLGFAPPAIERYTLGPLGITVSSITLQGAHVLPSVAPSQIIAILRCAMANGYDRLDTLGVPISQELGTDLILASLPPNNGSFVMNYNMNGMDKSMGELFTMLKTAEYG >cds.KYUSt_chr2.3867 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23358641:23360410:1 gene:KYUSg_chr2.3867 transcript:KYUSt_chr2.3867 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGGGGDDTGARPTPAVVYDDLVEVREHAAALQNMLQGSSSVAAADAGELVKEMMGRLSSAMSVLGTSGVAASSSGAGQGPGARRRRSGTTAAADRLQGRRTSRRRTKSPFIKMVTTTTLNDDNSWRKYGQKNIHASTNPRSYYRCTHKPDQGCMATRQVQKSESNPSELEISYYGEHTCRDPSTFPSFIVHGAAAPTDGAQLISFAPNNGAAASTSTGALSHRLLKETMDHRVLFSRFSSYSSSPTQEGGAPSSSPSPACQEKFMQYAGGQLADVLGRTTSALTVGSAPTEYWPVVGDAGVDMDAAAGMVDSFPSSPSSLGFMSGSLGSFGNNLGDDDLFDFDS >cds.KYUSt_chr6.23715 pep primary_assembly:MPB_Lper_Kyuss_1697:6:149933826:149937126:1 gene:KYUSg_chr6.23715 transcript:KYUSt_chr6.23715 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAALARASTRLLRGGIPPSAASPALSSRSRPFCTLPAAADEPSAPPGAVEEPWEEAEAEILRDVKPVVKLVKDIIHSGRYGDGGFLSPDDEKVIVEKVLAHHPRSEDKIGCGLDAILVDKHPDFRKTRCLFIARTNGDVEDFSYRKCLRAYVKRAYPSHADR >cds.KYUSt_chr4.41841 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258711252:258715390:-1 gene:KYUSg_chr4.41841 transcript:KYUSt_chr4.41841 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTVGGTPPSGAVVDVPVGGPPTAGVVSAMIASTIPSKRKRIPKQFFEAPAAAADSPGEAPPAAKKAGRMKTKAAGPRGAASAKVRTKAISRIGLAPPPPSKVTTPPPSVPAVAPPAPPPPTIDVDKVFDVESTTSYLDMLNESAVNLDAGIGAFDGECNVEDFDDEEEDEGDEEELALYIISMEEKKQRKGRGRDGGLVQYPHLFFAALALALLFMDPFHLGPLAGIDYRPVKHELAPYREVMARWPRDNGSRLRHGTLEFVDEVFGPESIEFDRHGRGPYAGIADGRVVRWMGDKAGWETFAVMNPDWSEKVCANGVESTTRKQHVKEQWCGRPLGLRFHRDTGELFIADAYYGLMAVGESGGVATSLARQAGGNPIHFANDLDIHMNGSIFFTDTSTRYSRKDHLNILLEGEGTGRLLRYDPETRAAHVVLNGLVFPNGVQISQDQQFLLFSETTNCRIMRYWLDGPRAGEAEVFANLPGFPDNVRLNRKGQFWVAIDCCRTPAQEVFARRPWLRAAYFKLPVSMKTLGQMVSMKMYTLLALLDGEGNVVEVLEDRGGEVMKLVSEVREVDRRLWIGTVAHNHIATLRAPPSIKGSTVNKGLHRDFWVDKINTSEGITTNNISKFVDLWSRVHEVRLTEGTPDDIKWKFTNSGVYTAAAAYKAQFEGMLFSCMPEAVWNNWVPPKCKLFVCLMLQDRVWMADQLQKRGWTNYGVCQLYKREPESAAHLLFKCRYSVRIWNSLLSWLEIISVISVDTFT >cds.KYUSt_contig_2806.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000514.1:106032:106630:1 gene:KYUSg_contig_2806.10 transcript:KYUSt_contig_2806.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPRCPLQHPDLNTSQQRHETSLIGHGRVKLACIRMPFQLARSSLDEQGGGCSGPEDLPGSTPPSSKLPSLSPCPTWTRSSLTWSPRASQQAPWTSPSSARRRSRCGRASSVLLRGRGKLEAHYSDMLAFDNPLDHLGMFPYYSNYINLSKLE >cds.KYUSt_chr6.9499 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58584757:58585272:1 gene:KYUSg_chr6.9499 transcript:KYUSt_chr6.9499 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEECIYGCFSPHARSFPSPQPDMSIASRCEAIDGTLVPVMLVLLELHELCRETSSPLSMTHLQVDSRETLVVAAAHPPAEPCQPLDFVDPGDKVTEAGALAPEADALFAKELGDLLVSLEAASPRYSKEIACVLAENASENMIKKVEKSPSRVIVRRRGFARKASATPS >cds.KYUSt_contig_1993.152 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:935421:936935:1 gene:KYUSg_contig_1993.152 transcript:KYUSt_contig_1993.152 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPPLDAPLPLGPEAFFDESRPVIDFLNGYYRDIESYPVRPDAEPGWLRTLLPDSPPEHGDPVEAILEDVQQHIVPGLTHWQSPNFFAYFPANGSAAGLVGDMLTSGLNVVPFSWASSPAATELESIVVDWMCKLLGLPDRFLFSGGGGGVLHGSTCEGVVSTLAAARDRALRSLGHEGIVRLVVYASDQSHATFQKGASIIGIPPANFRIIPTSASSGYGLTADSVCDAVEADIASGLVPLYLCATIGTTGVGAVDPVRGLGEVARRYGMWLHVDAAFVGSALICPEFRDCIDGAELADSVSMNPHKWFLTNMDCCCLWVANPQVLTSALSTNPEYLNNIGKGCTEVIDYKDWQIALSRPFRAMKLWVVLRRHGAAGMRAHIRRHVEMAKWFERMVTADERFEVMTARSFSLVTFRLRPQYELEGELAVDALNRKLLVAINTSGRAFMTHFVVDNKFVIRMAMGGAMTQMRHVRDAWKLVKEKAKEVGALPRESAQLREMN >cds.KYUSt_chr4.39186 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241875707:241876393:1 gene:KYUSg_chr4.39186 transcript:KYUSt_chr4.39186 gene_biotype:protein_coding transcript_biotype:protein_coding MVADALDDDHWLTDLRGRVSPQLLLDFVALRQVVRGCSIDPQVEDIFRWKSASGVYSASSAYAMQFDGSAKSPLRHIWPAWAPPKCKFFMWLLLQRRVFTADRLLHFRMPNQYFCAICRRNLETPAHLFAECPWSREVWERSAASLSCPAIRAPDADDLALSWAVSKLAGNDRRAASLTILVAWELWRERNRRVFCNKELSVSGLVHLIAYEANSWVLAGARHLVRRE >cds.KYUSt_chr7.3035 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18057141:18058797:1 gene:KYUSg_chr7.3035 transcript:KYUSt_chr7.3035 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAKEERFRREGHDRHEVEAHFARVLSDTHYALPDPPASVDARLSSLLPHDGADRVSSLPDVLLGNIVSRLPILEAARTAALSRRWRGVWRSTPLVLVDSHILPAGTVAGRAEARRVTSAVSRILLAHPGPFRCVHLTSSYMEEFQHLLTPWLHTLAAKGIQELVLFNRSVPARLPSPCHILRHDHPHPPPPRHVEIIGCSFEEISVVHAPCLERFIYSGGWGFGAASNKMSIGHAPKLQLLGVIKVGAKASPSIMVPSVRILALEVCFGVRNDVKMIPTMLRCFPNIETLHLMSAEADQPSGKVNLKFWNESGTIECIRSCIKQLVFHYFKWGRSELAFLKFFLGSALVLKEAVLVIAHTSFTSEEDLHSNVAPLGSMKRASAGSSITVFINPKPEGDNMRRYKRSSEFSVGDPFAI >cds.KYUSt_chr3.19250 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118555785:118557929:1 gene:KYUSg_chr3.19250 transcript:KYUSt_chr3.19250 gene_biotype:protein_coding transcript_biotype:protein_coding MDMATLLCFSSEVGEWVEKHVHYPLPPRPWAAICVLSHLGRLWWVDLSWGVITCDPFADEPVLGFIPLPAERVLKCREGWGIADKYRCVGVSGANLRFVDMYPRSGCAPNVAVWTLAVWTLPDADSMEWTLEQEARFTEIWADESYQATGLPNKIPVLALIHPRNPDVVYFFLEEHLFGVDVRARKVVECELYGLVAPPSCRMASRFVRAWELPRALSSGNWTDGINLAEETYTRPYKPSPGDYHLVGDFRQTFIG >cds.KYUSt_chr2.34640 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213906762:213909610:-1 gene:KYUSg_chr2.34640 transcript:KYUSt_chr2.34640 gene_biotype:protein_coding transcript_biotype:protein_coding MASTADPEDGLTDYERLRQENIRRNEAMLASVRRKADELSAAIRSAKPKRGRGRPTGPRQPGKTLDIPASSIVLRSAGLSPAYLLPEPHGSGTHLSSSLASSILGAAKPPPAGAKTRADGIDAGKELVLKPAHVRRVVTTSILSMRVLPLVDRTVVAAGDKLGNIGFWDVDGVSEDLYGDGAGVLFRYNIHGTVRVFSMDDFEKSHEHNQTGTLPSAFRVIWGWNDTDLYVGKMSNSVDIISVDVNDSGLSARNNACLWSEYMTSVPFQLSAHPYKVGHLACANSWGKVFLWTSA >cds.KYUSt_chr5.14953 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96632559:96633330:-1 gene:KYUSg_chr5.14953 transcript:KYUSt_chr5.14953 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMRDSSVDEDGGGVNGEAFRGHFPVPAACRNRDSYPPDLGFAMAAALEENSNWPFGISSIGRRRIMLSDPFAATTTAPIVRRFSDNQKEFFRNFAASMIKMGNISPLTGRDGEIRKNCRRVNMKYY >cds.KYUSt_chr5.36066 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227941152:227943383:1 gene:KYUSg_chr5.36066 transcript:KYUSt_chr5.36066 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRCSGHWESSSDDVTRPLLPLHDDITDDGAGRSCSPLRSVLANKYLSVAAGPLAAALICALVDLGAQHTAARNMLGVLAWVFIWWITDAVPLAVASMAPLFLFPVFGVSDADAVAKAYMDDVISLVLGSFILALAIEHYNIHRRLALNITSLFCGDPVKPPLLLLGICGTTFFISMWIHNTPCTLMMMPVATGILQRLPAGEASSADAREVRRFSKAVVLGVVYASAIGGLATLTGTGANIILVGMWSTYFPEQDPITFSSWMSFGLPMALLLFAALWATLCLMYCSNNTGTALSAYLDRTHLRRELSLLGPMAFAEKMVLAVFGGLIVLWMTRSLTDDIPGWSVLFHGNVGDGTVTIMMATLLFIIPSGKNDGEKLMDWGKCRKLQWNIILLLGAGFAIADGFQKSGLTDILSEGLGFLRGAPALVVAAVACVFSGLITEFTSDDATTTLVLPLLAELGKTIGVHPLLLMVPGAVGAQLSYLLPTGSPGNVVGFSTGYITIKDMVVTGMPLKIVGVAALTVLLPTLGSAVFGMDQKV >cds.KYUSt_chr5.26618 pep primary_assembly:MPB_Lper_Kyuss_1697:5:168568422:168573353:-1 gene:KYUSg_chr5.26618 transcript:KYUSt_chr5.26618 gene_biotype:protein_coding transcript_biotype:protein_coding MIREPVTPPPVDKKPTPVVIHRKANAEPARTSPEKEASPVIGRRIPKQEPVNTPPGEFQYEEIQGRPSAPSRSNGRMQNIVDLVMWRDVSKSAFVFGFGTFLLISCSYAKDLNFNTITAVSYLGLVYLGLRFLAKSILNRGESVECDDERTGGRYHHLVGEEDAIWLLRLVLPYVNEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGIFIIPKVCSSYSTQLARYGKFWLERFRDAWESCSHKKAVVAAVFTLVWNVSSTVARVWAVFMLVVAMKCYQQRMMEFGWSSSVEEASDEAANDDGSQQQQQGAATKPDPTAKAHGHESQGFVAARNRRTPVSGDFARERLRARGGIQPR >cds.KYUSt_chr6.6010 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36102792:36103730:1 gene:KYUSg_chr6.6010 transcript:KYUSt_chr6.6010 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSSTAASLLVCAMLLQTCLATRRLTALVQDPPMTMEYHKGALLTGRIAINLVWYGDFTAAQRAALTDFLASLSSTTTTSPPQPSPSVATWFSTARKYYATSKTPFPTLTINAHVLDTSYSLGKHLKEPDLVTLAARGGRRRAINVVLTAPDVAVAGFCSSRCGSHGASPRSRAGRFAYVWVGNPAAQCPGQCAWPFHQPQYGPQTAPLSPPNGDVGVDGMVVSLASMLVGAVTNPFGNGFFQGPKEAPLEAATACAGVYGKGAYPGFPGELLVDPATGASFNAHGSHGRKYLVPALVDPDTSACSTLG >cds.KYUSt_chr3.14068 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85118724:85126201:-1 gene:KYUSg_chr3.14068 transcript:KYUSt_chr3.14068 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGVLLLHPMNAYLEQELDRRFRLIRLWDAPPGADARAAFLRANAAAIRAVVGNAGYNADAALIDALPALEIVASFSVGIDRVDLAKCRDRGIRVTNTPDVLTDDVADLAVGLAIAALRKIPQADRYVRAGLWKAKGDYTLTTRFSGKRVAILGLGRIGLAVAKRAEAFDCSISYHSRSQKPFPNYRFFTNVVDLAANCDVLIVACLLNAETHHIVSREVIHALGPEGVLINIGRGAHVDEPELVSALLEKRLGAAGLDVFEHEPFPPEQLLGLDNVVLVPHFSGKRVGIIGLGRIGLAVATRVEAFDCPVNYYQRTKKEYPNYTYYPSVVELAANSDVLVVACPLNEQTRHIVNREVIDALGPKGVLINIGRGPHVDEPELVSALVEGRLGGAGLDVFEDEPNVPEALFNLDNVVLVPHVGSGTHETRQAMADLVIHMVSHWVQLCALLFSEEQRDVMVSGCTRLLMVAQDILCQCRGDSLGGGWEDNRANSLFRVVFALLGEIRSSSTSQVVSEPIRSPILEIPTHHHATRFVARRRSEAMESLSPESKALYELIKVDMAEDAEQRFAHYKKEILSSTRRSISRASNKV >cds.KYUSt_contig_3162.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000611.1:72570:75933:-1 gene:KYUSg_contig_3162.13 transcript:KYUSt_contig_3162.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFPWLKNPASTSTTNPSPSARNPFLPIQTHLTSFLSSLPFPRPIPSLSSPPPAASAAALPATEIEDRLAGVPVYALANSSQEFVLVSKTHGGGGATPPPALGMLCFRREDADMLLAQMDGDMRAGSTVVPVALNKVIQLKSDGVAFRFLPDSSQVANAIKLMQDEGQYFNEGFPGVPVFQVISLVIDFNL >cds.KYUSt_chr2.26793 pep primary_assembly:MPB_Lper_Kyuss_1697:2:164021154:164021381:-1 gene:KYUSg_chr2.26793 transcript:KYUSt_chr2.26793 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGGDLKIGEPVDENQDKEVLRSHQRIDRSFQRHSIRRPCASSSWQFGIVWASSVLLPAMDATSFSIPDQLCA >cds.KYUSt_chr6.17131 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107872003:107874177:1 gene:KYUSg_chr6.17131 transcript:KYUSt_chr6.17131 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRASFAVPASIRVRIPDQLPTLFEPQKAMPHVRTRSDAAEEVPSPERRLTVLALQLAVLEKAASRLGTLAFVWATVVLLGGFAITLGRTDFWCITGLLLIEGTRILGRSHELEWQHQATWRRHRPVSCAIVRAFSWMQLVSASACVSLSLIRLLHQHYGGSEEARTNRSAALNIFYGLALAEALLFLAEKALWQWKMGHRRLLERVAEDCNLADACGGEVAVRRFFYDSYSCCLNGSIFDGLHMDLVSYADDLVTMGSHDEQILGVGILVSLAESDRFADAALRKIGVSAPTIERLIEMLSWKDSAEREVRRSAAVVVSMLTERKHIALRITGIPGAIESVASLLYADLDELNILGLSILNKLAHDHDNCDKIGNTRGLLDKIISYSSIDHGRLAPTTPRDMRLKAVKQSLRVVKRLAGTTGNTGKLLRRELTDIVFTVSNVREVLQHHDKKVQSELHQLGIEILTSLAMDKEAREVIGGTGGVVRVLVSMFLSPVAAADFRHADAIRVEAGEALAMLALESKNNCGAIIMALGGGVGRLVAALNDPVVIVGAARILHNLCSYAGDEWQIKLKGVTAGATKVLRTIMVEKEKVLNIFLGLAAQMVQFMEPRELKASLATASVVDTVLAGTLVQVLRQYSRPSMDVPRVRRYTIELAVAMMRSDARYVALFVELGMEGELRRVAGTTSQLECFNVFSGSVGLSRHTINVSSLVTSALELMKKN >cds.KYUSt_chr5.354 pep primary_assembly:MPB_Lper_Kyuss_1697:5:2432256:2433110:1 gene:KYUSg_chr5.354 transcript:KYUSt_chr5.354 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDAKLLVERLVGFTGDDHERFLLKIKNRFDRVGMELPTIEVRAQGLAVEAEVYVGSRATTNIFHSATSIFMGVANAFHVLPNDWKTKHTILHETNTIMRPGRLTVCPFFPLMKDDFGVGVSRIRENHTAQDFGWKARLRTEGQYTIFKLLSFMSSVFLYSLYAKI >cds.KYUSt_chr7.40514 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251549222:251552101:1 gene:KYUSg_chr7.40514 transcript:KYUSt_chr7.40514 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTVDNAGLGEPLLANGKGATALANGTRHGDAGAVPTLRRKKKGSKEETYWVDIDQPDVLESAPDLENGSGGRPLLLFRNKKVKRRILYPYRILILARLIAVILFFIWRLKNNISDIIWLWGMSVAGDVWFGFSWLLNQLPKVNPIKSIPDLGALKKTCDLGEGRSSLPGIDVFVTTANPIDEPILYTMNCILSILAVDYPVDKNACYLSDDAGALVNYEALVETAKFATLWVPFCRKYCIEPRAPESYFELKAQSYICSSLEDFITDHKYVHRKYDEFKMQIEMLPDTIQERSDTYNNIHINASFMADGTQWPGTWIEPTENHKKGHHASIVQIIVNQPSHTPQHGLPVCANETVDFSTTDVRLPMLVYVSREKHPSYDHQKKAGAMNVQLRVSALLSNAPFIINFDCDHYINNSQSFRAAVCFMLDPREGENTAFVQFPQRFDNVDPTDRYCNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRIALYGIGSPRRRADGVTTRTNIFGNSTSFLRSMLSPDQEQEMSLKLNEMAISELVDVMACAYEVCTGWGKDVGWIYNIATEDIATGFRAHRQGWCSKFCTIKPDAFRGTAPINLTERLLQIMRWSGGSLELFFSHSNPLFARGWLHPIQRVAYLNMTIYPITSIFIVVYGLCPMMWLLPGEFDIQRPFTRYVLYVIMIILMIHIIGVFEIKWAGITWMDWWRNEQFFMIGATSAYPTAVLYMLVKLVTGKGIQFRITSKQTSANTNDKFADLYVFRWVPLLIPTTLVFAVNVGAIGVALGKVILLNGSWTAVQMRHAILGLIFNIWVMALLYPFALAMLGKRGKRPIILFIVLPLAFVTVGVTYCAIQYFLL >cds.KYUSt_chr7.36363 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227251421:227258303:-1 gene:KYUSg_chr7.36363 transcript:KYUSt_chr7.36363 gene_biotype:protein_coding transcript_biotype:protein_coding METLASAMRRDNRRFKASSSSTTSSSAGRVPLVMAFLSCLAWLYVAGRLWQDAQTRAILSGLLEKSSGNLPKAVSVDDKLRNLGCTEIGRKIAEAEMDLTKAKSEGYLWGNGTGTTASDKKKLLAVIGVYTGFGSRLKRNTFRGSWMPRGDALKKLEEKGVVIRFVIGRSPNRGDSLDRNINEESRKTNDFLILESHEEAAEELPSKVKFFFSAAIEAWDAEFYVKVDDNINLDLAGLIEMLEGRRGSQGLYMGCMKSGMVVSEEEQQWYEPEWWKFGDAKTMVWLLNEEYDREHRAVHMTERGTDLHPLKIRYHGTMDIPYDERYTEFIQPTGLIPFISLVSRGGPNMNAAALTALVDRWRPETHTFHLRAGEMAPTLQDVSMILGLPIQGEPLFGRSLSQDWEQDWERRYWWMHAPTFRMELGPPISWAA >cds.KYUSt_chr7.27014 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168841451:168852281:1 gene:KYUSg_chr7.27014 transcript:KYUSt_chr7.27014 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRLGFGGRSVFPWRDLWIAPARRLDFGGGSVSCPRWTVPSSVVMPKFPHSAATTGTKRRRAKPRKSENDPTEDGTSETELHNDNKENNGTSENCHEVTVCKRPKRAAACSNFKEKAFDLSEEDSLVMIKEIRIEEEIEAVRLTKTGPEDKKPCRKLIDFILHDEDGNVQPFEMSHSDGISITALVMPLDDNMEKGRENGIHCVRFHPITDWKISGYKEGTAAIWLSTEISDYKCVKPASSYKFHFDLFSQKARICVEVYRKLARSVGGNPLLALDELLASVVRSINSNGSFNGTVSKDFVISIGEFIHSQLTALDHTVNSDDEILSMLPALVALRNDCRSRMQFSMLPAMTSNGTLMIKDGQGKEVNENEDEDAKLARLLQEEEEWKMMQQRNKHGTSQKNVYIKISEAEIANDYPLPAYYKPHNAEMDEYIFDSDAGLCVDDLPVRILNNWALYNSDSRLISLELIPMKSGAENDIVIFGSGFMREDDDSCCSTAEPTQLSSSASKSDQGDQGISVYLSPIKEWVVEFGGSMICISIRTDIAWYKLRQPTKQYAPWCETVLKTARLAVSIITLLKEQTRASKLAFADVIKKVAAYERGNPAYVSTNAADVERYVVVHGQIILQQFRAYPDESIQRSAFCTGLVARMEVRRHTKLAMKKKSQATRGENLNPSAKMGQVLKRKLMRATTTRLISKIWGDYYATHFPEDLKEGDENDEPKEIEEEQEENEDEAEGEVYVEDEHVLRTPPSTRSKKPSSQTCKEIQWEGQTVGKSLSGEALYTCVKVRDLNIAVGGAVTVEDDLGEAIMFFVEYMYEKHDGTGMIHGRILQKGSQTVLGNAANEREVFLTNDCSEFEVGDIRESVTVNFQLISWDHKCRKEHLEANRLERAKAEERKKKGLSVEYICKSLYCPEQGAFFSLPRDKLGTGTGTCSSCVERGAVSDEFKIRSETSFVFKNVTYTVHDFLYVKPEFFFPVEGHGTNKAGRNVGLKPYVVCHLQSIIAPSGSKKANPESTKVSARRLYRPEDISSDKAYSSDIREVYYSDEIISVPVVMIEGKCEVTSKDDLPNSNLPVVVEHAFYCEHLYDPDTGALKQLPANVKLMTLTRKAPASKKNKGKQICDDEQAGSGKDTLISEKCLATLDIFAGCGGLSEGLQLSGASHTKWAIEYEEPAGQAFGENHPEAAVFVENCNVILKAIMGKCGDGDDCISTSEASERAAKLSDEQIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFVEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAFGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKINLPDGKYYAAAKSTAGGAPFRSMTVRDTIGDLPPVENGASKPTIQYGSEPVSWFQKKIRGDTPSLCDHVAKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSTGQTVELIPWCLPNTARRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDGYCFAGNIQSKHRQIGNAVPPPLAYALGRKLKQATNAKP >cds.KYUSt_chr1.19733 pep primary_assembly:MPB_Lper_Kyuss_1697:1:116043539:116044846:-1 gene:KYUSg_chr1.19733 transcript:KYUSt_chr1.19733 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAETTLQRRRSRCLERATSAAASKRRLALADAILGHRVGPAALRLVSSFLLQRWRFFDYSVARSIAPSSSGFVPEDGCDGDADVSSSVGNGLDRVSTRLCRVLSAKDRDLVVIFLFLEVVYIDDQPLGFASRHKLLVVVSALPLAATAGQDDTKEKKKIWLLEAALIDRARRSSSVVRPPWTRAMSHPDLLPDAAPTP >cds.KYUSt_chr6.30246 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191637242:191638312:-1 gene:KYUSg_chr6.30246 transcript:KYUSt_chr6.30246 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQSAPPMMKAQGGSGDRSVQPIDQYGTNLGLALGSTWPQGKGCDLVLGKELQSGMDSRVEEKEGSLISTENDSQVTDPASSWVADSPVPGGPPTKVMRLGSPLRGQEEEQTGGEETQSEGEEVAPQKDLLKEALADVQLVQGRRSKAIPYTRRKKDVTITAVRKSGRTRGADVGTPALEKAQRLTAEKNLEKNLDKAKGKAKGNPFSVLDVISDPMLSTVAEDSCLLFHPKAGSPAEALSLIRAKEEAQAALAATSRRLELEEEARRRAEPREAPSSAVAQEAGTSASPNRQGLQAPGEGPGSGEPTGEGGSAERAQAEAATPSRGRPRRKCAKTGRPLLNVRKGQNKRKGTK >cds.KYUSt_chr4.4585 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26313589:26317895:1 gene:KYUSg_chr4.4585 transcript:KYUSt_chr4.4585 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSICLPSPLATAASAVRVVASRAPTSNPGRRLRGLHSHARAAAGMDAFHVSSPGRDAEPLLHPGAAATHRRLRSATLSGTTWFGLSSVQLGLVASGSLYGALGGSILAYRIADFLGRRVELITAAGLYISGALVTGFAPNFVVLIIGRLLYGIGIGLAMHGAPLYIAETCPPQIRGTLISLKELFIVLGILFGYLVGSLEIDVVGGWRYMFGFGAPLAAIMAVGMWTLPPSPRWLLLRAVQGKSPVEDNKKKAIQALRRLKGPSASDKVLTDEVENNLASIRAAYADQESEGSIFQVFEGASLKAFTIGGGLVLFQQITGQPSVLYYATSILQTAGFSAASDAAKVSILIGLFKFLMTGFAVFKVDDLGRRPLLIGGVSGITIALLLLAAYYKILNGFPYVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQGYLGPANIFLLFAAISVLALVFVILIVPETKGLSLEDIESKILK >cds.KYUSt_chr7.37921 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236485962:236488088:1 gene:KYUSg_chr7.37921 transcript:KYUSt_chr7.37921 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSVDEPLLVTAGAEKGNENAAAAEAKRLLRLAGPLVLSSILQFALPLVSVMFVGHLGELSLAGASLATSIANVTGFSLLVGMAGALETLCGQAFGAKQYHLLGVYQQRAIVVLALACVPIVLLWANTRHILLLLGQDPAIAAEAGAYARWLIPSLVPYVPLACHVRFLQTQSIVLPVMASSAVTALTHVLVCWALVHGAGMGSKGAALSGAVSYSVNLAVLTLYTRLSGACHRTWTGFSMEAFKGLRQFAELAFPSAMMLCLEWWSFEFLVLLSGLLPNPKLETSVLSICINTSDLLYMVPFGLCTAISTRVSNELGAGRPQAAKMATKIVIGMAMSEGLVVVVTMILLRKVWGYMYSNEEEVVTYMARMIPVQAVSFFIDGLHNSLTGVLTGCGEQKIGARVNLAAFYLAGIPLSVVLAFVLHLNGMGLWLGIMCGSLTKLLLLTWIVVSLNWEKAAIKAKDTVLGSSLPVA >cds.KYUSt_chr3.36156 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227284554:227285159:-1 gene:KYUSg_chr3.36156 transcript:KYUSt_chr3.36156 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKSPTHAPSPAHAPASKASKPARPGPRTWVGYLLREQRLLFVLLGALIATSFFLLRPYLFSLSPSAVSDHSPIFSFAARSSASRVPAGFRPPPHRVVVTGGAGFVGSHLVDRLLEQGHSVIVVDNFFTGRKENVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYKFNPIKTIISFLRPGSARSFFDLL >cds.KYUSt_chr5.8061 pep primary_assembly:MPB_Lper_Kyuss_1697:5:50934089:50937583:1 gene:KYUSg_chr5.8061 transcript:KYUSt_chr5.8061 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTALLSSPLLKSPAPPLRTQPHHSPTPLRLRLQPRRRVTTASLSSARGDPREAEAAVAELLRDHGASPADAAAIAARAPGYAAMLADGVRELDELGLWASWSAGAEMGALGFGRKVYFMGRSRRDGGVVPLVESLGVRLSSAKLIAPYVAAEGLPVLIHRVKFLKEVLFSSSGYETLIRRNAKRMMMHLSIPADEALQSTLSFFQKMEARSGGLSMLAQGDVSFPYLIESFPMLLLCSEDNHLKPLIDYLECIGIPKPRISSVLLSFPPIILSDIENDIKPRIYAWEKAGIEQEYIGKMLLKYPWILSTSVIENYGQMLLFFKRKQISSTVLGVAVKSWPHILGCSTKRINSILVLFDDLGISKKMLVPVLTSSPQLLLRKTNEILQIVTFFEDMGLDKNAVAKIICRSPEIIASDVDNTLKKKIDFLIDFGVSERNLPRIIRKYPELLLLDIDRTLLPRMNYFLGMGLSKKDVCSMISRFSPLLGYSVDLVMKPKLEFLLRTMKKPLKAIVEYPRYFSYSLEGKIKPRFWVIKGRNIDCSLTDMFAKNDEAFAEEYLGIETLPETLQSSKGG >cds.KYUSt_chr5.11239 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72997317:73003476:1 gene:KYUSg_chr5.11239 transcript:KYUSt_chr5.11239 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDRRGGGPPGNGDSSGGGWETIGKKKKPGQAAGRGQWAPWNSSSNAPPTTARQPWNGNGSSRPSGNNWAQPSDRRPLQAPNPVVTPPLTNGWQWASRARPSGSESSKDDVASSGFDPEKDDPEVEDSSDDDGDDDDDDMSDDYDSDASEKSFETRKMNKWFKGFFEVIDSLTLDQVHEHTRQWHCPACKNGPGAIDWFKGLPSLVTHARTKGSKRVKLHRELAALLEEDMLRRGSSVVPSGEQFGKWKGLRESTDREIVWPPMVIVMNTLLEKDDDDKWLGMGNQELLEYFSDYAANKARHAYGPGGHRGMSVLIFESSAVGYMEAERLHKHFVDQRTDRDTWQNRRVPFLPGGKRQLYGFLARKEDMETFNRHCQGKSRLKYEMRSHNEMVVAQMKQMSEDNQQLNYLKNKVVKTEKRSKVVEETLEAVTQKLRETMEENIFVRRKAKEKHSEYEEEMKSQETFFHDQIENIHQATEDKVSEFERLLQEERAKARQCDVDSGTSENRRLRKEQVQRFIDCQVKDVQEFESETDQLIKAHEEKKVQLKKEYMAKELELEKEFDAALTSLMEKHKPDTFQASSS >cds.KYUSt_chr2.40649 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252546410:252549558:-1 gene:KYUSg_chr2.40649 transcript:KYUSt_chr2.40649 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDAAKLSVSGQAFAALLDCCGNAAGDCDGLLFGRAVRPPPPPPSFFDDDDDAPTSSAPTLSISITGHASLAQPASLSDALGRFHPYSPGRPDAVGFFSSRRSATLRPSMREAAVARSLSKSLPPAQPLVLVLLAPSASSNLSTHSFDYRAFLLVDSLLVPASLQVVNVGPGFWGQYHTFAAESPMPWMPRPPARDYGLGEKKAMDRMTQGFGPSRLQAMLTSASGYTTEVEELYSGMLRKLDGLARETENSGRIQELIAKETNCRAELRAEISSSASCVHSSRKVARFEARIIELC >cds.KYUSt_chr5.1265 pep primary_assembly:MPB_Lper_Kyuss_1697:5:8734111:8736812:-1 gene:KYUSg_chr5.1265 transcript:KYUSt_chr5.1265 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDAPSGSGASRWLGSGSDSGSSSRSYRDVASEHLAPSAPPPPSPRHHRRRRRDQGPRPGPSWTTLRGPPRARRRLSRRGWVPAPRRRHRQRRPRRDHAYLASSRPRSPTPRGGGRPRFRCSSPHHRVRDRTGDIRRRIRFISGHASRHRERRAPRRRGDASRGHAQTAIVPLAAAAPRPATPPPPPPAPAKTRAGPAVDPQDPVHVIVSRTVKVEQAEEVLRRAMVASITGTRPPVTAEEVAATIYEQFELRPGDFSVHLHQPEDFLLIFRSQELKDRLSGDHFIGGAGYGFTLSLRPWCKLAHAGLGSFDYKVELALRGIPAQAWNLSTVEHLLNDSCWIERLHPSTRTRADMATYRLDARTCDPASIRRRAILEVVEVTPARHPSQAPFVKRLTYPVSIDIVRYEVDRAPPPDAQAGGHSGGNRRTHDNQPGQGRDGQDHGAQGHRPPRRRSRKRRRPNSPTAGGRADGLAMDAFSWPLPSDRLRADGLQVDAAWGATAGLRRDAPPQWHRASVDRAKLRRGPQACMHNGSRRIAPTCFGHASPTNSWPLHGSYPNHGCTGRRRGGPGPGFCRPRPRSTTSADCSPSSSSQAPEDDPEANPPEASKEKSATQSEGQSSFVDSEVPDSLPTTPCAAPEEDPLSPTSTAATGPLQDDEEECGLTPIFEPSSPARSPCSEAEAEAQSTTEPTTVEAAQSPLPPCCSPQAMVSPTIHRPASRFASPPITIRRNKRRATPCATQAWTLGDFLKAATKDISAALPCPGRRPRRHALSFSLRRGRSAKRAATVATTAAPPTAERRAQVQVLRTIGIIGVDHVITAAEMRAYNNIFAAPLPRHVLVAIAALVNRELPADPQEPHPVDHVSNA >cds.KYUSt_chr6.22883 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144624600:144633058:1 gene:KYUSg_chr6.22883 transcript:KYUSt_chr6.22883 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVKEDEKNERVIRGLLKLPANKRCINCNNLGPQYVCTNFWTFVCTNCSGAHREFTHRVKSVSMAKFTAQEVTALQGGGNERAREIFFKDWDAQRNPYPDSSNTDKLRTFIKHVYVERRYTGERSSDRPPRGKDDKDEQSENRRSDGNRGGSRSPPYGESYSDRRSYSGRSDDRNSRHSYGERSPGYDQNDYKKSPRYFEGVDDRSGKTTPVQRFEDRRFSEPRKPETGSPNYEREANGSSPPVVRPVREILGDDAPQLRVGEPPKLNVARPIDPPKSNVAKPIDPPKPNGTRTIEPPPQAQRTTSIASSIGSSEGTSEQIKTASPVSLIDFGADPEPAASAPASQAGSTPQQQPVNAQPPPQPVFEQGKSAPSVSGGDWASFDAFGQQQTPQVGSTVNPLESVLAQLSFSETPSAPNTSAFPTSVDPRANDRGLSSMIEQSHSSLFGAPHGTSGNQAPTGMPIQGSSVPASMGGLTSQVPSSSQGTSGVQDGTSSGDSKSSGRKPLPASPVCNFSVTFYINMICWISLPRSIHQQLKQCLAGKEHPNLEWDLPCSTLLECYRECKHILRQHILNLHINNLHISSLHINNLDISNLLINNLHTNNLHTNNRRISNQHIPSLQKLQILLTSEMRQLRFKLTRPYLEHQQALLPQHFLVTQVLEFHHSNLSSCIRQLHLKHVPSNMSEQLPNSMLPRQQGGPASLGIGYDQQAAPRYSQPSTPPSYGAVGGNPFGPFAKGANRACRRLAEVGTPCQRGDAAVTGNDAGRLVNGVNGLLHGNQNGEGDDWPRGVHLPYPRVFNARPPPSLKPHQRALLSFPRRPSLAAAVLNLRANLHPHVNAMAHNDQAGGSGGGILRSTQLSYDEADVLYWHRIPVPVQYKLPHS >cds.KYUSt_chr2.9373 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59023377:59025526:1 gene:KYUSg_chr2.9373 transcript:KYUSt_chr2.9373 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLLKPLITKESAAELVARVARRAYQRNGVVTDLKSFGKVHLGYGIMKLDGRHLQGQLMQMTMMVPPSFTKEAHYLNKEDRLLRWFVVKHRDAVYRLEFINEDDEKYEMGSFRPNSSSVKDELNMRTLMNMTTMRMTNPFKGQLPTLIFLL >cds.KYUSt_chr5.3970 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25645785:25648427:1 gene:KYUSg_chr5.3970 transcript:KYUSt_chr5.3970 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLLLHRAPCARPGPARAASRLSASVVSAANGAGGSRPPKPRPPPRGKPRFSRQSAIRKSFQQEQVVFSTPVPADPTVAVIGGGASGLACASALAARGVRSVVFDTGKHGLGGRLATRTADEGLVFDHAAQFFTASDDRFRKLVDEWVDTGLVREWTGSVGELQAGGQFTPTPSSTPRYIGVNGMRPLADALLPESDLVKVIRPSWISKLEPFNGLWRLFENEKPQGQYDAIVIAHNGKCANRLLSTSGLPQLTKQMKRLDLSSVWALLAAFEDPLPIPHNSSHGAFEGAFVRDVDSLSWMANNTRKLFPLETDRPECWTFFSTASYGRKNKVPQENIPNATAEKVKREMLGGVELALGLSAGSLQLPFYTRVQLWGAALPMNTPGVPCIFDPQGRAGICGDWLTGSSIEAAVLSGMSLGDHVADYFASHGERPEEFAIGLDDSLIRVEGHDIGQFPGLDSQKPQVAEPQLTLSI >cds.KYUSt_chr1.8960 pep primary_assembly:MPB_Lper_Kyuss_1697:1:55054323:55055009:1 gene:KYUSg_chr1.8960 transcript:KYUSt_chr1.8960 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGFQHGAVRVHRNKLLRIADGDAARAVGAYARAPSKPTNASRSTGKCRRPRCGGCHEHPVTKARDKAKGAHKLRASDVALNHRLVSWRVVDGAGSCAAGTGIPDYKGASASAVLSYLAGGNSWHEEDDEDGADLESTPRGLSDLYDLIVGLQADAPAPGGKEADFVAPANNIAVGDINDAIEEQDADGDADEEDDMGFCMVGITIALEFSDGEEDWIVVEEIPST >cds.KYUSt_chr7.23292 pep primary_assembly:MPB_Lper_Kyuss_1697:7:144922513:144925160:-1 gene:KYUSg_chr7.23292 transcript:KYUSt_chr7.23292 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRCRGGEPTPTLMTAEAGEALAQEKDPAPRAGASAGTGVAAAAASQEWLVVPPAGESRAGEFGRHRIMEMTGLPARDLRVLDPLLSYPSTILGRDRAIVLNLEHVKAIVTAVEVLVRDPSNLRLQPFLHARLALPDASIVNLATDGGDETEQGGHGNVPMPELGTPGCAKKQPFEFKVLETLALEKEAYLALDELATRVSTHNLEHVRQIKSRLVELLARVQKVRDDIERLLDVLSLK >cds.KYUSt_chr4.38514 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237487898:237495873:1 gene:KYUSg_chr4.38514 transcript:KYUSt_chr4.38514 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIRVTMDVGADGVALITIFNPPVNALHPIIIQGLKEKYAEAMDRDDVKAIVLTGAAGKFCGGFDINVFTIVQETGDVSHLPDVSVELVSNTMEAGKKPSVAAIQGLALGGGLELTMGCHARIATPETQLGLPELTLGVMPGFGGTQRLPRLVGLPKAIEMMLQSKFITAKEGKERGLIDALCSPDELIKVARFWALEIANYRKPWTKSLDRTDKLGSLSEARAVLSMARQQAKKVAGNLPQHQACLDVIEEGVLCGGQAGVLKEANVFKELVLAPTARSLVHVFFAQRSTTKVPGVTDIQLKPRQIRKVAVIGGGLMGSGIATALLVGNISVVLKEVNAQFLQRGQKTIAGNLEGLVKRGSLTKDKMNKAISLLKGALDYEDFKDVDMVIEAVIEKVPLKQSIFADLEKVCPPHCILASNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGAQLLVSLGIDLFRIDRLINSFGMPMGPFQLQDVAGYGVALAVKEIYAAAFGTRNFESGLVDLMAENGRQGKINGKGYYIYEKGAKPKPDPSIQPVIDEYRRRAKTMPGGKPVTLSDQDILEMVFFPVVNEACRVMDENVVIRASDLDIASVLGMGFPKYRVLHTWLRKILRGPAYIPEATPFQVNFSGT >cds.KYUSt_chr7.9618 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58861814:58866416:1 gene:KYUSg_chr7.9618 transcript:KYUSt_chr7.9618 gene_biotype:protein_coding transcript_biotype:protein_coding MARCVRCCCCLLVLLLVALGVTAVVVFLRHRNGGGPVVPGGIDRKYAEALAVALQFFQVQKSGKLVNNKIPWRGDSAEDDGQEAGLDLSKGMYDAGDHIKFGFPMAFTATMLSWSVLEYGGAMQAAKQRDAALDALRWIMDYLLNAHPSADVLYIQVGDPEADHKCWERPETMSEKRPLTKITAKSPGSDVAAETAAAMAAASLVYKPINESYSSSLLEHAEQLFAFADRHRGAYTRTFPELSKYYNSTTYQDELLWASGWLFHATGNNSYLAYATGKNGEDFADLGNPRYFSWDDKRPGTQVLLSRASFFASQGSGLATDNGLESYKQTADAVMCILLPDSETAAFRTEGGLLYVAEWNSLQHPVASAFLAAVYSDYMLTSGKTELRCGGQSFAPADLRKFAQSQADYVLGDNPMKLSYLVGYGDSYPQRVHHRGASIPADVDTGCGGQEWLNTAEANPNVAMGALVGGPFKNDSFVDERQNVMQNEATTYNSALVVGLLSSLLTTSSVAQSLSS >cds.KYUSt_chr7.26236 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163865140:163867519:1 gene:KYUSg_chr7.26236 transcript:KYUSt_chr7.26236 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGNACSGSRAHDDSISGEALLDLNRSPPVDGGGGGEKEATIVAGSPVAVDCLDRSRFEAGGSSHNEALTPIDAGKRASPIVVDLDRSPLAGEGCSRNEAPTPIAAGTRASPVVVDLDGSPVSGGGGSQHEALSSIAAGTRASPVVVDLDGSPVAGGGGSQHEPPIAAGTQALPIDVESLVDEQRSAFVPVSRPRAKAAPKEPVFTCPVCLNKIEQPSTTSCGHVFCEKCIQESIKAQKKCPTCRKKLGPKSFHRVYLPATADQC >cds.KYUSt_contig_2097.184 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:906224:907490:-1 gene:KYUSg_contig_2097.184 transcript:KYUSt_contig_2097.184 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCGIRARDYISSRNPRQRGHRAPRLFGRERPLHAVLGGRKAADIILWRRKEVSASILGAATAAWGLFEVAEYHFLTLVCYAAMIAMVTFFLWTNASAFLNLPVPRIPEMVLSERTTRQVILGLHMRLNWFVHKLYNIACGQDLKMFILTAVSLYIGSVFASCFSSLTLLYIVVFCTMTVPALYERYEHEVDHLVAKGAHDFRTQVSRMDSGVLRKIPRGKGATAAQRTATTNDINGWHRSQAS >cds.KYUSt_contig_97-2.106 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:472900:474607:-1 gene:KYUSg_contig_97-2.106 transcript:KYUSt_contig_97-2.106 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEARPDAQLFQLLSDLLQQVESMSNQEEVELRAKIEALGLEVTKVPQQAPKQLDELEIAAELDRLSARLENVDKMISSAMTSDPEVKSLLSSTADVWMPVITASADERRGFAGTSADLEEQEKSK >cds.KYUSt_contig_2297.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000293.1:34592:37274:1 gene:KYUSg_contig_2297.10 transcript:KYUSt_contig_2297.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDRALAIPGDDGSPPPTTALPTHTAATAGAGLPNSDATLPSAPVVLRRGPLPQVCSLPPLLRRPRRPPLPSPLLALLLLPRSVPSFWPRAPVLAPTPRSTRLPGELFSDYSMAKFHSPVCRPLVPGSPSAAGSTLWLACVRSAGPIDAPALSACLTFFFSHPDDPFSVSDAGDGVFSTTVSGTSVAAALLSRRGVAAGPMRVDFFPSKNLAVEAMRSARARADSPAPMHVNKPVTPDTTPSATVETQCTAACAAAPATAAVAALSSAPAPAAPPTPPSSAPVVPPPRVAGGRGHLPVTPYPFAFAMLPPPGFTTTPVGQPPNATTPTPSFAAPAPRNPISPYSPISPLDFAGASASRGSDGTAAGGGSDDSTDSDPRPATRHPAHIDVYMLPVDARSHGATVVLFRSRASREAAVDGSPLPVLEHSVYFERHEEALNRLRFTHTGYAALALVHFPFDHWTVPRIQGSIRQMGNPTDIAQVCLSGRNYFRIPQDLNVKNADDDYTVVELCSERVRDAPFAAITNGGVPQHSDIRVGACGHFLHIVVRGEPGEEAYFAVPRRMASPGIIGPRGLAHLHLVSGAIGVVDQIVKAPGVASCLSVNVLADAVTCASPLELVPYHGVVSANAGAASAARNLSSALRSAEDHGEGPV >cds.KYUSt_chr1.24439 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145870562:145876550:-1 gene:KYUSg_chr1.24439 transcript:KYUSt_chr1.24439 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAAAGLGHLLVFAFLFCVGAFMVAPVIVDVTMAALCPGQDQCSLAIYLTGLQQAITGLGALVVTPVVGNLSDRYGRKALLALPATLSIVPLAILAFNQTKPYFYAYYVAKTLTAMVSEGAMMCLSLAYVADKVPEAQRAVAFGVFSGVCTAGFVAGTIAARFLSVSSTFQVATMSAVAAAVYMRAFVQETDRGASLMRDEEASLLLFAPSSSTEELSPRLPPLRKAPSLSEMVALLTSSSTFTRAAVITFFHSLGETGLQTALLYFLKAQFHYTKNQYANLLLIFSIMGSFSQLTMMPLLAPKLGEQKLLVVALLGSCVHGFLYSIAWSFWVPYLAASCVILSILVGPCIRSIVSKKVGPSEQGMVQGCITGISSTASVISPLVFTPLTAWFLSETAPFNFKGFSLACAGFATLVALIMSINMRPAEVQPDNK >cds.KYUSt_chr1.24503 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146423895:146427043:1 gene:KYUSg_chr1.24503 transcript:KYUSt_chr1.24503 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPPASPMDDDDLLIEVLLRLPPRPSSLPRVSFVCKRWRRIVADAQFLRRFCDYHREPPIVGVFFKFNPELKESPFRSILDPPDLIPPERFSARLDGIDGGGSIDGGGIWSFRSCRHGRVVFTSRDRSGKGCSQVLVWDPVTGDRRFIGSPPQLDHDWSKYHVQADVLCVAADKGHVHGACHSSPFKVVLVCGNNQVARACVYSSETNSWGNLISWGDNLIWAPAHHRPISIVGSRSILVRNSLYWFLFGLEVAILELNLDSQSLAVIEVPPDAHDDHLGLYLSTLGGALSFIFVSNLYRVQLWQSTIDFDGVARWMPGQTIELDKLLPLEPGEHIEKVMGAGEDNMVFVSTCYGLFMFHLESLQFEKIFKSHPFPEHRRSSVFPYPFASFCAAGIGKLRDGIGQDEVGVGGTTP >cds.KYUSt_chr3.32044 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201414461:201422051:1 gene:KYUSg_chr3.32044 transcript:KYUSt_chr3.32044 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAGKAACSKHIVLVHGACAGGWSWYKVATRLRSAGHRVSTPDLAASGVDPRPLREVPTFHDYTKPLLDLLESLPAGEKVVLVGHSLGGVNIALAAEMFPEKVAAAVFVTAFMPDSSSPPSYVLEKFVEGRTMDWMDTEFKPQDPVGKLPISMLFGPLVIQANFCQLCSPEDLTLGGSLMRVGSMFVDDLRLQQPYTEARYGSVRKVFIVCKDDRAIVESFQRWMIENYPVDEVMEIDGADHLALFSRPAELASCLADIAEKSNVDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSGNNYRPYPSNNGNGYGNSYGNSYNNNRSVPSGLEVMLKEFISTQTAFNKSVKEKLGKIDILASKVDSLAADVDLLKLKVMPNEDKYIKSFATANAIQVRINENIRLMAELHARWEREEKLAKEDNVAKVWTITTTSNDDASHFAKPPTINGKIIGVGNISTPSAKRAKLPETAKTAETVCDKTAEIFQNIGDNDPIAVDHNGLDFDDCHISEVIKFLQKLARSPNASAINLAFTKHITNALIKAREEKLKLETSIPRKMEDGWEPIIKMRVNDFDCNALCDFGASISVMPKKLYDMLDLLMTHKYRGCIVVSSISKNVDPNEEQKVLTSSFDEGFTVNAHRQVFRGF >cds.KYUSt_contig_1407.114 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000141.1:542241:543561:1 gene:KYUSg_contig_1407.114 transcript:KYUSt_contig_1407.114 gene_biotype:protein_coding transcript_biotype:protein_coding MDADKDKGNDKVHLRDWSSQEALRTYKRRRQPEPELVLEPKPVVVPEQQVTDTFWKSRDIGWKHGIMIDENRQHWKCMYCHLTRYGGGVSRLKRHLAGDLDVKMCPKVPADVAEKIREHLQKKRERRKKRAAQNGGDSVTRSFPDDTKAEKDPLPINLEVPTRVDACVLEEVTNQTNEGHQEPAIPWVYKGITAVIAL >cds.KYUSt_chr3.24358 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151159709:151160017:1 gene:KYUSg_chr3.24358 transcript:KYUSt_chr3.24358 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYSLILEAKISHFRNHNNHSHNHNNNFHNLNNRFHNLNNRFRNHNNHFRNHNNRFRNHNNHFRNNRFRNHNNRFHNPNNRSRNHGCSNNNGFSSKLCNNS >cds.KYUSt_chr1.8510 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52279602:52280411:-1 gene:KYUSg_chr1.8510 transcript:KYUSt_chr1.8510 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAARRLLRPTSFTALSSARRFLSSTASPPPNRSSNTNSPVSFDWSDDDENPSAPPPTTPAKNPALPPPYDPFSKKPVVADPADPTNLQEIFHRMRTEGLTDYAIKMFDGLSKDGLTHEALALFSVIKDKGAMPDVVAHTAVLEAYANAGPGHWRDAVRTYNRMLSAGVAPNAYTLAVLVKGLGASDRCAEAGKYLLDMLDRGMRPNVATCLAAFEAHVRMEKVEEGKLLLEEMKKKGFTLDEEAVRSSTVKRGHVFRGVINLLFGK >cds.KYUSt_chr5.34953 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221112387:221112809:-1 gene:KYUSg_chr5.34953 transcript:KYUSt_chr5.34953 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQLVALALTMLFAATAAQAPAATPTPAPVSAPPTPMMPPPTPAPVSPPVVAPAMPPPAPAPLAPAPAAPAPAPVVPAPAPMAMAPTPEMSSPPAPAPEGPAPAPSSADVPPPSAATGVHPAAAWVATAALAAAAAFY >cds.KYUSt_scaffold_2697.523 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:3388447:3388749:-1 gene:KYUSg_scaffold_2697.523 transcript:KYUSt_scaffold_2697.523 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEEQEVPGAGGASAAGYGDQDRRPDSSREADTAGPDAEGFGAEPGAARFAFLGRIKALFEQECPGIVSCADVLAPAARDAIGVILSRTFPCPPEYIEY >cds.KYUSt_chr2.19535 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122926629:122935238:-1 gene:KYUSg_chr2.19535 transcript:KYUSt_chr2.19535 gene_biotype:protein_coding transcript_biotype:protein_coding MDFARRKAAALAALSSPAPDKSPKGGVDAPIAQLLDALNSHADLFTTSSCSGRISVLAQPTPKPHTSDPKPKKKARGGGWVYISHDPADPDAVVELLFSGAGGGEKGAGDELVFRFEPMIVAVECRDVSAAASLVAAAIHAGFRESGITSLQKRAMVAIRSSIRMEVPLGLTDELVVSPEYIRYLVGIANCKMEANKKRMDGFLDLLQSKDQDYGYFGLEFRNPKKGSARLMHEEKLSASNSHLFVTELKTSGEPIEKLFLWGQSACALTVGGEQCVLTFGGFGGPGRHSRRNYSLLLDPKSGLLTEIIVKDTPSPRMGHTITAVGNHIYAIGGRAGPSEILDDVWVLQSTENRWSRVECSGNIFQPRHRHAAVAAALKIYVFGGLSNESIYSCMNILDTESMQWDVIPAANEWPCARHSHSLVSYGSKLFMFGGHDGQRALDDFYSFDVTTLRWNKEITSGRTPSPRFSHCMFIHKHYLGILGGCPIRENNQEVALLNLKHSVWFHVSIPSLGQCLCVRSSPVVTDDDLVVIGGGASCYAFGTKFSQPVKIDLHLVESIFNLAYKKDDMVTQSCDALSTLDIQERDQNGTFVGHNTKPKVDTATNDFADSDFLVFQLEKKYAKLGKDILKKFGWLDLARKVRVSHDNVNVLFPVSRIFHALITDQYSKTLDDNSCISEWLLGCPEKKLVGANITLHKALEILSSCHGSFLKDELALSRKASKSPQTIMRELVSLLLERKGMPSRLLEQLPTRWETLGDITVLPKTCFKDPLWESIGEELWHLIAKSLGAQRLARQGKIMPNGTRDSTLELLVGDSGWVTHYENGISYSLDATKCMFSSGNRSEKLRMGQLDCRDEVVVDLFSGIGYFVLPFLVKAHAKLVYACEWNPHALEALNRNVMDNHVADRCVILEGDNRLTAPKGVADRVCLGLIPSSECSWATAVRALRVDGGILHIHGNVNDTDEARWLDNVVESISSIATTHGFFENACIQTCFAV >cds.KYUSt_scaffold_6468.367 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1861750:1863948:-1 gene:KYUSg_scaffold_6468.367 transcript:KYUSt_scaffold_6468.367 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAALVALAAAMGNMLQGWDNATIAGSLLYIKREFGLEAHPALEGLVVATSLIGATVVTTFSGPLSDSIGRRPMLIASSLLYALAGLLMLWSPTVHVLLLARLVDGLAVGLAVTLVPVYISETAPPEIRGTLNTLPQFTGSGGMFLSYCMVFAMTLAPSPDWRVMLGVLFLPSLLYIAVAVLYLPESPRWLVSKGRMREARVVLQMLRNREDVEGEMALLAEGLGSGGVTAIEEYIVGPAPVDGEDDEFGGGKSGGVTLFGPERGMSWVAQPVPLGGQGSMLGSARQSRQGSLLGSAMGLSRMGSMLDNLQDPVVALLGGLHEMNRVPPNADGGVTGTTLFTNFGSVLGDHPLDWDEENAAHSSDDDDEHGNDGKASADGGLRAPLLDMRRQSSLTGGEGMASTMGIGGGWQLAWKWTEGEAGPDGTKQTTVQRMYLHEEGAATDGHNVHAAALVSKSALYTTTTTTTNDENLQHEHPIMGPALVHPATTAAKPGALWRELLEPGVRHALFCGVTLQILQQFSGINGVLYYTPQILDQAGVSVLLEGLGLSSDSTSILISGLTTLLMLPTIVIAMRLMDVSGRRSLLLWTIPVLIASLVALVTADIVPMATTAHAAISTGSVIVYFCTFVMGFGPIPNILCSEIFPTRVRGLCIAICSLTFWLCDIIVTYSLPVMLNSVGLAGVFSIYAVVCCIALVFVALRVPETKGLPLEVITEFFNVGAKGLPKIDDD >cds.KYUSt_chr7.23789 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148095245:148098674:-1 gene:KYUSg_chr7.23789 transcript:KYUSt_chr7.23789 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAFASTSSAAAAAAYSSLAPNPTARDPVRRRTPSLVTATNRHVHGRTATSIRQARPHDRFVNPPRPLFAAAAAAAEAEEMAAETSTGTKPFAVLFVCLEGFDIKSASVQPHHVIWNICRSPAAEAVFRNLVNKRGLDSKFLIDSAEDILSSFERWKKKEPLPDSAPKKVKLMCSYCKRHKESEVPDPYYGGAKGFEKVLDLLEDACESLLDDILAENANISA >cds.KYUSt_chr5.611 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4428575:4430651:-1 gene:KYUSg_chr5.611 transcript:KYUSt_chr5.611 gene_biotype:protein_coding transcript_biotype:protein_coding MELIESGLAEMEIYLGGESSAKTRSTKKNITKPRRFGLTRTGMPKTDRLPPQIRKTDEHRGEVQELAPPTEEKRAVEPAPPTEEQEEDDAAPPTEEQEVEDYRKFWERCFGRRHGSYDAETSLAPMYCATGTIPPDALPDSSLQFFSIKVTDLSGLSWPLQVHGFVAARDSVDRRRNYLLRCSRDNCQTLTEKDPFLRLTGPSRAVLMIDPVAIEVQLKVKTTEESEDDEVLALACFKFRETYPLIDGLRACIPRQRCMLEFALAVLGSSVETTVGVRLVDGSWPDQCAGLIVCNTDKVKEGRMVLLDFKDGKLPTKSDGTVELSRRIVSVSYPAGKLFVSVEASRSAVCDVCLIVELRDETVGHKYLHM >cds.KYUSt_chr5.40908 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258246203:258246940:-1 gene:KYUSg_chr5.40908 transcript:KYUSt_chr5.40908 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMIILLLLAAASPRDGGATEYTVGDSDGWAIGPNYDAWSQQYNFTAGDTLGTSARRCNSLCLASCIQHGVTTCKKSSIYPLVVVAFNYVPVLHDVYQVTQDAFRTCQPAAGQTVRMWASGSDVVDLAAPGDYYFLCNAPGHCFGGMKLSVSVATPPPPPPSSPPTPPFLAPPTPVLPPPPSPDAVATPPSPLPPPPPPTALPTPAPLPPSSGASLQGRLDVAAGISCLAVTGLWIIFPCWS >cds.KYUSt_chr7.12788 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78889791:78897371:-1 gene:KYUSg_chr7.12788 transcript:KYUSt_chr7.12788 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDASTCPASQASPQHGPATKSHPCPCLAADNPSLLLPGFIDVVLPLEGINPYLLGPRSLGGVAASRNLSLQVCLLGNKQVDRLRLLFSDAGGGGLLVLLIDPSASTADPRSLGGVVACLPRPPAPRLLVVPSLGLVHQGRVLMLPGVEQDVTAWSAWTPSLTGCFFVPS >cds.KYUSt_contig_1658.49 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:245636:245851:1 gene:KYUSg_contig_1658.49 transcript:KYUSt_contig_1658.49 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGWVQLWENKAWLADAGMAFVQITCGGYHVLTKSVLNVYHDLVALAILAPVAFFRGSVQLLPDAHFER >cds.KYUSt_chr7.12551 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77370830:77372851:1 gene:KYUSg_chr7.12551 transcript:KYUSt_chr7.12551 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGRILPDHQKMVHVNLLRTAVLSTMSILIMPLEASALTCQPANSFADMPIFIAVALIGAAVGGLLARQRKEELKRLNNQLRQINTALRRQAQIESFAPGLTYAPVGRATETEVIVDPRKQQLVVNLKNGKNYMRNQDLDKAVTEFRTALELAESIGDRFEEKKGARGLGASLQRLGQYKEAMSWYYKVLELSKETGEDSGCTEAYGAIADCYAELGDLERAAKLYDKYISRLQPHD >cds.KYUSt_chr1.37081 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226484009:226488367:-1 gene:KYUSg_chr1.37081 transcript:KYUSt_chr1.37081 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGEQALSADNVRGIVLALISSGFIGGSFIIKKKGLRRAAAASGIGAGVGGHSYLLEPLWWVGMITMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKERLQALGVLGCVMCITGSMVIVIHAPQEQEIASVKEIWKLATQPSFLLYVALVIVMVSVLMFHFSPLWGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFVLVVSTCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASIIMFKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSKKLEFAESDPCRLSAANLCAPISLLHESRDNLITLVSSRAPLPSRTGTLFSPIHIPVPCARDLGGGGGGFPTVSFLGRLHPDSIC >cds.KYUSt_chr5.2382 pep primary_assembly:MPB_Lper_Kyuss_1697:5:16091709:16092086:-1 gene:KYUSg_chr5.2382 transcript:KYUSt_chr5.2382 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCMMVKTVVSTPPHPLAGEMVPPTLFDRATFDLLVPLVFVYPAPTPSNEALKVGLSRAMAAYPHLAGRLAVDKRGRRCIHVNNMGALVIEDAMAMDLDSVVLVDAGVVADLGALYPRLSLHKN >cds.KYUSt_chr3.2507 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14357548:14358132:1 gene:KYUSg_chr3.2507 transcript:KYUSt_chr3.2507 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSASGFVCCVLRISTKLRNCTMPSIDKAAVMSCIQAFPGRAVATFWVLEVRALTAENTCGAKSEMLSPWIQRSVQNRASLPLPSLMTVAAWSREVMFLLTLIGKLAQGLVLSVRPSQGPSSPKCPAHPFDVKDAQSTKISWAADVLPSNSAATTAVVPPIGPEEGPLAFDNGILDELGNIGGEHDMDGGCS >cds.KYUSt_chr4.48618 pep primary_assembly:MPB_Lper_Kyuss_1697:4:301110064:301112286:-1 gene:KYUSg_chr4.48618 transcript:KYUSt_chr4.48618 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSFPSDTDSEAKSPRWRHWWDRAPTPSSDDSLPLNSENEEDAKEQDEEEAEEEEEEAAARAKADADAKAKAQPSCTFGKEDTSSSDALTDTASSEEFGDDMYCILSVFLRAGVVVHRRVYPRIEATARHGARKENAKVRNRRHQKKFNGTATKPRLSVFCSNRQLYAMLVDDHGKRMLFYASTLQEAICGDPSCSTVSFELFVFGKQEAARRVGEELVKACKELEVSEVSCYDRNGFARGEKVMAFEDPIAQHGFLPR >cds.KYUSt_chr6.32716 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206077765:206081381:-1 gene:KYUSg_chr6.32716 transcript:KYUSt_chr6.32716 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIKADTIDLAIRKILYELAADTTNSRENAIYFDGWDGLGASTVLQAIAKLFTIDELATNSVGLEFEKIIHIDCSKWESRRAMQRKIGEQLQLPTQVIDMFNKQDEEDDFNGLDQGSRGEVAQVTIAIYQTIQNHRFLVILHNGSNEEIDILNFGLSLYGYANSKMLWTFQGRFRLDPKMIDHVKKSTLTHVLLSASRDERDPWELWSYLVRHDAAQVSCHKHGFIHPAIATDCVLYMLKQSWIGSRINDCDWAIHTSNYWICDGVIAVTNIDNAWQVGDALQGEMPLFNICTQHNNDESAVSASHHLERSAEHTPYWISTTTSSSGFVVSPSGVIPENMFQHSQRLGLLKLSSKKHHKSSPHRGNKEAKGMENSTTTSWECFQSLWVLDLRYTNCDRILSARVMDIMIQLRVLNVIGAKNWDMSHLRGRLPNIRKLRVTKSMCYFNNNMFSEMGNIELLDFSGNTISRGMTSLSGPANSSSLKTVTIDGCDGLEIISFRNCKELKNLFLTGSFGSLEELDLSGTKVKTLDLGGVESTHPERIILLGCEKLRAILWPESVIKEEKGQYVLRIDTTSTSASTDGGESTHAHPHCDQSLQQQKEKLFKDGWQISFMDARILRPLSPGFATLHLDIYSPAILGGCVQGTSSDELVQVQPHTSTIMDSEYRDAAKDGPVEVMIMWECPGISTRWWDSRRTCIIKVIMHGQSNKLLDDAPSASSSALFFPNFIYEEATSLHVYDNSSITVSPHGVGWKALRWCRVESDLKEVFPLDREFHAKDEIIEFPKLRRLPTVRRYTKLPKVDCEKEWWDNLEWDGLWKDDHHPSLYELSHSLYYKAQLLGVSVFSLDIF >cds.KYUSt_chr4.27889 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175249396:175253535:1 gene:KYUSg_chr4.27889 transcript:KYUSt_chr4.27889 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWHAYSLRLRAADLDPVEPLEAAAPPPVTSLLQVRAASKKLLPPGIRHLQVLPAKLEQLQRAAVCERARWPGGTVSSPPPSVLCYRLPTGESFAMAPVVPLSPWRRYYLCPSISYPDVGTTTVVLSLLL >cds.KYUSt_chr4.27258 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171227111:171228226:-1 gene:KYUSg_chr4.27258 transcript:KYUSt_chr4.27258 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRLRDLKAFDDTNAGVKGLVDAGATALPSFFHHPPENLPKPVDTARQAGGNFTIPVIDLAGATTTDQTRRAKVVGEVLAAAKKVGFFQVVNHGVPEAAMSGMLAAVRDFHEEPVEVKRAYYTRDYARNVRYHCNMDLFRAPAAKWRDTVYMDMAPNEPAPEETPAALRGIAAEYTRQVKRLGSTLLGLLSEALGVRSGYLEQEAGCLDGIVVGAHYYPACPEPHLTMGATTHSDHSFITVLLQDAVGSGALQVLIQDSDVKEEEGRWIDVHAMPGAFVVNIGDFLQLISNGRFKSVQHRVVSKKEGPRVSVACFFQTCGEAAATRVCSPIVSNDDNGTPPLYRSATVEELLVSFREKNGRSALDRFRL >cds.KYUSt_chr7.21522 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133465126:133466963:-1 gene:KYUSg_chr7.21522 transcript:KYUSt_chr7.21522 gene_biotype:protein_coding transcript_biotype:protein_coding MEFERKPPSLLELCVRNVIDNLRYVDNMDGVEMQLLKRIMPHCTLEQLTRIESRTEMDISPVTDVLWKRFYQQQFGEDNMNLVVKKMKQSGARYKWKDLFKAKTEKQKEVEEVMGERLRKKYQAQKAGSGSSSLSNSSYKSPILKKARMEVDSRAKMQATIQRNTFASFTSHVILVTLSLHSQVIPANKDDLS >cds.KYUSt_chr1.31881 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193403035:193403313:1 gene:KYUSg_chr1.31881 transcript:KYUSt_chr1.31881 gene_biotype:protein_coding transcript_biotype:protein_coding MQETACLDNTIAEATCRNNEEMVLVAGGYSQRRGGAQEKRRRDMPLEVKGRMPNRQTGGRTVGEMDQEVWDGSGAGREEVRRIRFCYAEHTA >cds.KYUSt_chr6.4834 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28433418:28433888:1 gene:KYUSg_chr6.4834 transcript:KYUSt_chr6.4834 gene_biotype:protein_coding transcript_biotype:protein_coding MADATPLLAGLLDEIIIWEILVRLSPNSLLRCRSVSRSWRRATSTRSFLQANHGRQPALPIIFINRSILAFDHRGDADGQQLHTVAHLDELVYLQASCDGLLVLFMYGTADTRFSICNPATRQHAPFGTATNLNVMGMYAHRPTGEYRLLLLHRRT >cds.KYUSt_chr7.2917 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17244451:17246580:-1 gene:KYUSg_chr7.2917 transcript:KYUSt_chr7.2917 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSNGLLGLLNAGVLVLSLAVLGGGIWLSHRAATTDCERFLERPVIALGALLLALSLAGLAGSLCRASCLLWLYLVALFLLIALLLVFTVFAFAVTNRGAGSVVSGRGYREYRLGEYSTWLQRRVENHENWARIRSCLQDGQVCRKLAARRETPQQFAASHLSPIQSGCCKPPTGCNFTYQSETVWIKPAGLNSTDDPDCNMWSNDPRALCYGCQSCKAGVLANLKNDWKKIATVNIIFLIFLIVVYSVGCCAFRNNRQDNSHPAWK >cds.KYUSt_chr7.23593 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147121294:147121698:-1 gene:KYUSg_chr7.23593 transcript:KYUSt_chr7.23593 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVDSGVLARHGAGVGGLGRGTWSPTSPWPRTAGPAARGRPCRLATPARSSTRWWGLGLSLTLAADAQYPAPFTIPADGAVYETVAFLFAGLAWALLVVPARGMRLGRLYGVGLIVIYLCFFGTRVLNSLGLW >cds.KYUSt_chr2.10668 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67908562:67911340:1 gene:KYUSg_chr2.10668 transcript:KYUSt_chr2.10668 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLAHGLWKYIFNKTEFHVLILGVDKAGKTVKSTYLKGEGLPPDRIVPTVGLNIGRIEDSNAKLIFWDLGGQVGLRTIWEKYYQEAHAIVYVMDAAAESSFEDAKSALEKVLRHEDLKGAPVLLFANKQDLPGVISDEELSRRMHLKELNDRPYRCQAVSAYDGRGIKSGIDWLVEQMERSKRTEVLRERAGVTGQI >cds.KYUSt_chr6.13693 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85710084:85710964:-1 gene:KYUSg_chr6.13693 transcript:KYUSt_chr6.13693 gene_biotype:protein_coding transcript_biotype:protein_coding MEESAAAGKTLNQEQKEVLRSKPIVVTLIDELDRLRAPLSAALTEELSTVPAPAAPAPTPAADSSVQDLFTLVYFGSLFDVKPQSEFLATMVAREHEQGIRRRNPSNEIFSELDEINAQGPILPRIFQKSEEETKWGHEVATP >cds.KYUSt_chr1.2183 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12729149:12730334:-1 gene:KYUSg_chr1.2183 transcript:KYUSt_chr1.2183 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRRWERELAAYDGPPPPPARNNAAGRRRWWSAPGRTLEAVVEHIEGGNSPVLTMPPLSASRASASRRRGSVRQPRRMAASSSSSGSASRPSLAPVKREEASSPSTPVRVKKEPARPEEDEERGRRRKPARRGGGERAEEAAMAEAIAGSLADMEEEKRADDAASDWAERGGSAGEAEQQRRLLDLAAARQLAARAAPTANDDVARYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQAAQPKANDDGSDDDGDGDYTVFYRHLGM >cds.KYUSt_chr4.10720 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65069180:65073257:-1 gene:KYUSg_chr4.10720 transcript:KYUSt_chr4.10720 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVKLVPRHQILPPVSSPPPRFALLNFLLTRFEFTCLLQKEGGGGDNSGSSDGFAGKRRKKHGRKGRDRGEEKRRRRHRRRRSRRSSDDDSDSDDSVDGEEEKGLGRSKRRRKHRRDFDDDESSSESDRGRGGGRGRRRGAASDDEDEDEEEGMGGEGVRASEVVRREMGLDWMLKPASSSQPESSRAPKADDNEQEKFEAADDEVKKPNPKEMNPYLRDNGAGYPDESTPSREASQLLASSVVGDGGASWRLKALKRAKEQAAREGRNIEEVVEERWGSLGHLAASVSTSRVAPSYAHLQAIRGRKAGQADNSEISSKENRKEDKRGEESGGSREYLRGVSSREHAMRKPKADSTPWKRNRQNMSSEDRTLISSALASINKFSNDGSFMERINSAGSKNTNVSSVEVDEQRDSEPKSHKESSKKPSSASTQNLNANQLAAKILQLRMKGKNEEADQLSREMETVLENQGASVEEPRHERERSSSRHTIKPSAADRRKKEEDGDLHLANKIMHNKQYNMTKTIEDEYDYGDAPSRKGKRKNKEAHEEKRSNRGHILTQKERCLYCFENPSRPKHLVVAIGNFTYLMLPQFEPLVPGHCVILPLQHESAVRTVDKNVWEEIRNFKKCLLKMLAQQDKDVVFMETVISLDKQRRHCMIECIPVPFEVSNKAPMYFKKAIDEAEEEWSQHEMKKLIPTSGNLRQVIPENFAYFHVEFGLDRGFVHVIDDESNFSAGFGLNVMRGVLRLPGEDMHRRRRHETMDNQKQAVASFMKDWEPFDWTKQLE >cds.KYUSt_chr5.43127 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272025176:272028958:-1 gene:KYUSg_chr5.43127 transcript:KYUSt_chr5.43127 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQLAESTITMSDSEQRLPDDLVTDEILTRLPAAAAARFREPEILFFPPAEGPSTSFYTRSVAEAGGASRKLLTVGNLAAEYVVLSRRPCHGFTLVRDARSSEHFVFNLSTGDHAVLPRCEPAKTFSFPTFQLPYNSRFHPTMAPWSPFEYSSTGLGFDPATGEHKVVRLFANRKTKLKCQVHTLGSRGWRPCAGDVPSLPWPGLMKYLSGLPPVVVGGSFYWLLWPHSNVGVDPHDPLILSFSVADERFGWVRTPPRVSMRLRNHRIRHLAELDGSLCAVAYGVPRRFHGGEERLIELLTWTPSPSSWKTRCRIDLATLPNPVRDELDNELHIVVPLCTTAGGKVVLLATGHHKVFAYHAARNAVEKVFSMEDYVGFPVCHSEARLHINICLHEECLAAVPKVAPDDDACIEDKRLQVKLGCAAVVGRREKHRRLCEKCASLGHGFPDEAVDAGTQVDDAALLEDFGCEEFHKLVDLIVRVEALRGEGDLDVHSMVLPDIRGAMLIEYLDGSAKEPEKMIKATDKDGKEISVLNPDYARWIAQDQTVLSYLLRNMTREILTQLVGLTTSAAVWAKLTEMFSSQSKARIVHLRTQINKTKRENFASGATYFDRIKSLADEMSTAGKPQDDDDIASYVLAGLDDQYNGFVAAITALIRAHQSVSLGDLYSQFLAYESRLDDQQPGNGDGGELSANAASHGNYGGRNRGGRNAGGGRGNPGGRGQYNGGNQNYGNYGGGGYQGGYQQRQGGGRSNDQQTLCNVSDL >cds.KYUSt_chr5.3177 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20533842:20538707:1 gene:KYUSg_chr5.3177 transcript:KYUSt_chr5.3177 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTFQFLLLLLLAGIVRSDEDAYKIVADGPHSCGGVDIPYPFGIDDGNGNGDFHEGFGVACDAGKPVLPTTGGDEKPIPIGNFSIERAEARVWLPVGWQCYNSSGGFNGSEDYTDIQFNNDGVYRISHARNYFFVLGCATLGFLRSKPRPVAGESNSTFYTQLAGCACYCEDSKSAVSGSCSGVGCCRADIPPDLALVDNTVEFRSDSIDNGIQAVSFSPCDYAFLAEKDYYTFHTSNLNMDLHQKPLLMPVTLDWAIRDNLTCQQAMEEKAYGCRSNNSRCLNSTNGPGYNCRCSDGYEGNPYILDGCIDINECEHRDHYPCEGVCKNIQGSYECTCRKRSHSANPFTKPCIPSFSLKAKISLGGYASLCGELWRARRFAVKHQLAAMFYFIPNHALQSQFEILDLGVEDLVGQQQIPSSARYTSGGQAGSRPAHGRSAAHMWEYMERTPAGVASSTWFMRQRARLAGVATFTRSGSGDSMLAGRGCDLPDFAGSLENFRARRVWGDQVSGGLIGPERED >cds.KYUSt_chr5.20499 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133236025:133236912:-1 gene:KYUSg_chr5.20499 transcript:KYUSt_chr5.20499 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAVMDVEQQQQRGSKPKVVFVLGATATGKSKLAIALAARFGGEVINSDKIQVYAGLPVITNKVTDEECAGVPHHLLSCVTCPDADFTAEDFCREAGAAIKRVLSRGGLPVVAGGSNRYIKALVDGDGGAFRSTHDCLFVWLDAEPEVLRRCTAVRVDDMVRRGLVDEARAAFDPDAGYTRGLRRAIGLPEMDAYLRRSRHDDDEDAEGLLERAVEEIKANTFGLVQEQVEKIRQLSMLDGWDVRRVDCTEVLARMADGESVEEPWGKVVWEPVHGMVTSFLVKEESGIKLEN >cds.KYUSt_chr1.7182 pep primary_assembly:MPB_Lper_Kyuss_1697:1:43970644:43971948:-1 gene:KYUSg_chr1.7182 transcript:KYUSt_chr1.7182 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFVTCDPANVRHIFTTNYNNFPKGAEFASIFDIMGGSLFTSDGEACERQHAKIRSLLSSPRFVGRVAARCRDKVEKGLLPFLTNMASSGTQFDVQELMVRCMFDLTSAMVFGVDPGLLSPNMPPMDASDAMDTVMDVGFLRHAMPASCWKAMRWLNIGPERKLRAAQDLLRGFVAEMMMDRRTSNGGPVFNDEEQMGVEDILSAHLNNPYYADYDLLRGTLIGTMLAGRDTIGTTLPWFFYNLAQNPDTVTIIRKELSPIASRKTASGAASAMVIFEPDETKSMAYLRAALYETLRLYPPGPTERKTVAVDDIMPSGHEVHAGDTILIPVYAMGRMEGLWGQDCLDYKPERWLSENGKELRYVPSHKFLAFNSGPRTCPGKEIAVMQMKTIVSAVVWNFDMEVVEGQTIQPKMSSLLQMKNGLAVKLKRREM >cds.KYUSt_chr2.5683 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35125161:35128269:-1 gene:KYUSg_chr2.5683 transcript:KYUSt_chr2.5683 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALFHAYGIDEIGQSVDAVRSSVLDLKRFSKAVKLAGFTPFASAIDALNQCNAISEGIMTDELRNFLELNLPKVKDGKKAKFSVGVMEPKVGSHITEATGIPCQSNEYVQELLRAVRLHFDQFIDQLKPSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVREWYGWHFPELVKIVNDNYLYAKLAKFVVNKSDLSEKDIPALADLIGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEMVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSDMSSSIFGEKLREQVEERLDFYDKGVAPRKNLDVMKAAIEGLTNTEDDDGKENGEASAKKSKKKKSKAEANGDAMDVDNVVAEAETQTEKKKKKKHKLVEAQDEEMQANGDDETPKKKKKKNRDASESVDPKTGTEGKKKKKKSKTDDE >cds.KYUSt_chr2.51524 pep primary_assembly:MPB_Lper_Kyuss_1697:2:321966452:321967913:-1 gene:KYUSg_chr2.51524 transcript:KYUSt_chr2.51524 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRSELVASMPGHLIVAAAAKRPAESSTSFRSLVVPTTSSTALSAVQRPQKRPRHQDADEACLAASKCSEVIRGARTRPVEVDVSESSCLGSALESDLASPDDAEMTDYWSACGELTSSSCSTSPDVSMKR >cds.KYUSt_chr3.48398 pep primary_assembly:MPB_Lper_Kyuss_1697:3:302773194:302776046:1 gene:KYUSg_chr3.48398 transcript:KYUSt_chr3.48398 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPAPGASTAAEVAAAASVDPSLAPDASSSAKAEDERFALLMSIGEECIQTDELRLLLQNKEKAFPICYDGFEPSGRMHIAQGIVKTINVNKMIRAGCKVKIWIADWFAQLNNKMGGDLEKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINKRADEYWTLVMDIARRNKVKRITRRCCTIMGRADNEELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRKHKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNLKIKQAFCPSNIVEGNPCLEYIKYIVFPWFERFEVVRKEKNGCNKTFLSMDDLITDYASGALHPTDVKPALAKAINEILKPVRDHFNTSKEAKNLLNTVKMYRVSS >cds.KYUSt_chr5.6172 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38127530:38129488:1 gene:KYUSg_chr5.6172 transcript:KYUSt_chr5.6172 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEPTSPASAPRSIQAGAATQNHAASASALRAIPAAGYGASPLVGLGLCFGSITPELASASAGSGRSRETWTAELASASSSRAPAAPAASIPPPCKPTAVDLASLDPIEVLPPPPALKAGDRPVRAGDAARPEISGSGKVSLASHRLRSIIVAPLKNPADSRSEILDDSNENWQEVRPKFWWRKVPLSSSGGQQDGRRREPSRRTVSSTVPTRFKGLCFRCLSPFHFVRSCSEKVRCIECKRQGHIGRNCTTKRSPAKTGPPPPPPPPRPAGPLQANAAAWPALRGAAAPAMARAASPAHPSNRPDEVFSLSISTPAMERAATEMRRTHLAILIFDPRLNISTRSIAKSIQDELKSIQDELNFDWEDIHVSASYPDDFLVKFTHPWQRDMALEKGSIPLRRGAMAITTRSPTARGRPQTWRYYCRVAIESLPLNAWTDEDTVKAILDGGCELDRIEQRSVLQDNTAALFAWVWSLDPDLIPCVKAHSILNRPAVGRADLPEGTPTKEGRDGPLYRVLIHLDTIIDYTPIDESRCKQGYAWPSKTRRNGSSAPRTTPWARGGGLAAIVWGRPTTAATMIERIGVTTVMGVAVNAAAPVMVVTVAATATARGGTPTTSIRGIVVSATTGVHHAHVITVVVAAHPVTALGVRR >cds.KYUSt_contig_686-1.401 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:2568158:2572786:1 gene:KYUSg_contig_686-1.401 transcript:KYUSt_contig_686-1.401 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHIGTGIPGVAPHYISASSTFDVLLGSYWFDKRITNFAEDKFKSEGIDLSSEGTHDRRCSVVVQCLWSSKR >cds.KYUSt_chr1.10133 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62134364:62137114:-1 gene:KYUSg_chr1.10133 transcript:KYUSt_chr1.10133 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGNTRELDQTPTWAVASVCGVIVLISILLEKGLHKVGEFFAHRKKKAMVEALEKVKTELMVLGFISLLLVFGQNYIIKICISEAAADTMLPCRLKKSTIEAETKADHGVNAGVAEGGAHATAEHLSLGGHPFTAAASFSVPHRMLSGGEANMKTKCPPGKVSLISINALHQLHIFIFFLAVFHVSYSAITMALGRAKIRAWKEWEKEAAGKDFEFSNDPTKFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRRADYCALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPTLWASALIFLLININGLHTMLWISIMPLIIILSVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFSRPTFVLFLIHFTLFQNGFQIIYFVWILYEYGMDSCFNDSKQFVFMRLCLGAVVQVLCSYVTLPLYALVSQMGSTMKQSIFDDQTSKALKNWRAGVKKKPADKSKHGGSPSGSPQAGSPRGEDSSGIALTERKDGDTGQEAGAAKKGEDGEYESVKLEP >cds.KYUSt_chr2.6055 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37701531:37702655:1 gene:KYUSg_chr2.6055 transcript:KYUSt_chr2.6055 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNNAVVKHAATPILQERLLCPPPSLLADVPVLKSQAVRGALLLAANYAALFVGSVSSTLLSRFYFAHGGADRWLATLVQSAGFPALLPLLLCARRRPFSGFTPRLVLCCVLLGLVMGLNNLLYSCGTSYLPVSTTSLLLSMQLAFTLALSAALVRVPLCFTNVNAVMLLTLSSLLLALKHRPGSSTSGGDATGGDYTYTVGVAATLGAALLFALYLPAAELLYRHGKFTGFHMVVEAQVIMEAVATTVGAGGMVVSAGVKLPWSGVDRSWDLSPAAYYAVVGAAVFSWQLCFLGTAGTVFLTTSLHGGICMTALLAVNVAAGVVVFGDEFGADKAIAMVLCLWAFSSYVYGEYKKGRKTSWYEDHGVLDRV >cds.KYUSt_chr6.11976 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74579241:74579510:-1 gene:KYUSg_chr6.11976 transcript:KYUSt_chr6.11976 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGGGLIWATAEDLARNRPVVLSMYRQILRALNSPALPLGHAARLAKKAECRAIFIFGAEERSLHNIRDLLDAARHTLGILNRGRLP >cds.KYUSt_chr7.6423 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38772525:38778132:-1 gene:KYUSg_chr7.6423 transcript:KYUSt_chr7.6423 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPRRGPAFKTKLCVLWRRGSCPRGPSCGFAHGPGELRSPPPGSSFPPRPLPGSSHSRSPIRKSERRHKKKVDDGKTDSTGSLNSSDNEDRKKDDRHSSGNEKDDTEAQLKQIALDMKALHEDKSKVQMILDQKIDEAGILSTKVDDLELQLNKEKQDCERFSISTISGLLGIPLFNPKFSMWASSVEEKISRSQGRFERLADSLASDSLKSGTKEQGYSVNAGNDDPYYDCEMSPYDQRQKHGLTAGKRSTALSASEEDKSGKKRRVNGDDMIHMSGKYVPEDTLESFKNSHGTDTPKSLSMKKFGEGDNNDERNVISSHNDFTDRYNGNGEEDHVDV >cds.KYUSt_chr4.4638 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26588751:26594953:-1 gene:KYUSg_chr4.4638 transcript:KYUSt_chr4.4638 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALDGFSYRGFSVSSVLSHLLESSTRKTKGGPEKKQFRLYRFVLGSLPRSEEDRKWFMEAMQANTVDVVGRMKEIAQVMKTPDDVLQSHGVTTENIEDMLDELQEHVESIDMANDLHSIGGLDPLLGCLKNSHAGIRAKAAEVVSTVVQNNPKSQQLVMESNGLEPLLTNFRSDPSMNARTKALGAISSLIRNNQPGLAAFRLGNGYAALKDALGSDDARLQRKALHLTQYLLDNKADRNVAVELGLPNLMVHLASSDDSGVREAALGGLLQLARDRTSAAGNTLPNQDKLKDILRSRIEGISAMDADDLQAAREERQLVDSLWKECYDEPSSLREKGLVVLPGEDAPQQPPPDVAGKMFEPPLRAFAAPRPALREDSDSGSGKKDPPLLLGP >cds.KYUSt_chr7.41220 pep primary_assembly:MPB_Lper_Kyuss_1697:7:255439384:255443711:1 gene:KYUSg_chr7.41220 transcript:KYUSt_chr7.41220 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEDRKRSLTVAPFECAWGEEFRFAEAGRGCIAFEASAQNDVTLVFREQLGSQHYHYRMDIARHYTVILGSHRNKRLKIEVDGRTVVDEAGIGLCRSSSFQSYWISIYDGLISIGQGRHPNNNVLFQWLDPDPNRNVQYVGLSSWDKHVGYRNISVLPSAPQNSILWSQIEYAYAEQHGEGGHTKTQEPKDGCEQRLISDFLESWDFSDAIFVVGTERKVVPAHKIVLAASGEFDFSSMNGSTIVLPSVSYPVLRSLLEYIYAGSTQIADWQLDSLLELSLQFKVEPLVKRCEEMLYCLTKMGNKLSASSNNLQVSSSGSQAHQVGYFPFKAPVCVQKIKQFLASGEHSDINIFVTGHGLIAQAHKLILSLWSMPFTKMFTNGMKESKAPNVFFEDVSAHAFSLLLQFMYSGELIIDNRCITSVLVQLLLLSDQFGITVLQFECCKRIMEFLSEDTVCSVLQAVSSIASCKLLEEICKRNFATHFDYCTTACMDFVLLDEATFKDILQAIILQHGDMTVTSEEKVLDAILTWCMEGSETCYWNSVDKLLSTSTPEQLFGERLTAINDLLPFVRFPLMQLSLLQRMEKSNLAKHIQLFGKLVAEAVEFSNAGPRMPTANKCERFLHRRSSYRELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNITVMASSPNSRYTDPKVLVSKNYQATCFAGPRDDDGRKCSWWMVDIGQDHQLMCNYYTVRQDGSRTFMRSWVLQGSMDGENWTSLGVHEDERTICHPGQFASWPITGPSALLPFRFFRLALTGPTAGVSNNWNLCICFLELYGYFR >cds.KYUSt_chr2.14594 pep primary_assembly:MPB_Lper_Kyuss_1697:2:92223370:92224133:-1 gene:KYUSg_chr2.14594 transcript:KYUSt_chr2.14594 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCNDDCVLRPCLLWIDAAEAQGHATLFAAKFFGRAGLMSFLTAVPEPQRPAVFQSLLYEAAGRTINPVSGAVGLLWAGSWHLCEAAVQAVLRGAAVGPLPELAGGVPEGGVGGSDLFASSARRAAVGCSTFSTAKRLPATSTSTTKLWAPASHQEPSCDLGLFLTPGSPTVAAAAAGERRRAGTPSMSSDASVTTSAGGDREPELLNLFV >cds.KYUSt_chr1.38690 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236459631:236464165:-1 gene:KYUSg_chr1.38690 transcript:KYUSt_chr1.38690 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERWTRVRTLGRGASGAEVFLAADDASGELFAVKSARAAGAAVLRREQAIMTALRSPRVVSCVGGRTGRDGTYQLLLEFAPGGSLADEIAKSGEIDERAIRAYAADIAAGLAYLHEESVVHGDVKARNVVIGADGRAKLADFGCARKASENIIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSGADGDALAAMHRIGYTDAVPEVPQWLSAEAKDFLGRCLIRQPSDRCTAAQLLDHPFLASAVFDTKVEDVKGKWVSPKSTLDAALWESDSDSEDAADDELSHSTAERIKALACPAWAFPDWDADEGWIDVLSTSTKASDEVAVPAEETTTLDDATISEEQAANCGILDSTTMDSNHSRVLSVGEANYDSVGHSHQGDEIGAPDQIRHLHTHALGVEFLVIVAYMGFAACPGTIIFRIAKVAVYSD >cds.KYUSt_chr7.16277 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100816134:100819853:-1 gene:KYUSg_chr7.16277 transcript:KYUSt_chr7.16277 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVLVISVLVLGSLGKLTALSFLVVTSQQTPKGNWAQPSRLGFTLDGCPTSCGTVIFTYPFGIGSRCSRGPDFNLTCNDATQPPRLFLRDGITEVTDFGDPVWNSNFIWTSFSHTIPMKPGVNVYNLSLKPPGRSFHLYSVDLNITGCDLEVYSVEENAIRSICATVCPDPEITEMVAMHNCDGFGCCRVQVENYASTHKFKLVHNTKNTSTGSNQTSQLWDKISITTDGLKLSWDIGNQSACVACISDHAECGYNYAADDPYMTSRSGYNCFCNDGYTGNPYIPHGCSNNDKGYNPILSRADCNRWCGNISVQFPFGFEEGCFAREEFHFNCTNRTSSAFLLHEDLEIIGMDVNEGTFNVTVADQRLGDPMSDGPERSGLFSASGLFLSVKWVAAHLSCAEAQRNRSGYACVSINSKCVEVSADERFYAGYRCKCSDGFQGNPYIQSGCQDINECLQPNKCKGFCHNTKGSFRCTSCPSKTKYDPLKMQCTKLNQPLLLGIIIGLSSGFGVLLLSFSAVLLTRRWKKNVQKQLRKNYFRKNKGLLLQKLVSSDETANDKTTIFSLEELEKATNSFDPTRVIGRGGHGLVYKGMLSDQRVAAIKKSKVIEECEISQFINEVAVLTQINHRNIVKLFGCCLETEVPLLVYDYVSSGSLSEVLHADPNNGVSLSWGDYLRIALETAGALSYLHSSASISIFHRDVKSSNILLDVNYTAKVSDFGASRLVPIHQTHIVTNVQGTFGYLDPEYFHTRQLNEKSDVYSFGVVLVELLLRLKPVFTSESGTIQNLSNYFLQELKEGRITSIVDSQVLEEATEEEINGVSSLAELCLRLHGEERPTMKQVEMELQNLLTKRVNSCQADPSNEEKMQQIPLTRRRARTTRQSSAAELGERVDMRSGDSQRCYSLEGEFMSSASLPR >cds.KYUSt_chr6.31460 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198936757:198937683:-1 gene:KYUSg_chr6.31460 transcript:KYUSt_chr6.31460 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGMLISPPPVSGADRISTLPDNVLQDILSLLTAQEAVRTCVLARSWRHLWRSITSLRILSPDTAWYDILSDDPEPARDLWKFVDNLLNLRDKRTELHTLDIKFGQFSQEDLPYVSLWIRSAVMCKVSSLTFHHIGPYLCLDGLHLASRHLRTVHLASVALIKDSFVDFASCPALEDFKLHECKICFLGISSTSLKHLSITGCIFCHDSHSRLHVSAPSLASLKLDALIGIAPIFENMLLLEMACVSLGNQGADFCCNDFMSGILCRADNACPTCADYPADYVLLAAISSARHLEQISKPENVNYYS >cds.KYUSt_chr5.537 pep primary_assembly:MPB_Lper_Kyuss_1697:5:3857238:3864607:-1 gene:KYUSg_chr5.537 transcript:KYUSt_chr5.537 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFAGVRSAAGAEHKDAGGEALLELTPHRMAVCHLVQVFAPQQEGGPATPPFPFESVAHHNRLGLFLFSLTRSCEDFLEPPLEELLRQLKAVDDLVNGWLCEQLTTTLSGLISPDDLFNFFDKLRGVLTSPEGASVEDEFLDPNSQLGVYLRCCILAFNSMTFEGVCHLLSNLVAYCNSAETAYDLAEDEDFNSEMEMSDLMDADIGVRAGVFDKYGQGYATESHMGESSSSFIHAPMSLHDFDEDKIFKADENPTCLRSRWQLEAYLNQQADILEKDPSSVPLNSFNATMTQLQTLAPELHRVQFLQHLNALSHDDYVSALDNLHRYFDYSAGQGLFSRSLSANQDIIVGKYESALLSLGSLHCYFGHPKKALEAFTEAVRVSQMNNDDSCLAYILGAISNLLSKIGISSTVGIIGSPYSLGNNSGLGTPLSIQQQLLVLLKRSLKRADMLKLTSLISFDHISLAKFDLKHVQRPLVSFGPNASTKLRTCPADVCMNLRLSSHVLSDFGTGDLSTSHDNGSFSTSWLRNLSAASSSWCSSSAKPGKQIVNDFDSFHFNAQPSPIPTSVLQLAGSAYLMRATAWEHYGSAPMVRMNALVYTTCFADAASSPELSLAYVKLIQQLVVFKGYSVAFGALKLAEEKFPSSTNPHIQLLKMQLLHDRALHRGHLRVAQQICDEFGVLSSSVSGVDIELKTEASLRHARTLLAAKQFSQAAVVANSLFSTCYNYNMQVENASVLLLLAEIHKKSDNAVLGLPYALASQSFCKSFNLDLLEASATLTLAELWLALGSSHAKRALSLVYQSLPMILGHGGLELRARAHIVLAKCYLTDPEYSVSEDPCAVLDPLNQAAEDLQVLEYHDMAAEAYYLKAMAYNHLGKEGEREEAAARFKEHVTAVENPRDEEASLAY >cds.KYUSt_chr3.14029 pep primary_assembly:MPB_Lper_Kyuss_1697:3:84917932:84918548:-1 gene:KYUSg_chr3.14029 transcript:KYUSt_chr3.14029 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCMPALTTPLVAASLLLLALAGVASADKGPGFVVTGRVYCDPCRAGFETNVSHNVEGATVAVDCRPFNGGESKLKAEATTDKNGWYKIEIDQDHQEEICEVVLAKSPDKSCSEIEEFRDRARVPLTSNNGIKQQGIRYANPIAFFRKEPLKECGGILQAYDLRDAPETP >cds.KYUSt_chr5.21925 pep primary_assembly:MPB_Lper_Kyuss_1697:5:143167202:143168275:1 gene:KYUSg_chr5.21925 transcript:KYUSt_chr5.21925 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRSGCEIVPGKDTSLFHLRVWLSSPEDIHPADDLFGDMKINVGGRNCTAYYIFAGSSDPTITLALTGPLDYALGGTAAKVSIRMVLLDKTGSPVTSVGRETASWEGYYAMIAAWDDVKANCLVDNYFVVLCSVHIDRKPQASSVKEELPDLGHDLAIMSDKEDLTDVSFHVGGESFSAHRLVLATRSPVFRAQLYGPMAESKMTSITVQDMEASTFRCMLHYIYHGSLSDVAVKDVCSTMPQYQHLLVAADRYGVEGLKKICEDKLSGNGITVDSVISMLELAEDHVCPKLKAACLDFLADGDNYKMVTISDEYIRLIQSFPNLLVEVRSRIKKALEKSTTINPGAHKKTRLR >cds.KYUSt_chr3.31095 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195114711:195118494:1 gene:KYUSg_chr3.31095 transcript:KYUSt_chr3.31095 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFIYLCTFVSPDLRHGSWRLALDCPVQVWTIFMGLHVHGLLTNGDFETAPAGGFTKSASVSEGASTIPAWTINGTVELVSAGQHQGGMILIVPQGDHAVRLGNDAGIGQVVQVEKGAEYAITFSAARTCAQLEALNVSAGGVSQTVDLQTLYNIEGWDAYALAFQAVDEQATLEFRNPGMEDDPTCGPILDNVAIKKLFAPDKPKDSTVINGDFEEGPWMFPNTSFGVLLPTNLDEQTSAIPGWMIESNRAVRFVDSDQYSVPQGKRAIELLSGKEGIISQMVETTPQKVYSLTFTFGSAGDSCQPPMAVMAFAGDQAQNFHYSPMGNATSQAANVTFTARAERTRVALYSVYYNTRSDDHSSLCGPVVDDVRVWGLNGAAGLKASIGLLLGMVSVVGLMMF >cds.KYUSt_chr4.49760 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308185685:308188454:-1 gene:KYUSg_chr4.49760 transcript:KYUSt_chr4.49760 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDGDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSVDEYHQIGTYVVEQFFTINSSKMARFAVVAAIIALLAVTAAAQGPMPAPRMAPLPAPPARSPATAPAPVATPPTAASPSPMASPMETPAEAPSAMTPSAVSATPAGAPADAPASSAVYTSTVSFVAVAGAVAAAIVF >cds.KYUSt_chr3.22533 pep primary_assembly:MPB_Lper_Kyuss_1697:3:139320737:139325410:-1 gene:KYUSg_chr3.22533 transcript:KYUSt_chr3.22533 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIPCQNGRSATAQVTTGTRMSQIDDPETMDLGINVFLMYVYDSLPDPPVSPAAQLPLSGPLWIHDGVDRISRLPDEVLRNIVSRLPVKDAARTAAFSSRWRPIWRSAPLTLVDSHLLPDCGASGPLVAGAPSPRAVTAAVSRVLAAHPGPFRCVHLTCTNMDQHRGEMARWLDVLAAKGVLDLVFVNRPWPVDLRLPTTIFSCASLTRLYLGLWRLPETAAVPRRASFPNLRELGLCFNVMQDRDLAFMLERSPALEILVIIANQIGLRLRLVSHSLRCVELGCAYLDDIVVEDAPRLERLFQWTTFGDLSEGNPNMCQHSRIQIGHAPNLRVLGYLQPRENEMEITNTVVLAGTKHNIVPSVQVFAMEVPFGVRNAVKKVPAFLRCFPNIETLHVQSEKRDETTGKVNLKFWQEGGPIKCVLSMKKLFFYEFRGSRSEVTFLKFIAERARVLEKMVIVVAGECLSSGDDVNAKLKPLTGAKWMNKACKLELFKSPHPEVASPVFCHELASDFSFPDPFDLGYYTESLNPMTSTGRREDAMETRGRKADVTEAWKRRRKKRWMLQAGTSAQHRRNFRPDAVKTEDARTNRAVAGTSAPDGRNFRPGPELPPRTAETSAPSNFSQISAPFGL >cds.KYUSt_chr1.5319 pep primary_assembly:MPB_Lper_Kyuss_1697:1:32704243:32705049:1 gene:KYUSg_chr1.5319 transcript:KYUSt_chr1.5319 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVWSRVQDLLDQILNSLRSWLRLPELRWSETAISATSGISVYKIQHCYPWICAAGLPSLPPLADHGGEEKRKDGASTCASGGRQGSPPSVVHRSRVRPAPLACSVVLPWWKMGRSASLTRPCFNKRPRHLCLGGPVRLHLLLAGLGGEGEERMRVAATTLQRRRSRFLEHATSAAVSKRRLAPAVAIFGHRVGPAALGLDSIFLLQRWTIFFDYGGAMSVAPSPSGFVPGDGSGGRAGKSATVGNGLDRVFARLCGVLSAKIGTWL >cds.KYUSt_chr1.31065 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188213904:188239253:-1 gene:KYUSg_chr1.31065 transcript:KYUSt_chr1.31065 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPHFPEIGKKSKDLVAKDHSFNIAAYISSGADVIADALRKHVEEEARDLSGEAFLRFMDQLYDQIYALLQSTDVSENLLALRAIDALIDMPFGEGASKVSKFASFLRNVFEVKRDPEILVPASTVLGHLAKAGGAMTADEVERQIKTALGWLGGDRVEYRRFAAVLILKEMAENASTTFNVHVPEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLTYLKHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMEHILFVLKTPDERASGFVALGEMAGALGAELVPSLPSITPLLHEAIAPRKGRPSLEAITCVGSFAKAMGVAMERHIRGGLLDAMFSAGLSDKLVDALESISTSIPSLLPTIQERLLDCISQALPKSSTRPGATVNRATRSSSMQQFVDSSGPVLVQLALRTLANFNFKGHELLELARESVILYLEDEDSSTRKAAAICCCRLVAHSLSASSTTQFSSNRSNRMGGAKRRRLVEEIVEKLLIAAVADADVGVRSSVFKALYRNPTFDDFLAQADILTSIFVALNDEEYGVRELAILVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCPRLILPYIAPIHKALVARLCEGTGPTANIVLAAGVLATVGELAKVGGFAMRQYLPELMPLVVDSLLDGGAVSKREVAVATLGQVIQSTGYVIAPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHNLPGQHREVLRPTIETAQHIVSMEEIPTDFWPSFSASEDYYSTVAISSLMRILRDPSLASYHQMVVGSLIFVFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLVSIVRQHIRKYLQDMLSLVSELWTSSFSLPAPKRTIQGPQGSPVLHLVEQLCLALNDEFRVYLLHILPSCIQVLGDAERCNDYYYVPDILHTLEVFGGNLDEHMHLVAPVLVRLFKVELVDIRRHAIVTLTKLIPKVQVGTHVSALVHHLKLVLDGNNNDLRKDAAEALCSLAHALGEEFTIFIPSIRKLLVKHHLRYRKWDEIENRLLRRAPLISDNLSVQKYTQCPPDVISDPLEDFDGVPSEESDETQRQPRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMTESSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAYAKALHYKEMEFEAVCSKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQEHLEVQLKESWYEKLHRWDEALRAYTMKSSQASGPLQNLDATLGRMRCLASLARWEDLSTLCREQWTGSEPSARLEMAPMAANAAWHMGEWDQMSEYVSRLDDGDENKLRLLGNTTASGDGSSNGAFFRAVLSVRCKKYEEARVYVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEVIDYCTLPMESPIADGRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKSGRISQAKSTLIKLLQFDPESSPELTLYHGHPQVVLAYLKYQYAVGDELKRKDAFSRLQDLSMQIATATNTYSGMLVSQGAVSNAEVPLIARVYLTLASWKRALSPGLDDDAIQEILVSYKNATLSAKEWGKAWHSWALFNTEVMSRYTLRGRPDLAGKYVVAAVTGYFYSIACASTTKGVDDSLQDILRLLTLWFNHGATSEVQMALENGFSLVKIEMWLVVLPQIIARIHSNNRIVRELIQELLVRIGKGHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIDGMLAVLEPLHAMLEKGAETIKENTFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLKCRKLELAVPGTYSADSPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRLMLAFAPDYDHLPLIAKVEVFQHALQNTEGNDLAKVLWLKSRTSEIWLERRTNYTRSLAVMSMAGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNKHSVMAMMEAFVHDPLINWRLFNFNEVPQVSNHGNAHTHTVVSSEEAAPNEELMQPPRGAREKELLQAVNQLGDANEVLNERAVAVMSRMSHKLTGRDFSSGSAIAGAGSSIQHGSEHLASVDAREVEPGLSVKVQVQKLILQATSHENLCQNYVGYLFTSLPLLDLDYLKACRPGEDTILPWMWNF >cds.KYUSt_chr2.27609 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169659846:169662241:1 gene:KYUSg_chr2.27609 transcript:KYUSt_chr2.27609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glycolate oxidase (EC 1.1.3.15) (Fragment) [Source: Projected from Oryza sativa (Os07g0152900)] MEVTNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLKENREAFARILFRPRILIDVSKIDMTTTILGFKLSMPIMISPTAMQKMAHPDGEYATARAASKAGTVMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFVLPPNLTLKNFEGLDLGKMDQANDSGLASYVAGQIDRTLSWKDVKWLQSITTMPILVKGVITGEDSRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKGAAGQIPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAAAGEAGVSNVLKMLRDEFELTMALGGCTSLAEITRNHVVTESDKLGVMPSRL >cds.KYUSt_contig_2323.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000299.1:102685:106411:-1 gene:KYUSg_contig_2323.18 transcript:KYUSt_contig_2323.18 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSFSLIFLFLQTQLLLLVAGDFLRLPSEQDVVGTRFCLIILRCTFVQADVCHAYQIMKKGGLKDENIIVFMYDDIAHNPDNPRPGVIINHPNGSDVYAGVPKDYTGKDVNANNFLAALLGDKSKLTGSGSGKVVSSGPDDHIFVYYADHGGPGVLGMPDDEEYLYANDLVQTLKKKHAGGAGYKSLAFYLEACESGSIFEGLLPGNISVYATTASNAEESSWGTYCPGDDDGAPPPEYDTCLGDLYSVSWMEDSDVHNLHTESLRQQYGVVKDRTSAHETYSLGSHVMQYGDLGLNEQSLYLFMGTDPANDNASFIGNSLPSLRGAAVNQRDADLLHFWHKYRRSAEGSTRKGEARRRLVDMMARRSHVDSSVELIGNLLFGFEEGPKVLNAVRSAGQPLVDDWDCLKYMVRRFEERCGGLAQYGMKHMRSVANICNAGVREEAMDKAASQALKEHLELPERQISLMSDHEKHVCNDDIKHGGEQLGNLLPWQDDGAPPPEYDTCLGDLYNVSWMEDSDAHNLHTESLRQHYSVVKDRTRLTTSAPT >cds.KYUSt_chr5.22554 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147181931:147186883:1 gene:KYUSg_chr5.22554 transcript:KYUSt_chr5.22554 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAGSRGPAGFATQANALLRKNLCFQKRNLKTNVCITLFPILLCVLLVALQGAIDREIDKPKYRCGCTCVDTAADGSCRKTECGIQHSTLDQVASCPIPNPPRWPAVVQVPEPEFRAVRTASQQFDGLPEPTCRDNGSCPAAFLITGSNRSFAESLSAQLFPALSSSLNLTDYLGALSKIVPGSDTPTSYRQLLEPAFTPGNTLYVVQNQCRSNLSQTIPVNAGPIPLQLNVDCIQGLSLWRESASVVNDELFKGYRQQRAGVDKSNEFSAGYDFLNTNRNSLDISIWFNSTYNNDTAYTAIALVRVPRLVNMASNAYIKFLRGSGVEMLLEFVKDMPKVGTRSKFDLSSLLGALFFTWIVELLFPVILSYLVYEKQQKLKIMMKMHGLKDGPYWIITYSYFFALSAVYMIVFIIFGSLIGLRFFTENDYSIQIVFFFIYINLQIALAFFTASFFSSVKIATVVGYIYVFGSGLLGEFLLRFFVEDKGFPQGWIVVMEIIPGFSLYRGLYEFGSYAFSGTTMGTNGMKWANLSDSENGMRTVLIIMVVEWAILLPLAFYLGQVSSLGFGLWKRLLSLLKCFKKRAVSFRRHSFGRIGSKVILEMENPDATQEREVVEQLLLEPTANHAIICDNINKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTAYVHGMDIRTDMNDIYTTMGVCPQHDLLWETLTGREHLLFYGRLKNLKGAELLKATDDSLKSVNLFNGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWSVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCIGDAKELKGRYGGTYVFTMTTSSEHEQEVEQLVRRLSPSANRIYHISGTQKFELPKQEVKIADVFHEVEHAKSRFSIHAWGLADTTLEDVFIKVAKGAQAFNENV >cds.KYUSt_chr4.24874 pep primary_assembly:MPB_Lper_Kyuss_1697:4:156524205:156524453:-1 gene:KYUSg_chr4.24874 transcript:KYUSt_chr4.24874 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSVTSLLTNLGVNVAVYELDKEQRGREMERELARKLGRGAPIVPAVFIGGTLVGGTNRVMALHLAGELVPMLKNAGALWL >cds.KYUSt_chr2.12096 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76717448:76722357:1 gene:KYUSg_chr2.12096 transcript:KYUSt_chr2.12096 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDQLSYGSFGLIALATILFSLVLRQALGGKDTGAKLPPGPWNLPVIGSLHHLGEVPTVIASTPEAAMEVLKTNDLAMATRPSGPTLDIVSSGGKGLLFAPYGEHWRQMRKVCIVELLSTRQVWRIESIKQDEVTYLIESVAAAASSVFNLGEALAKLTSNVIARAAFGGKCPQQEEYLRELDRMTMLLGGFSLVDLFPSSRLVRWLSGNERDMRRSHARCRCLIDGCLTTVQCLAVYVKDPPSIYVVLDPGSSWAFTDLTPGIDLFGSGYLWRLGSGYLRRAVRIRLLVPGLDIFHLDQQQLGCPVDHMPSPPSVGHPGLPESDHVDGIPMKYIHNTRVHRILGDIIEERKQKKPSTAAIRDDEDLLDVLLRLQKEDTLRLPLTSEIIGALIFVSEPFLNSS >cds.KYUSt_chr1.20532 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121163358:121163762:1 gene:KYUSg_chr1.20532 transcript:KYUSt_chr1.20532 gene_biotype:protein_coding transcript_biotype:protein_coding METDEQKPRPDQLLLALRCSKASLLLSSLRHPPRPTTSRRSSSSSSSVVTRISAPPPFSRTLRNALLGRVLPMRFPSSQEFELRNARLIDVDLAAARREATGNTRTSATELLLVIALAPLILLFVALLLAAAAV >cds.KYUSt_chr1.31660 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192013679:192015914:1 gene:KYUSg_chr1.31660 transcript:KYUSt_chr1.31660 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGMQRSATFVEDHRHPSPGDSASPATASPRATRFADDGRRPDHRTLAAQTLVASAARGDVPALAERFPAPASSSSPRGRHELSPRGRHELSPRGRHELSPRGRHEVSPRGRHELSPHGRQVSPLAGRPGDPAAFTSSPRASPRAGRSNDPFGQDPVTMMYTSTSGTNNDDSKRSPLKRDEAKQGLWGLLAQQAKVMLDETGSPTEGARNLAVATSGGAQEGLHATMVENRTTAAGRKLQVRRKTCSMDMRNANMNLSTPEVMSPMMTDFESPQIKASRDVRCLPFCVRVRAFIPWDVDERNLRITVTHQVANVMAAKVKLLQRELKTVKADMAFSKERCAQLEEENRLLREGNHDADEDMIRQQLETLLAEKARLANENTVYARENRFLREIVDLHQLSMQDVVSLQEDIIEEEDEEEDDDDTDQLISSPLCLVQEEPEHDTPDTPGTAPPQSPCHHAENVPAAAPHAPCHQSDNVPAAAPNAPSHHADNVPAAAPHTPSRRTTDDVPASRTGDSHDATIHVSQSPVQRNPQEDGSSLQMTRDNCSPEKGTR >cds.KYUSt_chr4.9472 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57155139:57161929:1 gene:KYUSg_chr4.9472 transcript:KYUSt_chr4.9472 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASPPLPLATASRPLAVGVGAVGAAPTRRRRRRGFVVRSVASDREVRGSASASTDEEISSVLSSIGSSAIASNITHHAEFTPLFSPEHSSPLKAYHATARSVFDALIMNWNATYDYYNKVNVKQAYYLSMEFLQGRTLTNAIGNLELTGQYAEALKQLGQDLEDVASQEPDPALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQIITKDGQEEVAENWLEMGNPWEIVRNDVSYPVKFYGKVVEGTDGRKHWIGGENIKAVAHDVPIPGYKTKTTNNLRLWSTTVPSQNFDLGAFNAGDHTKANEAHLNAEKICHVLYPGDESSEGKVLRLKQQYTLCSASLQDIISRFETRAGDSLNWEDFPSKVAVQMNDTHPTLCIPELMRILMDVKGLSWNQAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIERIDEELMNCIISKYGTADISLLKQKLQEMRILDNVDLPASIAKLFVKPKEKKESAAESDGKLLLESLDSIAEVEEKIEPGEEDSILSETMEKNAESEDVADSEKEDSEDELDPFVKYDPNLSRVVRMANLSVVGGHSVNGVAEIHSEIVKQDVFNSFYEMWPTKFQNKTNGVTPRRWIRFCNPELSTIISKWIGSDDWILNTDELAGLKKFADNEDLQSEWRTAKRNNKMKVVSLIRDKTGYVVSPDAMFDVQIKRIHEYKRQLLNILGIVYRYKKMKEMSAEERIKSFVPRVCIFGGKAFATYVQAKRIVKFITDVAATVNYDPDIGDLLKVVFVPDYNVSVAETLIPGSELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAHAPEIAGLRQERAEGKFVPDPRFEEVKEYVRRGIFGASNYDELMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDEAYRDQKLWTRMSILNTAGSPKFSSDRTIHEYAKDIWDISPVILP >cds.KYUSt_chr5.28558 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180912882:180914363:1 gene:KYUSg_chr5.28558 transcript:KYUSt_chr5.28558 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAELPHVAIFPLMARGHTIPLTQLAHVLLRRRLASVTFFTTPGNALFVRSLLPAGADVVELPFPASQGAENVEGVASASAFADFAESTLALQPRFEEALASMRPAASLLIADPFMYWTAASAAALGVPRVSFLGTSAFAHVMREAFVRDMPGCDGAGDGTYTVPEFPDVRFLLANVRPPPVSMLPLDAKMAMAVAGSRGVIMNTFDGLESRYIERWNRHIGPRAWPLGPLRLARECSADVDDHVAVNGTESSWLQWLDEKAAAGQSVLFVALGTLLAVPEAQLKEVARGLEEAQVNFLWALRSEDDSVGGLGIGFEERVRGRGMVTRGWVNQQAILQHECVAGFLSHCGWNSVLESVSAGVPLAAWPMEFDQPFNAKLVVDELRVGVGVKRSGETVREGLVKSEEISRAVREIMLGEARVPAAKNAAVLAGQARRAVSAGGSSWKMVEEMISELCVTAEPTACATKGLVGGDKSVAWRNTKKNLAAVGNLS >cds.KYUSt_chr4.2905 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16554185:16560310:-1 gene:KYUSg_chr4.2905 transcript:KYUSt_chr4.2905 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPWLWLLSSSLFSALPTLPPALVGGLWGLYRGLWLQIFKVELDVVVFVLGDDHGVALLAVFQGLVGGAVAAGAPPSPCWASSATSSTSPSGEAPLLWLDRAGDSGRGDHGASSHGEWGSGATAPPPPRGAAALSRRRRRTASWRPPPPAAALGLLAALLVGAAHGLLPPRRLLLLLPSRLEGSGVELPALRLLHLPPRRLLHFGGANGRMELRPFRLLLRRRDDRGGAEVRVLLRGLRLGLDEERRRLRQCRTAAGGLSDVEAAASIESEVKAANTSRKRRLLLPVLFAWASINSPRSRGLPLGPQRLLEEAPEEAGRRAREHYVGRRLRDRTQWTKPVARSVPLRNLHPRRKAIPKQLPYENLRLKRDWSFEKGSRPRQRGPLNKALYAVHTENEPEGDSRQEGESSPPGKASGRRFRSRAGLGGLSTGKPRRNRDIPAPLGDVGDKTGWVGANSEQVREVPADGTSPSHGSSQNMVPLPSPMEKDSPSICSRPSIALRMHATS >cds.KYUSt_chr2.55557 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346538988:346540005:1 gene:KYUSg_chr2.55557 transcript:KYUSt_chr2.55557 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGADLKLQGPWASPHVLRVRLALGLKGMSYQYVEEQDLKKNRSELLLQSTRPVLVHGGEPVRGTSLNMVQYVDEAFAGVGPSLLPDDPSERAAGRYLADFIDDTLIKAMHEASWGKTVGERAEGKKQVGGAVQTLEAALSECSNPFFGGNTAGYVDVVLGSLLAWVHATDAMQDVKTFDPATVPLLAEWTKHFGALTVVHEVMPEETELANFLPKAFPARGRSGQWFNHVVSALLFVFVFLPLVRKWWCTISPGWVEPAAEEIPRVISLALSMAFAGWFLERCRIVFATLMKILTS >cds.KYUSt_chr7.3531 pep primary_assembly:MPB_Lper_Kyuss_1697:7:21071830:21073107:-1 gene:KYUSg_chr7.3531 transcript:KYUSt_chr7.3531 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKKTRYAPLIAQLPDRATTSMAEGGATALSLPGDVISVILSWVPVKSVCRFRCVSKDWLALVSDPAFIANHKSRGAPVQLLVGSYCEVDCAPYHSSLQVMDMDGKLLWTIQGFAMMPNVRSSLDNLVCFSGPDGVARVADVTTGKVLVTSPWITGGVSSSNVQQHCAIAISLGRSAVSNSTKVVRLTEYSQDEPQQTCEILTLGVNDSLWRQAPLPPSAVNVFPYESSSATVNGVVHFLSSTYGIQKKPADTVLSFDLETEEWRSKTIQGPLTRDTELWSKTDRVRLTGLSDTLCMIQTEECHANMWLLVDADKSIWVKKYTLPMVKPFYMIEPLSVMPDGVKLLLYYRPANWDESVLRVYDPSVGICSDDIKLPKHTFDKVSICNLHLDYFVTPARSDVHWTVLLGKTAHRYQRRHALRLI >cds.KYUSt_chr1.9460 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57691127:57694906:-1 gene:KYUSg_chr1.9460 transcript:KYUSt_chr1.9460 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVGAVLVCVLFLLIGEVSAIAETEIGDVRVAREAPDVKLDDAGRQDVLKSGRVSVYTVAWSTLAMAAATGLGSLPFFFLELEAQWAGLCNGMAAGVMLAASFDLVQEGQMYGSGSWVVFGILSGGVFIWLCKKLLEQHGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGLSQGLLITIAIAVHNVPEGLAVSMLLSSRGVSPQKAMLWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEATPSQVASAGTLAVAFMEALGTVLQGFSEGQGSEDASGFLVSLVFGLGPLFGGIILVAFSLAFSMPHPLLTGVASGIAFRVASWRPLQLVMSSKMGLFTTLFFLLGGSVFYHVAAASILRVVNRNKSSVNVITSSSGFSLSVLTLQSLLACGSVFLHAYAEGLALGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCVYGATDSWRGALAAAALTGLAGPSAAISAILAKIDYNGLDYWMVMACGALIPSFGRVFRRSLRLDVRKSVCGLLVGFGFASVCLMSTRFICLHTPYCNSAPEAVT >cds.KYUSt_chr3.48555 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303659593:303664503:-1 gene:KYUSg_chr3.48555 transcript:KYUSt_chr3.48555 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRATPPRLIQCVSLHLHRTSGGATRWRACTTTVLAVGVLAHALAGAGEIMAWWLGAGKGADGFPWTSAMLQWQRTGFHFQPEKNFMSGPVYYRGWYHLFYQYNPEGTVGANITWGHAVSRDLVHWGHLPLAMLPDRWYDINGVWTGSATMLPNGTLTMLYTGSTNASTQVQCLAVPANPNDSLLRNWTKHPANPVLLPPPGIGDKDFRDPTTAWFHKSDSTWHIAIGSKDDHGHSGIAITYKTKDFVSYELIPGFLHRVESTGMWECVDFYPVGSRDQDAENSSEELLYVMKASMDDHRHDCYALGRYDAEANIWTPVDPEADVGIGLRYDWGRFFASKTFYDPAKRRRVLLGYVAEADSELADVAKGWACLQSIPRTVALDEKTRMNLLQWPVEEIETLRLNTIDLGNITIGTGSIFPLPLRQATQLDMEASFRLDASAIAAFNEVDVSYNCSTSGGAASRGTLGPFGLLVLTTADSRSEQMAVYFYVSKSIDGTLQTSFCHDESRSSRAWDVVKRVVGSTVPVLHGEALSVRVLVDHSIVESFAMGGRSTVTSRVYPTEAIYEAARAYVFNNATGSTVTVERLVVHDMDSAFIK >cds.KYUSt_chr2.48386 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302553155:302553676:-1 gene:KYUSg_chr2.48386 transcript:KYUSt_chr2.48386 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLGAKKSNKITEIVRLQQMLKKWRKLSVASKDTAAVSTPTTVTVTAGGNGTGESKAKKFLKRTLSFTENPTSGSPSGPPPKGHLAVSVGPAMRRFVIPTEYLKHPAFAALLQEAEEEFGFQQEGLLRIPCEVPAFEAILRAVEKKDAAFFYCSAEFASAADEVGRGTPLCR >cds.KYUSt_chr2.34175 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211182149:211183051:1 gene:KYUSg_chr2.34175 transcript:KYUSt_chr2.34175 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEGYQADSAAAIMDSSSAWAVAPAVSTPSAPTMALGAILTMSAIFLLFIIVALVLIFLQYYFNAGVRIAPRGGVVAAAGQRDKGVDPELLRSLPVTVYRAGSPKGAATDDVGVECAVCLSELEDGDEARFLPRCGHGFHAQCVDMWLASHSTCPLCRVTVAKPDASLQALTSTGLLPVPSEPASYAANLPASVLLGVSDQATLTAVTVTTDGGRPRPSALASAAVLVIDIPESMTVATPHDAVKTPGSARMRSLKKLWSLGRQGPSGSTPSCSWGSGSGSAGAEQVINIASVTPRAQL >cds.KYUSt_chr4.49662 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307463460:307467727:1 gene:KYUSg_chr4.49662 transcript:KYUSt_chr4.49662 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVPVLAEIVGGVMSSRVVLVKLDYFELDLADAGLPAGRLVLPYLADGVGFFTAEAGPRLRLADGELLRHHRLLLVAAVDVVPGGEEPPKPYKHAAATATATASPSEPSISGYPLPPHPSPAMAEPEAPAAAATAMETEATEANPSLKREREEGDDSTAAAAATEAAEEAPAKKAKVEEDAKEAEDAAVKGEEGKPVKLGPKEFASAVDMFDYFFALLHSWSTQLDFNKYEQMVLEDLVKKGHAEPEKKIGGGIEAFEIRNHPVWQSRCFFIRRVDGSADDFSFRKCVDNILPLPEDLKIGKKSNGNKGGGGGHYRGGGGRGGGGRGGGGGRGGGGYRGGRGRGRRGN >cds.KYUSt_chr7.2457 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14312493:14313587:-1 gene:KYUSg_chr7.2457 transcript:KYUSt_chr7.2457 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGFFVWEEVVVKKQATFATTFIPTSRSVFGSKRRSFKSFFYGLRHLVPLASRHGLLLVRLDAPAPDSRDDTTIHLAVCNLLARTYHKLPPLQCNWKFDKSGYAILTGVDCSSDVKQQRPAPQGYSDFFKVLIVGNDKYQQPHILHSYTSGERRWSVPSKFAFAAMGISGCMPLRNARAIVWRGTAHWLVRYFPSHDQMQKPGPFHFSKSTSHNSSWHTIDVDAETCRVSLTKIETLSGSDIIHSYDEAQLTIAADGKLSLFHMRKGGYQLEVWIRQEDGGPSRWLRASDIELNPPTRMSLLILGEKGGMLIVKNNHGDVYIVDLETGDMKKVLSFNTINRRQIVPFEMDWPTFFVSRLGNR >cds.KYUSt_chr3.46417 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292054695:292061833:1 gene:KYUSg_chr3.46417 transcript:KYUSt_chr3.46417 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNSAKVRPRVRPVWRGIAKDCALLLFSTSTDVKKYPSGRAVDPIKHDWDSTEWHCRGVPSSADDVVGEAVAPERDEEEFPPLGVVGFLQIKGDRDMAFDRGDAGGGSGVRVWGGCGCSIVDAFAHLGGTAVEFFLASLEEGLTFLELLFELLEFVGRHGGGGGWGEGEDLGGKIWGLGFFSLQPCLRWSEHASEEGATVSVNKVVVAELLLMLRSCEAEPPLAGHGGEGEGRGFLRHTVELLLAGRGGEEEKLCWVLSPAEQRSRFFSLALDLLSVWVVLPPPCGRGDRQEEGRPSACRSGVERHMGERAVLTMLEAFFRRQISSAGIVCRRYSWPRGPLRTSEVPAPGNIYFLQAEELKGKIFDPGVYLVCIAILAVPLLCYVVTYTRRDARRWPPGPRPRPIIGNLLDLRGGNLHHNLARLAGAHGPVMTLKLGVVRTVIVSSRDAAWEAFSVHDRRIAPRTVPDTRRAVAHADRSMVWLPSSDPLWKTLRSIAARHIFSPRSLEVAQGVRERAVHHMLGTFRRRAGQEVDIGHVLYHGVFDLLTTTLFSVDGQDKLRDLLEEIVALLSEPNMSDFYPLLRVLDLQGLRRWTATRMNRVLDVLEKIIDTRLGEGKHHPDVLGALLALMTTGKLSRRNVKTMLFDILAAGTETTKITVEWAMAELLRNPGAMEAVRAEMKEALAQQRTITEADVAKLPYLQAAVKESMRLHPVAPLLLPHLVVEDGVVIGGYAVPKGATVIFNSWSIMRDPAVWERPEEFVPERFLGKMELSMSGKDVKFIPLGTGRRLCPALPMVELLVPFMLASMLHALEWRLPQGMSPDQMDVTEKYTSNDILVMDVPMKVVPMVAT >cds.KYUSt_chr3.18315 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112552056:112560218:1 gene:KYUSg_chr3.18315 transcript:KYUSt_chr3.18315 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRTRAAHNFFKGQILDYIEAKMAMAQAAAATPTPEQEPADSICYYTCVGLCLDVVYGILMAKTKSTQVKRITYEWTTHGLVYFDQYYKPKYFVDPNLRSCKMETLRDMALPPGFGFHPKDTELVSHYLKKKVLGQKIEYDIIPEVDIYKHEPWDLPGKCNVPTQDNKWHFFAARDRKYPNGARSNRATVAGYWKSTGKDRAIKVDKRTIDENECQACPDMKDTFVLCKVTQRTDWTSDNGNVVGNNNHHPQQSNVAATSAISVEQDAAASSVVGAERPSDVATLDTAAQITTPDGDDGIKEWLEELIDTSFDPPLTTVVDSVSAGPSLDEQIAESSNIGAMALKMEPDYASPNQIGVDDTDFLLPDDIHAMLYPGTDDFNSWHAEQAAVFAEQTYFTAADPFTLPNNFADGFQMEELQIPLENNEPSDNGITLRMRDGKAPADSSPQRRSKAQRALNRMVMSSSESINQTVKFVDNNGHLDLMTNVKHQRKHVRGITSVEQSDAGKSSSNDNNQGFLRGVGRAFRGCSAVGLNILIAVCMVGVAAAILHHGRHRGAGIIL >cds.KYUSt_chr1.766 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4094861:4096447:1 gene:KYUSg_chr1.766 transcript:KYUSt_chr1.766 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGTKRPYVVAVAIQVIYTGLFVVSKAAFDSGINTYVFIFYRLTAATALLLPLALISSCRRRSTTMATPEPTMSCRLVFKLFLYALLGNTFTLNVYNGSLKQTSATVGSAATNSMPVATFLLALLLRMEAVKVRSRSGLGKLVGVALCVAGVLVIAFYAGPSIRPLAHHPIFAHKPHSVSNSAWIRGTFLLLLACATWSLWIVLQVPLLKEYPNKLMATAMQCLFGALQSFVVAVVVERDFAKWKLGLDIGLLAILYSAFLGTGALMYLQAWCAEMKGPLFVAMWSPLALVFTIVCSSFFLGEAVHLGRYVIF >cds.KYUSt_chr3.41223 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260164422:260165636:1 gene:KYUSg_chr3.41223 transcript:KYUSt_chr3.41223 gene_biotype:protein_coding transcript_biotype:protein_coding MQASEILKIHTSGRNEDDFLAWHPEKFGAFTVRSAYRLALDEQLRSDGRQATSANPLGTSTDWKLIWQTPVPPKVRIFAWKLARNALATQVNMAHRKMETFATCTICGNADETTYHAMIQCPHARGLWSVMREVWNLPTEEMLFEHNPDWFMHALKRLDVDQRVFFLMTMWRVWHIHNELTHQKQPAPIEASKRFLMSYVQSLLLIEQNVVVDLEKGKQSVDQLKGFSKHGKPAEKRPHVKDKWRPPDEGVAKLNVDGAFSMDGRAGTGMIMRRSDGSVVFAACRQLNGYADALEAEIAAMEEGLALGLAWEPGPFILESDSADALMLAKEGTPNLSRHAMRIKLIRDRIREREVGLQKVSRDANGASHGLALLGRVHERSGVWLQNYQPEIAEAIKADCISPV >cds.KYUSt_chr1.32177 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195331121:195337425:-1 gene:KYUSg_chr1.32177 transcript:KYUSt_chr1.32177 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSSEDEDDDDVEMAMAIILNDDDFFKGIVAGLRFEWFRGISASAIMVEDGIGHWVSKDRFAAKRLHALAADLDGPKRRIIEEHSAFRALLNVSPFNIPNALIDFVASHTSPGLREFKFHKKRIVFTKDMVRKVFGIRCGDRLIVQKKGEHPELRQMYIEEGQSRPFIQHAVKLLKACDVTEDLTIIRTWDLLCLATVIDPGSANLLSLDYLDCMLDPRRTHEFAWDEHLLELAMQEVTKINSKTAEVVVPGNAKKHEFWITGPFALLVVVYMDHLDFPRNQHVINYSIPRFLELSNTPYGVAAFSNRHHVEEPVEQPVEDSEVNPSASLNEWLVFPTSQDLEVPAAYKHLYEKHMSIYGRDVDTTLKNFGVGLKQMHSQRMAALLIDIDAAMKEDDGPSVHFPTGGGVEDENMDGADGHDDEGTANHADEEIPAADSEETDNDNFVVEARAADMDPRTLVVDMPQSTVLLDSSTGGDVAGEQVAVDSLVTSPMNSPFARIPEGISVEAWNRAPDPPSIDLFSQDPDEVVVPEQQITIPAEEITLPADVASVVKLDDTSSEQPKAMEATTPPIPSRDAEDHLGENVSPQHPTNTDVVTKDASTGAKTVEKKTRYKRAAKGELSPPKLKKIKVSQDVVRKYDCGFYCILYMEHFNGKVMPNFENDVVPDFRRLIAASLIDNRDNQSDDVDLIMNEDLQQ >cds.KYUSt_chr5.14221 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92215571:92217199:1 gene:KYUSg_chr5.14221 transcript:KYUSt_chr5.14221 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLITGRGGGEKVGMLAFEVASLMSRAASLWRALGDDQVARLRGDGVRLEGARRLVADDDAALLALALAEMAGACGDLSRAVARLSARCADPLLRRFPALFAGLLASRADPHGLRYAAAKKMDRKARKMQRLVAATGLLCQELDVLAELEQAARLRRAEFAPGEAARRVARQRHEVDRLRAASLCNRSLDYAVRLLGRSLFTIVARIIHVFGLHPKTLTADDDYPVASLGARHSFSWSSSFAGTANSLVYPSDFASVTTLHRSTSAAKSGKGSLLSRSQSLKWQVPGKRLISCVVGGSKSPTTDGWVHFDDQDLPLSFSDVSLSSNADDFSTVSCHDFPESDDVHHHHNHPSAKLTTSVFESSSHDVLASAPETTLGAAALASHYANLVVFAEKLAISPRHICADERDALYGMLTDRIRASLRARLWRPPSAAGKKKSAPCDRVIAAEWADTVQGILGWLAPVAHNTVRWRSERSFEQRNVGSGTSVLLMQTLHFADRDKTEAAIVDLLVGLNYLWRYGTELSAKAKLKSAGNDVYHDCRG >cds.KYUSt_chr3.14520 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88347120:88348607:-1 gene:KYUSg_chr3.14520 transcript:KYUSt_chr3.14520 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLKSPPSTARARPTSPPSTRTPPLGLGHHRLPPPPPPLPLPPPPPPPLGSSGLPLPSSSPPLPPPYDSLGLPPPPPPPPLPPPPPLPRDCSHLSRISSSPPLPPPSRALPMTTPRRGHPPWSRAAWEASLPTIVLGLACSSSTRLSREGHSVEQLAPARGSPPTTPFCADASGEDLAGVPPELLPPKKRPVRYHPYHASSTIQEIATHGRGGGFGNRPVPPTVERDGDGEGFVNRPLPLNVEGDGDGDGEGFRNRAVPLTAEGDGDGDGEGFRNRPVPLTAEGDGDSRQVDRDDEGLRAVLRRLRISQPALVLTKRLTLSDRSREHARLILPDGLVRSSPLLGTMTAGERHLVLGGGGLPVQAFDRLGRVYHMMLKRDRAANTYRLAGEWTLFLSRHRGMRDGDDVEVFAFRPPDWQARLVRCGEAGLGLALLHCRSAGAATATTANGMDWGGPEGYEVGGIPLLDANPARPGRLPRRRRRRHGNHNATARFA >cds.KYUSt_contig_3050.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000588.1:7937:8156:-1 gene:KYUSg_contig_3050.1 transcript:KYUSt_contig_3050.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVLLTNPIYSAFSLGLVLVCISLFYFLLNSYFVAVAQLLIYVGAINVLIIFAVMFVNGSEWSKDKNYWTIGD >cds.KYUSt_chr3.24022 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149071492:149076541:-1 gene:KYUSg_chr3.24022 transcript:KYUSt_chr3.24022 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAFDAEAGGPAIVPAAGNGTHKPAPGADADAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLTALSLVAAVTFYEYSLMSRVLDHCEARGRRHIRFRELAADVLGSGWMFYFVVIVQTTINTGVSIGSILLAADCIEIMYSSLAPNGPLKLYHFIIIVAVVLAFLSQLPSFHSLRHINFASLLLCLGYTILVSAACIRAGLSKDAPAKDYSISSSKSEQTFNAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYSVIGFTFYVASISGYWAFGSQVQSNVLKSLMPDSGPSLAPTWLLGTAVLFVLLQLLAIGLVYSQVAYEIMEKNSADVTRGKFSRRNLVPRLLLRTLYLAFCAFMAAMLPFFGDIVGVVGAVGFIPLDFVLPVIMYNIALAPPRRSPMYIANTAIMVLFVGVGAIGAFASIRKLVLDAGQFKLFSNNVVD >cds.KYUSt_chr7.32609 pep primary_assembly:MPB_Lper_Kyuss_1697:7:203174226:203176927:1 gene:KYUSg_chr7.32609 transcript:KYUSt_chr7.32609 gene_biotype:protein_coding transcript_biotype:protein_coding MNPISISLRILLARLSPAAGRLASRPPLPRAPPPAPPLRLCRLLLSTSSGSSSIPSFAPKPYPNLPESRSSRLPCSGEPPLASSPASVVVRVLPNPRPHLSPNPLLHLSPNPPLCYDAGTVALHGGKGDCEESCAEEGRGRTCQDLQVNIGKKISSIGCWIGADLSQCVDGHNGRVFFSSQGMREDEEAMFDPVRDDFGCDLLLAVGYAWTVDVWGQVVLIFKFLSLPLFHQQQVSPWVVVEMRKASVPELWTMARALG >cds.KYUSt_chr7.28275 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176569557:176573499:1 gene:KYUSg_chr7.28275 transcript:KYUSt_chr7.28275 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIIPDKATNTLTLIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDAGEPLGRGTKITLYLKDDQLDYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEDEKKDTEEGKVEEIDEEKEEKEKKKKKIKEVSHEWSLINKQKPIWMRKPEEITKEEYAAFYKGLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGINEDSANRSKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLERLKKKGLEVLFMVDAIDEYAIGQLKEFEGKKLVSATKEGLKIDDSEEEKKRKEELKEKFEGLCKVIKEVLGDRVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVMLLFETSLLTSGFSLEDPNTFGTRIHRMLKLGLSIDEDEPAEADDTDMPPLDDDAGESKMEEVD >cds.KYUSt_chr1.22609 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133735234:133738650:-1 gene:KYUSg_chr1.22609 transcript:KYUSt_chr1.22609 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSHVLVALLLALFAAEAAVVPGADLDGLLSHYDRVFSFGDSLTDTGNAAILPATAGGPFSRAPYGETYFHHPSGRASDGRLIIDFIVESLGLPQPTPYLAGETAEDFRHGANFAVGGATALDPAFLKSKGVMTFVPVSLSNEMSWFNNVFDLLASSAYGQSNIMASSVFYFGEIGVNDYIFALFSNRTAELAVSLVPDIVAVTRSALTAVIAAGASSMVVTGMLPLGCEPELLALFPGDADDYDRESGCITLFNELAELHNRALNRMLRKVRRAHPGTTILYADIYRPIANLVASPGKYGFGNTPLAAFCGGGAGPYHFDMAAFCGTPDSTESSDPSEFLSWDGIHFTEAANRFIAHAMLRGLYNASRLTTALL >cds.KYUSt_chr2.39004 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241831303:241831935:-1 gene:KYUSg_chr2.39004 transcript:KYUSt_chr2.39004 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFSGRSKLRQKNGDGKNQADASTAKAAKHKDGRCRALCCGASRLSVSSSASCSTLDAAPETRGHMSDLAHGMVQARLQSIIDAAATDGRRSSARGGSTELADQRHRWPCSSCSCASVGGGGYEKKRAPRARERKPCVVLVAVDRRTYEPREEFRRSIAEVIAAKRMAEPAELRALLNCYVSVNAREHRAAILDAFHEVCSGLFSSCKG >cds.KYUSt_chr7.25074 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156467106:156467657:1 gene:KYUSg_chr7.25074 transcript:KYUSt_chr7.25074 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKAVATEHLRLLYLLLLAGSFFRVLAATTANACGTDLYVRVVLDHHRLILAVLAAAVLLQLVRVAVNSAEAASVAAARAAAGAKAFDAGHEAAAAATRGLGCIGAKWRRVSLRALLLVAELLCVAASILAVVTIEDVYLYMIGCDANSVGHGMGSPVGVALMVVMMLVHGRALRVAVCEN >cds.KYUSt_scaffold_1854.394 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2114122:2116623:1 gene:KYUSg_scaffold_1854.394 transcript:KYUSt_scaffold_1854.394 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDERDRYSGSTRLYVGRLSSRTRTRDLEDLFARYGRVRHVDMKHEFAFVEFSDARDADDARYNLDGRDFDGSRMIVEFAKGVPRGQGGSGSRDRGGDREYMGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGDGGHIERDCQNSPKNLKRGKSYSRSPSPRRGRVRDRSYSRSRSRSYSHAYSATLHNRTSVLSCRSVSPRRDERRSRSPRDSRSPSPRRSPRDSRSPAKSPRRDSRSPMKSRSPSPAKGRARSPTPNGSRSPAPRDNSRSPARGDRDMSPAANGRSPSPRGGEDNNGGNDRAASPGGSASPGGG >cds.KYUSt_chr4.11516 pep primary_assembly:MPB_Lper_Kyuss_1697:4:70059802:70061471:1 gene:KYUSg_chr4.11516 transcript:KYUSt_chr4.11516 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVDFASSDGEAWRAALAAYDRRMASLDKPDLLEADSFYRHDLPLLLRRRDPDPYLAKSELVQLLQWKLSRGKWRPRLMDFVKSLGEAVVESASRKAFAALPDLSKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAEKLQAKSKELSVGGESFTPSDVERALWSSAIASKPVKKPASGKRKR >cds.KYUSt_chr7.31380 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195378895:195379674:-1 gene:KYUSg_chr7.31380 transcript:KYUSt_chr7.31380 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVLLVPRGPLATRFPALYSHCTRPQATVAMVASLGLDLRPRLTAAAEAELPAVLQLARGALLQDGPDLRHMASPASPRFSTRQAYRLLSPVHPPDPSSGISWSLRLPSKVKMFAYLADIDRLSSRANLFAKSCAPSAVCAACPAVETARHLFFDCPALAGIWRRLDIPIPAGRFSIWDLPTPVPLELHTWRAGVAVILWSIWKARNDLVFNSRSSSPTMVLRRACDDLSLWRWRYRAADRPSIDLLRSHILSRLWDS >cds.KYUSt_chr4.7717 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46057619:46057876:1 gene:KYUSg_chr4.7717 transcript:KYUSt_chr4.7717 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCSPRPSRPLARRTAPVRSPESVLRTQGIGVAEEKKKNRKQVEETQKKVTKDTGLNWAREMGPYPAHAVWALGWAKRAVKLTA >cds.KYUSt_chr5.11862 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77000668:77004718:-1 gene:KYUSg_chr5.11862 transcript:KYUSt_chr5.11862 gene_biotype:protein_coding transcript_biotype:protein_coding MTVADTGEVADLERAHGALAHGEGLRQHPPALAALQAVNLKSSDIVEHPDRYIKHPCSVSLHLRPSSAMAPDHDDTAAMLSWPFRSDNAYPAGLTVRLEYTWLGGTPALDGKEPVHGIRS >cds.KYUSt_chr6.15743 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99022864:99026769:-1 gene:KYUSg_chr6.15743 transcript:KYUSt_chr6.15743 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAASSSSFLLLAALISAAFLFDVGDAGAAHQVVDPQWHQATATWYGSAEGDGSDGGACGYGSLVDVAPMKARVGAVSPVLFKAGEGCGACYKVRCLDRGVCSRRAVTVIITDECPGGYCAQGRTHFDLSGAAFGRLAVAGHGGQLRDRGEISVVFRRTPCKYRGKNIAFRVVEGSTSFWLSLLVEFEDGDGDIGSMQLKQANSAQWQDMKHIWGATWSLTPGPLVGPFSVRLTTLATKKTLSAKDVIPRNWTPKATYTSRLNFA >cds.KYUSt_chr1.5538 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34113582:34114526:1 gene:KYUSg_chr1.5538 transcript:KYUSt_chr1.5538 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDIIQRLLEAEHSSPEESPPLSNPEIKLLCAAATKVLLSQPTLLKVDAPINISGDIHGQYSDLLRIFRVTGFPSDANRYLFLGDYVDRGSRSIETICLLLAYKVKYPDAFFLIRGNHECSSVNSSYGFLDECERRGLEKDKLWKTINGCFDCLPLAALVGNKIGKKIFCVHGGLSPELESMDQIRRVKRPLPVPAEGLVCDLLWSDPDAADEWGWGESARGRSVTFGSDLVAEFVEKNGLAMVCRAHEVKQGGYEWFADRKLVTVFSAPNYAGQCDNAGAVMKVDKNLTCSFHILQPTPLQVLPDNLHDSC >cds.KYUSt_chr2.38933 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241384043:241385360:1 gene:KYUSg_chr2.38933 transcript:KYUSt_chr2.38933 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTAVPEVVLRSGNARPMPAIGMGTAKFPLVPEIAMDAVLAAVELGYRHFDTASMYETELPLGDALAEAVRRGLVASREEVFVTTKLWCTQCHPHLVLPSLRENLQNLQMEYVDLYLIHWPVCLKPGPRVFPAKREDAVPFDFEGVWREMEECHRLGLAKAIGVSNFTTWHLDKILAAATTPPAVNQVELNPVWQQRKLRAYCTEKGIHVAAYSPLGGQNWSGEANAVLESEVLAEIAKARGKSVAQVALRWIYEQGLTPIVKSFSKERLKQNLEIFDWELTHDDLIKISQIPQKKTVTAADVIFSPEGEFTSVKLSDIEVVEE >cds.KYUSt_chr6.10643 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65954068:65955986:-1 gene:KYUSg_chr6.10643 transcript:KYUSt_chr6.10643 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVEAAIPCSKVVDIAPAGPEEVKERAVVLEHGNGDCAAAATNGKKCAEAASHCRKAEEDDDEEDGEKVPEHIDLGPILSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLSIQSPGRPDIVLPLPVEPNSKGVWFTLKEGSMYKLKFTFSVSNNIVSGLRYTNRVWKTGIKVDSTKEMLGTFSPQPEEYTYVTPEETTPSGMFARGSYSARTKFLDDDRKCYLQIDYTFDIRRDWPSSS >cds.KYUSt_chr3.31933 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200623962:200629007:1 gene:KYUSg_chr3.31933 transcript:KYUSt_chr3.31933 gene_biotype:protein_coding transcript_biotype:protein_coding MCGEAAAADAEAAWHPRSPAPHDLSDESDYAAATSVSSSIHASFRLRWNAVEVEECRWRDQRLPWWCLPEITARCSAGRGDLAGEVGDELLVKDHVMIL >cds.KYUSt_chr6.4709 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27643180:27643913:-1 gene:KYUSg_chr6.4709 transcript:KYUSt_chr6.4709 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRWASVPQPCLATTKPGATNTTSCPVVTGANVEKVPGLNTLRVSLSRIDPSQPQPAAHQPVTELVFVLYTELDVGFITTANKLQRPRLRLPARPRAVA >cds.KYUSt_chr7.15597 pep primary_assembly:MPB_Lper_Kyuss_1697:7:96917365:96922460:1 gene:KYUSg_chr7.15597 transcript:KYUSt_chr7.15597 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPMERHHSIDAQLRLLAPGKVSEDDKLIEYDALLVDRFLDILQDLHGPHLREFVQDCYELSAEYEGDRDAARLEELGGRLTGLAPADAIVVASSFSHMLNLANLAEEVQIANRRRNKLKRGDFADEASATTESDIEETLKRLVSELGKSRDEVFDALKNQTVDLVFTAHPTQSIRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMTAANLHFSQIEELMFELSMWRCNDELRVRAEELHRTSRKAEKHYIEFWKQVPPTEPYRVILGYVRDKLYFTRERARHLLTTGSSDIPTESTFINVEEFLEPLELCYRSLCDSGDKTVADGSLLDFLRQVSTFGLSLVKLDIRQESERHADALDAITTYLGIGSYRSWPEEKRQEWLLSELRGKRPLFGDDLPMNEEVADVIGTFRVLAELPPDCFGAYVISMATAPSDVLAVELLQRECHVEHPLRVVPLFEKLADLESAPAAVARLFSIDWYMDRIGGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEQLVEVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRAMVFKEPRFVEYFRSATPETEYGRMNIGSRPSKRKPGGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKHAMQKDIRNIQALREMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDELLVADDLKPLGEQLRSNYEETRKLLVQVAGHKDVLEDDPYLKQRLRLRDPYITILNVCQAFTLKRIRDPSFQVTAQRPLSKEFVDENQQASLVKLNAASEYAPGLEDTLILTMKGIAAGMQNTG >cds.KYUSt_chr3.44242 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279277920:279281734:1 gene:KYUSg_chr3.44242 transcript:KYUSt_chr3.44242 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYSKKTQASKLGDAQGIPFFIDNIIRFHVGAGISGVAPHYIPPPSTFNVLLGSYWCQSDNKQIDLDHSKLEVVVPGQIVEFDGRKSDAPRSSSWGIASDCLHMSMLVFGLASDKDHAGPTTPFLLNCWHQEIACEKLLESV >cds.KYUSt_chr1.31482 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190879201:190879914:-1 gene:KYUSg_chr1.31482 transcript:KYUSt_chr1.31482 gene_biotype:protein_coding transcript_biotype:protein_coding MADCRSLIEFLRAFERRRRTSTPSTDPSPCSQRPRPRPRRASSCSSPSPTARRRPFTSLCDRSPPTPTAALDVLALLAVLSALAFLAAPYARLLAREAADLARHYPDEPYSYVAFAAGAGAAVAAVAGLLAWEAAGHHARKCGRPRCRGLRKAVEFDIQLETEECVRGSPLAAARASALLAAARPVELGDEHRELEAELRKMAPPNGRSVLTFRAPCGCAKGRMEVWGAKKVRRIKK >cds.KYUSt_chr7.39144 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243589241:243592282:1 gene:KYUSg_chr7.39144 transcript:KYUSt_chr7.39144 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSVAAAHATIAARAGAAPTAAAAPSERLGFRLSSLAGRGLRSPLRGAPSSRARRTQRVRASAAVEAVAAAATGELLDKSVNAIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDAVKEADLKQFRQWGSSTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYCIVGDGCNMEGILNEACSLAGHWGLGKLITFYDDNHISIDGDTEIAFTEDVSARFEALGWHTIWVKNGNDGYDEIRKAIQEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGAALGTSEVEATRANLGWPYEPFFVPEDVKSHWSRHVPQGAALEADWDAKFAQYEQKYPEDAATLKSIITGELPAGWADALPQYTPESAADATRNLSQQCLNALAPVVPGLIGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHTPGLIPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMFRPADGKETAGAYKVAVLNRKRPSILALSRQKLPHLPGTSIEGVAKGGYTISDNSTGNKPDFILLSTGSELEIAVKAGEELTKEGKTVRVVSFVSWELFDEQSDEYKESVLPAAVTARISIEAGSTLGWQKYVGSAGKTIGIDKFGASAPAGIIYKEYGITAENVVAAAKSL >cds.KYUSt_chr2.34087 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210698994:210703046:1 gene:KYUSg_chr2.34087 transcript:KYUSt_chr2.34087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase, Disease resistance respons [Source: Projected from Oryza sativa (Os01g0100900)] MEAATQLALRLRAAANDRLAGHEPLLLAVAPILTLLAARALHAAAGHVADRGLRTVLITLVMAALKMVPGVSAYIAAQKSKVVEQLQSGSGSAKKNLRTELPDVGVPDKVIDDLQVLKDKDVKWQGKCSGTVYIAGSESDGHFALINRAYSMFSHTNPLHQDVFKSVAQLEAEVVAMTAALLGSKEKASGGQICGNMTSGGTESILLAVKTSRDYMQSKKGITKPEMIIAESAHSAYDKAAQYFNIKVRRVPVNKEFLADVKGFRRCINGNTIMMVGSAPGFPHGLIDPIEELGELASQYGICLHVDLCLGGFVLPFARKLGYPIPPFDFSVKGVTSISTDVHKYGLAPKGTSTVLYRNHEIRKHQFVAVTEWTGGLYVSPTMAGSRPGGLIAGAWASMMSVGLNGYLESTGQIMEVSKKIQKGIDDIPGLFVIGKPDMTVVAFGSDVVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHTTIYVEFLQDLKNSVDTVKANPGPIKGGMAPIYGAAGKMPDRGTVKELLVEFMDSSC >cds.KYUSt_chr3.38218 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240632958:240634186:-1 gene:KYUSg_chr3.38218 transcript:KYUSt_chr3.38218 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHCANNWDLHAVVRFGCRRAMSPPTPRRQDPFATFLPPPPVKEQPVDAGWCFPDLGAGFGQDADELLKAFCAPPSPPQLPPPLLPQQLPVSPPPPTPQKQQQQQAMMAPAEVPLQTAASPPAPRPQPSGRQGSGGVPRSKRRKNQQKKVVCHVAADAVSSDVWAWRKYGQKPIKGSPYPRGYYRCSTAKGCPARKQLERSRADPNTFILTFTGDHNHAAPTHRNSLAGTSRHKFPATSSSSSARPRPPPPSVVAAGEAHPSTSAGLSPTTPLRASSSSEDEDELLVEDMEMAGEDDLLFLSGESDNAATPLSSLFDVDEPFLGSPWTEMATGAAGAAGS >cds.KYUSt_chr2.36437 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224886265:224887369:-1 gene:KYUSg_chr2.36437 transcript:KYUSt_chr2.36437 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHVSSFEKGCSGDRQRHEAGLGRELLRGPGQRSASFHGRGPEQRHQLPKQRPKTQPDLLAGMRGRSFRAADTVPEPAAAAAARKTPSKVLVSVAVLRSMWPLHVMAPAEWSVADLVAAAVRLYVKEGRRPLLPSADPAVFGLHYSQFCLESLDPREKVMELGSRSFFLCPRSSSESAIAASSSSCSSAGASRAGGDIQTPTAAGEPPAWLRYMPFWPTM >cds.KYUSt_chr7.19194 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118851937:118852698:1 gene:KYUSg_chr7.19194 transcript:KYUSt_chr7.19194 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLGGPAAPFLGEEDDFDAALVPPPPGIFLDNGMDAGVAFCPEHGYGPCPARRGIAFCPMQGYGASPPPLATVFDPPTPTPSAELEEYEFLPGLGPDAYMDLPTPTPEHFMPPGYNPVPELDSPPMDEETSAPVAAAPLAFDLNVEPEDEETRAPVVAAPFALDLKAEAEPKDEETGAQAPQPAGPATPPPEARRLLRRFAAAMASRQPSFRAGSWNPASLGFSNLAMPNTSLPDGSTDEERRGRSCSRRW >cds.KYUSt_chr7.7961 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48124349:48125116:-1 gene:KYUSg_chr7.7961 transcript:KYUSt_chr7.7961 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVVLLLASLPMVVVSSPSVHPSDPADTSDAAPEPERIGHLHLEGRGSDKITVALHGDNLHVPRFATHDGAWHAWAADEHHGLTPMSPEDDKDDDGYYASVVSGQKRLKDLRRRVGKESLLDDLFQLARDTARTMDDRSRVISLIMGGRHGEPADIWKTMSCAVLISEQNGGAWRSKEAMQLRSMGFFTKEDVLKQLGVIAWPSDCSEPITLKRDSL >cds.KYUSt_chr2.39061 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242268803:242271132:-1 gene:KYUSg_chr2.39061 transcript:KYUSt_chr2.39061 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDLLRRELTAERADATGAARPVLAAGQAGRARKGEDYALLKHGLERHPGASFSAFAMFDGHNGGAAAVYAKENLLRNVLACVPQDLTRDEWLAALPRALVAGFVKTDKDFQTRAHSSGTTVTLVIIDGSVVTAASVGDSRCVLEADGSIYYLSADHRFDACGEEVGRVTECGGEVGRLNVIGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIAVPFVKQIKLSSAGGRLIISSDGVWDALTAEMAFNCARGLPPEAAADQIVKVQSPNTTFLVIQPES >cds.KYUSt_chr5.19231 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124653820:124654452:-1 gene:KYUSg_chr5.19231 transcript:KYUSt_chr5.19231 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSVPRYPEDEDKGGGCCCCLLGCCCFFFLILLSLFAGVAYLYYAYNPKPPSYSMSNMAISQFEFSSSDLTLYTKLVASVRAENPNEKISIKFDDGSRTVVSYRGTPLCSGKIPTLVQGPKNITVMEIAMEGRHGFGSGLQEALEESEKEGNVPLDIYVSVPVQLQFGVFDLPRIKVNVHAALVVDSLSPKKKPTIKSATYQGNVELV >cds.KYUSt_chr2.44673 pep primary_assembly:MPB_Lper_Kyuss_1697:2:278082131:278082682:1 gene:KYUSg_chr2.44673 transcript:KYUSt_chr2.44673 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERWFGPEEAGHGWLPLHVEGPWMDVADHEVLSPVFSWWGRRQAVQETGLAKRSRKRVSLRCGRGGGAAPSSASRNAAREVARAAAVEVARAAPVEVAGVSSSPPSPSRAELQQPLLLAPAVRYSCWPAAGEGGRAARGLGVGDGEWEKEKGGQPGRRSSAARRAMRRTGGDWGGAGCAIG >cds.KYUSt_chr1.39868 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244029122:244029796:1 gene:KYUSg_chr1.39868 transcript:KYUSt_chr1.39868 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTASPVVELPVGGAVLSFEQDNDSFEVGTSVWNSSLVLVKFAERCLRDPALPFADALRFPGTRAIELGSGCGPAGMGLSRLGLADLVLTDIAAVLPALRRNLRRNRCHLARAPRLAQLHWNCPAHLAQLASPRRFDLVVAADVVYVQESVPHLVAAMDALADAERGVVLLGYQIRSPEAHQAFWEAVPAAFPVIEKVPREHLDPDYAFEESDVFILRRRPRQ >cds.KYUSt_chr7.32694 pep primary_assembly:MPB_Lper_Kyuss_1697:7:203759266:203760331:-1 gene:KYUSg_chr7.32694 transcript:KYUSt_chr7.32694 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKDGVATADDEEVTDIIVQRVPYWDPPAVQPLDTNELTEWSLYRAMIGEFTASLILVYVSISTVIGYKFQSSGADERCTGVGYLGVAWSFGATVSVLVYSTSGVSGGHINPAVSFALFLAGKVSLARAVLYVVAQCLGAICGVGIVKGIMKHPFDSLGGGANSVSEGYSLTAALGAETFGTFVLVYTVFSATDPKRTARDAFVPLVAALPIGLAVFVVHLATIPITGTGINPARSLGAAVLYNQHRTWKQHWIFWVGPFTGAALAVFYHKIVLRDIAVVKEALLALGSFSRRGSTA >cds.KYUSt_chr3.39186 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246897228:246898265:-1 gene:KYUSg_chr3.39186 transcript:KYUSt_chr3.39186 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRRHRDGSSSSTQKEASNRAARKFTLQQLSAATDGFSDSNLIGEGGFGRVYKGHLHLDDDSGTPMSVAVKQLCRGRGGMQGSREFAIECGMLMALRHANIVTLIGYCAEGHERLLVYPFLPRRSLDSHLFLTVSLPGDDGRRRQPLDWNTRVKIARGTARALRYLHESVTPPVIYRDLKAANILLADDFTPRLSDLGLAKLGPAGDDTHVSTRVMGTHGYCAPDYAASGKLTVKSDVYSFGVVLLEIITGRRAFDAAREEEQRLLLVWAKPCLADVRRGYTKLADPTLRGRYPRKALYQLAVVASLCLHDKPNLRPTMSEVTRAIDHVVSQPWHEPAVVVDQE >cds.KYUSt_contig_1658.166 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1023459:1024743:1 gene:KYUSg_contig_1658.166 transcript:KYUSt_contig_1658.166 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCFQTIQLSARGTRTPKTTDPTYGFKAVSLDESNFELQRPYDESSGSRYSFDGTVRKLWVLSSDKPHTRQSHTSPRTEIRMTGYDYSSGVWQFEGYGYVPSGTSGVSIMQVFGAGETATTLMLHVINGALRYYDRQVVEDNIYDRWFKLNVVHDVEASRLTVFIDGKEKLHVSGRGGDSHYFKFGVYAQNHDSSFMESRWKGVRILKKD >cds.KYUSt_chr1.34255 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208452210:208452536:-1 gene:KYUSg_chr1.34255 transcript:KYUSt_chr1.34255 gene_biotype:protein_coding transcript_biotype:protein_coding MLFATREPARGVVSRLEEVAARGGGQMRVTKSGAGGVRFEGAGRGGPKGRLAVAAEIFTVAPSVLVVDVKKDGGDTLEYRSFCSEHLRPALQDIVWAADPPASVALAV >cds.KYUSt_chr3.33989 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213308652:213309532:-1 gene:KYUSg_chr3.33989 transcript:KYUSt_chr3.33989 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTRNSENISSCLHLLRASVGKPPEGSMATAGAATLSVPASGIRYRPTFAAQAIRTARRPATSMKQLTSSGGARLSARFRAAATAHKVKLVGPDGEETELEVPEDAYILEAAEEAGVELPFSCRAGSCSTCAGKLASGEVDQSEGSFLDDAQMAEGYVLTCIAHPKADCVIYTHKEEEVH >cds.KYUSt_chr2.15552 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97891472:97895972:-1 gene:KYUSg_chr2.15552 transcript:KYUSt_chr2.15552 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRRASQLLGSVASRLLHQRGYAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGIPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGMGGDPFNGTNFVDCLEKFVADPQTEGIVLIGEIGGTAEEDAAAFIKESKTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALREAGVTVVESPAKIGSTMFEIFKQRGMVE >cds.KYUSt_contig_7440.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001529.1:78865:80451:1 gene:KYUSg_contig_7440.14 transcript:KYUSt_contig_7440.14 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPSRSQVRGTEVSVPARCRDGELPPEAISIDTTAIFIAAAVSHDEEGKAVAHLSQYSGEGGGGSMLYVPAGKWLTAPFNLTSHFTLFLHADAVILASQDITQWPVIDPLPSYGRGRDHAGGRYTSLIGGSNLTDVVITGNNGTIDGQGATWWSKYKSGKLKYTRGYLIELMHSDTIFISNVTLLNSPAWNIHPVYSRNIVIQGVTILAPTKSPNTDGINPDSCSQVRIEDCYVVSGDDCVAIKSGWDEYGIAVGMPSEHIVVRRLTCVSPTSAVIALGSEMSGGISDVRAEDITAVGTESAVRIKTAVGRGAYVRDVYARRMTLLGMKRVFWMTGDYKSHPDDKYDPTAVPVVENISYQDVVATGVWKEAARMEGIQGAPFKGICMANVTAEMTKARKVSWNCADVEGVSADVTPAPCAPLQGAHGGACPFPTDTLAIDEVTVQRCSYTLPRAPAAAVPGTN >cds.KYUSt_chr7.11141 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68399092:68401120:-1 gene:KYUSg_chr7.11141 transcript:KYUSt_chr7.11141 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFHDYRDGGVLVQEPAAAALFGGVRQRKRARVTAMPPSFFAAAAEEAAAAKKQKLRAAPSLDALPDECLFEILRRVQGARARGASSCVSRRWLAVLGGIRASELKKRAPAVPDLNQVFVCEDDDDELDPTSALPERSLEGERATDVALTAAAIRGHLETLTIRGSHPARGVTDSGLSAAARGCPSLRSLALWDVPLITDAGLAEIAAGCPALEKLDITACPLVTDKGLAAVAQGCPDLKTLTIEACSGVANEGLKAVGRCCTKLQAVTIKNCPHVGDQGVSGLICSATASLAKVRLQGLNITDASLAVIGYYGKAITDLTLARLPTVGERGFWVMANALGLQKLRCMSVTSCPGVTELALVSIAKFCPSLKQLYLRKCSQISDVLLKDFAESAKVLESLQVEECNKITLVGILAFLLNCSPKFKALSLVKCVGIKDICSAPAQLPVCKSLRSLTIKHCPGFTDASLAVVGMICPHLENLDLSGLGAVTDNGLLPLIRSSESGLVNVDLNGCENLTDAAISALVKAHGGSLAHLSLESCSKITDASLFAISESCSELAELDLSNCMVSDYGVAVLASAAGLKLRILSLSGCLKVTQKSVPFLGSMPASLEGLNLQFNFIGNHNIASLEKQLWWCDILA >cds.KYUSt_chr2.13945 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88238426:88242044:-1 gene:KYUSg_chr2.13945 transcript:KYUSt_chr2.13945 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAPVSGQVVQRFRARLREEAGEEPGAAAVVAVYDEVLADLTFNCKPIITELTIIADQHAARAARGIAAAICARIFETYRRCLRLASALVSLGVSRRDVGYEAHFGVPMSGAVLNSINTRLDARTVSVLLRHSGSKLILVDPALLPVLHDALRLLPPGHPAPRIVLVEDPPREGVPSGASGGSAYERLLETGPEQSQDTTA >cds.KYUSt_chr6.22234 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140464388:140464717:-1 gene:KYUSg_chr6.22234 transcript:KYUSt_chr6.22234 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRRRLPQSTATKLQVAEAEALSPAVAAERGNEAADGLAHRLSSSQTASRDNRLTMVGGGVRAEEVAKCAVAQQLHAQLQRRLLLPQIGLSGLTSMVISLVTASRSSE >cds.KYUSt_chr7.28193 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176078946:176081627:1 gene:KYUSg_chr7.28193 transcript:KYUSt_chr7.28193 gene_biotype:protein_coding transcript_biotype:protein_coding MERLASSRGVVFTVAVVAALLLQTFGAAAAANCSARCGGISISYPFGIEAGCYHDGFNLTCDHSYRPPKLFLGDGTVEVLEISIPGGTVRVNSSSVALLPGAGAPSSVNSTSKYHTWGGLRRGGPFFVSPEKNKFLVLSCSNVQVLLLAEDNSTVNACSTYCPPAPGKGQPYQFPLNKECSGTGCCSAAIPKGYTSYSIQVQPPGNVSEFDADSSVYIAEEGSYNVTRLIFETVPTLPVLLDWVISNSTCSNKLPATPASGCRSSSSSCQNYTSFAYSGHRCGCSAGYQGNPYVMSGCRGSSLPRPLRLPWAFHGLMSALRYALINTE >cds.KYUSt_chr7.12787 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78882698:78883153:1 gene:KYUSg_chr7.12787 transcript:KYUSt_chr7.12787 gene_biotype:protein_coding transcript_biotype:protein_coding MDISTEYIDHFKARFLEQTGHRCSAFEVLIAKAPSPGAPPCDVVRLIREGKKRLPAEFARWSRGELEADPYRITSDYRTLLVSDWSRLGFAEVDYGWGAPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADGVDAFHRDMMRLDD >cds.KYUSt_contig_686-1.691 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4099491:4124329:-1 gene:KYUSg_contig_686-1.691 transcript:KYUSt_contig_686-1.691 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLQQPVKARLAEKSPDPYSSHHAPILFPFQHSKPPAAAARVPALHCLILAKKKLLPFGVLSWWTSSSDAKMASRGSGNWALVEHQHHSRASRVYRFQILLPNGTSTGLTLKDPGEEMPLHDFLDHIRTELEDVPMDGDDRRGIDWSGDVYLEDLLDRKIHKELQFSDFLTNSTNILRLQNMWDLTPPTELLQELPAEYSTESALADLIDNSLQALWSNGKKDRKLIRITIDQEKMVIFDTGRGMDGSDENSISKWGTIGSSNHRVFRAKAELPKKMHDIRNHVFEQYDEDNGSMNTRRPVQFEVNGVDLAEILENEVTVTNLHSCAGPSFILDVTFSCTSTTAANSEAHARIKCVYFPIVKGKESIDSILEMLRENASGGPLPFMEPKQSKGKKAELLKRCCKRVKCFVETDAAFHPTLSKTDLAQHDLFTKALRRLDGSSRSDSSVEDVNVDVRRGGRSLNVTQLEKQYHDWINNMHAQYDVEIDEGDNDHTVIINPSNKDRLGISEDGPDSMCLNIQESVSFPISMIDNDKGLPFEKVIMAGYKHPREIIAVILPQCATTCSTSPLDKRYILKDDEIEMEMDINHLPGSLGCQLDVLELEAMIRMEMQFLSKIYDFLFKSSKLDIIRPKYEAMLKISLSDNEFSHLCPCKGLPSTINMDMCLAWEKNLTPGEVIDDALLEVFDHCGNHVEEGTELIVEIVGFSFVDKHGHVRKVNSEGFVDLSGLLKVINGFGSQACLKIFHHEKEIFATTFQISIRNLEAVEVPRSCQAGTLLENIIFEVFDSDGLIDESMHGPHHTLSIRSNEKLVEGAQYTFERGRCIVSRVPVPREPGTVTFVAYHTHFPDLETTIQIPVYTLDLVPVKGENESEPICSYPTSSVSSQNTKSPSQLVLSQSNSLASNILGKFSDEIRAIDSDICSQEVLIKAFDSHEISHTAGSATGAIELTRHKIEENVGTAAAVLCTLSSRQCLTDDVVGIVALLGTVADRKMSRMLSLYLGEDNMLAVVCKTQAAANYFEKYAADGSVDVHFGIHQEAANLGAPIRKRFPIICLDLIRLFGELQVYETRDDMWQAIRHLKNGAISLDGGIIKGDGMLILGYSDPEVTFPVVPRAALDVEEDNSEYVCNKIKTMHAQIGVVKTLENRISAAEEQRQKLVMERNEKKRKFDQISEVLSQASGSSDHQFYE >cds.KYUSt_chr2.54684 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341151879:341158899:1 gene:KYUSg_chr2.54684 transcript:KYUSt_chr2.54684 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVLAEEFVAHSSNVNCLKIGRKTSRVLVTGGEDHKVNLWAIGKPNSIASLPGHTSAVESVAFDSTEVFVAAGAASGTVKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDSAVKIWDLTAGKLLHEFKSHEGQIQCIDFHPHEFLLATGSADKTVKFWDLETFELIGSTGPEMTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRCHDTVDVGWSRLSDLNVHEGKLLGCSSNQSCVGIWVVDLTVCGLSSSNTVFNSQLESLVFSTSSGTMPLQNDSGSRANIGRSSALQNSENNLKASAGRLSVSQNSDSAPKEIKPAASSGLVPGTPQKVGTGSSTKIAGHSTFASGGTTLKRSSVKSTSASNLHNFSKTDVVIPAVIMPRTSSGAELDTGSRSYAADVAPVLSKASRRAEPPSDPRTESADVVPAVAPRTSSRMEMASDSAPDVVSRTGRRMESAADSRKESADVAPVVPRATSRMEMASDSVPVLSKAGRRFESATDSRKESTDAAPVGPRATSRMEMASDSRREPSAGRVSPFRIQSRYAEPRKVTNAKVDIDKVDVGSKDTETNDLTCQIFLPRRNGGVQTINSEDTREDAKHGTAYRSGFSGSAESNAGQRSDSYVSRMRKPRDNCYVEVSRAGRTRSIVSNWEGRDQSPSHEEPTTSNSAMGPPRGRSYSSRGSSQAAETNTVTSEEDVLSVLLEEHDLFISSTRSRLTKLQILHQMWQRNDIRGVFAAMEKMSDHAVSADMASVMMEKSEAITLDLCTTVLPVLSDLLESKTDRHVAVSLELVVKLVRTFGAVIHSTVSASPSCVGVDLQMEQRRERCNVCFIELEKVKNKLPFLTRRKGQAANTAQELILVFQEIML >cds.KYUSt_chr4.46240 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285806060:285806710:-1 gene:KYUSg_chr4.46240 transcript:KYUSt_chr4.46240 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWQQVGKNAGGHVKPLHAIPDIPCTWGSTAMARSRPSATWSSTAPQVCGHGCMLRPPRLGRFPWWCTFTVMASASAPRPGASTTSSGPASRAGGLRRDFRRLLANVNRALVAFDDRIAVVRRLRQQVAYGRNSSTSGTVAWLFDHVFLTGDSAGATIAFHIAAWLGEGQLGGLALWRSGPALLQGSHEPMIQPFFSGETRTALEKTLRSCRNQR >cds.KYUSt_chr7.40426 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251009904:251010695:1 gene:KYUSg_chr7.40426 transcript:KYUSt_chr7.40426 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAPKDTHPSPAPAPRGKGMHWLLVAVNCGMLSLGTTGGPLLSRLYYSKGGHRQWLSAWLETGGWPLLLIPVVASYLSRRARDPRAPLVLTRPRILLAAAALGVATGADDFLYAYGLSFLPVSTSAILISTQLAFTVFFAFLVVRQRLTASSLNAVALLTMGAVVLGLHASSDRPAGVSRGQYWLGFVLSLGAAALYGLVLPLVELTYKRAAAGGGDRVLTYALVMEMQLVMGFFATAFCTVGMIVNNDFQVTRPSPYTSP >cds.KYUSt_chr3.36466 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229377671:229379423:1 gene:KYUSg_chr3.36466 transcript:KYUSt_chr3.36466 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLPGPYSTDLPTVPEWLNKGDNAWQLTAATFVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLLAFRMAFGDRLFPFWGKAGPALTQEFLVARASFPATAHYGAGGKLEVGPTQPFYPEASLVLFQFQLAAITLVLLAGALLGRMNIRAWMAFSPLWLLLSYTVCAFSLWGGGFLYQWGVIDYSGGYVVHLSSGVAGFTAAYWVGPRMKSDRERFAPNNILLMIAGGGLLWLGWAGFNGGAPYAPNLTASVAVLNTNVSAAASLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCVTPGAGLVHTWAAMLMGISAGSVPWLTMMVLHKRSTLLQKVDDTLAVFHTHAVAGLLGGLLTGLLATPELTSLHTHVPGTRGAFYGGGIAQVGKQLAAALFVVVWNVVVTTGILLCVGLVIPLRMPDDELKIGDDAAHGEEAYALWGDGERFDVSRRAAARSNVVGDQTVDQRLAAMGARGVTVQL >cds.KYUSt_chr7.8153 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49315314:49317971:1 gene:KYUSg_chr7.8153 transcript:KYUSt_chr7.8153 gene_biotype:protein_coding transcript_biotype:protein_coding MSILYEAMDCAKEEILRYVGDKHGDILSWVDKIWDIYLHSPLHAAGYMLNPSVFYKDHACNDPEVISGIEVCITQMANVYWWSVHGTDTPELQTLATRILSQTCFGAKRYNIDWHISEKVHEAKAFHDQDLYRGLEYVHYNMRLASAKPLIGGLCGDQVVCWWSVHGTDTNCAANPCDPDIKPNMLWHISEKVHEAKPFHDQDLYRGLESVHYNMRLASAKPLIGGLCGDQVGKPASPLVIGYGVRGIANDGSPSRLWSNASISFFFFQKRSCSHLQAGILV >cds.KYUSt_chr3.29492 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184606244:184608813:-1 gene:KYUSg_chr3.29492 transcript:KYUSt_chr3.29492 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSCQILVLNYLRITNGGSSPMGMEPYMAHMLMSVFRYASKIRVINACCILHNFLADRQREMDDVMLQAVDNQLNNVFIDVDEPEITHIEANKDAASYRYKVIKYWDMINTLYNRDRATGEGARTTNESAAEMAEEIANTTATHKHANSSTKDGEDRPKKRYRSDDSIATMLGDKLDNFTTVFKGDALEPPPKPAGPEEIWALLGGIPDLEDDQLLAIYDVLVADDRKFKSLLALPERMKKWVLKQIST >cds.KYUSt_chr2.15048 pep primary_assembly:MPB_Lper_Kyuss_1697:2:94799047:94805412:1 gene:KYUSg_chr2.15048 transcript:KYUSt_chr2.15048 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPNPSSGDLPAPAPEMPYPADRRVAALAGGAGARYKAMSPARLPISREPCLTIPAGFSPSALLDSPVLLTNFKVEPSPTTGSLGMAAIMHKIAHPDIPPSSRDKSLRTAHADGGSRDFEFKPHLNSSSQPLPPVMSDSKNHEPSMQNQSMNPSSSSSNMVTDNRPPCSRESTLTVNVSSAPNQPVGMVGLSDSPAEVGTPELHQMNGSENAMQEPQSENVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERAVDGLITEVVYKGRHNHPKPQPNRRLSGGAVPSNQGEERNDGAATADDKSSNALSNLANQVQSAGMLALVPASVSDDDIDAGVGRPYPEDDAAEEEDLESKRRKMESAGIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSTGCPVRKHVERASHDPKSVITTYEGKHNHEVPAARNATHEMSTPPMKNAVHQINGNMPSIGGMMRACEPRNFTNHYSQAPETNTVSLDLGVGISPNHSEATNQMQSSVPDQMQYQMQPMASMYANMRHQSMAMPTVQGNAAGRMYGSREEKANEGFTFKATPMDHSANLCYSNAGNLVMGP >cds.KYUSt_chr6.33222 pep primary_assembly:MPB_Lper_Kyuss_1697:6:208907450:208908328:1 gene:KYUSg_chr6.33222 transcript:KYUSt_chr6.33222 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVITVDLQCCRCRTKIKNVLECLREDYCIEKIEFEDKANKVIVRGKFDAEKLCKKIWCKACNVVKAIIIVDVWPLPPRPPTTCPTKPTKTCPPKTHTPDPEPPKPTYKFVPYPYPVPYPILMQCRWNCPPQQCRCCPTTKPPPLPPEAKPSECSQSHHHGGCGCGCKPAQPAPCYCSNQGGCSCRNYSNWPPPTWWPPQQPFFPPPWSTDCFDL >cds.KYUSt_chr7.18099 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112305317:112316852:-1 gene:KYUSg_chr7.18099 transcript:KYUSt_chr7.18099 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSCDAVMARWLQSAGLQHLAASSAGPAAGDQRGAGALGGGAGGAGMLPSLLMQGYGPQSIEEKQRLYMLLRSLNFNGESLPASVSEPFTPTAQNFGSGNSADGLYSPELRDSEPFEPSPFMPKETDDEDDDDVLTGNQQGLADNYNAVTSEKESTNRENNVAKIKVVVRKRPLNRKELSRKEEDAVTVHDLSSLTVYEPKLKVDLTAYVEQHEFCFDAVLDEDVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMIRLLHQPVYRNQNFKLWLSYFEIYGGKLYDLLSDRRHLLMREDGKKQVCIVGLQEFEVSDVQIVREYIERGNAARSTGSTGANEESSRSHAILQLAVKKHIIVTDTRRQRNRDANEAKSTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVTDPAIDRTSAEIACTLEKKLKAVASTAKKRKGANSSVVAWLSTLPCDPSIPSKDASFNAEDDVPWFVVDFFVLVLVDVWLLNVPACAVDPLGGGADILGSDAAASDPMSTVGDPLADPAASGNLCATAPDPLGDTFDPFSVVDPVDAPVASCTFRATAAAEALRAIVDPLAATAASGIVGTDAASAPLIAVVDPLADPAAFATLPASASVVAFDPWTVSVLFLGTCCC >cds.KYUSt_chr5.35341 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223321608:223322156:1 gene:KYUSg_chr5.35341 transcript:KYUSt_chr5.35341 gene_biotype:protein_coding transcript_biotype:protein_coding MANTVLTLYTKDPKTEVREAIKSFSTVDDVRPRGTATRPSAGDYATWGEAGMRRRTEAEDPPEWLAGLEEEEAQTPEVSERGVASWLTMDNSGMNSPLLMNSAIGCCSFAIFPKDSRSQHHLPSFVPTTTGTRRNATIRNSTELIEEAAARSKPLFYPPPWRTSRETRRSSRAAWTDSKTRA >cds.KYUSt_chr6.1906 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11436179:11436970:-1 gene:KYUSg_chr6.1906 transcript:KYUSt_chr6.1906 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLRVPDSGGGSSSIGIVADDWNSGGRCIGARIRGVNVGFLDEQVLVLVFRALDWDPRTLSSVARASRRLRAVAERVLWRDLCASRAPLMVAALTTTATGRVGGGWPALAKLLLFCCGGAMPGHFAPVSRFSKTSGRSFLPRRCGRDVLYVSDPCEHAAPGDDDDVGAYRGVFRGFVGSRTRARLVDGRTPLEPTVRCPYCGASVWSVAAPRGARRRLGAHESRLQYLVCVSGHLHGSCRLSRLSSSDGGAVGSDDDDDDA >cds.KYUSt_chr1.24125 pep primary_assembly:MPB_Lper_Kyuss_1697:1:143887399:143887863:1 gene:KYUSg_chr1.24125 transcript:KYUSt_chr1.24125 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNVQKESTLHLVLRLRGGTTIKVKTLTGKEIEIDIEPTDTVDRIKERVEEKEGIPPVQQRLIFGGKQMADDKTAKDYNIRGGDVLHLVLALRGGGC >cds.KYUSt_contig_7480.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001550.1:43743:44309:-1 gene:KYUSg_contig_7480.6 transcript:KYUSt_contig_7480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFASCTLTRIPGAAKGARVVLPDGGVRLVRPPATAAELMLEEPGHFLADARALQAGRRIAALAADEDLELGGVYAAFPMKRLGSKAAPADLARLAAVFTREAHARRPASAKVAAIAVVAPPEVASVLAAEDDASLRAPRLDEMAVDDEAAAAEIGELKQRISCGRSSRRRPTLETIHEESYAAAVC >cds.KYUSt_chr4.37566 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231551158:231552574:1 gene:KYUSg_chr4.37566 transcript:KYUSt_chr4.37566 gene_biotype:protein_coding transcript_biotype:protein_coding MMARRSHLLLPCLLAAAAVLCDAHSKLAKKSNDVVNGPLLTTKIDAKRTLIVGPNDEFKTIQSAIDAVPEGNTEWVIVHIRSGVYTYVCTHACAFGHLNSLWLWMIFNSTNAFRCREKVVIQETKPFIFVRGNGKGRTSISFESASPHNAESATFAVHADNVIVFGLSFRNAARAGLPNSPEIRTVAAMVGGDKVAFYHCAFYSPHHTLFDQAGRHYYESCYIQGNIDFIFGGGQSIFQCAEIFVKPDRRTEILGSITASDRKEESAGGGFVFLKGKVYGVGEVYLGRANEAYSRVVFADTYLSKTINPAGWTDYGYTGSKDNLMLGEFNCTGPGADASKRVPWSRQLSPADAAKFLTVDFIDGKDWLPAFYY >cds.KYUSt_chr3.8794 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51232342:51233049:1 gene:KYUSg_chr3.8794 transcript:KYUSt_chr3.8794 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHAISDLAETLSPYKLDHLLLLAALIKLIFQRNGVIAALNFTTSCRTHGLELDVDDAVMPPITSPSCRSFTLQLLLASHQLSMMTMISRHRCRHRADSPRDDGITPSSWRNGIAPSLPAPSGIAPPLRRDGITPSSPRSSITPPSPPSGIAPQPAASGITPPTASASRRRPPPHMESADNTIVGFSSLAPPSMKVTKIFSL >cds.KYUSt_chr1.7483 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45858649:45860742:-1 gene:KYUSg_chr1.7483 transcript:KYUSt_chr1.7483 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGERWVGLAVDFSEGSRAALKWAADNLLRTGDSLLLLHVVKDPDYEQRETILWEATGSPLIPLSEFSEPSIPKKYGVKPDAETLDMLNTIAKQKEVTVVSKVLFGDPREKLCQAIHDIPMSCLVIGSRGLGKLKRVLLGSVSDYVVNNAACPVTVVKPPTTHT >cds.KYUSt_chr4.51593 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320196072:320199445:-1 gene:KYUSg_chr4.51593 transcript:KYUSt_chr4.51593 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGRRLLLQAAAEAPPRQQHLTRHQQSAPPQQPAWSPGALNVWLSQHTPIFGLRLWVLMGIAVGAAFVLVLLLLLLCLSRRRRRRDGLLGPNLYPAADTKVLKQHMQHQQQPAKDIQEIVRHQQQQQQMSAAPPPPAPQPAKAEPPPPTFQQQRAQLPAMPAGSKRSTAASGLSATTSGGSERDLATPRSAGSGSMGPEVSHLGWGHWFTLRELEEATGGLTEENVIGEGGYGIVYRGTLQDSTIIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWDMRMNIVIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDQQWNAKVSDFGLAKLLCSEESYVTTRVMGTFGYVAPEYASTGMLTERSDVYSFGVLLMEIITGRSPVDYTRASAEVNLVEWLKNMVAERKAEEVVDPKMPAKPSPKALKRALLVALRCVDPDGHKRPKMGHVIHMLEMEDLNFRDDRKPRRDAPHATSDRSTSREDGSSSKRENQRYRR >cds.KYUSt_chr5.30808 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195138416:195142458:1 gene:KYUSg_chr5.30808 transcript:KYUSt_chr5.30808 gene_biotype:protein_coding transcript_biotype:protein_coding MDILHRVILLLLLPISFAIAQNASTEGVQEFHVGVILDLGSMVGKIARTSISLAMEDFYDVHQNYSTKIVLHIRDSMSDDVQAASEGESIEVPRGYQIPVSGKKLQVGVCTSGYPEFVKVEKDHFTGETKAIGLSVDVFEEAVKRLPYALPYEYVQFNTKNDGSTEDYNDFVYQVYLKIYDIVIGDITIRYTANLTSMLTVQQLQPTVTDVQELLKGGENVGYHRGSYVKGLLEELGFDRSKIKPYDSPDDFHNALSRGSNNGGIAALVHEVPYIKLFLANHCKGYTMVGPIYKAAGFGYVSSTALDMLQSNYIPTEQLNMS >cds.KYUSt_chr2.49949 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312443969:312444172:1 gene:KYUSg_chr2.49949 transcript:KYUSt_chr2.49949 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAHAGDGAPAAVDRDVRVRVAREDTGGVLERGDGGTSASASRMAAPWEYGREQDKESGISRKKKE >cds.KYUSt_chr3.45263 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285233313:285234458:-1 gene:KYUSg_chr3.45263 transcript:KYUSt_chr3.45263 gene_biotype:protein_coding transcript_biotype:protein_coding MRLICDSPAHKSVPGKYVLPPEKRPCDQDLLDDLSVTLPVIDLHRALGEGRPSSRRRDHGGRQGVRLHPGCQRPEEKLKYYSIDHTKPFRVFSGSLTSHNDANDIRYWRDCLKLREHLAKYAVAVQELARSFLRLIAEGLGFDNHDFFEGDLSGGETLMNVNY >cds.KYUSt_chr6.17778 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111791099:111794585:-1 gene:KYUSg_chr6.17778 transcript:KYUSt_chr6.17778 gene_biotype:protein_coding transcript_biotype:protein_coding MACREGEDQDEKEDKELTMARKDRWVGWAADEEGTGACRSADAREEDGVSREEGSPSLVCRESERGEQSREATPCGRGSRVKGGGVRFRLVRPTVYCRNPSKEIFSELDEINVQYLIIPRSFQNTREGPEEGHRWPTRVGGTAKEPGAPPYCVVAPSAFRLRLFAYKKPPDLNLRYEKATVRETFQSRRHCEAKIWGTEVSVPARRRDGEVPSEGFSIDTTAIFINAAVSHEEGVVLPQG >cds.KYUSt_chr4.6520 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38378521:38386630:-1 gene:KYUSg_chr4.6520 transcript:KYUSt_chr4.6520 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDGGGGDDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYELYMRAFDEMRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDADSINDAVEFVLQNFIEMNKLWVRMQHQGPVREKDKRGKERNELRDLVGKNLHVLSQIEGVDLEMYKETVLPRISEQVVNCKDDLAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPNVDIKTVLSQLMDRLSNYAATSPEVLPEFLQVEAFAKFSNAIGKVIEAQVDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGKAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNATTKVMALVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGAQDDELDEEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHILQGGPKRLPFTVPSLVFSALKLVRRLQGQDGDVTGEEVPATPKKIFQILHQTIEALSCVPCPELSLRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITALHLIIGTLQRMGIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANVSKGSSGSVTLFIEILNKYLYFFEKGIPQITNTVIQDLIELIRTEKQNDSQTSDPSAEAFFASTLRYIEFQKQKGGSIGEKYEQIKAA >cds.KYUSt_chr7.36692 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229297270:229299754:-1 gene:KYUSg_chr7.36692 transcript:KYUSt_chr7.36692 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVASAFPAPPSPSSFLSTSTRRRHSRALPPPPAASPRELPPPQQQRAASNTVERRRRREEEEQAEAEAQEFEKQRKEEVNRKIASRKALSIILRREATKAVLDKRVPGKGTRRLLPRTVLESLHDRVAALRWESALKVRPLASPTPPFHTLTLITVPIDAQVFELMRDQVWYRPYVGVYIKLITMLGKCKQPEKAHELFQAMLDEGCAPNLESYTALVSAYSRSGSFDRAFSLLDQMKATPGCRPDVQTYSILIKSCLHAYDFDRVKGLLEDMANAGIRPNTVTYNTLIDAHGKAGRYAEMESTLLEMLSENCKPDVWTMNSTLRAFGSSGQIETMESCYEKFQASGIYPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSDHIKPNCVTLCSLIRAYGRADQVKKIETVLRIVENSDTALDIVFFNCLVDAYGRVGCLAEMWDVLNMMKIERIKPDKVTCTTMIKWFLVKGIDDHRVQYLRDLKDGRSTDNK >cds.KYUSt_contig_2008.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:25739:27040:-1 gene:KYUSg_contig_2008.4 transcript:KYUSt_contig_2008.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTCSSSAVLLVVAVVLLVKAEVALCDGCSYKRIFSFGDSIIDTGNFVFDIGNGQSPLKELPFGMTFFKRPSGRICDGRVLVDFYAEAFNLSLLPPSIPEEKTGQFATGANFAVFASIALPPAYYKSKYNFTMSVPSDLGAQLDSFKRVLARIAPGDTSTRAVLNESLVLMGEIGGNDYNFWFNGDPKNSRDTPDQYVPDVVARIGSAVQEVINLGAKAVVVPGNFPIGCVPVYLSAHQSGNQSDYDEHGCLRWYNDFSQRHNAALKQEVGRLRSKNPGAKVIYADYYGAAMQFVQNPQQFGIADPLVACCGGDGKYHTGKPCDKNATLWGNPLGFASWDGIHMTEKAYSIIAAGVLDGSFADTPLRHFC >cds.KYUSt_chr6.796 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5094838:5097544:-1 gene:KYUSg_chr6.796 transcript:KYUSt_chr6.796 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAMEIGQPAPAPPAMATGQQQPWEYSLRKYLLLLATIVATVTYAAGFNPPGGVWQEGFNDGGQLAGEPIIRHTHYHRYLVFFYCNAMAFAASLVVIVLILILAVRHDKEKEKKGTVWVLKDIMPLRLVMVLDLVSLVSAYAAGTCRDKLSTLYLAALVTTIFLYIVPLKLRDWWSLDSNSVFTSNLRREALKVMKAEERLRKVLMLLATFTVSVTYGAGMSTPGGFWDSIGDSHWPGDAILKDRHSLRLMVFLLCNTTSFLASLLITMLLIIDRKLHEKTPRSIVLYVCIVVALIGLIGAYAAGGCRKTDTTVYVVSLVGAVLAFILLHGFSYTSCSGTDKQADGNQQTDNNASAREALDKARSLVLLLATLAATITYSAGLNPPGGLWQDNSNGHMAGNPILVTTNARRYTVFFYCNSVAFVASLVAIILVQKELLVKHHVLEAAMIFDLFGLIGAYAAGSCRDVNHSIYAMALAGAVLVYVVIHVAFFTLDHKEKQDDNEDRLLEKRRKRLLLFAILAATITYQAGLTPPGGFLLQDDGLRHHAGDPVLLYNYPRRYHAFFYCNSVSFMSSIAIIILLVNPNLYRTAIRSNALSVCTAVGFFCLMGAYAAGSTQHLKTSIYIFVLVAVVIFFSAGLLLVFLVRELNRNGNPAAVQSIEQEPHKDEEERKEEEEQREEEEERKKHVRRKYLMLLGILVASVAYQAGLEPPGGTWQSSGNGYEAGNPVMHDNMRGRYLAFFYSNSISFVASIVVIIMLLPHWLPNKKKEECEEWSLRVMNKTIILDLFALLGAYAAGSSRAWTTSMYVAALTFAVLGYFAIHMTLSFLSHRRHRRPERQISRRLHERQNSRRLHERQNSQINHPV >cds.KYUSt_contig_60.326 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:1924594:1925403:-1 gene:KYUSg_contig_60.326 transcript:KYUSt_contig_60.326 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTTAAAVAAVATGSSDPAALPLAGRVAIVTGASRGIGRAIAAHLSALGASVVLGYASSAAEADALAAELPRSVAVKADVSEEAGVRSLFDAAESAFGCAAPHIVVANAGVLDDKYPSVADTATADFDRVFAVNTRGAFLCVREAANRLPRGGGGRIVAVTSSVVGSLPTGYAAYTASKAAVEAMVRTMAKELKGTRITANCVAPGATATDMFFAGKSEETVRRMAEANPMERIGEGGDIAPVVGFLCTDAAEWVNGQVIRANGGYV >cds.KYUSt_chr1.6370 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39271102:39272537:1 gene:KYUSg_chr1.6370 transcript:KYUSt_chr1.6370 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCATRARARRRELSPTGCLQLDGEDVTSPHTRFFLEPSKEHHGQRLLHVRCCYDNKYLAAVQGPHSGGGAWIIGATDEAEEDLSKATCTLFKTTASDRRPGYVRFVHAHLGMYACMSKLADPPSLSLQEGDGLDAAGGDGGDIIIYDLSRSLVLPRYLAFKGDNGMYLRPRIIGRQKYLQFSAAGAVDQSLVNTVHGNQDGTFRVWSNHFGKFWRRSPNWIFCDSDGAAAAGDVDTLFRATRFGSSVALQNVGNNWYCKRLTTEGKNNCLNAGAPTITADARLRLEEAIVSREIYDVVFDLSGPRVYGKTTVVGMATASALNDDTASSSTAELKLECRHTERRTWASSCWPSPTTPSTQLLTDSNNDSMAKPTRTTYPNPTLRNNDAHDALPASE >cds.KYUSt_chr7.19976 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123753950:123756505:-1 gene:KYUSg_chr7.19976 transcript:KYUSt_chr7.19976 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILLTFKHKDGVTETAGAESSDQMKSQLSNFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRERRGCRPIMHLHERTLSVLACRYVDEVIIGAPREVSRDMITTFNISLVVHGTVAEGSSVVEVDPYALPKSMGIFQTVTSPKTITSVSVATRIIDNHEAYKKRNLKKKASEDKYYTQKEYVSGD >cds.KYUSt_contig_1253.569 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3446069:3447193:1 gene:KYUSg_contig_1253.569 transcript:KYUSt_contig_1253.569 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTRYTLPVPQRSNPLPVSFSGKPPLSSASTRYLGHPQQLREMLRPGVSNPPAAAPAATPSPRPVLKAAALVLFRLLRNTVLCVFLAAFGTCSLGIAIHVLGRRICGEGSAVEEAGWAILVRAMRLLLRLFPPFFPLFTSRLYEHVMFIVEKGTDKKQQYPARGAAPLLGIVKMATVYMLPFAALAILAMWMKLYAEEGSPEWRVGSILFDSAMLAGSFVAGFLAVLIPSMAILVMPPKAKGMQ >cds.KYUSt_chr1.4042 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24565695:24565952:-1 gene:KYUSg_chr1.4042 transcript:KYUSt_chr1.4042 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHCRRRATVPDPPRIREETTDDGPSGAAPEHAKGRQPLPPPDQVEDDDSAQSPSPPRPARSGRGGVIWSSREAVKALFGDPPR >cds.KYUSt_chr1.24869 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148821367:148821723:-1 gene:KYUSg_chr1.24869 transcript:KYUSt_chr1.24869 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRALLLLALVAVASATYCPPPPAPVPVPRHGSCPQNALKLHVCANVLGLVKAKIGVPPTEPCCSLLDGLVDLDAAVCLCTAIKANVLGIHLNLPIDLSLILNNCGKICPEDFQCIH >cds.KYUSt_chr1.33196 pep primary_assembly:MPB_Lper_Kyuss_1697:1:201591884:201592522:-1 gene:KYUSg_chr1.33196 transcript:KYUSt_chr1.33196 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNDGSAGNWQQGGGMGPCCGTPTPTLLAALAASFACCFLLVLVFLSLRFLHLRRTWSRRNSAQPLQGQVQAPQPKIGLDAATIALIPSFPYQRDSSASSPAECAVCLSVVDDGETVRQLPACKHLFHQACVDVWLLSNASCPVCRGKAEPAARADRQERAPASAAVVPLEILDEEMEAFSSSTSGPVTERPGLLGGRASGSGQEADLEQQ >cds.KYUSt_chr1.24905 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149065718:149067827:-1 gene:KYUSg_chr1.24905 transcript:KYUSt_chr1.24905 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLRLAVVGAGAAGLAAARELRREGHVPVVFERAAAVGGTWLYAAPAPAAATDPLGAAATHSSLYASLRTNLPREVMGFLDFPFAAASSVVDARRFPGHQEVLRYLEDFARRFDLYGLVRFETEVVGVRREAGGRWTVTSRKLGEKGEQDEEVYDAVVVCNGHYSEPRIASIPGNHSLQDELGADVWPGKQMHSHNYRVPEPFLDQVVIVIGASASAVDISRDIASVAKEVHIADRSAPASTCEQQPGYDNMWLHSMIDSAQEDGSVVFQDGHSIKADVIMHCTGYLYDFPFLGDDSTISVDDNCVDPLYKHIFPIHVAPDLSFIGLPWKVIPFPLFELQSKWVAGVLSGRIKLPSKDEMMEDVKAIYLRRETRGWPKRYTHNFSGGYQIRPLSLLIMICLQFEYDDWLAEQCGHPPIEEWRKQMYAANSKNKAARPESYRDEWDDDHLLAQANEDFKKYL >cds.KYUSt_chr5.10140 pep primary_assembly:MPB_Lper_Kyuss_1697:5:64870716:64871975:-1 gene:KYUSg_chr5.10140 transcript:KYUSt_chr5.10140 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVPPLRSAVVETRRIYERERCWLESSPSSGSASSAASASAQSPRPSYASVAATPAPAPSPLPPAPPSRQATRPSSTTPRPAPRPQAAPKRRRHVPDADGWLQAGPRRRRVRAQPPATRRPLPAVLDGKCLNCLSEEHRRRDCTKPTRCLRCKGWFHMARDCKRPRPPYQGAGAGQHHRAAPAARGCSEGQSSADTSSASRESTPDLPPPRSLLVAPSPPRDDPPPEERVPEMCIIERSPVIDAEVLRLRRAVLVSVVGESVSLSVIAALLAEVLQVSISAFSVHAAAPEDCLVLFDRMEDRDLALAHPIIPSMSFELRLKPWTPQSQATSAVMRYKVDLDIKGIPAHAWLRSTASSVLSNSCCIDSIADETILRHDLRRFRLSAWTANPGLIPAARTLAIPDEVPLAPLLKDRDVA >cds.KYUSt_chr4.49780 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308312400:308312609:-1 gene:KYUSg_chr4.49780 transcript:KYUSt_chr4.49780 gene_biotype:protein_coding transcript_biotype:protein_coding METVLDRHNGCFPTAREAALNLPRSGLFPTWHSTRGVSEDGVPEIWHGLTSGDGEDELPVADGSNPKKP >cds.KYUSt_chr3.21419 pep primary_assembly:MPB_Lper_Kyuss_1697:3:131421291:131422556:-1 gene:KYUSg_chr3.21419 transcript:KYUSt_chr3.21419 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKRSEPVLVHAAAAAAADEEEYYYLSNLDQNVAVLMKTVHVFRPSGGSDDAATVVMAALGRVLVHYYPFEGSLVVSADDGRLSVRNDRRGVPFVVADADCELEEIGDVVKAPDAAVQAQLVFVVRGSNSEEKAPLLTVQLTRFRCGGFVLGLAMNHCLADGVAAAEFLRSWAETARGVPLSAPPFLDRTVLRARTSPTVAFPHEEFAEMEDVSGLADLRDEPRVHRAFTIDAARIARLKREAAARSTFVALTAFVWRATARAMRMRPEQRSRLMFAVDVRRRVDPPLPRGFCGNAVVFACCVSAAGDLLAGPVSEAARSVQDAIGRTDDAFVRSAIDHIEVARARAPSMTATTLVTAWNRLGFDTADFGWGEAAQCGPAEVPRGEVVMFMRDARDGSMVVLLGLPQSCMEAFQDMVHLL >cds.KYUSt_chr2.41083 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255349293:255351313:-1 gene:KYUSg_chr2.41083 transcript:KYUSt_chr2.41083 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGCGCWSEEASPLSSIGVNSLWWDDFEDLDPVDLLPTDPFGMNFETTLTAALATCSDLSNLLNQALALTVDPLRGTRSSTAFEAAAAPFARGGLSGGAACAQSQRLPPLAEPSGEEDPSPPGDAALMSHDTAGAAPHDAMVFALRYLGLRDLLAVEMVCKSLHAAVRGDDSLWKCIHVDPVLSAKVSDPDLLCLTQKIPGVLQCLNIDDCIHVTDKGLNAVLGINPKLTKLSIARCPRLTLDGLIANLKSFNMKEKSGIKSLRIDKNFNLPEQDYEELLSLLNIDKMQELHNRAPRFRHANHFLSGCEDGYALDIEMCPICQSYKLVFDCPEEGCSDRRSGNCRACEVCIKRCRQCGRCLERNEKFEEKFDLDYLCYKCRGDPASSLAVEKDLVSILSSGRIPSP >cds.KYUSt_chr7.8267 pep primary_assembly:MPB_Lper_Kyuss_1697:7:50074903:50078554:1 gene:KYUSg_chr7.8267 transcript:KYUSt_chr7.8267 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLPGMAKLLLQLKAAAVSAYRRNGNRRRHPVSPLPSRHPSGAGAGRLGPCFVAGLLALAAAATLTLGLALHRADPDADAPASSRGAGDGSGYAVVINTWKRYDLMKRAVAHYSGCAGVDAVHVVWSEPQDPPEALRQSVLNCSRLLRDGGAAEVRFVVNKQDSLNNRFRPIPELRTDAVFSVDDDLIVPCSTLRFAFNVWRSAPSAMVGFVPRIHWLADPGSNTKEYRYASWWSVWRTGTYSMVLSKASFFHRKYLDLYTNQMPPSIRDYVTKNRNCEDIAMSFLVANVTGAPPIWVKVPELGRILSEQHARPDSEDEIWHNILSKLNIQACMPSSDEDFNQWFSSAVLNLEPAKQRGPSVASFGRGKALDGRRCQSKLPLHARPPDAA >cds.KYUSt_chr6.22407 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141519363:141520802:-1 gene:KYUSg_chr6.22407 transcript:KYUSt_chr6.22407 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQHFLVVVFPGQGHINPARALAERLVRAMPGAHVTLSAAVSAHRLMFPSLEYPDEEVHDGAISYIPYSDGYDRGFRLFAGDGDDARRYSEAFARVGRETFSAVLDRLAARGRPVTCVVYAMLMWWAAEVARERGLPRALYWNQPATMLAVYYHYLHGYERIVTEHAAEPGFTLAMPGLPPMAIRDLPSFFTNSTDGRLVSSFDNIRRTFQQLDLDAAISSGGRKPTVLVNTVEALELGALASVPELDMFPIGPAVLSLFTDGTRSGTNTVVGDLFEHDEKGYMEWLDTKPARSVVYMLFGSLAATTKQQKEEMKRGLAASGRSYLQVVRKDNRDTDDDDDDDDDDQSMVVEWCDQVRVLSHPAVGCFVTQCGWNSTLESVACGVPVVAVPQWSDQDTNGRLVVEWSALVRGAIHADRFMDAEELTRCVDMVMGDTEEGAAIRSSSITWKAKVHEAITDGGSSELNLMTFQDQFANDA >cds.KYUSt_chr1.42374 pep primary_assembly:MPB_Lper_Kyuss_1697:1:259472088:259473829:1 gene:KYUSg_chr1.42374 transcript:KYUSt_chr1.42374 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPRQTSFVCRTCKAAMVGKLFGQHSHPLIRWSFLAREAVGREIQQKKEELYDVIAKAEQSFGTRSFQNMRLLQHLSVQVNPRPWDWEWRHLRFSRRVNSVLEIAGFFSLGCMGAHWSKKYQKLREAREELRGLEEELRVLKEEHEAGEWGRLVSD >cds.KYUSt_chr1.232 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1305198:1310764:-1 gene:KYUSg_chr1.232 transcript:KYUSt_chr1.232 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAEEDAEVVLLGYMDGPKMSPVLLQVAHLTCVQAVLARRPPRPRLRSTLAWVSLANAGDLFKAPEPIIEEPLLTLDSITAAISIMSCYENTMDDTIQVSDMGLSEVMYECKKEVMEKSVIEEMISELLEAIPMLQVEKVPGELRASPLTGECSLQKSVSSECLNSADWMNGSVRPNFLDFQGLDFKAAFGLRKKWNLGANTPGLVTISDLKTEERKQKLSRYRMKKFKRNFGRKIKEGYGRQPAKNAREVRQDAPWRHAQAEEVKSWEDRRGCGEADEKDKVIVRNYATTELPVKLVVV >cds.KYUSt_chr6.1854 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11098882:11100839:1 gene:KYUSg_chr6.1854 transcript:KYUSt_chr6.1854 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRLHISQDPNSKHLGTTVWDSSMVFAKFMEKNSRKGRFCPSKLKGKRAIELGAGCGLAGLGMALLGCDVVTTDQVEVLPLLMRNVERNKSWIAQSNPDSGSFGMVTVAELDWGNKEHIRAVEPPFDYIIGTDVVYSEHLLQPLLETIIALSGPKTKVLLGHEIRSTTVHEQMMEMWKSNFDVKTISKSKMDAKYQHPSINLYMMDLKAPHVLDAGPNDNGITEEEDDDASNPGGEYEDPGVKTESCASSKEAENGNMDDWEIRRSGAMAARLLKDVKLT >cds.KYUSt_chr3.36293 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228269858:228270208:-1 gene:KYUSg_chr3.36293 transcript:KYUSt_chr3.36293 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWGQASMTRPSPSGHAQCHRPAAVVVSIGHARSSAAYHRLGHFPCPRLLAMAGVAVGATASMAAGCQAGIRPCIPPVGCFPSAGGGAPENRRLASGMAGEVGVRGVAVEGDAPW >cds.KYUSt_chr3.34373 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215630121:215631494:-1 gene:KYUSg_chr3.34373 transcript:KYUSt_chr3.34373 gene_biotype:protein_coding transcript_biotype:protein_coding MELCSVASIISLRSLAIQRKYLPKLLGFTSSSLKKFCKDVHQPLTETIVGTSPELPQDILMDIFSTLEIPDLIRAGAVCPSWHSACTSLLSLGLYKLAQTPCLLYTSESAGDSSAYLYSLSEKRSYKLTLPEPPIRTRCFIGSSHGWLVTADERSEMHLLNPITSQQIALPSVITIEQVKPIFDEYNTLHKYELSWYTGMRVGNSSPSIFALDKLRDQLHYKAFVFPDASTGSYIVVLIHNPMHQLSFARIGDDKWTWLPPHEGYDDCTYKDGLLYAVTGTGELHAFDFSSGHAVTVEMIIRMRNMYDCGYMYIVQAPWGDLLLIWRMCEDHDLEPEPGAPVFWNTTRFKIYEFDPSGSKLKEINRLRDHLLFLGHNQSLCLSADEYPSLKANRAYFTDDSFLWTTGLKNNHRDMGILNLDDNSKEEFVFPQLCSNFPAPIWVTPDLRKMNAASRGD >cds.KYUSt_chr2.4101 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24788911:24791113:-1 gene:KYUSg_chr2.4101 transcript:KYUSt_chr2.4101 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASAQLLSTFYDTSCPDALSTIRRVVTAAVRSEPRMGASLVRLHFHDCFVDGCDGSVLLADTGSFVGEQGAAPNAGSIRGMNVIDNAKTQVEAVCKQTVSCADILAVAARDSVIALGGPSWTVPLGRRDSTTASKTNAENDLPPPSSDLQGLTTKFSNKGLSVTDMVALSGAHTIGQSQCKNFRDRIYNDTNIDTAFATSLKANCPQPTGSSDSSLAPLDRTSPNAFDNAYYSNLLSQKGLLHSDQVLFNGGSTDNTVRSFASNPAAFGSAFATAMVNMGNIAPKTGTQGQIRLSCSKMSGSNEEEEPDKTWMTEGPAYVSAAFSFSFSFFFSFSFFSFSCFFFSVV >cds.KYUSt_chr7.564 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3136161:3143627:1 gene:KYUSg_chr7.564 transcript:KYUSt_chr7.564 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFDAYFRAADLDRDGRISGQEAVAFFKGSGLPQPVLAQVWTYADKNRTGFLGREDFYNSLKLVTVAQSGRQLTPDIVKSALFGPAAAKIPAPRINVPIAAPPTNSVGVPLQAAQAPGPRQQNPVINGSQGASLNPQVPQPGNLVRPPQPPNANTPPAQGIAPRPPVGGGLSGLNQTSSTTANLSTDWFSGKKSASPLGMTSQAPVRGASPPVNLGNVGIPTQSSTPAAPTPVITTSVKANPADLNLLSQPAVNDSKALVPLGNGTSSNSTFGVDPFSATPQPTQNSSFPHVVSNGLPSSTALGPAAGPHHPPKPVQPGPVQNLSSLPSHTGQLPPSQLAPKQNQLNSVPNTRGPLNTNIPGGQVPTNPKQFQAPWPKITQADVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPREILRKVWDLSDQDKDGMLSFKEFCFAVYLMERFREQRPLPDVLPDGIWAEGISLPSTGQFADNPSGQVTHPSAGFASRAMQGPHPGMPPSSMKPPPRRPLHFDDVDAAQAEQQKPKVPALEKHLVGQLSKEEQNALEAKFKEASDADKKVQELEKEILDSREKTEFFRTKMQELILYKSRCDNRFNEVSESMSADKREVESLTAKYDERCKKVGDVASKLSMDEATFREIQGKKLEIYNSIVKLQKGDGDDEKLQERANQIQSELNELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQEAAAVWDEEWDRFADDGFSIIKELTVEVEPPPVQESHPAVEDGKVSSNGASTEKEDNKSDKTAAEQTIEPEVSGSDSKSELAKAHPVSPAKHSDEPDKKQSVTNDDSPRSTSEVVDRGASDSPVRGDKANNRHSWGPSFDHGDDNDSLWNFDSKDGQNGDSDLFFGPQGLPPIRTGGSTSTSAFGKEQKPMFDSVPGTPVEKPFFDSVPGTPLQKSVFDYSVPSTPMQNSLFDYSVPSTPMQKSLFDSSVPSTPMQRSLFDSSVPSTPMQKSAFDSIPSTPMQNSFYDSFPSTPMQGSLFDSGPGRAESPTAGSTYGSGQQRGFFDSSVPSTPMYNNSSFSPRYSEAGDDSFSQLDAFGAKDNNSFGQRDSFSRFDSFGSSAELGGGKDAFGANDNNSFGQRDSFSRFDSFGSSAELGGNNSSDAFGRFDSFRSNTDQGSGSSFTRYDSMSSNADHDRSNAFARFDSMKSTTDSSHDRGYSFDDDDPFGTGPFKPSLKTSETTSSPTRHGTDSWSAF >cds.KYUSt_chr4.40888 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252647027:252648754:1 gene:KYUSg_chr4.40888 transcript:KYUSt_chr4.40888 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAIAGAGFFAIEVDPIKGKSNGETFSAVIKFKGTPLSPVQLSDELKDLVDELWDWQVCRLSETEFSVCFPSQATLRMGTRHGKLFLPINKVEVAIREAFLSPKPSLSLPSVWVQLSGVPEDLMEVDRLMAAMVLIGRPLEVDELSLRKFRTEPIRMRFQCRFPERVKGTVQLVVNGEGYDISVRAELGGRSGGNSSGPAPAPSPPGDDDQDDEDYDDLSPSEEEWNDLGKKDTEKRNKAVAEKSQDASKGKEHAQEVRGGGQGAGGYHSAPPLGGTESRLRFLDEYGSNLGTGDVLASFRQPRSLMVPAASPPRPAELSTDSQVSNPAPEGSVDMGLDPGPAAASVGEPGQLSGDGVGDADLTVGAGGGDGELPASPNHMVLSPPVGGVVPAGAAESEKAMGKLAATYSRALKKKKDNPLSVRKSSRHSKAAANLSVLEKAKKLAADKNLDSGTPPPTSLVSLPNDKLAHVLVDSCIIFNPSRGSPSEILDLVRARELAQASIAEAALKREKEVQLAAAREAAAQEAPLLVEDPGLGSPCGVAPGVRSKPKRASAKRPMLSTRKGRGKRAGLQ >cds.KYUSt_chr3.23900 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148237658:148239222:1 gene:KYUSg_chr3.23900 transcript:KYUSt_chr3.23900 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGKAAVSVAMVLVAFVLFASAAAAAAPDMSIISYNSAHGVRGLQRTEAEVRAMYDHWLARHRRSYNALGEFERRFRAFWGNLKIVDAHNANAEAHGFRLGMNRFADLTNAEFRAAYLGGAVPRSHGLHTVGERYIHDGVEALPEHVDWREKGAVAPVKNQGQCGSCWAFSAVGAVEGINKIVTGDLVTLSEQELVECAKNGQNSGCNGGMMEDAFDFIARNGGIDTEEDYPYTAKDGRCDHAKMIRTVVSIDGFESVPENDELSLKKAVAHQPVSVGIEAGGPEFQLYESGVFSGRCGTELDHGVVAVGYGTTDDGKDYWTVRNSWGPNWGEGGYIRMERNVTARTGKCGIAMMASYPVKTGPNPTPKPNPPEPTKPVACDRHNKCPAGSTCCCTYGVRKTCFVWGCCPAKAATCCKDGATCCPSDHPVCNQENRTCSKSKNSPYTVEALIRTPAKRRMATPLTDLIDSMFS >cds.KYUSt_chr3.10594 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62893709:62895025:1 gene:KYUSg_chr3.10594 transcript:KYUSt_chr3.10594 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSVERLAQRLVTPAELTPAGPLRLSWLDRYPTQMALIESLHVFKPSPDRDAAGAGPASTIQRALAQALVQYYPLAGRLGFTDDGGLLQVDCGGDCSGVWFTEAAAACGLEDVEYLEHPMMIAKDELLPPTPAEEKDERRLVLLVQVTTFACGGFVVGFRFSHAVADGPGAAQFMAAVGGFARGNRAASTVVEPQWGREAIPNPATAVIGSLPSPEGAKSLEYLAMDISAEYISHYKAQYNDASHGGSWCSAFEVLVAKAWQSRTRAAGFDPDSDVHLCFAMNARPLLHASLPRAGAGFYGNCYYIMRVSAPAGKVAGSSIPDVVKIIKDGKRRMPAEFTRWATGEAGANGGVDPYQITADYRTLLVSDWSRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVAAFHQGMLDMN >cds.KYUSt_chr2.6478 pep primary_assembly:MPB_Lper_Kyuss_1697:2:40366903:40375951:-1 gene:KYUSg_chr2.6478 transcript:KYUSt_chr2.6478 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRTSRGGPSTATPSTAAGRPYPPISAPPHPTPSSNPPPSETASTSVTKTVNGSHHFKIAGYSLAKGIGVGKYIASESFTVGGFEWAIYFYPDGKSAEDGAAYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLEGGPYTLKYRGSMWGYKRFFKRSGLETSDYLKDDCLLVNCTVGVVQSHTEGPKIYRIPVPKSNMSQHIGHLLTSGKKTDITFDVDGEMFPAHKVVLAARSPVFRAQLFGPMKDKNMRCITIEDVEAPVFKALLHFMYWDELPDIEELTGLNTTWVSTLMAQHLLAAADRYALERLKLLSELKLCEDVAINTVANTLALAEQHHCYQLKTVCLKFVALPENLKAVMQTDGFDYLQQSCPSLLTELLEYVAKVGEHTGASFFRGYTKLCKGLAVILFLVHLLVQLFPSALDYLALIPARTIPFAWNLITAGYVEQTIPGVIISIIGLLLFGKLLEPLWGTKELSKFVFIVNFSTSMCVFVTAIALYYVTQQETYLYTPLSGFYGVLSGLLVGIKQLMPDQELNLFVLKIKGKWIPSLIALISVVVSFFVNELVSSLPVILFGIYMSWIYLRYFQKRLETGLKGDPSDEFSFSSFFPGFLRPVLDPIASIFHRLLCGRSDRADSRGQTLETSPLTDSTEANRRRERGQRALEQRLAEKLAAVRSTESTSSDASDKV >cds.KYUSt_chr2.16180 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101780884:101781906:-1 gene:KYUSg_chr2.16180 transcript:KYUSt_chr2.16180 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSLGLPERLGYVQCKFCTTILLVSVPCSSLLLKMVAVQCGRCSGILSVSVASPPPSPPSPSSVELPLQELGVDPPPREWSDESSGADDAGEGQVAENNAAAAVNKPPVRKQRTPSAYNCFIKEEIKRIKAVEPKMTHKEAFSTAAKNWAHLPRIQQRETEGSPAGDTCKLR >cds.KYUSt_chr3.46252 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291058839:291060254:1 gene:KYUSg_chr3.46252 transcript:KYUSt_chr3.46252 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATATIVGAVALCCILLLAGGGVGAQPFDYPTASVPATWANTDAALPHHVVYADGSVARAALLRLNPAGYGPSFAFGFFCTNHRTAPCSDFLLGVAVVYCNSGALMTSVTSGIPQVVWSANRGSPVGAGAVAELTAEGDLLLRSSPGGKVVWSAGTKGRSVAGARIGSDGNLVLFDGTNRTVWQSFDHPTDTLLVGQSLQHGARLTANTSTADWRNGRIYLTVEDESLSAYVDAKPPQRYYHLGFEKAPGAYATYSNGSLAVFAKPGDTTPLTPIQLPTVGAGTVQYMRLEHDGHLRLYEWRSNAQGWEPVFDVLRLFPDDGCSYPTVCGAYGVCTDAQCSCPDAANFRPVDFRRPNRGCFPAATPATSCGSSRRQGTQHRLVSLRDTGYFNDHATSMRAVERVSEEACKKACLDDCKCAAAQFYYGPDAGDGFCYLQSEVLSMQTLQPEVVHYNSTMHIKVQAKSARS >cds.KYUSt_chr4.38346 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236547074:236547541:1 gene:KYUSg_chr4.38346 transcript:KYUSt_chr4.38346 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRVKVRRTRRGGGARSSSSAEVAGAEREDGEVGRERYLKRRLLLGGHLRVRSEESTVLTQRRPPSPMKENIAAPLAILGRGRGREREEEQGGGGGDWGRGERDENGMCRVWPGSAFVSHPTDAANLQVTCGPIGERWSEGAANPSAQCAYRG >cds.KYUSt_chr5.5585 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34923557:34929184:1 gene:KYUSg_chr5.5585 transcript:KYUSt_chr5.5585 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAAAEDIQKGTAVVVPAPRPSKGVASWAVDLLERLVVRLGHDKTKPLHWLSGNFAPVQDETPPAAGLPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFFGLFMVQMQELRKKLKVLDATYGIGTANTAFVYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGVMLDPVPITIPESVMMHDFAITENYSIFMDLPLMFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDEKSIRWFELPNCFIFHNANAWEEGDEVVLITCRVENPDLDKVNGEQNEKLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRINESYTGRKQRYVYCTILDSIAKVTAVIKFDLHAEPDSSKKQLEVGGNVRGIYDLGPGRFGSEAVFVPKEPGVSGEEDDGYLVLFVHDENTGKSEVNVIDAKTMSADPVAVVELPTRVPYGFHAFFVNEEQLGLQDV >cds.KYUSt_chr7.25423 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158642083:158645839:1 gene:KYUSg_chr7.25423 transcript:KYUSt_chr7.25423 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGKTRSFSTIKNSFSQSTHVLPVPIPRLTHRNLPVLLSYATVSGLLLKIPLLHSILRSLSSGPVPSLSLSFLSLLRRSGHMPLDNYSLCAALGTAARMPSVVAGVQLHALSEKLGLGSDTFVLNTLINMYLACSYPSAARSVLDSAPQGASDTVSWNTIIAGYVRGGLPKKALQAFIQMANGQVRLDDVTLLNALVACAKTCTMEVGKLCHALLVMNGFEVNCYMGSSLISMYAKCGLVEDARRVFDRMPERNVVCWTSMISGYTQLGKSKEAVKLFRDMQIAGMKPDDATIATVVSSCGQMGALDLGRYVHAYCDVHGLGKGISSRNSFIDMYSKCGDVKKAYEIFRGMVRRDGFSWTVMIMGFAVNGLSGEALDLFAQMEEEGEVMPNEITFLGVLTSCSHQGLVEQGYHHFYRMSKIYSIAPRIEHYGCMVDLLGRAKLFAEARQFIEDMPIAPDVGLVERVVVIFYDKDHIPIEKFVFKLAVSQSYGSNLEQSSLEFALRAFLIKLTVAEPLTKPLPPDSSWEIAAYFRSLPTDGVKDNEQDAQMWIPTDTKMWMQPPQITPIKSMGCDPLKMQLYLEQPNLAEAKDPAP >cds.KYUSt_chr5.13805 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89788267:89791934:1 gene:KYUSg_chr5.13805 transcript:KYUSt_chr5.13805 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTHAYFKKTQASKLGDAQDIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFNVLLGSYCMQRGVLRREDVAGTLAELAAGTVAGRRSDDEITVFKSVGTAVVDLLAVQLAYENYIATKNA >cds.KYUSt_chr3.6871 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39473588:39474475:-1 gene:KYUSg_chr3.6871 transcript:KYUSt_chr3.6871 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRAAAENVLPGRSHGGKRFCFLLRLRRRLGLGITSQRGLALALTEHGKKPPAEPDKKRRGLKLLRFLRSRLARASRLPSVLRRKNKKPPLPPPPPSPPRAALANSGPRTAAIPSLLKTLAMMRPAKTTVLCILAGFAVSAASISALRLMAGFMIPSANCTLWRCFFAEKFLQFMGPPLFEWLSRISLKLVKFLDSPALCEWLALPKLTLKWFFNK >cds.KYUSt_chr4.41977 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259602124:259605203:1 gene:KYUSg_chr4.41977 transcript:KYUSt_chr4.41977 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPAQDAAASSPSGGSSRGSSRRSGPRLERRNASKHIPYQYDQDLYCSYPPSSPPPPRASSATTSLACSVDLSSFRIGGSGDGGGDVQLLCRNLGLSGPDDFAISVTDWEAHKAYRSSSASTSPSARSKPDRPQPRESPLRPDPAEVPAFSLAADFELPAKKTEPIEPPSRPAWLDPTSRPSKPASEVGGIKGVRPPPVTLKPQPTMLKPPPSMARLPKACLAGSTWDILQSFAPEDAQPIRSARDFGCEDAEEDEDEAEVLTLDDLRLGDTSEEFTGMSSISTTNDDETADPMFYISPNGRFKRKIRSWSRGMLLGSGSFGTVYEGISDEGVFFAVKEVPLHDQGSNAQQCIFQLEQEIALLSQFEHENIVHYYGTDKEDSKLYIFLELVTQGSLVSLYRKYRLRDTHVSAYTRQILNGLTYLHERDIVHRDIKCANILVHANGSVKLADFGLAKQASKLNVLKSCKGTVYWMAPEVVNPKKTYGPAADIWSLGCTVLEMLTRELPYPDLEWVSNQYYLLQF >cds.KYUSt_chr4.2592 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14509591:14512959:1 gene:KYUSg_chr4.2592 transcript:KYUSt_chr4.2592 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGAVRSLSILLPILMAAAITVAAAAAAPAPPSPFRTVYAFGDSFTDTGNTHSTTGPYSFGYVSHAPYGATFFHRSTNRYSDGRLVVDFLAADALRLPSFLPPYLSTLSSNSAAANATNNPGGSVNFAVAGATAIEHEWFVKNNLSFDITPQSIMTELGWFDAHLKARRIAQKDVGEALFWVGEIGANDYAYSFIDAATIPRKLIRTMAVDRVTNFLEGLLKRGAKYVVVQGLPLTGCLTMAMTLAKPDDRDDLGCAGSVNQQSLAHNRLLQASLRRLRRDHPGAIIAYADYYAAHAAVMKSPAQYGFAEPFKACCGSGGGDYNFDVFSTCGSPEVPTACAQPARYVNWDGVHMTEAMYKVVAGMFFQDNAGKYFRPAFGSLLARKGHGN >cds.KYUSt_chr4.51281 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318060363:318082337:1 gene:KYUSg_chr4.51281 transcript:KYUSt_chr4.51281 gene_biotype:protein_coding transcript_biotype:protein_coding LDDNALNDVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECVCYIYHHMAFEMYGMLAGNVSALTGEYVKPAYGGEKEAFLKKVITPIYTTIAKEADRGKIEKGNHSQWRNYDDLNEYFWSTDCFKLGWPMRADADFFCQPTNPPDERNESTVRSDKQKGKVNFVELRSFWHIFRSFDRMWNFFIIALQIMVILAWSEGGSLGNVFDPLVFKRILSIFITSAILNLGQATLDIIFNWRARRMMESSVKLRYVLKFILAALWVILLPVTYAYIWENPTGIIRAIKNWFGNGRNQPSLFVIAAVIYLLPNMLGAALFVLPILRRILESSDYKFMRLIMWWSQPRLFVGRGMHESPFSLFMYTMFWVALLLTKFVFSYYIEIKPLVVPTKDIMKLPINHFRWHEFFPRAKGNIGVVIALWVPIILVYFMDTQIWYTIFSTLFGGIYGAFQRLGEIRTLGMLRSRFDSIPLAVHDCLVPAETSDGRRKKGLKSNLQKRFKEMKHEDKEKVAARFAQMWNEIVSSFREEDLIDNREKELLLVPYVADKGLRVMQWPPFLLASMVPIAVDMAKDSNGKDRDLKKRIENDYYFSCAIEECYASCKNIINDLVQGEPEKRVINIIFDEVEKCIAEDKVITDLNMKSLPDLYDKFVELVDFLKRNDDKERDSVIKIFQDMLEVVTRDIMEDQLPSVLESTHGGSYRRPEGTTTWDQEYQLFQPSGAIKFPLQVTDAWIEKVKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMNMPDAPKVRNTLSFSALTPYYNEHVLFSIKELEEENEDGVSTLFYLQKIYPDEWKNFQERVGWEEDLKDNEELMEELRLWASYRGQTLTRTVRGMMYYRKALILEAFLDMAKHEDLMEGYKAAESISDEEWKIQQRSLLAQCEALADMKFTYVVSCQQYGNDKRSALPNAQDILQLMRTYPSLRVAYIDKVEDRVGEKKIETAYYSTLVKVALTKDSESADPVQNLDQVIYRIKLPGPALLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTVHGVRHPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLADPLKVRFHYGHPDVFDRIFHLSRGGVSKASRSINLSEDIFAGYNSTLRGGNVTHHEYMQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLSSQRKFSHNHALQVALASQSLVQLGFLMALPMMMEIGLEKGFGQALSEFIMMNLQLAAVFFTFSLGTKTHYYGRMLLHGGAQYRSTGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIIYELFGHSSHSTVAYIFVTFTMWFLVLTWLFGPFLFNPSGFEWAKILDDWSDWNKWISNSGGIGVSPEKSWESWWEMEQEHLKHSGIIGLIFEIILSLRFFLYQYGLVYHLNVTEDKSILVYLFSWLVILGVLVIMKIISVGRRRFGASFQLFFRLIKFMIFVSFAAVLVVLILILHMTIRDIFVCILAFLPTGWGILLIAQACRSILKVAGLWGSVRALARAYEVIMGVLLFTPITVLSWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERAASTKD >cds.KYUSt_chr2.11071 pep primary_assembly:MPB_Lper_Kyuss_1697:2:70316662:70317900:1 gene:KYUSg_chr2.11071 transcript:KYUSt_chr2.11071 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKAAYQRIVDNFQSLVIVHLAAASLVALVRLVPEELTSLVRELQPVHLFFAGFLPAAAVVVYLMLRPCAVYLVDYACFRTTYTSRVPFATFLEHSKQSPTLNSRSFRFISRLLERSGLGEETCQPTAQHCIPAHKYCTIDEARAEFELVVFSAIDDLLAKTGIAPDAIGILVVNCSLFCPTPSLVDMIIHKYNLRGDIRSMNLSGMGCSAGLISVGLARSLLQVAPRGSHALVVSTETITPNYYVGNERAMLLPNCLFRIGGAAALLSTSPVNARFRLKHVVRTNTGAGNDSAYRCVFQEEDDEGNVGINLSKDLMVIAGKSLEANITRIGPLVLPLREQLLFALSLVARKVFRARTKPYIPNFSKAFKHFCIHAGGRAVIDELQKSLSLSDEQVEASRMTLHRFGKHVQ >cds.KYUSt_chr2.40212 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249709452:249712772:-1 gene:KYUSg_chr2.40212 transcript:KYUSt_chr2.40212 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPLHLNFEQSTILVLKHGLIDRFLLQQKIVIKVSMSCDKSRSKALSMAARAAGVTSMGITGDARDQLEVVGDGVDPVCLVNCLRKKLGVAHIVQVEEVKDKKPEEKKKEEPKPAAPLLYPYSGPGYYHHPYPSQMVVCDEPSNCAVM >cds.KYUSt_chr4.13232 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81407921:81411398:1 gene:KYUSg_chr4.13232 transcript:KYUSt_chr4.13232 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVEVTKSYSDPHAYNSGPSFIAKSLARRNSALLSKGKFDEWDETFIVDAKAEAAKEAAQWVERQQVPGDPDEAVILSSFNVQRFRKLKEEERAFINDANCEHAIEIPRQQAATEEAGRFLMAA >cds.KYUSt_chr5.35325 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223221009:223222964:-1 gene:KYUSg_chr5.35325 transcript:KYUSt_chr5.35325 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLDCPVQTQMAVAVLDRSFSSEYPASSRTEGRSLSWKRVFVQTDNGSVLGIELERGENAHTVKKKLQIALNVSTEESSLTFGDLVLNNDLSNIRNDSPLLLTRNQMHRSSSTPCLSPTGKDVQQPDRSGPIAILGCSSPSSQMKQLAKDVVKAIKNGVDPVAVNSGMGGAYYFKNMWGEHVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKRSVRVGETGFREVAAYLLDHDNFANVPPTMLVKITHDVFNVNDTVGSKNKMFHNKSQAVSKIASLQQYIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHSGNLLVRKVGPGSDNFGVQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSEEELEYIADLDPARDAEMLRMELPMIRKACLRVLVLSTIFLKEGAAFGLCLSEIGEMMSRQFTGKEEEPSELELLCMEARKWVEDRELFLPEAGVEDDDDDFTQFSLDGEDDSDAFESSPFSKFGPIKAGHRNPLSKLDECDEEDDDAKEAIKDDADPSNGMFPKLVPSVSKLSASLKGTGFFGKAKGYHGGIPKSKLGAKTNYSGEGSMSMLHQSGSRSANEMLPPSASFVKLSDMGSEEWSAFLDKFQELLPGAFQARKHAAGAGPRPMQRLGTSCQF >cds.KYUSt_contig_528.677 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:4024976:4030867:1 gene:KYUSg_contig_528.677 transcript:KYUSt_contig_528.677 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVESDSGPELSAEEEMALIRDSTVAAEAHAKEGDIFFLVTNRWWQSWIDYVIQDSAGVTSNGSHQHEFGSNAPTRPGPIDNLDLLDDVASEVSSMDIELHDTLVEGRDYILLPQQVWEKLHGWYGGGPTLPRKAINTGFSQTDLAIEVYPLRLQLILMPKGEQAVIRISKKDTVDELYKKACEVFDLVPDEVYIWDYYGRTKHALMDNLEKTLEDANIQMDQDILVEVTANANGSLDGGCMSSIQENDYFERGSTSLTTDASKSGFSNENFASSSYGSRSYSSSLPQSQYLRSSNGDLDTLPGTVGVTTRGFPSGLTGLLNLGNTCFMNSAVQCLVHTPEFARYFREDYHCEINWHNPLGMVGELALAFGELLRKLWAPSRTPVSPRPFKTKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHRPYLKSKDADGRSDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPTCGKVSVTFDPFMYLSLPLQFASTRSITVVLFTCDGSAPPTLYTVSVPKQGRCRDLIQALSNACSLRKEERLLIAEIRNHRVHLILEDPVLQLSTIKDDDHLAVYRLPKLEKRASYVQFVHRREDLDHGNNSSLTSWKSYGVPLLAQICRNETVTGSDIHEMARKMLVPMLRNQVSQHSAVQSSLSTRTQSYHTDNSKFQLQLIDDSNTVIEQTDYGIRVPQSSLATVIFVNWSKSDLKKLNTNHLEHLPEVFKYAPPAKRTRGEPLSLYACLDAFLREEPLVPEEMWLVFCCTP >cds.KYUSt_chr6.3224 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18924336:18924731:1 gene:KYUSg_chr6.3224 transcript:KYUSt_chr6.3224 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDLAHYLLKKTKKDKENAAAMAASPSKEAYRRLLVQKILNKRMWILASRNKPPEPENVSAAPLRIQFVFPATPVKQRRYIPHFGERTLDAPDLVNDWGAAT >cds.KYUSt_contig_2549.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000380.1:165452:174914:-1 gene:KYUSg_contig_2549.22 transcript:KYUSt_contig_2549.22 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPTEDVVVDTVVIDGDVNSIGSEQIESVKRIEPAELNWKQKLSKGISCPTAGECVAWQLKVWCKKKKPLQQELTNLEDQIREIQMKPDQQQDHTKEAALSIRPFIHTQLPQDLDDYTYTVPDEKEILDTLKDMKRNASPGPDGFNVEFYIATWSWIGQDVTQLEAMAANDFAGEEAGVAGLIQGRDVEGDTYLLRTLLLVGLDRSLFFLILFCPVQSEMLQEANTSNFKSKLQSLQITEAELDNINAGIARNMEKEIWEKEPGDVQSCKKRRISNPARYNTEDWDQLKVMLITL >cds.KYUSt_chr1.39797 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243624184:243632710:1 gene:KYUSg_chr1.39797 transcript:KYUSt_chr1.39797 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAQKANRHVASNAALYWNPSVLRPTPRFPISAPPSFFETSTATEETRAVGGEDAMLHRLGLRGSPSAPADGASPSAPPAGNGAGALGRPLRLVYCDDKGKFVMDPEAVAALQLVKGPVGVVSVCGRARQGKSFVLNQLLGRTSGFQVASTHRPCTKGLWMWSAPLKRTGLDGTEYNLVLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEASIDRLSLVTEMTKHIRVRASGGRSTASELGHFSPVFVWLLRDFYLDLTEDNRKITPRDYLELALRPVQGGARDVSAKNAIRDSIRALFPDRECFTLVRPVNNEKDLQRLDQLPLSTFRPEFTSGLDAFTKFVFDRTRPKQLGASTMTGPILAGLTQSFLDAINTGAVPTISSSWQSVEETECRRAYDSAVDIYNSSFDRKKTAEEDALREAHESAMNKAISAFNASAVGAGSARSKFEKLLQTSLRKSFEDFKRNTFLEADLQCSSRIQSMESKVRAACNRPDSKLDDVVRLLDGLLTEYESTSYGPKKWAKLASFLHQCLAGPVLDLFKKQLERIDAERNALRLKCSSSDDKLALLTKQLEASETHRAQYLRRYEESVNDKQKLSKDYSVRMAELQNKGSKLEERCMSLSSALEQAKRESVDWKSKYDRNILQQKADESKLKSQIASLESRTNISEGRLSAIREQAESAQEEAAEWKRKYEFASNEAKTALQKAAVAQERTNMKVQEREDALRAELANQLHEKEEEIARLNAKINQTEVNATSLTSRLQLTEGKLKIYESESVALKGEIRLLTDNLESIKTEAQSHAKEVKILEQEKNHLQEKFLSECKKFDEADRRCKEAEREAKRAVELADLARVEAAAAQRDKGEAQRLAMERMAKIERMERHVESLEREKNKMLEEMEQLRQSELDAISKVTMLDERVDEREKQIGEMLQQNNQQRSSTVQVLESLLETEREACAEANKRAEALSLQLQATQGKLDMLQQELTSVRLNETALDSKVKASYSRRTRGEATESVHYMDVDDDNSGRRRKRSKSTTSPFKNNHTEDGGSVFFGEDNDNGSQQVEETETEDYTKFTVLKLKQELTKQGFGAQLLQLKNPNKKEIVALYEKHVVGS >cds.KYUSt_chr2.34772 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214651088:214654489:-1 gene:KYUSg_chr2.34772 transcript:KYUSt_chr2.34772 gene_biotype:protein_coding transcript_biotype:protein_coding TTVEVEAALHLAGWSAVWVLTIGLDGGPPVGAPDPAALPPASNLGARHPNQTLPAPPLPPLARRRSIEAMQ >cds.KYUSt_chr6.9377 pep primary_assembly:MPB_Lper_Kyuss_1697:6:57791578:57791817:1 gene:KYUSg_chr6.9377 transcript:KYUSt_chr6.9377 gene_biotype:protein_coding transcript_biotype:protein_coding MENFRDQEAQQRQAAAAARVVHSQVRKIKEEEGDKVKVHETYQPHVAEMRLVLRDLGRHRSRSPLGRAARPAISIGGDS >cds.KYUSt_chr7.37610 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234552900:234556462:-1 gene:KYUSg_chr7.37610 transcript:KYUSt_chr7.37610 gene_biotype:protein_coding transcript_biotype:protein_coding MIYHLLLFHVHPFWLQLLYFASISVLGFLILKSLPMTTSRPMDVDLIFTSVSATTVSSMVAVEMETFSNSQLLLLTILMLLGGEVFTSMLGLHFTYVKQSKKKGAPHDHSANKLSPAIDGDHDDPTTAENQMEQGGLKSQPPYDGASLTRLLLFLVLVYYVVVHLTGYTLMLVYLSVVSGARAVLSGKGISTYTFSIFIVVSSFANCGFVPTNEGMISFRTFPGLLLLVMPHVLLGNTLFPVFLRLAIWALHRVTKRPELGDLRRIRSPCPCDGDSVAYDHLLTCRHTWFLALTVAVFVLLQLVLLCSMEWGSQGLHGLTAGQKVVAALFMSVNSRHAGEAVVDLSTLSSAVVVLYVVMMYLPPYTAFLPLKESDKQMGSESDQKSRSIWQKLLMSPLACLAIFIIVICITERQKIADDPLNFSVLNIVVEVISAYGNVGFSTGYSCGRQLMPDGSCRDAWVGFSGKWSREGKLLLMAVMFYGRLKKFSMHGGEAWKIA >cds.KYUSt_chr3.34735 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217817698:217821921:1 gene:KYUSg_chr3.34735 transcript:KYUSt_chr3.34735 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPTHSAPTAATSSWHGMSQRHWFFRLQQAGIRARSALVSVVYQKGLSLSSTSRQSRTSGEMINIISVDADRVGLFSWYMHDLWLVPLQVGMALFILYSTLGVASLAALAATVVVMLANVPPMQMQERFQQKLMDCKDFRMKSTSEILRNMRILKLQGWEMKFLSKIIDLRKTEESWLKKYLYTSTVATFVFWGAPTFVAVVTFGACMLLGIPLESGKVLSALATFRVLQEPIYNLPDTISMMIQTKVSLDRIASFLCLEELPTDAVQRLPSGNSDIAIEVSNGSFSWDASAEVPTLKDLNFQARQGMRVAVCGTVGSGKSSLLSCILGEMPKLSGEVKTCGTMAYVSQSAWIQSGKIQDNILFGKEMDTEKYDTILESCSLKKDLEILPFGDKTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEIVIVVLLKLHALTLSRHGMSFSVFTLSVASGLPPRHPHIEMLSGDVPTRLGLCVLPQPRE >cds.KYUSt_chr4.48921 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303073532:303075249:1 gene:KYUSg_chr4.48921 transcript:KYUSt_chr4.48921 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEPKDIDWSRVVSRYVRDDTYEGIHAPRWADLDDPDAVAVDDHAWFCRPDCRHPRTAEDFVRMTPSPKGKLLRSVSAMLPFGERDTNLRDGNDNNLKRRGAAVPVGGGTAAFASPSKPKAAPRKRFQDDAENQDPALTTPPPPAAAGRPPFGGAPRWARNAKEAIKSSAEKRPGNAEREALLSKHVPPRQLKSTLSARNLFSGRDILGQISDFYNELKRMAGGGGGGQQQSVSEEEMSPIPINASDVVEKVDCGGSSGAGRLAPDAVKKVARQEAVEKTPSPLKGKKIGLKVEAGKQRSPSVLREVKATPPTPQRFPSPSVNRVKNVKAAGMATSSSPLKKPLKVTESGMPM >cds.KYUSt_chr2.45775 pep primary_assembly:MPB_Lper_Kyuss_1697:2:285567337:285570105:-1 gene:KYUSg_chr2.45775 transcript:KYUSt_chr2.45775 gene_biotype:protein_coding transcript_biotype:protein_coding MHWTAELHSSFLQAIRCLGGERHATPKLVLRLMGVKGLTIAHVKSHLQMYRGPSPRRVKKDAQPQLQRKHSCAADEQGGPKAFMCPPLKRAVMGTEATHKGMQGSHGISEMKTAAGPGSTQHCIDDYMQALAVERRIKDEGLRWQRDAAATAASNLQTVGCLEQGSGDFKIMKPEARYPGPVFLFGDAAREISPEQRSLPLSLALDPKVIDAGSSSRSEGSCIISSPSPRGRSSSGCSGHSFSFDASGVNLELSLSICGS >cds.KYUSt_contig_319.655 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:4185502:4185708:1 gene:KYUSg_contig_319.655 transcript:KYUSt_contig_319.655 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLRDSPSRKDGIDEAAETTLRVYGCDLIQESGILLRLYPFVTPLHTLPFTLRFR >cds.KYUSt_chr6.32694 pep primary_assembly:MPB_Lper_Kyuss_1697:6:205932537:205935090:-1 gene:KYUSg_chr6.32694 transcript:KYUSt_chr6.32694 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHEHRSRTDQTFQKVDLCLVVPGIMSFTLFFATPEIGYPAQLDRTTSPVPGAGGYQSFFSLPPPSRSMLDHDKKPRVVGGTCSPAPTSASVSKVLGGDDLLIEILLRVNFPTSLVCAALVCRRWLRHASDSAFLRRFRELNPPCILGFYTNPWTPQRTPRFFPMLPQPPELAALIRRATSCFDNYRRVPALSTYISDCRNGNILFSQSGVMRGVLSLRCSERGMAIVPKFPPPETDKFYSYCPILSKEDDDSLSYLAVLVQSTTECSMVERKHTVHVYMLRDGVWCMLNSAIGQIPPPCLAPKPVISSDKIYMPSGKSDVVVLDLTASCYSIIHLPQGVEYGLKNTMFSRAKCAAGVYLVQIKELQLCIWLHESDSWLLVDTIFLGDTCPSLSMLSCNTSPKIKNVEDNAEFMLLEMDGCLFYLDIKCRAIHKVYEITNNERGFGEVYPFMMIWPPTFPLLKDDPSRNAT >cds.KYUSt_chr7.31619 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196954960:196959417:1 gene:KYUSg_chr7.31619 transcript:KYUSt_chr7.31619 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKQQSIYPEVPQSHLFPADAAEDDPPTVEETLVSVPGAQLHLVDPDRSLDLGAGTLSVVRLRQGDHAVTVLARLTPTKTSKWRGLFGLLSSSSGATSSSNEPVQWPLAASPQATARQLRPALGSAFPTGSQALIAMETSLDMSLDDMIKNRSVRGRGRGRIQRGGRGRGDGQRASMLMLQTEKKLPLLMASMLMLQTEKKLSLLMASMLMDYVFTSWILISLDKSFNKTKDFAWTHDRFEDSMVAAGLSGIETGTKLYISNLHYGVTKEDLQELFSEMGHLKNCAVHYDNNRHPTGTAEVIFTRRSEAVQALKRYNNVRLDGKEMKIEVIGANLGLTAVSAPRVSVVPGARGRGQREVVMMSRSSGFGRGGSATVSHKEEDRPVVVAVAVVLAGVVDVDVLSMCRRGLWGNQSSNWTRSWTPIILEQ >cds.KYUSt_chr4.39212 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242022610:242025854:1 gene:KYUSg_chr4.39212 transcript:KYUSt_chr4.39212 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPPHRLLLLFLVAVSWALGGAGIGGFGVGAEALISNGASFSRRLLQIGGENQGAQFLFSPGQAPSSGPVSAPSPSPFISLSEGSPSPFSQPIRQQPPSHHHPPIVRPHPLTARPATHGAEHDHSAQTPSRSAHKHSLTTYGLVAAGISAFLIISAVGALYCRAKKVGTVKPWVTGLSGQLQKAFVTGVPALKRSELESASEDFSNIIGSTSSCMMYKGTLSSGVEIAVASSLITSAKDWSKEWESQYRKKITSLSKVNHRNFMNLLGYCEEGHPFTRAMVFEYAPNGTLFEYLHVRESEKLDWVTRLRISMGIAYCLEHMHQLKPPVIPRSFDSTTIYLTDDFAAKVSDLEFSSNTRAPSSSHGVSNSSSELEDAVHKYGMVLLEILTGRVPCSEEDGRLEQWASRYFDGGMGLADLIDPSIGSFSEEAARALCEIVRSCINPDPKRRPTMAEVAARLREITALGPDGATPKVSPLWWAELEIMSSES >cds.KYUSt_chr3.23919 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148424727:148427588:1 gene:KYUSg_chr3.23919 transcript:KYUSt_chr3.23919 gene_biotype:protein_coding transcript_biotype:protein_coding MVASIAGATPSSSSATGCRAKREPAQMHASIRRSRSEPHLRCSRRGGAAGASLTTSRSIGVFPFQFGAAPIRPPPLPEGGGGDGYRLLTVCAGETDPDPDPDPEMPQVRRPDDHWLDRLLELRSRFHDPTKRFFADDDTLFEDDDDYHDHADGGCAVGYDDEDADAAEQDGRWDRESFTKLLARAPPADARLFAQLAFLCNMAYVIPEIKEEELKKHYGLTLKTSSVQKKAEASAKSAQVDTDSAQPTTDAPKYEVAAEPQPRRPIRRSNHLAYEVAASAASYVQRRARGLLSLSGQQQPAAGDQDRLYNSGMAAYVAASTVTAVVAAEDEARQEAARDLRSPLSSPCQWFACDEADGTTRCFVIQGSDSVASWQANLLFEPTTFEDTGVLVHRGIYEAAKGIYAQLMPVIADHVHKEGARLRFTGHSLGGSLALLVSLMLVARGVVSPDSLLPVVTFGAPSVFCGGQRVLEALGVGEGHVRAVAMHRDIVPRAFSCRYPGHAVALLKRLNGALRTHPCLNSQKVLYTPIGATYILQPDGKASPHHPFLPKGAALFRVDPEERARRPERTVVASALRAFLNSPHPLETLSDLSAYGAEGAILRDHESGNYFRALYALTKVAPRRRKQPEIVWRLPGVERLQHYWWPGVADTVLPRPVVVRNKELVAEA >cds.KYUSt_chr3.24773 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153756455:153757921:1 gene:KYUSg_chr3.24773 transcript:KYUSt_chr3.24773 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDEQQPPLHILFFPYLDPGHIIPMADMAVLFASRGVRCTIITTPVNAASIRSAVDNANDAFRGTDCPPIVISVVPFPDVGLPPGVENGTHLTARGDRHRLSQAVTQLREPFDRFLSDHHPDAVVSDSFYYWSADAAAEHGVPRVGFLGTSLFAGACTDSIQRYNPLETAPDDPDALVSLPGLPHRVEMRRSQMSSPGRRPPDNSTVSKRVNAADEKNFGEVFNSFHKLEPGYAEHYRTTLGCRAWLVGPVALTNKDMAGRGASVLSPDADSSLQWLDTKQPGSVVYVSFGSMVSFSPAELHELARGLDLSGKNFMWVVGGAGPSSSEWMPQGFADLVAPGGRGFIIRGWAPQILILNHPALGGFVTHCGWNSTLESVSAGVPMVTWPRFADQFYNEKLMVEVLKVGVSIGAKHFRSATHQVISGEVIAESIEKLMDNSEIQKMAKDLGVEARRAVENGGSSYNDVGRLKDELMARRSGVKVGEDK >cds.KYUSt_chr4.33782 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207271219:207274939:-1 gene:KYUSg_chr4.33782 transcript:KYUSt_chr4.33782 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSAPITPAAHHLHPPHGHANLHRLLLPIGRRGVGGVVVVRAVSGDGGRGGGPSYLDMWKKAVERERRSAELAYRLQSSPPPAEAEAGAPPPPADVERRTARFEEMLRVPREERDRVQRTQVIDRAAAALAAARAVLKEPPQQNPPQPPPPKPKQPATAADVTGSGNGSGSRKAAKGLEDRVSLADAAPAAPVASQSAKGPNSGNSSTSKQASSKLGTPGPDFWSWLPPVENSSELRESSTDLKPSKKVDSFSSQPDLVMEKERSADFLSLPFVTSFFEKKEDRSLPPFQSFAEPENLDSDPNPAAEAEEVFETQFSKNAAEVARALSTSDEESSHGVDPDGSKWWKETGVEQRPDGVVCKWTVIRGVSADGAVEFEDKYWEASDRFDHKELGSEKSGRDARGNVWREYWKESMWQDFTSGLMHMEKTADKWGKNGKGEQWQEQWWEQYDSSGKAEKSADKWCSLDPNTPLDVGHAHVWHERWGETYDGSGGSVKYTDKWAERSEGDGWSKWGDKWDEHFNPEGQGVKQGETWWEGKYGDRWNRTWGEGHNGSGWVHKYGRSSSGEHWDTHEPQETWYERYPHFGFHHCFENSVQLRSVPRQPPKNLKSGKRVDA >cds.KYUSt_chr6.23542 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148700747:148709125:1 gene:KYUSg_chr6.23542 transcript:KYUSt_chr6.23542 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTAEVTWLRWLLADFGVSADAPTPLLSDSNGAISIVRDPVKHELTKHIGVDAFYVRHAVQHQLIGWTPLIELKNIAEKDGIGARLIGKMEPYQPLSSVKDRSALRLIEDAEEKGLITPGITTLLGVTSGNLGIGASFIAAQKGYKFIAVMPAKLSLDKQILLRYIGAEVVLVDPAQHGFKALLDTVEQLRKDVKNAYVLDQFTNSANPDAHFRWTGCIKRGEQGQDDCDNVFKWRREVSEHRALCTVYNCSSLEYLDLSNNAFDGQLTADLNSLSAKLEHLNLSSNRFTGKILASIGKFPMPKSLILDTNNFDGSYPARNISNLANLQMLTLAENPFLLAPVPVEFGKLTRLTYLWLSGEIPDDFGKLINLILLFLYTNRLHGSIPPSIGLLPNLRDIRLCEKILSGPLPPQLGKHSPLGNLAVCNNNLSGELPADLCSNRKLYDLVVFNNRFSGKLPESLDGCYMLNNLMLYNNHFAGEFPKSLWSVVTNLLTTVMIQNNNFSGTFPTQLPWNFTRLEISNNRFSGPIQILAGKMRVFRAANNLLSGEIPWDLTGISQVIELDLSGNQITGSIPMAIGVLNLNTLNLSGNQISGTIPAVFRFMSALTILDLSSNALSGEIPKDMNNLNLNFLNLSMNNLTGEIPSSLQNEAYEKSFLFNSALCVSSNSSIRHFPICRVRVNNSNDISRRLTALLFVLAGIMLVGSVVAGFLLLKRQKNSQDPPSWKLTQFHALHFTEYDVLAGLCEQNCIGSGRSGKVYRVCVVDGEGGSRMVAVKKIWNMQNLDKKLENDFLAEVQILGEIRHTNIVKLLCCISNSEAKLLVYEYMENGSLDRWLHQRDGIGPLMPLDWPTRLQIAIDSARGLCYMHHGSSPAIVHCDVKSANILLDLEFRATVADFGLARILLKAGDTGSVSAIGGTFGYMAPEYGYQLKVNEKVDVYSFGVILLELTTGRVANDGGLEYCLAEWGWRQYQEYGLSIDLLDEGIQDPAYIEDAFAVFALVVICTGEQPSQRPSMKDVLHALLRFEHKSRERSLQHAVSEETSLLES >cds.KYUSt_chr4.6314 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37064366:37064890:1 gene:KYUSg_chr4.6314 transcript:KYUSt_chr4.6314 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPGLHACPFDHLRARPWRRRFARSSRLALAALTPVRSNRREAPAACGLRDRWLADARSPGTALNGGGRPPPAWIAAAPSGVTPCSMTASPVPAATRRGRARARGLPTPLLRRPHERSGEAPNAPMAAPAKALPARLPTPRGGQPTGASSLRLHLCRASMAAAAIGESGARP >cds.KYUSt_chr5.42767 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269427587:269428177:1 gene:KYUSg_chr5.42767 transcript:KYUSt_chr5.42767 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKAPRPRAEASAPATSVAVAAARAQDAHPLRRSAAFPPRRTHHAHSHSHPPPHAHAHAPQRCDSERIGGRASRQTRCGEVAGGTAAGCAAVCCCFPCVMVEVVVLATVRAPAALCRRAVKASRRRRSASAGQASDMYELLVDDGTVTGPRDAAVVWPAVQPSDEAGEMEKEVWASFYGAGFWRSPSQLGDEIR >cds.KYUSt_chr2.1991 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11987805:11988128:1 gene:KYUSg_chr2.1991 transcript:KYUSt_chr2.1991 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPNDEHIRSEKLSVKSKPAKKFAFRLGVGLPDFHGGESGMSTEELSILSRAGCVSPVGSESPGDGVRLLRDEGDGVDDVPKLQILCQLGRCANGGDGADPIVVA >cds.KYUSt_chr2.3064 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18002273:18002914:-1 gene:KYUSg_chr2.3064 transcript:KYUSt_chr2.3064 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPYSAAALKPSVQHRLASGASSAAAHRCGEHDATVPAEVARHHEHLAATSLGGRRCCCSAVVQRVAAPAADVWSVVRRFDQPQAYKSFVRSCAVLDGDGGVGTLREVRVVSGLPAASSRERLEILDDERHVLSFSVVGGEHRLRNYRSVTTVHPDVSETLVVESYVVDVPPGNTPDDTRVFVDTIVKCNLQSLARTAEKLAGAGGRVACSQ >cds.KYUSt_chr7.22215 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137543297:137543599:1 gene:KYUSg_chr7.22215 transcript:KYUSt_chr7.22215 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSRPPGMRLFGVTIAPAPALQSDHPDRDPSPNPPVAVREDVMRKCKSMGNLAALASGSGDVGGAGDGYLSDGGLLQSSGKRRRAQDRKKGAALPPFA >cds.KYUSt_chr5.11782 pep primary_assembly:MPB_Lper_Kyuss_1697:5:76415107:76417923:-1 gene:KYUSg_chr5.11782 transcript:KYUSt_chr5.11782 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEMPTQDVKLFNRWSFDGVQVADISLNDYVAVNPTKNATYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVQHTMEIIHLLTDANPIQIIIDAIINSGPREDATRIGSAGAVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >cds.KYUSt_chr6.9037 pep primary_assembly:MPB_Lper_Kyuss_1697:6:55818425:55823522:-1 gene:KYUSg_chr6.9037 transcript:KYUSt_chr6.9037 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSNALILPCKRKNNAQGKGKDGKKNKGKEDPKMSKTQLKKLHKLVEEKQKKALQAKSIEILQEHRIADDVYSLLHTTGSIGQAETMKEKRRRAVQFSKAGLDVPEELSLFKKNCDRKGVPEDSEAVLEASPVKFIKASKHDYPGSERKDHENDSVKPMMGFGVSILEQKTEGTKNDAGISVHQSIPQQKTETNDDAEVLAHQTVQSSVPNCSAAEIDLQDEEPQQSEASVQECFNLPIVVPVSRPPEVEKARRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRADRKGMIGITQPRRVAVLATSKRVSYELGLKLGKEVGFQVRHDKQVGSKCSIKFMTDGILLREVQGDILLKKYSVIILDEAHERSLNTDILIGMLSRIINLRKDTIAAQQKAIRSGMNIKPEDMISPLKVVLMSATLQLKDFISNRRLFDVIPPAVEVPARQFPVTVHFTKKTHDDYLSQAYKKVLSIHKNLPPGGILVFVTGQREVDYLCKKLQRASKRQIGKKPERVGDEYGSRTEIDEKEIFEAYDIDRTEPEHQDGMFSSYGEDEMDDGLNVDSSDAETESEMETDSDDEDSIAHETAEDGPVLSSLKGAVSSSVLKASFKAISGISGEPETAEELSNATNAEKSNPSIPFSKCTDPKSVSRARLHVLPLYAMLPASQQLRVFHDIPEGERLVVVATNVAETSLTIPGITYVVDTGKEKVKNYDHATGMASYEVQWISKASASQRAGRAGRTGPGHCYRLYSGAAYGKDDLFPEFSEPEIKKMPVEGIVLMLKFMTINKVVNFPFPTPPNKESLVEAECCLKTLEALDSEGIPTSMGKAMAQYPMSPRHSRLLLTIIKILKSQQGCTRSNLILGYATAAASALSYVNPFLIQGDTSRESNQDGSDPEHKDQNERKRQKKLKAMVQEARKDFSIPSSDALTIAHALRSFECSRNPVEFCRDYSLHLKTMEEMSKLRKQLLRLIFHHSKSCDEFAWNFGGPGDVEQAWRSETDKKPMLNEEELLGQGICAGWADRVAKKINTFSGLSKEDRKVRAARYQSCILDDTIYLHRASSVTQIPPEYVVYSELLNTKRSYMHGVTSAKPGWLLKYASSLCTFSAPLEDPKPYYEPKSDQVYCYVSPIFSRHNWQLPLHSLPIKDPTSRLQIFAWALLKGDVLPCLRVVQKFLALSPSAVLGPASQRRVGDLLSRMKNGEKLIDSRAALRHKWSTDPDFLYPEIHAWIQGKYQSQFEAIWEQMHQEVRLEGIELFPKRFKKVKA >cds.KYUSt_chr3.32913 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206793442:206794637:1 gene:KYUSg_chr3.32913 transcript:KYUSt_chr3.32913 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAFFTTLARGLDDLSGGGGGLSSLPALLRAAALLRGLHSQLTMLVGQLHLPPGGRWLDEYMDETARLWDACLAVKLGLAAVERYCAAASCAVAALDDWLQDPSPLSTRQVMRAVSASRREAMAAEEENRALSESRIAPLSLQLDERRAADARLSGFNGFRGLLYALHNASSLLLLILAGGACAAGSPCSSADGADTSTTGGGFMASIATLQKRMAEEAGDGTIRMDEFRSARAAAEAAREEVERAAAAAAAGASKLVDQDGGVKEKAEELKAWVEVLRAGTDGLVCQLDDFLDDIVEGRKELSDLCSH >cds.KYUSt_chr2.265 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1589181:1592030:1 gene:KYUSg_chr2.265 transcript:KYUSt_chr2.265 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGARPQLSVLHTTPLQSLIRPGPPYRSPLHRSSSSSVSLASPTETPFLFLPDPAAADMPFVPGPYSGVSTLALVARVSALGIGVVYGSVKLGILKALALALSQALEVMTESQAAMAIEIQRMDVEAKIAYIEAKRLDAEARRKSADAKIQAEDTRSILADLATIDNDTRAWFLKKRAEIRASHV >cds.KYUSt_chr2.45523 pep primary_assembly:MPB_Lper_Kyuss_1697:2:283875142:283876821:-1 gene:KYUSg_chr2.45523 transcript:KYUSt_chr2.45523 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATSCSGATGDDSSHGCCLIVTPLLHKAATAASGEVVIPVAADEAAPVLTCKPPGRLAKAVKEAWSVSLGIAMPMMPPLSATAAQDEARSILALAFPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGNHSLIGLTVQRTVLLLIAAAVPIGGLWMHMRPLLLLCGQDAAIAAVAETYILASLPDLLLQAFLHPVRIYLRMQSVNLPLTVCAALAIAIHLPINYVLVTVLGLGIKGVAMASVLANLNLLLLLLGYIFFTGIHRRTGGFALSAESFRGWGELVTLAVPSCVSVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGAGRPDEASRAATSGIMLGFAFGAFASIFAFLVRDVWASMFTADPAIVALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALVLAFWFHYDFTGLWFGLLAAQATCMVRMLLVIGRTDWAAEAKRSKQLTGSADDEPKVGGGADEKSRLLLDAAEDRC >cds.KYUSt_chr5.38563 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243987432:243991400:-1 gene:KYUSg_chr5.38563 transcript:KYUSt_chr5.38563 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFAVSGSLRGPLFTTAGLSGQSPQSRRFCDHPAPRIPLPSMGTDQRQGKLKAKMDTDFICQLGPAMYTDILNRERLRYPVSNKANHNYFINFKSERKKLVVADQGKQRPKALQKRARDLADGLLEYICSENENYKYVLIATKENANMLTKEGFISSYDRDEILDGLERIKKDIEDGRFQWSNNKDLRTNIIDKLIDIVGRPAKRLDIAISHYVQLLRVLQLWCHDSIDEVISQIKELQVYSCS >cds.KYUSt_chr1.26426 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159262080:159264974:-1 gene:KYUSg_chr1.26426 transcript:KYUSt_chr1.26426 gene_biotype:protein_coding transcript_biotype:protein_coding MASCIHTGSWVPKTCKMADEEHAQSSRKRVADKQINKDHPEPDDDSGDEETGTFKKASEEVMATRRIVKVRRQQPAPAPSTNPFSALRFTPSDSSVQASIPVSVPPPSDVMTANVEDSCTSEKVDEGSNGTGKDALSTEDKNTGSTEVAEIQKVEAALKESDGKEEDTVDGTVEGKVVVGEPKEDNGKTSGIESVTKDGDAEEKKAADEAGVEDKIVKDDAEKKDEAESGTKDGSPEQKDGDKPAPLFSFKNLSSGQNAFTGLAGTGFSVSSFSFGSGSKESSDAPLFGLKSDCSSFPSFNIGAMNNGSSAPALATAADAPKKFAMPEGPVETGEENEQAVFTADSAIYEYLDGGWKERGRGELKLNIPVSGGERSRLVMRAKGNYRLILNASLYDDMTLKDMDKKGVTFACINSIGETPSALTTFALKFKDTGIREDFKAAVETHKAKKAPDALKTPENSPKASDE >cds.KYUSt_chr3.19215 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118295142:118296270:1 gene:KYUSg_chr3.19215 transcript:KYUSt_chr3.19215 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSSSAPPPPPSSWVILGSIARISAEADSSDVSVALTAPPRVSILTVSPRANLGCAETQETIQRRRNHSTFLKYWTSRYFVLDATTSSAFQLPDPEPAYAIHHQAQLGLALSPTGDGHYMVAEFQQIIGCEKATLLCFSSQRGEWFEKKVDYPVKARPWTAIGVVSHLGRLWWIDLSWGVINFDPFTNRPDLGLVPFPPGTVLDCREGWGVTDKYRYVGVSAGKLRFVDTYTRGGGTPKVAVWTLADPDSTEWTLEHEATFTEIWADKTYKATRMPKKIPVLALIHPKNPRVVYFVLDKNIFGVDVRTRKVVECKVYGLVAPPSCHMASRFLRAWELPHPLPSGNN >cds.KYUSt_chr7.28527 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177970091:177971104:1 gene:KYUSg_chr7.28527 transcript:KYUSt_chr7.28527 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAEERIRREAHHRTHAMIKTWRVATSMPSASAFEEGPNLLTLTRQEKGIRVAVNVRCTHSCRKGDIFPLSPRPRALTRRQATAPAEHHHTSPPETPPPAGLETHAAQPPSAVPRQRLQGEHDARTPSPPNQEILGFHPGAKEGGKGMYLSVASKEEDGVRNVADAVAATAGQRSLPARAPDPATPTHHPDENVKMPLGWVLRGSGRRLQNRLGGRRGDHRDASNLHRLHAAPATEEAVISTGQRPPPSGTAPPSPCRGRRLRSPAPTGESAG >cds.KYUSt_chr4.26523 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166539403:166541819:-1 gene:KYUSg_chr4.26523 transcript:KYUSt_chr4.26523 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRNPSINPNASCVYLISQNREEKEGREGGEGGKEGGKGRLRRRRGFLGREGATKKKKSVPSGGQWEDVTTKIKQLERKGSGVVRRDGVRCRADHCADLDLRSCSPSMLILYGNNKKINCDIYLSDHRCTFLRVKRTGLIGNVDVMPFIFTFFNCLMLVANAIPDLDHSKPILIINILGSFLELIWIALFIYYSDGTKRAVAIGSLIVTTVVSIIVFSLVFTKVKQNKWSPTFLGYLAAISGVAMYTLPIRSTWKMVRLKDGGDLNLMMILASLANGGLWSAFAKVGRRGVNWFLMVPNATAILCASGQLIVWVYLFMNKPPVLPVVAPAQNQGGAALPAQNQEGAVGLQQGEGEGSRAGENLSQRQQPEGLRAGPSPGALASSSAVVTDLEMAIRSGSGASSKSVSDALSRSVSRSPTRSGTLTQSKPGSPSGSRSPTRSATRSKSGSPSGSHSPTRSATRSKSGSPSGSQSGSRAPSKPVSPSVPRSPSSSSSASDTQQPLVPPSSLHTPSPSPAETHDDSTD >cds.KYUSt_chr5.40934 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258383650:258385789:-1 gene:KYUSg_chr5.40934 transcript:KYUSt_chr5.40934 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAVGRGLEDLELWLGGLFTPAELAAADLLLQLSIPGARRDDQATAGESSSATTCSSRRSASPCLEDLDAEEEMEVQKRVVVEAPAVSIELDTRARKKYRLLSDLQYAATSPVATAFAPSTASCAKKRKRKRHHGEDDGSGSSSSEEATSPGHNFEDTSSLLTRSAAEEHQGSRGTVDLTSHTQNGRSAVLDISQLFNSGHHGRKPEEKKASKPEI >cds.KYUSt_chr3.39923 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251610071:251611273:1 gene:KYUSg_chr3.39923 transcript:KYUSt_chr3.39923 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPDVAAFEFYRFLSYGISWEKLVLPDKFASELIGRELREMKLRVAGSGGRRAWDVEVNVNEYGDMYLGRGWREFVSANGLELGQLLVFRYDGAALLSVTVFEESECRRPCQQQEEEEEQEEEEDDDDDSDEEEEEDDDNEEEEDDDDNEDDDDDDYDYEGAGNSPPVTPARAPTGSGSSRRGTAEAGTDPESSQFSVMLRKCHLGKDRQQYLNVPAYFHEAHGYVERSKVVLQMRGESWTVTLKHGRGGKRTEFRYGWHQFCVDNGLGLGDTCFFHALPEGSGRRGEDHVLRVEVRKQEGTILP >cds.KYUSt_chr7.60 pep primary_assembly:MPB_Lper_Kyuss_1697:7:346303:348515:-1 gene:KYUSg_chr7.60 transcript:KYUSt_chr7.60 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLLGLVVALAVGAGAVRAGKPVVPAVFVLGDSTLDVGNNNHLPGKDVPRANVPFYGIDFPGGPMPTGRFSNGYNIADFIAKNLGFEKSPLAYLVLKSRNYLIPSALTRGVSYASAGAGILDSTNAGNNIPLSKQLIYFASTKSEMEAAWGTQKVSTLLANSFFLLGFGSNDMFQTNPKTPADVAALYAVLVSNYSAAITNLYGMGARKFGIINVGPVGCVPGVRVLNATGACNDAMNSYAVGFAALVKSALANLAPKLPGFAYSLADSFAATQASFSNPQSLGFVSSDSACCGTGRLGAEGGTLCKRNDTLCADRDAYVFWDSVHSTQRAAELGAQGLFDGPAELTTPINFKQLAYKRY >cds.KYUSt_contig_2008.336 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:1913285:1915908:1 gene:KYUSg_contig_2008.336 transcript:KYUSt_contig_2008.336 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVVRRVIPSDNSCLFNAIGYVMEHNRNKAYELRQVIAAAVASDPEKYNEVFLGKPNEAYCAWILDSEKWGGAIELSILSEYYGREIAAYDIQTTRCDLYGQEKNYGERAMLIYDGLHYDALAMSPFEGAPEEFDQTIYPVDRNRSIGPVEGLALNLAKEANRKRSYTDTGSFTLRCGVCQIGVVGQKEAVEHAQATGHVNFQEYK >cds.KYUSt_chr4.39245 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242211583:242224861:1 gene:KYUSg_chr4.39245 transcript:KYUSt_chr4.39245 gene_biotype:protein_coding transcript_biotype:protein_coding KCLKTPDEPVSHEELELQPDLTYIEKPAKILEENWKQLRNRAIKYCKIQWKHHPEREANWEKEEDLRKTYPELFRVTTGDHVHELLPPVTSQSCCSYRQPPLRPAAAGNLTNHLLAVQLMDITEVLLATQSHDGQKRNVAEGNIKQFEEQSFPQFLHELSAELSDESKPPVSRRLAGILLKNSLDANDSTRKEKCVQRWISVDPAIKSHVKGSLLMTLGSPVPDAHRSSSQVIAKVASIEIPRQEWPELIVSLLGNMTKPDATPSLKQATLDAIGYVCEEISPKDLEQDQVNAVLTAVVQGMNHVENSSEVRLAAVKALYNALDFAETNFENESERNYIMKVICETAICKEAHVRKAAFECFVSIASTYYDLLEPYMQTLFELTANAARTDEEPVALQAIEFWSTICDEEVALQEDAEESGDVSSTCHFHFIEKAIPLLVPMLLETLLKQEEDQDEDDEIWNLSMAGGTCLGLVATAVKDAIVPLVMPFIEGNITKPDWRSREAATFAFGSILEGPSVVKLAPLVHNGFDFLLNATTDQNNHVRETTAWALSRTFEFLHSPDKCVSVVTDANLPRVVEILLASIKDSPNVAEKVCGALFFLAQGYNNAGSMSSVLSPYFGQLVSALLATADRSDSNNSRLCASAYETLNEIVRCSSIAETLNMIVLLLQEILKRLNQTFEFQITSSEDKEKQSDLQALLCGVVQVILQKFNNCDDKSVILQFADQIMVLFLRVFSCDSSNVHEEAMLAIGALAYATGTDFLKYMPEFHKYLEMGLQNFGAYQVCSVSVGVVVDICRALDDKVLPYCDGIMGALLKDLSSPELHRSVKPPIFSCIGDIALTIGEHFEKYVPYTMPMLQGAAELCSRMDRQDDDSSEYQNELRQSIFEAYSGILQGVKISKSELMVPYGASIFHFAELVLRDKSSRDEDLTKAGVALIGDLIDALGPSVKLMLQYSDFHSELLGWCSQSDDKQLRETASWVQGVISHVMAS >cds.KYUSt_chr4.15493 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95724947:95726835:-1 gene:KYUSg_chr4.15493 transcript:KYUSt_chr4.15493 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRSRSSSSERPLAQLVSLLTYLDVLAGPHARERFHFHQLWFGSPARLLTCVGKCVSCGEELPGEAGDDARRVVRRICGDGSSVGPSELLMLALNKHQCESYVLASGNNSCVLCSPATYWKLLLVLDRPSVLRGSLPYSRSAWVWRRFSDEMVGEFKKELEFKRSLTGDIQPPGTNLCGYYVCEFIRRYTSERTPSDNNVKRNNLRKTLSPEARFRSIQEELAG >cds.KYUSt_chr6.11540 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71690234:71690701:-1 gene:KYUSg_chr6.11540 transcript:KYUSt_chr6.11540 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKMVATEQLLVLCIALAIGSSHREARGTGLYLIFLAVLANTAMLLLVVRMLASRAEAASLPPDADAGARAAAAGKGIGSIATRWKRVLLRGMLVLAIGLSAASIVLAVVLFKEGSPLPAAIYWPMAAVPILIMVLVHGCCAWVAVTSEKQAF >cds.KYUSt_chr4.2891 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16474892:16477003:-1 gene:KYUSg_chr4.2891 transcript:KYUSt_chr4.2891 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAALLFTALLLLLFAPSPALSAAAGTIVFTTLGRSRYAFDIFALPLNHLALSSSSPFKSTSPELRLTDGISVNYNGNFAPSSDALLFVSERNGSHNLYLSPIPKPSTSRRRRQALQLDDDSPSPPPTPLLPWSDPAALRDRPTLTRDGSRLVYVSTAHPAAAPRRSWAAVYSTRIPHSLSHAHAPRRLTPPGVADFSPAVSPSGDWTAAASPGAHGWAGEVQDLRTDLYLFRTSDGSRRTLLVEDAGWPCWADDATLFFHRRDADGWYGVYRANIDVSHDAPSSTSVERITSPGFHAFTPAASPGAPGLVAVATRRPGSDYRHIEVIDLTSGTYYEVTRPLAPRAHHYNPFVSPDGARVGYHRCRGSGNGGSPPLLLESIRSPAPDDFSLFRIDGSYPSFSPDGKRIAFVGLPGLYVVNSDGSGGRKKIFSGNAFPTSWDWKRKGVIYTSVGPDFASESTEVDVVAVSLDDDDPSSSSQVSIKKLTIGGKNNAFPSPSPDGKWLVFRSGRSGHKNLYIMDAEDGEAGAIRRLTDGPWSDTMCNWSPDGEWIAFASDRHNPGGGSFSIYMVHPNGTGLRRVVHSADAGRTNHPWFSPDSKSLVFTSDLAAVSAEPISNPHHYQPYGEIFTINIDGSGLQRLTHNSFEDGTPAWTPYFVEPRDVSETLQASGMCAFEDCYWLDIDAQPEDHGYAHGNKIGC >cds.KYUSt_chr5.18931 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122512023:122512601:1 gene:KYUSg_chr5.18931 transcript:KYUSt_chr5.18931 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFKTAATEQLKLLALAFVLGNSYRVLLRASPDDNASCGRDLRLRVDASHDRLVLATLAAGTLLLAVRTALDAAEASAVAAARAAAAVKGIGSVVAAAKAFDADGAAARGIGSVAARWKRLSLRLMLLLAAGLSLAASFLAVAIFEDGFFHRTGCPAVDGRIGSSSPVSVALVALLALLHGAVAWVAVSKD >cds.KYUSt_chr4.35484 pep primary_assembly:MPB_Lper_Kyuss_1697:4:217818193:217827981:1 gene:KYUSg_chr4.35484 transcript:KYUSt_chr4.35484 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPTRLSPDLPDEIVHEILFRLPPDDPAGLARLSLLSKPWRLLLADSSFHRRYRQFHRKPPMRGFFHTLYGRGMMASGFVPTTSSCPQPIPDRSPAHYGVCDSRHGRVLLDDGAAPIRLVVWDPMTGLRTTLSPSDHVDRSIYVGTAVLCAVDGCDHAACHDGPFRVVYVGVRSHEKAVAIVYSSETGKWGTPTSELQLVKNCYLDMWPSVLVGDGLHFLLTKHGSRILKYHLDTHHLSLIEMPPDMAVCKRGPILMAAEDGGLGIAHLLDKKKLELCLWSRESALDGAAAWTRRRVIDLKPFVPVKRKRNPAIKVELVGSLEGADVIFATMALGVYVLDLKLLQLQPKKVCEIEPGYFRELYPFTGFCIPTPPGTQHASLLPLRPLQLLQNSHLSTAALFSGSDGGHEMRGSDLINLLLPQDLLEDVLRRVGTDKRDLDACALVCTRWRALERATRRSARLPASGAHADEVVGLFADRFPALVDVSIDERISADTGAAAGAVPRSRRPVSTLDLIARAAPCGASVRLVRIAENCKKLTSLDIQACYIGDPGLVAIGEGCKLLNNLNLRFVEGATDEGLIGLVKNCGQSLVSLGVATCAWMTDASLRAVGSHCPNLEFLSLEAEHVKNEGVISVAKGCRLLKTLKLQCIGAGDEALEAIGSYCSLLESLSLNNFERFTDRSLSSIAKGCKNLTDLALNDCMLLTDKSLEFVARSCKKIARLKINGCQNMDTAALEHIGRWCPGLLELSLIYCPRVRDSAFLELGRGCSLLRSLYLVDCSKIGDDAMCHIAQGCKNLTEISIRRGYEIGDKALISVAENCKSLKELSLQFCERVSDAGLAAIADGCSLEKLNLCGCQLITDKGLTFIARGCPDILFLDISVLPLIGDMGLAEIGEGCPQLKDIALSHCPGATDVGLGHLVRGCLQLQTCQLVYCKRVTSTGVATVVSSCSRLKKLLVEETKVSERTRRRAGPILSFLCTGL >cds.KYUSt_contig_2686.45 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000449.1:248778:249032:-1 gene:KYUSg_contig_2686.45 transcript:KYUSt_contig_2686.45 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASRKAPSLVVAASVGAVEALKDQAGLCRWGYPLRSLYRSAAAAPTVRALSASLREARPASSAAEDTKLRKTHHLVCWGPN >cds.KYUSt_chr2.7797 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48783365:48783769:1 gene:KYUSg_chr2.7797 transcript:KYUSt_chr2.7797 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVLTDGTSAAPAAAAVATLTTTGGAPRHAGPVILSFAAGNYSKWCIYMRASLGRSGYLGHVDGTVAAAPTNAEWATADYTVLNHLHAAIDKDVADMILAGDQTVRRLWLAARDFFTTNKANKAIYLNNQNH >cds.KYUSt_chr4.10981 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66715090:66715389:1 gene:KYUSg_chr4.10981 transcript:KYUSt_chr4.10981 gene_biotype:protein_coding transcript_biotype:protein_coding MAARYVEMLDMGVRIAARFHSHCPQTARMYYKPPQSASSSSSSAAGASTDRKAAGFDHEAAAAAAVRPFAATPTAGFGAGVQPGRFGFDTAPVVIYEVV >cds.KYUSt_chr6.8580 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52758481:52760719:-1 gene:KYUSg_chr6.8580 transcript:KYUSt_chr6.8580 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPATTVSALKDFILARWPQDKEITPKTVNDLKLINGGKILENSRTLAESRVTIGEVPGEKQLGNSPKQNRCGCTIL >cds.KYUSt_chr4.14989 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92595864:92599998:1 gene:KYUSg_chr4.14989 transcript:KYUSt_chr4.14989 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGSSGYRDGANKPESSRPCRHTAPLWICARCPSSNPGNVDACAACQTARPVEIDADSPGIPPPRRSERMKRERAASPDVVEVCADDGDAAHGGDNRAAAANRDKKTFKIMTYNVWFREDIEVRRRMDALGGLIQYHSPDLICFQLSKFPVKFNGGIPFSHSTMARELCKVDVDTGQHINLMLATSHLESPCPAPPGWDQMYRRERVLQAKEALRILGAYSNVIFCGDMNWDDKGDGPFPLPDGWIDAWVELKPGENGWTYDTEANAMLSGNRNQQKRLDRFVCKLVDFKIKDIEMIGQDMIPGASYHKDKIVGKEFLKMDLPVLPSDHFGLVLTVSHRN >cds.KYUSt_chr7.35980 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224834988:224836103:1 gene:KYUSg_chr7.35980 transcript:KYUSt_chr7.35980 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGVSLVGGDGKLCTSTVSPIDCGAASGYHLLVVEGYSRTRDTPPTGEYIRSRTFLVGGHRWYLKYYPNGCDSKCAGCISVSLNLEDDADGDKQARPLNARATFSFIDQFQWLESVDIHDRQIQDFSVDAEMLFGNLMKREIMERSAHLKDDSFVIRCDVVVVGADITADGADNQVPPTDMSIHFRNLLLTKEGADVMFEVNGTMFTAHRCVLAARSTVFKAQLSDSVLEGATVKITNIDADVFDALLCFIYTDTMPIPKTDNMEEEIGEEDEDISWLLQLIEAADRYELLRLKLMCQELLVASISLSTVADIIVAAQRSRCSWLKEQCLEFIKSHTSLLKVFTGEEVQEMAGTCSPSILNKLLSQFAL >cds.KYUSt_chr7.17025 pep primary_assembly:MPB_Lper_Kyuss_1697:7:105572668:105574068:-1 gene:KYUSg_chr7.17025 transcript:KYUSt_chr7.17025 gene_biotype:protein_coding transcript_biotype:protein_coding MPILVSSVRNPRLFTPTTVRPLVIVTPTNASHVQSTVICGRHHDVRIRVRSGGHDFEGLSYRSERPEVFAVVDLNMMRSVRVNRRAGTASIDSGATLGEVYYAVSKASNQLAFAAGLCPTIGIGGHLSGGGFGMLLRKYGGAIDNVLDATLVDAEGRVLDKKAMGRDVFWAIRGGGGESFGIVLSWKVKLVPVPPKVTMFKVPKSIDEGVIDILTKWQVVAPALPDDLFIRVVVQNDVAEFQSMYLGTCDMLLPLMESHFPELGLNQTHCKEMTWIQSVPYIFLGSTATVEDILNRTTSLDMSTKATSDYVHQAIPKDGWVKIFTLLAKPNAGLLIIVPYGGKINSFSEAATPFPHRGGVLFNIQYMNFWLATTDGSTQTKWLKDFYAFMGPYVSQNPRQAYVNYRDLDLGVNVVVGNVTSYKAAMVWGEKYYKGNFKRLAFAKGKVDPDDYFRNEQSIPPLVARK >cds.KYUSt_chr5.14227 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92260513:92266436:-1 gene:KYUSg_chr5.14227 transcript:KYUSt_chr5.14227 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPVSLKDHKMHQQVEEGFHTAGDHRFSPAELGEIMLTVFVFPRPAPCSSSAAAVKIPPPSPEPEAESLIEKIDSCCRVPTFSDVAVGARARSCGSSSAPTSPSTRRCVGLDHRVMAALVKMVAANLFHAMPPPSSGCPLAEAGADAEEPLRRCCSCPHLGSVYDVLLAAVAATDAQSLRAHVDRRFLTALLALSKLTLERAFMPSSDSPTRPRRCPPPPRSAVVGAAGVCEVLEICGSIINGFMVPLKEEHRGSRCGWVLRRWPVTNRQKEVLLIDELEEIVEALEQLQFDAIAVPIHNRIARCSTSCSSQFPDWLMKDDVNVISFSINASNDKQFNYDFIDIATYKAMERDIFVSAAAGNAAPAISSVGNGAPWMLTVAVGTTVKLGNSLTAPTRVPGADIDPDDPGCSSLSDNDMHFVRLRAKGRRQRKCGLAAPECGGRRRPGASTSAAAQPEMEREQAVSIACQNER >cds.KYUSt_chr3.43382 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273931459:273936256:-1 gene:KYUSg_chr3.43382 transcript:KYUSt_chr3.43382 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSENLTETKAKANRKMSNRADSHRCSPNKGTRVTSLDRGCFASCVCFDPIRVVSGGVFCHLDYPVPVSSFLELKILVLDIAVPMLPGLQVRKQKGPLYKLECLLFVLVAIQFLEGKPYTMRAPSPPTATGGPSPAPSTSGSRFLCLEEEEVAQEEARELAEDVACLGLEEEPLIASLDMDPEREKAEVEEEFWARIVFPTVESRSWPRSPPEVRYSQVNPMHEKLVLELHRPYVALFLKLSREKLKQEEDLNPCRAGAASETGSRSFPPCCSTDLAASCCCVRGASGDKHSEQVMVLRLQKASDRAGSCSCCFCHMMPDN >cds.KYUSt_chr6.16519 pep primary_assembly:MPB_Lper_Kyuss_1697:6:103939877:103941979:-1 gene:KYUSg_chr6.16519 transcript:KYUSt_chr6.16519 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGFQLGVIGSLTLSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLYVAQRMRFFEPKAIDGHTVVLFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKFSLLVLLLGVAIASVTDLKLNLLGSILSGLAIATTCVGQILTNTIQRKLKVTSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHQYTAPVVGFIIMSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMALYSCFSVMESKKKSAIESLPVLSQKPEKETEPLLETKDDSDIKKANGVTHEY >cds.KYUSt_chr3.32111 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201818182:201818529:-1 gene:KYUSg_chr3.32111 transcript:KYUSt_chr3.32111 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAAQSRHAGESWADDGEWEWEEASSSSSDQHRRASEEEHTEVTIRITKRQLHELMERKASGSGGGKTRQLLEDIMNSGKVHHHDLHRDEHWRPALQSIPEAGESSSAGPCIS >cds.KYUSt_chr5.33996 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215907335:215907623:1 gene:KYUSg_chr5.33996 transcript:KYUSt_chr5.33996 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSPSPGARRVLLPTRPLHLQHLTSIDMGYTTPASSRHGNPPITCGEGGGVMEAPYKATTFSSS >cds.KYUSt_chr4.36417 pep primary_assembly:MPB_Lper_Kyuss_1697:4:223892147:223901384:1 gene:KYUSg_chr4.36417 transcript:KYUSt_chr4.36417 gene_biotype:protein_coding transcript_biotype:protein_coding MNRANPARECLAVLSSHLLALLRRAETLQLSGRNRWYLCTPGDPCSVLAVRLFLAQFTMRSSLSRAPRWVDGKNRLCTHPCVNISVRGKGSPLLWFCGESLLELAKQVGADELNCASQQDQVTTSETHLVASPKHLAPAAVLLSTSSAGLVLLMFPLALRLSRAQVGVPDSGSSIVISAWQSSEVPYKVELEQVWLHVEGVPHTLRHFLGLWAVGSLLGKTLDVDLLSLRRRGVVHVLAVMLNSSLLDRTISEPGSYAISDVVVELKSFEFRFRREPTYFFPDPYFVPFLWEKKNDGNDEGGATGADDDDAMDTSDGRIGAVDSATPPTQPSGSGGASSGGAQVAAVVFAVTPSNNNPQTPAAVEIVEKFQSVSPSLERRPPLSPKVTSEELSAALEATCSSPSAQGLERVVFPARGGFTRSPGPPLVDLRWTRRQLPSRAQQRLHKQWSGRGLRGEAGHGSSCWWNHSRGHVPLSSSGRRLEDRGEVAGSAGAR >cds.KYUSt_chr6.19339 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121688016:121691316:1 gene:KYUSg_chr6.19339 transcript:KYUSt_chr6.19339 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRLLAAPPSSPLAGAASRRTVTYMPRPGDGAPRTVTLIPGDGIGPLVTGAVRQVMEAMHAPVVFETYEVHGDMPSVPAEVIDSIHRNKVCLKGGLATPLGGGVSSLNLQLRKELDLYASLVNCFNLPGLPTRHDNVDIAVIRENTEGEYSGLEHEVVPGVVESLKVMTKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPSIQYSEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVSNVAAGIAGGTGVMPGGNVGQYHAVFEQGASAGNVGNDKIVQQKKANPVALFLSSAMMLRHLQFPSFADRLESAVKRVIAEGKYRTKDLGGTSTTQEVTDAVISKLG >cds.KYUSt_chr7.30297 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188684215:188689026:-1 gene:KYUSg_chr7.30297 transcript:KYUSt_chr7.30297 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYGTCIAMHIKDPPRSNLAVMDPDTSWASMDPPTCIGRLGSGSCSWDGLHPSSINSNWAARWAICHTTICGPPRLAGSRPCRWHAHKVYPQHRACFPTPNISQFDFIATRFTSTASESRSSANESTYHSSELNLSDPFSPPRKSSYSSLPSPGSCCHRHYAHATSSRTKLKRAEVDYESMKRCCEVLTEENRLLQKEKDPNHTHDEPAGPGSNSIGVGLGEEFCKKSRVLRIVREDTQPSMFLGDADLIPGNQALPTITGLLSGPEKSTTAFIDSLDHSGRKKAGSHGVGKHGGEDGVDQDKPPPIGRHLPLQPERHMLEERRDGIPRLRTLILLPINGHEFEGRKHRRSACCLQYRSCPSRVVGHVLAAPGDKVSASVVSPAPHCPRIIGGRSINLSELDAGSLFAMSMRRPPLSLLVCCRTPSLLSSVLNPRSVGHCCTPLFRPLAEVQAQAGLADDGQVLADCGGGCSVGVFVLSDRERNTNLNATSFDHG >cds.KYUSt_chr2.44802 pep primary_assembly:MPB_Lper_Kyuss_1697:2:278994220:278997789:-1 gene:KYUSg_chr2.44802 transcript:KYUSt_chr2.44802 gene_biotype:protein_coding transcript_biotype:protein_coding MALHQRDTGGRGKEKEAELYADDAEPGAPSHATGRVPPWREQLTLRGLLVSAAVGTMYSVIVMKLNLTTGLNPTLNVSAALISFVMLRGWTQALARLGVAVRPLTRQENTVVQTCAVACYSIGSAGGFGSYLLGLNKKTYEMAGTDMEGNVGTKEPGLGWMIGFLLAVSFVGILALVPLRKILVIDYKLTYPSGTATAVLINGFHAPQADEVAKMQVNGFTKYFAISFVWSFFQWFYSGGDNCGFSQFPTLGLRAWKQSFFFDFNLTYVGAGMICPHLINISLLVGSILSWGIMWPLIADLKGSWYSADLPESSMKSLQGYKAFICISLILGDGVYNFGKVMLKTIWSILDKSKQNDAKKEEDILALDELHRNEVFIRDSLPNWIAILGYFALSVAAVITIPLIFPEMKWYYAIIAYILAPALGFSNAYGSGLTDINMAYNYGKIALLILASTVGKEHGVIAGMVGCGMVKCMTSISADLMQDFKTGHLTLTSPRSMLIAQIVGTAMGCVISPLTFFVFYNAFDIGNQNGPWKAPYALIYRNVAILGVQGSSALPLHCLQLCYGFFAFALVANLMRDFLPRKYGKWIPLPMAMGFPFLVGASFAIDMCVGSLIVYIWHKIDRSKAVHMVPAVASGFICGDGLWIFPASLLALAKITPPMCMAFDPTY >cds.KYUSt_chr5.36010 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227473939:227474427:1 gene:KYUSg_chr5.36010 transcript:KYUSt_chr5.36010 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGANMCVRRANVQVQNPTAALTEEAPAVETPAPAVAAEEVAKTEEVPAPVEAAAEVPAPVETKEAEPAAVEAEETKEPEPAAAAEPEVEAPKEEPEVEAPAAVEAETKEAEPEAVAEPAVAEPEAAAPAVAEEAPAAPAPEEAPVAVAEAEPAVAAVTSE >cds.KYUSt_chr3.33963 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213146258:213152913:1 gene:KYUSg_chr3.33963 transcript:KYUSt_chr3.33963 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPYFSRSFTESEGETKGGHELATSRVARPTFCRAASGRTEEADEVQGASFAMEHRASSSLLLCLLLLSLFNVDNTVSAQQKFGINYGQIANNLPDPTRVAGLLQSMNVNKVKLYDADPKVLTAFANTGVEFIIAIGNENIQTMATSPGAARQWVSQHVQPFIPATRITCITVGNEVFGNNDMGMAANLVPAMQAVHDALVAAGLAGQVTVSSAHSAAVLASSFPPSSGAFQESFAPYIKPLLDFHSKTGSAFLFNAYPFFAYKGDPGSVSLPYVLFQPNAGVRDGGLVYDNMLYAQIDAVYAAMKVMGHTDIPVKISETGWPSKGDEDEVGASAQNAAAYNGNLMQRVAMGQGTPLKPNVPVDVFVFALFNENMKPGPASERNYGLFYPNGSPVYTINAGSPGGSSSGGGAGSVGRTFDPYSSQNMFSAASRLRRLSLWTLLLVLPMLSALLW >cds.KYUSt_chr1.20577 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121480852:121494100:1 gene:KYUSg_chr1.20577 transcript:KYUSt_chr1.20577 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPRADLLYGFAGTSVAATATGRCAARDRAGDVASRRRTEPISQTEGVSWRGLEAPRTPPPYAPTSGVARAVVCLAGRPYARLLCIIVRESFEASKVRFCGNGVYGRPAVLRGWSKWWPEKGLCAAGTGDDAGDQVGDQDDDGVRVSNDALRATIRKSREVLAMHRDLLQQLSEKKKLISIIEASSIQNGQEASSGSSFSIPDPVSEGQEIGYDLQMYLDRRSQKSQVRSNHGESISGQHEYFGSLEGKVSNADVNGSPSQISEEKHSVTEGINDNSSSSASVDIMNIILVAAECAPWSKTGGLGDVAGALPKALSKRGHRVMAVVPMYGKYEEPQQIGESKRYQVAGQDMEVKYHHAYIDGVDFVFVDNPLFHNVESEIYGGNRTDILKRMVLLCKAAVEAPWCVPCGGFCYGDGNLVFIANDWHTALLPVYLKAYYHDNGFMIYARSVLVIHNIAHQGRGPLDDFSYLDLPSNYMDLFKHYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTPEGGWGLHGIISESDWKFQGIVNGIDTADWNPKSDVHLKSDGYANYSLETVQTGKAQCKAALQKELGLPVRGDVPVIAFIGRLDNQKGVDLIAEAMPWIAGQDVQVILLGTGRQDLEDTLRRLESQHYDRVRGWVGFSVRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVQQYNPYEEVGLGWTFENAEANRLIDALGHCLNTYRNYKSSWEGLQRRGMMQDLSWDTAAKCYEEVLVAAKYQW >cds.KYUSt_chr6.1007 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6387201:6388271:1 gene:KYUSg_chr6.1007 transcript:KYUSt_chr6.1007 gene_biotype:protein_coding transcript_biotype:protein_coding MLPADHLSRSTVAFPCLKTLSMLNMGMSDKDLDHLLAASPVLETLVLASPVRRFHLRSQSLRSVLVFLVGDFAVVDAPLLERLIFMKPLLNARAARPVTVKIASTTNLHVLGYMEPMFHKLQIDGNIIQPDTVASPSTMVPAVRTLALKVNFCVLEELKMVASLLRCFPNLSTLHIQSVPCDLSETAAAGEHHAQFWREVGPVQCVRSSVKRIVFHKFHGHQNEFEFLKFVARDNANALESLLLVSPKEKLLSEDEVNEMIDKLGCPRFTAWTSKVLQLSPEVENDWSPMKACKLTVSDPFR >cds.KYUSt_contig_1989.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:172781:174316:-1 gene:KYUSg_contig_1989.26 transcript:KYUSt_contig_1989.26 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCPYPIFPSALFVYFTGASRKRRGDPPLEDDFVEQDEVLPRATTARGASLANKKKGKKAIESYGSVRLHAYMAIRTANPYLGPRSSRTHNRHFYTEVQERIFNEVYPPNKVKVVDQRYINIDHLKKDAYFHEALGICEEFGLLPIMTFQCNYDPYLVTQFFATVYFHEDDARSITWMTRDEVLTTTWSTFGQILGYPLPEDCEHDTDSGWRFHGQTNASTKDVLEPLYMPGRCKLGFTSGLQPVYDIMLRIYRETVAVKVGNVDEIHSFVIDLMLQTHLRKGKGVKMDVMDCLWNQIFLRMVEKRSPAFGPFIMKLISEVWRQKFEGAILEPFSTLTVHKRKNLLIKDHELLASTSAPAAPSASAAPSASAPPSAGPADPPTDRRFAGIRLLDGFTPHMALGGPPAHSAYDPMLEPSWYTKLKIKVKKTFCLQLDIQERMYDAYVAEKKARRRQKSIMAKLGVEVSPPGSEENILPKPQWISAHSQWSDGEDGPSYDVDSDVAEDFLDG >cds.KYUSt_chr3.7594 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43720718:43721725:1 gene:KYUSg_chr3.7594 transcript:KYUSt_chr3.7594 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDTAAHVVEDFFGVVQILSDGTVVRGDEEALQPPATYPDIPGVEWKDVVYHAARGLRARVYRSSSSLAGSAKLPVLVYFHGGGYCLGSFAQPMFHTFCLRAAAELPAVVLSVQYRLAPEHRLPAAIEDGAYFLSWLRAQSELAADPWLAESADYARTFISGVSAGANLAHHVTVQAASGKLPVHPLRVAGYVLLSAFFGSAERTAAEAEQPADVSLTVDMSDQLWHMSLPVGASRDHAVANPFGPDSPSLTAVDLPPALVVVPGSDVLRDHVRGYAARLKDMGKTVEVVEFEGEQHGFSVLRPSGEALNELMRVLGRFVHTGHMQRLSGHVL >cds.KYUSt_chr1.27839 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167868549:167869109:1 gene:KYUSg_chr1.27839 transcript:KYUSt_chr1.27839 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRAARGGGAGNAQARRPCRYRGVRRRQWGKWVSEIRVPGTRERLWLGSYATAEAAAVAHDAAVCLLRRGAAGGLNFPGRAAAYGHVLRLAPRGAGQQLSPRSVQRVASDAGMSADAQLVELRERVPAPPSQEVAASAGIGAAARGGAVAEEQVAYADWRSWSSTGSEQLVYGELSVDDMEIVTL >cds.KYUSt_chr3.16522 pep primary_assembly:MPB_Lper_Kyuss_1697:3:101324592:101325188:-1 gene:KYUSg_chr3.16522 transcript:KYUSt_chr3.16522 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPERGQAAGWNHLIAPVLLALRDLLRALGIVDAVSVSVRLSKLAGDDFVAAGRSCSPPDLVRTLRPHLRLRGEFLRLLELSTVSLVLPIMLAALTAVVLCRVSSPAQYGGTPVPSQRPFGCPRSSASGAPCARPGSPHVVSSVLAGRPLCSRSSSIFILACSFQFAPSSGSISVLAHVIQHPNEQPSGNIGFSNLL >cds.KYUSt_chr1.30655 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185607525:185607983:1 gene:KYUSg_chr1.30655 transcript:KYUSt_chr1.30655 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPVEEEPAAEPAAKAPAAKKPKAGKSLPAGKTAAKEGGEKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_chr6.11830 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73535961:73537801:-1 gene:KYUSg_chr6.11830 transcript:KYUSt_chr6.11830 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKNSAAVHRPPLQEAGSRPYMPSLSTTSRNPSAKCYGDRFIPDRSAMDMDLAHYLLTETKKDKENAAALAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEAENVFAADAVSSHQPKPAKQRRYIPQSAERTLDAPDLVDDYYLNLMDWGSSNVLSIALGDTMYLWDASSGSTSELVTVEEDNGPITSVSWAPDGRHLAIGLNSSDIQLWDTSSNRLLRTLKGVHESRVGSLAWNNNILTTGGMDGRIVNNDVRIRDHAVQTYQGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAAKPAPKASHTGMFNSFNHIR >cds.KYUSt_chr6.10107 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62263346:62264134:-1 gene:KYUSg_chr6.10107 transcript:KYUSt_chr6.10107 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSIDWEAESYPAHADFAVLPCFVAFFLAVRFLLDRFVFERLGKRMIFGKGDAEKLDSETYAGKIKIRKFKESAWKGVYFLSAELLALSVTYNEPWFTNTMNFWVGPGDQIWPDQRMK >cds.KYUSt_chr7.22093 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136800735:136813494:1 gene:KYUSg_chr7.22093 transcript:KYUSt_chr7.22093 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIHDQVMMDSAAYVSVSKMDNIQRFLIEMIAVSGEMRQPDEHTVLIGCRFHVGAGIPGVAPHYIPPPSTFNVLLDSYWFHVGAGIPGVAPHYIPPPSTFNVLLDSYWSSLSLLGSWARSDCLSPLRLVSAVLTASLCDEDRIVAGHV >cds.KYUSt_chr4.26989 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169489750:169489971:-1 gene:KYUSg_chr4.26989 transcript:KYUSt_chr4.26989 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYTIYKDHITLGDYEIHDGMGLELYYN >cds.KYUSt_chr6.7539 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45854314:45855670:1 gene:KYUSg_chr6.7539 transcript:KYUSt_chr6.7539 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEIPTQHRAQQQARKVKASAWHELPIAAAKSLRRDPLLAVNFLLLVVGTACGPLLLRAYFVRGGTRKWLSSLLQTAGWPLLLLPLCFSFLSRRHRRQDAAVFLITPRLLVASVVVGLMSGADNFLYAYSQAYLPVSTSSILISTQLAFTAGFALLLVRHRFTGSALNAIVLLSVGAAMLGLDAGGDRPPGVTGPQYGAGFGMALGAAALYGLMLPVMELSQAWHAARAGAAALTYTLVVEMQVVIGLTATAFCAVGMLVNKDFQAIPGEARRFELGEASYYLLLVGTAAVYQCFCLGTIGAIFYGSALLAGVIIAVLIPVTEVLAVVFFHEHFSATKGIALGLSLWGLASYFYGEVRAKAQDTEQKSSPDCEN >cds.KYUSt_chr2.2660 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15919505:15924848:1 gene:KYUSg_chr2.2660 transcript:KYUSt_chr2.2660 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSAAFSSTAIPRPRRRSIPAATFRSSSPCLIPSRLLRPVFLYSPRAIPLQQQQRRRRGRRDPRLGSFPALLSGAEERDGFGCYEAGRSETPFSAAGFFGRLAFSWLDPLITTTNAPHAAGDVPNLGAADRADTQYTAFSSALAAAATSIHDHHHPRPAAILRAIVSCHSAEIAASALYALLKVLALSAGPPLLKAFVHASASSPPAARRERCCLLALALFLAKCVESVSQRQWYFRSRRAGIQVTSLLSAAIYRKQQRLPPSPATTNHSPGQILSYLAVDARRIGDLFPFRLHQAWATVLQLAVALAVLHDAVGAAAIASVAVILLTVAVNAPLARHQQSVQSELMRAQDMRLNAVSESIANMKALKVYAWENHFREVIRGLRESELRWLSAFQTGRAYTSVVFWASPALVSAATFTACWFLRIPLDANNVFAFVAALRLVQDPINRMPDVIGAIIQGRASLSRIAEFLGVPELQDVRHGQERSGEHGQCYVLIRSGNFSWENNSDRPSLRNIDLEVKSGEKVAICGEVGSGKSTLLGAIFGDVPRTEGKIEVCGKIAYVSQNAWIQKGTVRDNILFGSTMDMQRYEEALHRCSLIKDLEMLPSGDLTQIGEKGVNLSGGQKQRVQLARALYQDADVYLLDDPFSSVDVHTATSLFNDYVIGGLARKTVVLITHKVEFLPAFDSIQLMCDGEIKLAGSYKELLSTSKEFRELVHAHKDGANFSNVMSMANDERTNGKPTAKISCIHISSREDEAMKHSEEDQLMKREDEEIGYTSLGPYLQYLFQNKGYVYASLVAVTNLLFISGQVAQNSWLAANVQNPHVSSDLSIIDLDIPFSFAFSISATMNAYGNLGVLVFATWQVLLVSVPVLLLAAKLQRLYLTFAKEMMMINGTTKSLIANHLGESISGASVIRAFGQEDRFFAKMLELVDNNASPCFHNFAATEWLTLRLEIMSAAILSSSAFAIALLPQGTFTAGTVGMVLSYGLSLNMLLVFSVQSQCSLANQIVSVERFSQYMNAAKEAPDIIEDNRPPDCWPATGKIQLVDLKIKYSQDSPFILHGITCTFGGGDKIGVVGRTGSGKTTLINALFRLVEPSGGKIIIDGQDITRIGLHDLRSRIGLIPQDPTLFHGSIRYNLDPLGQFSDEQLWEALGKCQLIQIVQDKKQGLDSLSSNWSMGQRQLLCLCRMLLRRHQILVLDEATASIDNLTDTIIQRTIRTEFADRTVITVAHRIPTVMDCDMVFAISDGEVVEYEEPGKLMQREGSLFRELVREYCSQSQHAN >cds.KYUSt_contig_2402.57 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000325.1:334431:335425:-1 gene:KYUSg_contig_2402.57 transcript:KYUSt_contig_2402.57 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGMEGDARVAAAAAAGSECSSGCQSGWTTYLDDDRSSYEYSYDHAVGFHSLPQQQQQPCYVCVFSDEEDDLSMVSDASSGPRQQHSAGSDEGAAAALPSPRAARRGNRMAQPAAARRQSKVAATVASTLLEDTASSPAVFRHSKSKVTSSPEADGYGSAAGSMMDVGNAAEFSCGFFATTTGFEFESSCLNMSPLGGYLYSPAPVKPMSTRQVFRDGGDKIQRW >cds.KYUSt_chr4.21141 pep primary_assembly:MPB_Lper_Kyuss_1697:4:133079212:133082666:1 gene:KYUSg_chr4.21141 transcript:KYUSt_chr4.21141 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWRAAALAAAMVGWVVSSCVVGFPVEDLVTRMPGQPAVGFRQFAGYVDVDDKAGRSLFYYFTEAQDGAAGKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRLNKKSWNKVSNLLFVESPAGVGWSYSNTSSDYNTGDKRTANDMYKFLLGWYKKFPEYRSRSLFLSGESYAGHYIPQLADVLLAHNEKSKGFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEIFLAINKDCDFEDYTFGDSHNESKSCNQATAAANAIVGDYVNNYDVILDVCYPSIVMQELRLRKYVTKISIGVDVCMSYERFFYFNLPEVQHALHANRTHLPYGWSMCSDVLNYTSKDGNIDILPLLERIVEHKIQVWVFSGDQDSVVPLLGSRTLLRELAHKMGMRVTVPYSTWFHKGQVGGWVTEYGNMLTFATVRGASHMVPFAQPDRALGLFGSFVLGQRLPNTTHPPIGG >cds.KYUSt_chr7.25059 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156372109:156372647:1 gene:KYUSg_chr7.25059 transcript:KYUSt_chr7.25059 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRSKDAVKFKVRCSRYLYTLCVFDTEKANKLKQSLPPVDVPVKIKIRSKDDW >cds.KYUSt_chr3.3232 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18483090:18487844:1 gene:KYUSg_chr3.3232 transcript:KYUSt_chr3.3232 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIVENDEQKGYVTEIGFGSFLSMGELEMNKALNLWLIDKFNCDTEALDFEGGISIPVRPLVKSILGIPSGPIQVVEGLDVDDALHAQYTCNNRRGKAAKEVADEMCSITDKEPFCIAFMMAILGIYLAPNTCLTVNRALLGAVQQVDKLKEMDWCNFVATYLFKGIKEFKESNSTNVTIKGCVHILSVIFIDFVKHAAFEVPAGFPRLGVITKEHIKWVVSHPFTSLMVRYPEESVYAAMFDNMSNYYITEDGKCVDSETDSDALSNTSATTNTNKNDNKLRVLAELVTVMLPKPAPCDNPSGRKKQNNAMDTGNQPIRSAKSRTLLPPIMKLITENAEQKGYVGEIGFGSFLSMAEFEMNKALTLWLVDKFNCDTEALEFEGGISILVRPLVKSVLGIPSGPIQVVKGLDIDDSLKDEYTYNGRPKNAKEVAEEMCSITGKEPFCIAFMMAMLGIYLAPSTSVGVNWKLLGAVRQVDKLKEMDWCNFVATYLFKGIKKFKESNATFVYIKGCVHILSVIFIDFVKHAAFEVPVGFPRLGVVTTKHIKWVVSHPFTSLMVRRPEESIYAAVLDNWPKDNIVEDGKCVTNTDALSDTLGTTDSDQNNNRHPGSAELGTLPVSEDNPKGMNRGSTSPVENAADRSTKKASVDKPSSGQAKRAGRVAALDMSLLNCTLCCSPCKPPVFQCNGRHLACGRCLAELPGEQCQMCEQGGGFSPCPIMDDIVSLAMVECSHDGCKSSVPYHELDGHESTCPHAPCYCYCPEPGCGFVGPPELLLCHVAALHSVPVHTVDYGKVHRLRVLEPRFMLHGEGDDSAFLLAVGALGAAMVVSAVCIRAGALRQPRYMVKLWADGPPPPSSAAGRIEWELEAVTSSTRPGEVVVMELPSFLTVPPAYLVGSGVSKAVILDIRIDKM >cds.KYUSt_chr1.38253 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233782366:233784162:1 gene:KYUSg_chr1.38253 transcript:KYUSt_chr1.38253 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKRGGDHLTRSSRKSRRDSKNESLVSGRFLGDSDQGVRPGLSDRTIACLSKSVASIALYNGDTVLFSCSGIAIERRGCHLTRFLTSASLVRALNGTNEDHDDLKIDVRHEGNEVYMGTMSHYDLDRNFAVVNVHGFLDVQVGSFQDALHVLPHGEILVVIGRGVSGEIVAKNVEFDGDSRVSEDDEDLDGKISDAWEGGPLVSVDGKVVGMNIFLTTTRSVFLPWGTILKNLEHYWTSLQEKTGLACSKTWEVYWFGARPAGEKSNSLTEVHGDFLNQEQLDLDSMGYPKLPSSMVGAGMILVNTFEETFGDIYGEGVWQKFSKRASNINRNVVALASFNGEKRFFGCTGFFIEWNGSTIILTSASLVRNSGDENKIAENLRIEVLLNGQCREGKLQNYSLHYNVALVSVKDYRAVRPLNTLLHWHKAFKVAAIGRCFKSGALMATIGDEVSWTGTLDCDYLSTSTCKITKAGIGGPLVSLDGDVIGMNFYDKKIGTPFMYLEDIYNVLASFETKSKPGEVGNDSDPSGVPLWKMDDDDKTKLNSWPVPMPHWCNPDSVDEDKSDDDDDGLGFDPETGRVRPRYGYFKGKKVMLF >cds.KYUSt_chr4.7318 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43384027:43384345:-1 gene:KYUSg_chr4.7318 transcript:KYUSt_chr4.7318 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLARKRLLRDFKRLQTDPPAGISGAPHDNNITLWNAVIFGPDDTPWDGGEPADLSRFSLHILLSVDYC >cds.KYUSt_chr3.6328 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36272814:36274435:1 gene:KYUSg_chr3.6328 transcript:KYUSt_chr3.6328 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTDESGAIGAALGSAVPSPPASEAANAKDAEKLRFIEEMTSDVVVDSVQERVLAEILGRNAGAEYLSNCGLNGHTDCATFRAKVPVVSYDALQPYIQRIANGDRSPVLSRHPVSEFFTSSGTSAGECKLMPTVEDEHDRRQMLSSIFMAIMNLCCAACVPGTLTPRVTDASGTSAATTTGTSAATATGPDPDLSQFVRDECCNGDWAGIVTRIWPNTKYVDVIVTGAMAQYIPILRHYCGNLPLVSGTYGSSECYFGINLRPLCDPSEVAYTVMPNMGYFEFLPMDDEAAGDGKAASGKLVDLARVEAGRVYELVITTYAGLNRYCIGDVIRVAGFHNATPQFHVVRRKNVLLSIELDKTDEAELQRAVERASALLLRPRGASVAEYTSHTCTRGIPGHCVIYWELLVTGAAVDKETLDGCCLEMEEALSIVYRQSRVVDGSIGPLQIRVVRPGTFEELMDDAISRGASINQYKVPRCTTLPRIVELLDSYVVSSHSSPVLPHWTPVRRS >cds.KYUSt_chr6.18976 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119499625:119500427:-1 gene:KYUSg_chr6.18976 transcript:KYUSt_chr6.18976 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGGASSGGRRGPPFDGVAGSSYGYVVRGVGVVSKDSAELQAWWWPTGTRKATRRFHSPMYVRDSDHQGDSEALLPVACPELLLPVGRPLPRQAAAAASEAPLLLS >cds.KYUSt_chr7.35330 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220700131:220705793:-1 gene:KYUSg_chr7.35330 transcript:KYUSt_chr7.35330 gene_biotype:protein_coding transcript_biotype:protein_coding MALMAIFVFLLVAALHVLDSMLDLARKRGSLSDAQLKLRVEISEINKEASLLSTPSTFAQCAKLKRLAVAKEKELSKLQQSDIKGKQSLHDKYGKVLLGTKVLTYGLLVLWFWSAPVTTVPKHLLQPFGRMFSWKGVDAATGHVVLVESLPHARGCALAPSSAALLFPAKKQSPKKPKLPREPSSGRRVSHAGLPATMGPSPHHLLLFAVAVVLPLVAADEGMTEAEALIHLKKSFSNSSSVSSWLITNNDGGKSPCAPGSHEWHGVVCSNGKVTGLRLSGLQLGGTIDVDALSSFPDLRSVSFASNNFTGPLPSFHRLTALKSMYLSDNKFDGPIHDEFFPNLNHLKKLWLDGNDLSGPIPASVVQAEALIELHLERNSFSGELPPAPPPALKSFDVSDNDLDGVVPEAFKRFDAAGFRGNQYLCFVPNPGQQCKRPDVAPPPGSASGLGDILVLAAVIVSAVVLAVVLCACCCGGGGRVHDYDHANKGDTEDTPPVYMVKQGSSATQRRSTSWLGRRTGSSQGGRHRRSSSAAKVDDGSSGGGVGDLVIVNDCKGVFGLTDLMKASASVIGGGGGGGIGSAYKAVMASGVAVAVKRARDMNRGTRDAFEAEMKRLGAMRHANLLPPLAYHYRRDEKLLVSEYIPKGSLLYVLHGDRGMDYAALDWPTRLKVAAGVARGAAFLHAELAGHEAPHGNLKSSNVLLAPDFEPLLVDFGYSGLVNYTQDSTTMFARRAPECVAGHPVTAKADVYCLGIVLLELLTGKFPSQYLQNAKGGTDLVMWATTALADGYEQDLFDPAMVTASKFALPDMKRLMQIAMECVEADQERRPDMKQAAARVEEAVAAALARVRERQGAGEGDADSRSSHASFVRDESMQRVTSDGERS >cds.KYUSt_chr3.34902 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218842278:218842646:-1 gene:KYUSg_chr3.34902 transcript:KYUSt_chr3.34902 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSSPGRVPSNPLVGAVCTDDFYPLPEYVASLIPPAGEPVSFGRSVAEHGLEDEREEVSFFIGPQDGSSGVDERGDKGIGSSVEDGGEQERGSDIDDRCAEDLGSVAGGAHNLDQILQVK >cds.KYUSt_chr2.9630 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60886726:60887077:-1 gene:KYUSg_chr2.9630 transcript:KYUSt_chr2.9630 gene_biotype:protein_coding transcript_biotype:protein_coding MSENAGARPPMSDSTATIIDIILAIILPPLGVFIKYGCELEFWICLLLSFFGYLPGIIYAVYVILKE >cds.KYUSt_chr3.9379 pep primary_assembly:MPB_Lper_Kyuss_1697:3:55095788:55096195:1 gene:KYUSg_chr3.9379 transcript:KYUSt_chr3.9379 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDHQHQIGQARDDSIDGAFSDLAAIRRRTKPARFVSAGMWHGQSIAEKDRDKQLRMAARRRLAYVEVTDPGAGLPPGYLDHSKGARMLAAMGYKVGMGIGKEPGIRIPVERPRPPKAGLSGIRDASDSTADC >cds.KYUSt_chr4.10476 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63603727:63605547:-1 gene:KYUSg_chr4.10476 transcript:KYUSt_chr4.10476 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAAAAATAARPWDPTVSLRLDHPALVLLERCRGGARPFKAILAHMLRLGLAFETFPMSRLLYFATVAFPQHTREGLLLFEHFTPRPNLYIYNLALAVLCPSQSRSVALYKSILASPAAPDERTFLALLRSVECPSVGKQVHAHVLLNGLHSRVYLRNSLTKMYLDAGDAGTAEAMFRCAPALDTVSCNIMLSGYAKGGFSVKALQLFRGMASRGIGVDQYAAVALLSCCGRLKNTLLGRSVHGAVLRRMGVADRGLILSNALLDMYAKCGEMNAAIRVFAEAWEKDDISWNTIISGFADAGMLGLARKFFFDAPCRDLISWNILLAGYARCREFAAVVELFNAMVASCIRPDMVTALTLISAAIGKGELNPGKSVHGWVVKEHGTQDAFLASALVDMYCKCGNVSLAYDVFEKALDKDVTLWTAMISGLAFHGHGTKALDLFWDMQKEGVAPNGVTLVAVLSACSHAGLLDEGCKIFDAMKQSFNTEPGIEHFGCMADLLARSGRLSDAVGLARTMPMKPSRSIWGSILSASSACQNTEVAEIASEELLRLEPAEEGGYVLLSNLYAAGGQWKYSDKVRETMDRRGVRKAAGASGLAINDATY >cds.KYUSt_chr1.39131 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239242933:239247338:-1 gene:KYUSg_chr1.39131 transcript:KYUSt_chr1.39131 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARLLALAAALLAAVLLAAAPGADALRSLGVGEDAAAAAQGDAAVDLNATTFDAFLGAVREPFVVVEFFAHWCPACRNYKPHYEKVAKLFNGQDAAHPGRVLMARVDCALKANIDLCSRFSVDHYPFLLWGPPTKFVSAKWDRKQEKSEIKLIDDGRTAERLLKWINKQIESSFTLDDKKYENENILPKNATDPEQIVRAIYDVEEATAHALQIILEHKMIKPETRDSLVRFLQILVAHHPSKRCRRGSAELLINFDDHWPSNISLSSQESSRMLESVETDDYKICGKEVPHGYWMFCRGSKSETRGFSCGLWVLLHSLTVQIGDGESQSTFTSICDFIHNFFICEECRKHFYGMCSSVSVPFKSARDLSLWLWSTHNKVNERLMKDEKDLGTGDPSFPKVVWPPKALCPSCYRSSSGTGDEAVQVDWNEDEVFSFLVNYYGKTLVSSYKETYVESQEKKHVMPLSDDASSGAARVPIGAALGVAAASCIFGALACFWRAQQKNRKYSYRLHSLKKI >cds.KYUSt_chr4.44812 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277335219:277338208:-1 gene:KYUSg_chr4.44812 transcript:KYUSt_chr4.44812 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRRAALAVLVLAALLPAYLSDDLNTDTQALQALRTAVGRSALPSWNSSTQTCQWLGVACEGGRVVEVRLPGAGLMGALPSGVLGNLTALRTLSLRYNALTGPIPDDVSGMTELRALYLQHNAFSGEVPASLYTLKNLVRLNLGENKLSGEISTDFNKLNRLGSLLLESNEFAGEIPKLDLPTLEQFNVSYNSLNGSIPAKLRKMPKDSFLGTSLCGGPLGLCPGETAETPAGSEPGAGPGGAADVGKKKKKLSGGAIAGIAIGCVFGVLLLLALIFFLCRKKSSGARSTAAVEKGRGLDMASLDAEPKGQNGSAAGNGMNGAAAGAVPAAAAAVAAAKSGGSTAGSKKLIYFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMESGAAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRSSGRTPLDWETRSAIALAAARGVAYIHTTSPTASHGNIKSSNVLLTKTYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRFQNVEEEMVQLLQLAIDCSAQHPDKRPTMAEAAARIDDIRRSGQHTTTTTESPVTGPENEGDEPSL >cds.KYUSt_chr2.2363 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14280702:14282332:1 gene:KYUSg_chr2.2363 transcript:KYUSt_chr2.2363 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSRFLLAVALLPVVDVAAGAAPRGTRYSGIFSFGDSLTDTGNSLRLAATGSGPSSRPPYGETFFRHPTGRASDGRLVIDFIGDHSITSKLTGMLYSIHVCINDDMLCVVEALGLPNPRPYLAGKSAGDFRRGVNFAVGGSTALGPDFFDSRGLKPFVPVSFTNQTSWFKDVLQLLGSVHEQRRMMARSLFLVGEIGVNDYLVALGNNTVGAGEMRTFVPHIVAAVRSVLTEVIAAGAKTVLVPGMIPLGCEPQLLALYRSGDYDPETGCITELNDLAELHNRALNGMLRQLRRAHPGVAILYADLYTAVADFIVSPRKYGFRDKPLAACCGGGKGAYNFNMTAFCGAAGTAACADPSEFVSWDGVHFTEAANRHIACATLKINSPTLLNPWTAEAMQRVRCAA >cds.KYUSt_chr7.13762 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84938325:84944720:-1 gene:KYUSg_chr7.13762 transcript:KYUSt_chr7.13762 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLTGVRVSQKENLGSARRGMGLKAPPCRNVHSAMNNGGVNGSPAPSEVGSEAGAVAPAVEFSGRDDVERLHAEKMKGKSKNDYMGRAEQMSEYIKRLRACIRWYVDLEDGYLASWRSCRGRSMRRIPGTRSLDVFGVALDTTTSTEQRSLQCNGSLYYKYCQFTLSWLRHELGSDDTSFVCSVNYSSGNESIDIIVRTATWKVAHHQIYAILNSGHGEEGERTPRASRRRNIENSSKNNNSDYTITEEEWEAARAAITNNTRLPSRTPAGTLNAYHSILERNRVRMSNEQACLDGRRLAADQSSMLRRKTVEGATANLAAYLINHQPTPDGPMVQAHQGALEILAILGDKLVPRKETATHHGSGSRHRSKDARDDITQSMIDKARRRQAAREGYDSDDSEETQEYDSELRGADCLSYKIRETMPPKRFKPTPTDAAKYARQQEPRSWIDDYLQTVILHKENQITAMQCLQLYLKDSDTSMVERVAKGFYLILGRLG >cds.KYUSt_chr3.11392 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67829673:67829948:1 gene:KYUSg_chr3.11392 transcript:KYUSt_chr3.11392 gene_biotype:protein_coding transcript_biotype:protein_coding MELVQVVQEHQPSKMQKEDSKDMKMQKEEEPKSKMQQGVRKVIWLLGMMALLYTSLFCRLVTSDGQPMDPLRAKLFACAHSIVIFVVYLLR >cds.KYUSt_chr4.10926 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66334962:66339221:1 gene:KYUSg_chr4.10926 transcript:KYUSt_chr4.10926 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVAAPASVAAAARRGVLGGGCASLRALGGRRRSLSVRTSVATTEPAATAAVGATEDEDVDTRNPRTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYVLTQFNSASLNRHLSRAYNFSNGVGFGDGFVEVLAATQRPGLEGKRWFQGTADAVRQFDWLFDDAKSKDIEDVLILSGDHLYRMDYMDFVQSHRQRDAGISICCLPIDDSRASDFGLMKIDDTGRVISFSEKPKGADLKAMQVDTTILGLPKEEAEKKPYIASMGVYLFKKELLLNLLRWRFPTANDFGSEIIPAAAKEINVKAYLFNDYWEDIGTIKSFFEANLALAEQPPGFSFYDASKPMYTSRRNLPPSMISSSKITDSIISHGCFLDSCRVEHSVVGVRSRVGSNVHLKDTVMLGADFYETDGERGDQLAEGKVPIGIGENTSIQKCIIDKNARIGKNVTIANAEGVEESDRTSEGFYIRSGITVVLKNSVIADGLVI >cds.KYUSt_contig_7534.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001582.1:66219:68189:-1 gene:KYUSg_contig_7534.11 transcript:KYUSt_contig_7534.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSRYSSSKPIVSTNSSKAASFQLTTTTSAGQQEASNRQQRSMGDAAIAVMEEEEEEHIFRSRFPPVDVPDGITVPEFVLAGAEAYADKVALVEAAPGGRSYTYGEVARDVARFARALRSVGIRKGHVVVVVLPNLAVYPVVSLGVMAAGAIFSGVNPRSLAAEIRKQVEDSEARLVVANEVAYDKVKDVGVPVIGIGDQERMAGTIGWDELLAAADRTGPPMVPLEPVQQSDLCALPYSSGTTGVSKGVMLSHRNLVSNLCSSMFAVGPELLGQVVTLGLMPFFHIYGITGICCSTLRHKGTVVVMDRFDLRTFLGALVAHRVMFAPVVPPVMLAMVKNPIADEFDLSGLALKSVMTAAAPLAPDLLAAFQKKFPGVQVEEAYGLTEHSCITLTHAGDDPEKGHIAKKNSVGFILPNLEVKFVDPDTGRSLPKNTPGELCVRSQCVMQGYYRKKEETERTIDAKGWLHTGDVGYIDDDGDVFIVDRIKELIKYKGFQVAPAELEAILLSHPSVEDAAVFGLPDEEAGEVPASCVVRRRGAEESEADLMAYVASRVASYKRLRTLHLVDAIPKSVSGKILRRQLRDHFINITKPAA >cds.KYUSt_chr6.32086 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202658208:202662273:-1 gene:KYUSg_chr6.32086 transcript:KYUSt_chr6.32086 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRYQGYRVIALANLADSFLADLDDLSDNEAYPEEDNAEAAGVDEDGDDDMLDLEALNYDDLDSVSKLQKTQRYIDIIQKVEGALEKNIDLSNQGFILEEDPEYQLIVDCNALSVDIENELIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAVIMVVSVTASTTSGKPLSEENLVKTIEACDRALNLDAAKKKVLDFVEGRMGYIAPNLSAIAGSAVAAKLMGIAGGLGALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYLEHTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAVTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGRLRVSAAQNKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAHGNHLGSGTQSTYFSETGTFSKISRP >cds.KYUSt_chr5.28753 pep primary_assembly:MPB_Lper_Kyuss_1697:5:182148868:182151253:-1 gene:KYUSg_chr5.28753 transcript:KYUSt_chr5.28753 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDKMKDLMKEVTSSSAPSLKDSSPSPSPSPSASSNPNPNPRPPPKQQPPRPAGPSDFTPLVSSCHRQDASGGAFSSEHAVNEHLDGCLAAAAAARARARAAAAAYLAGNPPAAAVEEAKKLLGNLLKEPGNDKYRKVRLGNPRIKEGGLELLEAVGFTISGESRGLFAVMDETPDDVRLGGIRQAVLLLERSHPSAPPVDAASKESCHNGVEEQEEVKKTVDRQEADLKIAMSQIQDTNLLDSKTAPLLLFLCHYNEPEEDELDGSGSQESYGADKSDYDGDDDDIGEPNDAIFYSITKRNLQSRRLDDLEGHFYWITPQGWFLILHRDSGATSLWNPFTRQKINLPADEEEFLIENTTRCLLSHKPSDPNCIVLVVNCRDTVLWYCRPEGNRWFKHTYESGLLSDRRGAVIGGMQILTAIGGEFFTYFSGHLVVLKFMPVPTFTKFAVGDKSGLMYRYVDRFFVESCGELFRVDFCKDSSNEVTAIRVHRLDMAERVWLEVNSLGDRVFFVNPTYFGASLSAQKLGLKGNCIYFSRLGDKALYVYNMGESTTMRNPGQDLLDDVSPEIMMPPT >cds.KYUSt_chr3.34972 pep primary_assembly:MPB_Lper_Kyuss_1697:3:219320313:219320906:1 gene:KYUSg_chr3.34972 transcript:KYUSt_chr3.34972 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLFWCHACSRLQGTRAGEACPVCLAPGASSLERIVDVVNSSAFVYGCDPAGSPASAEPPPLVTVRDAGMTCPICLDELEPGASAAEIQCQHVYHPACLAPWLEKKGTCPVCRGKSMNEADAAGSPDGLILGDMRGADHFALGLGRRTAGLVRMVGMLDKGGELVGHHRPRAYRLRRLLPVRLFGPVHRHRQVRA >cds.KYUSt_chr3.34957 pep primary_assembly:MPB_Lper_Kyuss_1697:3:219235411:219236094:-1 gene:KYUSg_chr3.34957 transcript:KYUSt_chr3.34957 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPRIIRPQPRHGTASAGSSSSRRHMVDAANARGGVPEWEVGLPSPAELTPVSQPLIPPALAAAFGIGPADAFLPSPVDARFLHDSPTSHLSSSRFDDDDYDDEELEGEGETEDAAAAGKKARMVWTPSLHHRFVEAVERLGDKGAVPKAIVRLMNVDGLTRENVASHLQKYRLYLKRSRAHGGVATATQPPQMPPPSPPYFPRFAAQPPRDGYCPFVSYEKLGCD >cds.KYUSt_chr7.8077 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48858681:48859961:-1 gene:KYUSg_chr7.8077 transcript:KYUSt_chr7.8077 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLVPKDLAEFRAMSAYGHLRLFTHDQLRQATGEFSPTQIIGEGGFGVVYKGMVGGAEVAVKKLNPDGIQGDREWLTEVSCLGQYSHPNLVELIGCCCDDDHRLLVYEYMAKGSLENHLFRRACSLSWTTRVKIALDVARGLAFLHGAERPIIYRDFKTSNILLDADFKAKLSDFGLAKEGPVGGKTHVSTRVMGTYGYAAPEYVATGHLTAMSDVYGFGVVLLEMLVGRRALEPSRAGRAGNLVDWARPILIRGKKLEKIVDARMERLGPYSARALERVARLAYDCLSQNPKVRPAMGRVVLVLEDALAARVEDDDVVRRDGGDSGDASPAR >cds.KYUSt_chr7.25550 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159413287:159414931:-1 gene:KYUSg_chr7.25550 transcript:KYUSt_chr7.25550 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTVLSLDPTIQDPSQSYPDSVVFGVDTGRLETVQEENEGDSSHGSPPTEQRYASEVPEAEWYPKERLPNGVAKSADETGWIRRKRRGQIPDHRATSGERVTALETAFTGFAQRTTDAVVTAPGCCAELLPVVRGGKGREGPGDCHCPSGEYMTTKRTNRKEKITTRSRQMARRILIRHTEKQSHPSSSPPIDDDLRAQGPTTHLGTITHVTLDGILAPRTKILRLEEEQARAILAAERGAAMDNVGKHSLR >cds.KYUSt_chr1.30051 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181811725:181812642:-1 gene:KYUSg_chr1.30051 transcript:KYUSt_chr1.30051 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCKRPRGNRTCTLEAPPAATDWTTLPSDLLLEIVARSDISTVVAFTAVCKLLRGDILSPSFIGHITQRGGTEPPCILAYLNVQDPVYTHDDMDDPPPPPFSLVHPATPAASALLDDHISPFVSRLANDLLNTEYHALTSRGGLVLFRRQNVINKIPDLCVYDPLSGHRTFFPDPLYVAPKRRRCLPSYVLLTAEDGIDCSFLLFALDHVFTVPGNIYATTIEVHTATSTSSTCAHAIASHDRSGLFSLLCQAMDERTLSSSRAVSSTGWCTNLARLFPTMSAQRSREGYSSLAPSSTLLDSST >cds.KYUSt_chr3.19268 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118692597:118698274:-1 gene:KYUSg_chr3.19268 transcript:KYUSt_chr3.19268 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRCIGWHGDWLVGLDDTTRDCFLVSSSPSDEEFLLPLPPLPAPDGTPWDPLGRVELHCALSSQAPAECTVVLSACSLEPQVTQPVSSLNSLLYCRPGDGEWLSLPIQARSPGDCLNGTLAGGAGRVYALTSSGNTCFVDANSSSASPPGVRRSDVQPDWEGLPFVQFVTDHLLLDPRDGTAFYVRFHVNNSPRGEVVGGDVHRWRPHADGSGGGGWERVDGIGDRTFFIGGRSSCSVVSPATEAGTEPDCIHLLRSPINYPFSGNNDGGNKSYTTSAWYIFYFVYKAAMHFEIDSQAHAELPRPPAPTNNVIATSSTPGSARICTAPTSTLRQAGTTSTQLQHHQDLHRHWTTTSSLICTNMKNYVKLYDYIKLFVEFYIANTKQRQENLPPLPYDTKPCNFFFCAFGSIGYTDRDNHDHGYITLGYLDINIVHVYNNSRAPVNSIRVIVCAHDTPAMNKEEDGQKEAGTSSTMQQSYWLDLPMDLVKHHIIPKLAFPDFLKLRAASKEWSRIPLQPTIRATRPRPLLLSIRPRARHVLVATDAASGEEYALRSCLPRGLFSDDGLPPKPIFSKNAWVVVVKGDDGPYPAIFLVHPFRTGHDEAVVPLPPMGEECHYLRAVVFFSAPGRPDFVVVAMEACRGYLKMRTWRPGEQEWTKVEFHNLDDDNYVTFIVPFHNPVFLDGEFYLLARDGKLGVFNPTDMTWRVLDRPGAIQDGDDIVDDHVNEVDDNDCEDEEEDAGGPNEDEDSEEEGDQDGANEGEEEDNEEEEDNEVHFDDRDIILYHDQEYCYLVERNGELLAVFRQEDRAIRVYTLDRCKMEWTKVKDGWDDDLVLFWDRRGAMAVTRHDDTYGRRRVYLPAFAKEDDAVSFYSLQEGRYHPGFYGVKEQMNATSRTSINPDECD >cds.KYUSt_chr2.8066 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50735194:50736186:1 gene:KYUSg_chr2.8066 transcript:KYUSt_chr2.8066 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKQYNKPVDKIEELLGNYGSMAPKRYKFSYLKKITKTFAEKLGEGGYGMVYKGTLSDGHPVAVKFLHDMIGNGEEFVNEVISIRRTSHVNVVTLLGFCLEGPKRALVYDYMSNGSLDRFIYADNSKETLGWDKLYEIAAGIARGLEYLHRGCNTRIIHFDVKPQNILLDQDFVPKIADFGLAKLCNPKESYLLSMTGLRGTVGFIAPEVFSRRFGTVSTKSDVYSFGMVLLEMVGGRRNLRASVERESEVYFPDWVHDHLAQFGSLHSLDLGSGETEETAKKMASIGLWCIQIWPASRPTMTKVIEMFDRSADQLEIPPKQFLYSHIS >cds.KYUSt_chr4.9708 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58646003:58647180:-1 gene:KYUSg_chr4.9708 transcript:KYUSt_chr4.9708 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDSAVNLDAGIGAFEGECNVEDFDEEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDQYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADDPPSGCVPEDYPKYAQQRYKDMAGSKNKEFQFQHCFSILQHLPKWKLRDNEPKCKKEALLTMDDEADYMSGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKLIAAKEAKAMKELLAEEREIMMMRTEGMDDDQLAWWNETKADIIARKKVARQARAQGESPASGGAGGDGSLDG >cds.KYUSt_chr4.15666 pep primary_assembly:MPB_Lper_Kyuss_1697:4:96761439:96763047:-1 gene:KYUSg_chr4.15666 transcript:KYUSt_chr4.15666 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNMFIQWAMETLQHEHHPAVAAAYADAGQVFPSLQELRCSELQNGTAPAAAQAGNRHRATDSWSSGDSGRENTPGAAVVENDGWSSNCSVGSTTYPPVSWNFTSTMAQPSIHDEATPTARARPLDVPEPAYRSPASRKRSAKSAASTGTGHTSSPEPFVQEHVMAERKRREKINRQFIELSTVIPGLKKMDKATILSDAVRYVKEQQEKLKELEDRNLRTVEPVVLVKRPCIATKPDGMATTGSSLPEIEARISDSTVMVKIHCEDGKGVLARLLTEVEGLRLSITHTNAIPFPACTVNITIMAKASPMDEGFNITADDILGKLDASLH >cds.KYUSt_chr1.40850 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250594002:250594757:1 gene:KYUSg_chr1.40850 transcript:KYUSt_chr1.40850 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMVLASELDRLQAMARELGAQVDNNDAPAAARELCGALAASVDRAVCLAGYGGGNAGAGKNSGQPRNSSRKAATAARTRRQVRVASVQDTAPLDDGLSWRKYGQKDILGAPYPRAYFRCTHRHTRGCQATKQVQRAAGDPLLFDVVYHGDHTCSKADVPSRLISPEQQPPASGQEQGSPAGTQEGMHWWAAEPPAAMDGCCPPTSSWYQHAGSYGYAAAAGLGTDMDFEAQLDEFLNPTKFFQPEIQTL >cds.KYUSt_chr5.17378 pep primary_assembly:MPB_Lper_Kyuss_1697:5:112191791:112192819:1 gene:KYUSg_chr5.17378 transcript:KYUSt_chr5.17378 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRGGGARDVDRIKGPWSPEEDDALQRLVGRHGARNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTPDEDDAILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKHSSASEHGSPARDAADGDDGRPLKRTSSDGGHTAGGFCFSPGSPSGSDLSDSSHQSMPSVMPSAAAQATAHVYRPVARTGGVVMLPTATAPPPMPEPASPPPPPPPATSLSLSLSLPGLDAPGAAPVASASQQMPPPMAPPHPVQPAVSAFHQMPPPPSMPFQLQPQPMPQPQPQQAGAFNGEFLSMMQEMIRIEVRNYMSGFDPHSSPADGVHAAKRMMSMAKIE >cds.KYUSt_chr7.4441 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26864041:26864826:1 gene:KYUSg_chr7.4441 transcript:KYUSt_chr7.4441 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYKSVVPLPFALASCEFSLRNAPASSSCALLESNSWSSMEEAPEKATLGSLGLAGICRETLRVMRSCPTGFNCVCVMVITLALSLLAHVAVSRVLFSHAVAAAASDATGAGFVRLASHWVPFLVAEAILLWVIHFLHDTSVTFCVFSVVPLYSGDPDRDARSVVRDLSGLPRSEAKNFVSVFPANARLMARLARTGAEARFNATVRDGFLLLLGYTALFGAAAVLMHLPRAALLLVGGTAYLAGAVYIGVVWRVLAVLI >cds.KYUSt_chr6.12780 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79807261:79813233:-1 gene:KYUSg_chr6.12780 transcript:KYUSt_chr6.12780 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAAPDPRSTHRQPSPLDSRSAPAASGPNGAALPGGRPLMLVSMYVPSAGFMILNYIFETYLNIRQHRALKLPTLPKSLAGVISDEKFEKARAYSLDKSNFNFVREAITIVFDITILYYKVLPWVWQKSGELATNVGLNAENEIIHTLAFLAVVMVWSQITDLPFSLYSTFVIEARHGFNKQTIWLFIRDMIKGIFLSIVLAPPIVAAIIIIVQNGGPYLAIYLWGFMFALALLMMTIYPIMIAPLFNKFTPLPEGSLREKIEKLADSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCTNDNEVVSVLAHELGHWKLNHTTYSFVAVQLLTFMQFGGYTLVRNSKDLFESFGFDDQPVIIGLIIFMHTIIPVQHVLSFCLNLVSRAFEFQADAFAKNLGYAPELRGALVKLQEENLSAMNTDPWYSAYHYSHPPLVERLSALEDLDSKKEN >cds.KYUSt_chr1.36259 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221078483:221080983:1 gene:KYUSg_chr1.36259 transcript:KYUSt_chr1.36259 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFPEGVDDHDLQSHDELLRHLQYLPPTPLPHPGALMDQPQTSSAIPELVDWASLLLPLGSGAGTSQQVAAPAGTGQEEMMSGMETAASGGGGGSSAGAGVSGGEPVAKERKPAGSRGRKATRPRFAFQTKSENDVLDDGYRWRKYGQKAVKNSAFPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALSPILRQLQFLSQL >cds.KYUSt_chr4.3624 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20531123:20532857:1 gene:KYUSg_chr4.3624 transcript:KYUSt_chr4.3624 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGAGVQAPEASPGRYVRRHDEVTPDDDGCDDVFRVAVRGPGDDPFDIPAKRAPVERLRRWRQAALVLNASRRFRYS >cds.KYUSt_chr5.27646 pep primary_assembly:MPB_Lper_Kyuss_1697:5:174963878:174965579:-1 gene:KYUSg_chr5.27646 transcript:KYUSt_chr5.27646 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWRKKVVFRARRAWAALSGRILGARKTGNGGILKLHEDVQTCGYQDVQVMFDMLTSELEAAHARKQPPSPPLAWSDPSSSSSMAAVQ >cds.KYUSt_chr7.16439 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101886649:101887605:-1 gene:KYUSg_chr7.16439 transcript:KYUSt_chr7.16439 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVQGMAVSTAAFPSHHHGAVCSSSFGLSATVTLSRTRTSLAATAVSAPLTPVLDGKFICPYALAFKSARPRNKKKGTGPPRLFAPPAPPRKDQFEDGEIIAIEIDEDIMERME >cds.KYUSt_chr2.9555 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60291358:60291993:-1 gene:KYUSg_chr2.9555 transcript:KYUSt_chr2.9555 gene_biotype:protein_coding transcript_biotype:protein_coding MMCQNGYLHWLSIDDGNLKVFDGQDGKIVDLNQSPNTAAYLLELLELQRRREGTSSTGGQQVTAAAARGGSSSFGSILLELHRQREGSSSSFGSILLELHRWREGSSSSSDEKRLQAPAERGRPLLARGARDRRRGGRPPACARLRLRDARFRRRSTARGAIPEAVDREGCAIPAAVDREGRVSLRPEAPIPNARVRRRPRSFLLRLGMRW >cds.KYUSt_chr6.19554 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123085544:123098597:1 gene:KYUSg_chr6.19554 transcript:KYUSt_chr6.19554 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASPAIKPIGKSVVHRICSGQVIFDLSSAVKELVENSLDAGATTVEVSLKAYGEEWFKALALKHHTSKISDFSDLNSVATFGFRGEALSSLCALGKLSVETRTKDEQVGTHLEFEHSGVVTCERKIARQVGTTVTIEKLFSTLPVRGKEFSRNIRKEYGKVISLLNAYALIAKGVRLLCTNIVGKNSKMVVVKTQGSSSLKDNMITVFGLNTFKCLEPFNVAISEDCHVEGFLSKPGPGSGRNSGDRQFFYVNGRPVDMPKVTKLVNELYRSSNSKQYPVAVLNFCIPTTSYDVNVAPDKRKIFFSSEHAILLSLREAIENLYSPQQCSFSINHIEDPEKEEDPAIVEPNESTDLIEKENVSSPENDNDTDETDSDDKDPPENQKVSSSVTRLATGAASRDISPLSRGPATPVGRSPLLSASWYEQPKKSNGSHPVRENHARTGPAAKSSPSSIVQSSLMNFLSLNKRKHEDSCNLISEAPVLRRGTNSEQVRRTSLEENAPGIANANSLQETSLFQRHSPQSFVPKRAEVSSQHSEPSNIVSHSTEMPLLDPRGLHSTKSDVGEQNDQRLSNSGAPCQYPEDIEPQNGPSNIPLPVAHGHDDGTSGCSTSVSYPVMQFKVAELRRRRKHRFMVSHENGIHCSNKTARCYKAATLDNYVPNDDEGKLNYLAAATNELDRLFSKDNFGEMEVVGQFNLGFIIGKLDQDLFIVDQHAADEKYNFESLSQSTTLNIQPLLQPLRLELSPEEEVIVSMHMSTIRKNGFVLAEDLHASPGNHYILKAVPFSKNITFGVQDVKELVSMLSDSQGECAIISTYKMDKTASVCPSRVRAMLASRACRMSTMIGDPLTKVEMKKTGKSGGGGGSASVKRGRPFGSTTGSGAAAAAAAASIGDPAAPAALVGPSLQLLTALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDLRRDATPLAKVPGLLDALLQVIDDWRDIAMLKDHTKPPRARTLGANITLSGFGLENVGQVYLDTATPSNDQPKIEDSTITKKRSAGFLFDEDGLFNIDDEGRTERQQCAVAASNIIRNFSFMPENETTMVQHRHCLETVFQCLEDQNAEDDELITNMLETLVNLAPVLDLRIFSSSKPSFIPITEKSAVQAIMSMLDSSVKAWHCAAAELIGRLIINPDNESFLLPVISQIYKRLVDLLSVQAFDAQAAAVSALYNVSEVNMDCRLRLASERWAVDRLLKIVKAPHPVAEVCRKAAVILESLVSEPQNRMHLLVHENTFAEILTSEGKYSDTFARILLHKSKTPTLLLMLDIRKAFNSVTREFIVEEFIVELLKRHGFPPSRQAPDSNPRSAANQSPLSTPHAPRWWLQRHSPPALPSRLRVSSLSQNHQEEVCLDQELDLTPVFATKPATSCDSHI >cds.KYUSt_chr7.8485 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51396008:51397207:-1 gene:KYUSg_chr7.8485 transcript:KYUSt_chr7.8485 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFGSLEESMSASKGMEMPVGMQDGLNIDEKYAKMLRTESNMLFLSNKDETEALLQPQQDIILPMLHNMMQKINSSEIELAMAGYFDASAEASKICKQLLRNIKNTQSNYQSMESFLASILGCTTATSSTSLALETFPVRGNPFSTTTRSNFRQIHDKYSSVLQTIKSSHKKVARKLKIVKTIKKLSRTCLIMACGVVAIATAAHLMFFSPLFGSALMGLCPMALKRRITRLKRSKTKSLQRLQEQLDTAAKGTYVLGRDFDTVSHLAARLSDGIERDNSMAMYCKGMVDEKFPVHDMVMELRRSCCNSRRLAEELEEHVGLCLATINRARVLVIEEISKQA >cds.KYUSt_contig_988.276 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1612866:1614147:-1 gene:KYUSg_contig_988.276 transcript:KYUSt_contig_988.276 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGDPLVFPTRDPDRSILGRGTRHRSRIRLLWSSLPTASHRRLTTLRLTGVTLCAGFELLLGADGCPRLEHLELRDCLIGFEEVVASRTLRTLVVDSCNKGMDQMSGSLLRLSYTPRIVAPGLASFHIVLLSDFVPLWLFEMPSLIQATVRLGRSCILNEFDLLCSLHNVTKLEMSSFPPLNVVMVHRYGRDFPRFNNLSTLILDQCDDAHMLLEYFILFAPNLEKLTLQNCTLPMLSERVAERPMSVKMTDYHFNLNLVEIKHREKDDICGIIEYLMLVSVNLQRTAIVLSKGPEKPWWRLENHATLAS >cds.KYUSt_chr2.25030 pep primary_assembly:MPB_Lper_Kyuss_1697:2:152985818:152986279:-1 gene:KYUSg_chr2.25030 transcript:KYUSt_chr2.25030 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLEIVEQRGVGRLGSGGGGSTSFNQPWREMTPGSGSGHGGSSGRRGGREPPEKWLTLFALRLAVHEKAASGLGKLDFAWVLSAFACVALSVMRLWKQDFHGHQHMELQKLAMDILTGMAMDQRANETIAGTGGVVKLLLSIFFNARSSSLA >cds.KYUSt_chr4.27660 pep primary_assembly:MPB_Lper_Kyuss_1697:4:173760096:173765450:1 gene:KYUSg_chr4.27660 transcript:KYUSt_chr4.27660 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRKGGFKKKIKAVAELVGLSSGSSSQTRPPSAPPSPPPRRKNAQPRRLRTPSPSPPPAEDDDEEQWGGEDEEDGEEHGGQDEEAGGEDGGEDGGEDSGEDGGEDGGEDGGEDLEEDEGLGGMPQELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKYGNMQERVVRHFWHPPRYVGEDRACFLAMVIWWTSREYARKHEEGKRSVREMGGGSHVPGSKNLALTLQDEEVKTGVAPNLFGFFQKSKTRKEPHPETGSLWVNDLAEGQCGAYRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIADGLVDPSTIPSLRRIRRGRTSEQPRVETRPRASDLAIEKLRAEMEERERRHQEEQMRMQQQLRENMQMQQQMLQRDATTSSRCSSEMFMNRTVLTSPPGVVEVEKDKEVEVDKEVEKDKEVEMDKEVVKDKEEAKVDVACGLMGKQENSGKICTIFAVHMHTAKATAHGKGTLCRAHAHGKGCPRCPPDVAVPTFAVHGGREAHGKAKSLPCELEMKRTAKKLARQRGQTHGKGIRTATRPQLTATLRRSAKPLPCDFRATHGKDPFAGRIFAEQSLPCTAARQRLCRAGIDKLIPYQLVPSMGIGGDNVLISMAWTTSSTSSVASNAMDIGDEEASPPSYIKPATDGELANLLDGMSFGSFTDSDLDSDSESIDNFDFIDRYTSIREVFADRYDGVTDPEDENTMAKYHQVYVIGEESRQEDEASEAFDDLGNPYIDHANLTRGTCPKYVGPTPRGRVQLSQAAWDIAGRAMNDTE >cds.KYUSt_chr3.3083 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17655270:17662804:-1 gene:KYUSg_chr3.3083 transcript:KYUSt_chr3.3083 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFAKPENALKRAEGESPAFALLILHLMTVSDCCCDASAAGRVDSQKQAALQALHDLITSKRYRSWQKPLEKIMMKYVELCVELRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMQLSNQKAEEAKSQAQALEDALDVEDLEAERPEDLMLSYVSGEKGKDRSDREFVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRSTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPETSKLYLDTRVEQLKIATDLSLWQEAFRSVEDIHGLMSLVKITPKPSVLVVYYAKLTEIFWISESHLYHAYAWLKLFNLQKSFNKKLTQKDLQLLASSVLLAALSVTPYDQKYGASHLELENEKERSFRMANLVNFSLDSKRENREMASRASLLAELAAKGVISCASQEVKDLYNLMENEFLPLDLASKVQPLLSKISMIGGKLSAASSVPEIQLSKYQSSLEKLTALRVLQQASQIFQSMKIDMLSRMIPFFDLNVVEKIAVDAVKHNFVAMKVNHLSGAVHFGNMDIESDGLSSHLSVLADSLNKARCLIHPPVHRPSKLGENLTSLAGVVEKEHKRLLARKSIIEKRKEDHERQILEKEKEEETKRLSIQKKSADEERERLLKEQRNREKQRILKEIQEKDEIEAKSIVNDNIKLPKNKKKHVIEGELTKQGAMELVSSQKLKARQEMEKKLQLLAKKMDYLERAKRQEEAPLIEEAFQKRLQEEKILHEQEQLREIELSKQHHAGDLQEKNRLSRLLEHKNAFQERIVQRRESEFSSLRKERDERVDQLISSRKRERETVRKLMYYLNLEEQRIQRQREEEEAKKREDEEKRKREEAEKKMKLDAIAAKQAQREREMEEKAQREREALLRGAEPVRAPEVGAPAVAAPAREPAVAAPAAAAAAPAPSRTPLLHAKRHPLPAFLHDRRCPLPAFLYAKRLPLPASRMVLLLLLQLTAGVLVDLDPLQILHPLPRPPLGGGAETACTQNVLCQLVEIFAVFQAQELCTQYLPLIPGSMVT >cds.KYUSt_chr5.18358 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118669849:118674437:1 gene:KYUSg_chr5.18358 transcript:KYUSt_chr5.18358 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGVQRWALTTCLIYLLLSSSCTATAGKVPAIIVFGDSTVDAGNNNYIPTIARGNFPPYGRDFDGGVPTGRFSNGRLVTDFLSEALGLGSSVPAYLDGSYTIDQLATGVNFASGGTGMDALTAKVASVITLSQQLEYFNEYKERLKLAKGDAVADEIISEALYVFSIGTNDFMVNYFFMPLRAAEYTPEEYISYLVGLAEAAVRDVHELGARKIMFGGIPPFGCVPAMRTMNHDAPGECNEEYNRVALMYNAEIRAAMGRLGGEFGGGARVVYADVYDVPYDIIANPSAYGFEEVAQGCCGTGAIETSVLCGMDAAFTCQDADKYVFFDSVHSSQRTYKILADDLIRNSLQVFM >cds.KYUSt_chr2.6033 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37565070:37565498:1 gene:KYUSg_chr2.6033 transcript:KYUSt_chr2.6033 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVGSVAKIVEIALKIKEAATTARQNKKDCHHIKGRVDVLNKTLSHHENNEDLTEDPAVMAALEALHGILAEALEVVTQCQEKRNIICLYCTAGNFSRQLGKVEQRISYLSSDAMLTIMSYQLLTKFQEAAPHPPPQVWL >cds.KYUSt_chr7.26421 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164916124:164916900:-1 gene:KYUSg_chr7.26421 transcript:KYUSt_chr7.26421 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVPHHIALLVLVLAAASARLASAAGACAAEKFPAGKTYATCSDLPQLGAALHWTYDEAKSSLSVAFVAAPAGPNGWVAWALNPTGEGMAGAQALVALKGSSSAPAVKTYNITGYVPLGGASTPIAFPATELAADAGSGGKIRLYGKLQLKKGMKAVNQVWQVGTSVTGGAPDKHAFAAGNLAAKAKLVLSGKATAPAPAPAAMSGGPAGSAGSVGGAGAATTPAAGKPASAAATVGVSSVAGVALALMGFLAVV >cds.KYUSt_chr6.17173 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108142827:108144410:1 gene:KYUSg_chr6.17173 transcript:KYUSt_chr6.17173 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAQFSHGDFVAVLSRCATLAHLKQLHAHSFLTGRAAAQTTTFHLLRFASLRLSCLPYARRLFDSTPHPNVFLYSAMLSAYAAAASPAHTHSRDALALFLRMLRRGRPAPNHFVYPLALRAACAVGVQLIRSIHSHARKTGFHAYDVIRTSLLDGYSRYGMMQDARKLFDGLTDRNVVSWTALVSGYARAGKVGNALVMFERMPERDVPAWNAVIAGCTQNGLLVEAVGICRRMVDEGFRPNGTTVACVLSACGHLGMLKIGKVIHGYAWRSRVGFGSSAVNGLIDMYGKCGNLKEARWMFDEVSDRSLTTWNSLINCLALHGHSKSAIDVFRQMRDEGIEPDEVTFVGLLNACAHGGFVDEGLKYFKLMCDELRIEPEIEHYGCIVDLLGRAGRFEDVMHVIKDMKVQPDEVIWGSLLNACRTHRQLELAEFAIRKLLQLNPNNANYVVMLANLYSEGGFWEEVTRVRKLMQEELMGKKLPGCSWIEVDRKTHIFYSGDDAHPESEDICNILEELAASMEICQD >cds.KYUSt_chr3.21220 pep primary_assembly:MPB_Lper_Kyuss_1697:3:130118543:130122882:1 gene:KYUSg_chr3.21220 transcript:KYUSt_chr3.21220 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSSPSQLRPCPSHARHAVLSRSIHPFPRRHHAAPRRGLSARAAASNGAAQDPAVTVRHFAAEPAKIGKLAGVKKIMILGAGPIVIGQACEFDYSGTQACKALVEEGYEVVLVNSNPATIMTDPDLAHRTYIGPMTPPLVEGIIASERPDALLPTMGGQTALNLAVSLAESGALDRLGVRLIGASLPAIRMAEDRQLFKQAMDRIGLKTPPSGIGTTLEECLDIAQHIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRSGLAASHTKQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPILTTQMKSVGESMALGRTFQESFQKAVRSLETGFSGWGCAPIKELDWDWEKIKYSLRVPNPDRIHAIYAAFKKGMRVEDIHEISFIDTWYLTQLKELVDYERYLMSKSLDQLTKEDFYEVKRRGFSDKQIAFATSSSESDVRARRSALGVIPTYKRVDTCAAEFEANTPYMYSTYEYECESAPTNRKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVTNVIDLERPDGIIVQYGGQTPLKLALPIQRHLEEKKLRAASGLGFVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALSIASEVGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLNDAIEIDIDALADSAGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSTQCLDIIRSWTTKLAKRLNVCGLMNCQYAITPSGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLIMSGVTLPELGFTKEVIPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQKLPVSGTVFISLNDLTKRHLAEMGRGFRELGFNIIATSGTAKVLQLEGIPVEPVLKIHEGRPNARDMLTNGQIQVMVITSSGDDLDSRDGLQLRRLALAYKVPIITTVDGARATMDAIKSMKNKSIEILALQDYFQHADAPQKLEAAQAAP >cds.KYUSt_chr3.8191 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47147168:47148698:1 gene:KYUSg_chr3.8191 transcript:KYUSt_chr3.8191 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTLFAMTALQIDILGLPQKKVDNPSKMCKFLLTALLLSLINHETGLAMAWDDQDFFRYCPPSKCSKHGPEIRFPFRLKASNTSCGKPCMELACSGEDTILVHPLLGSWPMQGSRIPLIPNLPSDEHKERGHSLPSSAMSKNHGSPPMFHTIEGIVRNKHSDMKTTRCAHVYE >cds.KYUSt_scaffold_3611.222 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:1174611:1174886:1 gene:KYUSg_scaffold_3611.222 transcript:KYUSt_scaffold_3611.222 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCRSVPREHSSAYYGCGGGYDYEDVGRGGVAVKSYSFNGPSAGEDPEEKRRRRVASYNVFASQARLKSSVRGSFKWLKSKLSDVRYGGL >cds.KYUSt_chr2.41727 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259648202:259649279:1 gene:KYUSg_chr2.41727 transcript:KYUSt_chr2.41727 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEKSAVGGAYWGVGARACDACASEAARLFCRADAAFLCAGCDARAHGPGSRHARVWLCEVCEHAPAAVTCKADAAALCTSCDTDIHAANPLARRHERLPVAPFFGALTDAPKHLAASGAQQGGAAVSDDDGSNDAEAASWLLPEPDQKDGAIVGAAGADAFYADSDHYLDLDFARSMDDIKAISLQLNGHPDPEPDLTGGQKVFYPDHSMNHSVSSSEAAVVPDAAAAVPVVCRGQEREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRVKGRFAKRTGGDAMEEHEEMYSSAAAAVAALMAPGGHDHDHYGVDGVVPTLV >cds.KYUSt_chr7.18583 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115137558:115142815:1 gene:KYUSg_chr7.18583 transcript:KYUSt_chr7.18583 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQPLYPRNLDDAFSRRDSDAVSLCSSRPSSIATGPSLAAPIANLSDRSSQAAALRVVNSYLAPSINLRAPLPAARDILAAFRHLLEHLGYPVKSSLEEDLLSLLRSLGCPYKLTRSALKAPGTPHSWPPLLSVLYWLTLLSRVVDNLHASSTPTAATSNDLMLYLTDSYYLFLTGEDDAAASLDEEYHSKARAQADACEMAVQALEKEVQDLVAKRSKQTSEPSRLRALEEKKEAFTADVQKFEAVVKSWSTKIKEKEDALLEKEKELQAKVMNGQQMMAENEELVKKVEMQVVNVRDVDRMTREMQAVENDIAKVESANAVLEEKGWELEALLLTKLEEIEGLAELCNQALRKLKPSIDFQYMINSKGSSPAEILGTTYKTALKPALIALANETKRIFVSKHDESIDLQKQLQRIAKMLEEKKSQVSVLQAKNNEVDSLDLEIRNHVSSCTVDARQMKDESEKKEHEMSTVEKDADDFLKTSEQSLRDASRETDEETQACARELLQLIDSISDYKEFVETSTAGIKKDLYECVDDIASLSAKMVSTPEVSHKK >cds.KYUSt_chr2.25849 pep primary_assembly:MPB_Lper_Kyuss_1697:2:158117899:158119476:1 gene:KYUSg_chr2.25849 transcript:KYUSt_chr2.25849 gene_biotype:protein_coding transcript_biotype:protein_coding MATACRFAAPLGLAPLPRSRAGAGTKSVIFSVRSTKVVQRNFVVKAASDEGPAETPEIVKAAQDAWAKVEDKYAVATIGVAGLVALWTAVGALKAIDKLPILPGVLELVGIGYTGWFTYRNLIFQPDREALISNIKSTYNEITGSSS >cds.KYUSt_contig_2402.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000325.1:128816:132828:-1 gene:KYUSg_contig_2402.22 transcript:KYUSt_contig_2402.22 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSDELRSLEVTGIYRLPGSRAAFLDPVRLLNESYRRFRLVPSSYYSRSFGPSNQGGDAQGERTAPSPERKKRKRKRQPKPRELNAVERIAEARHQDVRKTVTVGEARALLISAHKSLLEAKDLLEFLPGMVKGDECMQDVQTSSENNFVELGSSWRAPLCEMTLCFQKPHGQGEAGPCLVQRRSSTLFDKIISIEENDEAEGEFQSRLYILPKGSCFLMTDFKHVRDLIPDNSNDGYNLIVIDPPWENGCVRQKEAYPTLPNRYLLYLPVQELADPAGALLVLWITNREKLRRFVEDELLPSWGVKDPTEFYWLKVKSDGSLIGDLDLFHHRPYECLLLGYINVNREAEPGSNFKFLQASQGSSAIQPPPPRPLRRPGSVPYGDRIGHGQPRHVGLDRADLELAEQGSIRFGHPPAFCLQLTCYSRYLGGVVWI >cds.KYUSt_chr5.16304 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104885299:104887354:1 gene:KYUSg_chr5.16304 transcript:KYUSt_chr5.16304 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLAARSPLVALALCLCLSFAFASRDVEEVGWRTAEGRAEWGKGHSGDGGRPYYFGEESFREWSRTPLGHFKLLERFDDKLLRGSVGDYRVAYLEVAPRAFLQPSHFDADKVLYVKEGEGVLVLLRKGRRESLCLREGDVMVIPAGSILYSANTHRSKWLRVVMLLNYASFEEFFPVGGEGPDSYLSAFSDEVLQAAFNSRRDELERVFERQSKGEIWQASEEQIQELSRLCSRGGGGSGSKEEEIKPTSLLGQKPRYSNNNGRMHVITGDECRHLRDLDMEVGIANITSGSMMAPRYTTRATTIAVVVEGRGYFEMACPHKSDSGRSERREHGSEEQQMMKSRGYKQVTAQIKEGSVIVLPAGYPATFVAGNDGNLAVVCFGVGSGNDEEVFLAGGNSLLKQLDPPAKAIVFGEQGREAADRVIGAQTESVFLHGPQQQSRGCVSNM >cds.KYUSt_chr3.28182 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175842812:175843444:-1 gene:KYUSg_chr3.28182 transcript:KYUSt_chr3.28182 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAETPASPTAVDKAAPNGAVAAEEVTLADPVHPAKSYAAVAANAEIDDLRATKLALEDQLAAAADENTTLAAEAHRLEGLFSQARDDVATAEHAAAATESEAAALRAEVQRLQALLDRKRADREEDQRQREQLEAEVGAVRQAKRQLQEEIDALKASAATVEEREVAPDAVVPKEDGGVAWQGVAAGAAAGAVVTAAVVLVYLRLKR >cds.KYUSt_chr5.34425 pep primary_assembly:MPB_Lper_Kyuss_1697:5:218262611:218269402:-1 gene:KYUSg_chr5.34425 transcript:KYUSt_chr5.34425 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTITFFISLLLCASLTVDASQEDQLKRFIESKARKRQTARGTSANRLEETDPWADPGSFSHLPTKCPIPPAGTKAADKITELPGQPPRVNFDQYSGYVMVSEKHFRELFYYFVEAPYEPASKPLILWLNGGPGCSSLGYGAMTELGPFRVNPDGKTLSRNKHAWNNLANVIFLESPAGVGFSYASNDKNNNDNVGDRRTAEDTFVFLLNWLERFPEYKGRDFYIAGESYGGHYVPQLATVIKFMNELHGTTFMNLRGIFVGNPYLDDYKNEKGNLEFLWSHGVISDEGWAGILANCTFSPSDDWQCFVASRRPQRGDLDPYNIYAPLCLHAPHTGIYYSSSYLAGYDPCAPHYVKTYLNNLDVQQALHTRPNTRWSACNLDLPYNDGPVSVVPTISKLIKRGLSVWIFSGDMDSACSITATRYSVKDLDLPVTKPWRPWYTPDSEVGGYVQQYEGGFTFASVLHSSENIMRNTPFCFFLLICVAAWHADASQQAQLREFILSRRSSNNGIFFPWVGTSATNSLRAESFSVTDQTSLKDVDKIAALPGQPNGVSFSQYSGYVTVDEKNGRALFYYLVEAATDPAAKPLVLWLNGGPGCSSFGYGAMIELGPFRVNSDNKTLSPNKHAWNNVANVIFLDSPAGVGFSYSNTTSDYDKSGDQKTADDAFLFLLNWLERFPEYKGRPFYISGESYAGHYVPQLAATVLSNNLNNATRTSLNLQGILVGNPYLDDNMNSKGVIDFLWSHAVISDEVFANITRSCNFNLSDGKACSDAMAAYDSANADPFDIYGPVCIDAPDGTYYPSRYIPGYDPCSGYYVDAYLNDPEVQNALHARTIKWAGCTDLHWKDSVASMVPTLKFLMANGLPVWLFSGDFDSVCPFPATRYTINDLGITVTEPWRPWTANNEVGGYVQAYTEGLVFASVRGAGHQVPYFKPEKALILVSSFLKGMLPL >cds.KYUSt_chr4.7201 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42602405:42602686:-1 gene:KYUSg_chr4.7201 transcript:KYUSt_chr4.7201 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPVPSLEECLGVETLRRLREEEEHHGKLPGEVIQDRTEEEESKRSLEVFTGEEPRKAQKDDGAEEDKQLEAASHGAAGQLTGAKERTGQEP >cds.KYUSt_chr4.14251 pep primary_assembly:MPB_Lper_Kyuss_1697:4:87798252:87799523:-1 gene:KYUSg_chr4.14251 transcript:KYUSt_chr4.14251 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGRCREALPTTLLTLADELLEEIFLRLPGAADLARASMASVSFRRLIADHGFLRRFRGGHLPPLLGVIPSRHRQDDPTHLAQPPHPCAAAASTLAGFHAAVFSCSFLPSPKRWHRRDLRDGRVLLSGVPEGSKFDCRTLARELAVCDPLYRRYVLLPAIPDDLAASVVRLQPQRFEPFLAPPAAAVEDKEEDGMSFRVICLAQCATKLVLFIFSRGRGAGAGAGQWRSVTFDNWNALVAGSDNWAPCVAYSWPWRRHYAHGCFCWAFYPEGKLIVLDTRTMDFSAVNLPHAGSNQMQVVILEAGNGRLGMFMNEYLTRELSYVVLRNDGHAANQWQSEATFSLPLNQRNMLMGVAGGYLLIHGIPESFFSFPWAEREDVNVFSLNLKTLQLEPFFASKHCIMDGHLFAGFPPSLSPPTI >cds.KYUSt_chr3.37782 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237642933:237644616:-1 gene:KYUSg_chr3.37782 transcript:KYUSt_chr3.37782 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKKPYTVAVIIQLIYTGMFVVSKAAFNQGMNTYVFIFYRQAAGSILLLPLALLHQRKNAQSALSFTLLLKLFFCALIGITFSLNLYHVSLKFTSATVAAATDNSLPAVTFFLALLLRMEVVHLKSPSGIAKLTGVAFCIAGVLTIAIYAGPLLNPLSHSHGQLAPGAPSRAQGGAWIKWTFLMVVANAAWSLWIVLQAALLREYPNKLLVTAVQCVFSALQSLLVAVAAERDLSRWKLRLDVGLLAIAYSGLMVMGVAYYLQAWCVEMKGPVFLAAWTPMCFVFTTLCSSFFLGETVRLGSILGGILLVGGLFSVLWGKSNEREGEIGSMTPRGEMDTNKTDVAVCVSDISKINL >cds.KYUSt_chr4.8661 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52003654:52004617:1 gene:KYUSg_chr4.8661 transcript:KYUSt_chr4.8661 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSRRPSAPLGSSAPSKLGKLGKMLSLQEANGLDLDVMGSGFGFTPWGPDTCPTLDQLMAATTTPSSSSSPCSSVGAASPEEEEAELRRRQRRKASNRLSARRSRARKQQRLEELRGTAAQLRAQKRELAARLSLAARHELAARRDNARLRAEAAALARRCREARRTLALQRLAQELRSRRQILQPGGGGGALATGGAAAFGPGQGAAMGLASLMT >cds.KYUSt_chr4.46139 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285104703:285108413:1 gene:KYUSg_chr4.46139 transcript:KYUSt_chr4.46139 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPIVDPLQGDFPETIEEFLQHGNMKCIAFNRRGTLLAAGCANGSCIIWDFETRGLAREFRDKDCTAPITSVSWSKYGHRLLASATDRSLTLWNVVTGEKIARITLQQTPLHACLHPGSSIPSVCLACPLSSAPVLVDLNTGSTIVLPVSASDNSVPVPNSRNKFSDGSPPFTPTAATFDKHGDLIYVGNSKGEILIVDSKGIQVLAVIPIPGGTVVKDIVFSRDGQYLLTNSNDRVIRVYENILPIKGSGREIENIITNNNNEYESHYEKLKANGACCLVFSCEVSDAIAKVQWKAPSFSGDAEWIVGASASKGEHRLHIWDRAGRLIKILEGPKEALIDLAWHPFDPTIASVSVAGLTYIWAKEHVENWSAFAPDFIELEENEEYVEREDEFDINAYTEKAAEKMIDEDAYIDVETCEKNSSFSGFEEDSADEIIYLPAIPSPDVPDEQPDKCLVSSSKLEDSNHSGSPSSMDAVQNGLVIPPASSPLEVDNSTAEEPAETANAKRKRRLSAKGLEMQQAEKVKKPAIKMSNGKSSKSKSKPVMELANGNSSAIDIDDEATEDDEMI >cds.KYUSt_chr1.5097 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31421942:31423460:1 gene:KYUSg_chr1.5097 transcript:KYUSt_chr1.5097 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFAQDEEDLIIELHAVLGNRWSQIAAQMPGRTDNEIKNLWNSSLKKKLRQKGIDPNTHKPLAETDRSGAAPTISTERTSGSSDVNPSSAGGGLGNFSHLLSETAQSSMLLPVYDKKRPETPSLARPKVQAKELFLDQLAAGHESPSSCRSSGPTLYFPFQQQLGYNECGSGDAANMNSLWFNQNDFNCSTISTVMPTVSPSALSTSMGLNLPPDNHRHGGTGIGSAPFYWDGVNPSSSGSTGSSGSNSMGFEPQSTNSILENSVFSWTDIGVGQEKDTRVQLVEELKWPDLLHGTFGEATAMQNQSQSLYDDVIKAESQFNMEGICASWFQNQQPQQQLQAASDMYDKDLQRMPLSFEHI >cds.KYUSt_chr1.16988 pep primary_assembly:MPB_Lper_Kyuss_1697:1:98625374:98626891:1 gene:KYUSg_chr1.16988 transcript:KYUSt_chr1.16988 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWETTEEKLQEHFSTFGEVSQAAVMRDKLTGRPRGFGFVVYTDPASVDAALLEPHTLDGRTVDVKRALSREEQQASKAVNPSAGRNAGGGGGGGGGGGDAGGARTKKIFVGGLPSTLTDEEFRQYFQTFGSVTDVVVMYDQTTQRPRGFGFITFDSEDAVDRVLHKTFHDLGGKMVEVKRALPREANPGSGGGGRSMGGGGFHSNSGPNSNASSYDGRGDASRYAQAQQGSGGYPGYGAGGYGAAPTGYGYGPANPGTTYGNYGSAGYGGVPSAYAGAYGNPSSAASAYQGGPPGTNRGPWGSQAPSGYGTGGYAGNAGYGAWNTSSAGGNAPTSQAPGGAAGYGNQGYGYGGYGGDASYGNHGGYGAYGARGDGAGNPAAAAASGYGAGYGSANGNSGYPNAWADPSQGGGFGASVNGASEGQSNYGSGYGGMQPRVAQ >cds.KYUSt_chr7.6530 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39392106:39392732:-1 gene:KYUSg_chr7.6530 transcript:KYUSt_chr7.6530 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCSPTSMGATSEDAPSLTASAIVAPAVSGSHILRIEGYSRTKGLGNGKFITSETFSIGGHRWHLKYYPDSRDSKDSDLISIFLHLDHAADRKEVRAIFTISLLDQNGNKVPSYSKSSMLYCSFSAGRQGTMGYDLIKRSELEGSGAYLKDDAFSVRCDVTVAKEIFTKAIPLPGAGRSGVVEQEQKEGVVEQEQKEATSPPVGNGV >cds.KYUSt_chr2.48365 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302383603:302386793:1 gene:KYUSg_chr2.48365 transcript:KYUSt_chr2.48365 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDAGATSKVRAAVKGEAGKKRKTKKKVPAIVKKAEKPSVWRSDGTMKETRRPAPIYKLTIPVNEDLTEFRQWWMELYGKQIVSMDQRTNVPSVRLGDDVPFEPVSTLQFFEVKISSEKWPLHVYGFIATRDSVDYKRNIIFERTRDDCQIIYEQTPYLSLTGPARAVALVDPVFFEVHLQVKGTGQSEDYDLISLAQSFRDTGPLESSLFKSVYTGKISKLEMTFGHIIMSVEAAVSMKVISGSWPDGFMGIFSARTASINDMAVVLLVTGDDGLPLADDGVIKFQRDVVSAEIMEGEHLEVCARALGVGEARYDDSLFFKPQERGKLSGTLTIDSCEIEVTVSWYPVSWW >cds.KYUSt_chr1.24536 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146655703:146656203:1 gene:KYUSg_chr1.24536 transcript:KYUSt_chr1.24536 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPGCTPGGGGGATAAATWTPPYCTIVAADMSDFSYLACPACCRRAHPGHPEGVSCAACGGPAPELVYRLLLSVATHDRVLPVVLFDRAARTLLGCPADELARLFAAHRGAARAAADALQGEMCRLALREPARDGAEHLRAVSVAPLRDGFRPVVDALRTLYSRG >cds.KYUSt_chr7.27306 pep primary_assembly:MPB_Lper_Kyuss_1697:7:170597479:170598030:-1 gene:KYUSg_chr7.27306 transcript:KYUSt_chr7.27306 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRAKIRSFIPFHVVRLTEILATFVLVASLIYLLSFFGIAFVQSNVSSTDEDEDFLVASSSTTKAQAQAPAPPTQCGLLGSADTVSEKMLEEDEKMLTTHNNCCYTHIICCYRQHTSFVIIHTSFVVTHTSFAVRKQHISLTHSHIFNRHHLASHSNTNWFNNRIFNNINWFNNINWFTYT >cds.KYUSt_chr1.38494 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235329571:235332258:-1 gene:KYUSg_chr1.38494 transcript:KYUSt_chr1.38494 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLPFQGHINPMMQLADVLHSRGFDITVFHLPFNAPDHASYRLVPVGTGVPSGDLFPTGSDADFAGALQRINERLQAPFEDILREVLEEEERPPACLVVDSNFRGMQLVAERLGVPTLVLRTGGAACLVAYMAFPALCDKGVLPPQDESQLDMPLDDHQPLRLRDMVFSATTPHETMSTCLQRIVESAKNSSGLIINTFSDLEDASLRKIADGAGVQVYAIGPLHKISGGAQSSLLAQDRTCLDWLDKQEAASVLYVSFGSLASMNEEELVETAWGLANSGMPFLWVIRPNLVQCSEKVGLPDGFQELTRGRGMVVSWAPQQEVLGHHAVGGFWTHNGWNSTLESICEGVPMICRPHFADQMINARYVQEVWKIGFELVGKLERGKIESGVRKLLGQEEGEEMRRRASDIKNKAYWCIKEGGTSLTQIDMLVNRIMSF >cds.KYUSt_chr5.18498 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119505895:119509641:1 gene:KYUSg_chr5.18498 transcript:KYUSt_chr5.18498 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDTVGANADIWVNDHRFRVLRRLGDAGPAGSSVFLVKEVVAAAAASDGTAGAGPGTVGIAKKKGVDPSHISADGTYALKKVLIQSDQHLELVRQEIKVSSQFSHPNLLPLLENAIIAVKGVQDGSQNHEAYLLFPVHLDGTLQDVLKAMQEKKEHFPTITILQIFRQLCAGLKHMHSFEPPYAHNGVKPDNVLITQRKEQHLAILMDFESARPARRAIRSQAEALQLQEWASEHCSELYRAPELWECPSHADIDERTDVWSLGCTLYAMMYGKSPFEYELDEAAGESLVTVIKSAQVKWSTEMGSSYPDSLRQFITWMLQPHPAVRPHIDDVIIHVDKLIAKYST >cds.KYUSt_chr3.19292 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118811787:118816391:-1 gene:KYUSg_chr3.19292 transcript:KYUSt_chr3.19292 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGQLSQPQAGAEEYGVAVMRRRPKTKIVCTLGPASRSVDMVEKLLRAGMCVARFNFSHGSHDYHQETLDNLRAAMERTGILCAVMLDTKGPEIRTGFLKDGKPIQLKKGQEITISTDYSIQGDDKTISMSYKKLAVDLKPGSVILCADGTITLTVLHCDKEQGLVRCCCENTAMLGERKNVNLPGVVVDLPTLTEKDKEDILQWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILAQSDAFMVARGDLGMEIPVEKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICLEAESCVDYSAVFKSIMLSAPIPMSPLESLASSAVRTANSAKATLILVLTRGGTTARLVAKYRPSMPILSVVVPELKTVEFDWICSDEGPARQSLIVRGVIPMLSAGTAKAFDSEATDEALKFAVKSAKEAGLCNPGESIVALHRIGNASVIKLLTV >cds.KYUSt_chr5.2058 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14053666:14054405:-1 gene:KYUSg_chr5.2058 transcript:KYUSt_chr5.2058 gene_biotype:protein_coding transcript_biotype:protein_coding MELRNVASISVEALLLSMEMAYSITEASQGSVILPPSVPAKPNSSWDIRKRSLKTVMPRSASGTSNRMPSVMYTTQWPLPATDEYDVPHDPSVSSSADIDDIQIFFRDLEIRMPLSVIEVVVFGEHIAMDLLLKGKAEVHIVECDGWAGDNPMGTIRVVLRFWRCCDVIWSRFYWGQVFGKCRSLSWASGLHSRGDRFAEEMARGPHGDL >cds.KYUSt_chr3.44367 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279968175:279976962:-1 gene:KYUSg_chr3.44367 transcript:KYUSt_chr3.44367 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLPEDFGEVELTPPDWLPDGWVMEVKRGEDGTLYQYFVSPVSGSRFSMKSEVLNYLFSEMDEHWIASKKSAERGNMLTKAHEWLPNGWQIEIRAGGENMDKMFKILALVEFNPPKLPQGWVKEIVYRKTKMGIRKDPAIGISSVSHDSSVVLLAVIERRSQERVHFLVSPIQLTDRQKYRSRTHHEHNDRCQKGSAHFISIVEGEIGLLLTLARRKLAQMGAFVAAPSSRVVPPLLLADTAGGRPAARSQLETRPLGGRHGRVDPGGVSQGVRIRGGDFGIPGRGYGPWRLP >cds.KYUSt_chr3.36026 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226437017:226439891:-1 gene:KYUSg_chr3.36026 transcript:KYUSt_chr3.36026 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILIGNKADMDESKRAVSTAKGQALADEYGIQFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDQTIKINKTEGSDNPEASKSACCG >cds.KYUSt_chr6.32939 pep primary_assembly:MPB_Lper_Kyuss_1697:6:207400594:207400821:1 gene:KYUSg_chr6.32939 transcript:KYUSt_chr6.32939 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLHGRTPAAMELHDGNFLGSARWSTTTWALRENHQEGVVLDGVDSAVKRSVQLERTVLQGTNVDDFFSGDHPG >cds.KYUSt_chr7.26079 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162811914:162813555:1 gene:KYUSg_chr7.26079 transcript:KYUSt_chr7.26079 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVFLRAAAFDLTAGKPALAGVPASAPLSAAAAAIPSSPDAAVAVWREGASPLAPAAATVVGLLSSLDVVAFLASHRAGGGTDASAMKTPAGDVVPREQAVVREVEPDARLIEVVELMKQGAKRVLVRKHITDGCAVSKQPFAPFYKAPLKITGTPRAATPQTIRRSPSSSTFAYDKYCCLTREDIIRFLINCLGALAPIPLLSISSLGAISRSYCHVEASSPTIEAIWKIPSDPRVVAVVQTNKDGAHVILGEISAHKLWKRDYSAAADAMARLSALHFATGIDENGAAPASASGTGIRARRGEVENDIVPSPRSMRFSSRKIGFSASFASQMVPSHRKNIVLTCKTTSSLAAVMAQMLAHRATHLWVTEGDDSEEPILIGMIGYAEIFNAVTRGVLLA >cds.KYUSt_chr4.22800 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143395978:143396577:-1 gene:KYUSg_chr4.22800 transcript:KYUSt_chr4.22800 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVCGGFTRLGDIYNCIEELMSLPSNQVGFSFPQNKKMVEEELERSLVLIDLCNTMQENLANLKLSTQELQLVVKRGDDTVVQLKVGSFVRLAKQAQKPFKKITSSKATAADCRLVRLLAEAREMSVSLLESTSHLLPKQFTTTKGSKWSLVQKRKVVCEEEQLQALEQSMGGLENGAEFLFRRLIQSRVLLLNILSS >cds.KYUSt_contig_1790.278 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1406957:1407271:-1 gene:KYUSg_contig_1790.278 transcript:KYUSt_contig_1790.278 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRRRTRAATPCGPGSYDHTILMPTSNYAATTQWGYGSGRADLAAATGHQPPRPTSPDRAQMVVDLSIRRRAVVLHRDTSCCSAANPSRRRARTAATPYATR >cds.KYUSt_chr3.17437 pep primary_assembly:MPB_Lper_Kyuss_1697:3:106800788:106801675:1 gene:KYUSg_chr3.17437 transcript:KYUSt_chr3.17437 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLADDEGSLATAPSSPTRPRHICSGRDGDHDEDGAGIHYFFSCPASPVHYILRSPPASCASVHYAPSTDGDFCTAAGDFEFAARDRGVGAAGAGATMCSAEELFVSGRIRVGCLSPILQETDRGEQMEEVGVDGRSPRHRRARSASPPRSPRLDKNAAPSGCFASDSSSSSSSSSSKTIRRRISLRDLLGRTCSDPSVRPPPPPITNGAERSGSWLPSIWPSRSRKALPCPAPLPARRSTSSVKKALGGAGYQDAPPRRTTSLPYRQGLVLGCLGLGARSYGLAKSMHPLSTR >cds.KYUSt_chr1.35021 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213359867:213364860:1 gene:KYUSg_chr1.35021 transcript:KYUSt_chr1.35021 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLACSSWAASADLLAAALFTLSALALVSTSRRCSSAAHSAFDMPGGFVFFARIFLAGAFGGIVVDEKTRRRVVVDEKTPPGTGAGRRGDWGISAGANGDMQANWREWGYASKLEGKSTGFGFPVADYAGPHAVSRQNLSSGATMSEVFEGYERQYCEISASLSRKCAAASALDGEKKKKQKLSEIQADVQESESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLSSVKGEVKRVSAPNAHQATREELLEAGMSDTLAASSDQRGRLMMTSERLNQSSERIRESQRTVFETEEIGVSILQDLHNQRQSLLHAHTTLHGVDDYIGKSKKILASMSKRMDRNKWIVGGIIAALVFAILFILYFKFAR >cds.KYUSt_chr2.36321 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224288549:224288914:-1 gene:KYUSg_chr2.36321 transcript:KYUSt_chr2.36321 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAHYSEGFDVEEVTTGFPSKTGDFDVAEVLRLMDAVRPYANRVLANVDLETHITSQTVPEDAEKEGSGEGPKDFPAVRLFHVVANNSLSTYPVVKYTPKFLHGDGGAEPVVDPEASSSK >cds.KYUSt_chr4.23467 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147705919:147706778:1 gene:KYUSg_chr4.23467 transcript:KYUSt_chr4.23467 gene_biotype:protein_coding transcript_biotype:protein_coding MVESLGVVKCVEVLVTDWESGSPLHRRRQAEPRARAAALRAAGVPLSHPLLAKHLLFHLASLRAGAGAAPLRYAVAILTRLLPEPDPFSLNIVLRIAASRPRVALELHRRRLAPPDTHTYPPLLQACARLLSLRYGESLHAEAAKNGLAALVFVKNSLVHLYGACGLFESAHRVFDEIPLLHRNLGAERGEGLDEDGGLDGHVEGARDPGAGSP >cds.KYUSt_chr4.1544 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8122150:8126678:-1 gene:KYUSg_chr4.1544 transcript:KYUSt_chr4.1544 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPPAMKRPKLEKDDSSHSHRPSSANGSGHRPPSSASTSSASDAAPPEEDMAEEAVLALIAHRERVVEQYKLKLAQYQSLLDNAENELAVARARFRDRAPPTRNPAPDRRTPPPVQRDPKPSSQSTQKAPAPQTRPQLVIPGTNSRPAPRAPAPRTEPAPRPKKAAADAPSSSSMAPQDRQRKADKKPKREIVQREPQNLIQSVRKSSPTLLKFYGSHLVPSQHKRKLRCLELCPANDQLVVTSALDGMVTLWQVQSSGPTISSLSTTNCFSPKQRWPEDVAWHPDGDTIFAVYSADGGDSQVSMTNLNASGQRKTIVSVGSDKRIISYDLSAERTEYKNLIDSKCMSVLLNPCDFNLYMVQTASPGRQLRLFDIRLRQTEVHAIGWKQSNSESQSALINQSWSPDGWYLSSGSADPVIHIFDIRYHGQTPCQSVQAHHKRVFKAVWHQTFPVLTSISSDLNVAIHKY >cds.KYUSt_chr4.45053 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279027085:279028263:1 gene:KYUSg_chr4.45053 transcript:KYUSt_chr4.45053 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSGDVVWWTKRISALARSGRAAEAVAEFARMDAAPNALTLASVLPACARLRSLALGRAIHGFWLRRGGGPGANPILDNAVLDVYAKCGALRSARRLFDGMPERDVFSWTALVWGLARSGSPQDAVAMFRAMLSDGEAAPNEATVVSVLHAVACTGSLACGKVLHSYALKRGLGGEQVVGNALTDAYAKCGEARLALEVFDLLPDKEDLVSWGTVMRAMAVHGRCREALQLFSLMLRRGVRPDGAVFLALLYACCHVGLADQALHILGAMRRVYGIAPWREHYTCVLDACGRAGHLDGAAEIFRRMPMKRDRQVLGAYCSYASSSKVNGASGERFWERILDREVDAGGGTYALMSKSMASAGQWDDACAVRETMAARRIDKAAACTWIEV >cds.KYUSt_chr2.36877 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227825306:227825677:-1 gene:KYUSg_chr2.36877 transcript:KYUSt_chr2.36877 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYRCPISRCDAHLFQKKADLSEHLKDDHEWTLKERKSYLEDAEREESDGSSNDYTRTYNDTSSSSSDDDQKGGSKKRGGGGDGSYVWIEHKDDGSAHVSGYGPAGRNVVYNDDGKKAGKGK >cds.KYUSt_chr5.11144 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72224402:72228614:1 gene:KYUSg_chr5.11144 transcript:KYUSt_chr5.11144 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHIRTLIPPLLPRPLRSLPAGPSRTQFGRLKLTCPASGGSGPPAEKPPQGSSLPALSEIRWGELLAPSPDNAAAVALTAALVWAGASLLLQLVLISASIFAAALKYSFVAALLLFVLIALLPSRRLQKVTRLWARFSIRRIRTFPLTMVSTLPADDLQPGLALKL >cds.KYUSt_chr3.27160 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169554404:169555771:1 gene:KYUSg_chr3.27160 transcript:KYUSt_chr3.27160 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLEETFVASSSRGKQMVEYAAATTPKYDDPLCVVRRKELELQVDNDQWGEKMHRYPASIRDLGRWYTVPTIVSIGPYHHGLDHLKKGEEAKYAAVCSCISQSEYSLQQMYDAVVSVASEARRLYDKDTMAGIHHENIFCPMMFYDACFLVQFMLYKSRKRDKIHPWLQIFFKSNKDHIYHDILLLENQLPRVVMEIFELFMPVNLKKFAKRFKATLQNSTLPKEVEVKSSAIHDSTEALYKAPHFLGYVRFYIVGTNRFEKYEPDKKTKVISFSVSAVELAEMGITLTPNNTSTELAHIGFKEGRLGLSAELLLPHILLSYLNASFLVNMAAFEITSNPSNRGEDTAVCSYVQLLGMLMDKEEDVQELRSKRLLQGGGGLTDKEALDFFTSLHGVTMGPLYHRTIRNVEMYKQNKGMWVQVYAFFYKYKKTMLAVLSAIATMFAIFGAFNKSR >cds.KYUSt_chr3.26885 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167732517:167732756:-1 gene:KYUSg_chr3.26885 transcript:KYUSt_chr3.26885 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTRYNNKLGKFKLSGIPPAPRGVPQSSVCFDIDANGMLNVFAEDQTTGQKNKINITNNKGRLGKDDIESPRWWEVQV >cds.KYUSt_chr3.859 pep primary_assembly:MPB_Lper_Kyuss_1697:3:4442149:4444194:1 gene:KYUSg_chr3.859 transcript:KYUSt_chr3.859 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAHGAAQEPWHLAPGLFAGAVRAVPPNSPVRITLTAEAVWSVLFTPARGKLVTLVSHYHHPLYHGSDGTTERLWPVISFTPRTTHTNIKFSSSCSKAVGSVKEMGLILPCDGVDVVGAGLVVPIAEGILQLGACRRIVPAGLKS >cds.KYUSt_chr4.9577 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57833412:57835031:-1 gene:KYUSg_chr4.9577 transcript:KYUSt_chr4.9577 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKPRIMVLPFPAQGHVTPLMELSHRLVQHGFEVTFVCTEPIHALVVDALRHATGGGGGDALDGIRLVSVPDGMAEGDDRRDLCKFLDGISRRIPGYVEELMRETNVKWLVGDGNMGMCFEAAKKLGVRVACVWPASAAGLGTLRRVPQLIEDGFFDDKGIPRRRGAFEVAPNMPPMYTSHMPWSIDGAPEGQQVSFRLVSRNTQATSLAEIIVCNSFLDAETAAFELFPDIVPIGPLYADSELRKPVGQLLPEDTACLKWLDSHPDRSVVYVAFGSFTIFDPRQFRELAEGLELIGRPFLWVVRPDFTSGGLSKLWFDEFQDRVSVNGMIVSWCPQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPILCWPYFVDQFANRSYICDIWRTGLAVTPGEDGVVTKEEVTTKMQQVMTDEGIAERAQILKDSADRSLREDGSSCKNFKRFVDLLRE >cds.KYUSt_chr4.43933 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272303977:272305474:1 gene:KYUSg_chr4.43933 transcript:KYUSt_chr4.43933 gene_biotype:protein_coding transcript_biotype:protein_coding MSTETVAGRKRKGASLAPLAPGKRPARASGGWASLPTDIVFLVLRRVLAGGDIVDYIAFRGVCFYWRACTPPPGDPTLRDPRLRPRDWVALCDGDAVRPDDACEIPFFNTRTARRIRLHLPELRRHRIVGFTDGLVILLHKSTSKVRVLHPFTRVAVDLPPLARVYREEVGRWKEHMFKMTAVVCGANSANSIAVVVTFVGNVVVTFVGNVVVAAEPDDTDWKLISRRRDVWSMLSLHGKVYAALSPSREIVQLYPPPLGDGDSQLVVIAQIPNMIGDHVRFCEPFLVESGGRMLVADRYPPAASELGVVGHWLYEVHLRSGGGGISKLTRVKSLGDRALFLNTDRCLSVSARNLPSLSGNSIYFYNMFVSSVVLHSLTTGLSEKFAEHCQIHNMVDRIRPSVRPFTNADHLLTYCHHLEWAQGLMFHEYHHIPESFKELLESIEANDSKLRIPTVRRQRRG >cds.KYUSt_chr3.21688 pep primary_assembly:MPB_Lper_Kyuss_1697:3:133357903:133359900:1 gene:KYUSg_chr3.21688 transcript:KYUSt_chr3.21688 gene_biotype:protein_coding transcript_biotype:protein_coding MASARASMWMLAVFVAALLLAVPGSAAATEGVAGGNTGTVIGIDLGTTYSCVGVYRNGRVEIIANDQGNRITPSWVAFTDGGERLIGEAAKNQAAANPERTIYDAKRLIGREFADAVVQRDIKLLPYSVVDRKGKPHVQVQVKDGDVRVFSPEEVSAMVLTKMKETAEAYLGKKVTHAVVTVPAYFNDAQRQATKDAGVIAGLNVLRIVNEPTAAAIAYGIEQKGSEKNVLVFDLGGGTFDVSVLAIDNGVFEVLATNGDTHLGGEDFDQRLMDYFIKLIKRKHGRDIAGDMRALGKLRRECERAKRALSNQHQVRVEIESLFDGTDFSEQLTRARFEELNNDLFRKTMVPVKKAMADAGLKKTDIDEIVLVGGSTRIPKVQQLLKDYFNGKEPNKGVNPDEAVAYGAAVQASIVSGHVDDKTKDMILIDVAPLTLGMETVGGVMTKLIPRNTVVPTKKTQVFTTYQDKQTSVSIKVYEGERSMTKDNRLLGKFELSGIPPAPRGTPQIEVTFEVDVNGILHVKAADKGTGKSEKITITNDGRRHSQEEIDRMVQEAEEFAEQDLKVKERVDARNKLETYVYNIKNTVDGKMADAMESDDKEKVEEAVREANDWLDGNPEAEKEDYDEKLRELEEVCNPVISAVYQRSGGAPGDNSAEEDDHDEL >cds.KYUSt_chr1.18387 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107600477:107608085:-1 gene:KYUSg_chr1.18387 transcript:KYUSt_chr1.18387 gene_biotype:protein_coding transcript_biotype:protein_coding MISWSKGLGAPVLLNATLKFCLTDGASCCDAAADAALQEQFDAMGLDTDGACARLVKSIVCSKCNPFSADLFDIGSTARTVPLLCSSSWAPNSSQSKDPTQPKGHYCEQVWKQCKSTAISNSPFQPSAPRNAAFTGSASMLTDFWQSENDFCESFSGTPNHQSVCFNGHAVSFNTRKNSSPSPDGICLEKIGNGSYLNMVAHPDGSSKAFFSRQDGKIWLATVPEQGMQDGLQIDEMSPFLDLATEGHLSSDLGLVGVAFHPDFTNNGRFFVSYICDEIQSPNCAGRCSCDDELVCDPSKLGSHSGVQPCQYHLVISEYSANGSPSSFSEAVYADPSEVRRVFSMGLPYVSNHAGQILFGPTDGYLYFFTGNGGIRGDPFNFSQNKKSLLGKIMRLNIDELPELNEVANLSLWGNYTIPKDNPNADDSNLRPEIWALGFENPCRCSFDSARPFHLYCADDVQDQYKVVDLISKGGNYGWGGAYEDQHVLYPPWAAQVTKSTEGIIFPIMGYKVSSTSENMESASIVGGYVYRGSADPCLYGRYLFADMYTSAMWTGSANTDGSGKYTSTSIPLSCSKQTPIPCDESANNPLGPISSFGEDNKLDVFILASQGVYRIVQPTLCGYAQLNSATTKGVTPSGISKGVTVAWMKVLVIVLSVLTASVAGVVAWRCFCNNTAFCFNGNVHVTNNNTMHGDTPSAKPGDIELTITEPEERPGR >cds.KYUSt_scaffold_1259.519 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3512727:3513437:1 gene:KYUSg_scaffold_1259.519 transcript:KYUSt_scaffold_1259.519 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGTGSFKHIDDDPAEDSPKPRRRRRHGDNPFAGRGLDKFSTVRSDLESRREKILRRVGSDSGLVMVRFVQCNGTWVPIVVKLPGGEKQLKDADAPKKVPRTSASPSSCPLPDSASSRDGAAKKATALGVEPARRRITSFSRGRTTSFSRGRLRPPACYWLAVMALTLLSLAVFGRTFAICCTSIAWYLVPSLSSSCSNGADLRRSMEKRKVASPPAKPHHKVLSSPRSHAKGSK >cds.KYUSt_chr5.6480 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40151759:40155204:1 gene:KYUSg_chr5.6480 transcript:KYUSt_chr5.6480 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSKGVIKKDEFVRIITKALYTLGYEKSGAVLEEESGITLHSPLVNLFRKQVLDGNWDSAVATLNKLGLLDENTVKSAAFLLLEQKFFEHLRNDNLMGAIKTLRSEISPLGVNRRRVHEMSSCIISSPQNVLLAFSKLGTEACNSRLKLLEELQKVLPPTVMVPERRLENIVEQALTVQREACYLHNSIDGLSLYVDHHCGKDQIPSRTLQVLRSHRDEVWFLQFSNNGKYLASASNDKSAIIWKVDEDGELLLKHILTGHEKPVMMVAWSPDDRQLLTCGMEEAIRRWDVESGECIHVYEKPGLGLMSCGWFPDGKQILAGLSDQTLCLWDLDGKEVYCWKGQRSSKTSDLAVAKDGELIISMSRDCTILLFNRDTKQERLIEEEDTITSFSLSEDGDFLLVNLVNEEIHLWNIRNEPSRVRQYTGHKRSRFVIRSCFGGSEQAFIASGSEDSKVYIWHRATGDVIETLSGHSGAVNCVSWNPTNPHMLASASDDHSIRIWGLKKASAKRKDVGSSSSSNGAHMNGSANGNGFVHQCNGSRSK >cds.KYUSt_chr5.28236 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178730775:178733013:1 gene:KYUSg_chr5.28236 transcript:KYUSt_chr5.28236 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPQRHLERDRRRTLLLVSLASIMERADEALLPAVYREIGAALHADPTWLGALTLCRSIVQAACYPLAAYAAARHNRAHVIAVGAFLWAASTFLVGISDTFAQVAISRGLNGIGLALVVPSIQSLVADSTDDGTRGSAFGWLQLASCIGLISGGFVGLLLAQTTVLGIAGWRIAFHLVAVISVAVGALNWFFSVDPHFPTGDVAAAGAVPGGDEQPSARRVVEQMIAEAKFVVRIPTFQIFVAQGVSGSFPWSALSFASMWLELIGFSHRGTAVLMTIFWVASSLGGLIGGKMGDALARRYPDAGRIVLSQISAGSAVPLAAVLLLGLPDDPSTGVIHGVVLFVMGVCISWNGPATNFPIFAEIVPEKSRTSIYALDRSFETVLSSFAPPIVGILAQRVYGYRPDDKGLGPRLDRENAASLAKALYTAIAIPFTVCTAIYSFLYCSYPRDRDRARMQSLAGSELQQMEHDGSRLEDGHVDGGSAAHDSFKESAEAEKDTAKLLSDVEKS >cds.KYUSt_chr4.46247 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285898771:285902974:-1 gene:KYUSg_chr4.46247 transcript:KYUSt_chr4.46247 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPSVDEPLLVATGSEKGNESATAAEAKRLLRLAGPLVTSNVLQFALQLVSVMFVGHLGELPLAGASLATSLANVTGFSLFVSICTFHYCKPASTSIWQVYIHCFRDQIELQVGMASALDTLCGQAFGARQYHLLGIYKQRAMLVFTLACVPVVAIWANTTRILLFLGQDPSIAAEAGTYARWLIPSLVPYVPLVCHIRFLQTQSIVVPVMASSAVTVLTHVLVCWALVHKAGMGSKGAALSGAISYSANLAMLTLYTRLSGACKRTWTGFSMEAFKELRQFGALAFPSATMVCLEWWSFEILVLLSGLLPNPMLETSVLSICLNTDVLMFMVPSGLYAAISTRVSNELGARKPQAAKLATRLVICMALSEGLVISITMILLREFWGYLYSNEEEVVTYIGQMMPVLVISFFIDGMHTSLSGVLTGCGKQKIGARVNLAAYYLAGIPLAVLFAFFLHLNGMGLWLGIFCGSLTKLIFLMWIVLSINWEKEAIKAQDMVLRSSLLVA >cds.KYUSt_chr7.7123 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42873740:42875039:1 gene:KYUSg_chr7.7123 transcript:KYUSt_chr7.7123 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLWSSFLAVVVMLLSPLAAAQLRTGYYASICPKLEAIVRSSVKQSMAQSPIAGPAALRLFFHDCAVRGCDASIMIVNSNSDDEWRNPDDQSLKPEGFLTILAAKAAVDNYPQCRYKVSCADILALAAREAVSQSGGPYYQVELGRYDGRISTKSSVVLPHVDFNLDQLNTFFSGLGLTQTDMIALSGGHTLGAADCTFFQSRIGTDPSMDSGFAAQLRSTCARQSFALLDAATPGGFDNSYFKNLQGGRGLLGSDQVLYTDQRSRGTVNYYASNQDAFFNNFTNAMTKLGRVGVKTAANGEIRRDCRTPN >cds.KYUSt_chr4.7551 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45058516:45059733:1 gene:KYUSg_chr4.7551 transcript:KYUSt_chr4.7551 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAKARPPKPLCPLAAFVQSMGYVDVKMRWKKDASFDGVPVLAHARDLRPLAALARLLSPSPTPVSAVSKLRRSLETSDRRVAAFLRRFPAAFVESVGPEHHHPWFRLSAPAARLLQEERDVFAARRADIASRLRRLLLMSPARRLPLSVAQGMLWHLGLPEDYFRRPEFDIGQDGFRILTTAGDGAYHKDENDGKELGLIDDVQDQEMPLSVLQTNAIRRFGSADEVPIPLFPSKGLRLKHKIGDWLERFQKLPYVSPYEDFSNIQPGTDVSQKRVAGVLHELFSLFVTCSAERRRLLCLRTHLGLPQKFDRGIERHPHIFYLLLKEKTCFVVLKEAYMAGGDTAIEEHPMLAVRSKYAGLMEESREIIKRRRSGKPVQLDPEDQEESEDWKDANSIGTHYF >cds.KYUSt_chr4.24131 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151889165:151889753:-1 gene:KYUSg_chr4.24131 transcript:KYUSt_chr4.24131 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSPTPASRKVTAESSAAAYDAPRVQLCDFSEELCEKKGRARGDASWKRAARAASEQRRRRDKEDAEGGGIGVVVTRKANEMDMASYSSLASSSSSKNSYSVADFPHPNRSSSSPGDKRQLRRCNLSCALGTVKFDEIQNYYERCFLGELFC >cds.KYUSt_chr6.21900 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138147861:138148172:-1 gene:KYUSg_chr6.21900 transcript:KYUSt_chr6.21900 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_contig_319.613 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3937005:3940992:-1 gene:KYUSg_contig_319.613 transcript:KYUSt_contig_319.613 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLKASSSLAASSAAASSASFAASPAPRGRAASGRISFRGAAPVAAIRADASATAAAVAGDKRTISGTFTKLKEQGKIAFIPFIVAGDPDLATTLKALKVLDACGSDVIELGVPYSDPLADGPVIQASATRALRKGTTFEDVIAMVKEVVPDLSCPLALFTYYNPILKRGVANFMSVVKEAGVHGLVVPDVPLEETDILRSEAAKNNLELVLLTTPTTPTERMERITKASEGFVYLVSTTGVTGARADVSFKVEALLQEIKQVTDKAVAVGFGVSTPEQVKQIAGWGADGVIVGSAMVRQLGESGSPEEGLKKLEELAKNLKAAFP >cds.KYUSt_chr2.34844 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214927921:214932804:1 gene:KYUSg_chr2.34844 transcript:KYUSt_chr2.34844 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTPKLVALFLLLLFHLTTLSSATSTLRDDLLGAARAPSFASWLRGLRRRIHQHPELAFQEHRTSELVRAELDALGVSYVWPVARTGVVATIAGGGGVGPVVALRADMDALPLQELVDWEYKSLETGKMHACGLDAHVTMLLGAAKLLQYRKKGLKGTVKLVFQPAEESYGGAYYILEEGALDDVEAIFGLHVSPHLPVGVVASRPGPFLAASARFSATVTGKGGHAGGPHNAIDPLVATSSTILSLQQLVARETDPLEAAVVSVTLLRGGDAYNVIPESAAFGGTVRSMTDEGLAYLMKRVKEIIEGQATVHRCAATVDFMEEELRPYPATVNDEGMYAHAKAVAEVMLGDANVKPAPQSMGAEDFAFYAQRTAGAFFFIGVGNETTMDRVSPVHSPHFVMDEEVLPIGAAFHASVAIEYLK >cds.KYUSt_chr5.3409 pep primary_assembly:MPB_Lper_Kyuss_1697:5:22054937:22058442:1 gene:KYUSg_chr5.3409 transcript:KYUSt_chr5.3409 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIIIGYCQGGDMSEAIKRANGTYFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQTIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALRPAFRAFDMQALINKITKSIVSPLPTKYSGPFRGLIKSMLRKSPEHRPSAAELLKHPQLQPYVFQVQLKSSPTRNMLPIHECLTDKVKKMTYPDDVADSARRRMARRNSLGSQRTVTFSKPSPERNSVSSIRSIKEYTTTQSFKELSIDSSQAEEEVTSKAMITKTPSILRTPKSIPAKTFTGRNRFETPKSSYTRTSRTELPSTTSLSKSAPARRVSLPLSTYETTPIKRSISILDQLGGSPDVSVNAPRIDRIAEFPLASSEDPFHPIHKLSSAHGSCSTPPSVNQSITKDKCTIQVLRTADGDNGSDSSGRNATAASSRGSNDSRLQRFDMSSFQQRAEALEGLLEFSAQLLQQERYDELGILLKPFGPEKASPRETAIWLTKSFKETT >cds.KYUSt_chr4.44204 pep primary_assembly:MPB_Lper_Kyuss_1697:4:273824403:273826485:1 gene:KYUSg_chr4.44204 transcript:KYUSt_chr4.44204 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAPPYSIAAPPVWPQTSVVARRTPGLQLKAEAQPATQRDEDEIAPTAFVNHTGLTLGRVRWEDTDPHSPARFVCAVFYITQPTVFLRSSSLPADYITQKKQSRVAEVGAEALWTAVAKSQDSDENKKMARSPAQVVLSLIALLLLLPAAWSATFTMTNNCGHTVWPGLLSGAGTAPLSTTGFALAQGASATVDAPTGWSGRMWARTLCSEDATGKFTCGTGDCGSGTLQCNGGGAAPPASLAEFTLDGSGGMDFFDVSLVDGYNLPMLVTPQGASVAATASSAGGPKCVATGCLVDLNDACPADLKVASSASPAGASGAAGVGPTMACKSACEAFGSPQYCCSGAFGSPSTCRPSTYSQFFKSACPRAYSYAYDDSTSTFTCAAGTNYAITFCPTANTRYAFFLPSLSGFPSKFQVAN >cds.KYUSt_chr1.6909 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42452677:42453987:-1 gene:KYUSg_chr1.6909 transcript:KYUSt_chr1.6909 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIYQQLCEEEESSQSRDTARLKEEMKVHFSAKLLSGPVPVYLLALAVLILLTHVHYMGHVGVGVGVPPAAKLEEPVVSVMKQCDIFRGEWVPDTAAPAYSHKTCGLIQEHQNCLKYGRPDLGFLKWRWRPSGCELPRFDPAQFLRFARHRSLAFVGDSLARNHMQSLLCLLAQVASPKDVSPDPSDQQNKVYHYRAYNFTVAMFWSPFLVRAREPAHDDPAHTAHYSLYLDEPDDRWVSQVPRFDYVLVSAANWFSRPSLFYEKRRLVGCSFCSRQYGVPDLTLHYSHRKAWRVALQAINALDNVTGRVIVRTLSPMSHFDNGTWDQGGDCRRTEPLLSNQTSMMDGRGPDLRFYAAQMEEYRAAEKAARAKGTMRLMLMDATAAMLMRPDGHPSRYGHRPNDKVQLYNDCVHWCLPGPIDIWNDMLFQMILV >cds.KYUSt_chr5.10476 pep primary_assembly:MPB_Lper_Kyuss_1697:5:67292560:67296034:1 gene:KYUSg_chr5.10476 transcript:KYUSt_chr5.10476 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPPLPALLTGLAHRVTSPAAARQLHAQLLLRGLPLPARAAVTLIAASSCPRYACAVFHSVPAASANVYLWTATISSYAKHASPALAAEAFALFRLMLRSGPPPNAFTLTSLLKSLSPLRAVHEVRQAHAFLLKSALGSSVHVGAALLDSYGTLGQAHDARTMFDEIPTKNVVVGNSMVSCYVRGGQLEAAREVFDGMAERDAISWNTLMSGYLRRGDADAARALFDEMPRRNVNSWNMMIAACSGAGLWGDSVGAFNRMRLVGFQPDAATMAVLMSACAQLGFMSVAKQVHGLLRKGCVEMNCHVRNSLVDMIAKCGCIGEARSLFAETRPKDVVSYNVMVCALAQHGHGKDALKLFNKMTAEEGMQPDAVTFLGVLSACAHAGLVDDGEHYFQSMGTTYAIQKSADHYACMVDLYGRAGLIEEAHRFVKVMPVKPHAGVWGALLNACRKHCNVDVGDIAARELVRIEPLNPGNYILHANTLARSQRWDAVEDEWRGRCFFSLLNVISGRISHVSSSLYPTNHALQQEEVYVKAKATPEVEPPSGAISTLKDGLPWNIPMSPSDTGIVNYCEKVYMYLKFGKLVARVCTDRFKCLFYPGKKKQDYRAAKVKANHQDLANLLKTDHADAAGSLSARQVDALINPLKPVQEQELFIWPGWTSFPMCQRSKQR >cds.KYUSt_chr1.37039 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226164661:226165486:1 gene:KYUSg_chr1.37039 transcript:KYUSt_chr1.37039 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLEEFECGWDYLTKKYDLGGNPFMTRAFEVREKWAKPYFNDIFCARMSTTQRSESANHVLKVYVPCKSSINMFVKQYTRLIDDREKADDKAEKNRSQKISKPMFGYPIEKHASKLYTPAVFKLFKAELKKTTSYVIVDNSDGLCYEVLHVDSENRESWSRVNFTITIDPQLGLYKCQCRLYEHFGYDPDWSDENT >cds.KYUSt_chr3.49279 pep primary_assembly:MPB_Lper_Kyuss_1697:3:308015281:308016719:-1 gene:KYUSg_chr3.49279 transcript:KYUSt_chr3.49279 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRWSDLPADVLREISSHVCAAADFVYFHAVCKPWRSSRDPLSKRTSTTQFLPWLLAAADKESTNLRFRCIFSESSYHGKPPMPPAAAARNWVSNADGTQVRYLTVEDLRPTLHDPFTGEFSHLPDFPEDVRRWEEENPRGGIYGDRTIFLYSIRCHNDDDHRARFRAALLRPGSGMWTVIERTLEAPRYGYFFSFFPAHRRRCACARVNIYAEDYPASGTKNFIPRYGEFCAAYHKGKIMLTVKATLWHIIRSEGGHEAGEDLLVKMPPLPWWSEYYSRKYSYVLESRGELLWASVKVNICSSWHGVVTHKLSMTVYVLEVEASAQEMKTRWVEKDVRSMADRLLFLGTPCSFAVDASRLGRHGGCAYFVYCRKPCEKVGVFSYNLLSNKATFFERLPQGWNDERYVHVASLRGRPATPTAPHLCRR >cds.KYUSt_chr5.15599 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100745004:100745258:1 gene:KYUSg_chr5.15599 transcript:KYUSt_chr5.15599 gene_biotype:protein_coding transcript_biotype:protein_coding MWQQSPWMRRNQNVSLLVHSPTSLMNAGDMKNSKTNFIITSNYLELIHWDCEKPIPAHLPLQPPKLHEKERNSHRPPDAARIHR >cds.KYUSt_chr7.1800 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10311187:10311471:-1 gene:KYUSg_chr7.1800 transcript:KYUSt_chr7.1800 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMVVVVVVVMMGKGEDRDHRHRMTVGMVVVAMGLVVLLVMMREGLVMSAVVVMAPVVTMAVCHLAGDAADRSRLPAAWWVEDAYPLEQARG >cds.KYUSt_chr5.4252 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27210909:27212285:1 gene:KYUSg_chr5.4252 transcript:KYUSt_chr5.4252 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHKKLLQFLRPDPAVAAAKMSSDEEDDDRCSPLPSPMSSGSASTSAAASPSPYMPSPWVNLPGLGAGSALAGTSHTGLLGSLVKTDGHVYSLAAAGDLLYTGTDSKNVRVWKDQREFAGFKCGSGLVKAILVAGDGRIYTGHQDGKVRVWRRDSADDPAVHKRVGSLPRLGEFLRSSVRPSHYVHTGRRKQSSLWLRHFDAVSCLSLDMEAGIIYSGSWDRTFKVWRVADSRCLESVRAHDDAVNTVAAAGFDALAFTGSADGTVKVWRREAGKGGATRHVMERVLRKADSAVTAVAVAAEARVVYVASSDGAVTHWQWRRGAARESAPRNGGALRGHRMAVLSLAVAGRVVVSGSADRTICVWRRDEGAEHSRLAVLTDHTGPVKCVAMDEEESLDADGHRRWVVYSGSLDGSVKVWRVSDAPDAVATMGRTPAHVWKGTPSPLGAWNTPRRAPE >cds.KYUSt_chr1.40647 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249266840:249269763:-1 gene:KYUSg_chr1.40647 transcript:KYUSt_chr1.40647 gene_biotype:protein_coding transcript_biotype:protein_coding MDITAHRIHINTMAALNEQDELAVSTDELLRAQVELYHHCFAFVKSLALNAATDLRIPDAIHRRGGAATLSDVAAETGILPTKLSSLRRLMRVLTTSGVFSVVDDAGGPVYKLTNVSRLLVGTGGRANLSPVVGAFVSSSLVAALFSMREWFTDERAAAMSPFQVANGRTIWETIAAGRSGEIFNAGMAADSRFTMDNILREEYGGAVFGALRGLLIDVGGGHGAAASAIASAFPHVKCNVLDLPHVVAGAPAHDNVTFVAGNMFEYIPPADVVLLKWILHDWNDQDCVKILRQCKKAIPGREEGGKVIVIDMVVGSEGSHGIVSTETEILHNWNDQDYIKILRWFKESIPVRDIGGKLIIIDTMVGTAGSQGVVSKETEVLLDGFMMCMGGIEREEHEWRKIFFEAGFTDFKLLQR >cds.KYUSt_chr2.4664 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28823527:28826938:1 gene:KYUSg_chr2.4664 transcript:KYUSt_chr2.4664 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDYIELHQKRHGRRPDYAERKRKREAREVHTRSKQAQKLLGAKGKRFAKKRYAEKAQMKKTLKMHDESATRNKVDDDVQEGAVPPYLLDRDLTIRAKVLSNTIKQKRKEKVGKWDVPLSKVRPVAEEEMFKVVRSGIHKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFSLDLISVKKNPNGSMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWVLSQRIEEMHQGWATEWTGGGDKVHNLLKGVAYGVLRIFHQIVFAAGHSKQRWFMTCLLHVPGTWGIQPCCPIADEKHIQLSRLSAYANTHVIEVSTAVFLDSIFTRDHHIDHARHTIDATTAPDDSTILHVFGSMNGVWVNESNIIQLASFTFEHLHSA >cds.KYUSt_chr4.16606 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103141770:103146874:-1 gene:KYUSg_chr4.16606 transcript:KYUSt_chr4.16606 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNAGYLGVSEPISLSGPTEKDLIQTAEVEKFLADAGLYESQDQAVSREEVLGKLDQTVKAWIKKATRVSGYGEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRNDYFFRCLHDMLAEMPEVSELHPVPDAHVPVLGFKLCGVSIDLLYANLAHVVIPDDLDLSQDSILHNVDEQAVRSLNGCRVTDQILRLVPNIPSFRTTLRFMRYWGKRRGVYSNVIGFLGGINWAILVARICQLYPNASPSMLISRFFRVFSQWKWPNPVILCHIEEGPLGLPVWDPRRNFRDRGHQMPIITPAYPCMNSSYNVSSSTKYVMIQEFTRGFEICQAIDENRATWDDLFEPYPFFELYRNYLEIGITARNEDDLRNWKGWVESRLRQLVLKFERYTHEMLLAHPHPRDFSDGSRPLHSFYFMGLWRKQTAQPQEAEQFDIRGIVNEFKISVLAYVHRREGMDIEVSHVKRKDIPLFVFPGGVRPPRSSRTAGRNSRAVSRNDVTPDRHVGNPLETESWSDPQSAQDGSGGYQSTSLLPSNLSSRETQNILNGHLNLHTETVEHEHPGRFLGSPPAPGDNAVVDVVTQPNSMPSTSSNGGPANGLGICFNSSQKESEGIRVNNLVSSPPAPVDELVSYQAKPDNKHGPPHGSEGQTCNLSSHGNNHLKRKAEDELEPLELACPSVRATPASTVQRKPLRLIKGKVTIRW >cds.KYUSt_chr3.18240 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112007378:112013528:-1 gene:KYUSg_chr3.18240 transcript:KYUSt_chr3.18240 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSPPPPPPQPLPSGGGAPVTLFLDTDLGTHLALNVAADSTIRGLKSTPFFASSPPLIPAVIKALSDARFFPCLRAAQVAVEHATAFPDLGTVSVKSFQVLRKGAMYHLSDSMVVRSAFAKVKAGYFLHVKMAAAEAGTHHCRDEDRGKSSDKLPPVLEGGEDPAVNVSAHDVHPSFSSQRNIERNSTAVCLAIDGPTISVPAIDNSEKQHRDQTEGVYVDSTSVANINGLTNQSNVTLSGKEVHAREEDLLHSVGDREQGFDSGDKQMGTREEILGELDALDDLSQEKDRKKARVAGSFDTSAVDPIRETNDSTTRDVETNTNSRGMLSNTSFQQEVDGNVKEDSVQLENPIIPEKNKKRKKSKLVTSKDVSAREMTEPSTGAVEQSKAIGDAASNVKMTSRDKTKVIPADMLLSPSELNSGSQVTKHVQLGTDAQAASDLAADQSNIGLSTCKVVPGEEKSAEGTNDGDRDESAIDASNTEKGKSKDVLEALDNISQEKNCKKSKKASSLGLTSMDTAEAKDQCGYGEKAGKSDIISTQQEIVNDPDKRQIASNLKQGHFNVTENPNDVKRKKKRRHRPESSNDDPTQDAANSSGFFMNNSTQDTSTCCLDAKQITLGNIGEETADEKDQCRREKVAEPVIVSTQGASVNDPSNVQQGNSDVRKNSNGDGKRKKKQKRHLESSKDDPTQDVTKPSGLITPGTIGEATVGDCRKVDGTVDVATTNVINEVLADLRCTNNLSTVLDGDLSMEQSKGGASESLPSSAIQSDPRISSPSHKSKSTVLDPSHSSGGLPDEKISDVKDVLTENTVVPANGRSKSTKRQRNKLSLKHVPTDSGKAIQALGEQVRHVPAEDFKGDNCTKAELFQGASAVGAPAGTGQIVQKKSKRSSKTQPRKIEEVNNSTHGHENQFANDSQNKHVTDIDGTHNIEKTAGAPTESPVVHKDDTSVTHDKPNARKGRKKSSTIELQSQDTNVEHDSDANFMNSRAQQGAVIPEGSSDAVEPNDYVAVHPGNDKINFLDHFSPIATNDPSDSTKNIDETIREVKGKKKSKRKADTQSQHAGSIEPNDLPESDVHRDKTSLADHFGTGNVSVPSVIAENMNKEYGNVKKTKGKKKSKTKLDLLKPESLNLMGGNQETDNCTHDLMPSDAQKGRMENDKGIQNGSMLQQETEDATPDSTREKKSHQSMFSADNQTNLPIEKDHARISKEQRNSTSQAKCHAKSRKHDGSIDGRTSTNPTDVGNPVQSFPVRPQVSNESAYGTPSVDQFRVAVRKVPRKRYEQVNYKSKKDISKRGTGSIFGDTISESSDEVLNTMSQKAAMENSSSTSAGSGISSAAWDGIDAPDDEDIVSLSQKSDIHSILRGSTSYKKARLKPTELLDDTEEA >cds.KYUSt_chr1.11638 pep primary_assembly:MPB_Lper_Kyuss_1697:1:71697302:71698434:1 gene:KYUSg_chr1.11638 transcript:KYUSt_chr1.11638 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATGFTVSIQGHLQMYRYFPFMVTSSTDGEPRPIYGDLYTVEGYGEGYFFPTWVAAHLLASSLACILLRYLTGGQDTPVHSLGSGMGPMQGLVMEVILTFSLLFVVYATILDPRTTVPGYGPMLTGLIVGANTLAGGNFSGASMNPARSFGPALATGVWTNHWIYWVGPLVGGPLAGFVYESVFMVKKTHEPLLGWDF >cds.KYUSt_chr5.32986 pep primary_assembly:MPB_Lper_Kyuss_1697:5:209151656:209152357:1 gene:KYUSg_chr5.32986 transcript:KYUSt_chr5.32986 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHVLPKTNMGWVEGQSQPPCSPGPLSARGLAQPPLPASPSATRAAPPFIGRARLADVLCLLNSSPDALARQAVALARPVSTLLPKDGAGEVRHVDPREDAGDGGSPERSPAAAWSGRWGGGGRERGGGNEWQGSAVAMRGARRRHLQARARRRPGAVDQEVQEGIGGGGGGDGAGREAARVALGARFIPANVNYRKYPCENERTICTDEEIRRLTCADRCEAPYGGQLLYL >cds.KYUSt_chr6.26274 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166515440:166516973:1 gene:KYUSg_chr6.26274 transcript:KYUSt_chr6.26274 gene_biotype:protein_coding transcript_biotype:protein_coding MCEENPMAIRVREFNMEMDLPAVEDLERRCQVGLSGDQASPEEASTADDAVDGGGAKKWRRKKKTAKKQGMSLYVEQIGDPFARVRHSPDYVILVAEHGEEGGEVVGVIKACVRTVSRGKKKQFAKVACLLGLRVSPSHRRLGIATELVRRAEAWCEARGAAHATMATTASNSASLALFTGRFGYAPFRRPVFLGHPVHRHRARVPSAHHVLQLPPQLAAAAYASLLSPAEFVPTDLPALLAHKLTLGTYLAVERDPDPTLPPSFALLSVWDATRSLRLRVGGVAPLLRASLAAARALDRHAPWLRVPSLPDVFRPFGTYLLYGLRMSGPEGPALLRSLCRHAHNVARNNPACAVVAADLGPDDPAAAAVPHWPSFSCDEDVWCVKKLGSTADSTGNAGDDDEDDWTTLPPAEFLFVDPREF >cds.KYUSt_chr7.39280 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244344629:244346087:1 gene:KYUSg_chr7.39280 transcript:KYUSt_chr7.39280 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIKAAVAAGSMVKLALAGGTLWFGASKTLYNVEGGHRAIVFNRFEGIKDKVTSPHTYPYLPDLLVAPLSPIRWISRWSHLHSLAGLPRENLAARPGGDLGVTGGSSDSASETPSSLAHYRILSPIGSGWELFVYGASWVSWKLEWLEFFVYLFPVSESRHLTLLGIPLVRSLVNVLTWAWSRFVQVQVGCHGFWFPDGEKHVVKLHGCITIAVEI >cds.KYUSt_contig_1467.274 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1688591:1691284:1 gene:KYUSg_contig_1467.274 transcript:KYUSt_contig_1467.274 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRACWRPSSNRTGSDATGRQDGLLWYKDTGQHVNGDYSMAVVQANNLLEDQCQIESGPLSFLDSGPYGTFVGVYDGHGGPETACYINDNLFNHLKRFVSEQNSMSADVLRKAYEATEDGFFSIVTKQWPVKPQIAAVGSCCLVGVICGGILYIANVGDSRAVLGKHVKATGEVLAVQLSAEHNVSIESVRKELQSVHPEDRHVVVLKHNVWRVKGLIQVCRSIGDAYLKKQEFNREPLYAKFRLREPFNKPILSSEPSICVQPIHPHDQFLIFASDGLWEHLTNQEAVDIVQSNPRSGSARRLIKSALLGAAKKREMRYSDLKKIDRGVRRHFHDDITVIILFLDSSLVSRASTYRGPTVSLRGAGVSLRSSTLAPYGSQM >cds.KYUSt_chr7.2637 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15501331:15507508:-1 gene:KYUSg_chr7.2637 transcript:KYUSt_chr7.2637 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARAGGHRPALANDDRAHSPPATATITARATIGSVGRLGGSTSSATDTTALRASSAELLKLPIFQHSALLDLDKDTSFFGVFDGHGGKVVAKFCSKYLHREVLKSEGYAAGDLGTAVHRAFFRMDEMMRGQRGWRELQALGDKMNQFTGMIEGLIWSPRGSDSNVQHDDWAFEEGPHSDFSGPTCGCTACVAILRNSKLVVANAGDSRCVISRNGQAYNLSRDHKPELEAERERILKAGGYIQMGRVNGTINLSRAIGDMEFKQNKFLSPDKQMLTANPDINTVELCEDDDFLVLACDGIWDCMSSQQLVDFIHEHINTESSLSAVCEKVLDRCLAPSTLGGEGCDNMTMILVQFKKPIYHGNDGSAGEQSADKNANADEQSATEDKNASARGQSPGDMEGL >cds.KYUSt_chr7.29243 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182018647:182019564:-1 gene:KYUSg_chr7.29243 transcript:KYUSt_chr7.29243 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSHAAGPDTQEATTMTAGQQLRHAPPSLHPDVVPAYAPPESDSDESWVWSQIKAEARRDADDEPALASFLYATVLSHPSLERSLAFHLANKLCSSTLVSTLLYDLFVGSLAAHPTIRAAAVADLLAVRSRDPACAGFSHCLLNYKGFLAVQAHRVAHVLWAQNRRALALALQSRVAEVFAVDIHPAATIGKGILLDAAVIGETAVVGDNVSILHHVTLGGTGNAVGDRHPKIGDGVLIGAGATILGNVLIGASAKIGAGSVVLIDVPATSTAVGNPARLIDDDIPGESMDHTSFIQQWSNYTI >cds.KYUSt_chr2.50681 pep primary_assembly:MPB_Lper_Kyuss_1697:2:316819587:316821275:-1 gene:KYUSg_chr2.50681 transcript:KYUSt_chr2.50681 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLARSSPHPAAAPPAPRISHFATILHSPRRPYPGLRLRLRLPAAAVAASSPPEAQAAEPTAAAAEGDAEPGKTRRKVFVGNMPWTFSAAEIQKLFSECGVVKDVEVIKLKDGRKRGFAFVTMSTTEEAAAAVEKFNEHDLMGRIIKVEFSKSFRKPAPPPSPDTIVAKYKLYVSNLAWKARSNNLKEFFLQYNPVSANVVFDDKKSAGYGFVSFGTKEEAESALSELDGKELMGRPVLLRWREDKEVVKADGEVEGVKVSDQPEGAVIDKGAEEDTEDKQE >cds.KYUSt_chr3.46295 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291281912:291288406:1 gene:KYUSg_chr3.46295 transcript:KYUSt_chr3.46295 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATAMIVGAVALCCCLLLAGGGVRAQPFDYPTASVPATWANMDAALPHHGEARSTGRVSLRDTGYFNDHVTSMRAVERVSEQACKKACLDDCACAAAQFYYGPDAGDGFCYLQSEVLSMQTLQPEVVHYNSTMHIKGWAPVFDVLRLFPDDGCSYPTVCGAYGVCTDAQCSCPDAANFRPVDFRRPNRGCVPAATPATSCGSSRRQGTQHRLVSLRDTGYFNDHATSMRAVERVSEEACKKACLDDCKCAAAQFYYGPDAGDGFCYLQSEVLSMQTLQPELVHYNSTMHIKVQAKSARTTTVPEKFLFYSGYAQTGLFAGIDDLLALGRQSMSLKSQVSSPTASRPKRPPWGT >cds.KYUSt_chr5.13743 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89331901:89332300:1 gene:KYUSg_chr5.13743 transcript:KYUSt_chr5.13743 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSWGSRRDRQYLLLRRIEEGEVVYLLTQPPLILRERYAYYVRIILRCLTPVLSVSTIALLLVLKYRYHEAELQDPLHMAACLILALCFAPAGYICTQD >cds.KYUSt_chr7.12902 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79579524:79583840:1 gene:KYUSg_chr7.12902 transcript:KYUSt_chr7.12902 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQTGNLARGPLNNTKSETERIFERVDGEFIPVVRSGGWADIGSRSTMEDAYICCDNFLQDFGPESCEEGPSSFYGVFDGHGGKHAADFVCSNLPRFIIEGDGFPGEIEKAVSSAFLQTDAAFADACSVNSSLASGTTALAALIIGRSLLVANAGDCRAVLCCRGKAIEMSRDHKPSCDREKMRIEASGGYVDDGYLNGLLNVARAIGDWHMEGLKACDGLGPLSAEPEVMMRNLTEDDEFIIIGCDGIWDVFRSQNAVDFARRRLQEHNDPAACCKDLVDEAIKRKSGDNLSVVVVCFNSRAPPALTVPRPRVQRSISAEGLKELQGFLDSLAEAN >cds.KYUSt_chr3.29757 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186252808:186253038:-1 gene:KYUSg_chr3.29757 transcript:KYUSt_chr3.29757 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRRGAALEQTCARPQPTSTADRDAALNQSNLAPGNGGEQWPAEIRRDLELASGAEEEKPEGGEPGEGRDGPAG >cds.KYUSt_chr3.10538 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62609466:62611604:1 gene:KYUSg_chr3.10538 transcript:KYUSt_chr3.10538 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVQREITLGIPAFADVSSNESAPQSLSTVRSTLRPTLDPATDRNPAHEPADTESTLPAAQSLRAGDPQRPLPGALQHEPADTESTLPAAQSLRAGGPQRPLPGALQHEPADTESTLPAAQSLRAGGPQRPLPGALQHEPADTASTLPAAQSHRAGGPVRLRLRRLVRLRLRAPSGCALAASSGCAFVASPGRAFAASSGCASSGCALSGCASSGCALSGCDFAASSGCDLAASSAAPSSPRPAAPSSPRPAVPSPRHPAAPRPAAPCPAATSPASSGCAFVASPGRAFAASFGCASSGCALSGCALSGCDLAASSGCAFVASPAAPSPRPTVASSGCDLAASSGCASSGCDLRRLIRLRLRRLVRLRLVRLRLAVSPAATRRLVGCAFAASSGCAFAASSGCAFAASSGCASSGCDRRLVRLRLRRLVRLRLRRLVRSASSGCALAAIVRLRLRRLVRLRPRRPAAPSRLIRLRLRRPAAPSPSHPAAPPCLVRLRLRRLTGCAFLASSGCAFAALRMVQISLGNSTPLTHATPWVTSTLPSSSTSTT >cds.KYUSt_chr7.14481 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89499960:89505109:1 gene:KYUSg_chr7.14481 transcript:KYUSt_chr7.14481 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSSSTLLPARTVSSARPVPWTAAQCLPTRVAGSRLAPCSLRVSAGRTRRAEAARASAAGAGGAPAAALPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVELYGELLKIGGGKERMTAYFNKTEWPAKAPKTDEERKEFVASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKGVKVAVCSTSNEKAVSAIVSCLLGPDRAEKITIFAGDVVPRKKPDPAIYLLAATTLEVDPSSCVVVEDSNIGLSAAKAASMKCIVTKSGYTSDEDFVIADAVFDCIGDPPEGRFDLEFCANLLQKQFVS >cds.KYUSt_chr3.2807 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16183849:16185901:-1 gene:KYUSg_chr3.2807 transcript:KYUSt_chr3.2807 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKKVVTRDEWERKLRDVKIRKEDMDRLVMNFLVTEGFVDAADRFRLESGTQTDIDLATITDRMEMKRAVQSGNVQEAIDKMNDLNPTILERNPQVYFHLLQQKLIELIRVGKISEALEFAQQELAPRGEKNQAFLKEIEKTVALLLFEDAENCPYGELLDVSHRLKTANEVNAAILTSQSHEKDPKLPSLLKMLIWTQDQLDEKAAYPRINNFSTAALEDPAI >cds.KYUSt_chr1.27407 pep primary_assembly:MPB_Lper_Kyuss_1697:1:165232134:165232876:-1 gene:KYUSg_chr1.27407 transcript:KYUSt_chr1.27407 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFVVEEDMTLQSYQEQVYSAYILKHRKGNFKRYTPNDYVTRGNKAIVDSDDETPHRKALRRVGYDEEEDESKNIEEEEEELEV >cds.KYUSt_chr1.27943 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168505987:168508680:1 gene:KYUSg_chr1.27943 transcript:KYUSt_chr1.27943 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPEPAALPDDVLAEVLRRLTPLALAASRRVCRAWRGAVDTRLRGHLLSRSVRGIFINFTAYRNHFSEFFYRPSTGPPICGGLGFLPCKGIKVADHCNGLLLCADQERREYVVNPATRRWARLPRRPPPHMPGLILSSYLAFEPAVSPHYEVFVVARLPAAGQSDGDGESYKDDSWLLESEWPPTSYVLHVFSSKADWWEEKTFLREGEARGTVADMDSDPPYDRYRYHAVYWRGALYIHCQHCFLTRTLTDENGGESSTITVQMTADELRKWYQFKEMKQSDKRSREAATVSADLFGNNSASSEDAPKKKLSHGGLWGSNPQDFVKQAQARKAFHLVEVKIVVIELKWNAQV >cds.KYUSt_chr3.42035 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265396211:265396462:-1 gene:KYUSg_chr3.42035 transcript:KYUSt_chr3.42035 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNIGSTTASPHPSTDWGPIIVAVVLFVLLSPGLLFQLPARTRVVELGNMATSAIAILVHAVIFFCVLTLVVVAIGVHVYAA >cds.KYUSt_chr3.24606 pep primary_assembly:MPB_Lper_Kyuss_1697:3:152723229:152727798:1 gene:KYUSg_chr3.24606 transcript:KYUSt_chr3.24606 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRILPKIAAHWIINLYRAARKLRSDAFQYNRNSNTRLSTAGAAVSRCTIPSAIGRMVVFDFHGGLLRSTALFPYFMLVACEGGSLLRALLLLCCFPVVWVLGEHVAAGIRVMAFVTFVGLRPRDADLVARSILPKFYMERLNAQVYDHLWLPAGRKVAVTSAPRVMAEWFLKEYMAADMVAGCELQIVKVGGRCYFTGLLCEPGPGVPALREVLRTDGTMADIAVVDSSCPLDHVLVPYCKVRDTLFQGPCPCPDLDHRRCHGPLPCPTSTNATTSLKNMAKIPKKELALAEQAFEMKKYGAWRGVDKAKKAHAEVDDAAKGNAEMVLVASSTSSVTS >cds.KYUSt_chr4.47401 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293318599:293318880:1 gene:KYUSg_chr4.47401 transcript:KYUSt_chr4.47401 gene_biotype:protein_coding transcript_biotype:protein_coding MITGEVAASEAPVTGLQMTTPEEKDQQARTKTFMESAKEQLRKMNSPAVEQYLHWARMKARAAGDYVTLRTRQGVYLFGEPNIGAIKTIVDRC >cds.KYUSt_chr7.13223 pep primary_assembly:MPB_Lper_Kyuss_1697:7:81515901:81517998:-1 gene:KYUSg_chr7.13223 transcript:KYUSt_chr7.13223 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAKIAMECVHDPLLWLFVASLVFVILQTRRLGRSPFPPGPKPLPIIGNMALVDQLTHRGLGALAKQYGGLLHLRFGWLHVFAVSTPEYAREVLHAQDGAFSNRPATMAVHYLTYGRSDMAFAHNGAYWRQMRKLCVTKVFSRRRAETWLAVRDGYGALARAVGRRSGEAVNLGELIFNLTVSVIFRAAFSARDEEGLDEFVVILQEFSRLMGLFHVGDFFPWLSWVGRRGFDRRLRMARGALDRFIDKIVDEHARRGKNAADPDADLVDGLLAFLADADPASGKNREGAIRFTRHNVKAMIMDMLFGGPETVGSTTEWAMAEMMHSPSELRRLQQELADVVGLDRTVDESDLTRLPFLKCVVKEALRMHPPIPVLLHEAAKDCVVGGYSVPRGSRVLVNAWAINRDCNAWKDADTFRPSRFMPDEGEAAGLDLKGGCYEFVPFGSGRRSCPAQGLGQHAVEFAVAQLAHGFSWELPDGMKPAELDMSDIFGLTTPRATRLYAVPTPRLTCPL >cds.KYUSt_chr2.15245 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96179743:96183305:1 gene:KYUSg_chr2.15245 transcript:KYUSt_chr2.15245 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKELDALERTFQENSLDPEEDEDDEKMRCVLPLFYDSKEEVCAEIAATAAAAAERRRREAEEKAREAEEEKRRAEERKRLKEEAVWRRKKHEEVVNSIRQYNTKTKRVEYIRFPFADFSKFNLNEIYLGGVVFIYHVDVDDSRRRGAAGYRRRARTAGRVQDGGAVWRHGDIDDRSGKVNAVIPLEDGCEEDGGSDFCGMCNGVRLGSA >cds.KYUSt_chr2.18211 pep primary_assembly:MPB_Lper_Kyuss_1697:2:114812111:114815557:-1 gene:KYUSg_chr2.18211 transcript:KYUSt_chr2.18211 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSACAGGTRTTRPGPPAASAREARTAVERAALRRAGAMREADGAAAGGERERARGSCFLLLLRWSEGRGRKGVDASFNKEAEEHHLLQRGSGGRRLFLAGLGGEGENARTSENGVIDELLAGRGGEEEHRHAVASSSAYRRSYLRWICSVVSTHRLCFSLTCRGGEEGDAADVAPNAYQSQLLPKRCYGAATSSPTMLLRRRSSGEALQWGTYAGVTAPPLHHMAEWRPFSWRSDFPRTKKSKGKSYRFRHEAGPSGSSPAPVFDLWRNNSTATPICGAELRGPDRVFHSFSGVFSVKAKFLFRISGVSL >cds.KYUSt_chr4.37706 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232435576:232437715:-1 gene:KYUSg_chr4.37706 transcript:KYUSt_chr4.37706 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRGRRRRGGSDAAVAGSGGRGFCATALAVVALAAAAAVAFLESTAGGIAYAGDGWLHECAKWDAEGGRFLVSTFFGAGVAEVRVGAGTVEERVVVADPDVAGRVALGLAVDAPRRRILVAYADRPPRFGYAAVGAYDLGSGRRIFLARLDGPGESSFADDVAADEDGNVYVTDLKGSKIWKVSPDGEPLPAIKNATFTQRPGTLDNLLGLNGIVYHPNGYLLVIHTTGGDLFKVDPKAGAVSVVKVLGSLKRGDGLELLSPTRLAVAGMPTRLVESSDDWHTARVTGRYVGPAHRVGSSATVKDGDVYVNHIFGFGLGRKKTHVIAKAVFAPL >cds.KYUSt_chr7.28327 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176868605:176871088:1 gene:KYUSg_chr7.28327 transcript:KYUSt_chr7.28327 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNRRGAVMEDWMLPTPSPRTLMLSLFNDDLSSDAFSDVFGDGGSDKPQDGIERSNAFVDLSQGESSQVERAPLHFEPNLLGSIQESSPNNDNLAEKNGFCGLKIDTSRVGYSASIRSPIMIPAGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMRTNARPTTPSVHKKAQDLSCDDHTFSFKHIVRSKPPCSSILDKGSSVINQNDPSASDRDHRPEKELEVGEGNRDGDYSSAPIITHAEDGYNWRKYGKRQVKNSDHPTSYYKCTHQNCPVKKKVERCQDGDITEIVYKGSHNHPLPPPDSRPGGPENVPDDHFQDVHGEVLGTKLSVSLNTEEPVDTSVTEARETIDISPTPSSEDADQIQNPKDGDEDATKSKRRKMDSATPATAINTIDIAALTWTAVREARVVVQTTSDVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCTVRKHVERESNDLKSVITTYEGKHTHEVPAVRHPSSGPGVVPPAMPQPNSGIHRRPEPAPGSLAPFGGAAAAAFGSPGQLGQAAGFSFRMLPNGMVVPVPALGILVPVQMAGRPPAMQGYTRPVLQTGEANVNPAARPVLPAANANGPVAYQQLMGRLSQDHQL >cds.KYUSt_chr4.23173 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145917893:145918378:1 gene:KYUSg_chr4.23173 transcript:KYUSt_chr4.23173 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSDECKAAPASDCVSLPELAHDELSLLLAFLYTGTLDAASAATATEASERRLHALLVAADKYDVPFLGRACEARLAAAVDPENALRTLEVADRVSSCAALKERAMGAVVEHAEQVVFSDEYHDFAVRNAGLCVEITRALLAKAAGAKRVCTEKSEDVYR >cds.KYUSt_chr4.55053 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339896135:339907644:-1 gene:KYUSg_chr4.55053 transcript:KYUSt_chr4.55053 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYKQVASEEQQQDDPVDDVDRIASIVLKTGPDRRSDRKKTETSTFTGLLGALDRTTFTDLLGHFIQSLIPYEDYKGLFLMGCFNPNTCELDFGDMDVGYLRKQPSVTMIEKKTRSQRTCRRCVVGEVHDFLSVLTIRSYHWDCYDEVFVDDEKVVVADQKVEGVLSIAKEEMVISVPESKETKRKINDEVFDHERACKKVCIDAQQATEEGNVNNGMSRKLEPDFLAAENKIETEQQRKLRELMNDCPSFDLGFDETTTKRIECTTEDKSSTCIVDRAVRKALECSPTNCLDHKDLAGRFEVMDSLRAEGNRGLMEDQRTCRWSLLQELPFSAAMAQSMIELTRKPIPKVGRVRITGGTMSTQQLLMELEWLVPGHNQWDITPVGLDAFRVVFPSKADLVRQRRLKPVDVEGTSITMHFEDWSSRRLDKYGIFDLWIRVLGCPDTLCRDYLGLFAVGSLVGKTKEIDMKFTREHYIARMRIDCVNPQLIPRYLDHFYDGEGFGIEIHIEALDGSVVPAGYADEEDDKADEDATKETDKSHDMEDHDKNKNSDVTVHKDLDLEQQQKDSSKSEDMVDAAANVQLCSGGDYCDSSLSPCIASLPPGSMSAPSSLLSLMVEEDEEEQPRCDINTQGSLPNDQNTEVSHVADSFESHHVEQVHHLSGTFVVHSGAVGGADPSPFHTPISHPSDELSASPGTPQGKPGVCIASLQSSPASIRDVPIRDGSESTRGTGVFLGGRYSKQKVIDYGGIPEAIVLGVRTSERIKAQPNADATQLERAQQNAQAREDILYSDNDQDTSKDHKDPLVRPNKQTRTNKRKVLPMVIHWIRTWSYLQSEEQRDVMEYGCNRLETVARDFFSRCGWRLDHRITL >cds.KYUSt_chr6.23839 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150613326:150614594:1 gene:KYUSg_chr6.23839 transcript:KYUSt_chr6.23839 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQAQRLFLVPSTSTPSTSTARPRPRPRRSSVAACRAALHVPSGIHAAQENLTFQLDWIETPRVPASPSSEVSLEKLRAIADAAADRAEMHDIIGRQRDNWNHLLLHSTNSLTLAASAMAALAPAAPTMVALKASAGVLLATAAVTMAAVNKIQPSQLAEEQRNATRLWKELEREVRGMLALGAPIAKADVKEAMDRVLALDAAYPLPLLPGMLEKFPKAVEPARWWPTRRPAQPKKSKSFGRRGAAAVASGNGWTQDLEDDMRGLLRVIKAKDENEFLTVGKLVLNLNKGLAVAGPALAGTAALASVFIGSGEAGTWASGAAVLGGALAAAVNTVEHGGQMGMLFELLRNCAGFYRKIHEDIEANLAEPDVDRREGGELFATKVALKLGRSLSDLKQFREMASPSVRDEDIKEFAGKLF >cds.KYUSt_chr6.3851 pep primary_assembly:MPB_Lper_Kyuss_1697:6:22126609:22128654:-1 gene:KYUSg_chr6.3851 transcript:KYUSt_chr6.3851 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFVAFVFSEFRRFQHRTYFLLPAGVPVDMLRATFCIQDDLVPASGNNDSRKHRWPGRLNLDDLDAGSDEMQLASWPHDALDAGGCEMQLASRPGDAHDAGRFSRPGCATPSTPAAARCSSRPGRPTTSASPAARIPAARRLRRRQLLTTRPRDALDAGGCEMQLASRPRADLDTGCEM >cds.KYUSt_chr1.41123 pep primary_assembly:MPB_Lper_Kyuss_1697:1:252269573:252270666:-1 gene:KYUSg_chr1.41123 transcript:KYUSt_chr1.41123 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEQGAKPATTVRIVETVYIEAGTADDFKAVVQRLTGRDSSATAIAAAENDRADLQAADRRGGGGGRSRGGREPEWFRCGGVPGHEGEADAAVAGLFRPNWFRKSGRRRHHAELLAHAGTISCPPAPSPLALRDMNHCVHEPMGGHNPQGVNADTLDHRERAGQ >cds.KYUSt_chr2.47067 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294426536:294427402:-1 gene:KYUSg_chr2.47067 transcript:KYUSt_chr2.47067 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKLSALLLLAMLLRLSSSTPSTVTPSVGPVVGRSNGGSAAPVVGAIAPIVGSVAPVVGTVPTKVTTPAPVVRSCPPVVGTVPTKLATPAPVVRSVAPDVCTVPTKLATPAPVVGSVAPVVGTVPTSLATPAPVVRSVAPDVCTVPTKLAMPAPVVGSVAPVIGTVPTKLATPAPVIGSTAHVIGSAPVGRSVAPAIGAVPTKIATAAPVTGSTAPIVGGVAPVVRSVAPVVGSVPAKLATPAPIVGGVAPVVGSTAPVVGSVAPIIGGGTVVPASRKISHGGRKA >cds.KYUSt_chr4.20552 pep primary_assembly:MPB_Lper_Kyuss_1697:4:129402208:129407152:1 gene:KYUSg_chr4.20552 transcript:KYUSt_chr4.20552 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLGLGRQPPPLPLFPAAKRASPYATLLRSLRRFLPASRLLRLLLLLAALSLVAPVFFHLRLRRFHRVRPRTSPPFEISFPSGCVHLSRVGSNFSIAPCVSQMRERTCGWIASPPMVCAHGGDSANAFPNSMDAFRMALDARVDCVEVDVSRSSDGTLFAIHDRDLQRMSGNSTAKVGHWSAHEIKALSTKFQLSRTVQNQEVPKVEDALALIAQSARQVILDIKVGPPSFDKGLAEDVLSIIKRTNCTNCVIWSKSDNIGRDVINLSKDVMVGYIVMVDWSTGRRTELVRIEGAKVAGVYHTLINGKLMKTMHRNDRKVYAWTVDDSDSMKRMLYEQVDAIVTSNPSLLQQLMQEMRTECMEDGIAFA >cds.KYUSt_chr7.17270 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107006335:107006933:1 gene:KYUSg_chr7.17270 transcript:KYUSt_chr7.17270 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASSSTNVPPALLLPLIPCPYCGDRIDGGCDFYEWQPIYAVRPEVAAAIAGRGAPFQGPADGPNTASPSAATVQVAPLGDEDLKPLPNGSQASPRRNHEERGLAGAYAAQIPVVSIMLSVVNLMVSVLVLALLLAVMLKLYFE >cds.KYUSt_chr3.10187 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60341906:60342427:-1 gene:KYUSg_chr3.10187 transcript:KYUSt_chr3.10187 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGRTDDGYDGEVSSTRKDCKTRVITNPAYCNDERSFFSTIDSSASTTAPVSEGDELIIRGIRSSSRLFFEPEATSSIVNKPSGAHTVAFGGARAVAIHSADPYGDFRQSMEEMVLSHGDNGMDDWGWLEEMLGWYLRANGKKTHGLIVGAFVDLLVALTSSSSIKQSDKCY >cds.KYUSt_chr3.47820 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299646890:299647285:1 gene:KYUSg_chr3.47820 transcript:KYUSt_chr3.47820 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLLLLYTLALLAASTAAASSADLGDLLERQESMAEVIRVSTDPVAAAAADAQTMHRLTLFMQRELGPFGIVFNAIDRMPESSVAEVRGKAQALDAAEELMIRHHRELLLGSDNISGACRQSGSCPSS >cds.KYUSt_chr7.28606 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178386484:178390389:-1 gene:KYUSg_chr7.28606 transcript:KYUSt_chr7.28606 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGAGIAVQEDGSLVALGATVLTEVRDNVLVTPAAGGGMLDGAFLGVRSAPAGSRSVFPVGKLRDLRFMCTFRFKMWWMTQRMGSSGRDIPVETQFLIVEAADGAGDEQSAVYTVFLPILEGSFRAVLQGNEDDELEICLESGDPAVESFEGTHLVYVGAGSDPFEVITNAVKAVERHLQTFSHREKKKMPDMLNWFGWCTWDAFYTDVTAEGVKEGLQSLEKGGVAPKFVIIDDGWQSVSMDPAGKACITDNAANFANRLYNIKENHKFQKNGRKGHREDDPANGLAHIVSEIKGKHELKYVYVWHAITGYWGGVRPSADGMEHYQSKMQYPVSSPGVQKNEPCLFMDNIAANGLGLVNPDKIFSFYNELHSYLASAGIDGVKVDVQNVLEALGAGHGGRVLLARKYQQALEASIARNFRDNDIISCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIAAVAYNTVFVGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGNHDFDLLRKLVLPDGSILRAKLPGRPTRDCLFSDPTRDGKSILKIWNLNAHSGVIGAFNCQGAGWCRTGKKNLIHDVQPGTITGALRGRDVSRLPEVAGDGWNGDVVVYSHVAGEVTVLPKDAVLPVTLRPREYEVFTVVPLKRLPTGASFAPIGLIGMFNSGGAVTGVSNGDNGGVEVKVRGAGTVGAYSSARPKSVAVDSEVVDFSYHDGTGLVTFDVGVPVRELYSWTVSIVY >cds.KYUSt_chr3.4751 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26990346:26997289:1 gene:KYUSg_chr3.4751 transcript:KYUSt_chr3.4751 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCAVKGGGVGVVVGGGQRRSSSASGSGFGSRSVVRMPEDRGLCCGVRSRAADLAGLEMGRPAAGAVFRSPRYGRVRATAGVDPEDILPDKVQAKSSGNVLPYVGVACLGAILFGYHLGVVNGALEYLSKDLGIAENAVLQGWVVSTTLAGATVGSFTGGALADKLGRTRTFILDAIPLAVGAFLSATAQDVRTMIIGRLLAGVGIGISSALVPLYISEISPTEIRGALGSVNQLFICIGILAALVAGLPLAQNPAWWRTMFGISVVPSILLALGMAVSPESPRWLFQQGKLSQAEAAIKKLYGKEKVTEVMYDLKASGQGSNEPDASWFDLFSKRYLKVVSMGAALFLFQQLAGINAVVYYSTSVFRSAGITSDVAASALVSAANVFGTMIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYSGTLAVVGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWICNFFIGLYFLSVVNKFGISTVYLGFASVCALAVLYIAGNIVETKGRSLEEIERALSSPSKADTSRAGAFLVSDE >cds.KYUSt_chr2.41862 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260623192:260623902:-1 gene:KYUSg_chr2.41862 transcript:KYUSt_chr2.41862 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPATAAAAPKPRLGREAVVRAVEALLRWLKHHPSPAPEPIYLLVTLKSPPVRRFEHHLRLPLSPFPSIFLVADRLPDDLPDDIEVLPSSALRSLPAVMRRGLVLVDRRLKIPNSGKGKGRIVPVDLADPAWATSAREAACSVELRVEAGTCRAVRVGHAAMAQADAVENVVAAVEAAAACVPRKWKNVRALHLKAPESVALPLYSAPGSDDAKDAKREVAATAEQGRLKRRRR >cds.KYUSt_chr2.3683 pep primary_assembly:MPB_Lper_Kyuss_1697:2:22255009:22255455:1 gene:KYUSg_chr2.3683 transcript:KYUSt_chr2.3683 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKISVILAACLLFCISSRGAATGCALENIVVTQADTSDWAHGQPVYAVTVENTCDCPQSHIMLECDGFKTTLEMDPSKFKYNGDSKLCLVNNGEPVVQGQDVNFRYAWSPKFLLPPANSTLAC >cds.KYUSt_chr5.19400 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125853968:125858834:-1 gene:KYUSg_chr5.19400 transcript:KYUSt_chr5.19400 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSSSSDRFPLVYETLLLSFKPRVFPNYLVEVDARRTDPAAHIFVAAVDGDIPKMKRLAKQRKREGKSVEVVEEITGYRGSRSLGALHVAAFSGKHKMCKFLIKDLRLDVNAAAEHVVRLFYAPLTMALFASSLKCVELLIKAGADVNAGNPATPLTLAATDGLADCINCLLEAHADPNIPDEIGRMPVELAAIHGWRECVEILFPVTSRVARFADWSIDGIMQQCSEGNLHKSEEPAFKALGDAAFKRKDYTHASALYTKGMETGPKDSTLYAKRSLCWLRMGEKEKALDDAHTCKCMILDGSNCFPEQGAALIPTEDYGQASEALISSLKLDSGSSLVDEVSG >cds.KYUSt_chr7.17666 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109385608:109391155:-1 gene:KYUSg_chr7.17666 transcript:KYUSt_chr7.17666 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQEQDLAAMAAENYRRVFIGFASTSTGAFEVKVLDEVAKNSDGTNIGLLWCQYTLGPVKSVNMVKEGSLDHPISEEQYIYLASLNKQKSALKTLKVESDFLRKMEEWTPTIWTDLDCEESCAEEGRGRTCQDLQVNIGKKISSIGCWIGADLSQCVDGHSGRVFFSSQGMREDEEAMFDPVRDDFGCDLLLAVGYAWTVDVWGQGAVCLARNKQVSPWVVVEMRKVSMPELWTMARALG >cds.KYUSt_contig_686-1.456 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:2853966:2859364:-1 gene:KYUSg_contig_686-1.456 transcript:KYUSt_contig_686-1.456 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDELSFLKLSYLKIYGFVNSFFGMACSNNGITVLHRSPVFNRLMQGKTPRVSYEVNGNEYDKPYYLADGIYLDWVTLVKTVRNPNSKQTGRFDKILPHTAVLIFGLLCVLQLSHSSSDNDFTKVRAVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLKSVALQKYVSAAGGGGGNVAVDQDVASSWETFKLWRVSDSEFQFRSLSGQFLTRSNDDVISATTDSPGDSETFFIERNNSLLHIKLLNGSYLQVTNNNQLTSNYRSQPGWGDDMATFEMTIVANYLHGDYQLANGYGPVQAKSVLTEHRKSFVTVKDFHLLSQSKINAVRIPVGWWIAYDPDPPAPFVGGSLDNLDRAFHWAQIFGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSEANIQKSLDVINFLAQRYADNPSLLGIELLNEPSAGAVPLDILVSYYKRGYKIVRSYSETTYVIFCQRIGNADPMELYQADLGPTNTVVDLHYYNLFDPYYEKLNATENIRVVYKNRLPQVQALNSANGPLVFIGEWVNEWNVANASQAQYQLFGKAQLEVFGEASFGWSYWTVRCNSVHWDYEWNLRNRQFNIGKATLHDSCDRMPSVSFVYINMIQ >cds.KYUSt_chr3.24009 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149008002:149009444:-1 gene:KYUSg_chr3.24009 transcript:KYUSt_chr3.24009 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKPPHIVLLVSPGAGAGHLRPVAELARRLAADNGFTATIVTYTNHSSPTQYSVLSSLPPSITTTALPEVPTDDLPNHARIETRLITVVRRTLPHLRELLRSLSDAPAGVAAFLADVFCPSALQVAAELGIPRYVVFTANLMALASFLYLPEQDSATTCEYRDLPEAVLLPGCVPLRGADLIEPIQDRANPAYPLMVDLGRQYLLADGFIVNTFDGMEHDAISAFQELSDKGVYPPVYPVGPITRQCSDEDAQHGCLRWLDGHAAGSVLYVCFGSGGALSVEQTLELAAGLEASGQRFLWVVCFPSDKDCSAGYLGSPADNHGDDPLSYLPEGFVEMTSGWGLAVPLWAPQVEILNHPAVGGFLSHCGWNSTLESAAAGVPMAAWPLFAEQRMNAVMLEERTGMALPLKAREDDGVVPKEEVAAAVKELMTGEKGEAARLKAREMRDAVAVALAPDGPARRALEAVASKWKSTDGTGE >cds.KYUSt_chr1.32601 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198021747:198025656:1 gene:KYUSg_chr1.32601 transcript:KYUSt_chr1.32601 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCARASTNYGTVLSIERFNHNGRGSGVSPRQKIHTNGASYLTVFHGDNPGFRQAAATRSSTSHSLNNVYLSYGTQKFSKDFFLRVGDGIPDEGRQRGWQDWIGSKNLELSTMIHHEKEYGKISYCPFIVIMPMIA >cds.KYUSt_chr2.39679 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246182963:246183714:-1 gene:KYUSg_chr2.39679 transcript:KYUSt_chr2.39679 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSNSWTTGLLGCCDDAGSCCLTFFCPCVAFGRIAEIVDQGATSCCVSGTLYLALASLTGMGCLYSCCYRSRLRGHYGLKEKPCADCCVHWFCEPCALCQEYRELQHRGFDMSIGWHANMVRMGKGAPTVAPHINPGMTR >cds.KYUSt_chr1.40123 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245740089:245740456:-1 gene:KYUSg_chr1.40123 transcript:KYUSt_chr1.40123 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSEAGDDQTLPLKELARTSAVGLRLQLHTFSSTEESTLLSHAGWEDAASLAVSYLLESSRLRTADEPEK >cds.KYUSt_scaffold_6468.917 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4421998:4422543:-1 gene:KYUSg_scaffold_6468.917 transcript:KYUSt_scaffold_6468.917 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTLELESKVSAPRKFRATVMDWHNLAPKLAPHIVDSAHHVEGDGGIGSVRHYKCGSAVPFNSMKKKVEFLDVDKCECRYTIECDGVETSTWNIKMKPTANGGSVAKVECTSKGAEAKDMMLKAKDSAAEMFKTVEAYLIANPDAYN >cds.KYUSt_chr2.45339 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282802868:282803584:1 gene:KYUSg_chr2.45339 transcript:KYUSt_chr2.45339 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEELASDAHVFPRGGDDGSAMSAALSPPVVVSVLASLLERHIARNERALAASPSTACCHDEAAGDDARRIAAFDGGTVLDMGMREFLERFSRYAHVSPQVYVVAYAYLDRLRRGVGDGPVVRVVPTNAQRLLTAAILVASKFVEDRNYKNSYFAAVGGLSAAELGELELDFLFLMRFRLNVSVSVFRSYCRHLEREVGHGGGYQVERCLQKALLVCSGESRTQHRQAPVAAAAAQ >cds.KYUSt_chr5.25163 pep primary_assembly:MPB_Lper_Kyuss_1697:5:161332919:161333233:1 gene:KYUSg_chr5.25163 transcript:KYUSt_chr5.25163 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAQLVDILYGLVERVTGYSARGEYDKDAKPMKLATTQAFVTEEAIEVRSRSLPESGGSGAQVNLDGI >cds.KYUSt_chr2.54149 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337971771:337975073:1 gene:KYUSg_chr2.54149 transcript:KYUSt_chr2.54149 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLPKLGKLLNEYKLQKGVKEDIKSLEKEMKIMRAALHKVAEVPRDQLEEGIKIWAGELRELSYNMEDVVDKFLVHVNGESSDPNSNKIKQLGDNIIGLFTNWKSCHQIANEIKDIKNQVNYVAKQHKRYRVSGIVAANVAATTTTFDPRLPALYNNVSDLVGINEPRDELIKRLTNKNDQIEIVSIVGFGGLGKTTLAKAVYDSLKPQFDCTAFVSVSQTPDMVGIFRKMLHQLDKKNYAHINEASRDATELIDELRMFLRNKSGGCGISSPVNVRRLSIQNRNEDDQRKPLAFMSKSQVRSVTTFPPAINQMMSLSRFDVLRVLDLNGCHLGKSKNLNLEDVVNLFHLRYLGLAGTNICQLPAGIGNLLFLQVLDVRYNSNLKELPSSICKLRRLMCLLVNGYRTSLPDGLGNLTSMEVLKKICATLNIVKELRKLSMLRELKVKFERNASLEYGEAFLESLRHLKNIQSVIIRGYFPSMDLLEKSWLPPQQLRAFESVRCGAFSGVPEWIKRDPLCLSDLAELVIGFVELREEDLLILGRLPVLRRLWLWSAKQTPKVLSIGADGFHYLTSFTLYCESPRQIVFQRGAFPKVEGVLFNFNVKKEKDDGNGDFYFGLLNLLSLGQVTVGIDRHGVTLADVKDAVVALRQTVNVHPLHPVITFDIRPLIQQEEAQRASEMNPEFFFDEELIREQRKLPGKFRKFRAETT >cds.KYUSt_chr3.38899 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245051684:245052340:1 gene:KYUSg_chr3.38899 transcript:KYUSt_chr3.38899 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFLEGDFESYVRKMRKPHAWGGEPELLMCSHVLRMPITVHMYIKGSDSPRIIAEYGQEYGKDSPVRVLYDGYGHYDALQPSLDSRISAGICYNLVLPRLAKTIYSAHGVQLGFTAS >cds.KYUSt_chr5.2596 pep primary_assembly:MPB_Lper_Kyuss_1697:5:17372205:17373284:1 gene:KYUSg_chr5.2596 transcript:KYUSt_chr5.2596 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASRPPAPPPPPPPPPPSAAAMQWLAPRVSFSLDDAGGSMAAGAGGAGKASADFEFFLAGCSAVSTMLPADQLFSGGKLVPLRIPAASSTSSTADGISTRPPLAPAAAQPETPRPEEPTKDAAESTAEVEPKVVPARRWRDLLRMRKQQASSSSSSSSTTDTKPLRRLLRRGPKPPEQEPSLNHPLLRDPDEPEKPEKAPAAAPTSTPPPSQQQHQLPPKIRLTPAQATAPPPPPPPPPPTAVAADSPRLNAAGKVVFNGLGRSSSSPSSLAGGRRHRAGSGSGGGGMERSYSAHVRVTPVLNVPVCRKSVSVFGIDRLFSPSSASTAAAAHAAAKKGKVAKKEAVTAAPPPSSK >cds.KYUSt_chr2.15088 pep primary_assembly:MPB_Lper_Kyuss_1697:2:95091251:95091748:-1 gene:KYUSg_chr2.15088 transcript:KYUSt_chr2.15088 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNHLSSTKSEASTDELKQLPVLKRKPCGYKQTLSGLPESQYAAAKKKMNRSEELGTNTLENLLWNDGEGSGRSWRRHGASTRRVSHGEFTRSLAARVTSFTLQRGRRGDDRTKGRRSSRHESFFQGSTILVANRRMAQVENDWGSRSISSTAATPEPTLSMAA >cds.KYUSt_chr1.23224 pep primary_assembly:MPB_Lper_Kyuss_1697:1:137810263:137811403:1 gene:KYUSg_chr1.23224 transcript:KYUSt_chr1.23224 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLAGNIINEAYHKMKSNANSEFHERFESYPLPLENLRYASIDGFISYELYKHIKELIDEGGHSVYFTIYISAAARARRLWAIGIVAGGSETALGNACGRFQDELERYMDYMPGGECPSDAGARAPAHARGCDLRVAASVTVLAAISGEVRGAGTAAEEPLNHAVEAYHPRRRSPRSQRARCPSCSTSATAARS >cds.KYUSt_chr4.5312 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30580719:30583334:-1 gene:KYUSg_chr4.5312 transcript:KYUSt_chr4.5312 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLPQRTASPIQLDLSSLHLVGLAGGHQRLHNEFLQASLHSVHLAVVFPGEFSSSHANEFIVSPSKHSAITQDMEDADTTKVITRCSYAPPANNVEDERKRSGNDSFCFKDASGTALEVMDSKPDAPGEVLAAAAMPDYVEEIFPNSSHRDGSIYSGTDHWKTDYRISDRNENRHRKISATHAPQLKHQGRTQTKETAGAEHHDSLAHAGQAEDQRRSTATPPHQHRRTARRELQGGIFKKRTTHERRYRPIEDLGLSPGARRTTESRRTNAFKKGTTTVAAAVEALTGKGFPRQMRSVARKEVANAEASAKPPPHRAVRQTLVAHTTEEPSQPQSLWLARQPARLPPPRAATPETLLSTPKS >cds.KYUSt_chr2.53126 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331411339:331414812:1 gene:KYUSg_chr2.53126 transcript:KYUSt_chr2.53126 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTALLAAAAALLLSLAAAADMSIVSYGERSEEEARRMYAEWKAEHGRNSNAIGGEDELRFAVFMDNLRYVDEHNAAADAGVHSFRLGLNRFADITNEEYRSTYLGVRTKPERKQKLSSRYQAAVNEDLPESVDWRTKGAVPAVKDQGGCGSCWAFSAIAAVEGINAIATGDLIALSEQELVDCDTSYNEGCNGGLMDYAFEFIINNGGIDSEEDYPYTEKDGRCDANKKNAKVVTIDGYEDVPVNSEKSLQKAVANQPISVAIEAGGRAFQLYSSGIFTGTCGTALDHGVAAVGYGTEDGKDYWIVRNSWGSSWGEAGYVRMERNIKSTDGKCGIAIEPSYPLKTGANPPNPGPTPPAPVPPTPPSSVCDSYYTCPASTTCCCIFEYGKECFAWGCCPLEGASCCDDHYSCCPHDYPVCNTRRGTCSATKDSPLSVKALKRIMATRTGARRAEDGMKSSA >cds.KYUSt_chr7.2058 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11792854:11793528:1 gene:KYUSg_chr7.2058 transcript:KYUSt_chr7.2058 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAALAAVLLCAAAAMAAAQSATNVRATYNYYSPQKINWDLNTASAYCATWDANMSFAWRSKYGWTAFCGPAGPTGQASCGKCLRVTNPATGQQITARIVDQCSNGGLDLDYDTVFSKVDANGQGVNDGHLTVNYQFIDCGDN >cds.KYUSt_chr5.9306 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59087628:59090155:-1 gene:KYUSg_chr5.9306 transcript:KYUSt_chr5.9306 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASNSAFSEEILADKLAKLNNTQQCIETLSHWCIYHRKDAELIVQTWAKQIQSSGNEQKVPFLYLANDILQNSKRNGTEFVEEFWKVLPSTLKDVAEKGDDRGKKTVSRLVDIWQERRVFGSRAGGIKDVMLGTAPLPVLDMTKKRSQSSAIRIVKRDSRSVKLRLGVGGTAERIVSALHTVLSEQADEDADLENCKSSMRHVGKMEKDVDSACSKADDPRREPLCTKLKNEEDSMKKCIEKLKVVEENRAAVVSELKEALQDQESELEKVRTQLQLAEAMVEEAANMQRRLNNEPIVPSSKLASSNGQVKGQQKTAAAILADKIAASSNSQQILQSALSKFAAENSSDIRSDKRIKVDQSSQVPSVANAAAFVPMPPMVTTTAQQPQTILVQQTPVQSQAPAPQPQYNIYQAPPQHFVQQPGGLMMGMPYTMNTMNPPPPPPPPQMMNLARPSPSTPQPQMGVMTQPQQQQPGQQMLQQQMQMNVVPPMQFTLQQSGAPPFRPLQPPPGMQFFHHQSQ >cds.KYUSt_chr1.1466 pep primary_assembly:MPB_Lper_Kyuss_1697:1:8015025:8015657:-1 gene:KYUSg_chr1.1466 transcript:KYUSt_chr1.1466 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYYFVDNSSLKDILHVSKQELPLDLRLDIAIGSAEGLRQIHLYTPRTLCHGDIKTDNILLTEMLTPKLSCFGLLKEQHFSTTVVGSIGYTDPISLITGFLTQKSDLYSFGVVLLEIITRKRSIYGENCSLVLEFSKVYETERRGKSMFDKEIATEENMIWLEQIGKLATECLKEDVGHRPDMTDIAERLMIIRRDRETKGEGEANWPS >cds.KYUSt_chr6.27258 pep primary_assembly:MPB_Lper_Kyuss_1697:6:172873769:172878336:1 gene:KYUSg_chr6.27258 transcript:KYUSt_chr6.27258 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHHRPSRLRRILTAAGAATVAGLVLFSGRQAVLSKTPVFSPGLFSGGLAVESWPMPQELLRPPTFLFPHPSEADIDFALPRRLLPLRPHSPPQHDADAVFLPDESDAAVFPDSDAVLLPDSEVLVLADEPVDDAICAFQGGASSPARALGTLPGPGRQAYLCAMPETEQSIQPLQAPLLLSSSSADAPAAAAADFPARPMLNWSNRLVFDSAVLDGGDVLVFAKGVIRRQWANTANPPVQCVYRGHDDGVSVSLPAITAAQQVARCPPPPALLTSSNTQLRVTLSVTGEEPIPSLAIYRPQKSHLAAVAPPTRNNICACTMVRNVSKFLREWVLYHDALGVDQFFLYDNGSEDNLAGKVADLRSTGVNISTVAWPWTKTQEAGLSHCAAVHQASCQWMAFVDVDEFIFSPDWKNLETPSKSMLEALVSVDPQIGQIYLPCFDFGPSGQTAHPQEGVCQGYTCRLKTQQRHKSFVRLDAVEPSLQNSVHHFSLRSGFTNMWTRLARINHYKYQAWTEFKLKFKRRVSAYVADWTDPVNLKSSDRAPGLGVEAVEPPGWADKFCEVKDTVMQELSVIALVLAGSWRASVGAGCSSGCRCVLGALVAAVAEFLFLVATDYILAILEILGCHVEGFPQIYLGLQLSAEKLTLATFSLLITLLINSVLDALANYTMDTAFLPPALLHILEGLPRDFPWNALDHPSAAKCLVAWQQVCCPKKEGCLGIRCLFKQNRSLQVKLLHHRHSDSALPWSRWLWGMSACPIVPMKRLSVPAVHRKRLVELTPFYRDISAVCIGDSHHTLFWLDTWLAVGVIKPRATHCSPIPWTQGPACSLYFRLALPGLRSPASRLSPLGSAPSLRTFPARTWTTQGLWCAAQKLKAAHHLQMQPRLWLLELRWQAAPT >cds.KYUSt_chr3.606 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3198821:3199471:1 gene:KYUSg_chr3.606 transcript:KYUSt_chr3.606 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVLARNTVLKIHSCMRASVRALAVFGEGIFHVLPSDDRFFGQSVNPSSSCSRKHNREISDCDQAVAVGNLDSVSMILEPGVWFGYTVVSLNVLRETELRQVNLDADVWAMAPRSHIGLILSLSELIDFLPQVRFVYTTTGKHGFAVLAKYTAKPLRNTAKLLPCGGTRQSPHGEKQHGKGSLPCAFLRGTRQAFAVCLPPGSTPKIQFDGIST >cds.KYUSt_chr2.52462 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327334897:327335493:1 gene:KYUSg_chr2.52462 transcript:KYUSt_chr2.52462 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGAPLPTQPSPNPSRLASGSASAADAPKPMLAFHFLRALSRVHAGPARRRTRTISRAAYSSMARAAGPRRAWSRALLRQAQARRSATLASRRTAVLVRRRVAAGPAPPLALPRLTGRSSGSSGETSAARAALLPPPSPAPRQAGEPARSDALRRLVPGGAGMEYCSLLEETADYVRCLRAQVQLMQGLADLFSCQ >cds.KYUSt_chr6.20333 pep primary_assembly:MPB_Lper_Kyuss_1697:6:128105451:128105756:-1 gene:KYUSg_chr6.20333 transcript:KYUSt_chr6.20333 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGYRCSYSFPPQHTKDVSLLRALHARRRAAMADVFGSSGQGSAAGSPTTMYIGRSRGCGDEGNSGGLATPRDAATAAGCGGGRYRESNGGGRGSAKEVC >cds.KYUSt_chr7.24795 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154546670:154548712:1 gene:KYUSg_chr7.24795 transcript:KYUSt_chr7.24795 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLSKYLSRGSKSTAAGDDDDDDNRRKMPAASNGAAEGGRGCMAGCVPVRAKRAATVTTTVTTTSARTSRHNFVRSAAAGLFPAAREFTNHESLPALPDAYAEFAAAFPQHGGLAGPADAIRDGEYGHLDRHVCLDYTGMNLFSHAQMNSSVPSTSAPAAAPPAWQPPFFDIAYRSASLRSQVQQCGDGAAVAARGVGAAVKRRIMASLKIPEDEYTMVCTANRTSAFRLLAESYSFTPGGGGGGRKKLLAVYDYESEAVGAMAESARGRGAEVLHASFAWPSMRVHAADLRKKLLRGRRRQGGRGLFVFPLVSRMTGARYPYLWMSAAAEQGWHVVLDACALGAKDLDTLGLSLLRPDFIVCNFFKVFGENPSGFAGLFVKKASLAALERSAVARSIGIVDIVPARRWSLRDDYSTDLDTSLTFPTKLSGPLEPTPADDLDTTSSFSGPLSSTAIGRRTDADENGEICEVDGTPYADEEDNDNPLPAPPPAAAEAEEESILEVECRGLDHADALGLIAIGNRLRCISNWLVVAMQRLRHPHPEHGGGQQLVRLYGPRVKFERGPSLAFNVYDWKGEKVAPLLVQKLADRHCISLTCGFLHNIWFADKYEADRCAVLEQRSDGAGEVGIHVVSASLGFLSNFQDAYRLWAFVAKFLDADFVEKERWRYTALNQKTVEV >cds.KYUSt_chr1.31808 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192993452:192995144:-1 gene:KYUSg_chr1.31808 transcript:KYUSt_chr1.31808 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDTDAPPSSVAAWRAVHGHAHGGGRVDAAPAPAADDSAFDTNVVIILAALFFALICVIGLNSLARCALRCGGRGGAAAAEGDGVASARASRRGGGGGIKRRVLRSLPVEVYGGGGEGIDDVCAICLGEFVDGEKVRVLPRCGHGFHVRCVDAWLVSHGSCPTCRRLVIEGAPAKGDGCGQSRRPAETDTIAVLSPAEGTVPHFYFGGVATRGATPRYPLWKKLAEARGVVFLDAFLDMFELAPSELLAALLPVVSLLLLVGVRVINRTRI >cds.KYUSt_chr2.4082 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24659715:24661719:1 gene:KYUSg_chr2.4082 transcript:KYUSt_chr2.4082 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPWGPSNSPVTGRLQALPAPQDRRLACHSLNLAGGQRETLGHIPSSSTRGISLAAISGAIHHEAAPSWPSPLTNSPEKGRNRHSRSMILFTSHADEHPKHHIHHGDMRGIAQDHVPGSHHAADVIGEVAAAVVLLGHGQPQARADQDGHARAHQLQIFDIKMENKVHAIL >cds.KYUSt_contig_990.430 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000093.1:2163383:2182713:1 gene:KYUSg_contig_990.430 transcript:KYUSt_contig_990.430 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLHTLKDQAYRLHDSIAQDQEKSDALKTQMEDLKTNIEAVENKIRHTGTGMNELRKLQEQISTKATARSTYFTLQQQQYAALSEENEDTDEELKEWQTKFEERITLMETKIGKLEREMNDEKTSTSSLSVTMNDLTLEIGKLQAEANAHMSVKHKQDAAIRNIFTKHNLGTIPDALFTDDVAMNLTNRIKARLSNLEDDLQDKKKSNDTHLEFLWERYLRVNARYSEVDGQIQSKRESQVGISRRMKDKENERDAAEMELSKYNLARIDERERHMQIEVERKTLALGERDYDLIISQKRPEIYTLDHKIKALYREKDNITNDADDRVKLELKKDELEKCKKKRKKIYDEHKDKIKSVLKWLPSEKDLKKEITQAFGSVDADYNGLNSKSQEAEQLLKFAQMKVDGARSRLSKFQKDLDAKRKHLDAKLQSITKVSVDINKYPKILKDAMDDRDKHRNQYNLAKGMRIMYDPYEKIARDSHKCPCCDRAFTPDEEDWFVKKQRATGTSSAEHMKVLEIVKSDAEDFFKQLDDLRGIYDEYVKLGKEAIPLAEKDLEQLLADESEKAQTFEDLVSVLAQVKMDRDGVEDLLHPIETIDRHVQEIQDLEPQVQDLEYKLDSRGQGVRSLEEIQLELNSVQRARDTLIGEVDHLRDQQKILTEDLSNAQMRWHALREEKVSASSIVDKFKKAEQDLVHIAEEKEQLNLDRKHLEEALVPLSEERESLLQEYKALKERLEQEFEQLAERKRGFQQEIDALGTLNTQIKEYLDSKKAEKLNELQEKHKLNLSELQKHEARKQDISAELDRSKEVLRTQDQFKRNIDDNLNYRKTKAEVDRLTHDIELLEDNVLSIGSMSTIEADLKRHAQEKERLLSEYNRCQGTISVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDK >cds.KYUSt_chr2.19126 pep primary_assembly:MPB_Lper_Kyuss_1697:2:120349428:120358201:-1 gene:KYUSg_chr2.19126 transcript:KYUSt_chr2.19126 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMVFLFGMELSTYLAVNTTTSVIVDRSSDGDFLRIDFNMSFPALSCEFASVDVSDVLGTNRLNITKTVRKYSIDRNLVPTGSEFHSGPIPTVSKHGDDVEEYHGDGAVALSSHNFDSYSHQYPILVVNFYAPWCYWSNRLKPSWEKTANIIRERYDPEMDGRILLGNVDCTKELELCKRHHIQGYPSIRIFRKGSDMKETQGHHDHDSYYGERDTESLVAAMETYVANIPKDAHVLALEDKSNKTVDPAKRPAPMTSGCRIEGFVRVKKVPGSVVISARSGSHSFDPSQINVSHYVTQFSFGKMLSPKMFSELKRLLPYVGGHHDRLAGQSYIVKHGDINANVTIEHYLQIVKTELVTLRSSKELKVLEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTELPKSFSHFLTNVCAIIGGVFTVAGILDSILHNTLRFVKKIELGKDI >cds.KYUSt_chr2.47516 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297268522:297269175:1 gene:KYUSg_chr2.47516 transcript:KYUSt_chr2.47516 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVFVNGFVCKNPKLVMANDFFRAGLNKPGMLNALGSAVTLVSVLQLPGLNTLGISLARIDFGPNGLNPPHTHPRATEILTVIKGQLLVGFVTSNQQDGRNLLFTKQLVEGDVFVFPQGLIHFQANNGPNPALAIAALSSQNPGTITIANAVFGSTPPISDLILAKAFMTEKDTVDWIQSQFAPAMSGNSSMGGGGNYTGGGGGGGGYYPGMRKKP >cds.KYUSt_chr4.54227 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335296875:335297528:-1 gene:KYUSg_chr4.54227 transcript:KYUSt_chr4.54227 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRAPGFASASLQRPSSSAWLVRRKGDAGLHAGSPSTDIVVADVVVTPRVCHGIDGATLHTSSLVADVAVAPRRYHGDGAARRAVSPGTDVVVAPRGCLGAALHAGSLLAGVVAAPRVCHGIDGAALHAGSLVADVAVVPRQCNGDGAAWHTVSPSTDVVIAPRGCHGVGAALHAGGLLADVVAAPRVCHGVDGAALHAGNLVADVAVAPHRVPWR >cds.KYUSt_chr6.30923 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195937425:195938218:-1 gene:KYUSg_chr6.30923 transcript:KYUSt_chr6.30923 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGPLLREASRALTCWCVLVWRPCCPCSPPTSLKPERVLLSCFLAVGDLEHEVSTMDTLAGITVNFRVEDLYFEKEVVIVLLVGSCVAPSLPVFSTDESET >cds.KYUSt_chr2.52420 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327060628:327061011:1 gene:KYUSg_chr2.52420 transcript:KYUSt_chr2.52420 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDFTDLELELEGQDNSLADELVEIEKRMAGAAVDLPTFKPREDGKMMRMVSQKYIRALMKSPPPSEPLPVSVDANRCTGIKDEEVLETVARSFRTLQSAVDIQNDIISQYRAKGYAYIVVPEDS >cds.KYUSt_chr7.32180 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200434746:200435210:-1 gene:KYUSg_chr7.32180 transcript:KYUSt_chr7.32180 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAQEAEKRYGRTTGNSDGGSPRQGRKVGEDGDDGGAKRRSSACTAAHQVRLGGGGVLEQLRLRFSEEEEGTTAAPSPRSAQRAAANNDGKDGDLRQDFLAVLSDETEDFLSMSLSDFCGAAERSRGAAQRKRSRVCGLIWRKGRRIEELGR >cds.KYUSt_chr4.44879 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277825447:277827960:-1 gene:KYUSg_chr4.44879 transcript:KYUSt_chr4.44879 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVEELVKKAGGCAVIDGGFATQLEALGADINDPLWSAACLITKPNLIKEVHLQYLEAGADVIISSSYQATIPGFLARGLLIEEAEGLLRTSVHLALEARDEFWKSTLRKSKPVYNRALVAASVGSYGAYLADGSEYSGSYGDDITVEKLKDFHRRRLQVLASARPDLIAFEAIPNKMEAQALVELLEEEDIQVPSWICFSSVDGKHLCSGESFEDCLRIINASEKVAIVGVNCTPPQFVEGIIRAFKKQTEKAIAVYPNSGEVWDGRAKRWLPVECFGRKSFDVMARRWQEAGASLIGGCCRTTPATIRAVSKALKGRTGH >cds.KYUSt_chr4.6217 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36493661:36497228:1 gene:KYUSg_chr4.6217 transcript:KYUSt_chr4.6217 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLAGAAGASSRRRALQGCAALFFLAYALFALLLWSPPPLTTIPGAAGSRPLHLDDDAGGRGAPARPSRHAHRETLEAAPSGIVSGLDLTRLNSSRAGGGGSLRQVAAEAAASGARVFADLENALSSPLDASDSSAEQDRCPHSIVLTGDEFRARGRAVELPCGLTLGSYITVAATPRRAHPERDPKIILLKEGDEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPAIEQNTCYRMQWGTPIRCEGWMSRADEETVDGMVKCEKWIQDERSTESTTSWWLNRLIGRTKKASLDWPYPFVEDRMFLLTLTAGLEGYHVNVDGRHVTSFPYRIGFGLEDATGLSLNGDLDVQSVFAGTLPTTHPSFAPQKQLEMSPIWQAPPLPDEPAEIFIGILSAGNHFAERMAVRKTWMSAAHRSSNVVARFFVALHGRNEVNLELKKEAEFFGDIVIVPFMDSYDLVVLKTVAICEYGVHAVSAKYIMKCDDDNFVRIQSVMAEVKKIPSSKSLYIGNMNYRHTPMRDGKWAVTYEEWPEEVYPTYANGPGYVISSDIADSIVSEFTNHKLRIILELLV >cds.KYUSt_chr5.37392 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236439718:236440128:-1 gene:KYUSg_chr5.37392 transcript:KYUSt_chr5.37392 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRRGSSRVAAVWGGSGGRCGDRHEEQQFGFVASAGSSSSVRRPPAFLHASCCNSCAPPAGKRHGCAALVVPDSGAPGQPVEFYVSARHHQVSPSVGCCRRGDEDSGVLFGDTSSGPRQLPTSMATKEKNPRLE >cds.KYUSt_contig_2486.671 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000356.1:2644553:2644957:-1 gene:KYUSg_contig_2486.671 transcript:KYUSt_contig_2486.671 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVQAAFRGGDEPEEFPGQHLIVGRSVKEDYRQITLNPRQAAVWSAMDHGENFVDLAGPPEPLAPKEEEDDFSDDGGGNGGSSDAATTTRTTSTAAPSRGAACLDADNLVGTLSLNRGCFCRRLFGGCVWML >cds.KYUSt_chr5.40056 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253069726:253073273:1 gene:KYUSg_chr5.40056 transcript:KYUSt_chr5.40056 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLPLRLLRSAAAGPLRIPAPSRGGWSVPTAPRLPAEAGAAPADLARWLPRRGYSQFASGFTPLEPKTLGSILDVERAKGLSPEHLVAAWDDVSALSPSQFPLQTRYFVIPLWRGSGYTTMFMQVQLPHMIFTGLEDYKARGTQASPYYTVTHYTEFAETKDTVLVRGDVVFTSKLTDLEAKCLLETAHSFYLNDVRYKLVERFNKETQDFEFKDVLQALDMPTM >cds.KYUSt_chr4.20885 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131404750:131408364:-1 gene:KYUSg_chr4.20885 transcript:KYUSt_chr4.20885 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISFETPPPLGRQESTAATAATFKLAKEASTSGTCFHGSHLDLSLGISLSPGGGCGCGGASMASYNGRRGVGDVGRVQSSGMIASTTIANTLSAGHGGNLHGIVSSTSSSWTAAFMPSPTGFMHPWSLAARQQKAAAEQDRSLMPAATTYVTSDASVISLPSAAVGWPPVHTNRRHIVTATNTPKPDADMEQPDGPKDAKMAATTADDEDVKGAPRSSMVTVEPPRQSANMFAKVHMDGCLIARKINLRAHRSYDSLSRALTKMTRNFFCPADYPCSDSGKQERANSDDFIFLYEDFEGDRMLLGDVPWE >cds.KYUSt_chr3.45312 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285525782:285527113:1 gene:KYUSg_chr3.45312 transcript:KYUSt_chr3.45312 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGSGVATASPEVVDHFLRGNFPNYVKGARFAVPFADLLGSGMFLADGRLWSLQRKLASYSFSSRSLRRFSGRVLRAHLRCRLLPFLDAAARSGEAVDLQDVLKRFAFDNICGVAFGVDCSTLLELEAGAGQRQHEAFFAAFDDAVEITFARILQPTTLVWRAMRLANVGSERRMREAVRVIDEYVMGMVEQLRARGGAEEQEEQHLLSRFAAAMDEEAALGGELGEMFGSPDAKRRFLRDVVVSFVLAGKDTTSSALTWFFWLLAANPRCERRVHEEVMLVRCWDADAESEDGGYEELKGMYYLHAAITEAMRLYPPVPINSRVAAADDVLPDGTTVRAGWFADYSAYAMGRMPRLWGPRCREFLPERWLDVDQGDFVAVDAARYPVFHGGPRACLGKEMAYVQMKPVAAAVIRRFRVEPVQAPACMDAPPPYEMAVTLG >cds.KYUSt_chr4.53637 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331847046:331848251:1 gene:KYUSg_chr4.53637 transcript:KYUSt_chr4.53637 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDQTDAGVGAAGRHVVKLSPDMLANIHGRLSFVDRLAFASVFRESRDAFKPEAPWLVLPGGTPETATLFSLADRRAAAARVTSPGIRGHAFLGSSRGWLATADESGRMSLVNPVSGEQRALPAITTIPGLEEYDGVFAFPLKPFVRGPPYAGGREPRGTFTLKAEQMGSYLYRKVVLSDGCHGAAMLITGAMFGVAAFATAKDAAWRLAPSRDGVEDAIHYDGRFYSVTYTGAVEVWEHDPYAGVFTSAVVTPRLDDVAGDPHYRRKYLVAALGGRLMVVLKDWKETKDKLNKIRWACSFKVQVLDGGQWKKTDDIGDAALFIGANESLCLSTRVHPKLKAGHVYYIEDDLSHKGRSRYDHDWCVVRVFCLKDGTEENVEGLGMHWSWPPPAWFTPSIP >cds.KYUSt_chr3.13131 pep primary_assembly:MPB_Lper_Kyuss_1697:3:79025199:79025616:1 gene:KYUSg_chr3.13131 transcript:KYUSt_chr3.13131 gene_biotype:protein_coding transcript_biotype:protein_coding MLINFSTTSFALMHNKKTTIPYSFKVITSLPPHEGPNLSFHALDEQFDLLDKVLNTWDNMVGVEHLEEDSDGKARLKARHSAG >cds.KYUSt_contig_964.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000089.1:31681:32112:-1 gene:KYUSg_contig_964.2 transcript:KYUSt_contig_964.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMNHYRDQPPLSLSLSLGAVAECKKVRAQRPEDAESAAGEFACKTCGRSFPTFQALGGHRTSHQRPPRARARPSPETTLRQAQENHVHGRPEAGGGTPVPCPRLTFEMGQALGGDTCAGTARRPASWRRRRPFCYSSSSR >cds.KYUSt_chr4.54543 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336955880:336958193:-1 gene:KYUSg_chr4.54543 transcript:KYUSt_chr4.54543 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVEVRDHGLWLLAKNVNQYIHRILVEADSSAESGDDLWSAVRDDLWSAVGEAGGNIYKRGDFKESQMADLDVYLLKKVGLFPDIIERKASRHLEKGDHVSALITGEFYTRDQFPGFGRPFVFNSVLQKRVGRTSEAKESARVALKSPWWTLGCKYEEAAELAGWEDEQIEFIREKVTEEGKQDDLKKGKAPEQVVLDEAAFLMDLATVDGNWDDVVDRIAECYREAGIHDIAKFIAYRE >cds.KYUSt_chr7.9184 pep primary_assembly:MPB_Lper_Kyuss_1697:7:55860332:55865272:1 gene:KYUSg_chr7.9184 transcript:KYUSt_chr7.9184 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALPNQVMLRKHESIFGVWMGRLRRRWIPPVKDLRKPLGCGWGARGGAGKNAKATTANPVTRNEGDESPTPHEDDFGVSAYPAKWSPSTSQEDDFGVSAYPAKWNPSTSRENDFGVGAYPAKWNPSTSHKDDFDVSAYPAKWIPSTSEEDDFGASAYPAKWNPSTSQEGDFGVSAYPAKWNPSTSEEDDFGVSAYPAKWNPSTSQEDDFEEGDFGVSAYPAKWNPSTSHEDDFDASAYPAKWIPSTSKEDDFGVSAYPAKWNPSTSQEDDFGASAYPAKWNPSTSQEGDFGVSAYPAKWNPSTSHSPIPQAQMRHQQIKVQTGMLFLKKSLHVGTVLPEGTMFTRVGMPKSDSSAPLESKYFGIILSHFKIPHNSVKAKQVADTIRSCDKPSDKEEPHMCFSSRGAMQRFSTKVLGVLRVRQTVTRIYGHETPSSRYTVVRITPLSTKMVPCHPMDFPYEVFYCHRPKEVQSFRVQLKDKKNGMPLVTTTAMCHMNTSDWDKQYFEMLGGVRGEPICHYMPQNYIMFY >cds.KYUSt_chr5.40798 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257594235:257597709:1 gene:KYUSg_chr5.40798 transcript:KYUSt_chr5.40798 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGDDLDLLMSLDEDGAQVVLETPPSSPPPAGGHGAYTPPRVAPRPGGTDMSVFRDAVKDYIEAVPTSTSGSGPKRPKLPKSTQTLVDNYSGLRIKNMAVSPPEIADRFADVRFVRISAFQNLAGGDTFSGCWATAGVVLDKGTTRVSAQGQDYSIWKMGALDDSEVSVFLFGDAHTHYSGGAVGDVFALFNGNVRMDKAGKGFSVSVGSVGQMMKMGVSADFSICKGKRKDGMACTMATNKRKGSYCKFHSSNTSSQKHFTGRAELKGGNFQFAANLRSRGIYMVKPPSEQSGPRNPSRPVKVMSIDGLKRALSHADKVTSKNNSQGIRFLSHVTGGTEPKLVRNGATVLEKPMSTWSSLSAKLSASRRATSSGTKLAPKPSLQKQEQDAKRRKANEPPGNTIELDLGSSDDEEIDIVLRR >cds.KYUSt_chr3.13084 pep primary_assembly:MPB_Lper_Kyuss_1697:3:78729038:78732463:-1 gene:KYUSg_chr3.13084 transcript:KYUSt_chr3.13084 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSLARRAVSWRRLLLSRAFAAAAGPAPAKRVLLPVANGTEPIEAAATADVLNRAGARVTVATVASAPAGDEGLLVEAAYGVKLVADGRVADLEDEAFDLIALPGGMPGSANLRDCKVLEKMVKKHAEEGGLYGAICAAPAVALAHWGMLKGLKATCYPSFMDKFPSDVIPASSRVVVDRNAVTSQGPGTSIEFALALVEQLYGKDKMEEVAGPLYVGPQDRAEYATEELNPVKWKCSGTPQVLVPVANGSEEMEALNLIDILRRAGTNVIVASVEDKLQIVTRRHKFNLIADMMLDEAAKMEFDLIVMPGGLLGAEKFASTEKLVDLLKKQAESNKPYGAICASPAHVLEPHGLLKGKKATAFPPMAHLLTDQSHCENRVVIDGNLITSRAPGTATEFAVAIVDKLFGREKALSIAKELIFM >cds.KYUSt_chr2.31014 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191179778:191181842:1 gene:KYUSg_chr2.31014 transcript:KYUSt_chr2.31014 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKTAPANASTPAGNSTALTPAAVSVASAKTHIPVVLNLQAANYTKWRTFFTALAGKFGLIPHITEDAHVPGNDPVWAMDDFTVLTWMYNTIDEGVLEIIMEPEQSALELWTAAESLFRDNLESQAFFLESAFRALNQDSRPVADFLRDIKTHADALRDVASPVSDKTMVMNTLNGLHEDLSHMAAIINSKTPFPSFIKARSMLVLEEQRLQQERRRSHAAALYSSSGQSSRGGSSGSGEHTGGVPTSAAHGGTGGHVSFPASGYGRCSSAGGGKEKQKPGPPDRVLAPAHQAVIHPSPVPQPGYWIWAGPSPTGPHRWCTPPAQYNGLLGPRSQAYVPTMATSPQAYHTMMAPVSVSTPPAAPTWDYSGIVHAMTAMHLQSPNFNGWVLDPGSTSNMLADYETPPEADHHRGPSQDAHKPNSSAKEARQGKGTEQALPKIAEESPPSRPSVSLALWAPRRCLQEEHDTGVPPPPDPRILGFHPEEVEGRRLASRRLQQGSAVRGRRHRGPRGKGFPLAKSPPSTPRNTQLADHHAALTAVVTGQHQSHWLARRPT >cds.KYUSt_chr2.37851 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234345359:234346542:1 gene:KYUSg_chr2.37851 transcript:KYUSt_chr2.37851 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPGVAYDRRVELQAFENTKAGVKGLVDAGITTIPAMFRHPLESLEGITAPSDNVAVIPTLDLSAARREDAVALVRHAAGTVGFFQVVNHGVPAELMAGMLAGVRRFNEGPAEAKRAAYTRDLARKVRFSSNFDLFQTAAASWRDTLFFGLAPDPPPSQELPEPLRNVVTDYGEAVTKVALCVLELLSESLGLSSDHLREMGCAHSLYVACQYYPPCPEPHLTLGHKRHTDEGFLTVLLPDGMPGLQVLVDRSGAGGTWVDVPYVPGALMINIGDLLQLVSNDQFRSAEHRVLANKSKDTARVSVASFFNTDMSSSTLYRPITNMDTTPRSTGASRLRSSWPPL >cds.KYUSt_chr4.16521 pep primary_assembly:MPB_Lper_Kyuss_1697:4:102664316:102665239:1 gene:KYUSg_chr4.16521 transcript:KYUSt_chr4.16521 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSFMSYVDLKKAAMKDLEAGDGTELTEAGGATDERLKGFFVEVEVVKEEMSAIRDALARLHEANEEGKSLHQPDALRAHRGRVNADIVAVLRRAREIRVRIEALDRANAAQRRLSAGCGEGTTLDRTRTSVTAGLRKKLKGIMLDFQALRQRMMAEYKDTVERRYYTLTGEVPEDEVIERIISEGKGEEIMGAAVAEHGKGAVLAALHEIQDRHDAAREVERSLLELHQVFLDMAVVVESQGEKLDDIVTHVDNARDYVHTGNKELGKAREHQRGSRKCLCIGIILLLLLILIVIVPIATSLKRS >cds.KYUSt_chr7.34154 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213383693:213385201:1 gene:KYUSg_chr7.34154 transcript:KYUSt_chr7.34154 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPLPASAKAPPLPWISPLQYRSPTRAAPPPPPPPPSSRPPRYVDHPDLARLIASSQSGQRALDLFNAAAQQRGFSHTAATFSALLIRLARARLPSAAAAVLRRAASTPCRFLEPHFLPLLRLLPPDHALTLLRLLPSLLRRSRVSHKALAVCLDRLVSSRCPGVLADLIADLRDPRNKYLPTPNTCVYNILIKHYVKSGDSETAFRVLDEMRVYACGDVSPDLVTYSTLIGGLSRAGKMQEAFQLFEEMIEKDHIVPDQLTYNVIIGGFCRLGQVEKARTLFGFMRKNDCEPNAFNYATLMNGHCRKGEVDNAKQVFEEMRTAGVEPDAVSYTALIGCLCRHGSVDEGIDLVMEMREKGCKADVVTYNLLIEGLCKDGRMVEAMDLLGRVPLEGVQLNVASYRIVMNSLCSRGEMEKAVGLLGLMLGRGFRPHYAASNTLLIGLCDVGRVADATVALYGLAKVGFMPEASCWEKLIEAVCRDRKLRRSVELLDVLITEG >cds.KYUSt_chr3.46743 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293776131:293776448:1 gene:KYUSg_chr3.46743 transcript:KYUSt_chr3.46743 gene_biotype:protein_coding transcript_biotype:protein_coding MAFARILAVFLCVILASLAFVSQDVLAARGHAPKVVPIKRGWENTGQAFGTRKPDPKGGYGGGGYGGGGYGGAQKTQHP >cds.KYUSt_chr1.18484 pep primary_assembly:MPB_Lper_Kyuss_1697:1:108269897:108277078:-1 gene:KYUSg_chr1.18484 transcript:KYUSt_chr1.18484 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRATTSTPFHFLRCHFHPLPSPKTLATALAPPPPPPPLLRPRPRLYSSASPRRRSTAATAKSVDKRPPASPRRAEAVPPLPAVAAGGGPMSSSGGAGGKRSVADVLMGNARDAARKAKKGGPGAPSPKKTKAATQPDDATPEPPSPAKSPAKANDAPGAKKRSPSPTRSKKPAAPLKSEADEKPPSPKRSKKPAAPPKSEAEEKPPSPKRSKAPAAKSDPKPSAQAPVPQSDGKRRSPSPTKASQSEDKKRPSSPPKKPKTSTATTKPEEANTTLELKKKGGDFDPAAAAYWKPGEPVPFLFLARALDLISNESGRILITEILSNVFRTIIATTPQDLLATVYLSVSRIAPPHEGIELGIGDTSIIRALSEAYGRKEEHVKKNLKELGDLGLVAKASRSSQKMMYKPKPLTIPRVLDTFRTIAKESGKDSQDKKRNHIKGLLVAATDCEPQYIIRLLQSKMRIGLAEKTVQMALAQAAVYSEKGSPPKEVQSPFEEAAKIIKHAYSVLPIYDKIVPTLLEAGVWKLPETCNFSIGVPVGPMLAKATKSVSEIIDKFQGRDYTCEYKYDGERAQIHCMEDGTVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKKRILPFQILSTRARKGVTINDIKVTVCTFGFDILYINGKPLLQEQLKVRREHLYNSFEEVPGVFQLATAITSNDLEEIQKFLDLSVNSSCEGLIIKTLDTDATYEPAKRSNNWLKLKKDYMDSVGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDDQNEEYQTICNIGTGFSEQQLEQCSTSLRGKVINNPKAYYRFADTTNPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKDIAKNGAFGCLHSIPAPLSQWEEIHISFRTGHGP >cds.KYUSt_chr6.23422 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147936207:147936578:-1 gene:KYUSg_chr6.23422 transcript:KYUSt_chr6.23422 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGAVEQRRPATLDDPSSMADGSGRRRWSGSDMGHDGLGRAAAMAALCCSVVNDDGFAENSGLVPRHEDAKGGCHGHGGGGLILRRRWLAGWSRRRVREAQMPVKTLNCSMLNLSPLTKQK >cds.KYUSt_chr7.22092 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136798142:136798615:-1 gene:KYUSg_chr7.22092 transcript:KYUSt_chr7.22092 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEDGYPYSWSLSPTLEIRRPQRSGRPYPGSRPSMEKILHCEEATLLFDRRRRRSSRDDLHLLFPHPEHAAAPRWRVVRPQRCRVQLPAAKRIRRASALLWPRPAPPVAAAIRPPQKRKVEVEARSTPPPLHLMGRAPSSEAAGRPCHDRCRVQP >cds.KYUSt_chr1.10163 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62319275:62319820:-1 gene:KYUSg_chr1.10163 transcript:KYUSt_chr1.10163 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKAARAASAACMPRLTRGSCRASTAQPAASVSLLERIRDVVLRLIMLTAVSKSSVQRSRSSSSRTSSPRADGAAVAVTCRRDDSIRNEAVEECIEFLKRSSAEGDAMKLSNATAADAVATANCVAPTRLTSVVVAVAGSTEEHAAVAAGVAETAVTGSAEHAAVVAGVADTASLASEAI >cds.KYUSt_chr3.22001 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135533527:135535459:-1 gene:KYUSg_chr3.22001 transcript:KYUSt_chr3.22001 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLYTDIGKKTRDLLYRDYCTHQKFTLTTCTPEGVAITAAGTRLNESIFGELQTQLKTKNLTVDIKTNSESDLLTTVTVDGLGTPGLKSILSLVVPDQRSGKLDFLYLHELAGLNASVGLNPNPMVNLSGVFGSKELSVGVDVSFDTATSNFTKYNAALSLTNQDLIASLHLNNHGDTLTASYYHLVKLHSATAVGAELSHSFSRNESTLIFGSQHSLDPHTTVKARFNNYGMASALVQHEWRPKSLITISGEVDTKAIEKSTKVGLSLVLKP >cds.KYUSt_chr2.37745 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233580176:233586836:-1 gene:KYUSg_chr2.37745 transcript:KYUSt_chr2.37745 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWGHRPDVLHAHALEGEPRPAAYQGRRLGRVLDFPSAQLQHHLQACIAPRLRRRKNPSSSPSNTASGRSGRGIPRSAAPQIVVDAPLTAPAGQQATQEKRPPRSGAAGPAYARCELRLPPPSRTYQPPSAQTKREMHPTTFGSGARRHRGSGGVGRPGRFERQRAGSRPCVAWGATQDEVGVGETCALGRNCDAGLHCETCAADGGVRPRCTRVTPVDPQSKAADLPFNRYSWLTTHNSFARLGARSQTGTAIATAWNQQDTVTQQLNNGVRGLMLDMYDFRNDIWLCHSYGGACQNFTAFTPAVNVLREIEVFLARNPSEVITIFIEDYVESPKGLTRVFNASGLTRYLFPAWRMPKKGGDWPLLSDMVRDNHRLLAFTSKAAKEAAEGFPHEWRYVVENQYGTKGMVNGACPNRAESATMNDLSRSLVLVNYFRDLPNFPEACKDNSAQLLGMLDTCHSASGNRWPNFIAVDFYKRSDRGGAAEATDKANGGLVCGCGSIAACNKSMVVFHAEQWYVLTAQPRGHAERHLQRDLRRCCAAVARNVAVAAADAAVHCHCSTIVLVEVQWNVESFV >cds.KYUSt_chr2.46226 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288658988:288663142:1 gene:KYUSg_chr2.46226 transcript:KYUSt_chr2.46226 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSFKRMDSVAESMPDALRQSRYQMKRCFQRYISKGRRLLKNQQLMEELEKSLDDKAEKEKLAEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHADDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGMQFVSKFMSSKLSGKPESMKPLLDYLLALNYRGEKLMVNDTIDTVEKLQTALLLAEVFVSGLPRYTPYLKFEQRFQEWGLEKGWGDTAERCKETLNFLSEVLQAPDPIKMEKFFSRVPSIFNIVVFSIHGYFGQEKVLGLPDTGGQVVYILDQVRSMEEELLQRIKQQGLHITPKILVLTRLIPDSKGTKCNVELEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDASAKILDIMEGKPDLIIGNYTDGNLVASLMSSKLGVTQGTIAHALEKTKYQDSDVKWRELDQKYHFSCQFTADMIAMNTTDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRFATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTALHPQIEELLYSKEDTDEHIGYLADRNKPVIFSMARLDKVKNITGLVELYGQDKKLRDLVNLVVVAGLLNAAQSKDREEIEEINKMHSLIDKYQLKGQIRWIKAQTDRVRNGELYRYIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPMNGREAGTKIANFFQTCKEDPSYWNKVSTAGLQRIYECYTWKIYATKVLNMGSMYGFWRTLNKQERVAKQCYLQMFYNLQFRNLVKTVPRVGEQPPRIAPSTSTESRRAGSKG >cds.KYUSt_chr5.37752 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238441120:238444801:-1 gene:KYUSg_chr5.37752 transcript:KYUSt_chr5.37752 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAKIAPSMLSSDFANLASEAERMVRLGADWLHMDVMDGHFVPNLTLGAPVIQSLRKHTKAYLDCHLMVTNPSDYVEAFGKAGASGFTFHIEVARDNWKELIQSIKAKGMTPGVSLRPGTPVEDVFPLVEAEHPVELVLVMTVEPGFGGQKFMPEMMDKVRTLRKKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSIFGAADPGEVISVLRKSVEASRNQS >cds.KYUSt_chr1.27868 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168046813:168055088:-1 gene:KYUSg_chr1.27868 transcript:KYUSt_chr1.27868 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGRKVRDVGGGSIPFLFVVGAVTPLLVATIAGKLLMTLLRIVWLSVTSWFIVRSKGESREKTYDLGLSDGIVPGRPIGHHRTLWCGITNGYLLISCGRALMDVICNVPDPDCRSTEDDSKLFQNMKIQVRLPDDQVELGWLNFYDLQHNLAVINIPRFHTLRVARLDNQRQFECHSKVVAVGRSFNSGKLMATAGMLTDNPSRDYREELAISTCEITMTGVGGPLINFDGDFIGMNFYAEKETPFLARNIILELLKQFSERIPSWTIATDDHSLNRWPVPKPFWCYPTWHLSEEEIDVDEFVADMNVPF >cds.KYUSt_chr4.6777 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39922586:39924598:1 gene:KYUSg_chr4.6777 transcript:KYUSt_chr4.6777 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAGERTYNVSCPFLEEDMRYMSLPEGFRLDLPPRYDDSTNPWSSYSYTPWPSSAPGETTESWRIGFPWPSRDRPGPGRWTYQPHPSRPGATSSYGSSPVSPPHTSDPRHKHDRSSYGPFGTVAGIRFQTPPMRYGSIVGFFVRSMESINAIGCYVNSEGEPVERQTLIRKVGHWGGSSGHTQDVDVLPRRLTSVVVRSGFAIFALAFKYIDCNGQQRSTGPWGISDEYGDDSVHMVHIILR >cds.KYUSt_chr7.38352 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239033573:239037587:1 gene:KYUSg_chr7.38352 transcript:KYUSt_chr7.38352 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFTSDLVCRALSGKSSKEAGWNRLFKELIQTNTAMFASFNLENFFPRLAKLLDLLMARLFHVPNKGKKVNRRWDNVLEMIIQNHEKRNRDDGLLSHEQAEGEEESDFIDALLSAQHEYDAVVTRNHMKATLMDMIGAGTDTSFFVLDTAMAELMRRPELMAKLQAEVRNETPDGQQMVEEEDLAGMAYLKAVVKETLRLHPPLPLLIPHFSTADCADVDGYHVLSGTRIFINVWAIGRDPDAWQKPEEFAPERFMEGGSAAAVDFRGSNFEFMPFGAGRIGGSKSAVEEHVVCVADAVIVVLGRGLATVAATVAALAGVTLAVARDGQLGIGIVVEVDHEVEEAHTLLLPPALLLRLGLGPD >cds.KYUSt_chr7.11318 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69558886:69562069:1 gene:KYUSg_chr7.11318 transcript:KYUSt_chr7.11318 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYPFPLLLLLFVLLLAGESTSQTTPGDQATLLAIKNDWGNPPQLASWDPAVHTDHCSNWTGVTCDGAGVVTEISLASLNITGGVPAHVCDLKSLTRLDLSINNLTGGFPGTALYACSQLRFLDLSNNHFDGVLPDDINSLSSHMEHLNLSANSFSGAVPAAVSGLSVLKSLILDTNQFTGTYPAAEISKLGGLERLTLAANPFAPAPAPAEFAKLTNLTYLWMGSMNMTGEIPEAYSSLTELTMFALMTNRLTGKIPGWVLQHPKLEKVYLFTNGLTGEIPRKITAVNLVELDLSTNQLTGEIPQDIGNLKNLRLLFLYTNQLTGTIPASIGMLPKLRDIRIFENKLSGELPQELGKYSPLGNLEVCNNNLSGPLPESLCANGSLYDIVAFNNSFSGELPKNLGDCVLLNNIMLYNNRFSGKFPAKIWSFPTLTTVMIQNNSFTGALPATISVNITRIEMGNNQFSGSFPTSATGLHVFKAENNLLSGELPDNMSKFPSLIDLSMSGNQLTGSIPASVNLLQKLNSLNLSDNRLSGVIPPSSIGLLPSLTILDLSGNELTGGIPSDFSGVNFNALNMSSNQLTGEVPLSLQSAAYETSFLGNRGLCARKKSGVNLPTCSKGHDELSMGLIILFSMLAGIILVGCVGIACLLFRRRKEGQDVTDWKMTQFTHLVFSESDVLNNIREENVIGSGGSGKVYRIHLPASGGGGDEEHGGSRMVAVKKIWNTQKLDAKLDKEFESEVKVLGNIRHNNIVKLLCCISSTDVKLLVYEYMENGSLDRWLHHLEREGAPAPLDWPTRLAIAIDSAKGLSYMHHDCAQSIVHRDVKSSNILLDPEFHAKIADFGLARMLVKSGEPESVSAIGGTFGYMAPEYGYRPRVNEKVDVYSFGVVLLELTTGKVANDGGADFCLAEWAWRRYQKGPPFNDVIDEHIRDPANMSDILSVFTLGVICTGENPPARPSMKEVLQHLIRCDRMSAEAQACQLDYCDGGAPLLQAKKGSRRRDVSDSGRWDDDDEDSGNFVVHAV >cds.KYUSt_chr5.36348 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229720809:229721693:-1 gene:KYUSg_chr5.36348 transcript:KYUSt_chr5.36348 gene_biotype:protein_coding transcript_biotype:protein_coding METTTPVPFTFPLVWLNVATLVFFTVAFVLLLARVTRITKKHPVHRLPPSPRGLPVVGNLHQLGALPHRALHALAASHGPVMLLQLGRVPTLVVSSAAAAREVLLVHDHAFANRPSLAIPTRLLYGCTDIAFAPNGAYWRRARKLAVFHLLSPARVRAYRRVREEEVAELVRKVEEEQKLHGVVRLSELLSGFAKDVNGRIVLGVRASGGTGWRSKVDALMEEANALLGAFHVGDYFPWLSWVAAVDGTDAKVGRAFERIDQILEEVIVAAGSADEMRDGRADGAPTGRKTGFR >cds.KYUSt_chr4.9710 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58648613:58651368:1 gene:KYUSg_chr4.9710 transcript:KYUSt_chr4.9710 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGSAAACCAVLLAAVLLFSAPPTTEAYDSLDPNGNITIKWDIISWTPDGYVATVTMFNYQQFRHISAPGWSLGWAWAKKEVIWSMVGAQATEQGDCSKFKSSPPHCCKKEPNIVDLLPGTPFNQQIANCCKAGVIKTFNQDPANAASSFQISVGLAGTTNKTVKVPRNFTLKAPGPGYTCGRAIVGKPTRFYSSDGRRATQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCSCGCQNNVTHPGSCVNDNSPYLQSAINGPGKITGQPLVQCTSHMCPIRVHWHVKLNYKDYWRVKVTITNFNYRMNYSDWNLVAQHPNFNNITKLFSFNYKPLTPYGGRINDTAMFWGMKFYNDFLNQAGPLGNAQSEVLMQKDSETFTLDKGWAFPRRVYFNGDNCVMPSPDSYPWLPNASPLTKQPLTLPVLVFSIVLATLLAYV >cds.KYUSt_chr3.44055 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278096094:278097220:1 gene:KYUSg_chr3.44055 transcript:KYUSt_chr3.44055 gene_biotype:protein_coding transcript_biotype:protein_coding MARQHAASVLAAALVVGILASIPAEVRSIGVCYGVVGDPMPSASDVVKLYVSRGITGMRIYKANAKTLAALVGTGIDLIVDVPNDQLAEMASSPDAASKWVQDNVVAYPGVSIRYIAVGNEVEGNDPRAQNILPAMQNMNAALSMAGFEQIKVSTAVQSGVTTGYPPSHGIFSTKSAHMPPIALYLAKTGAPLLANVYPYFAYTGNPTDITIDYALFTSSGTVVQDDNGLAYQNLFDALVDTFYAALQSAGAADVGIVVSESGWPSAGATAATVSNAQAYNQALIDHVSHGTPKVPQPLETYIFAMFNENEKGGAETEKHFGLFNPDMSSAYNINF >cds.KYUSt_chr6.28212 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178771125:178773518:-1 gene:KYUSg_chr6.28212 transcript:KYUSt_chr6.28212 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASAQAPPAAPTAARRRRHAAAAAAQPSPEAEAGLCFRCLEPGHAVRDCINELRCRRCLLSGHGSRNCTPEQRDYDRARARLPPLQRAPALQARAAPPPPPPPPPPPLQHQERAMVVSSAPAPIVGALEPDRVIVPCTAEMEEAEAVLRRAMVATITGTRPAVTADAVSHLLCATFDLHPDEFSVHLHHPEDFLIIFASQHNRNRVSGDHFLSTTGFSLNLRPWCKLAHAGCDRLDQRVEVRLRGIPAQAWQLSTAEALLRGSCWVESLHAETRTRADMATFRLTARARDPDAIRRHAVLEVVEIIPAQSMSQAPTLRTLTYPISIDVGPAAAIAPATQRADDPGRGTGGAGDGRTDHDDGRPQARRRGRGRKRRRPNNDSPPPPGRADGLAMDSLPWAIMQRGRRADGVACDAMRRPPRAPAAAPGVRTMQPWPGQGGPRRQSRRSFWRRKDSAPDPTTAHSPPPGSDQVQNGTREGADGTSPVAAPPTTTAPDPTTASTPSSSTAYGANPAAPTPGPEAHLVCRNQDTAPTAPSFEGSEVPIPSPVTEEDRLSGNSCASPPSASGAGVDASVDRSTDLGREETPTSPRAELASPDTAQDDLVSQVEETQAPDAQAQGPPAQQDATGPRETTDAATTTPSKFRSPPVVMRRLRQRARTQQAQPQQPPSFTLGEFLTAATSSLGAALPTPGKRPRRPLVFSPRRGRSAARAASTMGTTAPPTAERRAHVQVLRTLGLLDLHEKITPETMKAYDKVFAMPIPIDILRAIAALVDRELPQAPDALPCTVLPGQVLQI >cds.KYUSt_chr4.49899 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309151615:309152790:-1 gene:KYUSg_chr4.49899 transcript:KYUSt_chr4.49899 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHRIDRPEYFVPVPVPGHAYVFVNFVCASHLRCGVQTHSVHGLCNASSKQGSKVARRLVRRGGTTANNSHVVKTVARTGAPPAPAFPPNRPLHGTARPPHATSSLPSPRTSPPRYAAVLYPLMAERPPLPFSSPEQASSATPFGSPLEPVAAPRQLKPPPGETYVVKVQKDQIYRVPPPENAYLAERYRTERAGGGKRAGDPACSPCVQLTVGLAVTAALLLGAGIALFVVVLRPYPPSFSVDRLSVHNASAQHHVDYDFFLTAINPNKVTALWYKDGGKATLLHQGTALAKGGVGKPEEGGEDATDFNVLLHAVGHPPPKGVEKALSSGSKKGSLVALELAVDVPVQVHVGALGFQTTSLAVVCDIRTAGLRKDVHISSQNCRSSFDK >cds.KYUSt_chr3.9889 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58121688:58122092:-1 gene:KYUSg_chr3.9889 transcript:KYUSt_chr3.9889 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAHVRRLLLHPGAGAPARSFYAQPYQAKVGVVEFLNGIGKGVETHAAKLEEAVGGDLQRLLETRTLRLKKLGVPVKHVRALPLPDNPSDLLLVVVSYKALCACWL >cds.KYUSt_chr2.1663 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9854818:9856913:-1 gene:KYUSg_chr2.1663 transcript:KYUSt_chr2.1663 gene_biotype:protein_coding transcript_biotype:protein_coding MNLALLLKWIWRLYQDEDTIWTRIIRAKYVDASDLFSGFGHGGSPFWKSLHKIKHLFKVGAKHEVRNGIRTNFWKDWWIGRGPIMDSFPMLFAICDNQDISVAEALQHHSLQVRFRRSLDQKGARYWGELQGMLAHVSLGTGQDKVSWHLDQHGSFTVKSMYAQLSQGTTVAHSKDVWEARLPLKIKIFSWQLAIDKLPSGQQILTRHGPSNGLCALCGAPEDASHIFFACSLAMFSWSILRQLLGCNWCPANFAQFHAILSGFSDTGNTGNMETTITTSESVSHGGGDSGGNVFFLPFPGAQGHTNPMLQFGQRLAYHGLRPTLVVTRYVLSSTDPPGDPFRVAAISDGFDAGGMASCPDYAEYFSRMEAGRGVRHAAGATVVGGSLWAARARARVRPAPRVGTARGARRRSGHRGVLLPAVCRGHHLRPRTAACPPAPAPRSALPSSPARARPSPAALCAQPTAPRR >cds.KYUSt_chr6.9650 pep primary_assembly:MPB_Lper_Kyuss_1697:6:59514823:59516208:1 gene:KYUSg_chr6.9650 transcript:KYUSt_chr6.9650 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLCELHAASGRVLVLKDYLFTMNLNVITPMVMGKKYLHKEATEDGTMLEEFKWMVGEWFMLNGVLNIGDSISWLDWMDLQGYIKRMKKLSKMFDRFLEHVVEEHDRRRYLEGESFVAKDMVDVLLEIASDPNLEVQIDHDGIKAFIQDLIAGGTESPAMTVEWAMSEILKKPEVFAKAMEELDHVVGRNRWVSEEDIMSLPYVDAIVKETMRLHPVAPMLAHRLSRKDVSVDGYDIPVGTRVLVCVWSIARDPVLWDSPEEFIPERFLGSKIDVKGQDFELLPFGSGRRMCPGYNLGLKVIQVSLANLLHGFVWRLPDGMAMEELSMEEIFGMSMPRKFPVEAVVDPKLAAHLYTED >cds.KYUSt_chr5.23552 pep primary_assembly:MPB_Lper_Kyuss_1697:5:153315261:153319164:1 gene:KYUSg_chr5.23552 transcript:KYUSt_chr5.23552 gene_biotype:protein_coding transcript_biotype:protein_coding METRTPGTTTLVDGEDRSGERRRCPARGEDHGGDEPSQKAAVGGELELNSEWNSKQAAEKTSPASIGGRDHWWLVGGTKMAGLAMYIAAGEFVSRIPNEWARCLLPLLNEGVIKFDGLKPALEVLSIIDTVLPSSSGEPATKLASEKLKLSSGGNEDEQGEGTVSDTYLDDIIGTSYSSTLKVVQQSYVFSVSDISWLVLTSVMLHVTMKERDPPDALQCDLCPY >cds.KYUSt_chr2.912 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5384043:5386441:-1 gene:KYUSg_chr2.912 transcript:KYUSt_chr2.912 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGPAPADQHPQQPKRPHLSIDIPTASSTAVPRHPVITPTAASAEHSAATAEADTTPATPGSNSSSRTPGSCKPPPAPQRTPSFKLRQTVRSLLPGGGSFKSSVRGYEASFSRLFSARIARTSSLPVNDDDNSVVSGSNFLSLHGADKTPNDPAVDKAGMHRSQSLPMNMKKFNSVKSIKRMNSLGGVYRVVPSTPRNPATPSNVVPDIEPTESGAGEEDGEDIAEEEAVCRICMVELSEGSGTMKLECACKGELALAHKDCALKWFSIKGTRTCEVCKVEVQNLPVTLLRVQSVRGEASRVGNGGANGSRHARLWHGTPILVIVSILAYFCFLEQLLVGHNGFAALAISLPFSCILGLFSSLTTSSMVARRYVWIYGAIQFLFVVFFTHLFYRYLHLQAVISIILATFAGFGVGMIGNSIIVEILRWRAIAPAQQRRARRPRVAQQQQQTPASSQPTGQPSAAQEGQRNAASSDVENPVVPQE >cds.KYUSt_chr1.29430 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178065121:178078104:1 gene:KYUSg_chr1.29430 transcript:KYUSt_chr1.29430 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPCCSLCTTCYDEDEHAPLLLHCGHGFCRACLARVLATSPGAAAIACPRCRHHTAVGNSVSALRKNYPILSLLSSSPSSPSFRHSDSASSSSASDDDDFFARPKRRPAAATAPITPPACTSVDLASHPDLKLARRIGSGPPGPAGQEVFAATLSRGGGRAGGGAKRCKHQVAVKRVPLSAADDLEGVKDDVERLRRASTWCRNVCTFHGAMRVGAHLCFVMDRYPGSVQEEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDANGQAVVSDFGLSAILKNLTSRKVPDDSNMAGMDGTVLSPNYTAPEAWGTLKKSLISFGDSADDIYKSDAWSFGCALVEMCTGAVPWAGLSAEDVYKSVVKEKKPPPQYSRVVGVGLPGELWKMIGDCFQSRPSKRPSFQDMLKTFLRHLLDIPRSPPASPENDVRLPNGVEPPQTSILDLAHDNPNALHHLVCEGDAAGVRDLLAEAASKGNASLIRSLLETQNTDGHTALHLACRRGSAELVEAIVAYQENVDILDKDEGPPIVFALAAGSPQCVRALIRRSSNVNSTLREGLGPSLAHICAHHGQPECMEELLMAGADPNAVDGDSESILHIAVAKRYTDCAIVILENGGCSSMSIPNSQNKTPLHLCIETWNATLVKRWVEVASEEEILEAIDVPSPVGTALCMAAALKKEHEKEGRELVRILLAAGADPTAQDAPHCRTALHTAAMINDAELVKIILEAGVDVNIRNAQNTTPLHVALNRGANSCVGLLLAAGANCNLQDDDGDNSFHIAADASKMIRENLTWVVQMLQHPSPAVDVRNHRGWTLRDFLERLPREWISEELMETLEDKGVHLSPTIYEVADWVKFRRTVTSPDFGWQGAGPRSIGFVQSIVDNDHLIVSFCTGEARVLTSEVIKVIPLNRGQHVQLKPDILEPRFALRGQSRDTIGTVLCVDDDGILRVGFPGASRGWRADPAEIERVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGVVYSIRPDSSLLLGLCYLPNPWLCEPEEVEHVDAFKIGHQVCVKRSVAEPRYGWGGETHHSVGKIIDIESDGLLIIDIPNRSGPWQADPSDMEKIDDFKIGDWVRVKQTVPSPKYGWEDVTRNSIGIVHSLEEDGDMGVAFCFRSKLFLCSVADVEKAQQFEVGEKVHVLPSISQPRLGWSDETAATIGAISRIDMDGTLNVKVSGRKSLWKVAPGDAERLSAFEVGDWVRVKPSIGSRPTYDWNSAGKISIAVVHSIQDSGYLELAGCMRKGKWLTHNTEIEKVQPFKIGLHVRFRAGISEPRWGWRDAKPDSRGIIAGVHADGELRVALFGVPGLWRGDPADLVIEQVFEVGEWVRLKSDADDWNSLKPGSIGVVHGIGYKDDVWDGTIHVAFCGEQERWIGFSRQLEGVSRFVVGQRVRIKCCIRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCIGDWVKVKDSVATPTYQWGDVNHSSIGVVHRAGDGELWVSFCFCERLWLCKIWEVEKVRPFRQGDRVRIRPGLVTPRWGWGMETYASKGEVTGVDANGKLRIKFRWRDRPWVGDPADIVLDDTPSPTETSNGFCS >cds.KYUSt_chr2.10658 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67791665:67792234:1 gene:KYUSg_chr2.10658 transcript:KYUSt_chr2.10658 gene_biotype:protein_coding transcript_biotype:protein_coding MSDELLVFQASILLAGLDGEGEDGCGKPLCTPATKSRLVEGNGRLGIKLLSSSLPHHGGEIEEDLEAEASLCWGLPLPERCYSSEINQAAGELAVAIQGREDGNSTTSDAEAMARLRRGCSMLLSCEVIRSPQDGGGSRLRVFVGRGLPSSWLSFLGGDALRTPATSGRDTLVLDCFDLVLSRVFFCKV >cds.KYUSt_chr4.47507 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294099251:294102927:1 gene:KYUSg_chr4.47507 transcript:KYUSt_chr4.47507 gene_biotype:protein_coding transcript_biotype:protein_coding MALATAASAAAAAAAISVHPFLSRPACVLRCGRRIPPLLLLRASSTSSSDFNITFAEPAPSKASPSGQSAQPLVPWIARGADGKPALHTSPPPDVLQAVAAAEAEAKRAKKSQKGAAGDAGLKVKERKAAPTAPPKFSKAARRFYNENIREHEPQRLAKVLAAAGVASRRTSEELIFQGKVTVNGSVCTSPQTKVDIAKDSIYVNGNRIAKKLPPKLYFAVNKPKGYICSSGEEKSVISLLDDYLKGWNKLQPGTPKPRLFTVGRLDVATTGLIVVTNDGEFAQKVAHPSSNITKEYVVTIDGAVHKKHLIAISEGTRIDGIKVVPDSVEPLDAQPDTKRTRLKIVVHEGRNHEVRELVQNAGLKVYALKRVRIGRFRLPSDLGIGKMVELKEADIKALEGDQRASPKARDTASVEVK >cds.KYUSt_chr6.20678 pep primary_assembly:MPB_Lper_Kyuss_1697:6:130396275:130397944:-1 gene:KYUSg_chr6.20678 transcript:KYUSt_chr6.20678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate-inducible and autorepressible transcriptional repressor, Nitrogen respons [Source: Projected from Oryza sativa (Os02g0325600)] MEMQLPSSVHADAVRSRFRDYLLALEEERRKIHVFQRELPLCLDLVTQTIEGMKSQMDCVVASEETVTDHGPVLEEFIPLKPSLSLCSSDEEESTHAARAPLVENVAKKDEADTRPPTPETKRPMPDWLQSVQLWSQQPQQSSLNKEMPCKPVALNATKTGGAFHPFEKSKRAEPEVPASSTTAPAISAVVGDSGDKPTSDDSDEKEEDRKDVKDMETEEKDKDKEGQSQHNRKPRRCWAPDLHRKFLHALQQLGGPHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTSRRPTSTAQSGGAAAAQPPQQFVVVGSCIWVPQQEYAAAAAAAAAAQPQMKPANVASGTPSQVYAPVATLPSGLQPHSEKQTDDRRSGSTDEDSSDSPAASSSSQTTSA >cds.KYUSt_chr1.26452 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159448168:159449019:1 gene:KYUSg_chr1.26452 transcript:KYUSt_chr1.26452 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAAARRDAEAELNLPPGFRFHPTDEELVAHYLCARAAGRAAPVSIIAELDLYRFSPWELPERALFGAREWYFFTPRDRKYPNGSRPNRAAGAGYWKATGADKPVVHAGRTVGIKKALVFYHGKPPRGVKTDWIMHEYRLADASSSAAAKKKKSNDGTLRLDDWVLCRLYNKKNEWEKMQQDKAAMAAEASQSASCGETRTPESEIDNDPFPELEDSLPALQGIMGTTDTILPKEEVQELDNDWLMGINLDDLQLPWDDSYASFLSPVAAMKMEQDLTPFF >cds.KYUSt_chr4.23183 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145972257:145975200:1 gene:KYUSg_chr4.23183 transcript:KYUSt_chr4.23183 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTEALPDDALADILGRLEVCDLAASRCVRKTWRAVVDARGLLLPHVLPHSLHGIFINYIGHKRPRCFSRPTAKKPVIDGNLDFLPGYDEDSNPIVDHCNGLLLFKYWMNFCVVNPTTRRWEPIRYMDDEGRNAYLVFDPASSPHYEVLLIPDVPKKVILPSHDEQEDPHDSLEWPPSLWMLDVFSSSAKKWQKRSFVREGTAAGTVTSVRVDPIVPISMGFDGPRWRCGVYWGRSLYVHCRGAYVARFSLTGGKYQVIKMPEYIAESEPAQYLGKSENGVYLATIHDVYRIRIYNLVKSNTQIDWALKHYVDVEACASVWLRNLSRFKKTWTLDGDDDKYERENGDNLEWNFDEDNDGDDGPDDDGGEEVQDKKENGKVGGEHNGNQVVENLEWDSDDDNVLTIQDEYRYFFSQRISFLGFHPYKEVIFLELSSFVGVAYHLKNSKIQYLGNMRPDYYHRSGNGFYELFPYTPCMIGDLRKDASWSERRGMASRIVLFVAVAASTVAVALGQPPVGPDYSYVPGTKLGPENWAKLSPKYGACNGGAPVRKQSPIDIVTKNAVSRPDLESLNRTYVASDATLINNGKEISASPTFTSRRCCRC >cds.KYUSt_chr2.39420 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244429260:244429944:1 gene:KYUSg_chr2.39420 transcript:KYUSt_chr2.39420 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCCLLLVSFAAAAYASSERAVEVVSRGVGGGRLSSDPEQQCVYTVYVRTGSIWKGGTDSAIGVTLLGSDGTGIRIADLEKWGGMMGDGYDYFERGNLDIFGGRGPCMATAPCFANVTSDGAGAHHGWYCNYVEVTATGPHLGCAQQLFTVEQWLATDASPYRLYATVDTCSGSGDSGVVSAAAATGDKARSTAL >cds.KYUSt_chr1.25969 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156268596:156271354:-1 gene:KYUSg_chr1.25969 transcript:KYUSt_chr1.25969 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCAAHAVRALAAFQAAPSSRRRVSHASVVPCRPRRTVAPVRAADSSPPAAPASSSSSSSGAASDKPIVPDDDGFTLAKYAELKPVPCITYADAFALREQCATPILKQVRSDVTRYRYGDEQHLDEALKRIFQYGLDVEVSQRSVSSVPLDD >cds.KYUSt_chr3.48108 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301173625:301178310:-1 gene:KYUSg_chr3.48108 transcript:KYUSt_chr3.48108 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVTGSVTLPIGKAFRLRTMSWKGLGEPVFGDLRLDDQDDSPWVLDAWSVVFAAPSDALLRLVEPAWDVRDNCIDGHHAGEDLLVAPVADPGEGVEGPDATTEAVVLGLVRDLVVVLVLVAAAILLGATGLLDLLEGEVVSRTSNRLALRVEALGWGRSSCWLKRALIIFFFGVKAIIFGMVAENKLPVFGKSIITGEDDDSMVMCELPRLSVAMGSLSVLSLLLTVLAGHFAVLYPYTGKKKTAHQPEIPRRALFRKTSLTIFFVMAELVSASALAMLFWATITEHANLRYMPTMLPDGTLSCPSTAKTDGMFGGGALLALDATLMWFVCLLMSLEARANYLDLHGGVQDDIDDGSKKKLDLHGDHDINNNGNNKKLDLHQDDDIEDSN >cds.KYUSt_chr5.9248 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58675426:58678666:-1 gene:KYUSg_chr5.9248 transcript:KYUSt_chr5.9248 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDSLTPAPATEATLAPAPAAEASSHPPPPHEEDWMKEEREKGRALKLYLSQLDPDECLRSVQSTLAEMAKDSQMDTKSAKQAVEKRWMDTVTTTDPDILKARYLFERLVEKRETIENGMKWMRKEVLQAFRSYISLAGYNKNFEYDFVRLDFQCLIYGTLSKSYHHYNFTMRTRLSRSKPWTYQQFFAEVKPTENGTEYFCCPLQASEDGHCFGCRKVGISLRHPESGGYEKGHPGSGFTFDTDSEGND >cds.KYUSt_chr7.12092 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74342189:74346561:-1 gene:KYUSg_chr7.12092 transcript:KYUSt_chr7.12092 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCAGGDPLAVAVAVRGDGRASRRAARWAAANLATVPGRVALVHVIPPVYFVPSPTGERVPVERMEAGVVEMYAQDRRARAQDVFLPFRRLCGRRSVETVVLEGDSVAEALARYAAESGVRNLVLGSASLPWFRRILRLQDMPTAVLKAMPCSCNVFLVSRRRLTIKFANQAQTGKAYTCVKIKSLTHRSFSLMKRNWSQEKQSLHDLPDDEAPKYSGVNSSDSGSQLSSSLSTSTNAVKSSESHGRCLLGSLGRKKPLRRRDKEFDSISQLKEFLYVSLKSVEESQPIDDVAELRKELQGTATIYHEASEDLVHAKKKIQVLPNEWNEDLKKVQDALQREDLKQTDNRKHSKAIREAEMVKEAFVNEAYSKHEAEIVANIMTTEKAKTVDALLSTGKSCRRYSRHEIELATDYFSDAKKIGEGGYGVVYRCTLDHTEVAVKVIQQDSRDKINEFFKEVEILSQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDQLGNKKGCQPLHWFLRFQIIFEVARGLAFLHGTKPEPIVHRDLKPGNILLDKNYVSKIGDVGLSKLISDLVPDGLTEYRDTVIAGTLYYMDPEYQLTGTVRPKSDLFALGIIILQLLTGKLPHGLILSAEEAIQKGTFSDILDKSQNDWPIAEAEMLAKLGLHCTALRCRDRPNLESEVLPELENILSRVTASPAKPRSPDVVVPSHFICPILQEVMDDPYVAADGHTYEHRAIKAWLKKHKTSPITKRRLPNLSIIRSNSLQEAIQQWKQTSR >cds.KYUSt_chr2.39122 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242586020:242588466:1 gene:KYUSg_chr2.39122 transcript:KYUSt_chr2.39122 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAGGGVGARRPRFLCLHGFRTSGEIMRKQVVGKWPDEVTARLDLVFPDAPFPAEGKSDVDGIFDPPYYEWFQFDKGFTEYRNLDKCFDYIEELMIKEGPFDGLMGFSQGSVLSAALVGLQQQGLALTRVPKIKFLMIISGARFRSQTVSEQPYANKIKIPSLHFLGDNDFLKNDGEKLIQSFVDPFIIRHPKGHTVPRLVDEKSLEVMSCFLDKMEKEISGHSSTKAEAPADVDEKEICI >cds.KYUSt_contig_686-1.857 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5243856:5244407:-1 gene:KYUSg_contig_686-1.857 transcript:KYUSt_contig_686-1.857 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPALPPSAYTFFPYSPAPPPGPFIYAPYTFYSSSSLPALPSNHPGLPPRPPATIHKHATFPYRTSALPPRPAAINHIAPITMVTDQVPRKTGEYKRKKPRTPRSTEEPPRAAQRRKPLQRAAPLPAAMAVTEALDDLERQVTRGFVEDLLHALAPPPSSLPLPTFSLVRAAAAKAPAPCTV >cds.KYUSt_chr2.8247 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51845813:51848576:1 gene:KYUSg_chr2.8247 transcript:KYUSt_chr2.8247 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYIKINTDGAFRESSTSGGWGFILRNVQGTPVAAACGHIHRIGSTLQAEAGHENLIANGVQPGAIRDGYFYLEECNYINGIKSNISRSLGCPLVYHIDPTSMILDMGGNKKLEITAKVIYKLFTLTRGNDSPPRPSEEYVIPLRDLKDELGIGIILAKKEATMVVDFDLVKLKDMQLCQLVVDELIVAIQLWQELQSLLSRAVQLSNQLPTTTLLLVLPPEHAFNADAYKIVLDVEVANLDHLNTNLKNIQDCFVLQDGRCQPYEEMAELFIQNEGSAAAGNEERRDDEDVVAPEEPVKDAPRSTILEDFFV >cds.KYUSt_chr7.7895 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47730976:47740989:-1 gene:KYUSg_chr7.7895 transcript:KYUSt_chr7.7895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transporter , Na+/K+ sympor [Source: Projected from Oryza sativa (Os06g0701700)] MKIELKREKVEVAKMEAHTAAMKATNEATQFSLAKMSQQSKILMADMDKMDPLARAWHEMYDERISLEVKRFYHDFFHIKMHNFSRISRCIVDSVLFLYRFVALHVHPLWIQLSYFIAIDILGSVLLMSLKPSNPEFSPPFIDMLYLSTSALTVSGLSTIKMEDLSSSQIVVLTLLMLVGGEIFVSLLGLMLRVNHQDNQDLPSVKISSVPVELEAIDGDDFPLDEAAHSNPPKSSEEFKRRRSVKRLGYVVFGYFSVIHVVGFLLVLLYITRVPTASAPLNKKGINIVLFSMSITVASFANAGLVPTNENMVIFSKNPGLLLLLSGQILAGNTLFPLFLRMLVWFLGRLTKVKDLRLMIKNPEEMHFGSLLPRLPTVFLSSTVIGLVAAAATLFCAVDWNSSVFDGLSSYQKTVNAFFMVVNARHSGENSIDCSLMSPAIVVLFIIMMYLPSTATFAPSNGDTKTTNENTKGRRWSFVQNFVFSPLGCNAIFVIVACITERRRLRNDPLNFSTLNMIFEVISAYANAGLSTGYSCSRLHQLHPEIICQDNPYSFSGWWSDGGKSLLVLVMLYGRLKAFSMGTVLLMSLKPSNTEFSPPYIDMLYVSTSALTVSGLSTVKMEDPSSSQIVVLKLLMLVGGEIFVSFLGLMLRVNHQDNQDLPTHAIPPKSSEEFKRRKSIKCLGYVVFGYFSVIHVVGFLLVLPYITRVPTASAPLNKKGINIVLFSMSATIAYFANAGLVPMNENMAIFLKNSGLLLLLSGQILAGNTLFPLFLRLLVCFLGNLTMVKNPEKIYFVVCITDQRRLRNDPLNFSTLNMIFEVIICSRLQQIHPESICQDRPYSFSGWWSGGGKYVLVFIMLCARPKVFTMATGSEMFVGLLGLVLEWSKQNKHDPEDSRVRSVINLDESQVEEAIPATPSTNSNGLEKSCLKYLGFVLLSYMVMILLVGSLLVYLYIANVSSARDVLSRKTINTVLFSISVTVSSFTNGGLIPTNESMAVFASNQGLLLLLTGQILAGNILLPVFLRLVIWALKGLGITRAGTAEFKLMMNNPRTIVFNHLLPNLQTVLFLGATVGALVTMAVTLFCSLNWDSAVFAGLTPNQKITNAFFMAVNAMQAGENSIDCSLIAPAALVLFITMMYIPASTTFFSMQHYDKRGGEEQKDGPAKRSLRLNNMLFSPLTCNAALIMLVCVTERRSLFRDPLNFSTFNMIFEVISAYGNVGLSTGYSCSRLPHLEKESICQDKPYSFSGWWSDQGKVVLVLVMFYGRLKGFHKRRS >cds.KYUSt_chr1.32245 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195833298:195835203:-1 gene:KYUSg_chr1.32245 transcript:KYUSt_chr1.32245 gene_biotype:protein_coding transcript_biotype:protein_coding MHARPRSARYKSYILCDSCRCRSSRSLFSRARRGRRSALVTRPPSPPPARLGLATVSVLEAWRYGCRVPCSSSSAAATARRPSALPVQADGLLLRAEAAPLLPMPPAPAANTQLPELPCASPHAPPAALARPCEEEGWQQVGTGRRSSRALMSPSPSGEALERSLAFKRWARGRCFRCLERGHHVGTCREPFRCIRCRRLGHRERNCRRRSPVGRSPTPRSCSPSDGSPRHAQARSWAEVVRHSPPCASVPPSSPPGVGGDASVNAVRDSDLQAQFASLRMELLQLVADRIEEVTQPLRDEAAAIKLWLERAVGSWERAEEAATCGVRRAPISASDARSRDAELLEFYGPFSPVCRPCDSSPLGFDVFRLPLEGCSGLAPFLPDAVVDKVASPAAPLVPFAEDPEMADSTKLCYFLANLASKKLALMSPLRESLEEIPAACVIVPETVPAEDIQVDPGDPAADKLNAFLSSVFRPVPPPILASPPSRRPRAPKEVATTPRRSGRIEKLKRLRNDATSQELLARVLGILKENAEFDENAIAAFIDKFKTPLSPRSITMLGSLVKNVENVKKPKSNKVGAKKKAVEIT >cds.KYUSt_chr3.2350 pep primary_assembly:MPB_Lper_Kyuss_1697:3:13390944:13396285:-1 gene:KYUSg_chr3.2350 transcript:KYUSt_chr3.2350 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSSLFKSAGVPVVDADIVARNVVQKGTGGWKKVVKAFGNDILLENGEIDRARLGQIVFSDPVKRKLLNSLLAPNISFGIFWEILKLWANGCTVMVVDIPLLFETKMDRWTNPVVVVWVDPKTQMERLMSRDGCSEEQAQNRINAQLALDWKKSEADIVINNSGSLDDTKRQFQEVLKQVSCPLTWKERLMSRDGLLSIVVCTTAGVLLAQKNLL >cds.KYUSt_chr6.17511 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110275585:110277172:1 gene:KYUSg_chr6.17511 transcript:KYUSt_chr6.17511 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHLLSSETAPPSILPLRPLTHRLRPRFRSPRPKAARPPHPPSPRPPPPALPYTLTPIPCHFFAQAMQRLVNGVKQFANKGAPRNRRCSGRGEGMGLSVMAAKNAAVWYCEAMGLQVEGLWRATDPLQRSCGELSIFVRVMSLLQMSSVMFHEDIDHGIVQRDDADADMLTGQMNHGGDEAHQPWD >cds.KYUSt_chr5.35786 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226005902:226006300:-1 gene:KYUSg_chr5.35786 transcript:KYUSt_chr5.35786 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSSAKGRLHGSAASSVSRSKTTGCPRCPTSASTSPAQCPFSSSCTASLSPATKTAPPEVPSPGVLCSAPPRLLSSAPLWDSVGGAEEEHSDGEGAGSLVGEDSAVFRLSDQRVLSWAYFSGSSTWCSMG >cds.KYUSt_scaffold_1854.534 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2845684:2848020:-1 gene:KYUSg_scaffold_1854.534 transcript:KYUSt_scaffold_1854.534 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLDEILPVIVSFLPAHDAVRTCVLAKRWRHVWTSAPAIRITAIKGFRSADQFNRFVDRLLLQRRQGLCPLESCEFHMVETDFEFDWSACVEHVYLHNLQSALDCHVQALRCHFMPTNPTDFAEDLQEPTAPFLSNHIQRLQLSFIRLSLDFSGCPKLVDLEMHGCVILADSILSNSVQHLSMCCCQLYTRHRTSISLPHLVRLDFTDCFGKVPLFESLPSLEKAIVRIGEFTGDECDGSDSVCCLQENACWECRFAFEFDAARRRSYLFQGLSRASHLELSASYDHASPNLEKLTLELFEEFDSSMVSEGSNHLLPGAVPDHLKMVEIKCEMVDVRVNKVLKILTTYGISLDIIYIRQADTSPGCSAFVSTGFNLN >cds.KYUSt_chr6.29676 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188197204:188200123:1 gene:KYUSg_chr6.29676 transcript:KYUSt_chr6.29676 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPEYEKNRLARIARRKAEEAGPLANIRNIASQLLYGQNTKDKQRHKGDDGGSGSEYEPNDDEEADDGDEVSGEEEEHEPTVHMSKEKENQPSTKPQGRKRARSKTTVPTTTRTTRASASRLNQTDHTSPNDDTSAHRTSKDSNSPQADTEMQHSQDMTNSLRQIDNSDTLRGQDALVCSNGPTNPKTSMRVKRRPTMGQGLDDYAKRNGGMKMKIDFSAGRVRPLDPVQAAKLASQCGVHVRSNIMHVATHWNDYSKEDLAHHIPKAIGHVATDMENKRSEALEHPVSGTHIVAEVLKEHSSSSTFLSTMGYQSRSGRSRTSASEERVRELEEKVEQQKIEAIEANAMYQQQLNERGKTQEAALGEMQRKQQEELVAMKKIQEEKNKAYEKKQAEQDSLISFLLRKHATQN >cds.KYUSt_chr6.30037 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190335701:190340324:-1 gene:KYUSg_chr6.30037 transcript:KYUSt_chr6.30037 gene_biotype:protein_coding transcript_biotype:protein_coding MEQATETAAAQPAPETTEATPPAPPPPPPAAAAVVTVATAGRGEGKRKRGRPRKYGVDGGPVRPLKATPISASVPDDSGGGQYTPAASVGAVMKRGRGSGRPVGFVSRAAPVVAVTAATPTAAVVVSTPPPSAAVAQKQQLAPQVVAVVQKQQPAPQLGTASGDVVGCASGANFTPHILNVVAGEDINMKVISFSQQGPRAICILSANGMISNVTLRQHDSLGGTVTYEGRFELLSLSGSFTPTDNGGTRDRSGGMSVSLAAADGRVIGGGVAGLLVAASPVQVVVGSFFPSYQMDQNAKKPVVEMKTVSTQPTQPAVGFTISSGGDMADSYSGSQQPKGGNSTSAFRVENWTAHPAVPAEARRTPPPPSSEAKVPVPGG >cds.KYUSt_chr3.35869 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225392653:225394047:-1 gene:KYUSg_chr3.35869 transcript:KYUSt_chr3.35869 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSVVDTDQHFSVVVVDSGGANAGGGRGNAGRGHRNAMDWNRGPPAAGTPGDLVPLPRRLFHDCGSVQKKRSAIFCASSECGYTDYDEYYIDHDYHDHGYYIIGYLDTDITTTFAATRR >cds.KYUSt_chr2.38147 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236177782:236182656:-1 gene:KYUSg_chr2.38147 transcript:KYUSt_chr2.38147 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRGWWPDKLRPRSKARPSASAASSPRKSVDLDYPSPSPTPRAREKTRSLDAPAARHGRCGGDLQHYKLPVPVTGPDPADALAAGPPCEDAAAGDGSSSSGSSSCSSSPDDAPDHHVSNSRSMDPVAFAKGTNTPSDSPMILNKDSHYMSRSMPREHQKFFEVPFSNPKVTVENDQLYEEPASSLATSSSFFRCLLTSTSISHCLLASSISNCLLASSFISHFLLAIPITVEKREIIREWYIWPGLSWIQQEIDILKQPSHPNIVQYYGSEMTEDTLSIYLEYVSGGSIHKLLRDYGPFKEPVIRNYTCQILSGLAYLHGKNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNSKGYNLAVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDIPEIPDIFSEDGKSFLKLCLKRDPASRASASQLLDHPFVQDHPAVRAAKSSALRNAFSAPIDVKHKVSNRELPSRRSIAPLRDIGVSARDFTGFSTTVPSPRTSSPVLVRTNMSLPVSPSSSPMRQFKQSNWSCLPSPPHPMLSPGASSSYALNQARRSPTISDPWQDVGPLRLQSPYGSPKRF >cds.KYUSt_chr1.37151 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226968941:226970092:1 gene:KYUSg_chr1.37151 transcript:KYUSt_chr1.37151 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAPPHGGLPGAAGGWRLSAGGVPIPPPPTGGGALEAAIDEVLVTLSDEQRADPRFFPDNYEAWTAFFRRMYERELAAYDGPPPPPARNNADGRRRWWSAPGRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGSSWMPRRMAPSSSSSGSRSASRSGGSTPATVKKEWASPSTVKKEPASPPPTRGRSSGALVIRDQPSSPQRGQKRKSSKKEAAAVAINNAANKLAEEEAKRAEEAAVEEAIARSLTNVVPADNSLPEDAALEWSRWDWERQEAEQQRRLLDLAAEWQRAVRAPHRPRRRAVRANERCAHARRADQARGERRRRHLLAERRHAPTTTARVRAAGYEAAHVPGRRRLERRRRRGLHGLLPPFRHVGGRF >cds.KYUSt_chr3.12652 pep primary_assembly:MPB_Lper_Kyuss_1697:3:75743336:75746694:1 gene:KYUSg_chr3.12652 transcript:KYUSt_chr3.12652 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLRLAALLLLCATLAAPEPDADRAALLDFLSGVNGGRARINWATTLPVCANWTGITCSPDGTHVTELRLPGLGLTGPFPRRTLGRLAALQVLSLRANTLTGAFPDELLALDRLTGLHLQLNAFSGALPPDLARLRALQVLDLSFNAFTGALPAQLSADLLALNLSNNSLSGPVPDLALPALQFLNLSNNHLAGHIPRSLLRFPDSSFAGNTLTRSTPLPPLPPPSSPTPTAPSTKHHRLTEAAILATVVGGCVLAFAVTAVLLIAFCNRRPTTYEDKAADKKGRESPESKAVTGKANDGNRLVFFEGPSLAFDLEDLLHASAEVLGKGAFGTAYRALLEDATTVVVKRLKEVSAGRRDFEQQMELIGRIRHGNVAELRAYYYSKDEKLLVYDYYARGSVSNMLHGKRGLDRTPLDWETRVRIALGAARGIAHIHTENNGKFVHGNIKASNVFLNGQQYGCISDLGLASLMNPITARSRSLGYCAPEITDTRKSTQCSDVYSFGVFILELLTGKSPVQITGGGNEVVHLVRWVQSVVREEWTAEVFDGELMRYPNIEEEMVEMLQIAMACVSRTPERRPKMLDMVRMLEEVGRNDVGTRPSTEASTPVGEAWNKAESSSAAL >cds.KYUSt_chr2.6562 pep primary_assembly:MPB_Lper_Kyuss_1697:2:40979584:40980900:1 gene:KYUSg_chr2.6562 transcript:KYUSt_chr2.6562 gene_biotype:protein_coding transcript_biotype:protein_coding MHQISQTTCPAANNKQPDAEEITVDLYPFIREYKGGRIERLVRSPFVAASEDAAANHGVATRDVVVDEMTGVSARLFLPSLRDADYDERLPVIMYVHGGSFCTGSAFCRMYHAYARSLAARTGALVVSVDYRLAPEHPVPMAYDDAWAALRWMASFSDPWLSAYGDPTRTFLAGDSVGANIAYNTAVRASLVGGIGIDIEGLLLVHPYFWGVDRLSSWETAWDGVAMFKPDGVDRLWPYVTAGKLGHDDPRVCPVDEEIASLTCRRVLVAVAGKDTFRYRGRQLASRARLCAWADDGDAVTLLETEGEDYCFHLHNPLRASSKILMENIVQFVKQPRLRASPLPGALLRPELHAYAYTFQAGETTSMDYSTTTRRYVDDTKGWSGKKHMARNTCLQIGQGRSSKAATRHGSFLGQVRPIMTNRVSLSATVLGAPICRL >cds.KYUSt_chr1.34838 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212233307:212238479:1 gene:KYUSg_chr1.34838 transcript:KYUSt_chr1.34838 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLKFIHKLVSGDDTPWTRWVRRWYGASGITDPPSREDTPAWRAFKQLFATYRGITNVRVGDGETTSFWFDNWHAAGPLFACTPALLSHCTNPAITVADAFRERRLLLPLQPRLTVTAQGQLGSLVGSLQHAALSSDPDARLLPGGTAFSTAAAYRIMCTTGVALPLADYNWENFAPLKVRVFFWIARHGNTRTRALLHRHGVLPSPRCPFCDANEDLLHLFAGCTRLSPLFTLVGAPTAGAARDLEGVCAALAVPLDAHAPFVRHTLVLLILWIAWKSRNRKVFDDVWMRARQLALLLAEHWVEVAERFAYYGISSNLINYLTGPLGQSTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYITGLGMLALSSMVPSPEEQQCNVTVDGHRACGPSSLQTAFFYLSLYLVAIAQSGHKPCVQAFGADQFNATDPRELLSRNSFFNWWYFGICASATVTTALMSYVQDNVSWGVGFGVPCVSMMLALLVFLLGTRTYRFYDSSDGEGANLFSHAIEVIRAWRKRPPTGDALVEHGDCPENTAIAAEVRSIARLFPIWAACLVYGVVFAQPPTLFTKQGATLDRRVGSSSFQVPPAALQCFMTTSMITCVVLYDRILVPVARKVSGIASGISMLQRIGAGMGLAAVAFVVAALVEMRRLSVARDAGVVDQPDAVVPMSLWWIVPQYLLLGAADVFTMVGMQEFFYDQMPSALKSLGLGLYLSVIGVGSFISSFLISVIDGITKSGGGTSWFADNLNRGHLDYFYLLIAALTALELLAFIYFSSAYVYKRKTANVH >cds.KYUSt_chr2.2084 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12569645:12570524:-1 gene:KYUSg_chr2.2084 transcript:KYUSt_chr2.2084 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDVVSEYCSLPRTRRHIKKRKQFQTVEMKVRIDCEGCERKVKKALDDMKGVSSVEVLAKQNKVTVTGYVDAAKVIRRVAYKTGKRVEPWPYVPYEMVAHPYAPGAYDKRAPAGYVRNVIGDPSAAPLARASSTETRYTAAFSDENPNACSVM >cds.KYUSt_chr5.24134 pep primary_assembly:MPB_Lper_Kyuss_1697:5:156887694:156892242:-1 gene:KYUSg_chr5.24134 transcript:KYUSt_chr5.24134 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAPPSTVMAMGAATSPCKILSATQRASTAAASASTSRESVSLRAPRGRRQRPRPRGLALSLAPARRPFVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEYLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEERATAFGLMKIDEEGRIVEFAEKPKGEQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVISKHVMLQLLRDQFPGANDFGSEVIPGATSTGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKKLLADKGGIPIGIGKNSHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTVI >cds.KYUSt_chr5.35447 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223979278:223979529:1 gene:KYUSg_chr5.35447 transcript:KYUSt_chr5.35447 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALAIVAVLLLAAAAVAPLADAASSAESIPFAKEVAGGASAVEDAGAQGAAAFAGAGQTAASVVDPDPSSGIKADPSPARR >cds.KYUSt_chr6.29071 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184059792:184067352:-1 gene:KYUSg_chr6.29071 transcript:KYUSt_chr6.29071 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPAPSSLEASGGGGEESAAPTRNGVSGQTGDALEGEGDGDKGVESVAMESDGADPGAAAPQIHEPRPDRKTCHQCRQGKSYFGAACKVEKKYGLCKLRYCRKCLINRYGESEDAVELDDSWACPKCRGECNCSNCRKMSGKTPTGILSHAARAVGCSSVHDLLNKGDDEVAAAQKLVTPLTANRTSKKKRNRDVATDDATGTNGLLAEGDDQNAVSSVPTKKKKKVKCTVDNRPADDKSLQGTESLCAVEEEIVLPRGTPITNVAGADLEEEDVGPALQFYEFCRSFAEERELKGRQSDMAKDALFMEGETTTIMETNNLISEVKEANEVKRAATNALEEVGGVQWTKPVMVDKGVAYWKLDGYCDNATIMRQELDSENMMGNKDKWFMFTEDEEKVIVDHMAKRWMDYASRDLRASVL >cds.KYUSt_scaffold_1854.234 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1277803:1284127:-1 gene:KYUSg_scaffold_1854.234 transcript:KYUSt_scaffold_1854.234 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQSRLPAYLSDGSIATDELRGLEWDNSGYCAPENLFGCGRMSVKSDIYSLGAIIIEIVTGHKGIPDTNDNIKPPYWENDMLGVEQLELQFANSGNDKHHILSCSVELSNDTDSFIAFKIQTTCPLPYSIEPNKDIVKPRSKCSVDITLPAANTEDHNNKQYTKGFIVQSIKVNEGLTTNDINEAQWRHTEARPRPTQIKSGSVQLVIKSDTVAPLKSESCKKVMLEITGGDYSSDRPGLDLVAVVDVSGSMQGDKIQQVKTAMQFVIRKLSPMDRLAVITYSDVATELWRLQLITEASQGVLQDLIYKVEPSGGSNIMEGLQVGLNVLDNRSVHVGRVAAMMLISGSKQTDADDILVNVGDRPFYTLLLGGRRFRFNVGDAPVYAFGLGADSNHTLVNLIAAWSMGGTFSHVLDHDIGGLTMAFSQCLAGLLTVAVQDLELMVAPVRVESSIVRVTAGSYPQEQVGNGPARSVTVRFGNLYSTEVRKVIVELDLPEIQSERSAEILDVTYSHSRSAGRMKFVAPIGTLTVWRTGVDLSEEQNLTGLLTEEARLQTAQMVKEASFLAHWKKLDDARDKLLEAQNMLEEEQSSSLLRTELQELLQLFKTRETYETRGRHYALSSESSHDRQRFTARGGDIEIMRLFATPRMDKYMEQANKFLNEPTKPLPSVDQDIEEELGAPTVDVASREAEMSRLFHDVGIRAMKSLQGCSSRLICWLARTRKRIRHRAMKSLQGCSSRLICWLARTRKRIRHRAMKSLQGCSSRLICWLARTRKRIRHREIQLDSDSETEKTVL >cds.KYUSt_chr7.27168 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169850537:169852716:-1 gene:KYUSg_chr7.27168 transcript:KYUSt_chr7.27168 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNHDAAEEGDSQLLSTLPTRQGMWKPFFLYRGCWLTPRSVTSVALVQSQFTPRPDDVFLATYPKCGTTWLKALAFAVANRTVHPIVSGSGAHPLLTTHPQDLVPFLELPHRDVHPVSDLDALPSPRLLSTHMSLSLLPPGVSALGCRVVYLCREPKDVFVSTWHYMNKVGEGFHIDMGASFELFCEGMTLCGPLWEHYLGYWNQSVAEPDRVLFLKYDEMMADTSKHVRMLAKFLRVPFTDEEVSGGAVEEIVSLCSFETLKSLPVNSSGVSDRIGGMPMENSSYFRTGKVGDWRTHLTEEMAKKVDCIVEEKLRGLFSPLCPTDTCSGGRCKVAWARVCRPCDLGGLGVLDLERFSRALRLRWLWFQHTQSERPWVGLPVPCNDSDRQLFSMATSVDIGEDLHARVSPPLLESFVALRALLELVQLSPGTRDKFTWRFSSDGVYSGSSAYRLQFAGAVESPLVQLIWKPWATPRCRLFAWLLAQNRLMTADRLLARQWTNDYFCPVCMRNLETTAHLFVECPLSRTIWERVATLAAAPTLNPATWDVHHRVVDWMGGLATGLPNAEVSRVRSWAMLVLWHIWLERNARTFRASTSTLESLIAKIADEAAAWDRAGAKISSPRE >cds.KYUSt_chr5.2780 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18357756:18361618:1 gene:KYUSg_chr5.2780 transcript:KYUSt_chr5.2780 gene_biotype:protein_coding transcript_biotype:protein_coding MVREENVEANSRRTRMQGEGGIIPYYFMNYRSNLSPNPLKRSAPTLTTYMSSSPSSFELHIAVPAESRAFHRRAGIGPFEAAHCPGTEEQGVELIDVAGSRHHQSGAVLSLLQIAVPLQMTQ >cds.KYUSt_chr2.44869 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279453498:279454450:1 gene:KYUSg_chr2.44869 transcript:KYUSt_chr2.44869 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLCSLLNVIEKGVVPNGSAAATGLNLDGIAGRGTEKKANLAKMPAARPSMVGTHLTYLLGNQGLKANLAKMPAARPSMPVKPESVDSTPNDASSQVQESNENTTKPYRESLPAKFEDDVHSTTSSTNTQRKNAAASGFSFRLEERAEKRKEVIN >cds.KYUSt_chr3.30638 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192112085:192113946:1 gene:KYUSg_chr3.30638 transcript:KYUSt_chr3.30638 gene_biotype:protein_coding transcript_biotype:protein_coding MGNETGGEREVGDAPSLVYSTPSVDSSPGRWVRLPWTAPRERGRRKRIRFALAHSDASWRHAPPPLACPALPCGARWIDGYDEGFYSCGCRTDGPDLAALLVLVLGLLPIFNCSGGSFGRLSSDLFSVEAISVGALCGEENVSGAGLATMGDAGRDAHRVVGRLGESNSATLAGSKDLANSRDSDKDG >cds.KYUSt_chr1.5651 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34858315:34861133:1 gene:KYUSg_chr1.5651 transcript:KYUSt_chr1.5651 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGGSAGRDAGLGSGDSPSRPPVFRTDAERWKWNWSSDDDELVPARSPTFSVGDYVHGSDEEDAVLAQTKAISASEARARFRREEATPSAKCANRRTLPCRKTHTDQAMAAAASSAWKTRWLRPEAYPIFAATGVAVGICVMQLVRNITTNPEVRVTKENRAAGILDNHDEGRRYSRHPFRRFIDGKSSEIMPAINNFFTDPSKS >cds.KYUSt_chr6.3751 pep primary_assembly:MPB_Lper_Kyuss_1697:6:21611373:21617058:-1 gene:KYUSg_chr6.3751 transcript:KYUSt_chr6.3751 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGEKAVGGCGGGGGERRKYPILAEDYELYEEIGQGVSAIVYRALCRPLGQIVAVKVLDFERTNSDLNNIMREAQTMILIDHPNVVKALCSFANNQTLWVVMPYMAGGSCLHIMKSVQPNGFEEAVIATVLREVLKGLEYLHHHGHIHRDVKAGNILVDSRGGVKLGDFGVSACLFESGDRQRARNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSRHFKQMVAMCLVKEPSKRPTATKLLKQSFFKQARSSDYIARKLLEGLPGLGARYQALKEKDEHLLAQKKIPDGKKEEISQDEYKRGISSWNFDIDDLKSQASLVSDCEDAISSKDTDISSFYDSDTNLQERTQDGPLFSGGFSIKYDTDIENDVMANDKSAVSSPEQSVCLSSSKPQINIHNRDKGNGGALQVADEPSPEAIPKALKSSVPNVDEHDDRSKPPLIQQRGRFKVTPGHVELDKVIVSH >cds.KYUSt_chr3.4950 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28167792:28168465:-1 gene:KYUSg_chr3.4950 transcript:KYUSt_chr3.4950 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRESVEPEDAVAKPVYLVVLHQVEQPSHSIVIAERSTTTTLVMIPLRHTKRGMSFSVVDSRWIVGIGGDYCCHFTIYDLSTSTESRGLGCADFLPWFKGIIFKDGRAPEMGYFPSRKPPPPPSSPYCINPLEYLNPPDICVVVCTVVDSHILLFIYYKQQHQHEEDEKGTCAFDMDKRVWDMVHDKSHTGGIL >cds.KYUSt_chr4.12144 pep primary_assembly:MPB_Lper_Kyuss_1697:4:73898578:73899674:-1 gene:KYUSg_chr4.12144 transcript:KYUSt_chr4.12144 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDVPAVSLAELNRLTGNFGAGSLVGEGSYGRVYRAKLSTGEAAAVKVFDNGGSGQPEAEFCAQLSVVSRLRSEHFTQMLGYCLELNKRIVLYEFATNGSLYDILHGQKGTQPGPTLTWSQRVRVALGAARGLEYLHEKVQPSVIHGDVRSSNVLIFDDHDGKIADFNLTNQSPDNAARLNSTKVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVNHTMPKGQQSLVTWASPRLTEDKVTECIDPNLNSEYPPKAVAKLAAVAALCVQYEADFRPNMTIVVRAMQPLVNARHARDN >cds.KYUSt_chr5.37376 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236320398:236321462:1 gene:KYUSg_chr5.37376 transcript:KYUSt_chr5.37376 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVQYHKYGGGAEGLKHVEVPVPSPKKGELLVRVEAASINPLDWRFQKGVGRPFLPSKFPFTPVCELAGEVVELGAGVSSFRPGDKIIAVNFPGSGGLAEYAVVSASNAALRPPEVSAVEGACIPIAAATALMALRTAGVGLDAGDGPAKNVLVTAASGGVGTFAVQLASLAGHHHVTATCGARNLDLVRGLGADEALDYCTSEGTALRGPSGRKHDAVVHCAEGFPWSAFKPALADAGGVVVDLTPRIASVAVAVLHWLCFSKKRLVPLIVSTKKQDMDALLGLVVQGKIRAVVDSRYPLSRAHEGWAKSMSGHATGKIIVDMVDG >cds.KYUSt_chr2.19456 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122367563:122370377:-1 gene:KYUSg_chr2.19456 transcript:KYUSt_chr2.19456 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDHAGAVDSRLEELLSGGGGARRLASAAALELRLLAPLAFPAVVVYMLIIVMSSATQIVCGQLGNVQLAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAEKHEMLGVYLQRSTVLLTATGVPLAVMYAFSEPILLLLGQSPEIAGAAAEFAYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYILAASLVLHLGMSWVAVYKLGLGLLGASLTLSLTWWVLVAAQFVYILKSPRCRETWTGFTWAAFADLAGFAKLSAASAVMLALEVWYFQVLILLAGMLPDPQIALDSLTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAAFSAWIVTAMSALIAAVAAVLVFLLRHKLSYLFTGGEAVSRAVADLCPMLVATIVLCGIQPVLSGVAVGCGWQALVAYINIGCYYFIGVPLGVLLGFKFDYGIKGLWGGMIGGTLIQTTILLWITFRTDWNKEVEEARRRLDKWDDAKQPLLTNVQ >cds.KYUSt_chr6.6199 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37421897:37423276:-1 gene:KYUSg_chr6.6199 transcript:KYUSt_chr6.6199 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVDAGLDTVATVAQLAGAATSHISMANVGGIISMIVKLARTARRNKKECEQLAGRVRLILDLLPYLQYPEEKATRAPLEELVATLLEARELVKACQSGGMVSRAITANLQASKLADVQSKINFYLLVFPLVNQIANTIPRDRIPIGNAASQGPTSVAPPPPASQPVVPADDAAKDDITWAEVAAASTVTMVSKSAGGTVVYKATLRDGRDGRHVAIKSFEGDEAAFRAELAVVSGLRHPHIVRLLCWWEGDGKRLLVYEYVGDGTLRDHLRRGECASWRARTEVLLAVARAIEHVHCHAIVLGNVGSSNILLDATRKPRVSGFGASVVDTVGSRTGRLESAAGDVRGFGVVMLEVLTGREPVVRVWKEEKKGEVDVALASFALPSIEAGKLGDVLDRRPAAKPTPRQLKALKLVADMAARCVSPPSSQPGKGPTMSDVVARLKEAYDLICSDDTRTN >cds.KYUSt_chr1.1341 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7284828:7286497:-1 gene:KYUSg_chr1.1341 transcript:KYUSt_chr1.1341 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSAPLCHGFLPFSAAAKGSSACSRRRRLVLGAGCHSSPVAAAATDAAPVANAARVARRRCECFDLHQQIVPFAESWARQKSIVKRRKGLVDSNEDHTDTLIALQHPPVYTLGTGRNEEFLHFDVGYSPYEVHRIDRGGEVTYHGPGQLVMYPIINLRYHELDLHWYLRSLEDVIIRALHSAFSIKASTVKGLTGVWIGDQKVAAIGIHCSRYIVYHGLALNVTTDLAPFERIVPCGIKDRRVGSIKDILQRNSNGRELNDAELMDIAYESLIKEFAEIFQLSLEPSTDLYLQPK >cds.KYUSt_chr2.29702 pep primary_assembly:MPB_Lper_Kyuss_1697:2:182811927:182812481:1 gene:KYUSg_chr2.29702 transcript:KYUSt_chr2.29702 gene_biotype:protein_coding transcript_biotype:protein_coding MANIFPPNLDLPLPELLQLPSSSSSYSATHAELLLRRRHTPDVPATYATITMRTHAMEIRFFLDREPFLIRETFLDRESFLIRETFLDREPFLIRETWAPVSTSHPAMEPSLRLLDLGMLTPAPLPRPSHGAATASMAAQLLHAAAMPRHRGLCAHHAGPPRSPRSPHIRRHLAASASAMLVAD >cds.KYUSt_chr7.27558 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172100370:172102289:1 gene:KYUSg_chr7.27558 transcript:KYUSt_chr7.27558 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLKRLSCLAGPCRRRGRRCLHSSPHPLLPTFSRLCDDGPLPAAIALLPDLAAAGVRADPISLCRLIKLCVRHGTASDGRLIHQHVSSAAINGSGGEPHASLFVSNSLVSMYAKFGLLDDALELFGTMPERNVVSWTTVISALASAGGRKQGALRFLVDMQRDGVAPNSYTYSSVLGACGTPGVLAAVHASILKVGLDSDVFVRSSLIDAYMKLRDLHSGRSVFDEMATRDLVVWNSIIAGFAQSGDGVGAVELFTRMKGSGFSANQGTLTSVLRACTGMVMLEVGMQVHAHVLKYDRDLILHNALLDMYCKCGSLQDADALFRRMPARDVISWSTMVSGLAQNGRSTEALKVFDLMRSEGPAPNRITMVGVLFACSHAGLVEDGWHYFRSMEKLFDIQPEREHFNCMVDLLGRAGKLDEAVKFISEMNFEPDLVIWRTLLGACRMHKNANLAAYAAREILKLEPEDQGARILLSNTYADLRQWSHAEKSWKAMRNHGAKKEPGRSWIELGKQVHVFIAGEFSHPCSAGIVQELNRLIRRATDLGYVPQTEFVMQDLESEQKEDLLKYHSEKLAVAFGTMNSMEGKPVRIMKNLRICGDCHSFVKLVSKSEDKVIIIRDPVRFHHFQDGVCSCGDYW >cds.KYUSt_chr4.29384 pep primary_assembly:MPB_Lper_Kyuss_1697:4:184363098:184371684:-1 gene:KYUSg_chr4.29384 transcript:KYUSt_chr4.29384 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVRELLLSITADADPSTPLSAPDLRLLIDRLRLRSDRLHASALSFASSNREPLASALLRAAGSAASSASLQSALESALSPLSSSPDLSDLRSLSDRLLAARRELREREEHLAAASSVASLSARLRAARASANPLDAAIAAAELKPLLVDPQGSGSEGGEPVVFGLLRGEWEQLVDELQVGLAKNVEECVEFAPEGGKVLVSAGPRGSSSGTRGVELRVALQALEIIDSLDYGMAKFADLMIKKILIPAISNISVTVSVNVLEKSGSSSSVSVLIIAPSEELQGYKDGSELYSRIIEVIKFVRKFICVENITWIQSFAKLTWSRISDLLITHFLSKAVPNEASKLIEFQDVIRSTSEFENTLRSMMFISPDRKDGKLSQFVNDVEVHFAVRKRNEILVKARDILVQYDYENPLASDDQGDSVVDLLFQPEKCFISKSALQLMKLVHGALKDACLSSTRVAKEFCYAARDALLLYKAIVLVQLEKQLNSVSQVAAIIHNDFYHLSQEILGLAFQYRADFPRGLQKLVVFVDLAPIFSQMADGVLRRQIQLAMANLSEAIDGADGFQNTHQSQHYESARFSIEQVVFILEKIHIMWESILPWSIYRRSMFHVLGPVFSRITKDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENDGDKFLDHHTWVQLDESVPSLKKFRKLAELLDMSLKSITAAWESGELVNCGFTSSEVRNFIKAIFADSPLRKECLGWIAMNPA >cds.KYUSt_chr4.43138 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267222985:267227857:1 gene:KYUSg_chr4.43138 transcript:KYUSt_chr4.43138 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPQQRPPPSPAAPPAVAPATPATAAHTHTHTQPLPLPRAFLATSAPQRAPPPPHFTGRPLNPNPTHGILYPVATTPSYPASAAAAAAVASHRRIPQLSVGYPRPHAVAVPVARSPHPQVPTQQRSYAAVPRAVVAGVTPRPEQPPRGVPIAPQPHPKVNVLDSDSGSLYALCRSWVRNGVPHEIQPSFVGNVAPLLPRPLPASVVDSRLSEKDKDAEDQDSEEEKNDTGEYTAADLLKQHVTRAKKIRAKLRKERQVRIERYKQRLALLLPPPPPPPSE >cds.KYUSt_chr5.5757 pep primary_assembly:MPB_Lper_Kyuss_1697:5:35930050:35931375:-1 gene:KYUSg_chr5.5757 transcript:KYUSt_chr5.5757 gene_biotype:protein_coding transcript_biotype:protein_coding MSCMRALVISILLLASIRRPMVTAAVSEEAPPGGYQFELIHVDAHGNFTREELIHRALSRAHLRAAMLSGAKDKDVDANSAAVSGSFSVPVQYSPKTYMMELKLGSTVPETTMLAVADTGSDLIWTNQLKECIGNPSFTPTNMSCAEACSAVKKQCTTCMFDKTYGGGTITVLTGTETVAMTTGAGTTKKKEVALACAIDWTKKMLPVAAHGIVGLSRGPMSLVKQLGVTEFSYCLRDPLNSSMRSPLWFGGNAQSAAGGKDGWRTTPLVEISTEHSKLPEWAKNDYYVELDQICINGKCSGQLPQQDFSLKPSHGGKGLMLVDSGSTYMHLDTNIFEALKSVMREALGVNVVTKVITKGKSEMNCFDETPTSKPDLVLKFPKADMSIPWASYVEKGEHGFCLYILKGTSILGNFQQHNVSMLYDLDKKELSFKRVEDCTA >cds.KYUSt_chr5.18480 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119320433:119323878:-1 gene:KYUSg_chr5.18480 transcript:KYUSt_chr5.18480 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEAAATTSLVLTQDARSRKDNHHHHRPPPPEPEEDDDTGAMPPPSCTTILLRREGEQAAATEGEEPPQLVPPLNFAMVDHGVYRSGFPDASNLPFLESLRLRSILLVLLLLIYYVSSVLSSLRYLCPEPYPEANQEFLRARGIRLFQFGIDGSKEPFVNIPEDRIREALKVVIASNWLRGWMLEEIAAMVSNFGIRRIPAFCRSEGKSV >cds.KYUSt_chr3.10864 pep primary_assembly:MPB_Lper_Kyuss_1697:3:64623161:64631913:-1 gene:KYUSg_chr3.10864 transcript:KYUSt_chr3.10864 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRASLHKVRIPEPTNRIHKDECCVSFDTPRSDGGLYVDMNSFLAFGRDHVAWNFEKTENPVYLHIVQRRKPDPEEADRPLKKPTLLAIGVEGGFSEQEPEYDVTYEIVVLPEFASLPFPSVDLPEKVRLAVDKVILAESADRKQQLASWVADKKLISAHAMDLQQLDNGVIVPPNGWKCSKCDKTENLWLNLTDGMILCGRKVWDGSGGNNHAVEHYQQTKYPLAVKLGTITADLEGADVYSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDHNTNFDWNRIQESGKDAEPLYGPGYTGLVNLGNSCYMASIMQVMFSTHPFISRYFEKQSLKAAFAMSQADPTLDVNMQMTKLAHGILSGKYSKPNQEGQVGIRPRMFKSVIAASHPEFSSMRQQDALDFFLHLIEKVDQANPGDHQSNPFSGFKFIVEHRVQCPSGKVSYNTHSENNLSLSIPLHEATNKEQLEAFHEKKAAMDLDGKEVSSEEIVRPRVPLEACLASFSGEDEVPDFYSTALNSKTTAIKTTGLKTFPDYLVLQMRKFVMGEGWVPKKLDVYIDVPDIIDISHMRSKGVQPGEELLPEGASSGDKVEPARPVANEDIVSHLASMGFNYVRCQKAAINTSNTGVEEAMNWLLSHMDDPDIDDPISQDPMSAESTIDEASVQTLLSFGFQEDVARMALKASGGNIERATDWVFNNPDASSSVSADSSASNVKDEDPYITDGSGRYKLMAFVSHMGTSTHCGHYVAHVLKDGRWAIFNDSKVAASVDLPKDMGYLYFFQRISS >cds.KYUSt_chr1.39437 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241321156:241322225:-1 gene:KYUSg_chr1.39437 transcript:KYUSt_chr1.39437 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDRTINLFLKPRGLDQEKLDMTGGKRLILQLLVVCLAAPVVRSGWLQGTATFYGGSDGSGTMGGACGYTNLYDQGYGLDNAALSTVLFNDGASCGQCYLIICDQGKSTMCKPGTSITVSATNLCPPNYDLPNDNGGWCNPPRPHFDMSQPAWEKIGIYRAGIIPIVYQQVKCWRTGGLRFTMLGFNYFELVLVTNVAGSGSIKSISVKGTNTGWMQMSRNWGVIWQGMSGLMGQTLSLSITSTGGQNIVCENIIPAGWHFGQTFSTWRQFDY >cds.KYUSt_chr6.13580 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85113972:85116335:-1 gene:KYUSg_chr6.13580 transcript:KYUSt_chr6.13580 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTAAQGGGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFIATFLFLYITVLTVMGVSKSPSKCTTVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIIMQCLGAICGAGVVKGFQQGLYMGNGGGANVVAKGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWNDHWIFWVGPFVGAALAAVYHQVIIRAIPFKSRS >cds.KYUSt_contig_6320.20 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001280.1:111705:115064:-1 gene:KYUSg_contig_6320.20 transcript:KYUSt_contig_6320.20 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLSAPKLSAAPIRAAAPGRSPLPSRVVALTPASASAPARARPLRSGPKNTVQALARDEMLGAAEPAQWEDAAASQGIRIRRHRRPTASMREIEEEMGAPRNILEKIIWDKEIEVAEGLARNPLKEVIESAVKAPPTRDFYAALAAAYKRNGVPALIAEVKKASPSRGVIRENFDPVEIAQAYEKHGAACLSILTDAKYFQGSFENLRKVRRAGVKCPLLCKEFVIDEWQIYYARSIGADAVLLIAAVLTDMDLKYLLQICKELGLTALIEVHDENEMERVLRIDDVQLIGINNRSLETFIVDTSNTKTLLEKHGNAIREKGILVVGESGLFNPDDVAYVQNAGVSAVLVGESLVKQEDPGRAIAGLFGKELLH >cds.KYUSt_contig_97-2.60 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:257758:258763:-1 gene:KYUSg_contig_97-2.60 transcript:KYUSt_contig_97-2.60 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSRVRHLVTMKDPWDGGIPGADTIQRYSHIDMVDGMDTIDGELKCGADLPQDILPRHPMKNRTPVGWMELTSHSSKRRHCKRQPVLLRPAGHHQLVWQAYSQLWLLSPPAKRKRQRVAAALSVARPPPAKRGDGQ >cds.KYUSt_chr2.31779 pep primary_assembly:MPB_Lper_Kyuss_1697:2:196052481:196058596:-1 gene:KYUSg_chr2.31779 transcript:KYUSt_chr2.31779 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLAGAAAAIRLRLLSPSSRPLLPSPSPLHFLCRDHDRDDRPPSPPFSPRPLLTSASGLLGLRGWRTLPPAASPPRGALADAPPALLTISRSYSLRVAKSKKQTHFDDEHSHRAVNTALLCNFLVFTLKFGVWFSTSSHVMLAELVHSVADFANQALLAYGLRSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWSTQTPENINYAALVIGGSILIEGASLLVAIKAVKKGAAAEGMSIRDYIWRGHDPTSVAVMTEDGAAVTGLAIAGASLVAVQMTGNPIYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKSDPVVDSLYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYMKRTGRGVWAKQFREASLNKDDAELRRVMANYGEGVVDALGYEVDRLESEIQKIVPGIRHVDIEAHNPEGLSV >cds.KYUSt_chr6.24964 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158167737:158170387:1 gene:KYUSg_chr6.24964 transcript:KYUSt_chr6.24964 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDSRRRHHKPPPARRALWVPRDAQPAAVRGLGGAPRQRPAPPNPAAPRPQAVGRAVWVPRAAPPSTVRDLAGAQQQRPAPPNPAVPHRQAAVWVPREAPPATLCDVGLTQRQPLAPANPTPAEPRPHPAVPRDGGAPPQPLAPPNPAAPPPDAGPDGEARDWAALPLDAITCVLRKLDHIEILMGAGQVCHSWRCAARDHPALWRRIDMRGHPELDRQVNLYKMAQGAIRRAQGQCEAFWAEYAADDDVLRLLGDQAPSLKSLSLIACQDIMEFEEEIKKFPLLEELEISLFTNIGGKQVFEEIGKACPELKHLKFNAYRFCNLGDDTDTEDDNNEFRYNRDDDALGIASMHGLRSLQLFGQNFTNKGLTAILDNCPHLESLDIRHCFNISMDGALRRKCRNIKTLKLPNDPMDGYDLCFEGPLWSFGVQSDSDDYAYAPDYILDSDEYDDYCDPFRYLDGVYESELNPEDRMFLKGMRMFMKDSDDDDY >cds.KYUSt_chr4.25177 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158220753:158222713:-1 gene:KYUSg_chr4.25177 transcript:KYUSt_chr4.25177 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLHMCSLIELKQTGTVVEYTAAFWEHLHRVLDLNSNLTIKSFIHQYVDGLREDIQAVVRLRSPSSITSASVLARIREEEIGKDTMKGADKLGISDGHMPTEILAEVFPEPAAPCRAGQSVSTIDVSYRSVPTPTTYSMECLGSDATVDVLPKIIASAESDEDLDTVSVSAVTPSTCSTGGLAHGREVDSLAAVSLVLWTATPSSKDHAKATPTESQRPFSVHGLDTMVSTRCSTRCFDDDIAVLTLTFKLDVNPSNMQQGQTVRPWPWPSFVDRHRSTARGSIIKTLLCASKTSSGALVLCSTLPLLTSGIEVSPKFSYGSRHTCPIGNRLQFLAAYKDISCQGKANFSGVPYYQHGASTERHN >cds.KYUSt_chr6.3096 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18104448:18104948:-1 gene:KYUSg_chr6.3096 transcript:KYUSt_chr6.3096 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLALLFALLSAMAAAVVTAQNSPQDFVDLHNAARAEVGVGPVTWDGSVAAWAQDYAETHRSDCELKHSPPGRPYGENLYGGAGGGASWSAADAVNAWVSEKAGYDYGSNTCSLETCLHYTQVVWRSSTAIGCARVVCDSGDGLFIICSYDPPGNFIGQSPY >cds.KYUSt_chr1.2179 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12680951:12681673:-1 gene:KYUSg_chr1.2179 transcript:KYUSt_chr1.2179 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFGTSHHSFEDGGYRRYFAPPPPPPFPRNLPFLLGHHAGLRGGEASPQAPTDDGSQYSPRRHGPMDRLSLFPEPRVLLLHAPPTSAAASPASSPRSVAPVSPPRSAAPPAAAAPLWSAARLDVDTADEEQWETVLGSSRCRRKEKARAKRDACKAAVRKTSARLALKEQAEHVTILTRACKLRALKDALKGCTATLQSHVNKEKILDAVKKPLGMKPASQLGSAAFGAAAMVNFGADV >cds.KYUSt_chr1.39260 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240175556:240177894:-1 gene:KYUSg_chr1.39260 transcript:KYUSt_chr1.39260 gene_biotype:protein_coding transcript_biotype:protein_coding MGGITSTTRRTELTAMNFCYHDDLIPKTEEGCNVAAKKVHGSHVFEISGYSLHRELNAARAMSAVFTVGGFDWVIVVLTGGLQGRVKEFPALGAFLQLMSEDEGTRVRASFHISLVDVTGSAPPHTMVGTNEFDTEPERSQCSGRLDFKLKSELEASPYLHDDRLTIECGITIECVITAEGAAILQPLFFYLFSQSLTQTQDSSGEEMLAPEESSEVLSQSAEDADARRPRKSREQLVQEFWTDVGFPTPASRFWERRAADSAGPAVRNVNAAKPKRKKKKNGQQVSLQECCAAPTAVAYADGQMSGPSAYCPVSPAARSALGVSRPSAYDTLCRGWPSAYRRPSA >cds.KYUSt_chr5.14676 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95107089:95107304:1 gene:KYUSg_chr5.14676 transcript:KYUSt_chr5.14676 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLHESIPEGVVSLPVIDLSLDRDQVSRAILDAGKNIGFFQASPNILACIFYHGFVMIVLPATAPRYQKV >cds.KYUSt_chr5.33402 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211869967:211871814:-1 gene:KYUSg_chr5.33402 transcript:KYUSt_chr5.33402 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSEACASSGDDMIYKMKPLSFEDSEILFHRRIFQSEEKCPHDLLVVSTEILKKCGGVPLAIITIASLLASKQEINEKDEWSHLLASIGRGVTEDAILKDMKRILSLSYYDLSSHLKSCLLYLSIFPEDFMIEKDWLIWMWIAEGFIQCDKEESRLFKIGEHYFNELINRSLIQPTLINGEGMVVACQIHDMVLDLICSLSAEEKFVSILDRAERHASNLQWKVRRLSLHNSKAKFPNHRFDITSLSKLRSFVVFSPATCDWLPSLSSLQFLRVLDLGNCGGHESISCISLKYVGNLLHLRYLGLKNADVHELPVDIGKLQLLQTIDIEGTRIEKLPASVVHLTHLKCLCVDKRTRLPKGMGLLTSLEVLKGVNISLSPHIVKELSELTKVWMLSIDWSKMDVDLTNVLIKSLGNLLKLQILEIWNGCRLIDLMREGWMLPPHLHKFESWGNWSKCADLFLRLPKWINPTSLPVLSSLIIDVEELQGRDIQIIGMLPALRFLWLGAVRVMGKLVVGADAFTSARECRFKGFPVTPCLFPPGAMPRLQRLRFEVSAQLIASGEVDCGMAHLPLLELVWAMLQDDNSSYEQIGKAKAVLRGAANAHPKHPIMVIIL >cds.KYUSt_chr1.3457 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20913426:20917956:-1 gene:KYUSg_chr1.3457 transcript:KYUSt_chr1.3457 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSPSLKVELRPLPVLNLQDAMPYRRPFEYNLLGSRLPFPNGFVPVDIDVEYIELLDSDGERSGSNCFSRFSFVVLCAKSRSDPGPVIVVFVDRDGKYFTDYGFPSLPISNINLLMLSVLSSLSRRIDPYDPDLVYRVNESTREKIIRNILYWPDGTRKLRLQSLPVDEMRSWMLQLAKTVYLSNEFIRELLYILIVRHPSIQGKGLGVLSGGDDGGAQV >cds.KYUSt_chr5.10490 pep primary_assembly:MPB_Lper_Kyuss_1697:5:67369586:67370849:1 gene:KYUSg_chr5.10490 transcript:KYUSt_chr5.10490 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVRPAAEEQTEAEAQEVREVVRAAQEEAPVEKEVAMVGEQKAAEAEADEEVEAETEIEAEAGASAKKNRIQVSTNKKPLYFYVNLAKRYMQNYDEVELSALGMAIGTVVTVSEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSENFNSIMSSKKSPKSADDEIKV >cds.KYUSt_contig_2686.61 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000449.1:335540:336124:1 gene:KYUSg_contig_2686.61 transcript:KYUSt_contig_2686.61 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVLWLLSSSSLEAASLALAVVLLRWEPDAAVEACQRSSVNKLGAWPSDFDPEAVAGEEKSGLAVLPICCSHQGDGGGIGSVQFSLLLLGGDLLLLSAEFLNGGELAPLLFSVKATTPRCGPAGIVASSTSRPVCQVGGPSTAPSRRSSSRLRQVVSSPASVLTAAKLSSSSCGGEGSKVLISFSLFVRGCSV >cds.KYUSt_chr2.5003 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31039418:31040701:-1 gene:KYUSg_chr2.5003 transcript:KYUSt_chr2.5003 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAEPLHYTTTVLRVSIHCEGCKKKVKKVLLAIEGVYKVTIDAAQHKVTVTGSVAADALVRRLLKSGKQAALWPVPVPAPAEPKKQKGEEAVAATSPGKKGKGKDKPAEEASPESSEKDKSSQKKPEKDKSSEKKPEEDKVSEKKAEAKKPKNDAKESELPENKEKGSPEPAAKEANSEEAGGEKTGGKKGKKKNKQKDAGGDVDAAAEKPLPPQQQQQKTKPQPQEEKAMIPVPAPAPGPDRAHAHGGGFPYYAPQPVMSYNMAHPSASVSYYAPMPVASMQPMPQPPPAHMPYGYSPYPPMMMPPPPPEFMYGPPGMRSSPPQESYNNMFNEENPNSCSLM >cds.KYUSt_chr2.2555 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15437151:15437456:-1 gene:KYUSg_chr2.2555 transcript:KYUSt_chr2.2555 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAEVRYFICADTIVELATRTLELLGLGLFITTEYWMPGRTGYEILKRVKVDPPLTNPAMSSALCSRAALSDARCFQPPKSTLRAPPLSSKHTWPSAAAS >cds.KYUSt_chr7.37930 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236523116:236524387:1 gene:KYUSg_chr7.37930 transcript:KYUSt_chr7.37930 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSMILTVAQKIVMAAETARQNKDKCLELAERAGVISEILRADRDATLHAAGGGAPTAAPPPGPLTDTARIRKDPLDRLHAALSEALELVESCHRCWLISILCSGRTATRFQDVDRRITNCLTDLHLAERVADRLRARHANSRGTGSMGREAAITAPPPQQHQVAQAVHRRVPSHEVQATPRASLASPGLAEALRRIGMEQSAPPPPPGLPPQQHHGGRPLLDAVARSRPSSDAITGGRPSSDAVTGGRPSDAVAGGHPSSDAVAGGRPSSDAVAGGRRSLDAVAGGRRSTDAVAGGRPSLDAVAGGRPSSDAVTGGRPSDAVAGGYPSSDAVARGRPSSNAVAGGRSSSDAV >cds.KYUSt_chr5.8087 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51087658:51088876:1 gene:KYUSg_chr5.8087 transcript:KYUSt_chr5.8087 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKATPSPPSVPSDAPPAPPPSTMDVDKVFDVESTTSYMDMLNGSAVNLDAGIDAFDGDCNVEEIDEEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAVMDQVADNPPSGCVPEDYPKYAQQRYKDMAGSKNKEFQFQHCFSILQHLPKWKLRDNEPKCKKEALLTMDDEAEDMSGRNTGKPEGNKKAKERVKVELEAASSREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKMIAAKEAKAMKKLLAE >cds.KYUSt_chr3.9715 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57140475:57140954:-1 gene:KYUSg_chr3.9715 transcript:KYUSt_chr3.9715 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVFGLETPLMTALQHLLDIPEGEAGNAGGEKQGPTRAYVRDARAMAATPADVKELPGAYAFVVDMPGLGSGDIKVQVEDERVLVISGERQREEKEDARYLRMERRMGKLMRKFVLPENADMEKISAVCRDGVLTVSVEKLPPPEPKKPKTIQVQVA >cds.KYUSt_chr7.13991 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86433372:86437827:1 gene:KYUSg_chr7.13991 transcript:KYUSt_chr7.13991 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCRGYLLFVHLLLLPVALLPCAHGAPADTGKIKISAVFVFGDSIVDPGNNNNRLTEAKADFPPYGQDFPGGAATGRFSNGKVPGDMFGFKEQDQIVERRRWIARAWPIEIPNQMEEEHEYTFTRITFSIARYVQEGSNQSDIFRRRRFGSPSDILVDSVVLLVFH >cds.KYUSt_chr4.42591 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263652047:263655378:1 gene:KYUSg_chr4.42591 transcript:KYUSt_chr4.42591 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLRENPSIHVHEMKSVQLSGISKISGALALLLKAAIQFIMLVWFLGFKIPRPDVFLVQNPPSVPTLAAVKLVSWLRGSKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWIEKYFGRMADGAFCVTKAMQHELAQNWGIKATVLYDHSPAFFHPTSLKEKHELFCRLGNTICSAMGSVDCISVEKEVEDKNTSVVTSKIDGEISLKPNRPAIVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEEDSMDEGQLWIDIKNGKQFDYPRLLFIITGKGPDRKKYEERIKRLNLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNRNGLLFSTSSELADELMMLFKGFPEECDTLKPLKDGALSTGSSSKWSTEWEACALPLVNQVIG >cds.KYUSt_chr2.17605 pep primary_assembly:MPB_Lper_Kyuss_1697:2:111046122:111049006:-1 gene:KYUSg_chr2.17605 transcript:KYUSt_chr2.17605 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGTSGANASLADPLLASGKKPVGAKGKYWEAADKEARRAAKESGGEDGRPLLFRTYKVKGALLHTYRALIFIRLIAVLLFFGWRIKHNNSDIMWFWTMSVVGDVWFGFSWLLNQLPKYNPVKTIPDIAALKRQYDLPDGTSSLPGIDVFVTTADPIDEPILYTMNCVLSILASDYPVDRYACYLSDDSGALIQYESFVETAKFSTLWVPFCRKHCIEPRAPETYFELEAPLYTGTAPEEFKNDYSSVHREYGEFKERLDSLSSAISKRSDLYNSMKTDQGDAKATWMANGTQWPGAWIDTTENHRKGHHAGIVKVVLDHPTRGHNLGSQASTHSVNFTNTDVRIPMLVYISRGKSPSYDHNKKAGALNAQLRASGLLTNAQFIINFDCDHYINNSQALRAAICFMLDQREGDNTAFVQFPQRFDNVDPSDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRIALYGIDPPHCRQDSIVVEASRFGSSILFLDSVSKAINQERSTIPPTLSETFLTEVETVVSASHDKESGWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMERDAFCGIAPINLTERLHQIVRWSGGSLEMFFSRNNPLIGGGRLRILQRVSYLNMTVYPVTSLFILLYALSPVMWLIPDEVYIQRPFTQYVVYLLVIILMIHIIGWLEIKWAGITWLDYWRNEQFFMIGSTSAYPTAVLHMVVNLLTKKGIHFRVTSKQTAADTNDKFADLYDMRWVPMLIPTVTVLIANVGAIGVAMGKTAVYMGVWTIAQKTHAALGLLFNVWIMVLLYPFALAIMGRWAKRPVILVVLLPVAFVIVCLVYVTVHILLGGIVAF >cds.KYUSt_chr5.15257 pep primary_assembly:MPB_Lper_Kyuss_1697:5:98537875:98541267:1 gene:KYUSg_chr5.15257 transcript:KYUSt_chr5.15257 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLTRPTPGTVQCFGRKKTAVAVAYTKPGRGLIKVNGAPIELIRPEMLRLKAFEPIMLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEAAKKEVKDIFGRYDRTLLVADPRRCEPKKFGGRGARASHDSAALETLLHFTWLIPPFVPIGGSGKTTLAKYICQDNKIEKHFESTWWVHVSQEFNVEKLIGKLFKSVSEKSEFHTLQHMTRIISEKLSRRKFLLVLDDVWSDDQHDWEQFEQHFSSGAPGSKILLTTRDGKVAEVVKSADVFSLAFLSDGDGWKLCQQSSGWAEEGLNSDFIEVGKEIVKKCAGCR >cds.KYUSt_chr5.30249 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191795169:191798927:-1 gene:KYUSg_chr5.30249 transcript:KYUSt_chr5.30249 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGRSFNRVASAAGGEDKMSTVAAPVSAAEVPTDLCKELVAGWSSLDSSRFSISTVSGGITNLLLKVSVKEGTDSESAVTVRLYGPNTDLVIDRERELQAIPYISAAGFGAQLLGVFENGVIQSFIHARTLSPSDMREPRIAAEIAKQLHKFHQLDIPGSKEPQLWNDVFKFLKKASVLKFEDDEKQERYETISFREIKDEVKELKDLSDLLHAPVVFAHNDLLSGNLMLNDLEEKLYFIDFEYGSYSYRGFDIGNHFNEYAGFDCDYNLYPDKDVQYHFFRNYLSDRPSEVQVQDLEALYAETNTYRLASHVYWALWALIQAKVSPIDFDYLGYFFLRYGEYKKQRESCFSLAQDFLSLRSG >cds.KYUSt_chr2.54427 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339560315:339564220:-1 gene:KYUSg_chr2.54427 transcript:KYUSt_chr2.54427 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGSGVVVVIDNGGGLLKAGFGGDKDPISVVPNCIAKSPGSTASSKKWLAADQLQAQDVDVTGMTLRRPIDRGYLINTEVQREVWERVLRGLLQVDPTNSSLLLVEPQFNPPGLQHATDELVFEDFGFSSLCVADAPSLVHLYEATRQPSLFRAQCSLVVDCGFSFTHASPVLQNFTLNYAVRRMDLGGKALTNYLKELVSYRSLNVMDETLLIDDAKEKLCFVSLDVPRDLRLARLSHKDNPFRCSYILPDGITSKKGIVKDMDEARRYCSLPADGELDKKDGDSDTNKFEDKKKPELSQNEFALTNERFLVPEMLFHPIDLGMNQAGLAECIVRAVQACHPYIQPVLYESIILTGGSTLFPRFAERLQRELRPIVPEDYQVKIIPQENPILGVWRGGSILASSPDFASMCVTKSEYEEMGGFRKRFLLV >cds.KYUSt_chr3.36995 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232772933:232774047:-1 gene:KYUSg_chr3.36995 transcript:KYUSt_chr3.36995 gene_biotype:protein_coding transcript_biotype:protein_coding MASCNALLLLFSAAFFFLARRAAGDYGSWQSAHATFYGEADASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGAACGSCYELKCDAAVGTYCRAGSIIITATNLCPPNYALPNDAGGWCNPPRAHFDMAEPAYLQIGVYRAGIVPVNYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVQSVSIKGSSTGWQAMSRNWGQNWQSNADLDGQSLSFKVTISDGRTIVSNNAAPAGWQFGQTFEGGQF >cds.KYUSt_chr4.42930 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265950572:265951863:-1 gene:KYUSg_chr4.42930 transcript:KYUSt_chr4.42930 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKSPYLKEIIDVAAYRSKEWEETMGDESKEFVNYMRKREKQEKARGAVLSSSRNQCQKYIEKMKKQLHGSRKEEVK >cds.KYUSt_chr6.26115 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165437079:165437393:1 gene:KYUSg_chr6.26115 transcript:KYUSt_chr6.26115 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIGAPHQSGMLGDGSRCYLSPQVSHYVALNHHHLVQPPLKLAELPHPLEALPQGLQLVSEPQLDGAVSWGRANFKHNNLSSFVRQLNSNTVGRDGRGRRRRR >cds.KYUSt_chr5.1409 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9707797:9709545:-1 gene:KYUSg_chr5.1409 transcript:KYUSt_chr5.1409 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSTARASAATLVKNTGVAEADVVPGGWSRRGAAASGGGLVLTVADEQEHGLGGEIVPDLNVQPMAEDPLLGETSSVRKRKFEEFDDSEDSGHSYISSEVESGDAVSYNSDASSDNEVSKYNVLSYEKKVEEKIWAEGISAYMKPDGRYYCKFHPSKQVPRDGMREGLVSHVKEVHPKDLRDKANHAALRKVLEYYGQD >cds.KYUSt_chr5.8000 pep primary_assembly:MPB_Lper_Kyuss_1697:5:50532632:50540328:1 gene:KYUSg_chr5.8000 transcript:KYUSt_chr5.8000 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVGFASRALQSQPHKKQRRSNSNNSSCQFATRAASSRLRLSNSPGIYSVQANPTSRALPLPPTMAAAAEEGRPATKYVLITGGVVSGLGKGVTASSVGVVLKSCGLRVTCIKIGPLPLPLPLPLHVFSLPALRDSWLGSRAGVLEKRGEIGVDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRENNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKNWIQSVSSVPVDGQARPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILDIHDVPNIWHVPLILRNQKAHDAIIKQLNLARSAGPPELRDWTQMAESYDNLSNSVKIALVGKYNNLTDSYLSVVKALLHSSVACSLKPSIQWVAASDLEDAAATSAPDAHAKSWETLKDSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRHVLGLGDADSEEFNTDTPDRVVMYMPEVSKTHMGNTMRLGCRRTFFRKPDCLTSKLYGSPPHVDERHRHRYEVNPTFVPMLEKAGLQFVGCDESGNRMEIVELQDHPFYIGVQYHPEFKSRPRRPSPPFTGLILAATEHVRALANISNGGAGASE >cds.KYUSt_chr5.29643 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187952671:187953131:1 gene:KYUSg_chr5.29643 transcript:KYUSt_chr5.29643 gene_biotype:protein_coding transcript_biotype:protein_coding MATELAYAPPMKAGKEGFQGTQEAQHRIRITLSSKSVKNLEKVCSDLVKGAKDKSLRVKGPVRMPTKVLNITTRKSPCGEGDDASTSLVARAVSFPFSLLACTNCWLHSCSISHPDDKPDILN >cds.KYUSt_chr7.30923 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192605310:192605858:-1 gene:KYUSg_chr7.30923 transcript:KYUSt_chr7.30923 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSTPFFIAPGVTLMLVACVLVILWALRRGAGAGAAAHQERALAAEDGGGKGLSADELQALPCHDFFSDGAAAADCSVCLEAFEAGDRCRRLPRCGHSFHSRCVDPWLEKSRFCPVCRADVVPPEPEGELVKVAREGALAEPALGAEMALDRRNAATLEIVVIERLQQYSWTLHPGVLLR >cds.KYUSt_chr6.4162 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24140567:24141097:-1 gene:KYUSg_chr6.4162 transcript:KYUSt_chr6.4162 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTCPRAWLAIGLLAVAVLFSSNAVVVDAGYSRGGGGDIKGQFLSQQNGVRAAMGLPPLRWDERVASYARWYAQSRRNDCALVHSSGPYGENLFWGSGTGWSPAQAVGAWLAEQPQYNYWANSCNGRMCGHYTQIVWRQSTKVGCAMVECYNGKGTFITCNYDPPGNYVGMRPY >cds.KYUSt_chr1.28958 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175227147:175228097:-1 gene:KYUSg_chr1.28958 transcript:KYUSt_chr1.28958 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPNLVVVLCLLCVAAGMAQQSPGPQPKPPPLGQAMSMAIVVSIGVVFFLVFLCLYITQFRSRHAGDGAPHAGRAAASRRGLDPAVLATFPIVPYAEIRAHKIGGGALECAVCLTAFEEADDLRLLPHCSHAFHPECIDPWLEARTTCPLCRANLEKPPPPPHAAVAIAMPAHEEDSVEDDDGRKEEAVELEKLRGERRAARLRRSHSTGHSLVSGALAEEEQCECDDHERFTLRLPEHVREQVLSRCCASSPAVESMRGGAQCVGGSFRDAGGDGVDCRRGRRRWPAFMSWARGGDGGAAMMTSTPAEPLEPK >cds.KYUSt_chr5.37092 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234689260:234689526:1 gene:KYUSg_chr5.37092 transcript:KYUSt_chr5.37092 gene_biotype:protein_coding transcript_biotype:protein_coding MRATTAHSGSKVAGIKIKPGHEVNRARAHNRNAGRLDAPRRKVVCGIFMAMPFHGASFDRTDDPAHVEAVHDIERGDALPGIRHHRRI >cds.KYUSt_chr5.15699 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101293042:101293752:-1 gene:KYUSg_chr5.15699 transcript:KYUSt_chr5.15699 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVVVKYIANDPTRKMTCKKRAMGLIKKAGELSVLCGVDVCVFILPEGESSQVQVWPSPAEGMRVIDRLRSMPELDQCKKKLDGEDYVRERIGKMQDQLRKAERDNRQREATLLLHGAMFGNRNLDGLPVEQLVTIGCKTENLIKNINDCIAYRSGQQQPRMQADPLHYAATLTNLEAPHQQQGWDLNAVASSGSGSGSGSYGSASAGDGLMQLGNMDAGFAWADHGTYLPNI >cds.KYUSt_contig_319.549 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3439078:3441216:-1 gene:KYUSg_contig_319.549 transcript:KYUSt_contig_319.549 gene_biotype:protein_coding transcript_biotype:protein_coding MFADDCILFFKADHQQATIIKSAITTFEKGSGQILSANKCSIMFGDSCPLQKQNEVRSILEVERQDFEDKYLGLPTPEGRMKKGKFQPQKDRLGKKLSNWAERFMSMGAKDELIKSVAQTMNNYVMSVFKLPAGFHDDYTRMVRNFWWGEDEKKRKVHWESWDVLTRPKEFGGVGFRDMKILNQAMLARQCWRIVSNPNSLCARLLKSIYYPNGDFLDTVFRQDASPSWRGIEHGLELLKEGLIRRVGNGKGINIWRDNWLPRDYNLKPRAGKSNTRVRRVSQLLTNGSNEWNQDIVRRIFYAEDAACILNMRLPDQSSEDIMAWHYERNGNFSVKSAYKLAYNLNNGTRWIAGGSGAQNNARKIWSVIWSAKVPAKVRIFGWRTARDNLPTSRNKQRRTLETLSTCKLCGMTEENSFHATVSCTKARALREKMRDYWDLPPEKMFQYTGKDWLLILLDCCSKNQRAQVLLVLWRAWHIRCDAIYEKGKETIKNSVNFLLAYASALVDHVPVQRDDKGKTPVHQICTPTTVSCSYSPFGDICRNPSSWVPPKEGTVKINVDAAFCPKSGASSIGAVARNSQGIVIAAVSKPIGTCQDVVDAEAEAILAGLRLGLELQVHSLVLESDSQVAVGAAANKTLNKSRQWSTFKDIEEAKCGIPVCLMSFTRRKLNGLAHGLAHLARQSGICNHWFGVVPDFIAELAMQDVVNTIIE >cds.KYUSt_chr1.26790 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161628518:161629958:1 gene:KYUSg_chr1.26790 transcript:KYUSt_chr1.26790 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFKRAFGDAACSEDNNVVQQGIERCPFLRNINEPTSFSLTSVNFPVPATGAKGPIFEDGPNFDTAFRVFHGRDGVVPLSEGSFAHIQKPLPKPDPEFNPLAAKAATISLSGFGGFFSFGDFQNKRNKQNSNKKNPNNLPQNQNKGLSNNNHEAMSNEWLENGQCPLAKSYRALGGVVPLVAKLLTPPAGMKLTCPPAIVAARAAISRTAFAKGLRPQPLPTKVVVIALLGMAANVPLGIWREHTTKFSVQWFAAVHAAVPFIGMLRKSILMPKSAMALTIAASILGQTIGSRAERIRLKRAKLAAEGHGHDHATRIEVPVSLKTGSSGGAVQFWDPLALRVKSTVSPAMVPSVGALV >cds.KYUSt_chr7.18165 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112705697:112706203:-1 gene:KYUSg_chr7.18165 transcript:KYUSt_chr7.18165 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGFARALVPYYPVAGRLAPSGLEVDCTGEGVLFVEAAASCELADVDGLECYPLPISAELLLPRPPPGEKLDGIILMAQATRFTCGGFVVGISFSHAVFDGQGAAQFLTAVGELARGFPAPSVDPVWDRDAIPHPRSPPPPLFRVLTELHFQGVCQGRPLGFWPSQI >cds.KYUSt_chr6.25217 pep primary_assembly:MPB_Lper_Kyuss_1697:6:159782193:159783221:-1 gene:KYUSg_chr6.25217 transcript:KYUSt_chr6.25217 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIHILAVLLLLAAGAAAGAEKKACHGGDEAALLAVKAAFSNTSSFMSWTPDIPCCDWPGIDCSDDDGSGGTTGRVVSLAILRDDGITGAVPGDAIAGLTRLQILFLFKLPRVSGAIPAALARLSGLKELTISRTGVSGPVPSFLGALKALESLDLSFNALTGAIPASLGAPPRLISVDLSRNRLTGRIPPLLLSKAGPQAFLSLSHNNLSGGIPAEFAAVNFEQIDLSRNQLTGDASLLFGRGDDDKPVLDSADLSRNALSFNMSGLRLTRRLDSLDLSHNMIYGGIPAVVANLTNLQVFNVSYNRLCGAVPVGAAAKYDLYSFAHNKCLCGAPLPDPCR >cds.KYUSt_chr2.5539 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34281433:34285263:-1 gene:KYUSg_chr2.5539 transcript:KYUSt_chr2.5539 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTSTAPVINSHIFSAPLASKLRLCRQPNVKRYKCNVIRSNLFDRLTRVARSYANAVISSFEDPEKILDQAVLEMNDDLIKMRQATAQVLASQKRLENKYKAAEQADADWYRRAQLALQKGDEELAREALKRRKSYADNASSLKSQLDQQKSVVENLVSNTKLLESKIAEARQKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVMTMESQAEALGQLGADDLEGKFAMLETTSVDDDLAQLRKELSGSSLKGELPPGRAAAGSPSRDRDIENELNELRRKAKDY >cds.KYUSt_chr5.41177 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259930155:259931456:-1 gene:KYUSg_chr5.41177 transcript:KYUSt_chr5.41177 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYNAGAAPATEYIPLSIFDRVTFDMQMAIIYAFASPAPSTAAIEKGLAAVLAQYRPFAGQLGVNPDDGAPSFILNDRGARLVEASVDADLIDMAPAKPTPELLKLHPDLEGELEEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLLAWGRATRGLPMGLPPVHHHKDLFKPRSSPRVEHDHRNREYYLPSPTNVESHHGDVADSIVIHKAHFTKDFIAGLRGSASEGRGRPFSRFETILAHLWRTMTRARDLSPEETTTIRLSVDGRHRLGKPAEYFGNMVLWAFPRATVGDLLTRPLKHAAQVIHDEVARVDGGYFQSFVDFATTGAAEKEGLARSAMCKDVMCPDVEVDSWLTFPFYELDFGTGSPSYFMPSYFPTEGMLFLTPSYIGDGSVDAFVPVFQQNLQAFKECCYSTQ >cds.KYUSt_contig_554.256 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:1510286:1512076:1 gene:KYUSg_contig_554.256 transcript:KYUSt_contig_554.256 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRRRGVMLLLIAIAFFAARPGPSSASALVGDRCAANSPPSSCGAGMRCATCSPLPGSGPAVCSRTTPIDPKTHGTGLPFNKYSWLTTHNSFAVAGTPSATGAPIVSPPNQGDSVTSQLNNGVRGLMLDTYDFKNDLWLCHSFAGKCYDFTAYVPASKVLKEIQAFLDSNPNEVITVFIEDYSAPGSLAKALAAAGLTKYVFPPAKMPANGADWPTLKDMVAQGHRLLVFTSKQGRESSDGAAYEWNYVVETKYGSDGLAVGTCGNRGESKPMDSKAQSLLLLNFFTTNPSQSWACVNNSAPLIDKLKSCYDAFGKRWPNFIAVDFYMRSSGGGAPLATDVANGRLQCGCKRPVWNMHNVIFFASVLVAIAVVLSGSGLGLFLVISIFSSRVAYGSATIFNNITIVIAILVVVAGSSSG >cds.KYUSt_chr2.31417 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193922665:193923418:1 gene:KYUSg_chr2.31417 transcript:KYUSt_chr2.31417 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGREHKRGVPRPPPLSLFIGREQEVSMTAQAPVTRTHPAAAGNNKKRMLSKQLSMKETTREVKWEKRRRQIQRQRSSMGMYDADREASASMNAIGNGVTDEDLDELKGSMELGFGFNEENGGQNLCDTLPALDLYFAVNRQLSEPKMRVCSRSLPSLSMARSSSSTQSSPGGSPMAQHSLLDSLKISSPGDNPQLIKTRLRQWAQVVACSVKHSS >cds.KYUSt_chr5.34768 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220245065:220247028:1 gene:KYUSg_chr5.34768 transcript:KYUSt_chr5.34768 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGPHAIRRALEKACASRPSPGCRALPSAFFPASDAEPAALISGWSSPPSASMPTLEDIDVVAAAEDLLPSSGRPRALARPLMVLVSNLRSGSEPESDVNATEEQYSSAEGQGKP >cds.KYUSt_chr4.48724 pep primary_assembly:MPB_Lper_Kyuss_1697:4:301775485:301776480:1 gene:KYUSg_chr4.48724 transcript:KYUSt_chr4.48724 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSRQARHDLRHCPSPLPLPRCQSFPARFDAGVHLVRLTSSTLGSLEIDKAAGLRALEAAATARAPRRLVPRTPTMTPPNEPEDIDAWALMAGLEEQSPLLAAPFGRHSFSFPIAAPQEFAASSKVTPLPMRMPSVNGEVKASKPMTPPRKKKAVLYFTSLRGVRATHEDCCLARAILKGYSVRVDERDVSMHREFRDELHGLLGVRGGTRAKCWAPAAPILPSLFVDGEFVGNAEVLKRLHENGELAARLAECESAAPSESGACEACGDARFVLCEECSGSCKVYVDDEDEVYDDEEEGTDDGGSGFRRCTECNENGIVRCPVCCCS >cds.KYUSt_chr1.36128 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220235657:220236431:1 gene:KYUSg_chr1.36128 transcript:KYUSt_chr1.36128 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPIPKRVLVKMDRPDARCSGKHKRNARAATARSPGTTFVGCGLKVDLALLTLAFKTSVSYLKSYTVFSLGATRLGPAFSHCLRPLATIADALEGGGIEIRLVHRLTAAASSEIDFVHACLSRISLTMTRDTRTIALGPSANFKTGDVYRALHSSGCVVPDQDINWDCFAPLKVRVFFWILRLQKTRTRAHCIA >cds.KYUSt_chr7.40478 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251301456:251302046:-1 gene:KYUSg_chr7.40478 transcript:KYUSt_chr7.40478 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQFPEAGLDASQVSVPEPFPGSPSPSLPCLNGPLLCLQWYDSIAAPVPVVDEGTAVLGEGATAEAVEAADPPLDSLVMESMEHEVIHEDSARKLRVRHKRAADSAFKARRSARLAAKEAPTFLTMLSKAKAAKASKFDLSGGSSRFRAAAEAAGFGGSSDPGPIPVQRLRELAAVCGVDPDAVDDVSEVPPLSA >cds.KYUSt_chr2.16682 pep primary_assembly:MPB_Lper_Kyuss_1697:2:104850754:104851098:1 gene:KYUSg_chr2.16682 transcript:KYUSt_chr2.16682 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLASLLILAVLVAAACFAQLGSAARGIPAGKPVAAAAADAVKRPETFQEGTVLIPGIGRYELGTHYRPDLGGLDHSIPAAANGRFLPGADDTWVPNPGFEVPNPFRPGSESP >cds.KYUSt_chr6.9496 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58574138:58574896:-1 gene:KYUSg_chr6.9496 transcript:KYUSt_chr6.9496 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGAKRKGAKVMQLDGTSFRVKPPAAAADVLRDHPGFQLLEADEVKLLGARARPLSADAPLRRGHLYFLVALPRRPASRAAPPRRAWSGNLQVGARERLESLKLSRRSTSDLSSFQGHAAASASAPTSPLNCGYASGGGGGGTPVRLKMRLPKAQVEKLMGESRDAAEAAAKIMELCAAVGDGGSAKVTPERPPGILRSPRFAATPEWGSGFMLPKPANGPAPRTPQRWPSLARTNAKEEVLLTNAFFD >cds.KYUSt_chr1.3448 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20835860:20837443:-1 gene:KYUSg_chr1.3448 transcript:KYUSt_chr1.3448 gene_biotype:protein_coding transcript_biotype:protein_coding MGENYTNYATESVSSQHMADAVAVAVPHLDDGIVSEILCRLPSKDAYRLAAVCRRWHAVLSLPTFLCRHLCPRPLPVLDDGPCAFILQPLGKVGYTHLTMVATDPNGRVAVKLPVPEKYKDQRPPVPPPRPVVRRPRPDPIPDEQMFFSSLFDDTDGDDAELPSVVVEPADTAAPDPPVPPPRPIVRLPRPDPMPDEQMFVGSLFDDTDDDDAEPKAVVVDPAPAPAPRAEDHVLFFEHTVPMLDICVVAAHGRLLLARTRTRYYVCDPDANRWIVLPTSTIAPVQDANAGIHYEVDASTGKTAFTVVLLLRRRLRRGLVETFSSTTGEWDARELRAEGVARCLGSASPGVHVGACFYWLNRRSGRVIRYDAARDRASVLREPPLAGRAVARVARSLGSVDGMGIRLCAYDIRDEKSRCMLPHDDVEAVHGVWFLEDAEAPRPSWRRVHEAVLEDLSVWYFNSMWDMEKPVDFPGASGDFIVLEKDRRRLLRYDLDSGRKVELCGLYRDTRRLSALYRRFHAFPFLG >cds.KYUSt_chr2.506 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3082491:3086639:-1 gene:KYUSg_chr2.506 transcript:KYUSt_chr2.506 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLLLPSSSTATATDTPDRRRQQQHNGKRKKKPPPSPLPPSLSPAPRTPSRRAASRKSPVAAKNDRPRRQHSKKSAKPAPAPSSWEQLKSLLSCRSATAASRVHDPAALTRTGGGGGAWATSLCSMRDVAVDGASSAASVDHRDTAPLNRSSRRTHRAAASSSSTGGGHPSSLRGLSGCYECRAINVEPVSRRYPRPRELCPCSQCGEVFTKAESLEQHQAIRHAVSELGPEDSGRNIVEIIFKSSWQKRDRPICQIDRILKVHNRPRTVARFEAYRDAVRARCRAAAASSSAAPAGTTSRAAADGNELLRFHPAGLACALGAEGSASLCSAAAADDGTDNGATACGVCAAIRHGFAPWAGAHPLGVRTTASSGRAHDCGAAHAASTGWRAMLVCRVIAGQVRREDAAAGEQEGAPFDSVAGEVDAASSAYGTLEELFVANPRAILPCFVVIYRVDAAQ >cds.KYUSt_contig_60.154 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:878314:881073:1 gene:KYUSg_contig_60.154 transcript:KYUSt_contig_60.154 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESAPRSRRWSDMVEEEEAELASSESSSRRSYSDVVRDGSPSPLREPSPVDPQRGGSVNQRPQPVRQLPSVVARPESSRGLASGSRLGHGDRRGPQPKRQRFRGPLPSMAVPEGVPAGFAGLCFNCAEPGHVAGMCKGKRRCLLCKSEYHVARQCTAPVAGAVALGAPPPPSRSSAAPPPQLVNPVTAAPVPRPPPPPARPSAGSSRDLAAARAPTGPYRIPAHQRLGLGGEAAVVADRQGAGRPSVKERLGGREARVEPEARGRDVVAPAAESPFERGLRREREIRDASPRRQADVDAGISTYDRVLRREQALRDAALSSAERAAEVEASRPEVERCIIYRTEEVEAAERALRWGLVAFVSGTRRTVSCSAASAAVLERFPELQGHFSVHSFWPADLLFVFDSRASRDVVLAANPFDGRDFSLRFGLWNRQLQARRRVFRYRVHLEVVGVPPLAWSMATARLLLGSSAWAERLGTDTASRSDMGSFCITAWTDDPAAIPKSKKLWLAEPLVFDEDDDDLLFPVEALVPEEVALLEYEATVHIVRVEGEARPASRSEASGGRDDRQDDAAPGQDGGGRGGSAQRGAQPPGASAAGACGLDAPPRRWRGGPERRVALGHTVDVRPWPMWGQPPVRLEAPRSAAPQAAIVESPPLSPRVAPSSVEADAASAAIQLGAAAVRSRPSPLSSASIQDDPWWWPKGKKDGGLSPTGPLSPSSTSCFHDSFEGRGRWLQSPMSMFTVELSRASPPVTRQVQESQVVEIEDGEIVEEAQPELQPPLPSTPVVPSTPVGSDSVDTSCALAVFRERCRRKKAALLPRPVARKPRAKRPPPSVVRRSARVAGRFSSGASITQQQKTLMVQLELAREGEVISEETLQAYLRYFEEKPMTAEHLTACLALFGWLPNVLPVVEEGDVDVVV >cds.KYUSt_chr7.33389 pep primary_assembly:MPB_Lper_Kyuss_1697:7:208349999:208350481:-1 gene:KYUSg_chr7.33389 transcript:KYUSt_chr7.33389 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYLHDILYNGVNNSANATAAPATKPTALSTTHFSNGTFFGMLVVFDDLVTQGKALPVGDEEPAARAQGFYFYDKKETYTAWFCFSLVFNSAAHKGTLNLMGADLMDVETRDFTVVGGTGDFFMARGIATIRTDDVQGSFYFRLQMDIKLYECYVIDVI >cds.KYUSt_contig_2008.104 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:686238:687143:-1 gene:KYUSg_contig_2008.104 transcript:KYUSt_contig_2008.104 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAFAAPRGARPRRPIAELVGRRASRRGRPRRLWRTSPVPPLEDLSRAAPSWLARSVPWPSSPSQPLEELARDAPSRSSSGTWPCEERIRLGTFDTTHEAARAYDAVAWRLGRPRQQMNFNDIWTREREEMLAPPSPAVTTEQQRRARELEQRLHVAEQAERLRLE >cds.KYUSt_chr4.40753 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251697246:251706955:-1 gene:KYUSg_chr4.40753 transcript:KYUSt_chr4.40753 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEMMVQLFTEEQNAQAVRRQQQQLILTNMLRVRQFFVVPQRGGSKPGKRRNINRHRQAGAMLLDADYFNDDATHSPKGISVPEQIMIINVLQRSPVFARLAERQAPAVNFEVNGHAYNKGYYLADDIYRTYATFVKTIPSPSNEMEAYFATSRKAARKDVERAFGVLQQHFAILRYPALTWSKAQMWEVMNACVIMHNMIIESEREAPVQDDQPFDYQGPLAEARMEPKVPTESVRMLPFLGSSGTCRSRAKQYVDAMASMARLGRPYIYLSFTGDVSKIAKFCAMMLEVPSCYVLEYSKKGLPYVLVLMFSDWPLPTPKPLASMPSTVATIPRLAKPANPASPSMKHRLHAQMVTEFMGDIERVQMDYASCADQ >cds.KYUSt_chr7.38587 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240266471:240268372:-1 gene:KYUSg_chr7.38587 transcript:KYUSt_chr7.38587 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVEEDGGGDGSGVDGEAFRGHFPVPTACRNRDSCPPDLGFAMAAALEGSSFTVSSLNLQASMPSGTTLPPLDPPPFDINTWLHASGGSNNIELHGPSTQDGNDNLMTPPTGGQPSFNNLSIVRRLETS >cds.KYUSt_chr1.25210 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151226307:151227695:-1 gene:KYUSg_chr1.25210 transcript:KYUSt_chr1.25210 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKTQLLGLLPLLLLLLPPPLRNYLSTDQAASGRVGHGRLQVYHPIILFPGISCPNLEARLTDAYVPSLPRCGALKGKGWFPLWNNTQDLADHDYVPCLLEQMSLVYDPVLNDFHNQPGVETRVPNFGSSDGFTAKDDVGRDVFCLLKLRQELEALGYRDSETLFGAPYDIRHTPPSPGQPSQVYSDYFARVKDLVQNASLKNGNKPAILVGHSFGARAALDFVNSTPLRWRKIFIKHMVLISATPLTGFVQVVTNFASGPTVIVVPAVKRLDLRPMWRNFASSLTSFPSPMVFGYEPLVVTKHRNYSAYDYMDLLTRLDSTPTSGNGCFPRS >cds.KYUSt_chr3.880 pep primary_assembly:MPB_Lper_Kyuss_1697:3:4593033:4593249:1 gene:KYUSg_chr3.880 transcript:KYUSt_chr3.880 gene_biotype:protein_coding transcript_biotype:protein_coding TDDVSAASRFRDFNIDSSESISLSELKRKEPELEMETPPSQKPRKTSVFGNRKSNKRGKLDYNLLRQGKSK >cds.KYUSt_chr5.23750 pep primary_assembly:MPB_Lper_Kyuss_1697:5:154650467:154651707:1 gene:KYUSg_chr5.23750 transcript:KYUSt_chr5.23750 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRQPETSQMSFRLAPVRRSVDAEVTCAKFDPTIIPQHPAPARAVAQSLTLLRAPRTSTLHPPRGSREPHLITLAGRGRCQSTRWLVDVALGRFEEARAAARAHRANGSEMMRFECYPTAVATGDCFGAPLLPPTIGPHLSLQWCRSREGRVIVRCGQQGHAGVPGASGRRMAKTSPAGTAPRTTTPCTWAMESSSCSTAALCSPASSSSTKSSHRRAS >cds.KYUSt_chr7.29837 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185728657:185730571:1 gene:KYUSg_chr7.29837 transcript:KYUSt_chr7.29837 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQLVVPTAEVYSWDLSSTYIREPPFFKGMTMDPPGPRSINDAYCLLSFGDCVTTDHISPAGSIHKDSPAAKYFVEHGLKPKDFNSYGSRRGNYEAMMRGTFGNIRIVNKLLGGEPGLKTIHIPTGEKLYVYDAAMRYKTDGQDTIVLAGSEYGTGSSRDWDAKGTMLMGVKSVIAKSFERIHRSNLVRMGIIPLCFKSGEDMESIGLTGHEQYTIHLPSSVREMRPGQDIVVTTSTGKAFMCTLRFDTENTVEFSMTNFW >cds.KYUSt_chr7.32716 pep primary_assembly:MPB_Lper_Kyuss_1697:7:203855825:203856190:-1 gene:KYUSg_chr7.32716 transcript:KYUSt_chr7.32716 gene_biotype:protein_coding transcript_biotype:protein_coding MARADKQLLVYRRRTLEEREAAAARRREEEEAAARLREEQQAAVTLKQLGECFRTTQVIQLPTDRPKPTPKPRRYHVSPNLEEKVKAGVMLCWLKKGMKKMKEALKIGRGKDKGQVYHRKG >cds.KYUSt_contig_2087.298 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:1764834:1765913:1 gene:KYUSg_contig_2087.298 transcript:KYUSt_contig_2087.298 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHHLSHDLHAYNNFTGLPLIDPMTGGSLYLDGQTGRAPAVACIGNSVFGDIDLAWNDNTWNHGFVQRKRARVVAEAPSFLESQRAQGLVAVGDVLARAAGSGEASTSGRMANAAGLPQDLLSTLYHHGMEIDALVRVEVPLSFFGHRPIGIEFLVCSSGSDSHGRSVVEQTERTRAGLEDTRRRHVQALLLAAERAAARRLRAADAALELARSRNANLSERLGQISAEGQAWIGVAKSHEAAVAGLRATLDQLLQSQSPGAAAAEGAAGEGADAEDARSCCFETPVGGDDAAAADDHKAASNKSRAACKACGEGESRVLVLPCRHLCLCPACDAAVDKCPVCAATKNASLHVLLS >cds.KYUSt_chr3.43655 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275442538:275445579:1 gene:KYUSg_chr3.43655 transcript:KYUSt_chr3.43655 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAELQAELLRAQLEEQKIEGDEPIIEDDDDDDEDDDDDEDDKDDDDVEGGDASGKSKQSRSEKKSRKAMQKLGMKAITGVSRVTVKKSKTVMFVISKPDVFKSPHSDTYVIFGEAKIEDMSNQLQTQAAEQFKAPGGRGGPSKGEPSVAAAQDDEDEDETGVDKKDIDLVMTQASVPRSRAVKALKAADGDIVSAIMELTN >cds.KYUSt_chr7.31447 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195915999:195916883:-1 gene:KYUSg_chr7.31447 transcript:KYUSt_chr7.31447 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVAFLLTHGHFCWRIVPKLAGLMRCGKSCRLRWTNYLRPDLKRGLLSDDEERLVIDMHAQLGNRWAKIAAMLPGRTDNEIKNHWNTHIKKKLRRMGIDPATHLPLDKDQAPPTALQDKEQPRPHCAEHSLPAEDEDDKAVVPLIQPPQEIVVPPHAAGSNCSASPASAISPSCSSSSASAGSAASGVDVAEWQQATYLFDIDGFTDVGSVWDGGFVSGVDPFGPFDHYYPGAGFDQDNWF >cds.KYUSt_chr1.24919 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149172084:149178041:1 gene:KYUSg_chr1.24919 transcript:KYUSt_chr1.24919 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLCCLAAADYCPGGAGAAEPDAGDRSPPPAADGAAVAGVLSKWTNIGRGWRPRWFAIRGGVLAYWKIRRRVAAAQDATASSSPSSAAGARLIGAAACGAGEQPIGFVHLKISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRVAWIEALVSARSESSLSGVLPCDQNGESFSTERLRDRLHAEGVGAEIVKDCEQIVHSEFSQYHTQMKQRCESYLSFIGSLPRELEVVSSGDGAIVDNPQLQLFKPDCSSSGKCSEYSNTESSDDAGKQEKLDQMQDEDEFHFYDTRQSFSDSAATPDLESRYLNYGDEACKFSDSLAADKTNEYLLSSSKRRSKLPEPVEKERSFSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSFLLDRAYECGSRGNSLMRILYVAAFAVSGYASTESRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMAMACHCEGKGWKFWGDSNVKSKFWGQSIQVDPSGILTLEFDDGETFQWSKVTTTINNLIIGRVYCHHHGIMNISGNRKYSCKLMFKEQSFLERNPRQVQGFVKDADGTKVASLMGKWDESMYCIFSDDASKLNSHISHQSVGATLLWEKNEPPTDPTRYNLSSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKAYSEKLRLETRQRMARNMQESGWKPRWFQRDTKDGTYRYVGGYWEAREHRNWVGCNDIFGSSCDNMNPQRSMLYTSASV >cds.KYUSt_chr3.10466 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62171945:62172538:-1 gene:KYUSg_chr3.10466 transcript:KYUSt_chr3.10466 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAADAGPSASSSAAMPHAKRRCPPARSAGAWERVMSMALQCCVTIAVLVSFAAFLIMMPFVLAVRDLRRQARRYRSFSPNDHPPPPPPRQLASFQISRLPSFESSPFDRTSACVVCLESSRGGERWRALPPCGHAFHTACVDPWLLLSPVCPVCRATVAILPRSESQAGDGIEKQPLSLYLSPPHAGLQRHLGM >cds.KYUSt_chr5.30415 pep primary_assembly:MPB_Lper_Kyuss_1697:5:192895421:192902686:-1 gene:KYUSg_chr5.30415 transcript:KYUSt_chr5.30415 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHLLSSPPVTSGRPPRPSLWHHRRTGTHQTEATRSISCRATLGPDAPLSALAAPGPAAATGRTYLREHSCLLFPSPRGRRPLAVVKFLGGAFIGAVPEATYGYLLELLAQEGFLVVCVPYNVTFDHAAAAREVFQSFHGCYDELLASGLPEAGISAMDIAGLPLYSVGHSNGALLQLLVGSYFSEKIPKANAIVSFNNRPASEAVPYFEQIGPLFSQLMPMMEASPVYSVARDASGGAWKALFDLAGGLIQEYDREAMASVSKFVDQLPSVMNQVTEGVSEFKPTPPENREFCKNSYSVPNTLLVKFSVDAIDDTDIIENILQPRVESINGQVKKVTLSGTHLTPCIQNAAYEELADAIGWVWSSGGLPLQGHIRVNVIAPTALREMHLDRIEYVYRASSLAVRTSIII >cds.KYUSt_contig_2278.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:132479:133613:1 gene:KYUSg_contig_2278.15 transcript:KYUSt_contig_2278.15 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLMAAHAATVPDISVELDLATACADGAAESMLVRDAAVPASGDGARPPLVVIGHRGKGMNALASPDERLQEVKENSVRSFNDAARVAGVGYVEFDVQVTKDGCPVIFHDNFIFTEQDGEISGKRVTDLGLDEFLSYGPQKDQGKVGRPLLRKLKDGRILRWDVQSDDAFCTLREAFEDVDTRVGFNIELKFDDDLLYQDEELTGILQAILKA >cds.KYUSt_chr1.39866 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244018518:244018961:1 gene:KYUSg_chr1.39866 transcript:KYUSt_chr1.39866 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSAGSLPSWPRRAEAMASTTEPEVGGAADQARLVDAAGARDGGEAVRRAVAESPVVVVGRRGCCLSHVVKRLLQGLGVNPAVHEIADDAELAALASAEGEVAALPAVFVGGRLLGGLDRLMAVHISGELVPILKSAGALWL >cds.KYUSt_chr6.25100 pep primary_assembly:MPB_Lper_Kyuss_1697:6:159005558:159007250:-1 gene:KYUSg_chr6.25100 transcript:KYUSt_chr6.25100 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRFLASALKTTPRRPLVPNYQAVLEKVEGLKAVNIQVDSISKSIQELEANARSQRVVWRFNERKIEHGLKRDDPAHRRSYHLALGITSAVMYFAPKASKRFS >cds.KYUSt_chr1.25952 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156159450:156164024:1 gene:KYUSg_chr1.25952 transcript:KYUSt_chr1.25952 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGQKTLATLVKSLRSGPISNSSAPRLRHLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFNINNVKYEGSLLIVENKIMTWTPKTFADITAESLSIFKVVHPIPEILILGCGKYVQPVSPELRKFIRSTGMKLEAIDSRNAASTYNILNEEGRPVAAAVLPFGVDS >cds.KYUSt_chr2.10713 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68199634:68200128:-1 gene:KYUSg_chr2.10713 transcript:KYUSt_chr2.10713 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSDLCKNHREKVMPIHEDRNLEGSLATHGCRRGAVSIPWQRLALIYAGSGGHVHHLSRLLCQEVLEKSCAAVVEPASSYLYVGQASNCVGRHESALNILVVTSCFADVIKQTSLGQMKKYADVGRCGGISSQDRQNADDGPQNLAVYYSLRNFRIRSAAQLS >cds.KYUSt_chr7.17275 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107029430:107032306:1 gene:KYUSg_chr7.17275 transcript:KYUSt_chr7.17275 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAARMGLAAPWTPLKLRRAARRTLARRPSSPPFFSVSPARNPSSANLAVAAVTGFPAASRHRHEVRPDSLVLLRPRAQGGGPGIAVAALVFPADGSAHRRRFAAVRPPPATPSTSTCSRFFPYQYQTVSSKPLIHDAESMVASHVCRATVPVGVGAWEAELVTGCKASQGFC >cds.KYUSt_chr1.5926 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36452544:36453616:1 gene:KYUSg_chr1.5926 transcript:KYUSt_chr1.5926 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVKVYGVVASPFVATVLLCLEETGVSYELVPVDMAAREQKTEPYLSRNPFGKIPTFEDGEITLFESRAISRYILRKYGPAGTPKDLLRESNLEESAMVDAWTEVEAHQYHPAISNIVRQCIIMPLIGGARDQAVVDENVGKLGKVLDVYEARLSSSPYLAGDFFSLADLAHFAFTYFLMAGTEYAPLLEKRASVGAWWERIMARLAVRKVAALIDLGLLKQMSPS >cds.KYUSt_chr2.39008 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241865747:241871099:1 gene:KYUSg_chr2.39008 transcript:KYUSt_chr2.39008 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAWGCHADCVSRRHPARDFAPCFAPCRSCLNALDDQDRGASPRNQSSGRDRERSSQQSSSRRSSGPSLSRRHERDGTVKSRGYASFGRSNRDRVCEKGSDFRDCESRPGLPDDPLRDGFGSFSTCRPESDRLNRIRPKLDASNRAAGVSLDNVNLSSKDTGGISFEREFPHLSSEDSNGKHDVARVPSPGISTPIQSIPLVSAPDGWNSVLAEVPGLSEPNNNNVSSALSPAGSIRQLEVTNCGSALSMAETVSSLSDKLKIKGARAGDSNGPVKSLQQLSAQPSNSSVRTQVKSELGKPSQPGSFQVLTREQNGAVNTAKDVTSDPGSPVLGRSFSVEPLKKPIASQKLKGATNGLPLHLQQGSSVERKASAKDKHKFFELLRSKSLNGSRSGIESSSSLIDEQRNPSLDLSLFNSGIKCIEIGSSSWEDANSCDGSPRHLSDNEGVKPHSESCDVLYEGLHEIVANIKYSNSSSDLADAVTPEADKAEPTLSIIPMDKNDASVKSDSSYDKTNMSVEPIVPWEDETYPTEDEPSPEEMAFLKSLGWKEDEIVPPLKQEEIADCLRHNLRLQQKLEECRG >cds.KYUSt_chr4.21074 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132795041:132795583:-1 gene:KYUSg_chr4.21074 transcript:KYUSt_chr4.21074 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPVLVSLSLLLVGAAAARKTTTHLNFYLHDIVTAGPSSPATAVRVARGVTPLPVDPTKRFGDMYVIDDPLTEGPDAASPAVGTAQGFYILASRTDIALLFTVNLVFTAGPHNGSTIAVHGRDAVFDPVRELPVVGGTGVFRGATGYGLFQTYSADNATFNAVLQVDMYVSARVGAPH >cds.KYUSt_chr4.34363 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210945983:210958385:-1 gene:KYUSg_chr4.34363 transcript:KYUSt_chr4.34363 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLIKEFYGLLCPRNVLAFDQDIISCPDNAYMQIGVRAPVEEGDPKTDKLDRDLDRNGLSGEIPKSLSSLTFLKDIDLSYNSLSGGIPLQLFQVAKYNFVGNHLNCSQNSTPCEGSNGKSGGSEGTEQVNERQDEELVWGIEGKKSGFTLFSLSQLLEATSNFSDENKLGQGGFGPVYKGQFPDGLEVAVKRLASHSGQGFTEFKNEIQLIANLQHRNLSDRCVFFSEVVWSLASLVCREGMNWGGFVLLKHSVIALHEIRMMLTDSRGVLKDWNDNQVSPCYLANVRCDQHGDVIGITLSSSGLSGVLSPSIATIKTLQQLLLDGNSITGGIPQELGNLSNLMTLKLGRNKLNGSIPESVGLLSELQNLDLSHNLLIGNIPSSLSNLPSLNNINLAYNSLSGEIPEQLLQVSQYNYTGNHLNCDQHLTSCAGGTNKTGGSRNSTLKVIIGSIGGAVTLIVFVVLFLLWWQRMRHHPEIYIDVAGQHDHSLEFGQIKRFSWRELQIATNNFSELSVLGKGGFGKVYIGVLPGPDSKKVAVKRLFEVESPEGEMAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPFMENLSVASRLRDIELNEQTLDWPTRMRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGKFEAVIGDFGLAKMMDIGRNTVTTGVRGTMGHIAPEYFKTGRPSVKTDIFGYGVMLLEIVTGERAIFPHFMEGDGEVMLIDQVR >cds.KYUSt_chr3.33368 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209484440:209494234:1 gene:KYUSg_chr3.33368 transcript:KYUSt_chr3.33368 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPADAAASASGRRLRTRGAEAAAPARSAALERIRARREGGARAAAVQVRMEDPIYDTVAEEDYAALVARRRRDAGAFIIDDDGLGYVDDGREEDWTHRALPSSSDEGSGGEDGAAPRKRKQPRPPQARRPPQQSAAAASLSAAAAMMGKQRLSSMFTSSVFKKPGSDRAPAADSIVDDVIAEFAPDENDREERRRRVGRISAPTPLPPPVAHINKPVKAALYPEMEVRSDNNGFEPDVVSDHGNDMDVEPIPEVELKPDVEMQPKSEATQGSSTELADVSKNLEELKQEANGEVKVEKAHRLNAKIKAEGSMNRDVSSATAGWMKICGEGENAGGAGEAAADGNVDVDESSEFELKDGALPFYILDAYEEPFGINSGTVYLFGKVQIGKGFHSCCVVVKNMQRCIYAIPSSSVFPRDAISRTEKNSTNSDALPLLRATLHELASGLKSEVAEKLSDLNISNFVMTPVKRNYAFERTDIPTGEQYVLKINYPYKDTAVPSDLRGEHFHALLGTNNSALELFLVKRKIKGPTWLSISKFVTCPSTQRVSWCKFEVTVDCPKDISVLMTSAALEVPPAVVAAVNLKTIINEKHNVHEIVSASVICCHRVKIDSPMRPEDWQKRGMISHFTVMRKLEGSIFPIGLNKEASDRNQKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSSMWSKIGRLRRSIMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKDRREVSPHDIPPMFQSSGTLLKLVEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHSFHAKKFIVPDKFARNKEVNSAKRKMNADTEGANAADGVTDPSVDDEVHHGDQGKARKGPSYAGGLVLEPKKGLYDKYILLLDFNSLYPSIIQEFNICFTTVERSSDGNLPNLPTSKATGVLPELLKSLVERRRMVKKWLKTASGLKMQQLDIQQQALKLTANSMYGCLGFSNSRFFAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIYTGLDDISRAKAIAGKVIQEVNKKYRCLEIDLDGVYKRMLLLKKKKYAAIKVTLDGTLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGTCDDVIEAIHNSLVQVQEQMRNGQIELEKYIITKSLTKAPEDYPDAKNQPHVQVASRLKQNGFSGCSAGDTVPYIICSQQDSDNTHSGGIAQRARHPDELKRDPNKWMIDIDYYLSQQIHPVVSRLCASIEGTSPARLAECLGLDSSKFQSRSIGSSDQDTSTTLLSVIDNEDERYRGCEQLRLSCPSCSSAFECPPVSSLIASPSDPNEGKDASVNFWRRMRCPKCPDDTDECRVSPAMLANQIKRQADNFINQYYKGLLICDDEGCKYSTHTVNLRVIGDSERGTICPNYPQCNGRLVRQYTEADLYRQLSYFCYVLDATRCLQKLDQKTRLPFEKEFAILNQTINLAFVEIQKIRDRCAFGWVQLTDLAVSI >cds.KYUSt_chr6.19156 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120510541:120512454:1 gene:KYUSg_chr6.19156 transcript:KYUSt_chr6.19156 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCAHVEFLRAQPWWALALAAVGLLVALRAALRLALWVYAFFLRPAKPLRRRYGPWAVVTGGTDGIGRAIAFRLAASGLSLVLVGRSPDKLAAVADEIRAKHPKAEVRTFVLDFAYEGLAAGVDALKESIRGLDVGVLVNNAGLSYPYARYFHEVDQELMRALIQVNVEAVTRITHAVLPGMVERKRGAIVNIGSGAASVVPSDPLYSVYAATKAYVDQFSRCLYVEYKGKGIDVQCQVPLYVATKMASIRKSSFLVPSADTYARAAIRHIGYEPRSTPYWPHSVVWFFISLLPESLVDSMRLSMCIKIRKKGQAKDAMKKAQ >cds.KYUSt_chr7.25395 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158436777:158440517:-1 gene:KYUSg_chr7.25395 transcript:KYUSt_chr7.25395 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPDHAACSICLDPVGGGRSVAKLQCGHEFHLAFNAKGAMQCPNCRKIEKGRWLYATGHRPSPDIDIGGWVTGETYDITSDLPFGFQWCPFNGFTQLSSVFEEGEVEPTSYHTAGDHSSAASSSLVCPYLSLRGFLHPMHVPSSSNPGTEGPSFHRHPTGAEGHASPDLRDAQGFHATESRNLDSEHRYLSNLPVSGIPDHSVPPFGIGLPRYETSSQQRSRPYVHHHPLVHRPTPRNGSNLVAPPLGSVPAVMAETRGHGHGARGHMYQQSMHSSMQNSPFPPTTRRVRPRALAITSFIAAAASSAEVGVPHGFAPTAPANRNLSSDSDGVPRPVDRPYGWGREGFAPFPWVPVDSEPHWWSTFNPIQNSTHGSFTRRPAAGERILQSHPDNIYHPVPPQRMPPFL >cds.KYUSt_chr3.46536 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292716572:292717288:-1 gene:KYUSg_chr3.46536 transcript:KYUSt_chr3.46536 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADVARSVVGIVGNVISFGLFLSPVPTFWGIIKKKDVEEFSPVPYLATIFNCMLWVFYGLPLVHPNSTLVITINGIGLAIEAAYLVTFLLYAPNRKRLWVLAVLAAEAVLMAALVTGVLLGAHTHDSRSMIVGILCVIANTCMYAAPLSVMGKVIKTKSVEYMPFYLSLVGLLNGGCWTAYALIKFDLYITIPNGLGVLFSIAQLILYGCYYKSTPKKAKNVELPTIADKTLSTNV >cds.KYUSt_chr4.20048 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125995742:126002953:-1 gene:KYUSg_chr4.20048 transcript:KYUSt_chr4.20048 gene_biotype:protein_coding transcript_biotype:protein_coding MFENDGCVILPETDTDLKRLSRGRTHSSSGVVHARTAANQGRPNNSGTAANQGRPKNSTLIDTTNPNESGKTQQEDGTTAAESIFGSMTGKTQMHCRRMVDKFAQRRKEKAELESLAELQAVEESFYTQKKNSEAEGHMSSNITRANKQKCKDFDLNEVLKFENEDNEGYDDSNIPEMHADGASFAGENACPADNADYSNGNEHGNREEDKYEENLESVLNPEEPTAEEHEMDRMFANKKYPTMQEISEASTPTVGISVRVDRKVHEVSHVNVCLRQDSNPHPTRDKSSTQEHNENIQENKGSFRAVPFDTKNLENELPKEIKKIKNRDIEELLLLFKEAREKMPGFRHSIDVDSENRVKSLFWTDQIGRANYSKFGQFVSFDTTFSTNQYGMPFAPILGVDNYGKTVMFGVGLLEDERADTFKWLFEEFLSAMDNKYPETTITDQDVAMGIAISKALPYTVHRFCNFHISKNLDDKLSLFFAYHNALGEPHLDKIYDIRAQWVPAYFKERFFPFTSSTGRIESTNSLFKHYVKRKDSIATFFKEYIIIQEKKQSYLDRLREKSEFKESVNWGFNSLEREAMEIYTDPIYGKFAEELRKGTAYNVEVVEEKRLYRVIRVTNCRNAEFPRSTYMVSVSPDEDVYKCSCSKMARDGIQCCHVMRVATHIGLTELPVSFINPRWTTAAGIEVARLTERRSNTASQNTHLVVRHAIEMSKISHILSTVCMDDRSCDLFAEGVAELKKAICKDAIEHLQTKEKVTRKRKKVDDPV >cds.KYUSt_chr1.34568 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210453129:210457998:-1 gene:KYUSg_chr1.34568 transcript:KYUSt_chr1.34568 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMAASSQPPATASASDLARFRSASGIGSMNMDDILRNIYGEAPPAGAGGDPAPAPEAARRTAEEVWKEISATGGLSAPTPTPAPAGGGGAGGADPAVMTLEDFLAREEEARVAGVEGPMAVVFPDAAEGAVAAARRRGGGAAAGGRGRKRALMDPMDRAATQRQKRMIKNRESAARSRERKQAYIAELEAQVTQLEEEHAELLREQEEQNEKRLNELKEQAFQVVIRKKPSQDLRRTNSMEWLPPVSSPRTRRIPRVINHPRQPHLYTPVRSPRGVPRAPLSLHSPSTLPVAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPDGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFCGGGSGSSPPTTARRLRLRATTPRAADVGGARWAGHSRSSSITSRAETSRSPGRKRKAAKKEDAAAAAANRLAEEEAKRAEDAAVAEAIAGSLNDPVPADNALPEDAALAWSERD >cds.KYUSt_chr2.31735 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195760043:195760405:-1 gene:KYUSg_chr2.31735 transcript:KYUSt_chr2.31735 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQSKQFPLQDREGRDYGKGNCSMAELRRDYGKGNCSTAELRKEGDRDYGKGNCSTAELRKEEDRDYGKGNCSTAELRKEGAAVAREVQKITILGRREPLPLSLRKEGSEARGERRPFR >cds.KYUSt_scaffold_1259.162 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1074524:1075951:1 gene:KYUSg_scaffold_1259.162 transcript:KYUSt_scaffold_1259.162 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFSPASARAASPALFAASTSRPLFLTAAISARIPSRRGFRRGRFTVCNVAAPTAPEQDTKAKAAKESQRPVYPFPAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDISVVVGDPFNSDPYDPEVMGPEVRNRVLNGDTLPVTTTKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDRDPKSFRQSYLDEQEKLQDQITSARSNLGSVQLDHELRVKISRVCAELNVDGLRGDIVTNRAAKALAALKGRDTVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVVEKFYEVFG >cds.KYUSt_chr1.10400 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63719675:63721698:-1 gene:KYUSg_chr1.10400 transcript:KYUSt_chr1.10400 gene_biotype:protein_coding transcript_biotype:protein_coding MHACVVHFFALHCLASTNRGQPGYSMVDDKLQEHRISASLRCPGCGHELECTPDMAGLPAGVKFDPTDQELIEHLESKVMDGGSRAHPLIDDFIPTLQGEDGICYTHPEKLPGVTRNGQSKHFFHRPSKAYTTGTRKRRKIQAERDLANSRNGAETRWHKTGKTRPLMVDGRQKGCKKILVLYINFGKPRKPEKTNWVMHQYHLGDLEDEKEGQLIVSKVFYQTQPRQSVAATTVVAQDERARDSNKEVEMPSGSMQNGLTAYALAAAAAVQMQGQPPMLDDEVI >cds.KYUSt_chr5.8139 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51550221:51554381:1 gene:KYUSg_chr5.8139 transcript:KYUSt_chr5.8139 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGVATAAGTAVLVYLVLSGRLCGDGADGAVGGRGAMEDEMISSAVSAAAAARERRKQDARSRRRARRGRRWPERAPQGWGEAVVEAARTVRFAYGETLGKWSLGELAFGINYYMRQQGNLQHEYAGSDSVPLGGPRAREELISLLRYMKLCMYFSKKPYKVFLEFGGYDESDVLIKKSKARFLKPAFTVVRERSSKCFLLFIRGAISVKERLTAATGADIPFHHVVAKGGRVSNLVLGYAHCGMATSARWIAGQATPCLSKAAAQFPDYRIMIIGHSMGASIAALLTCILRENDRLSSSTCIAFGPAACMTWDLAESCKDFITTIVNRNDVVPSLGRVSTAKLRTEVMASTWVHELREQIQQTRFLGFVNRSVSFMRAHVPFVSDPRSKIVDANMLQPYTSEAEIKPSEDIHCDVKKRPSLVCWSCGSAQKQAIDPEKHTQDMTNETDVDDRTEKSDTEAAAQKLASVSLGASDDEDTNREDNKSPPIGTDKGQAMEFQESLTSKQQELPPSGPSEYPLQLYPPGRILHMVALPAAEPNTSQQGGQEEVVTLYETPRHLYSKIRLGKSMVGEHYMPKYINTMELLIEKLAGEDDDGDQLELL >cds.KYUSt_chr2.17811 pep primary_assembly:MPB_Lper_Kyuss_1697:2:112174029:112179668:-1 gene:KYUSg_chr2.17811 transcript:KYUSt_chr2.17811 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRKVSRVPGTRAKSQLHAISDTGLGLNKRTTTDGLREAFSKFGQVTEARVITDRISGYSRGFGFVKYATVEEAGEGIKGMDGKFLDGWVIFAEYAKERQAQQPPQSSGTPPSGYQYSR >cds.KYUSt_chr7.10549 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64795853:64799641:-1 gene:KYUSg_chr7.10549 transcript:KYUSt_chr7.10549 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLTGPQENRACESEVDEMELYSAQAALANGGVSQVRSYAKEAAPADRPPVSGDDLLKGIFFEVKKKFETALSVLKKEKITIDPDDPTAVAGYAQVMRTVRDKAGLLSDSQRIKYTIETFTKGIPDARTYLNTLQEIRKKSGLIDDLGVEALMMEALEKVEKDIKKPLLRSDKKNMALLVAEFDKINKKLGIRKEDLPKIEEELEMEIAKSELSELKKECVEAMEGQLKREEFKDEVMPDVRKLDIRNFL >cds.KYUSt_chr3.38257 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240957690:240959311:1 gene:KYUSg_chr3.38257 transcript:KYUSt_chr3.38257 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDAADAEAVLERIWDLHDRLSDAILAVSTTHFLTAPPQRPSASSRRKNGYVFFKDRPEGGGAEDGGGSALAAAAEAMAEARSLHAIRSALEDLEDHLEFVHTVQSQQQAERDAAIARLEQSRIVLATRLAEHQGKKYRVIDETLAFVGEVSDKSRFISPEDLRATGQSGEEAVENGGNGSSIMANLLSGSLSLAKNSFRVDKIGGALGNAAVFAVSMLAFLQLHQVTFRSRTQPMEYRKRIDYSYQSGSSQQGGKGKHLEVYLARG >cds.KYUSt_contig_402.378 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2349823:2356659:-1 gene:KYUSg_contig_402.378 transcript:KYUSt_contig_402.378 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDYGRELRRQAGILKEKKDLAEKQEKKACLEEADKEESKKGGKQVAQLGKQIFRAQAPSKKVTADDASGGAIDMADKISTVVLKVDLECARCYKKIRKVLCKIQDRMNIKTISFDEKSNAVTVSGPFDAEKLCRKLCSEAGKVIRELHVKGKESKDGGGGEKPKAAKDAGKAEKDGGKAEKDGGKAEKAKDDGGKPEKADKKDGGEKPKDEKPEKKVKFVDAAPAADAKPGKGMPPLPPGMTKADLAPLLEKMMQAKQAGQGGGPQPPRGEPIMMVPPPAAAAQGVAVPSIWPAPAGAMSCYSYDPAGYGQPSYYGGGGCGRGCQCASCYKPAPPGGYYGVPVHDHQGWYNRQPYYQQQQQPYCSEDPNAGCSVM >cds.KYUSt_chr2.753 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4467468:4467773:-1 gene:KYUSg_chr2.753 transcript:KYUSt_chr2.753 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAQVKMIAIGMMLAIVLAVAANAEPDPSHKKDICIDKTDKVPGATACICSKNCACAGKCILAGGDGDEVKTCFVECVLKNDCNCNAEGSSDPAPQANK >cds.KYUSt_chr4.19386 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121828157:121828648:1 gene:KYUSg_chr4.19386 transcript:KYUSt_chr4.19386 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLPLLLLAVAANAGLLATPSQALTQDGLHLLDAKRALTVPDGTLTDRNPTAATPCAWTGVTCDAAGAVTALSVANTNLAGPFPASLRRIPRLASLDLGANYLGPEAAVAGCNALTHLDLSVNSFVGPLPAAPAGLPAPSWWCCCRRSPRCANGKEEGEGA >cds.KYUSt_chr5.35115 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222009910:222012118:-1 gene:KYUSg_chr5.35115 transcript:KYUSt_chr5.35115 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYACISKDSECVDITHGKEKGYRCNCSHGYKGNPYIMGGCQDIDECHANDNPCGHEICQNTPGNFSCSCRKGYYMTNGVCVPIQKRSRFQPMPVVGASIGFVVLVIVVACACLIQERRKLRKMKQSYFRQHGGLILFNEMKSKEGNAFKIFTEEELQLGTNNFSEKQVLGHGGHGTVYKGLLRGNVEVAVKKCMTIDEQHKKEFGKEMVILSQINHRNIVKLLGCCLEVEVPMLVYEFVPNGTLFHLIHGNHGRSISLATRLRIAIESADALTYLHSSASTPIIHGDVKSPNILLDSNHSAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCYLTDKSDVYSFGVVLVELLTGKIPFNLDAPEKEKSLAMMFMSAMKENRLAGILDDQVKGEDNMEILEEIAELAKQCLDMCGDNRPSMKEVTDKLDGLMKVMQHPWMQQDPEEMEGLLSEPMANSAIGSAEYFSIEKKAINAMQSGR >cds.KYUSt_chr6.29166 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184809617:184815518:1 gene:KYUSg_chr6.29166 transcript:KYUSt_chr6.29166 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRAPLGFSSRGEGSLRRAAAAGGGTGAVAGRMDARRRVAPASFRASEVLGWSREFFSPLTTVDPTSYPVFGDSGGILQRGTSLSPPCILLRPPLSSLHILSLFSLQEQRAPPPARRPTASRGGGCQVPARFELIIMVKKTAKKGYGGVAACTSSSELAENMPRQNFRLGDITWVKHDGFSWWPAQVIDEAYVSSKPKKKTSFDALVRLLYVDPWKSNMEFEMILKEENKTAMEAFHEVLQKELSHFKPPSDHDEETVTTKAKTSAKKVRKREGLKHQYSAEQDQEVWGNAKAGVAVQEGRKQKGARQASRLDKGHAIDKALSEDSAEDLRDKAHKQASIARDRKGRTSVVREEGSRRSGRTSVMEYLEASEDSTSELHDTSASENATEAGDITSANRSWRKNGSSTVDGTHSEIKAMVRDILLGDIIARQHAAEMAYVDEVIFGICAATEKIAVHGATTADTEGGGSIKRAAGGLEADSSNATKKPRKGEVIDLENGDCQNTKRNNSSKRNDSNSPRSTGAAMEGSEQRNTRAKSRLCRA >cds.KYUSt_chr4.24125 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151833818:151834691:-1 gene:KYUSg_chr4.24125 transcript:KYUSt_chr4.24125 gene_biotype:protein_coding transcript_biotype:protein_coding MYALAPPPRAPTGSPAAAAYSVRPHILHRLHRGTSRRRGADLRCRRRLLTARGERPSPDDEDEDEQEPAGFDAAVALFNGGEYYACHDVVEELWYSAEDPARTLLHGVLQCAVGFHHLFDRNHRGAMMELGEGLCKLRRLRLEDDDEGPFSRFRDEVAAVLSFLYRTQKELAACTDELCLAMDGSATSYQLLGDFAAGQRLYRLGADANGVPTIVFSGGASSDQSTQQAAAAIVPPCTVKLPALHATEQHLTALQRAHEY >cds.KYUSt_chr3.23476 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145106731:145115214:-1 gene:KYUSg_chr3.23476 transcript:KYUSt_chr3.23476 gene_biotype:protein_coding transcript_biotype:protein_coding MSASELSSETLRSASSLSGPGQFLSRRVAGEKTRREMVAKAEVRPRPLKVEAIKSTVDIWFCDALRGLKLNVLGTDDSPIPITVHPFFSVDISSDSSVVVRQLKDWKDRQGGGQKHLFGFYDHGFHQDYPGWALRNYIAFLSLRWKIEKVHFLCYREGREGIDLEKSLIGEASFAAPQDWDGSDYMPEVIGWEGETPGDGRKEMKMKSIDLKSLRPESQDEEQQLMHLKLMGWRHFPVNLDKLRGTRCLVLGAGALGCEVSRLLMRQKLCLVHLLSRRCPVFRRKPSKNQQQLCHNGTPKPHTPPQGHTQPPWLPTKAKELKPAYAKQMALRRETGSSASDDEPRRGDAQDKRRIGPSPDHLTQVIVHRPRVASTSQQEEITTKTFEHAGTEPTNMTLPQPNLGHYHRRCLTRLHPEHPDLRLTSCQPRSHVCLPRRSARRGSPPSRRRPQEGTRRRIDAVVRSHRIKAFTRRREPEAAKVVELHDRPPRRTATSTDAARSGFRPEQTNHHILTRPDSETKIEKTLTARSPQTHLMCRSGATATHKPPLPPPSDQGAPRARLPPPAPRGVEEPSHTITADQLTEKSSADAANRHREGTSTDANTGGPRQSRRPQQREPPVQPPCRPRGHSGRQPPTSHPQGRRPAIQHCPHPCSNAGEGREEGRRLSPGIHGPSQREQGRSRAGPPKPIWTRARAAMPPPPPASLHHRCAPPTRQHGRLRRRHRRPQRTPPCPAPDELPLARERGRERNRPAATFPGERAALPAPLRRRRNGEESELLGTKGLLSPLQIAITAAVGYDSYLVMRHGARPGTRSGGMDDVIAQTQNLSTEDAVGHQRLGCCFCNEKTSLFNSVSNETVALPGLTSIASGKAVELFARMLHHPQGFRVNSGKFSSVECLILGAGTLGCDVARILMDYGVQKLTVVDSGHVAMSNLARQSLYTSDDLNTPKATAILKRLKEKYPSKGDLDVKGKIMEIPMPGHPVSSVEEEALVRKNCKDLQELVATHDAVFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLAMRHGAGPGTCSEGSSVVVGMTRLSPQDVIGRQRLGCYFCNDVISPVDSVSNRTLDQQCTVTRPGLASIASGHAAELFARMLNHPDGIHAPADIAGTTSERPFGLLPHQIRGSLSSYNLLTLLGYSSSCCIACSDVVLSEYRSRGMDFVMQVINEPTYLEDLTGLTELMKSADYSRVEWVDDVDDDAEFADI >cds.KYUSt_chr1.29744 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180096920:180098058:-1 gene:KYUSg_chr1.29744 transcript:KYUSt_chr1.29744 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGKFSNPSSDKDQEEVVSNQNSDTDEELYVRTDITETRKMFAHTADIEVVYTDDTHKAAEIIDKYEQWLMKEKYKFVGLDFEYCDPEYKGDHRIAVVQLSMNNHVLVYQWSSGVHFASVDIRNDKIAIERSWNIEIPAECHIDLQDLFKLDRDRTGMADMAASLIDSSYKVMKQKFPSIQHKFWEKSPLDDINIEYAARDAYVAYQLYRTILACNYGQRHRLPPQATATALQPSSTGGAEASSSSICKVQQVLVGTKRPSGDEGWTQIRMTDGHEYWSAASLKFPMYYETSPPHYLEGEWDDWPEDKKPKIDWSDAPADTPVFYAK >cds.KYUSt_chr2.2683 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16029082:16032153:1 gene:KYUSg_chr2.2683 transcript:KYUSt_chr2.2683 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLIDDEVSRYATPRHTVPGIVGRLMGLDVMPSSGVHSQDTCNGNHLQGMSPGSCSHKSGNCSDKYALSGDVPHRTCTDEVPEFKDVFEVMETTRMKNLNRNTCSGHDRVNNADLNFVRQKFIDAKRLSTDGSFQKSEDFNDALEALVSNKDVLMEILQGSNNVAASDLSGLSCSPLSGVNRITLLKPSRRNKFIDTDVVYPPENDTERCFRTPKEAKHSPRKLHIKPHTPKEETGSFRQKLSRSSYRDCIDKRISPTRIVVLRPYLDKTQNVEGAFPLTDDIFCSSDRRTEACLDDGIQRQYAEESMPQASTGYSDAKRHREKGPREIAREVSLHMRTAGRGGASGKQKLNPDIGTSKQDEQVSLLASMAKLKSSAALERSPVLCDSLDAPSVATSPTHSSKRSIRKEAKRRLSDRWKVAHQHQHPSREANTFSTLGDMLALSDKEVSELTAATRQQCPEGKLHRDVIPGSCGYPLGISSNDGWKDENICNISRLESISTSSINRRSQNLSSTKASFTHGESSMVENIIRSGPYDSEVLHQDRQRRSLIRSSTYHCDESDVPCLDKVECEIHVNFEEPTYTGGVPELSETGGRLVHAGNSYHSLGSERYINYSPAVPSWQGEAQSSGHNKIINQHQASTLDDNLSLADSASQTEGNEHDRCEDNQAPSDHLTELVSVVSSNEDDHPSPVSVLESSLDAEDCCSGGLRMQLRLLKMEAAGDADETELALFSDDETAASCELANETAPTSSTFWDEDERDFSYVVDMLTCVGIQSAEQDLLIETRCLLRSPACSDVYDNLEKKYSKLILWPQSERRLLFDLTSDVLVDIITCLTQCGSQGLMMRCHLSMKWGKEEFVQEVWERVCRQRRETECFQEEKLMGVGWLDCEDVTEQIAADFGGMVCEDLLEEAIADLNLLKLFG >cds.KYUSt_chr5.22446 pep primary_assembly:MPB_Lper_Kyuss_1697:5:146458085:146460395:-1 gene:KYUSg_chr5.22446 transcript:KYUSt_chr5.22446 gene_biotype:protein_coding transcript_biotype:protein_coding MMCRSHAATAVCVPGDARSMVVGRRADRTIAEDARILHDVRYVRLGGGGCDGAGATRVSSRRATAPPMPRRRGAPVAVTLPMVTKSPKETPARDTAAAKRTPAAPPTAAPATGDQVLQVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDVESKKVTVMGHVSPAGVLESISRVKKAELLLC >cds.KYUSt_chr3.42806 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270558781:270575621:1 gene:KYUSg_chr3.42806 transcript:KYUSt_chr3.42806 gene_biotype:protein_coding transcript_biotype:protein_coding MAISIGLVVNVFAGGGGNGALDFMFHVGAGIPGVAPHYIPPPSTFNVLLDSYWTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNAREEKLEREAPIPKKLEDGWEPIIKMKVKDFDCNALCDLGASISVMPKKIYNMLDLPPLKNCYLDVNLADHSTKKPLGKVDNVRITVNNNLVPVDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEDIATASLTLARPFGSLWYALKETEKERRAPSAPNKRQEPNPDLAASPHKPETGPAAAAMGARDPEEPSQMRRALVDTLAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGLLRKDVYGPSKYTGLLQATKDILREEGLPGFWRGNVPALFMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGAIAGCAATVGSYPFDLLRTILASQGEPKVYPNMRSALFDIIQTRGVRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMSWNRYRHGSEEDDSASSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVVKEGFGGLYKGLFPSVVKSAPAGAVTFVAYEYISDWLESILM >cds.KYUSt_chr5.42105 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265435732:265444037:1 gene:KYUSg_chr5.42105 transcript:KYUSt_chr5.42105 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIKRLPKSVHSSLRSSIILSDLPRVVEELIYNSIDAKAKKIDISVNVRACYVKVEDDGCGITRDELVLLGEKYATSKFHGVMDDVESSSTSFGSNGEALASLSDISVVEGSKCSHLGIDDQREAVGTTVVVRELFYNQPVRRKQMQSSHKRELHNVKKCVLRVALIHPQVTVRLIDIDSEDELLYTVPSSSPLALISNSFGNDVSSCLHEISTSDQSWVLSGHISGPTDVFCNKDWQSILFFFEQTIANYWKKQVPQPSKGKATDVTLPVGAPLKSNVKSNKGLLKYQHVQNKEDDADLQQRILQKSAVRESNVGTGAAAAPRDSQCFSFDLKPSAWHLFSPDRISGASEHADSIARNDRIHFPERASYQWFDNGSSELEDSDHSGANPTVWKKRRMEGIFHGHAYSCNFGKFDDVQTKGLSSDDQESEIMGLDIDLQEPCFGGVNRPNSITCDFMENETNIKSNMSSCDGFYDDFDELNEDHLLNEVTETITDISCPEMSHFSDGFYHEDRNTSSILKRCSTRKKLGTAAGYVEGLETNVIPQMNFPDTHPVWESDDMDRSSIKDDYLNFSDPYMLADTPRGHSHARTGLESQGRSISFAYGNFENVDSDFRFTLDRFNSESPRICEGPKKLDRFNSESPRICEGPKKLNNFYDQTQSPNYFSSVDRFVDQFVSKDDELAWKSKTDATLSYNISPERSTTGCQLKVSSSNMANNSVLAEDLLNQHDFGFGRRSRLTKVRSRSCSAPPFYKGKRKFPGLNQPRFKLAAEDDRDIPMKESEDTAPAPEDISPMSATQPIRETSSSEFSGLTLSLKGNALELPHVSSKCYDDVLSISSGPLNLSCSSLVPECIDKNCFEEARVLLQLDKKFIPVISGKLLLLVDQHAADERIRLEELRSKVLSDEDRGITYLDSEEDMALPETGYQLFQKYSEQIQKWGWIINNSSISSQSFKKNMNILRRQARAVTLAAVPSILGVDLTGKDLMDFIQQLDETDGSSGIPPAVLRILNFKACRGAIMFGDPLLPSECCLIIEELKETSLCFQCAHGRPTTVPIVNVASLHDELVRLGMMSGRSEAEEPWHGLSHHGPSLERAQTRLKQLRRLRQGCLLITDRGAEVWIFDLLVKMPSGEIAGVGIVKFNALGDVVE >cds.KYUSt_chr4.7450 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44306719:44311320:1 gene:KYUSg_chr4.7450 transcript:KYUSt_chr4.7450 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRLTLRVVASHLPQFRLLEWSVGQIVTTMGAKVEAEGYKLGYYAVGNLQAGANGWRSSYEEERTSNGEFCNGFMTADAVGYSDYDKEMFKRTMLAHEAAFRQQVYELHRVYRIQRDLAQQYQNKEVHACSRLEYASQRNSPSQVPLHGAMTISAAAAVNNEISHSLKFLREGSVQSSPNGFLSSDAALHTKQGIFDLELRSDTIENDNPSDNKPIDFLGVSSDTRHQSDVGVALDRVEGFRRLGHNCQTSFLPTTSKLGGPNEPVLGTYTGRANGSVSGGLSYSQENPWQHSVWRSTTTNYSYNKEFSKDKCANEGTSSNFFDASSRIEQDERSLVNKDGSYSGVPLDSLFASRARHEVAISSDLEQNNRLRFEHPARDCHEDPDIANGKGRNNFNLNEALSDGQEDIPVEQGGVCVGSLQCIVGEGSVSGIPWLSKKAPFADSTGLEEPRKVFEHSYETAMEMKMNKDISGAAQALCNLPDSALTSVGCEVKKNKTQESAACLPSSCQKAAEGVTSKTGATILNFFDLNDDVRNEDNSESSIVSHECHVTSLQNNHAKRGFVIDLEVPACEDAAATAAAEDILALSMDVPATPDNILQWFAELAVSRIDGHAKQVEVGDSSDGDDLDSFESLTLKLEETKGVEFSSWPLTPAITNDEQTISPVNLLTKPKRSQQRKRRQKRDFQKDILPSMSSLCRPEIIEDIELLEGLVQMTGGSWESSLTRRRRTRGKKSKKSLPDPIEEEVQISPPTKPDGVGLEAEDRSMIGWGRTTRRCRRPRCPSGITVSAAS >cds.KYUSt_chr5.12905 pep primary_assembly:MPB_Lper_Kyuss_1697:5:84187267:84192688:1 gene:KYUSg_chr5.12905 transcript:KYUSt_chr5.12905 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRMKCLVGGAVDQGSSPRGAARRVSPASGRVHNAAAAAPREKGAAICLRPPELMETVHEVAVYIHRFHNLDLFQQGWYQMKISATWEEGATGGKTPASPARVVQYEAPDVGADDALGIWRIDDADNSFYTQPFRIKYARQDIYLSVMVSFNILNTEVEGPAASAVILKYELIYAPTLENGSDIQASSVTSSAAMHEFRIPRRALLGLHSYCPVHFDAFHAVLVDLTLHIVYLKAGASKLSLKIPDQGLSPASHQIVKALLASRETLLEELKKMSDAVGKTIEDLDGADLNLGKYESLQPSKSVQPDSGKVFPVTTKGVGHLAGILHDFLEKPNDVVDGTSDGMLYALSSEELLELFLTVSRQLSVLWNAFLKFHRMNKTKILDYLRDIWAIDRKTEWSIWTNYSRVEIPHRYLRSMTDDSSHRQSLLRVSGSRKFHEDPVQNSSSRAELHRKSIAQMKINTRSVQDMHIYADPSRVPVVLIEQHLMVVPQHASSKDLATNSSEQKDTIVLPKLQGESSALKITGGKKGGRVLRAVIFVHGFQGHHLDLRLVRNQWLLLDPGAECLMSEANEDKTSGDFKEMGGRLAGEAVAFLKKKVDKLARYGGCKELKLSFVGHSIGNVIIRSALADPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGTQCIHQLTFSDDQDPQNTFFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDNSRKGQVFTEMLNNCLDQIRAPSSETRIFMRCDVNFDQSAQGRSLNTMIGRAAHIEFLETDIYAKFIMWSFPELFR >cds.KYUSt_chr2.16187 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101820275:101820945:1 gene:KYUSg_chr2.16187 transcript:KYUSt_chr2.16187 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFPTATFAHLPPPPPPHRAAIAAAIAAAAAAAAAAASFTLTAKSAGRPLPHPAHSAPLWASLSLADGATPGNVEPRTGAAFPAEAAGGRRLLGVGLRKTTVLGLKSIDVYAFGVYADDSDLKQLRDKYQKLPVSELKENAELISDALERDIRMTVRLQIVYGRLSIGSVRSAFEKSVGSRLQKFGGSDTKELLQR >cds.KYUSt_chr7.31708 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197524234:197527918:1 gene:KYUSg_chr7.31708 transcript:KYUSt_chr7.31708 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQRFYLRMDTKLQEERPHDGAPTDAKKTGKDTGSDAKEASTNKAAEPQVVQGNAKDDEGLGPAKKKKEDKETCFRCKKPGHFIDDCTTPFCDLCESIHHLSSACHLLQAPKPTAILHGYANEALMFFEMTCGAFKAKVENPKLAKVSVEGEVMTIPEIIEHMKRIVPYENFYWEVYHYKDNIYRVKLPSKYEVQRLKNFGSYVCPNKDTVLFFDFWSSVEEPLYMLPEVWVRVDGIPSDMRADYLSLWGIGSLFGKTLDVDMPFTRKNKLLRIKIGCLDRTLIPQDSDVFIRRGFYKLRFEVEVGNGIQEVNMTEANLDNNGGGDPNNGLGQGEGHNDMEMDVRGMENEGNANDNGQVGNGEKNGEEGMQEQGAQVEEISIGSLKVPVSPLGRSASGSPRTAAPGALSSVLSADNRTRAAEGVSVRQHSGVAAANVAPPALQRVGHAAVASPRVANGVQGINHAMMSAAGNLVIEPLPANEEGRVKHAGDRWGGGAFHELGSDAVTQAGTGQFSTHVSPQKIPDTAVSVGLNIDGQAGPMMRPAALCLGGSSVQGAAGSLEPVKQVENVMGRPLIHKRQEIATFDSQGIRRDASSMNSAGESPRLSVFSSNNDTHNASISIVDNGSVSHCPSLEEIIAFGGIPKPSGNVRSNTRLGGQPNADMPQMEKAMMKAQSRDDSFSSGQFTIPMHSIINIPNSEIVKRADRLGISLGNSPDEIGKSIKGLKMVEEERILTILENKNSEMDNMKEGLETLVLSKVSTLCEDLIDDEDIPLDMDDHLEHLKPVVKV >cds.KYUSt_chr7.1930 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11030702:11035514:1 gene:KYUSg_chr7.1930 transcript:KYUSt_chr7.1930 gene_biotype:protein_coding transcript_biotype:protein_coding MELRCGPCVEWLIPNESCVQTMQMQLKRYVLVLLFTSTHHFGGEVQFLLTDIKDWWLDKFTPLFRHLSNYDVIDMDNDQEVHCFPCIVISSSFHRTMSIDATCSPGGETVSDFKRLLRRTFHLKRDVASHIKPRLLIISWKSSRRFLNERTMAHAAALAQLDVRIAEPDNHMDMPNFAHLVVPFGGLEWLSRVTFQDPAKDMDVSYMEYNVSLEESSVEKLYPKDHFYLHHPYDVHKKGWDAIKTVYLDKQSVTLNLTKFAHALEHARRLLP >cds.KYUSt_chr1.39232 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240033035:240038111:1 gene:KYUSg_chr1.39232 transcript:KYUSt_chr1.39232 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARQRTRNADERRSPQADDGGGAAEEYDPWTAWLYKPRTISVLLVGACLLIWASGALDPEGAASHSSVTSIKRGVLAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALTFLLFQNRDDARQFMKHLSPDLGVELPERSYGVDCRLYVPENPKNKFINIYETLFDEFVVAHVLGWWGKAVMIRNQSLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWQPFMGPLRFIQVLFLCVVFMMVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSVAICIVELLICMKFGHGLFHDPMPTWLICGRGARFHGGRGGSSKIDATGELREETILWEMWLSDLASSGL >cds.KYUSt_chr1.39626 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242477424:242478488:1 gene:KYUSg_chr1.39626 transcript:KYUSt_chr1.39626 gene_biotype:protein_coding transcript_biotype:protein_coding MESREMASAAAAAAAGAGSSRGGGRLPPPNPNLPYREDCWSEGETAALVGAWGSRYEDLNRGNLRQKQWKEVAEAVNTRRGAAARRRPPRTDVQCKNRVDTLKKKYKAERARGGPSAWSFYDELHRLVGPTLAACAAKARHPSHPPALHFALPIHPAASAAGRKRPASPSPSPSPTSSPLRMALPIQPDYRRGAPLPTAAIIQHARAAAAAASGSDASDDPPHNNNNNSPHHSPSRSLSSLSGGSNGRRRRSETDAGAGELARAIEAFAEMYERVESAKQRHSLEIERDRIDFIKQLEVKRMENFVDAHLKLARATKRSRRTAAGAAANGGTTSAAELVSSMTALPFLSNSTYL >cds.KYUSt_chr2.44348 pep primary_assembly:MPB_Lper_Kyuss_1697:2:275869919:275873510:1 gene:KYUSg_chr2.44348 transcript:KYUSt_chr2.44348 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSCHLVLLLVLFHHDLLLQPATSVTPPPPPTTVLLSFLASLPETSQRILLPSWQANTTAAAPHCAFRGVKCSAAGAVTALNLSGLGLSGALATSAPRLCALPPALSALDFSGNSFAGAIPAALAACSGVVTLLLGRNNLTGALPPELLSSRQLRKVDLGSNALAGEIPVPAANSSANLEYLDLSNNSLSGAIPPELAALPGIRLLNLSTNQLSGPLPEFPAHCRLDNLAVDSNNITGELPRSLGNCGNLTALVLSYNKIGGAVPDFFASLTGLQQLFLDDNNFVGELPASIGELVNLESLVMSKNGITGPVTEAIGKCQSLTMLYLNGNRFNGSIPSFVGNLSRLQKFSMADNGISGTIPPEIGKCRGLVELQLQNNSLSGTIPSEFSELSHLKKLALFKNMLHGTVPSALWRMPDMEELLLYNNSLSGEVPAEITQVRKLRELIVAFNNFTGEIPGALGLNTTHGLVRVDLTGNRFRGAIPPGLCTGGQLAVLSIGHNQFSGGIPSEIAKCESLWRVRLNNNMFSGSLPADLGTNTGWSFVDLSGNQFQGKIPSVLGSWRNLTMLDLSGNNFSGPIPHELGALSILGTLRLSSNMLTGPIPRELGKCKRLFRLDLGSNLLNGSIPEEVTTLGSLQYLLLGENKLTGTIPDSFIATQGLLEMQLGGNSLEGDIPRSLGNLQYISQNLNFSNNKLSGQIPSSLGNLRNLEVLDLSTNSLSGPIPSQLGDMISLSQVNVSFNELSGQLPAGNWGKLAEKSPDAFLGNAQLCIQPGNAPCSKKQSQRNRRRNTHIILALLLSTFAVMIAGLCVIHYIVKRSKRLSAKSGSVRNLDSTEELPEDLTYEDILRATDNWSEKYVIGKGRHGTVYRTQFAVGKQWAVKTVDLSQCKFPIEVKILNIVRHRNIVRMAGYCIRPNVGLILYEYMPEGTLFELLHERTPQVALDWTARHLISLGVAEGLSYLHHDCVPMIVHRDVKSSNILMDVELVPKIADFGMGKIVGDEDADATVSVVVGTLGYIAPEQGYSTRLTEKSDVYSYGVVLLELLTRRMPVDPAFGDGVDIVTWMRMRSNLTQADHGSMMSCLDEEIMYWPEHDQAKALDLLDLAISCTQMSCQLRPSMREVVNILMRIDKNIISEHHK >cds.KYUSt_chr6.32764 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206455135:206464607:-1 gene:KYUSg_chr6.32764 transcript:KYUSt_chr6.32764 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTWSSARLTDPDANHLPESRLVLPPAGHLSPFPPGRRRGGAAMLRRTILIRLLSSPSTSPVASLHRLLSAAAPAVSPNPSFAVEDYLVGTCGLTRAQALKASAKLSHLKSPTNPDAVLAFLTGLSLSSADVASAVAKDPQLLCANVEKTLAPVVAGLAGHGLAQAEIARFVSLGRPISRCRSVVSNLPYYLSLFGSVENLFRFLKKGSGLLGCSLEKVVKPNVVFLRKCGLGDCDISKLFLSTPRLLAANPEHVQAMVASAQGLGVPPGSAMFKRMLQAVTLVSEETIAAKLEYLKNMFRWSDAQVRIAVCKAPGVLTKSKESLQSRSKFLISDVGLAPAYIAQRSVMLTYSLEGRIRPRYYVLKFLKEKGLLPQDRDYYSVLSISEKLAPVIVGGDLVSEKKDLHISLDKRRGLFVGRTVTLRLRSNGEKFSVQLNHGGYFLGRIKIYFCFPGWSVNTKGLLEIRGDLQSDKMVEAVNSESPLADPQPVIVSPTKEGRHLDVELKPIHLICPGAQPEVKKTKRELAMDEANEMDDNISVNSEDSDYEPEIIDSDYDVSDEDSGLQEDVVDEEGSYKGKHVHIADNSDDDLELLDSDEENIRYNFKTFRPEDMHKPAFQSGQVFGSVELLRKAIREYSCKNRVDIKLPINDRQRLCAKCEPGCPWYMWASTDSRTKSFQIKRFDGEHTCSKKWKVRAFTYVFLAEKYVETFRADEDMNMKNFSRIVQKDCNMGPTRRKLQRSRRLALQVVYGDENAQYKLLWDFGEELRRNNPGSTFLLSVDAMSRFKQCYMCVDACKRGFLKGCRPRHVRVEPQFEPLPESSFIVAAREKASQTGCCSAQPRQGDLAKEVEEIKQAKNNASAKKKEEAMQARIRKEEEAVALKAQIAKLKADESQQKKMLAAQKKKEEQAAKKE >cds.KYUSt_chr3.9789 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57557029:57557574:-1 gene:KYUSg_chr3.9789 transcript:KYUSt_chr3.9789 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAATSFLVARDPPPPTKTAHLGQGHGPALEGWVRWAFPQVVCVLLVFAFAWGLRRASYDVGVLPGPTAYLLAVLCFCLWKLEVLRRDLGGDPASAAREWRKVGLATLSASLALGSMVAVHVASAAPGLALRVTLWVLAGLAMVLAVYLSFEARRGDYRTDDAEHWPEKDLHELSPEQRV >cds.KYUSt_chr2.7255 pep primary_assembly:MPB_Lper_Kyuss_1697:2:45566491:45570801:1 gene:KYUSg_chr2.7255 transcript:KYUSt_chr2.7255 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAEEDSSRGFETPEEDGRPKALKIRGEARVPDERKEPKTHEAKTLIIPAGPDNWIFPPGVKGRLPSSMIGALLRKFWPGKYYPSALVPAGEKKLATTWTDYESAPGVGFPTAAEAMMRKFWCFYRVAPEVEETAANRTLRATCERLTPQVWYNQRITSAGHFWAERGERVHKPDIVGKNAKAEYEMTVEDYMSVIPDWAEPHAEAWEEMVRTRWLKMDEDFAAVARRNAENRGDGGTHCGGNLSYERYKGKTRAALGPEEEMSDLEIYNKMRLKKPDLSQPQPSLPEYFGTYAEDVENYCEMVRHRHPEVDDTMSAEVDEESLVLSSGGLPHGRLAMLNKAVKHTLTTTFTRLKAGLTKDSPPLPPRRRARQQPAYDPDFEAAYVAAHQEYQVAFNQHQQQFKEYMAYIHASFVGNQTGQTVDLGPMPPFPGPAPNMPSKENFAAEYYGRTTGTGCSGNQGGGRDMTPVHHGGTSPGATPAKNAKNVPNGTLYADGLPLGVAPRFPQGAPRVQKWTKWSPLRRRYGPRGSVRRPGTPRPRHASTYADGEALGVSAPGSPRPRHASTYADGEALGVSAPGSTRSSHVWRYADGEALGVSRGRVAQVLPRVDYADGWGLPSALSVYADGRRYAEGHVASAEAHLPRGATPRDPVGVSVDHYSTSCPNAEAIVREAVKKAIDSNRGTGAGLIRLFFHDCFVRGCDASVLLNTTGSDEATERLGRPNLTLRGFDVIDAAKSTLEELCPGVVSCSDILAFAGRDATYFLTNLTADFAMPAGRYDGRISLANETFPNLPAPFYGVQQLNDSFAAKGLSLEDMVTLSGAHSVGRSSCSSFSDRLTSNSSDMDPGYAAYLRKQCSGYGGMAAQDYKTPDDLDREYYRGVISHDVLLGSDAALMSSNETAKMVMDNASVLGLWESKFVAAMVKMGGVGAKTSADGEIRKKCWIIN >cds.KYUSt_chr5.39916 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252333506:252334771:-1 gene:KYUSg_chr5.39916 transcript:KYUSt_chr5.39916 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRGSIKNKATSPDASAIACLPRDVLAGILLRLPASDLRRFRRVCKEWREVISDPVFVDAHMDHGPQDLTHTIVFFSGSCPAYRAKFPNNSRDFRTEQPHNGGGYLVDQQWQVTAQFTVSESQDMVGACNGMLCFLDVLRDAIIIVEPFTGEFITLPLPLETEKRHYHMAYCFGFDPSSRRYKLIHLTTAREQGLYVYTIGEGTNWRCVHNFVGNTYGYEWPTMVDGAVYWSAGGKLARFDLATEEVTSELIEFRPPGDQTQFTPVFLVLGCSDARVRLMGGVHDKLFIGEGAGWANNSTVRLPLWRDLTWPQPLQRGHLMLHGGRNGGMYAHPISPASDRLGLGKLVLTMDTTIWQDLSTPVTPTYNTPGLFARVTAAQERRVPHKDNKAKMFCYAPPLYPAPLAHYFGKLSELHKL >cds.KYUSt_chr4.53869 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333285665:333289332:1 gene:KYUSg_chr4.53869 transcript:KYUSt_chr4.53869 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLTPTQRYAAGALLALALRQAQIHQSVLLGAPSPAAAADDERASSASGGSSGSSGGSGEDAADDAGLWTHDSRGLLRPVFRFLEINPAAWAGLEETAASPEAKHHIGAFLRMIFEEDAESSSDRSDQEHALAKGVDVMAMSLRSDHVEDESTKEGDQGPSTSSGTAESPAGGSPEDLLGVDKLSLDDVPATDHRKMSLLFALLSACVADKPVSQEEEDRKSSRFRKGYDARHRVALRLLATWLDVKWIKMEAIEVMVACSAMAAAKEQEQSGENASPKSKWAKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLMPVIGASGFAAMATAAGSVAGSVAVAASFGAAGAGLTGTKMARRIGSVKEFEFKPIGENHNQGRLAVGILVSGFAFDEEDYIRPWEGWKDNLERYILQWESKHIIAVSTAIQDWLTSRLALELMKQGAMRTVLSGFLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLMKGLQGNRPVTLVGFSLGARVIFKCLQELALSSDNEGLVERVVLLGAPVSVKGEQWEPARKMVAGRFVNVYSKDDWILGVTFRASLLTQGLAGIQAVDIPGVENVDVTELVDGHSSYLSAAQRILEHLELNTYYPVFIPLPPAVSKEQM >cds.KYUSt_chr1.21829 pep primary_assembly:MPB_Lper_Kyuss_1697:1:128892008:128893701:-1 gene:KYUSg_chr1.21829 transcript:KYUSt_chr1.21829 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSSSAMVFILELRVSASDLALRYASISVEPFHNQHHEPSPSDLASHGPGPRSAGSVHRLPCDQKLSVARSRPGAVRIVKRSSSSGL >cds.KYUSt_chr3.7378 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42674640:42675641:-1 gene:KYUSg_chr3.7378 transcript:KYUSt_chr3.7378 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKRRLWMEEALTVPETLLHVGTSEGALASIADACKLLGDDIWGSEHDDYNYDDADSAPDSFSQLSEHADDSRVLLATSCGLEHTICTRLLTAVGAEQNFRPVLPFYPTSAGNGIFGSQSDETRIARSAERYFGSEGFSSQSDETDIARAGARYIAGLSPEGDEIEIARGDALASAERVHGVLIGNDGFDYWANRIAGAHAPDGPLAAAHREITRLVALHGEAGHVLAHCAAPLGLLLRHDGDDPDRAAFLPNAHAALQSLGSAASATAAAEDFLRSRSTARSCSGSWSGVTRLVDDARRDVLEARCAVERMQDAAVADFFHAWKVIKRAPS >cds.KYUSt_chr2.8819 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55529868:55533299:1 gene:KYUSg_chr2.8819 transcript:KYUSt_chr2.8819 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKKGWFERIKRLFISEPKPKPKQEKKVKSKRWLPGKLKTQHSFALPAPEPVPLPVPDQIQIRQAEEEQSKHAVAVALATAAAAEAAVAAAHAAAEVVRLTGPPSSSAPPRDTAPFGRDLYAAVAIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLVRIQARQRARSSSADHHHHHNHPAAAAYDDGMDALLRRGRELYAAALHEQHQQQNSSKGWDGSTLSKEEMGAVVRSREEAAMKRVRALQYASLQNEKIGIRRQPMSRDDMDTLNQRWSWLEEWVGSHQPFDKDVPVAHQSPCRDVAVDVVTTHRHNHHPPPPRSRDSLACLDDEDEDNDDESSYGGRRLGHSSRRSFVRARRTPGRASDVDNNDGALHHPCSPAFPGYMASTASAKAKFRSMSTPKERFAAVPSDAYSEQCFPSFADRLMSPIPSMSPMPSIASDMGFARSGRPPVAQRSPRVKGPMTPSRNRSRRSPSRHSFGSEAALHQMQMEQYTPVR >cds.KYUSt_chr5.40013 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252866832:252874097:-1 gene:KYUSg_chr5.40013 transcript:KYUSt_chr5.40013 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLREEVPKETREEDCIVDYRDLWDCLFSDRFGSFDDQTALGPMRHTFGPIPPYAASDCTLQIFYIRVTDIGKGGLQWPLHVHGFVAVRDSVDHNRNFLFNRTRDDCQALTQEDPFLMLTGPSRALLLIDPITIEVQLKVKSKAEPEKDEVLAFRVFDYHKAYHSVEVESPRILCKRCTLEFGYAPLLPSVEATVTVQVVDGSWDDRFQGVVTCRTTRLKKGEMVLFDSRDGKMPVNLDGVIELSRAPGIRLIKAHGSRVYMESPSRITDCLTTVQYLAVYVKDPPPIYVVLDPGSSWASLDPASSEGRFGSGLLILGWTSSFMINSNWAAQWATYHITVYGPPGLAGSRHCRWYTHEMSSSTPPPSTEPIAATPISSAPPPFIPVQLEPSKDSGKSIEGTSANPENTTGAEQMEQKVEEAAAKKSKARKRDDKAKGKWWPCFTSEIELRNLEAEGFIKPGSWRRVSGELNPAPEAGEWVVTKALIERGFSFPPSDFFSEILTAYELQPHHIAPNSILAISNHVALCEGHLRITPDLPLFQYYFSVKKENISQTSTLATCGGVTFKLRP >cds.KYUSt_chr2.4324 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26606948:26607298:1 gene:KYUSg_chr2.4324 transcript:KYUSt_chr2.4324 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLSAVAAPLSVAGLNKQLGAASFRPLAARPAARMTAVRASLSASAQEKLTAGLTAAAVAAAFVLPEVAEAASPGLSPSLKNFLLSIVSGGVVFAGIAGAVVAVSNFDPVKRT >cds.KYUSt_chr6.11675 pep primary_assembly:MPB_Lper_Kyuss_1697:6:72639129:72641620:-1 gene:KYUSg_chr6.11675 transcript:KYUSt_chr6.11675 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSYCATPSPSLRCSAALFPTLASPPPFPRFAVHPLLPRRLAISTPRIRIPQVASALESLVQESDDEDEEDEEDGAGMFKEEAWAASDERDAVRSPDLDVPELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWITQEDATYIAVHCLRIRNNDAAYRVFSWMERQHWYRFNFALVTRVADFLGREGKVEKCREMLEAMVKQGRVPAESTFHILTIAYLSTPQGRCLEHACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGAAKHNLRQAEFVYHNIVTTNLEVHKEVYAGLIWLHSYQDVIDRERIAALRKEMKRAGFDESVDVLVSVMRAFSKEGRVQETEATWREILQRGSEIPAQAYVCRMEAYARSGERMKSLDIFREMKRQSIPPNVATYHKIIEIMANAKEVDVAEQLMDEFAGSNMKHLMPAFLSLMYMYLDLDMHEKLELAFSKCIARCRPNRILYTIYLESLVRVGNVEKAEEVFDEMHKKGTIGTNTKSCNIMLRGYIFAEDYKKAEKVYDMMCKKKYDVNEESLEKLENGLRLGKKLAVKPIPVSMKLDQEQREILIGLLLGGTQIESHAQRGPHIVNFKFQEDSDAHSVLRVHIHERFFEWLTPASRSFDDESKIPYEFSTIPHLHFGFFADQFFLKGQPVLPKLVHRWLSPRVLAYWFMFGGFKLKSGDIVLKVSGGNSEGVERIVNSLYAQSLPSKVKRKGQFFWIGFQGSNADSFWKTIEPYVLDGFLSLKTQESSNTGSGEDQDTDSDDDIHRSGSEE >cds.KYUSt_chr4.24049 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151448621:151449620:-1 gene:KYUSg_chr4.24049 transcript:KYUSt_chr4.24049 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCRLLLRNSTCRRRLRRTTCHIRRNSCRRQSLPGSRGPWMLPPFIGLAADYEELGSIGAATRRARGDLLEVDLSRRYMSDTREKVALEETVHVPVGGGETALIDLSTGHSQMNALETKIARRGEAKKDGKERIMEQLQILHVGAARGGETGVARRWGKAKLDYWSCMQELREAERLGQQGDWGKAKLDCWSGATRRRHG >cds.KYUSt_chr2.36473 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225157049:225162179:-1 gene:KYUSg_chr2.36473 transcript:KYUSt_chr2.36473 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPFAAPALLLLLPAEPESRGSAGGSSRSASPGTQPQQFERRLAGEFIAVQVIICSQSEDWIAAKVLPHHFGPCDASTDKLASEDDVFCNLLLSSSHGVGTLLSLGHFNMKNFPIMPSMDNWTSDVASLRGSRAAFTPICEWQVRLHIQADLPKVSRMVAKPYHDQERGRHGCSAVMEDEDCCTYVSWTTSPHVICLHTHSSPAWSYRRESSASFQKKITPTSPWKTGHWMLLYVRDLQLDCVHAFSVAVAT >cds.KYUSt_chr1.23195 pep primary_assembly:MPB_Lper_Kyuss_1697:1:137580764:137593331:-1 gene:KYUSg_chr1.23195 transcript:KYUSt_chr1.23195 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCSSSWAAATVSSSRNSANICSSSSSESMFGQAVGRTPVGRVDEERREEAARRRKIGRGQVLLPPLSPLSLLPPGHPPPPPPLGFNPMDIDDELPTAPAPAGGGEPSQALLSGDQFDVEVYAAQYSGRTRVARLLFIAGQCESEQMRLDALRLAYEGSLKGEDTALHRDVVANIAGRLGPRYAVDQAWSDAVERRSLVRKDKLDSELSGYKVPQTLNPLFFFPGFATSAGRSDRRIGLKPLTYPQANMVKESIRMGYNDIGDFHYAHGHLSEALKSYIRTRDYCTTSKHVVQMCLNVILVSVELGQFMHVSNYVSKAEQTPDDLDPVILAKLRAAAGLAYLETNKYKLAARKFIETGLELRNNYSEVISPQDVAVYGALCALASFDRSELKSKVIDNYNFRNFLELVPEVRELVNDFYASRYGSCLGYLEKLKSNLLLDIHLHEHLEVLYKDIRHKAIIQYTFPFISVDLNTMAVAFKTTVSLLEKELAALITDNKIQARIDSHNKILYANHADQRNGTFQRALQTGNEFERDVKFMLLRANLLKHEYNQKAAEKRNKGPETEEVSHEKVNFTEELLSSTSFARKLADQMTLAKAYVILAKEHGNLQLAWELSSQIRNRQRLLSEGAVSGRATTQEEAHPIITRLARLIYKSQDSHYDISTTIVTLKSHALALEERAKAAVVQTAEFGQIAAESLPKNLHCLTVKLTEEWLQNPELRSRSEEHRNSTRLVDNNLYHFSIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRINFGAMSTWLLVNDFKGCTVEVRCIDEFSWLNAASSPLARREMETRGYYNSGGSKTPEREIKFHNPKFASLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHDNVIGAVETCLESIHRYHKYLNFSQPIISSKIDPRTCGWAFGMNIFDLIAWRKANATALYHYWQEQNADQLLWRTGTLPAGLLTFYGLMEPLDWRWHVLGLGYDVDIDDRLIESAVVHYNGNMKPWLKLAIRRYRSIWERFCIPLSLIDWLACAFVKKNGIGSWDGSGDREKVGPAYCGVSKSKTSSSSSVGGFAFFSNRPAEGARLAALLLPFQPSLHVGRLARSTPEVDVPARPSSLPSTQLELREARTGISLGFRSADSPPPPPPPTLPLVKLSWLRSDETPPPASLSLIQLSLKVARPARFLDTYDAGGGARPMQLLGVYLGLPLSDSKLPKEVFLPYISAVESRIGFSLHFITRGGRLTLTKSVLSALPAYLMSCFKLPQWVIDELERLLRAFFWKGKNSVNGSDCLVAWDYVCRSYEEGGLGIKNLRIQNDCLLTKFVHRFLTQPNSPWARWVVATHLQGKDFGDRPTTQTRVWKQMWGLIDTYRNATCVQLGDGITTPLWKDKWTSDGPLCFQFPALFSHTSRPNISVADCWRDGVWTIPFNHITSDRADQEKEALFRFLGTCNLQNLQGDKRGWRLDKIDCFSVSNLYNIMNWGGIKRESV >cds.KYUSt_chr5.3567 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23105873:23106616:1 gene:KYUSg_chr5.3567 transcript:KYUSt_chr5.3567 gene_biotype:protein_coding transcript_biotype:protein_coding MRACVQVNFSNAPRSDPPPRATFLQLAAPSYISSDPPRHLKPSKSLSSHSTSFSPLAAAKSLRQHSILSVLAACHTDQQQADDTMADSAAAAISAEQMSEFREAFAFFDKDGDGRITAEELSTVVRSLGQSPTPEELRDMVRDVDADGNGTIEFAEFLALVSRQQEAARADAGSADEELREAFGVFDRDHDGLISKAELRHVMISLGEKLTDEEVEGMIAEADLDGDGQVNFDEFVRMMMLSDQQQH >cds.KYUSt_chr6.30390 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192466987:192471466:1 gene:KYUSg_chr6.30390 transcript:KYUSt_chr6.30390 gene_biotype:protein_coding transcript_biotype:protein_coding MPQICEDAEDFTPVAPVVKAQPLKSNWDDEDVEEDDVKESWEEEEEKPKPQPVEKPAPKPSAKSAVKKGKQPSTSAEVVEDDVLDDPTLEKLRQQRLVEEADFKSTAELFAKKDSSEKSLETFIPKSESDFAEYAELIANKIRPYEKSFHFMGLLKNVMRLSMTSLKGAEAKEISSSVTAIANEKIKADKEAAAGRKKGGAKKKQLHIEKGEEDFAAGPGASSYDDPDEFDFM >cds.KYUSt_chr2.49211 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308011909:308015643:-1 gene:KYUSg_chr2.49211 transcript:KYUSt_chr2.49211 gene_biotype:protein_coding transcript_biotype:protein_coding MSADLAAASPSLHFGGAVATGSWVSFANDDDGSDEEELAPMTPPATSNSSLASDPAVLVEGLGSLSLSLSPAALGGPAEVPLADDVLPAPSLLWVASLGSDEDDDDEELAPRSPLAGSVHVEEAPVEPCSGLGDDEEWVQVGRGGRPSREPSSLLRKEGLERSLAFKRWARGRCFRCLERDHQVSSCRAPFRCIRCRRPGHRERFCRARFPAARSRSPDARARSPEARAPCQRSRSPPAQPRRPSASRSWAEVVCHSSSPAASPPRPSPRCCEEFSGNTCFDSHLQCQFALLRLELAQLVANRVEEASRPLREEVASLKLLLARVGVTSEPMEVCSSGGQELATVQASFPVSSVEQKSSMVEITPKLHELCAESVVPELLELGGAEVMPPSVKEVRHLVPIDVGVAKSDLLATVPEDEEEEEEEEEEEEEEEEEGEEGVDEEDDDAGAVEDGDDLVEVDAGAVEDGDDLVEVDVAGVRKKRKKKASRTRGPKLTVLEDQCLCESWSTVSHDFIIGANQKYGKYWTRIKAEFDERELINVNYHKVTMKRSQKAISTRCAIIQASVSAFHGYHNEADTKGDSGSDVSGVFDKTMDMYQKHTEGHKSFALMHCYSKLKTNEKWRLTRQSLSKGKDAIDLDALLATSTGRPIGNKAAKADLADAASTEKTQVSITQCHAEVSLTLLFRDKNTDESWAAFLKRQEDKMELKKRKEDISLLTAST >cds.KYUSt_chr1.978 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5166635:5167611:1 gene:KYUSg_chr1.978 transcript:KYUSt_chr1.978 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYVPGVGKRNTESTCKDDGKTKKGKNKPKPKPCTARCTDRCPTKCLVLCPGCVTFCLCDFYPGVACGDPRFTGGDGNNFYFHGKKDQEFCIVSDADLHINAHFIGNHNPASGRDFTWIQAIGVLFAGHHRFSLAAVHSAKWNPEVDHLDIAFDDEPVALRRAVGARWSPAAAPALSVTRTSPANDVVVELRGVFRLVASAVPITAQESSVHNYGVTADDCLAHLDLGFKFQALSDDVHGVLGQTYRSDYVSKLNVAAKMPVMGGAEDYVSSGLFATDCAVARFGGRSGIAMVTDAKYV >cds.KYUSt_chr2.55204 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344179371:344184888:1 gene:KYUSg_chr2.55204 transcript:KYUSt_chr2.55204 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSPPTAPKTIADFFVRPAKRLRSSATATVIPAASLSSSSSPNPSSLSPEQRRRADTNLALARARRNLRLAESKGTIFHPPPYYGSDSRARPACRSRNHLPAGVAASGGAGKLEELLVEETWLEALDGELRKPYALDLCRFVAHERTLGKAPVYPPTHLVFHALHTTPFNNVKAVIIGQDPYHGPGQAMGLSFSVPEGIKIPSSLQNMFKELHKDLGCTVPSHGNLERWAVQGVLMLNTVLTVREHQANSHAKKGWEQFTDAVIKTISEKKSGLVFLLWGNSAQAKIRLIDETKHHILKSAHPSGLSAHRGFFGCRHFSQTNQILERLGLSTIDWQL >cds.KYUSt_chr7.15530 pep primary_assembly:MPB_Lper_Kyuss_1697:7:96608424:96614381:-1 gene:KYUSg_chr7.15530 transcript:KYUSt_chr7.15530 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSSSWVTVLALLLFVDLAHTANGADPLSAGYYAMTCPNAERIVSSVMANRVGGGRMASAVLRLFFHDCFVHGCDASVLLDGPESEKDAEPNLSLTGFTVIDEIKAALERDCPATVSCADLLALASRDAVAQLGGPTWNVPLGRKDSRSAPDKRFTTEHLPKANDNLGSLIQMFRDLGLDAQDLTALSGAHTVGMSNCEHYRERVYGTSDTKYNIDPSFAETRRQMCPLQGPSGDAGKAPFDMETPRKFDNAYYRDLIAHQGLLNSDQALYSGSGLDSLVVRYGSDNDAFGRDFAKAMVKMGNIPPPMGMPTEIMASPSINFNQFLEKEKLKSNGSNFTDWFRHVRIFLNGGNLQFVLEAPLGPPPPPAVSVEVKNVYETRVTRYSQVQCAILCSLEAELQKRFEHHDPYELVHELKAIFETHAAVESYEVSKHFFGCMMEEGSSVSEHVLAMSGHAKKLSDLGIVIPNQLGIHRVLQSLPPSYKNFVMNYNMQNMNKELPELFSMLKSAEIEIQKEHQVLMVNKTTSLKKHGKPNNRGNS >cds.KYUSt_chr5.2825 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18608393:18616849:1 gene:KYUSg_chr5.2825 transcript:KYUSt_chr5.2825 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLQAVVQCTRDLPPSECTRCLSYYTDQLPRLFPNNTGGAIKGSSCYLRYAVLAEKPRTVQVRMERYLYSEKYRREHEKQMTKNEKYREEYRRERRRNVAIVAILVTISMVPVVCLIGTLVQFLSYRWLYWMAVARVAVRSDLESLAKVMAYYRGKSIYEDEFEQGTGPRRFTYDELVAATNGFSSQNKLGEGGFGCVYWGFLNEANLHIAVKKVSKSSRQGWKEFVSEVRGRVVHRDVKPSNIMLNASLKAKLGDFGLARFVCDGRGSLTTGAAGTLGFGVVLLEIACCRRPAVARDDDEGVIIHLVQWVWEAYGQGTILEAADVQLDGNFVEQEMEHVMMVGLWCGHPDPGIRPSIRQAVSVLRLETPLPILPKKEYHEGRIGGLTKGGSSQRDVEGSAWRSGLAAVVIGSLTESPVTNILHSCLAMAPPSCFRLLLLIAVFAACYACAICVAVDGSKTLRPSVVSCSTAGNYSNGSQYHKNLYNLLLAIPMAAAQNGGFFNGTVGAEVDEVFGLVMCYTGSTDTECVDCLTGAPEGIMKLCPHSRTVRAVYGACTLRYSNESFFSVADLAIEHHHVQQIPPYYSDAVTLAAYLLDTAGMSRTRFELFRGLAERAGLLAGRVAWDIQRLNDAQEMTAGVQCTRDLLASECLRCLSNFTDQLPRLLPTNSSGAIKGYSCYLIYFITTKKPLVQDLIHIWDNEIYETSSDRAAMESERSIERQKQEHQNQERQKQRRRKVAIIMGLVVGATVLVLCMIGLSVRYKLNWWQRRMAAAKVFTRSAAKVFTRSALLKEMAYFRGKRLYHDELEQGTGPRRFTYHELAAATDGFTSGNKLGEGGFGSVFRGFITDANLHIAVKKVSKSSRQGWKEFVSEVKIISQLRHRNLVQLLGWFNGGNDDDLLLVYELMPNGSLDAHLYKPDHLLPWTVRYEVALGLGSALLYLHQEMEQCVVHRDIKPSNIMLDVSFKAKLGDFGLARFVCDGRESLTTGAAGTLGYMDPKCVFSGTASMESDVYSFGVVLLEIACCRRPAVVRDDDEGAVIHLVQWVWEAYGQGAILEAADVRLDGKFVEQEMERVMVVGLWCGHPDPVLRPSMRQAVSVLRLETPLPSLPVKMPVPAYMRPPLADDSFGSLGNTGGISSGDTSTTHSTRNKVELMIINHGI >cds.KYUSt_chr5.18626 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120432912:120447942:-1 gene:KYUSg_chr5.18626 transcript:KYUSt_chr5.18626 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLPSRRLASALLSASAPHLPPPPPLHRLLLPAPATGILPSPRLPWGQHPPRFASSSAAAAAEAVSSSEVDELHHALDDTALLPPTPTPPPPPERRRGRGRGKIVTEAAAQHGMTCSKYSALRRRQIKIETEAWEQAAREYRELLADMCQHKLAPNLPYVKSLFLGWFEPLRDRIVAEQELVGERGARASHAPYFNQLPADMMAVITMHKLMGLLMTGNGDGSVRVIQAACQIGEAIEHEVRIHKFLEKTKKKSTKQVEKAVEPEAEANAEAADPDIAKEQQRLRKKVTELMKKQKVRQVRHLVKKQDNARPWGQDAHAKVGSRLIEILIETAHIQPPASQSADSTPEIRPAFTHEMRTVAREQQQSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPINWTGYDKGAHLFLPSYIMRTHGARQQREAVKRAPKEQMQSIFEALNTLGSTKWRVNKKVLTIVDRIWSSGGRLADLVDRANVSIPEKPDTEDEAELKKWRWSVRSAKKENSERHSQRCDVELKLAVARKMKEEAGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFSEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRIAFTENHLEDIFDSANRPLEGKRWWLGAEDPFQCLAVCMDITEALRSPSPETMISHVPVHQDGSCNGLQHYAALGRDKLGAIAVNLVSGEKPADVYSGIATRVVEIMRRDAQKDPATDSDAARARLLVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGVIADDSELFGASCYAAKVTLTALGEMFEAARSIMNWLGDCAKVIACENEPVRWMTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKPKEEGVLDEEAQCPYGGTWDDLAGNLVTSSFPMPPDSQNPEDWRRPEFVRTGPFLIIVIAAAFNAAGITALSGHGFTAVSFLTIFATAATSSSSPAPMSTAKPGAWPLENRCTPAGRGYAVSEAIVASLHAFSYSPRPAKLGPRSRRPTTRSHGRAVHRTPVSAAGSPHPAASSSGNGRSRLATVRPQPAAAALAVSHHLANGHHQLRLPLQARMPGHATAGYVANTSGCCAPAPVRLRSGHLRLPPRPPLAATASVAISDFYRALWPATAAPEHALVHLRLRVASTGPASTNVDLAMAGSRFPRPPPLACARAAAKENIKAQASIHACAREQHQLGTSRAAAPSPSRLPRELRRRGRLRLPHTQGRCFGCAPCSIAGSAQPHRGRLPRGLQVRLPPHNSHLWLRLPQPHPLEHASPSTAPAATSGCVHHQRPVLSKQQQQRRAHCRLASWPAHRCVPVSYGCASPDSRRPAAASPTLPRLCRAIRRSRLAVAGSAPPGSASAAPSPASAAPSAPSGCSTQPPASIISNSTTGCAWLTFVVGSSRPACPGRRCSARRVSLSLACQRKERMGEKKKKKREK >cds.KYUSt_chr2.8514 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53507272:53508432:1 gene:KYUSg_chr2.8514 transcript:KYUSt_chr2.8514 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLMGHELVIIADSIGGYPTKGEATPGEGIEEIVPSRVAPVHLSPDPYLSGGCSPQAVISSGGRSPQAALSSPHAPVTSGSNGVLHLVSIHRSSAAVPSAAAWLLDSVTTALVKPSAQTQLVLMVMGEMAAQSEDALLQIRSNLDLFHGVAHRQVKVHLDAQALGVQETSRLHDATSRTLAALRARLDADAKRGRHPPPSPAEDDPDPEVIHLTGSATLRPVRPNWMGHAAGSSTSASLNWGHGELGGGGFLGGGVGAAGGGVGAGGGRPNSHHGDQLGRSTLPKMSMPRFEGDLPCIWKDQCMDYFRIFNISPVLWLTMGTLHLDGRAAMWWQAYKLKHEVTTWPQFISAVEEQFGTDDHRKVMKAEFRAAVESQFHTTLERA >cds.KYUSt_chr4.33234 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203645007:203645939:-1 gene:KYUSg_chr4.33234 transcript:KYUSt_chr4.33234 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAPSTTQWTGAGGAPSMSAQQWSPFTPDRWRRLPPARSRRRNPRASPPARGDGVFYRGCTPFTPSPMASPRGFTLSPRSSPRAAASGSGSTASSSSGSRGYDDEAAAAAATEHRSRMARFAAAVPGRGQPLPPLRLPARPRRARGRRAPPPERQPPWRQQRPRWPRRHARRQSGPRHRPGWAAPTPPPRADAAHAGGSDNAAYAVSRIPGSSDAAADGATRLPGSSDAAYSSSRLPWSSNAAHGASRLPACNTADTGGARKEQHHQSEASGDLWLVVVEIRVEEKYCGEVQALSGGRGKEIRSESLN >cds.KYUSt_chr4.33619 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206278783:206280211:-1 gene:KYUSg_chr4.33619 transcript:KYUSt_chr4.33619 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPKLPCVPLLLALAASVTATLLYGAGLSVTDLHPVARPAMAAAVRDGQTALALRLAKHLAPASGNSSAKGNVAFSPASIHAALSLVSAGARGATLDQLLAFLGAPSSAGLADFGRHVLYQVLGDRAASGGPSVLFSGGIWVEASRGGISRAFRDVADQCYNSVARVVSFADKPVEVAEAINSWVRTATNNLIDSIVSASDITPNTDLVLANAVYFKAKWLHPFQARRTGPATFHRLDGTRVDAQFMSQTMYGVHYASSVDGFKVLKLPYKHGSAADDTHYSMYIFLPDDRQGFAGMVDAITAGPEYLYSVLPKTANKSTVLTVTLPKFETSFDWDLGDDLRLMGLSLPFSPESADLRGIFHKNHSTYLSKVLHKAVVKVDEDGTEAAAATVVLMKGAGRKPPTQFVADHPFTFFIMEERSGVIVFAGHVLDPTNR >cds.KYUSt_chr3.27514 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171671956:171678758:-1 gene:KYUSg_chr3.27514 transcript:KYUSt_chr3.27514 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILPALAHAVVLNEKCATNPVVSLEGVKSQLQSLSTEVAEAYNVIPNMDLLVPSLLREGTTFAASSLAMVPGTPIPPMLARITNGVTQALKLFHGKAFTCEYKYDGQRAQIHRSTDGSVQIFSRQMKDSTSRFPDLVSMIKELCNSEVTSFILDTEVVGIDRNKGNKLMSFQELSSRERGSKHSSVAIQNIKVDICVFVFDIMFCNGQRLLDYTLRQRRNYIHDVFQEKPGYLELAQQLIVEEDEASIDNTSTLHRMNSFFEKACQSSCEGIMLKTLDIDAGYSASKRCDSWLKVKRDYVEGLGDSLDLVPVGAWYGNGRKAGWYSPFLMACYNPESEEFQSVCRVMSGFSDDFYKEMKEFYSNERILPKKPVYYKTDEQPDLWFTAEQVWEIRGADLTLSPVHHAAIGIVHPSRGISVRMPRYIRSVQDRSPEDCSAATDVACMFKAQTRKMDVSGDNPDKSR >cds.KYUSt_chr2.40613 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252280069:252282665:1 gene:KYUSg_chr2.40613 transcript:KYUSt_chr2.40613 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPQSHPILAYVLSRIPTLSKPRPSASAGGGGDFDIEQPHPQTPTPRSPSLGEFELVERMPGLRHPAVLHAMSRAVADVSAARAALQDLGPRPDHELVDSSRALLAAAAAAGDGAQRIIEADLDACRAVVELDETHDAYEALLHEAEARLEKVYRTAMEGRDLEEAEGKDDTTSAPDAAVQEEVVAVLKQAQEGKPVDCVRLVDRNLRYLPEAFGRIQGLRVLDVSHNQLQVIPDAIGALEHLEELRLASNALVSLPDTVGLLSSLKILDVSTNKLRTLPDSISKCRSLVELNASYNGLTYLPTNIGFELVNLQRLWVHMNKLRSFPSSICEMQSLYLLDAHFNELCGLPSAIGKLSSLEILNLSSNFSDMKELPFSFGDLLNLRELDLSNNQIHALPDSFGRLDKLEKLNLEQNPLAMPPAEIVNQDVDAVKEYMSKKWLDALLEEEEKSMAAAESMQASTPKAWLARSVSWVSDVSGSLVGYVNGGQNKLEKDAILDQQF >cds.KYUSt_chr2.38266 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236944512:236951162:-1 gene:KYUSg_chr2.38266 transcript:KYUSt_chr2.38266 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVLASSCSASPLLAAARRPSRPLAAAAAAAPLSSAAGRRGPARRPRLVFSAASRGSRNGLVNGKAFVDVSPEELLQKELQSSLETVDVKSDSAKSEIKEDTEQQVFTNGAAFKPNEDSSTGPTESSKPGPMLSVDTIEKMMEDPAVQKMVYPGTSFGLLELLLEQFYLRQHATPPVHLSYLPEEMRNPDSFKWMLQNPVYRQQLEEMMSNMGASPDQWDNRMVDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDQEGVKVRL >cds.KYUSt_chr4.52435 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325258186:325259916:1 gene:KYUSg_chr4.52435 transcript:KYUSt_chr4.52435 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTPVCSDSFSTSVWRSFNTVGAESFARTKASGLVPALVHGCSALRFLLRGGEEGGLDCKNSRSKSPAIGTGGKKPRMAASQSHRNRALLVVDDPNEAYFIFELNLDHLFSGEPDEEFPSILNIPDPATSFSNLPRSRENMATTVSGNLLVVATSKSRTLLYDTGSRSTSTGPDMRSGKVSILLVPVAVAHDMVFAMSFFPHLDPEGTPHAELLARDTDAGGRLAWHPIPDPPLLSSLRPGGEREWRISGYFVAGTRVWVSFSREGTFSFDTACRQWRMEGTWELPVRGLALLIPDFLGGGQQLLFGFSSADGHFCACDMEARPHPVIIKSWPEAIPSKLAQRARYFADPHTATLSYCGAGRFCISTTIVTGYFPRKLVNWNQVLNLPRHAVSLVAIEVTPEMQLIRHKLDCYSLPADARVGGCHLL >cds.KYUSt_chr4.15457 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95491787:95498206:-1 gene:KYUSg_chr4.15457 transcript:KYUSt_chr4.15457 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYHCCGAGFFEHIAIIVVLVVFAGLMSGLTLGLMSLSLVDLEVLSKSGTEKDRKHAAKIMPVVKNQHLLLCTLLICNAVAMEALPIFLDGLVTAWGAVLISVTLILLFGEILPQSICSRYGLAIGASVAPFVRVLVWICFPVAYPIAKLLDYMLGHGKAALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELSEKKAKDAMTPLCETFVIDINGKLDRKLMQEVIEKGHSRVPVYYERETNIIGLILVKNLLSINPDDEIPIKSVTIRKIPRVSEDLPLYDILNEFQKGHSHMAVVIRQNIPSYPDKELNNGGETLEVAIAIDDKLGEKAAKNLTPLRRWKSYPNTLNSNTGSRRGKWSKDQSDVLQVHEEPLPTLSEYEEAVGIITMEDVIEELLQEEIYDETHVHVEEQ >cds.KYUSt_contig_1790.397 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:2019140:2023046:1 gene:KYUSg_contig_1790.397 transcript:KYUSt_contig_1790.397 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVINLVEGEPPRLVQLPDKDVEVAERRGALLLATPDLRDNFLGLYDHRHSSSRLSDTQRKTLEYIGEARTSCVTQRDLAQKLDMQPKNFHFIVKSLASQRLIVSQQTIIKGKDNGAEASRNKKVTSTNSLYLSRYAKGMNMNSHQRIEITELGTNEPNEEANIDALQEDGAFGVNFKNDISVHDYLPAMEAICDKLENASGKALVVSDIKIHLDYKMANGHRAWRNVLHKLIDAQLVEEFSAEVDDKVVRCLRLLKKFDPVEFQPRSTIPDYKIGQKHQATDQVMELPLDMCIYDMIKAQGSKGITLVELGKRLGHKNSRKLHERVSSMCERLNLTLVREVKRKISQDRVWTKQDFLHYKSATDLQSIERLPDDNASCSNGWSLVPSRGPDSLHGDLVVNNKFMFEEECHDEPVGH >cds.KYUSt_chr3.11796 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70289603:70290943:1 gene:KYUSg_chr3.11796 transcript:KYUSt_chr3.11796 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRDAEEANGENSEDGGNSNSSSRCNGSDIISFQFMQKILAEILGTYFMIFAGCGSVVVNQRTGGTVTFPGICIVWGLVVMVMVYSVGHVSGAHFNPAVTIAFATCRRFPWKQVPSYVLAQVLGSTLASLTLRLTFGGGHEHFFGTTPSGSYTQAVALEFIISFYLMFVVSGVATDDRAIGELAGLAVGATVVLNVLFAGPITGASMNPARSLGPAIVAARYGGLWVYVVGPVCGTVAGAWAYNLIRLQDKPITRSSFLNTALGLN >cds.KYUSt_chr4.10029 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60665476:60670242:1 gene:KYUSg_chr4.10029 transcript:KYUSt_chr4.10029 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRFSPALQASDLNDFIAPSQDCVVSLNKNSAASRLPIKKKEVLVTKPPEESVKISLKDCLACSGCITSAETVMLEKQSLDDFVTRINSGKAVIVSVSPQSRASLAAFFGLSQSQVFRKLTALFKSMGVKAVYDTSSSRDLALIEACNEFVSRYKLNQLSSEKEAGASLPVLSSACPGWICYAEKTLGSYILPYISSVKSPQQVIGAAIKHHMVEKLGLKPYDIYHVTVMPCYDKKLEAVRDDFVFSVEDKEITEVDSVLTTGEVLDLIQSKSIDFKTMEESPLDRLLTNVDEDGSLYGVSGGSGGYAETIFRYAARALFNREMEGPLDFKVMRNLDFREVTLEVEGRPVLKFALCYGFRNLQNFVRKIKMGKCEYHYIEVMACPSGCLNGGGQIKPAKGQSPKDLIRQLEGVYMQDVSISSPFDNPIAKTLYDDWLVQPGSDNAKRYLHTQYHPVVKSVTSQLQNW >cds.KYUSt_chr4.26913 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168982974:168989970:1 gene:KYUSg_chr4.26913 transcript:KYUSt_chr4.26913 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAEVSHRMLRAASPPPAQEAAGVEIAQDGVPAAAGESQNSPPVSPLPTDGNGDAAAAPAAGEASMDVDGAPLASEGTERQAAADSKAEADGVSLLEKQQHVAVTESKMEVDEITASEIKEHATAAVVAPSEVKAEVSPRMLGGVDIAQVSLLDKQQDVTAPVVTESKMEVDDSSATKQEHAMAVAPSEVTMEEGGIREDHQQTNTSDGGRLVKENEEGGCFVGHYITTSASSDGATRLGKVASYDASIGVYNVVFEDGQGEEFALPQLRELLMDDGASAMKVSRMKRKLDLLVSTGTVKQAKGPPTTRQRVDACEVPARPDVSQQTGCDPDLSGIVKPSSNSSDLAKALPAELDLLVSPGTVKQAKGPPTTRQRVDACEVPARHDVTQQTGCDPDLSGIVKPSSNSSDCAKALPAELSPPLQGPELPPSSADIAVPEESISHLFSVYNFLRSFNVQLFLSPFGLDDFVASINCTVQNTLLDAVHVSLLRALRRHLETKSSRGSQLASNCLRYVDWALLDVLTWPTFLLEYLYLMGYIKSLGGRSFGRTLLDMEYYKLPVTTKLRILQILCDHVTESEEFKAELEAREGYNEEMEYEGYSIISSEAGSRAVLTRASKASVCGKIEGLQSSKTAPNATNPEAVLPNASQDGNSDDCRICGMDGTLLESNIRSVAFSPSWVKLLDDWPLESPAPSAGTSHPAAYQKRGTGGRRGRKRSLATESAAVTDNGKSWKKVNWWSGGNISKRILQRGGYLSSAIRKAARQGNCHNECTVRSVGGKGGNGTSTLICKLCIQKRSLILTNYSTNARHVLPQKKSTGQLPVSAPKIVFKVSSSISAEPAVTDQAQPIAKAEVHPVAKPWSSFSVAALRARPKIEGKKSKSEKKRKTREIKYFGLSWRKNSNDNRGSDFRANDVILKCKDGFLTSVVSLHIAWFHGDALRLEEERILDVVEYRCCRCRRQAIPTCPHSDDFEPEFSEQTVATSSQSSMLCSEDTASYGIFEPTGEETFDADLSMNVARFNPGTNQKLSIRRAQGKTSGYVDQPPANANVHMGKFSLSELDGVDASELPDWDHSQGSQWNEASRGSSATETSSPFTDLLEAEDARFANTFGMPSGMQDNGNYTGSFIREPVSIDDVAVMIEGGSSNTHFPANDPPPDKAPCIKCKDSQPPPDLKCSVCGLHIHRQCSPWDENDLPSESADWACGACREWR >cds.KYUSt_chr1.26591 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160247400:160252925:-1 gene:KYUSg_chr1.26591 transcript:KYUSt_chr1.26591 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSLQIILAEGIEERRSQLPPIDRSLCSASHRKSKLVVVLDSGILRRASGKHPEGIKNCDRHSLFGKEAANAAGKELHEVLVIFGLSFDDEISFLASKVVLEDYNSLGTDLIRRQGAAEDPSTGRLLGKIPTYPPPPSSYHNPKLITPAARSPKAPPAIQDPTPPSTVTPAATLASPPGGATLRRFGEIVASSVRTLALALLCNKALIPITIALIDFDILLRVHHPQAGITIDLLYD >cds.KYUSt_chr2.50969 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318853844:318858331:-1 gene:KYUSg_chr2.50969 transcript:KYUSt_chr2.50969 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHWAGVVLLALHCGVAALLPLCSAAPPSVGGDVSALLAFKRAVIDDPHSALADWTDADADADGSACDWRGVVCSSPQGPVVSLRLSNASLKGFIAPELGQLTFLQELYLDHNLLFGTIPKQLGSLRNLRVLDLGANRLAGPIPPELSGLSSVSVINLHSNGLTGNIPPELGKLQNLVELRLDRNRLKGSIPGSNATGFSPMADTGSTAHAGLCPSPRLNVGDFSYNFLAGKIPPCLKYLPRSSFQGNCFQDEYSILQRAHQICTSASAAGHLKGFKRAPSDHKHDGVQQPTWLLVLEIATGVLLLVFVITGAITASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSTPETVVYKGTMKDGPEVSVISLCAFEGHWTSQHELFYQNKVIDLARLNHENTAKFLGYCRESDPFSRMLVFEYASNGTLYEHLHYGEAAQFSWFRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMLFSRHEKSLGHFNNKASFRGRDSSEDKQADVQGNTFAFGVILLEIISGRLPYCKDKGYLVDWAIKYLQQPEEIGKLVDPELSNVRTEDLAVICSVVSRCIDPDPSKRPSMQIIAGALETGIDLSAAGILKESSLAWAELALSL >cds.KYUSt_contig_1158.158 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:992948:993862:-1 gene:KYUSg_contig_1158.158 transcript:KYUSt_contig_1158.158 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPGTVKAGDPVLHEPAQEVASRDVSSEKVQGIIDQMIAVMRKAPGVGLAAPQIGVPLKIIVLEDTQEFISYAPKKDIEAQDRRPFDLLVIINPKLKKTSKRSACFYEGCLRAVVERHLDVEVSGLDRNGRPIKVVASGWQARILQHECDHLEGTLYVDKMVPRTFRTVDNLDLPLATGCPPLGAR >cds.KYUSt_chr2.4913 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30406240:30417230:-1 gene:KYUSg_chr2.4913 transcript:KYUSt_chr2.4913 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSSARKRPPPAASSSSEEASTPPPPAAEDALAAAKSPVPAPAPAPQGQGQAKPDSRPRTRPSKRAKAQGEKTLAAQPPPDEAAKAPGLVVDGASDPTRRPVPASSTVSNSTGARKKRTPRRPLSPEEATAEEMAKWKTKHRASGRTLAWGRLISQSVQYPTIPIYSTHFTVGHGGKHDLKLTDSYPGALVCKLKHVRRGAALEVFVSKAVHVNGKALDRPSKVTLTGGDEVIFSSLGRHAYIFQQLPEEKSSTSALSSSCVFPQGQYPVIGGTLDQLSCKRTKLSVPFSFGNGRPPLVPHDTEIVSSLCKTMEEQGQFSSEENVPFAQHELLKEDLKNAIVSASDISESFDSFPYYLSENTKSSLLTPAHVNLCCKNAMQWTKKISFISQRVLLSGPAGSEIYQETLVKALTKYFNANLLVVDSSLLLGGQSSKSKESVAYKKGDRVRYIGSSQSTKILEGPRAPDYGSQGEVRLSFEENASSKVGVRFDQPIPGGIDLGGSCEVDHGFFCSVDYLCLDGPGWEERAKHPFDVIFEFVCEEREHGPLILFLKDVEKVCGNSYSYHGLKSKLESFPEGVFIIGSQIQADTRKDKLNNGSPFLKFQYSQAAILDLALQDGFGRVNDKNKEAVKTAKHVTKVFPSKVTIQPPQDDLELSRWKQLLDHDVEILKAKANISKVQSFLTRHGLECADVETTLCVKDRTLTNECVDKIVGYTLSHEVMNCTVPTPGKDAIVALSGESLQHGVDLLESMQNDHKKKNTKKSLKDVATENEFEKRLLSDVIPPNEIGVTFDDIGALENVKDTLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKAKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDATNRKKILSVILSKEELADDVDLEALANLTEGYSGSDLKNLCVTAAHRPIREILEKEKKERSLAEAENKPLPPKYSSSDVRCLNLSDFKHAHEQVCASISSDSTNMNELIQWNDLYGEGGSRKKTPLSYFM >cds.KYUSt_chr6.7436 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45202367:45202669:1 gene:KYUSg_chr6.7436 transcript:KYUSt_chr6.7436 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALMISPELRDMLAKVAAFLLVQGLVYLILTKSSGVFSKDKILRSLSFRTMRSMSVRRLLAPLSDVPVGTDDLGSAPPASPSYFSRSWSSLRGGNRED >cds.KYUSt_chr3.18389 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113029373:113036426:-1 gene:KYUSg_chr3.18389 transcript:KYUSt_chr3.18389 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASIARKLARAMLLVAPTAEVAAIASRSGDKARLFAADNGLPAGTRLHGSYEALLEDPEIDAIYLPLPTSLHLKWATAAAARGKHLLLEKPTALCAADLDSILAACEASRVQFMDCTMWMHNLRTAKMRQLVADQSTIGDVRIVNSIVSFRADEDFLQNDIRVKPDLDALGALGDIGWYCIRAILWAVDYELPKNVIAHRYPVKNQAGVLLACGASLYWEDGKVATFHCSFLTNLTMDMTVVGTNGTIHVTDLLIPYNEKSGPFSVDSKTDVNELATEWVPHPSKHVVTTDLPQEALMVQEFCRLVRNIRDAGGKPEGKWMAITRKTQIVVDAVKTSIENGWSKKKDNNLNDMSMRLGIDEDEIDDLVFEDEEDVPKQGDWIKVEQGGPWLFRQNAVSIEAYDGLAPTESVDLNFINVWIQIHKLPIGYRSESLIKNLVEKKVGKCISVELNVQGIGNFVRVRVRLPLAGVVTISREKQREFYAVKYEKIPRFCGFCGLLGHIHTECGTGEHDESKLKWGDFIKADFDTWKGRFTAGGRGFGSGRGRDPSGRGRGLYGRDANIRWRFNAMNNADGSGGSDLQDTAISPVKDKDIDLPASENNFSGAKRGLEFNQEKDDLTQPPIGSVVGDPHAMAMDIVPVPPPAVGAVIDKDIKKRSKKDGTNSNNSIGSANSTRALLVLQARIKPDVLFLSEAHLCKVKAESLKRRLLFDEMLVAESDGRSGGLVLFWNNDITVTSKEVEQNFIDIRINENGEAGWRFTGFYGEPSSDRKYLSWDYIRSLHAEFDLPWIMVGDFNEILYSNEKEGGASRSLRCMQAFRDVLNECELEDMGFEGDIFTWRRGKIRERLDRAVCNYRWADMFPSAGVVNEDFGKSDHRPILVDTERLNGIQVQHAVSHLQFEARWLCESSVESIIQTAWDRAKLIHVEAPLSDHTAEVHEALHSWDKHVLKGPRKRMCESYRLN >cds.KYUSt_chr3.26982 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168353269:168354835:-1 gene:KYUSg_chr3.26982 transcript:KYUSt_chr3.26982 gene_biotype:protein_coding transcript_biotype:protein_coding MISEVGTEKCDASSGADNAVDSSLESAMASGCVEPEKTGEEEKCSADSEKNVRLVMLGVPRRMGKTAADKSLENGMAVAIRATEEQQGGEVQVPRRMRRLVISECPGQWLRQQAGRPDAPGLSADGTKIDNRMRKWLLLLYILAATDAVKPRDSHSPFGNRHYA >cds.KYUSt_chr7.34452 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215117375:215117665:1 gene:KYUSg_chr7.34452 transcript:KYUSt_chr7.34452 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYRGLPQGELTAEEFWAWLGQFDADHDGRISREELQRALQSLNLWFASWKAREGLRAADADRDGAVGGAEVGRLFAFAQRQLGIKITQLGSY >cds.KYUSt_chr1.22074 pep primary_assembly:MPB_Lper_Kyuss_1697:1:130499414:130503849:1 gene:KYUSg_chr1.22074 transcript:KYUSt_chr1.22074 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIGPHGESRRSPPPRDAQLSSSRHVDSVDYSSTIFSSKSSSIPARSSCSTMGGKSSSTRRDNAWAMWTNYRLADVYGLDIKRNPLLSHDVAGTCGDMAVLPSRLLTAATTGLSFSTPVCPSRQHRVAGGGRLLFSSVSCRAAAAGGPITITGDPPTFVSAPGRRIVAVGDLHGDLYQTRAALVMAGVLSPESDAHVWTGGQTILVQVGDILDRGEDEIAILSLLSSLNVQAKSQGGAVFQVNGNHETMNVEGDFRYVDPGSFDECIRYLEYLEEFDGNWDDAFVNWVNVSQRSKEEYRASFNGDWLPLNFVKKQKGFAARASLLKQGGPLACELARHPVILNVNDWIFCHGGLLPHHVAYGIERINAEVSNWMRGSSEDSDDPDLPFIATRGYDSVVWTRLYSQDSVERTQRSWNLSSVIAEQTLKSVGAKGMVVGHTPQNRGVNCKCDGKVWCVDVGMSYGVLHSRPE >cds.KYUSt_chr4.27098 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170253747:170255697:1 gene:KYUSg_chr4.27098 transcript:KYUSt_chr4.27098 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCAHREKATSGAMVVSSVGSTNFIRILNMKNARRSGGGDDICNMYMSPNTETRSCLSPLLLAAVFLLSAVLLCSGHPPTQTADNNPRLQRAYVALQALKKAITDDPKNLTEGWCDPDVCAYFGVFCAPSLDDPCARTMAGVDLNHGDLAGTLPSELGLLTDIAHILCLPNVKFVDIRFNNLCGPVPPAIFDKKIDVLFINNNHFDIELPDNFGNSTASVIVLANIRLRGCIPSSIGRMGGTLNELVLLYSGIRSCIHLLVQLLQ >cds.KYUSt_chr5.37096 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234718192:234719494:-1 gene:KYUSg_chr5.37096 transcript:KYUSt_chr5.37096 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSMAALMAAAAAVSLLMLLVSLAATDRYDQETRRIFVEWKGKYGQTYKDVGEEECRYAVFKDTRRIVDRHIAAGITSSGLNGLSARSREEILRGKGVRMGEASYEQETRLMFVGWKAKYGKTYKDVGEEECRYKLFKGNRRVVVQLNAAAGETAYGINQLGDLTNEEVRACCYGRGGFRNNPEIDGKLSARCQAAAADLRDTVEGRLRSQVCQCIAMELEQSTESGGSAIPGDRAHM >cds.KYUSt_chr4.12280 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74818818:74820890:1 gene:KYUSg_chr4.12280 transcript:KYUSt_chr4.12280 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRSPHLHPQIHASEQGAGMARRRSPRLHPQIHASIRRRRGKSPAEPASLPDGDDILWEILLRLPPLPSSLRRASAVCKRWRGLVTDPRFLRQLYAHHRKPPLLGVFSRRKHRGNDFQFNPILDPPDRIRPRRFNLGRCSGTFGYNFLDCHHGLVLAEIRLQKGVVVWDPITSEQRRLAIPPEFETRSFNGAVICAAGEQGHVHGGCHSSPFKVVLMSAYKPYSQPFVCVYSSETGIWGNLIVTEASCENRIKRPVLVGNSLYWVCNRDSIFEFDLGEHSLTVIAGPPVADDIFFQDYQVIQGQHGALGYAILSYPHFELWQRKANGHGGATWVLETHTILELPHAEGAMVVLLAYDCDSDVILLSVSRNTYMVQLKSMRSRKLYETMDITCNIPFKSFYTPVFSNNMNLPIAPLLDRPTYSGTAIAGGLNGAEMLQIG >cds.KYUSt_chr2.2937 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17311979:17313771:1 gene:KYUSg_chr2.2937 transcript:KYUSt_chr2.2937 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLEFDSTIILSLAFLVSCLVVVISFWSGRKDGLPPSPRSLPIIGNLHQLRGGHHHRTLEVLAQRHGPLFYLRLGSVPAIVVSSASVAEAVFKTQDHVFCSRPPHYTALGTLYGCRDIAFSPYGDQWRQSRRIAVVHLLSTKRVDSFRALRLQEVAGFVQQINAACGAGEDRGVVNVSELTVNLTNTVVSKAAFGSKLGGVEPVIIRDMMKELTEVLDLFAVSDLFPRLRWLDWATGLDARVKKTAAKLDGILEGAITEHESSLGDGDGEVRDLMDDLLSILKDGDRGFKLDRIDIKALILDMFLAGVDTTYKTIEWTMAQLVKNPRELAKVQSEVRQIVAGTDEEVIEVDVEKMSLLHAAIREGLRLTPTIIERETIQDTRLLGYHIPAKTRVLINAWAIGRDAELWENAEEFRPERFLGKAIDYTGKDTKFIPFGAGRRGCPGVGFAMRLVELTLANMMYHFDWELPDGQDLESFQVIEADGLSLGLESALLLGVKPCKKSRTVVE >cds.KYUSt_contig_686-1.1013 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6223853:6227219:1 gene:KYUSg_contig_686-1.1013 transcript:KYUSt_contig_686-1.1013 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWVCGLLSLLAVAAVAAEGSAEPLIRLPTQDEHDAAPAPAPSAEEGVTRWAVLVAGSSGYVNYRHQADVCHAYQILKKGGLKEENIVVFMYDDIANNHENPRRGVIINHPKGKDVYAGVPKDYTGDQVTTENFFAVLMGNKTAVTGGSRKVINSKPNDHIFIYYADHGGAGSLGMPNNPWLFAGDFIKVLRQKHASKSYSKMVIYVEACESGSMFEGIMPQDLNIYVTTAANAEESSWGTYCPGWNPPPPHEYLTCLGDVYSVSWMEDSETHNLKKEAIKDQYESVKKRTSSSNSLTGSHVMEYGDKTFKDEKLFLYQGFDPANVNNTNRLPLPSLEGAINQRDADILFMWKRYEQLNAGSEEKVQVLKKIKETVAHRKHLDSSIDFIGKLVFGFEKGPSVLEAPRSSGQPVVDDWDCLKRMVRVFESHCGSLTQYGMKHMRSFANLCNNGVSEAEMKEASVGACGSYNSGKWSPLVLGYSA >cds.KYUSt_chr2.54305 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338783836:338784657:-1 gene:KYUSg_chr2.54305 transcript:KYUSt_chr2.54305 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSFQTLARNLLLHHRKEARQPRRLSCKATGGGRVDRRDVLLLGLGGAAAAGLATGRGAAIAAPIETPDLSSCQPPDLPDTEPDTNCCPKYGTGITDFSLPSASSPLRVRPAAHLVDAEYLAKYERAVALMKELPADDPRSFEQQWHIHCAYCDGGYDQVGFPDLELQIHNCWLFFPWHRFYLYFHERILGKLIGDDTFALPFWNWDAPGGMTLPPIYANSSSPLYDERRNPAHQPPVPLDLDFSGTDPSIPRDQLIHMNLKIMYRQASTYS >cds.KYUSt_chr2.7374 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46288591:46292506:1 gene:KYUSg_chr2.7374 transcript:KYUSt_chr2.7374 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTGVSLRYMMEFGSVPTDRNLLLSAQFLHKELPIRIARRALELDSLPFGLSAKPAILKVRDWYMDSFRDIRYFPEVKNRDDELAFTQMIKMIKVRHNNVVPTMALGVQQLKNEQFSSRKLPPGFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPEPEPGVIGLINTKLSPIQVAQIASEDARSICLREYGSAPDINIYGDPNFTFPYVASHLHLMLFELVKNSLRAVQEKYMNSDKDVPPVRIIVADGTEDVTIKVAAYCNLRWFANIASRASHP >cds.KYUSt_chr7.31789 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197930723:197931754:-1 gene:KYUSg_chr7.31789 transcript:KYUSt_chr7.31789 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVPAPAGPVPFKDVENNIDLQVNTVPAERSPEERDDAMLVSALPIKLAAGTKLKLRCYQGFWLPEHFVPAAVAMQRRLVPRPDDVVIASLPKCGTTWLNALAFATMARRSYPPAGADHPLLRLNPHECVPFLDALLAEGGSREARLAALPSPRLMYTHMPHAMLPRGLNKVVYICREPKDTAVSLWQFRRSAHPETPFGDTLDSICDGGSTYGPFWDHVLGYWSASAACPEQVLFQRYEELLRDPAANVRRLARFVGVPFSEAEEEAGAVRGIVELCSLGSLRNVEVNRTGLMDGLGFPRKALFRKGVAGDWANHMTPEMARCMDEVVADKLRGTGLTFQ >cds.KYUSt_contig_2742.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000474.1:79074:82492:-1 gene:KYUSg_contig_2742.18 transcript:KYUSt_contig_2742.18 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGRKMNQIPAPAGRLWEASIRKLTTIRRGAAAFPAAVAGVDGLVDPATGTISVASSSADDTDGPAAADADEANAAEDDAELGEPSHSEQLLPSGEFYQGDLRGDLPHGQGKFLWTDGSMYEGAWARGRAAGRGKFSWPSGATYEGDLAGGYMHGQGTYIGEFGDTFAGLWANNLRHGRGTQAYANGDVYDGHWRDGLQDGHGRYIWRQGHEYIGTWKAGEMHGCGTVIWADSDRYDGSWEDGKPKGQGTFRWADGGMYIGTWCQESGVTHAKGVYYPPSGGPAMPVPREPRDAITKLLEDLEVCEGKTVSLLPWQKILTWPGVEAVIQKPVWRPPEVSADQGRASSVRRRSSVSDLDSLAVGDEGGEDASTRADRAWSRTLSCIRAKPGKKQGETISKGHRNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDDALLELSSPGKSGSFFYLTNDDKYMIKTMKKAEVKVLLRMLQPYYKHVRAHENTLITKFFGLHCVKITGAIQKKVRFVIMGNLFCSHYSIHRRFDLKGSSLGRMTDKPLDQIDETTTLKDLDLNFIFRLGGSWFQDFCKQVDRDCELLEQERIMDYSLLVGVHFKDRYSSNADNETPTTATDDEQKRKAPDKLGICMHSRVENIVRNPESESQLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIFRAFAEDVQ >cds.KYUSt_chr3.2965 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17064523:17065446:1 gene:KYUSg_chr3.2965 transcript:KYUSt_chr3.2965 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMKKEMVFFDVETAAAPSASDTDRRWHMLEFGAILVCPRRLVELSAYSTFIQPDDLSAVSGRFASSPSHAAAFAEAPSFEDVADDIFELLDGRVWAGHNIRRFDCHRVRDSFAAAGRPAPEPAGVVDSLAVLGRVFGRRAGDLKMATLATYFGIGKQTHRSLDDARMNLEVLKHCATVLLLESSLPGVLGGEDAGDGAVTRRRAANSIAGNVFNRNRSTAPKPNTNTLQMAFARAAASSTVTAVTTPASAAVQKVNGRSCKRDSTGKVVKATTTATPSAAPRARRPVTTTPFSMILRHSRAIVR >cds.KYUSt_chr6.32778 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206547989:206552584:1 gene:KYUSg_chr6.32778 transcript:KYUSt_chr6.32778 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRTILTRLLSSPSTSPIASLHRLLSAAAPAASPNPSFAVEDYLVGTCGLTRAQALKASAKLSHLKSPTNPDAVLAFLAGLSLSSADVASAVAKDPKLLCTNVEKTLAPVVAGLAGHGLAQAEIARFFSLGRSISRCRSVVSNLPYYVSLVGSVENLFRFLKKSSGLLGCSLEKVVKPNVVFLRKCGLGDCDISKLFLSTPRLFCANPEYVQAMVASAQGLGVPPGSPMFRHMLHAVAFLSEEKIAAKLEYLKNTFRWSDAQVRIAVCKAPAVLLRSKESLQSRSKFLISDAGLAPAYIAQRSVMLNYSLEGRIRPRYYVLKFLKENGLLPQDRDYYSVLMISEKASTKLSHLKSPTKPDAVLAFLAGLGLSRADIAAVVAKDPKFLCTSVERTLASTVTDLTGTGLSHTEMAPTRVRDRC >cds.KYUSt_chr4.7040 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41688701:41689093:1 gene:KYUSg_chr4.7040 transcript:KYUSt_chr4.7040 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSAMADVVVLFSLTIVVAAPLIDGQSLLPHTLYPAPLRDLKRWYAAEFGDYLMAQPPAFLRGLFWLELAFLWPLAVATLYGVLAKRRWAATTSLMAGVTTLTSMVTQSSALLAGWITNTNSRLRLQT >cds.KYUSt_chr2.40642 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252495544:252503084:-1 gene:KYUSg_chr2.40642 transcript:KYUSt_chr2.40642 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGDGWRLSRGGVPIPPPPRGHALDVAIEEAWMTMTDEERADPRHHPDNYTRWNSYFLRRWERELAAYDGPPPPPPRNNAAGRRRWWSAPGRTLEAVLEHIEGGKFPVLTMPLHRGHRRAAAGETSGSHGAWLPARRLPDRRRGHPWRRSGTLVIRDQPSSPQRGRKRKVVKKEDTAAATNAAANRLAEEEAKRAEDAAVAEAIARSLNDLVPADNTLPEDAALAWSRRDWEREEKLLLPTPNLPPIRMKISPDLGVGSANEWGCSKVSSQWPGLPAGVKFEPTDQELLMHLEGKDEEDPGSCAVQLQADEDLAGSSQSVDYGVLSDLDEHPLPNDDISDIRHEKPLPPDYFDMDALFTDCTSTPLEFPL >cds.KYUSt_chr6.3749 pep primary_assembly:MPB_Lper_Kyuss_1697:6:21603576:21606549:1 gene:KYUSg_chr6.3749 transcript:KYUSt_chr6.3749 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSPPQILCASFNQDNSMFSVGTKDGFQIFDARTGRLRYESDIGGLNVVEMRYGTSIIAIVGTGEKPSLSPRRLCLFNTVKDAAKKDLNFRSTILAVRFSMTRLIVVLQDKTFIYDLSSSQILEEIDTVHNPKGICAFAPNSEWCYLAIPASTSKGSALVYKASKPELICQIDAHQSPLATMAFSSNGMYLATASEKGTMVRVHIVAQATKSHSFRRGAYPSAIYSLSFGPSIDLPDVLLATSSSGSLHMFFLDAARNGRNQTNKLLGSIIPGSKAITDALDPANHHVIHSVSPAETRSCLAVHSVEYSQNSSKFPAVRTVVYMVTHDGYFREYTISTTKSNESSWVLEREFSLPDSTLKQKEHHKD >cds.KYUSt_chr2.8487 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53372951:53376584:-1 gene:KYUSg_chr2.8487 transcript:KYUSt_chr2.8487 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLNEGKLSKVEDLWQEFTTPDLARKVVELKAFNKFENTSDALSAATLILDSKPSKGLRKFLQKHCDGETLAVADSKLGNVIKEKLKIDCVHNSAVMELMRGLRNQLSELISGLGTQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKLMGNRTNAVNLDFSEILTDDDVEAQLKEAAVISMGTEVNDLDLSNIRELCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLISHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLASKAALAIRYDALGDGEDNSIGLESRLKLETRLRVLEGKELGRSAGSTKGKPKIEVYEKDRKNGAGLITPAKTYNPSADLVLAQPTEETTKKPETASKKRKHEDVETAPSVETTGDAVQEDGKKKKKKKSKDSEEIPAADASGDKKKKKKSKEIEEPGAATAEGEKKKKKKKSDAQDEEVVAMETESGKKDKKKKKKQADQ >cds.KYUSt_chr2.719 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4273229:4280628:-1 gene:KYUSg_chr2.719 transcript:KYUSt_chr2.719 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNCYGVDKFIDEDGEVWARFGGTGRAAGCCGSYCDSVPSRVVKQYVRRIKPYRRAQDSSVEGYETFGPTCQPDTNRQQGSLQVVVGVGPKPKYRLQVVAIQSILHPCSGEGKLSYYMAACTFVGSPSPLRTRLLPSAPPLVSHRALSASADGPARVRFARRTPIAASLGVTQNTGVVMPDTNVVTQNDLLIVGPGVLGRLVAEKWLKEHPGCKVFGQTASTDHHSELTDMGIIPSLKGSMISQKAPYVIFCAPPSRSDDYPGDLRVAASNWSGEGSFLFTSSTALYDCSDNKLCNEDCPSIPVGRGPRTDVLLRAENVVLEAGGCDAASLAIAIMKKRPRGRIFLGCDNKPLSRQEIMDAVNKSGKFDTEFQGFTGTDGPLGKRMENPKTRADIGWEPKYPTFTEFLGVSN >cds.KYUSt_chr6.23973 pep primary_assembly:MPB_Lper_Kyuss_1697:6:151541736:151543298:1 gene:KYUSg_chr6.23973 transcript:KYUSt_chr6.23973 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRSDFIFVRPRKGNRPQITRNRNPPPLHWIPCLIWALGNRSDWGRAAVGNTVSGDERGEKSAGHRRTPPFPLSRVTSKSCDGLILHSTVDDSPRRSPGRLYFSPDMTTSSYSHDYAQFGNRNWVASSDGAPVWLFTASPEPRLLDPMTRHVNLLPPLPEDSEITRSMKHSRGIVYSDGTIFLYSFLLGSAGFTRSPSTFTAAILSPGDTVRTTMKRTIYMTGDHRCTGAMYQDGKVLVLVDIYMYFWRVFTLDDEDNDTRAEGGMLESCRILRDAENKYFSDRYILESHGELLCVTIFIDENLRHLYGSRNPAKAVFVNVYTLEGSNKDDNLRWVPNNGQSLSDRVLFLGSPASFTTDVAHRDAKGGCVYFVFHRCVLRYSLVNSKAKLVKRLHPGWGSDKVHVWLRQ >cds.KYUSt_chr3.10004 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58940431:58941880:1 gene:KYUSg_chr3.10004 transcript:KYUSt_chr3.10004 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPYSARLDSIADSEKWTLKDSCTAGDVYAAVGTPSTFRLRYGWFEPILKQQSSVASLPSVHSAEKTIVDRPSDPPFSQQEQMVNLSEFPANFARPSVESTPEQTVSDNQSKVTPLSWIDCISNISFGALLSEVVPSQDSKQPPSQSNSILQQLPATCDSFDAAIASLIRQQQTTNQPKVSNPSFWDAEETCHAFPSRKQTSVRGPLSAPSNSSALASSILGAIPESDADGDQQCCTEGRKEEPRPPVPCFGNNENVKPDVSMPESTGEPELGAFCSRLINGTDSLGLSGLLANSLDAFPNFSVS >cds.KYUSt_chr1.32100 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194750794:194751282:1 gene:KYUSg_chr1.32100 transcript:KYUSt_chr1.32100 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDILKVFSNYDGRLSLDKIYAARGGAAAAAAGGGGGERSMPASPSLPPPPQAAAVPGSSARPPVTSMERTVRMLDCQISQFTTMDRLIWADSGDANTFLEAVDNLIGTVQEFDATGTNRALFDRRLLSPDSGHVTTHHASYGYYLFNVDARELAEDFITS >cds.KYUSt_chr1.5548 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34191412:34193625:-1 gene:KYUSg_chr1.5548 transcript:KYUSt_chr1.5548 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSCTGNNTHRSFQFTDDDGRNDKFVRLDNTISPTATMEAGSGHQMDSYFSSRPVKIRSRSVRMAAAGVINRSERLKNIGRVFQEDLKSISLKIYDPQDPFLNRMNRLFLFSCIVSVAVDPLFFYLPSVSETQSNTCIGFDRVLAAGATALRSALDFFFLARILLQFHTAFIAPSSRVFGRGELVVDSAAIARRYMRRFFVFDVLSVLPLPQIQIVKFFLRPKGSDLLPIKTALFFIVLTQYVPRLVRIYPITTELKRTTGVFAETAFAGAAFYLLLYMLASHMVGAFWYLLAVERLDDCWREKCAGLRFHQCKQFMYCAGKNKDDDQDGFTEWRSMIRKVLAQECAPVDQSGTGFNYGIYTTAIASGVTHTAALIPKILFCLWWGLQNLSTGAQGLETTHYKGEALFAILLALFGLILMALLIGNMQTYLQSMTLRMEEMRLKRRDSEQWMHHRHLPDDLRDRVWRHNQYKWLETRGVDEDGLVRCLPKDIRRDVKRHLCLRLVRRVPLFANMDERLLDAICERLKPSLCTEATYVVREGDPVDEMLFIIRGRLESSTTDGGRTGFFNRGLLKEGDFCGEELLTWALDPKAAANLPLSTRTVKAISEVEGFALHADELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWASCFIQAAWRRYQKRKVLEQRRREEEEAYAAEVAASGVSSSRLIKTTFLVSRFAKNVMRGVHRQRSLRAENLILLPKPPEPDFGRVNY >cds.KYUSt_chr3.28367 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176956150:176956539:-1 gene:KYUSg_chr3.28367 transcript:KYUSt_chr3.28367 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSLFLLTLAIVAAGNGEHGGAVAYAIDENGDLQQRRETMAEAVRTFSNPVSAAAADAQTVRRLAMFMKRELAPLAPVFSAIRKMPVNSAADVRSWEEAFDAAFELLMRHFQPIWPPQQGYPKTDDL >cds.KYUSt_chr1.2041 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11768418:11768876:-1 gene:KYUSg_chr1.2041 transcript:KYUSt_chr1.2041 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVAGKIASDAQQGLLAEAAVHAAIGGGASMERRDKILQLAEEALGRAAKDLAVSKSALLGDATGQDARLRAGEACDALELCCDRLLLVDLLLDPTTSRAPLPGADDDFTDDDSNVRLRAATLEKAMEMAEDCASLVRRARQDAFGAPAT >cds.KYUSt_chr2.47256 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295662685:295663597:-1 gene:KYUSg_chr2.47256 transcript:KYUSt_chr2.47256 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPASSAAPVVSALVGGSRRQELAVVVAITDGGSSRSFLPRLELQPPRRDDRRASLRSDDQLTQAKPLSAGDMLISEGGVFALGFFSPTSSDTTLYLCIWYHNISERTVVWTANRDNPLIATSSPILTFTNSSDLILSDSQGRTPWAVKSNITGMGVAAVLLHWLK >cds.KYUSt_chr2.13255 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83969760:83970566:1 gene:KYUSg_chr2.13255 transcript:KYUSt_chr2.13255 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSSVSRSFRRRPMAPPPSQATPPVSPAPTAPAYPASPPVSPTYTPLSPAYRATPSSLPPNEADPAAAPAAISRAGERRSPVSPTSPLQLSPNQSALSPSLSSPDYTPSSPYTPLAPITTTVGSPGSSADYCPSSPDYTPSSPATPRPALMPATGCHLEITGPEAATDVVSDRNSVDMEVDDRDIDYELAVRDSIRTEGEDAARREALEQNNKVNQELDEFLAAVEDVEDIDEPPSSGPNRKIPPPPPGKGKEPFIDISDGDDEW >cds.KYUSt_chr4.8249 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49320561:49324143:1 gene:KYUSg_chr4.8249 transcript:KYUSt_chr4.8249 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDAPPPPPPPATSSDPPPPPPDPDGPLSRGYLSLRQAGGASDNDDDPAPAPPDDSRCRAMMEVVRKDGADANTGGKWKVSKLLLDHNHDLQASASASAAAVPALGMEFDSVHDAKGFYYGYGDAAGFKVRTGSNRHSAGTGAMIMQRFLCSRGNYSYRRGKSKDLDAAKDLQEGAVGNETGISGGSKKRGRKPGKKTTHAIEADKGVVAAASTENGQVLQGGWICGEKSYKEIFSELDEINAQGLIFPRRFQKTEEDTKWGDEAPPP >cds.KYUSt_chr1.33518 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203720200:203720685:1 gene:KYUSg_chr1.33518 transcript:KYUSt_chr1.33518 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPGARRWEPTALGLRGLLLSLEAWRLIFSFNRQPERTDVDEEGWSGRLRHLGRQLRKSCRGFSPGSSFKAPMPQIPPLTKRKVKTSSPLTTMGGELQRPTASSSSAKDTNIIDGGDCSSSCHRISPSIGALWQAAGAPAGTESGRRTHVILVAERQQPA >cds.KYUSt_chr5.3004 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19536242:19536553:-1 gene:KYUSg_chr5.3004 transcript:KYUSt_chr5.3004 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRHFLLFLAIVGSLCATVVGQGVLPFAPSCSTADNYTDGSQYKKNLDQLLAGLPAAAGSNGWFYNGTAGAPGTADQVFGLIMCYADRNARRSARSVSPGS >cds.KYUSt_chr2.51005 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319017006:319017224:1 gene:KYUSg_chr2.51005 transcript:KYUSt_chr2.51005 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDEVAGGKKTSWPELVGKSIEEAKKTILNDRPDVKTVEVFPVGTGVTKDLRFDRVRIFVDTVAEIPRIG >cds.KYUSt_chr4.16453 pep primary_assembly:MPB_Lper_Kyuss_1697:4:102270558:102278982:-1 gene:KYUSg_chr4.16453 transcript:KYUSt_chr4.16453 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDHDCDPDLAAVAGAGPCPCCSSSSAAVTWRRSVKRKLDGEKRAGDEEEGATGLARVEAEDETAALREALGAAQDTVAALQGEVEEERLAAASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIDHLRALIARRARHLARVRSRLRAYQQTCLRLGIPLADGDEVEEQGHEDHHEDGGFLEGDDGDYYPELRCYNGEYYYDDGQGESEEDAVVVDLEHRICLLEHDEDTHSLEEEEGTHLYADEALPESDAQETSRLSADEVLLPDKKTEDHLDIDGEEFPESPAAGYGSDSDDVGSGSDRVYMIDKVHQGAAAPAARVLDEYEDEMVEPDIKKLYMRLEALEADRESMRQALVGMRTEKAQLMLLREIAQQLAKDANPGGAGVGSVPVVHHLRGKQAVGVADRRDREDKNTAVVRTYSSAALFKWVVTLFCSQKNKPSQSRYTFGLSSNNVGLLLLLDKCPRVQKTLMRRKVNPEKRPCLDGQTQLHSPTSVAAAVSKVLNDDNLLREIIVRVGFPTTLVRAAVVCKRWLYHISDRKFLSRFRKLNPPRLLGTYIDNWPVSKQETTLHFVPMLPQPPELAAVVRRVASNNIGAHKQLWILDCRNGSIFAKRCEGGVIAHEVHRLLCPEPGMCIIPPPPTAQDPIQLVFARILSKEENGSLSYLHVLAEWITGTTNLMVCVYMLQDGVWRMHTSVTEQIPDPVWSPKPTLAGNKIYMAGQFIDYIIVLDLTDSSLSRILLPHGVTYRWFSTALSQADDTSSVYLTHLHVSELQLHIWLHKGDNWLLVHTIYLHKLLANTGMLDHTLEDDEDIDFPYICQVGDNAEFVILQMCGYALYLDVKSKTLCKVDGSAGNARYYSKIYPFMMTWPPIFPALKDDPAGMSSCEEGLAQIRTEDYATGGSKWFLDSGCTSHMTSDKNLVKELRPNIHEITVSFRDNLTSEVFGFGKVVVAHNITLVDAMLVKTIGYNLLSISALGNMGFAVFIDNDTWPSCGSRL >cds.KYUSt_chr7.11530 pep primary_assembly:MPB_Lper_Kyuss_1697:7:70839145:70841126:-1 gene:KYUSg_chr7.11530 transcript:KYUSt_chr7.11530 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPSYQQLGLEAMNTCFFGSGMISSEAPFFYPSMAHDLSFGSGDPAGGGGAADVAAHYTANNAMITSPANQLVWAAAAPSQDSHHASMSTEEMNDDAYAVVPGERCSTVHSMLPPGVAGLPDLFQYGPSDVTIAQPSKMAKLITGEPLCSRPYAGPSAASIHDPYYLTAFSAGTGFRDPPASGLSLGLRAQSSSVTMASLPDLSSEVSCSGLSHVNSEGFGYQQPQTARAHPGTGLFQLPPYGDVGAGGDELRHVYPQLHSRPAHFSQVLPRSGYAHIVQELLNGFASCLLKDVPENMADDSVSGIGSEASLLLSSSCSARTPSSVSSNQLMLTSDEHRQDTADGGRWTEAQRLRNDLLEILQLMDQRCNRCFDDIQTTATKFSSMVAHPGGGVIAAPPFAQRAVSAMYRRLRKRITGLIMVSGRGEPSSSSSLAEKERSWESAFIQKHWALHQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDNEKDMLAARSGLSRSQVSNWFINARVRLWKPMIEEMYEELKKSSEGSKIEHLTKDVVS >cds.KYUSt_contig_1537.199 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:1160208:1170019:1 gene:KYUSg_contig_1537.199 transcript:KYUSt_contig_1537.199 gene_biotype:protein_coding transcript_biotype:protein_coding DQLIRREENGKEPASHSVAFERHRWEMKSPLRRLRGFGHHYPRERKAHHPPPAKLDELAGAAQDVKEMRNCYDGLLSSAAATTNSVYEFSEALEEMGSSLLAKTALNDDDDDSGRVLMMLGKAQYELTKSLDSYRTNIIHTITTPSESLLKELQTVEEMKHQCDMKREVYEAMRASYREKGQSKNSRIDQLSTEQLQASFLEYQEDAALFIFRLKSLKQGQFHSLLTQAARHHASQLSFFRRGLKCLEALEPHVKAIAEKHHIDYQSISLEDCDSDNDGSSSYKESCSDDGELSFDYEINDTDQDFIASRGSMDLDKGEQTTSPAQSKEITQEEVKLPETEAVAPQVKPEISKPSAPIFGDNLFDQTERLRQMRPSSAKNSYKLPILIDDKYPRSAVIHRSHHSAQFFESKASAAENLWHSSPLAKDYKASTMHSGPIKLPSSSDFSKKLKRESWSGPIPSKARSSKPFSQSDHKSSIGHPRVMTSKSYVHARQPSSVSPKMSPLSTVSPKISELHELPRPPANVEPLRPSGLVGYSGPLSPAPLCKWFHWIDTEQPDWVRLEVEEKHRHA >cds.KYUSt_chr1.36642 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223605704:223609177:1 gene:KYUSg_chr1.36642 transcript:KYUSt_chr1.36642 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPGQADTKQPHSQASRIGGTEPEDPTVAKARGPARQTKTLVTSRRFRFLPLPLASRFPANSPLPPSYARRSPASLHPEQPESKQSHSKHFPDLNNHTANTTFLIDRAAAAMNPDNFTHKTNEALVAAHEAASDAGHAQITPLHLAAALASDKAGILRQAVAQASGGNPSAGDSFERVLAGALKKLPSQSPPPDSVPASTALIKAIRRAQSAQKKRGDSHLAVDQLLLGLLEDAQIADCLKEAGVSASRVRDELEKLRGGGGADGGRKVESASGDSSFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRVVRGDVPSNLLDVRLVALDMGALVAGAKYRGEFEERLKAVLKEVEEAAGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVADTISILRGLKEKYEGHHGVRIQDRAIVVAAQLSSRYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVRKELDDLRDKLQPLTMKYRKEKQRIDEIRKLKQRREELQFTLQEAERRMDLARVADLKYGALQEIDAAIAKLEGETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGMADRLHKRVVGQTEAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLMVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMLGKNSMKVARELVMQEVRKHFRPELLNRLDEIVIFDPLSHDQLRKVARLQMKDVAVRLAERGIALAVTDAALDVILSLAYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENSTVYIDAAAPPKKDELAYRVDRSGGLVNAETGQRSDILIQVPNGAVSGETAKAVKKMRIMEDGDEDGMDEDV >cds.KYUSt_chr7.20730 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128576931:128583230:1 gene:KYUSg_chr7.20730 transcript:KYUSt_chr7.20730 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAKKDAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLSIPAENFPFCTIEPNEARVNVPDERFDWLCKLFEPKSEVSAYLEINDIAGLVRGASAGEGLGNAFLSHIRAVDGIFHVLRAFEDSDITHIDDTVDPVRDLETISQELRLKDIEFVQAKIDDLEKQMKRSNDKQLKIEHELCQRVMAHLQDGKDIRLGDWKTLEIEILNTFQLLTAKPVVYLVNMSEKDYLRKKNKFLPKIHAWVQEHGGETIIPFSCAFEQKLVDMPADEAAKYCAENQTVSLIPKIIKTGFSAIHLIYFFTAGPDEVKCWQIRKQSKAPQAAGAIHTDFERGFICAEVMKFEDLKELGTESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >cds.KYUSt_chr6.23072 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145803382:145805085:1 gene:KYUSg_chr6.23072 transcript:KYUSt_chr6.23072 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSGAGGNSLPSVGPDGQKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHSLLGHYGLLDQMQVFKPQPARDRDLCRFHADDYIAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKTHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGHAKGKYYSLNVPLDDGIDDESYQSLFKPIMAKVMEVYRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGQELEDKMPINDYYEYFGPDYTLHVAPSNMENKNSQHQLDDIRSKLLDNLSKLRHAPSVQFQERPPDTELPEQEAWCLQDVIIM >cds.KYUSt_chr7.28825 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179466160:179468886:1 gene:KYUSg_chr7.28825 transcript:KYUSt_chr7.28825 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGVLVTKLGVALAKEAATFGSSLLFKEASALNGLFGEIREAKDELESMQGYLQRVERFKDTDETTGIFVNKIRGFAFEIEDVVDEFTYKLEDTHGGFAAKMKKRIKHAKTWRRLALKLQQVKRKLRDADERKLRYEIRGIDNDVGSIGCQSKIVDAASYFAKDDDLVGIEENKKRLMQCITYDSKEQSMVATVWGMGGVGKTTLVSHVYNLVKDDYDTAAWVTVSNRYQVEALLKMIAKQFGVKVDVASMGKRAITEVIYTHLQGKKYILILDDVWGVDVWFSIRDAFPTCSGSRFVITSRIHEVASLATGNSVVELHPLQEAQSWQLFCKEAFWKDQNRTCPEGLKLLAQKFVTKCSGLPIAIACIGRLLSCKQPTYSDWENVYTEIELQLTNNAILDVNIILKVSLEDLPYDLKNCFLHCALFPEDYDIKRRRVMRQWIAAKFVREKDNKTLEEVAERFLTQLVDRSLLQVVARNSGGRLKCLRMHDVIRLLALNKAKKECFGKVYDGSRAFSVEGTRRISIQSENIEQLSRSCGTHLRALHVFQSYININLLKSILESSSLLSTLDLQGAQIKILPKQIFNLFNLRYLGVRHTGIETLPEAIGRLQNLQVLDAYNTELSYLPNNVVKLHKLRYLYVCAGGTEFDKFAGVKVPDGMLHLTALRALQYVEASSKILHEVEALMELRTFGVCNIRSEHSADLCNALTKMRHLVHLDIFATGEEMLLQRLCLPPTISWLGLHGQPVKASMPWSHLTSLTRLQLSFSNIDEESFFSLLVLHGLYHLELYKAFVGKKLHFISGSFPKLRILGIGSSHKLNQAEIEDGAMASLVSLMFDDCPELKLLPDGIEHLRTLEDLRLTNTGEELLENLRRKGEQPNEDLMKISHIRKVTVELTKKNIWERIR >cds.KYUSt_chr5.12646 pep primary_assembly:MPB_Lper_Kyuss_1697:5:82565259:82565630:-1 gene:KYUSg_chr5.12646 transcript:KYUSt_chr5.12646 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPLAALAVVHVGVQGRRCMSKLSEQGLRAPPHHPGVVLITAELVYSVHVAIDGVPSVPAIYHPTGMQLHKRVGDLRASLLEHHVFIAIQEPPTVSTDRIRSLPPPPSRSSTYRVRSSRKA >cds.KYUSt_chr5.17038 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109798177:109805588:-1 gene:KYUSg_chr5.17038 transcript:KYUSt_chr5.17038 gene_biotype:protein_coding transcript_biotype:protein_coding MERQKLWIRRGRIRRPWAGLNLTPGPDSVALFNASVTVSVGSSGAAILFWTDPWIGVVTAHALAPAVVALVRTRLVSRRTVQQGLPGHAWVMDIAGPLSVDATVQFFRLWDAVARVATGGERDVFRWKWSADGVFSSRSAYRLLFEGTTALPGAPYIWHSFATMKFRLHAWLALRRRCWTADRLIRHGMAASAICRLCSATDETLNHLSLSCPFAVQVWAGVIHRVHSQLHLPDAELEEWWPNAVNALPAARRKEANSLIMLILRSTARGQEYYGITSVTMASALRLLPSTAARLRFSRSLPFSAAASLFSPPSKAVLYDQHGPPDQVLRVADVPPAELGERDVCVKMLAAPINPSDINRVQGVYPVRPPLPAAVAGYEGVAQVHAVGPAVTRPISPGDWVIPSPPSFGTWQTYIVKPEDVWHKVRDDVPAEYAATVTVNPLTALRMLKDFVELNPGDAIVQNGATSIVGQCVIQLAKVQGIRTINIIRDRPGSEEAKEKLKQLGADEVFTESQLDVKNVKTLLGALPEPALGFNCVGGNAASLILKLLRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWMNSDKAEDCRIMIDYLLALVQEGKLKYEMELTPFSEFGLALDKSLGKHGSQPKQVLRCRIKMNCFIFPYTILAGDAAGRYLQCGVEAGVSCGTTLGGDGSQVLLIVAGVEHGGVVGPPYAPGSGSLALVVTTNGLALPEEHPSHGPWPLGEMEDEDTVSQGQRTS >cds.KYUSt_chr5.28053 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177499118:177499588:1 gene:KYUSg_chr5.28053 transcript:KYUSt_chr5.28053 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTANAAIGAVFGRTSRRTVKVKAAAAASAGVRRTASRSKDYYKVLSLEHSSDVGEEEVKRAYRRLALQYHPDVCPPSRRAESTELFVELRRAYETLSDPATRMRYDAELRTGYTAPRPAADGFTRDVWEAQLCVLRARSELRKSASRHGGNRS >cds.KYUSt_chr2.26821 pep primary_assembly:MPB_Lper_Kyuss_1697:2:164199925:164203720:-1 gene:KYUSg_chr2.26821 transcript:KYUSt_chr2.26821 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPHPDPSKLVCTLPHFFFGKGGQTLVVEVEGDDEQSRSTNPSPDPDQPRHDDDVEEDDMSLGGDSENGSVGNGDGDAPLHATWGGPATPSVSVPPAGQSTLAGTVPTTRSKGSPTKMGLLVPRDRRYDTVESLGFPIMQYGSNISQDSSVSVGLEILRWMARSMGNKASILRWMARGMGNKASTAEGKEKSLQGEETPSESRKEAHFEMEEETSSGSEWDMDSDSDLEIVEEEEDDDDDDENEEEVVTHVLAPAEGSPSAVLGNYGLLSVLCETPSGFAIFSYHGSKIVKPDGCQANVWLKGFQTFEDKASAINSSTGVSEALAAMIRKHMVLRGQTLAVGNQDYRRIIEKDLGIPCLYGPAVEELMWGLKFQMRKLVPAENPELINEDRLPMSAGMQCFLNLHRLEVKPDMMVTKRIIEMAGVIYECDFRVNKYKDNYILCGAAEHIKKISHIDTGGWDLMKLATAVKMICYPEEKIEAATRLFRIQQLKRFSDDAPKYKRKIMKVPCLEIYNEMYNARKMRLDAAKVLLDLLKRAEKAYEAENAFEAARDHKIGPLATSP >cds.KYUSt_chr3.5808 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32909018:32909395:1 gene:KYUSg_chr3.5808 transcript:KYUSt_chr3.5808 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASGTSAAFSVRPTARPCACSAAAAAGGARFRADATGNGKWWAPLLGWSGQPDYIDAQPAEAPEEQRRETPAARRFGVLTEEKARQLRVRMMETESFHDAMYHSAIASRLASAARDGDGAKAR >cds.KYUSt_chr3.49199 pep primary_assembly:MPB_Lper_Kyuss_1697:3:307570934:307574630:1 gene:KYUSg_chr3.49199 transcript:KYUSt_chr3.49199 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRRALHLLTSSRGISSTPHLASLGWFDKIKTTVTDKIKTTITGHDESLSSFTLLKYADAMGRMRKDSFAATFKNSHEAAAAAAAHERHSAVLRYLGAIDPTGEKLKTSDKISASEHCKCSVADVENALAKYTWAREAHKVALKFKEEGKPIPRSQSEGPFEEGGCLSPRDAGFVRFHKGWFVEPGYLVSLGSRVTPETKCLIGVARSCRTAKGLRQLKDTSRGRVEDADCRRGAHQHQPIAVCQRLYELVTERVHGMHKHSIPMALPPPVEAGCGDGDPVLSRVGSALRGDEQEGIVLVVAELCARG >cds.KYUSt_chr4.49559 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306840859:306842878:1 gene:KYUSg_chr4.49559 transcript:KYUSt_chr4.49559 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVTGATGYLGGRLCAALAGAGHDVRAFVRRTSDASGLPDAVELAYGDVGDADSLAAAFDGCDAVFHAAASVEPWLPDPSVFTTVNVRGLENVLEAAKRTPTVKKIVYTSSFFALGPTDGYVADETQMHQGKTFCTEYEKSKVLADRIALQAAAEGVPITIVYPGVIYGPGKLTTGNLVSRILIERFNGRLPGYIGDGYDRESFCHVDDVVSGHIAAMEKGRVGERYLLTGENKSFMQIFNMAASITSTRAPWFHVPLWAIEIYGWISVFISRITGKLPLISYPTVRVLRHQWAYSCDKAKRELGYSPRNLTEGLAEMLLWLKDEKLIKF >cds.KYUSt_chr1.21891 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129263890:129271981:-1 gene:KYUSg_chr1.21891 transcript:KYUSt_chr1.21891 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEPEDGRELYALLHLSPESSDEEIRRAYRQYAQIYHPDKYQDPQMKDVATENFQRIRDAYEVLSDENKRQIYDIYGMEGLNSGLELGPKLNKPEEIKRQLDELRRRKEEEKFFVHARSTGSVIANFSVPQYLDGDNIMKGMGMSSQIELPVSKLNTVTVGGNLVVNGAAGTGAATAVLRHQLSSVSSIDFMATVGLRSLIGVQTFRQISPNSTATSGLALSLRDGSINLSNGWTRQLSENTVGNIQLVLGNESNISVGWQKKDEKFSATGEVKIGTNSFGASAHYTHHFSSKSHGRVAGRVGSTALDFEIGGGRRISEFSTVRMLYNIGIQGVSWRFELNRAGQKLVIPVLLSTDLNALFVTGAFAIPSTLYFLLQTYVVKPYYLKREKQKTLEKMDSLSTQLTEARQAAKKAQRLLEPVSNRKKNKQLENDGLVITKALYGDRKKIKESSELSDIDDNIASQVLDVTIPLNFLVTEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYTFHGQQYKVMADDYGTHYAGSSNDRPSNHVEAQRNNQFPSLRRSKTWFIRLTVDPRVECPVAVDRISLRSTNTDLTS >cds.KYUSt_scaffold_719.436 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:2952380:2953836:-1 gene:KYUSg_scaffold_719.436 transcript:KYUSt_scaffold_719.436 gene_biotype:protein_coding transcript_biotype:protein_coding MFISGENANVEEGRYSPHIGNNNNDTTTPGSHGNFEVATSIQGKKTFGLLDDTTTKKPDLHITAYKDGQSLWLPGEAGSFDPGFDSPNKENDKAMVGEKYFPTSSCTLNVTSVEDTAKRKNKLRDHAFTGKDIKRVFSFTENNRLDHKEQVMFPVLQNLSTIDDPKYFCGHYYLINLNLKAERFEILIP >cds.KYUSt_chr2.55449 pep primary_assembly:MPB_Lper_Kyuss_1697:2:345790546:345795459:1 gene:KYUSg_chr2.55449 transcript:KYUSt_chr2.55449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Regulator for phosphate homeostasi [Source: Projected from Oryza sativa (Os04g0671900)] MSSSSGASIGAQPPPPPPAAPPEEEKKNLNSELWHACAGPLVCLPTLGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPNLPPQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLWLGIRRASRTQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRACPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWASSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVAGLHDDSNALMWLRGVAGDGGYQSLNFQSPGIGSWGQQRLHPSLLSTDHDQYQAAVAAAAAASQSGGYLKQQYLNLQQPTQSPQEHCNLNPLLQQQILQQASQQQTVSAENQNIQAMLNSSAIQHQLQQLQQMQQAHIDQKQKIRSDQTYQIPTIASLPSPTSLPSHLREKFGFSDPVTSPSFTTSSSSDNMLESNFLQGNSKAVDLSRFNQPAVSEQQQQQQQQAWKQKFMGSQSLSFGGSGLLNSPTSKDSSLENKIGSDAQNQSLFSPQAENSSLLYNMVPNLTSNVADNNMSTIPSGSTYVQSPMYGCLDDSSGIFQNTGENDPSSRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIRGQLDDPDRSGWQLVFVDRENDVLLLGDDPWE >cds.KYUSt_chr1.20358 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119981335:119983226:-1 gene:KYUSg_chr1.20358 transcript:KYUSt_chr1.20358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 40S ribosomal protein S3a (CYC07 protein) [Source: Projected from Oryza sativa (Os02g0287000)] MAVGKNKRISKGRKGSKKKAVDPFTKKDWYSIKAPTLFNTRNIGMTLVSRTQGTKIASEGLKHRVFEVSLADLQGDEDQAFRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANHASTCDLKELVAKFIPEVIGKDIEKATAGIFPLQNVYVRKVKILKAPKFDIGKLMEVHGDYNKEDVGVKVDRPAEGDEAMATEEVAAAAE >cds.KYUSt_chr5.19722 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127929316:127930293:1 gene:KYUSg_chr5.19722 transcript:KYUSt_chr5.19722 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIQGSKKEKMAAVAALREAKIATAYEPFPWEMKMRELLPVPDKSRFLSLLLLPMATDGTQTRYNSLDDTLARADAWLASCRCSGVPVRLVRFQTEALLTKITGETAVPTVNMLSDLANLSNVSLYGFEDYHGVDIGMVRAVRLWYAPSGAAGETSLEMALRQGDTRLGFSINRTKEGFIYVSSVADESTPGVASTRSGLLEMHRAARRAGKLLVLSRVGGESVLPWLVSTAGDVRCYDTVSLNQKLSLYRHALRPVTLHFLAWDDGVLPPLPPPPPRSPVIVLSTPSESDIEELDGDDDGDGPGPGIEGGKGFQNIRLPDSWR >cds.KYUSt_chr7.11892 pep primary_assembly:MPB_Lper_Kyuss_1697:7:73012016:73016758:-1 gene:KYUSg_chr7.11892 transcript:KYUSt_chr7.11892 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLTMLPASGGGAVAAAAAAVGGLAAAATLAGKAGIVGIGHKERSNAPPAVPGLPLIGNLHQLKEKKPHKTFAKWSEIYGPIYTIKTGASSVAVLNSAEVAKEAMVAKFSSISTRKLPKALSVLTRDKAMVATSDYNDFHKMVKRLVMAGMLGSAAQKQFRDTRDTMMDNMLSTFRTLVTDDPHSPLNFREVFKEELFRLSLIQSLGEDVNSVYVEEFGREISKEEIYQITVVDMMMCAIEVDWRDFFPYLSWVPNKSFETTVVTTESRRTAVVRALVNQQKKRIERGEAKVSYLDFLVAENVLTDEQLTMMVWEAIIEAADTTLVTSEWAMYELAKNPEIQDRLYQEIQQVCGDNTVTEDHLRQLPYLNAVFHETLRNHSPVPLVPPRFVHEDTKLAGYDVPAGTEMIINLYGCNMNKNDWEDPEAWRPERFLDGRFDEADLFKTMAFGGGRRVCAGALQASTISCTAIARFVQEFAWRLKEGDEDNVTTIQLTSFKLHPLHVHISPRAATK >cds.KYUSt_chr1.29612 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179357074:179360665:1 gene:KYUSg_chr1.29612 transcript:KYUSt_chr1.29612 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGPSRAPETSLLSAAPARPRCGRRCLHWSQRSTRIQRRRCFIRGGGGIAQGGGGNKEEEEDDEDEDEDENYEEDGDEEDNKEEDAVEDAENLVEVGAAGVRKKMKKKAVSGSRGPKWKVLEDQCLCETWSTVSHNSVIGTNKKYGKYWARIKAEFDERKFIDKDYRTIPMKRSQKEMLPRWAIIQMSVNMFHGYHTELENRGDSGTNAGNTGILSATKVAKAALAEAAATKKTQASTTQCHAEVSSALIFRDKITDERWATLLQRQEEKMELKKHQEDFSLLTASTNGISPRTLPTHNFYKGMILGDIKAKMAAAEAATPTPPRRQSRHQQARSMLAMGLAINGTTTNLQIYLIKEYSMESIDATQIAYIVRGSLYLVPLGGAILSDAYFGSFPVILAGAAINVLAFVLLTLSAALPSLRPPHCPTASAACQKGTPGQLAVMYGAMFLLAIGTGGTRFNMATMGADQFSSSRDQDTFFNWYFVFLYGSFLVGDTAIVYLQDGVSWALGFGVCLAAMAFSLLMLLMGTRFYRMPAPKGSPYSELACVVVAAVRKGRVDVGARYYVGNDAAAVDSDSVGAPSKRLRFLNRAAMITASDNFLETSGAGHGTSGSRQQCTVQQVEDLKSLLAVFPLWSSGIMLSVSIGVMIGMIILQALTMDRSVGHHRFKIPAGSMGVCSVAAFIAVTPVLDRVAFPLWRRIAGVPPSALQRVGLGHVVNVAGMVVAAVVERRRLTIVHLLHGEAAAGWVTPMSVLWLVFPIAIVGIGEALHFPGNMAFYYMEFPKALRSLATAMAPLLIAMGFYLSTLFVDVVRRVTAWLPGNINQGRLDNMYWTLAAAATINFGYFLLCAGRYKYQNQSTTMADC >cds.KYUSt_chr4.28832 pep primary_assembly:MPB_Lper_Kyuss_1697:4:181113014:181113493:1 gene:KYUSg_chr4.28832 transcript:KYUSt_chr4.28832 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSPCETQTVEVPAMEEVQGVLKVPGVEVVQEQDGVHGDVDGVQGEVENVDDDVEVTEELEDEFERLHTNIQDLFERVDRATNVGATEARISATPRYIRTTNLAQDVDSSGFIRTYSNPSSTCEVCIHPALCLKCGVRGELKLKQISSNLKQNSSKLK >cds.KYUSt_chr5.34335 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217761869:217762924:-1 gene:KYUSg_chr5.34335 transcript:KYUSt_chr5.34335 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRILLPALLLLVLYSHCGGAEARSARGGDPSSSNSAVKFDFSPFLIEYKSGVVKRLMGTDRIAAAADALTGVTSKDVTIDPATGLAARVYLPSFRSATKVPILVYFHGGAFVVESAFNPIYHAYLNTLAAKAGVVAVSVDYRLAPEHPLPAAYSDSWAALKWVLANAAPGADQWLSQYGDLSRLFLAGDSAGGNIAHNLALRAGEEGLDGGARIKGVALLDPYFQGRSAVGAQAADPAYLQSAARTWSFICAGKYPIDHPYANPLALPADSWRRLGCDRVLVTVSGQDRLNPWQRAYYATLRGSGWPGQAELYETPGEGHVYFLTKMSTPQAQAEMATLVAFINRNDD >cds.KYUSt_chr6.1621 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9901571:9904201:1 gene:KYUSg_chr6.1621 transcript:KYUSt_chr6.1621 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLPFLNGAAKTEHTTTKSATVRSFSTTSTDRETRSGSDLNNLNASDMSTESIRRTQYPSFTDHPSNLRLFTFSELKNATRNFSRSLMVGEGGFGCVYRGVIKNSDEPTERIEIAVKQLNRKGGQKEWLTEMNVLGIVDHPNLVKLIGYCADDDERGMQRLLVYEYMPNGSVDDHLASRSNSTLSWPMRLKVALDAARGLKYLHEEMDFQVIFRDLKTSNILLDENWNAKLSDFGLARHGPQEGLSHVSTAVVGTLGYAAPEYMQTGRLTAKSDIWGYGVLLYELITGRRPIDRNRPKGEQKLLDWVKPYISDVKRFPIIVDPRLEGHYNLKSMTKLAGVANRCLLRIPKSRPKMSEVYEMVLKIVDSVESGPPQPPLHYHGSVSEPGAKRTKKGSLKRRFHEFKFGSRLIVWRGWKPEVIKTC >cds.KYUSt_chr4.50408 pep primary_assembly:MPB_Lper_Kyuss_1697:4:312270967:312271832:-1 gene:KYUSg_chr4.50408 transcript:KYUSt_chr4.50408 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSFLFPEIFPADAATPDSGAEQKKASRQRRRRNARPVVEGDADDTAAKKRRLSDDQAQFLEMSFRKERKLETPRKVQLAAELGLDAKQVAVWFQNRRARYKSKLIEEEFSKLRAAHDAVVVHNCHLEAELLRLKEKLAETEEEKGKAMAAAVTAGVGASSPTSSSFSTVTHHAAMVDQFEMDDAEADLAYMSEYAYNSYMMDMTAGGYLGGLYDQFS >cds.KYUSt_chr3.41114 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259476887:259477795:1 gene:KYUSg_chr3.41114 transcript:KYUSt_chr3.41114 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGRNIVLPMVLTALQGPKTVAFLKRAGVLRQPTRRLFLHIFTLSAVLLGASFYLFRFLAGTDADLEPHYYYISPQNLLDIARVLKLSKNLLQGDHHQWWFRLAYLLSGVTLGCLTYIATAFAAVASHSGEHHTLSSFLRKVKSNLLRPALTLAAVCAVRAAFVEAMEVWLPTMTENWYVLRGVMIVVLPFFAAIQAVGAVAVVASVAEPGLCGKGAVARACRLLLGKYTQAFHSMVASAMLDRPFWLLYTLVAMAGLPASGTVKCLLRIAEEMIAVARVTVYYFECRKREEHEGKAGHRD >cds.KYUSt_chr7.32832 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204612317:204618894:1 gene:KYUSg_chr7.32832 transcript:KYUSt_chr7.32832 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRTTTCATATTTGSSSTLLATTFRRGRRLLPARASPARRVFAARASAEPLEVCAKASITVPDRLGDCPFTQRVLLTIEEKNLPYALKLVDLANKPDWLLKINPEGKVPIVKLEDKWVADSDVITQVLEEKYPQPSLATPPEKASVGSKIFSTFIGFLKSKDTNDGTEQALLSELTSFDSHLKDNGPFINGEAISGADLSLAPKLYHMEIALGHYKNWSVPDSLANVKAYMKNNHFRIAGRLPTWKAGLMNKSGCLAMVKFVLSAIPVHQLLVFAQPKKSLKAMEKFERGFLWAGRAAANGGHCHVNWKRVCRSISLGGLGVHDLERDGYGYRALMTVVPGVAWTCSSRQMSARYSSPPLP >cds.KYUSt_chr3.34340 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215383243:215386740:1 gene:KYUSg_chr3.34340 transcript:KYUSt_chr3.34340 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFPREVQNRLARLRAPSIPPRPFLPTPSSTPPNSLPNLTLLPILPPIPNSLPMPTLRSATLSPAPAATPSPTAATTPRSAKRRQTPRRAADSADSAQFTAPHTSPLAGAGPMGAPKMLSASPKSSRKRLYGDLVAAEKPRWNPRDPAQMQAVKEALHVATVPSCGVVCRDDEQRRVLEFCKACIEQERAGSLYVCGCPGTGKTLSINKVKERVSRWADEMGLETPDELSINCTNLGSTSDIFSKILAKFQVRKKASGKLSPLQQLQSMFSHKESAPRRMLLVVVDEMDYLITRDRAVLHDLFMLTTHQFSRCILIGIANAIDLADRFLPKLESLNCKPLVITFRAYSKDQISNIINHRLKALDYDVFEPLAIEFCARKVAAASGDMRKALGVCRSAVEVFESRLQDSSKQGFGVVTFDHMDTALSKVFKPVVVNSILCLPQHQQMVLCALANTFQHCKKKATTLGELNKSYIEICRSSQVPAVGMLEFSNMCMILSDQGYLKLGQSKEDKLRRVTLQIDISDITFAFKDSRFFQKCLEQPKF >cds.KYUSt_chr3.24679 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153160361:153160847:-1 gene:KYUSg_chr3.24679 transcript:KYUSt_chr3.24679 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSTSFLPTPAAAAARTATGTFRSVVPSQRMRCSMRKKGLHPEIFQDAKVYCNGELVLVTGGTKPEYSVDVWSGNHPYYVGDSSALVVMDSQIEKFRKRWGHVKEYWTKEQWLEMHPNGDPEFEPEDD >cds.KYUSt_chr1.37702 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230184093:230185100:-1 gene:KYUSg_chr1.37702 transcript:KYUSt_chr1.37702 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCPNWYRGLVSLFTHRPGLVTAQHALTLSRVSNPPLACVLLPQFPISLLHLHARAAPPAGSTKIQTLALSTPLMASPPPFPTPERVPGLSFAPQDDELITRYLGPKIARQPLPAATADFIHETDVYAADPAALCAGFPPAYSGIDESSKIWYFFTSPKAKNSRGSRKSRTVGENQGTWHSESRKDVFAGKEKDRLVGYRRSFSHETSSGGKSGWLMMEFGVGANQEDGPVVCKIYKTPRPATAGCSSSARKKRKATDPAAPSARVRRRLNFRSPPATPNDDFLNESQRQYSEAEEQTPAFDPISFLADGHALSTVNCYDTSVFRPRRLADCS >cds.KYUSt_scaffold_2697.383 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2507981:2510313:-1 gene:KYUSg_scaffold_2697.383 transcript:KYUSt_scaffold_2697.383 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASEELERRSRYLSSLIRRTKLSAAPAPAPLPPTPPPEPEPDTKLELDLERERSPLRRAKLGAAPAPPPSTPHPEPDTKLELEPAPRSRRPAEAKAAVEKREVQDADGKDKGKEERKVSVRVRAADMPAAMQRRALRLAYDAVAAATPRVDGKRLALALKKEFDTAYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKVHILLFRTAVEPLGHLRPKFVKPLQGSYHWSTECNAYCLDLLPERFFQICNV >cds.KYUSt_chr1.188 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1076209:1079124:-1 gene:KYUSg_chr1.188 transcript:KYUSt_chr1.188 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRDRAAVARYMVVRRRQKPAVPASWVCSRYPDGCWPPPVGLDEEDGPRFPAMAVAWGLAVSAFMVVVLGFFFHEDEDVTDADLSSSGRNDEFRKAPIANVTVHLFPGVHRIGWYSVARIHSFILAVWFRREQREALFYVDIKRLLVHGEWYESGGDNRSEVQSPTFRVKTQDWVARCPMKRMFAGDSDKGKFSVIKELVAIYHFFAVCPRTVKKSLPCAQIKTHGKDLERCFSLEDGCDSEDKCRDYCVASGYTKGSHCTKEHQECCCFVSGPKPKLHAVSPQD >cds.KYUSt_chr2.1657 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9797928:9799991:1 gene:KYUSg_chr2.1657 transcript:KYUSt_chr2.1657 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTLARGRPISLLLAAVAIGVGPVAAAPGYQRRGDGGAPMDSPSRGGGVLRGGDGRAAGAVVVAFGYITCIMAGDAVVWRISAGRKGGGGIGWRWQVVCIRTGIKVLLGTRNTGNMDTTTVTAATVTTSESVNHGGGGGGANVFFLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVVTRYVLSCTRPAGRPVPRGRHLRRLDAGGMASCPDHAESFSRMEAAGSETLRELLLSRRARGGPCACWSTTRTSRGRCPWRAPPAWPPRRSSPSRAP >cds.KYUSt_chr2.27119 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166241461:166244165:-1 gene:KYUSg_chr2.27119 transcript:KYUSt_chr2.27119 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQACPPSPAPFASLISAVCSSPRPGVATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEAKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSGNANSSQSQAQAGGCSC >cds.KYUSt_chr7.26914 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168171634:168182182:-1 gene:KYUSg_chr7.26914 transcript:KYUSt_chr7.26914 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHTGWGKFARDLALEPGCQLTFLYEGDGEMIVKVFDDTACRRHYHTGESGSDTDSDKRAMGKEKRKKKMKRERAEAAAEKALETMRLDVQAASAKALQNLRLLSVRLVTVQGEVAKALLDLQVAAASRADSSNLSAETTSSSRSSNMGRCHHLGLDSESPDTAEELLHENKEDMLLLHVDSTKVKELVADSHNPEEKEKAQSRETVHDVAEHMNSLPSIGDCLKLFSHGLTDCNNCSEVAAELPETDGSKNVEHIMASSNVNTTIDGDQTEMSDMKTCPSDFGSLSAESPSRQPYLPDSHHQVTLSEDITSEEVTSGKSCGEKDLTSCSTAHEKTESHEGVQEAALSCLTTDEQTDLLSTQNIQDTSTHKHSSGKQVMYDRAQQVAGKQNKQTATQTRLISKLPPVLTIQLERPASGLPKLSGHVSFKEILQVGPFMDPRSELLETISCKSCSHNEVTHNSLHVLQPEDSPARSNPLQADSTKVKELVADSHNPEEKEQTESSESVHDVAEHMNSLPSIEDCLKLFSHGLTVCKNCSKVASELPETDRTSGKSCGQKDLASCRTANEKAKSHEGVQEAAPSCLTTDEHVLDDHNAQRVEETQNEQTDVDGTASKTELISKLPPVLANQLKRYTPADMDCCIQSEKKSDLLSAQDSTDVTSCLGTENSMDSQVFVKTVSGTTLSVQIDLTKTSTELGQLIEKKAVFSQTEQYLECEGRILKPKLKLSEQGIDRGSTIMIRSRLCGGMVVFTDIPLEDILQSKQIPGRADTELEYTDLGLTTLRGVLRSAIDTIDAGRCWTGTLGLEDFSVDENGMVHPNRAATANAIPRLIRRNLQDLATSIKNHFSVDGKWPAYLENLIKKLRKSTLVWDQAEQRHRLCWVYRLVLLSHFAGLPSMCRANIVYQLKILFDSLDPYQKADFRVEEAGLAVRGDLHLPFAGGCLALFSRPWLLFLPLSLLKTLAAAMASLLKFSSRKS >cds.KYUSt_chr4.3118 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17830003:17830562:1 gene:KYUSg_chr4.3118 transcript:KYUSt_chr4.3118 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPTAASVHLLIVLLPLAGAVAMAAAATDGPPATYLVFVDPTPPGVTCMKYHLGILSAALGSEEKAKAAIIYNYKNVINGFSARVTPSELEVIKKQPQVNRVLPSATLHLMSSNFDGVS >cds.KYUSt_chr2.25401 pep primary_assembly:MPB_Lper_Kyuss_1697:2:155341909:155342181:-1 gene:KYUSg_chr2.25401 transcript:KYUSt_chr2.25401 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTASPLGKERRGPTFSSASRREKGRQGEEIVGKDVGGGVGKEESGQFLFFLGMANRSDGDVQIDGCSMWGLHAALTVPRCEPGNRGL >cds.KYUSt_chr1.20223 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119125866:119130457:-1 gene:KYUSg_chr1.20223 transcript:KYUSt_chr1.20223 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAKAAAAAAAAAAIAGTESAFGRHLSSPGSSTVRKRLRDSSENLPTHFSIPGSSTLWKRLLDSSENPPTPAGSIRHTDPSLAKEILVLFETPSGFAIFSLKYDLNQPDVMKKIWAIFVKDYRSRKHVCLKEFQKFKDKSSAFNRGTAANKELAEMILRHHRPGQALAVGKPEYKRFIETSLDVPCLFNETVMEVMWGLENLMHSLVPQEEVKLTKEDRLPICQGIKIFLNHHGFDVKPEMVNEKVLVTACILVDAELIEDTNTKTLRWAAGKLKDVSGINTNDWTNLKIVKALKIIFEPILTTLAEMEMFAPKELETLLRDEDKYDDLIIQDTILNIDLELAQASQLKKNAMRNLATLLS >cds.KYUSt_chr3.40720 pep primary_assembly:MPB_Lper_Kyuss_1697:3:257013015:257021685:-1 gene:KYUSg_chr3.40720 transcript:KYUSt_chr3.40720 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTGMLESVPLLGSSPFRGNALVSSFRCSEIQSFLLFLCDLAEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMYKIREIKTKAGQSETMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKLKNIKKILKSHVYSDFTSLGTGKEAEDANLLQQLSDACLVVDALEPSVREELVKNFCSKELISYKQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRTQLVDILNNLKEKPDVATLLLALQRTLEFEEELAEKFSGGTTTARNKEQESDDENEGVEQNKIVSDIRKKYEKKVGVPNDEVQQDKDKQKDLSVPGAGFNFHGIISSCFEPYMAVYIELEEKSLVEQLDKLIQEEKWETEEGSQTHILSSSMQVFLVIRRSLKRCSALTKNQTLFNLFQVFQRILKAYATKLYARIPKAGTGIVAAATGTDGQIRTSDRDEKMICYIVNTAEYCHQTSGELAENVAKMISSQFSDKVDMSDVEDEFSAVITKALMTLVHGVETKFDAEMVAMTRVPWSTLESVGDQSEYVNGISTILSSTVPVLGSLLSPTYFQYFLDKLAASLGPRFYLNIYKCRHISETGAQQMLLDTQAVKTILLDIPALGKQTTVAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILELKGLKKADQQAILEDFNKHSPSIKHPTITPTVAPPVAIASVPIVPVATQAVSATASPSMSTALTGALANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >cds.KYUSt_chr3.9655 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56716982:56722047:-1 gene:KYUSg_chr3.9655 transcript:KYUSt_chr3.9655 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVMPTLGRWVLIGGTGLAGTLILKDGRGARFVDLLKEFQEFMEVKGAEGSTVAADKLALARVEMDMLVREIRQLRIPPSIIRYESGNKVLSALIVPAAAAGVAGYAYMWWRGITFSSLMYVTKQNMANAVSSMTKHLEQVQTSLAVTGAREKLQEIGEEFQTLKKLAFHMDVKLDSIQDKQNWQNDGVDYLLKFIESNGKRTPDHLQGLKRLQEGLKGPVVTRSFKQPELPGLEFGLRLLALDESGKGAGKGAGLALPPPCALPDKFVAGCIIAKLPPSWRNFATTLKHKRQEISVENLIASLDVEEKARAKDNTEKGEDQSSANMVQKKPYSKNKGNNKPSFNKPMKTTTFKKKKMINKADLSCFTCGEAGHFSKDCPERADRKKKARQVNTVTASNADGYGNLFTVLSVARDSSVLMGNRSHASVRGVGT >cds.KYUSt_chr5.28657 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181408318:181410683:1 gene:KYUSg_chr5.28657 transcript:KYUSt_chr5.28657 gene_biotype:protein_coding transcript_biotype:protein_coding MCMSPATLQENLDSAPVMAPDNLVGKCCGSGWEIPRGSCDEHIRKFSLRTKPRGWSRGPWLSSRRVHHSDTADSRRRHVLDAPRLTEDGAPSTAALDLHRKRRPRWRRPNSQKTTRPLRRRSTSIGGEGRAGGAQTRRRRRDLYGGARPPSEETAALEAADDRRGAIHAWSASIWSAVGSRWSGREAMEHGRSLVVRLAEAGGPCGSLSIAAARAWETALMALVGFDLERQVGGGARVLAGQGGARGTGEGHGRRTLDGQPDGGGSPGAFSMEAASLDGQPDGGGSPGEFPWARKRGGTLHRAADNPSRLLTMSKACAAGLSKGRATPSVASPERGLEEDEKSGGIRAREGEDKEWAQSHSWRERET >cds.KYUSt_chr1.10055 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61660405:61664092:-1 gene:KYUSg_chr1.10055 transcript:KYUSt_chr1.10055 gene_biotype:protein_coding transcript_biotype:protein_coding MPAACWIKHASGWLIAFAGATLNVSYGMVSNPQGAFWQEDLVDGPNPHVAGKPIMHSKYPNSYANLMLAGSMAFIRDGARNENLISDLSLMRKKQMVRSVSSKPSREPKLTVVIFLLVTLSTSYITSALRPSLGACQASGYLPGKAGHCERSNDPDCCEDGKRYAQYHCSPPVTSSTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEMVVALSTGWFKNMARCGHRIKITANGNSVYAKVVDECDSVYGCDDEHNYEPPCQNNIVDASPAVWNALGLDQNVGELAITWSDSDN >cds.KYUSt_chr6.10798 pep primary_assembly:MPB_Lper_Kyuss_1697:6:66922031:66923197:-1 gene:KYUSg_chr6.10798 transcript:KYUSt_chr6.10798 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTEKEREKQEQLGRADVGATLQRSPTDKPPFTLGQIKKAIPPHCFQRSVIKSFSYVVHDLVIVAALLYAALVWIPTLPTVLQLGAWPLYWVVQGCVMTGVWVIAHECGHHAFSDYSLLDDIVGLVLHSWLLVPYFSWKYSHRRHHSNTGSMERDEVFVPKKKDALAWYTPYIYNNPAGRLVHIVVQLTLGWPLYLATNASGRPYPRFACHFDPYGPIYNDRERVQIFISDVGVLATSFGLFKLASAFGFWWVVRVYAVPLLIVNAWLVLITYLQHTHPALPHYDSTEWDWLRGALATMDRDYGILNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDPTPVAKATWREAKECIYVEPTEDRKGVFWYSNKF >cds.KYUSt_chr1.14951 pep primary_assembly:MPB_Lper_Kyuss_1697:1:86970446:86971840:1 gene:KYUSg_chr1.14951 transcript:KYUSt_chr1.14951 gene_biotype:protein_coding transcript_biotype:protein_coding MALEANGAETKILLDQILKRLDDNAALASKRYEEQASFNTVVSQDLQAMRKQIDLTQADVDEARHAASVVTAPATGRPQAGEHRAAAVGLGAAGFPRLANDGPPLISTAPDLQRPHPPPVRPNVPPLHHGDREQFVKPPKHDFPRFDGELPNLWLDRCGSYFELYHTPVHNWVTTASLYLDGRAALWWQAFRQTRRTVSWEEFGRALQDEFGPEEFEGQMHQLLQLRQLGTVVEYRIQFEKHMYHLLALDPSLNIKFFVTQFVLGLKSDIRAFVRAQTPASITRATVLARIQEEELDTNRPRARLVPAGRPPPIPATGHPRQPVAQRGGGDEFARERQLRDYRRANNLCFKCGDKYSREHQCKQPAQLLTIQLGDHGEMLSDEAVRALELLDDPVDQVECCMVSAHALSGGEAPAALRLPVTVGDQVMLLLLDSGSSHSFINKNFADSIAARYSTNYTCSREAS >cds.KYUSt_chr5.1465 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9957796:9959551:1 gene:KYUSg_chr5.1465 transcript:KYUSt_chr5.1465 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTHAGTVEDVNDILSLREVKRMGLTSDKDAEKMMKRSEVFHGKFAAESVNDRMEKGSGGCCENNVIDIENLVHASVLPFDRLQPAAKASDPVKGGWLLLRKQRREVLHGSKSKGERAPLPNRGATGIRKGPSAALGWIGEAEERRRDRDG >cds.KYUSt_chr7.17272 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107012264:107023819:-1 gene:KYUSg_chr7.17272 transcript:KYUSt_chr7.17272 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPLGPVERLNFGDSSDLAGIVDPGYTLRVEDEGAVSVVAAAHDATGDDEADSVAAGKGIAGGDVQPEESAGEVGGDTRSAACIQMGGSCSAKRKIVVADGPTESEQSTLKVNPEAPGWIKRVRVGGNAAERVPCPNRIGTLEKMIRSYPERRGDFVLEPTLGMSFDSLGEAYDFYNLYSWEHGFGVRYGKSRLNPQRTKTMQEIVCGCSGKPTGENTRSCRCECPAMIRLLRSEDNGWYITEHRVRHNHSLSITCAEKVYWPSHKHIDVYTKDLVKQLRENNINIGKVYSIIGSFFGGVGNVPFTKRTLKNLCGRISKEQADDDVKKTIEVFAEIGSKDPEFTYRVLADSDSRIKNLMWANGSSRMQYKYFGDVVTFDTTYRTNLYDMPFGLFVGVNNHFQSIILGGVLLRDEQTESFEWVFGEFVRMMGGVPPKTILTDQCRAMEVAISKVLPGTVHRWCKWHVLKKAKECLGPLYSKKSEFRAEFHKIVNHMLTEDEFETAWAMLVEKYSLKTHPFMTQIFEVRHKWAKPYFRGVFCAKMTSTQRSESANMMLKSYVPPGCAMNMFVKHYMRLQHDREKDEGYQEKRTKVANAVLHANLSIEEHASKVYTRSMFEQFGHNLYMAGAYRIEEVVKGKLYLAKHTKAHKREKWSRVEFQVKVVDDGEFFECECGLFEHMGIVCSHSIKVMDYLGVEELPKRHILKRWTRDARDVLPEHLEVYQNDHASSRSFTYRHSLLYKKALELVRLGDASAEAYEKLDSLFESNLLIMAPFDNMRDGLGLEDRPSYQPDEGVAELYLGGEELTVPDEANLLQGLGAPLKIRGAGRPTTSRDKAPYEAEVMEEALGSSNIAMLGSLGEIPNKELWNVEASETKGISQEESSEWKDAKGAVVLVAAFETRGVSEEGFRLDGLLSDQVRTGPCEGKEEVDAVDLGNEQIGEYDPFSPAIAAVVGEAELEEGDNSGIWTEPIWFCRAAVNGTAGGDADEEQNEMEESGGVAVIGCIQIYTGVGHVDANASCRYIRKEEEDADDAHRLLRRSSPRDRRFYVHCLASLDPETMDMTFKDGQVRRVTREEIVRVLGVRSGGKTIHSRTSRLSTKVRGDQIEAIQALLQIPVDRSAGISMDDLKKVFESCDVASLTRRQVVAAQVAYTLLVCSTYIAPRQAIPSIPEEIMGIVICPEKLHEYDWAGYVVTQMQTAAGRLKIDKGKNSDIYVLGGCPLAAALFCIEWVDFGAAGLETTMGPKVAVYTTDKLKELVNLLMEDGVNGEVKYKTKPVNARAGETLRMHPLIENGGIGVPSVSKKSCRLLSKTEGVAKVKALVDEEVIPRIRNAVARVANELAIPGEMNHMLVDEIFRGVDDDRDALASTFADALFPGGVEGQEPLAANEQLIAAQPQDGGSKDDDTGIGMSKECMVMVLYGDPENVSNPMEPSDDDTMGDENAEASTESAGSSRFMQECEGDSWAYGEGRSSASRRVSIEDRGKGPMVFERDDDIIYLGGGVGNAGMYNDEKIAKMISEMEGNNEIIDDEIRSSFAFDATTGRKEAEKDVGTVIGAAGARDHVPHEEGSEIRVSVSATVATPVGEADNSQSGGGGVPQHVPLQQYVRRRTKQKANRVKRPKKRVRFAEGMNIPYAPSRESDGSDEDYPCGLEVGAGTLVCSSSAYEVDAQGGGEDGVSPTGSCRTGDASPQGSGERQAMEGTPSGEPGGRNGLSVGTGNFGLTDGGSSDQRRNGGQVTCDRFPAGVALENFGPTAEDVESAPGLAGGSSSRVGVDRRRVFVDLAGSGSSVRAAAGRSGTWGPSVDVTGFEIPVPAGVRVKTEIGENFPATYGLSHEEPLGSLVLNFVDNMMACSERELDRKWFKHRRPTKIHLTGWDFKIEFGPGGKITFIGWSAVHRMLKVLEFKLYGYSEARWRHIFDPRFALMMPLEVLQSWALYVFDTENKHMLVMDPTTTFYGEDVMRAKHEETAKKTLHGLVRCIHENLPDWDLNANNWQIKYHAGAHFNCSSEHSGVYILHYIREFNGLYVRSILSNERLEYLRKTMAYQVATMKGNGGDLPDFMIQIVV >cds.KYUSt_chr7.28970 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180221022:180223415:1 gene:KYUSg_chr7.28970 transcript:KYUSt_chr7.28970 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLLAWLPWLVVSLLSLYLLDPSSPTRAATFLQAPSLCSRPHRSLARLARTYGPLMSLRLGAVTTVVASSRDAAREILQKHDAVCLVRPVRGRRRRRPRQVLGRLAPHWTPVALAAQDHVNGAFRPSPARCAPGPPERQGAGARGPHRSAVARWHSGGRRARGVNSRVATSLNLLSCTIFSRDLTSLDDHGGSKEFQVAVGEIMECGACPNVSDFFPVLAWADLQGLRRRLARLLARMHLLFDAEVDRRLRRREAGEPRKEHDDFLDVLLDVAARDVAKADLDRDTLRALFTAGNDLHMVTHSRSCLAIHFTDLFLAGSDSSSSVVEWAMTELLRNPTSMVKVQEELTQVIGSTRSMKESDIDLLPYLQAVVKETFRLHPPGPLMLPQQAQATVRVAGYIVPQGARVMVNLWAIGRDERIWPEPDKFMPERFLGKTMDFRGADFELIPFDAGRRICPGLPLAIRVVHLVLATLLNEFEWRLPLEVERAGIDMGEKFGVTLTKAVSLCAIATPI >cds.KYUSt_chr4.2610 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14628460:14631528:-1 gene:KYUSg_chr4.2610 transcript:KYUSt_chr4.2610 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAEAAEGDSLSMLESEGEEAERRRCRFRYCVCCWDDFPSARVAPGIDYICLPDPDAADHHLPAWSLLVGVADGVSSLRLSRLRVARSGRILGRSDDALEVFHDIVLAKPPRYTFQAGVAPLGSDGRSLCVLHQDYHEQPQALQLTLHPPKPQAMPLPEIEGTTSYCMPISADGQTWALSATRQADHIDAWLTVVTRRLVPAPGGGRRWELVGGPFTTPIAHKISSPWMGDFLLGYAVIPDARLVLVSFQQYGLFLTFAPSSGSTKLRDNTIYAYKLAYQKNDQGGPEQLKLDLPIVIDYVCPFTPGKGYGILTRLAGLRMCSVWISLAQYTPCPCENLHAIVTTFDLLDTQDPVEGGIKLLHSTYRRVDMVPNPPAQQHFCFLQEYEDQSSLVLQQRGEEEEGLTSSQHVNKPSKMLSCCRNMMEMRSITFPDFQCGMDGGHIAHMCSREPAEGPFSTIPPLGLTRSLPVDPASRQHQVCSTSPVVHVKGATMAIKKDLIIICQAGSRAVIYQTGAMDDDVKPIELCCAAVGDRDWHFFKNGSKIQAVSSMKDGMFEFSMNKDRPTCKRPLQRPNAGPFVMVARVGQETIALTETLQIYHQTQFNYGPTTSWLRYKADESKVVFRRVDISGYVAMDDDSLIVSDAITCSCLHFDLGAKQWSVVMPLAAFKERPPDPTEALLNGRCVFVDGFIYTCRKGGLAAYELLREDHSLYLSQPIFLPLSRVHCVGEGICLDYAGKDVDSGATLLYVVQDEYSPQKYGVRSTTFSITTVKVRTQRTASNKMKPVGIDHMDSVTRFIHEAMDVICCFAV >cds.KYUSt_chr2.20760 pep primary_assembly:MPB_Lper_Kyuss_1697:2:130600569:130601105:-1 gene:KYUSg_chr2.20760 transcript:KYUSt_chr2.20760 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKTEEKVPFHPSLGSPETLTPPPPWRDLRLCIRLTSRAASAISTSAAHSAAQNALVCITEALVCLHALHAAHNSTMLRLLEAAHLGNEVPMLRDGDTDPKLTGFIFGGCMLNDDPATSCSRLDSRAMPRCSPPLPPLIVVRPLPPAQEEHTSRLVRMGWRMGGVCLSVSTGELSA >cds.KYUSt_chr7.18083 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112173651:112175815:1 gene:KYUSg_chr7.18083 transcript:KYUSt_chr7.18083 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDGVASAAAAAPGLVVSFGEMLIDFVPDVAGVSLAESGGFVKAPGGAPANVACAVSKLGGSSAFVGKFGDDEFGHMLVDILKQNGVNAEGCLFDQHARTALAFVTLKSNGEREFMFYRNPSADMLLTEAELNLDLIRRARIFHYGSISLITEPCRSAHVAAMRAAKAGGILCSYDPNVRLPLWPSEQAARDGILSIWKEADFIKVSDDEVAFLTQGDANDEKNVLSLWFEGLKLLIVTDGEKGCRYFTKDFKGSVPGYSVQTVDTTGAGDAFVGSLLLNVAKDDSIFHNEEKLREALQFSNACGAICTTKKGAIPALPTTATALELISKGSN >cds.KYUSt_chr1.41847 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256629441:256633264:-1 gene:KYUSg_chr1.41847 transcript:KYUSt_chr1.41847 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDSSLNATMGFTQPDIQHQQTSLMAAGLGFELHGFGFSSPPSAANNQAGQTVSVFDKGSSSLRGTHMNFSPMMNTVDGCGTVLGGTQHGPYVGMYQAVQEAISRATTIPPSDELPPAEFRSRAFQVPASRSALRPLPQSSTARVLFEEDDREQTNKVDPEFLRAIKDSAEQFRLAVSAFSKATTSITKLATTIVQSKSEADAKFSSSMDAMSGVDRAIPFNWMFGDGTAPKRGRRATSDQNRFQRLRDHMKGTAACVWIEFRDPPMASVDGIYIKRLLQLREEMSEEMGNVVVRVLRNDEADKRAEADENVHYVMHMWADKVMSDGSNVDDCEETFLQPHFHYDISNCGRVVAMIKFEQGWASVSVDMLKCTTHLVHPAVSGKPHIDVLNFFRPTMEKLTTFAVSHIKHLTNRRIPEIQWVYDVALKKQHIMHGPDTGVSTAFFSVPKPNQFLPVCPETIYLAKLTFVYKGTIAAYSITKKTAPKGKKVLTKKRKAEGVTVEEDKRAGYVTDEGSDGETNNQGVRNVLPTQAFAYLA >cds.KYUSt_chr2.2906 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17168401:17178021:-1 gene:KYUSg_chr2.2906 transcript:KYUSt_chr2.2906 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALPPAFPPHGLPQPDATSAGDMGLRLRQVRELRRAPLHELVPRLADLRADEAGPVRKLVAEMIGEVGSKHTAYIPDVMPSLLDLLNDETPAVARQAVKTGTDLFAKVLQQLVIQGLFSSGGIDDSLKVSWEWMLKLKSAVSLMAFQPTSNEGVRLLAVKFVQKTVLMHTPDPDITSDPPNQATEDMGFNIAWLRGGHPLLNVGDLAMEASQSLGLLLEQLKPPKIRSLSTSMIIVFVSSLSAIAQRRPSFYGRILPVLLSLDPANTIIKTQVPGTFHALKSAIDACLKCTHSSAEPWRARLLEAQNIINQGDSVDHTANDSTEHTANAARSIGDTSNKAESLPLTETSTDNSNKRNLADDMNNILEDDGHSSKRARQSHDAQEHSEETNKRNMEAASVGSSSNQHVPARTGNSEAVYQLISMFAPLAAQGDRAAVSLQILSSSIAADLLAEVVMVNMQHLPVSHPVDQQQPPSSIQSSIAPSLKLPSGRFPLLESLWKAIGETNQDEVPPPEESAPVTSAAGDTTPVLVSSPVLTTLKIPKEENSNSSEVPFNSSAVSLDIETVEVKVPSADAAELSIEVQESSETSHASTEPQGTQEHSGSFMSSLPADNSSVGVSLAQSFETRSPSSSTIEGSQSQFSSMNALTSQHVLPKLVVTNVDLTDEAKDLLQKEAFLRILARDKQEESGGSKARLPLLSHLGVEFPLELDPWALLQKHVLSDYVNNEGHELTLCVLNRLYREAEQDVDFLSSRTATSVYESFVLTIAENLRDMFPASDKSLGKLLCEIPYLPEGVLKLLEDLCSPGNNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSINRLDEVRMKAIRLVANKLFPVASISKRIEEFANEKLNSVLEVIPAAESTSASEMVTPEAHQDGSLENLSSVADAQTLMSLYFALCTKKHSLLQRVFAIYGSLPQAAKQAVHKQVPILIRTIRSSTDLLGIISDAPADSRELLMQVVQTLTDGVVPSQDLISSVKNLYSKTKDVEFLFPVMAHLPKDEVMSVFPNIVNLPVDKFQVALSRILQGSPQHGPSLDPPEILIAIHVIDPEKEGIPLKKVIDACAACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHASQPNVRSTLPRSSLVVLGLAEDQQQHAPEAQSSQAQSSQNQAAETSSSAADTTTEVTQESSAAS >cds.KYUSt_chr4.34250 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210214297:210222020:1 gene:KYUSg_chr4.34250 transcript:KYUSt_chr4.34250 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPPPLPLAAGAATTADPDDGSGREEEDRLPFLEFGSSPGATSRETTRGEDRVGREERGMEGTVVEGEGAALQGGTNHGDIEFGFFPHVAPKTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVAAVVGGRKAPMNEEQEQQAEKSIVGEFSTVKHVRGILSMGRYSDPDSASSSFSILLGDAPHLDGQVLTHSKPEGSQVSLNIVNLHADIEVEGCEAEKSILKRRLSESASEVERWVRISLKKC >cds.KYUSt_chr5.17528 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113104136:113105092:-1 gene:KYUSg_chr5.17528 transcript:KYUSt_chr5.17528 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQEKPDQLSAADHEGDGHEVEAVQDEVAAIVELVTELKQEGTTLFRLRDYDGAAFKFDEAIRLSPRAPRAYDENDIASLHSNVAACYMHMNTGQCPEDEHHYHQAIDRCNMALEASPRYTKALLKRARCFEALDRLDLACVDVQEVLTLEPNNAVALELLESLREEMEEKKFLLEQEARSLDDLIKVISASEKVAKQFSTVASSGNKADAAAVVSTEETDAHEIFHGEHEDEHASFNDNDGQETPHGSSEEDHVGESDQDKQGDQHGSMDDSNAEHTATDGPPRLCDSKEQVSSSSCTDAEVRGHDSTQSLSHDQ >cds.KYUSt_chr5.5298 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33238488:33239967:-1 gene:KYUSg_chr5.5298 transcript:KYUSt_chr5.5298 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSRSRSRGHGGQIQRCIGFLFLLLVLLRSELAAAEGNAVSLNKSMVVIHIKMREILDAELLLAGRGGEEEGRRVEGCSPVELLLDGRGGEGEKLCWASSSASTTWRFGVWATASAPVVSLSLFDHHGDGAEEKDAARQTAAAQLLSRKEIGMREVGMLEAVLVGVYQRRRRDAGAILGERSHSMSGSFVLLRLFILCARILINPTPGGNAIDAPSGMFPGGDDGYLPRSFREACIAIHAAALPARMPPLPAAIPASGLVDVVCSRRERVAAIGRHRTPWDRASTS >cds.KYUSt_chr2.27183 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166663836:166665011:1 gene:KYUSg_chr2.27183 transcript:KYUSt_chr2.27183 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVGGDILASLGRVRLGDLAAVEGLASDSYKICVSTLMQSLAQYSAAIIQLPPADAALLRSGLDSTRLFFHQRGYGSGEVVHSEDDAREWCKTSGYYADPQMWLEMYDYRPGITAREHNGAMELTPSGLPDIFSVLGKVCRDILDAISFSLNLRTCVFTEILDNMPLRGQEVSSSVLSACCHSRPSFEGAQQHRVASPNDSQLLMFSEQEEQIDKTLLTLVKSDRSGLYVKDLHARWILVDGDLGPHDIVVYPGLALYQETAGYVNPAVHKTEVGNLQGCRFGRCSLAFKLTPRSVARLSDSEMGAAGHGVDTQFQVPIPVTDFMQTHHSADQLFPKNNELSSRAEQDGQALAYSLRMHGNL >cds.KYUSt_chr6.9078 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56102131:56102786:1 gene:KYUSg_chr6.9078 transcript:KYUSt_chr6.9078 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLPPDCWVMNPMEAVGSELLLEKEGRAEVSTVGTSFHNLEVPRALHLTPPCRTSCVLNQLATGSAPTGKTGVPSLQPKEVECHKESIGSCDDASVGFT >cds.KYUSt_chr2.30193 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185871885:185873539:1 gene:KYUSg_chr2.30193 transcript:KYUSt_chr2.30193 gene_biotype:protein_coding transcript_biotype:protein_coding METASPPYRNRDSRLLTTTSNMLLTTTSIRLVFDSKIVRLHSTRVFHLHSSRSSGASLREVADAKGGRSRARRRGPVSSTGWPVGSSQRGVRDSAGTRRRGARDSAGASAARRAGSAGARLRGARGSAGVGLRGARDSAGAKLRGAWISGGGAEFRRHRAARGAEFPADVGTARSAGGSPPLPGLHGARNSGVVRARDPPAGTSCSEPAHAIRRRESPAARLHGARNSGVVSARSAGGNQLQRDRAHDPGRYDWGFDCDVFEILRTQL >cds.KYUSt_chr4.51125 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316934850:316937102:1 gene:KYUSg_chr4.51125 transcript:KYUSt_chr4.51125 gene_biotype:protein_coding transcript_biotype:protein_coding MMGERESYSSFQWEAMRHDSISAGDREAWPAIDASAKVSSLERRKGSRSTGVRLGELEYEALVAHGDPNMELPQLEDEWDAVTLNYTSGTTSAPKGVVYSHRGAYLSTTSLLMAWEMGAEPVYLWTLPMFHCNGWTFTWGIAARGGVNVCREPPLREGGGDTARLGAPVHVLTGGAPPPAALLERVERIGFHITHAYGLTEATGPALACEWRAQWDKLPLSDRARLKARQGVSVLSLADADVVTDDANMARVPHDGKSLGEIVLRGSSVMKGYLNNLAANEAAFRGGWFMTGDVGVVHPDGYIEIKDRSKDVIISGGENICSKEVEEVLFRHPDVADAAVVAMPHPHWGETPCAFVVARNKAAGVCEDDVMAFCRKHMAHFMVPKKVVVYDVLPRNALGKVEKVKLRDEARKLAPPAQKTKATKATKTTVGGGRRGEQPVAHVMAMSRL >cds.KYUSt_chr6.12756 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79672603:79673205:1 gene:KYUSg_chr6.12756 transcript:KYUSt_chr6.12756 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVSYSELLLPRLLLHALLLLGHLHRFLVWSFHAVGLGDLIDVGVNAPLPAQDDAHWHGSASASLQHRRPGFRALPAVAVDEALPVLRLDELLASSPSVCAGGDCSVCLCGVGGGDEVRRLPNCRHVFHRGCIDRWMAHEQRTCPLCRAPLMPGGDDGANLPEVSDYDFPYPSPLPLMPTPTLLRPHELLLNGLGGFQ >cds.KYUSt_chr3.41004 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258828054:258834646:1 gene:KYUSg_chr3.41004 transcript:KYUSt_chr3.41004 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGAPDLTDFMNDWFFGTVGAKHSAASAGAVAGGAYDLTGESSSSSSKKKTASAPSEKKRESDVGRGGRGTNSTSKQTQDWLEEARRMVESGSPGRMGSPSRQVPRFAGGNGTEPSPTLDRRDPMSRSARRHRQPGGIGDEILQRASIISSPSRSEASAASEPPSPSPSLPPNPHSSRRKSRFQDLSAADSSHRRTTSSASSSPARPLHHRRHASTSHAPAADGFDDGVARLNAFLRRQRVALDNLASGDIRGSRPTKVVLSDASKSVSSIVAAICYAWVQSSKADGHAAVPVVNMQRSRMARCRQAAWLLYHVGVDASALLFADEVDMEGLIMDQRASLVVVGQDVLRSNGEVGSVCTVLANNYCEETYSPLQSQDIKKLLLAGILLDTNNLSKTCSDKDSEAVQLLLFANPRPARGTGGKPSDEPPRGKNKFSLAKWFGFGPK >cds.KYUSt_chr3.28267 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176392765:176394028:1 gene:KYUSg_chr3.28267 transcript:KYUSt_chr3.28267 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTVAKQLRRLRTLGRGASGAVVWLASDESSGKLLAVKSAAACGAAQLAREGSVLTGLCSPHIIPCLGSRAAEHGGHQLLLEFAPGGSLADEAARTRLPERAIRAYAGDVARGLAYLHARSLVHGDVKARNVVIGADGRARLTDFGCARAVGSPLPIGGTPAFMAPEVARGQEQGPAADVWALGCTVVEMATGRAPWSDLTDLLAAVHRIGFTDAVPEVPGWLSPEAKDFLAACFARDPRHRCTAADLLDHPFLSSTVPRDYYRAEPAKQERTTPKSTLHDALWDSDDTDDEADETTTTPAERIGALACAASALPDWDSDHQGWIHVHGDDNISTRAHDSPPADAGDLVCDQPPEAEFEPFAVAAADGSNGTPRHAVAVIDGADIWRDGYLPPVHLGSCRNQILDPFDSDGDETVSFQRE >cds.KYUSt_chr4.2216 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12173311:12175995:-1 gene:KYUSg_chr4.2216 transcript:KYUSt_chr4.2216 gene_biotype:protein_coding transcript_biotype:protein_coding METPLRWSVVFSTLLSSRPPIRTYDGFLYLRRNARRLVLIDGKGSAVDTRFLKANEIIRSGSTIFMPCHRISVGARDLDLPESSSVRQTQVSSQPAPKLDLCPGLDIQHKIWKEFHCPVAFSPMASSKEFFMVASFGRSTLRLDPFHVSHMLQACLGGIAEDFNVLFLRDRTYRFSVHNQQVGFFVHNLRHFKSAEFVVFFALWGNGGPNFVRELRQWEVEESRAWSVVGSKSYADIVRQPLSGANLVPLGRHNTSTSRPSLASVKVSFLSKLSSEARDDLEDVIAAGHTFSQVLHCFRFDHEDRAVISPLDSLSPAHLQELRDLIALHLLEDQLAIIFRGNPGGNTQQTTIPVSSVFNRVTQSLPSASGNPGVQNNSSLPSRPRVSAFDRLQEPLPAQDPVSAQPPGPRVSAFQRLQRPPTRPLRDDLSRPTNGPICPRCLLPGHIRRDCRKRIKCHACHKEGHVKIDCLFSKNLPVKTQWVSKGIHDQPKESTDMHISMENSNRGIENPMAGIVEPSLDLTLGPRNIPHKDQAPMVNVDLPLLPQLGPVVAVPPPSQSPPPNPGLHCSSAPASASSELLAGAAMANFAVDPVPFLPGDYEILHVDGRPQQCRYHVAGVITPRHEDLAIATITPDFQGDQPFALTRNYLRQFMEEETPFTLQISQRCPLGSAYVRVGAVADRDWLVAHSPFQFMGRQISFVEHNRGRNHRAFSYNRECWLMLLSFPADLWTDEHIRGAVKDFGALVTWDKELSSYAALLVKVRVVDLQFIPHSCVVSNGTDRYAESWSVPIFILSQKLLGAAPADEDMPPADGSTPHPLPVAVPHHQHDLPPENQGWAPWQHQVPPPQQQGVHQHEPLAGLQNPIGQNLNFIFGNAAGDLNLNELPDTSPTYR >cds.KYUSt_chr3.6760 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38871346:38872862:1 gene:KYUSg_chr3.6760 transcript:KYUSt_chr3.6760 gene_biotype:protein_coding transcript_biotype:protein_coding MARQAVSFVLGAATALTLVLLVQYRAPAEGLSRAWTHGQISGRRSSDEQYHRRNDTAHTVHHAPSVAVAGEDHHLHQADTTLKGTEGAEEFHGLAAAVSRAATDDRTVIITCVNQAWVAPGSLLDLFLESFRIGDGTARLLPHVLVVTMDPAAHARCLAVHRHCYQHAIPGINPDFFGPEEWLDLVWSKLKLQRRILELGYGFLFTDVDVMWLRDPFKHVTAYADMTISSDNYFGDPDNIDNLPNTGFFHMKPNRRTIAMTKLWHESRGSYPGMNEQPVFNAIKARLVAELGVRLRYLDPEHMGGFCSHGKDLGKIVTMHANCCVGLSKKMRDLRGVLDDWRNYTMLPSWEKHRAKWTVPGACIK >cds.KYUSt_chr2.26637 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163120403:163122191:-1 gene:KYUSg_chr2.26637 transcript:KYUSt_chr2.26637 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSMPATVTPPAPQVDAVAPVPRWWEDAAISLGLVAVQLAGAAYMVVLTPILALGLDPLFLVTFGSLSTGLFTLPFAVNLERNKWPSELSNRLVLQFVLLSLGGVTVFQALMLHGMKKTSPAIASAMPNLAPGLIFVVAGCLRFERVDLKCQYTRAKILGTLLCLGGAITMSILQSPTAPPGRRPPDLAAAKSHQDWVIGCVCLLGAVLMLSGTIILQAATMIHFPAPFTLCSVTSLIGAVMTGLFQVATAGRLSPGTPQISTEIILSLVFVGGLVSSVCIMFQTWALEKKGPVVVSLFSPTQTVGTAIFSALFLGREVQPASMLGMVFLFSGLYLVLWAKKKEGQVLLADRVVAYGTEDDVEKPLLLPH >cds.KYUSt_chr4.47405 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293361877:293362694:1 gene:KYUSg_chr4.47405 transcript:KYUSt_chr4.47405 gene_biotype:protein_coding transcript_biotype:protein_coding MFFWRVWWLEWKMELLRNKVVSSFLWRLLVLMLRCGIRRLLEVLLVKLSWRNLVIVANSDEAFFNKKFAWCHVLSRSSDDFNLHREAFSSQSSKLAIDALPSGLSPKVRRWPAVLARHQEGENNKHLYLGSQRGQRLEVAGVRRRRTSST >cds.KYUSt_contig_1253.576 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3507121:3514679:1 gene:KYUSg_contig_1253.576 transcript:KYUSt_contig_1253.576 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAKVAVPASARRFAAVAAANTVIYAGYAMLWLGSAYGVFTVVGRRTLGEGSPVLSAAKTVALYALRGCGILLPFSLMLVALRSMASVSNNTHSKERTKEPAVKGLRQILRKVIRDMLQDAVMVGALATFPFLLLMCAGALVTGESPVKESRREMIGSILVDVGILGANAIHCFVILPITILRIWRMKFHVGAGIPGVAPHYTSPPSTFNVLLVSYWFDKPWFLTEENLLLYASYLPLGVPNGRVLYAYQDLMATTSNNIAGPSLGSPPATKLTRDNFLSWQAQVMPSFRGARVMGLLDGSDRAPPEFMDALDDNQKKISVPNPAYDAWVTKDQNVVSFLVNSLSDDILPHTYGLEHAAAVWSAIVNLFATQSKARVSTLRGALTNTKKLDMTAQQFLTKMKGFASELAAAGKCVDDDE >cds.KYUSt_chr4.6063 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35567066:35569237:-1 gene:KYUSg_chr4.6063 transcript:KYUSt_chr4.6063 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSLLTLPRARPSLPLRTAASNAPPSAARLAPARRWRRQRQRARLSSPVSAVAAEAPPSPSPSGGGEEDSEGRFDWLDQWYPFAPVCDLDPGAPHGKTVLGLRVVAWYDRAVDEWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGRGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRADAEHQDVLERKRPPFIPEIDDPSFVTVYGIRDLSYGYDVLVENLMDPAHVPYAHKGLMGKLLEFDIEGGGPMKMKIEEANVNGFLSPQENGGYFRYEAPCTFYGSPLPREAEGEEKKKKKPQFMLVFMCIPVAPGKSRVIWAFPRNVGVWLDKIIPRWYYHIGQNAILDSDIYLLHIEERNFAAAGVENWQKAVYVPTQSDNMVIAFRNWFRKHCKSQVGWAAPTVDQLPATTTKDKLMERYWSHVAQCRSCSTALKAMKALEVVLQVAAVAVVGFLAVAKGTLVTSVVHKTAIVSFAVLCFAASRWLANFIEKNFYFQDYVHAYK >cds.KYUSt_contig_2087.45 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:268257:274202:-1 gene:KYUSg_contig_2087.45 transcript:KYUSt_contig_2087.45 gene_biotype:protein_coding transcript_biotype:protein_coding MEACCCSSSSAPSASILAATGAGLRRRFSPSPSPSAGGRAVALAHPLRASPSPLSATTTPPRRGQQRRGAVVRAVFERFTERAVKAVVHSQREARGMGDEAVAPHHLLLGLVAEDRSAAGFLGPAARIDRARDACRAAVGKRGPAQAATGLATDVPFSAASKRVFVNAVEFSRNMGCNFISPDHIALGLLDLDDATTNNILKSLGVEPTQLAKQALARVKGELAKDGREPLGLSSFRLRDKSTAGNGRSAIVKYTNKRKGQGLSYFVGVCADFLYGMECGKNELILFVISEKSALALFCVDLTMRASGGLIDPVIGRKKEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVGRRILSLDVALLMAGAKERGELEARVTSLIREVRKAGDVILFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVYVNEPSQEDAVKILLGLREKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSIISKSPDEYWREIRAVQAMHDVALTNRLKYSLNENDQENEVNVEVLDDDKTSRTSTLSASADEPSMIGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGMSDPDRPIATLLFCGPTGVGKTELTKALAATYFGSESAMVRLDMSEYMERHTVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLSDSQGRRVSFKNTLIVMTSNVGSASISKGRMAIGFQTLNDTEENTYTVMKSLVMEELKAFFRPELLNRMDEVVVFRPLEKNQMLAILNIILQEVKGRLLALGIGLEVSDAMKDMIIQQGYDKSYGARPLRRAVTQFVEDVISEAILSGHYKPGDTITMDTDDTGKPCLSRMNDQTVQLSDPTPTL >cds.KYUSt_chr2.47766 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298774350:298776902:1 gene:KYUSg_chr2.47766 transcript:KYUSt_chr2.47766 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPSLPVPPSFAGASPPSPSPIGGAQEPNDRKLASAEQLVLDLCDRDLRENALLELSKKREIFQDLAPLLWHSFGTIAALLQEIVSIYPALSPPTLSPGASNRVCNALALLQCVASHPDTRIPFLHAHVPLFLYPFLNTFSKTRPFEYLRLTSLGVIGALVKVDDTEVIGFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDIGLRYVCATAERFYAVGSVLGNMVISLADQPSTRLLKHIIRCYLRLSDNPRACVALHNCLPDMLKDGTFNISLRVSHAINLSVITSHDFIHLK >cds.KYUSt_chr7.1544 pep primary_assembly:MPB_Lper_Kyuss_1697:7:8568236:8568820:1 gene:KYUSg_chr7.1544 transcript:KYUSt_chr7.1544 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHYTPAALAYTSSPGLASGGDGAALHADSPVKVVVVVTRWSGVDGAVLLAGSLVTDVVAAPRVCGVDGAAQQPAASSRTSSSRLAGAMEMMAPRRAGSLVADVVVAPRGCGVDSAMLRAGSLVLARRQVYDDRFTVGVAASSFGYLSSLMRIMAWRGIPASHDASPTSSTSSDRQPTTSRREARQIPAFFSS >cds.KYUSt_chr6.21570 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136048588:136051777:1 gene:KYUSg_chr6.21570 transcript:KYUSt_chr6.21570 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQTRIDVVRRQPQHAAAASSSYCSATTVTIFVGLCLVGVWMTSSTLVSPAEYSLFQAAPLPRRPTPAGGTEPDVAPVDGNTTTFIKEDSADEQEEPPAARQDAVAEPPERANQPPEEQIVTDHKVEKPDGDQEQTDPDEQNVTDPKAKPDDQEQELKRDAEVFPDASQAELLNQTATDSATWRTQAAKSDTETDDKEQTATVVSGVPTTDNWKLCDAEAGADYIPCLDNLEVIRKLQHDEHYEHRERHCPKEPPACLVPLPKGYRSPIRWPKSRDQIWYNNVPHTQLVEFKGHQNWVNISGEHLIFPGGGTQFKRGALHYIDFIQEAKKDVAWGKRSRVILDVGCGVASFGGYMFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGGVFDVVHCARCRVPWHIEGGKLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWEAMSALTRSMCWTLVNKVKESINKKGVAIFQKPMDNRCYDARSAANPPLCGEYDNPDAVWNVSLQSCMHKLPTDPATRGSRWPEEWPLRVERPPYWLNSSEAGVYGKPAPEDFEADYEHWKRVIRNSYMEGFGIDWSAVRNVMDMKAVYGGFAAALRNMKVWVMNVVPIESPDTLPIIYERGLFGLYHDWCESFSTYPRSYDLVHANHLFSKVKKRCELLGVIVEVDRIVRPGGRLIVRDDMETISEVESIIRSLHWEVRLSHYQGKEGLLFAQKTMWRPNPSSS >cds.KYUSt_chr1.11697 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72094070:72097777:1 gene:KYUSg_chr1.11697 transcript:KYUSt_chr1.11697 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVFERSNGDVMCHDDTKLSNGCFSREEEQEETAVIVAGAEPRYTPYGLIAKSTMLSSGLQSFNAKKALLSHHEILYGKLLRVLEIVDPPFMEDDGMDFSPQKNSNDHERDSFEDDEFVDIQSATSKSSAHSQVPTDKKPKPKPKNKPEQAEKPNTANVNQAAATMSSPAPAQTSETAATSANNHTNTEPVVGNGISLDSSRSTRSSSLESSISSVSAASSTAPAQVKRHTGGDSRWEAIQQATAQETALNLGHFRLLKRLGYGDIGSVYLVELRGTSAFFAMKVMDKASLISRNKMARAQTELEILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSVRQKQPTKHFTEQAARFYTAEILLAMEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCTVCPTLVKSSSVHSNSGIGVGGAGTGSSSGEGGGEGLGPNQGCVQSSSSFFPRILPRRSRKASKSDVQSLNAASAVEFNAEPTEVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELLHGTTPFKGAGNRATLCNVIEQPLRFPSDFGGSVAGGASSVARDLIRGLLVKEPQKRIAFTRGATEIKQHPFFEGVNWALVRSMAPPSVPEPVDFRQYAAAASGKEKKATDSAGGKSSTGDQPQSDFEYF >cds.KYUSt_chr3.22340 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137932978:137934525:1 gene:KYUSg_chr3.22340 transcript:KYUSt_chr3.22340 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLAAVLSYLPPPPISPTTSLSSSAASDDDDRISCLPDLLLRNIISRLPTKDAARTTAFSSRWRSLWASTPLHLDDAGMAPAAVTAALNSHPGPVASARLSSDHLASTEPDVLDSWFASLASKSVEVLVVVHGSWSEECEWRPSPGLLACAALRRLWLGLCQFPDTSGLAPAFVNLQELGIVHCSTQDRELHAMLPRCPELESLAIVLTQDYPRYVHIWSGSLQSVVVWRSMVREVHLDDAPNLERLLLEPIDGASTHVKIINAPRLKVFGYFDVGLHQLKIGPTVIKDGIWMKVKPNAMVRTLRTLGLKVQFGVQEQVKLVPLLLKCFPCLETLYIKSSPSESPSNVDVDFWEQVGHTECVTSHIKKFVFQDARGEDTELAFVKFIMERAQMLEEMRVLLDDGCSRDVVLSRLSSEGCVSADASVVVEKQDVSHSWSFQRASDMSQGDPFGC >cds.KYUSt_chr7.6767 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40802353:40803049:-1 gene:KYUSg_chr7.6767 transcript:KYUSt_chr7.6767 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRSSIIIPCRHKASKVSPGIIRACSRNGNAGPPAVEPAAAADGGLRGAELHQQARGGVPGAFDSDNDGLVTAPELRGLLSSLGLNKSEAEARDMLARADGDRDGLLSVEEFLDVMNAGELGLGALGALLQAAVPALESFAGGPDGVLGADELARVLGLMGTASAEDCAEIVACMDGDGDGTITVEEFKLMADLL >cds.KYUSt_chr1.16206 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94154128:94159169:1 gene:KYUSg_chr1.16206 transcript:KYUSt_chr1.16206 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASKARQAGSSARQVGSRLAWSRNYAAKDIKFGVDARALMLRGVEELADAVKVTMGPKGRTVIIEQSFGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDDVVTNLKGMAKMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLHNELEVVEGMKLDRGYISPYFVTNQKTQKCELEDPLILIHDKKISNMHAVVKVLEMALQKQRSLLIVAEDLESEALGTLILNKLRAGIKVCAVKAPGFGDNRKANLHDLAVLTGGEVISEEIGMNLENFDFQMLGTCKKVTVSKDDTVILDGAGDKKNIEERAEQIRSAIEQTTSDYDKEKLEGRLAKLSGGVAVLKIGGASEAEVSEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKALDKLQTANFDQKIGVQIIQNALKTPVYTIASNAGVEGAVVVGKLLEQDNTDLGYDAAKGEYVDMVKVGIIDPLKVIRTALVDAASVSSLMTTTEAIIVESPKEEKEAPAMGGMGGMEY >cds.KYUSt_chr4.18332 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115287607:115288294:-1 gene:KYUSg_chr4.18332 transcript:KYUSt_chr4.18332 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVQAAKLQGLPKIVSIENGYSLLVRCSFEVDLAEVCHPNNCNIGLLAYSPLGSGVLTGKYLDANASDAKTFRLNLFPGYMQRYNSPLAQEATKEYIKVAKKHGLTAVQLALGFVRDRPFTASTIIGATTMDQLKENIDAFISAPRPLPPQVVDDIETIFKRYRDPAVL >cds.KYUSt_chr7.18832 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116674909:116676369:1 gene:KYUSg_chr7.18832 transcript:KYUSt_chr7.18832 gene_biotype:protein_coding transcript_biotype:protein_coding MAATETEKSITLTSALPPPHFVLVPLIGQGHTIPMVDLACLLVERGAIVSLVTTPVNAARLQGVADKARRAKLPLEIVELPFPPADDGLPPGSANVDNFLRLFLALYKLAGPLEAYVRALPRRPSCIISDSCNPWTAGVATSVGVPRLFFHVASCFYSLCKLKVATHGLLHDGNHDAHVVPGMPVRVEVTKNTWSSSYTTPEWEAFVEDAREGMRTADGAVLNTFLDLEEQFVACYQAALGKPVWALGPFFLNNRDEEAVAARGDKDKPSAVGQDAVTAWLDTMGQSAVTYVSFGSLVRMLPKQLYEVGHGLEDSGKPFLWVVKESETASPEAREWLQALEARTAGKGRVVRGWVSQLAILSHRAIGGFVTHCGWNSLLESVAHGVPVVTWPHFGDQFLNEQLVVEVLGVGVPVRGPAVTVAPVLRGHIARAVSELMGGGTVAQERRRKCKEYGERAHGAIAKGGSTHQNLTQLLQSFMPSGSTEQ >cds.KYUSt_chr5.4655 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29670170:29675297:-1 gene:KYUSg_chr5.4655 transcript:KYUSt_chr5.4655 gene_biotype:protein_coding transcript_biotype:protein_coding MENIQGQERHPTDVNLLKAAREGNTQLLEQLLDEELPSRLNHIVVSVPTTSFGSSDGGIHEEQPANGQGGHGEASTSRHSNNSPSMSGEVTPDGGRPPPEHIGELSGRLTSHRHVSLTPFVSKLLQGVTSDLDVVLHIAAKLGHVDLVRAISMREGLVVNVPAKNKRGETPLHCAAANGNVDMIDLLILMAGEVGAMQLLSERNHNGETCLHEAVRCGNIEAAKRLVMADVAVQHYRDIYLRLFDASLKENKMLDIQHLTLGLKRRQLCMLQFSSAKAPQRWILNDLVLAGAELDTRRLDHFDAYNYDIPDKEKHSEVLSKSAGLGAVCAVLILNISFAAPFNISKLYLDPSTSNIQVGFSTFIIADAFAFAFSMAATFWLSFAGLSIVDMRTRVIYLNKGSICLSSAVYSMVIVFLLGICAVVGHVDAIITIAACVIALGGLIPLLGFTIYRHFWVLYHRLGFRTWCRTFLGIPPQQRHLQKYGQLGKNSLFVIIITLGLIITNMSITVTGKCVILRLKTVELSLLT >cds.KYUSt_chr6.15837 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99664384:99665448:-1 gene:KYUSg_chr6.15837 transcript:KYUSt_chr6.15837 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVASNKPSRSASAIVASTVSGYHLLKIDGYSRTKGVPSGDKIKSRAFTLGGHRWHIDYHPNGINSEHADYISLYLSLDDTVTTAVKAQHRFSFADEVKDQAPSLTTAPVKNYASQNGWGTSMFIKRAELEKSNHLKDDSFTIRCDIVVIQDYRAEDFPETTPTTFVSVAPSDLYQHLGDLLKTEKGADVVFEVGGETFAAHRCVLAARSPVFSAELFGGMKEGNTAGVVRIDDMEAQVFKALLCFAYTDSLPKPEEEDVMYQHLLVAADRYNMDRLKSICEEKLCNYITVGTVATILALAEQHNCEGLKKACLHFLGTPANLRALLDSDDFDHLSRSCPSIMKKLIAMLAFK >cds.KYUSt_chr5.15301 pep primary_assembly:MPB_Lper_Kyuss_1697:5:98925683:98928440:1 gene:KYUSg_chr5.15301 transcript:KYUSt_chr5.15301 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFARVQALDPDLASTIMGMLLTQDKNEEDMIRLAFGPEHLLHAVVARARADLLAAAHHKKPSSPPTAPWASGLSTDDAPFAADQARFDGGGGGEGFYPPDEFGCWSPASGGQHRRSFSLSDADAGGWKPCMYYARGFCKNGSSCRFVHGLPDHLSDQDMEAWTAAVRSELMASAFPFSPPPRGLNFLLQQHQQQQSDSQRAAAAAMLLGGGGEDMHKFPPVRSPRLDRGGDLASNPAARQIYLTFPADSTFSEEDVSSYFSFFGPVQDVRIPYQQKRMFGFVTFVYAETVRLILAKGNPHFVCDARVLVKPYKEKGKVPDRFRHFQPTHHGDFPGCTTPTGLLDSRDPFDLQSPQIGPRMMYGNIGNHEAFLRRKLEEQQQAAELQQAIELQGRRFMGLQLLDLKSRGHHHVVSPVGLRQQADGNAIHLEDATIQDHKMISGGRAMMTSAPVAISAIATQGKQQGEEGDGSPKQRVNSGEDEKTGHPGAAASAAPSANLFQESGVEEHNLPDSPFASPTKAIGAAVASAEPAHTGNIGSPHLVASSLFPPTPPTLELPPYKSCFFQVPR >cds.KYUSt_chr7.21702 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134626881:134628314:-1 gene:KYUSg_chr7.21702 transcript:KYUSt_chr7.21702 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVDFKYDGVSDGEQRKGGHGIPKVSMVPLVFLIFYEVSGGPFGIEDSVKAAGPLLAILGFLLFAFIWSVPEALITAEMGTMFPENGGYVVWVSSALGPFWAFQQGWAKWLSGVIDNALYPVLFLDYVKSSVPALGGGLPRTFAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGLIAIPQIEPSRWFEMDLDNVNWGLYLNTLFWNLNYWDSISTLAGEVENPKRTLPRALSYALVLVVVGYLYPLITCTAALPVVRESWTDGYFSDVAKILGGFWLHSWIQAAAALSNMGNFVTEMSSDSYQLLGMAERGMLPEFFAKRSRHGTPLIGILFSALGVVLLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRITHPSTSRPFRIPLGTVGTVVMIIPPTILIVVVMALASFKVMAVSILAVLVGFALQPGLVYVEKKRWLRFSVREDLPTLPDSSPVATEEETTSLVV >cds.KYUSt_chr1.40236 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246455376:246457147:1 gene:KYUSg_chr1.40236 transcript:KYUSt_chr1.40236 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTAAPMSSPKVGAGSIATPWQQLQGSESWKGLLPSSEHPDSLDADLRASLIAYGELAEAAYDGLNADESSWDAGSPLYGHAGLLAASGVSHPEHYRVTKFLYATCDLRVWPWKTSKSTRSVGKSMFVRPTQVARAGPWWWETNWIGYVAVATDDGMKALGRRDIVVAWRGTVQTSEKLKDAIFPYASVAEGLDLSAENKFADANVHSGFLSVYTTNNPRRNYRDSQIDIVFDTSPRDEALKEVRNLVEAYKSEEMSITVTGHSLGASLATLNAFDIVAHGINVPPASSKLIPTLPCPVTAILFASPRVGDDHFKHVFASLPQLRALHVKNENDGVTSLPTGNFYDAATASLLIDTNRSPYLRHGNLKTVRWYHNLQCYLHGLAGDQGAEKHFKLVVDRDVALVNKSTDRLKEEYPVPANWWVTTNSHYKGKGVARFKLDNFGEE >cds.KYUSt_chr4.6171 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36258770:36259468:-1 gene:KYUSg_chr4.6171 transcript:KYUSt_chr4.6171 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRATSRPSRVLQLAALVVTVLVSACAADPEPVQDFCVAVVSKGADDQPTFPGFPCKPESTVVSEDFFFADLSRSGAAAAKDSPFGSAVTPGNVHAFPGLNTLGVSINRVDLAPGGVNPLHSHPRSAELVHVIAGEMLVGFISTAGKFYSKVVGEGESFIIPRGLVHFQYNAGGNSTARAVTVFNSQLPGVVLAAPSLFGAEPEIPDAVLAKSFQVDGEIIKLLKSKFQN >cds.KYUSt_chr7.35156 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219634277:219636493:1 gene:KYUSg_chr7.35156 transcript:KYUSt_chr7.35156 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAGNAPRADILPSDPHFGDNSGVHHLPLPRSRSRVSTSTAQVDTSGQPAVADDNGDASTTTADFICRPAVHLVLAFHDLIYSVKVKQPMRSFSRRSRDRDVEAAHSHGEGVRTRTLLDGISGEAREGEIMAVLGASGAGKSTLIDALADRIQRDSLRGAVTLNGDALDSRMLRVISAYMMQDDLLYPMLTVAETLMYSAEFRLPRSLSASRKRSRVEALIDQLDLRAAANTIIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIARSGSVVVMSVHQPSYRILALIDCLMILSRGRTVYYGPPAALRRFLSVFMGEPIPDGANPAELALDHIRELEGSQGGTEELVKFNRLWQEKTLSSRAVDGPWLSLKETIRLIIARGKLVSGTEVAAAVLRTEVATYANPWWVEVWVLTRRGFTNTRRKPEVFLVRFGAVVATAFILATLFWRLDSTPTGVKERFSFFAIAMSTMFYTTADALPVFLIERYIFFRETAHNAYRRSSYAVSNAIVAFPPLIFLSLAFAASTFFAVGLAGGAEGFVFFVLIVLASFWTGSGFATFLSGVVPHVIIGYTVVVAGVSYFLLFSGFFMSRHRIPDYWMCFHYMSLLKYPYEAVMQNEFGADTGKCFMRGVQIFDGMPMGKLPVENQVSMLTTMSKSMGIHFNTTTCLTTGTDILAKQAIDQLGKWGCLWVTVAWGFLLRIFFYVTLVLGSNNKRR >cds.KYUSt_scaffold_1700.181 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1249141:1251503:1 gene:KYUSg_scaffold_1700.181 transcript:KYUSt_scaffold_1700.181 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEQLEVNSPERSDAAFVTIPAPSTHNSDVLFAKELCDLLNSLENAIPGCGRAIACLLTGTSTKGKSKKVGDRPQTTFRKEKSISSKVVVNGVPAFLVEILIMPEGRGLKYGADAPIEVLFDGEGHMFLQSGWEKFARAHNLEVSCLVNFKWEGDGELRVKVFDDSSCRRHYHNDNNDDGNADFRMFFLCSENVNGHLNPLG >cds.KYUSt_chr4.26782 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168278378:168279634:-1 gene:KYUSg_chr4.26782 transcript:KYUSt_chr4.26782 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWLRCFPHDGAVMDDEPSRPRPRPGRSATFRKIKHTTSNCAHAAAAAAHRKRFIRSSSTVTDAPGRHSVDGAGGYNHSVVSARSFTFRELAAVTNSFSQANLLGEGGFGRVYRGLIGSSPVAVKQLDRTGYQGDHEFLVEVLMLSGLFSHPNLVGLLGYCADGNQRLLVYPLMPLGSLENHLFVSSRRPNADADADAESPPPPVLPWRARMRIAHGAAEGLEFLHETANPPVIYRDFKSSNILLDEGYHARLSDFGLAKLAAPTDNTHNGEGGEEEEIKDSSSRVMGTYGYCAPEYVRTGHLTVKSDVYSFGVVLLELITGRRVLDDSRPEGEQNLVAWAAPMFGEQRRLQELVDPRLLQEGEEAPCGRELKQAVAVAAMCLQEEDTVRPIMSDVVMALSFLATADDDLSVVSAPR >cds.KYUSt_chr5.22606 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147555216:147559740:1 gene:KYUSg_chr5.22606 transcript:KYUSt_chr5.22606 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDGGVRSSCSKGGWQHSCACSAARTRAWHPPHAFAAYGRRPDGGSGVSRACRGFGFDGTERDEAAAAGGNAGGDLVGDGADPFSGPFAGVVAPEARRSGGGGGGGGFGWRRGFAPAAPPPPKRRAVSPTRRLLPFSGRETAAPVVRSGGEEVLRVGASPSHGGFGREEGVLLPAVLDKVVAAKGGALAKDLYPPDGPNAMVGKASHDSVGSAGFLEINGAGWFSGARSDNKHMETLAVQAQELEEGEIADTMEIVQGMIKNDALIERQELEEGEIAATMDNVEDMNKNVLDGLIDGHKSEEGHIMDIVGVMAKEDLDVPVEGHEMERMDIVVATNKDALCGSVDGQELEEGEIASPLDIVEAMNVDTLDGAVQVQELKQGVIAATMDTVESMNNQAWHGVVVVVQESEESDIAAAMDIVKGTNKDTFVGPVEDWESEDEIAAKVYAQEPDNSEIATKSGHTLQQSQFSVHVVPCDSTGGGIMRKKIKFTVGKTVQPPVIPNHMSQLVTLDRPFTSTSANTMKKFKATQSKPVSINLASASSLASKEKRKFEPVFVSKEKLRGKTAVYLEDDDVLNAVAVHGGNLELCLSAPSRVRSVWRQGPHGGGQSAGPRRRVRSMCKRFQFLCRFLAQAVKQGSIKLPRVDLAADHVIKKLPDYIKYGSIVGEVDGVEVGDEFLFRVELAIVGLHNPFRAGIDTTKDTDGEPIAVSIVASGGYLDEYSRSGELIYIGSGGNAGGTDQDGDQKLERGNLALKNCIERGIPVRVTHGFKTPNKGEGSHSIGKEIPKFIYDGLYHVVGFWQDGVPGSMVFKYKLRRIPGQPKLPLHVAKWLRKSVWNVVLSVKILCLKTVVLESVKQRLERLQISEKWIEIHAMFTSRQQAPGPALEHAHSDTPARGRVCTLGRSTPAAARQAAAAAAHAAEVEMGPTLGLGVERGGSSSPLPPRVPSPTSRQEVDEVSLDASLVGRGDSTSPMSPRSASVPPADDEEATVALRPGQLLPLAGSAWGLEASVALVAEGGLLHHHPLLRPLGTWRQPTSLGAAGKLAYCPPTSAVGGVPGLPAPPRELRGCHRPSLPV >cds.KYUSt_chr2.43675 pep primary_assembly:MPB_Lper_Kyuss_1697:2:271689641:271690525:1 gene:KYUSg_chr2.43675 transcript:KYUSt_chr2.43675 gene_biotype:protein_coding transcript_biotype:protein_coding MPILFLAAWGMGFLCMEPPQLLRVLERVKLSPAKQPPASSSLPLSGVDADRHMLDVSFRTVRFFPPHPVSLDPLDVLRDAFAAALALFPELAGSLRDDARVIFSGTGDDGVTLDCRLLTSTRTRWSRRCSTSSLRVTEIADRQHSRSRPRGSRAATSRSGCGWRMRASLRCNSCHRTKIEVAGAQGGGNGGRPRSRRGRPSVHRRAASAHELHLRQRRARQPRVPDLQDAVDRPSGRECPTGAFAGSAVIPIGAQPSKLVMASRTPAARRWRGEWSSAEWFAARRRALIWWRRG >cds.KYUSt_chr1.14637 pep primary_assembly:MPB_Lper_Kyuss_1697:1:85434209:85436751:1 gene:KYUSg_chr1.14637 transcript:KYUSt_chr1.14637 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFRFAGWEKEREKDLQGPTRSQSALSNSSMSTDHDARRSGSECCSLNMSSEMSVESFGRYRQLSLPQRPNNLRIFTFQELKNATRNFSRTLMIGEGGFGCVYRGTIRSVLEPRRSLDVAIKQLGRKGLQGHKEWVTEVNFLGVVDHPNLVKLVGYCAEDDERGIQLLLVYEYMPHGSLADHLSTRSPKPVSWAMRLRVALDTARGLKYLHEDSEFKIIFRDLKPSNILLDENWNAKLSDFGLARLGPQEGSHVSTAVVGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLVEWVKPYSSDTKKFETIMDPRLEGNYNLKSAARIASLANKCLVRHARYRPKMSEVLEMVQKIVDGSELGTPEHPLISHSKELASDEKKRKGLDLKRRIADIKAGDGRWFTWQKWTPKLVRTH >cds.KYUSt_chr6.13465 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84336790:84340706:-1 gene:KYUSg_chr6.13465 transcript:KYUSt_chr6.13465 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVQRKSSLRSYGSAKSLSRSDDLGERREVVVKIDGDANGPPALSIVGAGGAAVGNATSTAASAGSSPAKGWDDGTYDFWKNEGGGKGGGPAPRVEDFSFKNRPMQAPPSPSSLSLSPKQATALAEGGAEDPPTRLIGNFLRKQMASGEELSLDLDPEMEELGRSSQATPSLSNPQEREARVSFEAHQSSSSSSDSDDGKVIHNTSTSTPPRAAGPLLRAKTRSRLLDPPPQSPVSARAIEEEQHKSSALRPPKFGQFPSGRMTGKSVQFPSGRKTGKSGAVGKSGPMEEEEDDPFIDDDIPDDFKRGKLDTLTILQWISLVLIIGALVCSLTIKRLARKKLWELNLWKWELLVFVLICGRLVSGWVIRIAVFCVERNFVLRKRMLYFVYGVRGAVQNSVWLGLVLASWHFLFDENVRTKTLVLPYVTKVLFCLLAATLIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVVETLSGPQLVDEDYVLAEVRELQRAGATIPNELRAALPTKNLSGQKSIRISGLISKGEGSNQLSKEKRQRELDEGITIDKLHRLNQKNVSAWNMKRLMKIVRFGTLTTMDEQIQQATGDGDESGTQIRSEYEAQVAAKKIFHNVAKPGSKYIYLADLMRFMRQEEAIKAMHLFEGAQEHCRVSKRALKNWVVNAFRERKALALTLNDTKTAVNKLSLMGNVVVGVIVSAFWLLILGIATTQFFVFISSQLLVAVFVFGNTMKTIFEAIIFLFVMHPFDVGDRCEIEEVQVVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIFNFYRSPDMGEAVDFSIHVATPVEKLALMKERILRYIDSKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNFQDMGMKFVRRELVLQEMIRVLKDLDIEYRMLPLDVNVRNAPPLQSTRMPTTWNYS >cds.KYUSt_chr6.21393 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135046581:135048332:-1 gene:KYUSg_chr6.21393 transcript:KYUSt_chr6.21393 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSTARASAATLVKNTGVAEADVVPGGWSRRGAAASGGGLVLTVADEQEHGLGGEIVPDLNVQPMAEDPLLGETSSVRKRKFEEFDDSEDSGHSYISSEVESGDAVSMLHPIMKSASTMCLSYEKKVEEKIRAEGIGAYMKPDGRYYRKFHPSKQVPRDGMREGLVSHVKEVHPKDLRDKANHAALRKVLEYYGQD >cds.KYUSt_chr4.38437 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237040132:237043819:1 gene:KYUSg_chr4.38437 transcript:KYUSt_chr4.38437 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHLHLHLHLLLRPPPQKTGLFFVPCSVPPTRLRSSLQPPTCHLAVAPFEEADQREDWEEFVVVTFYKLVPVEDPRADVAAHLHFLQGRDIHGRIYMNEQGINAQYSGPHKDATAYAEWLREDHRFSDILVQISPALNGHAFPRLRLRYKKSLVQLEGGSCHLPLLEPSMRASPLTPSEWREKLEARKRGETEAAGDTCERKLLLLDVRNDYEWDIGHFEGAKRPNVDCFRSTSFGLSEQEIDLTDPLHGVDKENTDILMYCTGGIRCDVYSTILRDKGFGNLYTLKGGVSNYLKSEGCAEWVGNLFVFDDRLSLPPAKFAEEGDGGEEGGIDNGKPPSSSSRWLGRCYVCGSEVEELRHRNCASIDCNRLYLCCGWCLEELQGCCCSECQSAPRLRPLLPGHQRYDKWHLYRDDTLTISS >cds.KYUSt_chr5.22410 pep primary_assembly:MPB_Lper_Kyuss_1697:5:146207389:146209096:1 gene:KYUSg_chr5.22410 transcript:KYUSt_chr5.22410 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNQPIDTWFLQLQPNFGCQKALAGEHLNYMGGEVLAKKADSFSPVSTLEDIDAKKNEYPFEPPWMVSTAFMKQTSETASEEFKTVSGSLKCRGSSINFLHEDKEFMEFKTFDPLFPKKPQKTRYEMDPPWEEDRKSYPWWQVADEDGLASLVAERAMQHIENNDLPKPTQIVRVHGPNLNSHETKDGCGNSLSSGNESQPELYDTIMCSYSISSTNETNSSDGGSWQRSQKNNLPGGAQDSYSTDDHVPSSKPTYQNQKAAERAQLLDALRHSQTRAREAEMAAKNAHDEKDHVIKLLFRQASHLFACKQWLKMLQLENICLQLRLKENQIAAMFPELPWGMMKEKATPEEERKDRSRKKGRRQKKEGGFRKAIMFAVGVGIVGAGLLLGWTLGWLLPRL >cds.KYUSt_chr3.18352 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112824755:112825560:-1 gene:KYUSg_chr3.18352 transcript:KYUSt_chr3.18352 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEELRLIGSWASPYVVRVQIALRLKGISYEFVEEDLKNKSELLLKSNPVHKKVPVLIHNGKPVCESSVIVQYIDEAFAGTGPALLPADPYERAVARFWAAFIEDTLLKASSQASSGKTEEEKTEGKEKAAAAIVTLEGGLRECSKGKPFFGGESAGYVDVILGGLLGWVRAIDAMQGVKTFDPSTTPLLAAWAERFGQLEQVEAVMPDVQRLTEYAYAKVMQAAAAAASTN >cds.KYUSt_chr7.34920 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218317297:218318043:-1 gene:KYUSg_chr7.34920 transcript:KYUSt_chr7.34920 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVDSFSQLPFVRPAALLPADATIRLFGCDFSNEGQASGHPPKKNEEADSTLVADVRAAAAAAAAAEGEARKFECHYCCRNFPTSQALGGHQNAHKRERQHARRAHLEASLAAHYLPLTPGPHIYGALFGGYAHHQAAAMPAQYPVWPGAVPAMYGNVARSAAYGGSMALPGVVWRPAPVRSGPFVASGQQDEATAAAGSGEVHLTDVKMSVVTSLPSCLTGRSPTEIGRSDMMGQKEGVVSLDLCL >cds.KYUSt_chr3.34625 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217163640:217167872:-1 gene:KYUSg_chr3.34625 transcript:KYUSt_chr3.34625 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEISCRGSFVMRDPMPPILAELPCPHHVSLHRVWWPEGPLYWRHGIFQLLNGWADGAYLITDCSIQPSGNFTYQFNITGQEGTLWWHAHSSLLRATIYGALIIKPRNGTAGYPFTAPYGEIPIVFGEWWNKNVNDVEIDGHLTGLGPAISDALTINGMPGDHTSCKGAGVYEVQVASNKTYLLRIVNAALNVELFFKVARHNFTVVAVDASYTDPYTTDVIAIAPGQTVDALMTTLAPPRLYYMAATVFDSNTASIPFNNGTATGIIKYEGAPNETIASMPSMPAHNDVVTANNFYWSLTGLARSDDPAVPTAVNHSMVVEFGLDQEPCAPDQTKCKGYALVAFMNGYSFQLPKKVSLLNALFDDLTDVYSEDFPMSPPSLPTIRKATSVKKVMYNDVVEVVLQSKKYKSKVGTENHPIHLHGYNFFVLAQGLGRYDPTERSTFNLVNPQVRNTVAVPGGGWAVIRFKANNPGMWFMHCHLDAHVPLGLGMVFEVLDGPAPNILPPPPDGYPKCY >cds.KYUSt_chr2.50937 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318620028:318620261:1 gene:KYUSg_chr2.50937 transcript:KYUSt_chr2.50937 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPASSCVSSDAEEETVTKAMVVVGCPRCLMYVMLSEAEEQPRCPRCKSPVLLHFLHGAAEDANSSNTNRQGSKS >cds.KYUSt_chr4.27956 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175662815:175663063:-1 gene:KYUSg_chr4.27956 transcript:KYUSt_chr4.27956 gene_biotype:protein_coding transcript_biotype:protein_coding MESSCGSRCKGAVEPTRPRTAPFSPLSAPAPSAVLDSAMAAKLHLASDGRHSLYSPATQAPRQQWMHGLPSPPTNLESSMTP >cds.KYUSt_scaffold_869.1015 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6490969:6497559:-1 gene:KYUSg_scaffold_869.1015 transcript:KYUSt_scaffold_869.1015 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPRAVPPGAQQAPVEPPRAAPPGAPQGPAVPSPVQPVGVAGNASYQGPPGFQGWPAGALMPPAPSAPPRPQVGFRPPPPRIPAAQFMPQQQQPFPPPQQYQPYLGQYLHYPPMQQPVAPPQPVTQQQPAQPGQAKKRRKKKPVGVATAAGVVAAVPPLAVQSMVQQPPPPGGMPAAIPLVEAPPVPQQMPIAAPVAAAPPMKPKKVGRCWKCADNSHATKNCKVLHYCLVCDSGAHLTIRCPVLKTPRPMSSLVGCGNDATLDLQIPDLLYQPQLSYSGAPTALVQVSGEGTVAAVDVQNLMARMCPGNPTWKWEAVPHDNNAFLIAIPTAEDLLRIDGMQMSVPKINAQVVVSSWVHQDVTPEFVMEPVWVHVEGVPDSLRHFLGLWAIGTFIGSTLDVDLYTLRSQGIVRNQVAMRNVSVLEKDKSRDRPPCLEVLARLQLNGYRFRFRREATGYKPDPRFRPFFWKGDDDDDASHGFEDEGLDDPAADLAPGSSHMEVDGHAPGHSSGTASRTATPGQLPTEAVSARLQVRDAAEEQRQPAGGSEPAEELASRPATQLQPASIGGGQQGPAQGRVLQQPPPGTGDERMGAETPQILSTAAVQVGLGRSPSLSVAPPSPGGAVASSLSPTGVQVGLERSPSPPFTPPSPGGAAASRPGSVQGASSTSTPVLHVPPSTSAMVPASPRPSPSPPPAAPQPQPTGPPPAMQPTVRRSGRYAVAEDGAGVTDEDVMQRAMRRKAELNLDTAGVSLGSRSDEIVASANVLRQMELDRLTVASNGSTEPATSIIDDDEDDDIIDGHLLSAIVGSVTEVDLEHSELSSVYDLDASARGSRSSADYDLDFIAISETGRRKYSQSFLDRLSGGINFQWYSRPPRGRSGGILLGVRIDTMTVLASSDGEYHIKLDIQNKADGFIWSLVAVYGAAQDAFKADFLRELVNLAKDNPHPILIGGDFNMLRFPHEKSKGFFDGHWPFLFNAVIDSLDLREVFMSGRQFTWANSLPEPTYEKLDRVLMDTEWEHKYPMVSVRALERIEKLSDHAPILLTTGNPRPVCKRPFKFELGWLHREGFHEMVKTVWERPVWGGSPILRWNNKMHALRKHLSGWAAHMAGILKKEKARLSKEIDDLEAIAEVRPLSTQEIDRKNQSNAQMANLLREEELKWYQRKYIGSKALSQVVWKPGDSHFWAGLMATKNVFFRHGTFSIKNGEQIRFWEDVWSSLRCTGILRLWLPLQRMENRDLFTEVCSTVGGYGEGYFFPTWVAA >cds.KYUSt_chr5.5785 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36083346:36088309:-1 gene:KYUSg_chr5.5785 transcript:KYUSt_chr5.5785 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSDAGSQSAPPKVSGNYKGSHRQTAMHESPIPRKDTGKRKLFELELPCAPEKNLVPSSGVPDKSPPLSEVHKEVVGALASLPSHSSESSSSQRAADSYKQFLTSLARSNSDKAFTIQKEYKNLLDPIAENVNEESDPVDELVDYDSSDNSQDSDTPYLTQGQGILALAAPSLISERTAVVIPVDGPQPEPDSQEEPLSQVDNPIIDVPGAGNSSSSGGNQQHPAPRMSSRVGARGTHTSRIGSSVMENIEASNIPGTNLNTHNSFALLDDEEILARALEMGVCPTSFSLENVNYLKDLEIARHNMGVVQNSVVNSNDVDSNPILLLGLSEEQSESDRDIEEEAFTPVLSRRKRRNKKSACLHGEQDASDLRAGADGLLRLAEVAGVRSSGNDGRGDRLRLEDNRTGEDANDDAVA >cds.KYUSt_chr1.29661 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179614438:179615619:1 gene:KYUSg_chr1.29661 transcript:KYUSt_chr1.29661 gene_biotype:protein_coding transcript_biotype:protein_coding MFASFCRRRLLLHIRKISGGGGTNPLQSIPGAIHLAHSSSLTAVSSAPNSELCPATVSYLISCGLSPAAAATAATTQKIRILSTDKADDVCALLRDYGFTDANIVRTLRSAAKLLIADPERILRPKLAFFASLGFEPRKLAHSPSLLRHSLDKHLVPSIQFLRDIIGTDDQLRIAFHRRPRALTVDLENIMRPAVEALRRGGLAEAAISKLLVIELGVLMLSPDRISKIFQDLKEIGVCTADSRFVYCFGMMCNVKRETWLRKLSLYTSFGLSEGEVFNAFKTQPRILSLADDNIEKKVRFLLDELKLGIHDIMSRPVILCYSLDKCILPRCAVLSVLMREGKIQRDVKLLQALLGYSRGFSTKYVFKHADKVPDVVKAYEGKIKFQGFGCNR >cds.KYUSt_chr6.31369 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198405185:198406302:1 gene:KYUSg_chr6.31369 transcript:KYUSt_chr6.31369 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEGGGGGGEGGGSSECLPMDNLVEAMVSNSQAMAEALAADRARREATDRIQRESWEAALKEKDAEIGRKADARRNMRWEAAYELLLGANQKLAELRDADMQDSRTLAETPNSKEVESSQTTVDHTASNFRKLKQAYETKKDKEVSALLSEKNSLHSQLDIMQKDYAELLKNKKVEAAQATEAAIKLQQSVDEHKALGQNKDAEIARLQVEAVGGEKKCHGPI >cds.KYUSt_chr4.54485 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336611518:336612021:-1 gene:KYUSg_chr4.54485 transcript:KYUSt_chr4.54485 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHPSTPQRPRPERTPPPPSGCTARRPEPTSSSLWRLTTTSGPGLPAPGSVTCAGNLVSGLGPIFGFGTLAPIHAGLLLGAGHWEEAHRAMSKCGAKVMDADAKHQKWLGTFDTAEATARTYDAAAVRMHRASATTNFKQPVVVDDRISATPSGQDARTRFCDVCQ >cds.KYUSt_contig_1181.194 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1183981:1184727:-1 gene:KYUSg_contig_1181.194 transcript:KYUSt_contig_1181.194 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGRESEGSRSGSRHRHRRKKHGRSGGSKVRHRHRDEDSETSYDSDDSRERKSRKRSRSHHKVSRRKKNKGSDRSSRGNAKSGDEMAESVEFKKNKMDALKHAQVPTPEDNAAPLVGPLPLPQPRVDVQVNYGGALRPGEGDAMAQFVQQGKRIPRRGEVGLSADEIQRFEDAGYVMSGSRHSRINVVRLRKENQVYSAEEKRALATFNYEQRAMRESKVREDLRRLVDRTLGNLAGTEHDPFADK >cds.KYUSt_chr4.44989 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278494519:278499686:1 gene:KYUSg_chr4.44989 transcript:KYUSt_chr4.44989 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPFMAGLNNVSNIEKVLSNGTTFDEPSLIKVKRERDKLAEAKSTNSEDRRRQSRAEEQRGEMREIISIHIGQAGIQVGNSCWELYCLEHGIHPDGLMPSDTSVGVAKDAFNTFFSETGSGKHVPRALFVDLEPTVIDEVRTGAYRQLFHPEQLISHNEDAANNFARGHYTVGREVVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVMLDNEAIYDICKRSLCIERPSYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISAEKAFHEQHSVPEITNSVFEPASVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVRRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGGEGEEDEDEGEEY >cds.KYUSt_chr4.45231 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280112017:280118933:-1 gene:KYUSg_chr4.45231 transcript:KYUSt_chr4.45231 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDRTPRASDEPTTATKQRKKRKWDQPAEDVVSAAAAAAAAAGLPVLNVGALCGLQFPGTSAYPAAPNVVPAPYALPPQLAPSVLQSAAAAIQKLSQARIPDELIAREVVINDADPSVRYKLTKRQTQDEIQRCTSTVIITRGKYHPPNGQPDGEKPLYLHISAGSQLKDTAERIKAVDHAASMIEEILKQGQMPEAIQSNRQAIHPFTASIFLGFAADPSLNVAARIRGPNDQYINHIMNETGVTVVLRGKDSGNLGNCQDEASQQPLHMYLSSVHLKSLEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLAGVQTSGTTSDIHSTSGPYVSPGASHSFAPTGITSPMAVPSLTLHSGAPTYSGTPPLSNLICPSQAANSGALYNGYGNIYPQATPLQQVALALKHASSSTTQAVPVASTSTSSMTNVNASLKLESDKRLQRRKFQELPTADLQNSQQRSKFVTTGLDDLDTLLKLMDYGDDDDDDDDGIIETDNSVPGGTPTPSSGQKPFWSV >cds.KYUSt_chr7.18258 pep primary_assembly:MPB_Lper_Kyuss_1697:7:113197675:113203312:-1 gene:KYUSg_chr7.18258 transcript:KYUSt_chr7.18258 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCDGLGLGRNSRPDGRAGPILGLGFLASGFVRPGPKPGPYYAQMKVAKTLVKALTGKTVTAGRNSSGRITSFHRGGGHKRSLREIDFKRDTSSVGIVERIEYDPNRSSKIALVRWIEGVGQKDASYKADRAPVNYILASHQMEVGSMVVNSDCSKPSTTGSLRPAQNADSFLRFQELFRQAHADAEGTDKAEAAITAAPLPPADLLDLNSKVGNCMPLADIRMGTWVHSIECRPGQGAQLVRAAGTYAKVVKESASQCLVRLPSGAEKLIDSRCRATIGIVSNPSHGAQELRKAGHSRWLGWRPVVRGVAMNPVDHPHGGAGKKAEAAPSQSELLRRNLKTKVQRRRKSLLQINLKTKVQRRRKSLLQIMLKLRLRKPSYYRPILVILLIFALRLSAAPKAYSTKFFNKLTEAKKWELAQDLLNSMLHSAWGKADIESSDIQNHKRETSEFFDQLLCKPKILRSAHKDLESKLKEAEEKRELAEKQLSEKNSKFIREKAGLVEKRKRDDTTLKSLREEVQTLRSYMTKAEQGWDLLNSDVMEPLGYDDARREMFPRDDLIRLAEDDCKDLISACRKICHNLAIKDNRTCDVRKLIQKMDVLPELFVDLQASSARGAAKISLAMCLAHAPNLDIDLATTGIPADPDPNALSDACSGYGTRITRRIRHDEFYDKVVLPADEPLEAELGKKREAEAR >cds.KYUSt_chr3.7320 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42373516:42381151:-1 gene:KYUSg_chr3.7320 transcript:KYUSt_chr3.7320 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSFSFSDDDDSPTADRLNPNPTNPPHLPAAAAPTPNGLNDRLLRFTQSRGPRPPPPPQNPSPDPSPPPPEDGAEPLEQDRKVKLAGRRRLCKASSSSPPSTAAAPDDDGSIRDILDDLTARLDSMSVHNPRPAPRAPAPLPCALADGGDSPEDADRFYDADSPSDTSSSSPPPPPRSGEAHRATASPPRPSRSDEDAVVDLSSSDDEAAPAAVVRRAGQVKVEKPHAPAQPFASSTFTTDRGVKEEETGNKGKKPAAGPYAAAGNNKLGKSVSSSLVDYLDDDDDDESEEEEKENREKAAKATAVVKREVKAEKPQVPASPFAAAFAEDEKENRGKEDVGWEKTEDFKMEPTGCGVMVKPYKLPGDIFKMLYPHQREGLKWLWVLHCRGTGGILGDDMGLGKTMQISAFLAGLFHSRLIKRVLVVAPKTLLTHWSKELAVVGLKHKIRDYSAPSPKVRDSELQYAFKEGGILMTTYDYVRNNFKLIRGDFYNGNVVGKYDKLIRGDFYDDDEDEDGNLWDYVILDEGHIIKNPSTQRAKSLLEIPCVHRIVISGTPIQNNLKEMWALFYFCCPQVLGDKDEFKRRYESAIIRGNDKNATNREKHVGSTVAKDLRERIKPYFLRRMKSEVFVDTGSADDKKLSKKNELIVWLKLTACQRKLYEAFLNSDMVHSSMQGSPLAAITILKKICDHPMILTKKGAEGILEGMDGMLNNQDMGMVEKMAMNLADMAYDDEALQVEEEISCKLLFIKSLLRKLLEEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKVSERERIVKDFQEGPGAQIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSGTIEEKIYKLQVFKGALFRTATEQKEQTRYFSKKDIQELFSLPEQGFDVSVTQKQLQEEHGQQFVKDEKLRAHIEFLEQQGIAGVSNHSLLFSKTAVLPALSEHDALDSKPPSMPMMGRQYNKASSSDYVANGAAHAFKPKDFTPRAYSAVSSKNSESPEEIKAKINRLSQTLANATLVSRLPDRGDKLKKQIHELDEKLTVIESSPESASSRGARDEVICLDDQKYYDL >cds.KYUSt_chr1.31673 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192117041:192117961:1 gene:KYUSg_chr1.31673 transcript:KYUSt_chr1.31673 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGLGDSRGERPGVPAGDSPTGGARPLLCSRPSLSGGGCKGRFWAAEADFGDDAAVSEASWEADSSGVALPDPPGSPKGATLGDFVAAAQELGGSLTARRRSAFAPGGRGSRFPTGAGGGRWERGRRRRPSSGSGSCLLSKGRPQEPRPRPSSPRSAAAPPAPAGPVVSSAAVRSPARSPVVDAPPPGEDPRDREDLARERLPLWAEPGPGPFLRPWAGPLDLAHELDLPSPVLPPVALGRAHFGPPGGVEAFSGLKWWWILRGARDLALGFPASRSDIEDGGGGLDPSSSTPHPLPFPGPTQRR >cds.KYUSt_chr7.38126 pep primary_assembly:MPB_Lper_Kyuss_1697:7:237703917:237707603:1 gene:KYUSg_chr7.38126 transcript:KYUSt_chr7.38126 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVGASTSVTAKERPLTVTAIITAQAPSSTYLSRGVDNLQDLFGKTLLLELVSSELDPRTGEERERVKGFVHITLKEGTYEGKMSVPASFGPVGAVLVENQHHEEMFIKDIKLITGGDESTAVTFDVGSWVHSKFDNPEPRVFFTIRTPTGIEALRRKELETLRGDGHGERKFHERVYDYDTYNDLGDPESNIDHKRPVLGTEEHPYPRRCRTGRPMTMLDPKTEKRSSPVYVPRDEQFSDVKGDDFSAATMQSLLHSILPTIAPLLNNSKSFSDFPDIDALYRDGMPLRVDGANSFNNVISRVVRKIKDTTEHVLRFEVPKMLERDRFSWFEDEEFARQTLAGLNPNCIRLLMEFPIVSKLDAEVYGPPESVLTKELLEKMMKGALTVDEALEDKRLFLLDYHDVFLPYVHKVRELPDTTLYGSRAIFFLTDEGTLTPLAIELTRPQSPTKPQWKRVFTHGSDATEKWLWKLAKAHVLTHDSTYHQLVSHWLRTHACAEPYIIATNRQLSRMHPVYRLLHPHLRYTMEINAMARDRLINADGVFEETYWPGRYSMELCSYAYGKTWQFNTEALPEDLVSRGLAVRRDDGELELTIKDYPYANDGLLIWNAIEQWVSSYVDFYYKSDEDVTGDEELQAWWEEVRTKGHADKKDEPWWPVCDSKDNLIQVLNSIMWVTSGYHAAVNFGLYQFAGYFPNRPTVVRKNIPVEENREEDMKKFMARPEEFLLESLPSQMQAIKTMATLDIFSSHSPDEEYMGEYAEPAWLAEPVIKAAFDKFSSRLKEVEGTIDERNNNPNNKNRCGAGIVPYELLKPFSEPGVTGRGIPNSISI >cds.KYUSt_chr4.26762 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168162795:168166158:1 gene:KYUSg_chr4.26762 transcript:KYUSt_chr4.26762 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAARRAPEQEQTCKATEDFDAAKPPPFRIGDVRAAVPAHCWRKSPLRSLSYVARDLAAVAALALLAWGLDTWLLWPLYWAAQGTLFWALFVLGHDCGHGSFSDSGALNSVVGHLLHTFILVPYNGWRISHRTHHQNHGHIDKDESWHPITENVYKELEPSTKKLRFSLPYPLLAFPVYLWYRSPGKNGSHFNPSSDLFTPKERRDVIISTTCWFTMIALLIAMACVFGPVPVLKLYGVPYVVFVMWLDLVTYLHHHGHQDLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPTHLISILLRSLRVDHFVSDVGDVVFYQTDPSLSGENWTKNGKHM >cds.KYUSt_chr1.5934 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36487496:36491298:-1 gene:KYUSg_chr1.5934 transcript:KYUSt_chr1.5934 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGQHRSPGGGSRSRSKTCRDTRTDTRYMYQDFRFLRITKKPADTTHDPKRGPAVVPPPSSTTRSTSVVPTDESSGKCAATKDDLSPGARGLYDLIVGASAFPE >cds.KYUSt_chr5.7692 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48450907:48456400:1 gene:KYUSg_chr5.7692 transcript:KYUSt_chr5.7692 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSSAVAASTPQGVPERRGIPAASFVEDVETYLRQAGLDVNSALAFLQERLQQYKMVEMKLLAQQRELQAKIPDIEKCLGIVATLQAKKALGEALIADFELSEGIYSRAKIEDSDSVCLWLGANVMLEYSCDEANDLLKKNLENARASLEVLVGDLQFLRDQQTITQPHRPSSPRSWAEVVGHSVATEPLRPSPGSCEQFKDNAKFDSLFQSQIALMRMELLQLVDVRVEEASRPLREEVAALKLLLASVGVSLEPTVACPSDSLGLAKAQVSIALDSDQKSSRVEEEHLHGCFSPRGPSSLLDVSVASECEGMDVIVAEALDLEKSSVVDAAVSLLPGSSMQVVGKSGMLTPVPGAFVAREICVFLATLAAAYPGCKGTSKSDDASPDECRSKKRGGTGKTSAVA >cds.KYUSt_chr6.30217 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191501682:191504134:-1 gene:KYUSg_chr6.30217 transcript:KYUSt_chr6.30217 gene_biotype:protein_coding transcript_biotype:protein_coding MYINRGLELAQTASSNRKPSYTMLQGASSSLLVLLVVLTTITYYLVQRFRPKSRCSSSAAPLPPGPQPWPVVGNLPEMILNKPAVFRWIHLVMKEMGTDIACFRFGRVHVISVTCPKIAMEVFKKQDANFASRPLTFASSAAGGGYKEAVLSPVGDQWRKMRRMLVSEVVSPSRHRWLHDKRADEADHFTRYLYNKIAGGAAAVDVRHVARHFTGNVIRRLLFGSRYLGEPQPDGGPGRMERDQIDASFAVIGGLFSFCVSDYLPWLVGFDLDGHEKIVKEANATLDRLHDTAIDERWRQWKTGERKEVEDLLDVLVTHKDGDGNPLLTIEEVKAQTKIIILAAVDNPSNAVEWALAEMVNNPELLRIAVEEMDRVVGRERLVQETDIPRLNYAKACIREAFRRHPVDPFNLPHVALADTTVAGYRVPKGSHVLISRVGLGRNPTVWDEPQLFKPERHLEDDVEVVLTENEMRFISFGIGRRGCVATSLGTAMTVMLFARLLQGFTWSKPSEVTSIDLSESKNNLYMAKPLVLHAEPRLPVHLYNLQP >cds.KYUSt_chr6.13954 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87294814:87296470:-1 gene:KYUSg_chr6.13954 transcript:KYUSt_chr6.13954 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMPEEILADVARNLLRPHPPATLSLDRPAIRLPRPAVSHADWRGLSAVALGALFRHLPVPDLLRLGYLFTPRWREVWRTYPLYLHDKQFAGLPIPRSEVADAIANVLDEFLHGFQGEGEGVAEEEDLHLHRCGVTSFRVESTEWRPDHAARWCAALQRGGPSEVVLFNRGVAGQPPALIAVPPLLLQCTTVSHLHLAFFTVEAGELDALTRASELGLHGCACRPGVVEGVVAACSHLRMLWVQDCALDTVVVRAAPRLYRLSMLRTASRSLTVDDAPKLSELLPGSTAALSISGAPKLTSLLRFILPATLDIDGVQIAVGREEMQPQMRSVSNLWLALDYTALRGMGFDMVVRMVQQMLRRFPCLQFLTIERTDAVPREEGMATRYDQHAYYLTLDGGLDQCLHYLTLFDFRGGKAELELLMAIMDSTRALWTVNLAYSSRRMGPQLWHATQEALAGLKLFTIVSNNHTLRNPLLVVTSMYTRQTAGYGWCRSHLF >cds.KYUSt_contig_319.1724 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:11373770:11379144:-1 gene:KYUSg_contig_319.1724 transcript:KYUSt_contig_319.1724 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAQPVVLVTNDDGIDAPGLRFLVDQLVAQGRYRVLVCAPDTDRSGVSHCITWRSPLRCKRVDITGAAAFGVSGNPADCASLGISGKLFDGLVPDLVLSGINIGSNCGFHVVYSGTVAGAREAFIYGFPALAMSYNWVAGKSSVNDLKVAAEVCMPLINAVMVEIKNGTYPKESFLNIDVPTDAGHHKGYKITKQGKFMARIGWEPTAYKKPAVESYQTANVNADTENDMEVDSSSENDLLFKRVIVKKSYDEEEGDDIDYKSLVDGYVQFGAKQSMACHIDKWATQTGVYLGGTKKTSGYL >cds.KYUSt_chr4.8860 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53203731:53206928:1 gene:KYUSg_chr4.8860 transcript:KYUSt_chr4.8860 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLVHIVNDITHPVLPTFLHNMMLSEIMNEVVKGYVRNMSHVKGSVVQGFLTEECISFCTNYIDVENHVDLPENKHHHRFEGLGHKTEKRVMVTGAVGVVDQIVKAPGVASCLSVNVLADAVTCASPLDLVPFRGTVPTTLEASSVARNLSPALRSAVCPADGAAPADAAQLGGAAAPVPAPLPPRKRGRPAKTRAAHAPIISQAPAGPKRSARVRDQGGHLFETVMARAVRLKAMKTGGGGDAASTSAPPPFRADELVTMAQACQLPDEDVRDLVSASAAPDAAP >cds.KYUSt_chr3.30159 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188766868:188769174:-1 gene:KYUSg_chr3.30159 transcript:KYUSt_chr3.30159 gene_biotype:protein_coding transcript_biotype:protein_coding MECENVAANGDGLCVAQPARADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTMEGASLTIAMVAAVAAGADTRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETVATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVATAPDGTKVNAAEAFKIAGIQYGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISARKTSEAIDILKLMSSTFLVALCQAIDLRHLEENVKNAVKNCVKMVARKTLSTNDNGHLHSARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERDVQTSVFAKLAAFEQELRAVLPREVESARCAVENGTATQQNRITECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNVCSDVDVCDVLLDLPYELQTIAIA >cds.KYUSt_chr2.45322 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282684688:282685729:1 gene:KYUSg_chr2.45322 transcript:KYUSt_chr2.45322 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGTRLFAALSVILSLLVSPISCWSSGGATWYGSPYGAGSDGGACGYQGAVSQRPFKSMIAAGGPSFFKDGKGCGACYQVKCTGNSACSGRPVTVAITDSCPDGICASEDHFDMSGTAFGAMAYRGKADRLRSAGQLQISYRRVPCKYNGMKISFKVDAGSNPYYLAVMVMYQAGDGDLSAVDVMQGGCRAGHHDDSGKPWTRMQQSWGATYIINSNDGKPLSAPFSFRLTSGSGKVLVATNAIPAGWSAGMSYRSSVNYRA >cds.KYUSt_chr3.3181 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18259488:18264493:-1 gene:KYUSg_chr3.3181 transcript:KYUSt_chr3.3181 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKGLGQLLAALAAALLVRAVAGPGPALLPPAEEAEEDDADAEAGEGGGGGVRPVTIRWARVTCALKNKRGDMARFLLSNLSGEAKPGRLLALMGPSGSGKTTLLNVLAGQLAASPSLHLSGYLYVNGQPMSQGGYKIAYVRQEDIFFSQLTVRETLSLAAELQLPDTMSPERKEKYVNDLLFRLGLVNSADSIVGDAKVRGISGGEKKRLALACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYSKFDDIVLLSEGEVVYMGPAKEEPLTYFASLGYKCPDHENPAEFLADLISTDYSSAESVQSSQKRIENLVDEFANKVLITEFNSPVANSEASEFSTKLTQKSTRMQRRGWWREFRLLFKRAWMQAFRDGPTNKVRARMSVASAIIFGSVFWRMGKTQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMAKLHPTISRFAKFCGIVTVESFAASAMGLTVGAIAPTTEAAMALGPSLMTVFIVFGGYYVNADNTPVIFRWIPKASLIRWAFQGLSINEFKGLQFEQQHSYDIQTGEQALERFSLGGIRIADTIAAQGRILLFWYWLTYLLLKKNRPRYQQLLPPSEEDQNKQQAE >cds.KYUSt_chr7.31714 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197550719:197552949:-1 gene:KYUSg_chr7.31714 transcript:KYUSt_chr7.31714 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAAAAPDSGRAALLKAFDDSRTGVRGLVESGVSAVPDLFVHPDPYASVPLAPPGVSIPVVDLSLPAPLAAAAAAEAARDWGFFYLVNHDALVPSDYPAKALAAVRAFHEFPAPERAAHYGRAMDSGVSYFSNVDLFRSPTASWRDTIQLGFGPTRCEAERIPPVCRSEIVQWEAHATAVARAVMLLLCEGLGLPEATLEEASCLDGKLMVCHYYPVCPEPDRTMGLVPHTDPGVLTVLAQDRVGGLQVKHTNQDGQSYWVDAEPVPGALVINVGDLLQIVPCHKSLKDVPSAESSRTVRGLGKVLVASLTLTKCNVRRPRLEPGTSRSQAVRLYRCTRPCHKSLS >cds.KYUSt_chr3.37884 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238304534:238304956:-1 gene:KYUSg_chr3.37884 transcript:KYUSt_chr3.37884 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRFSGACRRRGLAQFTAAAPREAADAVVVGAGAVGLAVARALAMAGREVLVVESASSFGTGTSSRNSEVIHAGIYYPPRSLKVRPIHAKDSKFLAGLCLSRMQTDAEGFPISKKKLAVAAALFQKETWHCRVGTCA >cds.KYUSt_chr3.17131 pep primary_assembly:MPB_Lper_Kyuss_1697:3:104939456:104939776:1 gene:KYUSg_chr3.17131 transcript:KYUSt_chr3.17131 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRNGIVNIRVKRLSGPASMKELGKGGKTVDDAGVGGTRRSGDGVSSRVQCYWAGQWQGTISLARSPDCCRFQIPPHVEWMLLELDNDSMVHVFVDCSARQLTKY >cds.KYUSt_chr7.28092 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175446042:175447761:-1 gene:KYUSg_chr7.28092 transcript:KYUSt_chr7.28092 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWQQEHFAHAMSFRSKALVGELMDGVVTWPVQAATHAMPAEEVPVPAVVEVVESAVVVVEETPVAEVEVVEPVVAAVEVAAVEVVEPVPVLAAEEAVTELAKETVAAPAETEVAETETKEAEPEAVAAEEAPAAPAVAETTETEVVEREAAPVEAETKEPEQEAAPAEVETEEAAAETPAVEEVTADAAAAVQEEPAAATEAPVEEATEAAVAVEAAPAEADAPAAAAAVEAEAKAEEPVVEAPVVVEEAVPAEAPVEVAPVVEAVPAEAPAAAAADEADKTTE >cds.KYUSt_chr5.39504 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250024189:250027308:-1 gene:KYUSg_chr5.39504 transcript:KYUSt_chr5.39504 gene_biotype:protein_coding transcript_biotype:protein_coding MIAASSIVKTNLPCSSRISSCSDFTSGHSWRPLEAAKHYRAHGVRSLRITCAATKTAKSPAEEEWKIKRQLLLEKRVRSVDVKEALRLQNENGFVILDVRPEAEFKQAHPPGAVNVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIKSVEEKLGKDAKIIVACSAGGTMKPTLNLPDGKQSRSLIAAYLLVLDGYKNVFHLEGGLYQWFKEDLPAEAEEEN >cds.KYUSt_chr7.21297 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131928611:131931517:1 gene:KYUSg_chr7.21297 transcript:KYUSt_chr7.21297 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRAARAGSAPLEMAVSVEETSRAAVGLVLAAAAGAVTTVDCPAVVGRGPAGAGVSAQGVASLSISSCPVGVQVGGLGWRDADAGPSSTLSGPREALEGPMRRMEVRQRLNDPYAPPHRLLCRIAGSPRQELSYVEVIMAGGFDDGRKRRYDAEGGARRFGGDSGGRRFGSDDGEQRGRFEGGNSGGGGGYRYREEEQSYREQRGHEDWSSPPPWWREQQRREGELRDHRRGPAEGGTGWGNGGRPGSAGQGDRAGAGQRAPSKDKAKNKGPAVAGGAVPASQKSKNKAVVQRSGVSAGGECFKCGREGHFQSDCTYEPLCVICSGEGHTSASCPTRGKGLRLMSMGHAITGGGFFNIDIEPLRACQGASEVFTAVIKFDKDPLTEERLSDELKVLMDDQTMRLCTGSGKLHLPLSKRDTEIREGFISPRPSLVLPFGWVKLTGVPEDLMVRDRLMAAFTMIGRPIDVDELSVMKRDTEPVRMRFQCRYPDRIKGSVQIFINGEGFTVGVQAERPPRGASGGGAGAPPPPPPWDDHDDGYSDDLSSDEEWNKHRKKKGDKEHEGSKEATTAAGPAGSKSPGANLGSWSAPVLGRVHLQGGHGKGLPFDQYGSNLGRGGDCHVPLAELAGGKSKDKIVVQVLQPLAEKGLETGEGSLLSNETESQVSDPVASWVDDSQVADGPPTKIARVRGPLERVEVEDVISSEDEEEVGLGREKQDLQLEATAVAPLAQGRRSLAITYSSRKKNGSTPSLPMRKSSRNGAMAGTPIMELAQRRAEERNLEADSTTKKKDKGNDFQILDVLSDAHLSSVVWDSCLIFNPAVGPPEAELSLVRAKELAQGALAEAARRAERQIAEAQATAEAQKAVKQEGVADTAVAEGSIDAAAAREACRLAGSPVAGDSPLGYAEGSAQGGVEAGTARPRRKCVKRSQLTVRKGQHKRKGAK >cds.KYUSt_chr1.41103 pep primary_assembly:MPB_Lper_Kyuss_1697:1:252134249:252139861:1 gene:KYUSg_chr1.41103 transcript:KYUSt_chr1.41103 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLFRWGLKGRLKKLMVWKDFITGLENQNQASIQIDPCLKGVKQTRPQEIYLEGEIRGPTWASMCCKALPPSATVPPQGVPTPRLLRMVAACASPKRRVNGGSFRGPDSAEKSGGSARDVVAGMAGQVGGEAAAQLDREGEEIHEIFSELDETKAHGLIFPRSFQKTEEDTKWGHEAPTPQGGAAKGGLAPPYGVEPPCLPDSALPPTYTLRRENPSTESHDMRKVTETPPPSIPSRGIQEITSGTLPERGIITGGLYITMPASGLMRE >cds.KYUSt_chr4.17728 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111005594:111009844:-1 gene:KYUSg_chr4.17728 transcript:KYUSt_chr4.17728 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRKQRFERITKDLKVTRVFSTLVDEMKAIGIVNGINGDEEAHCTDVMAPVAHDNRSPVLLLMGGGMGAGKSTVLKDILEEPFWTEAGANALVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPFVEQTVAMARAVHRQRHRMGVGYKVEDDGTITENYWEPVPEDEDFVTAEGTRKPYRIEIVGVVCDAYLAVARGIRRAIITGRAVRVKSQLMSHKRFAAAFQRYCHLVDGAKLYSSNSLGSPQLIAWKGGINGSLLVEPNEIECLDKVGNLNENATSLHDLYPGGATTCGSRSIWDDMIVAPSRAVIQQEIREAICPTTTKAN >cds.KYUSt_chr6.26289 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166587406:166589477:1 gene:KYUSg_chr6.26289 transcript:KYUSt_chr6.26289 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVCVTGAGGFIGSWIVKLLLARGYAVRGTSRRADDPKNAHLWALDGAAERLTMVRVDLLDRDTLRAAFHGCDGVIHTASPMHDTPEEIIEPVITGTRNVVEVAADAGVRRVVLSSSIGTMYMNPHRDTDAPLDDSSWSDLEYCKRTKNWYCYAKTIAEQGAWELARARGLDLAVVIPVVTLGELLQPSMNTSTLHILKYLTGGARMYVNQSQAYVHVRDAAEAHIRVLLAPNAGGRRYVCAERTLHRGELCRILAGLFPEYPIPTRCKDEVNPPKKGYKFTNQPLKDLGMKFTPVHEYLHEAVRSLQDKGFIRKPSDTKVLPSRSAPPQNSIVPMFMSKL >cds.KYUSt_chr7.32945 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205491652:205496976:-1 gene:KYUSg_chr7.32945 transcript:KYUSt_chr7.32945 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVSSPASFLALASASPGRRRRARVLGAPPPLHADRWLALHWPPSTRDGPVAVRAEAGKKGEDAANSRAPRARRAAAAGKVAKRRDPAVLTVDRYGAGDAAKGGADSPPAAPTTQNQTVLANGETVPVRGLSPPAPRQEAPRLPTQNAVPVNGENKSTVAAPLPGTAKVVLPGSVPILPARNKAPILPADKTPPSSGSNLASAPPRPSTVNDVASAEKMIADIVEKASKPKTLSPPAPAVQELWDFKKYIGFEEPAEANNDGGAAADATGSFENHQNHDSGPLAGENVMNVILVSAECGLGDVAGALPKALARRGHRVMVVVPRYGDYEEAYDLGIRKYYKAAGQDLEVNYFHAYIDGVDFVFIDAPLFRHRQHDIYGGSRQEIMKRMILFCKVAVEVPWHVPCGGAPYGDGNLVFIANDWHTALLPVYLKAYYRDHGLMQYARSVLVIHNIAHQGRGPVAEFPYTDLPEHYLEHFKLYDPVGGEHANYFAAGVKMADQVVAVSRGYLWELKTVEGGWGLHDIIRQNDSKIHGIVNGIDNEEWNPEVDMHLQSDGYTNYTLRTLDAGKRQCKEALQRQLGLEVRGDVPLIGFIGRLDGQKGVEIIADAMPWIVSQDVQLVMLGTGRDDLERMLRHFEWQHNDKVRGWVGFSVPLAHRITAGADVLVMPSRFEPCGLNQLYAMAYGTIPVVHAVGGLRDTVSPFDPFGDSGLGWTFDRAEAQKLIEALGHCLNTYRNYRESWRGIQERGMSQNFSWEHAAKLYEDVLVKAKYQW >cds.KYUSt_chr3.15454 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94634875:94635419:-1 gene:KYUSg_chr3.15454 transcript:KYUSt_chr3.15454 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFCLPELLCPPPRGHTLRSEYSIDAWDPGPQSPPSHSEIQQEELDCYLPVDFSVLLARRQQFVEEIRHKRFRPKKKKKKKGYVLGLPSWFFANADDNPLSAAVTKADISYLNCEDEDNAEDSFPVAEEEKHGDKELIPSCAGKSG >cds.KYUSt_chr7.14923 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92347022:92350191:1 gene:KYUSg_chr7.14923 transcript:KYUSt_chr7.14923 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDYANYTVFMPPTPDNQPGAAQAGASGSGGSSKPGLPPYSSGSKVTNRRGGDDGAAGGGSKMERRLSTAHVASPSKSLLVRSQTGDFDHNRWLFDTKGTYGIGNAYWPQDDNPYANEDGTGMGGGGDGGVKMEDLIDKPWKPLSRKVAISPSILSPYRLLVLVRFVSLFLFLIWRATNPNPDAMWLWGISIVCEYWFAFSWILDQMPKLNPINRAADLAALREKFESKTPSNPTGRSDLPGLDVFISTADPYKEPPLVTANTLLSILATDYPVEKLFVYISDDGGALLTFEAMAEACAYAKVWVPFCRKHSIEPRNPDAYFNQQGDPTKGKKRPDFVKDRRWIKREYDEFKVRINDLPEAIRRRAHAMNARERKMAREKAAASSEAAPAPVKATWMADGTHWPGTWLDSAPDHGKGDHASIVQVMIKNPHFDVVYGDAGDHAYLDFTDVDVRIPMFVYLSREKRPGYDHNKKAGAMNAMVRASAVLSNGPFMLNFDCDHYVYNCQAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAIYGFNPPRVTEYHGVVGQTKVPIDAHTRSAGGDEELRPLTDHPDHEAPQRFGKSKTFVESIAVAEYQGRPLQDHPSVRNGRPPGALLMPRPPLDAATVAEAVSLISCWYEDSTEWGLRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNALCASRRLMFLQRMSYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLCYLLLITTTLILLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVTAGIEISFTLTAKAAAEDDDDPYAELYLIKWTSLFIPPLAIIGINIIAMVVGVSRCVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTLVYVWAGLISITVSLLWITISPPDDRISQGGIEV >cds.KYUSt_chr2.9942 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62915179:62917988:-1 gene:KYUSg_chr2.9942 transcript:KYUSt_chr2.9942 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTSLSPQFLSLPSSSSSTKPAPSAFFPSKLPQLRALAAAGWRHSLAPLAVAVSSDVDTEESSAEFSEDLRVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSTVEEVEDAVEQLNGYVLDGRTLKVNSGPPPPRDQSSPRGFREQSGGFREQSGGFRQQSSRGPSGGDNRVYVGNLSWNVDDAALQNLFSKQGSVLAARVIYDRESGRKVILFSRRKAKPPDELIAGFAKVKLGLLDVDIM >cds.KYUSt_chr2.30909 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190425729:190426322:-1 gene:KYUSg_chr2.30909 transcript:KYUSt_chr2.30909 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEAVPPGVLSVRLLRGINLVKRDADGSDPYVVVHLENQNLKTSVIKNTVNPVWNEELTLAVTNPATPIKIEVFDKDKLSKDDPMGDAQVDLEPLVQMARMDLEDIRSGTVVRTVRPHRGGTSSTCCLADESNIVWEEGQVVQDALIKLRNVATGIIHLQLRWVKIPAL >cds.KYUSt_chr3.18682 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114943788:114945909:-1 gene:KYUSg_chr3.18682 transcript:KYUSt_chr3.18682 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSGVVVLDNGGGLLKAGFGGDKDPIAVVPNCIAKSPGSSTNSKKWLAADQLQAQDIDVTGMTLRRPVDRGYLINTEVQREVWERVLRNLLQVDPTNSSLLLVEPQFNPPGLQHATDELVFEEFAFKSLCVADSSSLVHLYEASRQPSLLKSQCSLVVDCGFSFTHACPVLQNFTLNYAVRRMDLGGKALTNYLKEIVSYRSLNVMDETLLIDDTKEKLCFVSLDVPCDLQLARLSLKENPFRCSYILPDGIMYKKGFVKDLDEARRYCSLPGDTDINKFDDRKKAELGQNEFALTNERFLVPEMLFHPIDLG >cds.KYUSt_chr4.33925 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208273198:208279903:1 gene:KYUSg_chr4.33925 transcript:KYUSt_chr4.33925 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYGRLTGLDAGLNNSSSRACLPLLPRASMGCLHVQLHVQLHVQLLVQFLVQLLLSSSFARARVIGPILTSLDLSFTTPSSTSCNDRVLQSSKEELDFSFILSSSDNMEDKCDHPAELLGTVDAESSLLSQSEDAVSEPLETADVNGATEHDGSSDTGHAEQTDDEPATKETSAINVEKGDVAQPCVESSADEQENQKMFPVTGTDASDSTSVTSMEDGLEPKKGAQSEPGDVSGYPPDLSNDKSSTGNGNVYENAKCVLTNSTKKMKRSKSATTRKPLQATNKNSPDNWNDSTLTNSKSLNGKTTTVPSSPVFRCTERAEKRREYYSKLEEKHQAMEEQKTQLEARLKREQEEALRLLRKSLTFKATPMPSFYHEAPSPKAEYKKLPTTRPKSPKLGRKKASMNTSHSSEEGESTRPYCRASRDSLGNHCKCHSSTKPQQQHPAANARHAAAASKKRAKNHAHKVSVLNIAVC >cds.KYUSt_chr2.37076 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229489327:229492405:1 gene:KYUSg_chr2.37076 transcript:KYUSt_chr2.37076 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTAEAAGVVKQAVSLARRRGNAQVTPLHVASAMLAAPAGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAAVASSPLLGGHGHHHYYPPSLSNALVAAFKRAQAHQRRGSVDSQQQPVLAVKIELEQLVVSILDDPSVSRVMREAGFSSTQIKANVEQTVCSPTTTAATADAHQNPNPSSTATTSKHHQETTKAKLPVGQVRDEDVGAVLHCLAARSKTRVVVIAESTAAAEATVRAAMDKVKRGDAKHDALRSAQVVSLRVSSFRDMPREEAERRLAELRCLVKSRGHVLLVVEDLKWAAEFWARHVQGGGRRGYYCPVEHVVTDVRALAGGDQGLWLVGFGTYQTYMKCRAGQPSLESLWGLQTLTVPAGSLALSLTCAFDDSALVNQSMKASSDTDGNGPVPRSWPLLGGAQLTSRCCGDCSAARTDTKAALPTSFVSSSSIPSWLQHCRHQEPTHFMDLGRNWSSICSKPSQRMTLHFSAPVSPASSISSYEHGHQPRQSWLLADMDGKHPWKPKSEAGEKVSSHDSGASNGSVEVECRSRFKELNAENLKLLCAALEKEVPWQKEIVPEIASTVLQCRSGIAKRRDKSRSTDAKEETWLFFLGGDADGKQKVARELANLVFGSVKNFVSIKLGAASSPSASCSAEEHRSKRPRTSAASEGEAYLERLYEAISENPHRVILMEDFEEADQHCQVGIKEAIDSGVIRTQAGDEVGVSDTIVILSCESFDSKSRACSPPGKQMKPEMEEIKEDYTTDQDHKEGGASSSCFDLNLNIESEHADEPNSGDVCLLTAVDRTLFFRRQDGL >cds.KYUSt_chr2.30861 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190096913:190102290:-1 gene:KYUSg_chr2.30861 transcript:KYUSt_chr2.30861 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKTPLLLLTLLFLAAGEAAATTLTATPAKLTQSDREITIRWSDLPDPDGLDHVAIYSPPSSRDRDFLGYLFLNGSASWRSGRGELALPRLPNLRAPYQFRLFRWPAKEYSYHHVDHDGNPLPHGRHRVAVSGDVEFAGSAARPEQVHLAFADGVDEMRVMFVCADGGKRSVRYGLGKEEGEKGWTEVGTEVRTYEQKHMCDAPANDSVGWRDPGFVFDGLMKGLEPGRKYFYKVGSDLGGWSETYSFISRDSEANETIAFLLGDMGTYVPYNTYIRTQDESLSTVKWILRDIKALGDNPAFISHIGDISYARGYSWVWDHFFSQIEPIAASTPYHVCIGNHEYDWPSQPWKPSWSTYGKDGGGECGIPYSVKFRMPGNSILPTGNGAPDTRNLYYSFDSGVVHFVYMSTETNFVQGSDQHNFLKADLEKVNRSRTPFVVFQGHRPMYTTSDETRDSAMRQQMVQHLEPLLVTYNVTLALWGHVHRYERFCPIKNLQCLNTSSSFVYPGAPVHVVIGMGGQDWQPIWQPRTDHPDVPIYPQPGISMYRGGEFGYTKLVATREKLTLTYLGNHDGQVHDMVEIFSEQTSGEDSAAKTVVGTKLGSAASTKLKISPLYLEIGGSVMLALILGFAFGFLVRKKREAAQWTPVKNEES >cds.KYUSt_chr2.4091 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24714402:24717141:-1 gene:KYUSg_chr2.4091 transcript:KYUSt_chr2.4091 gene_biotype:protein_coding transcript_biotype:protein_coding MDADMYKEKKMSWTTTSPPICTDMNNYVKLYDYIKLFVEFYIANIKQCQAMASASCISLVLLVALAATAVSAQLSPTFYDTSCPRALDTIKSGVTAAVSSNRRMGASLLRLHFHDCFVNGCDASVLLSGNEQDAPANKGSLLGFSVIDNIKTQVEAICNQTVSCADILTVAARDSVVALGGPSWTVPLGRRDSTSATGDTGDLPAPDSSVAQLQAAFSKKNLNTMDMVALSGAHTIGQAQCLNFRDRLYNETNIDTAFATSLKANCPRPTGSGDGSLAPLDTTTPNAFDNAYYTNLLSQKGLLHSDQVLFNNAATDNTVRNFASSAAAFSSAFATAMVKMGNVQPLTGTQGQIRLSCSKVNS >cds.KYUSt_chr4.40740 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251547631:251551520:-1 gene:KYUSg_chr4.40740 transcript:KYUSt_chr4.40740 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGRGWDILHGSLEIAPLDRIWVRWLCGRRFIGVASILVATSCLISRPVVTLVGTMRSELRLMDWLVREALIIEFLKFTSIVMPRWQAGSCGLYQLVLLFLGKIPIGVALSALLGNHIAGPDSPFLDILTIVFLLHVFSAEHQVK >cds.KYUSt_chr1.36408 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222114620:222123061:-1 gene:KYUSg_chr1.36408 transcript:KYUSt_chr1.36408 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKKLSIRRRHITRQPWGLSSPLQEGGTAAGTDPCGVVFVFFGPQQEELGRQGGGPGRWESCWSTGEPMTMVLAGDHGREGGVVVGELSDVGLNLTLSSLTIIGRNLFQGPAASVGLYIDCGSVYESPSSSGASHLLERMAFRSTTNRSHLRLVREVEAIGGNVSASASREQMSYTYDALKSYAPEMVEVLLDSVRNPAFLEWEVKEQLQKIKSEISEINDNPQGLLLEALHSAGYSGALAKPLMATESVINRLDISTLEEFIHENYTAPRMVLAASGVEHDELVSIAEPLLSDLPAVKRREEPKSVYVGGDYRCQADSPTTHIALAFEVPGGWRQEKTAMIVTVLQMLMGGGGSFSVGGPGKGMYSRLYRRVLNHYEQIESFSAFNSIYNNSGLFGIHAATSPDFASTAVDLAAGELLEVATPGKVTQEQLDRAKEATKAAVLMNLESRIVASEDIGSQVLTYGERKPIEHFMRAVDETTLNDISSVAKKIISSPLTLASWGDVIHVPSYESVSRKFHANSSVFDQANPRARFPCDPLGFYPAAQGKAKESDAAPSIGDSSRPRCLHEEKAQRKEERAKNMMKKAEMQAQLIGPSMINENNKRWLYLLLTTDEDSNGDDVHDDE >cds.KYUSt_chr7.8862 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53822042:53833567:1 gene:KYUSg_chr7.8862 transcript:KYUSt_chr7.8862 gene_biotype:protein_coding transcript_biotype:protein_coding IKEEKSVKEEIGFAEEGSAMDGMEGLESTNQVDVEVESVDKKEDEVVNLEVETYMFSLNDKVIHGTRGETRSDRNVQKFEQNDGHSHVDGGNGDILEKKGDTNHDNTNNSGEYHLLFGLTTEDMEENAFSNISSSSFLFDPLIEIESDVEQLTHALMNEGLYIEDEKLVKEVTGLGDAICTVHQMEGLNITKKVDVEVTRVDKNNDEGVSFEDMTHVVSQNNTVTNETRGDKGSNIDVAKLDNKDDKTKVDDENGASLEEKSDKYHDTDDIIGENHLLFEPIKEDWESLVFNMSNSSFMFDPLLHIESEVEPTDDVPMNEGVDIAEEKPIMVETGLGKTIFTINQIEGLRNTKQVDVAVERVEENNDELLNFEVKAHLVSLNDNFIGEERGDKGSDITVRKIENKDGISKVDGENGVLLDYKTCKYHDINGENHLISAPKKEDREESSVFSISSNPLVFDYTQSSDESGTEEEQDAFLKDLEQFHKNNFMEFKPPKFYGEGLNYLKLWRQVTRLGGYEQVTSRKMWRQVGESFNPPRRMGAMWVEEFEENLQPVLCKVGTLRDCQEMKDSYEVYALIPGLVCEELRVQSDPAGRLIIKGEPAQVNNPWGITEFKKVIVLPSRIDPNQTSASLNLHGRELRLGVGHALKEFDSDTMERGSFARQRTEKQASKKIASPDQSDIVHDEEVDSDIESDIDIEDTTPHPPSPQQPNARSHDAHFLPHDPGERIPISSYDVNIQDDVRRGYILKGCGYTNDLSISLQKKDQDILNAMALVGLAKEKMKDMRSSLGWERFLAKVTFFCNTHGIEVPSPESNYVAHGRSQRYYEKQTNDDRYRREVYLGVVDQVIQELDNRFDEVNMELLICMAALNPVNSFASYDAQKVMRLAQFYPNDISSMDLLRLEPQLEIFIDDMRKDDRFKCVNHLGELSIKLVETKKHVVYDLVYMLLKLILLLPVATANVERVFSAMSLVKNKLRNSMGDNLLNHCLVTFIERGVFIHVSDDTIVKTFMAMRNRRLKK >cds.KYUSt_chr6.17184 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108175974:108176441:-1 gene:KYUSg_chr6.17184 transcript:KYUSt_chr6.17184 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGARSNTAKTAGVAGAGAGSHIGQQKAMAEREEQEQVVQLAAQLAFLRATTTQTEEQKAARQAAQLAFLKAGLEEYQRCNAMGMEGVVDEYRRAGKLHTYDPDKEKQKRFARVANLYPCPWSEHMVERINEYTKYLEEDEDNYKIGLYSLFEE >cds.KYUSt_chr1.8304 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51097051:51099715:1 gene:KYUSg_chr1.8304 transcript:KYUSt_chr1.8304 gene_biotype:protein_coding transcript_biotype:protein_coding MHAINAALQRMQRAARKDLSSNNLSGMVQEFRIKNVLLEGNPLLRYPSCGTRCDSICVQEEPLQNPRTHSQIYETSTKTVLLWMSTGFVVVVFLAAVTTATWQWRRPHQIFADIDGNHMIRNVVYALALLVLYVLHLEKQ >cds.KYUSt_chr2.54617 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340813720:340814465:1 gene:KYUSg_chr2.54617 transcript:KYUSt_chr2.54617 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASASLLPASTTNAGTKRAAPAAVSDVEAPPLPQDGVAAGKNSQGQQQQLECPRCKSSNTKFCYYNNYSTTQPRHFCRACRRYWTHGGTLRNVPVGGACRRSGNGNKRRRSAEPSPSSASPSAEDTFRPVQLPATPFFPFLSDGAVFLPQFDLGLGGYAAAPTGFPSPAAAGPDQYDWLVTPWDGGNGTHTGGATWDDFAAGLELF >cds.KYUSt_chr4.24518 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154367912:154370549:-1 gene:KYUSg_chr4.24518 transcript:KYUSt_chr4.24518 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDYIDLDDDACFVKVDFVDLSTDEDSVEKDGNVPEDDNVARSENVAKASVSSSISEEDAIPMMPLSKLEQEHAATASLSIVGHGAATASVMEEESMQPEHQKFLTTSDAAKEATRSENHGLIAAGHLVGEVMQSEHEQVAATSMLLSKQGAITSSLKEDSFMQFGKQVFVVALDHAKEATQSESTVKAAGSPSMTERGTTCKVHRVGQLYQMIGCPYIIGDGLDLYSSHGGGHPQGEPGSSTLLCTKSEVSIRVRGVAGNAMGSTGINNIHAQEKPDQNCDFEAEAEAEALCQEVSQDVFAMSLSPQDKEKKIIQLRKFYDRKGKKQVNASATEHNRQLRIVHSNRVLPPLHNGNVLNGEVVVSTYQGCRTSQGNTVHDKANNSHDELGEWPPSRASTPTTVLKF >cds.KYUSt_chr6.11201 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69433604:69436878:-1 gene:KYUSg_chr6.11201 transcript:KYUSt_chr6.11201 gene_biotype:protein_coding transcript_biotype:protein_coding MALVREPMVMYDSGYDDAETSALGYGGVDAASLFDFGGYTYAHDEPAGATSNYVPNSPSWAGAGPSLLTFDRTQRGQGAQAAATATMVVSDGEADCNAWIDAIDGDDQATPASTIGFDPASGCFSLTQRAGGARRPFGLLFPGTASADAASPAPARISQKRTNVVRMQDGEPPRAAKKQCGASRKTSKPKPPAPTTTSPGSPQSLVAKNRREKISERLRTLQELVPNGTKVDMVTMLDKAITYVKFLQLQVKVLATDEFWPAQGGMAPEISQGVRSYGSSSGSCRQLSIKKDMKKDIKDKVTDDPDKLAKLIRWFLRKVGHPSAFTGQELREWGRNQKAWKDTDPIPFAVTTMISGACVVVWVNDEWKYIKQRFADFVGGLKTGGRDRTTQDKD >cds.KYUSt_chr1.37313 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228014590:228019915:-1 gene:KYUSg_chr1.37313 transcript:KYUSt_chr1.37313 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGSLEKMGRELKCPICLSLLKSAVSVSCNHIFCNDCLMESMKSSSSCPVCKVPFRRREIRPSPHMDNLVGILKSMEAATGTNVVSTQVTSAVEVADGSKPADSKKGPKRSKKSAVQMKKITSKKEANTTKPTVASASRPTKKSSFSTNKRIHVTPFPESETPVRPRKIMKPEEPTNNLNQDAQGKDKALASDKSEGISLSPFFWLREREDEVIGTVETLSEPLSLATPLRHNAPCYSDIKDSEDETPLNTTPNGKCKDILDHISETHDEEDIQLSCSFERLDHASNAAQLVHAKEIKQKRKKAQGRNSKKAKLPDHLKVSIKEVDPNQQDSNSTKHLAAKSYGPETEAHDEQLTERYQRKGKNSQQKKSARKLGTTGMSTVETTETNYEPSKGIQQIDCSVITNFGKLQACPVRNTFLKKCNGAVSKVCCAFCQSVDITEVSGEMVHYHNGKQVPTELSGGANVIHSHKNCTEWAPDVYFEDDSVFNLMAELTRSKRIKCACCGVKGAALGCFDKSCRKSFHFTCAKLIPECRWDNSSKLPNEISEPQKQSKRIIAPKCPSQVKSSQDCKNNWKWPSGSTQKWVLCCSALSAAEKGVVSEFAKLAGLPISTSWGPSVTHVIASTDLSGACKRTLKFLMAILNGKWIVSIDWVKACMDHMEPVDETKFEVATDVHGVTGGPRLGRQRVIKKHDRSFKDQNKVVCLLELLSMIAHGYMLQHSVNCPFIIQQPKLFDGMRFYLHGDYTKSYRGFLQDLVIAAGGTVLHRKPVSRDQQKLLDDSSPVIVVYSLENQEKVKFDADQCRRQADDAQVLACASGGKAATSAWIIDSVAACNLQPL >cds.KYUSt_chr2.34008 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210227847:210230196:1 gene:KYUSg_chr2.34008 transcript:KYUSt_chr2.34008 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVDPFIPSSAWPQDMVFAGSSSWAGTGASSLVGSSAQGMGFYHLQNGSSETAFPAGGTALPSAELHEQFLLQSDGLDFDGAGALGTILPCPVSLTDSAPLICSSNESSGSEQSGAGLPQFLMGAEHPAAWPPACLGSMAGDETSTQSFGFGGISDEDLLLEAAACAPNGTNRFQQLGAVPPAQLQLHDDAEFSSGKLLSFSPGQHVRPGIDTLHQMNQNDFSGGLRHLDLSSLVHGPQLAPFNPKLVSEDAGAKINGNGVAGSGGGAAPKPRARARRGQATDPHSIAERLRREKISDRMKNLQELVPNSNKTDKASMLEEIIEYIKFLQLQTKVLSMSRLGATEAVVPLLMESQDETSGLLLGSPRSRSQPDEDNAAFEQEVAQLMENNMTMAMQYLQSKGLCLMPIGLASALSTQKGTASAAIRPETTLHAPGVPTEMKFKTM >cds.KYUSt_chr5.36698 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232036335:232036991:1 gene:KYUSg_chr5.36698 transcript:KYUSt_chr5.36698 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSRSPASAPSAGSSVRRVPTVKVVDMDGSMAQYAAPITAREALGDDERRRGAPVFLCSSDELRLDAPPRALADEEALQPGWLYLVLPMSMLRLALTGNDMAALAVRASSALAVVSGAASPPRSKSMAGTKVKQRKTARVAPVVASDEHAELADGEWSQHAYGKHGAARKTVRGGGDETAGKRRKRAGYRSSGARHRHGAADVPRLSAILEDDDF >cds.KYUSt_chr6.13551 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84925000:84925401:1 gene:KYUSg_chr6.13551 transcript:KYUSt_chr6.13551 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEAAAQEPRRDARKLVRCPRLQLDAKTVTAVEQSTGTSIADPAPAAAGPGSGAMRVKVVLSKQQLKQVAAAVAAGGAFALPPALEQLVTALKRQHAKKQAAAAAEVAAAARARRQGRWSPALHSIPEDIFS >cds.KYUSt_chr2.48434 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302837025:302838239:1 gene:KYUSg_chr2.48434 transcript:KYUSt_chr2.48434 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAHKLGELFHDVRRRTAAALDKALSLLRSLSKYHAHHDVTERMARTRQVWPPRLGSLLVHVAYFLAISWCGYILLGDLKFRAPGGDGSRPSGIDLFFTSVSAATVSSMAVVEMEVFTNGQLLVLTLLMFVGGEVFVSLMGLASKWSTLRKQATENSGRVESHDELEMRPVADAVDIDKTSTSSVSPVDAKRLRLNAVRSLFFIVLAIFLTVHGLGTAAIAAYVYSSPGPRRTLQGKALNVWTFALFTTVSTFSNCGFMPNNENMISFPRDTGLQLLLVPLVLVGNTLFAPLLAACVHAAAAATRRPELVVTASENGAELTGYDHLLPVRRCVMLVATVAGLIAVQMAMMCGMEWGGALPGMSPWEKLSNALFLAVNARHTGESTVDLSTLAPAILVLFVLMM >cds.KYUSt_chr2.11573 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73607099:73607737:1 gene:KYUSg_chr2.11573 transcript:KYUSt_chr2.11573 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIWSSRNNLTHGESGFSPAKTMEMVKETLQTLELPRDKGVPKSARPECKWQRPPDGVVKINSDGAVNVNDNRQLPVLVAREGVVFRGATGKTYRGVSDPLTVESLAFRDAVVYARSRGFTNVVFEVDSEDLVQLWKNRANDLSVVKQVLDEISELSLLFTNFSLVHARCEANQAAHSCAKYISLQDGSFSWDAEPPAFLVHSLGADCNLV >cds.KYUSt_chr5.5589 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34954446:34956396:1 gene:KYUSg_chr5.5589 transcript:KYUSt_chr5.5589 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAKQNGVASKAQLMSVKRGEPTLVAPAEATPTGKQYYLSNLDQNIAVIVQTVYCFKRPSSGHGHEGAVADMLRAALSRVLVHYHPLAGRLGISPEMKLTVECTGEGVPFVEADAACDLADIGDLSTPDPTALGQLVYSIPGAKNILEMPPITAQVTRFKCGGFSLGLAMNHCMFDGLGAMEFVNSWAEMARGATELTVPPFVDRSVLRARDPPSISFPHREFEEIADISGMAALYGEQKLVYHSFSFDPDRLERVRALALAGGDLERCTTFEALSGLVWRARTAALGLAPEQQTKLLFAVDGRRRFVPPLPKGYFGNGIVLTNALATAGDLLAAPVSRAAGMVQEAVRMVTDEYMRSAVDYFEVTRARPSLASTLLITTWSRLAFDGADFGWGEPVMSGPVMLPEKEVILFLAHGKERKSINVLLGLPTSAMDAFKDLLDEI >cds.KYUSt_chr6.11134 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69039068:69049500:1 gene:KYUSg_chr6.11134 transcript:KYUSt_chr6.11134 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRREKRPSDAAGTAAAAPAAKRAREPAASAFPTYKDAPDLAPKIRLLCEILASSASDVEAALDDADVRVTTSDVEQVLRFSYAHPRGAAAFFRWAGHRHLRHQHSPYAWNLVIDLLGKNRLFDPMWDTVASMRSQGLLSLATFASVFSSLAANPASSPLKAFVEMPRYGMDRDAPALNSLLSALCRASRLDDARAAIPVARAEAGTRPDADSYAILLEGCEAAGDAAVAREVFDEMVRAIGFDPANVPAYDSFLTTLVSSGSSTGLSDAMEYLAVLRQYRCSPGEKFLRAALAAHLKARELRNAEVLWEDFVNRRGIVPDQEMYNTMIVLQGTLGHAEVVVKYLDDMTFNGVFPDTDTYNVVLKLLLKGRKLRAASAILREMVKNECWPNEANCSLALRMFLDTGDWETGMKLWNCMVANSLPPLEENGNMLVSKLKDEMLPEACKYAEDMIDQGIKLSSSTLAKLKQSLGIGGSGSCCGTDMEGFGDHHQSTCPQSQQHPDHVSDSAEDPISDEDILAPTRLSLSSATSKRRENDNRMAEHARSAVWDEVLEEADELAHVHKDPRSVSFLSGGTSKRNKFDNKPRFSIRGSGFVASNAKGGNLYDGEQEVSSGMPPAKALETMMAEQVENIDEEIEDLPSDFAHPTQNENISVAELLEDLQDRSGSSVRTPFPFHQHSRAAERKPKVPTSGNKTLALLGQSNLGNEEASQHVIGETSSEDEVEDTVQHNLAMVTKYVKRKTMTDLFQEAFSATDMDVTALPMRSTGAGYYGRMQQILQMEKDRHVEFSRQCSKAPDYLGNSNGLIVQILSRSLEGKLTVCLCLLKEKSNLPITSKVPTDCGMDDSSTRGVNERDAK >cds.KYUSt_contig_988.382 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2268499:2269227:-1 gene:KYUSg_contig_988.382 transcript:KYUSt_contig_988.382 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAERLALAFLLAAAAALAASAVDTKLTLQNLCPFPVRPLVTPNGNFSSISDNTVELDPNGGLVSFPFPDTFWAGSVVARTFCRTPTSCDMGSSPPRTVVQLAVHSTEDLATYSVSLEDGFNLATVVTPLFSGGGQCPALGCPLNLTNGCPVDQVKFDDCGIIVACKGDPGYFKRRCPLTRVNGTDREPPPRSCIAPRELKVVLRRKELAHLTMVGAASAQTELAHLTMVGAASAQTEHL >cds.KYUSt_chr4.15381 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95011511:95011867:1 gene:KYUSg_chr4.15381 transcript:KYUSt_chr4.15381 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGGERRYGGHEQAQRAPARPMRGAVALPPAPPKVYRVEPRDFRELVQRLTGARAASASTAGPAVAAPAHAAVAQAHGRREAAAPDQFDYSSWFSAPLLSPASMPPGMDGHHHGALL >cds.KYUSt_chr2.47005 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293976089:293977159:1 gene:KYUSg_chr2.47005 transcript:KYUSt_chr2.47005 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIMLRTLSGPMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGL >cds.KYUSt_chr4.42997 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266340625:266341047:-1 gene:KYUSg_chr4.42997 transcript:KYUSt_chr4.42997 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISSAVGRYHDPAAGATTTLPPNLRLPFRRQGSPPPSRPPASAARMPATAYHGSPPPHIQPRRPPPRLNVAVLRRAPREPCLFAPASVSLLGREAAKGYHRCYHGGRAGMIFDRMLATKSHTITSSDAANVGQFCNPP >cds.KYUSt_chr1.17270 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100246057:100252960:-1 gene:KYUSg_chr1.17270 transcript:KYUSt_chr1.17270 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHLFHCRKNSWPPEEYVARTALQLLDLDGGSPPEQAWRRRLNSHANILKEFSVTFMEAMKMMTLGVRLWSYVREEASYGRKAPIDPFTRERCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCENSPVMENQFSIFVTRDGGNKKYSSVLAPGHHEGLKKYSDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTLVNTGRDRAKVSLLMTWANSIGGFSHHSGGHFNEPFIGDDGVSGVLLHHKTAKDNPPVTFAIAACETQNVSVTVLPVFGLSGERHVSAKEMWGTMSKNIDFGKKRSRSGKTPYSRMTNFQNDMSHHFSVALGEAKDTWWWNDEVQKVIREKDCFRCLYLDRSAANMEKYKVAKKAAKRAVSEARGSGV >cds.KYUSt_chr7.25693 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160306267:160314240:-1 gene:KYUSg_chr7.25693 transcript:KYUSt_chr7.25693 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSDEVDTLSPKQKRKCPLPVDCSLVVSMSDSVLQDLKSAQPAGLEKSKESSVEPCNAKPVLNGSKCVKKEEKPLTECPDVTNGCDVAAVDVEYIDSENLTDLLDVDASLSTLLARLESKDWVKTCEALNNVRQLAIYHKERLQELLEPLVPLIVKSVKNPRSALCKTALMTCPDIFKAYGELMVDSIDLLLMPLFLKSSQDKRFVCEAAEAALMSMTSWISPSVLLPKMQPYLKNRNPRIRAKASVCFSNSVPRLGVEGIKEYGMDKLIQVAATQLSDQLPESREAARKLSLELQAFYERSQASSSGEGDSTSATSPEAESWEAFCQSKLSTLSAQSILRATVGVPVAPKETGIPVALKEAGIALKEGGISIAVKEGAVLVAPKEDGAPVAPKEGHPLVPHWYARDDHAALPPPTRPRRQPLTGRGALFRPGLAAAAVGIMAAGPRRGPRLAAPGVPIQRVRFPPSPSRLPAWVTATEPPPVYSAAPAPSPVYTAAGDPPRPSFPDPPHARFAEPSAGHAEYPAQGATGLAPPRYAKLEFATYDGVEDPLNWLNQCEQFFRGQRTLASDRTWLASYHLRGAAQTWYYSLEQDEGGMPPWDRFRELCLLRFGPSIRGSRLAELGRLVFTTTVQDFTDRFQALACHAPGVTGQQRAELFIGSLPDHIRVDVEL >cds.KYUSt_chr4.9142 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55013205:55013611:-1 gene:KYUSg_chr4.9142 transcript:KYUSt_chr4.9142 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDKSAVPAKKIWLAIAARVGLRPSAGLGKLRKEVRTCEYRDVHVMWEMLRDMGSPAAPLEEKEAVAAAAVAAAAGARKKKAAWRRFAYYCCAF >cds.KYUSt_chr3.45227 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284921301:284922850:1 gene:KYUSg_chr3.45227 transcript:KYUSt_chr3.45227 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPLPPSPTLKAWTPSAPALPLWPGRHCRHLAGCTSGRHPPLVRLDPSAHSKYGLDAAAAVPRHKAVALHAATSLARSVAIAATAPLVYSRIMVLRTSADKLAKVKKHAETYASLVMEDLDQ >cds.KYUSt_chr3.8110 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46780141:46782695:1 gene:KYUSg_chr3.8110 transcript:KYUSt_chr3.8110 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMGARVRVTGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQNPPQYVGEDKACFLAMVIWWTSPEYARKHEEGKQKRLEMGGGSHVLGSKNLALTLQQEEVKTGVTPNLFGLFQKSKTRREPHPETGSVWVNGLAEAQCGAYRSTFKAKHGEDADPTTEDFDVEVAVLAGQGKKGGRLWIADGLVDPKTIPSLRQIRRGRTSEQPRVETRPRASDLAVEKLRAEMEERERRHQEEQMQMQQQLRENMQMQQQMLQQMQQQQQMFQQMFMNQAVVTSPPGSSAPSTSCPPRFPNWEDKEVKKDKEVEKDKEVAKVDVACGLMGKQGNSGIISASLPCICTRQRQMRTAKAFFAVHTHTRQRGPAVQCAAAAVLLGKLCRAGWRRGARQSLAFAVRVGGDAHGNVQRTAKVPGTRQSVAHGKGATAHGKATTHGKGFAVRFLRDARQRRLCRPDLCRANFAVHGRTAKALPSGLSPLPCDLGARQCPVFP >cds.KYUSt_scaffold_869.272 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1694776:1695159:1 gene:KYUSg_scaffold_869.272 transcript:KYUSt_scaffold_869.272 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTLVASAAGIGVEALVLHRRRRRAPTGVAPWWRRQGRRAPARSSGIAVVRTPWRWLEAIYAHRGIGSRPHALTAGGFRCGQRCRGAGPETALPPVTATSDQDGALEVEARETRARQEQRRSGPA >cds.KYUSt_chr3.45863 pep primary_assembly:MPB_Lper_Kyuss_1697:3:288788769:288792693:1 gene:KYUSg_chr3.45863 transcript:KYUSt_chr3.45863 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSALSAHHPSPSSHLGQLRLNPSRLPLLRSLPPRLRLRIPRPQLPPLPLALPSSNDGNNNNNGNNNNDGGGDGEAEDDGAANRGEALFVLAQVGRKLETLPSDLAAAVEGGRVTAEIVRRFADMDASPILRWLLQFRGFRERLLADDLFLAKLAMECGVGVIAKTAAELQKRGDNFVNELDIVISDVVMAIVADFMLVYLPAPTVSLQPPLLKNAGLIASFFHNCPDNAFQIALAGRSFSLLQRLGAIVRNGAKLFVVGTSASLIGTGVTSALIKARKAVDKELDDEVEDIPVLSTSAAYGVYMAVSSNLRYQILSGVIEQRMLEPVLHNHKLLLSALCFAVRTGNTFLGSLLLVEYARLPGGYALTRLQI >cds.KYUSt_chr7.4165 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24868461:24869099:-1 gene:KYUSg_chr7.4165 transcript:KYUSt_chr7.4165 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMITAYREDNDDVEFKFVHVFARIETCDKWKEVRTTLAKAAAPFDPNDTPTPAAVGRPVGNKKAKAMRDPAPAIEKLHSSILACIAAAAAHAATRAEQAAKMEEVASARWASVMERQDIKLDLIKANIVTKKKEDLAILLIDTSGMDGNVKAWCAAQRATILAESRAPPTPPPSTATPPATGASPSIASSPIATPTTPTIVPDSPIEEIA >cds.KYUSt_chr4.18448 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115877720:115881795:1 gene:KYUSg_chr4.18448 transcript:KYUSt_chr4.18448 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSKPDVFQTEGSNIRFVATELATDIVISIGDVKFYLHKFPLLSKSSRLQRLVASSNEESSDEVDISDIPGGHSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMLETIDKGNLIYKIDVFLTSSIFRTWKDSIIVLQSTKSLQPWSENLKVINQCIDSIASKASTDPSEVEWSYTYNRKKLQSENGVDSHWNGVRMQQMVPKDWWVEDLCELEMELYKRVILTIKAKGRIHAIVIGEALRAYAFRRLLGSLEDAVSNGTDCTKRRVVLENIVFLLPAEKGSVSCGFLLKLLRAACLLESGESYRDNLVKRIGMQLDGASVVDLLIPAASGENCLYNVDLIVAIVEQFMSHHSDNGKLSFEDDDEIVEVENFASVSITSKLAVAKLIDEYLGEIAKDPNLPVLKFIELAEMVSATSRQTHDGLYHAIDMYLKEHPSLPKSEKKRLCGLMDCKKLSQEACMHAVQNERLPLRVVVQVLFFEQVRASAASARSDSAADLSLAVHSLLPRENGNSYGSSRSAATTTTEEDGSGVPTSSDINSFRSMRLATNGAGSERSTASSEINKNSEDKSTTGKAKAMLMPKKMLSMLWSGKTTNVGENSGSETSESPGSANNTEEVKSTPSRNTRRSMS >cds.KYUSt_chr7.40936 pep primary_assembly:MPB_Lper_Kyuss_1697:7:253763453:253766530:1 gene:KYUSg_chr7.40936 transcript:KYUSt_chr7.40936 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAFPSAAYAPLLPPTADDVALAKQDRPGVGWRGFLTVLAASGVVVLLVAASLLAGSRMGQAGDTDEDGAGGFPWSNEMLQWQRAGFHYQPEGHFMSGPVYYRGYYHLFFQYNRRGVAWDDYIEWGHVVSTDLVHWRPLPVAMRPDHWYDKKGVLSGTITVLHNGTLILLYTGVTEDPMAESQCIAVPTDPNDPLLRHWTKHPANPVLAHPQGVQGMDFRDPTSAWFDKSDATWRILIGSKDDDNGSHAGIAFIFKTKDFLSFERVPGIVHRVEGTGMWECIDFYPVGGGHNSSSEELYVIKASMDDERHDYYSLGRYDAAANTWTPLDAELDLGIGLRYDWGKLYAATSFYDPLKQRRIMLGYVGETDSARADVAKGWASLQSIPRTVTLDEKTRTNLLLWPVEEVEALRYNSTDLSGITVDNGSVFHLPLHQATQLDIEASFRLDASDVAAINEADVGYNCSSSGGAAARGALGPFGLLVHAAGDLRGEQTAVYFYVSRALDGSLRTSFCNDETRSSRARDVTKRVVGSTVPVLDGEALSMRVLVDHSIVQSFAMGGRVTATSRVYPTEAIYARAGVYLFNNATGAGVTAERLVVHEMASAVYDETLMVQDL >cds.KYUSt_chr2.52406 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327000406:327001672:1 gene:KYUSg_chr2.52406 transcript:KYUSt_chr2.52406 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPTELVLEILQRLPWTSRRRLRLVCRAWRDLVHQHTTEMKQRRHTAPLLVTTDSAYVLDVDDLDLDQQSYSSHTCVPRELHLRDLYSEHTEVVGVCNGVFCLCDDNKPGGAITLANPATGDVLALPPTPRDGLFRRHNTRRSGRSWHQAYSFGYHHGTGQYKVVHVPCFFKTKDTLQVFTLGEASWREVPTPTYARCRLEAGVVSFDGATYWVAEGSEDRIMSFDLDTERVTCTQPLPMPARPIRHLTQVNRRLAIATPTYGQPSYGYSAIQVWVLEGKRNEQTWIHLYRLYSCPSGCGQDIAQPYFIHGDYVLMDRGDKLVMYKHKPTIHYYYGERSECTAVHDNTCYKEKLISDIRGRICRTFAYVKTEEALSVYRRW >cds.KYUSt_chr2.16862 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106160029:106160724:-1 gene:KYUSg_chr2.16862 transcript:KYUSt_chr2.16862 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAVAAVPEAAAAPEIIAVPEVAEDQIVNAVYEDEASATSITADADELLPLPPAFTSPPMEWLLGGPSAGWLADDPERDDDELETPPSMLRYFERHGNRPRLPSPTPSDEVAEHFALPGYADVTEFFQPPVAVPVDAPPTALPDLNLPAQEVEEEKNEDAAALLALPTPPPEARVLLRRFASTMAARPGGIRRGTWSPEALGLTNGVAELRLNEAAPHLPSSSLDEPDRR >cds.KYUSt_chr3.48503 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303406427:303407401:-1 gene:KYUSg_chr3.48503 transcript:KYUSt_chr3.48503 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTKPLSRMPSLSTVEIIQVKVICHLATGKSKGYGFVKFSSETEAAAALEKMSDERRYLSIQKCFMHVYSKPQGCILSARQCYRRGIHGIRFSQVSSEVEAAAAFEKMSDEVLDGRNIREHYANRG >cds.KYUSt_chr2.10498 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66637608:66638908:-1 gene:KYUSg_chr2.10498 transcript:KYUSt_chr2.10498 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGGSRGPAATVRCRECHTNLTAAPGARAVHCMQCNCGTRVPGSGSGRQVVVVPHPRPNPGFGVCRSKKRAVLIGIKYTSRRAGELRGPINDVKCMKHLLTTRFGFPCEGIIVLTDEETNKCRLPTKENIRMAMHWLVQGCSNGDSLVFQFSGIGTQVPDEDGDERDCMDEAICPMDSFHQGPILDDEINAAIVRPLVHGAKLHALVDAHHSATVLDLPYQCVTSKTTGCLNWVKQPTPNGACKGTSGGRAVLISGSSGGKKMPANTLSEPCATMGALTHSFIKALECEPHASYGRLLTSMRTIMRQGGPCSLPGPVGSSVRKVTNFSGVEASHISIL >cds.KYUSt_chr7.14739 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91130115:91132213:-1 gene:KYUSg_chr7.14739 transcript:KYUSt_chr7.14739 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDGGKTPYVVAVAIQVIYMGLFVVSKAAFDSGINTYVFIFYRLAAASALLLPIALISNHRSRLTTTKATPEQTMSCRLLFKLFLYALLGSATGRGCGRGIAERSPSPPTPSSSSSEMDVLFEFVLVLKGDPRGIQRLPDSFAEYVGSVRPRKMHLREHLCGYYRWIVDAIYDARGKMYLNIGWEKFARHHSLQAGFILVFSYFGNRDMSVKVFDERRCRLDYHGDRDSHDDSTAEEDD >cds.KYUSt_chr5.2094 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14203309:14209738:1 gene:KYUSg_chr5.2094 transcript:KYUSt_chr5.2094 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEFHSQLQPRLPILLLLILAAATEHYELMMMVAGAEQPLPITLAGCPDKCGDTSIPFPFGRTPGCFRPGFQVVCNHSFLPPRAFLAYTRGFPYQKSYIYTVTAGSPADYGSVATAKRRVELVDLSVATSEEINPNLPKFRLFLTRVRAAVSSRCSVNATHFLGKIEITDLGKKNSMFLLSATLNVLVGVGSSVEPIMLSDFGDTEKTMAFRPSCKSEMMDNQQFAANGSCAGLGCCLAVLPLPTQTPPLTRFGAGFMPRPKRPPSTWETTTTPCSYGMVVESSRYNFSTPDLYGHEGLSGRLSRGVPVVLDFVIRSSAGTSSSCPVEGRQRPADYACVSGNSSCHNATGGGYVCRCWVHYHGNPYIANGCLDINECELRKQTDELSLRYPCSSDGICKNRVGGYDCRCKSGMKGDAIKGHCSEKFPLPAKVVVGLAAFIVVCALMVMSRQLLKFKRFYEQNGGPVLKGVKNIRIYRRKQLKQITTNYKHVIGEGHFGKVYMGTLDDKQRVAVKISIKIDKDMKKEFTDEVIIQSEMRHKNIVRLLGCCLEVDVPMLVYEYVERGSLYDVLFGLRRIDLISVDTRLGIAVGSAEGLAYMHSAVESTLRHGDVKSANILLDQNFTPKVSDFGTTRLLVRGTAEMTERVIGDLSYIDPVYMEQGVVTQKSDVYSFGVILIELITRRPAVYDNQRRYVANFVQASLDKDAGSFVDKAITSEGDIKLLEMVSEVAVECLKPNIEERLDMKQVEQRLNQIIGQSAQYCQKTNYQADLSPAPDDVALLKED >cds.KYUSt_chr2.36220 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223716199:223717803:-1 gene:KYUSg_chr2.36220 transcript:KYUSt_chr2.36220 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWTDKVHNPYLPPPLSLSSQLVWTGSRTLDLSSRQGKSITSRDREQSQTKRPNAGDHLPSLLASLCTAAPKLDPELARPAKKQSQRPKKQKMGEKAAAVGVGDQDDGQAGAGLLPLDGIQYCSDHPYRPGAAAAAAAGGGICAFCLQEKLGMLVSSSKSSPFFPLGGHPPPSASPSSPPSFRRAAAEPPLPLPSSARSRKFTPFHRKKTSSSSSSSSSSASATLSASMSGGGLKRSKSVAPRPEEQQQFPSFAASSVTAESPRKKSFWSFLYNSSSSSSYPHQTDSATPYANGGAAARRKSVSVASAAWASRGGAAQDQQLRSGASAASGRRGLEAIGEPESPTQSQVSSSSSFGRKVSRSRSVGCGSRSFSGDFLERISFGDCTLRRVESQREPKASKMRAQGHLGGADEDDEDEDGDAYEHQHRIKCAGFFGGMGSTSSSYWLSAAEGAAAAGAGGGCTRKPGSRSHRSWAWALASPMRALRPTSSTSTSTKIITAEPSTHSNGSTPAAALSISSPMPSSLDAAAAAATN >cds.KYUSt_chr1.3938 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24002567:24004432:-1 gene:KYUSg_chr1.3938 transcript:KYUSt_chr1.3938 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQYLFAPVDIARFEGAIQGLADTITYIPGVPEIEPHELTSFLQETDTSSAAHRIIFKSFEESRGADYVLCNTVEELEPSAIAALRAEKPFYAVGPIFPAGFSRSAVATSMWAESDCSSWLDAQPAGSVLYISFGSYAHVTKQDLHEIAGGVLASGARFLWVMRPDIVSSQDPDPLPEGFAAASAGRGLVVPWCCQVEVLSHAAVGGFLTHCGWNSVLESVWAGVPMLCFPLVSEQPTNRRLVAREWRVGVPIGDRGAVFTDEVSARIEGVMSGKEGEELRQAVKKVRATLEAAAAPGGSSQRSFDQFVDELMRRCGRR >cds.KYUSt_contig_1658.167 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1031558:1033431:1 gene:KYUSg_contig_1658.167 transcript:KYUSt_contig_1658.167 gene_biotype:protein_coding transcript_biotype:protein_coding MQATYLILLPVYMSEQMAVKVAASTPPRKCQSATSAGDPEDGCLLKGSAKRSTRVWGLATVSVSLQSQCFSSFVLLVFVMFVVTIVSFTTRSGAAAKMPTLHPPLTTDFTVMTSTSNSGATEQVTAPPPPTASAVDGGGRGVEECDMSSGRWVYDEEGYPLYEENACRFMSENLACGKYGRTDLRYQHWRWQPHGCDLPRFDAASLLENLRGKRLVFVGDSLNRNQWVSMVCLIDTATPGLHKTLNSSGALFAFSIHEYNASVDFYWSPLLVESNSDNPVHHRVADRTVRANSIVEHARHWTDADVLVFNSYLWWRHPSIKVLWGSFETATAAEHEYTVSKAIDGLRAFELALTTWADWLEFLVDRARTSVFFMSMSPTHLPSDGDNHGCYNETEPIAAADEGRGHHGLNPAFGRAVEEQVKRLGARGVAVRVVNVTGMSERRRDAHPSVHRRQWDPLTEAQRRDPSSYADCIHWCLPGVPDVWNQLLYAHIV >cds.KYUSt_chr2.47126 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294794281:294797945:1 gene:KYUSg_chr2.47126 transcript:KYUSt_chr2.47126 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPQWSEPEDQGSVPLLTSAWILPDTDEQPKKRAGAALARWWGAMRAAQAKVWAFALADGDERRKKGPLRRALAAAALWFGATCAAAAELRALARADPRKPVFALKVSLALVLISLIGFLREPREIVDHTMWAILTAVLVFEFSIGETLSRGLNRGLGTITAGGLAIAVALLATHLGQLEKLILIVSTFVVGCVDGYLKCMEYKRIPSTILAYQASDDPLYSGYRAAFEASAREETLLGFALWEPRHGPYKMKNCPWKSFTKVSGALRHCSFAVIALHGCILSEIQATPDNRRIFATEIQKVGKEGAKVLRELGDKVKTMTKLSSSDILADVYFAAEELQGKIDEKSYLFVNTQMWDSSIQAKGIEEAIDGVRAVEKEKKNDVRSDSSNSFRPLLFCPARGSFHPNLHESATALSLATFTSLLIEFVARLQNIVDAFEELSDKANFKEPLADSVLLPHCHSVTQQEMCKEDFDIKGADADELPKLCLAGFLAAMSDGGLVPAA >cds.KYUSt_chr5.22184 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144755288:144764223:-1 gene:KYUSg_chr5.22184 transcript:KYUSt_chr5.22184 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMALFVFLAALVLVATADHDSGQPGHQSGFLSIDCGVGPVGDRRDTRTGIEYVSDGLYVDGGENHRIASNQSANDESLKTLRSFPSGVRSCYTLPTEEGTKYLVRMVFNYGNYDGKAHSPSFDIHLGNNYWDTFLNRDYWWSEAIFVAWASWVPVCVVNTGGGTPFVSTVELRPLNSSLYPDATVDEYISTHERTNLGADHNIRYPDDPYDRIWEWSTSSSLANISTEQTIQQDDLFQVPIPVLQTGLAPVNNGTAMSYIWDTYQSSLGVKMILHFADIQNRQVRLFDVHFNGMVHQNYSPPYLSAGYLYNTDWYRSTDGNYNITIQATNKSMLPPMMNAYEIYNRIPHDTPSTFSKDFSTYDAPRPAKPHSAPVSITSKGDHLHNSENRQFTYKELEKFTNKFERSIGQGGFGLVYYGRLEDDTEVAVKMRSEHSSHGLDEFLAEVNSLTKVHHRNLVSLVGYCWEKDHLALVYEYMSEGSLTDQLRGLDYLHKGCSLPIIHRDVKTNNILLGQNLRAKIADFGLCKTYLSEMQTHISTNAAGSAGYMDPEYYHTGWLTESSDVYSFGVVLLEVATGEPPILPGHGHIVQRVKQKIATGNISMVADAKLRGAYDVNSMWKLVDTAMACTADASIRRPTMAVVMAQLKESLALEESREDNSIQGSFTSTTGGPVSTFGPSAR >cds.KYUSt_chr2.50513 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315852852:315855359:1 gene:KYUSg_chr2.50513 transcript:KYUSt_chr2.50513 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGAASESSGTKTKKLKIAVIHPDLGIGGAERLIVDAACQLAAHGHDVHVFTSHHDRNRCFEETVSGPFPVTVYGDFLPRHVFYRFHAVCAYLRCIFVALCVLLWWPSFDIILVDQVSVVIPLLKLKKSSKIIFYCHFPDLLLAQHTTVLRRLYRKPIDMIEEATTGMADLILVNSKFTAATFARTFRGLHAKGIEPGVLYPAVPIEQFHEPHDYKLNFLSVNRFERKKNLGLAITAFALLRSVVSKQSDDALQEASLTVAGGYDKRLKENVEYFEELKRLAVTEGVSEHVKFVTSCSSSERNELLSNCLCVLYTPTDEHFGIVPIEAMAAYKPVIACNSGGPVETVVNEATGFLCDPSPVEFSKAMLKFVNDHELAVRMGRQARDRVVQKFSTKTFGDLLNSYVLNVYHQRIE >cds.KYUSt_chr1.1460 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7985773:7989406:-1 gene:KYUSg_chr1.1460 transcript:KYUSt_chr1.1460 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPPPRVPASATTIDGLDDGTIGEILLRLPSSASLARAVLACRRWGRIASSVLSGFRDRHPSSPFMGIFVSDGGHESLPRPFFLPACSDVPGSDIDAHRDIAAVTRKGDFTLARLDNGHRWRLRDCRNGVLLLSRDNHSSLWVYSPLSGGQPVPIQPRPDDANVEGEFLAHCLLDGTVPQCGQRLAVDCFRVVSVQQRRHRREFRAVEYDSGTREWKRHPWASPPLGNGKLPKWGLMPMYAASRIFWQYDDASLLVLNTRVMVFSLCHLPWKTISQGYSIGEIEDGTGSVQEKKDGKGYLVCLQGLVGWAAIPRLQVWELDADNALLEFNFKEEVPMIKVLGIPYSTRVCDVCTVTNGLAVLTYRRGPHWVVDLQKMSLLAKFQFSGWGYPYQMSWPPTTNSGSTPPPEDTGIDLDDGSAMIQPVETVISSDNSETTLGHLDEQMPSPSRVDNLTDEEPGGQRKKLKTKATSNACPEELVQHIPSPSSCNPTTVHQNNPTVPFGNISMRQKATTQHARTNNAKTNLHVEDGNAMLECNSKGCKRMFTLV >cds.KYUSt_chr6.9891 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60927355:60929496:-1 gene:KYUSg_chr6.9891 transcript:KYUSt_chr6.9891 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLNLLLMEVAAIVSIILLALLVVLSSYRRRAGHPALRLFVWAASTLFLPLVSYAVSAAAKWDAARVPLLLAWTVFLQILRNTIDTARCSSMTIDSNASGGSKFRPSVEQLARMGWVAFLIISSGGNAGSPELTGMLLWLWVLSLVKLIHRLVAAELAKSSFAVGLNAYLISDYMKQLYCQERGEDSDGAQDGVPPYLVMGEEKLHIEARPQGYRIGRTSPASVDAGHVVTMDRIWRLSAAGDSLLACSPHIKDLCLSFALFKLQLRRFLGCPLAEVGSRRALAFLQEGILGGSPERAFAVIETELSFLADFLYSKLTCFYASGWWFPVLNSILVLATWTSCLATGGAIVHDMTNQGTALAQDYEDLRNYLQHHDTVFHAIVSLDVLVTVSFIVAIVFTEGWEIANYVRSDWIKVATVCEYARRPSWRKSRWTRSKLGRVLRFKAVQRWDDRFGQTSVLQSRLCYCGCVSRQVDRIAKTSVAVPASVKSAIVATLRTNQGTLGNGVLSLQRNAVADKLMWACSLAADDKSISEQILVWHVATRLLEIKRSEGAHGIQDNSEDDSDTLVVATHLSRYCAYLVALKPQLLPDHPAWTEELYEGVVEEVTRVLARCAGPLVRYDRAATCFGGSMNETLRKAGKLARQLAEEVGDEEVVWMVLADFWTELLLFLAPSENVTAHAKSLRRGGEFITVLWALLGHAGIVSRPESDV >cds.KYUSt_chr3.30784 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193187805:193191351:1 gene:KYUSg_chr3.30784 transcript:KYUSt_chr3.30784 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVDFPGQKELLASSSAFHSSRMSSVVFRLHSGWSSVSSRREEGGYLAGIVNKRKEFRNLRRTQSSPGASKIRRLPDPARIHPEPSFLPSEMPRAPKNRAPAKRKRRRRPRRGKGAAADAPDCFSSLPDDVLLAITSRLPTRLVVSLSVLSHRYRNLPAMLPRLDSLLLSAPPFPIPLPATPPRLLRRLDIAPTKRVKPSDFRRAIDSAADHGVSELAVRLRRRVCLPKRVFAIRSLTVLSLNTCAVPRLSAVACAGLRTLKLHRVFVNQDIVTAILSAATRLDTLEMVFCTGLIGGCTVESSSVRTFLFRPALEQKAVTLTATGLRTITVYTRPKTQKVQLAPSPDVRKAYLHVAKFREMISFRMRPFLDAAARLACLTLRGFSMLLLAGEYEDTAKLPVTFQDLRTLSVSLDFSSESEVVLLAKLLESCPNLQQLTVSAAENKKKDACREKDVCLEANLKGMLANVSCITGSLAQITFLGFKSEQYQKDLLFCLLNRTANLKKIGVQFPESEEAVVRWALQNRKAPIERKSTLYNLRFLELEYGS >cds.KYUSt_chr1.20107 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118431588:118440269:1 gene:KYUSg_chr1.20107 transcript:KYUSt_chr1.20107 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSGGGGGGAPRSSTSPYATNFPPPTSESLDGGLLLRILQNPPPRPQQQQTLVQPLDEPHNFFVDPAVAAVGPFFSSPPHVHGGGFAWPSSSDPQPQHRFSDPRFPQPLDLYAERGGGGFRSADGVARNRAEKPRSGAPPPGFGKPLPPGFGTASAAGREVPDLLGAMQQRREPNYNHPKGFDRRHTSEHQMMPPFTGGQGVLGRLPHGDQHTSLITSGRGTAAGMMYREQQQQQQDHIICRTPPDANVHGFIGRVPHGEQHMPQFAARGTLLGEQHIRPATSGSVPQRGQRQQQTYSPNMPQGDQGWQGHASRKLPTGNDVQGDRGKKILAEATGLEDGIGKVGYGHGVHGQAAVHVRNSEVSYQNSEVRFAAKKEEEDDDSKEEDAIVEQFMETVVIEGNGEAKGVVVQNSASRNVHLPSALECLIWRASLKSNLQLLSHIYLLEVKNMLLGVDSLYAIDDKEMSKVDIILKLAEILQAGNLQNIQALTRARVPIVKLMDQDTGLSCDICVNNLLAVVNTKLLRDYAQIDRRYVIMCIHLLQLRGILPCLQGMDATCNVTVEDNHCAYFDQVDKLKNYGAHNKESVSSLLWAFFHYWAYQHDYMQDVISIRTGRIISKHMKDWTRRVGNDRHLICIEDPFETSHDLGRVVDKFSIKILREEFERAANILHDDELVVLLLEDEQAFDDDLWEHLLIIASLQDVLDAEAEKRKRPHRGGSRPGRKKSKPRQRMEGHTMLHNDYFVDEATHADNFRSRYRMSKGLFMNILHDVREFDPYFKLKHDAVGIVGFSSIQKCTAAMRMLAYGAPADTQDDHLRRSESTAIECMYKFCRAVMGKL >cds.KYUSt_chr6.27417 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174103444:174109923:1 gene:KYUSg_chr6.27417 transcript:KYUSt_chr6.27417 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDVEMAPAEHPPQEPPPPQQQPAAAGDGWSMLSRARGLLEEGQPSQALQAILMAIRSKGGDQALMQTLNRARELYRQRSQPSPNIDELASFLARCAIAEAQSPNTNPQPQAPGSDPVVMLDSDEACILAECGRKQIILDAFSDGSSFICLKCGGLFSTSRKDEHLAYWKEEMMKAMPGPKILIVHPSSNKFGKGAASMSGRSFWLVVLLAVFGSVSLLTVFSTARAPSSGTAPPRVTFTVGADAASAGASGGALPAHVFDALVRYAAAAGANSTASMPEEDVRAIASVLRRRAPCNLLVFGLGAETPLWRALNHGGRTVFLDENPFYVAHMEGAHGPGLEAYDVAYATAVRELPDLLDAARASRRAECRPVQNLLYSECRLAITDLPNALYDVAWDVVLVDGPHGYAEGSPGRMAAIYSAAVMARTRGTETDVLVHDFQREVESVCAKEFLCEENRVEGTSTPSLGHYVVRGGGAASREDFCSAPATKKTN >cds.KYUSt_contig_1991.107 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000237.1:578564:584496:-1 gene:KYUSg_contig_1991.107 transcript:KYUSt_contig_1991.107 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSVKAVMERHQSIDAQLRLLVPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYETDRDEARIAELGAKLTSLSPADSIVISSSFSHMLNLANLAEEVQIANRRRTKLKRGDFGDEASAPTESDIEETLKRLVSDLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRDCLKQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRVRADEVHLSSKKSAKHYIEFWKQVPPNEPYRVILGDVRDKLYYTRERSRHILTTGISDIPEESTFTNVELFLEPLELCYRSLSSCGDKAIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITTHLGIGSYAEWSEEKRQEWLLSELRGKRPLFGSDLPQTEEVADVLSTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHIKKPLRVVPLFEKLADLEAAPASVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEDLIKVAKQYGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIQGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRAIMDEMAVVATKEYRSIVFQEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIMQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVSEDLQPFGEQLRNNFEETKQLLLQVAGHKDVLEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFEVTPQQAPLSKEFADEKEPAELVQLNRGSEYAPGLEDTLILTMKGIAAGMQNTG >cds.KYUSt_chr4.6344 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37255397:37255861:1 gene:KYUSg_chr4.6344 transcript:KYUSt_chr4.6344 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKCFGTVVAPLLLLSLAVGAHAVAAARTAPAGGTAEASLPAAAATESSASAGVAGTADAKNLFVGVGGMGDLPGFPAVGGGYGGGFGNNGAGVFTGVTGPLGGVGGGVGSVGPVGGVGGTGGVPFGGFAGGGAPFGGVGGGYGGGGAGGVTP >cds.KYUSt_chr3.9225 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53904027:53908668:1 gene:KYUSg_chr3.9225 transcript:KYUSt_chr3.9225 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFSPAYFKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYTSLPSTFNVLLDSYCPGQSLQPVVSAASESEGIDETLAPVLQITPERHELLGDSPAVLPLALCSFETLGVAMTPPPPQLEPCHSLSSLDYGAVLTPSSGALFAKELCGLLASLEAASPGYGKDIDCVLAGKASEDMFRRVQKSLKRVSIRSIRRKRAG >cds.KYUSt_chr4.8989 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54006641:54012436:-1 gene:KYUSg_chr4.8989 transcript:KYUSt_chr4.8989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os03g0764800)] MDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTMELVAVKYIERGEKIDENVQREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFERICKNVRFSEDEARYFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMVVGSYPFEDPEEPKNFRKTIQRILNVQYSMPDNVDISPECRHLISRIFVGDPALRITIPEIRNHGWFLKNLPADLIDDDSMSSQYEEPEQPMQTMDQIMQILTEATIPPACSRINHILNDGLDMDDDMDDLESDSDLDIDSSGEIVYAM >cds.KYUSt_chr2.47104 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294674798:294676837:1 gene:KYUSg_chr2.47104 transcript:KYUSt_chr2.47104 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARGRKTACVTGGSGYIASALVKMLLEKGYAVKTTVRDPDDMEKNSHLKDLEALGPLEIIGAELDIEGSFDEAVSGCDYTFLVAAPMNLGSPDPERDLIEAAVEGTLNVLRSCAKAGTVKRVILTSSDAGVSRRPLHGGGHVLDESSWSDVEYLRANKPPTWAYAVSKVLLEKAAIKFAEEHGISLVTVLPVFILGAAPVSKARTSVPVTLSLLSGDEAQLEILIGLQSVTNSVSISHVDDLCRAEVFLAENDPSSGRYICCSHNTTVLQLARLLAEKYPQYNVKPERFIGLQEEPRVCLFSEKLVREGFVFKYHDLGEIFDDVVEYGRATGILPY >cds.KYUSt_chr7.14684 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90715623:90717240:-1 gene:KYUSg_chr7.14684 transcript:KYUSt_chr7.14684 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAEALEPFSVQQSRSGAKSTQVQPAPLVPPQSSRLGPSHAPQGAGSSMAGQETGPAGTQLGGGQSTWQPPDWAVEPRPGVYYLEVLKDGDVIDRINLDKKRHIFGRQVPACEFVLDHQSVSRQHAAVVPHKNGSVYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRAYILRKNTAAFTPTRSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDMPLRKRSSSGDASGENDGNQAVGRPLKKSKKRRVSFRDQVGGELIQVVGISDGADVETEPGPVGVKEGSLVGKYESLVHVTVIPKGKEPTLPNDSASQSGVTSKLQQVLDKVKSTTRGGMYDDLYGDSVPAKLGSSWAYKSDNQSAQIKAVDEKKSSQNLGKISADDSDDDLFGH >cds.KYUSt_scaffold_1259.511 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3435746:3436609:1 gene:KYUSg_scaffold_1259.511 transcript:KYUSt_scaffold_1259.511 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVMATQRRVEAAVKANEIMARFRPIAPKPVLTPPPTSAVILSSQRWSGKRGGRDLVPAPSDKRLRGAPSSCPCPLFRASPWTWSCLETAPASSIIPMERDQARKVIAPRPARPVRTTVCVDTSSVTGANPVKLAACRMTAERLVAEMERDALPAVMSDPGNRVLRANDAFKALVGQPVCPWLGSLTLPGDAAGASWRINGEVVLDVRRFYSTDEPARASAGGAFSCNSRILWERNGTLTSVAAPCDVMRFDCGSGGCLFVWRFDTTRGSVFYCPARVTKIGGSSN >cds.KYUSt_chr4.14199 pep primary_assembly:MPB_Lper_Kyuss_1697:4:87473682:87476806:1 gene:KYUSg_chr4.14199 transcript:KYUSt_chr4.14199 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRRPRSPERQRPAPRKVPVVYYLTRSRHLEHPHFVEVPASSSTEGLYLRDVINHLNMVRGKGMASMYSWSCKRSYKNGFVWHDLAEDDLVLPATDGEYVLKGSELLDQPSSGQFYHGSNGNQKQQSRLKEGTRLPLSREASFSSSPPSVTVREAKPRRAPSVPSPDEDGSPSPCRGNSSENMSPESEPQRTVMSRASPVTPAEFRVYKPTGSMDAATQTDDLGRRSARKVPEMHKKSLSTDHDSVVREVTEYRNQSYPRRSAELQGISRDVMSQCPTPLSIASTHGKSESLESLIRADNAATNSFRIPEEDDIVVPTCPKLRPANVLMQLITCGSLSVKDHDNIGLVGAYKPRFPNLKFPSPLISRSMMMGELDYLSENPRFIGTRFEDKEYFSGSIIETKTQRDVPAEKHSVLKRSSSYNAERGSDTLCDCARPDEEDTVSRSRCLPLTPILSSFLHPKNEVQNSPISDCRRSSSAGPDSSLASGDGGSKRFTDASVAPATARIDSFRKENKEKLVKIEES >cds.KYUSt_chr1.5409 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33353801:33354022:-1 gene:KYUSg_chr1.5409 transcript:KYUSt_chr1.5409 gene_biotype:protein_coding transcript_biotype:protein_coding MPRANAASAANGEVRVEKVDRIRFVYNAVTKPSMYVNPRAATMTRKLAADFAVSREKIDDYIARKKKQFAQEA >cds.KYUSt_chr2.8044 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50583629:50584858:-1 gene:KYUSg_chr2.8044 transcript:KYUSt_chr2.8044 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNRDELAHAAATAAMKSSATDERVFCPDCHRATDVVLDHAIEDTICTECALVLDAHFINEGSEWRTFSADAGGGGDDCDPSSVGSTGDTFLDAKLSIGIAYANKHPAAGDNAKSLLRMSVPRMASSSGAHTLLILFGLGSGDSLCCAVFFLFCRTGAGTSDLPILPDALFLPRAGGRFLAADSSASAGHRTSLFVALCAHVWKLLARAVGESGRHEMAERSRATRRQLFAGLGGIPAFRPAGPGAIWLARLRSRIPAMQPNSGASMRSPLGLPTTPPTAEANCSGKHTGVAAAHFVIPQAGGTVEGAHGGSRGRASPCLAARGGVREVCGS >cds.KYUSt_chr6.5127 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30308448:30320535:-1 gene:KYUSg_chr6.5127 transcript:KYUSt_chr6.5127 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKAAQGAKKEDGKYKHTVDLPKTAFSLRANSVTREPELQKLWEENQVLKRVSERNTGATFTLHDGPPYANGDLHMGHALNKVLKDIINRYKLLQNHKVSFIPGWDCHGLPIELKVLKSMDKETLSALTPIKLRQKAAKFAKATVNAQMNSFKRIGIWADWDNPYLTLSPEYEAAQLEVFGQMVMRGYIYRGRKPVHWSPSSRTALAEAELEYSENHVSKSIYAAFKITSPSKSGLLDEFLPNICLAIWTTTPWTIPANAAVAVNPELSYAVIEVQSVLESESASGGKQRKLGSILSSGNEKPFIIVASDLVSVLESKWGVKLAIRKSFPGSVLEHCRYIHPVNGNECSVVIGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLPIVSPVDDEGNFTEEAGQFNGLSVLGAGNAAVVKYLDENVSLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRDAALDAIKRVTWVPSQGENRIVNMISGRSDWCISRQRTWGVPIPVFYHVDTQEPLINKETIEHIKDIVSKKGSDAWWYMTTEELLPDEYRDKASEYRKGTDTMDVWFDSGSSWAAVSAKRDGLNFPADVYLEGSDQHRGWFQSSLLTSIASTGKAPYSSVITHGFVLDKNGFKMSKSVGNVVDPEKVIVGGKNSKEEPGYGADVLRLWVSSVDYTGDVLIGQEILRQMSDMYRKLRGTMRFLVSNLHDWEPENSVSYSDLPKIDQYALFQLENVVASMKDNYDNYQFYKIYQTLQRFAIVGLSNFYFDVAKDRLYVGGRVSYTRKSCQTVLAAHLLYLVRAIAPIMPHLAEDVWQNLPFEHTLEDGSVAKFVFDLKWPDKNEEWRSVEKDDVDFLSVILELRSEVNKILETARTGKLIGASLDAKVYLHAENADTVSKLKELASATNDADALHRLFITSQVEILPSLSEETMSGVSYTGKFSDPRTGEIWIGVTRADGAKCERCWVYTKDVGSFVDHPSLCSRCHSVIDLQPEPSREAAAVI >cds.KYUSt_chr3.1726 pep primary_assembly:MPB_Lper_Kyuss_1697:3:9875168:9878115:-1 gene:KYUSg_chr3.1726 transcript:KYUSt_chr3.1726 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPSPGATKTSPVASATPPPSTTSPTPASPPPLTLPNATPADPPTSPPAPSAAVPPPQSASPPPPSPTPPPATVSPPPVVPASPPPTPQAALPPPSAVPPPPAPAVPPKQSPLRPPAAALPPPSPSNSPPPNPPDSSTTPPVAQAPPPRNRRPPRAPTTDPQAPPPSVNPIKPPTSPSPVSADPTIPTPTSSSPPVTTPSAPGFGPPSTGNDPVTPPTIGIETPVSNRPSSSSVQDNSSSPSGGGVSDGAKAGIGVVVAILLLSCVGAAFWYKKKRRKMTGYHAGFVMPSPSPSASPQVLLGHSEKTKTNYSVGSHDFKDTMSEYSMGNCRFFTYEEMHNITNGFSAQNLLGEGGFGSVYKGCLPEGREVAIKKLKDGSGQGEREFQAEVEIISRVHHRHLVSLVGYCISGDQRLLVYDFVPNDTLHYHLHGRGVPVLEWSARVKISAGSARGIAYLHEDCHPRIIHRDIKSSNILVDNNFEAQVADFGLARLAMDFATHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDASNPLGDESLVEWARPLLTQALETGNVGGLLDPRLDTNFNEAEMFRMIEAAAACIRHSAPRRPRMSQVVRALDSLADIDLNNGVQPGKSEMFNAPNTAEIRLFQRMAFGSQDYTTDFTQSSSWNSSHQGRDVDASGPRQPQS >cds.KYUSt_chr7.41010 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254080815:254084896:1 gene:KYUSg_chr7.41010 transcript:KYUSt_chr7.41010 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALPLLLLALAAAALLPARATDPSVFLDWDISYITASPLGVPQKVIAVNKQFPGPVVNVTTNYNVAVNVLNSLDEPLLITWDGIQQRKNCWQDGVLGTNCPIPPGWNWTYNFQVKDQIGSFFYFPPLTMQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKKNHTDLRKILDDGKELGMPDGVLINGKGPYRYNDSLVPDGIEYTTINVEPGKTYRFRVHNVGVSTSLNLRIQGHNMALVETEGSYTMKQNFTNLDIHVGQSYSFLVSMDQNASSDYYIVASARFVNESLWTRVTGVAILHYSNSEGKASGPLPDPPNDEYDKTFSMNQARSIRMNVSTGAARPNPQGSFHYGQINVTQVYKLRNEPPVTINGKKRTTLNGISYSPPATPLRLADLDGKEGVYALDFPTMPSDGPPVIGASIINSTYKNFMEIVFQNNDTKVQTYHIDGYAFWVVGMDYGEWTDNSRGTYNKWDGVSRCTTQVFPGAWTAVLLSLDSPGFWNVRTENLDTWYLGQETYIRVVDPDGGYNVTEMVAPHNMLYCGLLKDKQKAQKPHGSSASSSPVLKQNGGYLVAALVSLVALLVAAH >cds.KYUSt_chr5.3399 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21983805:21986014:1 gene:KYUSg_chr5.3399 transcript:KYUSt_chr5.3399 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGNTAPPAASFHSDESVGPNKRKRKRMSPSPSPDIWEQYERLKDDPNKAVCKYCSDVLSCSSLVSHAKWCKQESDSNQTQYFVRRDPDDPYGPTIVPWKYNAQEARTAFARLVIEDEQPLVFSRHPGFSRFTSKVCARFSAPPRKTVCKDVLRVYKDEKAKLKNLFQESPQRVSLSVRKWTSSRLQKYMRLTARYIDSEWTLNHKALNFCLLDSHNGGDMAKALDSCLLDWGVENVTAITMEDASSDDMEYMRTTLNNRGATISQGRYLHMRCSAHIVNLVVQDCLEAISPSVSRIRDAVKYVKSSISRMSAFNKCVKDSKVESSELLCLDVCARWNSTYVMLDTAEKFEEAFESILLKDPAYKTELGESNGVPQHTDWEHARKFTKFLKHLYRLTCGVSETKHVYSHMLFQNVAAINELLARFCDGDDNTFKPVAIKLKEKYIKYWGDPEEMNMLIFVAAILDPRTKQSERLKIPVLLTYGETRGEQVLEKVNQTLHSLFEEYKRLYEQVGSEGILLATDALEPDPTSSSKHLLTQSGIDALKND >cds.KYUSt_chr6.6721 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40485637:40494418:-1 gene:KYUSg_chr6.6721 transcript:KYUSt_chr6.6721 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSPHPHPSSSSSCVSLTVSAAAAAASPPSAMGYSKDDLLARLEELKIDFERYDHPVVLTVEEQAKHVGNLGGALTKNLLLKDKKHRLYIVSALADTKVDMKSSVLVWGKVVCEWLLRKIYVKYFSAVSLLLDQGFKSKKSCYFHPLTNDVTIALSSSNLDKFLLSIGKQPAYVDLEASPVVGKDNPPDLADLVPTGALSFPEESVENVAPTHAQTKDVPKEKTCLPDVKAKPKVQNKVASSSEGKVPTNGANVEKFVTDVLEIISPLFISEVSKKLNIKEDELSPILDGLKERAALNVDSLASLFKSAAYTTGFDAGVKTMLNYGKSGLPSRE >cds.KYUSt_chr2.19302 pep primary_assembly:MPB_Lper_Kyuss_1697:2:121385690:121392787:-1 gene:KYUSg_chr2.19302 transcript:KYUSt_chr2.19302 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEGSRDLLLMNPGVYEVLIIILLVESFGANVGIAGSYSGNGIYSRVAASLYERGLMSCEMCFTDFASMFASYMGIKVVFQVGLALLRFCHDDLVKLPFEELLHSLRNFPEEATDPDKLLPVAFSFKVLSHLEELEKEYRKRLEGPNASSSEAAQTAGFPFS >cds.KYUSt_chr5.17806 pep primary_assembly:MPB_Lper_Kyuss_1697:5:114948268:114956521:1 gene:KYUSg_chr5.17806 transcript:KYUSt_chr5.17806 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGEDCSVKVAVHARPLIGDEKLQGCKDCVAVVPGKPQVQIGSHSFTFDHVYGSSGTPSTAMFDECVAPLVEGLFQGYNATVLAYGQTGSGKTYTMGTSCKEGSHVGIIPRAMAALFDKIEKLKNQVEFQLRVSFIEILKEEVRDLLDPATVAAGKVENGNGHVGKLSVPGKPPVQIREGSNGVITLTGSTEVHVTTQKEMTTCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKSDPIMASDGMPIEEMNDDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIHNKPIVNRNPIADEMKRMRQQLEYLQAELVLARGGVGSDDVQGLRERISWLEHTNEDLCRELYGLRNHVHSDPCEPELHKTGSGYIKGEGLKRSLQSTEPFDVLMTDTVREGNPKDIDEEVAKEWEHTMLQDSLGKELNELNKQLEKKESEMKGYGHDTVALKQHFGKKLMELEEEKSAVQKERDRLLAEVESLNADGQTHKVRDAQLQKLKAFEAQILELKKKQESQVQLLKEKQKSDEAAKKLQEEIHFIKSQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALTQRQKLVLQRKTEEAAMATKRLKEILEARKSSGRDNSAGMNGTSPGSHMSEKSLQKWLDQELEVMVHVHEVRNEYEKQSQLRAALGEELAILKKEDVMSGAASPPRGKNGNSRANTLSPNARQSRIAALESMVTISSNTLVAMASQLSEAEERERSFSGRGRWNQLRSMGEAKSLLQYIFSVAADARSVVREKEIEIKEMKEQMTELVGILRHSESRRRELEKQSKQKEQTTPMATTPPGSGNGSAKHSADDSNTPLSPVAVPAQKQLKYSAGIVNSPSKGAPAFNKQQLKMVPIAQLPVGKKVSISGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETMTRARPRPQLLITHKPQKVM >cds.KYUSt_chr3.44399 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280118200:280119609:1 gene:KYUSg_chr3.44399 transcript:KYUSt_chr3.44399 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKACTDPVLLASNTLSLMSCCGFMKFYTFFAVDS >cds.KYUSt_chr3.41489 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261736133:261737739:-1 gene:KYUSg_chr3.41489 transcript:KYUSt_chr3.41489 gene_biotype:protein_coding transcript_biotype:protein_coding MCVWACVCTSIRDEQVAKLASARVAGTKDVYGNTLQLYNLVGGSAMTSREQQPRGRKERSSFIVIPFSSTCRSASSVDVVNSSKPQGAGGGAGEMTSAAGGGADRKPPGKPESLSLVARLLRGFKNLSHQIFAVHDEEDEEEEEQEMVIGLPTDVKHVAHIGWDGSTSTTTSLRSWNRAAPNPQPDAAASTSSSSSAPQPSVPEAQAPALSVRQFELAMAAQASAAGAGTSTAATTSGNGGTSTAPRRHRHYS >cds.KYUSt_chr2.44952 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280023301:280023642:-1 gene:KYUSg_chr2.44952 transcript:KYUSt_chr2.44952 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGWRGRDPPPRRPGRRPRRRRRSRDGNGDGEAEILRHDDPDADRGADGGVGMGMGMERPRYSAAMVRMEAEEPLSTVVAPELGWTRRRRNLSRGGTVEEGTGMPTHDLVGS >cds.KYUSt_chr6.3466 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20006498:20007155:-1 gene:KYUSg_chr6.3466 transcript:KYUSt_chr6.3466 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQTEARQDEVRRGRFGKEMMVVKRVFVPGDTDFDGCVGGEASWVVRKKFDAEGFAVPEVVGLLERLCPWWRRSGAAIPEVEVTVDFDSNLSTEAVNSHDQFGMHLLFFNLSDRGCSSDIQSHGFVQE >cds.KYUSt_chr3.10444 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61987444:61991395:-1 gene:KYUSg_chr3.10444 transcript:KYUSt_chr3.10444 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRGGAADDSKLNTGNVFAALETLKKKKKGDKAKGGASSKAKDQQPSQQQQQKELFWAPAPVTTNWADVEDEDDDDYYATTAPPALFGNHHGAAESKEDDEEDEDDAVHAALQEEIESEDEEHDDEAEDGAEDEAEQEVEAADPALKKAPAAPKETERQLSKKELKKKELAELDAVLAELGISENPSDAAQDGSNADKKGANQTGDGEKKEDVPAPSESKNAKKKKKNKDKSAKEAKEAAEVSEETASAEPDEDTSAVDMKERLKKMASMKKKKSGKESDTAGKMAAAEAAARTARLAAAKKKEKSHYNQQPVR >cds.KYUSt_contig_402.70 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:344260:344754:-1 gene:KYUSg_contig_402.70 transcript:KYUSt_contig_402.70 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSDAAKRLKLPDGSDEEDRLSALPDDILIDVLAKLRRATAVAARTSVLSRRWRGLWAFLPELLFPVGTEPHHIRSALTAHEAPAIRSLSVHLRDADPESVATWKWGVVELPCIERATAIWLMLGHFDLNLPPSGVFGRLTDLRLVAFRLHGPCRLGDMVSSP >cds.KYUSt_chr3.46498 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292520762:292521013:1 gene:KYUSg_chr3.46498 transcript:KYUSt_chr3.46498 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAPSPRALSSSTASPASVLAATVKWASASSARRPAAGRVSASAAEPAGDAKPAAAAASGSADAAAPAPKKILKKKPVYSS >cds.KYUSt_chr7.32388 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201754476:201757744:1 gene:KYUSg_chr7.32388 transcript:KYUSt_chr7.32388 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAEKGSSTATLSRPCVLIIVVAGVERFAFKGVASNMVTYLTDVVKMSTSAAAMSVSTWAGITSMLPLVSAILADSYWDRYSTIIISSLLYVTGLIGLTSWALFRKWMPCSSLFLPLYLISIGQGGYNPSLQAFGADQLDIDDEDDDQSGCSTPEEKGRVKSAFFQWWYFGICCGSLLGNSTMSYVQDTVGWGIGFAIPSGAMAISVVAFFCCTPLYKQKINQPRSVHGTSFPDSILRAIKSLLASVSAGKIRLSRRHDDEDGDNENNFSELELQGKALKVAEVTSPKDSLNEATTKPSVAKIILRLLPIWTVLLMFAVIFQQPMTFFTKQGALMDHEVGGGVFVIPPAMLQSTITVSIILLMPLYDRMIIPLINVITGSSKGITVLQRIGVGMVFSVVAMAIAALVESRRRHLVAGQMNIAWLLPQYVLLGVSDVFTVVGMQEFFYTEVPSAMRTIGIGLYLSVFGVGSLVGAVLISAIELATTVRGAGETHGWLSDDPQVARLDNYYWFLALLSSISFVIFTQLCRCYN >cds.KYUSt_chr3.12953 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77750751:77751428:1 gene:KYUSg_chr3.12953 transcript:KYUSt_chr3.12953 gene_biotype:protein_coding transcript_biotype:protein_coding MGESHKNCVCWTSFVVALMDWNLLSMEDVLPGFLFAAQQAAREGHQGRRRCTRHGRRRQLDGRQLLPGSLGIPLRLLRALPGNIVQAWLQLCAFKLSLFGIPLSFSSNLSDLGSKISGAAGGGGDPSTGPEFLQDSLGRRRDQVALGYWQWRLKLTSLHPPQLGPNTELAGALAARLARHRRSSWACGRLISIGIPSTPAAAAHSNSMEIDSIWPAVSFIDPTWR >cds.KYUSt_contig_1798.44 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000208.1:383146:393652:-1 gene:KYUSg_contig_1798.44 transcript:KYUSt_contig_1798.44 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHRGVRLLPLLLLLLDLGFRNGGRCAAQKLPEQEVEALKGIARKLNKTDWDFSVDPCIGSGTWVNSTGFIVSNVTCDCSFQNHTNCHIISLQLMRLNLSGVLPEEIVNLTYLRYLDLSRNFIQGPIPASWASLQVFNLSLQGNRISGTLPKELASMPMLKSLQLEANQFEGPIPPELGNIISLERLDLSFNKFSGQIPAEFGGMVSLQFLDVSYNDFTGNPPTECQQANVNMVSSFSVTNDSSLRPCLRKNLPCTGKPQYYSLFINCGGRSVVIDGNVYEDDSSQIGTSTFVLSDDKRWAYSSTGDFVGNENADYIARNKSRLALAHPELYTEARLSPLSLKYYGLCMENGEYLVNLHFAEIMFTDDHTYSSNGKRVFEVLIQGAKVLKDFNIEDVAGGVNRAISMNFTTNIMTNTLEIHFYWGGKGTTAIPYRGVYGPLISAISVTQGRQLFYRGRNTNTSELQARAQYFFSLKEIESATKHFDPESKIGEGGFGPVYKGTLADGTAVAVKKLSSKSSQGNREFLNEIGIISSLRHPNLVRLYGCCIDGEELLLVYEFLENNSLSRALFERQLKLDWPTRYSICLGTAKGLAYLHEESTLKIVHRDIKPSNILLDERLQPKISDFGLAKLNDDCGRVSTRIAGTVLCHLTLLLILVSGYMAPEYATRGCLTRKADVYSFGVVTLEIVSGESNTNSMSREEYLHLLDLAERLKQEGRLLEIVDQRLGSEFSQEEALVMLNVALLCTNTLPTQRPRMSSVVKMLCGQAPIEVTPDDDLQEDLRFSIAQSGKSMNSRTDWSFAPSSDQSILLYSGKDSGYLPSSSSSSLKL >cds.KYUSt_chr4.22255 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139809877:139815922:-1 gene:KYUSg_chr4.22255 transcript:KYUSt_chr4.22255 gene_biotype:protein_coding transcript_biotype:protein_coding MTIWYGSGDDVVPLISESEIAQLFDRCAISRIIRFGVTIENKRQNNDPTLVTVDDENDEPVHAPFFAWPKQAYEGEYEDDEPVGFNEEKKYLPEEKAEPEVVVARVPLGTLDVPNSYDPQHVLGDDETLLANKVAPMYIHDRNNPRIEVGTTFADSKAFKVALRQLAIREDWSFITEHSDSKRFNGRCTDKDCPWKIHAYKIKNANTFKVRMSSMIVPVYVTAPKLATILENNAGLEFLTKTREVRVRRLLSANAHGYPIPPPALEYINQYHKAYLTDEAQAQLKEADPYVPGSTPTHNFDYESHTSDDTGARRKNPRGSENPTPQDLVRTSGTSSSLQQWVAKRPKFCSEEINRTGHPEVDAKGKSKTPATYPEFITEDDRKLLEDAATPEEYQELLEAMEIIGPAYWKPDRKLVSQVYALAKAQALQLGITGDRQIKWAVLRTQAFSAGWYHAQKYSRLCLPPKNSAEIYANDLGAIEKHLSDAWKLVCFLPFFHEFEFRTIGSTWNSSKATEYEGKDLYGPALVAPAGKALVTTTHAVVKEMKTLDCWKSIQDHMPKFNGAIVSAMAGKIQANPWKYHGMPKPYNLYPLSEEEQLEVAAAMACAEYFASITFAFTQSVMGHHQLPGYMAFKKHACGVVYERFKKFFAGMRKKLDKDIGAKIVAEASGIPQ >cds.KYUSt_chr6.21803 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137553999:137554433:-1 gene:KYUSg_chr6.21803 transcript:KYUSt_chr6.21803 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGQANSAAMGSRREVRFVSSGVKLPSASPDPAPAPAHAILSAALPFSHIGRAIDAAARRLAASFPSFPIPWAEKAAAPLPRRHEKDGFWSGGEERVLISEVSVRGRCRRMCAASWRAVSSAPACPSLSTPVTAFALSWRSGS >cds.KYUSt_chr1.33431 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203265097:203265405:1 gene:KYUSg_chr1.33431 transcript:KYUSt_chr1.33431 gene_biotype:protein_coding transcript_biotype:protein_coding MPELLELCSGVLTPPSVEEVRPGSHGFSDVASPLCQAPGFEKSGVDDAAVSPSPESGMQVVPIGDGVAKSGLLPTVPGAVVAREVCDFLATLAVAFPGSAVG >cds.KYUSt_chr5.12348 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80555410:80557528:-1 gene:KYUSg_chr5.12348 transcript:KYUSt_chr5.12348 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLLPSAPSLQLHFAAAAASSSRRATVAVASAASRSSSSSVPFDAAAFEAERLRLDADARASTAAGADPRAWKWRIRKRVWDVLEAEGVARNPRPVHHRIPNFDGAAAAADSLGRLDVFQNAQCVKVNPDSPQKQVRFLTLSGDKKLLTPQPRLRTGFFSILESQMIPAGCIPEACTSVGAAKYGRPIGLDERIKVDLIVIGSVAVDPSTGARLGKGEGFAELEYGMLRYMGAIDDSTMIVTTGHLNKYCNSKATRFD >cds.KYUSt_chr2.35446 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218878574:218879116:-1 gene:KYUSg_chr2.35446 transcript:KYUSt_chr2.35446 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPACSLAVATALTLMSGVVEATVVTTCKAAAGSDGRVNYDFCVAELGKHHDSPSADTWGLAKVAALTGVVNADNAVYDMEALLAKHGTDDGRARAALGQCQELYDGMGFAFAEAHDDINSRDYAEGKEKAGEAAALAHRCDDAFAKAGIPVTSPVTQYSDYSVQIAAVCSAITNLIE >cds.KYUSt_chr7.3420 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20393160:20397316:1 gene:KYUSg_chr7.3420 transcript:KYUSt_chr7.3420 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAFIAFAAFGSASASKPASFVKSTVKAHDVVIFSKSYCPYCRRAKAVFKELELKKDPYVVELDEREDGGDIQDALADMVGRRTVPQVFIRGKHLGGSDDRRAWHGLQEHSDTCFTCLQAEDKVDHILAQCPYARQVWCEVLRSVGLTFPDPGGVGELERWWTEARKRTRKLDRKRFDSLVISTSWSLWKQRNARAFGNEREQKTLAQTLEEIREEFQLWERARRGGRDRITQE >cds.KYUSt_chr2.649 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4002231:4006496:-1 gene:KYUSg_chr2.649 transcript:KYUSt_chr2.649 gene_biotype:protein_coding transcript_biotype:protein_coding MKPARRPLLGALALAALLSFLLLAAPPTGLLPSSSSSSSRRRAPTSPYAHRPKLLFLLAGQSNMAGRGAPPAPLPAPYLPHPRLLRLAADRRWVAASPPLHADIDTHKTCGLGPAMPFAHRLLLSDPAPSSLSEPTVSEPEPVVLGLVPCAVGGTRIWMWARGQPLYEAAVARTRAAVADGGGALGAVLWFQGESDTVEADDARSYGGNMERLVADLRADLGLPSLLVIQVGLASGEGNYTDIVREAQRNVNLPNVIYVDAMGLPLSDDQLHLSTEAQLQLGEMLAQAYLEFNSSRDPNL >cds.KYUSt_chr5.7513 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47361325:47365382:-1 gene:KYUSg_chr5.7513 transcript:KYUSt_chr5.7513 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLLPVLVATLLLASLRWLPAVAAAAPCQRRCGSVDIPYPFGIGRGCYRDSSDLVFSLTCNLSADGTRRPFNNMLEVLDVNLRRGQLRVQNRINSWCYNRTSRSMDVQNNWAYELTSFRVSDTENRFTVIGCDALAYVGSPPEGAASDRYSVGCRSMCPSAGRLANGSCSGMGCCQAAIPPGLNLYKVWFEDKYNSSSGGVADFSPCSYAVLVEAAAFQFRTTYVTTGQFMEATGGQVPLVLDWVAGNQTCREAPRSAAYACVSGNSECVDSRNGPGYLCNCSTGYKGNPYVTDGCKDINECEGKDVAYPCSVRNTCSNTAGGFKCSCPGGRLGNAYTGTCEKKGSERPWQAAIGVSIGVVMLALGTSCTYAIQEKRRLAVIKSRHFRQHGGQLLFEEMKKSISKQGISFTLFTRQELQEATGDFDERHVLGKGGNGTVYRGTLRDGTAVAIKRCRVAGEDERQQREFGRETLILSQINHKNIVKLYGCCLEVEVPMLVYQFIPNGTLYQLIHGGSGAVVPFAARMRIAHETAEALAYLHSMASPPIIHGDVKSPNILLDEGYGAKVSDFGASSLAPAPADEAHLVTFVQGTCGYLDPEYMQTCRLTEKSDVYSFGVVLLELLTSRKALNLAAPDDEKSLAASFLSAARESRLDGLLDERIKSEVGAEALVRVAKLAKMCLEMSGERRPSMREVAEELDRIRKMSSSSSSQINPGQLLLDEGEAHSIVDVRL >cds.KYUSt_chr1.18279 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106781229:106781564:-1 gene:KYUSg_chr1.18279 transcript:KYUSt_chr1.18279 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPDKVEAEGHADVLLAGVFRDEESSEVDGCGPPTACSSLRRGSWPGTAEERRRKGGELEEEERRRDERRGAGVDWAGRLACGEEPEDERDWGKEDTLGLGREGRARIGG >cds.KYUSt_chr7.34102 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213056903:213065442:1 gene:KYUSg_chr7.34102 transcript:KYUSt_chr7.34102 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFGWVRLASRSLALRSGEVFEHDLDAHATDIEDYCLTCKGSGVIDGMKYANVTIPAGVDSGDTIHVREAGNSGGHGALPGSLYIKLRVASDPVFVRDGADVHVDKKISFTQAMLGGKVEVPTLDGKTEIKIPKGVQPGQVVVLRGKGLPNLSGYFGDQHVRFRIHFPSKVNEHQRALLEEFAVEEAIKEQSSFAAGNWLELIVESMKSQTFMLGLGFVILIFLMLRH >cds.KYUSt_chr7.22766 pep primary_assembly:MPB_Lper_Kyuss_1697:7:141367182:141368965:1 gene:KYUSg_chr7.22766 transcript:KYUSt_chr7.22766 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKTKRSAAAETAGKGKSLTAAGGATTARTKSPGDWSRTSINETHLDEFRQKGWLPPSDQLAARAAGLEEVLPPFLTETQPSKTAAWDHLVSDAEAKEAAPLLAKLPDLLTSVSRIQLISTYMKMLVWPLRLRAHPMWQYEGPLDSTRMSQEELSEKELSAQVKKITSIKASESINFSFKVLPYGPGNVLPEDHSIASSLPPLPEAGPTSETMAQEDLCMPATEPASAHTTPVENLEVEAGDEVSQLATEVAADSEEILNTRKAKRLRLASDSPSEASDEHDQAGTRNSGTHSPVKSIPLATSPLASRPPPPSSSAPFIGALALDEEPESDDEESSGKSPPSPPVVDTTNDPQPMITQQVDAATTSLVAPAAPLVEAANQEKAIVDAGTLASSSSSWTDHVRSSPQVLNM >cds.KYUSt_chr5.10796 pep primary_assembly:MPB_Lper_Kyuss_1697:5:69773215:69775865:-1 gene:KYUSg_chr5.10796 transcript:KYUSt_chr5.10796 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVLIILPEGIEPGLAQSTVKSPFPSPTPASSSLIPAAMARPQPPRLRQEHRGEQAHHADLFPLLLLLWFVLPAITTAASRRSTSPATLRRAPRLRTGTIKFSTFMRSPETNSSVPIPCSIPRESKSTPFFCSSSDLTGGPYLSLTLASASFGEKTCSSAASSAHPVSIPGPASQPLWLEPPWYEKTTLARLPFRLGLGRVMDAIGYLQDS >cds.KYUSt_contig_7395.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001504.1:15809:16693:-1 gene:KYUSg_contig_7395.6 transcript:KYUSt_contig_7395.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWVWVFFAGVAWFALGAFISVETMSGPVEFVGLGVTTTYTRVDCAPPPLLSSATNRSAFLQDLLPLLAALPAAVATTPLGFASLQSGGASVRGLCLGATLEKECVACLAVAAENLTGCFLGASRRGGVWIGDGCFLAYADANSSSAREDAFRDVVLAGQDPGEGPNCFDPPMLVALAQSLDVKAKLVGAQVDTDAAALVRNATRKKKTTVRVYPEVARGTTTVRVLAQCARDRAARCARCLGDAARRVPPCSWGLDGAHERVADVLGYNCFLRVKTSVPLQLVTSKTKPSE >cds.KYUSt_contig_786.187 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1025299:1028752:1 gene:KYUSg_contig_786.187 transcript:KYUSt_contig_786.187 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTFAINEAEARERFRREEADAVRQVREYEAACREARVRMVKLEIVELDKEDTPCQQNDAHRRPAAPPPPLAMALLAAARRAATSSLPLLRASTASRGAAALLRPLAAARPMPFSSAAAVRPSSDDELLRVIRSEIKFAEDCDDQDRVEEIPENFPFKITDKKGLNEITLTRTYQGEKIEVLVSMPSLVTGDEAEHDHDEDDKEKDDDQEDGEKAPKSTIPLTVTVSKSDGPSLEFTCTAYPDEILIDTLSVKQPAENDDEELIAYEGPDFNDLDENLQRAFHKYLELRGISPLTTNFLHEYMINKDSREYLFWLNKLKDFVKQ >cds.KYUSt_chr1.2561 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15282596:15285107:-1 gene:KYUSg_chr1.2561 transcript:KYUSt_chr1.2561 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLAQRNPTRAACGGKRCGRTPAVDGQRKGGWSPWIEGRRLQRSRGGFRPGSDGRGLSMELVPNRVERMFGKTDEGLMILEKQPIAGSTKLARGYIMGKSNEDWQIIPTADALPFQFRVRYSATKDIHPHGGPYVHATTVVAGFLCDLLCCPLTSDEGWLKLILSVSPRLNETFEGIKVIANMVLLDKTGSPSYSGVDEGTLADGFRLSVRREHLEKNCVVDGYFVAQCSVAIIKDWPPPPHTIIPDLCHDLAKMWNQQDLTDVSFSVDGVTFSAHRLVLAARSPVFRAELYGQMAESKMASIAIQDMRASTFASMLHYMYHDSLPSPDDEFFTSHDIQHLLVAADRYGLEMLQQACEERLCFRIAVDTVCSTLELAEENTYPRLKSRCLDFLTDAKILSMVATPDEYIHLAHSFPSLFVEVRERFKEKCEGQRWFPIKSPVVKKRKRHGR >cds.KYUSt_chr1.22372 pep primary_assembly:MPB_Lper_Kyuss_1697:1:132329044:132333259:1 gene:KYUSg_chr1.22372 transcript:KYUSt_chr1.22372 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFAKIAMEWIQDPLSWLFIASVVFVVLQRRRRGNVAPFPPGPKPLPIVGNMSMMDQLTHRGLAALAKEYGGLLHIRLGKLHTFAVSTPEYAREVLQVQDGAFSNRPATIAIAYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRPETWLAVRDESAALVRAVARRTGESVDLGELIFKLTKNVIFRAAFGAGAVAADAEEGDGAGKQDEFIAILQEFSKLFGAFNIGDFIPWLSWADPQGINVRLRAARNALDEFIDKIIDEHMERGKNPDDADADMVDDMLAFLPEAKPKKGAAGDGVDDLQNTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMAEMMHSPDDLRRLQQELVDVVGLDRNVDESDLDKLPFLKCVIKETLRLHPPIPLLLHETAEDCVVGGYSVPRGSRVMINVYAIGRDRRAWKDADVFRPSRFVQGEGEAAGLDFKGGCFEFLPFGSGRRSCPGMALGLYALELAVAQLAHGFSWELPDGMKPSELDMSDVFGLTAPRATRLFAVPTPRLACTQLLGADDAGRQA >cds.KYUSt_chr1.24406 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145642058:145643272:1 gene:KYUSg_chr1.24406 transcript:KYUSt_chr1.24406 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQPRLPPPPPPLKKKSPPAAPTTISDLGDDLLREVFLHLPSLPSLVRAALACPAFLRAVRSSPAFRRRFRELHPPPLLGVFLDIHDPSIPVFAPIRRHSDRDHAAAIRGADVFFTVLPEDDNGPDPQWSMEYCRDGFVVLVNWEIKKMAVYDPLTRSPDLFPVPPDEICCDMYVEFHVLASEENHRPFHVVSVSHEKWGAQAAVFSSDTREWKVFPFSEDGYSSLNGTLVNGSVYWAFTSETNIRVLNIATLQFSRIEPPLHTEGQEEFKPGETKDGKLCLVCAVQLMLVVWVRRPDNNNGEDRWALDKTFSLQDDTHNISRYCLDDDVSLHIVAIIAGFVYFSTFCERRPNSCHFMCFCLETEELSKLCTVTHSNISYPYIMAFPPSLVCNKVNPQLEGA >cds.KYUSt_chr4.3910 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22416172:22420209:-1 gene:KYUSg_chr4.3910 transcript:KYUSt_chr4.3910 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLREFKFHKKRIVFTKDMVRKVFGIRCGDRLVVQKKGEHPELRQMYIEEGQSRPFIQHAVKLLKACDVTEDLTIIRTCDLLCLATVIDPGSANLLSLDYLDCMLDPRRTHEFAWDEHFLELAMQEVTKINSKTAEVVYMDHLDFPPNQHVINYSIPRVCFVTSGDFKFVVQNDADRKILNNKTVFGRRPFLELSKTPYGVAASTSRHHVEEPVEDSEVPAAYKHLYEKHRSIYGRDVDTTLKNFGVGLKQMHSQRMAALLIDIDAAMKEGGGPSVHFPTGGGVEDENMDGADGHDDEGTANHADEEIPAAGSEETDNDDFVVEARAADMDPHTLVVDMPQSAVMLDSSTGGDVAGEQVAVDSPVTSPMNSPFARIPEGISVEAWNRAPDPPSMDLFSQDPDEVVVPEQQITIPAEETTPPADVASVVKLDDTSSEQPKAMEATTPPIPSRDAEDHLGENVSPQHPTNTDVAADVVTKDASTGAKIVEKKTRYKRAAKGELSPPKLKKIKVSQDVVRKYDKYVAHGRKFKRKKKNEVSKEFLKIGRFFCSYKSFIGALRPRQYLSNVVMEVWTEKFNHAAKVSAEKNPRNHKRYAFSPYFAEKLDVETSTFDRASVMKEFKLACSKFKVLKDDMFSNFVRTANESKVSWVDFGKFKESTPDHPQQDTLYDCGFYCILYMEHFNGKVMPNFENDVVPDFRRLIAASLIDNRDNQSDDVDMIMNEDLQQS >cds.KYUSt_chr1.12066 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74545949:74552370:-1 gene:KYUSg_chr1.12066 transcript:KYUSt_chr1.12066 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGVQRVEDGRRRGQSGIPFVGGDHDQAGERWRTRPSPRARRRDRGALDLREWRRLLSSRLRGSRFSSPLLPLSRCDHVRRPRETREEQPYQLGMRMRRQKTPPRVGLKVKSFRGLSLVVITNNDCIFCENDLVTDKNVELIGMQDVEVAGIQHRGLFWHPLITTYTPVLDKDESPVILPSLQ >cds.KYUSt_chr2.26700 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163486062:163486682:1 gene:KYUSg_chr2.26700 transcript:KYUSt_chr2.26700 gene_biotype:protein_coding transcript_biotype:protein_coding MALAADSAVLDRDEKGNPRALRLFAWLVEAESVYCAASASRPAENDLVRSFRGGATPEVRIVDFLELIQRYLHCEGSIYVLAAAHLTRFIRSRAARDAGILIEPSTAHRLVSVALLVAAKFASAPYLPNSQKVLPVCSRQSIRPAEFASLERSFLRAIDYRLFVSDEEFLKFCGRLEDAPRRTKKRKAAPVEEPRRVRAAPGVAAS >cds.KYUSt_chr7.29916 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186269726:186274081:-1 gene:KYUSg_chr7.29916 transcript:KYUSt_chr7.29916 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVAATGNPTVTMAVPEVAANEGQHAEGSPEEAAVVDQHFEGSTMPMAAREEAAGAGQRAEGVTVPMVAPEKASDDSTVSMVAVPEETAVIDQHFEGSTVPMAVPEEAVGADQRAEGVTVPAPEKAAEDSAVSMVVPEKATDADQHLEGSTVSMVVTEEAVHVDQRGEGSTVSMVVTHEAADADQHGESSTVPMVMQEEAVITDQHIEGVRVPMVVPEAAADADHHIEDANTQYKHGDTDGIINVTPGEMRGIIEVIAETGKFWHEWSFLKRLLSLQLMQVLGEYSEVQMVMREDGQQQNSLSAEAHSELFSQLNDALLRFEEGPPFTLQRLCEILLDPKGTYKKLSKLALALEKTLLVTSTITKCTDPYPVAHGPNSEATAITEITDPVDAKPEKLPEHPAAVSNGTEHAGGDGDEEMADAEAEAGPGSHDDVEMQEEKPDHHMAGVDSHTSSGVAATCGTVGSSEQSLEPQS >cds.KYUSt_chr5.9015 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57281180:57281524:-1 gene:KYUSg_chr5.9015 transcript:KYUSt_chr5.9015 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGRGRAGSRRLLTGRLPEETKRLEDERRRGDFDGLQEEDGGADVEEEVELEQVQLHVQEEEEVAEVLFPFSAGLGAVHGDGAVDGNGGEKPSQLLTERWTKETERRRGGRGG >cds.KYUSt_chr5.17808 pep primary_assembly:MPB_Lper_Kyuss_1697:5:114989677:114991837:-1 gene:KYUSg_chr5.17808 transcript:KYUSt_chr5.17808 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAIRLFESMGYAEDDIRSTVQQLVEIMTWFDPSDQMYGKRGMWLLKEDNYSVVQDALFEKQEQEERRQRLLVQEEPNKQKESAISEAPVKSYMQMVEMHDEISAVESSNDPMLIDRPTSEATLPRPAATGTIRARRPCYGWISESESDSDYEEYLASRQHEVA >cds.KYUSt_chr4.3385 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19286923:19287930:1 gene:KYUSg_chr4.3385 transcript:KYUSt_chr4.3385 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSECVLERRVRSDGAEDLDDTNLPLFILKCKDRKAEGYNDGKDLKIHNKRLKSINIGVRLADAPTAVSYLVILGAPLFAQIDTVDKNVIVISLGFSGDGGRTSYLIYDAVALSLRLIARPENPSWIYTISSSVSIASSCKGDVYALVQTGRLVGVDEGDSLYLWRPSSLLPLWLDKKEPSFPDRRVYDIDTYFSFNGHAYWVDLLWGVSYYSCDALFDENSAVVEFGFIPLPPETPSYTRDTDRVSQPVAYRNMGVVSDSFIRFVSIDGFQDYVKLKHRTVTVWKLLGHDKALREKPALPSTFSLPCVAARQSRLCRVTAGKRTATHRHTAKN >cds.KYUSt_chr3.23963 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148671486:148676055:-1 gene:KYUSg_chr3.23963 transcript:KYUSt_chr3.23963 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELRRRATTTLFDICVVIHPRSTRPVPRGSRRDGVIGGTVATPSSSSSPPTDGQEEDWEADDGHEEEGKAVADDGHEKEEEEEEAKESAAAKKVPTAMAAAMKTTLLVMAAMAILGTASAATYNVGEPAGEWGFGINYGSWASSKQFIPGDSIVFKYSPQAHDVLEVSKADYDSCSAASPMTTLKTGNDIVALPATGTRYFICGIAGHCSAGMKVTIDVVSASSPSTPSSPAPASGPSASNSPPPLSPSAATSVRVTASLGLVVLLAGLMA >cds.KYUSt_chr2.49368 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308934375:308939423:-1 gene:KYUSg_chr2.49368 transcript:KYUSt_chr2.49368 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPTSPAKPPSPPGSPVNAATPSAASVLLRAAVVLLAFLALQLVLFKSLLKFPSTRFLPAPGRCNSTWANGPVEAGACKAGLIYVYDLPPEFNHELVGDCKRLWPWYSFCPYLSNGGLGRPCATVPAFSGVVPNASLPNWYNTDQFPVEIIIHRRILAHRCRTTDPSLATVFYVPFYAGLDVGSHLWGLNATVADRDRAGTRLLRWLTNQTSFQKSGGWDHFMTLGRITWDFRRYSDDAWGSNFVLMPGMGNVTRLVIESDRQDPMDVGVPYPTGFHPRAAADVRAWQRHVLSRNRTNLFGFAGAPRYGFRNDFRDVLLDECEDAGHAYCRSVDCRGQRCNNDTAALTKLFLDSKFCLQPRGDSYTRRSLFDCMVAGAVPVLFWRRTAYDQYRWFLPAGQRGKEGEWSVFMDRRALSVGNVSVLDFLQGFSEQRVRRMRERVVEMIPRLVYSSSPHGLGDGMADAFEVALDGVFKRFRRRRWSIEREGPPSGTSVAVRVNGTSLAPPTSNGRNRWHPGVHLQRIELRRRSELVHDLDRGTLVGRMDEVKKIPSSKEGQIAAGSRARKPTRVGSINDGRAFSHNMQGPVPPVLDLSSFPAAEEAIRITDEFCDKYLPLRKEVEILREENNGICRMLKNFLTPIMDAPPPKE >cds.KYUSt_chr7.39950 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248131222:248132745:-1 gene:KYUSg_chr7.39950 transcript:KYUSt_chr7.39950 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSVMAERDGADGKQSAERSAVARPSRVVYVVFLATMFWGVIFLLHDHHDCTSSDNAAFFSQIKKLGQLGLPADKCAGRYVYMYDLPPRFNADLVRGCREVMCKQMANGGFGAPITEAEGGGALPGRGAYDTDQFMLALIFHARMKRHECLTSDPAAAAAVFVPFYSGLDVERFLYLDQENPDVAAMDALPRDLVEWLLARPEWRVMGGRDHFMVAGRIIWDFLREPGFTGRGGNSLLTFPAIRNLTVLGAEASPWHGIDFGVPYPSHFHFSSDADVAGWQGRMRRAERKWLWGFAGASRPSSKKTVRSQIIEQCGRSSRCAMFGRTTTAYTPGRTMKLLESAEFCVQPRGDSFTRKSTFDSILAGCIPVFFHPVSAYLQYIWHLPRDYRSYSVLINHGDVENKNASIEDVLARIPPAKVAQMREQVIRLIPTVMYRDPAAKGVTLKDAFDIAVDAVIRRVDKRRQAAAEGREYQDSFDGGNSWKHDLQENGDKDIGPHEFDPYF >cds.KYUSt_chr3.19705 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121296814:121300504:1 gene:KYUSg_chr3.19705 transcript:KYUSt_chr3.19705 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASLGVTVSTSESVGQNDLLIVGPGALGQIVAEKWQQDAASLGISIMKRRLRGRVFLGCDSQPLSRQQIMDRVNRSGKFDGKFEGFTGTDGPLGKRMDNSKTRAEIGWQPKYSSFTEFLGLSN >cds.KYUSt_chr1.38537 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235594024:235601311:1 gene:KYUSg_chr1.38537 transcript:KYUSt_chr1.38537 gene_biotype:protein_coding transcript_biotype:protein_coding MLDANPEWKVQFKNFVLCMLAEITGTGRAKAKAGFAGNNTNSKSGLEEQNNLAKDSSFCLAFSSKSPSYWSRGQKKGEPYQINLNAQAILNNLELVVASDPLLQVQPKSQIPTGISAIPVQGVTLQSDLVIAGAKVYSDASWKCKKIPGGVGATGIGVFLQYMENSQNFNVMVQASTPQATSVLQAEADAMLLATKLAELLNINSPTLLTDNQILAKAMASRKLDNPQLHWNVRETFAEILNSMLKTNSQVFHIKRDLNGVAHNCAHQAVENCVVDRAVLPLENSLGGSIGRNYDLLLRHNKMDIVGEVRLAVRHCLLANRGVKIENLRSAMGHPQALAQCEQTLTKLGIDHREAVDDTAGAAKYIAEQKLQDTGAVASSLAAQLYGLDILAENIQDDTDNLTRFMMLAREPIIARTDKPFKMESRPHNKRPLRVADDNCSTPLKHFDYLFYVDFEASMADPNAQNALSNLKSTSCTLFRNANRVYNQENTMKYIGDGIPIGMSYGGSPVTNTAGTYAGMVNNDMIVMRGTISDQNTFEKRNRKKCDAKATTDERNMPELVIDHFIEAFNNKHLRINRFKKPNQ >cds.KYUSt_chr1.3525 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21425615:21431832:-1 gene:KYUSg_chr1.3525 transcript:KYUSt_chr1.3525 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVLSGFGAHFMALLSGFADMTRNKMHVVESNEEYLVEEQIQQQNCVEQVQESSDHQVITSNDEEGSTDCDDDDDDADNDEGTKGRKKRKLKYIWNLPVGKRIVVKCNDLDQPIGKEAKHLGNFLGTIARNGSLCSLSYKDWRLLIGEKDKETNVRINLKAILDQVKMRFLYPSRLEPYILKTIRDRWRQHKSDMKALYFNEKKSIEANYNNGPNCVSPDQWRALVNNWTSQKAKARLKGILEEQPELADTSQGKTAWKGDALNIVLGDEKSGHVHGLGLVPNPNKVLDVSTSRRFQNIQFTSLEDIPNEAMLSLRVEMEKIGQYVKNQGAELLELKEKIIELEREPNQRSLEIMELKEKIRELEREPNQTSLNLVPTLRDDPPVDGHNSKRKRVYGASPSKQLPMVEEPNNLMVKQSGFPGLDSQSSIKQATQDKLKGAEVEGLSIGEVYHHGRRHNKRMAGSCQCASTKSSMCLHERIKVKCEEGGKPVKGNLFNEDLSLHEAGVDGVVHECTIYSSSTPVAHRFVVTPTASPRSAASAMPLRANQTGFTGVRLRPSGRFYEDICDASSSRHSTRRSSARAYTAAWRLGHPATTFNFRDCHTLAEAEFMAGVSMNLVNNEQRRHHRQCHSIVEVDEHAMEVWCQAFLQDALDKEAFYTQKRAEREAETAAKMALKMEVR >cds.KYUSt_chr2.33430 pep primary_assembly:MPB_Lper_Kyuss_1697:2:206341239:206342402:-1 gene:KYUSg_chr2.33430 transcript:KYUSt_chr2.33430 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADWTNLHQDLLTRIFVLLSCITDRVRFSALCKHWRSVALQSPSPLPWLLMPSTAVTSCYRIFGGFSDPRPSLADHPRGARFCGSFQGGWFVVALEQIRGHALLNLRSGERVRLPDRVRLRDYFSMQDVDDIHFKDYTRKCPVFIRAATMSAAPPAGPGAGAGACVVAALTTGQTNIAFWRPGMDCWSTTKTELRGDAQDLTFHDGWFYTVTPRDELFRYKVSNRRGGAIKVRGQEISFPESWQAPLASGEIVSRYLLPSASGEDLLMVKRYVHPAKGTWRFQVFTLLQKGSGLACRRFYRMWGQVLFVGRGCSKAFDTGNRQTGCVYFLDDVYRGPMSVLQQDQYRCTDTGSFCCFTKSSDNINRCLPQEPASDSSPWIWYLQ >cds.KYUSt_chr1.32507 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197380672:197387298:-1 gene:KYUSg_chr1.32507 transcript:KYUSt_chr1.32507 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVASSHFPASTGKKKPHQPRNGAATATATGAGEKKRLSVLGDEGRDVSGGIDEKYALDRELGRGEFGVTYLCMDRGSRELLACKSISKRKLRTPVDVEDVRREVAIMRHLPRSASIVALREACEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHHHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPHVSDNAKDLVRQMLQPDPKIRLTAKQVLEHTWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKVMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLVEALNTLAEDNFRTIIRVPVTERHGGGGSGRRRGGRGPGTRKAALDLQSVPAPRLPAPGRSRGEASAKKPKTTKPPPLDSRKAERARLRMLSTAGQGTRPIIPARP >cds.KYUSt_chr4.48666 pep primary_assembly:MPB_Lper_Kyuss_1697:4:301378952:301380032:-1 gene:KYUSg_chr4.48666 transcript:KYUSt_chr4.48666 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKPMRRRRRADNPPPQSFGATARPTSPRSSTSASAVADLDDLLTAPPPSASEPRSFPYAVKQQCWDKAEKVPGRDPERWRRDALGNIVFRKLVGCPGCLCHDYDHILPYSKGGKSTLENCQVLQATVNRSKGNNTEISKSELAQRSAYCRVSGRDMDLFELSAFGNVRREPDSGGCKIQ >cds.KYUSt_chr7.37482 pep primary_assembly:MPB_Lper_Kyuss_1697:7:233883791:233884210:1 gene:KYUSg_chr7.37482 transcript:KYUSt_chr7.37482 gene_biotype:protein_coding transcript_biotype:protein_coding MECPWPAVEARWGFFAEMLGAFLICSWPALLARRSWSTARCFWIEEEDPVLSVDAASGWRLLSYSFFLPTMVARREAVVMHSLPFFIDSCLLGAMEALRKSSFERNTRCQPATPRYLADEAAHLQPPSRRPFCALFVRA >cds.KYUSt_chr2.52264 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326009782:326011619:1 gene:KYUSg_chr2.52264 transcript:KYUSt_chr2.52264 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTEMGFAVDYATRMEQTRFFVDSLRPAQLRSYVDPMVQEVENYFAKWGEQGVVDLKYEFEELLMLISSRCLVGKEVREKMFGEFCTLFHEIEEGVNFASFMFPYIPIPVNRRRDRARIRLKGIISEVVRSRKIFNSVEDDVLQRFIDSTYKGGRGTTVEEVSGMMLGLIFAGKHTSAMTTTWTGACLLSHAKYFDAALEEQKQIIRKYNGNIDYNILSEMVTLHSCIKEAARMHPALPTLVRQVKKDSTVRTKEGHEYVIPRGDTLVNLVMVNNRLAHIYKDPMVYDPDRFRPGREEDKAGGKFSYTSFGGGRHACGGEAYAYMQIKIIFSHLLRNFEMELISSFPKPDWSKFLPEPKGKVMVSYKRCHLPSM >cds.KYUSt_chr1.2131 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12354832:12358640:-1 gene:KYUSg_chr1.2131 transcript:KYUSt_chr1.2131 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSSDGVVKHLLLARFKDEVTPERLDGLIRRYAALVDAVPSMKAFHWGTDVSIENMHQGFTHVFESTFESTEGVKEYIEHPAHVEDAKALADAEKEAKEERAAHVTFGAEMECRRVAAAAAAEDDNSDISLSSDDPDAPSLEEKAAEQKALVDYFDMLKDDAANARLRLCLIEDVAAH >cds.KYUSt_chr5.8233 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52067883:52069540:-1 gene:KYUSg_chr5.8233 transcript:KYUSt_chr5.8233 gene_biotype:protein_coding transcript_biotype:protein_coding MRARVCARVRTPQGVGALLLVGGAIVGAAVYAWRRHCDSKKAKNHQRGKKEEKVPEDSGVVENEQDKVDKSDESLSREVAGVEANGLDGEKTEELHEIQAKVDEIVADELDREPVDNFDTNSSRELTDIIDDTGHGDVKKADQDSSMSGVDNEVTPNATEDVENSDESTLTISSPEIPNEEHNRHDDGADEETASTQITPLTQMTMHQPKISDEVKVENMTETVTVDNVSKHEEQKPPAQDPVSQVDSPACSSIPSLLKTAQKKRSVNPGQNETGMKLGQDSGNGELSKAGAAQGVAMVTVNRRATSMAILAIIFAVTIGLNLVMRFYSALQAA >cds.KYUSt_contig_1790.234 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1219287:1219639:-1 gene:KYUSg_contig_1790.234 transcript:KYUSt_contig_1790.234 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESVKNNYSIGMRMNIWWLAEQVQRRSSKQCSSATTVALRALAERSSL >cds.KYUSt_chr1.36808 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224606183:224607669:-1 gene:KYUSg_chr1.36808 transcript:KYUSt_chr1.36808 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKINFDKSEVVVLGYPRKFSERIADNLNSQSSSFPMNYLGVPVRDSRILIRDLAPLLGRVRAKAEPWCGRFTSKGSKSILIDSCLSSLPMYIMGLYILPEGVHAAFDKELSRFFWQDRTGRQKYHMVRWADVCAPKDLGGIGIISSRHMNVALMLKWVWRILSDDGGLWLKLIKAKYLRGDGRGTMFWLDTWLGDRPLNLVFPDLFAICANPSSLVAEVALEGWDFVFRRGLTQGEALSLDSLRDLLPDALPGGLDAPSWRLTPSGTFSVRTAYRALFRGPLLPWTGPLFKAPIPLKTKIFLWQLLRDRLPSGVEVMKRHGPGNGLCPLCAVPETTTHIMFSCTAARFLWSFLLEALGPEWQASALGEFIEVRANTTGRRRRLFWLLFAALSWTLWTVRNKMVIERILPRRASDSVFSFLALLQQWYPLCRQRDKERLDGMLEDLLAAARRISTPSSL >cds.KYUSt_chr7.11285 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69333879:69334760:1 gene:KYUSg_chr7.11285 transcript:KYUSt_chr7.11285 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLTAEATGDDEEECFHEAARAKLLWLRACLTPPVRPRTCSVFVNNEGSIVHVRTIQQHYLPSDDPSITGAAEATTKSKLAALNEKLDSLERKLEVLEVQVSSATTNPSVFN >cds.KYUSt_chr2.25360 pep primary_assembly:MPB_Lper_Kyuss_1697:2:155044740:155047151:-1 gene:KYUSg_chr2.25360 transcript:KYUSt_chr2.25360 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVPATSLPARQPWPRPHTAAKKHPRRNARGHHRAVTDTATPPIEPVTLSASKIAPLNGSKASQRPSRTREGSDATLPGPPDLGPPGPDLARAAVQQGDRPAGWPSRDLLSLDKARGAQIGAQLAPIRAAPPAGHLRRRPPPPPMAAHRARHRLRLGTAAVTPCHHRRTALLKPRGAPPRPELAPRRLPRARLVMKNNKEAPLEENDQKVMLELTGDQSSIDRPGLDLVVVLDVSGSMSGHKIRQTKTAMQFVVRKLCPVDRLSIVTFNSDATRECPLRQVTQTSKLELQGMVDRLMARGSTNIEAGLRTGLKVLTDRKVSDGRVAGVMLMSDGQQNSNTDAAVVPVGKVPVYTFAFGRQADSELLNTVAAKSMGGIFSFVDDRMDGAVTIAFSQCLAGLLTIAAQDLYLTVTASRVGDESTTIQKITTGSYLQTQHSDDPGSMTVAFGHLYNKEVRKVIVYLSLPAVESERSADILKVTYSYSSSSGSGREFVATLTVLRTGVAVRKDGPEEVRTEEIRLQAAEMIRAARMLADCDNLKEAEWKLMDALNLVEADQYSNPFLLAELHQLLQLFSTQYKWHGHVYALVSETSHGRQRFAVRGGDAGSTRLFATPRMDKYLKEILQKSPVTMPLFSMPRITTTTT >cds.KYUSt_chr7.29354 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182679595:182680230:-1 gene:KYUSg_chr7.29354 transcript:KYUSt_chr7.29354 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEGLDYAMAYPQAQTCYVRPTAHTVAYAASAHPPNTHAISAAQPPQVHGPFGPTAAAPPRAGGKPRKRKKSKSKNVRFSTAAGPVYPGSAPPPNAADAYQHASAAGTSGDAAYGGQRHHHHAAAASHAYSSAQHGHGQEYGYARYAPSPLTRWEMLGSSGTPRRHEYFSGEYRWSYPTPVREGIYSMATDANRLTAIFSEENPNACTIV >cds.KYUSt_chr2.50533 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315983045:315983383:1 gene:KYUSg_chr2.50533 transcript:KYUSt_chr2.50533 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKVKDMVSSAKEKVNEGTAKAQGKTGKATATTHGEKEMAKEETRANKAQAKAQKHQEKAEHRAEAAAAHHGTRVPLTGPHGNHTPVAADPAYPGTGAYPAANYNKYI >cds.KYUSt_chr7.37939 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236561389:236563806:1 gene:KYUSg_chr7.37939 transcript:KYUSt_chr7.37939 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSRHGGSPPTPMFSLPPSRLPLEDILFCVDIDAEGRSEMKAAPASSSSSATASGSPGSSAVSGASDPGAVSRPVRPAVKRMDAVKQALMLFVHSKLTMCPDHRFAFASLGETVSLVTNEFSSDSASAIEAIRSLAATETRYAKADLTHLFKIAYEEGKRAELQGRLLRVVLIYCRSSTRPQHQWPVKQKNFTMDIVYMHDKPTADNCPQKVYDVLVDALEHVSQYEGYILETGQGLARVLFRQICILLSHPLLRCNQDDLDIPKQVVKKTLAIEAAPKEDSPPVSSQ >cds.KYUSt_scaffold_1854.311 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1696385:1696912:-1 gene:KYUSg_scaffold_1854.311 transcript:KYUSt_scaffold_1854.311 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEEALLPAPVTVAFSWEHEPIVPKAAIDAGGGMPQSPKKAPATVVLSWDHEQGITKLAPAPAMKPRGVPEGPRKGQAPTRRLSVPPPPGRLSTRGVSRAVRPEDDPFLAAYLACTKSTGGRSGDGGRRAAKEQRRFAWAGLGLGSLSCKRNNGVVEQSMVRMAKLPELDPRDA >cds.KYUSt_chr4.32525 pep primary_assembly:MPB_Lper_Kyuss_1697:4:199587795:199588242:1 gene:KYUSg_chr4.32525 transcript:KYUSt_chr4.32525 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEDNPGGTSGGVGGIVRGAVLKALVVFGGVLLIRRLRRSTTRWDHARAVADALSGEKFSREQARKDPGNYFNLR >cds.KYUSt_chr6.26028 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164916990:164917288:1 gene:KYUSg_chr6.26028 transcript:KYUSt_chr6.26028 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSVVDPLREFAKDSVRLVKRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >cds.KYUSt_chr3.28003 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174781557:174786566:1 gene:KYUSg_chr3.28003 transcript:KYUSt_chr3.28003 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKRPSASWALPPGRRARAHLCLRLAAPLSFLLLLAAFLRTQPPPAAPPPAKPFAGPGKVAFLFLARAGLPLDFLWDAFLRVSTVHPSRLFLITTFNPLPLHSIRSDRLPFRQNGDEGRFSVYVHSAPGFVLDRATTGSRYFHGRQLARPVKVAWGEPTMVEAEKMLFAAALEDPANQRFVLLSDSCVPLYNFTYTYTYLMSSPKSIVDSFVDKTEKRYNPNMAPVIPKDKWRKGSQWIMLIRKHAELVVDDKHVFKVFRKHCKMIVTKALLGQKPNARRLGFVFRRKQILKGVAEKEHDCIPDEHYVQTIFSTKGLEDEIEKRTLTYTSWNQSSLDPKDKMTWHPTTFEYDTSSPEHINAIKWTVQYDWLEIFFPEARDIRSEARQWADAGARGVRQLLP >cds.KYUSt_chr2.41331 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257055924:257056280:-1 gene:KYUSg_chr2.41331 transcript:KYUSt_chr2.41331 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPPSPRRQPPPLPLRRRVRAPDGSLCLDSRAVCAVVEPNGEARLLALALAEKLDALKRVAAIVARLGRRCTTRCSPLRRPPCSRRDRLASPPARISSRYSGTAPRSRRSSRRERH >cds.KYUSt_chr4.25793 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162218721:162221403:1 gene:KYUSg_chr4.25793 transcript:KYUSt_chr4.25793 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPCAAGERRFAPPFHLLFHTVHPSRGKLHLSLALYEVTGRGEREGVEIPCSADGRIDGHLPLRLPVGRRESGEAFDLCPRPVDSRVRLPGGAGRVVVVASLWNKVAGASSPSRRCLVWRSREASGGWCSRICRFGELLCSWPACRDGGGGRLHGLLSLDLFFVSVWLLLVMLWRCWGWCGLVLVALAAGLRRLYRRSGVCGGEEVVWCVWKGSGPGPDLELAEIGACRRLMFVCVLDPVLRGWWLLRPINAFWLGDLLAPRFVVDGACFMSVRAGGVLPRWREVEEDEGPLGFLLVYPPFMYLYLYAFPLCILTTA >cds.KYUSt_chr5.20491 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133180005:133180634:1 gene:KYUSg_chr5.20491 transcript:KYUSt_chr5.20491 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMLEAGVGRFRGPSLAAMLAEMWAPLAVALAALATLPSLLGRLQVLILRLRSRGKEAISSHISTYYSSGDDDSDAESDDESDSDAASSSGEEEEPEGRIGYFEGAADGLDGCFPFGGAVVRTWQDLPRRFSFTTSSNGFPSGDTAPAVRLWGASTASGEGTGAAWWDGADDSGRRQVAEAPVVVGWRRREHTARRRQRLLPVLPSPQ >cds.KYUSt_chr4.43263 pep primary_assembly:MPB_Lper_Kyuss_1697:4:268024418:268032571:1 gene:KYUSg_chr4.43263 transcript:KYUSt_chr4.43263 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAKLVEQLREHQIRSAQSYSTALSVFSPNPHIASRRDLKVALLYAVFFCFLMVSCYVALYLKWFKLSSLFVFLGILLPLTERKNPGFTHFRLILHHRMCFGILKVKSNIKVVFCPTYVSAVGSTALDLLLYTVSVSLSCLQSVFLESDHQLHCMLCTLSANVPFAVPQEPLHLRLLQGFADMSSGGKVRVQEKLQVSKNRLG >cds.KYUSt_contig_973.151 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:1532868:1535002:1 gene:KYUSg_contig_973.151 transcript:KYUSt_contig_973.151 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSGEGEEEEEEEEELVGRFDYGKRAQEIRSKGFGGSGSGTMANGPHGNVTEGPGQQKGESGGDWGRQSLRRRRGLRVGSVDKAGEFTHQVYHRQWLDLAILGGPQMVMVEYGPSGRPVAVDKDGGSPAQEQGAGSTDLLWKSDPAWPIRGSRI >cds.KYUSt_chr4.13253 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81553687:81560101:1 gene:KYUSg_chr4.13253 transcript:KYUSt_chr4.13253 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWGRSKQSSPASSSGRRGGGDAPMDSGGSSRRGSGSGSGSGSGSRGRSPRLERRNAAKHIEYEAGGAGPTSVSASWSSSASASSAERSPGARPSRSLDLAARGGTDFRISGSGEGEVDELCRSLGLSGPEDFAIPVAAWEARKARSSNDLLSRARADASNPPLADPAPVVRTTSAPEGPWPAPLSFPDPIPEESIHSSSTSTATATGSVDELTLPPPAESPKPTPTAALTAHVAGLSLLSPRKTTGEVGIRGVRPPLLSPPPPITGLAPPPVRQPSVAAIVSGSAWDIVNSFAPSEGNNSELRMSYQHVESPHVSDTEADEGFTGADGEHREWRVGETFEGFTGTSSLSTTNDDDASSTNTEPVFNISPNGKFKRNIKSWMRGALLGSGSFGTVYEGISDEGAFFAVKEVSLLDQGSNAQQSILALEQEIALLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYQKYKLRDSQVSAYTRQILNGLVYLHDRNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVVNPRKTYGPAADMWSLGCTVLEMLTRQIPYPNVEWTNAFFMIGRGEQPPMPSYLSKEAQDFIGQCVRVDPEERPTASELLAHPFVNRPLRASFDSLSPPTNRQ >cds.KYUSt_chr1.18058 pep primary_assembly:MPB_Lper_Kyuss_1697:1:105338513:105342887:-1 gene:KYUSg_chr1.18058 transcript:KYUSt_chr1.18058 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPHVHLPPHHLASPPDPTAAKHRHDDPPHASEEKDVYYARKMMQGVVLRPPAHLPQPEAPPGLARAASAPAPNGHDHDDQEPHHFDRSASANSSVAADVASIGRFLRDRRDVLSSAITRRISSLKDPTTTAPPTDSTPFHGAVQEIHLPNVKVTVRLKEAIAADAAEDSSSSSSSSFSRIKGRVSFFSRSGCRDCAAVRAFLRHSALPYVEINLDVFPEREPDLASRAGAAARVPQIFLNEKLLGGLVVLNSLRNSGEFDRRVSELAGRRCPDAAPRVPVYGFDAVDDVGDEEDGMVGVVRMLRHRLPIQDRFARVKLVKNCFSGADMVDGIVNHLECSRKKAVEIGKELARKHFIHHVFRENDFEDGAQNLYRFLEHDPAVPKYHNFRGSTNDGEPKPAAAVGQRMTKIMVAILEAYASDDRRRIDYARVAASEEFRRYANLARDLQRVDVFALPAGERLPFFLNLHNAMAIHAVIRNGGQPSVAGVVDRRSFFSDFQYVVGGYPYSLTTIKNGILRANRRQPYTIVRPFGNADKRLELAERQVNPLVHFALCNATRSSPTVRFFSTQGVEPELRHAAREFLLDGGVEIDIETRTVHLTRIIKWYSADFGQDRDILRWILNYLDPTKAGLLTHILNDGGPISIAYQDYDWSLNA >cds.KYUSt_chr5.30566 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193830104:193830757:-1 gene:KYUSg_chr5.30566 transcript:KYUSt_chr5.30566 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRIRRSIFYLGDEAGDGHPGGANHHGENVIGIHQQHLRAQTHGRRLLRGVRDGAEVVVGLQILVRHHGHPQPCHTHVLLKQMVSWTPARNGRPCSSSRTCFLCRRELSPDKDVYMYRGDQGFCSEECRRQQILSDEAREHDSMVGKDRRGHHHHRHHHWPQPAPAVAIRTQPRRLLAVA >cds.KYUSt_chr3.5928 pep primary_assembly:MPB_Lper_Kyuss_1697:3:33833010:33840710:-1 gene:KYUSg_chr3.5928 transcript:KYUSt_chr3.5928 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMLCTRPDIAHDVSLTSRYQSDLGMEHWTAVKNILKYLKRTKDMFLCYGGDQELVVTRYTDASWNTDPDDSKSQSGYVFILNGAAVSWASSKQCKVAKSSTESEYISASEASSEAVRMKRFIVELGVVPSALDPLVIYCDNMGAIANAQEPRSHKRLKHIKMRYHSIREYIEDGEVKICKVHTDLNVADPLTKALPRAKHDQHQNTMGVRDPAHVSAVRLAAAPRRCGVPLHSLVLRHGEQTDLPSACEKREWKGATCPVCLEHPHDAVLLLCTAHHKGCRPYMCGTNYHHSNCLEHFKEAYAKEKLALGVSTESALSLPADKQPSTMELACPLCRGEVKGWTVVEPARQYLNRKKRACVHDGCSFVGSYKELCKHVNSKHPSAKAREVDPALASEWKKFECERERQDAISTIRASNPGAVIMGDYVLELNGGSNNTMFADGDEFELDERLNFFTSLDRTLNERIDFYESSEGSLDESIDFLASLFSHSRRVASGDSHSRAHRRHRERPRRNFSTNAVGSSDIQQESVSTQRVQRIGAARAPGRAPRRHHSMVTHVRPTRENLD >cds.KYUSt_chr2.40024 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248576608:248578089:-1 gene:KYUSg_chr2.40024 transcript:KYUSt_chr2.40024 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGALNAVAVTALAAESIAGVQYTRFPTPTTDDDLDDLYGDFDLGFLPLPPLSPSPSSPPKTPSPGLSLPSPTPSSSPSPSPSPPPRRTPSPDPHRRRQPEPEPNPIHQHQPPLPAPKSPTQQHQPPPPRAAPNPPPSPRHQPPLPAPKAPTLRLQRAPARPAPSPSPPTATALYIGDLPWWTTDAELEAALAPHGELRGLHFFADKPSGKSRGHCRADFLHPAAAASAAAALHGRAFDGHHCVASLSRPPALQRADADSDAPPPNPALARANNRGNAAFLGDGSSLGPMAPRPRAFGAMIGDGGGFPPVGQCGPMAASHVNPAYLAASRMAMCGNGAEMWHNQGMPGGFWGGPQPWNFGGCEMPWQQPGQQYRNGDYGKMRSTGRERPGGRNEDRDAGNFRGNPNRRQCGRGGGERPGDRDRRWEQRERNYDDRDRRGGQKRRYQEYSEREDWQKRGRARLSQSRNSDDDDDPRRQSRDSDDDEYLIRRR >cds.KYUSt_chr4.9538 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57593082:57594663:-1 gene:KYUSg_chr4.9538 transcript:KYUSt_chr4.9538 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQPRVMVLPFPAQGHVIPLMELSRKLVKHGFEIDFINTEFNHGRLLEALEEKGAIPGGIHMLSIPDGLGPAEDHTDIGAFVKGLPAAMSGRLEEMITSRKIKWMIADVSMSWALQLATTAGVRVALFSTYSAAVFGLRMNLPKLIEDGVLDESGNVMRHERVQLMPPIDAGEIPWVSLGSTAERRKTNVQNVLRTNRLMPLAETIICNTFIEMEPDALALLPNALPLGPLVAPTARPAGHFLPEDLTCLTWLDTQAPGSVIYIAFGSSGVLDATQFQELADGLALSGRPFMWVVRPKFTTGVGQDWLDVFKHRVDAKGLVVGWAPQQRVLSHPSVACFVSHCGWNSTMEGMLHGVPFACWPYFADQFCNQSYICNVWGTGVKLCRDKRGVVTKEEIESKVAWLLGDEEIKGRAAKWKHKACASIIEGGSSHQNFLELVNLVREQSYLSG >cds.KYUSt_chr5.4732 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30128112:30130469:1 gene:KYUSg_chr5.4732 transcript:KYUSt_chr5.4732 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRASARARARPNVLVTGTPGTGKTTTCALLADAAAVAHVNIGDLVRDKGLHDGWDEGLDCHVINEDLVCDELEDKMEEGGMLVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYMVAKLTNNIECEIFQVLLEEARDSYKEDLVMPLRSDNVEDISRNVGTLTDWINNWRPTSQ >cds.KYUSt_chr5.43063 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271503539:271505236:-1 gene:KYUSg_chr5.43063 transcript:KYUSt_chr5.43063 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCLPASPISAAAFLSAAISDEDGVEDRISALPNGLLRDVVSRLPVKDAARTNALCRRWRGLWRAIPLVLDDAHLITEGPGVDWRAPAAAAVSRVLASHPGPFRCVRLLSNLIDESNKECLAEWLRLLADKGVEDLTLVNRPWGFGVPARLPPSLLSCGASLRRLYLGVWFFPFTTGLPRSPDVFPHLRELGICHGIMQESDLEYLLACSPRLETFALITNYCLPDRVRIGSHSLRCVLLWHSMADEVAAIAAPHLHRLIVYCTHPTEAGRAFKVKIGYAPQLAVLGYLDTTHVLEIDNTIIKAGVTKVSPDAVVPSIKVLALKVRFRVAEEVRTLLSFLRCFPEVETLHVMASDNHTDHYDDPGEVKARDKLNSTFWQGVGPIKCVQSRVKKVVFDQFCGGTNQVGFLKMVLGRAVFLQKVIVLLADPDPIMVSEATRKLNPLASKRMWANKTLRKISLEVRGRQSGPIWGYNQASDLSISDPFIS >cds.KYUSt_chr2.36988 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228817426:228818763:-1 gene:KYUSg_chr2.36988 transcript:KYUSt_chr2.36988 gene_biotype:protein_coding transcript_biotype:protein_coding MADCTSTLAQWARYGAPSMKRHEPHASPLTAAATRAEDCHAACLPASDATTRQCPPATACWHARARAVPLYRMPVNSQKFTLRARGPVGPSFSPKPPRTRCRDPAAHPTSGTPPLLYNHAAHLEATTPKASIFPATDRSEIDQHMATTIEDVHADVLACALRRLDGRSLAAASCATAGLRALAADPDTWRALCLAQWPSLALAAHHLSAIPPHRLFADASPFPSSDAAFQLTTPLPLPEHLLSAVDVSHRGAPLLSRVVSTPGCSTPWFRGAPFRLDAVDCKKPAPITAPFSPAELELSWLVVDPARGRAVNVSSGRAVAVGRHWYTGETLVRFAVVLGGCCFETTVACSEEAAHVSEVSLAVEDAEGSALSGEGALRLLAAAMVGVRRGGEREREEVRARYHAFVRSKKGRKESRARREAIIDLCCSAASAVVVLAFIASVVLR >cds.KYUSt_chr6.27680 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175596383:175602967:1 gene:KYUSg_chr6.27680 transcript:KYUSt_chr6.27680 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGLRELQVRALDGRSTAVTLAAAASVGDLKAVLRSSFPPAQISHNFHLLLKGAELRLDADIDSLVVSDGEFIVLVPFPRKSGQAAPVAMPGEEGSANPPTCRCSWKRRKLCKENGNSSRGASGVDGASGQPTMSKKSGFVKSAVSPCHGKHPLGPAEMVEHLKKGLGKGGQIVHVEEIPCRGASYAEVPRHLSEAMREALESIGITRLYSHQSEAIQSFISGKHVVVATSTSSGKSLCYNIPVLESLSQDLTACALYIFPTKALAQDQLRTLVEMKKAFRADINVNIYNGDTPREDRLWIRDNARLDRRKIEADLFDGKLRGVAATNALELGIDVGHIDATLHLGFPGSVASLWQQAERAGRRAKQSLAIYVAFEGPLDQNFMNSPHELFGRPIEHCQVDSHNPKIFEQHLACAAYEHPICLQYDEQHFGSSLDSIMTTLKMKGYLINNPSGPFSSTMWKYIGPEKRPSQTVSIRAIQHGKYSVIDKLNNRLLEEIEESKAFFQVYEGAIYMHQGVNYLVVELDLSSKTAFCWKADVNYYTKTQDYTEINVLGGDFACLPTVTCKTNCLNTTAQANDCQGTTQWSGFHRIRRSDNEILDSVGLCLPPYSYNSEAVWIQIPQWAKIAVEERKLELRRGSHAASHALLNILPLSKCVLGNFYLLV >cds.KYUSt_chr7.27048 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169061799:169064165:-1 gene:KYUSg_chr7.27048 transcript:KYUSt_chr7.27048 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPLPARLLLIAVCLVLLPAAHAARQLASASSDPAADALLKFKEGITDDNGALKTWLPGTRPCEGSASNWAGVICHKGDVTGLQMENMGLSGKLDLSPLKPLEVLRSVSFMDNQFAGPMPDVKVLSGLRAVFLSGNKFSGVIPADAFDGMGSLKKVVLAKNNFSGPIPASLADVPKLLDVLLNDNKFQGKIPDLGQKELQVFNVANNELEGEIPPSLKSMPADMFAGNKNLCGGSLDTKCAAPPTSPPPAPAKPGTAPSPSPPVSGKGGPPPSPPASGKGGPPPSPPATPAAPKTTGPLPEEATAQDLPVEPTDGSTSSGILVACLGVMAILGFLVMVMQRRRRESNTENFGPAISKKPSMKKMNAEPAKVDAARVDPQVAAQGAAAAAGAAAAVAGGAGSTTTRKAAEQGRLTFVREDRGRFFELQDLLKATAEVLGGNAGANLGVCYRASLTGGQSIVVKRFKEMNRVGREDFEEHMRRLGRLSHPNLLPLVSYYYRKEEKLLMHDFVPNKSLAHLLHGEGRGVKKAVVHWTPRLKIIKGVASALNYMYDELPMLTVPHGHLKSSNILLDDEFQPLLTDYALVPVMNQSHAAQLMVAFKAPERKQFGKSSKKSDVWCLGLLILEIVTGKPPSYDVKAAATSSPEHEQQKQKPSAGNDLVGLVASTPEEEWLTTVVDGDLKLEDEADKEEVVKLIKIGMACCEGSVETRWELKNAVDGITELKGKERADNEDNSFYSSLSDGAERDEDFTNVSIH >cds.KYUSt_chr5.38056 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240411977:240412393:1 gene:KYUSg_chr5.38056 transcript:KYUSt_chr5.38056 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENQGHRCPGTARSGSRIGTGTGSPGGTVAGSRADGVMGQQRGGVDVKVGDEWKEATNNQRRRVARGAGRRRVIQGGRAIAGGRGGQDGNGWSGVETGEAGRRRCHPGSGELVRGMEQNGRELSWRTYTRGYLDAS >cds.KYUSt_chr5.19350 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125545240:125546333:1 gene:KYUSg_chr5.19350 transcript:KYUSt_chr5.19350 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPWWDSDWKDRSAPESRVHVGNLPWSTDERSLKDAFASYGPRSAEIVTDHETGRARGFGFVNFEDNKSMNDAIQGMNGQELGGRNITVNKANDRPRRWRA >cds.KYUSt_chr3.2345 pep primary_assembly:MPB_Lper_Kyuss_1697:3:13361036:13362217:-1 gene:KYUSg_chr3.2345 transcript:KYUSt_chr3.2345 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRCFLAVLFLLLSLHPHLGASYLRARNNYIVHLDPRSRPADSLQDWHRSFLPQATVPLDSDDDAGPRIIYSYTDVFTGFAARLTDEEADALRATDGCLRLYPEAFLPLATTRSPGFLGLRLGNERFWTRSGFGRGVVIGILDTGILPSHPSFGNDGIDPPPKTWKGACEFRSVAGGGCNNKIISARAFGSAAVNSSAPPSTTRATAPTRPAPPRAASWRTQTSGGNADGTASGMAPHAHLAVRRFLPALRGAFNGVRDGAPGVHGLVRRDGGRGGGGVRAGGLRRGGRGGDATLRTRRCTTEGWRTGGGPLAGISSNTLRRAAMRGGGKKEERAGEVTCGRRRLRRRWRLRLRRRRRGCVGGVGASAASAALLGRAAKGKKKGIWGFWSCG >cds.KYUSt_chr3.28148 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175602064:175610965:-1 gene:KYUSg_chr3.28148 transcript:KYUSt_chr3.28148 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRSGRYIESRLHLAVVVAADRYIKHPCSVSLRLRPSSAMAPDHDGAAAMLSWPFRSDDDSPAGLTVRLEYTWLGGTPALDGKAPVHGVGVQPAAVRSTESNEKDGARRLVGKDGVVGETGTPHWWSGGLGDRGIEIPFHTYLCVTPELDLGALLPEDFLNRTKGTSLFIKPWAPQRDVLAHDAISGFITHRGWNSILELVMASVPLLAWPLYAEQRINKVFLEKELGLAVAVEGYDKDVVEADQVASNVRWIVDSKGGRLLRERTLAAMRQVKKEMRHGRESDVTLARLVDMYCGWACMSR >cds.KYUSt_chr4.14650 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90378021:90384489:-1 gene:KYUSg_chr4.14650 transcript:KYUSt_chr4.14650 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDTDEGGAPAAGGAGQDDDAPEPRPELAAAGERTASDDAPAAPAASSSDDEGGAGEEDASDSPRGPGAAGEQPASDHAPVALAAAAEDEEGAVEAEQDDAPESEDVEEEVQEEDGDATEEDEEEDDEDAPTHLPFAPTGEELPDDTTTVDPSYTISLIRKLIPKGSDLEKEFSDKSSSSDDGESTLPEYEDQWEECGCILWDLAASEPQAEHMIDNSVLEVLLENLRVADSSRVKEVCLGIMGNLACHESLVNAICLEKGLITTVVNQLFLDDVKCLSEAFRLLAAALRCSASVSWAEVLLPDEILSRMLWIIGNTSNLTLLEKSIDFISTVIDAQDVIAALIDPMLKVGLVDHVVGLLTTEIEKSPEEKLDRPGSLDMILRFMEELSAIHSVSEVMSSSDRLMKVLVSMIKSPDKYEISCLSIVSDLPFLEGLFDILPVVPDDDQARYALWGTLPRIMPRRQETEMDSSTLDQFASLFLGKFTLIKDDLESHLRSISFVMEKWIEEKSSQSKQDAPPTGNSIDDAREVLSYCHKALH >cds.KYUSt_chr5.20641 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134088943:134090576:1 gene:KYUSg_chr5.20641 transcript:KYUSt_chr5.20641 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQKAPRCVPHDNSIRSRVIDQFSYRSRDIFAATTRPQAATVEPSSSPASTPSQSAGLRRSFAQVVVGVSSSVEMAGVARPPASPGVIAAGLEPRFKPADATLEASSGGPQGRWAWFGRAVMSVQKAPRCVPHDNSIRSRAVDRFSYRSRDIFAAAARPQAATVANSSSARLEGLPGLRRRLRCPCSCLRSLHPTVVTPASLQLTTGLLAMGPMHRPAMQQLAMSPPSSQQPTSEVDSQLHGAVGELYASPHAQRRRSVMVLEEVLPTSEVASRDGTEGASSSTPFSPAMGDSPGAAVIQGATSPSTPVSMTSSPSPARSPPPSTSPSPVSTLQQPPPTVPPPVVQPTVRRSGRYALAADGARATDEDVMQRAMWRKAEKNLHTAGTKQPSKSFVSFSDTRISSNLSSIGVNMGSRSDEISVSANVLRQTELDRLTVVPNVSTGHETTVVDDEEEDDILDGQLLSAIIGNISEVDLEHVELSSDLQASERGSRSSAGKKSRRYSKNTKSKIVSR >cds.KYUSt_chr2.53013 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330784052:330785196:1 gene:KYUSg_chr2.53013 transcript:KYUSt_chr2.53013 gene_biotype:protein_coding transcript_biotype:protein_coding MANCKNTLGSCGIVALIFLSAALVSAQLSTDFYDETCPDALDIIEDAVRAAVSKESRMGASLLRLHFHDCFVNGCDGSVLLDGATGEKNAVPNKSSLRGFEVVDDIKAQLEDSCAKVVSCADILAVAARDSVVALGGPTWDVQLGRRDGSTSSQDAANTDLPAPTSDLGALIKAFSDKGLTAKDMVALSGAHTIGQARCVNFRGRLYNENATLDSTLATSLKAKCPSTDGTGDDTTSPLDPSTSYVFDNFYYKNLLRNKGLLHSDQQLFNGGSADAQTTGYASGMGAGFFDDFRVAMVKMGGIGVVTGTSGQVRVNCRKAN >cds.KYUSt_chr6.30521 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193360836:193362665:1 gene:KYUSg_chr6.30521 transcript:KYUSt_chr6.30521 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPEVLQLALEAELCALEGIALALQWSQDPIIMETDNEVLVPMVMSSGKDKSSAGRLVSEVNTTLRTDHQINVVKTPRSQNVASDENVAIDALETLIELMFGLVLGRLILSANDDEKSRPPAFLHAVGCRSSPSDLATVSFTLRSGYKFHPSSSTEEQVDKEARRKRRGRDMALRRIVKELRDLQRDPPTSCSAGPVSPGDMFHWQATIIGPGDSPYSGGVFVVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKDQWSPALTIAKVLLSICSLLTDPNPDDPLVPEIAHMCKADKVRYESTARGWTHKYAMG >cds.KYUSt_chr6.31682 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200249349:200249660:-1 gene:KYUSg_chr6.31682 transcript:KYUSt_chr6.31682 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATGQRGRHGAARLAPTRVCHLRVQASAGEASCWWGLVGVEDGEDASLCRLTGATVPARALAHVGSPASRMGGGGGGGGEGLITGAGGRTRACADELRGQG >cds.KYUSt_chr2.39394 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244266636:244270724:1 gene:KYUSg_chr2.39394 transcript:KYUSt_chr2.39394 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAVGDAPSGDYGGGITFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLAEFFPGVLRRMAAARRDQYCVYDSHVLTAFTSSLYLAGLVASLAASRVTRAVGRQAVMLAGGAFFFAGAAVNAAAVNIAMLIVGRMLLGFGIGFTNQAAPVYLAETAPAKWRGAFTTGFQLFLGIGNLAANLTNYGAARIPRWGWRLSLGLAAVPATVILVGALLIPDTPSSLLMRGRAEQARAALRRIRGPKADVEAELEDVARAVDAARDHEHGAFRRILRREYRPHLVLAVAVPLFQQLTGVIVIAFFSPVLFQTAGFGANGALMGAVVLGAVNLGSALVSVATVDRYGRRPLFLAGGLVMIMCQVAVAWIMGSQIGRDGESTMARKYSLAVLALTCVFSASFGWSWGPLTWVIPGEIFPVEVRSAGQSISVAVNLGATFVLTQTFLSMLCSLKYATFIYYAAWVAAMTAFVVAFLPETKGVPLEAMGAVWARHWYWGRFVQPPAKNAEALIN >cds.KYUSt_contig_60.107 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:591692:594206:1 gene:KYUSg_contig_60.107 transcript:KYUSt_contig_60.107 gene_biotype:protein_coding transcript_biotype:protein_coding MKWQEEVAALVAVEQGDPGDEEEDDKIRGVIVSLNIILSWYVAVGNEPFLGAYNGSFIKVTLPALQNIQNALNDAGVGDRIKATVPLNADVYNSPAKNPVPSAGRFRSDISGVMTDIVKFLAKNKAPFTVNIYPFLSLYLNDNFPLDFAFFDGTATPVHDNGVTYTNVFEANFDTLVAALAAVGHGDMPIIVGEVGWPTDGDRRAKSSHAQRFYDGLLKRLASNRGTPARPSQHLDVYLFGLVDEDQKSVQPGSFERHWGIFRYDGQPKFAMDLSGQGRNTTLVPAKGVQYLSRTWCALNPKASRNDLGKLLGAKIDYACTNADCTPLGYGSTCNGMDAKGNASYAFNAYYQAQSQKDEACDFQGLALPTETDPSTAACNFTIQIATSAAAAVRLSVAAVAAALVVASAQLLLSW >cds.KYUSt_contig_2488.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000357.1:30809:31153:1 gene:KYUSg_contig_2488.5 transcript:KYUSt_contig_2488.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSARSIIILLHFPIHMPSPRLHIPRPWIPAPRTHRSDIAGSVLLSAKRGRGRPKGSKDKAPHKAGKRRESCPYIGRRPRGRPMEVPPLLARASRQMCLPVFPPARRGFQAPP >cds.KYUSt_chr5.43463 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273829177:273829512:1 gene:KYUSg_chr5.43463 transcript:KYUSt_chr5.43463 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFRLGLTSIFLLLLLLLLLAVSSRAKEDPAYRSYIVFISRPADADTMSRSAHRRYQESFLPSPRPFCSYTTVFYGFAARLTEAELAEMGKLPGFHRALPDGKSQLFLLD >cds.KYUSt_chr5.39078 pep primary_assembly:MPB_Lper_Kyuss_1697:5:247407290:247407964:-1 gene:KYUSg_chr5.39078 transcript:KYUSt_chr5.39078 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASSSDQEQGPQTHRTVWSEPPKRPAGRTKFKETRHPVYRGVRRRGRAGRWVCEVRVLGTRSSRLWLGTFTTAEMAARAHDAAALALSGHDACLNFADSSWRMLPVLAAGSFGFGSAREIKDAVALAVLAFQRRQQQPAASLVPSSLPVQAADDEKDFHGSPAPSTLSMSSSDLLDEHWFGGTDAGGSCYDHLTQGMLVEPPTSRTSQEDGLQTPLWSHLFE >cds.KYUSt_chr6.12399 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77510570:77515752:1 gene:KYUSg_chr6.12399 transcript:KYUSt_chr6.12399 gene_biotype:protein_coding transcript_biotype:protein_coding MLAACAWRLSQRAVTFLPPRVRSQILNPSSMAAAAGASSPKRLRVYSSAAADGSFNGAGNGKRVGTHNGSFHCDEALGCFLIRLTSQFAGADVVRTRDSQLLDSLEAVLDVGGVYDPSRHRYDHHQKGFSEVFGHGFNTKLSSAGLVYMHFGKEIIAKELQLNEDHEDVHRVYLAIYKSFVEALDAIDNGINQYDTEQTPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMVLAGSEFMESVRFHVKSWLPARSIVMECLLSRGNVDPSGEIMVLDRFCPWKLHLFELEQELKTDPLTKYVLYQLGHLQRRDANGR >cds.KYUSt_chr7.38032 pep primary_assembly:MPB_Lper_Kyuss_1697:7:237073615:237074721:1 gene:KYUSg_chr7.38032 transcript:KYUSt_chr7.38032 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDQRESAWPDLPPELLGLILCRLPSLVDRVRAAAVCRPWRSFLNLPWLAFGDGTLFDLANDDTAPHPHYRVQGLPGDAFCCSAGDNMLFLLHPDGSCSLMNALSGATTPLPELAANLRVFKADQPELRTTRQLARKLDQGDELKDRIRKVVLLPSSSASDHPMVAVLVRNHVCELYVSTCRPAGEINSLLVAWTNAYDVVDIAPFQGKIYVLSRSQGLIALDVSSRHLRTPRPPLPIFVPKSRSGDQVIQDSPQQDQQDREDFGPDFVVSERYLVESSGKLLMVRQWVERDAWTTCDFEVWEADLTEGRWKEFDGGLDGRALFLSKPCSKSLPAGHGVREDCIRPPSATPACTTLQTRISHRCCLS >cds.KYUSt_chr4.22798 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143384365:143387461:1 gene:KYUSg_chr4.22798 transcript:KYUSt_chr4.22798 gene_biotype:protein_coding transcript_biotype:protein_coding MHAISAQFCSGDAIGSCEVFVGDIPNHYDCEKEGEDRNGHLTCAVESSDGSSEQPLNHASEFEPNNLQKAMDGSKLTSKSAECTHLSNGRSCCFPSAKYVEDLVPRGRKGDIFSLTNDVEGSLPMIGDKPCPTSASVEQAVGLSVNREKPEPLKAVTGFEKSVVASKFAPNCSKRIQGSELKTGSADVLSPLMQHLDSWPTVPMQSSPSNELEDVAVQESSAERTRCLVDEQTNAPTMETLKVSKPSMENECLHSNEDNSDKANRGSDEVLLSTKAKKMPRQMCGDRETINCYNKNGISEPEPAKGDRDARNLQRSAEKPSYLGVKKMFLNRVLQGEGINSELLPSKFVGPCESTKINPRKRKQSESYNPDGTRYQKVARSKDGRLVPAASLRDGQNMPGEDNVSNDKVIGHSRKGKHKKLDKSWLAKKASRDPRKREMVTRDLHRSSVDKSRAYSENIDPTLQESSYSLNNPQSSCEPKKRRGLYDLNSQSCDNDRHLRKKRKCTQNAAKNQRRCLKKGKEASGSGNLNHRHSKNHKRSTDANKDENASVRNLDSGCAKSVVAQLASQTVVKEGNCGISRMPLISECIDMQHLDKPYWTPNKELDQLVKHVADSAFQGKPYLWGVFKPRKDEIKRLAPAEQDCSAHVTEEEHVQEQNVLDQQDKAQSDPLDQVTHPAHVTEEEHVQEQNVLDQQDKAQSDPLDQVTHPENQPLLDANEVGKETLSGNGLSLVDMRAVVSANISPADHVQPCSNPEAPPLKICGFVMSRTPRSAELIQEMQKEGALLFAVQQVMTEPGSVV >cds.KYUSt_chr4.37855 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233440422:233458901:1 gene:KYUSg_chr4.37855 transcript:KYUSt_chr4.37855 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATIRKTFIETPPPPIPSRGILEIASGTLPERGIISRRTLHRHDRLRSDEFHVGVGISGVAPHYISPPLTFNVLLGSSWIPSTLSPKRRALPRSTLDSRPWTVVRTDRKVHEQKSAECGSGGVVDMDSVNHQPSANATSVSMIPLDFPSQALEKINSVNKVIPSDVPSEAPVDIDMREIYFLIMHFLSHGPFKRTVGELCNELLEHQLLPRRYHAWYSRGGIHSGEENDDGVSLPVGYRKLLERYPHIGKDHLVKLLKQLMVSSCHPDNLIGGRSPNAADVPTLLGSNSFSLLASDRGGQDKETPRLPRYLRWPHIQADQVHGLATFDRTGHYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASASNDFIIRVWRIPDGMPMSVLKGHTGVVTTIAFSPRAGAAFQLLSSSDDGTCRMWDARYSQQPPRIYIPKPPDVAPDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKMIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLVLTDEIGQIFIIGTGQGESQKDAKDEQETQLPPYKRNIQDLLCDSGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQIIPIIDPDRWEPLPEIPDFFEFEPENEVISDDTDSEYDGLDDNSSEGEPGVLSGDSSGASYSSAEIDADNLNGTANRRRSRRKKKKSDADLVTSSGRRVKKINLDGHDVATTSRPHRGRKSKIGRSSKRKRSSKSRGLRPQRRAARNALSFLTKIGASSEEDEDDSGGSLSDSEFNTESTEAEQSARYGQPRYGRESNHYDSEDVTQPSHFTETQGNSGNNRKLILRIPRRDLKTQFPSKNGNTESSTEDKAVVSLAAAKREAIEPELAFELGSSSACKAELSTDGYQTTISGLHNGSAVHSNDKIKWGEVKRRSSKRSKLVDSSGNMRPSLDNALSQGLDESGSEKTPHEYGNGIQQMVEQNVQISQHAHLDSIHENHNTDDNSEVNLPGEERLTNNNSTHVVEENNKGCSEQFYGTEPISFKLKLSRSRGFVDGASSSDKSKTTAVGSDMNSEHDEVSMQHDEVSATNQHRSSDFTSVSRSFQERTDNGTCLHDSKKLHFESAKTCTAVYTRSKSSKYKKTPDPDAYGNGDSTSISNDGGYQPPPDYSPATPTGSLRRSARRSCAYTDDARGKDAISHEKKSSHEASTSGRQTAPNARETWGSTSRSERYKKESGNFPDTHLFEKKQQVSKYPWLMLLEHEDIYRYIPQLDDEVMYLRQGHEEYLKETLSSLRKCPWNWIEGLKAVELCKIKVLDYKSHIGSGDSCCKLTVEFIDHASSGFGEYFEITLPELVNFPDFLVERTRFEAAITQNWVVRDKCKVWWTNDSEEGGGSWWEGRVMAITPKSPDFPDSPWERYVIKYKNDGSHHPHSPWELHPATSSLAPWKHPHIDPIVRNKLLSAVTNLQKMSRTNQDRYGVLRLDTVAGKPDFINRFPVQFSIELIRARLHNNYYRTLEAVKHDARVMLANADSYFSKSTEIRRLSDWIQDNLLSL >cds.KYUSt_chr2.32400 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199847681:199850429:-1 gene:KYUSg_chr2.32400 transcript:KYUSt_chr2.32400 gene_biotype:protein_coding transcript_biotype:protein_coding MANEHFFPGEYFSPAPFLGASYAPAPRSGLQAAAAMAFGLQWADQQLPGADSSAHFDSALSSLVSSPACGGEDEVAIGDLIGRLGSICNGASANNSCYSTPLSSPPRGPFRGYGALESGGRLSRVSSSKSLGGNNTSAAEADTSPKTAGHAVMPDPPATTKASARKRKAAAGKGKAKAEASSLPATAAINASPPKRPRVADGENEVAAVEETDEEKAEPFKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQSLVPGCTKITGKALMLDEIINYVQSLQRQVEFLSMKLSTMNPELDFEEHQIPSKDMNQMAMPAYPSDDRSAAFSYAGSPADPFAIPAGQHQHQGQELQGSSFSWEHQDLQSMVQMAGGSSQDPHGFYGK >cds.KYUSt_contig_2619.49 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000416.1:230444:231465:-1 gene:KYUSg_contig_2619.49 transcript:KYUSt_contig_2619.49 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRTEVCVLAVLVALCIAARTAVVAGGIIEDGLELDWGQGNVSKDGQVISLYLDRDSGGSGLRSRDTYLYARTDLQIKLVPNNSAGTVTTFFFLSEGPWEIHDEIDLEFLGNVSGQPYTLHTNIFANGTGQKEEQFRLWFDPATDFHTYSIVWTPKHILVLVDGTPIREFRNHAKKGVAYPYPSSQRMRLFGSLWNADDWATQGGRVKTDWSAAPFLAQYRNFKATTSSPGGYGYYDDKELDGTAKKKMERVREEYMIYNYCTDTKRFPNGVPPECSMK >cds.KYUSt_chr2.32958 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203314626:203318579:1 gene:KYUSg_chr2.32958 transcript:KYUSt_chr2.32958 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFAGKAVAVSAISAIVRKSFEYLEKYTKAEGMKSVKEKLERTLPQVQVVFDAIDMELVRDQSEALDAWLWQLRDAVEEAEDVLDEVEYYKLERKVKTRGNKASSSLYKCKWMFIQQFNTMLKVGTYKKMVDAMKKLDEVAASVERFVLLVDRFGPSSLRHICHQEIGNPRETSSFLVDETIIGRDTERDLVVEWLVKQESDDIQNHDGCNVATYAIVGIGGMGKTTLAQAIYNDQRVKKYFDHIIWVCVSNDFDVHGLTRKIAQEMTGRGTDITCLNTLQEIIRGKLNSKKFLLVFDDVWNDERRTDWEKLVAPLKFGQKGSRILLTTRMQSVVDIVERVVGARTKSLRLGGLRDNDLLALLNKHAFFGLNPNEYVNLQDIGKKIIKKLSGSPLAAKACFRYCSMFREDYRFTKDELVKFWMGSGLIQLSVDEDQMPEDVGEYYLGMLTRKSFFELQSEESSDMCNRDTEERVLNSLEPHINLAKLKIEGYNGRLPSLKYLYLADMDAVKIIEDSFYGCDSTFAFPSLKLLSIESLPSLEEWVEVEEVFRSLRSVQHLEIVRCSELSGLDGIEELASLTELVVDGCEKLLSISSPEMFQVSDPSQTASVFPSHLGRLHKLRISNPFPLQCEPLRRANSVKDLTIHSGSRCLPEEWLMQNCNHLKHLGVLDASQLECVPSIMARLTSLETLEFKGAILIQSLPELPASLRVLQFLGCHPVLKQRCRKRRGCDWHKVAHIPYLQIVQDSRSSYSWNSNYILP >cds.KYUSt_chr5.21021 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136717778:136720650:1 gene:KYUSg_chr5.21021 transcript:KYUSt_chr5.21021 gene_biotype:protein_coding transcript_biotype:protein_coding MELRELGATGLRVSPVGFGASPLGNVFGDIPRDVARATVRRALDLGINFFDTSPYYGGTVSESVLGDCLRSAAVPRDAIVVATKCGRYKDGFDFSAARVTRSVDESLARLGLDYVDILHCHDIEFTSLDQIVNETIPALQKIKESGKARFIGITGLPLSIFSYVLDRVPPGSVDLVLSYCHYGINDTALLDALPYLKSKGVGVITASPLAMGLLTDNGPPEWHPAPEQLKLACRAAAEHCRKKGKPITKLAMKYSLMNNQISTVLVGMNSPEQVEENVAAAVELSTSGIDEELLCEVEEILEPVKNLTWPSGIQQA >cds.KYUSt_chr4.52873 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327990659:327994384:1 gene:KYUSg_chr4.52873 transcript:KYUSt_chr4.52873 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGLASPLKGSPIDALIRSCLLEERAADAGFTQDNYALRWAFSNDLGLVFVAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRVDYDESGFTDVFRQLHLEAEARAEAMKKTRQQNTLPSARAPASGTGKKPSALGGRAGPQGGGGAGKKGGAESGKDDSDSSDSGKDHNNKLTNGSVKGHQNGGAATKDARSAADTGKENGDPNGGAFDVNTLRKKIGGKKGGKKDAPAVAKKAEPKKIVKKDRVWDDQPRKVGKLDFTDPADERGEEMVDKAIEHQGDSMMDKDEVLSSDSEDEDEEGEENAQAGQKKKGWFTSMFKSIAGNNVLEKADLQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALTRILTPRRSIDILRDVQAAKERGKPYVVVFVGVNGVGKSTNLAKVAYWLLQHNLSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNKPDLVLFVGEALVGNDAVDQLNKFNQKLADLSTVPTARLIDGILLTKFDTIDDKVGAALSMVYISGSPVMFVGCGQSYTDLKKLNVKSIVKTLLK >cds.KYUSt_chr4.50686 pep primary_assembly:MPB_Lper_Kyuss_1697:4:313960948:313962583:1 gene:KYUSg_chr4.50686 transcript:KYUSt_chr4.50686 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLDDESFLDALMSLRGEAVPPPTTTMRAPWQAYPSPAGGMMASDLLFYGSESAAEARRNHDVSAPFQDLLAPVPPAAPPHPHARDEFNFDCLSEVCNPYKSCVGGVSLQVDAPGQAFAHPLHDAMEEDGTSGDKLHPGDGSSSSQTVFMFGGVGHGEMTGMIRGVSDTHPRSKHLHGGGPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELTERIKTLEEEIGATPEELNLLNAGKNFFGDSNDDVPMRNSTKFVVEKKGDGGTSIDICCATSPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMQASCSQSAHLNEET >cds.KYUSt_chr4.27993 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175874956:175876214:-1 gene:KYUSg_chr4.27993 transcript:KYUSt_chr4.27993 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAEVVLLGQLRHPHLVKLIGYCYEDEHRLLVYEFMARGSLEKHLFKILHSLLLMAMLLSLGNCRVLCVVAVVNTAEGLAFLHDATKPVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPDGEETHVSTRVIGTQGYAAPEYIMTGHLTTKSDVYSFGVVLLELLTGRKVVDKNRPPREQSLIEWARPYLLHDSSRRLDRAIDKSMDGQYSRLAVQKAAPMVYQCLSVSPKSRPQMSAVVEALELLLTMDDVAIEPFVYMLPSESK >cds.KYUSt_chr4.40381 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249213143:249215452:1 gene:KYUSg_chr4.40381 transcript:KYUSt_chr4.40381 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHRQASLSKQHRAHHRTRSLASYLVREHRLLFVLLGFLLASSFFLLYPSLAPHPSSLSSASSARAAEGAVTRYPRVFSTAAANSNAARRLPVGVRKKPLRVVVTGGAGFVGSHLVDKLLARGDSVIVVDNFFTGRKDNLAHHLANPRFELIRHDVVEPILLETNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKQPMTVYGDGKQTRSFQYVSDLVDGLVTLMESKYIGPFNLGNPGEFTMLELAQVVKETIDPSASVEFKPNTADDPHMRKPDISKAKSLLHWEPKVSLRQGLPRMVSDFQKRILDEK >cds.KYUSt_chr1.21864 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129097382:129102362:-1 gene:KYUSg_chr1.21864 transcript:KYUSt_chr1.21864 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKSAVPADLRRAVGEGTTRDLPSTTSLLLNFLDGLPLFHQVITHPAASLYSILCSWPPASVARRLGLWSHSVAVSLRLAIEKVISELTDPELALCRKDKERAVELKGQGNACFSRREFQQALSFYSQALRYVPICSDGTDEILLATLYVNRASTMHKLGLLEECLRDCDRAISVSPNYAKAWYRRGMINASLKNYSSAMHDLEVALSMEATSSGKSNIEQELKLILLKHRNLNEVGRPSSDRKDAGLPHTAEPHMSVLECVSTPGKGRGMTSPNDISPASLIHVEDPLAAIILKSCRETHCHYCFSEAPADAVFCPLCTLPVYCSKKCQEQAVGGISLNHDTFIKSNSNEIDLGKLSLTSTKRKAPDSKQIAEHRHECGGAHWAAVLPADIVLAGRIMAQYIDRRMLAGKNSTISGPNLDLIHHYDIDSPTNKLESHIYAIVLSLCLQKYYKSDLSWKEETLSQLVLLICQVKVNSIAIVRMKSMDEGQGSTENKGYSAAGDAVMCSVEQVRVAQAIYMSGSLLNHSCRPNVHTYFHSRTLFLRSTEYIESGRPVELSYGPQAGKMDLPERQTSLRENYKFSCRCSSCSELHLSDLVIDSFRCPRSTCLGAVSELTYSKSKENFIHVSLQESDVCKLSLPHISNVDEDTKKVGKLFFRNDLDLKIDPGYCMSCRSQLDLASAVATSDRAASKINRFKELTVIDKVSEVSITDALQSLEQIKKLRHPYSKALAQAEDMIAEAFAKIGDQGQAEKHCEDSIKILKKLYHPKHIAIAHELIKLVSIKLSMGDMASAEATFAQAEAIFSLYYGPDALRILPYIDVLKRTVRGQFFESP >cds.KYUSt_contig_402.297 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1773785:1774792:-1 gene:KYUSg_contig_402.297 transcript:KYUSt_contig_402.297 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPTITSGPVPFTDVDDGTVPKRPAKEEFGDLVAALPRKQQAGLELRLYQGFWLPEHWVAGTVVFQRRFSPRRDDVILASYPKCGTTWLKALAFATMTRDAYPEPAQHPLLRLNPHDCIPFLDEIFADGQEAKLEKLPSPRLMNTHMPYTLLPESVTGGNVKVVYICRDPKDMVVSLWHFLRRRQPGLSFAELFEHECDGAVAVGPIWDHVLTYWHASLACPDRVLFLRYEDLLQDTGNHVRRLAEFVGRPFSAAEESAGAVEGVVELCSFEKMKGLEVNKKGSSGAYHAMPRDAFFRKGVSGDWVNHMTPDMATRLDEIVSEKFRGTGLGAP >cds.KYUSt_chr7.7548 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45500910:45505817:-1 gene:KYUSg_chr7.7548 transcript:KYUSt_chr7.7548 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVFFGDLEPSLQTLLAQGGGCVGEVEAIVGCSSQPSCLAAHTAMAVREDSSSSHGSGNSVVGANGHAGDAADQTACASLAGASEASGCALVSGWKRSVERRRLSHPASNTKTTESFPGVPRCVSRQLGHGHPQARLLVLDEETSVPTKEEDAIPDQCFQGQFWGGPWLPGSQQLVDAAPIACGGCSSGREAKPKAAKKVLSPEEKIIEAAKRRGWRKNQKIKTAATANQQVWQMQIKDGVAQVALHPSLAEGYMLVKKEGMAGVAPPDSSVSSVSSQLRPGTPAPLHGHAASRFASGLPPVKSTGAWRR >cds.KYUSt_chr7.27524 pep primary_assembly:MPB_Lper_Kyuss_1697:7:171895102:171896948:-1 gene:KYUSg_chr7.27524 transcript:KYUSt_chr7.27524 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKFQPYKDPLGKKLTNWAERYMSMGAKDELIKSVVQAIPIHVMSVFKLPVGFHEDYMRIVRNFWWGEDENKRKVNVDASYCHVSGEAAVGAVVRNHQGQIIVASSKVIEKCQDPEEAEACAILEGLMLAIDNNLEPNSVESDCANAVSNVHRNTGKHSRAWVVYNNIDIVSLLIPACKVVKIGRTANQVAHDFAALARKSGESKVWMDPTPPDIQEIAVKDFVSLPLSNI >cds.KYUSt_chr6.17661 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111112423:111113335:1 gene:KYUSg_chr6.17661 transcript:KYUSt_chr6.17661 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDICQLLKVFCHATNVISGSDYPTSNLYFLEIWSVKVVLDEQEKSSNATIRIMVKEMKKKFHKYFMESYLTNRIPVVLDPRFKMELVELRLKKYFGVSADKHIQEVKEAIMALFLAYGAKIEENINIQLQEQNGEEAGLADDALSDFDAHVKLKKAKSHNELHRYLEEDFHPRTPDFDILKWWAVNAPRTSLGADSVEALMCYGDWIRRGGKHVS >cds.KYUSt_contig_1181.70 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:462098:465541:1 gene:KYUSg_contig_1181.70 transcript:KYUSt_contig_1181.70 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFVFLLTAACILVSVAVRRRRIGRGGALGEDQELMLPPGSMGLPYVGETLLLYHQNPSVFFASKQMRYGDIFKTHLLGCPCVMLASPEAARFVLVTHQKLFKPTYPPSKERMIGPSALFFHQGDYHLRLRRLVQGSLGPDALRALLPDVESAVASTLAAWDGHVASTFHAMKRLSFEVGITTIFGSLLDGRHKEELRRNYAVVEKGYNCFPNRIPGTLYYRAVQARKRLREILGEIMADRRARGDQQGSGGDILGGLMRAAALTDDQVADNVIGVLFAAQDTTASVLTWILKYLRDHPKLLDAVKAEQMATYEANEGGKRPLTWAQTRGMTLTHAVIMESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHSPEYFQDPDKFDPSRFKVSPRPNTFLPFGSGAHACPGNELAKLEMLVLLHRLVTAYRWEVVGSSDGVEYSPFPVPRRGLRAKLWKAEGDGGAAVRGA >cds.KYUSt_chr1.7317 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44820646:44820879:1 gene:KYUSg_chr1.7317 transcript:KYUSt_chr1.7317 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKPTMVVAANSMRDGRRPREVKAAGGVMPLAAGRGVMPRGGDSAMLSRSGAGSVIPLGADGDGERPYKETAVRYR >cds.KYUSt_chr5.31958 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202654766:202656268:-1 gene:KYUSg_chr5.31958 transcript:KYUSt_chr5.31958 gene_biotype:protein_coding transcript_biotype:protein_coding MATALAALIAGAATVHHIRQLHAHLLTSGRLPTLGPILLRRIISLPSPNLHLALAHRLLLSLPSPPLAHFNLLLPPLASSPEPSAAASLFLRLRRDGLRPDAHTLPHLLKALARLAPGSLPLVASTHAESIKDGLEHAVVYVRNALMAAYSACGQPARAMQVFDEMPRRTVVSWNTALTACVDNDCHDRCAGLFAAMVEAGFEPDQTTFVCMLSAAAELGNLPLGKWAHGQVVARRLDTTLQFSTAAVNMYAKCGLVRYAWRLFERMPARNVRTWSAMILGFAQNGLAWEALKLFERMKDASVAPNYVTFLGLLCACSHAGLVDEGRRFFREMRHVYGIEPMMRHYSAMVDVLGRNGRLQEAYDFVTDMPVEADPVVWRTLLGACQLHSSKDCIGIVSKVQERLLELEPRRSGNYVMVANIYSDIGSWDEAAKARRVMREGGMKKMAGESCVEVGGLVHRFISGDDSCPDYHGACSTVHELNLNMRKCEPIDGILLIDSE >cds.KYUSt_chr3.36967 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232583076:232585941:1 gene:KYUSg_chr3.36967 transcript:KYUSt_chr3.36967 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSCAALKVAAMFVLVIFAGQLLIAEPAAASGTQCLKCITDCSESQQREETLTLIGIKSPARLPRIAPFHLFLLAIHRLHLPFLILFRTEWGTGFSLILLLDPEDLAAGVLAA >cds.KYUSt_chr1.31696 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192266263:192269429:1 gene:KYUSg_chr1.31696 transcript:KYUSt_chr1.31696 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLVLIAFLSASLFFPHPPCANGADLNSDKQALLAFAASLPHARKINWTLTTQVCRSWVGVTCTPDGKRVRMLRLPAIGLFGPMPSNILGKLDALEVLSLRSNRLTVGLPPDVPSIPSLHSLYLQHNNLSGIIPTSLSSNLAFLDLSYNSFVGEIPLQVQNITQLTGLSLQNNSLSGPIPDLHLPNLKYLNLSNNNFSGAIPLSLQKFPANSFLGNAFLCGFPLEPCPGSAPSPSPNTPFPQDSKKDFWRRLWRKHHTAIIIAIIAGGGVLLLILIIILLICIFKRKKDGELGTAASSSKGKGVVGGRGEKSKQEYSSGVQEAERNKLVFFEGCSYNFDLEDLLRASAEVLGKGSHGTTYKAVLEDGTTVVVKRLKEVVAGKKEFEQQMEIIDRLGQHHQGVVPLRAFYYSKDEKLLVYDYVPPGSLSAALHGNKSAGRAPLDWETRVRISLGAARAIAHLHTEGGVKFIHGNIKSNNILLSQELSACVSDFGLAQLMATPHVHPRLIGYRAPEVLEGKKPTQKSDVYSFGVLLLEMLTGKAPLRSPGRDDSIEHLPRWVQSVVREEWTSEVFDVDLLRHSNTEDEMVQLLQVAMACVAIPPDQRPRMEDVVRRIEEIRSSGSGTTTRTSPEDKPREEHIQIT >cds.KYUSt_chr2.47606 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297836426:297844499:1 gene:KYUSg_chr2.47606 transcript:KYUSt_chr2.47606 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCPRWSSCLRHGSLLSLLLLLSVLCSSRRAAAQAQPQPQTDPTEAAAVNAILAKFGLKAQPSWNISGNLCSGAATDDSVVLDDNPNFNPAIKKINELDAAGPIPEELRNLTRLTKLDLKKNSLTGPLPAFLGELTTMQYIALGSNHFNGSLPDELGKLTKLQQMWASDNNFTGKIPDYLGSFTNLNQLRIQGNSFQGPIPISLSNLMKLTSLRIGDIVNGSSSMAFGERKEQNFDIKKAAGGKSYVAVKKQYVVPVVKNFLEIHLFWAGKGTCCIPTQGYYGSAIAALSATPNFTPTVRNFAAKKKGSKTGVIAGVIVGVAVLGLLAFAGIFVWRQKKRKLTLEQEELYSIVGRPNVLSYGELRSATENFSSNNLLGQGGYGSVYKGKLTDGRFVAVKQLSETSHQGKKEFATEIETISRVQHRNLVKLYGCCLEGNKPLLVYEYLEKGSLDHALFGKGRSNLDWPTRFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDEQETHVSTKVAGTFGYLAPEYAMRGHVTEKVDVFAFGVVVLETLAGRPNYYTTGDQNKIYIFEWVWELYEHNNPLDMLDPRLEEFNSEEVLRAIKVALVCTQGSPHQRPSMSRVVAMLTGDVEAPDNVAKPSYITEWQIKGGDTSFMSSEVSGQSSLTPRSGTAHLPFLGDEGR >cds.KYUSt_chr1.39606 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242359732:242360228:1 gene:KYUSg_chr1.39606 transcript:KYUSt_chr1.39606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit VI, chloroplast precursor (PSI- H) (Light-harvesting complex I 11 kDa protein) (GOS5 protein) [Source: Projected from Oryza sativa (Os05g0560000)] MASLVAVQPVAVKGLSGSSISGRKLAVRPSSARSIRRARGAAVVAKYGEKSVYFDLDDIGNTTGQWDLYGSDAPSPYNGLQSKFFNTFAAPFTKRGLLLKFLLLGGGSLLAYVSASASPDLLPIKKGPQLPPVPGPRGKI >cds.KYUSt_chr7.35824 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223754668:223756713:-1 gene:KYUSg_chr7.35824 transcript:KYUSt_chr7.35824 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPCPAGAPPDAHSVEHLPRAPKPHGAPLLHQVLPACTTLRSLRALHGRLLAQALLRGNPRASTKLLSCYAALGDLASARRVLDGTPHPDAYTYKVALAQHAAAGRHAEALALHRDMRRRCPAALADAVLLSLALKASVRSADFRYGRRLHCDAVKAAGGGDVFVANCLVDMYAKAGDLDNARKVFDRVPERNVVSWTSMLSGCLQNGFAEQALVLFNELQLQHVLPSEHTMASVLTACTMLRSLHQGRWIHGAVLKHGMGFINPFIAAALLDMYVKCGEVEDARRVFDGLGSVDLVLWTTMIVGYTQNGSPLDALLLFVDRKFVRIVPNSVTIATVLSASAQLRSLSLGRSIHGISVKLGVAEYDVVMNALVDMYAKCKAVPEANAIFERISNKDVVTWNSLIAGYVENEMGNEALMLFSQMRVKGSKPDAISVVNALSACVCLGDILIGKCFHTYAVKCAFTPNIYVNTALLNLYNKCADLPSAQGVFNEMKTRNSVTWGAMIGGYGMQGDSAGSIHLFNEMLKDNIQPNEVVFTSILSTCSHAGMVTVGKKCFDSMTRYFNITPTMKHYACMVDVLARVGNLEEALQFIQKMPMQADLSVWGAFLHGCKLHSRLEFGEEAINRMTVLHPDTPDFYVLMSNLYTSYGMWDKSLSIRRSMKERGLVKLPGCSSVGLENG >cds.KYUSt_chr1.18906 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110973231:110974418:-1 gene:KYUSg_chr1.18906 transcript:KYUSt_chr1.18906 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGWSSLPADLLAQISALLLSDADHLHVHQVCAHWRASTSRPAAYRPWVVAGRQVPDGRGPLGEYSLLLPRGGRRVDFAASPPPGLRYCCGTPRGWLALVDDLRSPTRLVLWEPHSGAEIPLPCLCPVIQVFLSGDPLSSPDWVAIATQPKGPIAHILFFWRPGDAAWSGPGRAPAATVHSIEFYAGKVYCVDSRENITIYDLKLGTTSPPVFLQATGMFITQAYREPGANRRLNCLTHSVRAVHVVACGGELLLVLLFDSRRGMFMEVYRPAWTPLWPCRVGERVTDLGGYALFLGRGDVAALSSKEYPAIKGNCIYYLKHSLNAHSLNAHRDALMKHLAIVEKMKHWAMVFDLGTDAMEHIPYPEVLHKEERWWPYSWFCPPRPLLERSSFS >cds.KYUSt_chr2.22934 pep primary_assembly:MPB_Lper_Kyuss_1697:2:140342222:140344887:1 gene:KYUSg_chr2.22934 transcript:KYUSt_chr2.22934 gene_biotype:protein_coding transcript_biotype:protein_coding MPWCPGIGPRVRSFLRDYDALQSLALALIYLQVRARFPLPRKREVGRLIDRVFKCLINRPETRSAFMSGSHIQELRVNAQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAVLLFFAIVLDVAWFILFSRDIWYAFCNHYLSV >cds.KYUSt_chr4.44543 pep primary_assembly:MPB_Lper_Kyuss_1697:4:275907363:275907938:-1 gene:KYUSg_chr4.44543 transcript:KYUSt_chr4.44543 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPAVARNTAWRRKEDGEAGAAHGALAAGRGGREREGQGQRVRICAGLQRNSSPEDTREARSRLQTPAATRGAESLDGRGTSQPAAAAKEEEAGGGGRAHGRLGTGTDKDAVRPRAGVSSGRDPTSAPHCGVQDDDADGAQAKLGPNLGSIVLVPRGCRSRQARSRAASGASSTAPPTSPIFSSSAERR >cds.KYUSt_chr7.10626 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65288406:65289725:1 gene:KYUSg_chr7.10626 transcript:KYUSt_chr7.10626 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKVCPREYIPPHIVAEAISTLHGLDLRWSGPITPGERLYVEQYVMAKYPQYSHGLIEEESCDKDDLYSTYYSTGSMTSPEGGSSERRRSSPTGSPSSARPDIDMVRLEPSRLLDILTKKSSFTGSFISIPEIQARNRVLRHCGLTDDEYLVLFAATPKDAMMLVGESYPFFRNNYYMSILGEDRDCIRAFASYKEAKVIAAPESWLDLRIKGSQLSQYFRRKSKLAPKGLFAYPAVSAADSDGVAPPPPSYSLHWVSEAHRNAWHVLLDATALVIGEDRLPLTLHRPDLVLCTLDDTHSQKPSAMVTCLLVRRRSFDTSTLSQPQQQKQ >cds.KYUSt_chr5.6514 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40430137:40434426:-1 gene:KYUSg_chr5.6514 transcript:KYUSt_chr5.6514 gene_biotype:protein_coding transcript_biotype:protein_coding MACTWGILPFVLPLDKAMRRQFVTRLRLFVGPTIAQAHLSVAADEICFGKACCLPVEKISTRRGIVDLSSRVADPCATLAASPNRIVCSILPNLLPPSAMPNPLVLPALAAATILPLRPCPLPAPSPYPSAGWGPLPRATSVFVVERQDEGGDDDGRSSSFPALAAGSEEEGFCAAIQMDIDQRIVAIVWRSTSLLHWKEQPTSPPGVYPHLGKAVVPLVTLVKRFEWEIGVKSCSPSKQRSMKSSKGVQEMALREVLMILLSTNGYILSKASFKLPERDCSDDLAGVYGAKFTPVYKIQGGKVTQECIQ >cds.KYUSt_chr7.34109 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213115716:213116836:-1 gene:KYUSg_chr7.34109 transcript:KYUSt_chr7.34109 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRASLLVILGCLFFFSSALAARELNDDLSMVAMHESWMAQYGRVYKDAAEKSERFEIFKANAQFIESFNAAGHKFWLNTNQFADISNDEFRAININKGFIANKKVKVPRTFRYENMSLDALPATVDWRTKGAVTPVKDQGQCGCCWAFSAVAATEGVVKISTGTLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTKESSYPYSAADGKCKSGPSSAATIKSYEDVPTNDEGALMKAVASQPVSVAVDGGDMTFQFYSGGVMIGSCGTDLDHGIAAIGYGTTSDGTKYWLMKNSWGTSWGENGFLRMEKDITDKKGMCGLAMEPSYPTA >cds.KYUSt_chr1.26218 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157855944:157858766:1 gene:KYUSg_chr1.26218 transcript:KYUSt_chr1.26218 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVQCPTPAGSSDAGHLFDGMPDSVVATEEDRIGALSDDILRFVLSFLPSLESVCTCVLARRWRNLWKSVPTVLIYDEEEARFVTSLLLLRDRVPLHEFLFTSFLSENETPQDVEMWLRYAASCHVRVLRLDVESYDTTARLRLPNMTLVCQHLTALYFSSLKLQGGTLDFSSCPVLGKLQMVNCEIHAEKILCQSLRRLVTYMCIFVADARTRISCPSLTALELSENVGLTPFLESMPSLVTAFVEFDREWSEREELYDHCLDGGYYVGCGEWDCIPCHHIDREGDGCVLLDGLCGSTKLTLISAPTMHSPILERLTVQLSYYGVPTGCEDNLPFVITEYHASSCLSCIGAYSSHLRSVSLVLSAVDMGIPYRVLSAAIPVQSPGRNRGKPTK >cds.KYUSt_chr7.36805 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229982541:229993385:1 gene:KYUSg_chr7.36805 transcript:KYUSt_chr7.36805 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAEAAIAADVERRAAADAAYGGRAAAPGHGPPPPPASPAAAPPQAGQPLTPEELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDPEEDGAVYQWFYDHKPLVKTLFINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVKLCIYHTPMIMYIKTEDPDLPAFYYDPLINPITSTSKVDRREKKASEEEDEDDFCLPEHVEPLLKRTPLYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWFKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQSTKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLLDIWDTSDGQCVVMMQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFLTYTDTKVETRHPIRLYSRYIDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSAYSKDNPNLLFSMSGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSYTAFSRLVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQAAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQVAGFLYGLSPQDNPQVKEIRCISIPPQHGTHQMVTLPTNLPEHEFLADLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDNGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNAPWNYNFMGVKHDPQMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGDVAEGDREDTFS >cds.KYUSt_chr3.27015 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168575930:168577239:1 gene:KYUSg_chr3.27015 transcript:KYUSt_chr3.27015 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSPLLSLSSLSASLPSPVRAPASLSLRALAPQARLSTSYAAFPIGGFGNSASTGRWRRRGLEVVCAEPPKTGRQPDSVKKRERQNDVHRIRNHARKAEMRTRMKKVFRALEKLRKKADAQPEEIIEIEKMISEAYKAIDKTVKVGAMHRNTGDHRKSRLARRKKAIEILRGWYVPNAEPAATAA >cds.KYUSt_chr6.4217 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24354940:24362293:-1 gene:KYUSg_chr6.4217 transcript:KYUSt_chr6.4217 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAVPAAASAEADGAPRMAKFLISFGGSILPRPLDGRLRYVGGDTRIVMLPRDIPYSDLAARMRELYEDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRVFLFSQHLDDDAVSAAVHYRGDDRETERRYVDALNSLGDMRSPSSPVSVEQLFGIGGNESGIPDSLHHLNVPRPSQSQRYGEMDSPWSPAYVSPGQQYGVHDPRDFPVSPSSARFQVGAEDFDERIPDDFVRSSPKYRHYETQSPPHVDNLVWLPPGAVIQQNAGFPGNLGQQNAGFPGNLGRSGTFLDGNSVYDSRSPFQKVQGSVGDPRYVDPRWRPVTQTFDQSSMANEYSAHPSNPRQDYFRPGEHYVVGQDVRLENGVYVKDQAGGHPPMFYNESHPHDRAWHAHPNQSHQRYEDPRLNLAGNGRVMDPYIDSNSANSAFAPNKVYEMHSASHSRSSHESPHYYHGSGEHINDAYHNQQVVSSGSYVQTSGFEESTGQHYSHTSTYGGDTFYQMQQNLPPLQPSRRRASSPVHTASPYESPHLPIPNGGSINSNFVRNTGDVSPRIPGVPAYDRVPNPWPLPNGSIPYRIVGHDIPAAVENSSSLGPWPNTNTTQYAQPFFAPESAQQQPGAPLIEIVPERASAGPMPASRDGKVSVAAVPLTDQLSILDISTTNKFEGPQDERHARNVTDSHSVIDPSTLVHSVEVTHEVQQGKSTEHDGRATALQEGGDISGDRLNLLPEFVASVKKAALEDAEKPGEIQPDASRAISPVCADDNDEKKFDENTEGNQDNDVHGSCDPHKSSGIESTPAEAEALSKGLQTIKNDDLEEIRELGSGTYGAVFHGKWRGCDVAIKRIKASCFDGRPSERERLIADFWKEAQILSSLHHPNVVAFYGVVRDGPDGSLATVTEFMVNGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSDMVSEKIDVYSFGIVMWELLTGDDPYSDMRAAEIIGGIVNNALRPQIPSWCDPEWKSLMEAPCRPHHRHVRRRHQHHHRHRRRHDRRPRAAGSRRRRQRRPGRRRPTGLDLLPATLADLAGDLRAIRFELAEIKVGQHPPAPPAAVPPPALPPASAASNGRPAWWPPSPSPIPTWIDASPVYTQTAARTTVQQPAHPFGGPGGFAAPFAASTSFNPGRQEGAPEVPPLAQQPPRFTKLEFATYDGATDPLNWLNQCEQFFRGQRTLSADRTWIASYHLRGAAQTWYYALEQDEGGMPSWERFRDLCLQRFGPTLRGSRLAFTTTVQDFADRFQALACHAPGVSAR >cds.KYUSt_chr7.29310 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182411838:182414992:1 gene:KYUSg_chr7.29310 transcript:KYUSt_chr7.29310 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHCTVILLLSATRLLLHAAVASPPLPDPASLEPSLLFPSAGASQAQPGAASTIPAFPEQSEAAAASSVCQLTPSPPLLPAVLASCNAGAGGGVLPPRLRCCPALAAWLYAAYAPTALGSGGGSERPAAAADVSDLPLLPDDAEECAGAAVRALRTAGATLPQPQPQVGSNGTICDVPFCYCGVRLRRPACPVPAGRAARRLERDCARPGLAGCSRCLRALNLLSFSGEKNATSAKARHGGGPRERDCQLMGLSWLLQRNATRHRAAATAVIQAIMAADEAGTSGAPATCSLPVDDLPFAVASSEINGAALPTIERLIQALLGTSAIILSLSL >cds.KYUSt_chr3.45125 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284277234:284277533:1 gene:KYUSg_chr3.45125 transcript:KYUSt_chr3.45125 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDVENPGSAADGIAGNPTDVKAAAGKRRHPAMTFISCLGLAIAFIVYVASVILVGFIYDSPSNFTRTLGIVVGAIGMASGWCLTILFMAVFHLSYV >cds.KYUSt_chr3.43535 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274748085:274748624:-1 gene:KYUSg_chr3.43535 transcript:KYUSt_chr3.43535 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRRLLLAAAVSTLCVLASTVAGDGGKPLVTAITKDAATFLYSAPVIRNGQPGHHLVLDLSGPIIWSTCAADHRTLECNSVACMRAHRFHPPGCRHTGYGKPDDDNPYRCKCTAHPHNPVCGATVSGDVTRTALSANATDGRNPLRPVSFVAVTSCAPDSLLARCRPAAPAGLRPVA >cds.KYUSt_chr2.34053 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210492746:210493387:-1 gene:KYUSg_chr2.34053 transcript:KYUSt_chr2.34053 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQWKALADTVDSELAQACARHLEPYLRRANSLLGFRNFEMGLLNGGHVVPLEGADLVNPAINLMLALDEMARCNELSSRSIRVYSIYAGTLGVLTDAPLWQRNLAAGPQAAAGNPMWQTWAQRRADALHRTEDMSRLLRSVAAYDLATVDAFIVARSLSENSPSFRPWIRAAAKFAAIAKEQAHEASQFFETIMSSAVIMQIAVGGIMLTH >cds.KYUSt_chr6.26189 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165901846:165903894:-1 gene:KYUSg_chr6.26189 transcript:KYUSt_chr6.26189 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRSTLPATKPHSIYFPDFTISNPYSLMTSMAATTDYTLLGPPEARHAAITASAPTGEAFSSNPTNGNVLNNESNAIGPAGKTRTKKRSPTYASSGDPCLDFFFRVLPATPPATVARLLAAAWAADPATALRLVANLRGVRGSGKSDRESFYAAALWLHASHPATLAGNAANVAAFGYLKDLPELLHRIVRGGVSNKAERRMALHAAGRRSVFGLGRYRDSLGRVRNFRQRNRRPKINRAERTGTAEERLAAKLRHDRELSAAAVLPRRIKRVDAAARAIRMYRLDATYRFLHDRTADLFAGLLAEDMRKLAAGNVRELALAAKWCPSVGSSYDRSTLLCEAVARRLFPRGSSAELPDDLSDEHYAYRARERLRRAALVPLRRALQLPEIFMSARAWESVVYTRVASVAMKNYQTVFLKHDAERFKAYLADVKSGKKRIAAGALLPHQIVESLSVDGDAGVADLQWQRMVSDMRALGKLTNCVAVCDVSGSMTGLPMEVCVALGLLVSELTDVPWRGRVITFSEHPQIHMITGNTLSEKVGFMRAMDWGMNTNFQAVFDKILEVAVDACLPPEKMVRRVFVFSDMEFDQASAHPWETDYEAIVRKFSEAGYGAAVPEIVFWNLAYSKAMPVMSGEKGVALVSGFSKNLLKLFLDGGGIVTPRDIMEKAIVGPEYDKLTVFD >cds.KYUSt_chr4.47616 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294745557:294746467:1 gene:KYUSg_chr4.47616 transcript:KYUSt_chr4.47616 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKGFILQLFLVCLAAPAVRSSWLQGTATFYGGSDGSGTMGGACGYTNLYDQGYGLSNAALSTVLFNDGASCGQCYLIICDQSKSTMCKTGKAITVTATNLCPPNYNLPNDNGGWCNPPRPHFDMSQPAWLNIGIYEAGIIPVVYQQVKCWRTGGLRFTILGFNYFELVLVTNVAGSGSIKSISVKGTNTGWTQMSRNWGVIWQGMSGLAGQSLSFSISSTGGQNIIFQNVIPAGWTFGQTYSTWQQFDY >cds.KYUSt_contig_528.689 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:4136558:4147381:-1 gene:KYUSg_contig_528.689 transcript:KYUSt_contig_528.689 gene_biotype:protein_coding transcript_biotype:protein_coding VGLAEMKLAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEHSLGLSFNGTLEINCSKDIKVQGVIGPCTSLEKKGALCADTVVGQGNSTAWKMCGLDRNTSFTVFFDVSPSERTSQPGHQNPDLYIQFVTSYQHPEGQMRIRVTTISRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMELEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRESISNSVAMIQPSLISFSFDTPPSPVFLDVASIAPDRILLLDAYFSVVIFHGMTIAQWRNMCYQNQPEHQQFAQLLQAPQEEAQVIINGRFPVPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVPAGSDIIFTDDVSFQVFC >cds.KYUSt_chr6.31312 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198104884:198111483:1 gene:KYUSg_chr6.31312 transcript:KYUSt_chr6.31312 gene_biotype:protein_coding transcript_biotype:protein_coding MPEELNHAVYLSYEDLPSCIKQCLLYYSLIPKTATLDKHDIVGMWIAEGFLHGTSDNLEELGTKYYKELIVRNLIEPNPEYVDQSVCSMHDVVRSFAQFVSRDEALAAHSGETNIGSKLSAHKFLRLSLESKSSESDGLEWSSLQTQTILRTLILVGHINMKSADLLVHFPSLRTLHADSTGVGELAEVLHEFKHLRFLSLENSDIASLPDNLGKLKFLRFISLRGSKQFVKLPDSIVHLSELRFLNISATSKCSIPRGFCGLTNLRTVHGFPAIEDGDWCSLEELGPLYQLTDIGLKGLEDVTVPSSAAKAKLGEKVHLTYLSLSWHSRLGDDGKIKEDDSVSEEEQEKTEKVFDELCPPPNLEYISIYGYFGRRLPTWMMSSSVVPLKILRILNIEDLACCTLLPDGLCHLPYLEFIQIYRAPSIKRVGPEFLHSNHHQSLPPSHVAVAFPKVHEMNLIGMVEWEEWEWVEAVQAFPVLRTLVVNHCKLTSLPAGLSSQARALNKLDIGHVKALTSVKNFATLVRLEVDTLPDLERITNLARLQILIIEGCPKLKVLEGVPALQSLSLDDEDMETLPEYMGGISPSCLELYCGLALLSSIAAGPSGPEWDKFSRIKHVKAYAREGDMVKKWYVLYTDNPYKLETNFSRSFMSTGTLSCFEDAQRFESVFKMTRKTFDYICSLVKVPSLEDMDSYTFVDRRVLCLQDRVAVALIRLCSTESSETLGSSVGVSESTIKLVTEKFVDAVCEERAAHHSYWPDSSKMDKTKSTFGKIHNLHNCCGVICTTHIPFGPNCDHGENGCILMQAMIDPKMRIMNLSLNSWDSNTQLSILQKSRLFKECQKGGWLNGSKLKVASDGSEVGEYIIGDAGYPLLPWLLTPYQEENLSDPKVEFNRRHSAATACARKALAMFQEKWKCLQEDVWWPENLQTRYKMIYACCRLHNIVIDMEDDAGMPSAKAKDWNYHQRVRQVANEDAVRARDMLSEYFLASRSSKSGDMEDDAGMPSIGKRNYGQEVRQLADEDAVRARDMLSQYFWTSMPSAPGGDVEEDPEVAASGSGDEDKEQQVQTRATAEEGQGIII >cds.KYUSt_chr6.13759 pep primary_assembly:MPB_Lper_Kyuss_1697:6:86142218:86144200:1 gene:KYUSg_chr6.13759 transcript:KYUSt_chr6.13759 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPLVRRCLALLHSKNPVPLAPTTTTQLHALLLTSGHLHYSSLYPLFMLYRACGLPSNAHNLLGQMPQPHPVSLDNSLLRSYTDLGHYRDAVALYSQMRSFDHLTFPFAAKACAGLRLSCHGRAVHSRALAAGFGGDAYVQNALISMYMSCGGVAEAEAVFGAMQNRSVVSWNAVIAGCVKNGCAERALEVFGEMAGDGSEVDRATVVSVLPACARVKNLSTGRAVHRLIEERGLGDYAAVKNALIDMYGKCGRLEDARRVFDDHKYDKNVVSWTVMIGAYALNDCANEAFTLGCEMLMTGGAPWPNGVTMAYLLSACSSLSSGKHAKCMHAMCIRLGLESDIVVETALIDAYAKCCKMKMMELVLENGSRRTETWNAAISGYTRGNEDKRAIELFKRMIAESVHPDSATFASILPAYAESADLRQATNIHCCLLTLGFLRSTVITTGLIDVYAKAGGLDMAWALFCGLPEKDVVAWTTVIAGYGMHGHARTAILLYDRMVELGVKPNTVTFTSLLYACSHAGMVDEGLQLFEDMRNIHGIMPNVEHYSSLVDMVGRAGRIKEAYGLIKDMPFEPSTSVWGVLLGACVLHKNVEFGEIAAKHLFELEPGNTGNHVLLGNIYAAADMWKDVQDVRRVMVEKGLSKEPGSSLVETRSEHR >cds.KYUSt_chr6.31602 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199737050:199742006:-1 gene:KYUSg_chr6.31602 transcript:KYUSt_chr6.31602 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNSIPERKGWKPKGGGDEENVQKGVALTSAWFFGNALFAKELCDILSSLESANPGIGKAIACVLTGMTIKGKSKKVGDCPRTGIRKEKPLRRKDKKCGTIGEAPSDSRDGVTNAADYTVDSRHDDADGHVTNYKDDAIAGTNNTANHATD >cds.KYUSt_chr2.35998 pep primary_assembly:MPB_Lper_Kyuss_1697:2:222380362:222384913:1 gene:KYUSg_chr2.35998 transcript:KYUSt_chr2.35998 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSVQRQTSLVPFLRLAGKVMAMEGKEEEMSVEIEGPADPETLVRRDSFYGDAEKVSVDKHHGSGASWRQTLQLAFQSIGVVYGDVGTSPLYVYSSTFPHGIKHRDDLLGVLSLILYTFILLAMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDASVSNYSIQEPNSQMRRAQWVKQKLESSNAAKIALFTITILGTAMVMGDGTLTPAISVLSAVSGIREKAPNLTQSQVVWISVAILFLLFSVQRLGTDKVGYSFAPIISVWFLLIAGTGVYNLATHDITVLRALNPMYIVEYFARNGKEAWVSLGGVILCITGAEAMFADLGHFNIRAIQLSFNFILFPSVALCYMGQASYLRKFPENVGDTFYKSIPAPMFWPTFIVAIFAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSNKHAGQVYIPEVNFLIGAASIVVTLAFQTTTNIGNAYGICVVTVFSITTHLMTVVMLLIWKNHMIYIVAFYVVFGLAEFLYLSSILSKFAEGGYLPFCFSLVLMALMATWHYVHVHRYWYELDHVVPAAELTALLGRHDVRRVAGMGLLYSELVQGIPPVFPRLVDKIPSVHAVFVFMSIKNLPIPRVAPPERFIFRRVGPAKHRMFRCVARYGYTDQIEGTKEFSAFLLDRLKMFIEEEAAFDVDDHVRRGVQMAAAEEEKRFIDAEAEHGVVYLMGEADVAAAAGSSVMKKMVVNCVYNFLRKNLSDSHKALSIPKDQLLKQLLDRGQATPCAGVDRPAGAEADDGNASPLTANGGEEEKEGRRSIDLDAYTQGQGVASSTTTPSHSSVAAGAARPRVAARDMIDEMPESQASATEIGVAEFMSQLDSTHTQGLDDLHFGDGDSYGYAKA >cds.KYUSt_chr7.13666 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84268264:84271234:-1 gene:KYUSg_chr7.13666 transcript:KYUSt_chr7.13666 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQNNLQDIPGRYIYADMGIGDDFIFLVFSSYTSYPVLKYTGDEERAAMMRLPPSAGDEIIDDPAVERQYSPSATTKPCWRTTTTRRSGVGVVIATLVFLALLVGTKWIHLDASAAFYSFLPATGVSSFSRPGSASRRTRSSTTPPILIPFSCGNDTSTPTTLCRASPSAPSASSPSQKRKQYSPGVPPPWCPNYFRYIHADLEPWRGTGITREVLETAQPHAEFRLVVVSGRAYVETYRPSFQTRDVFTQWGVLQLLARYPGRVPDVDIMFSSWDVPEPPMHPPDYYPDKSAMPPLFRYCKDEKVDLAILWPDWSFWGWPEVNVRPWGPIMEEFVRENARLRWADREPYAFWKGNPVVAWARQELMKCNNDSATGKEWNARLFTQDWDNATRNGFKDSNLANQCRYRYKIYVQGRSWSVSEKYILACDSPMLVIDTTFRDFFSRGLVAGKHYWPIDPANKCSAIKSAVDWGNAHPAQARRIGEEGSGFARDEMDMEYVYEYMLHVLTRYAALLRYRPTVPEKAVELCPESMACAAQGRDREFMMESRERYVARDEPCTLPPPFTAEDTREMAAKEEDVRSKVAKMEGR >cds.KYUSt_chr7.19981 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123801757:123804862:-1 gene:KYUSg_chr7.19981 transcript:KYUSt_chr7.19981 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVAGGLAKAAAVLVLVQVLCMARWSDGVGVNWGTQLSNPLPGSTMVRLLKDNGFDKVKLFDAEESILGALRGSGIQVMVGIPNDMLADLAGGGKAAEHWVKANVSKHVSDGVDIRFVAVGNEPFLTTFNGTYLNTTFPAMQSVQAALAKAGLGSRVKVTVALNADVYQSPTGKPSDGDFRDDIRGLMLTIVQFLASSGAPFVANVYPFISLYADPNFPLDYAFFQGSSSAVVDGGVTYENTFDANHDTLVAALRRNGFPDVPIVVGEVGWPTDGDANANPEYARRFNQGLLDHVASGKGTPLRPGVPVDAYLFSLVDEDQKSIRPGNFERHWGVFYYDGQPKYQLSLRSGNGAGTLVPAKGVEYLKKQWCVLKRDADLADQKVGDSVSYACGQADCTSLGYKTSCSGLDPRGNVSYAFNSYYQSNDQDDQACNFGGLATTTTVNPSSGTCRFIVQIVPADPTSAATASVAGGVATLLAALLLHRLF >cds.KYUSt_contig_973.105 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:1234390:1235294:-1 gene:KYUSg_contig_973.105 transcript:KYUSt_contig_973.105 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRQSPWRATLEGLARLHREDPPAAFVKLASAATALLRFRPRSDGWVDGLLRDDDSRKVRDDELLHAMPPLPRQNTLTADVHKISCSPSGRTRSLHNVPSSRVSPQTDWSRRRENFVASIGIHAIAKHMTDAIFSFIRNDVVVHDSFVQYIDMLVLDCRS >cds.KYUSt_chr2.38136 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236105187:236107283:-1 gene:KYUSg_chr2.38136 transcript:KYUSt_chr2.38136 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSSSAAVVSATSAHIAAGRLFAALDTLTPAWYSSPIPSSLYASLLHLAKSHRSLSAARRIAAHFAASTYFPSTSTSRSPVPTFLLNRAIESLAACGSLADARELFELMPRRDGGSWNAIIYASSRAGKPTEALSLFVDMYSLGVRPNDVTMASVLSCCAECLNLCGAQQLHGHISKRDFQSNVILGTALVDVYGKCLLLPQARRAFDGISEPNAISWNVIIRRYLLAGMGDMAVDMFFRMVWAGVRPLVYTVSHAISACRDNNALKEGRCIHTFVLRHGYEQHVHVQSAVVDLYAKCGAIDAAQRLFNLAPVKDMVISTSILSGLASCGRIDDAKRVFEGMEERNLVSWNAMLTGYIRSMDLTGALHFFQQMRKETKEFDAVTLGSVLNACTGMLDIGKGEEVHAFAFKSGLFSYPFLKNALLRMYRKCGCLRSAERLLVFEMGSDRDIYSWNSLISGYERHSMSEAALYALSEMQSEVTPNQSTFSSALAACANIFLPKQGMQIHAYVIRNGYEVDDILRSALVDMYCKCRLLDCAIRVFEAGPSRDVILWNSMIFGCAHSGKGEYGIELFDEMQKQGIKADSATFLGALVSCISEGHVELGRNYFTRMIDESIIPRMEHYECMIELFGKHGYMAELEEFVDHMPFEPTFAMWLRIFDCCREYGNRKLGERAAKCINDSNPLTHVRYEATPD >cds.KYUSt_chr2.12471 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79029294:79030118:-1 gene:KYUSg_chr2.12471 transcript:KYUSt_chr2.12471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase, Biosynthesis of jasmonic acid, Defence respons [Source: Projected from Oryza sativa (Os03g0438100)] MAAAPSPVSVRTAASGSAGNLPSWAARIGGSSRVGFGGRTSVSGAARKSGAVRASLFSPKPAVAKDARPTKVQELHVYELNERDRESPAYLRLSAKQTQNALGDLVPFTNKVSIVFSLLGRSLSFVFAEPDRPFPRRLLLQVYNGSLDKRIGITAGICILIQHVPERNGDRYEAIYSIYFGDYGHLTVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLNQIVFPFKIFYTFYLKGIPDLPRELLCTPVPPSPTVEPTPAAKAAEPHACLNNFTN >cds.KYUSt_scaffold_1259.521 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3519899:3521467:-1 gene:KYUSg_scaffold_1259.521 transcript:KYUSt_scaffold_1259.521 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAVVGETLSRISAFLIDQPEQKPSEQDDEERLEMAHIKMEAALRLSSKWQITEVPMLRWRCKLKRAAQECDSWLRHRKQRALELENDDTRRSSFPRRVARAAKSFVSSFHSRGKADADESSNSSVDIRRLERFAEGASEFLRFVEFGGAPGRQHVFFNPLVGDLLAGKTLRYRALRGGRLYHLGMRPTRFAERGVEAMVWFAFQDLREPAKSINFRFMLRLSESSDVFGIIIECLRSAATPHFMAAAEDVRRELVQLPTQDFRWVSQFPYAEKEYWVDVHSTLTRWLRPDPLCCDQHEHDLATVSNSNANSSSSTMPRLSSLYPEEVIVLYLQCYVELSDEQRSRQKATENGRTSNRNSGLAPPLKLGALFIPHDTPIGIDHGAETYALEVIDGKEQETVHKNLSLQDVDEKLLHKAIDHLCQNPNSRTYQMCLRSSHGTAYLCADKAGTRAPSSGRSKTAGSPSTRVRNKRMMGKKQEGDGMEGWLEVSRDLLKLWAVCASDQLHGSVRSWIVNSPQP >cds.KYUSt_chr4.53723 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332393039:332394592:1 gene:KYUSg_chr4.53723 transcript:KYUSt_chr4.53723 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDRDAFRGHFPVPAACRNRDFCPPNLGFAMAAALEGVDLREMLSCDFNVPFRRRLLPGLYGAEEGEKIKKGADQMLQHASALHHGAVSVAPGTPRPRAGFLMITNGED >cds.KYUSt_chr1.23480 pep primary_assembly:MPB_Lper_Kyuss_1697:1:139612061:139617069:1 gene:KYUSg_chr1.23480 transcript:KYUSt_chr1.23480 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPVGQVDRLFFGDSSELAGIMGPAYRVRIEEEGAVSVVAEAHDTGGDDEADSVAAVRQIHVQGPQPVESAGEVGEETRAAASMHSGGAMNGKRKIVGGVGPSESEQSTLKVNPEAPGWIKRVRVGGHATERSPCPNRVGTLEKMIRSYPERRGDYVLEPSLGMTFDSLGEAYDFYNLYSWEHGFGVRYGKSRLNPQRTKTMQEIVCGCSGKPAGENTRSCRYECPALIRLLRTEDKGWYITEQRATHNHSLSKTCAEKVYWPSHKHIDVYTKDLVKQLRENNVNIGKVYSIIGSFFGGVGNVPFTKRTLKNLCGRISKEQADDDVRKTMEIESFEWVFEEFVRMMGGVAPKTILTDQCRAMEVAISKVLPGTVHRWCKWHVLKKVKECLGPLYSKKSEFRSEFHKVVNHMLTEEEFETAWAMLLEKYSLKTHPFLTQIYEVRHKWAKPYFRGVFCAKMTSTQRSESANMMLKSYVPPGCAMNLFVRHYMRLQHDREKDEGYQEKRTKVASAVLHANLSIEEHASKVYTRAMFEQFGHNLYMAGAYRIEEVEKYKLYLAKHTKAHKREKWSRVEFQVKVADGGEFFECECGLFEHMGIVCSHTIKVMDYLGVEEIPKRHILKRWTRDARDVLPGHLEVYQNDHASSRSFTYRHSLLYRKALELVRLGDASAEACEKLDSLFESNLSIMTPYDSMRDGLGLEDRPAEQPCEMEAERVMVDDGMILAEEPNLLLGLGAPEKNRGAGRPTTSRDRAPYEGTGLLSKRTRFCTICRCSGHKRTTCPQRGDAPKQPRKVAKCTRQFSGAGKYIAEKCIECLCGGGPWTVDNGSGETWDGEFTEPKSRSVEVPSDVAGGKRKLMSLDDSKAAPASGSHPHGGDCGEKGNVVCKVEVEAEAGDGEEEQVGDYDPLGPAIVGGAVDAQLEEGESSGIWTEPIWYYEEDDEVSSAKHVRLD >cds.KYUSt_chr2.53495 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333695465:333696817:1 gene:KYUSg_chr2.53495 transcript:KYUSt_chr2.53495 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARGNRSRHPPATRPRPPPPPPPQLTALLADLASAVSLALRFASDRDLLLRPAQALALDPLLLAAARAVSRLLALLPLHLQTITLTSLSLSPPAPPPSSLPSSWFLRLLSSPHQDTAWRDAFRMSRPAFFHLLHCLSLSDPATSPSSSTTLSLPPDHKLGAALYRLAHGAPARAVARRFGLPSPAAAARAFYEVCRAVADRLAALLDLAAPDRISRAVPGFCALSLPNCCGALGYARFGAAAVTAQALVDAEGRFLDVSVGWDPSAPPPEILPRTKLYAAQALVLSNAPQGELIGGSVPRYFLAPACCPLLPWLVTPYRDTSVASRHDDMSSSSSKERIFNSVHAHGAQLVRNAFAHVRARWRLLGEPWKGDCQEALPYVVVAGCLLHNFLVKLGEPLPDDGAPVDAGDDVFVDFQGDNDREGERIRDVLAVHLSLLSRTQRQSVSSL >cds.KYUSt_chr1.25426 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152525935:152527371:1 gene:KYUSg_chr1.25426 transcript:KYUSt_chr1.25426 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQAASVLGSFPFRAAVVALCVLLLPLIPSPRAPAAGEGDGDGGGRQAFLAKVWELLHLLFVGIAVSYGLFSRRNDAGGRVGVGEKDAAAAGKADARYVSRMLQGDLVFDDDGDDRVPDSPHGGGARSWSALHHPQEPVVMVASGGGGRNHAAQQAPMSLPVRSLKQQDSGAAGYGNGEARARPRAQETVLPSPIPWRSRSGRFDASTNSPSPSPKRLSPASSMSNETLAKASEEQAAVPKRRSTHKSYISSPPPPPPPPPPFLVHGYHPVAERRTTAAKSFKEELQDHSTRGRGDRDHYSQSFSDSSTYTNSSPANPRSSFDGSSSSSSSPSVGKSVRTIRPREGIQPQIQELPDEDEAGDAPDNSHGSEEPYGYNAYQSIPRFQYERGSSDPILGKVAVSSDETESSDDDEDGVGAYSTRTNSPRESPPTPEVDENEVDKKAEEFIAKFRESIRLQRIESIKKSAGPRGVKHGK >cds.KYUSt_chr7.1962 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11215866:11218077:1 gene:KYUSg_chr7.1962 transcript:KYUSt_chr7.1962 gene_biotype:protein_coding transcript_biotype:protein_coding MMGERSDLAVCCWAHATSNMVQRSRATGRIRVTDIQPRRDAAGVTSLLCAAALLEPKELVLALPSGYMGNLSPLFFLQLPDHVRFHELETLSLLGCHVRFDSLLPCCPRLRVLRLKFNDRWGHNSIRSFMSLHSTSLQEICVDVENVSIDTVDLVAPELKKLTVSLKAFREVNISILAPMLEKLSWKCSYSFINFGPWRIAKLWLQKAERQGELPSLLIHARIVRVLSRSIYRAPTKPKFVE >cds.KYUSt_chr2.47578 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297653774:297659063:1 gene:KYUSg_chr2.47578 transcript:KYUSt_chr2.47578 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQARQRLALLRRFGSLRHVNGDASSPVHLHQLTPSCSYGIDDDPLGIKRLPNKFAEFVDGVEPTHLQLREASCNFCRWPVEVLFDGQGKMYLHMGWDKFARDLALESGCQLTFLYERDGEMIVKQYGHEPIEAISAGLSAALKAVFAKLRLPLSAWDMSFDPCTGQATDNTGIDNPLLNPGIKCELCTGTGNTSVCRITRLKIFALDAVGPIPEELWNLTALTNLELGQNYLTGPLSSLIGELTAMQYMSLGINALSGPLPKELGNLTNLISLYIDSAGFTGPLPSSLSKHTNMRTLWASDNDFTGLIPDYIGSWTNLTELNLVSNNFTVDSSSNSVLPMGWMCLQQDTPCFLGSPESSSFAVDSGSVRSISGSDGPMYEPDDASLGPASYYVAASYYVTGAPTWGVSNVGRFMDASNGSYIIYSSQQFQNTLDSELFLNARMSPSSLRYFGIGLENGNYTVTLQFAEFDFPDAESWKSRGRRVFDIYVQGERKEQNFDIKKAAGGKSYTAVRKQYTVPVTKNFLEIHLFWAGKGTCCIPNQGYYGPTISALSATPSTPLNLK >cds.KYUSt_chr4.25958 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163259038:163264042:1 gene:KYUSg_chr4.25958 transcript:KYUSt_chr4.25958 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGAKRRKKAAAEKKAAMAAAAGHGAPGGDWWDGFCMRMAGTLSSVESHRFESIFKMPRKTFNYVCSLVKDDMMVRASSYTFLDGTVLSLEDRVAVALRRLNSGGSLVTVGASVGVNHSTVSLITWRFVEAVEARAGHHLRWPDSDEMAMIKSKFEKIHGLPNCCGVVDTTHIIMCLSSAEPNCKVWLDQEKNYSMVLQAVIDPDMRFTDIVTGWPGSMKEASILNSSGLFKLCEKGSRLNGSTLKLSSDESEVGEYIIGDTGYPLLPWLLTPYQENDLSDPKLEFNRRHSAARVVALKALARFKDTWKFLQGEMWRPDKHKLPRIIHVCCLLHNITVDMEEDAAMDEARISDDHDANYRQQVCLLADEKAVNVRDKLSEHLNNRLMKRRKKKRKKEKKKKKKKKKKKIPAG >cds.KYUSt_chr5.41248 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260326795:260343702:1 gene:KYUSg_chr5.41248 transcript:KYUSt_chr5.41248 gene_biotype:protein_coding transcript_biotype:protein_coding MSELIHVLHVISALVTEALATMAGLGIGAPIVKVYHEKSIILPDVSRVLACLYEKDIKFQTHTTSSFKGLLRLQASSHVPVPFDDGRTFLEESREICRYIAETYEHQGYPFLLGKDSLERASIEQWLHHEEHNFNPPSRSLFCHLAFPLAEEDDDIDLQKRKLEEVLEVYEQRLGDSEFLAGNKFTLADLVHLPNSHYITESDKFAYLYDSRKNVQRWWNAISTRKSWQNVLKDIQVVEQQRKQEEFEKQQQRQWLRERPPTTRRKIRLDPRKQTSTKPQTILVPSPVGIISTSPTTSQVDKPLPTKTFPDETLSTPTAHKSSSVQSKHTTVFTTHRETPAAPIQNTPRLPGYSHIPVESTSNSFTAATSPTTAENLSRTSADKSKTSGLSPPSEATEIDLPDNSKRSSSKEVSHNLRVFNFYEASSHTDEAEPYTEPAPQKSSERLDMISGTDSPSNATDHVKTSARSAKEDTGASDFWIDNTATNADTLDKKSDGYTETIYGRSTDQRATYTSPGKHGSTEAHQKLQTEEWHGTAAGLSNLKGETDYSMPTQQVKPGKDVHHGPSQDLEQIITHPLAQEPVSMDRQLVQGPGMTPRTPYTDQKNDVSSMLWQKTADVRGIAEEDKASSDNTDAVLPSYARKHAEEAKIVSADHRKEASIPKRVKDEDTQAIPFQTAYDDAHDIAQEARETASAPRRRTQDAYDTSEVIETYHSSSSRPQPINVPQHAAPPSKQAASKGPRRATTPYPAAQEFIKQGRESPASRSKVPRVTQGSTEEETGESSASKAHPSGSRLTDARPPKEFLDEDHYGSVAPLQTGYADDPYNRKRDRDAAAPKEMISQDAFEEMKMTDSAPFEAQTFDHQRADVPPQKQRPAEDVQTTASPWKTRYSAGEDTTKHSKDAASRPGRMAAQEVLDNFKETKAGDSLSTREPPSDTWRAHPALPRHQVADDHSTTAPTQKRYPGTRGTIKEVEDITSMPQRMAGEDAKEALEETKFAEAASPEAQPLYYQGTDAPSRKFRAQPKDAWRAPTTPSKQAAEDALSVSRSSPKRYPRAEETNKQPRGAASKPTHIAAEDARNVFTESKVADSTPTIEQPSDARAAASFQKQEIPDARNTSASFQKTYPDVKGATKKSKVTFEETESPDSASSRVQPSDTWRDYAPSWKKEATEAPRATSPFKKRDSDVEDTAKHSGGAPKPRQMVGQDTQGIYEETKASDFGLPREQSSDTWQATNTPKEKVATEDGPGRTPQSERNGPSDEDTTTRPRGNASAPRRPTAGDASGTFQESKPVDSMSYREQPLSARRPVVTPSRQETEDSLSKISAFHKTRPFVEDSTRPAVDTVFGPRQVGAEDTKDITEETKVPDSASSVGVRPTFTPRNARPLSEQAEVEEDARDKDREGRQTFSAPKKMVSRDSEDTYGERKTPHSSSTEQFLDNLQTSSPLKQAADEFSHGANRGARESLVDETEHSQGVKGASKKPAVTFEETKTPDSASSRVHPSDSQRDFAPSWKKEAMEAPRVTSPFRKRHSDVEDTAKHSRDNAFEPMQMAGQDTQGTYEETNASDFGLLQEQSSDTRQAETSPTEKLATEDGPGETPTSERNAPNDEDTTTRSRDTASAPRQTTTGDSGGAFQDSKPADSVSSMEQPLSAWQPDVAPSRQETEDSHSKISAFHKTRPFVEDRSRQGVDTVLGPSKIGAEDAKDSTEETEVADSAPSIRARPTYTPRTARPLSEQAEAEEDAQNKGTEGRETFSAPKKTVSRAAEDTYGERKTPHSGPTEQLLDNLQTSSPLKQAAAEDSHGATRGAKESLVDETKHSQGVEGARKKPVGTFEETKDPGSRLATTQPVSVQDAQNTDRSSKIPTAEQWKDAPTQLRSNLQDEAKSSSARQKGLGSSPSQSEAADDNQAIMGEKVFSSEQLRKMPKESRSASSKAQPADSRSLTKVERTPSVYQEDHLVAPDSRKQPQTLPTSVRADGSTPKRQQAPAIPSTSEEKSTPPTPPRAKAHSDHTTEQPVKRDTVDDPKGAALLSGREPTSQVQRATEPTPDGDMSSKPSTIDKWRLASAPIQGVTPNSGDDVLGVPTIDQKPTPMSQQSVPSAQVANEIVKREQRMIPSAPAGAQTPEVQHAPPAFAGADMAERDGEPVQMQAPTLHARRPSVPTRRATPNARDTGDNEFASTSDAQRWKALKAKHDSAMIDEDVPDASLSTHDASEAQVSDTLPGSTATPIPTSGDKLVKRLAPDQGAKQPESTQAETPFDAPGDSVSPQGEPSDALDETKIAKPSSTEGMGPKAGSASTLEAQLAEHKFAPADKKSARAAQPLSSVETRKEDSSVSAADQTKKPQTMFRQESRPSTPITKQVPPSNAYHDTRKIQQVTLDNLPMDDSPTRHVPSYEQASHTPQTIISERDMTRAPDTSDSPASNTQIASAKLQEAIPDNYRPDELTVPSPSSEKQGSYAAPYTEPHEGPSHDVHGAADDKDITKYPSKQAPVLEHKPETSAESYVRPTSSDEPGISPGQGAESPTAAQATNSEDRHNEVFPAEKKFTVSDQDSVHSVQQHSPAETRKGQTIVAAAEQTLPTNIGQQHTRNIRDDPNSYFMPAKEPSDAQSVRPDEVVLAEQKFASSDQDTQLTSSVEPRNEEIRNSTRSAQPLFSAEDYQTKDPQTTIGQQDIAPIPGPSKSPSSGQFQEVAPDDNRTVESLPNQGQISRAKPSFVLSEGPTHDSHNDIDKNTMKLPSSQPQSSDPRPDPTQNRSDEAPTKQHAETQAPPPLVGPYDSKSSQNVTSDTLGKAESPEPSSIDQEAMSSALTPDTHLGSAPREVTPAGQKLLLKDQDSAHPTEKSSSSEPAKEQTIFDAPNEAKTSPTIFSQQDIALAQTKMKDPSSGSQYVPQRLQEITPEDQRTTGSTPMQQVPHFEHISKPNDGPTPDPHGAFVKEPMAHTTSPTPHVQYGMAPTGLSPNEEKPDISDKDSERQTQLRSSAESRKEEGDVDASDQTIMPAPRTGESLGEVLEVSPDTHQSSELTRPTIPSRKQDSHEGPNPDAHRAVPDENRQPPLVSQAHTLETGLNSAPFSDDVIPTSGDESVAGLTNEHKKQTQEAFQAPLPPEALYDSIYPKNEVGPLKATEPLPVEMRKESTGAESEESKVYQPVTGQKDIRHAPGISESVLDDDRNKEPASREEHVPHDQSASEPREGPPPSVHGGSLDEKTTPPSSKAHSSDPRIDALPKSVDVNPSSGEQSTTRSLLGHGLTRSPPLHESLVYPESNEDVPEFLKPPSANEDVMSPKVAPASASDTMLGTTSDEIAPDRLNLPLPAQELARSAQQPLSVEPTKEKGIVTSSDQTDESRTIIGQGRVTPSTNKEKTPFDLQGSELAKPPNEDQQVRAGSAYEEHEGPNRDARGAVDEKVPHPSSQAGSSDSVPDSATISGDTHRTSVDVPDQELLTPISEEKPKQQQKIGRSSTRSSEDDSIEARRTANPNILTRSGNIQQPPVKESMQEAQVSRNQQHADQAVSQSTQENEMQIKETEAQGNGSDEPEDSAPISGTSSDGPTTSSLPDSESQPPTGTLNVPAVFLEKIEPPRRPMTSPAPAPDTQQGTDPVQQPREASFDLSSDGKPTMIRGKQANTVSNVSLSASQAVGLSENSAVKRPFSEESVETTLPAEKSKQKQQTDQSSTRSSNDHSVEASGTTNPNILTTGDLQPSPLKDIMHATEGSRNQEQAEEAVFQLTPDNEKQIQANVAQEIRSYRPEDIRPDSAPASGDARPTSGDVPATSSLRDSQEQTPTGTMNAPAVFLEKMEPPRVPSTDEELMASKTSPAPGPDAPQDLDSTRSPQLPPSVISRKDVANADRTNVSQAGLREPVTSDVTRPSVETQEISPDANLAKSKKPVLPIEGSNPVQTAHEASFDSSSVEKPNMIKGEKANTISNVSLSASQPVGQSENSAVKGPLPGDLVSSFSQKNLERTLPQEKSKQHQQTDQPITRSSTDDTIEASGIRSPNILMTSGDVRPSPPKENMQAAQGSRNQEQTGQTVFQSIEDTGKQIEETEAQNNGSDEPKRIRPDSAPISGDAGRTIDNGPGTSSLRDSRAQPPAGTLNVPAVFLENLDSPRLPSTDQETMTSMTNPAPDARQDKDSTQSPQLSSSVVSRKDVANADQTNVPQAGLREPASSDTARPSVERQEISSDANLAKPAKPLLPMTGTDPVQPPEASFDLSTDGKPTMVKGDQGNTVPNVNLSASQAAGQSENNAVKAPLSEDLISPFYQKSLGGTSTEEKSNQKTERSNTSRSSKEDTIVEARGTTDSNISTSGDIPPSPLKESTQAAEGSRDQRPADQDFFESTLDDEKQFEETEARVIGPDELYETNLQKSMNRSNNVPSQMDALEQPEERVPAVQPRSNDTNTGSEQTKEAPGRIPEDKKSDSSSRTSEESEEQVQTEGKNRGGETKAPASRTELPEERDLPSRNEGVSSKSQTETPYKLDEQTSSSIQNRDTNSSKLGGSTDGTRSADTDDNPPSP >cds.KYUSt_chr5.16878 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108680189:108684466:-1 gene:KYUSg_chr5.16878 transcript:KYUSt_chr5.16878 gene_biotype:protein_coding transcript_biotype:protein_coding MASKREFEPSANDHEAGSSRPVVPAAFAMGPPATPIRDRIYVNVAVAQMFWDADVPMPWGDMHLPHGWHLSPDRVPVPPIPGSGRARVAEIQRRRTQLPADLQEDPAYGDASPSWDLWFELFEMVLELSLSRLKSLAKFALVMGLPQSSEEMGFFSEYGDASRYKIQEIIGKGSYGVVCSAIDQHTGDKVAIKKISNIFEHLLDAARILHEIKLFRLLRHPDIVQIKHIMLPPSRRDFNDIYVVFELMDTDLHQVIQG >cds.KYUSt_chr2.42716 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266019699:266021612:-1 gene:KYUSg_chr2.42716 transcript:KYUSt_chr2.42716 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAAAEEEELCHMGPASGVTHADVAVAEEGLGGDDGEILRFMDSADGYLLLMDSLSSSLRQGWLDLASARHSMGASRVSSTLFDHKEQSAATKLQVDCSADLHPSDSSPQFSLSKWCLPEESNSSGAQDSAEPKLRYRGGAATTPDGNTESDANTAKSCTGVDGSGQVQRARSKALSVFGALVSPKLRTTQVSFET >cds.KYUSt_chr5.36056 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227847836:227851905:1 gene:KYUSg_chr5.36056 transcript:KYUSt_chr5.36056 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAGELDAHGLGSVEDLLGQLHPQRCFFGAMPSDAMVICHCQDFEFSTGLLERDIFDLYLPEFDNLG >cds.KYUSt_chr5.37385 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236380250:236381998:-1 gene:KYUSg_chr5.37385 transcript:KYUSt_chr5.37385 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPSSWPDRVAQFGREAVGWCSTPARCLRSSGVARSGDSSYATRCKMGVSSEEGNGSGLRPGRRCSPESYAADEVPDARRLCVKLLSSSSSGRSEVQLAGR >cds.KYUSt_chr7.32251 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200904668:200907818:1 gene:KYUSg_chr7.32251 transcript:KYUSt_chr7.32251 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAPAAEPQKQLLSIIRDFAAEKSHGERTVSGLKRRLDDVLAAADAATAELEAAKRAREAAETDLRGTQVQASIAAATIQALETTISHLQEEIAKVGSELEELKSKEDSERDEFISQMVEMNARIRQFQQMASVELARKCSEVSTDGEQGKAGDGNQGKGTDGHNVSDKNQTAESEDMVTDLADKLSSIEAEMHALEEEYQKDLLDHKQVFLHSSTQNCGIAEAFNVCQELADVQAKRALIEAVMDETKQLQEVGGYPFYRLSQ >cds.KYUSt_contig_1539.59 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:393259:397422:-1 gene:KYUSg_contig_1539.59 transcript:KYUSt_contig_1539.59 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGRGQAVAPPPAPPIPPPLASSSDEEFDDDDSTDDLLDPVRDAKFLAEMEHRRVASAAAAEDDDSDISWSSDDPDAPTPQEKAAEQRTLVDSFETLKKAEDSANETLRRCLLEDAATHRALAAARQAAEKQARKGLMAAARGEGDELIADYVDCLMSLDTNARSVQNGDLILGAPVVEAGVAASVGGIMEQDAMKDFAPPEAPKEPMLGMIFESDEAAKTFYNEYARCLGFPFRVGRSRRSKGADEVVVMKRFVCSREGMYTKKNPSSGEATKKRERMSMREGCNAMMEVVRESNHWVVSKLETAHNHDLGTCSRVGYLRAGGLLDGSDKITMMGSDRMLFPRQNVLGEGGDAQGLLDYLKKMQASDPAFFHVIQVDKNGCPMNVFWVDARAKLAYQHFGDAVTFDTTYKKNKYMMPFVTFSGVNHHLQPVMFGCALLMEETECSFVWLFETWLTAMRGKEPCSLVTDQNRAMKTAIGKVFPHTCHRFCKWHILSRTKQKLAHTYSEHPTLRDELESCVIRSETIDTFETTWMSILDAYDLRKNTWLQAIYNIRQKWVPLYQMDTFCAEISRAQKLETLNDFYNKYFGTKTTLEVFLTQFDLSIESRYEEEAKADMDTSLNLVTTKTASPIEKQAARTYTKAVFSKFQEEFTESLGYIIRKTKDGCISKYNIMKDEDSSDTFCVTYNASTKMAKCSCKYFESSGILCRHILGVFLIVDPRLLPPDYFLKRWTRKARDDGLFEYNGDNHHEDVCESIASRYDVLCADAIRCAEKGSGSETVYKAAKDILQKAYEEVIAYERNPVRVSQRDSININEDVMIDDTMTNQSLPDSGRKAVGYYIYPAEYLTKERYIMCYGVDEEPAHDMCYEVVLRQSIIALCGTTEESDFAKPEGEFWVVSLSHGMFACNGN >cds.KYUSt_chr1.36302 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221368465:221369055:-1 gene:KYUSg_chr1.36302 transcript:KYUSt_chr1.36302 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVDSLSNLGLGAAIAIALAFLVLLAALLLASHFCLRRRNRNHHPNPNHHQHPASSASSSGHISITVPRFLFVADDDSPGSSSRAGAAPVGLDPAVIASYPKAPFSRAASNADASNETACPICLCEYRDGEMQRAMPDCRHRFHLMCLDAWLRRSASCPVCRSSPIPTPVSTPLATPLSELVPLSQYAADRRRHR >cds.KYUSt_chr1.38483 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235225994:235226959:-1 gene:KYUSg_chr1.38483 transcript:KYUSt_chr1.38483 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSSGVQQQPKLRKGLWSPEEDEKLYNHIIRHGVGCWSSVPRLAGLHRCGKSCRLRWINYLRPDLKRGSFSAQEEDHIVALHEILGNRSVLNSNNAVHVNFVLVRRGDDKLASQWECFLTTDAWVCRWSQIASHLPGRTDNEIKNFWNSCVKKKLRQQGIDPATHKPIALDIGALPDTTEDEDHKPLVAADGPAVLKQSALFDPFPVCADFDAGFGADGLGAANVAALYGQFIGGAKDDDAGFGAADYSSVLDVSENLGYGGESSSNSSNWNEVGSVLDAGDALHWAKTEPFAEMDQLQQHSGYGGGSLPNFDFNLEYF >cds.KYUSt_chr7.8920 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54259248:54262405:1 gene:KYUSg_chr7.8920 transcript:KYUSt_chr7.8920 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSEEDLGPPWLRPLLGTSFFVPCPAHPDLSKNECNLFCLDCADAAGALCSYCVPGHRDHHVVQIRRSSYHNVIRVSEVGKLIDIAHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPTLTFAIRPKRGQDSGGDGYGSDDDSFSPRKLRRAGFEHGRFDRGIRWSDDEGSKSNNGPMTPTTPPNRCRPSRRKGIPHRAPFYG >cds.KYUSt_chr1.25019 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149846389:149850519:1 gene:KYUSg_chr1.25019 transcript:KYUSt_chr1.25019 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYLSEFSVAAPAHLCRRRRFLQLPVAIHLDVSAVAQLVLVVVLFLLWIVLLQDCALSLVGLLVFLPFPTNQVKKAIEVFDVMKKGGFVPDAHSYSILLDGLCKQGDLLTGYYMLVEMANNGIAPTLASYSSLLHGLCRVGNIELAFELFKRLKDHGVKPDHIVYSIVLHGCCQHLDLDITCDLWNDMVHHNFVPDVYNYTSLIFAYCRHGNLEEALRAFELMLDNGISPNIVTCTILVNGFCNTGRIAEAFIFLDKVRQFGIVPNLCTYRVIINGLCKVNKSDDVWGIFGDMIKRGYVPDTVIYSIIIDGFVKALDLHEAFRLYHKMVDEGTKPNIFTYTSLINGLCHDDRLPEVMTLFNHMIGKGIRPDMILYTSLIACYCKRSNMKAALEIFREMEKGGLPADAFVYTCLIGGFSKVLAMDGANMLMEEMINRGLTPTVVTYTDLIIGYYKTGDERKAKMMYKSMLQAGITPDAKMMCILGLDNCEDDFEDSQKQKGVL >cds.KYUSt_chr5.21408 pep primary_assembly:MPB_Lper_Kyuss_1697:5:139771470:139773258:1 gene:KYUSg_chr5.21408 transcript:KYUSt_chr5.21408 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASQGPCPIWAKTSSEHPLSLDTGLPCRRSPGFPCPSSSTSQIASVSCNCCSPDEVTTVRCRVDGRMEDYRKTVADRLLQLVVQCSGNFAVPQERLMQTREKRNGMQGQDEVNSRVKLADEAVGKDSFAYDVHT >cds.KYUSt_chr4.43910 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272207776:272210209:-1 gene:KYUSg_chr4.43910 transcript:KYUSt_chr4.43910 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAALLTLPAPRAVRAQIVGLTSLILQPVACVLPFAAFQLLDIYWKQEHRLTCTTEVCTAEERVRFEKSVSESRPYMLCTLFRFIFKAQRNVILCVSAVLLYWCIYRICKINKDIKSLEEVEKRIKEE >cds.KYUSt_chr7.28173 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175964025:175967139:1 gene:KYUSg_chr7.28173 transcript:KYUSt_chr7.28173 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVASTQLQFHAVFAAALLQLLSAAPTAARCSASCGNISIPYPFGIEPGCYHDGFNLTCDYSFRHPKLFLGDSTVEVLEISIPNSTVRINNTNIMPLVDTDGASRPNTSRFNHRHSNQRCHSVASGTRCSICYTYCEAKEGEEKESKVINQNHGLLLQQLISQKADIGERMLFTLGDIEKATNNFDKSREVGGGGHGVVYKGILDLHVVAIKKSKIVVQKEIDELINEVAILSQINHRNVVKLLGCCLEAEVPLLVYEFISNGTLYQHLHVEDQHHYHGMTAKVSDFGASRYIPIDQTAVMTAVQGTFGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTRKKPYVYRSDNDASLVEHFVSSLTKGNLVDITDPQAMEEEDDGELQEVAILAAACTRLEGDSRPAMKGVEVSLENLRARFKPSSYNSASKRYDGDQIVAPYKSIEDLLANKEMSIEGAVEESSRQYTMEEEILLSARYPR >cds.KYUSt_chr7.5977 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35789645:35815695:-1 gene:KYUSg_chr7.5977 transcript:KYUSt_chr7.5977 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVRGLLRKSPNPAAAPAPASPSVRGGCFPGADLDDAPEPRVVFSAEEGVLNTLWEDYENAHDQAIVLLLEAGSVNRLVELLRVIQRLNLKEQWTDLSLHFTTLCALRSTISGTRAQNHFKSIGGLEILLDGLGLPSNKFSVSKHSSISRDERGEILLVQLLYLEIMCEAVFGNANNLQFLCESGLVHKFANSISWPAFMIQEFHRQKDNMRTSLALDSISGPIHFLDISEWHDYSVKLSTSLCSFILPSDVVKCSSDEIAVSQISVSIPSAYQEQSVRWMIRVLLTVFLCIKTCACESELPSHIKILAKTIQLYTIRTFRRVLVLAPALLTAFREEGVWDLIFSEDCFYFGSSAEDIQFHIVTENQNDSVRNNKIATDSESSYRTDVNILHVEAISFLEFAATLNENTYNLPECSALLDALEHCVSDAVVVSILLKCCRVILQLATEQTLASFKSLDGITRVLKVACLQAQGLQNSRSLPRPDIVIDKDGFREKNIEMTLREDRTGHTLTCLKLSLSLLKDYVTISSDGRILVLHNADCIECLFNLFQEENLRKELVEQVLALFRLPPSSARDHAAKLHLCSKYLENFTQANEHEKVNSELLIDLLVSMREIIMMDRVYYQNLFRNGGCFLHIISLLNGTFNEATGERLVLNVLETLTLLLKGNKASKAAFRVLVGAGYQTLQSLLLDYYKWLPSGRLLDALLSMLVDGKFEINEKTTIKNEDVVILFLNVLQKSSTSLQHYGLVVLQQLLKQSISNRTCCFRAGMLSVLLDWFSIEEKDDTVIEIAELIQIIGAHSICGKDIRKIFALLRSEKIGVKQKHTPLLLTSLNHMLKEKGPEAFFEFSGQDSGIEIKSPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFCTEDGRGCSAMLSKSALVYESINKKQQCVLLQLKLPPKEWKFLSVTHTLGRAFSGGSQLRCYIDGELVSSEKCRYAKVNELMTRCTIGTELRPVGEEPISIGFERTFAFTGQMGPVYVFSDALSSEQINGIYNLGPSYMYSFHGDDSLYRGILDARDGISSKIIFGLNAQASDSKTLFSVSSTLDSADNSTVEATIIGGTKLCSRRLPQDIIYCVGGVSVFFPLFTQFCDSVTDGGQYCYTSVINDKLAAEVIELVASVLDGNVSNQQQMYLLSGLSILGFLLQAAPPQLLNMKTLPAVKYMFDVVRNCGMSKVLLKDAISQVYLNPEIWLYSNYEVQRDLYIFLIQYFETDGRFLPLLCGLPRIIDILRQYYWEKIDSKYVVGSKPLLHPISKQVIGERPKIEEIRKLRLLLLSLAEMSIKLKVSLADIGALASFFERSQDIACIEDILNMIIPALSHGSLLSSFLEHVNVLGGCCIFLNLLQREFEPIRLLGLQLLGKLLVGIPSEKKAAKLFAFPTGQYRSISENFRKEITSAPRLFFCVISERLLKFPPSDNLSAIFFDVLLGGSSPKQVLQEHSQSDSSRDKNCNPSSLGRFFLPQILVCIFKYMQSCQDSSARMGILTNLLGLLYSNPTNIEALMEHGWNSWLETSTNLDVIKDYKSVSKVEPDNVEINELILVRNLYSLVLSYYLSSVKGGWHQLEDTAHFFLLKFDQGQLSSSFFLRDILDDIVESLLQTSSEENIFLSQPGCDNVLHLLKLIQELLFNQIGIKLLFPSPSTTDEPSSDDKWKEDIKCTVNEILNAESNGQCRSVPWSSCQFADGDEVSDDWWSFFDKVWNIMCNLNGKGPSKLVPKSPQSVAVPSLGQRARELVVPAAEMAAVVVSGGIAKMNIFADRATILREEIFPRIFFHLVILYLCKAGLENASKCVLQFMSLLPFLIAEDEQSKNKLHFLIWSLLIVRSHYGQLDDGARFHVLSHLILETIIYGKSMLVTNILGRDDSIEVNSNKEAGFILSFIQKDRVLATAAYEVKHMQDVQAERLRKLRELHSKLNEYSTKETQLVQIIDDQIHFSITSVLSVDDSRKAASELAFDEDQQIVADKWIHIFRALIDERGPWSANPFPNDVVTHWKLDKTEDKWRRRFKLKRNYMFDERLCQPSSSRNESTEPYDDHPSFSTKVPEKMKRFLLKGVRGITDDSAYRPFEDTNDTSESSHNPSENQNLNNAADLSDHRAPVQNKKDTSSTNTDNDYTKVLCSVHCVLVTPKRKLAGQLNITRTVLHFSFDFLVEGTGGSSVFSKFRDKKDSDSKNELGGAERLYGCRDSLIRINGGLMQNQSNKIKHHRRWSIAKIKGVHWTRYLLQYTAMEIFFDDSNAPIFLNFSSQKDVKNAGSLLVSLRNDALFPKGSIKDKNSVISFVDRRVAREMAENTKERWRRREISNFEYLMSLNTLAGRSYNDLTQYPIFPWVLADYASENLDFNVSSTFRDLSKPVGALDEKRFKVFEDRYLNFCDPDIPSFYYGSHYSSMGIVLHYLLRLEPFTTLHRSFQGGKFDHADRLFQSIDSAYKNSLSNTSDVKELIPEFFYMPEFLQNSNSYHLGVKQDGELLGDVALPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEASNIFYYVTYEGAVDLENMDDMLQKYAIEDQIANFGQTPIQIFRVKHPRRGPPIPIAHPLYFAPQSITLTSSVSSTISHMSAVLFIGLLDGTIVLMNEGLILSEKLWLTTRMQLGGNFTFSGPQENFFGVGPDVISPRKIGTFLAENVKLGRQFLATMQINSEKYLILCGNWENSFQIISLCDGRIVQSIRQHKDVVGCVAGRHF >cds.KYUSt_chr2.28363 pep primary_assembly:MPB_Lper_Kyuss_1697:2:173981065:173983206:-1 gene:KYUSg_chr2.28363 transcript:KYUSt_chr2.28363 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLWRVWGEWEIRVLLLGSLSLQVFLLFTGGLRKRNVASWLHFLLWVAYLLADSIAIYALGSLSLSQKLCHADGDTELHLVVLWAPFLILHLGGQDTITAFAIEDNELWLRHLLSLVTQVALALFVYWKSRPSNGGLIVPAVLMFVSGVLKYAERTWALKSASMSSLRSSMLTRPDPGPNYAMFMEEYHSSKDAGLRAEIVIVPERPPGDDVHVAEEPMEYDELVAKAHVFFHTFRRLFVDLILSFQDRTDSLAFFRRLKSDQAYKVVEIELQLMYESLHSKSPVIHCPSGRYLRVFTLGAPIMSLLVFSKADKGGYKEADVAVSYVLLVGAILLEIYGILLMAISSWSYADLRKPDKCHSAASRVVFLGVKYFMPEARPRWSNQMAQYNLVSYCLKDKSTWLTRVLESLEWDYNIGVKTTWDSLWYTNHIGVSLVLKQLIFRQLKDKANSTPDPMSYRRFGDHRGQWILQRKGCYQELGWSVDDVEFDESIILWHIATDLCFYETRDEDADEGDLNSGSQLPGASREIANYMLFLLVMRPFMLTASIGQIRFGDTCAEAKNFFLRLRGGEARMGERQGASALAAVKTDIDPRKVKGDRSKSVLFDACRLAEQLRGVEERKRWRLVAGVWVEMMCYAAGKCRGNFHAKQLSHGGELLTVLWLLMAHFGIGDQYRALVTSTGSRPAMPGLSSSSRTSSCLCLPPAPTQLLICP >cds.KYUSt_chr1.29053 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175736341:175736959:-1 gene:KYUSg_chr1.29053 transcript:KYUSt_chr1.29053 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAGAAAGGAVRAVRAVARANIILGLAMAAIALVAATMFNPGDFHAHSMEQAAPAPAPEAQGCEAATEAEALGLRGTALNLVLMGVVQAVLAAAADVAVAGSLVRLGRCLAALAHLIGAINVGFLCYVVSRAAVVTMGNCAVNLVFDYVLVAVSYAALLGVSLTVTFF >cds.KYUSt_chr5.35583 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224839772:224840908:-1 gene:KYUSg_chr5.35583 transcript:KYUSt_chr5.35583 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMGPQKNSEMPLKFRNYLPHDEQLRGGKVAPLSLPKFEDPIAADAAEPKQLENPFGNIAPKNPNWDLKRDVQKRTDKLEKRTQKALAEITLEQQKEKEALEEGSDVAAQE >cds.KYUSt_chr2.15727 pep primary_assembly:MPB_Lper_Kyuss_1697:2:99027506:99028522:1 gene:KYUSg_chr2.15727 transcript:KYUSt_chr2.15727 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRVAAAACAVDSLADPQSSSSPAPRSCKRSRICCSDEYEETGVLGEGGFGFVVMARHRATGEVVAVKALHPAVTKKHAADPRDMLREVAFLAACRGHRSLVNLRELSCDPATNELSLVMEYVGPSLHHVLHEQRGGSPFPEAEVRCVMRELLHGAEHMHSRRIVHRDIKLRNIVIGEGGVKICDLGLAMSTSETPPYGRCGTHRYMAPEVILGKPDYDTKVDMWSLGCVMAGLLAGKPLFDGDDDGDQLCQIFDVLGLPGYSTWPAYKSLPLAGKLVKLPRDIRSCNRLRKLFPEGRLSRQGFQVLRKLLSCNIDNRLSASAALRHPWFTDDTPS >cds.KYUSt_chr5.17207 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111098124:111117126:-1 gene:KYUSg_chr5.17207 transcript:KYUSt_chr5.17207 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSKAVEMGEAEQEEIVDHQSEKKEAASAASRLQLSSSSLREALSSTSSLSRLSLSLSLREQHHDEEVELKWAAIERLPTMDRLHTSLPLHASKANAADGGARRLEPVDVRSLGAAERRELVHALIADIHKDNLRLLRHQRRRMDSVGVRQPTVEVRWRNVRVEAECQVVDGKPLPTLLNSAVSTLSLLTTMLGFKRNQERIQILKDVTGILKPSRMTLLLGPPGCGKTSLLLALAGKLNRNLMVTGEIEYNGVKLQDFVPEKTAAYIGQYDLHVPEMTVRETLDFSARFQGVGSRAEIMKEVIRREKEAGISPDPDIDTYMKAISVEGLGRSMQTDYIMKIMGLDICADVLVGDAMRRGISGGEKKRLTTGEMIVGPSKALFMDEISTGLDSSTTFQIVTCLQQLAHISESTILVSLLQPAPETYDLFDDIILMAEGQIVYHGSKNYIMSFFESCGFKCPERKGCADFLQEVLSKKDQHQYWSSEEKYKFFTVEQFCDKFKASQSGLNLAEELSMPCDESKGHKNALSFSIYSLSKWDLLKACFARELLLMKRNSFLYISKTIQLGLLGVITGTVFLRTRMGVDRVHANYYMGSLFYALVLLMVNGFPELAMALNRLPVFYKQRDYYFYPAWAYAIPSFILKIPVSLVESVVWTSISYYLIGYTPEASRFFCQLLVLFLIHTASLSMFRCVASYGQTMVAGSVGGTLAFLVLLSFGGFLIPRPFLPNWLKWGFWLSPLSYAEIGLTGNEFLASRWLESTVSGVTLGRRILMDRGLDFSSYFYWISVGAMIGFILLFNVGFAIGLTIKNPPGTSRAIISRNKPNTLDGRGQDISKDTKNGMPKLQAETALTPNRTGRMVLPFTPLIISFQDVSYYVDTPAEMREHGYMERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKIQETFARISGYCEQTDVHSPQITVGESVAYSAWLRLPPEIDSKTRNEFVNEVLETIELDEIRDSLVGVPGVNGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGHLSCEVIRYFQAIPGVPRIKDNYNPSTWMLEVTSASMEVQLGLDFAQIYRESSMCKDKDMLVKRLSIPAPDMSDLHFPTRYPQKFQEQFKACLWKQSLSYWRTPSYNLVRMVFMTFICIFFGAVFWQQGNINNLNDQQSLFTILGCMYGFTLFAGINNCQTVMPFVSIERSVVYRERFAGMYSPWAYSFAQVAMEIPYVLVQVVLFMLIAYPMLGFTWTAAKFFWFMYTMLCTLLYFLYIGMMMVSLTPNIQVASILASMFYTLQNLMSGFIVPEPQIPRWWIWLYYISPLSWTLNVFFTTQFGDENDSLVVVFGETKSIAVFMKDYFGYRRDLLPLSAVVLAAFPILFAVVFGYNISKLNFQRR >cds.KYUSt_chr6.5626 pep primary_assembly:MPB_Lper_Kyuss_1697:6:33788859:33789560:-1 gene:KYUSg_chr6.5626 transcript:KYUSt_chr6.5626 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEVDLAEYERLRAENIRLKEIILASARRKADDLSSAIKSSEPPAARRRTIRLSQSPPDAQPAPPTPTPSKRRAPKPTPSQRHGRKPTPSRQRAPKPALSPTPMPRSTTFSSSLASTILDAVSLSPGAAKLRADGFDAGKELVLRPTNTRKLLPNRIPALLVLPLVDRTVVAAGNILGNVGFWHADREPGVAETDGVFEYLPHQGPVAAIVAHPALPHKVILLLLFSLEF >cds.KYUSt_chr7.311 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1816377:1817282:1 gene:KYUSg_chr7.311 transcript:KYUSt_chr7.311 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAGGGGGAAATSLDLPGFRFHPTEEELLEFYLKQQVMAGGRTNSSNKKQQISFDIIPTVHLYRHDPWDLPALAAIASEREWYFFVPRDGARGKVQGGGRPSRTTERGFWKATGSDRAVRCAADPKRLVGLKKTLVYYQGRAPRGAKTDWVMNEYRLPEVVDGKADLQQEVVLCKVYRKAVSLKELEQRVAMEELARTRHSVSQSHSHCSAASPDDSSSSASEVVHEAAAATAAGVKKEEVSVAAVARPAAMRLPQLETPRGPGGGLEWMQDPFLTQLRSPWMESLCLSPFYASSVLNF >cds.KYUSt_scaffold_2697.254 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1715065:1716615:1 gene:KYUSg_scaffold_2697.254 transcript:KYUSt_scaffold_2697.254 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGPAGEKPHAVCLPFPAQGHITPMMKLAKVLYCKGFHVTFVSTEYNHRRLIRSRGASAAEGLPGFRFAIIPDGLRSSDADATQDPAALSYATMTACPPHFKNLLADLGRSSAGVPPVTCVVADNLMSFTVDAARELGVPCALFWTASACGYMGYRNFRPLIDQGIIPLKGILICRLPALKLFLTCSSYGSSCLTDYPVWRADEEQLTNGFMDMPVDWAPGMSKHMRLKDFPTFLRTTDRDDTLMTFQLHQVERAEEADAVIINTMDELEQPALDAMRAIIPAIYTIGPLNSLAEQIVPSRGPLDAVSSGLWKEDRACLEWLDGKKPGSVVYVSFGSVTVMSNHELVEFAWGLANSGHNFLWIVRPDIVRSEAAVLPPEFLEATKDRGLLVGWCDQEAVLRHEAVCVFLTHSGWNSTVEGLCGGVPMLCWPFFAEQQTNCRYKCVEWGVAMEIGDNVRREAVEGRIREAVDGEKGREMKNRAVEWREAAVRSTARSLANLDALINDVLLSGKNS >cds.KYUSt_chr4.49514 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306628222:306632173:1 gene:KYUSg_chr4.49514 transcript:KYUSt_chr4.49514 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKNLEGGDSSQVSSLSSPESVGNSVLTKITEGTNEDDSNFLEKTVRVTSKTPVHQELPASLTLIDSKSNLQEILVEQKAPIGGSVTGSSEVDNSRLPSIKEDSRSFPSATNEVDELKEAISDNKSNLQEFLVKQKAPIGGSTTGSSEVDNSGLPSIEEESRSFPSASHEVDESKETINDNKSSLQEISVKQKAPVGAFMTGSPEVDNSGLPLIKEDSHSFPSASDEVDESKETINDNKSNLQEILVEQKAPIGGSITGSSEVGNSGLPSIKEDSHSFPSASIEVAESKEAINDNESNLQEILVEQKAPVGGSLTGSSEVDNSGLPSIKEDFHSFPSASSEFHEPKEASNDNKRNLQEIFVEQKTPIGAFITGSSEVDDSGLPSIKEDSHSFSTPSNEVHESKEAINDNKSILQEILGEQKIPIGAFITGSSEVDYSGLPSVKEDSHSFPSASNEVDESKEAINDNKSNLQEIFVEQKAPIGGSISGSSEVDNSGLPSMKEDSHSSPSSSNEVDESKEAINDLMAMQSSGENTHATPQTSVSSREDVQCAPLRKVQNGASSSDSEKTPAILTKVKEEKPRVMPRWSERQMSLRDTRQKVPAPVRRLSRAETFVDTTNPIESVKMAASKFGGSVNWKKRRALPVQVNDHVKLEVGMLKNEISKCKEQAEAAEAAKLSVFNEIERTNKLSEVLKRDLERAQHEEADAKEDLDFFQFIVPEMEEGGVSSDDSVAGKEILKNIQERHKVLVSKLNLVNDELKRVQEDYDSLLIEHDTSTEKSRAAITVSIETERQVEELTVELNKLNEVLDLAHATCHDAEEKKVCMSLSRDEDCLKWEKDLTQAEEEMSQLNKKLSSVEDLKSELETNSNLMVKCNEELTVYLEAKLIEEAQAQGTRNHETMQEETILSRNELEEHMKSIDKARDEVCTLKIAAASLQSELRKEKEALAAMQQLEATASTTISSLEVEIKLAQLELEAVQAKEKESRDRTGEFPGILQAAAQEADEAKSVAMKAQEMLRMNKEEMDQVKAGLSTMEFRLQSALKEMEAAKESERMSLEALRALEESELAANIAEQGSPRTITLDFHEHATLVKKAHQAEERAHEKTSCATAQVKMAKESESLILSRLGEAYKVLEERKQSLLTATKRADTAARGKLAIEQELRTWREEHAQRRKAGEASRSETKPSNTAVVIFERDGDTRGTCKEDSCALVHPVSDPSASNSPALHGKTSRTKKPPFLRRMMMFLARRRLKAAE >cds.KYUSt_chr2.17570 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110689839:110690507:-1 gene:KYUSg_chr2.17570 transcript:KYUSt_chr2.17570 gene_biotype:protein_coding transcript_biotype:protein_coding MKFACFGGAAAVADETVAAAAHHRRTGKKFLFGSKGDKHSPSKASSPDSKTKRRITSRGRRREMTDFDIYMAAARKLSSSAPSTSSALSTAASLDSACSSSSSSSSCSRSSSSSSLSSLVDATPPQQARRRPQQQGERKRSPALGPAAVLVCLVMMVFGGRLVATLLTAAVLAFFPRQCPAHMLHTASSLEPAAASRREAMSIDARAVTGRLRLTNRNDTTP >cds.KYUSt_chr7.36592 pep primary_assembly:MPB_Lper_Kyuss_1697:7:228643661:228649174:-1 gene:KYUSg_chr7.36592 transcript:KYUSt_chr7.36592 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYDSSTSSIKIMGATSRRSARALATDAANMGSSRTVAGVVAAPTLSGTKAEGYTSSLRGQRAIDDLCRKHGVPKVYTAQPAGERRACAPPPEGAVCVYAHALEAGMRVPLHGFFCKVLTHFCLAPSQITPNGWRILAGFVVLCHGAGVPLSVAVFRHFFKLGSYATLRGWYFFVAKKDKAAGALFTGLPDSNKRWKETFFFLTSPEPWSCPVHWGEPPSQTDPVLTSQQSKSVAKLIDARVANGSAFDLRTYLRQTNLGAAFSSSLAGGSPLPPASSRPPSTRAKGTYQSANRAVASASRTEKKVNNASSYALELEKKPAEQIATRVELEKKLVVRDAEVLALRRHLKQNMAEPEHAKFAAAVQQVLVSEEQLICLAKHALERYKCCKAPTGRPHGRNRNTNRQPQRPRWSWQRSLQHDTHEELERVKAEHTMEKAKHEKKLVAHKAEVNDLRRKLKQDMDNDDDEMIVKMLEEGQAFDDDIRDYLSVIASLQNMLDTEAEKRKRPHRGSSKAGRNNSKPRQRREGHTILHNDYFADEATYANNFRHKYRISKGLFINILHGVREFDPNFKLKHDALI >cds.KYUSt_chr4.9780 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59128636:59130584:-1 gene:KYUSg_chr4.9780 transcript:KYUSt_chr4.9780 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRRRIASISVFSNKDVPVAGLAISRGLLGGADKARKVPGWIGWTRIVPCVCSSSAELQLRNAGMQTPVSRMHESSMVDGELQYLRVAMGHQNESFEDFVKSHDACQEDLMFSPKNKSYGLASVAGNADKIFALQHEFEIVRKRMDAEAKKAYKLHD >cds.KYUSt_chr3.39516 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248972142:248975248:-1 gene:KYUSg_chr3.39516 transcript:KYUSt_chr3.39516 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKPVLSLLAHLHAALLLLSGAGAAVYDVTRYGARPDGVTDSTLPFLRAWADACRSPRQATVLVPPGMFLLGSATFTGPCATHAVTFSIAGTLVAPTGYGWDATTGRWITFESVEGLTVSGGVLDGRGSSLWSCKRQQQQQPHVHCPTGASSLTISNSRDVVVDGTRSTNSELFHMVVLQSHGVTLQQVSVDAPEDSPNTDGIHIHMSSNVAVSNADIRTGDDCVSIGPGNSNLWIERVSCGPGHGISIGSLGQQQGMAVEAVRNVTVKTTWFTGTTNGFRIKTWGTSKRGLVSGITFVDSTMIGVHNPIIIDQNYCPGKKSCSDLSSGIKISQVRYIDIRGWSMTPVAVTFNCSRSNPCSGISLQNVRLMYEGMRLAKSSCRNVNGRTTGLVVPPSCL >cds.KYUSt_chr7.2168 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12635011:12635217:1 gene:KYUSg_chr7.2168 transcript:KYUSt_chr7.2168 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQFVATRNEAAEGGDLPTVLDKFGFLLALMGECGGLPVLPDMDVLEIRGNSVESDPWVIFMEVVE >cds.KYUSt_chr5.37711 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238137152:238143676:-1 gene:KYUSg_chr5.37711 transcript:KYUSt_chr5.37711 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVKAKSKKAQQRDTLADAGSGDEVEASQDAGNPTEEVAVAGREHCGHCGRDGPYVDKLLREIITSKHLVSCEHCRDEGPRKKGGGKQQQKKKGGGGGPKGAAAKAKAEKSNMWVCLDCGRHFCGGEMPDTTMPYGHARRHAKQDRHWWAARYDQPTIVYCVLCQNQVPIEMPTVQTFVAAPAAVPDKKSIGAVGSSALVLANSHGTVIKGLPNLGNTCFFNSVLQSLLALDMFRSKMLGPDVATGAITMSLKKLFVETSASNDAGGALSPKSLFSSISSKYPQFKGYQMQDSHELLRCFLDGLGTEETEARKLAEDASSAGVPTIVESIFGGQLSSTVSSTECTHGSVKHDQFLDLSLPVPSRKTPPKRVSSPLAKKTNQSIRDRNKNRRYAKPITRVFPTIVESNKEKVQTVAECTDSQIPVSELGQVVSEKEPDPSACTESCASVPNHELKTASPVEDAISWLDYLADGDETYSEILDSACSTEAGEIWESTDAIHGSLHSRDDALPKEQALSSEHSGEDIVDNAACLQPVILLPYKEVGTIAEGMEATEESSQNPNFIGPLPAVSPVAENYAHSLYGGDGDEDGCFGLGDMFGEPEVTSDANKETGTTGDIDVMAWSSNSADDEVDDSNAPISVEGCLALYTEPELLSESWHCEHCSIAIVDPNTNELKENEETAASDREESEEMMANGDEKQDDDKVTVSCRKKEDIDEITATDGCSNNVNADMCCNEGVCTNPPLIDAENTADGNSPNMENTTSLEKGEVFTINTTEQPDSKTNHQECRDLSSSEVESTSTSEQPHDSAQHNDGHNVDVAAEETSVPVSCVDNDSASCSTTSYKKAESGANAEEGVTSSLPSETQSILPSDEDNEDGFTRNIGRRKKMKMLGKANKGKDNQNKQKEDKTKGIKMVGKANKGKDNQNKQKEDKTKVFRAAMRRILISKAPPVLTITLNRFSHDSHGRFRKLKGHVCFKETLDIRPFMDPRSKENDSTTYRLVAVVEHMGTMTGGHYVAYCKGEFFYPWGKSNLEPTIKTVAGFEIIRTIEFKV >cds.KYUSt_contig_7534.23 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001582.1:156222:167065:1 gene:KYUSg_contig_7534.23 transcript:KYUSt_contig_7534.23 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRVVKTEAPEDRPAPPPPPATAAARGGGGSAAPPQLIELSSSDSDSDAGAGSKRARLAAEGSGGGKRARVSAAPADVPPGFLDLLPAPPTPTPSPPPSRTATKQFWKAGDYDGKPAGDGEPPPSASGLEHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVRNGATFVNIDMLENSKDKTRMLLVEDNGGGMDPDKLRQCMSLGYSAKSQVANTIGQYGNGFKTSTMRLGADVLVFSRSRGEKGKRPTQSIGMLSYTFLRSTGKEDIVVPMIDYQKNELNWTRQLRSTFTDWNTSLQTIIAWSPYRTEAELLEQFSSIKEQGTRVIIYNLWEDDQGDLELDFDADVNDIQLRGGNRDEKNIEMAKRFPNSKHFLTYRHSLRSYASILYLRVPDAFQMILRGKEIEHHNIVTDMMLKKEVTYRPVATNGLPKDSNMVADVTIGFVKDAKHHVDVQGFNVYHRNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLIQMQKDYWSGNAHRIGYVGARSFRSSETGEENSPEGPLGPQSSPGHSGKGYPKQRNTGKGSSKTNRKLSTPYSIQQAEKSARTKRSTKSILHGLSDTSDDSDSEFVGTPSLRSKAHILNAHRKYFQNGNTSMATPPSNGSAEKERSITKSQLEQNAGSNGDEHSIDDLETIKQLREENSSLKERLSSVEESMSQELIMEQDKIKSLTERVEDLERQLETANKEQEALIDIFSEERSRRDEEEENLKEKLKEASSTIQELLEKLNAAKKGQKV >cds.KYUSt_chr3.41612 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262551289:262552475:1 gene:KYUSg_chr3.41612 transcript:KYUSt_chr3.41612 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWTTHSPRWSARPDALPSAGASRRPWCSAAAAPTCSRTPCATATLPVAADQGVDDPPSSTTPPPVAEAGPAAKAATTPVVVILDEEEEVAAAHASSVVKHVPESSHSSQSSDALPDFSWQGMSAFDEVAAHPTIPVPKFEAEQFPFFFDDGAYESLDSLFSERGAGTLEEQLCADAREERRRDLTRCDAEEATCDGRHESAVPTTSSGLQDQSVHHWSIDRLILLLPLSKLGRRREPDVAIHRYGCGERRELEERERFICRQVLFVHSPEEVPYLQDEAEPEKHPPGPPSDDGLN >cds.KYUSt_chr7.15023 pep primary_assembly:MPB_Lper_Kyuss_1697:7:93068621:93070801:-1 gene:KYUSg_chr7.15023 transcript:KYUSt_chr7.15023 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAPDQQDAGHGGGAAEWRVSVQDAGEHDHVKGGTWRRICCSPPSWSWLFSWMAAPRDRVTRFGKMVWKVGADDPRRVVHGLKVALALTLCSVFYYVHPLYDFTGGNAMWAVLTVVVVFEYTVGACLYKGLNRAMATVVGGSLALGVHWAASRSGKELQPYVLTGSMFVMTSVATFSRFIPTMKAKFDYGVTVFILTYCLVSVSGYRADEIVYLAQQRLTTVAIGAFICFAVCALVFPVWAGQELHLLVARNMDKLAAAAEGCVEDYFSDATAGARRALSEKSGWYKLVLNAKANEDSLANLARWEPGHGRFGFRHPYGQYQKVGAAMRCCAYCVDALAACVGSESQTPPHVKKHLAGTCLALSRHLAAVLREASGSVTSMTRSGRLGLVVADMNAAAQDLRDELRCLAAVLEEGFEDESSEAQHELINAATPPLIEALPLFSAASLLLEVCARAEGVVGAVETLATTARFKKADHDEKAALDTEASVPVCTNNPVDADVSQEIHVKIAGEQEQTETAHKANASSGNAPRDQVGELIKVLMRRRSSKKWSRADTKVSPKPALDLAVTVPSPRNRAMELDGHGPVGYSPRNRPVELAGHATAMPSPRNRAVEHPGHAAAVPSPRVRSMDFASHGAAVPSPRNRSMDFAAHAPGQRSRSILGMA >cds.KYUSt_chr1.12568 pep primary_assembly:MPB_Lper_Kyuss_1697:1:77373969:77374739:-1 gene:KYUSg_chr1.12568 transcript:KYUSt_chr1.12568 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYLGDHLHGIALGSPVVHINRERQGGSKADVLATLGGRDLLSLGLVLGHPPDEEILLVAPRRLQLLLGLQVLGGPGTGRLPPRVGSGITSLRARSKKPTSLPPSSHTAVVNLDGLGGAPDTELHGGDKAGDGPNRELAGTTQIPNWRPLRSSSDTQTADQPVGKLERRGDVWGTEGSPDHHERMGVSEDIQHQGKMVEEVKKPERFGPARWTTHHLLAGAKRRGSGRLVGVLQRARRYEQLVREDHGEAVDPAG >cds.KYUSt_chr5.9732 pep primary_assembly:MPB_Lper_Kyuss_1697:5:61889256:61891611:1 gene:KYUSg_chr5.9732 transcript:KYUSt_chr5.9732 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSRWATREQQRYQTTEKHVRAAKNRKSQQQQQKHYLPNDDGDEHAEKEKRRTIGHADDLIVGLHLQEVVRRVRNQHEVKWEAQKVGDRRQQQQHQQLNQKVGTQQREEQQKSLAHACGNPGLMAKEAADPGDGGGKDKGRGAGGKAGSTYGGGTGKDSRAAPREGKLWR >cds.KYUSt_contig_319.1419 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:9524702:9526717:-1 gene:KYUSg_contig_319.1419 transcript:KYUSt_contig_319.1419 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGGEQRAALLAAASLFPVPDGAKFSYGTAGFRAEGSTMAPAVCRAGIVAALRSVKLGGAAVGLVITASHNPVGDNGVKIVDADGGMMSQAWEPFSDALANAPTPDALLQLVLQFAKDEGITLGEGHSAQVLLARDTRPTGEYLLDVATKGINAIVGSVALDMGILTTPQLHWMVRNKNRGLKSSEEDYFTQITESFRHLLELTPDDKGSDKLMEKLIVDGANGIGGLKLEEIKPKLARLDIVVRNSGKKGEGILNERCGADFVQKEKVHPLGFTPNDVGVRCASFDGDADRLVYFRITSPSSIVIDLVDGDKILSLFVLFIREQLDIVNGKDHQDNQALPTRFGVVQTAYANGASTEFLKNLGLEVAFTSTGVKYLHKKALEYDIGIYFEANGHGTVLFADEFVSRLESLASELSSKAAAPCYLEIVGNNSVD >cds.KYUSt_chr6.23200 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146604345:146605832:1 gene:KYUSg_chr6.23200 transcript:KYUSt_chr6.23200 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKKASSSGADEAETKLSPSGFPVKEVPGSYGVPFFSPLKDRLQYYYLQGAEEYFRSRIASNGGATVLRVNMPPGPFITRDSGVVALLDARSFAVLLDDAKVDKTDTLDGTYVPSLKLFGGYRPLAFLDAADPRHAAIKGVVMRLAVARMHQVAPTFKISFGAMFDAVDAGIGSGPVQFNKLNEVHMFDFTCSALFGGKTPSEAMGDGAVTKAIKWLLLQLHPIASKVIKPWPIEDLLLHTFRLPAFLVRGDYAALTAYFAEAAGAVLDDAELAKTGISREELLHNLVFMALFNAYGGFKIFLPHVVKWLARAGPALHASLAEEVRAACPDGGEITVSAVDRMPLVKSVVWEALRMNPPVEFQYGRARQDLVVESHDAAYQVRKGEMLFGYQPMATRDERVFKDAGEFVPERFVDGGEQAKLLGNVVWSNGPEDGVAAEGNKQCPGKDMVVAVGRLMVAQLFRRYDTFAATVEDLPLEPIVTFTSLTKAAPLTE >cds.KYUSt_contig_662.176 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1092134:1093964:1 gene:KYUSg_contig_662.176 transcript:KYUSt_contig_662.176 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQPPPAAAPTTITDLGDDLLRQIFLRLPALPSLVRAASACRRFRRTVCSCPSFRRNFRAVHGPPLLALFLDTFMKAVPTFPSPPPLRRPDRDLFAVNFFDVFRPRAAGPGWEVDASNIQTNCGHVALVNGSTGQRAIYSPLTQSLKVYPRPSTPGKSSILHGTQLEFHTLTAGEDGQRPSRVVCVRHSHLWARPRVAVFSLDTMEWQFFPETTKTLLHVNETAMDATVLRGFVCWAHRRNEHILVLDAATLRFSLMDLPTCCGCGCTTLKLGETEDGKLCVVGIMENACVAWLWAGSDAGAGEEWTKYKVFPLGPIVKEATKCSEEEEGPIPVEVVGVVDGFVYLSVFYSNGYDKKYRMLLSLCMETAEMKEVLKNGVWYAEHAHPYIMAWPPSLVVQIKEESETEVTEDGVARDAPMDMLKSPSVLATALQSFKEALMNDDEENSVEMVAFVLPVEDEKSSLVSKITTLDAQFTTLRDLILRTSA >cds.KYUSt_chr3.47519 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298033074:298034102:1 gene:KYUSg_chr3.47519 transcript:KYUSt_chr3.47519 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKQEGGFGIKNLHRQNRCLLLNFVHKLHHTDSLPWKDWYRLHSGRDFGDSTASPSFLDRIVAECLPLYRAVTRATIVSGTSTAFWLDKWLPGKPLAERYPAIFSHVTRPHASVERVVTSGVSLQPRLTTAAERDLVAIQAIVRATVLSAGQDLRYIDSPSAPPFSTREAYRLLSPPRPRDASASASWGLRLPSKVRIFSYLADIDRLSTRANLFAKNCAPSAICAACHLPETGRHLFFDCALATEVWARMGTAIPGGPFSIWDLRPPAGTMIHIWHSGLATILWSLWKARNDLVFNTKASTACLVLRRAADDLMLWRWRYKTIDRGRLDTLRSLLLLSAV >cds.KYUSt_chr3.46068 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289993174:289994403:-1 gene:KYUSg_chr3.46068 transcript:KYUSt_chr3.46068 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSNLPKDVVHVVADLLLATNDVDCYVDMRAVCRNWRVAVPKPTPLGAGEDGRFRPREWVMLDEKEPKDGVDGRLFLHVSTGRFVRRRLPSLGFDSRSKYILVGSSDGLLILGDQKGLHAARLLNPFTGGMLSFAAPIPRERHIEVLEDEDVFLKSIVSYAGHLYVLDCDGAIHKIVGTAPNYHAEFIAQTHGDFSLRDFFLAGSAGELLLVALELRYTEEQGHQDLVDVFRVNIERKVLQPVRNIGSQALFLGASINSFDLKGSKVESIRCMLNPATITRQRITRQMVRPFSLAQALIEYCGYEELEDVPSQLQRVRNTRTLDMMRNAFQPQE >cds.KYUSt_chr5.36401 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230068654:230069694:-1 gene:KYUSg_chr5.36401 transcript:KYUSt_chr5.36401 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLLRAKVPIGVLGLPFLSGVSAGGDAKDLRFDLSTAFPSGPALRLSYHPNDPLQPFALSVRTGLGALGSPVRAPFALAAEFNLLSSNPPAFQLLFKPRIGDFSLANSVRSPPLSDAPPPHKLADLTNGDDHDHEGHKAFSLDGNGFAANVAAGGGVGTLLSGMRLTTRSMLPLWNKASLRFQWGLRVPPELKAALADDGYGRKAGNLAVSKLPLLVMNKITIEQTPHLKSEGDKKGTKSAPAAEEFSLLKRQLEALNDESIMLRHSVEGLRAEIGVGRAISVPSKGEARRLQAISPPSQHPFPVKPDGIGKELAASGTNDAGEELKKALEARRK >cds.KYUSt_chr7.9117 pep primary_assembly:MPB_Lper_Kyuss_1697:7:55520555:55523419:-1 gene:KYUSg_chr7.9117 transcript:KYUSt_chr7.9117 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGSSCVVLCCYSDPVDANQPNTVPEQASSVLRLPKNRVAVTGRKSFASRASSNPGNVSIPKQWYNLVADLPVKPPPQLHPQTHQPLVPSDLAPLFPDELIRQELTEERFIDIPEEVRDVYELWRPTPLIRAKRLEKLLGTPAKIYYKYEGTSPAGSHKANTAVPQAFYNAAAGVKNVVTETGAGQWGSALSFASTLFGLNCEVWQVRASYDQKPYRRLMMETWGAKVHPSPSDVTEAGRKLLAADPTSPGSLGMAISEAVEVAATNGDTKYCLGSVLNHVLLHQTVIGEECLEQLAALGITPDVVIGCTGGGSNFGGLAFPFMREKLAGKMNPQFKAVEPAACPTLTKGVYAYDYGDTAGMTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMEAMSIKQTECFEAALQFARTEGIIPAPEPTHAIAAAIREALECKRTGEEKVILIAMCGHGHFDLAAYDRYLRGDMVDLAHSDEKLQESLADIPKV >cds.KYUSt_chr1.38781 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236949074:236957153:1 gene:KYUSg_chr1.38781 transcript:KYUSt_chr1.38781 gene_biotype:protein_coding transcript_biotype:protein_coding MCDARLRLHSSGSRSSVALHRRRAIQCGVAFAANANRRARPALADGIDRTQGYPVARGRRSCAGELRPLSTVKVSRKKSSWRDVAFPKLRYSLHYSQSNKQTASQLPGRHTMGKGEEAGEEKGRKKMTKGGKAPFHDMFKNADVTDMVLMLVGTVAAVGSGMSQVVMTIIFGRMIDAFGGATPDTILPRVNKVGKFLQLITTFIGGFIVAFIKGWLLTIVMLCTIPPLAVAAGIISKMLSKVSSEGLASYVDAGNIVEQTIGSIRTVVSFNGEKKTMDLYNNLIKKAYKGTVKEGAIKGFGMGFLSFVYLSSFGLIIWYGSKLTLSKGYSGADIMNILFAVIIGARSLGDATPCIAAFEEGRVAAYRLFTTINRKPEIDYDDTTGIVLEDIKGDVELRDVSFSYPSRPEQVIFGGLSMHVSSGTTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGMNIKRFKLDWIRGQIGLVNQEPMLFMTSIKENITYGKEDATLEEIKRAAELANAARFIENLPNGYDTTAGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDLGSERAVQEALNKIMVGRTTIVVAHRLSTVRNAHCISVISGGKIVEQGNHDKLVKDPAGAYSQLTRLQEAHGGINAGISGPLSERSQSLERSISRSPGGSGRHSLILPVSLPGTKDGADVEKQNKNTDGQPSKKAPMGRLFGLNKPEMAVLLFGSLAAVIDGTVYPMMGLVMASAAKIFYELPTDKRQKDSIFWGLLCVGLGAVALISKLANSLLFEIAGGKLVERIRTLTFQSLLYQEAAWFDHPANSSGALGGRLCTDALNVRRLVGGNLALMVQCTASFLCGLVIAMIADWKLSLVILIVIPLMGLQGYAQVKFLEGFSQDAKTMYEEASQVATEAVGSIRTVASFCAEKRVMTIYNQKCQASKDQGIRTGIVGGLGFGFSYMILYATSSLCYYVGAKFISQGNSTFGSVFQANFALVLAMIGASQTSAMASDSTKAKDSANSIFMILDRKSQIDSSSGEGSTMKLVKGDIDFMHISFRYPSRPDIQIFSDFNLSVPSKKTVALVGQSGSGKSTVIALLERFYDPDSGVILLDGVEIKSLKLSWLRDQMGLVSQEPVLFNDTIRANIAYGKHEEVTEEEIAVAAKAANAHEFISSMPHGYSTAVGERGSQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESEHIVQDALDRMMVGRTTVIVAHRLSTIQGADVIAVLKDGAIVEKGTHETLMGTEGGAYASLVKLRPVQHDTSRLGPRNQVELLECTAA >cds.KYUSt_chr1.39457 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241400427:241410172:-1 gene:KYUSg_chr1.39457 transcript:KYUSt_chr1.39457 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMRMDQYQQNDFLKFSLHPDPEDDYQAKEWGIFMRFLRENKKAGVVEFRSITFHILAPESHSYYAEVFYETVLKDPGVCRKMEGKSGFLYDASAMLQVALPLIIPVLSMSFLSQSFNESKTNLEIPVVAYCKKGQYMEFDLNVQSEAAAEYNLNAIKDYSPFNEYVIGEKSYKRVGGQKHNAVTGRGIIGVADITDLIDDEDGNTWVLNSKQGFQDCEMYAKLEEWLGRKTDEYWNTNFDNLELRKGGELIKAVDDVVQCRSCRKCRKLNQGFNTASLPLDWFCHMEPFNGNCDIPEEELEVAVITVAEKISGHNKVENFRQDEDVKNVRLISPPTHSKGMSSSDSTICEGLSFQSSSSSEQEWDFDYVPDGPPEALVGSDGDLPLTDGEDDLQFLIEGELESESDLHSWANSTSSDEEEEEEEEEEEEEKEEEEEDDSSSSAGYPPAKRFRAWADSEDDDDDEEEEVPAEGWVSSDEELSGSSANGSYDADDEASED >cds.KYUSt_chr6.16769 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105477627:105478594:1 gene:KYUSg_chr6.16769 transcript:KYUSt_chr6.16769 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELNRDYEIGQELGRGRFGVVRRCVSRSTGEPFAVKSVDRSSLADDLDQELAELEPKLAQLAGAGNPGAVQTHAVYEDDVWTHTVMDLCSGPDLLDWVRRRRGAPVPEPVAADIVAQVAQALALCHRRGVAHRDVKPDNIVLDDVEEDGEDVSPRARLADFGSAAWIGGGRRAEGLVGTPHYVAPEVVSGEDYGEKADVWSAGVVMYVLLSGGALPFGGETAKDVLSAVMRGSVRFPPRLFSGVSPAAKDLMRRMMCRDEWRRFSAEQVLRHPWIVSGGGSRAMEQPT >cds.KYUSt_chr1.24003 pep primary_assembly:MPB_Lper_Kyuss_1697:1:143117540:143120209:-1 gene:KYUSg_chr1.24003 transcript:KYUSt_chr1.24003 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNFAAAAAGLEGGEGAAAAAGAAAGEVAGAGAVAGAAAPAPAGAATDSGAGAASADLGGVAGAVAAAAAARAAAEREVAYKEIDAICSRCAWMLCRSGNRSYVLEYIEPCEYNTDDDVDEDNFESYVQRQVKGFESRILKTVRRERHACPFCPCKVKDGALASLEMHAMDTRNSAREWQGKADHEALARFLLGPRLPRSGRILKRRRNI >cds.KYUSt_chr2.8353 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52612463:52614290:1 gene:KYUSg_chr2.8353 transcript:KYUSt_chr2.8353 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAESSTDFLDFLGPDTSATVFTMLHDPADLARASAVSRSWRTFGRKMQCLRVCPEVSTFARVEVRSSSGGKDHDVAGPSARAEDERLSRDHMVYMHLAHGLLAPYSGARNCITRCIGASSTDNFPEETIENTLEPIDRVEMRPSYWSSGGQRDPAVPEWLIYRLQADLCLVDEVKVQPFKAFFQYGDPIYSAKCIRFKMGYPTSPLEPETLVCDENEGQLIDDSNYVWTYTSPEFPMLQENVLQSFKLPHPVLCIGGVVKVELLGRVQKQAMDGLYYICVSHVQIVGKPVSQELEVAPHGKGVVMNYYPDPRRCSVARSDSSGDDGRSKWHGFASRFWHSGRARGIGGLNQGLLSRLFGAPLQFGGEDDVSDEEGELL >cds.KYUSt_chr5.22936 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149763790:149764563:-1 gene:KYUSg_chr5.22936 transcript:KYUSt_chr5.22936 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMEPPSEVSADPSRSQNIIDEDDDWVIVKKQRVTILIPPLSPAAASPQAGTLKISSRLTSLPRMSRRNYHATTKKQVTMKKFQESSLEVLGVDGRMKTAQTHPSENIVNQDYAMMRGQSSRSPAAPAVKSDWTKHADHAVKGLFHQAAEIATSSLQNTYELGLPIIYSPLTNKVLRARLLQRRVVQFGGLRNWLLTCGLGWFISILDNEEMGMYQIVSLTMNKLKEMGLIAVGPRRKLLHAIDSLCKPRQFEMFS >cds.KYUSt_contig_195.177 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1115318:1115851:1 gene:KYUSg_contig_195.177 transcript:KYUSt_contig_195.177 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKGKKFAAKKLGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAKRVGTGAPVYLAAVLELAGNAARDNKKSRIVPRHLLLAIRNDQELGRLLGGVTIAHGGVLPNINPVLLPKKSVEKAEKAAAKSPKKAAKSPKKAAKA >cds.KYUSt_contig_2278.139 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:1102296:1103008:-1 gene:KYUSg_contig_2278.139 transcript:KYUSt_contig_2278.139 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDHLSDMCSMTQTKNALKPRKRRPLQTVNIKVKMDCEGCERRVKNAVKSMRGVTAVSVTPKMSKVTVTGYVEPSKVLERVKSTGKGAEMWPYVPYSLTTYPYVGGAYDKKAPAGFVRSAPLAMADPGAQEVRYMNMFNDEDVNACSIM >cds.KYUSt_chr1.4923 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30260033:30264653:1 gene:KYUSg_chr1.4923 transcript:KYUSt_chr1.4923 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSELVDEPGTLPANQPSLGTKIRPYRSKLYELLPHVSRPPSRARPMDWEPVQQNLGALGFAGICRETYRVLLHAIRSSGGPGAAILSALFLAHIAANRAILSWIVATDFDLTSLIAQAVTYSISEVLCLCFLLLISLVCNAIYGVLPFCVATLYCTDGDSGATKRILTFKERLIHSFISVVPLAIFYIALSTLAWLELPQLDTSDEVVVLPLQLLGGAAFLAGAAYVSVVFHMSCVVAVLEDAVLFGAVGKSRSLLAGKFWAAAGVFVPLDGCFVALQMYFLELVLDDALGLGPWFQVAAGAAMAVALWAVVVLTMVAQPVVYLVCKNHHNEVADNVHLNFAGEYKQLAVDGVELQPVTVQIAETTQSPGQAPAGSYVKVVGISGPRSNLYRNLDAGKRARYPLKPSGRTKLLLKSVEAPGLRSKIFLNLIPHVSIAGVRVGKALPDVVSPLALITPVVEKEVVSTVNGKNMKMVESYVAAMTGPGWTSEPVVTEVGNGVNMGDTQSDYFVQSPPSADRPDLPREKNVVLPGNDMRNILCNPEGRMGSTLEQAEAMSRKRNLEGIPSTSPSTNSFEVLCNLEIMSRASLMGIDVPSNNFESIEMIKELEKARNNLSQKNDTIPASSFVVHHDHSRETPLSLT >cds.KYUSt_chr6.745 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4734153:4740528:-1 gene:KYUSg_chr6.745 transcript:KYUSt_chr6.745 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVVPFLCKKRPSFSRQFFRARRRHCEFGADPSFSRQFLRARRRHCEFGADPSFSRQFLRARRRHCEFRAGEGKIGAGGGSGALSELVDFRRLIAGGSELPAWIVRFDCVRAGFDHYVFCVIDPRGWCLYSSIATTMGSNFRIQNDRNIRFSVDHLEELSSQAKQQFPNVTAAKRLLTKLAYAGVLTEDDYMGRPQRGCRYCVKRLRSYDMSSVEDIRDALYELRNGGPLCAVIKISKNYGKCYRTAAVYKYDPNDSFGTPTDPTTHALSVISFALENGPFFECQDSHGVLFGDKGFLKVDVTSVKELYSFRVVELGLELTVLNSACPLYDVITGNKVADDLDDDMQSEYNGPVHEMEQLFAQNDESAMGLSLEDGLEYALKKKYEAHAVSFVRQDYQLLSLASAGRGFDISVSASTEKEIARCGKGDKIDVRSPIKRRVMNWHFAYLEHFTQSGKDDNMSQDNATAEEVGVA >cds.KYUSt_chr7.18578 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115097590:115098036:-1 gene:KYUSg_chr7.18578 transcript:KYUSt_chr7.18578 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKELSDEQVASMREAFSLFDTDGDGRIAPSELGVLMRSLGGNPTQAQLRDIAAQEKLTAPFDFPRFLELMRAHLRPEPFDRPLRDAFRVLDKDASGTVSVADLRHVLTSIGEKLEPHEFDEWIREVEVAADGTIRYDDFIRRIVAK >cds.KYUSt_chr3.11304 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67380411:67383953:1 gene:KYUSg_chr3.11304 transcript:KYUSt_chr3.11304 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARWAPLLIFVSLLLLHVQAWPGAAPLNGEGLALLELRARVEGDPHGVFDDWDPMGNNPCSWSGVQCSDGKVEILNLTGRELAGTLAPQIGSLQGLRSLLLPKNNFHGQIPREFGGLSALEVLDLSANNLDGAIPKELGAMPLLKQISLHNNQFQDGFYSFDIQDRAAKQACCLRRKLGCWLVSMNWISFNGVRGKYCTDLPNLGAPHIMQNLQSFASAMSRRLLREAGNLPALSGNDLANSKEIPRPVDVLSLGSGSFSAFPKSNGPLLPESVQNVDPATVKQLSAAVTQSADKESSGAKYSKWIYVLIFPAAILLIVVPILICRKQGHASMGPWRTGLSGPLQKAFVTGVPKLNRPELEAACEDFSNILNTFPSCTVFKGTLSSGVEICVVSTSISSIKDWPKSAETLFRKKIDTLSRVNHKNFVNLLGYCIENQPFMRMMVYEYAPNGTLSDHLHVKVYEHLDWAARMRIIMGMAYCLQYMHHELEPPVAVNDMRSDAIFMTDDYAAKIAYVGMWKELADKAKAAKEDGSSSRSESAPDLTGNIFCFGALLMEIITGKLPEQDDHESICTWAAEHLKAKRYTELVDESLQDHKANELEAVCEVIQECTDPDPTRRPEMRDVTGKLREVLAITPEGAGPRLSPLWWAELELLSIKSS >cds.KYUSt_chr4.19879 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125010574:125011818:-1 gene:KYUSg_chr4.19879 transcript:KYUSt_chr4.19879 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASPDIPNCGGLPAAVSAFADAFVDFAVSGIFFPTNPPPPLPATPTPAATFLPAPSRLVAIGDLHGDLPKSLAALRLAGLLPSANSPGTSPTSTSWSAGPTLAVQLGDILDRGGDELRLLYFLRRLSISAAAQGGAFLPILGNHEVMNVCGDFRFVTPQGLQEFSSWAGWYRAGLAIKRRCGDLEPPKNPFLGVPKAYPGIRREFWDGIRSRVAALRPNGPIARRFLADLPTVLVVGDSVFVHGGLLEANVEYGLERINAEVSDWIRGQQGDNARAPEYVCGRDAVVWLRRFSEGFNCDCERLKGVLGMIPGAKRMVMGHTIQSEGITAVCGAQAVRVDVGLSKGCGNGLPEVLEINGAGSQVRVITTDPAEAWKYRKQKAAAALEKKGEVKDGLALLVRESHGLKGVEAKA >cds.KYUSt_chr7.26771 pep primary_assembly:MPB_Lper_Kyuss_1697:7:167220709:167224793:1 gene:KYUSg_chr7.26771 transcript:KYUSt_chr7.26771 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEERRRFTSLRSVRWRVDLGILPASQEASVEEHRRAAADSRRRYVSLKRRLLVDPHLPKEEARSSNLIVDNPLSQNPESSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPTCQAMLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFSDDFDGVPFPDTDMVFSYKPRKDPKWSSEADNENDSESTSKVSSLDELDLDTKEIILLSDAYGAEGELGIVLSERFMEHDAYAIFDGLMDGGGGVVRMAEFYSPSSIGSSSSLPPAIEASSALYHLLSIVEPSLHNHFIELKVEPQWFALRWLRVLFGREFCLNDLLVVWDTVFACSNNMLLNNDEEYSFRIFCSARGAFIAAMAVSMLLHVRSSLLATEVNVSCLQRLLNYPTNVDVQKLIEKAKSLQSIAIDANTPSPTFLLKRDICEYDRVKSNLAISTPPRTPLHPVSESYWEKQWRNLHNDGTSPKETEKVNSSSSEIKKSWRQKLGLSRTESLPSPVTKVISVGSDARNSARRCLLNTLSDSVERSHEIAGKIQEDEFPVVSVHKEPPVSSAESSPLRATGESVTVSPPCLAKVSPLESSPVVPADESETRTQCATEACSSGENSPVFYAAIAGSEHENVQDNDSERSSITSNSCAGDDDRDEILQDEPSSSNCDGKTVQDSEAATSDKTADPDGSSERAVVSNERKPFISKFQWLLKLGRPSGEGSIEKGSGETSAGKGGVDASCSDANSNNSRGSMKLAAGDKKVVGTFKNLGQSMLENIQVIESAFQQDRSQPGPMENFSNNILGGKGQVTATAALTELRKISNLLSEM >cds.KYUSt_chr3.43779 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276289094:276289960:-1 gene:KYUSg_chr3.43779 transcript:KYUSt_chr3.43779 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSDRGEAVRLVLTNAGSLHAHVVRTRRVGDRRYAVLRRESVASRSPESTRSIVAVVELRRAAPTRSPASSASLWSSPPTSISPRRRGEPLTSPCRSLTAALLVSRAAGERPAIIPIRLRRMHRSLARARRHQDKSPRPCHRLEVVPAATLFLSLSLFPSLLSPSDLTGPFSLLRSHQLAAVIEAMSVPLCPGAAHAAVGDDVSITSETLRWASLVHLAILSQSQSSVGRRR >cds.KYUSt_chr2.49238 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308192885:308194127:1 gene:KYUSg_chr2.49238 transcript:KYUSt_chr2.49238 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNKGSWTPEEDMRLIAYIHKYGHPNWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETLIKLHNKLGNKWSKIAASLPGRTDNEIKNVWNTHLKKRVAASAGEQKKTGGAKSKKKTARVDAPVKSASPSSSTTTATTHSSTGDSGEKSNTNKEEPEVDKIEIPVVDLGFDFDMLLDTVPETHCPSVSAPTSPCSSASPPCVVDDDGLLDLPEIDIVPELWSIMDGVDDGTGACTETEQAPCINAAPCPGNGTEASAATTDDGQEWWLEDLERELGLWGPIEDYQYQTNPQAQSVLVGPPSASVDDPVSCYFEAGHEASAAALTDIQMDL >cds.KYUSt_contig_915.268 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:1772908:1779978:-1 gene:KYUSg_contig_915.268 transcript:KYUSt_contig_915.268 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHHSGGDARTSDGTLVAGDGTLLVVDCIDGLTLLTRERIREVLAMGFTPLLMLTNIHRCFLEKLDGEEAYQKFCTIINDVNAFIKLYSTHRLKDVEVSPREGTVVFYADSWGFSIPKFAEIYESKFGLDHDKVKNRLWGDNYYDPSTKIWTPTPTTTASCKRGFLLYVYDPIRKVLSRCLRDEKDKLQSMIQKLGGKTMDMRDLQGEGLFDLFMRSWLPTSNILREDPSYFNAEGSCWRSEDVNAVTKSYDKGHVALEEDKPANFCLMPKGSAKGLFTLPPEVLERLAPYQIRAVRWLWDLQCKSMGGILADDMGMGKTRTVCSFLRGLLSCSLIERAIIVAPKSVLSQWVAELKNVGLVEEIHMYRTPVSEGESLNSVIKELYVLVNFCCPNLLGNEQEFVEKFVEPIEKAKFSDSQLSHKRLAMSASEELKNILKPCMLRRTKRLLQAMGLLGSKHEMTVWLEMTTVQVAKNICNHPFILRKLASGDAKASFESQGLANNYKKQLLKLVPFPDVLIGSSCKLRFILYLVNNLLAEHHKILVFSQSVPMLQLVEQALLTFMDESKIIRMDGSTTQPERDEKIKSFQANIENPDEISPEDVPDVFLMTTSVGGMGLNLTAGSRVIIVDPAQNPSMDNQSVDRAFRLGQKKDVIVYRLITAGTIEEHTYRRQVIKGEISTSVTEKEQCARALEKETGKVLSLPKGGFAKSWTHQELLESYQTRFDESILEHLEFIMRETVVVGLNNHGSLFSVEECLPLPCDDDSPVKLARRFRKKFASDTVIGGEKYAYHVKDPKWGVQNKMLAAAAGRYRKLLPQKLYGPEKSGGSSRPEAGGWRARGGMNPGATLGDDPPKEPDAATGPG >cds.KYUSt_chr2.36108 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223057571:223058830:-1 gene:KYUSg_chr2.36108 transcript:KYUSt_chr2.36108 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAAGDGDGWADQEQGNGGSRGGGEAKRSEIYTYEAAWHIYGMNWSVRRDKKYRLAIASLLEQYINRVEVVQLDESSGDIAPVLSFDHPFPPTKTMFVPDPQGLRPDLLATSADILRIWRITDDDEAATAAADSSNGSVRCNGVGAPAAQQPGAKLCCELNGNRNSDFCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESSSGGAGSNSAAAAADGGAVSPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHAPVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGTGNNSGGNGNGNASAAAAAAAAEGGLDPILAYTAGAEVEQLQWSATQPDWVAIAFANKLQILRV >cds.KYUSt_chr2.13054 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82830328:82831599:-1 gene:KYUSg_chr2.13054 transcript:KYUSt_chr2.13054 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMKTRKKEKKVQTTELPEELVFEILIRLPVKSLRRFKCVSKAWCTTISDPSFIRSHLQFSASRWEKHPSLLITPHTLDRVVQGENWPTTFSSNIRFYQWQQGASKVRLVHGRDFDGEFSSVCCFAHCDGLVIVPTDTKVYLFNPATRDVVTLPETSRDMMEGDVNLPVGFGRDPRTGMYKVVRSFFRSIDPETGIYDMGMEVCTIGSGSRAPCWREVAADQPYPVAGWITAQCINGGVYFVIDTSCLKPRPHGILRFSLADETFSVTRLPDELDPEEFESYNLDVMHGELCLIGRRVGLGHSEERPLTIWALVEDDGPRSLWEPRYTMYVTDLCHPIALIPSSSGCGVMVIWLTNKLYYYDLQSNELTVASELESLRFQRRRSRTFESAGKDAYFFNVIPYTESLVPLSADSNTIPSFPSI >cds.KYUSt_chr5.26762 pep primary_assembly:MPB_Lper_Kyuss_1697:5:169409577:169418322:1 gene:KYUSg_chr5.26762 transcript:KYUSt_chr5.26762 gene_biotype:protein_coding transcript_biotype:protein_coding MALDHSSYRDGGGGVGGGSGGGCPVSSSGAGGYGVCVIAVELYTQLVLVEQVLVMVVEAVVCDMESSSDGVLRTGGDKRREDNDATSQKMIVGVIPTGDEPDGRDEVEPDGNDDDGIACLKKMLAKSFEVKDLGFLHYFLGIEVVYGPQATTPIEQNHRILAESGDPVDKHQYQRPANNRDMGVDHVNKANCIASGRGRKVCVTGASGFIASWLVKLLLEKGYTIHATARNPDDVAKNAHLRALEGAAERLTLFRVDLLDKESIAAAFRGCEGVFHTACPITDDPEQMIEPAVTGTRNVINAAADAGGIRRVVMTSSIGAVYMDPSRSLDEEADETCWSDLEFCKNTKNWYCYAKTVAEQAAWELAKQRNLDLVVAYAHVRDVADAHARVYEAPAASGRYLCAGRTLHRAEVCRILAKFFPEYPVPTICKDETGEMKKGCRFSSRRIRELGVGFTTASQCLYETVTSLQDKGLLPRCDADMSP >cds.KYUSt_chr4.25499 pep primary_assembly:MPB_Lper_Kyuss_1697:4:160279517:160283310:-1 gene:KYUSg_chr4.25499 transcript:KYUSt_chr4.25499 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPAFLAAAAKLVVLAAAAATAANAASYARFRRRNLRRIPNPIDDSADPIADFRALPSSSSSAAASEDDDFFFGLATAPAHVEDRLDDAWLQFAQEHSGGDNDSVPNQMPANAVVASAGGDGGSQPSSRPRGDEKGNDGDQRKPIRVAMEAMLRGFEKFSESAESSDTDSGSRKVAAWHNVPCPQERLKFWSDPDTELKLAKETGVSVFRMGVDWTRIMPKEPTEDFNNSVNFAALERYRWIIQRVHEHGMKVMLTLFHHSLPPWAGEYGGWKMEKTVNYFMDFVRLVVDRVSDLVDYWVVFNEPHVFVMLTYCAGAWPGGDPNAIEVATSALPTGVYNQALHWMAVAHAEAYDYIHSESKNAMMPIVGCSHNVSFTRPYGLFDVAAVTIANSMTLFPYVDSICDKLDFIGINYYGQEVISGPGLKLVDSDEYSESGRGVYPDGLFRLLLKFNERYKSLNIPFIITENGVSDETDLIRKPYILEHLLAIYAAMLMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYYLFSKVVATGKITGQDRMSAWRDLQEAAVQKKTRPFFREVDKHGRMYAGGLDRPIQRSFVLRDWRFGHYEMEGLQDPLSRLVRCVIRPFGRKKKIHYIEDDAISYSMSP >cds.KYUSt_chr5.28965 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183380175:183383140:-1 gene:KYUSg_chr5.28965 transcript:KYUSt_chr5.28965 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSYVKGIDLQKLVWCYPGADLGPPIVCRYGRETRVRAERKEKRTWTNLRPLLLPHIDPSCCPSSAASGRLRLVMSQSVFLLDLLAASSAEDPLHRDPTCLAQDPAPPASILDGDVTTTSSPVRLSCPRSCCSCPDSPGGHLLTARPRPPARPPPPTPQ >cds.KYUSt_chr5.41468 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261633594:261634019:1 gene:KYUSg_chr5.41468 transcript:KYUSt_chr5.41468 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKRLAELAKKWQRVEALVGKRLTVSAKEDEGCCTSVPAKGHCIMYTADGRRFEVPLVYLNTTVFGELLRMSQEEFGFASDGKITLTCDATVLEYVMSLLRRNASTEVENALLYSMVTFCHYTGCVMPAVGASQQICCL >cds.KYUSt_chr6.2605 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15174463:15177731:-1 gene:KYUSg_chr6.2605 transcript:KYUSt_chr6.2605 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPLPPLPPRPSRLTLDPSPGASASKRRLLFLPGTTPAPSSRRRHPGRVTMEHIMEVIGSIRSVVRGETGAMETDAGARTPFKRRLDHTQYTSSTPKSRRHPGRVTMEHIMEVIGSIRSVVRGDTGATETDAGARTPFKRRLDHTQYTSSTPKSRRRLADSPYTSSSRRVDDSPYTFTPKSRRRLADSSPYTFTPRRRAAEEHPVEVIGRIRNLTADASALEVGATSVRVRVPSHDAGGGREFALDGVSASEEEGLEGFYGRFVRSRVEGVRAGAKCTVMVYGPTGSGKSHTMFGCADQPGIVFRALWDVLGGGGGNGCDPVRVSFLEIYNEEVYDLLAGTGGANAKGPKPKVRLEVMGTKARNATYISENEAGKIAKEVVKVEKRRAVKSTHCNARSSRSHCMVGCNQFFFFLFLLIIVDVPSVGGRLMLVDMAGSENIEAVGQTGHEAKSETGTINVGNTTLKRVVESIANGDSHIPFRDSKLTMLLRDSFEDKRSKILMILCASPDPKELHKTISTLEYGARAKCITRAAHASIPRDKVSSKEAHNAIRLKDEELARLRTKLSLVEAREATAQEEVIRVAEETQFLWGELRTTEDKLLMQQQELIAMKQRLQEVEREKLCMDE >cds.KYUSt_chr1.1801 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10374135:10378647:-1 gene:KYUSg_chr1.1801 transcript:KYUSt_chr1.1801 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYNNDSSVVQDDLVENEVVQDDVVPDNQDNLTENVPAKKKNQSSLFNRSSPMKLVRLCKGMTPEQQDLITKSNFEDIILMKCSKLIPELCRFLLASFDPVRCVLDFGDRLKPCFRTNLSLFTTEPTDVDMFIIRHLPHNHTDEDLAKYRPAVINMCTIFEDGLAKFINSLRKADVKGITNNQGEEEVHALRNIQMDKPKRRRRTKVSEHAAAAQTTENSCHERGDLQRDDHVPQNQEASPNAIAEKTKKRKYVAGLGGQRTAKKPVPVSNVEPLQRSDQGDDHGDEGVRETMELPHVASSVSVTVDATANVLNQLQFYGTP >cds.KYUSt_chr3.26265 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163400148:163403482:-1 gene:KYUSg_chr3.26265 transcript:KYUSt_chr3.26265 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRMKRKFDEDAFGNEFDTKSKKSMKISHFQVDELEQAAVLNSSYEDPQDEHEPTSELAVQDIRIMEVSALDAVLGGTSIALLKVLCTLSITVFYYLEEPLQLEVVLFVLQDLISERVVSPNLEIDSSSDNDGSKSQLNVATNVDKGSTCALIYNFAFADVADGVIYAAQDNCAVNDHEGVLGSNQDCSLLDIYNPDDAFPFLFDAPTGLLASYSTLCDEFVSIDSLIDMSGICGMFPLNEITVEGGICNEACSSPGDMCYSNTGGEYFSNSEVLEWLNPYVDEENVPNLIDYAELGSDAACVPKEQATRKVTLVLDLDETLVHSTMEHCDDADFSFPVFFDMKEHVVYVRKRPHLHMFLQKMAEMFDVVIFTASQSVYADQLLDRLDPEKKLFSRRFFRESCVFTESGYTKDLTVIGVDLAKVAIIDNTPQVFQLQVNNGIPIESWYKNPLDEGLPQLIPFLETLAVADDVRPIIAKKSSLSFGSPRGTVSVKLIAFVYLVQARTRISAKDLCVQFGALEV >cds.KYUSt_chr5.2976 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19421076:19422121:1 gene:KYUSg_chr5.2976 transcript:KYUSt_chr5.2976 gene_biotype:protein_coding transcript_biotype:protein_coding MACRKHRHPQREHLPVEGHRRVANSVNIGSWLVSDGFQIDVVVRIRAATTSVPMSLARFAMVKKPLHGCRCRAARQQPRQGRHRNVSWCGEDGATLHAGSLGKDSSSSALLAGGGDGATLHAGSLGAGSSSSARLAGGGDGAALHAGRLVKDVTAASHGR >cds.KYUSt_chr3.47432 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297604209:297604817:1 gene:KYUSg_chr3.47432 transcript:KYUSt_chr3.47432 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASGRADRAPRPDRHAGEIKLEGEVVGVVEIWLGRQEEAAPEPGRDVRRRRLPMLAAMRGGDGAPTLAAMRGDDAGCEEARAEASDGAAASHAGRDGEESRAEASDACCDGEERGRVRGWPERGGAGRDGGKEPPAPTLCAGWDGGSTEKGEDGWMIGSWVVGWGRSWPARGGAGRNGGRKRRAAGADALRWMGRGEDRE >cds.KYUSt_chr6.30151 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191125440:191138374:-1 gene:KYUSg_chr6.30151 transcript:KYUSt_chr6.30151 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSNGLGIMAGSQSVEEVRFGIMQHLEGRVSDSDEESLSTSPETSDYVILLIRLNLPPLLSLLDPAQKPKVQVLLEWNFVLQIHNGHKNKRRFVVLHQDTMKSGTPLRLLVVDNDLIQWHVTVREDIALENRSIDISLHFPKQYFSGTEDFEEVIYPKGDRDAVSISGRDVDLLLPETFVNDTIIDFYIKYLSARIKTTERSKYHFFNSFFFRKLADLDKDQGRAPEGRSAFLRVRKWTRKINIFAKDFLFIPVNFSLHWSLIVICHPGEVVTSEDDEAEKHGKVPCILHMDSLKGSHSGLKDIIQSYLWEEWKERHPESGLDISDKFLNLRFVSLELPQQDNSFDCGLFLLHYVELFLMDAPSSFNPLKIDVFSTFLSDDWFAPAEASLKRSVIRKLIHKIVTEPSHNFPELTCAADQCDERHQRSENAEQEAAIEFLAQAGESDSVCQIPGAQQESTSICINSPGKGLPVSGFGLETADDTMFSVQNMQICPPDNDTEVCLPSQGVKNDPTLSESDNQCGLRSCVVKDRDTCEESVLDYLHNNQEISSQTEAMVHDAMDSKFCSFSDNPDVMACEQNSLETSTNEVVDVSEDMHSVMMSAATSKDDIANDPASTNGEAENGKCDLPDVGIAIGDINGNASGCSLEISVVKVEEAIGDISDDTGSVVIGLVNKDVPEHSLATNAVESEDMEPEDTHGDVNVEDDIQINTNETCTAVDEIKGNTDKCMTIDEAVSCESIEGKTNNILAGDSDSGRDEAHPDSAGLHGTVTCEEVTTRADAEMASLESTSCAENEAVREDKDGDAKRPLPDSTSEVENIQVSEDKCSEEDAPCTEEKEERCRKRRKVVTSVPAEKRLTRSSSRTPSLD >cds.KYUSt_chr2.47220 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295390651:295392042:-1 gene:KYUSg_chr2.47220 transcript:KYUSt_chr2.47220 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLLTLLLLARAAACLVRAAVAHRRRSHCYLLDYVCHKPSDDRKVSTEMAGSLIFRNHRLGLPEHRFLLRVIVRSGIGEHSYCPRNFVERREHAPTHQDALDEMDAFFDAAIFDLFQRTGIAPGDVDVLVVNVCMFSPGPSLASRIVRRFGMREDVAAYNLSGMGCSAGLVSLDLARNALRARPASVALVVSTESIAPHWYTGTDRSMMLGNCLFRCGGSAALLTNDPSLGRRAKMALRHLVRANIAADDEAHASAQKRDDGSGRAGISLSKDLPKAAARALTLNLRRLAPRVLPVTELARFAVRNLCKNLVLSRGHAKKTHVAAANKINFKAGVEHFCIHPGGTAVIEGVKASLDLDAYDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLKRGDRVLMLTFGSGFKCNSCVWEVKGSMADKGAWAECVDEYPPETMANPYMEKYSWLNDVQGDTLLL >cds.KYUSt_chr2.1873 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11153754:11155523:1 gene:KYUSg_chr2.1873 transcript:KYUSt_chr2.1873 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSNPADDEEEMAEMVQPPPPAGDKIVDDPAERSSSSHPGKPVCWKATTSGGVGVVVGTLLLLVLLVRTEWNQLDIDVSPDLCRRSAPSAPAPSPSSSSSSQPPPLCPDYFRHIHTDLEPWRKTGIEQQLVLRSRRWAMFRLVVVSGRAYVHKYRRPSYQTRDIFTEWGILQLLARYPGRVPDVDMMFATGDVIEVRAANYQNNPASAPPLFRYCKDVTQEVAILWPDWSFWGWPEINVRPWAPLMEGFVRENERLPWADREPYAFWKGNTVGLPVRQDLYKCNNDSATGKEWNARLFKHHWSSVKGVTEKEKKETSILDQCRYRYKIYVAGRAWSVSEKYILACNSPMLTLQTNYQDFFSRGLVAGKHYWPIDNARKCPAIKSAVDWGNAHPEEAQRMGREGSGFAKTEMDMDHVYEYMLHVLTEYAALLRYKPYVPKGAVEICPESMACPRTDREREFMMESREKYVSAQEPCTLPPPFTEDELREMNVREEDVRSKLIQMEGH >cds.KYUSt_chr7.14063 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86910641:86912037:-1 gene:KYUSg_chr7.14063 transcript:KYUSt_chr7.14063 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFHATTARPLLPPVLACLSPATRKLHLTCPAATTMDGASASAPDAKKTTTVFVAGSTGKTGKRVVEKLLERGFGVVAGTTDVGRARGSLPQDPNLQLVRADVTEGADKLVEAVRGVDAVICATGFRRSFDPFAPWKVDNLGTVSLVEACRKAGVTRFILVSSILVNGAAMGQLLNPAYIVLNLFGLVLVAKLQAEKYIRGSGINYTIIRPGGLTDQPPTGSIVIEPEDTLYDGSISRDQVAEVAVEALLCPEESSYKVVEIVTRADAPSQPLKDMFASIKQK >cds.KYUSt_chr1.9290 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56766654:56769141:-1 gene:KYUSg_chr1.9290 transcript:KYUSt_chr1.9290 gene_biotype:protein_coding transcript_biotype:protein_coding MGANADPSGSLGGPHRNPSPAAVALQLGLAASTAAALRHDPGLAMHWSPEEQAVLDDGLAKYALDAAIVRYAKIALGLPNKTVRDVALRCRWMTRVVRCNARPYITYAPMFERDNERMTNLNYIYSNNGVYASNMLRVKIPFKPPHEHIKG >cds.KYUSt_chr7.21708 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134656459:134656956:-1 gene:KYUSg_chr7.21708 transcript:KYUSt_chr7.21708 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLALGWVIYNETLMGWYELVTEVQETVTDNAMVFILAAGLLLLAIVLLSNQMEVILVPVVLVLVMLLIQNIVVAALLLLLVVYFAGIYYYPPQRGYYGGGGFNGGDWACSGLGFYMLLLLCLVLCAMFSEDGGNWWIPGVLLAACLLCLNLFSGGKVLGYEYF >cds.KYUSt_chr2.1725 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10250272:10257964:-1 gene:KYUSg_chr2.1725 transcript:KYUSt_chr2.1725 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSVPWWLATTACSPPPRAPLPDRLAFLFLSPCPQRALLAAVDLLFLLAALALSLRARLRLRSSRATHEHHEPLLAKPAPAAAHRRGRRSFRHRLALAASTALAAASLLLLALAALRLSPRDGVDAFWRAVQCAFLAAQLLAHLAAAGTVAAEKAAAAASARRSHPAHLRLFWLATAGLAALFSGSAAARYAFGVPILPDDPIAFAGLVLSLPLLYFAVHGSTGLADDTTAAATEEAEQSGASSSAYATASWLSLATFSWIDPLIAKGSTATLSDKDVPPVAPADTAEAAYALFLSNWPASTSSKPGHPVAAALLRSFWPQFLLTAALGVAHLSVMYIGPSLVDRFVQFVRRGGGAAEGLRLVAVLLAGKAAETLASHHYEFQGHKLGMRIRAALLAAVYRKSLRLSTGARRAHGAGTIVNYMEVDAEEVSNVTYQLHNLWLMPLQIAVALALLYTHLGPAVLTAVAAIAVVTVVVAFANRRNLEYQSKFLGKRDERMKAITELLNYMRVIKLQAWEETFGSKVRELREAELGWLAKSMYFMCANTVVLWSGPLVMTVLVFGTCVLTGVQLDAGKVFTATAFFRMLDGPMQSFPEAIAAITQATVSLGRLDRYLLDAELDDATVDHVLHTGIGADRVVLEVRDGMFAWDMRGKKENETEQEDDEGEEDESIVEETPILETVLKGINMEVRRGEIAAVVGTVGSGKSSLLSCIMGEMDKVSGKVRVCGSTAYVAQTAWIKNGTIQENILFGQPMDPERYKEVTRSCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQKCDIYLLDDVFSAVDAHTGSYIFKECLRGMLKGKTILLVTHQVDFLHNVDTIFVMKDGMIVQSGKYDELLEAGAGFSALVAAHDSSMELVEQGRQVEKTKHSQPAAVIRIPSLHSRSIGKGEKVIVAPEIEAATSKIIQEEERESGQVSWRVYKLYMTQAWGWWGIVGILGLSLVWQASDMASDYWLSYETSGSIPFNPSLFIGVYFAIAGVSMVLQVIKTLLETVLGLQTAKIFFNKMFDSILHAPMSFFDTTPSGRILSRASSDQTTIDDVLAFFVGLTISMYISVFSTIIVTCQVAWPSVIAVIPLLLLNIWYRNRYLATSRELTRLEGVTKAPIIDHFTETVVGATTIRCFNKESEFFQENLDRINSSLRMNFHNYAANEWLGFRLELIGTLVLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNFLVYYAISISCMLENDMVAVERVNQFSTLPSEAAWKKDDHPPPNWPTHGDIDINDLKVRYRPNTPLILKGITVSIRGGEKIGVVGRTGSGKSTLIQAFFRLVEPAEGKIIIDGVDLCALGLHDLRSRFGIIPQEPVLFEGTIRSNIDPIGQFSDSEIWQALERCQLKDVVASKPQKLDALVADSGENWSVGQRQLLCLGRVILKQNKVLFMDEATASVDSQTDAAIQKITREQFSSCTIISIAHRIPTVMDCDRVLVLDAGLVKEFDEPTRLIEQPSSLFGAMVQEYADRSSKL >cds.KYUSt_chr1.3930 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23963192:23963638:1 gene:KYUSg_chr1.3930 transcript:KYUSt_chr1.3930 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGLGKGATRRTSIILPGARATCADEVFRGSRACPFAAVAVVKALIEGRAELTQGWDGGRQDGTRTHIHMPTVDGTQMATRQGVHGWLEAGDRLATVEDRCGSVVLDAVHVKKDTERDSPLPLIRAILLDADLGGEAARTLVHKRAP >cds.KYUSt_chr2.49546 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310074499:310078619:1 gene:KYUSg_chr2.49546 transcript:KYUSt_chr2.49546 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVAAILRRSSLAAAGENLMELYVGPSISSASSRGFSSFAKRPGKLGTSVLGHTRAVDRFSRVSPAPLSAHTGTKWMITSNSSSNALPGPLAASSICRAYSSDAGIKPEVPVPPTESSEAVTTGAGTWMDLLENARNSTIDATTDAGKKVKEMTDAVTPHVQQFFEAHPDLEKVVVPLGGTLFGTVMAWFVMPVILKRLHQYGSQNPISALLGNSTKTDASYPTSLWSALEDPAKYFITFMAFSEMAAVMAPSVTPYFPQALRGAFVLSTVWFLHRWKANFITKAMTNQTALVTDRARLSAFNTVSSIGLIALGVMGLAEACGVAVQSILTVGGVGGVATAFAARDVMGNVLNGFSLQLSQPFSVGEYIKAGSIEGTVVEIGLTSTSLISPEKQPFTVPNSLFSSQIIVNRSRAKSRVSVTRIPIRLEDIQKVPAFSEEIRAMLRSNPKVILETDAPYCYLSKLEDSYGELVIGCILQKMVR >cds.KYUSt_chr1.5456 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33660451:33663646:-1 gene:KYUSg_chr1.5456 transcript:KYUSt_chr1.5456 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKAAAAAAPAVKRRESGGAGGRRPRKRARDGNVEGDPPSHGDLISRLTDDILGTIISLLPTKDGARTQILARRWRPLWRSSPLNLEANYGLCPNEFKLLPLVSKILSKHPGPARRFRFYIRLHKAKKRFAEEAAQIESWFRSPALSNLQDLDISFSLLDYTDEKRYPLPPSVFLCASTLVVARMSFCDFPKEIPPSLSFPFLKQLTLERLSISRDIFHAVLSSCDVLESLYLEEIHGDVGCLCISSPTLRALGLCACFPGTGEIVIEDAPLLERLLLPCPEEGRETIETIRVVRAPSLQILGPLSPHISEIKIASLHFKSLTPASLKNTITTVKILALDFSVPDLNAVIDVLRCFPCLETLYVTSCEYLKMPLKDGREYDPLDPVKCLETHLKKLVMDDYNGDEQDIGFAKFFVLNAKVLKEIRLQVHEKVNKEWVSDQYRLLEVGTRASEDAQLEFIRCDSSAYGHMEEDPKLAAMNEQFMADALQQAVEEVAHAAFDADERTFHDL >cds.KYUSt_chr2.10587 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67371282:67371965:1 gene:KYUSg_chr2.10587 transcript:KYUSt_chr2.10587 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIKRKGELAIEAERYAMTELHKATKSLNFPPTLLTLMSKFSNARKIQSHVRAQLIARAEIAFALVLSRHPSANLTAIANADGNVGHLFAKAKIPIIIAIERLEDSSKVDVEVEDPEERS >cds.KYUSt_chr2.26313 pep primary_assembly:MPB_Lper_Kyuss_1697:2:161007719:161014455:-1 gene:KYUSg_chr2.26313 transcript:KYUSt_chr2.26313 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKALLKPRATPQQQLREWQRRLRNECRGLDRQIRDVQREEKSVEKAIREAAKRNDMGSAKALAKELVRSRRAVNRLYENKAQLNSVSMHLGEIVATSRTVGHLSKSTEVMKIVNGLMKAPELATTMQEFSKEMTKAGVMEEMMNDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAARTQKVKQASTSKVPEEREAVAEGGDDDEEDMEEIRARLAKVRSRWLAEFSLWVLVAWCCERQLGAVSASSTISSCSLYRFIHAVQGLKLLLSLPGYGEGSKFVLGHVAPDLQQGSSESSRGEIAVVALSWLPLLMVERRPTQPLSPAMVSLDRLMKALPNL >cds.KYUSt_chr1.38404 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234629737:234637785:-1 gene:KYUSg_chr1.38404 transcript:KYUSt_chr1.38404 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRTVVSDDEEEDFIEAEEEEDEPRPSRRGRDDVDEQDDDDDEEDEEAEEGQNEYEKDGFIVDDADEDEEEEEEEARASDDERRKKKKKKKRESEDFELDEDDYMLLQDNNITGIHRPKPAGNKFKRLKKAGRESEMGEHSGFSDDNASGKRRTAEEKVQYSLFGNEEPFEEDIVEEDQQADEDDVAEDDMDDEMADFIVDEEEIDGNGQVVKRKKVKRKPLRQAAGVSSSALQEAHDIFGDVDELLALRKQELERDAINSGEMRGNRLEDEFEPFILAEKYMTPKDEQIKENDVPERIQLSEELTGNPPGLEENSRREEESVWIHNQLTGDGFLSFFGNERANKEIEQTDIVNVLYMLHVNKFEIPFIAMYRKESCPSLLDHDVHEPEYDDGKREMTWHKLLWAVQTLDRKWLLLQKRKLALQIYYEKRFEEEKRRIDDVTRQTLNRQLYYSIIEALNDAKSEKEVEDVDAKFNLHFPPGEVEELGQFKRPKRKSLYSICHKAGLWEVANQFGRSAEQLGHHLTLTSVPEAGELDSGKDSPEDVATNFTCAMFETPQDVLRGARHMAAVEIGCEPIVKKHIRGIFMNKAVVTTKPTPEGNLIIDPYHQLSGVKWLREKPLNKFVDAQWLLIQKAEEEKLLKVTISLPEDAKKELMSEARENYLSDCVSKSAQLWDEQRKMILDDAFLNFLLPSMEKEARALLTAKAKSCLHMEYGQQFWNKVSVAPWKKKDSDKKDADLDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSLSIRSQGVAEQQRKKNDQQRVLKFMTDHSPQVVCVGASNLNCRQLKDDIYEVIFKIVEDHPRDVNPQMENFSIVYGDESVPRLYENSRISSDQLPGQSAIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLHPLDQFLTPDEKYEVVEQVMVDATNQIGFDVNLAASHEWHFSTLQFVAGLGPRKASALQKELVREGSIFSRKELVKPLGRKVFMNASGFLRVRRSGAAAGSAQIIDLLEDTRIHPESYALAKNLAKDVQSEDADEVNEMDDDEQEMAIEHVREKPKKLKSLKIDEYMKSIPEESRKRETLYDIREELLRGFSDWRIQYAEPSPDEEFWLLSGETEDNITDGRIVQVTVRNIQENRIMCTFDSGLKAIVMGDNYSDEGYDPESLQLHEGDVLTGKIKNVNKNRFIVYLTCKISELKRRPFSRNNHDPYYHEEDIIPSQNDKIRKQKELAKKHYKPRMIVHPHFQNFTAEEAMQFLGDKEPGEKVIRPSSRGPSFLTLTLKIFDGVFAHKEITESGKDHKDITSLLRLGKTLTIDNETFEDLDEVIDRYVDPLVGHLKSMLSYRKFRKGLKGEVDEMLRAEKTENPMRIVYCFGISHEHPGTFILSYIRSTNPHHEYVGLYPKGFRFRKKDFDSIDRLVSYFQKHIDKPPPESGMSMRNVAAMVPMKNSDWGSGGANDGWRGDGDNGRDRPFSGRSGLIQGTALVAVVVGAGVGVGEVTSAAMMVVVAAATVGVGLITSAVVEVHGALEEDLEVEIPDGAAAVVTITVAAVEMEAGVHLQLPLTLVVEAGEHLPLPLMLVEVGEQLLLLALVLVMTRDGAAPKRRFQHKMAEVVVGVPVVGAGEGCAVLPA >cds.KYUSt_chr5.674 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4745175:4747532:1 gene:KYUSg_chr5.674 transcript:KYUSt_chr5.674 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTGFDPATYRLNLHLHSLISSGRLAQARDLFDRMAPHRSNAFSLNRMLSGYARFGQASAAHSLFLSSPPRLRDAVTWTVMMGALAAAPGRAADAVALFRDMLREGVAPDRVAVSTVLNVPADSTTTASLHPLAVKLGLLRSSVVVCNTLLDAYCKHGLLSAGRRVFRETPHRDAITYNAIIMGCSKEGLHGEALDLFADMRRAGLGATQFTFSSVLTVATGTGDLCLGRQVHGLVARSISACNVFVNNSLLDLYSKCDCLDEMEKLFEEMPERDNVSYNVMIAGYAWSRCAATVLRLFREMQLLGFDRQALPYATLLSVAGSLPHIGIGKQIHAQLVLLGISSEDLVGNALIDMYSKCGMLDAAKANFAHKNDKTGVSWTAMITGHVQNGQQEEALQLFCDMRRAGLSPDRATFSSIIKASSSLAMIGLGRQLHSYLIRSGHMSSVFSGSALLDMYAKCGCLEEALQTFDEMPERNSISWNAVISAYAHYGQAKNAIKMFEGMLRYGFKPDSVTFLSVLSACSHNGLAEECMKYFELMKHEYDMSPWKEHYSCVVDTLGRVGHFDKVQKMLSEMPFEDDPIIWSSILHSCRIHGNQDLARVAAEKLFSMGPTDATPYVILSNIYAKAGKWEDAARVKKTMRDRGLRKESGYSWVEFKQKIYSFSSNDQTNPMIAEIKDELERLYKEMDKQGYKPDTSCALHQVDDDLKLESLKYHSERLAIAFALINTPPGTPIRVMKNLSACLDCHAAIKMMSKIVNRDIIVRDSSRFHHFKDGVCSCGDYW >cds.KYUSt_chr3.18739 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115211820:115213433:-1 gene:KYUSg_chr3.18739 transcript:KYUSt_chr3.18739 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFFNSVFSAAAAGEHEEEEGAEEEEEQAAERDGGGDSGGGWSFGGLMKTLAEEIEGQREEQEEGGQEEAAAEVQGEEGGQEEAAAEVQGEEGGGWSFGGLIKTLAEELPQQQEEEESVEEEEEDDEQEAEAATEEEGVSGGGWSFGGLMKTFASRSESVLEGYRRDLQDLGSGLREETTALRAAAARAAAALPGALEAGASVASDRLESVGQAVDDLGAAAAGLLSHANEALRSVDADGEDGAVDGSPQLSDPASGASWRSSLPSKKYTRFEAQVLALRAEPTTFTEEPEDAEGFTKWQEAFSLDQRKEEIEAVLQESPGLESFVERLVPSVVAYDMFWCRYFFAVDKLRQAEDARTKLVSRAMSKEDEEELSWEVDDDEDDNKADHKEGTSTIPDKKDGEQIGDSISHEAQNEGKQEAVNDKYAAEDQGTISVAAKDDNGESSGEASTPKSSNGTGLEEKTEAGDSSKESDLSLVSRPSAQEEDLSWDEIEDVGDQDEKKGGSPRASPSGKAEDIRKRLNSLEDDEDLSWDVDE >cds.KYUSt_chr2.6340 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39518974:39519407:1 gene:KYUSg_chr2.6340 transcript:KYUSt_chr2.6340 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPATAAAAAAFPTSPDVAIAGALLFLADSSTAPPLLTLSDELSSYSASSSSYSATSARSCVSDSARLIDPLRALAVVASLRRIDPKASNPLISELAICPPMFSVAVC >cds.KYUSt_chr7.6025 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36071766:36073111:-1 gene:KYUSg_chr7.6025 transcript:KYUSt_chr7.6025 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGSEGLKKGPWTPAEDRLLVDHVRRHGEGSWNAVRRETGLQRCGKSCRLRWANHLRPNLRKGPFTPDEERLILRLHGVLGNKWARISAQLIVTMLSSWGACVMKLPGRTDNEVKNYWNTRVKRRLRAGQSLYPPDIEREIAILRAQNPFADADGNIANHAMPLVSDPFALPPRVPSSTSASATHSSPLINQNYPLLNQMQGMQQVRHHHHHPQPVFHPHHHHGGFRSAGLPPLPTRAREPPSNQIDTASYSDGLLEAMLLGDDDHHLLPRPNQAMCRAGSMPELMYGTGGASASDSDVTSQFPPPDGIQHPHHDGKWDFLFDDVKPTMRRAVSAAPENGSTGMLGVTPVSMPGEWFSTNGGSPGSVVTTADDEFGLEMQQLITSLPLSTDELNWNA >cds.KYUSt_chr7.5467 pep primary_assembly:MPB_Lper_Kyuss_1697:7:32686664:32688763:-1 gene:KYUSg_chr7.5467 transcript:KYUSt_chr7.5467 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKAFLGLLQLLLLLLPPSLRDYLWAAPSEHGVGQQLKVYHPIILQAGFSCPSIEARLTDAYTPSLPRCGALKGKGWFPLWNNIPELIDHDYVPCFEEQMSLVFDPVLNDYVNQPGVETRVTNFGSAYGFSPKDESCPFCCNIKLRNELEALGYRDGDTLFGAPYDIRHAPPRPSQSSKVYMDYFARVKGLVQNASEKNGNKPVILIGHSFGGRLMLDFLNSTPLPWRKQFIKHLVLLSPTPPIGFVQPLTNLAWGPTCIVMENVSRLALRPMWRSFASSLLSLPSPAVFGDEPLIITKQKNYSAYDCQDFLPVLGFSLKGTLPFNKWVDKRVEAPMVPTTYLNGFGVQTTKQVVFSDDNFDIEPENVYGNGDGVVNWNSVLVFAKELKRQHSAENILFKFIKIPNVAHSYISIQEDSLKIVMAAILEANS >cds.KYUSt_chr3.13736 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82828383:82831635:-1 gene:KYUSg_chr3.13736 transcript:KYUSt_chr3.13736 gene_biotype:protein_coding transcript_biotype:protein_coding MQELFTGSSADGSLAMDQNTCMDVSDDSDSDSREMHDLNGYTPPEDPLGDDSDTIPTPITNATGENNYPSNYTRSGIKRSRGNPMCTVSTKKASKYKSRLVESNDEITATMKSLRDTLVATAPPHISQLVDPHATLWQRLETIPLTPDQRIIVGEHLSSKENEVKRSWLCNASDGTLHAWVFKFLCDAQDAESSGNGSCRAPSSGGGGVGDAGPQMEPNEPINTKFYQLGNGGSLIFEHDLNAVSDFLGRPHPEFHGIQLDD >cds.KYUSt_chr2.26566 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162751235:162754881:-1 gene:KYUSg_chr2.26566 transcript:KYUSt_chr2.26566 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVKTRKASPFALQPEPTPSPASPLFFLSSGPPPSTLGQRGDSSTLPPSRTFHALRAPPLLASLSSVSPLPAHCPARCAATMRMVSIHAPPPPTLSWAIRLACILSWASIPIPRPNSTLLPLVKFPPLLLPLPCFPCFAIARFVSDSELHRAPPSPALRSTRRRRDWASYSATRSTSFSLQASPTTNKGTAPSRTNKGHRRKAPRANKDQQQKKKRRRPTRPRPQELLRLLQMPTMPAWLSAFFQRSRTDDAPVPVPVDAPVSDPLIAPQREETRIRLTALYRHSVDSAAARRWKNKPAARVLRTGPTIDEHLQKDAEITDPYEQEKFHIDYLTIRSASKYGWDTCAACYFQDPNSIVDTKTGKLEKHFNNNHHEDEKPKRCKVCDALFHSQRLKGVFGNPPAPRSAVLRPGAAPNVATPRSQIRGAGRFGCGVVLLQLREEEVTRAIVGSEEKQDWAFGEDNYDVGVHPSLSHIKDGSWPNVLSFVGEVRSRI >cds.KYUSt_chr6.30055 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190499159:190499989:-1 gene:KYUSg_chr6.30055 transcript:KYUSt_chr6.30055 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQLEDFTKTGVLTRAYPLVGEYCPHNLQDGFLVEERIKILVKTMARDLDTHHQEGHCISAFDHRNIRINAAGHAQIIGVMHTAKTKENIKKNYLDLHDVVVKTIFRNFSYQQQVPSEWRMLLLLMKRDCIGNEYLICNNVALMPLAIRMLFFYLCYEHVTFSMWKTKEEKQKRILQKLPYLKNWPDLLKGNYLLEESFEHQYHDVTTPEGFFKFYRDTNKHRMDRCHLIELVGAYTGKEFEKNLLVRYPLYLFILQEELHREKELEELEPHVLF >cds.KYUSt_chr1.31074 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188342407:188349084:1 gene:KYUSg_chr1.31074 transcript:KYUSt_chr1.31074 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSVMTPSSALIAVVGGTEPLTSSKTGQAPIRAPLRASLLHRAHLISLRSMVLVFIHLSCESSSAWLCIDIVFKVRLALFVYFRATAADEREHDMAAGALPMAMFLALLCVGVVRGVEVDTLTAGRSLTVGHTLVSSGRKFALGFFQPEGGATGSWYIGIWYLNMVAQTPVWVANRDTPISDPASSRLAIAPDGNLALFDDSGSIAWSTKANTSGIASATDTVAVLHDSGNLVLSPASNASAVLWQSFDHLGDTWLPGGKLRRDKRTSEIQGMVSWRARGDPAPGMYALQLDPSGAPQYVMQANGTREYWLSGNWTGKSFTGAPEVTASGLVQFVDNDDEIYFTYSFAANTTTVYRFVMDVSGQLKGLFWVEATQAWNLVYAAPKARCTVPRGCGAFGVCTEGAATTCDCARGFRPRSPPNWASGDYTNGCMRNTQLQCAKNSTAAGSNKAEQDKFLRMDGTRFPDDGKVAGAASIGDCQSACLGHCTCSAYAYNGSCFLWHGNLQNLQAGVADDRAGAGRLYLRLAASELPGPRGHKWRDIKIASGALAIACFLVAASILLVHTIRKRRAERVNGLTVGDGYVSYKYSDLQYVTKNFTDKIGAGAFGSVFKGQFSDSTVVAVKKLEGFRQGEKQFRAEVSTLGSIQHVNLIRMLGFCSDGGADRKLLVYEYMPNGSLDHHLFRKTFYVLSWQVRYQVALGVAKGLAYLHERCRDCIIHCDVKPENILLDAAFAPKVGDFGLAKLLGRDSSRVLTSMRGTIGYLAPEWISGEAITAKADVFSYGMMLFEIVSGRRNVEGESQFVVSSTGSTSTEEQATTTTTTFFPLLVARRLAEEGEVMALLDPELEGDANAEEMKRVCKVACWCIQHDVNARPTMAEVVQVLHGLTDVEMPPLPQYLEVLAGRQRVGAP >cds.KYUSt_chr3.22921 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141706271:141712166:1 gene:KYUSg_chr3.22921 transcript:KYUSt_chr3.22921 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSSYSRLAGDDEAAMISGSEDYDTKKLRLLGYRPQLKRNLSLLSNFSVSFSIVSVLTGITTLYGTGLEFGGPATMVYGWPIAGTFTVIVGLAMAEICSAYPTSGGLYFWSAKLCSERRGPFASWLTGWSSRAEYHSCVLDTTALLPCPVSPPHPHNATPARSPPAHGAMTFSKSPAGSGGSGITAVDVDGDSGQARLNELGYKQELNRNLSLLSNFAFSFTIISVLTGVTTLYNTGLNYGGPVTMTFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGNRWAPFASWITGWFNIVGQWAVTTSVDYSLAQLIQVIILLSTGGANGGGYLASKYVVIAFHAAILLSHAIINSLPISWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTDNSAGIHSSLYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIVVGWGYILGITFAVKDIPSLLSPDNDAGGYAIAQVFYQAFKSRYGSGVGGIVCLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSAFISLCMALPSLGSLVAFQAMVSIATIGLYIAYALPIFFRVTLARKHFVPGPFNLGRYGVVVGWVAVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGGLFILVLSSWIFSARHWFKGPVTNLGG >cds.KYUSt_chr2.28172 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172872905:172875023:1 gene:KYUSg_chr2.28172 transcript:KYUSt_chr2.28172 gene_biotype:protein_coding transcript_biotype:protein_coding MPKALASTSITAVALVRTAIEEGGVAAGLAGRGSLGEEDEFKAPTGLTSRGAHSHLRKKIKKRNWYRNRMKIWIRASESKDKVVASGEWKGEDKISTTPHPAAK >cds.KYUSt_chr7.14558 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89919769:89924142:1 gene:KYUSg_chr7.14558 transcript:KYUSt_chr7.14558 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGEIAATDETAPLPPPPSRRGPASPSPAVPSPEGVLPLSPLCDGPARRRRSKLTRSAAVVDQGAGPAGSPRKKRRGSGAAASPGKNVRRARRRLECDGGREDAAAEEEEAVGKARGRKSAAAKGVAKEKKGPGLALVPCYPPVSRTREHMIVRVASHMLTLWNKDVDGPLLRPSPIKILCNFGVVTLGLAFFKDSISQRQNTEPVRKFQLTEEDVLRVAQAVLPIANSLFSMARVIFSGDPSMTLKVLPILLFGAKYGHLLTLWRLLAIVEGLNARILNAWKSCPRKKLVAAAAAITFWNVVSVKTRVMAAFISVATLRYYYQYGGTTKKLLSGW >cds.KYUSt_chr1.18337 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107194485:107195210:1 gene:KYUSg_chr1.18337 transcript:KYUSt_chr1.18337 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSVAIPLLEKGRERRGWRGPRHRTGGWRGELMVVDATGLEGGEARPAGCGAACHGQELAWRSEGVGGEPAAADSSDKVRLLATGDSERLRRRLRKATGKKVDMLLQQALQALLADLQLQQVPAASSPSSGATVRGNIDFFFP >cds.KYUSt_chr2.42354 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263827012:263827458:-1 gene:KYUSg_chr2.42354 transcript:KYUSt_chr2.42354 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALTAAAVGGVGPPGPHWQRVAGADHLLSFCCGAHAGPPRQGVSLLQDDRPQLPAAPLQGQPVVRVRHAAAGVLGREGEARRRGGSPSGGTSSEAATKLQPTAEGSAEAGHDGDFSPAAAGHIGAPGNPDLNTSHHRLRLTINHTT >cds.KYUSt_chr4.11420 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69475465:69477599:-1 gene:KYUSg_chr4.11420 transcript:KYUSt_chr4.11420 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRGPFTPSQWMELEHQALIYKYMAANIPVPHNLLIPIRRSLTSLYPPAYFGSSTLGWGSFQLGYTGNADLEPGRCRRTDGKKWRCSRDAVSDQKYCERHMNRGKHRSRKHVEGQPGHAAKAMPVTVAAAAQPGALATGGSGATAGIAISHQPQQPVKSYASNTIDPCSLQYNREPVNKQNENELAQDSDSLSMLTSMSARNTGSLFPFSKEHNPFDVTNTRPDYGLVSSDSLMSSPHSSLENASLLASQGLNEQQSSLSLQHFVDWPRTPSQGALSWPETEDMQAQRTQLSVSAPMASSDLSSASTSPIHEKLTLSPLKLSREYSPIGLSITAIKDEFNEGEADWMPMFRDSSMGGPLGEALTKNSNMEARSYLSSSLSLMTDAWDSSPLETSPVGVLQKNTFGSVSSSTGSSPRLEHHGVYDGMTNLRDDLGSIVVNHPSIRLL >cds.KYUSt_chr6.14854 pep primary_assembly:MPB_Lper_Kyuss_1697:6:92989473:92989811:1 gene:KYUSg_chr6.14854 transcript:KYUSt_chr6.14854 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSPSATVLPAAALPYARFHPHLLFPSHGILQAATPNLLCPHLRAPGATSPASFSVAIASDCFGAPPNKLTEPVWYTVARGFTRLVSNLNLIVFPKPNREDPGKALRDWDL >cds.KYUSt_chr3.13377 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80597204:80598955:-1 gene:KYUSg_chr3.13377 transcript:KYUSt_chr3.13377 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRRLPALPLPHGSTRRRLLATGASAASPLPWPGLHAWRRAPPSELRTWGPNGPCVPDAVTADQPEAGAVAVAGSSLAEMGALVLSTADPLSKTQLTHAAFSQWASGGLPVGLARAPDHPARPDKPLVVTRKEIPTHKAMGVPLNAYMLHNLAHVELNAIDLAWDTVVRFSPLRDTLGDGFFTDFARVADDESRHFRWYSQRLAELGFRYGDMPVHNLLWNECAKSSGDVSARMAVIPLVQEARGLDAGPRLVQKLLGFADHRSAEIVSKVAEEELAHVSVGLYWFLKVCQMMGRVPGATFKDLIEEYGIVVKGPFNYLSRDEAGIPREWYDEKVKHEAASKLSEVHDRLAIVVEMEKENAG >cds.KYUSt_chr1.3199 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19374640:19375482:-1 gene:KYUSg_chr1.3199 transcript:KYUSt_chr1.3199 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLHCLSGDTGLYSSSAFDMGDTDDMQLLSALLEMGDMSGGGHYLDFDMEASSSSSSSTSSSSYSSSDHHHQQLPLAATAAPPSKRRAEQQPAAKGLIGVRTRPWGKFAAEIRDSTRKGARVWLGTFNTPEAAAMAYDQAAFSVRGAAAVLNYPVDRVQESLRTLALAAGGGSPVLALKRRHSIRKRSPNKAKKTTAMAAPAKMMKEHLPVQQQAQSTSVSAAVVELEDLGADYLEELLRVSSDQQPSAAAMMIGFDHCVDGNSLFASTSTAPTLFPHC >cds.KYUSt_chr5.38428 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242955784:242960293:1 gene:KYUSg_chr5.38428 transcript:KYUSt_chr5.38428 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSLSPSPALSSPVNAARRAGWRRAPPPPRPRLSVVARLEGGLGKGAVPTTNYVVPLDKPTGMTRPLVEILRDLNKRVPDKIIDPETNTVPWYHANRMLSFYAPGWCGEVRDVIYSNNGTVTVVYRVILKGTDGEAFRDATGTAKVHEGRSDDAVAAAEETAFSKACARFGFGLYLYHQDEIP >cds.KYUSt_chr2.35134 pep primary_assembly:MPB_Lper_Kyuss_1697:2:216688669:216691337:1 gene:KYUSg_chr2.35134 transcript:KYUSt_chr2.35134 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQCSFSLLLALLLCSWLVHPSQAFKLHGGYGEEKVPLSFIVADPTPVMSPLAAPPPVTGANDDDDGMKPRLPTERWRRGHGGEVRRHAHAPALAPSSSGPAPAASAPASADAPAPDSGSGAPFIESSPAVPVPRGVRDTATILPMPAPGVKRQDVGRAALVRPGVVDEQKAISQIVYASRHFDPYFGCKADCTWFGWIFDHCEVHDGYEDAWRMELSVIPADDYLRMAESTALDCFYRFCRAVIAMFGDYFLRSPTFEDTREDPCNK >cds.KYUSt_chr5.14395 pep primary_assembly:MPB_Lper_Kyuss_1697:5:93475157:93478352:1 gene:KYUSg_chr5.14395 transcript:KYUSt_chr5.14395 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPYGGAGGGYGAPPPYGSSPAPSAPPYGDKPPKEGKTSSSASSPPYYGAPPQHSYGSGGGGYGVPPQQSYGGGVGGYGAPPSSQPQSYGGGYGAPPSSQPQSYGGGGYGAPPSGQSYGAPPHSSSPYGAPPPTASPYGGGGYGSPFAALVPSAFPPGTDPNVVACFQAADRDGSGAIDDKELQSALSGYNQSFSIRTVHLLMYLFTNSNIRRIGPKEFTSVFYSLQNWRSIFERFDRDRSGKIDASELRDALLSLGYSVSPTVLDLLVSKFDKTGGMSKAVEYDNFIECCLTVKGLTEKFKEKDTTYSGSATFSYEAFMLTVLPFIIA >cds.KYUSt_chr4.24430 pep primary_assembly:MPB_Lper_Kyuss_1697:4:153825703:153827346:-1 gene:KYUSg_chr4.24430 transcript:KYUSt_chr4.24430 gene_biotype:protein_coding transcript_biotype:protein_coding MALWTGLGQAATVAQLVGADVGGLISMIMQAALTARQNRRECEQVARRVLMIAELLPHLEACQEAVRPLAGLGDTLRDAHELVVSCQGRNLAYQLAMAGRQADRFREVQSRIDSYLILFPIISHIGITRRLDRIYNILVPDSATSSASPPSSSPQVQEPTEVAREVLPHGTEEFTLAEIMAGTNNFADDTMIGQGGSAIVYRGRLSDGREVAIKRARHPISSDLEDEFRTEIAILSQLQHKHIVRLLGTSCWSMNKEKRLLSFRRKRAERLLVYEYMDNDTLHDHLHKHNQPSSSPVTVSWKMRIDVLLGVSRAIEHLHCHAVPPVIHGDIKPANILLDSSWVPRLSDFGASVHWNPMKDTYAYVGTIGYLDPEYFRTGHLKPASDVYSFGVLMLEVLTGKKGVEVEGPLFDLPSFALPIIEAGNVEVLLDKRPSPEEPTPRQLQALEYLAQTAACCLQFQGKNRPVISEVVASLEAALEFMCSHDSSVDAVLCTRPKMWENLRSLKEIK >cds.KYUSt_chr7.25658 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160063930:160068164:-1 gene:KYUSg_chr7.25658 transcript:KYUSt_chr7.25658 gene_biotype:protein_coding transcript_biotype:protein_coding MERDKVAEPLLCQAVPPTFSGDGLRARHIEGSRAVPLPDETLLPRRLKTMSGLEQRRLNAVAIWGGKTLILVYFDDLTILWLVFFVKHQMEGLYSAVCLSNCLFPTTIRVTKGQSLSIQPLPEISWWPTVRRGGGDRRDGEWLPAEREEAVSGRWWEGMQPPSSGDRKGCSGLSRVHTRRCGDSDDEDPPPAWSLLCSGGRSREAAVPRRDPRRRREPRRADPAPCVRHDLGESGCIGGHCLYAIQYGDGSYTIGFFAQDTLTIAHDAIKVFRFGCREKNDRRFGKAAAGRRR >cds.KYUSt_chr4.40755 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251720455:251727073:1 gene:KYUSg_chr4.40755 transcript:KYUSt_chr4.40755 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFNVLLGSYWFDKPWFLTEGKLAAEVNESYVDDEQCIKLLQELTLNPNSHSNYTLQSVSYQLQLPSEAKIHDVFHVNQLKKHIGQNAIPHPKLPSVTADGKLSSWTPLDPDTPLYRGARRKGHGLSSVAGQSSDADPSPPSRSSPPSPEGLAVHWRDKFSLDDLDGIQHL >cds.KYUSt_chr3.21955 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135310318:135310545:-1 gene:KYUSg_chr3.21955 transcript:KYUSt_chr3.21955 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGWGGHGQLAERKGTPARVGIRGCAGGRAGGVCPANTCVDSLTVWGCPLNASPMGIKAAATYAENAMSPWMCA >cds.KYUSt_chr5.30235 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191720981:191721989:1 gene:KYUSg_chr5.30235 transcript:KYUSt_chr5.30235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate ZIM-domain protein, Jasmonate-induced resistance to bacterial blight, Repressor of jasmonic acid signalin [Source: Projected from Oryza sativa (Os09g0439200)] MTYAEGEGSGKTTMAAAKENSKSSFAVTCGLLSRYLRENKGGALQGLAGLGMAPPATAIEGGAFQPPTTMNLLSGLEDPKPDTVDVQLAVGKGQLLQAPTGNQDAHQLTIFYGGKVVVVDNFPSTEVKALLQMANAAGDGAVDRAGSGSGAVPQSLPGPADNNLPDLPIARRNSLHRFLEKRKGRITAKAPYQANSASSAPSKQANGDKFWLGLGQEVAIKQEI >cds.KYUSt_chr1.25624 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153858344:153862317:-1 gene:KYUSg_chr1.25624 transcript:KYUSt_chr1.25624 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNRTTSVEHGSQIHPSSGDNGGGACGTGGFSLSSENQEIEEMRRRLRQMEEQEILLPAATAAAFHEDPAAEATAFDKSEVDARSIYVGNVDYTCLPEEVQQHFEDCGTVNRVTILTDNFGHPKGYAYVEFLEVEAVQNALLLNDTELHERRLKVCPKRTNIPGMNHPRGRRSYDPYYPSYPTYGRVPRFRGAPRYWPY >cds.KYUSt_chr5.9312 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59156569:59162787:1 gene:KYUSg_chr5.9312 transcript:KYUSt_chr5.9312 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRHGARPPSLQTSLSLASSEQVGSPEMQEPVSNSDQGHDSATESASSRETWPVEPEHSNAAAASSGGGVIKLDRAKDMGNGTPKLQVIRGSSRIDRVSLREVARERVDLVAERMKGMPEEHLEEIKNELRSILEGTGGSHHIEEFLYLQKFVQGRGDLTPTMLSLAHHVQLEILVAIKTGIQAFLHPSVTIPQSRLVEVFLYKRCRNIACQSALPAEECRCNVCANRSGFCNLCMCVICNKFDFEVNTCRWVGCDFCSHWTHTDCAIRDGQIGTGQSIKSGTGHAEMLFRCQACQKTSELFGWVKDVFQQCAPGWDRDALLRELEFVCKIFRLSEDPKGRNLFRKCVNLIERLRNSSPDSVNPRMIMHALRELDMDSPKNSESEESGRLITPQDACNRIAEVVQEAVRKMELVAEEKMGLYKKARLAVDACDRELDEKARAVQEFKAERLRKKQQVEELESIVRLKQAEAEMFQLKASEARQEAERLQSIALAKSERAEQDYASLYLKRRLEEAEAEKQFLFEKIKLQDGHRPPQASSSAASDPSHPPSQTMMLSKIQDLLKNVRTMPPKSEPHSK >cds.KYUSt_contig_2097.137 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:682721:686284:-1 gene:KYUSg_contig_2097.137 transcript:KYUSt_contig_2097.137 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAARLLPDMGSTALGGAAPARAGLAQKNGALGSSFKPSSSFMLKTSPKVGCSSRRVRASIASSPQKQYSPKTSAVQSGEEVRIAVLGASGYTGAEIVRLLANHPQFRITVMTADRKAGQQFSSVFPHLITQDLPNLVAVKDADFSKVDAVFCCLPHGTTQEIIRDLPQQLKIVDLSADFRLRDISEYAEWYGHAHRAPELQEEAVYGLTEVLRDEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKLSNIIIDAKSGVTGAGRGAKEANLYTEIAEGIHAYGIKGHRHVPEVEQGLSDAAESKVTISFTPNLICMKRGMQSTMFVEMAPGVTVSDLYEHLKSTYEGEEFVKLLNGSNVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENMGLQYQPLFP >cds.KYUSt_contig_7452.31 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001538.1:206012:210341:-1 gene:KYUSg_contig_7452.31 transcript:KYUSt_contig_7452.31 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDGTTYKYRPPAAAAAALRDHPGHQLLESEDVRRLGVRARPLDDDAPLKPGRLYFLVALPRLARAPRRTWSGPLLHVGGAGERLEKLMLSSSTRRSASDVAAAMMAASPRCSSVEAAADGAVRLRMRLPKADVARLLEESRGDAAQAAERIMQLCVARDHCSAPATPLVPLPLPMPALASSDKKHATAGGKKEKKARFEAVPDEIIWF >cds.KYUSt_chr7.6051 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36240589:36240921:1 gene:KYUSg_chr7.6051 transcript:KYUSt_chr7.6051 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLHHLWVVDHRVPDSHAVDIQVDHHLDDQVPDNHAVDIQVDHHLHVHAPESHAVDIQVGHHLDGVELALHGPGNVHRGPGVAHHHGHLLRCKTTPSTMLLRSPVSSL >cds.KYUSt_chr4.4428 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25366782:25373392:-1 gene:KYUSg_chr4.4428 transcript:KYUSt_chr4.4428 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGNAAAAAANGHDGSRRRHVLVFPLAYQGHINPMFRLAGILHARGFAITVFHTHFNAPDPARHPEYRFVPVPDGVSGPAPVAMEDVVSHIFALNAACEAPFRDRLAAVLEEYSRDAVACLIADGHLLSMVQVATRLAVPTLILRTGSAACFSCFLAYPLLINEGYLPVQGTYSSQQSELETEVSELPPYRVRDLMRVGNHDLTRELLERAVAAVDTSAGLILNTFDALERRELEKLRQDLTLPVFDIGPLHMLSPAVESSLLRQDRSCLEWLDARPPASVLYVSFGSLACMSPRDLVETAWGIAGSNVPFLWVVRPGLVAAAGEENEVTVTRLPDGFEAATRGRGTVVAWAPQEEVLRHPAVAGFWTHCGWNSTTESVCEGVPMLCRPHFGDQMGNARYVEHVWRVGFEVGGELERGAVEAAIRRLVTGKDGAEMQARAGELKKAAKECTGVAEQMRLNGKLPCGNLTPWQISSPLIPEMIFLRLSPLRLHELAIGITSYSHNGCKGPILRQCSVDINLGINSIDSQALNFYNRDPQKTDRKAIESLATIVASNPPVAASSYIAGDETTWDATTEERRTSGDEGPPFWHAGQEVDDELTPANDPKISWKRQSSEQPPFGHRSRRQDGQRSSMRCRR >cds.KYUSt_chr3.17231 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105457218:105457754:1 gene:KYUSg_chr3.17231 transcript:KYUSt_chr3.17231 gene_biotype:protein_coding transcript_biotype:protein_coding MKDREKAGAGRLLTVLLPDEGFGGEDEAAKQRSSDTGKKTALAVTVASRPWRLRFREVVREVEEGVAVRFPSSAGRAAVPGDGGGRRRLVEKPSRTLTERWKKKEGMVRRGRGRKVRVWGWSPSVLRRRSEVASIGRARGKTSGCRPVRTGGDSREEDNVQAQLLQRLGMGCTGWLLG >cds.KYUSt_chr7.550 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3050411:3054626:-1 gene:KYUSg_chr7.550 transcript:KYUSt_chr7.550 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQPAAAIAATSETPPASTAAAHVPPRSPPPSTGAAAPMAETADAAPLLSQRELSTAIRDLATAGVPIQRVPFPSSPSRLPAWVTVTEPLPVYSAAPAPPPVYTAAGDPPRPSFPEPTYSRFAEPSAGRAEYPVQGTAGLAPPRYAKLDFATYDGVEDPLNWLNQCEQFFRGQRTLASDRTWLASYHLRGAAQTWKREEEEEEEEEEDDDMHLLGEPREKKPRHTSTIRGEEVNCLGAIDGTHVPMNINGDIATPFRNRKGTLSQNVMVVCDFDLNFTFISCGWEGSATDARVLRSAIRKGFRVPEGKFYLVDGGSRHVDHDECKTISKDGNARQWWARASWNADLEKALVHLLHEHNTPQYRGQNGWSTDVWNRITKKFHDNHPYKNYTKGQIQDKEKELKREYKMLKEARQQSGVSWNEKRCMIEADPELWDNLIISFPKIGKFRSNKAFPLFDALGELYDGHLAEGNYNFTSTEPTQHTQVEVNPEVSSVEATHSHHDIAETVVDDTQGGMQEASMMENFVGNGEAQPTVHAAPSTSTENEPKKRRSNGDIAAMMEKYIEIKTKQVESKQIANMDEYSIKNCVARLNTMGLSREDKVKALKVFMNADNRELFLCVDMDTALMWLQDEMA >cds.KYUSt_chr3.33213 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208459493:208465746:1 gene:KYUSg_chr3.33213 transcript:KYUSt_chr3.33213 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPCRRRHFCPSWHYTRRVGDARLPVKTVFRPRLADDGDVSRRNLLEGIVDTVCGFSLVLLRGKPSGLLDRTMAARTASFYLLGHRFWSSCWMLTDFGGVVFIYHIVGAESQWHGAAGYRRQMRDDVYVQEGGAVWRHGGIDGRSCKVNALISLEDGVEEDGGSNSCGLFVGCAESLLDWMCFSPAIGRQVAVSSLHIPPSQAKTNASEFAPLQDRRRWTPARSYLKRPICFTYRPGPSAVEFVERVGFYGVNGNLIMYLTGPLGLSTAAAGVNAWAGTACMLPLLGALVADSWIGRYRAVVAAGVLYLVSFGMLTASSMAPTPRPHEPTACQDASAACSPPASPARTAFFYATIYLVALAQGFHKPNAQALGADQFPTSAPDGVASRSSFFNWLHFSMSWGYIVAVVALSYVQDNVGWAAGFGASWGMMLVSLSVFLLGTRMYRAERPRDRRALSRLTKTFAATARACADWIFRRRGTMDTECSSPTPKEQDGKRVLVKLLPIWMTSVVYGMVIAQVSTLFTKQGSTMDRRIGGLVVPPAALQSFTGLAIIASVPLYDRAFVPLARRVTRHPSGITMLQRIGAGMAMASVAMAVAALVEAARLRAASDAGLVDRPQVAVPMSLWWMVPQYVLIGLADVFTIIGLEEFFYSQVPEALRSVGLALSLSAMGVGSYASGILVSATDWVTKRTGGSWFSENLNLAHLDYFYWVLAGLAALEVFVFLYLAKGYVYTDRCELDDTSA >cds.KYUSt_chr3.8863 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51686599:51688410:1 gene:KYUSg_chr3.8863 transcript:KYUSt_chr3.8863 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEMETLLPGCTFFHRHPLLLCFLFFLAFLYAFFYPLFAFLLASSPVLLLTAFLLGVVLLHSVPQEHDQHVYKKISRNHPAPCHITSTAADATGARHNNRSHRGSAADSPPTSSDSDTQPAIMSTATSVASFPEDDSESESEPEHSEQQKHHNRSEEVVRAVAWTADDAKSIENIGSLELERNAAVEKLMSRRLAARRQPHHRRHHNIAGDIEDDASRLTVHVVKSNPFDLDEPYGDDDFPGSAPSTLVHPSRSNPFFDDDDDNELLRPQPQQQTLSSLPPGASAQKKNPLLLRRHESFTVGAPYASDFRPSRFRPYFVTEKMQGQPVTVPEAGGKMSRSSSSSSSSSSSFSACANAYAAVSANKEDEEAAEQEALAEAEAAALLEAKTDDNHELIRPREVVAVDVELISDSSDDDISLPEQMKVHQQQQQQHQQQQQQQQHQHQQQQVVVSDQDDDEGESFEVESITKQVAAAAEGKGKQLDTDRGYDYSPSAGTMEKKQDQLPPLPPTMAQTNKLASMRRVFSEDEADEPWAPPSRLEETTATEKEAREQDMFPLPPLPESAPAAGAAPPLAAAAKKAAGKSNKYKPPSKKAVLGFFRK >cds.KYUSt_chr3.20274 pep primary_assembly:MPB_Lper_Kyuss_1697:3:124934215:124940494:1 gene:KYUSg_chr3.20274 transcript:KYUSt_chr3.20274 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASFRLLPLALLLSALVLAVAHARAPLLDQTIRLPSQRAAGHEEDDSVGTRWAVLIAGSNGYYNYRHQADICHAYQIMKKGGLKDENIIVFMYDDIAHHRENPRPGVIINHPQGGDVYAGVPKDYTGKEVNVKNFLAVLLGNKTAVTGGSGKVVDSGPNDHIFVFYSDHGGPGVLGMPTYPYLYGDDLVDVLKKKHATGTYKSLVFYLEACESGSIFEGLLPNDIGIYATTASNAEESSWGTYCPGEYPSPPPEYDTCLGDLYSIAWMEDSDVHNLRTESLKQQYDLVKKRAAAPEDSYGYGSHVMQYGSLDLNAEHLFLYIGSNPANDNTSFVEDNSLPSLSRAVNQRDADLVYFWQKYRKLAESAPEKNDARKELLEMMGHRSHIDNSVELIGNLLFGSSDGPMVLKTVRAAGEPLVDDWSCLKSTVRAFESQCGSLAQYGMKHMRSFANICNAGILPEVMAKMEQCDFNIQGLKESSNAFVLL >cds.KYUSt_chr7.37986 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236796225:236799749:1 gene:KYUSg_chr7.37986 transcript:KYUSt_chr7.37986 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLNDLTRLLAEVDRARLDCLVRTTVSALSDSASCRVVRTDERSGGEMLCFGSSVSPGDCCELVRSCAALVDKLGDLDVAGHSYDLLHAVVKTALLSPHYQSLFPLPYYREDGETGYEMGTIGIDLARHPSYQVLPGDGSIPPRALLWHLDPSVLKHDLSEMLREAVARPLLYLRKELHDRIPLGVLLVSSSCISEQECNVILHYTNTGLVLESKEVQEKMKDHFGHDGFSSTYRGFNERRALSGAYLIFGWLDIIDDMSGAIFESEDTCRHFVSELRTRMCPYLLKCVNLLLNQAGQDKDFIIDLRDRLLNWSNKGQSFDGCEAFKDVILQINRKVMLPPQQ >cds.KYUSt_chr2.52580 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328048283:328050258:-1 gene:KYUSg_chr2.52580 transcript:KYUSt_chr2.52580 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIDYCFSNDYMVLRPDRAGPFDLLHLLFSPKVGRNRAVDCFTSTEIRSFVRRLAIFFNLLLQIVLLSLAGPVAAIGAAVEFALNFMDNILQGRMESPDRSSATYRSLTGLIDGRVDLDKSIAPTDSRYHASLCVMASKVAYENEAFIRDVVTNRWQMEFVKFFDCWNEFENAYTAQAFVLWAPDAEVVVVAFRGTPAFDTARWRADVDPSWYKVPRLGRAHAAYTHALGAQRNMGWPKWVEHVKGKPNKVYAYYAIRDAVKEIMEANGKARLLVTGHGSGGALAVLFATVTAYHREKAVLDRLAGVYTFGQPRVGDAMLAMFAERNLDRPKKRHFRFAYAGDPQPRLPAGESSPAHFMHFGLCLHFDVSYNLKVFMEIPGEASATSSPAGILASRVNAARELGRSVYLGYWRSAYFREGWVLLLMRVLAVVLPGLPFHRVHDYVNAVALAAHIPKDE >cds.KYUSt_chr1.25808 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155155257:155156475:-1 gene:KYUSg_chr1.25808 transcript:KYUSt_chr1.25808 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQANPSYYQSSRVTQQIEQTEHTFKLYMYQYFQPGNSNQRVILASGAPNAFGNITALDWAIHDAQSPTAKVVARAQGMALGSEQAAIRYFICTNINFTDERFKGSSLKLLGSFVDSVDDEWAIVGGSGEFSYAQGAVKYKVLQNSNAMIVRELNIRVLCQNMPPQKSAKKDGPAVGGDGGVEFDITEAPQRLESVTIKSGDIIDSIAFTYTDKDGKKQMSGPWGAKGGDERTIVFAPGETLTKVDGTTNYYEGKVTVTSLTFVTNLTTYETFGKGKVIDPAKFTLPSKSGENIVAFFGRAGSFLHALGVYTA >cds.KYUSt_chr4.17369 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108624093:108631111:1 gene:KYUSg_chr4.17369 transcript:KYUSt_chr4.17369 gene_biotype:protein_coding transcript_biotype:protein_coding LRLVLVAVILLTLRDSGTSRDFVLLFSIMAATSPTDAKRKYSWWWNSHICPKNSKWLQENLEDMDSKIKLMIKIIEEDAESFAKRAEMYYRRRPELMALLEELYRAYRALAERYDHAAGDLRQAHRKIAEAFPDQVLMDLDDDLPTDTASIETDVDNADMAHCFLSFINASDSKMCGKGNQDYEKLQKELASLSQENHDLKNRISSVLEQSNNAESEVLCLKEALTQQEAEKEAAVLQCQQSTARLENLRSDILHTQEQFNRLKEEMQTRLLPSTTEDARFVVLERANQDLHIELENLKHLLKQKHDELNEKQVELEKLNISIEEEHLKCMQAEMLNLSFEKKLLTAEDKLRHLALEKQSEVSKVRDSETRNLMLQKELDNILEENKKLNGQYHSSSAVIIRLQDEIISLKNDQKKLEEEVHRNVDEKKTLQYELSHLKEDRSDLERKHFSIKEQIQSVNLNVESLQSLAHELRDGNVELKGIIKNHEGMEVLHTENLRQLERMSEKNAQLEKSLTASTTELEGLREKKVALEESCKELNSRICIHLSERAVLVAQIEAVSQTMEALLEKNVILENSLSDANAELEGLRRKLKELEKSSEAVHNQNSVLQSEKKTLVFQVDSISNTLHSLEAQNTELERRHSALQQEKDSVLDEVIRLQELIRLERKEHKDALTTSKTQFDGLQNKISILLEEGRNREEQVEEEELKIVKAQIEIFILKECLDDMAEANSVYSAKLQKKEDVCKVYEEKLDWLSQDNQKLTEGIGSVRKVLHLDEKYESLNEMKLDIILQLILHEVNCLRNTISDAQDVKQKELVEKSLVVTLLEHFRQEVADLRSERNILKKDQQTNSEELLQLQREREELVSISDEFWEEMESRNHRVEDLRAEAKFLVGQLSELQDSRRSLQSEIMKLIQQNSFLANELNDSRRKEMIFEDDFSTLISESVSKDILLVIFKNLHEDRTLELKSLYNDFACLQAAGSELSQDIRMMNKKLGDLESEDNHLDEDICIAMRSARPSPENITGKGHPSRRDVNLLNSVRTRKGYHANMELKSHKKVDNAGFERSNEMLLEEVLKCPQNMQMLTSKEKTFVDIKSCNEEITKLVAHMQMAITNAALFKEKVLELIITCESYEISAMVQKEVLKEDIIRRNSYVDELKDKLNAVEIENRRLKVNLNGDVTMLGTLQTEVSALEKQTLSLANDCLQSNKLKMEGIASSPEPLKTMVRFSDENSMRTVKDMDLQKLHETIKTLQKVVTDTSSLLEQERLDFNANLRGARKQIEALKLKEILDDDLVEMNYEQMLKDIQLDLIQTSSGRQTDSVGQQKKIAARVVGPSSSHVRDDLGPPRSESFERDNSKQSPAELVVVKELSVVNQELPRSVTIEPHQEWRNKVIQRLSSDGKRLNTLQSSIQELKTNTEASEELELESVRYQIKEAESTIIELIDTNSKLAKKAEEFTSADGLDGDNVDLRSRHQRKILERARKMSEKIGRLEAEMQKVQQALLKYEEEQTSSSASKTVHRRSKVHLVDYLYGRRRENRKQPRCSPCGCMRTKTIDD >cds.KYUSt_chr6.28685 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181777932:181778645:-1 gene:KYUSg_chr6.28685 transcript:KYUSt_chr6.28685 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPASAAAGAAHSVFVYGTLMSEEVVRVLLGRVPPSSPALLPNHQRFSIRGRVYPAILPVDGNEVSGMVFKGITDGELDVLDIFEDEEYVRETVGVSLSDSSETMLAFAYIWGNVDDPDLYGEWDFDVSIFLHAHLKHSGIE >cds.KYUSt_contig_1181.327 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2233228:2233656:-1 gene:KYUSg_contig_1181.327 transcript:KYUSt_contig_1181.327 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDLRRPLTGLAEPPPPAPATTTPLVVAPAVPITRAVVTAPCVTAPGASSNKADLALASAGPAEPATLASAIGEALAVTPAAPNRTIAGLPASCSTDCAAQTITMSSSPPLPWAARSSSAPASRTNGVVSTESVPVVRPRQ >cds.KYUSt_chr1.4990 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30691590:30691928:-1 gene:KYUSg_chr1.4990 transcript:KYUSt_chr1.4990 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGLGRLSRSATRILAKQANGFHLLRIDGYSHTKTIVAGQKLSCNGFTVGGYTWRMDYYPNGRDTSAKHNAISVYLQRTDRVQRPLEARYKFSLLDHGGNAAYELPAENG >cds.KYUSt_chr2.34267 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211697082:211697402:-1 gene:KYUSg_chr2.34267 transcript:KYUSt_chr2.34267 gene_biotype:protein_coding transcript_biotype:protein_coding MPADAAPAEAENPAADPAAAAAETTVKVKVLFFARARDLTGVAESSVELPSGSTAGECLGRVLASFPKLEEIRHSMVLALNEEYTPESAAVADGDELAIIPPISGG >cds.KYUSt_chr2.9954 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63009861:63012675:-1 gene:KYUSg_chr2.9954 transcript:KYUSt_chr2.9954 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGHRNIHGKRHSDYAENGGGKRRNPGDDTYAPGPDDTVYRYLCVSRKIGSIIGRGGEIAKQLRNETQAKIRIGESVPGCEERVITIFSPSGETNTIEDTEDKVCPAQDALFRVHEKLATDDGPVNEENEEGLGQVTVRLLVPTDQIGCIIGKGGHIIQGIRSDTGSKIRVLSKEHLPACAISGDELLQISGDTMVVRKALLQVSSRLHDNPSRSQQHIASSLTQPFPVGSRLGSSSNAPVVGITSMVSPYGGYKGDMVGDWPSIYQPRREESSAKEFSLRLLCAPANVGGVIGKGGGIIKQLRQESGASIQVNSSGAEDDCIITVSAKEFFEDPVSPTIDAAVRLQPKCSEKTDAESGEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRSSSANIRIFSKENVPKVASEDEEMVQISGDLDVARHALVQITTRLKANFFEREGALSGFPPVIPYHPLPASVSDEPRYLGRDNRPVGHDYLYSSGYHASDDMPPLDRYANYGSSQVSGGGYGAYSGYSGRSGSSGLSGSTYLSSGKRYGY >cds.KYUSt_chr4.51811 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321622440:321623869:1 gene:KYUSg_chr4.51811 transcript:KYUSt_chr4.51811 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTIERYKTSTKDNIRSQTVQQDIEKIKADAEGLSKKLEALDAYKRKLLGCNLEECAIEELQSLEVKIEKSLVSIRARKARLFEEQLAKLKQKEVTLRKENEDLLGQRKNEEQLAAAAAPVAVAAAEQSHPQPEQEKDEMEVETELFIGLPGRGRS >cds.KYUSt_chr4.865 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4542467:4544001:-1 gene:KYUSg_chr4.865 transcript:KYUSt_chr4.865 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKEGLVLLLDVGPSMHRALQEVENVCSTLVRKKVSDMGIPFGLDGRTTSATGADETPYVAGSYKEETTILALLGNLYRFMESTRRPFYSIVFNVVNFVGYVKVAAWPLNSMTNFSAAMCSCS >cds.KYUSt_chr6.11418 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70860164:70860454:-1 gene:KYUSg_chr6.11418 transcript:KYUSt_chr6.11418 gene_biotype:protein_coding transcript_biotype:protein_coding MESISSTIALLQLRGEQQALHEFWWCSIGSQNVCDAAVAVHLPFVMCVKVWRAPQMVAVMHPWGAPACLQLVSRQGSPWELPPQAPPRPRQARMAK >cds.KYUSt_chr5.15737 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101610210:101612923:1 gene:KYUSg_chr5.15737 transcript:KYUSt_chr5.15737 gene_biotype:protein_coding transcript_biotype:protein_coding MTSALQLSTSTGALASASAPSIPAGAIISAPTGSTLNTITVRLGRSNFLLWKMEVVPNIAGQGWYGFLDGSATMPPATVTEGLCVDAVTKPNPDYANWFYTDQRFLSILVGSMTEEILGHLIGRSTSASVWGCLMTMFLARNRAGARQMRHQLTSEKKNDLTADAYFHKMKGYADAMAMAGHPITDDELVDYIIVGLGPQYASLQYSLTVLAAANADNLSLSAFYSMLLNSEKINEQNSAAPEFSTSANAVSRQGDANRGGGRPFSDNTGGRFGSRPTGQPTGGGQQQPPQGQCGNRPNQGGGGGGGNSGSGKGSRRRNRQPCQICKYWGHEALQCKQRFNQAFQYEDNRAAYQAGTGQSWLFDIGATDHLTNDMGRMTVQERYTDTDQVQVANGSGFRAFGLTDRRPCLGSCAGFLGDYTRIPLGCPYFRSDTVDRRRAVAPACLGGRVRARLPCSHVAQIDAPCGHNA >cds.KYUSt_chr7.9763 pep primary_assembly:MPB_Lper_Kyuss_1697:7:59711618:59718214:-1 gene:KYUSg_chr7.9763 transcript:KYUSt_chr7.9763 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRWPAGARCVGRFQAATVCAACREDHVIAIGRGVAKAIAEKSCNALLLKDCTTSCQKITSCLASKPMIHGTLHTKMNQPEWCSRRMDLEEKVANLGDELARKNLMIFELKRIVDEEKKNSELIRKEKLRVETRSCRI >cds.KYUSt_chr5.43626 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274753305:274756756:1 gene:KYUSg_chr5.43626 transcript:KYUSt_chr5.43626 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELQTSPRRAETTDGCASSSSSSTCKESTWESFNRRQIRGFSASAVVFRRRFRPPWFRSDGPDHTNVFVDPEFLLSVPRLSALTTRGFQRGLDDLCPYPNRSDLQRIPTEAYHQRRNNDFLLVVSTDQHGARTLVSGVEEIDTSPEDPIVHLSPPYLEVEPRGEVPC >cds.KYUSt_chr3.44997 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283411510:283417882:-1 gene:KYUSg_chr3.44997 transcript:KYUSt_chr3.44997 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQQQPPPPPPPQHPPPQQPGGGDFYRGPLQPPMRQLSAASSTNLPPDYAAHPGAPPPHQQQQQQQQPFDAYGDSFGAKRMRKPVQRRTVDCTSSLVRYAQARMWQRDARDRFTLQPTAAAVVDMLPSVAYPDNPSTSFASKFVHSSINKNRCSINCVVWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMIWSHNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDYLVKLWDAKSGRELRSFHGHKNIVQCVKWNQNGNWVLTASKDQVIKLYDIRSMKELESFRGHNKDVTGNDQRSGFVVHGPGGFQMPEPTPGPFGGAIPGIDAQSIPGLPGLPPGPPPLPPGPHPSLLSTGQQQHYQQMPQLQHQFRPPPPNMPQLQPPAHMLPHQQGPRPSLPQLSSMPGQSPVNPPLPPMPHPMAMQGSSNQMMPQMPQHLIGMNQTHPGSGPPNNMPPMGGFPNGMGNIQGAPGSSGMQNFPMGGMYNRPQGQMAPQGQMSIPGLGSYQPGMGNVGLPPPPPQHPPPRGAAPQ >cds.KYUSt_chr5.33195 pep primary_assembly:MPB_Lper_Kyuss_1697:5:210532480:210539363:-1 gene:KYUSg_chr5.33195 transcript:KYUSt_chr5.33195 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRRKQPQPPPPPSPGDDSNGSDHDDKDKGKTPAQPPSTSAPPPPPKRAKPKPWSCVDSCCWLVGCVCSAWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLHKEGLRARHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGDVYKRPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKNMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELLVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPSPMGGGGGPNWCAKHIKAVTNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPEVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGETIWGGFDWSPEDGFECKSKKKKVNDSEVSKDVNGEPVEVHPEPVNYGRMVSFGKDVAEAPVSDIEQIEFRDAVKGSNLAHSNTSCRDVWTEYHELGWGGIKAVADYKVYTASSIIDLFHFVAPRMMQRGSVHFSYGIADDLDDPKYNHYKYWSNPLETKLPNAPEMEIFSMYGVGIPTERAYVYKLSPQAECYIPFQIDASAEGGDENSCLKGGVHLSDGDETVPVLSAGYMCAKAWRGKTRFNPSGSKTYVREYSHSPPSTLLEGRGTQSGAHVDIMGNFALMEDIIRIAAGATGEELDGDQVYSDIFKWSEKIKLKL >cds.KYUSt_chr2.28158 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172765290:172778413:1 gene:KYUSg_chr2.28158 transcript:KYUSt_chr2.28158 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGPSHLLSSSSSCLRRLNPLLFSAHRRPAWTPRRAVRRFCAAVAERDVFTSPEVAKSFDFTSEERIYSWWESQGFFKPNFDRGGDPFVIPMPPANVTGSLHMGHAMFVTLEDIMIRYFRMKGRPALWIPGTDHAGIATQLVVEKMLAAKGIKRTDLTREEFTERVWEWKEKYGSTITNQIKRLGASCDWTRECFTLDDQLSRAVVEAFVRLHEKGLIYQGSYLVNWSPSLQTAVSDLEVEYSEEPGYLYFMKYRVAGGSRDDFMTIATTRPETLFGDVAIAVNPEDERYAKYVGRLAIVPLTFGRHVPIIADRYVDPEFGTGVLKISPGHDQNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEAREKLWSELVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMEPMAERALQAVEKGQLIILPERFEKIYNNWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVAKNEEEALAKAQEKYGKSVEIYQDPDVLDTWFSSALWPFSTLGWPDTSKEDLKHFYPATVLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSEGRKMSKSLGNVIDPLDTMKEYGTDALRFTLCMGTAGQDINLSTERLTSNKAFTNKLWNAGKFLLQNLPDRSDATAWDLLLANKFDTEASLQQLPLPECWVVTGLHELIDKVSISYDKFYFGDAAREIYDFFWGHYADWYIESSKTRLYHSGDSSATTTTQSVLLYVFENILKLLHPFMPFVTEELWQALPYRKHALIVAPWPSTDLPKSSLSIKRFQNLQSLIRGIRNVRAEYSVEPAKRISASVVASADVLEYISKEKQVLALLSKLDAKNVHFTESLPSDANQSVHIVADEGLEAYLPLADMVDVSEEVKRLSKRLSKMQTEYDALLARLSSPSFVEKAPEDIVRGVREKASEAEERISLTKNRLSFLQSTVSS >cds.KYUSt_chr5.26475 pep primary_assembly:MPB_Lper_Kyuss_1697:5:167583551:167589138:1 gene:KYUSg_chr5.26475 transcript:KYUSt_chr5.26475 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVAAGLHTSTSESIAGHPAPSTLSPAPHGRHEPPRQDGVGFRSTRPEQQHPALGAEEDGQGGAVKIPTEGDNHYQKQVLVAVILSLVAVIVMAVSATYAWSFWRKAREALDSKDMKLQNRSNGHMLLPVLGKLNSNKMSNKEVIAMMDFSVLETATDKFSEKNILGKGGLGCVYRACLDGNVVAAVKKLNCCRQEVEKEFEISDFGLATYGGNHNRDDINPSGTVGYVAAEYLLDGQLTEKSDVYSFGVVLLELLLGRKPVERVGESHCESIVSWAMPQITDRTKLPQIIDPVIQNTMDLRHLYQVAAVAVLCVQPEPSYRPLIADVLHSLVPLVPVELGGTLRIRQAGSKGFTSSRALNLGKSLSPFAWYPISRVSLQDSVNPKLLMMGIAEEYPRQLAPSVGTLSAQDTSSASSVMSTTTPPASPTPLSAPSSPSLGNSIRFGSFEFTSHADSSRSVFSGLRGGLDMTFGSVHY >cds.KYUSt_chr2.53493 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333685066:333690568:-1 gene:KYUSg_chr2.53493 transcript:KYUSt_chr2.53493 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVAPPVATASEVVLPARTATTSLLVPTILDESLGSVVGKIACGSSEEWDDDLEDSADSKTVIVRVLGGDVLVITKIIKEPDSGIRDGGTECSGRAGMLVNWIEQWPKLMQGVWQGVGGVSGRSAGSATGVAGGDVFVLPADIGRMAKCGRSTVNSAFSAKDMAVAAEDMGARELGWVFDTGLAGVAKVDMFTHFNRDHSFFLLQCVDCNNSRLVLGHGRSVPINGPNMSSILGLKDGGSVELEHVDGPPLAEEVYDARLMLGLSLSNIEIDTVALGSIVKEEHPAEMSEFYVNRFKLAYAMLAVSVFFRPGDKRWHVPRDAYLLAAMIPDLGNINWGNYVARGIVDGSFQVQRDVARGSRGHSVYGCLYALEVLYFDHVAGGAYAVNPGLLPSVVHYSAATIATLIRQDTLNLALEKVYGMFMRDFGVATLIQSRGTGLVEGGVTPSVGRMGIGGHSLENCVSVASGSNHAVAVPIMDHQNVPVLQVSSEDIPKLVRSAEDWLRDAMASEDARSKERTSKFYANLSNDIQNNDPRVIDKVDAHEKKEEWLMLFHLKKMLEHLVDRGVGQYVPGLLDKLAKINSSVDSTTAKVVSCCVRSGLGVCDMPGAVMQGTPVACVVNGNAHIGGRCGVFSTPQRGRTVAGSSSGVRDTGGSSGTSAGIQVSEGHVVCGPALNATPLRAVPVRCTGSDLFDRVSNQLGKAPAIYGGLSPMRGVGGFPGTPDKMLPPKKRSTSDAMYEPETKELIVYTATKCKRARAEINYVLCGRKKVNVVERTKCPFSLEVGFKTPTDRIAELGYRNMIFARQCDMKKLWVIHLTPTLLKMSGFDMLTEFHRYGCLGKKGYIAVTRMLAEGEKTWHADGDMSRWRHPMPLEWAEEVLGGCDCLEDKRLREMFVGAGIGYKVETCQLVWVPRMTKSGFMVLYGFDFECETLHVFDPKRCTGGRELLDSIHFDICDKLLQGMADCIESCLDGWQVDQSKWKFVCHEYLNEPIASADAVLYVIHYMMYFDGVCVRRPLRNADLYGMKLRLANMVLNMPGNVGHPPDNVKSPEAGDVNLEATV >cds.KYUSt_scaffold_1854.554 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2956125:2956970:-1 gene:KYUSg_scaffold_1854.554 transcript:KYUSt_scaffold_1854.554 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHSGGGTHQDVDAATGAMAASTFSLFFPPPPGKGQWSPAEEATYSYDDHSTVTTTSPSSPGSSSSAGSVDCTLSLGTPSSRGLGAPAPAVSWDAVADSCYYQHQQGRPVHAGVGRCAAGHEQILDRRCANCGTSSTPLWRNGPRGPKSLCNACGIRFKKEERRAAETGVHAGAGAGCGFYGAQRAHGSAASAAPRAASYAEEPQPCGDPNAPFLAWRLSAVPPAPAFSVWPERATMYQYN >cds.KYUSt_contig_1108.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000103.1:2229:2873:-1 gene:KYUSg_contig_1108.2 transcript:KYUSt_contig_1108.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPVPFNFDGTMPEGFYPLRWRQPGRAEADFGRYGIRRVVRTIYVLFSGSEVPVAKEGQETMDLADDSTPLPEWFTEEDLDEYASLYKKSGFEYPIRMPYWYYSARFEAAVRGGMMNSFAPDLKVSFVPEGSHFVQEQFPEQVNELLLGFLKDHP >cds.KYUSt_chr7.17141 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106215050:106219051:-1 gene:KYUSg_chr7.17141 transcript:KYUSt_chr7.17141 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHATYENAERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEAKGFAERESLYFMETSALESTNVEDAFSEVLTQIYRIVSKRSVEAGEDSGSGPGKGEKISMKDDVSAVKKGGCCSS >cds.KYUSt_chr7.17774 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110104314:110104922:-1 gene:KYUSg_chr7.17774 transcript:KYUSt_chr7.17774 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEGSYHRRNMSADEIAAKKAAAGKDCPLKPCTTTKRKSEEAVSAGDECPPEPRITKKSKVQAATVAKGEEAPAKKMTRLPQKEVAWILAQEAFEPDHRVRPEVRALRRLRRDLWPPAEEMSRSYIAARVFIQIEEDFAEHQAWVRRQYRRHGYVEVDDDFLADRANARAICDKARDEAFKDVDFSGIEDLKEMFMDLWS >cds.KYUSt_chr2.26617 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162997491:163009958:1 gene:KYUSg_chr2.26617 transcript:KYUSt_chr2.26617 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAANPASTSLEAVATAFRSRVNELQDLALARNMYPATAVTDLAAVDASVTAMEAQVQAIRRRLQEELDAIPKAKVSFRAQCTHRLPDLVPYWAAKLVEKSLKQQQKLQHMLANMPSGMREDVVATPLEQSSARMLPECFSFNTPAEFLDSDFKIKDEPVAAPKGKGAAPRWYISTGELDSLSSYMRGRLTLEKVNIAINEMATYADANAHLVACPKKKLSEDTWEKALELRDIAATEAAKGKHFFLEADIKGPGLKLDHTGKSILTFHIVGDIESKLTVFLPVFLTCKLQVRNGSLSRYVEVDEHNGVRLFYYFIRSERNPAEDPVMLWLTGGPGCSAFSGLVYEIGPLSFDRRTYVDGLPKLLYKPDSWTKAGTGFSYSVTPQGYESSDTKAVTQIVVFLTKWFDEHPEFLSNPFYVAGDSYSGMIVPAITLEIAKGKEDGNGPALNLKVENSTGYLVGNPVTDGNFDNPAKVPFAHGMGLISDEMYQAYKDSCGADQSRQQSRQCTSSHDVIDEGAVPLWIRCNYDILYANDIHSSVKYHLEVTKRGYKCLVYSGDHDMVIPFIGTQAWIRSLNFSVVNEWRPWICQGETDATVLDEEPLPVYAEDLPENVRGAFDLTCNFVLRCHNNLKAEMDQRFYDLGVRF >cds.KYUSt_chr7.37863 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236123328:236129060:-1 gene:KYUSg_chr7.37863 transcript:KYUSt_chr7.37863 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNACGAAEARVLCCADEAALCDACDKEVHAANKLAGKHQRVPLLPDAAPPSAPSAPPKCDICQEASGYFFCLEDRALLCRDCDVAIHTVNSFVSVHQRFLLTGVQVGLEPADPVPPITDKHVNASGGSVDSQQKHLARKNPTVLLSGESSVSIPSQSAISGDYSRPISVPNTKTAMVNWTMDNSVIRSAEHPPKYLSDASSKHLLPSQTTGALSNQMNGDSDSAYNLPFSGGNGSNGLPDWPVDEFFSNFEYGPNFGFTEHGSSKGDNAKLGSAGGSPQCRLAEGLFAEDLLGQVPGFDAEDTWVVPEVPSPPTASGLCWQGNLRYPVYDNAMFVPEIPSLQSSQDHYTVSSGSKRRRREF >cds.KYUSt_chr5.879 pep primary_assembly:MPB_Lper_Kyuss_1697:5:6077931:6079247:-1 gene:KYUSg_chr5.879 transcript:KYUSt_chr5.879 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVTPPELPQDVLMDIFSLLEIPDLVRAASVCPSWCSANTSLQKLGQYKRPQTPCLLYTSETDGESDARLYSLVEKRSYKLTLPEPPIRGRYLIGSSNGWLVTVDDRSEMHLLNPITWEQIVLPSVITLDPVAPIFDETGALCKYNFTSHVWCPPFTADLDELRWCLHDRAFVFYDTSVKHYIVVLIHYPRGQLSFAWLGDDKWTLLPPHRNFHDCLYKDGLLYAVAQQGEIFAFDVRGPMVTEKLIVDRANDHIYGKIYIVQAPCGDLLQVWRIDEDVDSAPYDIHVGKVDIFKVDTALAKLVKINSLDDLVLVLGYNQSLCLSAEEYPGLKANHVYFTDDHAFNIFGHKDNRRDIGVFDLANNTRGELVSPQLWSNWPPPIWITPAITELCPTTVPPHTTTVSSSQFCNQACLRAAYIFFCIVCAYVIGWGYIV >cds.KYUSt_chr5.19246 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124731453:124732028:1 gene:KYUSg_chr5.19246 transcript:KYUSt_chr5.19246 gene_biotype:protein_coding transcript_biotype:protein_coding MACSDELLIPKELPRFALKEVSGAVEVLVETETGKEVVAINKNQTDKCTESDQPAGVNGMYELSDDLVRFILAMPKEAPIDTEDIPFMTTKYDLAKLLNRSEEWIEEQRQWFKEDAARDQKIYDDFVLFQNWVHHEFSANGYVEVDEECLNQVAELEQYSRELWDDWVNNRGGLAGLKFADPSDPRCAVAY >cds.KYUSt_scaffold_869.1018 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6506658:6506912:-1 gene:KYUSg_scaffold_869.1018 transcript:KYUSt_scaffold_869.1018 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAKLRLRHTPAAPLAPPIATPLRRLGARAVVDAAVCSALMHHPAPPLAGSAPSTRLPGSGTLLRIMARPLLSRHGQPLAGDL >cds.KYUSt_chr3.27081 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169043191:169044336:-1 gene:KYUSg_chr3.27081 transcript:KYUSt_chr3.27081 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPPAILDMPPSDDVASNGARAAARRRRLLLCANYAALLGGSVASSLMSRYYFAHGGHDRWVATLVQSVGFPVLLLPVYAGRSAGQPRPFTWFTRRLLMACILIGVLMGVNNLLFSYSSSYLPVSTSSLLLSMQLAFTLVLAAIIVRHPFTFSNLNAVVLLTLSSVLLALRSSDSAEQPDGGSRTRYFVGFAVTLGAAGLFAAYLPVMELLYRRAVSGGFRMVVEVQVVMQAAATALAVAGLVAAGGWGKEVARWDGSPAAYWTLVVALVVTWQACFMGTAGMVYLTSSLHSGVCMTAVLTFNVVGGVVVFRDPFGAEKAVATVLCVWGFSSYLYGEYTTRQKQQEGDGKVAAASDGSGADKSVTGGGGGVGGGAVETV >cds.KYUSt_chr3.3276 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18768590:18769654:1 gene:KYUSg_chr3.3276 transcript:KYUSt_chr3.3276 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGASEERNRGSRSAMEKGRDQSAKKPRLDLKALPSVPVKQEIVVRQAAGPIVAVEHVAVMKIDLQIDVPVLHCPICFGKLKPPVFQCMRGHAACHGCLAGGCGVCDGAAFDVPNTAMDGVVSSVRAICDYDGCGRFITYHEADDHKDACPHAPCSCTEPGCTFKAPPRALVEHLVAAHAMREHKLCYSKTSEIKVPVPEPARSLLTGAGDEDDVFLLTVGALGEATFVSAVCIRAAACPWPRYTVRMWVNGPLPAEANRRTDTVLADIEATSSTKPGAVVLEDLTSYLMVPPRYLVGAGPAKVLLLNVRVGKTTL >cds.KYUSt_chr7.32253 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200916402:200919110:-1 gene:KYUSg_chr7.32253 transcript:KYUSt_chr7.32253 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPLPPTPPSFTLVKKEPDVNTTAAAAARTPHPLTHRKPHRDRLPLPGTPTQPFLTPQTIPSATSTPDSFTIRRCRELGLTSETLPTSIKREPDADNAGVGKDAGGRTFGTPPPKKRRRHCLSATPSQPLFTPQTTLPDNSRADKWWSEQPGPTPTTANASIKREPGTDAGKAAGGKLRRPYPHARPTAAQTPTMWLNRGRLGRLLHNLTRTHRWRDAAGVFSALLPAFQHPDSSEEAHSIFVAAMDIHRKLEEDSGNVHGGKRRYYLRTEKIFNVWLPRLVWLPTSAKVGCNVNLNM >cds.KYUSt_chr2.42400 pep primary_assembly:MPB_Lper_Kyuss_1697:2:264125330:264126433:1 gene:KYUSg_chr2.42400 transcript:KYUSt_chr2.42400 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTAYCVLLLVAFVLVISLRCSLRHSALGVQSLLAAAAPHNAENDTGLVELAAVDPAATAVIQAAEKLLEGNLSRSPKVHRDVALRGLRDWLGRQRFDPGVMSELVDLIKRPIDRYGGREVDSPKKYASCAVVGNSGILLASEHGDLIDGHELVVRINNAPAGDAQYARHVGAKTGLAFVNSNVLGRWCAAGRASCYCRAYGDAVPVLTYMCSGAHFVEHAACSASSVSSSPSSGAAAPAPVIVTDPRLDALCARIVKYYSLRRFARETGRPAEEWGREHQEGMFHYSSGMQAVVAAAGVCDRVSVFGFGKDAAARHHYHTLQKGELDLHDYEAEYEFYRDLVARPEEIPFLRDSGFRLPPVVFYR >cds.KYUSt_chr4.50295 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311529997:311530821:-1 gene:KYUSg_chr4.50295 transcript:KYUSt_chr4.50295 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLFHSCRSPSAADVVIPTTQHHPPPFTPPAGACCPRVLPRRRSLPPDSFGARGCAADYSADDLPPAPGTPAYRWLKSSRWHVIEAAATDGDDTPRLKIDAQRRLRRSRRRRRLHRKAALASFSSGDSGWFSTDEEPDDAYSRSLGGTAEATVVTSTATETSSGSSGAVAAAEADVAGSFAVVKRSDDPRADFRRSMAEMVVTRRIYDADGLERLLRCFLALNDQRHRRDIVDAFGDVWEAVFAKPPSHGNVASEPALQPTSYGKAAAASRQ >cds.KYUSt_chr3.18596 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114380015:114392249:1 gene:KYUSg_chr3.18596 transcript:KYUSt_chr3.18596 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGVSGFQNAPVTRALVLASGLLSIVFSANRRARALGLSYQDIIKNFRVWRIFASGFAFQSTPELMFGLYLLYYFRVFERQIGSNKYSVFSLFTITVSLLLEILSLVLLKDTNYISTLASGPYGLIFASFIPFFLDIPITSRFRIFGVNFSDKSFIYLAGLQLLLSSWKRSFVPGICGLIAGSLYRLNVLGIRRMKLPQIIAAFFTRFFASPSGSSSRPSRNLVGNMPSHTGRAVQNQPSTGFAPLVEPPESSIAMLVSMGFDSNAARQALVQARNDINVATNILLEAQSH >cds.KYUSt_chr5.8971 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56979272:56993470:1 gene:KYUSg_chr5.8971 transcript:KYUSt_chr5.8971 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDAFASYIKNMLAEMAREEVGMLLGVPDEIDKMGSRLGDLKNFLADADRRNITDQSVRGWVKELKGIMYQATNILDLCQLKAMERAPFTADMGCFNPLLFCMRNPLFAHNIGTRIRMLNQRLDDICKRGDKFNFINLGFYEDHNYRLTSRQAANRETTGENVRSGVVGEKIKEDTRELVEMLIEKKGSNNWGSSNVMVVAIVGVGGIGKTTLAREIFNHDTIKEMFDKTIWLSVNQDTDKVELLRTAITLAGGDHRGEKAMTVLQPRLAAALRGKKLFLVMDDVWNHGVWEDLLEAPMVNAVARGSRVIVTTRHDTVARGMKAMLPYHHVDKLEASDAWSLLKKQVISSEKEEAEISTLKDIAMKIISKCDGLPLAIKVMGGLLRQRERSRGDWDKVLNDSAWSVIGMPEELNYAVYLSYEDLSPCLKQCFLHYSLLPKNIVFGYDIIVGMWVSEGFVLGSSSDDLEESGRQYYKELIMRNLIEPDRGYIDQYHCTMHDVVRSFGQYVSGDEALVAQSGETGIISKLSSEKFFRLSIETGGSESGELQWRMLQAQKSLRTLILIGQFKIKPSDTLIAFSSLRILHIQDANFAALVDSLYQLKHLRYLSIRYADISRLPENIGKMQFLQLISLRGCGSFKKLPDGIVNLGKLRYLSLTGTSMNAGIPRGFHGLTNIRKLYGFPAHICGDWCSLEELGPLSQLRDLAVQGLENVSRTSFAAKARLGDKLHLTYLKLECGSTVGHDRFGIDEAGTAEDQQRGIEEVFDELCPPSSLDYLDIGGYCGQRLPRWMMSPAAAVTLKSLRFLMMDDLDCCTHLPNGLCQLPCLQLLQIDHAPFIKHVGNEFLHSSHDRHRHHSYGVEVAFPRLQKLELIGMVEWEEWKWEVQPDVKSMSVLEELQLDTCKLRRFPPGLAFHARALRKLGVHSVQHLNSLEDFTCVVELDVFHNPNLENITNLPRLQKLTIVNCPRLKALEDVPELLRLELEDYRMETIPMYLWSVKTRHLLLDCSLALLSSMVTERSGLGMDKMEQVNNTKFYQLGNGGSLVFERDLDALSDLLERPHPEFFRTEVNDHPEGELQWIITADLTGKMEPPTSERIHFSIRESNWLDGLARALQEALACLCGQHVTELHGTRFAHFARHDSIGGPMALSPHPVLKYHVEHLDFMLHETQKELDNARVYANQTHLHLSQHADAIKIFAKDRKSLRQQRAKKDATSVRLRAKIVSLEATVKAQEDQLRELEEEGEDIQGGEAFLSDDDDLRKMRTLMWRTMSFWRPEKMTSSR >cds.KYUSt_contig_319.1106 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:7362087:7363164:1 gene:KYUSg_contig_319.1106 transcript:KYUSt_contig_319.1106 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPILLSLLLPLLVVAADAVTPTLKPPSILVAAGNDDAAPVPTPWPEQFHAVMFTNLTESGGQLQVIDLYYDWPRGRNLNLIRNQLSGDPKYDVEWTNGTSYIFDSASCRTIRFPVGILPPNWLHGAVYLGRESTDGFDCHVWTKVDFIWYYEDVVTHRPVRWNFYTGMQQHVMSFEVGGVLEDSHWQAPAQCFTDEAATATATRTDDEANVMSSLLRFAGTPASAAAV >cds.KYUSt_chr4.38130 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235020183:235032259:-1 gene:KYUSg_chr4.38130 transcript:KYUSt_chr4.38130 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKKGPNPYGQPPPYGAQQPYGKIPGSGGYATSAAAAGGADGARFGGSAAQGAVGQYGGPYAAVYGAPKAGGLASNGPGSSELLSRQAHQTTLSESSKFSSGAVGSSLGRPNDDYLVSRGYAPKLDQYSADYELDRRVYGEHSANIGRRDGLNDLERRYPDHIPGSHQVSATHHPQLLKTQVQPASDIRQADYFAGRTGPIHQGSQEISAYGRAEADHHSLPVLGSVPYGGQQQASVLGRTQRSTMDSLVYGQGSSSSGFGVGLSAGRDYASGKSLLQPASESDYRDSILPRLHPGVSMVDERTGDRLGYRRELDLRDDERRRDLLWEKEKEREWEYEQELRHREIERERDRERERERERREREREREHLRVRREKERERDRKHVAVPRRERTPPRRPVERLQSSSVRPEKPLRRVSPRRGAVHSLEHDIHEVDEKFDERTVLSSEKSNSSNTPDTVWNVKVLLMSGMRNGAFADICSLRSAEDRIAHWNNVLKFAVFKKDHALVAIGGPWNAAMDGGDPSTDCSCLIQTAIRCTKELVQVDLSHCTHWNHFLEIHYNRIGKDGLFSHKEITVLFVPNLSECVPSVDLWKNTWIAYRKSKADREKLTIKNEKVPGDTKEQKKGTGDDHLRKVDIAKDATKIEKVDTKMDEQQGKDGEAKLAEKEGTQLIEVEESNKQGGDHLGKVDIGKDATKVEKVDTKMDEQQGKDGEAKLAENEGKQLVKVEEHNNNGGDLQGNTSGGAPVDLAVEDKKPTRKKVIKKVIRKVVREKPIAEASSDSSSQVDKTVMAETVSKTVVEEVQQTTVDVSKEKDGAVISQPETKKSGKKKIIRRIVKRKVVASGSKLNESTVPAETSKQGGEIQQENCDVSLTDAPNSLIKLPEGSNVAAEVISNQKKGEKADKGTICTENQKSNGDKVNEQEVVKEKVTNEVGVNGTKDKTKDDKEKNSKDLQKDPKLNSLNDTKEKKKSDEPPKHPGFILQMKKSKNSKLRSISLSLDGLLDYTTNDTEESVFELSLFAESFSEMLQFRMGCIILSFLEKLHKHYVTKKNQRKRPREEDLKKEDDKSSEKRSKTTDDSRTEITPNNISAVKNDEIIEDDVKNMIVDQTPAAPVEPETEEKMEEEDLDYEEDPEEVEIYEEDYDLDGATAEQQDGGNLNSTESNPEEVKGNKKGGKVNELEKPVSGEGTPTKAEKGGLVEVGEKVASKEGKVAVSEKGDSTKHDVVDKDLLQAFRYFDQNRVGYIKAEDLSRIVDNLGKFLSNRDVKELVQAALAESNSAKDNHVIYTNLVKMVDL >cds.KYUSt_chr2.5292 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32844165:32845143:1 gene:KYUSg_chr2.5292 transcript:KYUSt_chr2.5292 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADGALKPSPPPPPPARIIVAVLAFVRFILVPVLGLVRVVRVAGRALPYLCFAAAWVISAGSAATIVARHACREGSAPLVFLEAFTDAAFKFSICGICIFLALAAVLLFGLCLVAVLSGSERLWSNQEGVNSGFVWASPCCGPWIGRRSALHAANCHWSSGSDDDVVACGGIDISGRNDWYNDCGGGDIWLPCNMLLLIIPALALDVWRDDRSDTKPGLGVADC >cds.KYUSt_chr1.27321 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164680988:164681735:1 gene:KYUSg_chr1.27321 transcript:KYUSt_chr1.27321 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSPAPRVLPLRRARSDADLLLGPITAAAAASSATVLLRSPSSLALEERDDTPMEGCFDGAGGKGNNNNSSGRGGSGGGGGGSGSQSAGMGEHYRRVLRLEPDNPLLLRNYGNYLHEVERDLAGAEEYYGRALLACPGDADLLSLYGRVLWEADQDKDRADGYFQRAVQAAPDDCYVLGSYASFLWDADEDDEEASTAVTTSGSPALVPAC >cds.KYUSt_chr5.7742 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48735373:48735783:1 gene:KYUSg_chr5.7742 transcript:KYUSt_chr5.7742 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVHIVPQQVAIASEDSSKDGLAFPMMDKMVFYCSTTHPTPVSPCDTWSKATSKKTCTRRTSAANRQKHLLHATCHRPQELGKHRNVTCQALALLQEYNYPELIAKKATPISGIDMLIWNFAAALVVIRHCDTVV >cds.KYUSt_chr2.47702 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298389772:298391857:-1 gene:KYUSg_chr2.47702 transcript:KYUSt_chr2.47702 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSPFRPDVVRGKAALVTGGGSGICFEIAAQLARHGASVAIMGRRREVLDKAVAALRAEGLRAVGFQGDVRKQEDAARVLASTVEHFGRLDILVNGAAGNFLASPEDLTPKGFRTVLDIDTVGTYTMSYEALKYLKKGGPGKGPSTGGLIINISATLHYTASWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIGGTPGLRKLAPDEMAKGSRETMPLFKLGETRDIAMAALYLASDAGKYVNGTTLVVDGGLWLSHPRHVPKEEVKNLSKVVEQKVRASGIGVPSSKL >cds.KYUSt_chr1.1321 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7153326:7153538:-1 gene:KYUSg_chr1.1321 transcript:KYUSt_chr1.1321 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSAKTSWPEVVGLLVEEAKKIILQDKPDAQIVVLAVGTPTPTKEFFEWRVRVFIDTVAQTVAETPRVG >cds.KYUSt_chr3.10132 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60058964:60059761:1 gene:KYUSg_chr3.10132 transcript:KYUSt_chr3.10132 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQATKLKLFAGVDFVRAAEDGRSVCLDPRRASRFCPTTATMCILFRGAYGRYLGAPDATACGDFDEPEIMAVLWRAVATSRLGVFWLCDTSGRYLRANPRCLLPCHPRVSVSASASACRGLDKAIQLVVEAVPRAGRPEIPTLRDLLWFSERHIRWIRAEESRLFTQDNWASTLYTARSVGMGSRAGRHDDEV >cds.KYUSt_chr4.22476 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141327773:141328192:1 gene:KYUSg_chr4.22476 transcript:KYUSt_chr4.22476 gene_biotype:protein_coding transcript_biotype:protein_coding MREHTRMVALGPVAGRDNDIATERSQGKGFKPRAGRERAKGRVGSEPLSVALVANRDDQDGATVADLRALAAIEDYVRLPPPGVLVSRDDAVAFLPPLNLARLNNGELPAPVIGRSSCVPGPPQRRRAAELPDASERKA >cds.KYUSt_contig_2860.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000546.1:35239:41466:1 gene:KYUSg_contig_2860.9 transcript:KYUSt_contig_2860.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVVAGGGGQRLSLRLSPVRGDDLGLLPRYEDAQGSSLGFNGGDRNMIWNDNLSPALTLAPSTTNSKREASNGRRLSPYETDRGKMVRLVAVYSWMAESDTSDAHVLVFPCPVQGDINCMLYFAAGLLDAGLHVTFLHSDHNLRRLGLATVLSPEFDRIRGGPRSRWA >cds.KYUSt_chr6.30010 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190168621:190173739:-1 gene:KYUSg_chr6.30010 transcript:KYUSt_chr6.30010 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPPPEELANDAGAEEEEEEDPEEVEPWLQSSDEEPEVPALETWNPSPDSEPEQQPPPPPPPPPPAPTNSASEVMDMAEVETEAAPRWPGFPGTSVFRLVVAGDKVGGLIGRRGEIIRRLCEETRARVRVLDSTDGGASRIVLISATEETQAEIAPAMHAAIKIFKHVNEIEGINPGVILSAAAPEVCSARLLVPTAQAVHLIGKQGITIKSIQEATGATIRIIDEGDLLSNQMVDERIVEIRGASLKVLNALKSVLGLLRKFLVDHGVLHLFERKNRAADQVQDSSKQNPVTYNYALPVNQDLLRSKCPSPLNPDDSRYMSHGRDPSVCDLYSPDIRHPTDSLIPKIKQTMQIPLPLAEEIIGVQGQTIADIRSISGAVVVLEETGDYLDEEILSGGREPPPPRSSYRDPDAGPRPLLARHQASATRDYAPSLYHEYQSISDRREHSDYYHGYGL >cds.KYUSt_chr1.17386 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100905798:100906793:-1 gene:KYUSg_chr1.17386 transcript:KYUSt_chr1.17386 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKSTNARTCGRVGTDDDDERHAKKSRPTINATSPTICIRPASRRVQAPAPVVDRRREAATIAYIKAQAKERKDKLLVSSEQPHVRLRADALRSLTVSLSEDGPERKILAVVLDAYVELLRRRGRQGGAGHITRNGRRVLLLGVEEQDWLQYLGTPPRTAEHRLTEQDAAEIAATAGRYLENDLVFFLVNHREHFFAAALDFRRGEYQVLDSGNYARRNGARFYEEATSRIRRGVARCMKEAGRAHDAAGWRLRRAAGLPEQTDESSCGLFALRWMELWDGEELARSFSMDDVHAFRTRLAEELVFSEMNQMQDVKEEIKSMVTQMRSN >cds.KYUSt_chr6.30572 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193745814:193746077:-1 gene:KYUSg_chr6.30572 transcript:KYUSt_chr6.30572 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRYQVWCSADEGRGDGVDGNDGVVASDSEGDNEVRLDVVIFFPSSSAILLVPNGVYLRLKTMKPGEIPTSSSVRSRGPVRRKKG >cds.KYUSt_chr5.21033 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136862995:136872762:1 gene:KYUSg_chr5.21033 transcript:KYUSt_chr5.21033 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFRFDPDGSDDEAAAASARRKPAQSPWEFSTYAQSVAAEHASRRTTSIDEKISQALRGRRNPSMPDGSEDDDEEEDAAEDDDSDEEAVKGESGDEEDELEESDDDDEESEGEEEEVDEEDSGEEDGEVSEPEQGEEDEEEEDPAQEDDDAPEQSGTPDPSKFFASSEGASFSANSFLELNLSRPLVRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRIPAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLIVGGLPTKVQEVALRSNPDIVVATPGRIIDHLRNSLSVGLEDLAILILDEADRLLELGFSVEINELIRMCPKRRQTMLFSATMTEQIDELVKLSLNKPIRLEADPSLKRPATLTEEVVRIRRSREANQEAVLLALCLKTFKERVIIFSGTKHSAHRLKIMFGLSGMKAAELHGNLTQAQRLEALEQFKKQEADILIATDIAARGIDIVGVRTVINFACPRDVTTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVADCAKLIEQLEHQISNIILEEREEMALRKAEMEATKAENMIAHKDEIYSRPKRTWFATEKEKKTLAKAAKESMGQVKSGSGVVSAQQAEDLRLKEKRRREREKNLPRKKRRKLEAQREMLEDDRADEEEAQESEGGKKAKNSQSVVDVAYRRAKSMKATGKKGIVAVKGKNEKKAKQPSEKGQTRQEEMHELFQNDMSEWKQGRALKKKDSSFAKKSKNSFKSKSRYKRRK >cds.KYUSt_chr5.42917 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270432416:270433224:-1 gene:KYUSg_chr5.42917 transcript:KYUSt_chr5.42917 gene_biotype:protein_coding transcript_biotype:protein_coding MWDQALIPPCWHWPTWTLAFHLEIAVVVAVALIIVAVTSRSACEGAEDVAAGTTVRTAVHATDVESALGDVTLMTYEQVTTGKAKEEERCAMCLSEYGEDGELVRVVPACGHFFHARCDVDRWLRKSRTCPLCRGGLWPQPLPGLPQPECPPMPPRQAIPLV >cds.KYUSt_chr4.16177 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100266871:100267182:1 gene:KYUSg_chr4.16177 transcript:KYUSt_chr4.16177 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLIRRLSRVGDDSSSPPTSPAKRRGGKAAVPPQGHVPVHVGDGSDAERFLVRAELLGRPALAELLGRAAQEYGYDHQGPLRIPCSPAAFRAALASVAGDGC >cds.KYUSt_chr7.21709 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134657940:134662689:-1 gene:KYUSg_chr7.21709 transcript:KYUSt_chr7.21709 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGRYSNLDRSFKLAARSLLTAFSREGVNKAFPSFTDAERERLYQMFIYVIKSLHGNIEVATVLDKVDQFVEEQNLDVLSADKTSVEDIKERISKEKKEEIEYLKGLLEKTEERNSALKARIEHLKEGVADFNDTRDALKKHVHPNGTHHRPPHHQQPSSLQPITMAATLSSSSKHCSVVRAQHSPRPSLLSTSSAKKTAFHGVALVDRRWQRNGGARRRLVQVNAKTAGASKNIEVEVDKPLGLALGQKPGGGVIITAVESGGNAARAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGGGNVDVKRLPKRPAPPRFGRKLSDSQKARATHICLDCGYIYFLSKPFEEQPDEYGCPQCNAPKKRFVKYDAESGKPIGGTLPPLTVIVSLVIGIAGVGALLVYGLQ >cds.KYUSt_chr3.45743 pep primary_assembly:MPB_Lper_Kyuss_1697:3:288081932:288084004:1 gene:KYUSg_chr3.45743 transcript:KYUSt_chr3.45743 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQAGDKVRLETTASTKSIPRATRREEYAVLVRVTAAPGAVSARADGVDLVAVLDISGSTSEEEGLERMKQAMVFVIDNLGPDDRLSVVSFDDQVQRRTELSQMSEGNRAVARAVVDKLTAAPAGGGGVNTTGATEEAAKILAQRGEDENTNRVGRIIFLSNGGDDDDGDVADPGQKQDSLTSPDLELSAEAFGLGADDSLSALSYGAGKTSGVYSYGKQDVDNIKDAHTHGFMSVDAALGVQIDLQAQEGVAISALGSGGHRVSVGTGVRGSVTIYIHDLYPGEHKSFIVYLTVPEDEERLLTVGGLYRDPDHGDDIQFDDTEVSVLRPDDAGTDETICPGVAAELDRARRLVNLAMEANAKPIPMLELPQEDEGGVVEETIPDDKKPDNVPETLEIPVLVTEREDFTNQANKEKEEEGKLGKVEIIWVSNNPYYSGLIFAATVLLLGAAMLLTSNGGQKRARTSLVDISQHPGWPKMEKSLGLAMAKKVEDSGITSSLHGVSVEDMSRTIITYIHLALVHASGITRLEQRVQVLEAEKEELRVMVEAVTEEIAEEEMATSVACRDKNEAAVERAHTRIVELEQQLQGKKAFAESLRSEVANTDAHLVNCSHTVEMLEAKVREMEGAHPNIIHSR >cds.KYUSt_chr2.54047 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337371654:337371920:1 gene:KYUSg_chr2.54047 transcript:KYUSt_chr2.54047 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWTSAMRSSCAGTVEALKDRHAGLCRWSYAFRSAQQLGSTTAAAGNNKGKAAPAAGGATARRKARQEQEEELRTVMYLSNWGPNN >cds.KYUSt_chr6.18249 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114731813:114733593:1 gene:KYUSg_chr6.18249 transcript:KYUSt_chr6.18249 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFQATTCKPHSGLIVDRPIVGLGKTCRLLPVAQCSLRSRALGLRKLEKQIYPRLVIVAASHKRVTPVYASSGKWNPEIDNDTFMDDLNKALADAKKPRPIQDVLKEKLAMLREQASGGGGGNGNRRGGNGGSGGPEDESFKESLDEIVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRSMQQWRNFSEKFMQNDGSQEERYERPASSEPMWWQQPQKFVHLMEELCRGNWRPHAQKS >cds.KYUSt_chr5.15319 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99072052:99073163:1 gene:KYUSg_chr5.15319 transcript:KYUSt_chr5.15319 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLGCVAHTCQAGYGYPYPVSAPKSTPPAAPTLNYAHYYKTCKGAENIVRDVVQEEIKRNPGIGAGLIRLFFHDCFVQGCDASVLLDRTPANESTEKFGLPNIGSLRGFEVIDKIKTKLEAKCKGVVSCADIVAFAGRDATYFLSNKKVYFEMPAGRYDGRVSSASETLFNLPPPFGNITVLEAMFAAKGLSLDEMVTLSGAHTVGISHCSSFGDRLPRNASDPMAMNSRFANSVTRKCKSGSSTVDQDFKTPNKLDNQYYKNVLNHEVLFTSDAALESSKTKRLVKQNLTPYVWETKFKQAMRKMGAIGVKTSANGEIRKNCRLIN >cds.KYUSt_chr5.36875 pep primary_assembly:MPB_Lper_Kyuss_1697:5:233170655:233172590:-1 gene:KYUSg_chr5.36875 transcript:KYUSt_chr5.36875 gene_biotype:protein_coding transcript_biotype:protein_coding MALRWAAAAGGAYLTPPRSRCFGARPARTAFTTTGARGLRLLLTAPSSPCSKTPRPLVLRCSPDSPPPPPPQQHVLVRKEGKDEAWEALKAMVADMFMPLLRNVSDMRSLRTVYDLEDYQVGMLFGAFLGCVGWYQLWKAAPSVFVDAALAYGFYKLSVVSSELRRQGKCNDLLARLKFGIVAIMATRDFTKSYELMDFVKLVC >cds.KYUSt_chr2.54828 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342024136:342037737:-1 gene:KYUSg_chr2.54828 transcript:KYUSt_chr2.54828 gene_biotype:protein_coding transcript_biotype:protein_coding MATTETLVFPGPDGFDCARRLRHKMLLSYLSRQRLFPVFQSMLEQTDAHMCGNHLRRLVYRGQWADALDYLGRFNSRNTVASNALHFFLHTLWALANVAAGATEGSVESAAHQHGMALSMLICRCARLRSIVKAMVDSPQQCASLNWESTRVMAASLAYYLADEDPELYRLMQLPDHAQMLPLLPIRPRRHVKRPPRRRTPAGRGRAIARLYLSKRRASLQSSSPHTPAFMDESLDRVAGLVEECLNAGKRQKLQSSGRVPRFVIPLQIAPGAPPVSQTNSGTTSVPNAAMSASSSSGMSSSALAAALGAPPAQQLTRNNFLLWKALVLPAFRGANVMALLDGSDQAPARIIEVEDSEKNKSKVPNPAYIEWMARDQQVLRFLLNTLSPEILSHLLDVTSTAEAWTAIGAMFKTASRTKAQHLRGELNDTKKLSLTADQYYTKMRGFASELSALGKPVEDDELLGYLLHGLDKGEYNALITTVNGNPGTSLEEFYEQLSSYDMRNGVEENGSFVSSANLARRGDQRPRARTPPPRGRTPPPPRTRSPDRGPYRGGGYRDDDRTWRRDDGRGNWRRDDRRGDRRDDRHDETRDDGGGYRRTDGRRSDRVPTPYVDTECQICKKHGHPANACWWRYSDDKKDRDDGDKGANLASYGVDTNWYTDTGATDHITSELNKLLIANKYHGQDQVRTAEGTQFVERKFDRKIVTMQTDWGETTHNEENSSQNSEGNGPESVDDHQTQNDETSTEHEVDMPEHSSDSLDEAQQIVLSLSVSCGWSLRQLDVKNAFLHGVLEEEVYMRQPPDRAINALLRDLNVNFAIKDLGDLHFFLGIEVKKVHNGLLLTQEKYATELLEKVEHWTAVKRILRYVKDTLKLGITFTKSSSTLLSAFSDADWAGCLDDRRSTGGFAIFVGPNLVSWSARKQATVSRSSTEAEYKALANATAELIWVEALLNELGVKLLQKPSLWERVASNRLGIHFISSKDQVADGFTKALPVKKLDEFKRNLNLLEDASPERCESGGRPKSKLKNRSNRGRAQQNLRSKSSNLCAAPRLAMLPSHLNGHSPLARRPRLSAATGDTPASEAAAAADAALDAHDRVYFQSYSHIGIHEAMIKDRVRTDAYRAAIMHHQKFIEGKVVMDVGCGTGILSVFCARAGAKRVYAVDASEIATQASEIVKANNLADKIVVIHGRVEDVEVEEKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNSDRYEGSVDFWCDVYGINMSALVPLAKKFASEEPSIELIGGENVISWPFVVKHIDCYTFTVEEFKSVATTYNVSSMMLAPIHGFGLWFEVEFNGPAESSQNLSSESNPADIICKKRSRGSDNTVVLSTAPEDEPTHWHQTIFYFSDPIEVTQDQIIEGSVMISPSEENPRCLNIHLECS >cds.KYUSt_chr2.4694 pep primary_assembly:MPB_Lper_Kyuss_1697:2:29072123:29073640:1 gene:KYUSg_chr2.4694 transcript:KYUSt_chr2.4694 gene_biotype:protein_coding transcript_biotype:protein_coding MWVHDRILGFTKPSSPISCYKVLGLSHPCAAAAPAPLRPPAAAFERSSSCCGTCSPAATMQNEEGTMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFVRAQGDADGSLDRLWQKRKAEIKQ >cds.KYUSt_chr6.8542 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52491146:52493422:-1 gene:KYUSg_chr6.8542 transcript:KYUSt_chr6.8542 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLPKQLLSLRLPASPVILSLRRALLSTAAATDAPLGAFAAADYLVTTCGLTPEQALKAARYISHCKSTSNADSVLAFLSGPALRLSKADIAHIVSHDARILNCSVDSTLKARIASLRSYGLDDAQVRTFLRVATCTFSIHEKLGFWIPFLGSPEKLLRVLRYNYYLLTSDLDRVVKPNIATLRESGMSPANIARMCVPNSRLLTCNPDTVKSILERADKLGVPRNSPMFRQAVATTTSLGAETMAAKLKLFGETLGCSAAEVASAVRRNPVLLRVSGEKLRRVTEFLTRVVGVDTAYILARPAILMYSLDTRLAPRYSVMKALQEKHLIRKDHSFYSMYFTVGCAHTFEMIDALGKSVLGSASPMDIPRQFVIHVLKAKGLVKIDCDLYNVFTLAHEKFVKRSPGLAHELLQVQL >cds.KYUSt_chr7.25013 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156131334:156136650:1 gene:KYUSg_chr7.25013 transcript:KYUSt_chr7.25013 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARAAFLAMPMRNSVSWNATVSLFASAGHMGMADECSRDVLEKEDAVLWTAMVSGLPSRTWPKTPLLTLASHHPFLPSQLAESTTARKHCKRRNMAETLLLPVVRAAACKAADALVQRVTSMCGVDDDRHKLERQLLAVQYKLADAEIKNETNQYIKRWMKDFRAVAYEANDVLDGFQYEALRREAQIGKSKTLKVLSHFTSHSPVLFRFDVSRKLSNVLKKINELVEEMNKFGLQNHEEAPHVLYRQTHAAPVDPAEIFGRDHDKEVLVKLLLDQRGQQNVQVLPIFGMGGLGKTTVAKIVYNDHRVQQHFQSKMWHCVSDNFDVITLVKSIIELATNERPKVSDDIELLRRRLEEVIGKKRFLLVLDDVWNEEETKWEDQLRPLLCSIGGPGSVIVVTCRSRQVTSIMGTLGPYELECLGEDDSWELFSRKAFTSGVQEQKELVTIGRRIVKKCRGVPLALKTMGSLMSTKQQVQEWETIEESNIGYHLRGKNEILSILKLSYRHLTPETKQCFAFCAIFPKDYEMEKDMLIQLWMANGFIQQEQTVDLAHKGELIFNELVWRSFLQDVKPGMVLNSHGTPYETILCKMHDLMHDLAKDVADECVTIEELIQHKALSKDVRPMHIAQYGWHMSSLRLDELKSLRALSFVNPSVINCQVMNAKHLRYLEISSSDIVRLPDSISMLYNLQTLRLNGCLKLQLLPDGISAMKMLIHLYLLGCDHLERMPLNIGLLNKLCTLTTFVVDTESGRGIEELKDLCHIGNRLELFNLRKIKSGEYAKKANLHQKHKLSELLLCWGHKKEHEPEDEVSNEEEVLVSLAPHSKLKILEVYGYGGLEISQWMRDPEMFRCLRKLTVSNCPRCKDLPTVWLSDSLEYLCAKNMGNLTTLCKSIGVEAEGYNTPLQFFPKLKTMVLHRLPNLERWTPNCAGECSSLSMFPLLEHLEIIKCPKLTSVPSCPVLKYMRCCSLPISSLAHLTTLVYFAYDGTGFSSASMPFQSWEFLVNLELRSQLASMTMPLENQQSQSQRSPLETLRELCLLGPNNFITTSGLSKSHLLLWKCFTFLEKLVIFDCEELVRWPLEELRSLVRLRFLSIADCNNLEGRGLPYEETLPLPQLEKLMIQFCGSLQHIPKLPSSLHELDLLFCTSLVALPPGLGDLPKLTTFSVNSCGNLEALPDRMDGLTSLERFSIICCPGIEKFPEGLLQLLPAIKRLCVQNCPDLGRRCREGGEYFYLVSSIPEKSIREIHTVSNKRKFLRRLLPSCVDSN >cds.KYUSt_chr7.26933 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168329328:168333928:-1 gene:KYUSg_chr7.26933 transcript:KYUSt_chr7.26933 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGGSQQQQQQQRLRQQQQQQQQQQALLMQQALQQQQYQSGVLAAAAAAAMTQMEPVSNGNLPPGFDPSTCRSVYVGNVHPNVSESLLIEVFQSTGPVERCKLIRKEKSSFGFVDYYDRRSAAISIMTLHGRHIYGQAIKVNWAYASTQREDTSGHFHIFVGDLSSEVNDATLYACFSTYSSCSDARVMWDNKTGRSRGYGFVSFRNQQEAETAITEMTGKWLGSRQIRCNWATKTNAEEKQEADNHNTVVLTNGSSSNAAMESNQDTGSKENPENNPDFTTVYVGNIGHEVNRDELHRQFYNLGVGAIEEVRVQQEKGFGFVRYSTHGEAALAIQMANGLVVRGKPLKCSWGNKPTPPGTSSKPLPPPVASYQPVAMAGVPQGFSTAELLAYQRQLALSQAAAGQLAGQHGLAGQVSAGLLAAGSQALYDGYPNQSSAQQLMYYN >cds.KYUSt_scaffold_869.1548 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:9943673:9980942:-1 gene:KYUSg_scaffold_869.1548 transcript:KYUSt_scaffold_869.1548 gene_biotype:protein_coding transcript_biotype:protein_coding DQPWESPSVIYFLIVQLSAAVIALVEIFGSRIHQDSCWLNFSFGIEQIGYHLRVACCLLLPAVQLVVSISHPSWISLPFFIFSCIGLVDWSLTSNFLGLFRWWRLLEIYSVFSILLLYIYQLRVKFPYVVVAFADFIGLFKVSSKSEWPELCSGISLLVYYVMLSSAKRDIQEMDSAMSLENDSLIEDLLPSRNGFLVRQSRSGRRHANVLLGGPIFRTFSINFFTYGFPVLLLALSLWSFNFTSICAFGLLGYVGYILYAFPSLFQMHRLNGSLLVFILLWAASTYVFNVAFTFFNKRFQKDMMIWETIGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFHYLTSEEGPSSSDDHILDDKEDAMVLIVATLAWGLRKLSRTITLMLLFLLVMKPGFINAVYMCFFLVFLVNHSINRRLRQFLVLFCELHFSILYILQLDLVSNALERSGSLMMEVLSQLGLSSNAKTKDLIEIGSIICFCAVHSHGFKMLFALSAVLRHTPCPPVGFSILKAGLNKSVLLSVYSSQNSRDGQVCRNSHEKKIASYLSKISQKFLSVYRSYGTYVAFLTILLTLYLVTPNYISFGYLFFLLLWIIGRQLVEKTKRRLWFPLKVYAALVFIFTYSLSISPLFAHLVSKLVNLYPDLGFDPEASLLENVWQSLAILIVMQLYSYERRQNSDKNFGVSEASVSGVLGFLRRLLIWHSEKLLSISVFYACLSSISLSGLVYLFGLIVFSTLPKVSRIPSKVYLVYTGLLALSEYLFQMLCKPAQMCPSQCLHGLSAFLGLKHYSSGFWGVEHGLRGKVLVIVACTIQYNVFHWLDLMPTSIVHEGKWEEPCQLFFSFGQSASPMNSREESHFSNRFAFLFSKVQGLMHSSSSSSLNSGNTYKTPESVQNVTKRSDEEKRYSFAKMWGMSKESHKWDKKRIISLKRERFETQKMTFKSYMTFWMENLFRLRGLEINMIVLLLASFTLLNAVSMFYIMCLVACILMNRDLIQKLWPLFVFLFASVLILEYFALWKDGILWLKGGDDIEVRCHECWKNSRIFFDYCSKCWLGLIADDPRMLVSYYVVFIFSSFKLRCDHFSGFSDSDTYRQMRSQRKNAFVWRDLSLETKSFWTFLDYVRLYAYCHLLDIVLALIAITGTLEYDFLHLGYLGFALVFFRMRLEILKKKNKIFKYLRMYNFTLIVLSLAYQSPYVGQFSSGKCDQIDYLYEIIGFYKYDYGFKITSRSAFVEIVIFLLVAVQSYIFSSGEFDYVSRYLEAEQIGAMVREQEKKALKKTEQLQHLRRSEEQKRQRNMQVERMKSEMYNLQSQLNTMNSFTPINKASHNQGLRRRRATRLHSNTDAPLLYNENGSPNKEYKIGSMESSQSFEFSVADTKENMTDLLCAGSSDNLRSPTRGRSEEFMLDDNARNSLGSTSEIIELDESDIKLHPNLLKEKERRQPRENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEDSNENPAEGGIYDVVENQRETQDDQLPGTHSVSMGTATESSSNMPIGVIFRYIWYQMRSNYDYVCYCCFVLVFLWNFSLLSMFYLGALFLYALCVNYGPSYLFWVIVLIYTELNILSQYIYQIIIQHCGLNIHLPLLQRFGFPDDKIKSSFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSARIDIEEKYYVPYNWKDRLKSLHMPVMNLTRMIGRALSRYWMSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINKVLVTAHEERCQSNSPSSCHSSSQVRIQSIEQSKENSSMALAVLEVVYAAPIECQSAEWHKSLTPAADVEREIHDSQKAGLFEEISFLYPIVSVIGGGKREIDLYAYYFGADMACFFLVVMFYQSILKNKSEFLEVYQLEDQFPKEFVFVLMVLFFLIVVDRIIYLWSFATGKVVFYLFNLVLFTYSVTEYAWGMELAHRDVGGFVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYASLFLVKCDTILNRANHQHGDKQTKMTKFCGGICLFFVLICVIWAPMLIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFQTTACEKIPWKYLKAYNDVDPLDYLGAYNIDDIQLICCQPDASTMWLVPPPVQSRFVQSLEETEIYFGKMELILNWDFLRARPKGKELVKYESPVEQCPGVDDVKQVLNGSVHSFRITDAYPRYFRVTGSGEVRRLESSIDSVSGELLLNNGTYPWWSFYNTNPSDLAGCQGQNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCD >cds.KYUSt_chr4.2336 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13029373:13030941:-1 gene:KYUSg_chr4.2336 transcript:KYUSt_chr4.2336 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHSASSSSSPIYTALARLTKLNSKRSNRVLLASRKTSRAKTTAPLLILLILVLAVVTVVFLFPAIMSSSTSATSSSAAAPFENPRAVVKKLLSEAQSEGQGATVRRSIGRHELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLDGAFTHQDFSGHKGTIRTGDVQWMTAGRGIVHSEMPASDGVQKGLQLWINLAAKDKMIEPRYQELQSKDISCASQDGVDVRIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPAGWNAFVYIIEGEGVFGREKAAPTAAHHCLVLGADGDGLSVWNRSGAPLRFALAAGQPLKEPVVQHGPFVMNTRAEIQQAMEDYYHGRNGFEKATQWSSA >cds.KYUSt_chr5.29940 pep primary_assembly:MPB_Lper_Kyuss_1697:5:189804429:189804956:1 gene:KYUSg_chr5.29940 transcript:KYUSt_chr5.29940 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATTGSAAANRRFAVACGVLSRFVKAAGPAPVPMPMSAYGAAARAGTDQGPDGPPADGAQQLTIFYGGRVVVLDGCAPAGAAELIQYAAAAATPPAAAPATELVDIPIARKASLQRFLSKRKHRSVTVLDGPPYSRPQEAAPPPPAKKRKTEASSWLALGSLGDMHAHWSIA >cds.KYUSt_chr7.25076 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156474628:156475179:1 gene:KYUSg_chr7.25076 transcript:KYUSt_chr7.25076 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIVATEQLRLLYILLLMGSVFRVLPASTANACGVDLHLRVVLAHHFLILAVFAAAVLLQSVRVAVSSAEAASVAAAARAATGGKKDFDAKHEAAVAAAKGVGCIAGKWRRAALRALLLAAVALSAAASFLFVVSVEDGYLYANGCDADHAGYGTGTPLSVVLTVGMTLLHGSAARDAVCAN >cds.KYUSt_chr7.1611 pep primary_assembly:MPB_Lper_Kyuss_1697:7:8997211:8998482:-1 gene:KYUSg_chr7.1611 transcript:KYUSt_chr7.1611 gene_biotype:protein_coding transcript_biotype:protein_coding MARYGPVSSTYLSNALGFTMATKIRTTLLLRRRALANAPPLPSVKGGPILSKPSSVHVRLRLGFFDVTVTGSAGERAFFRLPLRKAAPTPDGKGLMVANFVIASVGLLDSVALVGPQKRPILSVDVLVRGAEASGGDRVSLAAADALVSGCPDPSLEPRLFEEVVLVGQSGPGNPGADHESREIAAAACAELAGVEGLSEVAGPAIAPARPLPVQALALEAAPVDGTLWQVSPPERQPRRCSRLARGRYVSIIDRAIARKKELLGSSSAEASSRRGELLADDLFAVAIEDEGALPDKDVQVLAAACDIPCAELDLAQAGSTVPPTSP >cds.KYUSt_chr7.4402 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26609696:26613018:1 gene:KYUSg_chr7.4402 transcript:KYUSt_chr7.4402 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVVAKVFLLSLLWLAAAEGTDRDALLAFKAAVTSDPTGALRSWTNDTAGTAASVCRWAGVNCSSAGRVRSLDVSSRGIEGTLSPAVGDLEYLDFLNLTDNALSGPIPARLGKLKLLSFLSLCDNAFSGEIPDALRGLDNLTVAYLNNNRLTGGVPSWLGAMPALVYLTLNQNELTGTIPSELANLTTIQMLRLDENFLEGAIPDVLTRLPQLQQFNVYGNRLSGEIPRGFFNMSSLRGFSLAKNAFHGELPRDAGAHSPNLMYLYLGGNQLSGPIPASLANATQLQFLSLANNNFSGQVPQEIGRLCLYSLQLSGNKLTASDAAGWEFLDNLTNCNALYEIILDDNNLSGALPSSIARLSPQFRTLSLAGNRISGVIPPGIDNVVGLQTLDIQYNLLTGVIPDGIGKLKGLQELELQGNKLTGPVPYSMGNLTSLLRLDLSGNSLNGSIPPSLGNLQRLTLLNLSGNGLAGHVPTQLFSGLSALSSAIDLSGNQLDGVLPREVGQLVKLARMALSGNRFFGDVPAELGSCQSLEFLELDGNLFTGSIPPSFSRLKGLRSLNLSSNRLTGTIPPELGQMSGLQELDLSRNDLSGAVPAGLENMTSLIELDVSDNNLDGQVPLHGVFTNKTRFNMAGNSALCGGAPQLRLPPCRSPADSGSNRGTKLLLKIALPIIAAALGLAILLTVLWRRQKGTKSSTETTSACIRSVVDGNFYPRVSYAELAKATDGFAEANLVGAGKFGSVYRGTLFLNKAKKKPAAAHEAVAVAVKVFDLRQVGASKTFLSECEMLRSARHRNLISIVTCCSSVDVAGGEFRALVFDFMPNSSLDRWLHPGPADLRKRGGLSLAQRLGIAVDIADGLSYLHDSCDPPIVHCDLKPGNVLLDDDMTARIGDFGLAKLLLLDAAAGNTESTIGIRGTIGYVAPEYGTTGNVSAAGDAYSFGITLLEILVGKAPTDGGLGEGRTLPEFVTAAFPERIEQVLDPALLPIEEPDDVAISISTVSTVSSLSEDSEVRVTARDCMVSAVRVGLNCCRRAPYERMSMKEAAAEMHLIRDAYLRACGAKPSVLEA >cds.KYUSt_chr7.10660 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65466559:65467524:-1 gene:KYUSg_chr7.10660 transcript:KYUSt_chr7.10660 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGPHRSSSVRWREAARAPPPRASHKEAARTRPPPLVEGHGRRRSRGSRGSRASPCQDYESTPSRAATQRAVAARSFEKLIHGRRSSRSPVKGASPASSSLASAPDEDDPRRSSGRRSSASSSPGVAVRLAATPTPLLVPVLSSSSALEVFFRRSDGREHKGGGGCWMLVSSQAPTTSRDEWEWIFPPDS >cds.KYUSt_chr1.41646 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255374514:255376786:-1 gene:KYUSg_chr1.41646 transcript:KYUSt_chr1.41646 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRATYTFGRELGRGQFGVTYLATHKASGARRACKSIAARKLAHASDAEDVRREVQIMHHLTGHRSIVELHGAFEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREVVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFKDLVGSAYYVAPEVLKRRYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLKGHIDFSSEPWPSISNGAKDLVKRMLKQDPRERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKIVAESLSEEEIMGLREMFKSLDTDNSGTITLEELRAGLPKLGTKITEPELRQLMEAADVDGNGTIDYGEFISATMHMNRLEKEDHIFKAFEYFDKDHSGYITVDELEEALKKYDMGDEATIKDIIAEVDTDHVSNSSKL >cds.KYUSt_chr4.24274 pep primary_assembly:MPB_Lper_Kyuss_1697:4:152871346:152873444:1 gene:KYUSg_chr4.24274 transcript:KYUSt_chr4.24274 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAARFLTAVSFLAAGILFAPDSLVGGSPGRPAAGVAAARLAHLLCFATAWGAALWVTFIGGIVMFKNLPRHQFGNLQGKMFPAYFMLISVCSAISVAAFAYLHPWKTASTIERYQLGFLLAALGFDLSNLLVFTPMTIEMMMKRHKIEKDLGIGSEVGGSRNSDVAKTSPALAAMNKKFGMIHGLSSLANIMAFGSLAMHSWHLASKLKL >cds.KYUSt_chr5.36662 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231791443:231791931:-1 gene:KYUSg_chr5.36662 transcript:KYUSt_chr5.36662 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLRVVADISRSRARLPKEGEAGSSTSGRNAAEAGGAGDHRLALRASPPPSRRRSEPSRRPWSHALTGSSDSIFGCELRSRRPVDAVEEEGRPELDGATRWHRFGGFLWVYGSPWGLQNGGSMPWIRCRRRSWCRCGGRRREGWPEPGGICRRWKGNGRWS >cds.KYUSt_contig_402.321 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1893004:1894011:1 gene:KYUSg_contig_402.321 transcript:KYUSt_contig_402.321 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTITSGPVPFTDVDDGTVLKRPAKEEFGDLVAALPRKQQATLELRLYQGFWLPDHWVAGTVVFQRRFAPRSDDVILASYPKCGTTWLKALAFATMTRDAYPELAQHPLLRLNPHDCIPFLDEIFADGQEAKLEKLPSPRLMNTHLPYTLLPESVTAGDVKVAYICRDPKDMVVSLWHFLQRRQPGLSFVELFEHVCDGDVLFGPTWDHVLSYWHASLVRPDRVLFLRYEDLLQDTGKHVRRLAEFMGRPFSAAEESAGAVEGIVELCSFEKMKGLEVNKKGSSGAYHAMPRDAFFRKGIAGDWVNHMTPDMATRLDEIVREKFRGTGLEAL >cds.KYUSt_chr1.4217 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25629378:25630502:1 gene:KYUSg_chr1.4217 transcript:KYUSt_chr1.4217 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSSVLWAVVLLVAVASSTVARASHDLSGSLKVGFYEHSCPQAEDIVRDAVRRAVGFNPGFAPGLIRMHFHDCFVRGCDASVLINSTPGNKAEKDSVANNPSMRGFEVIDEAKAALEAACPRTVSCADVLAFAARDSAAIAGGIDYPVPAGRRDGRVSISDEVLENNVPFPTDGVSELVASFERKGLSADDMVTLSGAHTIGRSHCSSFTQRIHNFSGEAGRTDPSIEASYASDLRRQCPPSTDDMSNPTVAPLDFVTPREFDNQYYKNVLAHKVPLTSDQTLLTSVRTAGIVVLHAAVERVWQAKFAAAMVKMGNVQVLTGDEGEIREKCFVINH >cds.KYUSt_chr4.4700 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26946736:26953668:1 gene:KYUSg_chr4.4700 transcript:KYUSt_chr4.4700 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAWPLASAADLLPASLSLTLLLASLVAVLGLGIAAVFFEHIRKIGCMHSLERTAVSDAFFEDPGSLKKVPCPSIFDPAEKYISLIVPAYNEEFRLPEALTETLNYLKQRSAADKSFSYEVLIVDDGSTDRTSKVAFEYVKKHNIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATQVTDLAKLEAQIRALAGKVESSLAPSASSSQRLSDVEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRSAARKLFTNIRLKRWCFDVEIVYLCKHLRIPMAEVSVSWTEIPGSKVRMTSILHMVFELLLIKKICKDNAQNIAFRLAKFIKVEQFSSHYAGLRFGMHTFAPYRNQLSLLTTTQLKPKPREHFLARHALEQMPPRPPPFSPPRRRLCTSHPALPARRAAEQHCLRLLERASTPASLLQPLAFLLKCGLHSNPLVLTRLFASSATAAPALLEPLVAALLRPDVPLDAFLVNTLIRAHVASPIPSARRRAADFFPLMLSRGIAPNKFTFPFLLKSCAAHPGSPAAGLQAHAAALKFGFAADHYVSNTLIHMYSCFGAGFLEDARNVFERMAKDSAVTWSAMIGGYVRGGLSGDAVGLFREMQASGVRPDEVTVLGVLAAAADLGALELTRWVGRFVEREGIGKSVTLCNALIDTLAKCGDVDGAVAVFEGMEERTVVSWTSVIDALAMEGRGKEAVGVFEEMKAVGVPPDDVAFIGVLTACSHAGMVTEGRGYFDSMKIEHGIEPKIEHYGCMVDMFGRAGMVEQGLEFVRAMPMKPNPIIWRTLVAACRAHGRLELGESISRDLLNEYPAHEANYVMLSNVFALTQRWKEKSEIRREMSKRGITKVPGCSIVELDGEVHEFIAGDESHPQYKEIYLMVEEMSRELRRVGHIALTSEVLLDLDEEDKEGALQWHRTAGPFDVVVDLGHSLNFPVLYEAGFGKYLERT >cds.KYUSt_chr5.35947 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227109162:227117101:-1 gene:KYUSg_chr5.35947 transcript:KYUSt_chr5.35947 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVDSSTDWSSSDSDDLDLDNLLHEDDTEMMMTILGLKELEDPAKLLDQRRGSTMGRMCIPRNRALGQEILMQDYFVQTVGQSHRELASFPSTAHVFFPLTPPEQPTSAATTTTTANTSPLAAMGARPLLLLLLLHALLFSAPSPAAASPHISAVISQSGLDFAKDLLVPRATETLAQLSVPDIAQSVYIPLIGTVHMVASHIVLQGLAVADSTVAAGDTGVVVAASFSSVNLTMEWSYSYDSWFVTISDSGNASVQVEGMDVGVSMGMKSENGSLKLFVTECGCYMKDLDITLNGGSSWFYQVFIDGFSNHIRSSVENAITNKITEGASKLDLFLESIPKEIYVDKVATVNVTFVNDPLFKSSSVQFDIDGLFIPSDKTALSKPMHFGDTKYAQPLGSSSNMLWISLDEEVFNSVSALYFKAGSLQHIVDKVPDQFLLNTASWRLLIPKLYRKYPNEDMLLNISAVSPPSVRINVGGVDATVDLDVIVNVLDSGEIVPVACISLSVAVSGGASVSGNNLVGKVELDYFSFDLKWSDIGKLHTSIVQRVMQIVLKKLFVPYVNSYLGQGFPLPIIKGFLIKDAYILISESRIIVSSDVAFVEPMKTFRVKQFVRSLSEVSSLLWGSGSKNVFVILDRAQQKPRTPNAVATVRLHLHPDAEMAATASIFSLPPLRLGHRRLLSPASGPDSRFQTLAAKKAAASTDAGGAGGGSGLLSVLDRKLTDEEEYRRARAQVQRKGVEAEGYGVEGISVGGHETCVTVPSLNVAFDIGRGPLFAVRHDNLFITHAHLDHIGGLPMYIATRGLYNLKPPTVFVPPCIKDDVEEMLQIHRRMSRIDLEVELVALDLGETYEIRNDLVARPFQTHHTVPSQGYVIYSVRRKLKKQYAHLKGSQIVKLKESGSEVTDTILYPEVAFTGDTTSDFILDPRNADALRAKVLITEATFLDDQVDVDHAREHGHMHLSEIMEHSQWFRNETIILTHFSNRYSLEDIRQAVNRLQPKLLSKIVALTEGFKSEYS >cds.KYUSt_chr4.40751 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251676728:251680543:1 gene:KYUSg_chr4.40751 transcript:KYUSt_chr4.40751 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRCRPCSSPLTRGHYEGPHAPISSSPGPLAPRASSEDVLHGLSHGACSGDGIPLLFSSPLWTSVGAEHREEAHLAEFHRPAMVAASPAAPLAIGAPTPPRTAEFWMGDSGAGDATAAALPILTGRFWSLDSGEDDDADLASSPRSTSPGDFLRSPSERVLKLSSRYVKRLNNRIMQRRAALDLAVKLDLGSSCSSGFARPKLNGSPPATVLKFPVLEPTVFFLQDFNATEWTRVERKKVFRRACADRSDHRPGLERRRSPGRRDGLPLSRSRSRRFQNRGSTGQRAKHPVSSWITRNSPQFGPARRVNLQQGLPAPVPRCTPVFAASSRPLAARAAGKMASREPPPNSGAPRSNPPLRQHVLPGRTPVRPDGRGDGGFGGRVDGGAVAVGDLQNNGFLGHGDQQSRRPQRPVNSSGQGFSSSQSGNGAEHDEGFRGQGFDAYESGYFEGNNGYGNGYGSMDRGNYRQRPYRPFYAGNRARYNNYRGGNGRFNGYNNNRYQRVFNTAENFRTDATTQAQTKGDAYVASIREGGDASMSVQNMETSSVESLSARAQKKIDKRLCLRCGENGHLAESCTAILCLYCEKTSHDSINCPLHAMPKPVAITYGVSRNELMFHEIPASSEVTFRHDSGKVGKISVSGGVLSAQEIVKELEWIIPGNHQWDLVNTDDGAFKVTFPSKADMARMTKIINVPVPGTTMFLVFEEWSAADLDPFFLTQVWVRVQGCCYKERCDYLSLFGVGSLIGKTKEVDMAFTRTHSEARMLVEVTRAEFIPTTTIDHTYDGKGYGLIFKVEEQKGKGKLDVDMQEASPEDEPKESDGKDDDLPKQDKPPNSPPAPGQPKNPFVSNSSKPSTNSNNQTQAYSMPNLKVGSITCPSPVHSEVGFWSQGKKVAPRRLWGDCSSEDDDDLPSPLPRLISDVDVSVGEPLGVPTVTGKTEVFSATEQSAVAASIDDKTMEDTTEKFPGLVLDAHGLGGRGVVHPHLDASGKVIGASDSSVRACNKGTNVNAAGTGVYLGGRYSREEIVAFGGISEKEMAI >cds.KYUSt_chr4.54942 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339345368:339345922:-1 gene:KYUSg_chr4.54942 transcript:KYUSt_chr4.54942 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVLSRSPSFPHSRSLVSLRPVELVSGHTPPSPASCGMREEVDGKGPPHPLDVLLPPLLLYLSALMRLSSSVPCASMAAIGGRRIPCSGQQGRAATGGGAAAMSPDEQVVAGGSTAAEHWSRVKQVEQDGACGVLRGNLHNEPPTLTACRASTGQICQVQMRRLRGLLRSAQPAAAAPPLLI >cds.KYUSt_chr3.28083 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175217119:175223860:1 gene:KYUSg_chr3.28083 transcript:KYUSt_chr3.28083 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPGTARDGETARKEEVGVDHGKRVSFTGMLRYADGTDLLLMLVGTAAALGNGVSQPLMTIIFGDLIDAFGGATTGNVLDRVNKAVLNFVYLGIGTAVVSFLQVACWTITGERQATRIRSLYLKSVLRHDISFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFIQLIATFIGGFVVAFVKGWLLSLVMLACIPPVVFAAGAVAKLLSTISSKGQESYGDAGNVVEQTIGAIKTVVSFNGEKHAIETYNKFIHKAYKTTVHEGLANGFGMGSVFLIFFSSYGLAIWYGGKLILNKGYTGGDVITILFAIMTGAMSLGNATPCMTAFAEGQSAAHRLFTTIKRKPEIDPDDRTGKQLEDIRGDVELKDVYFSYPARPDQLIFDGFSLCVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLQLDSVRGKIGLVSQEPLLFMTSIKDNIMYGKEDATFEEIKRAAELANAANFVDKLPNGYDTLVGQRGAQLSGGQKQRIAIARAIIKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGPHDELVLNPDGAYSQLIRLQESHEEEQKVDRQRLDPRSKSASLSFKRSISRGSAGNSSRNSFTLPLGMPGAVELPEENDTHGENQRELDSDGEVPKKAPMGRLARLNKPEIHIILLGSLAAAVHGVLFPMFGIMIASAIKTFYAPPDKLRKDSSFWALMCVVLGILSIISIPAELFLFGIAGGKLIERIRSMSFRSIVHQEVAWFDDPKNSSGALGARLSVDALNVRRLVGDNLALTVQIVATLITGFVIALIADWKLSLIILCVIPLVGIQGYAQVKFLTGFSQDAKMMYEDASQVATDAISSIRTVASFCSEKRITTIYDHKCEASMNQGVRTGIVGGIGFGFSFLMLYLTYGLCFYVGAQFVRHGQSDFGGVFKVFFALVLATMGISQSSAMATDSTKAKDSAISIFSLLDRKSEIDSSSNEGLKLDDVKGNIDFQHVSFKYPTRPDIQIFSDFTLHIPAGKTVALVGESGSGKSTVIGLLERFYNPDSGTVSVDGVEIKSLNVNWLRDQMGLVSQEPVLFNDTIRANIAYGKDGEVTEEELIAAAKASNAHGFISSLPQGYDTTVGERGIQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDHVMVGRTTVVVAHRLSTIKGADIIAVLKDGAIAEKGRHEELMNIKDGVYASLVELRSASS >cds.KYUSt_chr1.19861 pep primary_assembly:MPB_Lper_Kyuss_1697:1:116945685:116952058:-1 gene:KYUSg_chr1.19861 transcript:KYUSt_chr1.19861 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAHHAETSNQVSQAVEREEGEWSDADCASDTAGSGVSNKEELAGMANIEVKGESLERESATVKSADVIKDDAAADPSDTEMVDVSKDPLLRAPTGPESTKNSECKGNQSGDDLDQGNKLKDVKGVEASYALKFTNNPAKRPKLDEHKVAMLGKKRARQTVFINVEDAKQAGTMKTITPRRQSSFPAPIVTRTVKEGSRGVGERATEKPSQPVVRDQKQSELIGSERSNSADPSDQNGEPNGDVELGSHGRSKKMNAEEPPSDCYQQSVPRQASSKQPMDPKQFKSRPVSSQRAVLTGQNTADQKTANKRSLVPKRQASGSNTQYNDSSVERLIREVTNDKFWHNPEEEELQCVPGSFDSAEEYIKVFEPLLFEECRAQLYSSYEESLEAASRDAHVMVRVKSVDRRERGWYDVIVLPTHEYKWTFKEGEVAILSFPRPSSAAQSSRSNRKTAPSVEDAEAESGRLVGTVRRHMPIDTREPIGAIIHFYVGDSFDSSSEANVLKKLQPRSTWYLTGLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYQEQPPAMPDCFTPSFSDHINRTFNGPQLSAIHWAAMHTAAGTSNGVVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQYYYAALLKKLAPESYKQVSSTTNSTSETFAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKVYRPDVARVGVDTQSRAAQAVSVERRTEQLLMKGRDEVIGWLQQLKGREQQLSQEIGLLQRELNMVAVSGRSQGSVGVDPEVLAHRDRNRDILLQKLAASVESRDKVLVEMSRLLILEGKFRVGGNFNLEDARSSLEASFANEAEIVFTTVSSSGRRLFSRLSHGFDMVVIDEAAQASEVGVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIRDFPSRYFYQGRLTDSETVVKLPDESYYKDALMSPYIFYDISHGRESHRGGSSSYQNVHEAQFALRLYEHLQKLVKANGGKKASVGIITPYKLQLKCLQREFEEVMNTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALVQSEDWAALVADAKARKCFIDLDSIPKDFLAMKVSSNTPGRNSSNNTRNMRAGGPRPRHLDMLPDSRIGMRSDDDERVNSVSRNASNRNLDDLGRPGDRSRENLQFGLPRRPNSSNGSRREV >cds.KYUSt_chr2.3844 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23162274:23166043:-1 gene:KYUSg_chr2.3844 transcript:KYUSt_chr2.3844 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSMAVTAVASLCCVGLCSLVLYLYRILWLAPERIRRELRAQGIRGPPPSFPYGNVADMRQAAADVAAKRREDAGGVTGIVHDYRPAVFPYYEKWRSEYGPVFSYSVGNMVFLHATDPAVVRDVCLCVSPDDLGKSSYMKTTHRPLFGDGILKSNGDAWARQRKLIAPEFFHDKVKGMVDLMVGSAQALVRAWEDRVAGSDGGVLDLNVDDDLRAYSADVISRTCFGSSYVRGKKIFAMIRELQKTVTKPNLLAEMTGLSFLPTTSNRAAWRLNRRVRSLILDVVKESGGRDEDDGPNLLTAMLRSAEAEAGSVAAAEDFVVDNCKSIYFAGYETTAVTAAWCLMLLALHPDWQRRVRDEAREALAGGTAPDSLSLQKMKQLTMVIQETLRLYPAGSVVSRQALREVTLGGVRVPEGVNIYVPVSTVHLDEELWGPGAREFDPERFAARPQLHSYLPFGAGARTCLGQGFAMAELKVLLSLVISRFEVALSPAYRHSPALKLVVEPEHGVRVVLKSVRAAP >cds.KYUSt_chr4.38965 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240584101:240584793:-1 gene:KYUSg_chr4.38965 transcript:KYUSt_chr4.38965 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGDAVPAEAMRCRRRRWPRPSCRKEEDISEGTEFARRGLVDILNTILDMGKVESGKMQLEEVQFSMADVLEESMDMVNVVGIYRGVEVVWDPCDFSVLRCDAVIGDCKRFK >cds.KYUSt_chr6.28700 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181889989:181891475:-1 gene:KYUSg_chr6.28700 transcript:KYUSt_chr6.28700 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKVAIKLPFKTRFTATRMMQRGGGAEDQAGSEPSAFELPVTLGIEKMTPKPSYTTDIGGWSSRDDWLADYGLHKVGQYTLTITITITGKPNIKWNYVAGYESTK >cds.KYUSt_chr4.47778 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295771477:295772094:1 gene:KYUSg_chr4.47778 transcript:KYUSt_chr4.47778 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCFSRLRRPASTAGAPAPVQHPCDDASTSATSTSTTPTSPCSSSSSARFKKASLRDGGSRGREVDGAVAKEYLSSAVTVDSGLSSAIASRRFFLASPGRSNSIVDSSAHAGVIGLGAAGVAVPTYSPDPHADFLKSMEEMSAALQLDARRRGDRARLHELLLCYLALNDKRAHRYIVSAFTDLLLRLTATTDDLELEHEQDAL >cds.KYUSt_chr3.25888 pep primary_assembly:MPB_Lper_Kyuss_1697:3:160826036:160829246:-1 gene:KYUSg_chr3.25888 transcript:KYUSt_chr3.25888 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPDVRGLRADQPTPYPYFDWSAVQAYYGPGFVPPTYFGPGLPPGHAPPPYMWGPQMVNPLSAEPAKSVNSNANSRNMIVKEVDGTAMSIGSTDSEKTSGDCSLGGSSDGNNQKASGTPKKRRVDNRHISGEPGRLATLPNLRIPDRSIKPNACSVSDFGVIGTPISAESPDQDGRESKRERRKQSNRDSARRSRLRKQAETEELAIKVELLTAENTSLRREINRLTESSKKLSSENSALMVKLTDATPDQRQEVYPDQTTEQPARAVKNFMPMIDTTTPSRSSGHMAMGHGAPKLRQLLGSGLAADAVAAR >cds.KYUSt_chr4.47335 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292877046:292885366:-1 gene:KYUSg_chr4.47335 transcript:KYUSt_chr4.47335 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEQLNVLKALDGARTQWCHFMAIVIAGDCDRRALVTMEMQKATTIAMKWYYCVLAPSSALRADTTCGPRACYVAVPFLNGELSEEVYMQPPPGYSVPDVMVCRLRRSLYGLKQAPRAWFECFSSVVIAAGFSPSAHDPALFAYTSPRCRALLLLYVDDMIITGDDPEHIAFVKARLREQFLMSDLGPLRYFLGIEISSTADGFFISQEKYIQDLLSRADLSDDRTVETPMELNVKLWPTDGTTCPNFQKPKIKRIVQSDIHMSSSNLAPFQDITNKQTQRDPKEVKRQRERERYAQNKDEILKRRRELRELKKQSTTTVNDENMFCPTQAITQSGVTQIQTEGMAPVQRDASQISVPHTSQQHEVFSLVCDDDESEWLHRNDAYRMQVTARGNAHTTGSPTQLSGCSNVSLDLPAASGSRSGMSAPVNILTNKCNYPSREGKNKQTGRGWYARLSDDKKAEHLQRLRIARQEKKEATRKDADMSQRSAAYFPSSPAIPLSNVTNRLTNDTGSTYVSMTRNFQTPCRDSTMKHVSTSAEFNGHQSFLEKNASSTDKKRQHDREIYSLMSDEQKQECLRKNREYKKCRHGSGANFTQQTTPAMEAQSSKHYQTAFLEDTNSDEDFDPTGIFEPNEEGVVFEDNLDTFQEEEAIHNNDEGCRIFSATGDVFDSWPVTCGIPPCNQNADPYDYVYHNLPTKHHVLKPVDDCEHCGAMRLQYEGPAFCCRKGKVKIVTPEVPDELHRLFTSQVDADAKYFRKHIRYFNTHFSFTRLGVTLDKTVSNAARTGVYTFVAQGAMYYKMDDLVPGGQGPRNLQLYFYDTDETLDIESSGLQILISISYGRSWRY >cds.KYUSt_chr4.17249 pep primary_assembly:MPB_Lper_Kyuss_1697:4:107911461:107913559:-1 gene:KYUSg_chr4.17249 transcript:KYUSt_chr4.17249 gene_biotype:protein_coding transcript_biotype:protein_coding MATELAYAPPMKAGKEGFQGTQDPQHRIRITLSSKSVKNLEKVCADLVKGAKDKSLKVKGPVRMPTKVLNITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSPDVVKQITSITIEPGVEVEVTISDQ >cds.KYUSt_chr6.8786 pep primary_assembly:MPB_Lper_Kyuss_1697:6:53993104:53993773:-1 gene:KYUSg_chr6.8786 transcript:KYUSt_chr6.8786 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKFGSFKSESKGDPAAAAGAAAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNADDPVASDKFQEATFSYNILSDPDKRRPSKQIVMNWSWTCRVSTL >cds.KYUSt_chr2.46562 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291049010:291051275:1 gene:KYUSg_chr2.46562 transcript:KYUSt_chr2.46562 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAVKASAPEKKTVKRRGRPPKSGGKKSQLALLGGCAPGNAFAPHVLRINQGEGHFEIISLKGSYLLSDEGCSGNCNGGLSIVVSTPCGSLFGGSVGGPLIAADPVQVIAGSFNYMVIEEKEPSTSESELSDLKVPWEMDAMPYEAPFSPLPQFGWSSLEDVELGRHGFDLTDG >cds.KYUSt_chr6.4361 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25251165:25255511:-1 gene:KYUSg_chr6.4361 transcript:KYUSt_chr6.4361 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFSKQIAVRAGGFSAIVVVGMAVFGVALLYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGATMAERCKIEDASGFILFPLVVHSFDLVVSSVGILSIRGTRDSGLISPVEDPMAIMQKGYSITILLAVLTFGVSTRWLLYTEQAPTAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLAQSSSTGHGTNIIAGVSLGMESTALPVLVISVAIISAFWLGRTSGLVDESGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAQLPFKEVDIAIPEVFVGGLLGSMLIFLFSGWACSAVGRTAQEVVTEVRRQFLERPGIMNYTEKPDYGRCVAIVASASLREMIKPGALAILSPMAVGIIFRILGHATGQPLLGAKVVASMLMFATVTGILMALFLNTSGGAWDNAKKYIETGALGGKGSESHKAAITGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >cds.KYUSt_chr4.4372 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25025361:25028226:-1 gene:KYUSg_chr4.4372 transcript:KYUSt_chr4.4372 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDHSPTGSLIEYSDDIEEAMSPNRSVAAVAVKDGDASVYKIIHGFLKQKNNSIIRVAAKVAKKAASNKLSRKTSDVFDTLIQQQQSKWGNKTGPLLSGISYCIASCSMILLNKVVLSSYNFNAGVSLMLYQNLISVVILMALELFSVISTEQLTWKLIKVWIPVNLIFVGMLVTGMYSLKYINVAMVTILKNMTNILTALGEIYVFRKGQNKQVWAALFMMIVSAVCGGMTDLSFHLIGYTWQILNCFLTAAYSLTLRRLMDTAKQSTKSGSLNEVSMVLLNNALSIPFAIILIVVFNEWEYVYQTEVIREPMFWFFATASGLLGLAISFSSVWFLQETGPTTYSLVGSLNKIPISVAGVLLFQVPVSVENLFSIVFGLFAGIFFAKAKMSKS >cds.KYUSt_chr5.14258 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92526566:92527800:-1 gene:KYUSg_chr5.14258 transcript:KYUSt_chr5.14258 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEDPVGVVGGPSPDVDRGDDRGSGGLGRPRDERWGGGVLPVETVPTQTVVGARQQQQVDEVPASVGVEQSLVRWPPGGAGRCPSPASSSSSERSSSPSDVAASANRPQCEPHQRHEESSPSFHEVDGGSRWPKGNPVLWAVDEELCSPRGPLSPGGPLSPSSSDFSRGSGGFGSPLSFFTVELSQVAAPGAALVELEEGEITPGAAAVVPGADAAAALLEDVVVSPPAAAPQVVVVAPVSMGQAPSSATSTDLDLRLASFCDRCREQPVALLPKPVPKHPRKKRVVPSVVRRSRRVAGRFAAGTPIKQQQKSLMLQLGIACEGEVIGDEAPEAYLSYFKKPMSEWLCLVGCHRHYHLLEWRRI >cds.KYUSt_chr7.33904 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211769597:211770550:-1 gene:KYUSg_chr7.33904 transcript:KYUSt_chr7.33904 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPSGFNLRDHVLVVFVLALLSLAHHSMGARRLMELYEPESSERLTYHNGTVLSGDIPVSILWYGNFTAAQKDIVSDFLFSLAAAPGAYPSPSVPQWWSSIVQLYHSKVKAVGKKGAEKTRVFLSGNFSDEGCSLGRSLTLSQLPALASAAKPAKGGVALVLTAQDVAVEGFCMSRCGMHGSDAKAGTTYVWVGNSAAQCPAQCAWPFKEEDPAVVPPNGDLGMDGLVINVASMLAGAVTNPFGDGFYQGERQAPLEAATACQGVYGTGAYPGNAGKLLIDKVTGASYNANGARGRKCLLPALFDPLTSGCATLV >cds.KYUSt_chr7.320 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1859323:1861083:-1 gene:KYUSg_chr7.320 transcript:KYUSt_chr7.320 gene_biotype:protein_coding transcript_biotype:protein_coding MALWTGLGQAATVAQLVGADVGGLISMIMQAALTARQNRKECEQLARRVLMIAQLLPHVQDPEAAQQLAGLGDTLRDAHELVVSSQGRSAAYQFVMAGRQAERFKEVQSKIDFYLILFPVISHIGITRRLERIYNVLVQDDSTRADPSSQLPLSSQLQDSTEVTQEEVVPHGTQEFTLAEIMAYTNKFSCDNLISEGSHGNVYRGRLHDGREVAVKRMVNMQLTNLHEEFDTELAILSGLQHKHIIRLLGSCVTLSKDKRLQATTLKRKKGLLKRWRKEPEESEEPEVLERLIVYEYMENGTLFNHLHSDHGSSDLSHVTLSWKMRINVLLGVSRAMEHLHCHANPPIIHRDIKSANILFDANWVPHLSDFGLSVAWDIASDESDMEVSGIAGTYGYIAPEHIMNGRLSLASDVYSLGMVMLEVLTGKKAYSEEVTFADLPTVPCHLDMRPVPEPTPWQLQALKRVAWTARCCVKLDAKDRMAISEVVANLEKAHELICRDEPGSVDEPDLFDELPDSPRASSVSSEGYRSDLVHYKPIHVYSY >cds.KYUSt_contig_2887.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:2450:5417:1 gene:KYUSg_contig_2887.1 transcript:KYUSt_contig_2887.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVDLQGVKDTEGRNTLHLAASKGCLQSCIFLLETCAVDVNSLSKSGETPIYYAALNGDIQLVKYLLDHGGDPAMPNEKGCTPLHAAAQEGLLSHFLDGTPLHAALGKDKAEAVKVVAEHGADAGADLNAHCSFGPTALTHAIFHGSMDCLKLLIEAEPILIFLSKHGNIPFGMAAAYRHRDLVEVLFYGTKPDPKVPDWSVDGIMRALNQACTYFFYHFIPGWYYEA >cds.KYUSt_chr6.2777 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16297165:16298472:1 gene:KYUSg_chr6.2777 transcript:KYUSt_chr6.2777 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRHLNLSGNAALRADAAGDLPKLPRAIWTFDLSDGGLAGSFPDDMQLGQYYPNLTDVRLARNNLTGALPGRLLVPSTIQTFDVAGNNLSGDIADVSFPETLVLLDLAGNHFAGAIAPSLSGCAGLQTLNLSYNALSGTIPESIGDIASLEVLDVSSNRLTGAIPRSLGACSSLRMLVASSNNISGSIPESLSSCRALRLLDVSNNNVSGAIPAAVLGNLTSLETLLLSNNFISRPLPSTISACNNLRIAELSSNKITGALSADLCTPGATLEELRMTDNLLTGSIPPGLANCLRLRVIDFSINYLTGPIPPELGQLRALEKIMTWLNNLDGRIPVELGQCRSLRTLILNNNFIGGDIPVELFSCTGLEWISLTSNMISGTIRPEFGRLSRLAVLQLANNSLVGAIPKELGNCSSLMWLDLNSKRAWFSTLCC >cds.KYUSt_contig_2792.154 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000505.1:681834:685783:1 gene:KYUSg_contig_2792.154 transcript:KYUSt_contig_2792.154 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAMDPSVQTAAGKDQARGEAAAETRKSRVGTEDPVEEMMKNLKLTAAEADRLVDDDEDEMEKPMWALAGKTLSEPKVYHINTISAALRPPWGNPKGLFFWDGGKNMFIAELDSERDRDRIWERSQWTVNKCAVVLENFHHRSRPSEMRFDKLMIWGVHKDGEKIAVKVLKNDLDLDDMEFEKEYHNIASLHHKNIVRLIGYCHETRKEFMTHNGRTVFAEKTKRMLCFEYMHNGSLDKFISDESNGHNWLTRYAIIKGISEGLEYLHEKLNPPMYHLDLKPANVLLDENMSPKIADFGLSRLVGGDKTHFTENRIGTLGYIPPEHIDAGAISTKSDVYSFGVVIIKIMAGPDGYRRSSEISSQQFIDLVR >cds.KYUSt_chr7.19273 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119474807:119479802:1 gene:KYUSg_chr7.19273 transcript:KYUSt_chr7.19273 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLEEELPPPPPLPPNVVPIRAEDAAAADANDTPPDKPTKPKRLLMVRPGLGKKGRPIQLLANHYKVSVKSSEEFFHHYNVIMKYEDDTLVDGKGVGRKVIDKLQHTYRAELLNKDFAYDGEKSLFTIGALPQVNNEFTVVLEDIGTGKSAANGSPGGNDSSPGGGDRKRVRRPFQTKTFKVELCLAGKIPMAAIAQAIRGQESENSMEALRVLDIILRQHSAKQGCLLVRQSFFHNNPDSFVDLGGGVMGCRGFHSSFRGTQSGLSLNVDVSTTMIVKPGPLIDFLLANQKVDHPNRIDWPKAKRALKNLRIKAAPTNSELKIIGLSDRNCNEQTFPLRQRNGNNGDTNTVEITVSDYYRVHRGIELKYSDNLPCINAGRLKRPTYFPVELCTLVPLQRYTKALSTLQRSSLVEKSRQKPQERMSTLNDALKRSNYDSDSMLKACGISISQNFTQIEGRVLEAPKMRAGNNEDFLPRNGRWNLAHKKLIKTCAISRWSVVNFSARCDVQGLVRDLKRLAIGKGLEFEDPHAIIEENPSKRRAPVSVRVEEMFEQIKQKLPGAPRFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPARVNDNYLNNVLLKINAKLGGLNTLLQVEVSRGIPIVSEAPTIILGMDVSHGQPGQSDRPSIAAVVSSREWPLISRYRATVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDQVIIFRDGVSESQFTQVINIELEQIIEACKFLDEKWEPKFTLIVAQKNHHTKFFQTGSPENVPPGTVVDKQVCHPKNFDFYMCAHAGMIGTSRPTHYHVLHDEICFTADALQEFVHSLSYVYQRSTTAISIVAPIAYAHLAAAQVGTFMKFEDMSDASSSQGGGGHTSAGSVSVPELPRLHEKVRSTMFFC >cds.KYUSt_chr5.16244 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104611061:104613777:-1 gene:KYUSg_chr5.16244 transcript:KYUSt_chr5.16244 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRRLPPAALDRLPPFTVQAPPAHRGAAPALVAPPLLHLRRARHRRASCLVEASHAATVASCLLCHAAAAAASPAAGPWARMPPDPPLRRLPLLGGLAAALPPAGCDGVHAIALPGPLLRGRCRPAFPGLQVEGTAVEGSGQRRGELAYTTRVTEKCDVYSFGVLALELFMGHHPGDFLSSMANRSTELEDLLDTRLPLPEAEIASEIFEVVVVAVRCIEPDPSLRPTMQEVIKVFSTTEGPDYCLDYLHTDIAIPPCWS >cds.KYUSt_chr5.43238 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272606223:272608394:1 gene:KYUSg_chr5.43238 transcript:KYUSt_chr5.43238 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLLGASVGGKGGEATARSAPAWLRRAIDTEEAWAQLQFAVPMVLTNMFYYAVPLVSVMFSGHLGVVHLAGATLGNSWATVTGYAFMTGMSGALETLCGQAYGARLYRMLGLYLQSSLIMSAVVSVLISALWLFTEPLLLFLRQDPEVSVAAAVFVRYQIPGLFAFSFMQCLLRYLQTQSVVLPLVICSGVPFALHVALNHLLVNVLGLGLAGASASISATFWFSCLLLLGYVMWSREFDETWKGFSVDAFSYVLPTIRLATPSAIMVCLEWWAFELLVLIAGLLPDSTVSTSLIAMCASTEAIAYMITYGFSAAVSTRVSNEIGAGNIVRAKNAVAVTLKLSVFLGLSFILLLGFRHGLWASLFSGSSVIAAEFAAITPFMMMSIVLDSAQGILSGVARGCGWQHLAAMTNLVAFYFVGMPLAILFAFRLNFNTRGLWLGLICGLTCQTSTLVVITIRTKWSKIVDAMQQEKVNYVA >cds.KYUSt_chr4.6018 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35326442:35332624:-1 gene:KYUSg_chr4.6018 transcript:KYUSt_chr4.6018 gene_biotype:protein_coding transcript_biotype:protein_coding MREERDEYDLVRRYFDEGVSGLSWAGAVGPIVRLLGGLHGQVSPGIEALISLNLKIAGDLNLKIAGDLRMRHDHVHLCVIILALFLVAWTQGVDGATHHPAVMGGNDQYWQRHSQTADPVVVFDDDEQVTANRPPAPAGAASNGTVVVKTHTEYSAVARNSSSDSFAVLVHLKAPGMTDAGSAGDAQPRAPLDLVTVLDVSGSMHGQKLALLKQAMRFVIDNLGPDDRLSVVSFSSGARRVTRLVRMTDAGKALAVSAVDSLKAGGGTNIAAGLRTAARVLDERRHRNAVSSVVLLSDGQDTFTTVRRWGSEAGSNYEALVPPSFLRAGGEWSAPIHTFGFGKDHDAAAMHVIAEATGGTFSFIEKEAVIQDAFAQCIGGLLSVVVQEARIAVTSVQPGVRVVSVRSGRYESRVHEDGREATVQVGELYADEERRFLVFLAVPRAKESDGDTVLVNVVCSYRDAATGGNVSVTAEKAVVARPEDAGGAERSLEVEWERVRVQAAEDIAAARAAAEQGAHEEAVKILENRERLVAQSGNGDAVIVGLAGELREMRERVSSRERYEGSGRAYVLARMSAHAQQRANLRQQQESFEASGEAAPDEATLSYATPAMRAMLRRSRGASVEQNLRESGEEVAQSYYGVPL >cds.KYUSt_chr2.5943 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36909865:36915753:-1 gene:KYUSg_chr2.5943 transcript:KYUSt_chr2.5943 gene_biotype:protein_coding transcript_biotype:protein_coding MLRENNVNLGKVYIIIGSFFGKMENVPFTKRALRTFCGKISSEQADDDVRKTIEVFSEITELPREADTAERPGNSVGNGKLFAQVQLYLNCMEVVRLGDVNVDAYTTAMESIKGLVPKLKKVDVEVDGLGLEERLVAKKARVDGAAAQMVVQYNHQVHRAPDAISLDAVPPSKNRSGGRPTSSCDKPSYKTTSKRTRFCTICRLPGTRAQPAQTALQVLSKMLELRVARHPKLYMGTLLYPNSGLSLSPLSPEAMAVTPSRKVTPQPACGQCFPIPIPVRRHRQRRHLHRQQHVLHRQPIHAPPAIHVLDPCSRAGGQDLEVDQLEALPRDQSLVPYSPTD >cds.KYUSt_chr1.31303 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189947257:189949209:1 gene:KYUSg_chr1.31303 transcript:KYUSt_chr1.31303 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARNFIPDPNEELLDIKPLRSLAPMFPAPMGVNIIQSSTPPLVCVTPVGQFPTGFGAGNLPAFGSFATFSATANGFSHAGTSANGPTDATPISAYKTRSSGGTSEHKAKRSSGLAADGSNGVKAKRLRPNYKNLVAGKEIAFLPASNSNPRDTVEAVHMIFEALRRRHVQMDETQDGRKCANMKAGTIMIANNIRANAGKKVGPVPGVEIGDIFYFRMELCIIGLHAQSMCGIDYIGNVEDSVAICIVAAGGYENQDDDTETLVYSGSGGNSRNTEERHDQKLERGNLALERSVHRKNEIRVVRGFKDPACVSGKIYIYDGLYKIQESWKERTKFGINCFKYRLQREPGQPDGAAIWKMTQRWIQDPSTRGTVILPDLSSGAEIIPVCLVNEVDHEKGLGHFTYTNEVKYLRSLTSVRPLLGCQCESVCLPGDTSCACGQHNGGDLPYNSSGVLVFRKPVIYECGEACHCTLNCRNRVTQKENRYHFEVFRTTNRGWGLRCWDPIRAGAFICEYTGEVVDGLNNLDDYIFQTACPGDKTLKWNCGSELLGEESTYVSADEFEPLPIKISAKRRGNVSRFMNHSCSPNVFWQPVQYGHGDDKHPHIMFFALNHIPPMTELTYDYGVVGAEPTRRTRNCLCGSSSCRGVF >cds.KYUSt_chr3.45803 pep primary_assembly:MPB_Lper_Kyuss_1697:3:288416714:288417751:-1 gene:KYUSg_chr3.45803 transcript:KYUSt_chr3.45803 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLHAARTLVGRLRGAAAVRDATATAAAVAELRRASKDDPEIRAPLADAGAVPYLASQLTSPSTSASAEDAAAALLNISISAREQLMSAPGILDALAAAMRAEEYAAAHHAAATVASLLCVDAYRPIVGAKRPLLAALVALLRGSGRGTRATKDALKALFGVALYPLNRAALVELGAVRALFALVMTDGRTGIVEDATAVVAQVAGCAESLAAFAGVSGVRILVDLVEPGGAATGRARENAASALLNLVMAGGERAAAQVLAVGGAEEAVRELAEDGEASPRGKAKAGSLLRALEEGAAVVKRQREHRFADFLNGLVHSDPYFSSPSPASATAHDDGSRVTLG >cds.KYUSt_chr6.25341 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160512328:160513324:1 gene:KYUSg_chr6.25341 transcript:KYUSt_chr6.25341 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSRLRLVTVDVTGTLIAYRGQLGDYYCTAARSAGMPCPGYTRMHQSFNVAYADMARRHPCFGHASGIPDVDWWKMCVRDSFARAGYEYDDGTFEQIFRRIYSVFGTPAPYSVFPDARRFLRWLRDEKVTVGIISNADHRYRDVVLPALGLNKGLEWDFGVFSGVAGVEKPDRRIYEMALEAAGGVAPEQALHIGDSMSKDYAPARAVGMHALLLDRFRTADAERWRRAGAPVLPDLVSAQHWLTTGDHSPPAVEEPRTAERD >cds.KYUSt_contig_257.441 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:3213526:3215869:1 gene:KYUSg_contig_257.441 transcript:KYUSt_contig_257.441 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPIDCPTMKCGGGVVAPGNSNVGAAAAAKLRKGLWSPEEDERLVAYMLRSGQGSWSDVARNAGLHRCGKSCRLRWINYLRPDLKRGAFSPQEEDLIVNLHAILGNRYIEPVVSDCCQAAGAHRQRDQKLLELHHQEAAQDELRGFVSGDNGMRVTAGSQARRWQCQLPRPHQLRRRRPPRNEERVADGLVLLYLLVLVDAAAEPAVDDSCGGGQGLRRPPPPLPDQVGGVAPSTDTSALPFFHDHASFKQVDPFHGGSYYNHGMAMGGASGCFTAEEAAVSVGSEHGVLFNVPPLLEPMAVALQGQTLMASSGKDTNINHKKINMSAEATTLSSNNGSNITDSNNNKNNVNSVVSYWEQHGHQQHMSRNVVMGEWDLEELMKDVSSMPFLDFQVE >cds.KYUSt_chr2.7063 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44235562:44237623:-1 gene:KYUSg_chr2.7063 transcript:KYUSt_chr2.7063 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSGARRCPRLFFTGAMHAMAGESKTMLPRDHPSSAIDLLLMRKALLETGAALEKAVREVYLDLLQPTMTVVDLGCSSGQNTLIFVSKVIEVLGHASSNKQKSGCGLVELQFFLNDLPGNDFNHVFRSLEEFRKSTAAEHEGNTLLLPRFYIAGLPGSFYTRLFPRQSCHLFHSSYCLHWRSQVPAGLEGGVKEYVNEGNIYIDQTTPPGVVELYRQQFQTDMLLFLKSRYEELVLGGQMVLTFLGRKYEDVYNNGYLNHPWGLLARSLQSLVEEGLVEKEKLDSFNLPVYTASINEVKAVVAQSEVFDINHINLFETSWDPHGDLEGDEIQNSTQSGINVSKSLRAVLGPLLASHFGESLLNELFDKFAYHVAEHLEKEKGKYLLIGVSLKRT >cds.KYUSt_chr1.19091 pep primary_assembly:MPB_Lper_Kyuss_1697:1:112092070:112092684:1 gene:KYUSg_chr1.19091 transcript:KYUSt_chr1.19091 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDTKLADAENPAATKFSDDSDVDFAGRANWLRAAVLGANDGLVSTASLMLGVSAVKHDVRAMVVSGFAGLLAGACSMAIGEYVSVCSQRDVELAQLDRDGKRGGDEERALPSPVQAAVASAFAFSVGALLPLLAAGFIVGYRQRVAVVVAVATMALAAFGYVGAVLGRAPVARSCARVVMGGLAAMGITFGLMRLFRELAE >cds.KYUSt_chr4.33051 pep primary_assembly:MPB_Lper_Kyuss_1697:4:202545703:202547037:1 gene:KYUSg_chr4.33051 transcript:KYUSt_chr4.33051 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTKYHFNLSPSGSPALAGGGSLVMAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVTTGAKEDRQLMTGLHTVADIHCRDCREVLGWKYERAYEESQKYKEGKFIFEKAKIVQENW >cds.KYUSt_chr4.18899 pep primary_assembly:MPB_Lper_Kyuss_1697:4:118644531:118645318:1 gene:KYUSg_chr4.18899 transcript:KYUSt_chr4.18899 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDGVWVMAFGWRRWLPLGRCVAGKSGARHLHGGASSSDGKRNRGIGSRLLRWAQGRAREMSMLVRETAAGRGSGRCRRGGHFQSACTFEPLCILYSGEGHSFARSPTMGRSFKLQTMGQAITGGGFFNIDVEPLKSGQRKGEIFSAVIKFNTVPVSEEQLYYELNHLVDELWDWVVKRVSETEFSVVFLTRQTLRLSTGSGNLYLLMSAESTPLGNPKRKV >cds.KYUSt_chr5.30079 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190766516:190769795:-1 gene:KYUSg_chr5.30079 transcript:KYUSt_chr5.30079 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRILLAALAVLATAAVAAASFADSNPIRPVTERAASTVESTILGALGRTRHALRFARFAVRHGKSYESAAEVQRRFRIFSQSLQEVRSSNRKGLSYKLGINRFSDMSWEEFQAAKLGAAQTCSATLAGNHLMRDASALPETKDWRETGIVSPVKDQASCGSCWTFSTTGALEAAYTQATGKNISLSEQQLVDCAGAYNNFGCNGGLPSQAFEYIKYNGGIDTEESYPYKGVNGVCKYKAENAAVQVADSVNITLNAEDELKNAVGLVRPVSVAFEVIDGFKQYKSGVYTSDHCGTTPDDVNHAVLAVGYGVENGVPYWLIKNSWGADWGEDGYFKMELGKNMCGEYSQMQLHILQQDYVAFYSSV >cds.KYUSt_chr3.9141 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53362116:53364194:-1 gene:KYUSg_chr3.9141 transcript:KYUSt_chr3.9141 gene_biotype:protein_coding transcript_biotype:protein_coding MMTASCSGFSPLMAPALPLLGDQRKKVADSICMRSTPLPTVRAYEIVASDSICVDHLLVAQPTGDDVGKGLAELKIEEGTSVKLSGEGLPVPVYRFEKAGLVEKIVQNMFMSGFKAPTPVQRYSIPLALAGRDLLVCAQKGSGKVAALCIPVASMLLSRTPPAGGQYGRPRALLLVPNDRIAEEIVRDTRKLVYETGIRVVHSRKNQNFQIHELEAGVDIFVSTPGRLVDLLISNEITLEAIEYLVICDIGRLLDLGCETKLREIFGHTYIGKPPRQTLLSSDTFEPEVQNFARNFLSDYLLITDGMLEFNIGLTSQNIELVSQGEKRDFLLKVLQKQSFHCAGRVHQSATLVFVETKEEADSLSNWLNNESFCSTVSTVIFGDCSEQEMSSALASVMYGFNPILIAGDATSLDLDVGSFTYVINYDLPKSIEEYIERIRKFRRARRVGSITSFFTESNQYMAKDLWELMIEIKLEVPSWLVDYADPDYSCRFKELKLQDEENEKYRHDLAGSLLSREINTIYDLVDVYAELNCKNKKNSSFEDDIKRICRDCCIAFEQQEEHKLLKFMFVKLLQHSFIPEKYGKSYRHYNFIAKIKEDESGIWTEGTYFAESKLEGGVQQYFCCLLEQFDKGECYGCQNENAVIQHPTTGDYQRGTPDYVWPFNYGAEISDSDDAEISDSDDDLMDLYVLD >cds.KYUSt_chr2.9515 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59974204:59975178:-1 gene:KYUSg_chr2.9515 transcript:KYUSt_chr2.9515 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLKLSIALTCALLLSSACHGLQVGYYKKSCPRVEAIVRDEVKKFVYKNAGIGAGLIRMFFHDCFVEGCDASVLLDPTPANPQPEKLSPPNFPSLRGFEAIDAAKDAVEKACPGVVSCADIVAFASRDAAYFLSRMTVKINMPAGRLDGRISNFTQALSNLPPPFFNITQLIASFAAKGLNTEDMVVLSGAHTIGVSHCSSFVSDRLAVPSDINAALAGILRRQCPANPTAANDPTVHQDVVTPNALDNQYYKNVLAHKILFTSDAALLTTPATTQMVLDNANIRGMWEDKFNKAMVKMGAIGVKTGNQGEIRRNCRVVNH >cds.KYUSt_scaffold_869.198 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1212867:1214775:1 gene:KYUSg_scaffold_869.198 transcript:KYUSt_scaffold_869.198 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGTPGLALAVVCAMFLLPVLAMAQLQVGFYQRTCPNAETLVRQAVATAFAKDAGVAAGLIRLHFHDCFVRGCDASVLLSTNPGGGKTERDAIPNNPSLRGFDVIDAAKASLEQSCPRTVSCADILAFAARDSITLTGNVFYPVPAGRRDGSISKEQDALDNLPPPTFTAQQLIDRFKNKTLTAEEMVLLSGAHTVGRSFCASFVNRIWNGNTPIVDAGLSPSYAALLRSLCPSTTTQTTLITTAMDPGSPNVLDNNYYKLLPRNMGLFFSDNQLRVDGNLNALVNTFAANETLWKERFAAAMVKMGRIEVQTGRCGQVRLKCSVVNPSSSAELGSAVDEEGVVATS >cds.KYUSt_chr3.8754 pep primary_assembly:MPB_Lper_Kyuss_1697:3:50943761:50944258:1 gene:KYUSg_chr3.8754 transcript:KYUSt_chr3.8754 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCAGVRKRKRDAEEVAAVPGEGGGCFSWKELGLGEKEGEYEGVAEESVEEVMRWLETEISSSSSPPGKGDDDGFVTINGNEESCGPSFSAAASTVMASVDTRLGAPPPPTPIPWPWPELNPARSDDGGDQAMPTAADDLVDEEQWLLDLLTAAGPALELFGLD >cds.KYUSt_chr6.28462 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180344019:180345584:-1 gene:KYUSg_chr6.28462 transcript:KYUSt_chr6.28462 gene_biotype:protein_coding transcript_biotype:protein_coding MMMERWAGVGSVLASAIFLWSMVQNHIPPTLRLYLTTWATKVSSYFNPYLHITISEYGAERFQRSDLFLAAEAYLGDACSRRARRLRAELGKDSKSLQVSVDDNDEVVDEFSGTTIWWYASKRQSKANVISFYPGEDERRFYRVVFHRRHRDLVVDTYLPFVLGEGRAVTVKNRQRRLFTNNAGSSWSPYRAKSVWSHVPFEHPATFDTLAMDPDQKEAILDDLAAFQESKEYYAKVGKAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLFIETTGKSIIVIEDIDCSVDLTGKRSKSKKGDKDSDDNDKPKLPTDPEKDDATKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCRFEGFKVLAKNYLDVVIEHELFGEIRQLLEETDMSPADVAENLMPMSKKKKRDPDVCLLGLIEALKKAKEDAAAAKAKEEEEAKEAEAKKAKEKEEAETNKSKEKDEGKDKATEETNGDIKQSDKKQE >cds.KYUSt_chr1.2975 pep primary_assembly:MPB_Lper_Kyuss_1697:1:17787109:17788625:-1 gene:KYUSg_chr1.2975 transcript:KYUSt_chr1.2975 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGDISPDTFLSKPMAPTKGDNMVLEVCVRTFVSTAEDACHDKVTKETIVCFLGALERLAAMSYFMAQDTLANVDVDKDRFEYMGKMATLKHGLNMAQPRYADRVLMYAVSDAMVLTEPFVMSMTTRRRNALRGLMPGIRRAAAV >cds.KYUSt_chr7.33346 pep primary_assembly:MPB_Lper_Kyuss_1697:7:208101486:208103142:-1 gene:KYUSg_chr7.33346 transcript:KYUSt_chr7.33346 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYGARVWRARAAARALPSILHRNYSTKEQRTPWVNPCRPRQLSSHGSTDKLILPVDMLNQAKERVYTPRLAYAVRRRDPELVGPAAHTPRETKHLSDLDDQEGLRVHLSLALFYRGAGGQNGVDPAGLIRRALGEALVHYYPLAGRLREVEGQKPMVDCTGEGVLFVEADADVRLAELEAVGLMPPFPCWDQLLFDVEGSRGVFDCPLLHIQVTRLLCGSFVFALRFNHLICDGIGIAQFMNAIAELARGLPSTTFAPVWSRELLNARDPPMPSFTHREFGRLLQPPPPAGDTVMRSFTFGAPDLATIKKSLPPLLRDTATTFEVLAAFLWRARAAVLELPPGGNAPLMIVVNVRGAADMSLPAGYYGNACVPSTVLVDPEVLRGCSLGDAVALVRQAKAAVTPEYARSIIDEMVMVGRRFLWPANMFVLSDARRLGFDRVDFGWGEPVYAGPADTHFGVSFFITGKDRDGEDQVVVPVVLPWLAMDRFAKEVEKLLNPVKPPLS >cds.KYUSt_chr3.19617 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120719200:120720316:-1 gene:KYUSg_chr3.19617 transcript:KYUSt_chr3.19617 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKASLLAILGCLFFSSVLAARELNDDLSMVARHEDWMVKYGRVYNDAVEKAQRFEIFKTNARFIDSFNASGGKFWLSINQFADISNGEFRATKTNKGYISSTLKVPTGFRYENMSLDALPPTVDWRTKGAVTPVKNQGQCGCCWAFSAVAATEGVVKLSTGNLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTKESSYPYSATDGKCKSGTSSAAVIKSYEDVPTNDEGALMKAVASQPVSVAVDGGDMIFQFYSGGVMTGSCGTDLDHGIAAIGYGTTDDGTKYWLLKNSWGTTWGENGFLRMEKDISDKKGMCGLAMEPSYPTA >cds.KYUSt_chr6.1567 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9547395:9547799:1 gene:KYUSg_chr6.1567 transcript:KYUSt_chr6.1567 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLAAGVILRRCASGWFLLGYLDFHLEPQLDLAVSASSILWWFDGVVWCCCCSLTVASRSMVRSADPRFDDLAAIPCRGSDRRFGRSLATSGIAASRSPPLMLLPGVVLPRISLPSTAASTPPLPRTCALRQP >cds.KYUSt_chr7.12808 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79003837:79005889:-1 gene:KYUSg_chr7.12808 transcript:KYUSt_chr7.12808 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWSRPEPIVPDVFNHFQFPTLIPERDWSELPLDAISYILRKLDLVELVKGSVAAVCSSWRRAARHEPELWRRIDISFRFPGKLDGIARRAVCLSAGQCQDFCGQHLDDDFLLFLAHRAPLLRCLRLKFCYRITDEGFAAAITNFPLLEELELDLCHGIDDTGVFEHVARSCPRMKHITYTKYLGFDFDFDITDPNNDREALAIASMPELRTLQLFRDKLTNAGLASIIDNCPHLESLDLTGCRNITMDDALRAMCSRIKKKTILPSFKDHHASAINYRPIRTLGSIYWRDIADAAAKYIAGDDSVPKESRYSYCYYLGEDDEINLEYYDRILDKSMRRYKM >cds.KYUSt_chr6.32964 pep primary_assembly:MPB_Lper_Kyuss_1697:6:207508124:207508372:-1 gene:KYUSg_chr6.32964 transcript:KYUSt_chr6.32964 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLGKLATLTGKEFAKLTNLRKEVKLFSDELTGMKDALEGLSYLGELDPQTKIWRDIVREMSYDIEDIIDNFMQNNGDSN >cds.KYUSt_chr2.28544 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175154668:175155230:1 gene:KYUSg_chr2.28544 transcript:KYUSt_chr2.28544 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQVLLLAAAAVVASLAAQASAEVYMVGGSAGWTLKYPSSWTDGKNFTTGDSLVFTYTAGQHTVVEVTGAGFKACNMTGNAMLGSWNSGSDTIKLDTAGRRWFICGVGSHCTQGMKLLVVTTGDNKTSSASLNYNVGAGAAALVAGAAAALLF >cds.KYUSt_scaffold_1259.409 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:2755427:2755792:1 gene:KYUSg_scaffold_1259.409 transcript:KYUSt_scaffold_1259.409 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLLLLALFAATLAVTAALGGRDPGPIVGGWRPIEDVTDPHIQELGGWAVTQHAKLASDRLQFRRVTRGEEQVVSGMNYRLFVDAVDGAGTSAPYVAVVYEQAWTRTRELTSFKPAANF >cds.KYUSt_scaffold_1700.483 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:3052468:3052791:-1 gene:KYUSg_scaffold_1700.483 transcript:KYUSt_scaffold_1700.483 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQQRPDGRFGEAAAAMAATAAVWSLLHRLAQGCAAYLGLVRLNGVHLLKAPAGTPPQQDAAVSLDYEPRKEEKKQEVVGVQSRSMVFQRNTKVNQGVRDGGIIY >cds.KYUSt_chr3.29129 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182234208:182237697:-1 gene:KYUSg_chr3.29129 transcript:KYUSt_chr3.29129 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIENQSQVQRPAEPARIPKEARRLLHDLAAAWPNVADCRALEVVPLKGAMTNEVYQVRWLTGGGEALKEREVRKVLVRVYGDGVELFFDRQDELRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAHDLRDPEVSALVAVKLREFHNLDMPGPKHVLLWDRLKNWLKTAKNLCPTDQANELRLDSLENEINTLEKEFSGDYHHLIGFCHNDLQYGNIMIDEETNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHILDYSKYPDVDEQRRFVKNYLSTSGEEAETEEVENLLQIVEKYTLASHLFWGLWGIISDHVNDIDFDYQEYARQRFEQYWQKKPAVLSL >cds.KYUSt_chr1.38100 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232691915:232692643:1 gene:KYUSg_chr1.38100 transcript:KYUSt_chr1.38100 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLGYRCSGLTITAMPWLSMQCTTLTQCVTDISALPTDATDAVHCLREGNALPTNAADAAHCLRQGSALPTDAMHGYLRQQVPTDATDKVHCLREGNALPTSIDAVHYVDVALTIDAMAWLPMQCLHDRCNALATDATPHRSSQCPGYRSSALRIAAMPCLAMQRLADRCSALATDAVPCQLTKFTAYPKSLHCLPTRWTTYWKAVPCLPMQYIVLTQCTCYPSQQCLDDRCNAPTTDAVP >cds.KYUSt_chr4.53734 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332431713:332435235:1 gene:KYUSg_chr4.53734 transcript:KYUSt_chr4.53734 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTATMLLLVLATALLVVATAGADNPTAKEGCQSSCGSVDIPYPFGIGANCFRPGFEILCDNSSGVYQPLLPGATSRYDLGFLNSLLETWFADQVHVLSLSVTPRAEIRVETKVAYECFNTDGTDDGNFAGALNVSANSTQGVYLISNTGNDLYVLGCNTFIYTGSGVPARNAESYYGGCVAYCKDAQAPKDDACEGIGCCHINLPPGLTDTRMKLSNWPHENLPYSPCNFAFIVEKGRYSFKAADLKGMPRNQTMPLVLDWAIRDSQSCSAIKESACVSVNSRCADSENGPGYVCHCSDGYEGNPYIQNGCQDINECQRDPDTACPAHSECTNIAGSHTCPCSKGYERDVDSKTLLCVAKSSKFPLAAKLTVGIVLGLSLIVIALLLVLVFFQKRQLDKSFEKNGGKVLQNVKGLTIFTKEGLKKITRNNSDFLGNGAFGNVYKGTLPDDTIVAVKGSIVVNEESRKDFTDEVEIQTNMIHKNILRLVGCCLEVEVPMLVYEFAANGSLQDILHQKKNQELPLDLRLDIAIGAAEGLKYMHSSTAQAIRHGDVKPDNILLDENLTPKISDFGLSKLNIEGQFAKKVVGCMGYVDPVFLKTGLLTQKSDVYSFGAVLLELITGKKNVYGENQSLIIDFCKVYEKENSGRAMFDMEIANEEDMFILEEISKLAIECLHEDIEERPDMMEVAERLVMLRRDKKLKKTLGTYGSLEDITMAGSPIQQRT >cds.KYUSt_chr6.21970 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138694648:138696843:-1 gene:KYUSg_chr6.21970 transcript:KYUSt_chr6.21970 gene_biotype:protein_coding transcript_biotype:protein_coding MTISTKQQKQRRMMREAGRLPRRPDDEIDELLDHGARLLCDAAGSYGVGVGGLQALTESFCDQFSQALVCVGDSQIPSQVRDSQPKFESKVTPLPVSDLVAQVAAGVAARLATSKKNKNRREVERPLLKTGQERNEGDMPKRQ >cds.KYUSt_chr6.11486 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71297224:71300626:-1 gene:KYUSg_chr6.11486 transcript:KYUSt_chr6.11486 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISGGHLVLSAPPSTRRPQSLPFHPPSARPIAAPGARRGVAAAAVSSPAAVSSLGKEAKQIPKDFLHINDFDKDTIMKILNRAIEVKAKIKSGDRSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFAHQDILDLAKYASVPVINGLTDYNHPCQIMADALTMLEHIGRIENTKVVYVGDGNNIVHSWLLLAAVIPFHFVCACPKGFEPDAKTVEIARKAGSKIEITNDPKEAVKGADVVYTDVWASMGQKEEAEERKELFQGFMVDEALMEMAGPKAFLMHCLPAERGVEVSDGAIEAPNSIVFPQAENRMHAQNAIMLHVLGA >cds.KYUSt_chr1.34086 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207270261:207272522:1 gene:KYUSg_chr1.34086 transcript:KYUSt_chr1.34086 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKHPDFAIAYSKIQDPVNIWFFVSTGSGPGRITWLADTEDKTGDDTADSSVVAWLSTLPCDPSIPSKDAYFNAEDDVPWFVVDFFVLVLVDVWLLNVPACVVDPLGGGADILGSDAAASDPMSTVVDPLADPAASGNLCATAPDPLGAAFDPFSVVDPVDAPVASCTFRAAAAAAEALRAIVDPLAATAASGIVGTDAASAPLIAVVDPLADPAAFATLPRFASLLPLIPGSVSVLFFGHMLLLIPGLLLLLFSRHVPLLFLVP >cds.KYUSt_chr2.1804 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10711742:10712713:1 gene:KYUSg_chr2.1804 transcript:KYUSt_chr2.1804 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVHTTRVPPSAVGALVVNCSGFCPVPSLTAIIVNRYGMRSDVKTFNLSGMGCSAGSVGVDVAAGVLRAHAMSYAVVVSAEILTVGWYFGKDHGKLLLNCNFRTGCSAVLVTNNMAAPVKYRLVNMTRTNTTANDRSYRAGYREEDDEGITGFTLGHGVGRMVSELLRAHLVKLSLSILPWREKARYTPALLVSMRRRGQDKLAGSSVSGAARMPDFRAAADHFCLPSSGKPMILRLGRGLGLGEREMEAALMGEREISTFLVLCCSPVTHMFRVHTTPCRACSLACRENIKKQVHAVWCSCVENRISKQIMRYLEGAHVYT >cds.KYUSt_chr5.1411 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9713504:9715030:1 gene:KYUSg_chr5.1411 transcript:KYUSt_chr5.1411 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTESLKAVDLGSSEEESDASEEEEDSVTSDTEEEEETPPAYDYPDDGECPPFVLIHQEAYFSQRHNATTATVTVTAVGSKLEGSTITVTFCPAAPPLVSYLCFHATGIDQTKFACNPQIIATENNGGLVLLRVIVGHPSSAVLTINGEYFIYDARAAKLDHLPHPGQQHEFNDYTVAMVRKCNKHCQRTTTTSPHDSGGFSLRPCHLAPHGHRHGHDHDQGPACQEHHHDCTYVIAAQPRHFGCPETSHLCIYHSDTNTWSKKPVVLLKSHPRNQTSKTLAIGGHKGTVAWVDLWQSIIFCNVLDEKPKLSCLKLPKPIMPKKAVGFGNPTCIRDIAVVGNFIKFVDMYAHFDGSSKTPSHWKAATWSIRAGSFSSEDWTRDHRINSTQIPPQPLLLHKLKVDAGIKAADPTFSTLHVGLPRLSLQDDDIVYFLAKIDYRRHAAWVLALDMGNMLVKEVVPFDAKRTLRLARCYDASRISAYLKPALGKPILLHFHRWSVTPSTC >cds.KYUSt_chr5.40074 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253168482:253169711:1 gene:KYUSg_chr5.40074 transcript:KYUSt_chr5.40074 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNGVAVAAQLGWCCLLVVALALGVMGQPPPSPRNEDDTPPPPNPGNGDDPWGGGDGYDPPPPPPDNYWTFDDGHPRTEVKSYANGQPYRADYSKPNKIYTCNDDWGKKCIAQCPDLCPKSCYMSCSDCETTCRCVVFPGTSCGDPSFTGGDGVTFYFHGRRDQDFCLVSDTDLHINAHFIGNHNPSNDRTFTWIQALGITFGDHRLYVGARKAIEWDEDEDHIEITLDGEPINVDTLNNARWVSKVLPDLSVKRIDTVNSVKVDIAGVFSISASAVPISDEDSKIHSYGKTLKDSLVHLDLRFKFHSLTNNVDGVLGQTYRMDYVNKMNVTAKMPIMGGAPKYLSSGGLFSTDCAVSKFHRNVGGSHVLASAA >cds.KYUSt_chr4.44574 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276089680:276091056:1 gene:KYUSg_chr4.44574 transcript:KYUSt_chr4.44574 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPSPSPTFTSAVIESLPMRLSSLNSPPLAYSRAAMHAQVSDTKQQHATTTASEKVDQKYAHAATPLHGHGAGGKKTPRRGRSEGGAADTAGYVAAVSCSDCRFKQRAVAPASPGAVIRSLFVSLTRRSTPRSSPSTTATSASDGGEQWRLAAADLSRRLDAATRTRDDAVEETARLRHSLAELELKLARLEARVLPTPAAAAAFPVDAFLRAVSTARATVRSLTRALSTHLRSAASPGPSLESYLNRAFHADFELDTDGDVHTADPAGRCEANLAAYHAVAALTWEEVLVRGTKHYSEGLSRFCDAKMSEVVSSLGWARARPWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRVDRGARFDSRFMEDAAAARAGRLEPVSVKMMVAPGFHVYVAGAGVVKCKVVCFYNNGRTGSHRDGGSSANGGVGLGSACSDLNGGVTDAVKNCKSSRVL >cds.KYUSt_chr6.99 pep primary_assembly:MPB_Lper_Kyuss_1697:6:619407:620642:-1 gene:KYUSg_chr6.99 transcript:KYUSt_chr6.99 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFSDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSRGGVPIPPPPRGQLLDVAIEEARMTMTDEERADPRHHPENYTRWNSYFLRRWERELAAYDGPPPPPPRNNAAGRRRWWSAPGRTLENVLAHIEGGNFPVLAMPPASRASASRRRGNVWQPRRMAASSSSSGSAPRPSLAPVKREATSPSMPARVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKAAKKEDTAAATNAAANRLAEEEAKRAEDAAVAEAIARSLKDLVPADNALPEDAAMEWSRRDWEREEAEQQRRLMDLAAARQIAARAAAPTAADDVARYRRPATPPSGVAVPFVDLEASDDEWYKPSPPRTSGRWGDAGQGSSSQAAPPQFDDDGSNDDGGDGGDYTVFYRHLGM >cds.KYUSt_chr6.19171 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120594225:120597725:-1 gene:KYUSg_chr6.19171 transcript:KYUSt_chr6.19171 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGNVEPCFITPTAVAVNDSFADPTRAAAKGTWQAQHSAGVMADLDFLIGEEAVARSRASTTYSLSHPIRNGQVDNWDTMEKFWQQCIFNYLRCDPEDHYFLLTESPLTPPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPLTGKDVTQFIQQLIKERGEHIPPEESFDVARRAKEMYCYTCSDIVKEFNKHDREPSKYTKRLTGIKPKTGAPYTCDIGYERFMGPEIFFHPEIYNSDFTTPLQDVIDMCIQSSPIDTRRSLYKNIVLSGGSTMFKDFHRRLQRDLKKIVDQKQLT >cds.KYUSt_chr2.16591 pep primary_assembly:MPB_Lper_Kyuss_1697:2:104337358:104338945:1 gene:KYUSg_chr2.16591 transcript:KYUSt_chr2.16591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to NAC domain protein [Source: Projected from Oryza sativa (Os07g0566500)] MGSPDSSSGSAPPRHQQPAPPPQQQRGSAPELPPGFRFHPTDEELVVHYLKKKAAKVPLPVSIIAEVDLYKFDPWELPEKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILASGTGREKVGVKKALVFYRGKPPKGLKTNWIMHEYRLTDGSSSTATANRPPPVTGGSRAASLRLDDWVLCRIYKKINKAAAGDHLQRSMECEDSVEDAVTAYTPYATAGMAGAGSNYGSMLHHHSSHEENNFLDGLLTADDGGGLSAGAASLSHLAAAARASPGTTKQLLAPSSSTPFNWLDASTVGILPQARNFPGFSRSRNVGSMSLSSTADMDNGGGGGNAVNTMSAFMSPLAVQDGAYHQQHVILGTSLPPEASAAAVSGFQNPVQISGVNWNH >cds.KYUSt_chr2.28198 pep primary_assembly:MPB_Lper_Kyuss_1697:2:173061813:173066591:-1 gene:KYUSg_chr2.28198 transcript:KYUSt_chr2.28198 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATPAPTPKAVIHQRYGAKAVYRAEEVREPVHGACPGLALPQTTRCVYRGHLDLAGVLSVATPGTFLRKKDAEQAAAQMAIDKLGIQATANIPSTPQEAWEELTGRISYFCADENFPTSSHPLVGHLCVALRRNGDLLGRIPISAIVTCDVKVHTLCKIIDPKAEFDPLLVLSMIYNAAKQSPGVSVSNSNFWVESQRPYSPEAVDQALQRWSGISDPIGVEAVLVPCVMEDEPKVVSLNVSENEHYMVDIASKLSATDSSHVLVSRTVGKTSSEIRFYFPAPDVQFISDLSSNVVGCRGDGNMNCVINKRASYISGQTIYGDAILANVGYTRRDTELQTENVTLCTYYRILLGKLPDGIYKISKDSILVAELPCVYSRTSWKGPSPRDLICSFCRLQRLSEPHFTVNRVSASCNALGSAVCSEETGSPKTAAKNQCANDGRIDKENPDVFKCYVKIYSKKRELLLEYSTDDKWSKESDALQNSALKVLIWFNRYFKQLSMHVEKLYLPESTDGFTVYPNIFLQEFAMCLSVYGKTSGGDSRTCNTAGLFYMDTSHQQLENSAFLTDIDGQDSGVFPSHGSLTCISYTVNLFTKDKRKKYLLEVNNEFEFEIGAGAVRNQLESCVTQLSVDQSACFVDELSDRDLILAAASELSPDLSKISRDSCILEFSVKVLQVTEPLEDRMEKALFNPPLSKQRVEFAVRHINQLHATTLVDFGCGSGSLLDSLLEHPTTLEKIVGVDISRKGLTRAAKSLHQKLSKKSLMQTSVPTAVLYHGSVTDFDSRIYGFDIGTCLEVIEHVEEDEASLFGSVVLSSFCPAVLIVSTPNYEYNPILQRSAMPNKEEEPEENAGPCKFRNHDHKFEWTRAQFQHWATGLAVSHSYSVEFSGVGGSGEEPGYASQIAVFRRMARDQDGSSQKEDEPVQPYEVLWEWANVSIPSN >cds.KYUSt_chr5.29044 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183872067:183875280:-1 gene:KYUSg_chr5.29044 transcript:KYUSt_chr5.29044 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSQTPKSLAVLLRARMHPDPIPSPPHAPSPPDSSAPPAAASSSVRQWLHASVSAASPSPAALDCFSDGYRSLDRPGRHEILRSLATDYDVPRARVRDLMRQYVSVSATGDEHPGAEKEDGGAASALYRMERGLRDALRPKYAGFLEAMNAQPGGLKFLAVIRADLLALLGEENAPVLRALDGYLKEKLVTWLSPAALALHQITWDDPATLLEKIVAYEAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALLKDMATSIQEVLLDVPPIAESEAKCALFYSISSTQPGLSGINLGKFLLKRVIDMLRKDMPSVQIFATLSPIPGFMQWLLAKLASQIKLAERELQEGNSLEIAGSAFRESILLPEEEKLLQNAVEQVNSKQGIELLQDILTSSLWVKSDKLSAALKSPLMRLCARYLAREKKRGKALDAVANFHLQNGAMIERINWMADQSEKGIEQSGGIMVNYLYRLENIEEYALSYSGTGHIHASPSLSKYVEWLF >cds.KYUSt_chr6.5675 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34085270:34089277:1 gene:KYUSg_chr6.5675 transcript:KYUSt_chr6.5675 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDGEDKLDRGEEELEVDIACGRARSEKNPTWMASLMRWTTSRHGRRRNINDKGRGRVELTAPFGCHGGRGTRTFPRASPGEQSARLEVGKENPEPVSSRDGEGDGQRGDASNNLKFFLHRRQEQGPAERIASTSLSSPSFPLSGDGCPLPKICSAQIPVPPATTTVDEAQSRPAANSEETPRSQQGAIFGGRARHGDRPESSSARREVRSVVCREEENAAFDELDDLEDPLDDVDEEEEAPWEEPTHVTRKRGRRAGKKVAARPPRPERVPGAYDDYRGLCLLCTQPGHRAEDCTTGPVCLRCGEAGHMSRACSLPRRPRPMSPPADAMEPAGKRVNDAGVLARVDESAGDHRARAPEAR >cds.KYUSt_chr4.52041 pep primary_assembly:MPB_Lper_Kyuss_1697:4:323019223:323019504:1 gene:KYUSg_chr4.52041 transcript:KYUSt_chr4.52041 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPPPPTTSLDHRVPAEERHRIERVARYVARDRDGDLAEAMLLRLLKITRNGRRWGFLAHDHPLHPYYLQQKVSEQCRILRPRPAAAAVADR >cds.KYUSt_chr3.37488 pep primary_assembly:MPB_Lper_Kyuss_1697:3:235655693:235661493:-1 gene:KYUSg_chr3.37488 transcript:KYUSt_chr3.37488 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCKVLLLLMLLASIPGRFCETDSQDVAALLSFVSGWQNFPPSWKASNDPCGTDWDGVLCDKGRVTSLRLSGINIQGTLSDSIDQLSELVYLDLSFNAGLGGPLPAAIGNLRQLTTLILSGCSFTGGIQHLGNLMQLSFLALNSNSFTGEIPASIGLLRNLHMLDLADNQFSGPIPVSSSGSPGLDLLTQAKHFHFNKNQLNGNLIGLFNSSMSLEHILFDNNQLSGHIPAELGDITTLEIIRLDKNNFTGEVPSNISNLVNLNVLNLANNQLSGTMPDLSSLNTLNVVDLSNNLFDPSEAPDWFLTLTSLVSVLVGNPVCLDSEFSDKFFCSLQQANLVPYTTNLTKCGTTSCSSDQSVDPATCSCAYPYTGNMTFRAPSFADLSDSATFQLLETSLWKQLAPRPGAVSLSNVRFSSEDYLQVKVSLFPATGTSFNLSDVISIGSEISSQTYKAPPIFGPYYFMADPYAPFADGGGNGKSQMSKGAVAGTAVACGIFMFALIYVAIFAVLRKKRAVQSSSGRENPFASWGGAQKDSGGAPQLKGARFFSFDELKNCTKNFSEDHEIGSGGYGKVYKGTIADGTSVAIKRAEYGSKQGAVEFKTEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYVSNGTLRENLQARGIYLDWKKRLRIALGSARGLAYLHELADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELLSARLPISKGTYIVREFRVAIDPNDHDYYGLQGTIDPAIHDAAKSAGFRRFVQLAMECVEESASRRPTMGSVVKEIETMIHNEGLSSSASSVTEFEHTGAGQASAVPYSNSSSSGSGGISEQLAHRGRRQ >cds.KYUSt_chr3.18287 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112402949:112403656:-1 gene:KYUSg_chr3.18287 transcript:KYUSt_chr3.18287 gene_biotype:protein_coding transcript_biotype:protein_coding MDMASSPLEFEDLSQTCRRDRFCQVCVRAFCSHCCGSHHSHALSHAVIPVDVDAAGRPVFSTAFEFGDSSRQRDHAVATIAAEDYATRLPRDSYCMFCERIFCAGACPHHHDVCGPHAVLRIEEHGGAYCVRCTGSEPWFPHMESILGDPVGEGVDGHGQRLLLLPVLRRAPGTCAQCGADVDWDLKRHCSEHCAAAHRRGIALRRERREGRGAARELAVAELQIHYQIARLQIH >cds.KYUSt_chr7.6827 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41177020:41178039:1 gene:KYUSg_chr7.6827 transcript:KYUSt_chr7.6827 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAGACSISAPSAARILPEEVVVWEILVRLPARELLRCRAACQSWRRLTSAADFLLAHHQHQPSLPLVSFSAKDRDEQGYFDKVVDAFDHRLVPAERRRPVLGFRDRRDGRVVLHASCHGLILLSVSTRRFQISNPATGQWASLPALVGAHVAGMYPSGEEYRILYWKQRFKGDSPVYHVLTVGSSEEPRCIGLPIASAPLNQLFLEGMNPSSSHPPVLLHSCLHSLQGRKRSSMVVFDTVGESFRPMRSPFFDDDWDPYLHEIDGTLRISCLNSVVLKIWVLLDYDKEVWSLRYHVKVPRVGRLYGSFDSSSSYTVVSEKGDMLLFLFNRMFHCDS >cds.KYUSt_chr3.47899 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300068887:300072282:-1 gene:KYUSg_chr3.47899 transcript:KYUSt_chr3.47899 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAPAPPASGRVDLDGAPVAPLTICMIGAGGFIGSHLCEKLMAETPHTVLAVDVYCDKIRHLVDPAPPHLAGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENSKRLIHFSTCEVYGKTVGSFLPKDHPLRKEPEFFVLTEDESPCIFGPIVKQRWSYACAKQLIERLIFAEGAENDLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGEVQRTFLYIKDAIEAVVLMIENPARANGHIFNVGNPNNEVTVRELAEMMTEVYANVSGEPPLEEPVIDVSAQQFYGEGYDDSDKRIPSMTLINKQLGWNPKTPLKDLLETTLTYQHKTYKEAVKTQMSQASASS >cds.KYUSt_chr3.29637 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185426623:185430543:1 gene:KYUSg_chr3.29637 transcript:KYUSt_chr3.29637 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLQPLLHAAAISSPPRALPSRSSFLLAPGTLPLVPRGPTVLRHRLSPPQPRALPDTAAAAAGLRDVLADAFLAYPPTWASAAATNLAIFVAGSPLLLSGLSASGIAAAYVLGTLTWRAFGSQGYLLVAAYFVVGTAVTKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNVGGGAFTELWRLGFVASFCTKLGDTVSSEIGKAYGRTTYLVTTFKIVPKGTEGAVSVEGTLAGIVAATFLSGVGYSLGQVNVPQVVLCVLASQIANFGESLIGATLQDKDGFEWMNNDVVNVLNISAGAILAVLMQWLLGRP >cds.KYUSt_chr3.12243 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73128104:73132095:1 gene:KYUSg_chr3.12243 transcript:KYUSt_chr3.12243 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECAVLANVDPKQIKVWFQNRRCREKQRKESGRLQSLNRKLTAMNKLLMEENDRLQKQVSHLVYENGYYRQQTHSAGLATTDTSCESVVTSGQQNVVVAAPQQQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVADILKDRPLWMRDCRSMEVVNVLPAGSNGTIELLYMQLYAPTTLAPARDFWLMRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHLDLEPWSVPEVVRPLYESSPIIAQKMSMAALRYLRQVVQVDTNSVITGWGRQPAALRALSQKLTRGFNETLSGLADDGWSVIESDGVDDVSISVNTSPSKVIGCNAAFSDGLPIVSTGVLCAKASMLLQDVSPPSLLRFLREHRSQWADSSLDAFFASALKPNFCNLPMSHLGGFSGQVILPLAHTFDPEEFLEVIKIGNASNYQDAVMNRDLFLLQMYNGVDENTIGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAGTPRSRITGGTGVNSKAVMTIAFQFAFESHLQDSVAAMARQYMRSIISSVQRIALALSSSHLVSHGSGRLLPPVTQEAETLSRWIIQSYRFHFGAELIKSSDANSGESVLQALWHHSTAILCCSLKAMPALTFANQSGLDMLETTLAALQDTTLEKVLDDQGTKNLVCADLIANVMEQGFACVQGGTCVSSQGRPASYDKVVAWKVLDDASGATHCICFAFLGWSFVS >cds.KYUSt_chr1.21642 pep primary_assembly:MPB_Lper_Kyuss_1697:1:127846440:127847739:1 gene:KYUSg_chr1.21642 transcript:KYUSt_chr1.21642 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKGSADKDKDKKKAPVSRSSRAGIQFPVGRIHRQLKQRVSANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTSKE >cds.KYUSt_chr2.7844 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49050429:49063153:1 gene:KYUSg_chr2.7844 transcript:KYUSt_chr2.7844 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSWKPRGGPGRVAPAPELQWKALDPNPVPAEVPECSRAAEVPDDVASAVVEVGRLRLVSPVAAEEEIVKELVGIGGEETELSEEELRANHQMQEDEVHVHIEIPDGIDISARLNYGTGTLNYGGICDGDASDNLVYKFRVEHLPPILLTCYLPSSYPSHQPPLFTISTEWLDKVKILSLCQMLDMIWEGQQGMEVIYQWVQWLQNSSLSHLGFSDEIILSKGDLTCDEDGEDKRACLGDSAPDVIIPRIMRYNDVKCHQAFLQDIHDCMICFSECPGVVSPNVLKTLLAEDEFERWEKLLLQRTLDAMNDLVYCPRCLRKIILSIGHWDSVRMLTRRLQGCTALLIVFLLSNVSGSFTEETEGESKRRSLATGQFCVAIQGADPTALQTGLNFACGPGHADCSAIQPGGKCYKANDLPALASYAYNDYYQRNVASGATCSFSGTATTTTTDPSSGQCVFAGSSMAGGSNSSTPGAIAPSSFTPPSSTFTPGFTPGFGSPAGSFNPLPDAADSLMAGARRSLCVLLLLLPLSF >cds.KYUSt_chr4.7029 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41614573:41615211:-1 gene:KYUSg_chr4.7029 transcript:KYUSt_chr4.7029 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFGGTAGTSGIGGDGIDGPGCSGPGGVPGTGAPGIGTSMPGGGSNSGGVSMLGISCGGRAVAGISSGGRAVPGTSAGDGPGGNPGGVVALHRRPARWPWHPGRRRRERRVIPMAAAVLPVPQRHGSDALTRLADIVPYLLCVLWDKCAEVGPLDSTRKWQVCGDAVLSGFRVDTSDDTWLCREEKPTDETRAGVAGRVRANSDTTSLLH >cds.KYUSt_chr4.3279 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18627819:18629038:-1 gene:KYUSg_chr4.3279 transcript:KYUSt_chr4.3279 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLALVGTHCHPIAPPAIPLICLCTTQNNISIFLPGPGRHPTAELQGSYESSGRRLARRGVGAAPSSVPHRRTNGGSRIKSQGPSCQTRNQLHTSFTGITVQCRARKPVPSVRSSSSVVVAMTSKPSIQFIQGTDEQTIPDVRLTKSRDGTNGVAIFTFDQPSVFDSSAELGDITGFYMIDEEGTLNTVDVSAKFVNGKPALVEAKYIMRTPKDWDRFMRFMERYSEANGLQFLKK >cds.KYUSt_contig_2175.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000268.1:106734:115149:-1 gene:KYUSg_contig_2175.26 transcript:KYUSt_contig_2175.26 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTGFCCAVLLVTLLPLSANGSSKLYIVYMGDKKHDDPSVVTSSHHDLLTSLHGSKDEALKSIVYSYKHGFSGFAAMLTESQAEALAKFPDVVSVKPNTFHKLHTTRSWDFLGLEYNQPPQQPGLLQKAKYGEDVIIGVIDSGIWPESRSFDDNGYGPVPARWKGKCQTGQDFNTTSCNRKIIGARWYGRGIKVLKSDYKSPRDQSGHGTHVASTIAGVEVQGVSYGGLGTGMARGGAPHARLGIYKVCWLGASCPGAAILAAIDDAIHDGVDILSISIGGAGHEFYFTLHAVQRGISIVFAAGNDGPVPQTLSNSVPWVTTVAASTIDRAFPTLISLGNKEKLVGQSLLYNASVISSDFKDLVDGGSCNAESVASINITGKIILCYAPADAKDTPPREALPEAINLAIKAGANGLIFAQYTINILEILARCDGIMPCVVVDFEIAQRISTYIQVARSPGMKVSPTATVVGNGVLSPRVASFSSRGPSTHFPGILKPDVTAPGVSILAAQRDSYAFDSGTSMACPHVSAVTALLKSVHPQWSPAMIKSAIVTTASVTDRFGMPIQADGVPRKLADPFDFGGGHMNPDKAVDPGLVYDMDAMEYNNLFNCIMASLDDCESYQPALNLPSVAVPNLKNQVTVWRTITNVGPVEATYQADVEAPAGVDVSVEPSVISFTTGGNKSVAFKITFTVKQRVQGGYTFGSLTWSDQSAHSPLSWPLYRVPGLKRSVRIPIAPEHLQGLPNDSSERRDSSILEGSHIEENVGPKDERDDPINLEAVFINPQSSADDISDTAGSMHDDDADRAVFVDAAAEEPEALPLKRPSGGFADEDYLFDLDEGFLEPPPKRAKSWEGYSFDCRCDSSFSRETSVISSLETFTSQYTSLEADKAQLQKEVKSSSSKLEGAIKIVAETRQEVDSLKEELEGLKKRLRDEEASKLAAEARAIEKDDILRQSSLALLSNSLSALLLIIALRIQSFDLLQKGKGGMARMHSMIFPKINQDKTLGQLIDAFAVETKEVIEVFKRTSRTYGALLAFQLMMGHGFKADIEEMSKELPKDQDGQFVDLGAFKTSALKCTRQLLELVSAKKSSVGCLSNQT >cds.KYUSt_chr2.34483 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212985787:212993622:1 gene:KYUSg_chr2.34483 transcript:KYUSt_chr2.34483 gene_biotype:protein_coding transcript_biotype:protein_coding MSKETEYYDALGVCPTASDDEIRKAYYVKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKTSISRDNILDGAVLFTLLFGSELFENYVGHLAMATMASSEMASADNDNPEKLQDRLKGVQREREEKLARFLKEFLSQYVRGDTEGFAQRAESEAKRLSSTSSALDILRTIGYVYSRQAAKELGKKAMYLGVPFLTEWVRNKGHLWKSQITAAKGALQLLQLQEEACRQSAKDGGAATEKDVDLQMRMNKDLMMSSIWKLNVVDIEVTLLHVCEMVLNENNVKKEDLKTRAIALKILGKVFQREKEALPGPSKPTILDDDSSSDESSDEDVSRTVTYRTPAITQAIFQSLEKYMYRPRYHSEFCTEFIPQNELRASTELWRIIEEGYSPRDPKNLTRRDVVDDQLNATAINMIHMAITPQDRAHIRWLKTAKEAWDKLEKLFLGNASIQISRFDEVNNMADNFVMIEGETPEEMYRRLIALAVQMQDLGATFVDDHWIKCKFYNALLPYEEVKLTAIRQNASFRSMTSDEVLSEVIALDISKKNAEDLVARAHNSRKPNLALKMKVHEATESDEDPVEWGSDDLKINYHEHMALAAKKFWDGNMSQSTRPRRSRDSPRRFSKSPREGAKGRTCYHCGDKNHFVADCMFERREDHGGRLIPKDKHKSLSKGFSKFSPRSDDDKVSSKKKPRAFIIREEYSSDEDGEHEDKRSNKEGEGVDAIAISTPSISLFDSPNENLVTNNGRCLMAKVSTEVKSPSKPSSSINALYIDDATSLTVKREIMGLDSLDSFLSNMKGDTKIHVGALLAQLGAAQDLIEKREKLEREAAFELANLKEELDDERNLRMSLEASVIVLEDKNEAIVS >cds.KYUSt_chr5.15655 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101081516:101082481:1 gene:KYUSg_chr5.15655 transcript:KYUSt_chr5.15655 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLACVCLLQLLFLGSSPAAAAQQPPTPPARALDATLQDYAYRALARRPRTGIVYNGTVPADLAGVAVSALRLRSGSLRRRGFPNYFQFALPAGAVARPRVERAVLVYSDLGNLSDRYYPLPPGYAYLAPVLGLLAYDAANLSAVALPELSLVASTTPILVSFGSVRALPSGGPAPRCVWFDLDGAPQFRDPEASGNVCAAYRGGHFSIAVNSTVVAPPAPAPASPAAIAPLVPGTGGRATGNSQAWKIAGTVVGSAAALGLLASALLCLVRYKRVRKLEVMERNSEVGETLRMAQVGRAQAPVALWTRTQPVIESEYAA >cds.KYUSt_chr5.27551 pep primary_assembly:MPB_Lper_Kyuss_1697:5:174348979:174354611:1 gene:KYUSg_chr5.27551 transcript:KYUSt_chr5.27551 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSALHQPPPPVGMSGDGATFADAGNLDHCAKYLNQTLVTFGFPASLDLFATDPVSIARTCNCMYALLQQRQRDIEFRESTNDLRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTAALKAQIEKLQQERDEFQKMVIGNQQVRTQQIHETKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWTGKKNDNDYYKMIVDAYEVKKQELVQENADLRALLRSMQMDMREFLNAPNGSAQPAVSGNGRQESGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASVKARMTQLQDAQKGAEVTSEVTERELELEAQLVEARSIIQEQATIMSKHFSKSDKPRRLSGLDAEREAIHSASADT >cds.KYUSt_chr6.3417 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19792558:19798569:1 gene:KYUSg_chr6.3417 transcript:KYUSt_chr6.3417 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAANERETTAVLKLLTYTARRFPGVFFNGRPAEVVRVIGHILPFFADPDYQSGDTELFEDEARLIGDCGEDYGYPAYVDLISLLKRMWSDGHSTQACLDWKLKCLMSMIDGLGIAGYEGIFLKVCEITLSVLRGHKEALMTVLETFIHDALVDQNQQIQRSINTVYYMASFANMISDMKRWMRHAAHIDSLKEVIAQAVADAKEKNIKFTEAIR >cds.KYUSt_chr7.1035 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5583798:5601700:-1 gene:KYUSg_chr7.1035 transcript:KYUSt_chr7.1035 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSATASGEGQRFRRVPRQYRGGRNLELDPLLNENLEQWPHLNDLVQCYKADFVKDDGKYGRYESVTPPSFQNQIFEGPDTDMETELQLCNARQPKPEESIEDDMPSTSSGRQTYRGEPFASSSKKHCTISPLPAYEPAFDWDNERSLIFGQRVPEILPVTHSSGLKITVKVLSLSFQAGLVEPFSGTICLYNRDRREKLSEDFYFHILPKEMQDGHISLDRRGIFSLDAPSPSICLLIQLEKSATEEGGVTPSVYSRKEPVHLTEKEKQKLQVWSRIMPYREPFVWAMIPLFENNHAAGAGDAASPSSPLAPSMSAASSQDSIVEPVSKLTLDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGLLKLEVEKLHNDRNDVDNMSEGGIMTNELHDAGELSNGRHSRNNVDGIHSSHCSGGTVKKETHQNGQSSSAENGNNFQAFDFRVMARSEPFSQLFHCLYVYPLTVSLSRKRNLFVRVELRKDDSDIRKPPIEAVHPRDQDTTMQKCAHTQISVGTRMSCYHDEVKISLPALLTPQHHLLFTFFHVDLQMKLEAPKPVVVGYAALPLSTHIQLLSDVSLPILRELVPHYLQESGKERMDCLEEGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVESTALLQFLQPILNMLLHLIGDGGETLQVAAFRAMVNILTRVQQESSDGAERNRFLVNYVDFAFDDFGDRQTPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLNLAKRLNSTLAFFCYDLLSFIEPRQVFELVSLYMDKFSGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKNEDRLYIAQLYFPLIGQILDEMPVFYNLNAIEKREVLVVILQIIRNLDDATLIKAWQQSIARTRLFFKLLEECITHFEHNRMGNSLLLGSSSRSPDAERPASPKYSDRLSPSVNAYLSEASRHEIRPQGTPENGYMWNRISPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPMLRQKLELWEENLSTAVSLEVLGTVDKFSVAAASRSISTDYAKLDCITSILMGLLSRSQPLTFWKAFLPVVYNIFSLHGATLMARENDRFLKQIAFHLLRLAVFRNDSVRKRAVVGLQILVRNSFNYFKSTTRLRVMLTITLSELLSDVQVTQMKSDGSLEESGEARRLRKSLEEMADVRSKDQLNDCGLPVTALEVAAEGSTDNNWSWVEVKHLSKCLVQALDAGLEHALLGSAMTVDRCAAAEGFYKLALAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKDHVASLCRICPIVGTDIGAEVAAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRSYGQLAKCHTSLTSIYESILEQEASPIPFSDATYYRVGFYGELFGKLNKKEYVFREPRDVRLGDIMEKLSHTYEAKMDGGHTLHIIPDSRQVNAEELQPGVCYLQITAVDPAMEDEDLESRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLIVIKSESLEFSPVENAIGMIETRTGALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >cds.KYUSt_chr3.38019 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239296277:239300791:1 gene:KYUSg_chr3.38019 transcript:KYUSt_chr3.38019 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTPHPLPTRLAAASSSSVSLKRVLPPLACHARNLFDVLPQSDGNSDVVANGNPPRTEEGGAGSVSVPRTTARGGVTRLESLSASQGRNRGARRWIRGGDRRVLGEGTEEEVVQKGWKGGHGSTGGSEGRGRGRRWMRGGMQVQEPVKAANLATSGEDRGVGNVSKRGKRSKGGERGVKLRVELDMCSKRGDVMGAIDLYDSAVKEGVRMGQHHYNVLLYLCSSAALGTVQPAKSGTNGKLGSASAESLWYSEDNDMHEDPVHDQESNKFNLFRLEETASGIPISDEIKDSGHVDKAFEVEAHMLESGVIPEEPELGMLLSASVVARQGRGKWIITRSHIDKNGVCLACGDKLAIIDLDPKETEDFATMVAKLALKRVKNSNFEKFQVSAVADATQNMFPSRKWPLIVVHNRHLAGKHMKNPANQKLVQKWKQANAVYETPTGSNDDWYWLFAAIRWKCLMITNDEMRDHTFQLLEKDFFPKWKERHQESEKGHWHIPVTKEGSPDGEQTWLCMTRRNHMHNIMNQELMQNF >cds.KYUSt_contig_49.58 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000023.1:434838:441915:1 gene:KYUSg_contig_49.58 transcript:KYUSt_contig_49.58 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLIEHLEDHLVVAFVEKSEKEAGLKVVGAGELVPLGVQMEPLELEDNGDHQPSLESSLDSGVIYDDTPICPRIGSEYQAEIPKLSTEGEHRRFIMTSSHESMVLDYDHPGMIGPDISIMWASSKVHNEEILRRQHSSESEARASSQDEDTKLNSISPIQKDTSDHDSADQDPNSVVPVDQMESGSNLAQGENFNSCSTQEGLNFTNKPLTQQGEIKQFTPLPGLSSSLWSGIEAECFLLGLYIFGKNLSLVSRFLGNKTVGNVLLYYYGKFFRGDEYKRWSDCRKARTRRCILGERIFTGSRQQEIISRLKSIILKESHDSLLEIFKSFNNGQTSLEDLVFSIKSIVGTEAFVEAVGIGKGKHDLTGFVQDPSKQNQVLSIHPDMPTGKDCSLLASEDIIKFLTGGFRISKTRSNDLFWEAVWPRLIARGWRSEQPKDVGPTKNCIVFLVPANPVLLELEVGGTDNGVTAEQNGNSTDINLSQDAPLDGYPELPKFTVIDTTLVQGEEPFRVRELRKLPAHANVRFGPSHYSHNTVSCSSSEEQDEDDQSADDQEVCQRVTDDVNGAEMVSVCNEGKENQVDLLQNMSTAPSFSYPVNGYSSNGSSNKIDLACFFGPKRRTERRKYLSPVSKHRRLSSCSNDQTRRRSFSFSNGDGLVREKIKPLSTSSKPTVVDIGGNIQTKLIATCSMKEKPYKQVTVASNSRTNGRFKEKQNVSNLNKDKSFECKGSAIPKVRSKIRTTKANFAKEGVRISSLVGQVKPETALDDNPSTKVCVASLDNHGHMKTEEALTVLNSDWVPDVSEATGGPTIPQPNLASLVNSRRHGTRNRAPTAKALEAVALGLLGGKRKDEPKSPGTSRPRKRAHKSWVYAPTNCDTDKSSMEVDAQL >cds.KYUSt_chr3.9073 pep primary_assembly:MPB_Lper_Kyuss_1697:3:52871228:52872370:-1 gene:KYUSg_chr3.9073 transcript:KYUSt_chr3.9073 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGVSVPPWGSLPVSGVDAGTGDEMTPYLLAALRQYLPRNDLLPLDNAGSDDDEAAAMAAAADAYACDEFRMYDFKVRRCARGRSHDWTECPFAHPGEKARRRDPRKFHYSGAACPDFRKGGCKRGDACDYAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRSIPAQHASPRSSTPLSPLAESYDGSPLRRQAFETYLTGKSGGIMSSSPTSTLLSSPPKSPPSESPPMSPDALRRGAWPGVGSPGVNDVMASLRQLRLSKAQSSPSGGWTNTYHLSPAAAYGSPKGGGGGLYSLPTTPMATPTTTTSFMANLEPLNLRFMDDDEPVQRVESGRALREKVFERLSRDGPASGSSCYGAGPDVGWVSDLIN >cds.KYUSt_chr2.43907 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273318302:273319642:-1 gene:KYUSg_chr2.43907 transcript:KYUSt_chr2.43907 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQHPLPPPPPLTGANTIPVQQHQQVNRVEENHDQPPPLAPLGRNVYEDPHLCCVVFVTEPTDRQSVHRRSMEVNAVMPAIPKYMLWSDQEITWSFKDHPKIMPNPGGYALVVDPIMRGPETRVKFSKVLIDNGSSIENKQKTEPVSKKKPNNNILQLSLLVDVSKVVGVMAIKIEIHDEYNILGKSLFRWTSEDIMQLSL >cds.KYUSt_chr5.46 pep primary_assembly:MPB_Lper_Kyuss_1697:5:396868:398866:-1 gene:KYUSg_chr5.46 transcript:KYUSt_chr5.46 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKQGFFSALRDEVARGLSPARARRRAEEAAAAKAALRYAGGGGGGEALAPLMEGPDPEEAGGGDGAGRPRRDRDGWGRWVRGQLARAPSAGPAAPSGGAARRSDLRMLLGVMGAALAPVHVCAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLSSVRNAYAMGKVRMVATECETAGRVVKNRMAARCAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHSAKGPVRPLRRALQGLDPLTTASMFAGARCIGERKVNGDDCFILKLCADPETLRARSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMIAHSGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSISETVELPHSGKSKAGLLPCHGAKVAALEKADGNVAWSGALQRDCK >cds.KYUSt_chr6.30198 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191416002:191417237:1 gene:KYUSg_chr6.30198 transcript:KYUSt_chr6.30198 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHERQQQQPLHILFLPFFAPGHLLPAADVAAVFAARGARCTILTTPVNAGIIRPAVDRANDACTLHGTGSPTIDIALMPFPDVGLPPGVENYMALTTLQVDCRAKFVRAVQLVQEPFHRFLAANRLDAVVSDSFFSWSVDAAAEHGVPRLVFTGTSVFARSCNESMLRNNPLQTTTTSEDDLEALVALPRLPHRVKLRRSQMMDPRKQPGAWAFYQSNNAADQRSFGEVFNSFHELEPDYVEHFQTTLGRRAFLVGPVALATKDMAASGANVNAEVNKDSCLRWLDTKPARSVVYVSFGTATRFSPAELREISRGLDLSGKNFVWAMSAGESTESSEWMPEGFAELTANVDDSRGFIIRGWAPQTLILNHPALGGFVTHCGWNSVMEAVSAGVPMVTWLRYADQFLCG >cds.KYUSt_chr3.44974 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283326731:283327526:-1 gene:KYUSg_chr3.44974 transcript:KYUSt_chr3.44974 gene_biotype:protein_coding transcript_biotype:protein_coding MELEATKMPEQPAVKLIGWLSPYVHRAEVALRLKGVPYELLQDDMANKSGLLLAHNPVHKKVPVLLHGDRSIPESLIIVEYVDEAFHGPSLLPADPLARAAARFWARFVDDKLWKALWVALWTEPGEAQVALATSARESLTLLEAQLPEGKRFFGGDAIGFLDIAASGVALWLPVFEEMAGVRLLADETHPALCRWAREYAMDEAVRRCTPDRTMMLAFLTPKRGMFVSTAKTMAAHM >cds.KYUSt_contig_1537.189 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:1106041:1108431:1 gene:KYUSg_contig_1537.189 transcript:KYUSt_contig_1537.189 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELSNFASASPHDCVRKWAEDEALAPDLAGFINPRRDPAVVSPASSTARSTASQGVLRSAAPTTDPSFKRDTPVDDLSPGARRLDDLIVGSSASPTSAVSPRVPSSGVRAGDFHERAPATSTATRALEILRAMSTSAISNSSTGGSTSTANSDSAYKLFDRVPHRPKWPSDHTLSIVVCRALYPVNEDMLRPILAPYGVQQLVVNPRVTKSNGSHYVKAIVELRSRDDADEARVSLQGKCLFDGCCYLDVKFALPYELASMETSTGATSTTASTACVIHDGVSHIEMPAGDSFLPPTNAVAVPSTTFSLVYPCTETVAASFAANVLHQCCSELCGHHPCTEASEANKSEMGLSSMQAAAISATTKVSMMPNDMSLQTAHGFAIFCTDQASVSGCGATLDANDTSANKVFLDTEDMFPTTPMSSELSTFQPLEFYDCRRGAFVQWSPPLGMCVNLPMDLLEQDDQLQYIGRKQWLIEEISVEVSSIKEELEPINHLWTMMLNDLNEGFSLEHLWDPGGLILSSWHLQQLEAILSHKNSSSVDISEQESIAFANLQTALYSPWCMECVKSDIGKTQTCWLQLQPKPPWSHLTTVSFTSEFGSNVLAVSEPLLVIGITVKLNLNNFATWYIFCARAGSCSTLRNAKQILSVDTCSRNLPNSIKLQNPSCWNGWSSILLLICWTPFLFIQWFGGLTGAVQGYTSSSTCTSLAFQEYYASSSKSGQSILSKAFSWDPGVRTLIKIDHRRCKQIQVKDGRNSKTTYQGIVQVHIASIYYLDYACYFDSVRADYYCDTFLD >cds.KYUSt_chr4.49451 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306224176:306225975:1 gene:KYUSg_chr4.49451 transcript:KYUSt_chr4.49451 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRGFDSKWILWMKELLTSAKTAILLNGIPGNWINIKRGLRQGDPLSPLLFIVVVDVLQQAIKKSAASGSLRHPVLEDQPCPVLQYADDTLIVIQGDVEQAKILKQILDDFASTTGLKINFSKSTFVPINLTMRESEEIANALNCDVASFPQVYLGLPLSDSKLPKEVFLPYILAVESRIGFSLHFITRGGRLTLTKSVLSALPAYLMSCFKLPQWVIDELERLLRAFFWKGKNSVNGSDCLVAWDYVCRSYEEGGLGIKNLRIQNDCLLTKFVHRFLTQPNSPWARWVVATHLQGKDFGDRPTTQTRVWKQMWGLIDTYRNATCVQLGDGITTSLWKDKWTSDGPLCFQFPALFSHTSRPNISVADCWRDGVWTIPFNHITSDRADQEKEALFRFLGTCNLQNLQGDKRGWRLDKTDCFSVSNLYNIMNWGGIKQESAESIWRCAAPKKGKIFAWLLIKGRIKVRAVLLRQNIVDDERCPFGCKERETTEHFALNCGRTIQILALLGINLTSCEKLTNIFDTARERCPPQKKKAWTLVITAALWSTWLARNRKVFDDVDIPVHIVAKQCIDTCKLWTLRAKHEEKASIQHWLADWTV >cds.KYUSt_chr7.1369 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7451638:7454568:1 gene:KYUSg_chr7.1369 transcript:KYUSt_chr7.1369 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTPNPSYPAPSAPPLAMADLAPVEIPSSPNTPNSPTAPPPSEDILLRIPSTQLHLIDRHRSHPLATGDLTLLRIHAGATSLAAIAVLGPVQFPLTRDVAAVKLDPCHYSFSLTVPASADDPAPGPLHYGLTLARPDPRLDGVLAACTSFSAHSVAGSEGLAGGGEAEAEAAAYWTAVAPNVEEYGGAVARAIASGAQGLAKGIVWCGVMTVERLHWGNEVLRKRIQPGETDAEVTPEMLRRIKRAKKVTKMSEKVATGILSGVVKVTGYFTSSISNSKAGKKFFSLLPGEIVLASLDGFGKICDAVEVAGKDVLSTSSTVTTGFVSHKYGEKAAAATNEGMDAAGHAIGTAWAVFKIGQAINPKSLLKPTSLAKSTIKALLSKM >cds.KYUSt_chr6.28855 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182804703:182809875:1 gene:KYUSg_chr6.28855 transcript:KYUSt_chr6.28855 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGQRAGDKSDSRYCGVEVLDFPAGDGLPAVLSHSLSSAFDFLLAPLVDPEYRPTPGAVLPVAASDLVLSPSQWSSHIVGKISEWIDLDSEDAQLRLDSELTLKQEIAWASHLSLQACVLPPPRRSSCANYARVVNNILQGLTNMQLWLRIPLEKSEPMDEAQVNNNNPTSDIVDSWEWWNSFRLLCEHSSQLYVALDILSSLPSMNSLGRWFGEPVRAAILQTDAFLTNARGYPCLSKRHQTLLTGFFNHSVQAIISGRSNHNVSQASEGVLGVDENHTEDTPTRHALSPYLDYIAYLYQRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAIAKALVDKVSDDEIPTTRTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESMVTIISSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPVTASKLHNDIKQHKDIAHFETAYVVKLHRVARLAPPQEVFSFTHPNFSPKTTNQRYTKLQFELPQDTGSCLVHGFAGYFDAVLYKDVHLGIEPNTCTPNMFSWFPIFFPLRKPIYVPSESPIEVHFWRCCGATKVWYEWALMAPSPSPIHNSNGRSYWVGL >cds.KYUSt_contig_534.180 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:1160115:1160564:-1 gene:KYUSg_contig_534.180 transcript:KYUSt_contig_534.180 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEERRATCREEGGPQHGRRQPPPAPAGFAPTWPPPARVCSAPRAKGRCRRPKIIVPGPRLLRPKGAASGTRLPRPVVGVPGPRLLRPEAAACHPEVAVPARATPHSDAVETMVGVRPIQEVPVAPSMEASRSAAEMRRARGGRALTG >cds.KYUSt_chr7.2207 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12860389:12865882:-1 gene:KYUSg_chr7.2207 transcript:KYUSt_chr7.2207 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSIQLSDGNDVEEINLVVELIDHDIGSWKIDMVKNNFIAPEADAILNIPLRCVGGEDSCAWSLEKSGVYSVKTAYRALMSCNEQAALDEGTITETSSTEKRMSTILYKLHVMPKEAIPIAEVFSVLQCSPHGLSVDDAARRLETFGPNKLEEKKESKFLKFLGFMWNPLSWVMESAAIMAIALANGGGKPPDWQDFVGIVTLLIINSTISFIEENNAGNAAAALMASLAPQTKVLRGGKWSEQDAAILVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESMPVTKIPGDPVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCIVSIAVGMLVEIIVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIESFVRDVDKDGVVLYAARASRTENQDAIDASIVGMLADPSEARAGIQEVHFMPFNPVDKRTAITYIDGADGTWRRVSKGAPEQIIDLCGLREDVRRRVHAIIGKFADRGLRSLAVARQSVPERSKEGKGSPWQFLAVLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSTSLLKGGDSCGMDVDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWQFDFSPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWRLNEIFATGIVLGTYQALATVLFFWAVYSTDFFTRILHVHPIGGSTEELMAAVYLQVSIISQALIFVTRSRSWSYVERPGALLVLAFMAAQLVATLIAVYADMPFAKMKGVGWGWAGVIWLFSIVTYIPLDVLKFAIRYFLSGRGWSNVFDGKTAFAQGVDYGTDKRKAEWAVAQRSLHGLHAPSDGAEPSGVGASEDKGELSELAEQAKRRAEIARLRELHTLKGHVDSVVKLKGLDIDTINHNYTV >cds.KYUSt_chr3.17927 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110076990:110077259:-1 gene:KYUSg_chr3.17927 transcript:KYUSt_chr3.17927 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLLLSELLGGAGSTSMLAANCYVGGHSLREFRPAPVATAAAAKGERTPPATGAKQGAGAAVAKEEESSFEDLAAISRIAVDVMWP >cds.KYUSt_chr6.11960 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74465960:74468794:-1 gene:KYUSg_chr6.11960 transcript:KYUSt_chr6.11960 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTEAKPSKKKARKKKSQLNPPPTSSKGKKKRKKDSPLAEPRIKWTPKEEECLAEAWMTVSTNGIIGANRSFDTYWLRVKRAYEERKLVDPYFKKTNMNVFRETRQWPPIGGSCETACGKWHGIREECEKRPISGHDLEQKVCFVDPASPRYIVVSRPVSPCSFSPRLRRALDMYTDDTGLRFKFLNVYARLENCEKWKEVRTTLSKSKTERVQPRRSGGKRGGRAPELSRKKLKELKKTGNPADGMRASIDKCSGRLEDARRREERQVRRQVAGDARQPRRPDRLVEDDGGGEEEEHRLGVPHGRRRHGTDGRGDEELVPGPPQRHPPSHSGQSFVVSAGSYLVCLTIYLVDCGCFDVHCRWFIIRRRSRFGHDVENQSMEEGFNKKRAVLTLSQEPEVGAMAAAPVGTVHWSKWSSLPGDLVRRIADSFLASNDLDHYMCLRAVCTCWRSATDEPKDNASDPRFQPRRWIVLDEVFQTEGKLLLLNTDTGRFLHKKLPLLREYYVVATTPSGFFVLADRSPPHAARVFNPLTGDLTRFVAPMPPEVGVAEVICDKGALYLHFLGDSTCKIYMALPGNQGFVSTDCGKKVYNIFRDAILGGAYPQRISGPALVAAFGELYDYVSSPHGDPLKVFSNLSEEAANNIRFRFFVVGLDAQLFLHIEIQGRTPFVFKMNNGIGKIEPVESIGRFAIFIGHHGCLAVDADKFPGMEANCIYYTQDLGSSAHICKYNIKDKKVERLSEVAEFMKQDKKFVLVTARPSTIIQLLCSYTIKCRDSELVWQQTL >cds.KYUSt_chr5.6537 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40597465:40601095:1 gene:KYUSg_chr5.6537 transcript:KYUSt_chr5.6537 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAALSQLQLQLLALVSDLRLTRDSACSLQWTIQMKDKQIAVISEKLNSHLALFNSVGKEVTAVTQVLSDMECLVGEKENVVSELKGKAMLKELHNMEIALGKFQDIFSSIGHEEVKSSSPVSECQDRQHYANKEQVESIPGSQCDPPNEHRLILVTDEAATATVEFKSRIDTGSNQEQCPQSLKDSTLASPETLTETVEKPNCCHESKDIEMGNSSPKQQTNYVSPDPETENRSSASRVPETP >cds.KYUSt_contig_786.372 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2005686:2020872:1 gene:KYUSg_contig_786.372 transcript:KYUSt_contig_786.372 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRAKGLVHSDLVRSDDAHQIKGASPSAGGVQSSGGVELRSNKGGVQLRSNINAVMVQMTGHYLSITTKMWASTRHDTLYAKMSSLSTHLRLPEEDGHRMHIGHSTEFFDHVEVLTTVWAPYYTIHKCHRFLFCGNPAHQAAACTERFNSHRRREVIEYLGHGIDGGFYYIDLGGAELRSPQHLAVITVLPEQDPPLQIEVTVDTIRTELTQLESTWVWNVREISPTEFAVAFPSAELLRALSWGSSTILPANNINVSVLPSCVDPDTVATLSEVWVRVHGIPEEARTDHILELISQIIGKLVTVDPLSLPGAGPVRMLILCPDPTKLACTLPHVFFGKGGRALTVEVEGDEAQAGAQTPPLDPSQSHHDDDADDDDVSSDGGSEDDLGGDGKDYSQRQAPGTGAESSAALDVRPGAQSAQLGLARTLRSAPAKLGAAAPRAPPAVTVGSCGLSILEYGSNFPQDSSSASVGLDVCPPQSPRSPGLVCYTRSTGSTPTSPQGPATPGPTVLEPASVFPDTPVAGAKARAQRRQRQSTLPSRHSARLARSRSGAEVVEPTVAEQAERRAAARNLDSAGGDSGQGGLRWRTRSISRSVGAREGDLRYLD >cds.KYUSt_contig_988.417 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2489108:2489725:1 gene:KYUSg_contig_988.417 transcript:KYUSt_contig_988.417 gene_biotype:protein_coding transcript_biotype:protein_coding MESYYINPPKASRFASSPFSPNETPKMAARLLIPILLVLLVVSHVALASIVEETCAKVENISLRKELEAVCVTTLQAAPGSATADTHGLAVIATNLTMVNYTAAVATIKDLQRHGGWTVGQQAALATCRERYTEGLNAMHRAIQALATMQKQAYEDNMIAAVRASTDCAAASVAADKEVSPLRKVNADAEHLTVVAMVIFFLLYV >cds.KYUSt_chr4.43505 pep primary_assembly:MPB_Lper_Kyuss_1697:4:269769615:269778025:1 gene:KYUSg_chr4.43505 transcript:KYUSt_chr4.43505 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHGDVSDGEEDAVMDPALLSSSSPNGAAVAAAVSAPRLVVGYALTKKKVKSFLQPKLLLLARKKGISFVSIDETHPLSEQGPFDIILHKKTSKEWQRVLEDYHEVHPEVTVLDPPNAIEHLNNRQSMLEEVADLNLSSFYEEVCTPRQLVIMKDPSSIPTAVAMAGLTLPLVAKPLVVDGTSKSHELSLAYDEASLSMLDPPLVLQEFVNHGGILFKVYIIGEAIQVVRRFSVPDVNTYDLLNNVGIYRLPRVSCAAASADHADLDPRIAELPPRPLLEKLSRELRSRLGLRLFNVDMIRELGANDRYYIIDINYFPAQGQIVPTLYRSPPATPLMGSICRCTPARRRVLAAICRSGESSGDDEDDDGTQDPCGRDQISKMWFQIYRHSRPDAGCGFDEIHIHQRLARNRKQQGWARRIGAAPGDSPDRDRC >cds.KYUSt_chr2.47990 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300108292:300110804:1 gene:KYUSg_chr2.47990 transcript:KYUSt_chr2.47990 gene_biotype:protein_coding transcript_biotype:protein_coding MESESRKEMESESRKEMESESSKEMESVDHQAELLTLHEEIMSLVSERNNLLASLTPNLFVWEDQSAAARWADLNSREVESASTWRIAGKASVQKMDSSLTEPAAVSGSRPTWQPEQLENYWDSILQDMEDLGHRMLSIADDTIHSRKLDGPISMYKKAEMFATASRLVQISQDLSNSTNAAVGGQAEEMVTEAVDSCEKKVAATFARLAREEAFAEDARGEARARDARGQAHAEENIALSNGFLRLCNNYFERPNTYRKHLAMIITPEEDREMEMEKEKEKEKEMEKAKAVEKEKEKEKAKAKAVEKEKEKAKAMAKAMAKEKAKAMMKEKAKAMAMAKAKGTSESKAKEEQEKGKGKEQGKGNGKVKGKGKGKGEKKRQDKEEEEDYDGVFWEETESKEYLKRRMVIEQGISFFLLLLLSQEKQITDFLPPSTLSSMQFTHSTPGIIPHGSVVGTTLQIYSLEIKLKADLEWPLHVYGVVAARDTVDRNRNLLFCRSRVNHQVLTRNDPFLRLTGPGRAILALYPVDFEVELKMIDGDESRDIALISLNLRYNGHDNIALFNSSLCTGKLCLEPIDRTVQATIVGVRLATGDRPFKYGARFACSLLGAGSPVEQVLLLDSYGGENTVGADGYFSLSRNVVSVESEGGLQVVIQAYSGSATISAEGAVSFYRPKHCNIQQLKCYVGDSEVEITVAWSHLVQDKTDLLTEGYAAQVWKAL >cds.KYUSt_chr5.36802 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232651212:232655422:-1 gene:KYUSg_chr5.36802 transcript:KYUSt_chr5.36802 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKSPQPLKKSRTTLSGTDGHQFENGEFPSETASEKMDVWKSGTVDKGQDEFEEDNSPSLQNSIGPNFRGSPCIGAFTIQCAKCFKWRLIPTKEKISVPIQFSMFDVTILDSDALKRYYTAPTARKLRSLVEVDRYLSENPEYAAQGITLAQFSFQIPRPLRQNYVKKRPKLPSDEAATKPVQPVEANPIAWAAPPAPHEGQESEPASHADGPLGSADVELVRKTKAESSPPGEANTNHVSDGPAVKSENGDASTT >cds.KYUSt_chr2.38209 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236550876:236555524:-1 gene:KYUSg_chr2.38209 transcript:KYUSt_chr2.38209 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVHHEIQQMGVKLHDLTNFLTDADRRRITDKRVQAWVNELKHVMYDAANILDLCQLKAMEQGPPSAACMKCFNPLVFCLRNPLYAHDIGSRIKSLNQRLDTINARSETFSFANLGSYEDRGNMVAVNGNNDRETSGELDLSGVVGEKIEEQTRRLVEIMLTQRRGNSNIIVVAIVGVGGIGKTTLAQKVFNDEAIRSEFDKKIWLSVNQEFDKAELLRTAITLAGGDHRGEKALAVLQPALAATLKANKILLVMDDVWNQRAWEVVLKIPLVNAAAPGSCILITTRYETVARGMTAEEPYHHIDKLEDEDAWSLLKKQAISSEIDESEIEKLKNIGFKILAKCGGLPLAVKVMGGLLHQRGTLCRDWEQVLDDSAWSLSEMPQELNYAVYLSYEDLPPYLKQCFLFYSLLPKGQKFDVFDVVGMWISEGFIYGNSNDLEELGMHYYKELISRNLIEPDSVNLWIGSMHDVVRSFAQYVARGEALTAQNGETDTNSKLSSQKYLQLSIENNGLQSGVLEWRSLQGQKSLRTLISIGKINMKPGDSFVTCSNLRTLHIESMNVAALVESLHELKHLRYLALIKTDISALPRNIAKMKLLQYISVRGCESLVKLPDSIVKLGHLRILNLAGISKDANIPRGFCELTSMRRLGGFRARMNGDWCSLEELGPLSQLRLLAISDLENVSIASYAANARIGEKKHLTEMLLSCASRLGNDGLVKEKEGVPEEEQQQIQKVFDELCPSTRLEYLNINGYFGQRLPRWMLAEATPLNNLQFLRMYDLACCTQLSDGLCQLPYLQVIQISRAPSIKLVGPGFVHPSRAATSFPRLQKMGLEGLVGWEEWHWEEHVQAMQNLKDLRLNRCKLKRVPPGLVSHARALRTLIVSWVQHLSSLDKFASLVELQVSHNPDLRRITNLPNLQKLVINSCPKMEVLEGVPALQRLVLEDYLMEKLPDYMRDIKPRHLQLDCRPWLLTSVAAGQSGPEWDKFSHVEHVKAYAHHGSDRRKWYVMYTRDHCNLESNISHSTVFGGTFSSSMIDAQALGSVLKMRSNFSYICSLVSVPFLEDNMMVRDQTLDDGRGLSLEDQVAIALRVLSSGESLETIGSAVGMNRSTVSLVTCRFASYLCERANHHLHWPASGEMEEIKSKFDKIHGLTNCCGVVDTVRIAVPLLSAEANSDHEKNDGMLIQVVVDPDLRFTNIWFGLSSSMNQSSILHGSGLFELCEKGEWLNGSKLKVSDGSEVGEYIIGDAEYPLLPWLLTPYQENDLPDSKADFNTRHSTARNVALRALARFKDTWKFLQEKMWRPNNGDEMFRIIDACCILHNIVIDMEKGAGMPTDVEVNYSRQLRQLADENAVRARDSLSHHLISRFTET >cds.KYUSt_chr2.13405 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85041924:85046397:1 gene:KYUSg_chr2.13405 transcript:KYUSt_chr2.13405 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPLCTWLVAAGSHADCGAEESRSHQHRQTQCLDGGSGASMFGPRRDRRPIGARRHSSARSGMAMAVALQPERVIVEKKRPDVKQRRVVVTGMGVVTPLGHDPDEFYSNLLNGNSGISEIETFDCSKFPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENGGLTEEVRNELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYRKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDMGRDGFVMGEGAGVLVLEELEHAKQRGATIYAEFLGGSFTCDAYHMTEPHPEGTGITLCIEKALADSGVTREEINYVNAHATSTQSGDLKEYEAIVRCFGQNPELRVNSTKSMTGHLIGAAGGIEAVASIQAIRTGWVHPNLNLENPEKIVDVGVLVGSKKERCEVKVALSNSFGFGGHNSSILFAPLK >cds.KYUSt_chr1.3999 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24347045:24349842:1 gene:KYUSg_chr1.3999 transcript:KYUSt_chr1.3999 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFLRSQILQQSSCEVMRQQCCQLLVQIPKQLQYPAIYSIVNSGFSMQQQQQLPQPQEKQVGQGLCQPQPQQAGQGFIQVHDLAKFEAMRNFALQTLPAMCKVAALGVLGLPTAPASPLFAPGLNQYGSNLVAAPDAHTLSLLEPARGKLLVHLVPSGVEGSTPLEDISMDSADPDSQLTDPAPSWVDDSQQAEGPPAKLARLSPAKGMTAVEDVEVLDASDDDDLPRPGEDAARKNLLQEMSQATPLVQARRSKAVYSKRATPSSAVRKSLRSQGVAAGTSALVRAQRLTAEKNLEGKTCTDTVKNKGNDFAILDLLPDDHLSSVVRDSCLVFSPKLGCPGEALSIIRAKEKVQAALAETSRRLEQEAAAAKAVAAACASVEAPRVELAVAAADAPRVERVEGEEHPRVERAESEEPLTGGVGPPGELGGPGAGAQAPVAVDPASSPPPPRSRPKCSCVKVPALAVSKRQYKKRAAK >cds.KYUSt_chr5.1532 pep primary_assembly:MPB_Lper_Kyuss_1697:5:10518608:10523279:1 gene:KYUSg_chr5.1532 transcript:KYUSt_chr5.1532 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCWGMQGERRWGVLTLVVLDEVENTEDAAGDEEGEGVAGVDDNEQVEILASWPSRAAAGVDVEADVVVRPEKAGDGHARVLPGAVTIDTTNEEPRPEVPPQGRFSVEQGAKPLGCSIGFDMIQASDNVRFLLTSTMQRCWGMQGERRWGVLTLVVLDEVENTEDAAGDEEGEGVAGVDDNEQVEILASWPSRAAAGVDVEADVVVRPEKAGDGHASACA >cds.KYUSt_chr6.21031 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132719358:132720318:1 gene:KYUSg_chr6.21031 transcript:KYUSt_chr6.21031 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRCRCWEAQLRSEGVQAKLQFSGSEIYKLKEEFQADVSEVAIGSAYQQRRLFGSYAAHGGGRRAAFSEGYAALCCGRLAVFRPPLPLLVEWRPFDFLPASEPEGRQCLFIATSKVLLGSFIAPSDIVPGGGEVLVRKKLWARLLSPLEAAGCTAGVHVSATWLRQRWAMVGWKLHVLPNLSDIYVVLYLTVFG >cds.KYUSt_chr2.33410 pep primary_assembly:MPB_Lper_Kyuss_1697:2:206225881:206227366:1 gene:KYUSg_chr2.33410 transcript:KYUSt_chr2.33410 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQCSRFGIVAPEVADTLLAFPLLRLSLLGGLDLFFERPEPQLGSAMPGCSDSSSGGVLVVCCWGWGARGGVAAACAAVLTVNWWCGGYFILDAGRMVP >cds.KYUSt_chr1.24670 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147665157:147673295:-1 gene:KYUSg_chr1.24670 transcript:KYUSt_chr1.24670 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPHLAFHLPFPFPFPSTSRPPPRTLAPPPARRAPLRLAAGRRFRPPTADDEPPEAAEDSSHGLNRYDQLARHVERARKRQQADQPEVTADHPLFSSSPPSAGTYDPDDEFFDEIDRAIAEKREEFTRRGLIKPTPPPPPELDGPADDLSPEEAIDLDEIRRLQGLSVATSVADEEVDGGELLLDDGGLLLLHDDDEAFDVADELGLEGPRLRHPAFRMTLAELLDESKLVPLAVTGDQDVALAGVQSDARLVSAGDLFVCVGDDGLAGLTEADKRGAVAVVADQDVDIEGTLACRALVIVDDITAALRVLPACLYRRPSKDMAVIGVTGTDGVTTTTHLVKAMYEAMGIRTGMVGVLGAYAFGSNKLDSQPGASGDPMAVQKLMATMVHNGAEAVVLETTTDGMLPSGVDSEIDYDIAVLTNVRHANLEAGMTYEEYMSNMATLFSRMVDPERHRKVVNIDDPSAPFFAAQGGHGVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILATVAVGVAVGAPLEDIVKGIEEVDAIPGRCELIDEEQAFGVIIDHARTPEALSRLLDCVKELGPRRIVTVVGCCGEKERGKRPMMTKIAAEKSDVVMLTSDNPASEDPLDILDDMLSGVGWTMEEYLKYGANDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEQGDVVVVTGKGNDTYELEGDKKEFFDDREECREALQYVDQLHRSGIDTSEFPWRTVQTAARGWHVSNVGFIVPPSPPAGPQTRALARERRTLVTSAERNLLDNAVDSPAWHRHFEDERAVELARPLQHRRPPCLVVRPRCRHHASPVRLPPARPRRHATRPAVLPAGGVHGAGGADSAEGAGKDRCVRKLAHRIIVSRRSHALGHAHASFRRRRHPRRGEARILCSGPFNDGVGPLPPRQGGGRRGLATTSAGEEETMVEKEVEAQAALRGVDDEEEFPGLNFMVGHSIDEDYRQITLDPQQAACGDPTYHCMV >cds.KYUSt_chr7.11634 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71500382:71505344:1 gene:KYUSg_chr7.11634 transcript:KYUSt_chr7.11634 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLSSGEQLVELHQVLHCSDNSVPLNRRLTLFADLLDDTVAGAGPRSMACCSLVCHKVASATALSSPVVSGTCARRAPMLDPNPQKKPGLPMMAAILVPGDIAAASGNAIQVITDRVSLLPVDALEQHGHGHADVASRTGPAMGFLLQLLNQHNRVTTDCSDWRKNCRKPGTKLRSINYMTSPYLLRVLTSWCRCLVNVGHRGRTQKAKIKNEDPGHSRVGKVIAA >cds.KYUSt_chr2.27790 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170666800:170669267:-1 gene:KYUSg_chr2.27790 transcript:KYUSt_chr2.27790 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHARAQALRALLARCSTKCPAAAAAAAAASTSSSCLRRGVPSSASSTSYSRMLLPALRAAAVPAAQARSLASKAQGGADSSEADTDEGEDGEAEEWEEDEDEQADPEIGDGGNGGGVVLRDVKWGARALAAAEEVLAEHFGDDIAMFAFKVSPKGYVYVRLDKLTNRYGCPGIEEIENFNRLYKQKLDEIIERGEIPLDLALEASQPAKFLYTVVAPEFRNHLQRINLVFQISSPGAERLLKVPDDLDRFKDMAMRVQYLAEGDDLAVDQMDGIFLLESVDTQAEHCVWKLADVNENRAGKGRPLNKKQRDWRLQTSFEAVRKATLYLD >cds.KYUSt_chr4.39729 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245371555:245372166:1 gene:KYUSg_chr4.39729 transcript:KYUSt_chr4.39729 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGDASPPAAVVDVPVGGAPNAGVVSAMISATILSKRKRFPKQFFEAPAAAAASPAAASPGEAPPAAKKAGRMKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKATPSPPSVLSDAPPAPPPPTMDVDKVFDLESTTSYMVMMMRTDGMDEDQLAWWNETKADIIARKKAARQARAQGESPASGGAGGDGLVDG >cds.KYUSt_chr4.5144 pep primary_assembly:MPB_Lper_Kyuss_1697:4:29560155:29560769:1 gene:KYUSg_chr4.5144 transcript:KYUSt_chr4.5144 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTAVFPKTESSNNNQRVAEDDVPALASLWPGDGGKSGPVVIFSMQRRHGAGIEEMGRAGVAGVHLNSIGRRRPASTRALTRMPPPAPVLTGVLQLQGRHNGMLQRGSPQRRSVRCLADGDGVGGAGPRLGRSRGARCLGVHVVSAVLTNAGSKQRSSLPPASSLCRQDELFMQQDGRRPVVPQHVAATGELVVSSRSAESQT >cds.KYUSt_chr4.50262 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311358307:311364019:-1 gene:KYUSg_chr4.50262 transcript:KYUSt_chr4.50262 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALASAAAIADQRQKIEQYRHILASVLSSSPPDIAQAKRFLNHSNALSPNPDLRFHDIWFLLGFSRFGIGASAVVSDEVPLVVSRQLLQTFAQELGKLEPDSQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSRAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDAVNAEAFINKASFLVTNSNQEVLNLQYRVCYARILDLKRKFLEAALRYYGISQIEQRQIGDEEIDENALEQALSAAITCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVFLERILRKPEIDAFAEELKPHQKALLPDKSTVLDRAMIEHNLLAASKLYTNISFDELGTLLGIDPRKAEKIASRMICEDRMRGSIDQVEAVIHFEDDTEGLQQWDQQIAGLCQALNDILDSMSSKGIAIPV >cds.KYUSt_chr5.21091 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137325149:137330583:1 gene:KYUSg_chr5.21091 transcript:KYUSt_chr5.21091 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAGGGGGGAGVPADVLFTELWKACAGPLVNVPAVGERVFYLPQGHIEQVKENGGDADAKLGFDAALVFSENFACSHVEASTNQVAQQQGAPLYNLPWKIPCKVMNVELKAEQDTDEVYAQLTLLPEKQKNENVSSEKEEEVPAAPPAANERPCVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPTQELMAKDLHGVEWRFRHIFRGLLAILNNSCGLCSPLVFETNQCSLRLYGYDQRTSPAEFVVPCDRYYESLKRNHSIGMRFKMRFEGEEAAEQRFSGTIVGIGDSDPSGWADSEWRSLKVRWDEASSVPRPDQVSPWQIEPVASPLPVSPQQAPRYKRSRPNVIVSSSDLSSVNKEVSSKAVANSHQNQLPKTFQSQENAIFESRFGDPNDLNSSTKLTMWPSEHDQEKSITQRKLNSKGWVQVQRPEGYTDMLSGFQPLKATQNSLCYFPSQISENCSTTWNMINVHYPNQQVNRNTLPDTWSFMAPNAGFGVIRPDYLTRHGKTLPQSTENAKFSWNGDFTSLQVQGTDQRSSGWFDHAELSSHTEDTSPNLIKPQAPVIAQDLRKTKGPPCMLFGIPLDSPKKSEPLISPTNVAYDWKPQTPHSVKEPEVDKSSDPPRIVNPLDGTQLYSVMEKHQSCPEASRNTQSKMQNWSNRSCTKVHKQGIALGRSVDLARFTCYGELIAELDQMFDFHGELNGSGKNWMVVYTDTDGDMMLVGDDPWNEFCDVVHKIFIYTREVIQKMNPGALRERSEDNLSASLERGLLADSPEVA >cds.KYUSt_chr5.3160 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20421783:20422058:1 gene:KYUSg_chr5.3160 transcript:KYUSt_chr5.3160 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYSKWAWMPAGMALNLDLLLVNIIGYRLKVYLTGFDEGSMDYITVFLGIYWQFKYIPEGICMILYKMLDDASDTSQHISDTGNLQLTDG >cds.KYUSt_chr1.9110 pep primary_assembly:MPB_Lper_Kyuss_1697:1:55809081:55813530:-1 gene:KYUSg_chr1.9110 transcript:KYUSt_chr1.9110 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTFPTSTPFFVHHGSRRPSVDVRTAAAVYGRGGRRWRPLRVTCEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKAGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVAEESKQVSYRIVRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLDVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAGSFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTITRGKFEELCSDLLDRLRTPVDNSLRDAKLSLKEIDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAVDKGTGKKQDITITGASTLPKDEVEKMVEEAEKFASEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPVDVKGKVEGKLKELQDAVAGGSTQTIKDALAALNQEVMQLGQSLYQQQGAPGAGPTPGADGAADSAASSEKPGDDGDVIDADFTDSK >cds.KYUSt_chr7.14996 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92910028:92914278:1 gene:KYUSg_chr7.14996 transcript:KYUSt_chr7.14996 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRGRGDDADEDVDYDSSPDRRAPPDHDPAPSPAPAPAPRAPLSSMVVRPSPPPPPQPSASSSPSRSRSRSPARSSPSPSPAQGSRRRGSSLPRRRRDLSPPDLRIRDRRRSPPPPPPPARRRFGSPPPHRRAGSPPPPQRRRFSPPGFQPRQPRYYDEPPPQGFGMHAGPSPPRQRRLESGNFDDTMGPRYAHGYEGSGRGYVGSGRGYEGGGRGYEGGGRGGARLRDGSPPYGRGGRSHGRGPGPGKEFILIDGEYVHRNDPNLSPREGDWICQNPSCGNLNFARRSHCNNCNKHRYEPSRSPLRGYYDSPPRVPVRVLGPPSDRAPPREMARYRSPPRDWVVGDPRGYPTRSPPDRVGRFPDPLQRERMGFRGDRELRDPVKFEWSSAEHKQRERPHGGLYPDRNRRHSGSPGGNWGSDRRDRSRSPAGNRPMKSAFTGRDRPDLEYGGSYVGRGRSNNLDAGRGLGRGGGYRQGGGPYPGEGRGDRRAAPYGRNEERY >cds.KYUSt_chr1.36690 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223903757:223907099:-1 gene:KYUSg_chr1.36690 transcript:KYUSt_chr1.36690 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEYSWVRRTRFTHSVVRSSSISGRRSFDEQFYRRSATDPKQQQQAGFDYSELRAPARSLQQPRAKAAVPASPARPSIPRAKPAAASSQAAASSEGQLKQQHAATSDDGLLPAMKQGGEVGHKGNILGVEPLHIPPRHAVQSPKSHGSPDTLEFSFHSEEQSLRLQRVCSSPSPFSANDATLSAKPDARSVSLRVVGDGSTKPKKRSKSPIPTRVISDVFREAKAASKRFSSPQRPRKSSSARFLDDSPPFAFSSTRVAGKLVTKRASSWPRNSDARVAKVAALEILEKWTVDRSQLLIGHKFAAGAYSRLFHGIYKEEPVAVKFIRLPDDDEDEELTARLKKQFTVEVTILARLNHRNVIKLVGACSCPPVFCVITEFLSGGSLRSFLRKQECKQLPLEKILSIALDIAYGLEYVHSQGVIHRDVKPENILFDGECCAKVVDFGVAFEDVYCNTLEDDPGTYRWMAPEMCKRKPYCRKVDVYSFGLVLWELVSGSMPYEEMTPLQAAFAVVNKNLRPVVPSNCPAPVQQLMEQCWSVQPDKRPEFSQIVKTLENLKKVLDRDGTLDKILGASCQEAQDQNKNRLANWIQKLSYSQPDFSGTPPPKLL >cds.KYUSt_chr1.25485 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152872678:152873277:1 gene:KYUSg_chr1.25485 transcript:KYUSt_chr1.25485 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLGRENNLFPDKTISIRNLKLVQVKSFIHEHEKEKETEEFKSSLFFFNSKQEETKLLLFTISLLRPKEEDNYIDMVSKAIKAKAASFDMSSATESLARALDASGLSDRPAMPCEDVASLVAVALACGADADEAAAIADNTDGDAALPDADADANADGNDDEVHPSSP >cds.KYUSt_contig_319.1500 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:10023588:10023794:-1 gene:KYUSg_contig_319.1500 transcript:KYUSt_contig_319.1500 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAEGMENAASTDGCGGGHRRVEAAASNIGSEVGGVAFADRDRGGSVGGTEAASGPREPSDDMVFT >cds.KYUSt_chr6.30971 pep primary_assembly:MPB_Lper_Kyuss_1697:6:196318050:196322043:1 gene:KYUSg_chr6.30971 transcript:KYUSt_chr6.30971 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAAAAAAAAASLPPPPPEVAHLVEQLQRHHLAPDASLLSSSAHSDLLQAREEVAAERARYLEALAVYAEAMAMVEEYQHATAAGSAGAGKKLNCSPQVYESLEHHLAVAEAAQRLRLPLLSQDGEVHEEEIEKLSTLSRASFDSTVTSATPSSTSISTSYNNYSSTGSALAAGGGGSELVEPGAGGVPDRFLGITSDYLYQVQQQQPAMTVDMVDYQRTVAREIEARLEAKCDALTDLFAMDERDLSSMNQISSARLPERVKLIIEEIEKEESLLLEDLASMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQHQYDDVKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKETVPALHRIRKYLVEATKEASNSYNEAVSRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPASS >cds.KYUSt_chr6.32429 pep primary_assembly:MPB_Lper_Kyuss_1697:6:204610685:204613663:-1 gene:KYUSg_chr6.32429 transcript:KYUSt_chr6.32429 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIPRLARDVAASSFTPVDGEVLRLSLCILYFLSASASRPEWANPLHRRVHANSHFEDDEVQRDMELAKLAAVAELSTSVTVDVPEPSTRPVPKDDSVAQVASSSIIRGSSPYGNAMNEQISLLVGAQIRSEIQQIQGGVMNLLRSCLESEGSSSTSIISGSVDHHQSLSSEDKGWGCGWRNIQMLSSHLLRQRLETREVLFGGCGFVPDIPSLQRWLEIAWDKGFDTVGSSYFDSKIYGVKKWIGTTECVTVLRSFGLRARIVDFDSTESASLQGKSGKRVRGPMDKYLVKTNSCPNSSSGELSQEDAENMRGQQVLVDWVWNYFKSNSSGAFNNAKNVMISDKTPLYFQHQGHSRTIVGIQKQKGNRGSQDRYNLLVLDPGHRTADLERTLTMKKGWQRLVKRGVHTLRKPQYQLCYVDPGIASLEEMSQLKTIDSILIRF >cds.KYUSt_chr7.37665 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234948757:234955109:-1 gene:KYUSg_chr7.37665 transcript:KYUSt_chr7.37665 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGVGAACLAPSAATAPRRRADPARARACHARPRLLQLVRRRCVAELSREGPASRPLHSPAQQLAPPLVPGLLAPPAPTPTTVPDAGVGDLEPELQLEGIDEDSVDRTVVVVSEQDSEIMDAKEQTPAKVTRSIVFVTGEAAPYAKSGGLGDVCGSLPIALAARGHRVMVVFVDHPSYHRPGSLYGDNFGAFGDNQFRYTLLCYAACEAPLILELGGYIYGQSCMFVVNDWHASLVPVLLAAKYRPYGVYRDSRSTLVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSQGYSWEVTTAEGGQGLNELLSSRKSVLNGIVNGIDINDWNPTTDKCLPYHYTVDDLSGKAKCKAELQKELGLPVRADVPLIGFIGRLDYQKGIDLIKMAIPDLMREDVQFVMLGSGDPAFEGWMRSTESSYKDKFRGWVGFSVPVSHRITAGCDILLMPSRFEPCGLNQLYAMQYGTVPVVHGTGGLRDTVETFNPFGEKGEEGTGHMLYCFNALIPTIVQALRTAMTTYREHKPSWEGLMKRGMSKDHTWDHAAEQYEQIFEWAFVDQPYVM >cds.KYUSt_chr7.2484 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14469503:14471740:1 gene:KYUSg_chr7.2484 transcript:KYUSt_chr7.2484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Ubiquitin-NEDD8-like protein RUB2 [Source: Projected from Oryza sativa (Os06g0650100)] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGQ >cds.KYUSt_contig_2549.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000380.1:4262:5830:-1 gene:KYUSg_contig_2549.1 transcript:KYUSt_contig_2549.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAHGPADEKPHVVCVPLPAQGHVTPMMKLAKILHCKGFHVTFVNTEYNHRRLVRSRGPAAVAGLSDFRFATIPDGLPPSDADGTQDPESLCYYTMTTCLPHMTKLLEDLNSTAGVPPVTCVLGDNVMSFCVDAAAAVGVPCALFWTASACGFMGYRNFRSLMDEGLSPLKDEELLRNGYLDTPVTEAAGMSKHMRLRDFPSFICTTNRDGILLNFLMHEVEQSDRAAAIILNTLDELEQPALDAMRAILPPIYTIGPLNFLVEQVLPDGGGPLATTRSSLWREDRSCLEWLHGREPRSVVYVNFGSITTMSSQELVEFAWGLANCGYDFLWIVRNDLLAKGDAAATATLPPEFLEATKGRCLLTSWCEQEAVLRHEAVGVFLTHCGWNSTMEGLSAGVPMLCWPFFAEQQTNTRYACVEWGVGMEVGDDVRREVVEARIREVMGGEEGREMKRKAMQWKDFGVRATMQPGGRSLANLESLLKDVLTASSKKAA >cds.KYUSt_chr1.37280 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227842946:227851865:-1 gene:KYUSg_chr1.37280 transcript:KYUSt_chr1.37280 gene_biotype:protein_coding transcript_biotype:protein_coding FKMEMAQTRETSTCNLPKSYSLNMFKDFVPMCVFSENGQGKHSCEGKVEHKFDMEPHKDNLLNYAKLCRERTQKSMVKTRKVQVLDNDHGMSMRPMPGMVGLITSGSKEKRKPTPTKPSDVKRTRRDRRELENIIFKLFEKQPNWALKALVQETDQPEQFLKEILNDLCMYNKRGPNQGTHELKPEYKKSFTMSTVGTGLLKGLRGVLGQQRKPVDLCRKSRAWNSTISFSDTDDKVDMGDDGDYTDSKRELEPQTVDPKKGWGFRGVHKAIICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRIIASADTPKPAQWHRIAVHNEYLGAYAVQKLVKNSAVYIEGDIETRIYNDSIDDQVRNIPEICIRRDGKVRLLKSGESAASISLDELKRERMEWNGFVPRSRDGSDPVFSSKRNEEWNGSILCWGWRNWDGTE >cds.KYUSt_chr7.8972 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54521635:54523534:1 gene:KYUSg_chr7.8972 transcript:KYUSt_chr7.8972 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGNRELMRFDSIQAIEGVTKIKDGYNPATWMLEVTTVSQEQLLGIDFSDIYKKSKLYQRNKALIDELSTPPSGSDALYFPTKHSRSFFTQCLACLWKQNLSYWRNPQYNAVRFFSTTIIALLFGTIFWDLGTKREKPQDLFNAMGSMYAAVLTIGVLNSASVQPVVAVERTTFYRERAAGMYSAFPYAFGQKIPIWWRWYYWMCPVAWSLYGMVVSQYGDVDDPLYDGVTTTTVARFVSDYFGFEHNSLMVVGAVVVAFGLLFAFLFGFAIMKLDFHRK >cds.KYUSt_chr4.611 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3259264:3259722:1 gene:KYUSg_chr4.611 transcript:KYUSt_chr4.611 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWTLHSHRGRSSWKHSWTARALSSASLPPARLLAFFAIVVSFLAASSYVDYRAVERRAEIGARVFAAPLAAMAVFLLFAAIGHWRRRTRWTLRRHAPAATAVPASSSHASSGASPWGVAAMVAVLLVMVSFQPSVHAMWFRPLWGSDYDS >cds.KYUSt_chr6.1918 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11491843:11493346:-1 gene:KYUSg_chr6.1918 transcript:KYUSt_chr6.1918 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSKTHHHASSSLLPEELNLLHHKAMCSPEGGGVVVHGAGGGRSALGQWKGRLLGALRPRRPRCVVCLQVQRVTGMPPAAEGRGVVVGWRSKGGEGEHTAPAQVSRDGAAAFNETFLHYFSAGGATLRSFTVWAALVADTATGVAGRGDIGAFPVDLAEAAADESNNPRFGGKALSFPLGGAAAGAVLTVSVYCRVMEEEEMMHGANGHPRERKNKGKSSYASCLPDLSCLRNRPASAAMASSGSARRATSLRSDRGGFITIENSVAEMESGADDGAFGRLEDDEEEGAGFITMEKGKISSGSRRALHPDHEEEDEKPCLFMELSGEAAGFDVEEVEDEFLAMLEDKYWAELARSKEIEKGLSVSLDVGLDLGLNLDSLIRDAEMELGRAEQAWKSKVGAAIVEEEEYKELVRRWSANASNSGCSWGFGFGSPI >cds.KYUSt_chr1.758 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4043871:4044383:1 gene:KYUSg_chr1.758 transcript:KYUSt_chr1.758 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMQYSPKQLAAVLLLALASAMIVTAQDTVQEILDAHNTVRANVGVPPLTWDDTVATYADAFAQKRSADCLPIFSPLGHPYGENVFVGTGSEWNMLDAVNLWVSQKQYYDHATNTCSAPSGQSCDAYKQVVWRDTKAIGCQGVVCDGNAGVYIICDYSPPGNVVGQTPY >cds.KYUSt_chr6.9190 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56681345:56684506:1 gene:KYUSg_chr6.9190 transcript:KYUSt_chr6.9190 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTDLLNLDLSGSTEKIIAEYIWIGGSGMDLRSKARTLPGPVSDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDCYTPAGEPIPTNKRNAAAKVFSNPAVAAEEPWYGIEQEYTLLQKDINWPLGWPVGGFPGPQGPYYCSIGAEKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDQVWVARYILERITEIAGVIVTFDPKPIPGDWNGAGAHTNYSTDSMRKDGGFEVIVAAVEKLKLRHKEHIAAYGEGNERRLTGKHETADIHTFSWGVANRGASIRVGRETEQNGKGYFEDRRPASNMDPYVVTAMIADTTLLWKP >cds.KYUSt_chr5.12830 pep primary_assembly:MPB_Lper_Kyuss_1697:5:83680766:83681311:1 gene:KYUSg_chr5.12830 transcript:KYUSt_chr5.12830 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRSHDALANTMHLDAFAASPIKSLRRRHAKAGSPPPKAVALAVSSSPPKMAAVISPAPKLAAGRRSPPAKPARKQPSPTKDATAKPQMVVRILKRGEEPPAPFPVQARAQAPPADRRVRSPSPPSAGAPVQVRAQAPPAVQARDSAEHLVCGVERWCAAAWHRQSASSRSRSRHCA >cds.KYUSt_contig_528.314 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1919025:1919429:-1 gene:KYUSg_contig_528.314 transcript:KYUSt_contig_528.314 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGGPDRLFGEGCSSKAREGSGAKRPKGEGAAKVGHFHDDVIPTQFAKVVLSPALEMLPILTGFWQYLGIVPRTIILKTNIGCSCMVKLRDVKGTIYVDQGWLGFAIAHQVKIGYFMTFKMLRSDVFIKGHHL >cds.KYUSt_chr3.4704 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26734891:26736059:1 gene:KYUSg_chr3.4704 transcript:KYUSt_chr3.4704 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNKLGNAMVAEVQELIRGEQINMAIKASFDNLMKHLNSYFSPDGAVGKLAADVHKISYDVHESDQQTKAQGLAILRLEKQFGELISLRCTSTVEDYLEKFLTILSRYETLPNAQEHDVFTTSPLNPLKTQVGLSKLATLDETMDLARSYEHLATASVPRRPARSRITASTVPAAPTENLASAASIWSKPFKRLTPTEMNMRQSQGLCFSCDEKFSSGHHWKQLFALYIVPDSDDEQVDKPD >cds.KYUSt_chr2.2072 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12468981:12470595:1 gene:KYUSg_chr2.2072 transcript:KYUSt_chr2.2072 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKKNEEEGVEHRPDWLPDGWVMEVKHGEDGAPYQYFVSPVSGSGFRMKAEVLNYLFSEMDEHWIQSKKSAALDKVHEWLPKGWLAEIIAGGEKMDKMFKILALLEFNVPELPQGWVKEIVYRRTRTRMGIKKYLV >cds.KYUSt_chr5.11576 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75095862:75096496:-1 gene:KYUSg_chr5.11576 transcript:KYUSt_chr5.11576 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNSSVVGLKPAAAVPQSANASPAAAKRVQVAPAKDRRSALLGLAAVFAVTAATGSANASVFDEYLEKSKLNKELNDKKRAATSGANFARAYTVQFGSCKFPYNFTGCQDLAKQKKVPFISDDLEIECEGKEKFKCGSNVFWKW >cds.KYUSt_contig_817-2.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000077.1:88543:91698:-1 gene:KYUSg_contig_817-2.13 transcript:KYUSt_contig_817-2.13 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVLIQSATRWPSCFLLWLSVQLLFLSPVYPLNQSSCSPGDYRALEGFLQGLTGGISSWTLSNTSSEVANCCAWLGVACDSGGRVIGLDLHGRKLKGELALSLTQLDHLQWLNLSNNNLHGAIPAPLVQLHRLQRLDVSDNELSGAFPVNMSLPMIEVFNISFNTFSGTHPTLHGSPQLTVFDAGFNMFTGRIDSSICESSAVIRVIRFMSNLFAGEFPAGFGNCTKLEELYVELNSISGSLPDDLFKLQLLKNLSLQENQLTDRMSPWFGNMSSLAQLDISFNSLSGHLPNIFGRLGKLEYFSAQSNSFKGPLPSSLSRSPSLKMLYLRNNSLGGKINFNCSEMTQLISLDLGANKFTGTIDSLSECQHLRSLNLGTNNLSGEIPADFRKLQFLSYISLSNNSFTNVSSALSVLQDCRSLTSLVLTKNFRDRKALPMTGINGFRNIQVFVIANSHLSGEIPPWLAKFTDLKVLDLSWNQLSGNIPAWIGDLEFLFYVDLSNNSLIGEIPDSLSSMKGLVTYNSSQQSTETDYFPFFIKKNKTGKGLQYNQVSSFPPSLVLSHNKLTGHILPGFGNLKNLHVLDLSNNNISGIIPDELSGMASLESLDLSHNNLTGSIPSSLTMLNFLSSFSVAYNNLMGTIPSRGQFSTFTSSDYEGNPNLCGIRFGLSQCNSSHAPIMSATGNGKNKGLMLGIVIGTALGAALVLSVAVVLVFRRSFRRHDHTVKAVADTNGALELAPASLVLLFQNKDDDKALTISDILKSTNNFDQAKIIGCGGFGLVYKGTLPDGAKIAIKRLSGDFGQMEREFKAEVETLSKARHPNLVLLQGYCRNGSDRLLIYSYMENGSLDHWLHEKPDGPSRLTWQRRLQIAKGAARGLAYLHLLCEPHILHRDIKSSNILLDENFEAQLADFGLARLICPYDTHVTTDLVGTLGYIPPEYGHCSIATFKGDVYSFGIVLLELLTGKRPVDMCKRKGARELVSWVVHMKEEHREAEVLDRAMYDKKFEIQMMKMIDIACLCISDSPKLRPLTHELVLWLDNICDSSEATK >cds.KYUSt_chr5.15609 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100844763:100847787:1 gene:KYUSg_chr5.15609 transcript:KYUSt_chr5.15609 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKARVAGTGQLVALKKTRLEMDEEGIPPTALREISLLRLLSSSLYVVRLLSVEQVTKPCGKAVLYLVFEFLDTDLKKFVDGFRKGPSPKPLPTQVIKSFLYQLCKGTAHCHAHGVLHRDLKPQNLLVDKEKGLLKIADLGLSRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDIWSIGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPTEEQWPGVCSLRDWHEYPRWQAQSLARAVPTLEPEGLDLLSKMIQFDPANRISAKAAMDHRYFDSLDKSQF >cds.KYUSt_chr2.31414 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193907509:193909610:-1 gene:KYUSg_chr2.31414 transcript:KYUSt_chr2.31414 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGLPVSAPLGMEFEAKITPIVVTSCVMAATGGLMFGYDIGVSGGVSSMEDFLREFFPTVLRNKHDKEGSNYCKFNDQGLQLFTSSLYLAGLTSTFFASYTTRQLGRRVTMLIAGAFFNIGVIFNGAAHNLGMLIIGRILLGCGVGFPNQAVPLFLSEIAPPRIRGGLNALFQLNITVGILFANIVNFGTSKIHPWGWRLSLSLAGLPALLLTASALFMVDTPNSLIERGQPELGKAVLKKIRGTDNVDPEFNEIVEASRVARNVKHPFRSLLQRRNRPLLAITVLLQMFQQMTGINAIMFYAPVLFTTLGFKADAALYSAVTDNSDNLDRDWAIMVVVIICNFVSSFAWSWGPLGWLIPSETFPLETRSAGQSISVCANLLFTFVFAQAFLSMLCHLKYFIFLFSAASVVVMSLFVLLFLPETKNVPIEEMTDRVWKRHWFWKRFMNNDEGNSHRVSEANNIYNAAI >cds.KYUSt_chr4.14631 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90311028:90311792:-1 gene:KYUSg_chr4.14631 transcript:KYUSt_chr4.14631 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGVQLLPGDILACILRRLAPRSLAASRCVCKGWRAIIDDCHLLRTDLLPLSLYGIFLMERWNPVLPKFFASPPLVQGKIAARLDYLDTDYEGYIYIVNHCNGLLLLWERVVNPATRQWVILPSPPPRRAGMEKFFDDRCLVFDPTISPHYEVLHIPYVPPMAGSTAMFADESEWPPSRYPIQVFSSNTWRWEERLFVRRGEAAGTIAAMKSDGQHKHRYAVYWKGAVYVHAQNDSIIRYISIHPLRNAWLL >cds.KYUSt_chr3.10930 pep primary_assembly:MPB_Lper_Kyuss_1697:3:65061990:65063808:-1 gene:KYUSg_chr3.10930 transcript:KYUSt_chr3.10930 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPSDLTLDYTPNGNAGGGAAAASGYSITSPKQAPPPLVDHHHQLITADQATTQRLQEFLSRLDDERLKIDAFKRELPLCMQLLNQAMEAYRQQLEAYQMGSHGGAPARAPLVLEEFIPLKNFGIDAAEKAAGNAPSEKASWMVSAQLWNGPAAGEAAAKGPQTPKERSEHPLDTSPMLGALDSGGGGNGCGAFLPFTKEKACMADNAAALPELALAPSEKDTIVARESDRKPYHEDGGSNGVVARRDVVQNGAPPTSTATDGQAVPPPPQTNRKARRCWSPELHRRFVSALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPAPSAPPAGAPQLVVLGGIWMPPDYATQGAGPAAIYGAHAASQAHYTAAVSAQEYYQSAAAAAHHMQHHPAAAMVHHRTVAPPPPAYKVHPVSAGSPVSEGRGSGGGGGRERSESIDEEGEEREEDEDEEDDDGMAANGGADEINY >cds.KYUSt_chr5.156 pep primary_assembly:MPB_Lper_Kyuss_1697:5:1049082:1051757:-1 gene:KYUSg_chr5.156 transcript:KYUSt_chr5.156 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVVLSDSDRSDDSVPSRAPPPPLRSLIVAPASHQLGSRGWDAGAGPSRPRSVPDAAPVEMVADGGRPWRMQESRRARSSRRALARPASERRILPASRPTGANASRIPAALHGCCYNCGEAGHISAQCLNDTLCVRCGGSAHTSRDCKRPRSPPEASPPLQAPPPLRLAAAAAGGTRRAPAPSAAGSGRSWRDVVSASDGAVREGSASDAVDFSAPFAPPSPSSAATADAPRVVTTDRPDVCYVQPSLSMVQLEADLDRAVLVSVVGARIQVTPEVAAAEIRAYLNLPANAFSIRPFEPADFLLLCTSLEVRDAVVNQESVGTPQFTLHLEPWTRHVGAVLREAPFLAELEITGIPGHAWAESTASKLLKGAGIIDAIDPATASRSDMSCFRLSIWTHDVAAIPAVRWLAVPEPGSGLRLQVASGRRRPNTESPRMLWYKVRFWVARWLVGGPPSSRSDGGGASGSGDGGAARRAAGPSGAGAANGDQAWRRRRRRAPRRRRGRRAPEPEVAAPAAPPLPLEDVGMRRTDEPASLGRWRPAASHATMVAGEVPRADVSRAARSGSLGPRWGPGSPPHGSPHSTQGLRAACSTAGENPQHFSLAFEEHAEPRLAVSHDRGTCCSASYSCSAGADGSPVCLQGDSAALVAAAAFLSDRRASPEVDAASPGFLSWRSWGDSIDHEATSHPSPLQTPMSCTRAAASGASGHARGPASPVVRAQEPSHAAPTQELSLSLVEAADDTASPPSDAHAEDLATPSPAAAEADVHALSALLRQFRQRLEDPLLALPDPKLVRRRLFPIVHPSTRRSSRLAAKRKGTSTSTIKRAQHVLMRKLGICGQEEKLTPRQLEEYAAIFASPLGSEQLGAIAALFGLGSLGDVGLEEAVAVASA >cds.KYUSt_chr4.10921 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66305215:66308345:1 gene:KYUSg_chr4.10921 transcript:KYUSt_chr4.10921 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVERPRPESAIAENEIRITAQGLIRNYVSYATSLLQDRKIKEIVLKAMGQAISKSVAVTEIIKIGDDSTCFDDFNNFVSSRSGPELSRVTVHASCYGFGRLIYFLVGIKPHLMLSNPGNSSAFSRRHHSCVNLASHQLIMKIPMRGVVAEEGSADVVGVGVEEVMVDMEITKVGITKEGTTKVDTIKVDTTKMVATMIMDTTKMVGTMIIKVDMVVDMATTKAEETTKKMVDIIEDGVVSVEEAIGVTVGDMNAAEVVVLQVGGDMKVEGDMTKLLLEGATEAEGDMTKLLLVGVDMKAEGDMTKLLRGGVDMKAEGDMEGHGEE >cds.KYUSt_chr2.50184 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313883147:313883584:-1 gene:KYUSg_chr2.50184 transcript:KYUSt_chr2.50184 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRTIYQSFTFYELNSLSSSPHKSIKRTRKLRLSSVRLVRKSPAYTMAASVACSSFFFFDAERLGEAGMPAQDACALCTKPLARDSDIFMYRGDTPFCSEECRDEQMQLDAVRDRQAARRLRQYSSGTEARRGHQESRKVSVVS >cds.KYUSt_chr7.36256 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226676762:226677553:-1 gene:KYUSg_chr7.36256 transcript:KYUSt_chr7.36256 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVTTELFKLPLEEKQKYTNLVGGKKEYRLESYGSDMDRSEWPVLDWRDQFYFIMEAESQRLDDLWPTQPPSFRDVLHRYAGRCRELADGVLREVAKVAGLREERYLADMLDEKAVTYVGLNLYPRCPRPEKVLGVRPHSDGSMLTILLADAAGLQVLRDGQWYDVPVVPGALVVNLGDTVEVMSNGLLTSPVHKVVTNGERERVSVAAFYTVDPEREVEPAPELVSDKRPRLYKKMKNSDYMRELQDSLARGGRAIDKVKL >cds.KYUSt_chr3.26130 pep primary_assembly:MPB_Lper_Kyuss_1697:3:162440451:162446981:-1 gene:KYUSg_chr3.26130 transcript:KYUSt_chr3.26130 gene_biotype:protein_coding transcript_biotype:protein_coding MQSALENQAGLLQEAVRPLHEIVNSWQNWMLATSFLERVEDVLVKGYQFIMELAQLLAILAKTVCKLVDFIEAALKPSITFMLAKLDGILGLGFKEISAGGAEPVWYNMVSQGLVGSPVFSFWFNRHAGREKGGEIVFGGIDPNHHKGRHTYVPITKKGYWQFDMGDVLIGGNSTGLCKSGCGAIVDSGTSLLTGPTAIVTEINWKIGISGTMSKACKTVVSKFGRRIVDLLRKEMCDDIPNPMEESSVDCGELKSMPDVTFSIGDKKFDLKPEQYILKIGESDATQCISGFSAMDVPPTGGPLWILGDIFMEAYHTVFDYGNMKIGFAEAA >cds.KYUSt_chr2.7745 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48563219:48564556:-1 gene:KYUSg_chr2.7745 transcript:KYUSt_chr2.7745 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEQDLSLGILIDIVDEQWMRDTLPADGTRASILYSSSASEEPESIRSRNGVADIPVPPAMAVKTEDAEEPAPANQESQPPQGDVWRDFGLENI >cds.KYUSt_chr6.3254 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19046863:19048459:-1 gene:KYUSg_chr6.3254 transcript:KYUSt_chr6.3254 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAPAAAADSPGEAPPAAKKTGRVKTKAAGPRGVAPAKVRTKAISRIGLAPPPPSKVTTPPPSVPAVALPAPPAPPPTTIDVDKVFDVESTTSYLDMLNDSAVNLDAGIGAFDGECNVEDFDDEEEDEGDEEVGMDACTGVDQGGKRYWQRIEDQYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCIPEDYPKYAQQRYKDMAGSKNKEFQFEHCFSILQHLPKWKLRDNEPKCKKEALITMDDEAEDMRGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARKELDMKMIKAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWKETKADIIARKMAARQARDQGESPASGGSGGDGSLDG >cds.KYUSt_chr2.1484 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8917590:8919888:-1 gene:KYUSg_chr2.1484 transcript:KYUSt_chr2.1484 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNNRVFQALGIPAVVSMIRKSHGGRGGGGSTLSNDESSAITQGESSDYHPSNDQLVDQEDGEVDGSIGEHIEKALKDPKSRKKKAYVRKTSPGRRSKRLQKEQSSMPPGRVFADAPGGRKRHLEPVAEAPSKRVTRRTSSEASATNNKDTPPRNNRSSSPQIDERIDEGSTVRRDTGGTTAAGGRKRKGTGLEQICKGMGVKLTIEIPRGLKRPEKPLPAAKFASEGGMLARGQMPLLPHFKLYKRDENLLADFIGKMGANFNMDTESEDIQKACYDILRKVSKNRRYILKRDYFDKVLANEVSIKSPVKDVSDEEWEALTALWNTCIKNKDSRAAVKFGQKTGSRSYAAHLYATREERRGEELDAVDIFKATHHSKKDGFSEEALAAIADMEAEMAIPVPEGAPPRSAVAVVAKVLTKEAKHSTFLKNAGLQPSSTVKLNKPTAAAVSAHVLDLEAQLKRSQEVAEQMKQQFATIMEEAAAQKEKQAATEAAQAQRDKDYMELLKRTEESDRRLAHMMSILGASAN >cds.KYUSt_chr7.12922 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79768414:79777696:1 gene:KYUSg_chr7.12922 transcript:KYUSt_chr7.12922 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGGAFWGHFPVLAACRSRDSCPPDLGFAMAAALEDAIAGSKGNSFEHTRNGNRKVLSYLGPEYDCLLPFLPGNGARKVLRCRDPVCECRLPFLPGNGARKVLDVYGCFYSCRRCWASKSRENRNWPFGISSIEDWSQDAGFAAERLSSRTPRRVCEAPGLCTSRGCPVVRRTSDSESPIVPHDGVQAYGVCTKALAVRGFSTVGAAEASVEDEDSSSPMVEQPPHIKFKRPDKTARHIMNILNKEAVDKVRTEREIPDVQPGCIVQMRVQVPENKRRESTLKGIVIARRNAGIATTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK >cds.KYUSt_chr1.1176 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6441770:6446189:1 gene:KYUSg_chr1.1176 transcript:KYUSt_chr1.1176 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKHPVLLYDNNTGELASFSTTFTFSIHLGPTTSKGDGMTFFLTGYPSRLPPGSNHDMLGLTSKNTIPSGADRFLAVEFDTFSNTWDPTASKDHMGIDLNNLTSVSATMLPSYSLNGTMTATITLDNTTRTLEATLHFDDNDSLHDAQVKTQLPDDLDALLREKYAWKRTRDSFGQGSRLKRFEYRDLSIATNRFSDTKKIGAGGFGVVYSGSLKKEQVAVKRILKDSMGEFKDFLAELGTISRTGHGNVVRLEGWCCSINNFMFWCLHRQNIKLFLVYELVPNGNLHQHLHPDEQCETREVLSWAKRYKIVKGLCSALHYLHHECKPYILHRDIKPSNILLDNEFNAKLGDFGLSRVAQDSLETSLKTTRAIGTAFYMDPLCMKDGHVHFRRSSDVYSFGIVLLEIAHGKYDPDKVRKLHIDRPGTFVDDVADQKLGGQFDKMEMEQVILLGLRCSE >cds.KYUSt_chr3.3205 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18394849:18395357:1 gene:KYUSg_chr3.3205 transcript:KYUSt_chr3.3205 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSRRCEYPSVLDYPQVAKLSMLSGDQDILFPNDPRLRLELNTELKEAVLRDQAAKGHDGHREGYLERRNGEKPVTDTANAKLRAAVQISGKPSKPKKPRKKQRGITSRTKVTNVHLPGLLELSMGTEDLSD >cds.KYUSt_chr3.19996 pep primary_assembly:MPB_Lper_Kyuss_1697:3:123277528:123278706:1 gene:KYUSg_chr3.19996 transcript:KYUSt_chr3.19996 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRAVNPWAFARPPPPAFGFSFARQPVADLPARRRPPPPGFEFSYARQPVADLPARRRPPPPGFEFSYARQPVARLRTPPPSIGFFCDQLPVDDVPARVLPPPPVFPRPCSEVLPPSPSEIPVPPKLPKRAAPLPLSAAVSENEKPSTTKRRRVCPDYEDDIDANLRRTERSPEERPRPDYLKTVQQDRVTPSDRARMIDWMDDFVRNHDLVDGTLHHAVAYVDRVLSVRAMRKHTDHELRLLGAVAIFVSAKYEDGQRTLAKLDPDKISWYVGGSATREEVLDVERRMVVALGYQLGGPTAHTFVSRFTKHAQGEEELKIQRMAHRLTDESLRNYACLGYLPSVVASSAIFLARSARDVAAWSTDMQELTGYDVTNLAGCLHAMRPVAHL >cds.KYUSt_chr5.39996 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252754352:252758329:1 gene:KYUSg_chr5.39996 transcript:KYUSt_chr5.39996 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHGLLLLLFVAAAAVAYAGKGKGHDGGGTGGLRFRREGGTFKVLQVADMHYADGRSTPCEDVLPAQDRGCSDLNTTAFLYRVLRAEDPDLVVFTGDNIYGADSTDAAKSMDAAIAPAIAMRLPWAAVIGNHDQEGTLSRESVMRHLVGMKNTLSRFNPQGVEIDGYGNYNLEVGGVEGTLLANKSVLNLYFLDSGDYSTVPSIPGYGWIKASQQAWFKKTSASLQKNYTNEDPKQKDPAPALAYFHIPLPEFGSFTASNMTGVRQEGISSASINSGFFTTMVEAGDVKAAFIGHDHLNDFCGKLTRIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKAEGGEWQGVKSIKTWKRLDDQHLTTIDSEVLWNRGSNGNLEVGCLVNIKWEGDVKLRVKVFDDTSCCRLYHNDISNTDE >cds.KYUSt_chr1.19579 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115102000:115110832:-1 gene:KYUSg_chr1.19579 transcript:KYUSt_chr1.19579 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRKTYNLSQRALMSPGAGEAEGGRIPPAGGDLCVCRPPPFRRELADEVEAWRREIGLGKHPVEEVPDAAVVGLYMDSSDDESPAGLPCPPADSLCGLYMDSSDNESPAGLPCPPADTLCEFNASSIYSTGEACSLFSLPHCLSISSFWFSYESRYKLKVSVTHVEPNADNVPVTGHLTFLSESSEALAGKEADLLAPLTKCRPNYVPAAISATVGKKCTIIAKVDQETYDADPRIVFLTVSKAQLIINTVPQIFYRH >cds.KYUSt_chr2.12487 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79276006:79276323:-1 gene:KYUSg_chr2.12487 transcript:KYUSt_chr2.12487 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKKTAKAGKESSSSWRGLFHEVDEEEEKTTAVLFCYSGELEDGHGDCGGRRQWRFFSRYLTERCMNWRKKKGRRGAGEEGSELGFCQHLVYMEGKRAGVRHP >cds.KYUSt_chr7.31840 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198189898:198191361:1 gene:KYUSg_chr7.31840 transcript:KYUSt_chr7.31840 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLVCSAFLLLLLSSSTAGDPGVPCYESIFSFGDSFADTGNNPVVFQWYSIFDPVTRPPYGTTFFGRPTGRNGDGRLIIDFVAEKLGLPYVPSTLAHNGSFRQGANFAVGAATAVDAVFFHERGIPGAPSKFPLNTSLGVQLEWFESMKPSLCRTARGLLRCQVQSLIIEHGARSLVVPGVIPSGCSPPILAKFADASQSAYDSKTGCLKEYNELGLRHNSLLQAALAKLRAKHPDVMIVYADFFGPIMEMVESPSKFGFEEDVLRVCCGGPGRYRVNATVPCGDAAATTCRRPSARLYWDGVHLTEAANRHVADRWLAQMNAFGRAGCNKTF >cds.KYUSt_chr7.18755 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116222503:116230695:1 gene:KYUSg_chr7.18755 transcript:KYUSt_chr7.18755 gene_biotype:protein_coding transcript_biotype:protein_coding MESVETLVAHIQALSGSPEDLAQLHGLLKQADGDALRAHSAALLPLLPQLHPAAHSLGYLFLLDAFLSAPANLKAHAGGDLLATVANFLTSCSAEQICLSPDKFLNVCKVLKSEAMQLNAPIRGIAPLRAAVRKVQASSEHLTPLHAEYLTLCLLAKQYKAGLSVLEDDIFEVDQPKDLFLYCYYGGMIYIGLKKFRKALELLHNAVTAPMTSLNAITIEAYKKYVLVSLIQNGQVPSFPKYASSTAQRNLKNHAQIYVDLSTCYGNGSYSELETFIQSNTEKFETDNNLGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIASSVQLNTPKEAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSSEMVEHIDSSIQRLMALSKKLTSIDENISCDHAFLMKDKVAAELVPWLGKHVTMAGHATLVKLVLTSIAIYYIAAMNIPIERRLRAFPPPHRSLPESHRSFAGVTSPPCGVLPVLNFSEPPRQNLVAGDSDHRGNHSDVFFDSASHIRASHGNTPCWREVGVGDRNLCGVAFLQVPGNGARNLLDACG >cds.KYUSt_chr2.4612 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28489808:28490155:1 gene:KYUSg_chr2.4612 transcript:KYUSt_chr2.4612 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSATTVASSLSVAGGLGRPVGVGASLRPCSRRARACVVRASVEQSAKKVSAGLTAAAMAAAMVLPEVAEAAGPGLSPSLKNFLLSIGSGGIVLLGIIGAVVAVSNFDPVKRD >cds.KYUSt_chr5.8778 pep primary_assembly:MPB_Lper_Kyuss_1697:5:55637103:55640572:-1 gene:KYUSg_chr5.8778 transcript:KYUSt_chr5.8778 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEASPSPAAAPLAEAPAGESSPSPAWSEELVPVGEKISDLEKIEFSDQDQKIIASLNYWVVNGVNLPLSTATALFIITLLLFSGFAELEKQFGYPSQEIQNSYMILYVLQEISDIKTALNSEIDQLKSDFQELRTTLKKQQEDVTVSLKNLGLEDATENDGKKGSEDENISEGALTDLGNLKLDDNPENHDKSSGVEEEKNETPEDSVVDEVTKKESSSDE >cds.KYUSt_chr1.22846 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135281422:135294732:-1 gene:KYUSg_chr1.22846 transcript:KYUSt_chr1.22846 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPHQAPPPTPLPLSLAILPPRTCPLATALLALLSLLLATALWLALVLSPAQASLASSSSAAALSYAAAAADEALSAADASSPLSLGHIVFGIAGSAHLWPRRREYVRLWWDPASMRGHVWLDAAGAPGPSAPGEGSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGGAARWVVLVDDDTVLSPDNLVAVLGKYDWREMVYVGAPSESHSANTYFSHGMAFGGGGVALSFPLAAALARTLDVCIERYPRLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGILAAHPIAPFVSIHHVEFVDPIYPGLNSLESLELFTKAMKTEPMSFLQRSVCYDVKQKLTFAISLGYVVQVYPSVLLPPELERSERTYIAFNRMSQRTEFDFDTKEIQKSMCKKPVLFFLKDVWKDGNITRGSYIRSTNRDDLKRKVFCFRSSPLPDIEEIQVSASPLSKRWHLVRSNITSEISCIVSTFTIANYHSADIQAPRRYRSGGTPSQQPGRVLEFCGPLPEGPGPTSSSGSLPALRVARRYSSVDFGRQHILARPVGPSTSTASTSAAEMAEAPITYEELREEHKKKYDEIKVVFEADLIGSFENGMALANTVEQISTILRDQFGILPKKRTIGYSKPYPNEYDLIPLPPKYRLPEFSKFNGSEGSSSIEHVRQKRGETVSEYIQRFRTVKNRCYSARLNEKEAVDLAVLGLAAPIKDLAFQVEYNSLAHMAQKLTLYEQRHPELYQDKFKRPIGLVETEEVGDSAEDQEVAVAEWARGADPVSCKWVKQQGPAKGFDFDISKAEQIFDLLLKEKQLKLPEGHKIPTAQEMNGRPYCKWHHSFTHITNDCKELRRQIQTAIEQGRLIFELEYGMEFEVLFEVSDGIPDITRSSGMVRRIRFIYRKSFYEFENDPVHLWKVLEDMASPINFNQFLEKEKLKSNGSNFTDWFRHVRIFLVGGNPQYVLDAPLGDPPAETETDEVKNVYATRKTRYSQVQCAILCSLEVDLQKCFEHHDPHELIKELKTIFETHAAVECYEASKHFFSCMMEEGSSVSEHMLVMTGHAKKLDDLEIVIPNRSGINRILQSLPPSYKNFVMNYNMQNMNKELPELFSMLKAAEIEIKKEHQVLMVNKTTSFKKQGKSKGKFKKGGKKAATPPVKPKTGPKPDA >cds.KYUSt_chr6.23363 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147467639:147468191:-1 gene:KYUSg_chr6.23363 transcript:KYUSt_chr6.23363 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKAAAVAAAEPAAVEDLFSALHPHIEAGEFPQAVKVADQVLAAAPGDEDAVRCKVVAHIKSDATDKAFAAIRAAECLPIDLSFYKAAVLGSGSSQTCLVMTQRKVSEPSLKLRISKTV >cds.KYUSt_chr2.3439 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20578177:20578647:-1 gene:KYUSg_chr2.3439 transcript:KYUSt_chr2.3439 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPLPAPPTSRPTAIRRGHPRPSSSPAPPRSSIHGGLPPLLSSRASSAAAAPSPSAAHGSPPTSRAPLDSDLMAVLQATGIAASSTSSGPPPWRCSKLRAAGVGRGPTQRCRTSSIARHYSSSTASRKTALSCASICIPATGGVPRLDLHPRRT >cds.KYUSt_chr6.17062 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107496381:107497289:1 gene:KYUSg_chr6.17062 transcript:KYUSt_chr6.17062 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVGKNPIICQTKWRKVAYGGMQPGYDDNYTDDSFLEEMVMNANVVKRDFLRVMVDSVSISQYLCIVALVVSTWTHTLNLAIDEITLLKFDIGLVLVGFLVLLLTTSPFSLKLLSRYVLNISFFTSGLYVLAPICHTLTRSISSDSIWALAVFLLLVHLFLHDYSGSTIRPPGALNNPKLTSNISLNASIVASVLVASRLPSWLHVFAIMLFSLQVFLFAPLVTFCIKKYSCRLHLLFSFALMVITLGVTYQLHRMLFILLLALVVFISLVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >cds.KYUSt_chr1.4466 pep primary_assembly:MPB_Lper_Kyuss_1697:1:27469144:27473924:-1 gene:KYUSg_chr1.4466 transcript:KYUSt_chr1.4466 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQRLAYYNMQWFHVGAGIPGVAPHYIPPPSTFNVLLGSYWIHPETVQHLLLDCSFAKSVRDKIFGWNGTIGVPPPVLGQGLNSWWDGMVTTLQSGKRREANGAFIYTIWGIWKERNRRVFRNESLMPDIVAHIIWEDIAQRAFAHSHDPGDLEIALSYLLRAMFSRSMDNSTIIPVYGLRQGDLLSPYLFIIVADVLQRLIRKAWVDGLLAHPLSPDIPCPVLQYADDTLIICKADVRASSCLKKVLEDFASATGLGINFHKSCFIPMHVGDASAVEMATTLGCPTSSFPQPYLGLSLSPTKLHASAYAPLILSFDRRLSGWRAHLLSSGGRLVLCNAVLNNLATYYMCSYLLPVGVLESIDKRRRAFFWTGKDSCSGARCLIAWDKVVLSKQEGGFGVKDLHRQNRCLLLSFVHRLHCSDSLPWKEWFFAHSGPDLGDCSSTPSFLERIVSECLPLYRAITRVTVVDGRSTSFWQDKWLPGGALATRFPALLSHCTRPHASVASVVEAGLYLQPRLSAVAMDELVTVRSIIDDIALSQGEDQRVIDSPSGPPFSTREAYRALSSGRPVDVSASTTWALRLPSKLKIFAYLADIDRLSTRANLFYKNYAPSAVCAACPAIETGRHIFFDCPPAAAFWRCLRVNVPTDEFSIWDLPPPIRTAIDAWRSAVAALLWSLWKARNDRVFNDTDTPCSAVIRRACDDLTLWRWRFNEEDRPPLDALRSFFLSCIV >cds.KYUSt_chr2.8290 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52143891:52146799:1 gene:KYUSg_chr2.8290 transcript:KYUSt_chr2.8290 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQLSLRTRTMIENMIKEGKIVPSEVTIALLRDAMIKNENDKFLIDGFPRNEENRAAFEHVTKISPAFVLFFNCSEEEMQKRLLGRNEGRVDDNIETIKKRFNVFAESSLPVIEYYRAKEKVKEIDATKPIAEVFEDVKAIFAPYAKVLLKFDISVITNP >cds.KYUSt_contig_1537.110 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:662763:665190:1 gene:KYUSg_contig_1537.110 transcript:KYUSt_contig_1537.110 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQDALLGYGAKNSRYTGQRNKGPVRHALMLHDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQVTKDKNEAVRGQDFEKAGELRDKEMELKAQITAIIDKSKEMVKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHQRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKTLASYYFGSEEAMVRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKLKEIDLQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGGSGVSEPLTPILST >cds.KYUSt_chr5.32031 pep primary_assembly:MPB_Lper_Kyuss_1697:5:203061213:203061750:-1 gene:KYUSg_chr5.32031 transcript:KYUSt_chr5.32031 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPVSGSGSDSDHHHDGTVMADVLSKGRESCYKARDAFYACLENHADKKPTEIATVGLLYPADCKKSRAQYVNTCRPSWVRHFDRQHCAKKRVQRLLDADDDQRGPMSLPQPYTFKQ >cds.KYUSt_chr4.6480 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38176805:38179890:-1 gene:KYUSg_chr4.6480 transcript:KYUSt_chr4.6480 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLRLLLLLLLLAAAALPPPAASQLGDYAAPPPPHPRPHPLKAGTAGGDGGSSTVLTTALVSVASLLIVLLLYLCVAIAVRRFRSRRPCAGNGQEEDGRGAQSSRAAAFLRRHGLHHGRPSFTYEQLRAATAGFDAARKLGDGGFGTVYLAYLPPAARPAAVKRLHVPPSPGPALPSAAAAITRSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDFVPNGTLSHHLHRATATTTAPPRPPLPWRTRLAMAAQIASALEYLHFAVKPRVVHRDVTSSNIFVEADMRARLGDFGLSRLLAPPDACSTATGREVVVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVRRERRDVTLADWVVSKIQIGELREVVDPPVLEECPAVMPTVEAVAELAFRCVTPDKDDRPDAREVLAELRRIQEMLPPELPNQKIRIVLAFVEDKCLMVDETCRNPRDYPLSYPYAMWSFCVHHCVLAHIRLFSWLISNNNILAIFGWGVVFSSCAVEAFVKDMHFPEVDRIPQCVSVFGAVIYVIGASVQIHPECASVETRAVLIPPPARCGCGSMPTSWLKDWHYPRICRIYKCLRVDDLIEHIH >cds.KYUSt_chr4.41202 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254693932:254694970:1 gene:KYUSg_chr4.41202 transcript:KYUSt_chr4.41202 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCPLHGLAVEVHIYLLFPQFVMQVNNAGITIDKPTLEYTAEEYSITMATNLESAFHLCQLSHPLLKASGSGSIVFISSVCGVIAEASSSIYSLTKGAMNQLAKNLACEWAKDHIRTNSVAPWYIKTSMVEADLAKEEFMVLLRQRTPMRRVGEPEEVSSLVAFLCMPGSSYITGQTISVDGGLTVNGMYPTHD >cds.KYUSt_chr7.29316 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182483296:182483913:-1 gene:KYUSg_chr7.29316 transcript:KYUSt_chr7.29316 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPSSSSLSRAQSVTDDDLDELRGCLDPGFEFEPPAATGCAACIEGRTRLVETLPALDLYYAVAVKGGVPLRPARLLRLRIHTGERIFRRWIPVHGLPTRWGDAPSSWGAGAGPRARLPRPFSALARRPGRKRSARPWLAPGSSCCTHALHKRPIGREGISSVARLFRPELGDDPSHLNREAPRIVLPKNASSQLNHRIAARD >cds.KYUSt_chr4.38485 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237331217:237331778:-1 gene:KYUSg_chr4.38485 transcript:KYUSt_chr4.38485 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDSWALEIESPVAAPRLFKAGVMDWHTLAPKLAPHIIASAHAVEVEGGVGSVRQFNFTSAMPFSVMKEKLDFLDMEKCECKSTLLEGGGIGITIETATSHIKVEATASGGSVVKVETTYKLLPGAEVKDEITNAKDSLTNIFKGAEAYLVANPDAYN >cds.KYUSt_chr1.20114 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118503736:118509677:1 gene:KYUSg_chr1.20114 transcript:KYUSt_chr1.20114 gene_biotype:protein_coding transcript_biotype:protein_coding MASHALRLRPLLSTARPTPTPPPPRAAAGRRSSFVIVRCSAAQALKIKSIPTKPVEGQKTGTSGLRKKVKVFQEENYLANWIQALFNSLPPEDYVGKTLVLGGDGRYFNVEAAQIIIKVAAGNGVGKILVGRHGLLSTPAVSAVIRKRKASGGFVMSASHNPGGPDNDWGIKISEIKTADIPDVDLATLGVTSYDDFTVEVIDPVSDYLELMENVFDFQLIKDLLSRPDFRFVFDAMHAVTGAYAGPIFVEKLGADADCILNGVPLEDFGDGHPDPNLTYAKELVFIMFGSRAPDFGAASDGDGDRNMILGKRFFVTPSDSVAMIAANAQAAIPYFQSGLKGLARSMPTSGAADRVAEKLKVPFFEVPTGWKFFANLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLNILAHRNKDKKVGERLVSVEDVAREHWATYGRNFFSRYDYEVDGSSVSKQGLRFVFSDGSRIIFRLSGTGSAGATIRLYIEQFESDASKHGLDAQIALKPLIDLALSISKLKDFTGRNQPTVIT >cds.KYUSt_chr4.5552 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32149554:32150285:1 gene:KYUSg_chr4.5552 transcript:KYUSt_chr4.5552 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGAVRVCAGGEQVGLWKARLEALGWCVGGDGVRGNSIALHDCCANKARPKPNRIQAHAVHPRERTIFVSAPVDIFRGFVNTLSYDPITYEWMHRGTSALPFKGHAVYDGELDAWLGFHADDRGDRTGHLVACRVPSPVQDWPPHSKVMGEKMLVEDPGWRCVDAKLVHMVERREYCLVERLRRQGTDERERLLDGDECLLRLTTFRVGVGHFGLRITDRRTGSFKVCRYRLGFQVRAYWM >cds.KYUSt_chr3.3316 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19004613:19008912:-1 gene:KYUSg_chr3.3316 transcript:KYUSt_chr3.3316 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLLLLLLMPASVMAIGIVCGSGSNYTANSTFKASLAALADTLPSNASSSPQLFATVTTGVVHALVLCRRDTINTTACKNCVASSFKYAQKMCPDRKTGATVYYDYDEVSALQPGCILGFSGDGGFLSPASSTTGNVTFFQYWNTVNITGNARVVAAAVRELLAATARDAAATARGFATAFMDSIGGGSPTLYSLAQCTPDLSAGDCLSCLQRLVSTVNATNVVRMGGRIFVLRCNIRFEAFSFYEDKSTRRIPFSSPSSMAPAPAPAPTGRGIKPWVIALSVAAPVALVAFCFLVYCHRLRTKHTKGKATLQEKHANEFQGDELVWEMEAELSEFSVYEFHQILEATSNFSEENKLGEGGFGPVYKGHFPEGIEIAVKRLDSDSGQGFIEFKNEVELIAKLQHRNLATWAKLSLAARARFPRTEEEERADSRWVADDEALRVAAEAAAAKEGVVGILHGCTIDSA >cds.KYUSt_chr2.5032 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31224885:31225184:1 gene:KYUSg_chr2.5032 transcript:KYUSt_chr2.5032 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEAGTTKKALVYVPANETIRSLEMLERRLGSLGWERYYEDRAVVQLHKRDGSIDLISVPRDFSRLRSTDMYDIVVKNRDQFKVVDVPASGSTSSSTN >cds.KYUSt_chr5.35932 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227038491:227041752:-1 gene:KYUSg_chr5.35932 transcript:KYUSt_chr5.35932 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASSTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYIWESQAGGSFTVARDTTGEQLGRGTKMVLYLKDDQMEYLEERRIKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDTEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEINKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDNKKKANNIKLYVRRVFIMDNCEELIPEYLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSEIYYITGESKKAVENSPFLEKLRKKGYEVIYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLDESEDEKKKQEELKEKFEGLCKVIKEVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMDELRKRADADKNDKSVKDLVMLLFETSLLTSGFSLEDPNTFGTRIHRMLKLGLSIDEDEEATENDTDMPPLEDDAGESKMEEVD >cds.KYUSt_chr6.14822 pep primary_assembly:MPB_Lper_Kyuss_1697:6:92808634:92811047:1 gene:KYUSg_chr6.14822 transcript:KYUSt_chr6.14822 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGAKPQVHIESVQTGLPTRVVGPDRTRLINVSAPPLPEAALQRRLRAVLYYRADEAAWEEGIWVKESLGEVLCFFPEMAGRLRRRADGSWEVKLNDAGVRFQQATVEASLEEFLADKDLPRKEAALAPWVDVSAEDPDMCSLLFMQLNRFQDGGYAVGVSCTALLADPRSLARFLLEWARIHAEMKEKNKTLLRPMMQYMAYFQRPELCCRRIRSFPIDSAAADGVRARTVLFRTSAAVAGGGGDLRALAAACMARATEELGADMASQFTLVVAPADPARGATTVEASVAADGIKTGHKLEAAEWSELGLEELTLRGAKPVHVSCRIVAGGEEGFVVVMPDGDGFLVTATIPN >cds.KYUSt_chr3.27129 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169354191:169358354:-1 gene:KYUSg_chr3.27129 transcript:KYUSt_chr3.27129 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLEVHAIGRGAASSSSVKLKAAPALDMMRYQRLSPDCLPLTNGGGSGGVARKPASRSFRDEDAPAAASTDGSRQASYLAASQPDSKPSRPRAPQPPASSAARSPARDHGLHQHQHHPSDSSDTASPSSTGGAVTGDVLLQWGHNKRSRCRRDSSAASSAASPSSQRRQALGGKIQRRASAPSSEKLMPPPPATATRGSNLRSSSSFPPRAAGGDATTHQPPPHHHGREERSGGVQKRSSPDKAHSSKPTTAVDHHHMDSKNSNHHPYHDSPVLHGNGGEKLVVERFELPRIYISLSRKEKEDDFLAMKGSKLPQRPKKRAKNVDKSLQFVFPGMWLSDLTRGRYEVREKKCVKKRRRGLKGMETMDSDSE >cds.KYUSt_chr5.11797 pep primary_assembly:MPB_Lper_Kyuss_1697:5:76525744:76527198:-1 gene:KYUSg_chr5.11797 transcript:KYUSt_chr5.11797 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALVTEIIKKITRTSDLNSLSLVSKQLYKTEGNQRGAIRVGSGLCTATEALTSLCARFPNLRKVEIDYSGWIPGHGNQLDNKGLFVFSSQCSSLIDLTLSFCSYIDDSGLGCLAYCKTLVSLRLISAPQITSIGLFSVAVGCTGLSALHLIDCEKIGSVEWLEYLGMDGSLYELVVKNCEGINHHDLLKFGSGWMKLQKFEFEKKRKRFDRLPGDKVYDPLYDAHSMDIYDFCCESLKDLRLAHIETWPEIGLRVVLGKCKALENLCLQYVRALNDNDIIALSRSCSNLKSISLWLNLQRYYIDDGYCETRMSFTDNCLYALAQNCRMLQTVDLKFEGCFSGWPSEIGFTQKGFLVLIQSCPIRVLVLNTANFLDDEGMKALSSSPHLETLELIFCPAVTDAGIRFIAQNLCLRNLTLRVCHGVTDVGVAELGREHMLESLVIEYCGEISLQAAQGVAKSVHYSSDYSVLVNLVCENML >cds.KYUSt_chr7.12109 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74458464:74460094:1 gene:KYUSg_chr7.12109 transcript:KYUSt_chr7.12109 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTEAAPPSKKRKSGGPGSSEPEEISSRELPAAAVMEVPDSRTQPPPPGAEGLEEGVDYISGLPDAVLGDIISLLPTREGARTQTLASRWRHLWRSAPLNLDYDDLPAEGDVLIALIAQILSAHTGSGRRLRISANHLCHEPATVDAWLRSPALSNLQELEFCRAANHPPVLPAVAASTFRLSSSLCVATISQCLLSDGIFETLHFPQLKQLTLEEVSISEGSLHRAIAGCPVLESMLLNRSTGFKCVRICSPSLRAIGVRVRSFQRPKIIVEDAPYLERLLRLDVDEGLDISVISAPNLEIMGCLPYKRPIQQDVSPDNISWRRKHKRHIRSLDIRLKTIVLKNYRGVLGDVNFAMFFVLHAKMLELLRFKVQGGCRNDFIARQRSLLQLEKRASRDARFEFTCDTDHRKIEDINHARDLSVADPFEW >cds.KYUSt_chr4.10640 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64628302:64633140:1 gene:KYUSg_chr4.10640 transcript:KYUSt_chr4.10640 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGFNLSTEQYTAAFVKLYDKVDDAEASLYVIQICWEVSCDGRAGLEVDARARGREEDGVRVERAMVAIKVAQRHEVVEKEVCGNFTTPARVHAPSLPAEPRPPRHLLPLRKSRLLPWRLLPSQRSLLPRRPEITKAWGSKRWLGFSARAASPYGSAAE >cds.KYUSt_chr7.7569 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45686117:45686656:1 gene:KYUSg_chr7.7569 transcript:KYUSt_chr7.7569 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGVAATSCRCSSVVFVGNIPYQASEKELRDACEEIGPVVSLRVGVSRHQQQQQHAGDPNLPVGVEDATHAASLVAGSAPNDAVTRYLAGMSRRQLREFLDAVAREDVGVVERAKRAFRGLATLIEQARILVEDMGAGDAGAPDKRPGGLEESGQGAPAAKVRKVDDGASVIAAVCR >cds.KYUSt_chr1.1463 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7992223:8000688:-1 gene:KYUSg_chr1.1463 transcript:KYUSt_chr1.1463 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPVDFNSIPDGPLSEILLRLDRLTAAAFVSSRWRGIASDEKFVDGFRERHPSSPLLGVFASDPQGRVSLFRNISNDPDLRAVVRNADTALVYIDRDWCIQDCRNGRLLLSNQENELFIYGPLSQVRVPLPKHDDDTAGKYMSHSLLDGNGKGVVVSVQRRSKSDEAAVSYRVISVQQSRRDIRAVEYDPSMPEWHAHQWLPLSTLTDDRDKPRWELGPMYAAGHIFWRYGGASSLLVLDTTTMRFFTLILPGTLNFPRDLRFAIGEIEDGKACLVCLQVPDPGDLYGIHISTSRPETLLQVWLLEKMGDNICCKFKEQVSVSKLLGADAEVWNVSTVVNGLALLSKHESSGQYVVDLQNMTRLADVMFRGKGYLYQMPWPPAGLAAATTAKSSPPQKDNCIGGTDGDQHSKLSSNNRMKDPPSSSVVDTINRTKAVEVENSITHLNSAQHDLSEKASLDLDGDSARIEPVEMYPSHELTLQNAPAIPSVSCNVAIRIDKSETSNLQDNTTDGAEMFEPQNCDKAHQEVTCANNVSETDEEPGGQRKKLKTNETSNACPQELDQHISSPSRYQSDPGMEHWTTVKNILKYLKRTKDMFLCYGGDQELVVTSYTDASWNTDPDDFKSQSGYVFILNGAADKEFPAAKRFIVELGVVPRALDPLVIYCDNTGAIANAKEPRSHKKLKHIKLRFHSIREYIEDGEVEICKVHTDLNVADPLTKALPRQSMTNTRMPWVLDSEYEMESEVFVRSLGWDPGHHEEVRNGPENKVSRTLEKFRATHKKGLHMVHIWDVLKNNNKWMTSFASYNEARHGKKNDFEASGSDSKRVPLPVTVGRLIYGKWLPCDDAWSGVQLPGC >cds.KYUSt_chr3.8630 pep primary_assembly:MPB_Lper_Kyuss_1697:3:50135445:50137109:-1 gene:KYUSg_chr3.8630 transcript:KYUSt_chr3.8630 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDVEPAAYGGAIHSVDISSVVDEIDFLQNSLQDAGACSFPSSSSASSSLRSASLSCSPEISSTAAHVLAAPSTAVQFPEVSSFLCDDFTDTPEEMAPGVMSTSASAFRRYERHLGPRRKLTKPACGQRMFKTAMSVVGKMHTAMRYRQQQQQEQYYYQQQQQQASGNQLQHMISERKRREKLNDSFHALRTVLPPGSKKDKTSILIIAREYVTSLKSKVCELEEKNQALQALLARGPTSGVGAEEDKKVEIQITAAGGDQSGEVCTVKIAARPARGNTTDVVLRTLQCLKNQIGEDVSLLSMSTDQAGDGPHGASLTLHLKSGAKWEEEAVREAVTKAVTTPVPAGLGGAETHETVASWESHIHPLVDAMWSEQQEEEDISITVILHAGEFSRRQHDRDRDRSKEFIREDNLIDMHEDEEEWSTNERSSTSH >cds.KYUSt_chr4.4495 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25712902:25717088:1 gene:KYUSg_chr4.4495 transcript:KYUSt_chr4.4495 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKQEELQAHAVRDQLPAVSYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVVQTLLFVAGINTLLQSFLGTRLPAVIGASYTFVAPTISIVLAARYAGIADPHERFLRTMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALAGFGLYELGFPSVAKCIEIGLPQIILLVALSQYIPNLVPLLGTAFERFAVVMSVAVVWLYAFFLTVGGAYKGAAQKTQFHCRTDRSGLVGGASWISVPYPFQWGAPTFDAGECFAMMAAAFVALVESTGAFIAVSRYASATPCPPSIMSRGIGWQGVGILLGGLFGTASGSSVSVENAGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPTPIIAAIYCLLFAYVGMAGIGFLQFCNLNSFRTKFILGFSLFMGISVPQYFNEYTSVAGFGPVHTHARWFNDMINVVFSSKAFVGGAVALLLDSTLHRHDSTARRDRGDHFWDRFRSFKTDPRSEEFYSLPFNLNKFFPSF >cds.KYUSt_chr2.34027 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210337947:210339125:1 gene:KYUSg_chr2.34027 transcript:KYUSt_chr2.34027 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAPDTFTYNPLIRALCFRRRVLDALAVFDDMLLRAGRVTYGCKPDAVTYNPALNSLYSSERWEEAEKLLTKMFSNSCALDEATFNTIVASLCQKGFLDRAIKVVGQIEKWDDAEELLARMVHKNCPPDQVAFNTIITSLCHKGLVLCSVEQWEDAERLMVEMIHNNCPPDEVTLNTITTSLCQKGLHWQAIEFLKLIPEKGCIPNSTTYDLIVGELLKAGQIQGGFNLVCGMGNSCHLDVITYNRVLLVFPNLARWIKL >cds.KYUSt_contig_1253.239 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1641043:1642560:1 gene:KYUSg_contig_1253.239 transcript:KYUSt_contig_1253.239 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGNTVSGGLRGRRVLVFPLPYQGHINPMLQLAGLLHARGLAVTVFYSHFNAPDPSRHPAYDFVPVPDGLPPYSPVSVKETAEHILTINSSCEAPFRERLAALLGAPGARDDVACLVADAHLLTLMDVARKQGVPTLALRTGSAACFRNIMANPMLCDKGYLPAQESQLDLPVKELPPYRVRDLMTIGRSRDEHDLSCKLLSRAVEAVRASSGFILNTFDALESDVLATTRQDLALPVFDIGPLHKISPAASSSLLLQDRACLEWLDVQAPASVLYISFGSLASMSAADLAETAWGIANSGQSFLWVLRSDLVRGVTEATLPDGFDAATRHRGMIVSWAPQEEVLAHAAVGGFWTHCGWNSTLEGVCGGVPMLCRPYFGDQMGNARYVEHVWRAGFVLDCELQRGKVEEAVRRLMKSKEGDEMRKRAQELRSRAAQATDGAGSSRLNIDKLVNHILSLYKSSQTR >cds.KYUSt_scaffold_2697.364 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2445336:2445983:1 gene:KYUSg_scaffold_2697.364 transcript:KYUSt_scaffold_2697.364 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSASSGRDDPWAPPPTSGSGGGGSNEPAKKQRTEPPPSSTQAEASSSSSHPPPQQQQPPPPPDGEPPRVPDLGEDAIFEILRRAEARTLAAAACVSQGWRQLAEDERLWEAACVREWANLGFSQQQLRAVVLSLGGFRRLHAVYIRPLQQRGAGAPHRQGRRQLPVRLGRDQVQLSLSLFSIGFFQNMPNHPLPKKDKGGDGSDKGGGGQCG >cds.KYUSt_chr3.23280 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144025318:144025719:1 gene:KYUSg_chr3.23280 transcript:KYUSt_chr3.23280 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWRAQQYHASVLAGCVEVGVVRPMKPSRCSFSSPWEADSAASTTPASTSLPMFSSSPASDNSAARHGSRLASLAWHTTAAALPSSCHTLDTVSSASGAHQTLVGPARAARALPQPRQLRQAQALLIHSYAS >cds.KYUSt_chr3.30955 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194228377:194229392:1 gene:KYUSg_chr3.30955 transcript:KYUSt_chr3.30955 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRWATPTGAGIRRPRHRRASRCNSTAPPPRVALLHYSSDAARPSAAALPRAVRLATVATGASVAGRSSAPYDFPDGNELLCARVSTVAVAALVYYDTNLVIQQDGEGKPEIEHTPKYFSNPSENEIQQPPMSATATHQILFETSERTPNSNVYEKEDIACLNIISDVLDFTLELQHQHDERACKCPVLKAYYTDVTRSSHVSIEHSMSEYRL >cds.KYUSt_chr4.33934 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208345268:208347052:-1 gene:KYUSg_chr4.33934 transcript:KYUSt_chr4.33934 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLNQQQSSPAGGGEGEEEAYKILVVDGPCISLLSPVLRVGDLRKHGVTLHLNIHKARQQVADAPAVYLVRPTPANADRIAADAAAGLYASFHVNFSTSVPRPVLERLASATAASRSAHRVARVADQYLDFVCLEDNLFSLAQPRAYVALNDPAAADADITSVVDAIALGLFCVAATLGAVPIIRCAAGGPAEMVAAALDARLRDHLLAKPNLFTEAAASSFQRPVLCLFDRNFELSVGIQHDWRYRPLVHDVLSLKLNKLKLPTEKYDLDDSDPFWVANSWSEYPEVPKEIAAQLAKYKQDVDEVNQRTGGGRDGVEFDGTDLIGNTKHLMNAVNSLPELTERKKVIDKHTNIATALLAQIKKRSLSDYCDCEHDMLRKGIVDRKTLFSLLSGEGTKEDKLRLAVTYLLSFEAPPPSELEQVEAALRESEVDMSAFLYVKRIKSLNTQFSAAPSTASRNSIVNWAVSAVTDGVKNYLSDERQVALTRTVEALMEGKPNPEVDNYLLFDPRAPRSGTGGQFRGPFREAIVFMIGGGNYAEYRSLIEPGQSSQSSKHVIYGATEILSGVEFIQQLAELGEKAGLGSGSSNLTSQ >cds.KYUSt_chr2.8497 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53435705:53436922:-1 gene:KYUSg_chr2.8497 transcript:KYUSt_chr2.8497 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLSSRLPTATAPASTSAPRPSPRFISFPVTPAKSCRRRGRIVASSSATSPPAPSPAASQPFRSLPPSETTVLVTGATGYIGRYVVRELLRRGHRVLAVARPRSGIRGKNSQDDVIADLAPARVVFSDVTDPDALRADLSNHGPVHAAVCCLASRGGGVQDSWRVDYRATLHTLQAARSLGAAHFVLLSAVCVQKPLLEFQRAKLRFEDELAAEAARDAAFTYSVVRPTAFFKSLGGQVEVVKKGNPYVMFGDGKLCACKPISEENLASFIADCIFDQDKANKVLPIGGPGKALTPLEQGEMLFRLLGREPKFIKVPIQIMDGVIWVLDGLAKVFPGLEDAAEFGKIGRYYASESMLVLDPETGEYSDELTPSYGTDTLEQFFDKVIREGMAGQELGEQTIF >cds.KYUSt_chr4.26671 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167465620:167470830:1 gene:KYUSg_chr4.26671 transcript:KYUSt_chr4.26671 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMEASSVFAAFDKDGDGKVSASELWCGLESTLGEDVSEEDAAAILATADADGDGLLNQEEFSRLAAGAHENDDDVAKRRCLMETFGMYAASSSTEDHMATTMITPASLRRTLSRLGSHDLGVEECRAMICRFDLDGDGALSFDEFRVMMMVLLALHVLDSSMDAMKIKVYLVYWHQDHRFLIYIKRKSSHEMWIKLKEIFGGSISHLVGGVSEELSSPSHHEELQVASTFGRDDISSTSTSPTCCKTQEETEHSMDQDMISNEDSRISSSSSSGMHMCLMANGSKVSPTLTPNTSSNDENDDDDNDEEYNTLVHDMAMVYASLREEIKELEAQVLSLKKDLEKRHEGKSALDKMLSVQQSPNDKSGLGFNSNNKNKSKSKSNKKKGQDKVKDPAKLVCFKCKVEGHHVRSCPLKKKKHLSEKQ >cds.KYUSt_chr3.43285 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273522567:273523967:1 gene:KYUSg_chr3.43285 transcript:KYUSt_chr3.43285 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQLLLYVVFLTALLAWSAAGHVAVRADLTHVDSARGFTKRELLRRMAARTQARVDKRWSPPRPRPGGGGNDTAGVMASVSRDGDPDNVYSEYLIHLSIGTPRPQRVALTLDTGSDLIWTQCGCRSCFHQPFPALDASASTTLRDYSCFDRLCAWGGLALSGCTVNDNLCFYVHSNGDNSGFTSGKISEDTFTFQAPNGKGAVAVRPSLRFGCGMYNTGNFKSNESGVAGFGRGPMSLPSQLKVLNFSYCFTSIVESGNSSPVFLGSYGNLDAQATGPLQSTRFARGPSQGPNSSLYYLSLKGVTVGNRRLPFDASTFVLRADGSGGTIIDSGTAITTFPRAVFRTLREEFISQVSLPVANESTGDADSMLCFSISPDQKNVPALPKLILHLEGADWELPRESYVLRVDGDNNDDDDGLCVVINSAGDSGMTTVIGNFQQQNMHIAYDLGSNKLFFVPARCDKL >cds.KYUSt_chr2.252 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1525283:1534258:1 gene:KYUSg_chr2.252 transcript:KYUSt_chr2.252 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPADVLPDPCPTAADSSPPPPPTPEELVARAVAPVKAAFLRPPPVRDTPTNEESRASGAVPLEKKSKRQFRRERKQEQESVSRLCVAVGKTGKADACKYGASCRFSHDVDAYLAQKPADLEGTCPFTVLEQSCQYGLTCRFFGTHKDNHAPSENHEINPLSKDIQKLLWKTKYKFPRASAQIKHLGLKEVMKIKANATTDDKRADHDNPDVSCDMSGDDKTESLCSAPVNVDHDSSLCEEMDNLGGEPLVDNSVPCVGPRASKKSKVEGDEIHKNAADSHDTKVYPEDPSLLNGLEVPSDSTSSCRVDLVTTPHLHEKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLMQGQASEWALLRRHSSEDLFGVQICGAFPDTVARTVELVDNECSFDFIDINMGCPIDVVVNKGAGSSLLNKPMRIKGIVQASSAVTNRPLTVKVRTAFFEGRNRADSLVSDIYDWGASAITIHGRSRQQRYSKLADWDYINQCAQKAPDDLHVIGNGDIFSFTDWNRHVSGSSKISTCMIARGALIKPWIFTEIKEQRDWDITSDERLDILRDFVRFGLEHWGSDTKGVETTRHFLLEWLSYTCRYIPVGLLDVVPQRLNWRPPSYCGRNDLETLMASDSAADWVRISELLLGKVPEGFTFAPKHKSNAYDRAENG >cds.KYUSt_chr7.39451 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245287406:245287941:1 gene:KYUSg_chr7.39451 transcript:KYUSt_chr7.39451 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr6.29655 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188029924:188033267:1 gene:KYUSg_chr6.29655 transcript:KYUSt_chr6.29655 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARLVSRSRQLYSAQAALANGGVSQLRSYAKEAAPADRPPVSGDDLLKGIFFEVKKKFERALSVLKKEKITIDPDDPTAVAGYAQVMRTVRDKAGLLSDSQRIKYTIETFTKDIPDARTYLNTLQEIRKKSGLIDEIGIEALMMEALEKVEKDIKKPLLRSDKKNMALLVAEFDKINKKLGIRKEDLPKIEEELEMEIAKSELSELKKECVEAMEGQLKREEFKDEVMPDVRKLDIRNFL >cds.KYUSt_chr5.31178 pep primary_assembly:MPB_Lper_Kyuss_1697:5:197573073:197587146:1 gene:KYUSg_chr5.31178 transcript:KYUSt_chr5.31178 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIHVTVRARPLSAEDAQSSPWRISGNAVALTTQPSTRFDFDEIFSEECRTADVYGARTKHIVDSAVQGFNGTVFAYGQTNSGKTYTMRGSTNEPGIIPLAVHDLFRSIQEHMDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHENYERGIHVAGLREEIVTYPEQVLDFVSFGESHRHIGETNMNVYSSRSHTIFRMVIESREKADETEAGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGVKGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCAEVNEILTDAALLKRQRKEIEELRAKLKNSQSEHWDEDVLNLRNTLLQSELEKERIALELEEERKAKEHREKRLLQQAKKIENLSSLVLNSDRDDRVVVSSKNKRRQTWCARPLSRQLDVEVPELVEQGSARSCGERDMGMPPSFEELMQESPASNSEPAHGCSSSDLWNEDISLPDSHALLNVTSRRKPNTKKKLVQEQLRSLVPESPQDPNEWKDAMLCQEITNPNGLSARESEAILVIKQLQDQVNLLESEKSLIQNNLDDVLELATQQKASFSEKYEELQRNALVAQEQAKTADEKLSALATIEKSKQELAYSFLSKVSMETQGIVVQMDQMTHSVDGAISFIEELFQNHSVIAENVTKLKELAYGHITQSSYVIRDHEKMSKKLMEKIRRLELEKKLLNEQFLDQQDELQRTKSSLESCEKSMDDCILQNDMEKDSILSELLTLRKEVSTLSSSSLMKEKESIRKELDRAKIKLRETENKLKNYIQEKIKLEGEKAEAHKEIKKLQNQRTLLERDLRKHDSLTVDKRHELNVKPEELAGFFDQAAQMQEEYQRLEIHASDMEAEIASLQETLITSSVEKEEALSKVELMMLEHEDLENRFTSTESKMNSLSDEIAVLNKKLDASESSRRKLEASLSSLSGEKEDLGMQLTDVLLEMESERSMWISKEKSYLEAKQQLDICNDENSKLSEDLIKARQELVQCRELLKTLEGKMILSVEDDLNEKKCGFHTSRMELRIVTLRRESCKESELVDKGRNIDNAADENLIQAKANMDELSCQISTMEVKMKHDASTFNKEKTKLRMQIRWLQPELDANRGRLKEAVEERRLMDYKYQEATAKLKKELKETCQKVLKLREELKKSQGASNGSSNVSSTQLM >cds.KYUSt_chr2.32913 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202964287:202974064:-1 gene:KYUSg_chr2.32913 transcript:KYUSt_chr2.32913 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRADADAAGGGGGDGNDPILWDWQGREQGEPSDANQDVAKFVWDCLNRDDDEDELMGLLGNQTPLRDCRAFFDIGDFTCKETLDPEESRESKRRRVLEYPSESSQPEVGDHEMGSNFATSEITETSLLCTDELQTLNWDVQHNSNDLDKMSSLSNGPLYDPSDCQLETYSDGSTMYFTPEQMPSTQESVTYIDCESDVPGTSEIVPVTESLIMQETRKLSTLKVSKGSSLNSLIKVKQNITTTIAYPFTLIKPSWEEGDVTLNDINQRIHAPPKPPPENLGTSAFSAAAGKSFLQAMTAVTEEAAPPLRAVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPTREDDEEADADGANYQLEMMRCLREVNVDNNTVGWYQSCLLGSFQTVELIETFMNYQENIRRCVCIVYDPSRSSQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMKELEPESPVSQCDFDRLKLSTAPFMERNLEFMIGCMDGLSSEQNKFQYYYRNLGRQQTQQQAWIQKRRQENIARKNAGEEPLPEEDPSNPIFKPLPEPSRLEGYLVTNQISSYCNHINGVAGQNFNRLYLMKALQEE >cds.KYUSt_chr2.54236 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338430633:338434903:-1 gene:KYUSg_chr2.54236 transcript:KYUSt_chr2.54236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0623300)] MNCFVNLGLNSNSNHYVACLLIFLLHFSPQPLSNRHSNSNSSSSSSNGENVSKKSHTPSAIVIGGGFAGIAAANALRNASFEVVLLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCEENPLAPIISRLGLPLYRTSGDDSVLFDHDLESYALYDTNGSQVPQEFVEEIGKIFEAILEETGKLREEMKEDISIAKAIAIVLEKNPHLRQEGIAHDVLQWYLCRMEGWFATDADAISLQCWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLGHRAVKIVRHWNRVEVTVSSGKTFVADAAVIAVPLGVLKANTIKFEPRLPEWKEEAIRELSVGVENKIVLHFSEVFWPNVEFLGVVSSTTYGCSYFLNLHKATGHPVLVYMPAGRLACDIEKMSDEAAAQFAFSQLKKILPNAAEPLNYLVSHWGSDENTLGSYTFDGVGKPRDLYEKLRIPVDNLFFAGEATSVQYTGTVHGAFSTGEMAAEECRMRVLEKFRELDMLEMCHPMAEQTATVSVPLLISRL >cds.KYUSt_chr3.10775 pep primary_assembly:MPB_Lper_Kyuss_1697:3:64107965:64109826:-1 gene:KYUSg_chr3.10775 transcript:KYUSt_chr3.10775 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEGPPLKPPVVVAPSGDGEQQQDREDGSQPPPMLPDDVLANIFGRLEPRWVAGSRCVCRPWCAAIDTRRLLRADLLPLSLRGIFVHFNMHKYPAFFSRPTPPGAAAFSGKLSFLPFANPAGCVWLPDEGYDSRERYSIKDHCNGLLLIDKYVVNPATRHWDALPAAPPGRVQFIIRNEHGLDPTPLYEIIHTYLVFDPTVSPHYQVLRVCALSRMGSRDDLKNKDSAECPSSSWTFNVLSSRTGCWEETRFVREGDAAGTFAEVRAQPQSGAVYWRGALYVHCESHFLLRISLSNNTYRVIKPPPGYGAHTHPVPRLQRSEKGVYFVSLDRNWLRVWTLEEESCGQAEWVLKHDTDLEPVLACRRPRRVCGPWVLKGINHNSFCTHFPEDGNEEETEEKFAWSSDNDGVLEDEDMVQECSFEECYSDIDSDGVPGYEDTVQDCSSEQCYSNDDNGDALNNEDLIEEYSSEDIEKENFEEKFEFEECYWIEDIYEIDMLGLHPYKEVLFLCESAKTGLAYHLNSSKIERLGDMYPADYANYGGYGYDRDEMDRVKYAFPYTPCWIDEFPGNN >cds.KYUSt_chr6.11243 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69644684:69645637:1 gene:KYUSg_chr6.11243 transcript:KYUSt_chr6.11243 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAITGGGFYNIEAEPLRGGQAPGEVFAAIISFKGKPLSEEQLSDELKHLVDELWDWQVRKLSEVEFSVAFPSRETLRLSTGSGKLHLPLSETDMVIREAFIAPRPSVVLPSTWVRMTGVSEDLMTKERLMAMFVMVGRPMDVDELSLMKRDTEPVRMRFQCRYPERIKGTVPVFVNGEGFTVGVQAETPPRGGAGGSGAAPPPPPPPRRDDEDEDSDEFSTDSEWNKHGRRRRNKDIGKDKEKGKETEKGSAVTTSGKGSKGTVAGELGSWSAPPLGKGTGTPKFDQYGSNLRGGARQLFPSPRSRLLEEERSFW >cds.KYUSt_contig_402.69 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:341272:344172:-1 gene:KYUSg_contig_402.69 transcript:KYUSt_contig_402.69 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVGLHNPSGGIVNIRSESLLQIELTDFALKQLTIVAPALKLLKERCYMDFDVNSSEPAAKISAPQLISLEWNYYCGPRSVQFDKMANLSFLYAGRLPAHPFNLYLPHNPTGLLHCFEHIVCLYFTLVYRKDIANHPYLIEEMPRLPHVMLLSLNVEAKGHSFGASLFHVLRMCTNVRKLALAFDVSTEQLEDNVIIGLFGKV >cds.KYUSt_contig_2402.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000325.1:84659:86750:-1 gene:KYUSg_contig_2402.17 transcript:KYUSt_contig_2402.17 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVTSSSPASGLLELPRIRTTLPAACSKVGRPKAQGHTKAKAGECDESASSARGMSPPPQVDPEAGKRRCSWITANSESLYVAFHDEEWGVPVHDDRKLFELLTLSQALAELTWPVILSKREEFRAMVDGFYDASLGEFTDKKKMNQLPKSNGTRLLSEQKMRAVITNAKQIRKVVHEFGSFSNYCWSFVNHRPVTNGFRYARQVPSKTPKSEAMSKDMMRRGFRCVGPTTIYSFMQAAGIVNDHLPCCFRFDQVCRQAKVDEKDLRAERRSSSSSSEDSEIRGCN >cds.KYUSt_chr5.7781 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49022466:49029065:-1 gene:KYUSg_chr5.7781 transcript:KYUSt_chr5.7781 gene_biotype:protein_coding transcript_biotype:protein_coding MTTADVAAEVKCLRRLIGMRLSNVYDLTPKTYIFKLMNSSGITESGESEKVLLLMESGVRLHTTQYVRDKSSTPSGFTLKLRKHVRSKRLEDVRMLGYDRMILFQFGLGSNAHFIILELYAQGNIILTDSEYTVMTLLRSHRDDNKGLAIMSRHRYPVEACRTFERTDLTKLKETLKLSNTVDDKESSQVTPSSVDGQQPSEHANDGVPVTDKSEEPSKRTEKKSAAKIKQAGSNAKAGDGSQSNKATLKTLLGEALAYGPALAEHIILDAGLLPSSKVGKDPESSIDDHTIQSLVESVTRFEDWLVDIISGQKIPEGYILMKNKMAAKKNIIPSEGSSTNQKIYDEYCPILLKQFESREYDEFQTFDDALDEFYSKIESQRVDLQQKAKEDSAVHRLNKIKLDQENRVHTLRKEADHCISMAELIEYNLEDVDAAITAVRVSLANGMSWEALTRMIKEERKAGNPVAGLIDKLSFEKNCISLLLSNNLDDMDEDEKTAPVEKVEVDLSLSAHANARRWYEMKKKQETKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELVVKRYMSKGDLYVHAELHGASSTIIKNHKPDSPIPPLTLNQAGCYTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESCLASHLNERRIRGEDDALPEIEVDPRKHPSNLEPDDRLATDSEMSIVTHGNESSMDHTSAHRNNDTNSGNMDTADLSEQVAGTKTLENNGTSAFKEETQDDSVSSQLEDLLDKNLGLGPANVSGKSSLPISSLSSLAEDDDLDLKKSTLREKPYVSKAERRKLKKGQSTCEFTSDPQNGEYVKKLDNSQHEKGKDNTKAANGESVKKLDNSQHEKGKDNTKAAHTKTSRGQKGKLKKIKEKYAEQDEEEREIRMALLASSGKASQKDKPSQDGEDTTAKQSKPSTGEDDSLKICYKCKKSGHLSRDCPESTSVVDSTGVSIGRSSDGMDKTAAPVGGSITMDEDEIHELGDEEKEKLIDLDYLTGIPLASDILLYAVPVCAPYNALQTYKYRVKITPGTAKKGKAAKTAMSLFMHIPDATNREKELMKACTDPELVAAIVGNAKITAPGLTQMKQKQKQKGKRAAKNN >cds.KYUSt_chr7.25494 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159129489:159135835:1 gene:KYUSg_chr7.25494 transcript:KYUSt_chr7.25494 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVHIHHVDKDAFLKGNLEPDPKEVNLVFDRSPSFAEVVAQARIELNWNEPNDGVELEGRHNVGFGMHVLKSRGHLVGPQVEGVRLQPPPVDKRGDCRIVHVQRAPAYKRDEREKIGRLNMLHVPLVIRHVMYSTVRVIFVRIAPFVTDSYPDREVACRNGSGGGPAGTAFTNFQSMTAGSPPSGPHARVTYITWAEISSRSSERSPPLRPPNRSRRLVSSRTPSTTPHAKLAREAADASARKRKAADGGGGGDTGAAPAPEDAAMGDAPPPAPALLADAGGGEGSGSGSGSDPNPDPVSVELSMGGDYYHACCGGPDPDPKPEGPQVPYVGNKEPLSALAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDRAEVERIQKNIEQCNKTLQGLGYIEFTYEDFFAMFIEQLQNVLQGHDCSMGPEELLEKSREQTISDYVVMFFRFVTSGEIQRRAEFFEPFISGLTNSTVAQTCNRGTDPDLKFALQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDPANLSVNHHDFVPAANSSEGDDAMTSAPADEKPYITLLYRPGHYDILYPK >cds.KYUSt_chr2.52106 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325181609:325183926:-1 gene:KYUSg_chr2.52106 transcript:KYUSt_chr2.52106 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGASKLEEVAAYQSSEAKQARLQSMLAALLDDPILADVPRKPSLGDVDTLINLELGSAMRVTVVKLDNSQFDVAVLNTATVKDLKLAVRKKITEIEQGQMGHRHISWKHIWENYCLTHQSEKLIDDNSVLSSQGIRNNSK >cds.KYUSt_chr3.45942 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289253011:289253957:1 gene:KYUSg_chr3.45942 transcript:KYUSt_chr3.45942 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFVPGLPPPREDGAFEIAAVQATVFLGRGLCLGVSVHHAACDDASATLFVRTWAAACRLGVLEGADAPPAPVLDRSLVADPDDLLSKTLAGMNRMLASGPPPPPPPPAQETEMPAATSELTNGDGGMAAAAAAIGEANREMERGVLEGAEGWLGKVLSVLPERPMSVGGSPRHGVYEGTDFGWGRPCRVEMVSIEKTPGTVSLAEGPDGDGGVEVGVVLPPDAMEAFVSCFCDIVRRSI >cds.KYUSt_chr1.41770 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256095446:256097299:1 gene:KYUSg_chr1.41770 transcript:KYUSt_chr1.41770 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHTHTGLTPFLVSPASGHGTPTSSYAGSCSYAGDHKAAAAAAAEAGDAGAAFVLESKGTWWHAGFHLTTAMVGPTVLTLPYALRGMGWGVGLTALTAVAAVTFYTYYLMSRVLDHCEAAGRRHIRFRELAADVLGSGWVFYLVVTVQTIINAGITIGSILIAGNCLQIMYSSLTPDGPLKLYHFIMIVAVVLALFSQMPSFHSLRYINLGSLLLCFGYTILVSGACIRAGMSSDAPVKDYSLSPSNSGKTFDAFLSISILATVFGNGILPEIQATLAPPAAGKMVKALTMCYAVAFFTFYLSAISGYWAFGNAVQSNALQSLMPDEGPSLAPTWLLGLSVVLVLLQLLAIALVYSQVAYEIMEKRSADAAHGRFSRRNLVPRVALRTGYVAACAFVAAALPFFGDIVGVVGAVGFIPLDFVLPVVMYNVALAPPKRSLVYVAHVVIVFVFTAVGVIGAVASVRKLVLDAGQFKPFSGHVVD >cds.KYUSt_contig_2528.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000367.1:10642:13245:1 gene:KYUSg_contig_2528.2 transcript:KYUSt_contig_2528.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTARWENNIKRNNLGKTLSPEARFKTTSRGTRLDGWLGKSRSTGETLLPVAEDKAQVRRIVVVKDGKKMELVSQEFIDSLKSRPPPPPLRPVDHKLLDSLRVDAGIRERYRASAVSLTGP >cds.KYUSt_chr3.37779 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237632151:237632540:-1 gene:KYUSg_chr3.37779 transcript:KYUSt_chr3.37779 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARILILSIAALALLLHSSPTLAAAADADAAAEPCAAPLSSSSAASAAPDEVALCPVRCFRPDPVCGADGTTYWCGCPEAACAGATVARRGYCEVGAGSAPVSGQALLLVHIVWLFVLGAALLLGFL >cds.KYUSt_chr1.7940 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48749945:48753947:1 gene:KYUSg_chr1.7940 transcript:KYUSt_chr1.7940 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYRRSGAGGGGGGSAPRTVEDIYKDYRGRRSAILRALTNDVEEFYGQCDPDKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGMKRTDWLALVAVHSDSWLVSVAFYYAARLTRSDRKRLFGMMNDLATVYEVISEMRQSKERDRSVGIDNSGRHKLPAKHAREAPPPPRVENNAREADEGYDEDDGDHSETLCGTCGGIYSAEEFWIGCDVCERWYHGKCVKITPAKAESIKQYKCPSCSSKRPRQQ >cds.KYUSt_chr2.15910 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100059908:100067417:-1 gene:KYUSg_chr2.15910 transcript:KYUSt_chr2.15910 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIRIPLLISWEGIVQFLCEKHRNHGMAKLIDSVLDMVCKRKETKNSDLPPIVNREGPSSVLAMRRQQVQGKTSQFSVNKKMADTDSEDIQLLVCDSGTGMVKINQVWEHNLSLCHANPEKSLPFLFKRYMQTSTDHARKYCGTELGLAICKQLVELMGGTLTVVSNENEGLTFAFKIPCKLTVKEVHIDDPDDVSSSHYDFTVGDIEGSYCKC >cds.KYUSt_chr4.10284 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62317209:62319200:1 gene:KYUSg_chr4.10284 transcript:KYUSt_chr4.10284 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRPRSPSLYDPVPSPGYQLLDEFKDRLCSETLSPELAHQLFGKLLRQPVKVPERALNGFFAALARAPPSIACPDGPALAIALFKQMAEAGQRPVTAPTIHTYNILIDCCHRALRSGLGPAFFGHLLKTGITADVITFNNLFKCLGDMKRTEEALDVLLHRMPNDLPDVISYSIILKSFCDDGKSQRALDLLRMMRKKGSNHSPNVVSYNTVIGGFLKEGEISKALDLFHDMRQQGVVPDVVTYNSIIDGQCKARAMDKAEGFLRQMVDNGVRLNTVTYNSLIHGYSTSGQLEEVARLLEEMKTQGIMWDVFTCNSFMDYLCKTGRIKEAAELFYSMAEKGHKPNAVSYNTVIHGFLKEGEISKALDLFREMIQQGVVPDVVTYSSIIDGLCKASEIDEAKVALRQMVDNGVRPNTVTYNSIIDGLCKARAMDKAEGVLPQMVDNGVRPNTVTYNSLIHGYSTSGQLEEVARLLEEMKTQGIMWDVFTCNSFMDYLCKTGRIKEAAELFYSMAEKGHKPDVVSYGIILHGYATEGSLVDMNDFREQMVRDGVVPSLSVYNILIGAYAKCGKMDTAMLVFEDMLKHGVNPDQVTYLIVIAAFCRMGRMDDAMDKFSEMIDMGVPHDSNVYECMIKGYFRQDDLVQANKLFTEMKNKGIRQRP >cds.KYUSt_chr5.26991 pep primary_assembly:MPB_Lper_Kyuss_1697:5:170930075:170935105:1 gene:KYUSg_chr5.26991 transcript:KYUSt_chr5.26991 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVEGSEAAPEERDWSDLTTVCLVEAFSRLDQEDLWRGAMSCCRSWRDAARSRPALFAALDLDPAFESIGADAAEWWTPAFQRRVDAMLRSTATLAAGELREVRIRHCSDDALAFAANRSPQLSILSIRSSRSVTDRSMLTIASCCPVLTELDISYCYEVSYKSLEAIGQNCPNLIVLKRSIFNWLDSSEHTGIVPADYLRECPQDSDREAITISKYMQKLKHLVLRFAKLSAVGLNSIAEGCKELEILDLFGCANLTSRGIEQAAGNLKNLETLVKPNLYIPRSSFHMERYGHWQLYDERFQTNVFQI >cds.KYUSt_chr1.21746 pep primary_assembly:MPB_Lper_Kyuss_1697:1:128387103:128392117:1 gene:KYUSg_chr1.21746 transcript:KYUSt_chr1.21746 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRITKLRLYDKGANDDMWSLLFYRKTHCLFPVAACVVHIGFWFAMDSSIAKYQPIFLVKQKQKLLPIVAEYVGSEALSTIISNKLRAGYGSVSPKHPFDKCLDETVNVEAILTVLNGVASSSKNDSKDDDWATSDDDADSMEHDDGW >cds.KYUSt_chr5.20716 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134584015:134587257:-1 gene:KYUSg_chr5.20716 transcript:KYUSt_chr5.20716 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIATSFSAAAAAAVARRRSPAHIQLVARRRLPFCTAASAAPAAAAGFSWADALRVASDTGVGDESDLSGYFHKVDICNRGLDKKGQFVEFLVEDQVVGYIHKGFTEHLRDFHDVFTIVSGHNGNSTVGHVTLHPSLRTPEARTLAIGDVIKGLGELIPGIRNELYPVTSSYGMPVYFSLERAAAPYFGIKAYGVHMNGYIEKDGEKSLWIAKRSDVKQTYPGMLDHLVAGGLPYGISCKENIIKECEEEAGIPRSMSTNATSVGAVSYMDIDGFRYKRDVLFCYDLRLPVDFVPNNEDGEVDSFRLLPVSHAANIIRRTNFFKANCNLVIIDFLFRHG >cds.KYUSt_chr1.24275 pep primary_assembly:MPB_Lper_Kyuss_1697:1:144922009:144922956:1 gene:KYUSg_chr1.24275 transcript:KYUSt_chr1.24275 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRTLHALTSPKPKAPSFLLDCARPKKLSYARVRSISLPVRLHPLVAELHDTARALLRWADEPAQTGPAWVADGSGRAGRVLAGLAGLLHHPQARDALRRPWTEQLLDDLLLLADLHGCFRESLVALRQLLNETHSALRRRDGVRLAAALRAQRRAAREVSRLASSARDLAHRASPGDDLDEVTLADAFAAATAAVAAASAAIFSGLSSASAESAASAAPSPRTPTPYSPSPARAPTSPMWLVTDLLWRRRSVSFSFEDCCNEEEEERKAAMGRVRALDECVGAAEASVEQVYRALVNARVSLLNLLTPTF >cds.KYUSt_chr3.24350 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151124018:151124347:1 gene:KYUSg_chr3.24350 transcript:KYUSt_chr3.24350 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLILEAKISHFRNHNNHSHNHNNRFHNLNNRFRNLNNRSRNPNNGSRNQNNHLRNQNNHFHNPNNRSRNPNNRSHSQNNHFRNKNNCFRTDNNIPSSNNHGCSNNSS >cds.KYUSt_chr5.36544 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230953874:230959807:-1 gene:KYUSg_chr5.36544 transcript:KYUSt_chr5.36544 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVEKNTESGKTVCVTGAGGFIGSWLVKLLLSRGYTVHGTVRDLGDSKTSYLRRLDNAADKLKLLNADLLDNDAMAAAIAGCQGVFHVATPVPSEKITDPELQMLGPAVTGTTNVLKAASAANVRRVVVVSSMVAVEINPKDWPHGKIRDESCWSDQEFCRSIESWYPVAKIAAEAAALEYGRETGLDVVTLNPSLVFGPMLQPTVNTSNQFLIYFLKGGPDLIWDKLWHIIDVRDVAEALLLLYEAPGAAGRHICAPHFITARELLALLKSMYPGYPYIAEDSIRDMDHPARMTSGKLEKLGWSCRTMRDTITDAVDFCREAGFLEDAVDGAPCRFPPLLNKI >cds.KYUSt_chr4.10344 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62604719:62611163:-1 gene:KYUSg_chr4.10344 transcript:KYUSt_chr4.10344 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVNWVESEGSYNQHGQSFPSKKLRVAEVVYEEFDKRVEAISRILKAYGMHLQHIQRTKRPSERGEVRGNALQIYCGAKQAGGALLLLRVSRQGLPDDSPAPLRGEVWPVQKINYDKSYPFVRPAGKQHRCLLVAEEDSAVFLLAVDKSSPAQSLNERTTRHWLHRFHVNASSDEEFRSSRNIATSLFKQYKNVIDRGGGDNIKGFVNAGVQAYALGCTEEGIRMELMDIKASGVEIEGLRSYGGGTSLSFKVRSFEVKECILWLSIVFITILCTPQPTIIRWSTSPPVSDDLLDQWKGFCALIANAYYTKGMAWLPVKTLQLEQMAVMGSSEEPSVVASRMQLVFSTLEVVSPQWPKV >cds.KYUSt_chr1.38782 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236958059:236959366:1 gene:KYUSg_chr1.38782 transcript:KYUSt_chr1.38782 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAATHRRDPSKLLYKPRPPPEPHPFLLHLKSLPSPVAAAAALLSAPRRLHDHPFAACVLYRLARARLFPLLLPLLSTLHARRAPLRATVFAALIDRLGAASRPDAALLVFYRAVPAFCPHSNATFHALLHCLVCNGRVDAARAVLPRAAKLGVRPNAVSYNIILKGVCARDGSAGARAVLDEMLGRGVRPTAATFNTLVGAACREGDLDAAARLREEMVRRGVAPNAVTYALLMRGLCDAGRLEDARKMMFDMEYQGCQTEVANYGVLMSAYAGQGDVDAVRGLLSDMRGRKLKPDDASYNVLIKCLCDDGGRVAEAHKALVEMQLKDGVVPSAATYRVLADGCCRAGNFELGLRVFNAMMASGHRPLAHTFRHLAKGLGDDGKADEACFVLEKMVESGAWVDAEGWLSLAECVCSSGASEVKLLDDLALSS >cds.KYUSt_chr4.26221 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164879621:164881342:1 gene:KYUSg_chr4.26221 transcript:KYUSt_chr4.26221 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDDSNCFAVQDHLALPNIARILMEEEEEHLDEDNPALLKAQWPFAQILSSSTLNSALVADQGAILAGDMSSRSSAIFKFKCVDEVRSLLLLADGEYNTEMFSTAFLKGMEEANRFLPTDSELTTTRGQDQLKKSGRGRKERHGELEGDVGRTTKLVEPGACQVLDQMQIAMDNQTCNINKKGRKSKAQVIDLHTLLIHCAKAVIDDRRSAGELLKVIQLHASPTGDATHRLAYWFAEGLEARLTGTGNQVYGILTAKGTSSVAHSEDYQLFISTCCFRNVSFLFANKAIFKAAAGKSRLHIVDYGFRYGFQWSELLRWLAARDGGPPEVRITHIDLPQPWFHPEKHMEDIGDRLSDIAQELGVTFKYRAVIAQWQTVCIEDLDMEPDEVLAVNDLFNFRTLMDESVIITSPNPRDTVLSNISKMKPDVFVQGTVNGSYGTTFLSRFREVLFFYSAMFDMLDATMPRESKLRLALERDVFGWVVLNAIAYEGKDRLERGETYKHWQIRNQWAGLRQLPLDRETVQMAKDMVKNNYHKDFIIDEDHQWLLQGWKGRILLAHSTWVAKGASSDC >cds.KYUSt_contig_786.344 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1852083:1857471:1 gene:KYUSg_contig_786.344 transcript:KYUSt_contig_786.344 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLPRGQLEVQYYSDYELEAVIAARLFPVGSIRPKVDIARANELNLCKFVADELHDHLSNQKYKKGCLLYCMLKDEYKEVVALEMGLFGGPDGFDSSMKLNTHPSCTQKNFAPSLNGLLSSLVQGLTQCSDGESSDVDTELSERDAHQNDASRNVAAPTKVGCATGHAKKKSVSPVVGRRNEGRQDGITSPAKLSPAVETQKKLQEDTISSASPPAMHSQINVPSLSDLDGSDEVNGGGNETNSPLESTPQDDDRIRGSAARLAASLSNCKRTKESRVPSSSETIANNRIKNVVAQEFGIPVRVSEQGSDSIAMIKSIPDHGQQVLETIPERKRHGIATTTPSRKSPRLASIYSDKADRNGGNDAITESASPEDGKSSSTSFVVSPTVSSKPPQKDGSHIVGDGNSLRTAIAISPIVTMQQGTSYVPSTSGDRKCPPVGEGKTKDNDIPISPNVTEAQAPHLDANTCRSIVSSPVAQRQFTSVNSQSGMPFRLSPLAGANLGIPGLLNRCHVFVPPEVQDAVRKFSKSVKKMGGLSRFAAQQSNSIQERPRKRKRVENEKGGSSGAVRDNRIGMFTPPSFHLGFCSQGSVDSDIQVDEFNGDEDAAPNFPVPVKPQQIGTQDGASSGDDFEQFQLSEEVQDVMAGKTLHLSENGMAELARYQIIKYTDSFAYTSDLANSIHGRGELSNHCMEVGIEYLRRTNTVEGKLIVPYQISVYLMNGEFEKKAVVSLFKRSKDYSLSVMKLISFPVLQEMARQQKEGNHWYSLHMNYQAERFEALDSMRGEGDEALISHANALISRIKAVWKIHYSTSKVQIQNWDLKIINVPIQSTIFDCGYHALYNVEKWDG >cds.KYUSt_scaffold_869.956 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6076390:6080681:1 gene:KYUSg_scaffold_869.956 transcript:KYUSt_scaffold_869.956 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAKPRCAVPLDLKPARGRKEGIARLGFPPPAMGALTGKRFSADHRPPSPTPPSKRPKFAPPFPISSPPPLYSSSHLPSPPSSPAPGPTSSTAATASTSSSPSSSLPHRRRRRPQPPEPPRNYHAPQHRSRAFRRGNIRSNSNPSRDSSSPPPQDRGLDQYLELVKSVDRHPPPLTPSAADAPRGPEDAAEVITIDDREDIEQDDEKDEEDELEAKVVVGKVPLYKERHQASNRQRDPRIRELGFEVRLAEKERLGLEQLAKVLPQITPNKKEVPEPFVPLTAEDEDRVRHALGGRKRRETLSVHEPSNIVITREILQCLNDKDWLNDEVINLYLELLKERELKEPNKFVKCHFFNTFFYKKLINGGYDYKAVRRWTTKRKLGYDLIDCDKIFVPIHKEVHWCLAVINIRDKKFQYLDSLGSMDTKALKLLARYLVDEVKEKSGNEIDVLSWKQEGVQNLPLQENGWDCGMFMLKYIDFYSRDMDLIFGQASVPGRLVCFSQ >cds.KYUSt_chr3.40354 pep primary_assembly:MPB_Lper_Kyuss_1697:3:254172845:254174476:1 gene:KYUSg_chr3.40354 transcript:KYUSt_chr3.40354 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAKQLHAHYLAAGCGDLERWARLVKEYAGSSFLRLAAQVYSKNLPRQTHHLPLIPVLLKAAASRPRWPGLSLGRSLHAEAIKVAFDRDLLVGTTLVSMYCKGGLLADARVMFDGMPGRNAVTCNAMLDGYAAAGDMGQAEALFVRMASRTPVTWATLIRGFADKGDMEEARRWFSVTPVGMRTVVTWTVLVQGFVAVGDMEAARELFDAMPARNAFVWSSMVTGYFKAGNADEARAMFDRIPVPNLVNWNSLIAGYAQIGCSEQALQAFHAMLENRIKPDEFTMSSVLSACAQLGSLEQGRKVHELINQKHILKNPFVLNGLVDMYAKCGDLAYARQIFDNMQRRNTQCWNSMISALASHGQSEEAIQLFSQMECSKQKPDGVTLLAVLGACTHGGFVEEGLRIFNSFSVYGVATGVEHYGCLVDLLGRAGRLEEAYAIVKNMAVESNEVIWGALLGACRVHANREMSERVSNEINQLRSDHASTNDAEYITLSNILAESERWEQAERVRRKMASHGVEKTPGCSSVELDISENKLYCRQ >cds.KYUSt_chr2.10985 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69711452:69712210:1 gene:KYUSg_chr2.10985 transcript:KYUSt_chr2.10985 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTGTSVAAAATMLAAAAAIFITFVIFFYLFLCAKRYSGAAPTIGGGGPDGRGRGPRFVFGGPCNGSGLDETAIVALPRREVAPGDPAADCAVCITELAAGEDARLLPRCGHSFHVDCVDMWLRSHSTCPLCRCPVADEAPAVQAPEADPESPNFPTNVLFFGSQDAAAVAPPRRPVAPLPPQQQPAQGPIAGVAAVVEAARVAALRRLRGCGGGGATAQPTPPQADRDVEMGLPRGESSARRPAKPQPGS >cds.KYUSt_chr2.19061 pep primary_assembly:MPB_Lper_Kyuss_1697:2:119898872:119899585:-1 gene:KYUSg_chr2.19061 transcript:KYUSt_chr2.19061 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSTRVLASLLAVAGLAATAAGLRFEVTNNATTTPGGQRFDREYGVGLAEQVLAEASCFVWKIFNQSLPADRRPAVDDRVKLVVNFVNSNILAFERDSESSITLNAGYVNNITGDGGEVRTLVTGLLYHEVTHVWQWGQQDTSSAHSWVYEGVADFVRLRAGYAAPYWVQPGQGSSWDESYSTTAWFLDYCDELRPGFVAALNERLKDGYSDDDFLQIMGKPVQELWRDYKAKYGG >cds.KYUSt_chr1.36274 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221185783:221187204:-1 gene:KYUSg_chr1.36274 transcript:KYUSt_chr1.36274 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTFVLYPGLAVSHFVPMMRLAGALIEHGYAVSVALIDPTAPDATFSAVVASTAASMPSVRFHTLPLVEDPPTLIPGAQFIVSYFDLVHRYNDRLHDFLGSFTRVHAVVVDSMSATAFGVTKRLGIPGYLLFTSSAASLAAFAQLPYVLAEGGGTSFKELGDTPVELFGLPPIPASHLFGELLEDPESDTYKSTMAVLSRIPDSGDGILVNTFESLEARAVAALGDPRCLPAGRVMPPVYCLGPFLGGIGEPKERHDCLAWLDVQPDRSVVLLCFGSTGVANHSEEQLREIAAGLENSGHRFLWVVRAPHGDDPDLDALLPDGFLNRTGGRGLVVKQWAPQAEVLRHSATGAFVTHCGWNSVLEGVTAGVPMLCWPLHSEQKMNRLHMVGEMGVAMEMVGWQQGLVQAGEVERKVRLVMESEEGGKLGARVAAHKEAAAAAWDDGGSSRAAFARFLSDVEGRQAPARTGEGA >cds.KYUSt_scaffold_2697.702 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4429745:4434578:-1 gene:KYUSg_scaffold_2697.702 transcript:KYUSt_scaffold_2697.702 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPRLMQLVKGGQTAINVKGEIKPFFRNARGVHDTLVLIKPSAMGIANLKTLLLCFENMSGLKINFDKSEVVVTGVPRETQRDVAYMLNYKLGSFPIHYLGMPVSDRLLRVADWVSSQIKRDTQGGPLASTHKAMDKPRFCFFWEGVGNKRKYHMVDWATDARVLEGVPGECRIGFRRQLGLAERVKLDNLGALMKQKIVIRVEMTCNKCRSKAMALVAATVGVDSVALAGDSKDQVVVVGDGVDSVKLTTALRKKVGHAQLVQVGEVKKEEEKKKPAEAAAAIVEYTYPWHYYQYPPAGAYSYQQYYSPPDTCWM >cds.KYUSt_chr2.800 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4702691:4708727:-1 gene:KYUSg_chr2.800 transcript:KYUSt_chr2.800 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMEVEMEVEGQDEEAEREMAPKKLRIRGEAQVPDERREPTSQDAKALIIPNEKDNWTYDKGVRQPSSMIGALIRQMRPGFYTPVPGGEKKLAETWADYEAAPCPGFGTASDAVYTKFWTHYKVPDDMVEQGRAGTRVKKADNIRDDPQLTKEDYLKVMPLWCENKEDAFEALVARWVGEDADFNAKSARNKANRGTGGTHSAGSRSTERYRKHKEAELGEPLTEVGGWQKMKLKQPDLSQPQPSLPEYFGYAEEELEKYCSVFKGLHPEVDDPIEQETDLTAIMVAGSGAEHGRTKLLSGVIKPQRTLTQIRSTLTTGDPPVAPPRHRRTDAHFEAAYAAAYEKYLTVVAEWDLKRAAWEEYQEATSRAVRTFFLTGERITLPAEEPARPGPTPVCPSREAFAATYYARTPPVVTTNRAGVTPTDSIPSTGQAVAGIPMETTPADEKPLVLLAQPLFPEFAAALAGRYRFVLAVDADAAAAAEARVLLVPGLAPVTAEHLARLPALELVAGTSVGVDHVDLDTCRSRGLSVTNAGPAFAVDSADYAVGLVVAVLRRVAAADAYVRRGRWSADGDYPQELNRTLVQWQVSGKRVGIVGLGNIGSRVARRLAAFGCAVSYHSRSPKPSSPYTFVPALLDLAAGSDVLVLSCALTAETKHMVNREVMEALGKDGVLINVGRGGLVDEPELVRCLRDGVLGGAGLDVYANEPAVPPELFGMDNVVLSDHRAVITPESMRGVLEVVTANLDAFFSGRPVVSPVQL >cds.KYUSt_chr7.6904 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41641704:41642216:1 gene:KYUSg_chr7.6904 transcript:KYUSt_chr7.6904 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFTASIASSSAPPHLRVSRPPHPFPHLHRRRAPHLPPIAPKHPLLLASRRSILFTPRAHGNHCHHCGHGHHGHGHGHDGVEVHEGGGGAVVMRVARAIGWADVADALREHMRVCCISLGLLLMAAICPHVALLSSVERLPAALIAVAFPLVGVRVVRPTGRLFLAAVT >cds.KYUSt_contig_786.251 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1400155:1402830:1 gene:KYUSg_contig_786.251 transcript:KYUSt_contig_786.251 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSARHGPEPLTPAAARLSPAMALLAAARRAATSSLLLLRASLLRPLAATTAALRPVPFSSAAAARPSSDDELLRVIKSEIKFAEDCDDQDRVEEIPENFPFKITDKKGLNEITLTRTYQGEKIEVLVSMPSLVTGDEAEHDQDEDDKDKDDDQEDGEKAPKSTIPLIVTVSKSDGPSLEFTCTAYPDEILIDTLSVKQPAANDDEELIAYEGPDFKIALLMLCTPLGLSCAATSTRAFHKYLELRGISPLTTNFLHEYMINKDSREYLFWLNKLKDFVKQ >cds.KYUSt_chr5.1477 pep primary_assembly:MPB_Lper_Kyuss_1697:5:10012806:10014230:-1 gene:KYUSg_chr5.1477 transcript:KYUSt_chr5.1477 gene_biotype:protein_coding transcript_biotype:protein_coding MPGALSSPPVAEEGLSDLETASSTGAPNSSLSSTSSAGSVARCSSLSRLSFDCTPSAAVAAAASCSPRPVAPFRPHRSGDVAWAAIRALSASSSSPATPLGPADFKLVRRVGAGDIGTVYLCRLRTATPEEEESSHPCCLYAMKVVDRRLVAKKRKLERAAAERRILRLLDHPFLPTLFADFDAAPRFSCVVTEFCPGGDLHSLRHRMPSRRFPPASARFYAAEVLLALEYLHMMGVVYRDLKPENVLIRADGHIMLTDFDLSLESTSSPSLLLPAPAADDDEEEPSAASCFPLRFRRRQRRPRRCAASLPPRFVAEPVAARSSSFVGTHEYVAPEVASGGAHGAAVDWWAYGVFLYELLHGRTPFAGATNEDTLRNIVRSPLAFPVSTSGSAISTDMAAARDLITRLLNKDPAARLGSLRGAADVKAHPFFKNLNFALLRSSRPPVVPGAPMHRSQSCKAPASRKPDPRFDLF >cds.KYUSt_chr4.17092 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106613244:106616829:-1 gene:KYUSg_chr4.17092 transcript:KYUSt_chr4.17092 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDDSAAAAAAGGEAEHIPLRASTIASAAPLRAIAAAISPPSRRAGAFHSLCCDAVRKSVERCVPLQSSVQCSGGLCGSRLLPALGSGIEVAHCFRHWGSIFWVAELILLGVLLHAFLDSDKINHDIARRGRETYATGLGKINDNP >cds.KYUSt_chr5.5262 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33059964:33064262:1 gene:KYUSg_chr5.5262 transcript:KYUSt_chr5.5262 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNVSRQAGDKQEIWEILKAMKKHASPGPDGFNVAFYTSTWSWIGDDVTNMVRNFYITVNELSLALQDALHANHLSGQVPNWSKSGIMFSKKVGLQVKYGKKNTWCQGSKLSLGGCFVELYPLVFPAANAIMQRAKLENMVSSEDHRSTCSAVQHLSTQQDPSSVAGTTIFCDAAWKIEKNMESTPAGIGIIITTDCNQHYKQLHVSALSPPASSPLQAEAFGLLLATMLAERLSIQEPNYFTDCLALASEVAATSIFNTKGHWIIRPLLAAIQESSAFQNNKIAHVNSEDVLDARLEGPRAASGVTTTTTIRAVAAKACGPRQPDAGFELPDFNFDDSDNSQFDEWSEAIIACADVEAAREVPQWGEEQPFYGDPEQAAILAFFNVQRFRRLEEEELEYINDVHFEHAVEISC >cds.KYUSt_chr1.42093 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257897517:257900241:1 gene:KYUSg_chr1.42093 transcript:KYUSt_chr1.42093 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLAWLNARVVDPVMQVIQRGAEPKQLAFSAALGLTIGIFPICGTTVIIGGVAVAALGARCNAVTLMVLNLAATPLELSLIIPFLRLGEALTGSDPFPLTSDAFKMVITGHASKDVLLSIVHAMLGWLVAAPVVLAVLYTIFVPCFKYLVGRFCFARMNLNPKTDLDRYSSMATDLTVL >cds.KYUSt_chr2.8596 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53925950:53927877:1 gene:KYUSg_chr2.8596 transcript:KYUSt_chr2.8596 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTAEELAAQIEQEQLEAKKAESEEVVVEDDEDDDDDDDEDDDNDDAEGEVDASGKKQSRSEKKSRKAMLKLGMKSITGILFVISKPDVFKSPNSETYVIFGEAKIEDLSSQLQSQAAEQFKAPDLSQMTSIPEASGAEHDDNEDVDEDGVEPKDIDLVMTQAAVSRAKAVKALKAANGDIVTAIMEVTT >cds.KYUSt_chr2.12648 pep primary_assembly:MPB_Lper_Kyuss_1697:2:80302216:80306773:-1 gene:KYUSg_chr2.12648 transcript:KYUSt_chr2.12648 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCWTAERLARHGLPHHQRCLLCDQATESMHHLMLECPFTRQTWHEVLAWLRMTARPPDGEPSLIDWWHHARQDTPAPLRKGLASATLLIPWMIWKHRNSCVFDGAQPSLQLLLQNIKDELRAWARAGAQGLRVVLPQTWDVQKFLVDIKSPSDTRTMGLDFTMRAVYTGIWIAAVSLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINAKIDGVDVSGIVEHVGLWSPTIIRGDDREAIYIPNHKFTMSILRNNTRRNHWRIKTYLAISHMDAGKIGIIVADMRKVLAKNHHIEQQKLHRRVFFEKIDPKTQALMIYISCFVKTSHFEEYLNVQETVMLDLLTIVGHHRARLATQIRTVQKSYGNADIDNIPFGEDTYSRVRGRPILIDTSARLSEDKKPRPVSREDQKVKTSVSVETKSASADAPDGSSLSNSEKQEEKKMVPDDIRMKNSKNDNVKSTIPSPTTPWAETTDPVASKPGKGKAQGSEATERQGDSSVPVTNPKKESRPAFEDNIVLGVALDGSKRTLPIDEGMNPHLSLSEHEQDTVEAASSPKKGQSYSLSGQEKADQRNPDR >cds.KYUSt_chr5.14971 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96727991:96730757:1 gene:KYUSg_chr5.14971 transcript:KYUSt_chr5.14971 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRKSDKKAVLDLAAWSFNITSSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPLPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDRVHYSRDTKLSIMVVLVGVAVCTVTDVSVNAKGMLAAVIAVWSTAFQQYYVHYLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDYLLTGKRVDHFNFTSLSLFFLVLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLFLGFLFFGKEGLNLHVVLGMLLAVLGMMWYGNASAKPGGKERRSVLPVRSERHNGASEEKDGSEK >cds.KYUSt_chr6.19994 pep primary_assembly:MPB_Lper_Kyuss_1697:6:126005560:126008619:-1 gene:KYUSg_chr6.19994 transcript:KYUSt_chr6.19994 gene_biotype:protein_coding transcript_biotype:protein_coding MATIISSLVGSCVKKLQDIITEKAILILGVKEELRELQGTVSQIQCFLQDAEQRRMEELAVNNWLCELQDAVYDADDIIDSARLEGSKLLEDHPLSSRNSTGCSGISILSCFPSMQRRHEIAIEIKNLNTRIEKISKLGKKFLTRSEAAPSLQGSMFKPRKSSQLVEPNLVGKEIMHSTRKLVDLILSYKEDKAYKLAIVGTGGVGKTTLVQKVYNDHRIKGNFEKHAWICVSRDYNDVTLLKEVLRNMGLQQGQGETIAELQSKLAEIIKGKSFFLVLDDLWQFNVWTDLLRTPLHAAAAGIILLTTRDDTIAMKIGAEHTHRVDLMPVEVGWELLWKSMNIREENELQSLRNIGIEIVRKCGCLPLAIKVTASVLASRDQTENEWKKILSKNAWNQSKLPTEIECVLYLSYAELPHHLKQCFLYCALYPEGRAIDRDDLVRLWVAEGFVEEKQGQLLEDTAEEYYYELINRNLLQPNGSYFDHSECKMHDLLRELACYLSKEECFVGDPESLRPVSIRKLRRISVTTDKDMLLPSMDKEQLKIWTFKMLSGVSLVVDHSFIKKFQNLRVFDLGGSHVRSIPSYIGSLIHLRLLDLDSTDISCLPETIGSLKNLQILNLQRCAYLHSLPLAVTQLHNLRRLGLRDTPINLVPKGIYKLKFLNDLEGLPAGGGSENSKTQNGWKLEELAHLSQLRRVYITKLERAAPCSTDAILEQKRYLKVLSLRCTQLLNAKYSEEDVRNIEKTFDQLSPPHNVEDLFIGGFFGRRYPTWLDATILSSVKHLKLVDCRSCLRLPPIGELPMLKYLRIVGASAITKIGPEFVGCKGGNLGPTKAIAFPKLEWLIIEDMPNLEEWSFVDVEEETAAAKAAEMQRCEASSLYLQLLPRLKELYLINCPKLRALPKQFGQETTSLRKLQLSRTGSLKVVENLQVLSETLVIESCESLEKVSNLPMVRELLAKDCQNLRCVEGLGSLQQLCLTKDMKETSSLWVPGLQQQRRQLHGDDLDVYTAIETKRTPN >cds.KYUSt_chr7.6830 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41203191:41207290:1 gene:KYUSg_chr7.6830 transcript:KYUSt_chr7.6830 gene_biotype:protein_coding transcript_biotype:protein_coding MANPWGNSSSSLWATLGQASSVAQLAGVDALGLVSMVVQAALAARRHRDACVRLAQHVELVGGLLRELELSELMRREVTRRPLEQLGGALRRCYALVSACQDCGYLRRLLLGARMAEELRAAQHEIDMFIRLIPLVALVDSSTDNRLVKQVDKGMLSVVTDSSNCHTRFSTRASEFTKVRVQRATKLRNVGDQPFVGTVDLQEQKLFDIEELVELCTRIEEACVGFAKFNFFQIADATNNFSEKAIIGCGGFGTVYKGQLPDGLMVAVKRLDKHATIFDFDSELQLAKLQHINLVRLLGWCVHGKERIFVYEFLQNGSLDHYISDRTKGPLLDWSKRLKIIAGLTEGFVYLHKGSRFWIVHRDLKPHNILLDHNMIPKIADFGSARALSSDVAEERTIRVVGTSGYKAPEYASEGVYSMKTDVFSFGVLVLVIISGRKNTILDKQGDTVGELVRDFSHVKFIGKLFQAWCMWKDQRLHELVDPALGNVYEIAEITRCTQVALLCAQEDPADRPTMADVAAMLNSEGMSLPMEPKQPTALSKGCAGEDTSSAYMGQTSRTIDITITSSAPMSTRVQIILGPEV >cds.KYUSt_chr1.33376 pep primary_assembly:MPB_Lper_Kyuss_1697:1:202877459:202877888:-1 gene:KYUSg_chr1.33376 transcript:KYUSt_chr1.33376 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGASLTEQSFASGGWGAALADHFARQADVVLRGLSGYNTRWALKVLDRAMEGAAAGGADPAAVTVLFGSNDASLPDRAEPHLHVPLAEYHDNLRAICAHFKVAAILSSWSREC >cds.KYUSt_chr3.15455 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94642236:94645527:-1 gene:KYUSg_chr3.15455 transcript:KYUSt_chr3.15455 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPAVAASTAGDPEAEERRRLRSLAVSNGLLQRGEPAAPRAALPTSGAVTRLQGRDIVRRGHRKSRFLFSFPGLLAPAASGGRIGELADLGTKNPVLYLEFPHGRMKLLGTHVYPKNKYLTLQMTKSVKGVACEDVFESMIVFSEAWWIGTKEENPEELKLEFPEDMQKDGAAEDFDFKGGAGAASGEVITGNKPGKQTREHGKEIKEPLTPEDESDADSFDSDRMDENGTQTTSETPVRKSARTAGKDYKYSELLDGGDSTDSDNEIEGTEDLDEKIKIPEIKEEIPSEDIKPEDSSAQSLPIKKEPLVQATLSTMFKKAEEKKVEDEVQEISDCSEDENMDDDDGDDSDEDWAE >cds.KYUSt_contig_786.278 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1495563:1501076:1 gene:KYUSg_contig_786.278 transcript:KYUSt_contig_786.278 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAPCGGWCGAAINTIITPFYNRFAKHVLYCFTAGTSVREHRIKTEDLIRKRAGVQETFRANGNSLDAVLREQTRLWLESTDRVVSNEAANRLRYTQRYRLCGCCSPNCSGNYKISKRAVEELEEVKSLLGSVPDDNNITRAPDACPVERMLVDPAPIPPSREVILEDALRFIKSNDPNERIVGMWGPDKDDNTNLLKKINNSFLEQNLFDFVIFVPSPSDCSVTNIQSEIISRLGMKQDGNVATRATRIREQLENKNFLLIVDDLCQNLDLRAVGVPYPLGFVGEKKRKMVIMSLSGYRSVGNLMGVDKDIELPILQEEEARELFRHSINYQGDLYSNPNIGPHATNLVRAINGLPSELVRYGKAMHRIMDARSWKGAIDDAASKFSRSRSIEETLRLIEDDPILGVIGIWGPGGVGKTHLLKKIKGFFRGRMTVIWVTASSQCSVSKVQTQILDELKLKGDGNVGNQSGIIHDFLENKSFLLLLDDLWERIDLETVGLPLPLGIEPLSKLKRKVVLTTRFTSVCGGMEVKKQIQVPYLQEIEAWELFREKVGDETIFSPGIEDRARILVTEMKGLPLALVTVGRAMYGKFRPDQWDSAIQHMKKSCCIDTNEDPLKMEEEVFRKIMFSYDNLKSERLKNCFLTCALWPEDHKIHREELAQCWIGLGLVDVGDIQSPYTKAYSLMSDLTGACLLEGVGELNYRVKLHDVIRDMSLWISCGCGKNNGKWFVSAGVGPDENFGIPWRSAEYISLMFNDMKKLPSVGYPLELRVLCLHRNNLDETIIGGVLVNCTKLTYLDLSNNELKGIPESLCHLTELKHLDLSFNGGIQEVPHSFGNLIKLKFMYLQRTRINIIPEEVISRLEALEIIHVDLMWVSDSIRSNVFRELGTLNHLKVVGTSVGLLDAWTSLHDVADLPIRSLSLVPSAKKGEFHLYDILSLNFAQTTLCELTIAGDIDAIDITLIQRPGQQPYSFGILSDLTMIRLEALTTAKWMGTSPASVFPRLTCLTVIACTKLEHLSWAMYLPCLEKLDVRINDSMHKAFTSYHADNVWSGQESFQTFPCLKHIFLWVCNSLVTIADPNVTFPSLEVLKIEGCPKLKKLPFDMVSLPQSLKVLHMDTKSWEQLELEEATRKNLLRAPTPPRLLHLADPLLSSKRSKATLKVPPGPAGALGVRERGRRGLQRRCIVFVHLDIVEDMMAEETPMLGKFTWRWGVVDGDRTMRDRTERLQDDDNCRGRGHMDDDDDDRDRRGRNSSRGWRETIRRSLSRNARGRDKTRGMNESVPATMTVMDGGAEAPVLSMCSSWLRRRCRAARTPPTTPDPPSSPTAVCPSPPTSKGSCPPLLLLSRGRGAAVTQVQLSPFSAASPSEGARRALHFLAPSSSSSAGSQPPGFGSSPQQQTPPFIVEGPITRTPEPSPTRLSSTVGTLFRAGQQPLLTPPLSSPPVRTQRHRKTLAGVGIMRTVAFSLRRTSARLKAKRKAAPVAKVAEAVVCRGLGIIKDGEEVTEQAMEEFVAHFKGQVHEDV >cds.KYUSt_chr5.42637 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268610126:268612642:-1 gene:KYUSg_chr5.42637 transcript:KYUSt_chr5.42637 gene_biotype:protein_coding transcript_biotype:protein_coding MASKATAATSWRWRLLLLLLLAVAALCWIPPAIAMAAAASTAKGARRSLLGFVEAQGNSSYRCSPSGPCIPCQYSEKNDDKYCCSETGYRLPLKCVQVQNATKEGDNTKQRKLLGETPTSGGAKHYVTYRSCVPLEDEEKLSILGFEVLMAEEGSYTRRNPEWLIFVVP >cds.KYUSt_chr1.39385 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241014291:241018420:1 gene:KYUSg_chr1.39385 transcript:KYUSt_chr1.39385 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGFDMRGGSGEAVAVAASSPSKNNSALDVMRYQTITPDSLPLANGSGSSSGSSSSRKLPVLAPRPSPGTLPLANGSGSGSGSGSSSSRKLPLLAPRPSPDAAAGDSSSRLASYLAGTSLEQHKPARSLVIRSPARDPPTSFSFQPKNFDIGTGTPDIPVRLQWGHNKRSRRRRASSPLLPTPAPTPAPEAPAQARRRDTSPLLPTPAPAPTPAPETPAQARRRASMKLQRRAAAASASASAAVPAEKLMPPPSARGASNVRTVSPLPPRAAAVAAANALHGRTVAIQQQRSAEEARASAEQQQQKQPAAAVVKAENQQQQQQQPPARPHRATEKEKGKAPAAPESPRQQQQKPQPQQQQPTELQKLVAAARPELPRIQTQLSRKEKEEDFLAMKGTKLPVRPKRRPKAVEKNVSMIYPGQWLSEVSRSRYMVREKKSRKEKRKGGGLKAMESDSD >cds.KYUSt_chr4.5476 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31666974:31668722:-1 gene:KYUSg_chr4.5476 transcript:KYUSt_chr4.5476 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAAAAAPSSSSAPRLEGKVALVTGGASGIGEAIVRLFRQHGAKVCIADVNDEAGQQVRDSLGGDTDALFVHCDVTVEEDISRAVDAVAEKFGTLDIMVNNAGITGDKVTDIRNLDFAEVKKVFDINVHGMLLGMKHAARVMIPNSKGSIVSLASVASVLGGLGPHAYTASKHAVVGLTKSVALELGRHGIRVNCVSPYAVPTALSMPHLPQGEQKGDAVRDFLAFVGSEANLKGVDLLPKDVAEAVLYLASDEARYISALNLVVDGGFTSVNRNLKAFED >cds.KYUSt_chr1.16121 pep primary_assembly:MPB_Lper_Kyuss_1697:1:93698271:93699437:-1 gene:KYUSg_chr1.16121 transcript:KYUSt_chr1.16121 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADGLHGDAVADDIFASDGDLQAFFQQAVRVYFNLVGNLIWGSWCTDLAIKGWFLQELEVPAGGGGGGRGAVEEELEWLLNEDAFPAVETMEPKQAVMGRRTAAAEPGQRRCQHCGTTETPQWRQGPEGRGTLCNACGVRYRTGRLLPEYRPVNSPTFSPQVHSNRHHRVVEMHHRRGSSARDTNAVGSFTLPTCQFPRGSRSRRGVVNKKRSRIHPDSRGSWYGNGGKVWFLQGLEVTAGAGGEEAAAEEELDWLSMKDALPAKETTERKPPVVGPQTMAAVYRRRRALASSPSPTWPSAGGVPLPGQRRCRHCSTTETPQWREGPEGRGTLCNACGVSYGKGHLVPQYRPLSSPTFSPELHSNIHRRVLQMQLPVPGNIYSITQ >cds.KYUSt_chr5.14627 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94809593:94813925:1 gene:KYUSg_chr5.14627 transcript:KYUSt_chr5.14627 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDAPLAAEKGEVALRQLRDADPAVYLSPSADLAAAARAALKHLHSSLAVASPVQPPPLPNLLAGPNFDAEQIWSQTELLSGPLLPHLHRQLRRLEQQPPSYPSPAPPPAKSAKAEEEPSDEEDGEGDESEDLDEDEMEGTDDELDSGEEEEEEEKEQVKGRAGNGVEDKFLKVKELEDFLANAEEQEYGGSKGGEKKKGTVDWMEDESDEDMDEGGHDGEEDDDDLDLEDFDDDDDDDEEDGGKSGGDIKYEDFFEESHKQQGKKRNSSTKKVHFKDELQEMEGDDIENDDVKDVPTLEDEQGLSTHEKDEQGLSTHEKERLKMRAKIEQMEKASLDPCAWTMKGEVTASSRPKNSAIEVELDFEHNVRPAPVITEEVTASLEEMIKKRIAEDNFDDVEMPSTLPSKAPKKQKEMDENKSTKGLAELYADDYAQKAGLAPATLSISDELKKEANDLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEVAPVAVSDAAMLAPEEVFEGKGDIKEDTELTQAERKRRRANKKRRYAETHKDRPAKLQKNSDM >cds.KYUSt_chr3.25011 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155213969:155215382:-1 gene:KYUSg_chr3.25011 transcript:KYUSt_chr3.25011 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIPSFFPEPHFLSPPLQPPQKRRQPSSGTGGRPPPATRRLNSGQGAAAAVAPAADRGSGNRTLHLAAMEGKMDVCRYLVEELRLDVNQSNDRGETPLFLSAYFGRAEVARYLLAHGADPKLGGNTGSPLHAAAVKDTHCRRLGIEIERPRGFEGKSVGSGGQLRPELVAQQHLDRPLELSPSRRRHPSIH >cds.KYUSt_chr1.30434 pep primary_assembly:MPB_Lper_Kyuss_1697:1:184264434:184266707:-1 gene:KYUSg_chr1.30434 transcript:KYUSt_chr1.30434 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSRVLPLVACLLVFVFSVTPTLSILTDGRAKITQQSTSLNDGVDRTYVVLLEPPAHLHAHDEAAHRRWHESFLRPGSRVGGRDPPTIRHSYTGVFSGFAAKLTQEELAAVSRKRGFVRAFPERRVPLMTTRTPGFLGLDAKQGVWNATNYGEGVVIGILDTGLTALHPSFGDEGMSPPPAKWKGFCQLPAKCNNKLVGLVSLMGGNDTTDNVGHGTHTAGTATGHFVDDVSAFGLGRGTAAGTAPGAHLAMYKVCDGEGCFESDILAGMDTAVKDGVDVLSLSLGGPSMPLDKDLIAIGAFGVMSKGILVVCAGGNSGPTPSTLSNEAPWILTVAAGSVDRSYRATVRLGDGEAFDGESLTQDKRFSAKEYPLYYPRDSNYCDVFDVNITGKVVLCDTETPLPPLSSIEAVQAAGGAGVVFVNEADFGYTIVVEKYFNLPMSQVTATDGAKIMGYARAGPPSAAAPLNATILFNSTMVHVKPAPIVAAFSSRGPNVASPGVLKPDIMAPGLNILAAWPTMVPVDGDTESYNYNVASGTSMATPHVTGIAALVKKAHPDWSPSAVKSAIMTTSSAVDNAGHPIMDEEHRKASSYSIGAGHVDGAKAVDPGLVYDLGVGEYSAYICALLGEAAMKTITGNGNLTCATVGSIPEAQLNYPAIVVPLSDKKFKVKRTVTNVGPARSRYTAHVHVPKWLKVKVEPEELEFEAAMEKKTFTVTISREGDGGQLAEGSLHWKSEDHLVRSPIIADARVVQR >cds.KYUSt_chr4.22848 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143677701:143678901:-1 gene:KYUSg_chr4.22848 transcript:KYUSt_chr4.22848 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCGGDRVVIVGGGIAGALLAKTLQAHADVVLIDPKEYFEIPWANMRAKVDPAAVERTVIPHSDYLTHAKVVTASAVGVDDSVVLTSVGRTVGYDFLVIATGRNCTRPQRRSDRLEMFQRDKERIAAAGSVLIIGGGPIGVELAAEIAMDSPDKRVTLVHGGPRLLKVMGTRASAKALEWLRSKNVTVLLDQTVDVEDLAGSGSGEDENGRVFTTSAGETVTADCHFVCTGRPVASGWLRGSFLADHVDADGRLAVDEHLRVGRLKNVFAIGDITDVPEAKQGYLAQRQAMVVSRNLRLLLKGAGLEHKLHRYKASKAAITVTLGRRDALSELPFMTLIGHIPGAVKPRDLYVSRTRRMMGLSWNG >cds.KYUSt_chr5.5494 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34294969:34299235:1 gene:KYUSg_chr5.5494 transcript:KYUSt_chr5.5494 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVVGASEATMKSLLGKLGGLLAQEYTLIRGVRSDVQYINDELTTVQAFLGDIGSAPEGHDRRLKDWMKQIRDMGYDMEDCIDNFAHRLPSDSLSDDTCSFVVMRFYELRTFWPRHQIASKISDLKVRAQQIADRRVRYGLDNPAPTKGNSTSSGTGNICEHLMASRQLIHTKEPVGAVEDMKKLREWLTKHNGPERQRSVLSLVGFGGVGKTTIATSLYREFRNEFDCWASVTVSQNYDEDDVLRNILHQIKTPDILGQSKLRDMEQEQQGRKSSLKRFVTLICCHMSKKVAVDEQKRPVDEKRDIHGEIEQQDRTKQGGSGGGLPERKKNIAADIGSAISQYVPLICGLSKQVTDNSSDFTNKKIETLDHDQLIRVLQTRLTGKRYLLLIDDVWSAETWDKIRIWLPYDNTKDSRVIVTTRFQAVGTACSDREGTDLLHTVNVLSPADSRSLFDQSVSKAQSSDARDTVVGLACSNEMSTDTHNTVDEHTNDSINPLDHSVFEFKNNNGRENKEVAGKIWEYCGGLPLAIVTMAGLVSCNPEQTDVYWSKIFQEFFPEKVAPLTLDGVTRILDYCYNNLPPELRTCSLYLSIFPKGMTISRKRLTRRWISECFVTEKQGLTAEEVAETYFNQLISRKIIRPVDHSSNGKVKSFKVHDMILEYIVSKSSEENFITVVGGHWLLPAPSYKVRRLSMQSSVSKHGSSTKGMNLSQVRSLTAFGSKNQRLPFHSFNNGIIQVLDLEGWKGLEQKHLSDICRMVVLKYLSLRRTEVREIPSQIEKLEYLETLDIRETNVAALPKSFGRLKLLRSMLGGSKYPRKTLKLPQGINNEPMKGLRILSGIEITEESVAAVASLHQLTGLRKLGIYKLNIEEGSKTFKQLVSSIEYLCSCGLQTLVINDERSEFINSLDSMSAPPRYLIALELSGKMEKPPKWIENLSNLYKLTLSVTVLRTDTFQLIQKLPKLFCLTFTLSAAREDQDIVDILEENKQLTDREIIVPPGGFENLKLLRFFATLVPRISFAVKKNEKVMPALERIDMRFLAFEGIYGIETLEMLQEVHLSVDSQADDITKLLVDDLKDAHKHIDKESTYKCPKIITG >cds.KYUSt_chr3.7811 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44823654:44833105:1 gene:KYUSg_chr3.7811 transcript:KYUSt_chr3.7811 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSAVTGALKPVVEKLSAQLGDKYKRFKGVRKDIKSLTHELAAIDAFLMKMSEEEDPDVQDKVWMNEVRELSYDMEDCIDDFMLSVDDKDAKPDGFIEKMKHSVGKLGKMKARRRMGNQIHDLKKQITDVACRNQRYKTHQAYASTKNATVVDPRSLAIFVHASKLVGIDEPKAEIIKLLIEEDKHAPMQQQPKIVSVVGPGGSLQQLIIKINDSLVDQRYFVVIDDIWDVDTWDVIKHAFPMNNCSSRVITTTRIIDVAETCRSSFNGHVYNIRPLDIVRSRQLFRKRLFNSEENCPSYLEDISDQILEKCAGLPLAIIAISGLLANTERREDLWDQVKDSIGRALVRNHIIEGMMKILSLSYFDLPHHLKTCLLYVSIWPEDSIIRKKDLIRRWIAEGIIHKEGSYTTHEIGEVCFTELLNRNLIQPGNTNDYGEVNSCRVHDMILDFIISKSIEENFVTLLGVPTLTIGTQTKIVRRLSLEVSKQGYSTLPTKGLVLSHVRSLNVLRTYDEIPSLEDFRHLRVLELKNCSRLKGHHLENILRFFQLRYLSLKHTIICELPEQIGRLKCLEILDLRDTEVKELPASIVNLGKLSHLFVDRGVKFPDGIVNLQALETLKGVTVSKQPLNFIWGIGQLNNLTNLVLHFEVDSNYEDIKEEHNKALVSSLCKLGTQNLRSLTIWKGSNLLEQRLSLVTLERLITRSWCSAIREVPKWVSSLKNLQQLRLKVQGVKQNDLNILGSLPSLIILHLREVTKSNEKLRISREVGFRLLRIFIYDANYSLLDLMFEAGSAPKLEKLHISFVCVGKDVPLDFGIENLPCLITLKIP >cds.KYUSt_chr3.542 pep primary_assembly:MPB_Lper_Kyuss_1697:3:2873397:2876223:1 gene:KYUSg_chr3.542 transcript:KYUSt_chr3.542 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGWLQDAANSSSSAAFPDQVLVSRAAGRVVSLSTCTKVGAISFVVGVAVGFTLKRRLRRWAARLLKRIKDDD >cds.KYUSt_chr2.4636 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28659905:28667000:1 gene:KYUSg_chr2.4636 transcript:KYUSt_chr2.4636 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRRFQQEGCNPALPAFGEDRGSPLRPLGLLQTAVTTWTATSPGLDARAHAIFPMCMHEQKFLVGLILMVPVYAVQSFFSLLNSKVAFVCELMRDCYEALAMYCFERYLIACLGGEESTIRFMEDQFQPSDSSPLLDVDYDYGIVKHPFPLNWFMRNWYLGPDFYYAVKIGIVQYMILKPICAVLAIFMQLLGIYGEGKFGWKYGYPYLAVVLNFSQTWALYCLVQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGIAVAFLFSTGLFKGHLAQSLQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVPNAAVMSDYASLGAPDPEEERDIDKLTIMETARPDSRERRLSFPQSVRDVVLGSSEIMVDDVKYTVSHVVEPMERRFTKINKTLHQISENVKHEKQKRKAKDDSHLIPLESRSEEFSEAHDQVSGGSFSDSGLTRKRYSRLRRWF >cds.KYUSt_chr5.8837 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56011116:56012813:1 gene:KYUSg_chr5.8837 transcript:KYUSt_chr5.8837 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTAVAPTSPHTAAAPTAGLATAPLGHPGEVLVASPAPVSAALPSPVDLAPPATSAAMLAATVLASRKADPAVGGRLARPRRPHKPATAAAPSPSPSVVPAAAMAGGSVAQLPVPEFGLVPCTDAPLSPASRCSEDDASLARSCCPADAGGCGGNARRLSYAKVVSSTPASQRGAGAAGREEDASAGWQEVPSRCRPRCSTLMPRPLPAWLNGRCCRCLFPGHRAAACRDPIRCSHCLGSGHRARECFNAWKPLSSLPGLMVSSQPRLTVHPCHGKKHVDQPPPLRRSSTSPTPVVLDVQSTLTEQALLLRFELHACLARVESFLVRAGTSLDMLPVVPEASPPAEVIAGSAEVGEDNLYGSFSPRASPSPLPLPHASFACEGEDTIGVKAPVMLLMPELEELCGKLTPPLSVMHLEVDSLIASTMASTKPSVEPSLDDACESVDTIGVKPPVMLVMPELQQLCGKSAPPLSVVHLEVDSLAASTMACATPSVEPSQLLLSDALFAKEIFDLLVSLEAASPGSAKEIACLLSEKPTGNKVKKLMEYLRRKSKKNGATRKASAAA >cds.KYUSt_chr1.25229 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151327203:151329034:-1 gene:KYUSg_chr1.25229 transcript:KYUSt_chr1.25229 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASGAACRVCGGGVEDCSCLLQRGRGAGGLRCGVADLNRGFPAGLFVQAAEEPAVVDVANGGGAAAVGLQEFQFFGQDDQESVAWLFNDHTPVGGEDRLQHRTSATEHQQRRQVFDSFAEYQPGHGLTFDVPVTLPLSRGVDIAAGLGLGGGIPATSGATIMPFCGRETLTFTDASASSIDPNDDAAAGLANGGAYPAGPSGVMGADVLAPTEQREAKLMRYKEKRKKRRYEKQIRYASRKAYAEMRPRVKGRFAKVPDGGDGETTPPPQQPAAAYEPGRLDLGWFRS >cds.KYUSt_chr4.53275 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329908271:329908927:-1 gene:KYUSg_chr4.53275 transcript:KYUSt_chr4.53275 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGCVLPARASGVWWLAVEISMVVAAWCRRLLERSSMAHPLNKLVVEPLVSVLEASGCAGMMETMVLSLFFNHRGDGGGERTEVALQHTACRGYMAATSGGVLQLQRIDAVVIHGQRNHSALRCCSCRSFVNLLAGVLFWRPFSYSVMALIVKSSPSGLVPGGGADGQDVECIFFFGGKGLDCFCKSFRRVLLVISEDLAIILLSSKVLDVTCNPTF >cds.KYUSt_chr4.25624 pep primary_assembly:MPB_Lper_Kyuss_1697:4:161036050:161036295:-1 gene:KYUSg_chr4.25624 transcript:KYUSt_chr4.25624 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEESITKILLLQALPLLAVSRLHGQVRWRCSWSSGGKRRGRRVTSGGVKVEQEMKGLPCAAPCSVYLGLILKDDGSSRA >cds.KYUSt_chr7.18031 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111908136:111909049:-1 gene:KYUSg_chr7.18031 transcript:KYUSt_chr7.18031 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGSWGGGVVVGKEAGKRAGSSEEVTPALTGYIRGADRAGAPSQRVLVGMQLSDKVIKGTKADVAL >cds.KYUSt_chr1.41945 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257187233:257188696:1 gene:KYUSg_chr1.41945 transcript:KYUSt_chr1.41945 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYDKTIATAASLAASLMLVRGLANELLPSEAHEALSHLLASLRSRLTWQHTIVVEENEGWSYNHVYWAVKAYLSARIDNTPANIGMQRLRVSSAESNDDRMVVSMEAGEEMADVYEGTEFRWRLLTREVKGNPNGNGGAREVRSYEVSFHKRHKEKALKEYLPFIVAKAKAIKDEEMCLSISMNEYSDEWNHMELQHPSTFETLAMEQKQKQSIIDDLDRFIQRKDYYRRIGKAWKRGYLLYGPPGTGKSSLIATIANRLRFDIYDLELTGVESNSDLRRLLVGMTNRSILVVEDIDCTIELNQRGEQDEDEDHAKSNSTEKKKAEDKVTLSGLLNFVDGLWSTTGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCTAEAFRILANNYHAINSHATYPEIEELIKEVTVTPAEVAEVLMRNDNTDIALNDLVELLNSKKKDACQ >cds.KYUSt_chr3.30147 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188633005:188642993:-1 gene:KYUSg_chr3.30147 transcript:KYUSt_chr3.30147 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGPITIDRALMSLDSPPVELEIVASSSSRVTPRPPAQIQAGDDGASDGGEGGAEEFKGSTDQELRAKIARLRSLHGNTNDGGEKSRKLVRRVEKELERRRTAGPRKVEMGRRQSVQTPSGNDPNASKSGDERNCSNFGGKHHLKSHFTPRTKNGKDDGVAFSDEMAYFTLGERARRPVNHESKSRTVCQNTTNNRLPDMDGTRRGNSNNRTYQFGMVNKGNEKKEVVLLDDEDTEPAKIVDVEMANKWDRKSVIYYPSRTDPESVGLTYSDIECLKPTEYLNSPVINFYIQLHWSLVIICMPAKEKESGPTVFHLDSLGVHSSDKIFYVIESYLREEWSYLQEDQSYDIPFSDTIWRCLPRNIRKQKVEVPRQRNEYDCGIFMLYYIEKFIQEAPDRWTRERLCKFGRKWFNPEETSGLRERMQALLFDVFQNTPVNDQNSETESHSCDHSEDEDKDEVTAVTIIA >cds.KYUSt_chr6.19245 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121010035:121010735:-1 gene:KYUSg_chr6.19245 transcript:KYUSt_chr6.19245 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAKCLHAHVDHRFLTTLLSLFASEDPLERDPLKAAYHALYSKLAPESAFMRRSMANALLRFANKAPHRCPRRRRRRRRRRRRRRGVGDLRQHHQRLRGAAQRGAPRVPDTGAAAAAPDQVAAQLPPSARLMRAAVRAQEPPGSPVLLRWPVTNSQKEVLLIDELEDIVEALSSDLFGQFFQFKAYSACTVAENGDA >cds.KYUSt_contig_1790.347 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1736097:1737795:-1 gene:KYUSg_contig_1790.347 transcript:KYUSt_contig_1790.347 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGLVVVVLRLLEVVVLVRQDEMEEVSILRGSNCRAKGAAPGFKFAVLGASGGIGQPLSLLMKMNPLVSVLHLYDVANTPGVTADISHMNTGAVVRGFVGQPQLENALTGMDLVIIPAGIPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPNAIVNIISNPVNSTVPVAAEIFKKSWDIQS >cds.KYUSt_chr5.12536 pep primary_assembly:MPB_Lper_Kyuss_1697:5:81678969:81688616:-1 gene:KYUSg_chr5.12536 transcript:KYUSt_chr5.12536 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCLRSGVTLATGGRSELSGDSAVPPRTAGLGEEDDDGREQLGWTHGRLPVSSGIKVKLLTLDVDEAVLNTKITLCTVHECSESYTDELPSPVPELESAARAAHAHGPTSRSETQGWTRRPRHGKMPDEREIAPDRVSALEKAFRGFADRGADAVVLPSVGLTFDLIGEAYDFYNLYSWEAGFGIRYGKSRNNVKGTRSMQELLCVCAGKPKQQNTTSSRCQCPTLVRLLRTDDQGWFICEYRGTHNHRLLNTCAEKLHFPSHRHIDKYTRDLVAQLRANNVNLSKVYSIIGTFFGRLENVPFTKRCLRTLCGKISQEQADDDVKKTMEMFSELKAKDSEFTYQVRVDDESRIRTLIWTNGRSKLQYHHFGDVVTFDTTYKTNLYDMPFGLFVGVNNHFQSVLYAGVLMRDETVESFEWVFREFVRLMGGKKPITILTDQARAMEIAISTVLPEATHRWCKWHVLRKAKESLGTHYSKKSNFRTDFHNLVDEMLTVEEFETGWEEIVERYGLASNTFLIQAYEGGAVIKSNLPIERHASKVYTRAMFEMFGRVLFVAGSYEVEEIEPKRKYVASHINPDAMKYWYKSRFAVDVHDDCSYFTCECGRFEHMGMVCCHILKVMIFLRIRAIPSRHILKRWTVDARDILPDHIKHYQKDMGPPEASTFRHSAMYITALELVHMGDSNPDSFECVMTGLCELKSKAASLCGVKDGKSMLEKSKEASASMTASLDSRQSRLSTKKTTVVAAPVGVSAEVQLPAMAEAGSVIVRACSNESLDSVVGKISYGSSEAWDDDLEDSADSKTMVVRVLDGDVVVIAKLLKMQGAWPVSGGLSGRSSGSASGVVDGDVFTLPADIGRMPKCGRSTLNSAFSAKDMAFAAEEMSARELGSVFDIGLAGVAKVDMFTHFNRDHSFFLLQCVDSNNGKLVLGHGRSVPVNGSNMSSILGLKEGGSVELEHIDGPPEAEEVYDARLMLGLSLGNTEIDTVALVSIVKEEHPAEPDEYHVNRFKLAYAMLAVSVFFRPGGKRWQVPRDAYLLAAMIPDLGNINWGNYVARGIIDGSFQVQKELANRSRGHSVYGCLYALEVLYFDHVAGGAYAVNPGLLPRVMQYGAASISMLIRQDTLNLATEKVYGMFMRNFSVAPLIQSRGIRIGLGSVAPSVGRMGIGIHGVGDCGSVASGTIPAVAAPTSEHRNVQVLQVSIEDVPKLVRSTEDWLKDAMAAEEVRSKERTSKFYAALSDDIQMNDPHVIDKVDAHEKKEEWLTLFHLKKMLEHLVERGVGQYVPGLLDKLAKINSSVDGTTAGVVSACVRSGLPICDMPGAVMQGDGVPIRSAGSELFDRVSSQLGKAPAVYGGPSPMGGGIAGTPDNMLPPKKRSTSDAMYDPDTKELIVYTATKCKRARAEINYVVCGRKKVNVSERTKCPFSLEVGFRAPTDKVAELGYRNMIFAKQADMKKLWVIHLTPTLLKMSGFDMLTEFHRYGCLGKKGYIAVTRMLADGENTWHSEGDLTRWRHPMPLEWAEEVMGGCDCLEDRRMRDMFVGAAIRYNVETCQMVWVPRMTSSGLMVLYGFDFECETLHVFDPKRCTCGRELLESIHFDICDKLLQGMADCIESCFDGWEVDQSKWKFVCHEYLNEPIASADAVLYVIHYMMYFDGVCVRRPLRNADLYGMKLRLANMVLNMPGNVGHPPENVKNPEVEDTATLSSGAEPFAA >cds.KYUSt_chr2.46319 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289399374:289400730:-1 gene:KYUSg_chr2.46319 transcript:KYUSt_chr2.46319 gene_biotype:protein_coding transcript_biotype:protein_coding MTATARLSTTAGAFQLGALPGVRHHRPCSGVAAPSIDVVLGHTKPHFFTAIGYVYYDYHGEFVTEANTSWEMLISLSRQDEDLPYACLSGFGSMLSFGRLRLQM >cds.KYUSt_chr5.36969 pep primary_assembly:MPB_Lper_Kyuss_1697:5:233854218:233855954:-1 gene:KYUSg_chr5.36969 transcript:KYUSt_chr5.36969 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPPAQVRRRDLPPPAAPPRPRTSLPAAAPRRSSRLPPAGRFRVENSGALVSPADVPAPAAPCRPLGSSAGAVSGLLPPSSASLPPGGLPSAALASAVPGVGEAVKLLEAATATAAPAAVQHLDSAAPATPTLSTVPAPATSSPDLSAPPRPALWVSFADDAEDSDVDSDEVLAPQTPPDVTKTCCGADVPCPADGDGVEGIGTLPLAAMQWPPSWASGADNIDEDGEEELVPRTPPASKTIDLADMMEVDCAAGEHDGWHEVLPRRGPRRPTLSAPAIARRPVPAWLKGRCCRCLAPGHRAVVCCNPFRCSRCLENGHRASDCRNAWRPLSFLEDHVVSSPRQADAPCGAQVEVSLPSVVHRHRSWASVAAAPVSSLASVDMRSALEVQAGLIQEAVRPLHEAVDSLHDWMLAIGGFLERAEAVLDRLSRLPADPLVLPVVGKVGATGASLHGCFSPRARASSVITAPVMKIMPEILELCGGVLTPPSVEEVRSDSHVSSDVASPTCLGFEKCDVVDAVSLSLDSDRQMVPIDDGVPKSGLSAFVPGAIVAREVCDFLATLATAYPGSAVGSTVG >cds.KYUSt_chr5.1933 pep primary_assembly:MPB_Lper_Kyuss_1697:5:13275746:13276792:1 gene:KYUSg_chr5.1933 transcript:KYUSt_chr5.1933 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLGKGGSAQSRLAMLHSLAHTESWAIDLSWDIVARFGAQLQMPREFFDDFARVAQDEGRHFVVLSARLRELGSHYGALPAHDGLWDSAMSTSHCLLARLAVEHCVHEDTDPYSNAKIRSTLVEPAGVDISGRQGWKEVRDKDHVEVGKSLRIMSRSGKSSGKVRGRSDKDG >cds.KYUSt_chr3.2120 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12287438:12288258:-1 gene:KYUSg_chr3.2120 transcript:KYUSt_chr3.2120 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLPSRLVQFPVVMCLGGQGDGDEGRRGRQRWSCDYCAEAPAALHSRADVTRLCVAYDRHVHAANALRITTFQEVVLGRKEPHRTALERAHLGRAVPVSPSPSPSSNTVRGGEKQKVHPVRYGGAGDLGVRGEQADCAPT >cds.KYUSt_chr1.39856 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243956888:243957631:1 gene:KYUSg_chr1.39856 transcript:KYUSt_chr1.39856 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRSAILLAAVALVALLPAALCQKAAPAPEAAAPAAPNVTAVLEKGGQYTKFMRLMKSTQQDTQLNAQANGSDTGFTVFAPTDNAFDSLKAGTLNSLTQQEQVSLVQAHILPTFYSMESFETASNPVRTQASGADGPYTVNVTATSNSNVNVSTGLVSTVLGSALRATRPLAVYSIDKVLLPYDLFGPKPPASSPPAPGKKPSTTKGGVAAPAGAEEEEAPPAGAAAAVGAGWSLAAVVAAACLL >cds.KYUSt_chr3.23464 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145026452:145027700:-1 gene:KYUSg_chr3.23464 transcript:KYUSt_chr3.23464 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPSSRRLFGAWLVLVLVAATVVVDAAAAREGARSRRGALLPSAAVRHERWMAKFGRAYTDAVEKARRRKVFAANARHVDAVNRAGNRTYTLGLNQFSDLTDDEFVEKHLGYLQHQLRPQEINNTAAAAAGVSKKGAALKDGLKKKKGAALKDGQLRYMPGSVDWRAQGAVTEIKNQGSCGSCWAFAAVAAAEGLVKITTGELISMSEQQVLDCTGGISSCSGGDINAALRYVAASGGLQPEAAYAYVGQQGACRGFGATPNSAASVGAPQWAGLYGDEGVLEQLVASQPIAVAVEATDPDFRHYRSGVYAGSPSCGQRINHAVTVVGYGADGVGQEYWVVKNQWGTRWGEAGYMRIARRSGASCGIATYAYYPTMGSY >cds.KYUSt_chr1.25681 pep primary_assembly:MPB_Lper_Kyuss_1697:1:154232340:154233371:-1 gene:KYUSg_chr1.25681 transcript:KYUSt_chr1.25681 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLAKATIALVIMVMLFMPAAMAAASFDATRSQHLPLPRGMVRGPESVAFDGKGQGPYSGVSDGRVLKWNGDKLGWTTYTHGPGYDSKMCTATKFRPETAMESQCGRPLGLRFDQKTGDLYIADAYKGLMRVGPGGGEATVLVNSVDGIPLSFTNGVDVDQTTGQVYFTDSSMNYNRAQHEMVTRTGDSTGRLMRYDPRTSDVTVLQSDMTYPNGVAVSTDRTHLVVASTGPCKLLRHWIKGPNTGRSEPFADLPGYPDNVRPSNKGGYWVALHREKNELPFGRDSHLLAVRVGSNGKILEEMRGPKSVRPTEIMERNNGKIYMGSVELPYVTVVKRK >cds.KYUSt_chr1.5682 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35095398:35099859:-1 gene:KYUSg_chr1.5682 transcript:KYUSt_chr1.5682 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIARLRPLSLLLLHPRAAAAHLLPAFSSSSSAATNSIPPPHTPQPPQLPTDSQRPPLSQTRTAQQSRRRKQKKQLERRWRHSAVVTALTPAQAASLATTHADATSALDLLVFLARERSHEHSPDTFAALALRLVEARRCPADVGRARVHMIRACRTPLEMTRAMDDLDTLARRGPRMDLVAYGALLVQLNTLGMTATLLDRYRRLLREGLKPNLLIYNTVINALCKDGNVTDALSLVSESGMKPDAFTYMSMIIGYCKNSDLGSAIEVFARMGEEGCEPNAATYSNLINGLCDSGRVNEALDLMGEMARRDVLPTANDFTAPIAALLDKGRTEDAGRLFVDMKRKGCRPTVYTYTSLISGQRAPRLAIGLFHRMARDGVLPDTVTYSALVNVLVEDSKMDSALIVCNAMQRHGCLPNTITYNKMIKGYCKIGDTDRAMAMLISLLKGTPTATLVTYNTIIKGYCDSGNTSAALKILELMEANGCEPDEWSYNELIAGFCNVGEMESASRLFSEMVGRGLRPDEVTYNTLVSGYCTDEKLDRAAEMLEHMKESGCRPTVRTYNALIHALTKQNNFSAAERLCEVMLEEKISPNVVTYNTMIDGLCRNGATSLALEMLNRMVENSCLPDLRTYSSLIQALGQEGKVEEAEKLFSDLLRQGHTLDEVAYIKMIEVYIISGQVDRAFDLLGKMINAGCQPTLWTYDVLIKGLKNEYLMGDQKLVSLHDSVSNCSFDDQAIKEYAISVLSSKLADVDLGLSRQLNDAFLSGLSRSGRWYEAYKLYRSTVDELHYNGYALCPACSFATNICDNIIWDTFSHTTSNNGHGAEFEDVVILLSHLLIIELVMSVLREALYGHNLPDMTNCLLLFWSSLRLCRKHSVSIHSVPADGHAYEGMQFGRYCRKFLDALVQDERGALIAASNWRHDQVNDQTVCFVFVLTGASIPGVIVWLFHWPADIRSVEGHVRRCSAGCSPESALGSVVVLN >cds.KYUSt_chr6.4745 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27861730:27865832:-1 gene:KYUSg_chr6.4745 transcript:KYUSt_chr6.4745 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMAPREGDGAEGAVDLWAMAAELERQFAGYKQRYPERTSSCVDDDDDVVAVADDDADALHLVNGGGGSSGEEDEEAAGDGDGGGGVRGRMYEAYTRRRDERLRSVWRARMERKEAEVMALWAQLRGGGPAACLPAEDDDDDGCTGAGEEAEEDGEKRRSSDVAAPGRVSGKKHARTRKSFSSVNLVKSSRPDVGLRRALSQEPLPPASSVAEGASKKHGAPIGAAATKRKALSGSKGGSVKGHGSVRQAGPKPPRGGLHRRCSSGGMEAVVPPSCSSEHAAASHHEDEAQKASSPTRFVGSDNGDTGIETARASSPESEVVDNGAAADGREAELKNALVDVAGKRNAEETVVQSLDADAKLGNGEITSDSEIEPSYVVIKKKVVEGKAARGSDILGAGSDADPQIEDKNVGNAAADQATSAADAATATTANADESYDDLSSFTGTGRSDRGSARNSSPSCSSRTQSVERLLEADAALVRKKREDPGVGGRRSAQTVSTPPSARSRGATTSPRGTGMGFKKRFLSFGKKTMGKDGATVIECTSPASVPASPADCGSAGRRCRTADGSIKPRVVGYSSDAAASDDTDLAASPRGARIIPAAPSPPPPPPDAYHVLDLGEEDLDEEEYFDDEEVQPH >cds.KYUSt_chr4.9843 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59515328:59520559:1 gene:KYUSg_chr4.9843 transcript:KYUSt_chr4.9843 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGVPNSGDDDTNHRSKRRRVASSGDASDSLSAACGGAGDGKKALYHCNYCNKDLSGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKGKTQCIDHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGIPVLPGDWTPKDESPFSPPRVKVEDALLEGPASRSPSHIPGSANKKASTVGHFKDGANLAKVEDGHMDRSIGVKKPRCSAEEGPSLTELSGYNAKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLYPNPLEKDLTNEDKEVYHRYKVFMRFLTKEEHEALVRSVIEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRRKEYGMNAQKAKESGQLIPTTKAVHKTNRPMKIETDGNLDLKKGSTILDSGGRDSPKTTGHTTAKQWDDWDIVGLPGEELLSASEKLLCCQNRLLPSHYLKMQEVLMQEMFKGSVVKKEDAHVLFKVDPAKVDTVYDMVTKKLGNNDEAPTV >cds.KYUSt_chr4.40176 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248030844:248038226:1 gene:KYUSg_chr4.40176 transcript:KYUSt_chr4.40176 gene_biotype:protein_coding transcript_biotype:protein_coding MEVARGRRKPSGRDRLSNLPDCLLHAILSQLRSRQAVQTCALSRRWAHLWRGVSCIHIDSADFSNQQRRHHQVYSQVQEEEEEEALAKLEDFADSLVLSRGQSSSSPEPPLDSLRLRVHRGGIDLFPVLEDLEIINAVFASEIWQIASDTLTSLTLVNASSRSTTSICSVRIAAPRLASLRLESTLARLATIRFNIMSDARACLAQASIRILDQTDQDRKPWSPEDDKGEPKPDNNREHIRNLRSLIVDSLSNVTSLHLSGFQETKQLVLCEVLNGAYPWRLTPMSSFPNLISLVLEDCDLGYNLRTLWLFLRSTPAISRLALDRCGGLQDEIKLVAINLEDKPAWYKDKVYHPQGTVPSLEHNGKVTGESLDLIKYIDSNFQGPALLPQDPAKREFADELIAYADAFTKALYAPLISRVDMSDEAVAALDKIEAALSKFSDGPFFLGQFSLADIAYVTVLERLQIYYSHVRNYEIAKGRPNLDKFIGEMNKIEAYKQTKNQPLILLDYLSIILFDTVFLAVGFQIA >cds.KYUSt_chr2.38360 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237729239:237735805:-1 gene:KYUSg_chr2.38360 transcript:KYUSt_chr2.38360 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRKKARTGTGNVPAEDRLSALPDALIHTIMSFLKAHQVVQTCVLAKRWMHLWRSVPKLDIDLPEFNSKMTGLRVAARYEKLVDFADYLMFHRHQDGALLDTFRLHVDSTHQATHADATRWVRRALKCLPRVLDIRAYSLTGYNHHRAQMTLELPCGSCRLTKLYLLHVSLNHSFAEQISSVCDVLEELELKSCSISFMKFTSPSLKNLIIDCCRIFCYRLSITAPHLVSLHLNLEATYVKVVSVNEMVSLVKASIHNWIDYRGKHRESGFGVQMGFSISASMDASRKKACTGSTSAEDRLSALPDALVHTIMSFLKAHQVVQTSVLAKRWRHLWRSVPNLDIDLPEFNRKIMDWDLVRPGYEKFADFTDYLLFHRHQDGSLLDTFRLHLSCAYQARPGDAGRWVRRGLKCSPRVLHVQYHHSHGAAEVPLELGSGPSSSCRLTKLHLLGLSLDFSFAEQILSVCHVLVELEIRKCSIYFQKITSPSLKNLTMDGSHAGYVGFGDPLNQLIITAPHLVYLHLNLETRDHILVLVNEMSSLVKASMRVQISHPQEVSCQFEILRGLFNVTSLELLGFSMMVPDKKPIKTFKNLTTLLLDECDLGDKFQFLRHLLQNSPNLVRLVVKFCQFAEGSKRWKGKARSKKKSSQRQDVVDFNCSKLRYTDIVYKSGDNVRQLVNILLDISDHLPENVITLTKVDTTVYPSDDSESE >cds.KYUSt_chr2.51075 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319380980:319383962:1 gene:KYUSg_chr2.51075 transcript:KYUSt_chr2.51075 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLQATPFKTLTLSGRRSAGAAARPRRPMVAFRPSAAARSYEITLLPGDGIGPEVVAVAKDALSLVGSKEGKLSSTPSRSVSQTPTTRRVTLNRCFPCATGFELRFQEMLMGGAALDAVGVPLPDETLAAARASDAILLGAIGGYKWDNNEKHLKPETGLLSIRAGLGVFANLRPATVLPQLVDASTLKKEVAEGVDIMVVRELTGGIYFGKPRGFGTNDKGEEIGFNTELYAVSEIDRIARVAFEVARKRGGKLCSVDKANVLEASMLWRKRVTAIASEFPDVELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGLESAAKRIEAAVTATLDNGFRTGDIYSPGTTLVGCKRMGEEVLKALESQK >cds.KYUSt_chr7.20696 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128348813:128349203:-1 gene:KYUSg_chr7.20696 transcript:KYUSt_chr7.20696 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQGNAAYPPQGQQQAYVAPPPPAGYPQADADQKYPASGGAADTTSRGGHHGHHHHHHHNGGGGGGFLRGCCAALCCCCLLDACF >cds.KYUSt_contig_97-2.112 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:542640:547821:-1 gene:KYUSg_contig_97-2.112 transcript:KYUSt_contig_97-2.112 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPLRDWFNLEMIPISLTALVRTVKVLILESIGPNLDAIVGFIRCFPCIEKLYIQSYLRKGMENVRQYDPADPIEYLDLHLKEIFVDNYQGMTPDVKLRQVLCFECKGAQAGSDKNKVMATTIALLQDILVSILVRVLGRFHSNKNKVMATASTFLPQDVLASILVRLPGSDLRRLRRVCKQWRDIISDTKFIHAHMVQKPRLPPTHTIVFFPGCDYGSSKDLPNGRGFLFDEHWQLTAELAVGRWDKLIGACNGLLCFLESGQGSIKIVEPFTGESLVVPQPPDVSGPRWTVNPAAYCFGFDAMSRRYKIVHHGYLEDSSPREGESVDDEELHVYTVGTCKSWTRVHVAHKVYGEAYGDPSCVDGAVYWPTRSGHGKRGTYEKLVRFDLATEKVTLEAAVRLRLDAPGSEMADYCKLVDSTPCVVTYGRHCEWDVWFPEAEEGGVGCLPGAWVLLSDWTDGGLYLRSMKRSLEFGPRKVLFEASKGEPPAYSYNGRVSFVPAHRRQQLPIAGGPQRPSEQYSGNTFGYTPTVSAAPLALYLGTPSP >cds.KYUSt_chr3.14929 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90892488:90893477:1 gene:KYUSg_chr3.14929 transcript:KYUSt_chr3.14929 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVTFEYDGDFVPIVLRQDSKLDNAHIRFDEANFQDAFTALLNGIPSVQNLTLEITWQRLETQFPLNNAGKFSHLRVLQLLMGVRLEEVDKFPFSILRTAPFIEKLEIHFAGGDNSWFVKKGSGRKYPEQYEYNYLKSMYMTGYKGAIGQLEFLVYIVENAPALEVLTVEMAQRLYRDRFSDMYKKMNLAEQQHALSEVLCKSMLSSKVKLCVM >cds.KYUSt_chr4.50857 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315281753:315282972:-1 gene:KYUSg_chr4.50857 transcript:KYUSt_chr4.50857 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVSSSSILLLPFLLLCSLASACDRCVHRSRASFLTSSLTLAAGSCGYGKVAGSFSGGMLAAAGPALYRKGVGCGACFQVRCKDGELCSTAGARVVVTDRARTKGNGTDLVLGSPAVAAMARPGMAARLAKLGAVDVEYKRVPCEYSGRNLSVRVEERSRPTSELAMTFLYQGGQTDIVAVDVAQVGSSNWRFLTRDHGPSWSTKLAPAGPLQMRIVVTGGYDGKWVWAEREVLPLRWRAGEVYDTGVQITDIAQEGCFPCDTQEWR >cds.KYUSt_chr5.32893 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208591979:208593581:-1 gene:KYUSg_chr5.32893 transcript:KYUSt_chr5.32893 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAADGWHETADGWYEAAEERAAAAEEEPVNEEDLALVNINVAIEERLADLTRVTKEHKATCRADRRRLGDLLGQKNELLCNGCLHPIIQTIREAQTPSKIAGQMWLVVKNYKKALCLLRHHHVMADWNEHKDNLAYSVLPMIIASTLKNQIAW >cds.KYUSt_chr3.36843 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231755295:231756701:-1 gene:KYUSg_chr3.36843 transcript:KYUSt_chr3.36843 gene_biotype:protein_coding transcript_biotype:protein_coding MINKNKVMKVGLVEQIKREISVMRLVKHPNVLQLFEVMATKSRIYFVLEYAKGGELFNKIAKEGKLSEDAARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALADCTRQDGLLHTTCGTPAYVAPEVLSRKGYDGTKADIWSSGIILFVLVAGYLPFHEANLIEMYRKISKADFKCPRYFSAELKDLLHKILDPDPSTRITISRIKRSAWYRRPVELNAKKNEPAPTRNTCSAEAATSGSTECSTSEGKQGSLSLPNLNAFDIISLSSGVNLSGFFGDAYGRREERFTTRQPATIVLTKLKEMAKRLKLKVKKKENGVLKLAAPKEGKKGFLELDAEIFEVAPSFLLVELKKTNGDTMEYQRLVKEEVRPSLKDIVWVWQGEQQQNSEPIVQGDQQQPPLAPPDQLQPPLQQQEIQDQLHPPSPHQEQQDLQESPLPRQNGLKQPESHASRAG >cds.KYUSt_chr1.31348 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190165423:190170220:-1 gene:KYUSg_chr1.31348 transcript:KYUSt_chr1.31348 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAPPPASAMKAEFARHTDYLNALNDKRERLVKASRDVTMNSKKVIFQVHRISRNNKEEVLSKAENDLAAVVNQHIGKLVKELQGTDFWKLRRAYTFGVQEYIEAATFCRFCKTGTLLSLDEINDSLLALSDKSVEPLQINVLDYILGVADLSGELMRLAIGRISDGEVEYAKDICSSVRDIYRELTLLVPLMDDNNEMKKKMEVMLQSVVKIENACFGVHVRGSEYIPMLGSSAEPDYAFFGGADYD >cds.KYUSt_chr1.19432 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114088305:114091547:1 gene:KYUSg_chr1.19432 transcript:KYUSt_chr1.19432 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRRSLPARGLIRRLLPSDPPSSAAAAASSSFRRSFQSAEGVGESADAFENRLFEQTGQADNSFFGKLDGSRNSFRRQGAGSGMGDWGRPGGRGNSEFGDREGSLFGGSMDDSLNDGMNEKLDNAARTFHMTDEVEEDDYDFRPDVNYRRGSTYNVKDLDLTRPAAARSTPRPQFETTTKDVLRKADFRNVRFLANFLTEAGIIIKRNQTKISAKAQRKVAREIKTARALGLMPFTTMGKRPFIFGRSVEEDPSEEEYGYDFVEQKDAGPEDEAGDAVPDVEAA >cds.KYUSt_chr3.3396 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19367215:19368902:1 gene:KYUSg_chr3.3396 transcript:KYUSt_chr3.3396 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWRALPSTEKNIPGISDNKAIESSFCILDMLPRWKDEMQSLDASALARRHHHPLRLPPPQIRGRYFGIYGRLFSLKWCCRASTLLVHLLSLFFFDFVGTMVVIQIEVDKAAAVTRWVRSSGARLSWSFFSATKYWELKSLAMKSHIRPDMISGRNSTSNGRPFWSSGVEGLVRLETSGSVPVSSHGCSELISEHHRGEEKGLDCFPRFFAEVAKRLLSEDMSVSGKGVYTALAIPNLPEIVDNYWHTHVLPRASTSMCQTIMSSASSPKALLRGLENNELRIANNDLASRLAMLGGNKGPSAPRLSSSVASTS >cds.KYUSt_chr2.18594 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117069703:117073991:1 gene:KYUSg_chr2.18594 transcript:KYUSt_chr2.18594 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLWVDSDLRTCWLASYPFALDSSRRNSDPVLDISDLALDCCFPGFDLVGLVTIIAVLKFSTRLLATGLLDSDASSWVAATPPTILCEIAAWAPTAMAAPPPRGPGPSQAVVQGGPLRQLPPDKVKSCRMARTLPFIMVVFG >cds.KYUSt_contig_1181.1111 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:7241420:7246348:1 gene:KYUSg_contig_1181.1111 transcript:KYUSt_contig_1181.1111 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSSSPFPFPPRRPPDDTLFYAIYPLPLPTGLPPPALLASLQSLHLALLSHLSPFLSSHLFHRDPFTLSLPADPAAPCSLCSSPPTPHLHGALRFGDSLPDEWLAVSLLFALTRAFPDTVARAWDSDGDFLLIEAAFALPRWLDPDTAPNRVFISRGELHILPPSLFPHTPPLDAALRAVHDPSVPTRASDAVQAAIQRRISVTPAENLHTARVVAPAPVAKVLAEEPCLVARAVEGFYDRDVDTMKHAARMTRFLRGPAGDGVEMVRTSVRLTRAMYAQLMQQSFQAPRGYPMPRREEGPDRWVEAELGMKIACGFEMMYQERRHEVEEGKGSTWEVYRNSLEAAGCFEGLLPGSKEYKRVMEDAMQYYKTSSLFSRTREILSAPVHRIDEILAMPYSAEDFQGINLPPSDDDSWLCNGEDELNAELHERQKEVEEYEALKKRTKGQKQNVSSSSSSQPSEFNLGEITESMQDFVRKMSSFEGAEVPSNREEMESVDLDVNQFFKAMESVLGKASQEKAGNDTETDRKSSSSDMDFDDSDYENDSAEEAGDKDMDDSFMETYSDALNQELSNTSIKETFSRAPHHTNDEGPSNVADSDGEMAPVDVDLNLVESFLNSYSSQQGLPGPASNLLGLMGVKVPPPDGKKL >cds.KYUSt_chr7.6814 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41115594:41117204:-1 gene:KYUSg_chr7.6814 transcript:KYUSt_chr7.6814 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSEQQGLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDLSQPNPGTLPPGVAAAVNGVAFCGTLSGQLFFGWLGDKMGRKSVYGMTLMVMVICSIGSGLSFADTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIVSAAFRAGFPKPAYQDNAAGSIGTEADFVWRIILMLGAVPALLTYYWRMKMPETARYTALVAKNAKLAASDMSKVLQVQLEDETEKMEEMVSRGSNDFGLFSSQFARRHGLHLLGTASTWFLLDIAFYSQNLFQKDIFTAINWIHKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVFLIDVVGRFKIQLMGFFMMTVFMLGLAVPYHHWTTPGNQVGFVIMYGFTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAACGKAGAMIGAFGFLYAAQDPHKPDAGYKAGIGIRNSLFVLAGINLLGFAFTFLVPEANGKSLEEMSGEAQDNEDAARGSKVQPSMA >cds.KYUSt_chr4.27287 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171385304:171391042:-1 gene:KYUSg_chr4.27287 transcript:KYUSt_chr4.27287 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAAAPLLEKKAAVYHEGCPGCVVEQRKVLNPGVPYKQFFHIWIIILVSSLPISSLFPFLYFMIRDLHVAKTVEDIGFYAGFVGASYMLGRALTSTAWGMVADRIGRKPVIVFGIFAAFLFNLLFGLSVRYWMAISTRFLVGSMNGLIGPIRAYAIEVCRPEHHPIALSLVSTAWAIGLIVGPTIGGYLAQPAEKYPKLFPAESLFGRFPYFLPCLCISGFCFVVLISSIWLPETLHNHKTNINEDDASESFVHFSDPEELIGRNITSTTKKNLLKNWPLMSSIILFCIVCFDDMAYTEIFSLWAESDRKYGGLSFTSEDVGQVLAISGASIIIYQTFIYPSIVRVLGPISTARVATSLSMVLLFTYAPITHLSRPWSSIAANVVSVLKNIFVVSIVTCCFILQNNAVTQDQRATANGLATTLMSFFKAIAPAGAGIVFSWAQKRQHAFFFPGDQMVFFLLAIVELVGFVWTFKPFLAVPEQFPSN >cds.KYUSt_chr6.6097 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36629728:36631560:-1 gene:KYUSg_chr6.6097 transcript:KYUSt_chr6.6097 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVPFSKKTLRTFCSSIASDALKDDVKKTMESFREMISSDPRFVFSVQLDDNENLKSLMWTSGRSRSLYQYFGDAVTFDTTYDTNIYKMPFGMFVGVNNHFQSVIFAGVLLTSETNADFKWAFEEFIAMMGGKAPSTILTDQCLAMTIAIRENLKKTTHRWCKWHVLRRAGEALGHVHKAHKTFAGDFNKLVNHMLTVEEFENGWEHIISKYGLEDNPFMIRAYEYAKLIDDREKADHEAEKNSSQRSTEVLVGYPIEKHAAKIYTPGVFKMFKIELRKSASYILERNNGNEEFDVMHVDADSRDSWCKLMINKGITSIPDFHIMKRWTKSARDGFFGLNHRASNVDMKAISRTVRHKRLYMSSLDLVSNGQYDDTTTDIAMKAIEKANKDIATYKLKISTTCQDYKSMTE >cds.KYUSt_chr1.2162 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12597825:12598412:-1 gene:KYUSg_chr1.2162 transcript:KYUSt_chr1.2162 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTFAFEEKEMARVLFLVSQEHAMQMPMRMPVLMDMRGDRAPERAFMCKTCGRVFPSFQALGGHRASHKKPRVDGDGADLKHKLHCCSICGREFAIGQALGGHMRRHRAMAAGVRGVMPPVAAIKKHGACSNDVALGCDIKRGPWLDLNQPPCRDDGCMDVDADASGECGLGKAAAGYTFHQFMDTGTMAVDCV >cds.KYUSt_chr2.54019 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337216988:337217233:1 gene:KYUSg_chr2.54019 transcript:KYUSt_chr2.54019 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKAKASARSAVSQGKKQLPASAAAVAEQRRAQKAEEGLRTVMYLSCWGPN >cds.KYUSt_chr5.34262 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217255746:217262079:1 gene:KYUSg_chr5.34262 transcript:KYUSt_chr5.34262 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSILNPFSSVDYNSKIWQCTFCFQRNQFPQHYSSISENNLPPELFPQYTTMEYISAAETGPVAPPVFMFVVDTCMIEEEIDYLKSALAQTAELLPDNSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVSKEQILDQMCFFSGKQKPATGVIAGTRDGLSSESISRFLVPASECEFVLNSVIEGLQKDPWHIPADQRASRCTGAALSVAASLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDLFACAVDQVGVAEMKVAIEKTGGIVVLAESFGHSVFKDSLLRIFQSADNNLGLSFNGILEINCSKDVKIQGIIGPCTSLEKKSPLSADTVIGQGNTSAWKMCGLDKKTSLCFVYDISRKVGPDSVAQQTSNQLYFQYVTYYQHHEGQMRLRVTTISRQWSSGSASVQELVDGFDQETAAAVVARLVSFKMETEADFDPIRWLDRALIRLCTKFGDYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLERENVGNTVAMIQPSLISYSFQSEPMPVLLDVTAIAPDKILLLDSYFTVVIFHGMTIAQWRNAGYQDQEGHEVFAKLLKDPHVEADTIIKERFPVPRLVVCDQHGSQARFLLAKLNPSVTYNSDNAAASGGDVIFTDDVSFDVFMDHLQRLSVQ >cds.KYUSt_chr1.17251 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100141187:100145629:1 gene:KYUSg_chr1.17251 transcript:KYUSt_chr1.17251 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMMLMLTLLAFSLATLARLLVSRARRRRCYLLDYVCYKGADDRKLPTDLCGEIIQRNKLLGLEEYKFLLKVIVNSGIGEETYGPRNIIAGGDASPDRVAEGMEEMDETFHAVLDELFARSAAPGGLGIRPEDVDLLVVNVSMFSPAPSLSARVVRRYGLREDVKVFNLTGMGCSATLIALDLANNFFRTHANKVALVMTSESIAPNWYPGNKRSFMLGNCLFRSGGCAYFLTNSSRLRPHAKLRLRHVVRTHTGASDEAYNCALQMEDDAGRPGFHLGKELPRSAVHAFVKNLRVLAPRVLPLPELLRLAYATLSARLSARGGGTNKKRSSGAHTIRMKAGVDHFCVHTGGAAVIDGVGKGLTLTEHDIEPSRMTLHRFGNTSASSVWYVLAYMEAKRRLRRGDRVLMLTFGAGFKCNSCVWTVERDDAADAGVWEDCIHDYPPKEIRNPFMEKYGFVKDMSAL >cds.KYUSt_chr4.37548 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231378390:231379694:1 gene:KYUSg_chr4.37548 transcript:KYUSt_chr4.37548 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERNGSGSAAEKSRFFRDARVEAMQRRVDAVHSEAEDPYTIFRLPATVRGRHPDLYEPKVVSVGPYYHGRAGLGAAQQHKWRLLRDFLSRGSSENADDGQLGACLRAASALEADARRCYAEGFDEVGADDFAEMLVLDGCFLLEFFLRKGEGQLAAPGGAKWAWQHMYHDVLLLENQIPFFVLEKLHALAFPGEGDRDALLDIFYKAFAGDLPSSRAIRPRSGKTIHHLLHLHYECNVRNPSTDAGDIKARKANGNGSGDANGASLAVWKQSPVPSPRSSDGAVKGRLTSMVPQAAKMEDAGVTFKRKPTPRDMFDISFRYGVLHMPAFVVDEAAKVLLANLVAFEQGGGRAARQLDGGNLVTGFVALVGSLVNTARDVEVLRRSGIMHCMVTHDEAVRYFSHVVQYTTMDYDRHLLACLFRDIREHCQWSR >cds.KYUSt_chr5.8440 pep primary_assembly:MPB_Lper_Kyuss_1697:5:53401518:53405057:1 gene:KYUSg_chr5.8440 transcript:KYUSt_chr5.8440 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSRPLPWSGSGDNSDYGGQLSRPCKRWRSLVMHVRRRRRTMDQGVFGFRGMVVGEEFMAMFLPFYANMVQRVVSEEVGKAISRHFNAAAAAPPRQLVGWNQRPRYQLTFLNGLKPVYTMMKLEAKDGSALRVAIVENLENDQSSIVRFGYLSSARVEVVVLHGNFNAKNEESWTPEEFNKHIVWGREKSAKLLNGDLTLKLSGGEAFLESANFTDNSSFTSTKKFRLGLRLVNASGERVLEGITEPFRVKERRVEGFEKHYPPLLDDEVWRLERIGKNGAYHQALSGSGIYTVQKLLQSYKKNEQKLFKTFTKMSPAAWKAIIGHAMTCKVGDALYLYEIKENNMGLFFDAILQLVGVKFGDCYKPVDQLDQVEKNLVEILKQGAYENMKDIQYDYKMFNHSPVPLHRFHAKGASGSSNVLPNQQILNYGQYSRFPGECSNSQGFESMERVYSSQRASNASVDMSGFLHGQTSNDVSHQIATHEFTPYNPSQGILLAGPRITQLHIPNTGRTDFGPDVTPAIVHDNIQAGRSGCTSNDVGHQIATNKFTPYNPSQGIFLPGPRITQLRIPNTERTDFGPDVTPAIVHENIQAGQIAMQFGQNGQKYSDFPEESYTSFSVCSSTSTYTNTDSTQPHFQLTSNRESFINQPDPLYSGQTLMHSHRVVTGFQPSRTNSFDSVENDQLIHRFISKMLSSEGATTPLSPRKWVKIKAALKLASVGRLSRASRRGLNSPLGRPRLVPTI >cds.KYUSt_chr6.21490 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135631992:135633350:1 gene:KYUSg_chr6.21490 transcript:KYUSt_chr6.21490 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAFRHLLSRWTVTSPMTMSKAAANLPQGRVPSPPRFYFGFDSKATTEAREAARRLGHDSYAKKRSEPSQVYATSNAIIRGSNIEVTKFSHQQGPENAQEQTQTPLPTSQDQIPSFSSGNVIYCDAAWERRTGTENSRAGLGVIFHLQGNQHLQQLHVSALSPPTSSPLQAETYGLLLATKLADLLKVQDPSFYTDSLVLASAAASPTVFDAPGHWENRPHLAAIHASPSFQCNRIAHISRSRNVKADHQARLALRIQNPSLAIRCLSSDASHCPGKDILSTCSVDPFTLVSVKCT >cds.KYUSt_chr2.3083 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18151527:18155369:-1 gene:KYUSg_chr2.3083 transcript:KYUSt_chr2.3083 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEHRVVAAGAIIVGAVVVELRWRRRRLAATLAGVSPPATGTRRRRRWLVPVVVGPLEVDDGDSDAGWRSRRPAVASDVLEEPRMPLASSFSHCSLDQAQAWSRGMAFSAGTRSWRDLSMTASRMAASSVLSTSSTFTFAGLRFRSPEVSGSRLERSRPCAVEGSRMTIPPLPRARVLGGEDGSFFTGAQDGADLEIDLDAEPDDEELAAMRRGCQILTRRRLVDALDSGGIVRRGTSGMDYYLLKIVALTVTASAIAIHLLIKVRKSGPANLPPGSLGLPVIGQTLGVLRAARANSGNRWIQDRVDIHGPVWKASVLCTPTVFLTGPAANKFIFFSSVLRARTPRSFRRIFGDKSIVDMHGEDHRRIRGALMEFLKPDMLKLYVGRVDGQVRRHLRENWRGRTTVRVLPLMKRLTFDVVSELLLGLETGAVRDALVEDFRRMVEGVFAVPVDLPFTTFRRSLEASRRARLLLEGITRDKKAMLGLGKASPSSDLITRLLSLTDGHGEQLLTDEEIVDNGMFALVAGHDTTSMLMASMVRHLASDPATLAAMVQEHEEIASNKAEGEALTWEDLSKMKLTWRVAQETLRIDPPFFGNVRTTLEDIEFDGYRIPKGWQVFWTAKVTHMDPRIFHEPAQFDPSRFESQSPVTPPCSFVAFGGGPRICPAIEFTKMETLVTMHYLVRHFRWMLCCNPVLSPLHGLPIELEHRTSLCIRPE >cds.KYUSt_chr6.10282 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63293517:63295820:1 gene:KYUSg_chr6.10282 transcript:KYUSt_chr6.10282 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISSHTAHRPSAANMARRSGSHVKVIAATLSVATLVVLLLVVTIVLYLSLKTRYSEEIHLKVEMFLKVYGTSKPTRYTFAEVKKISRRFKEKIGQGGFGSVYKGELPNGVPVAVKMLENFTGEGEVFINEVATMGLIHHANIVRLLGYCSEGTRRVLIYEFMPNESLEKYIFSHDSSIFLGLLVPDKMQDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKQCARDQSMITLTAARGTMGYIAPEFYCRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSTESQNDVYLPEWIYDKVILGEESVLTSEMTNEEREKVKRLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQSLDMPPKPFVSSVNCPMP >cds.KYUSt_contig_554.269 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:1551303:1553076:1 gene:KYUSg_contig_554.269 transcript:KYUSt_contig_554.269 gene_biotype:protein_coding transcript_biotype:protein_coding MWRWGPTRWSSGLSIRSLLERSLKQGVGKGSYVGARRDGDSVMASSTSPHPANEVMGDTFMEAAALTHILCCCTSPPKLGTTEGVSPTLHIVLDFFWILSEDTNTLAKIWCYLVVLLLVAELPWSEPEATRWGTSGSSVNKVNFGVPDPVGVFCVGRHGGGARKILARFGAPGKMEEAASWSSYTAARFSCGDLWPRWRPLHTPVQFLRRCVFNLLEWRPSYLDATVRAHLRPSGFVPGSGMSRRARRLSSAGGEEDGLDGFSADLDRVYPARIQGLVVISFLEVLVVICMLTTDG >cds.KYUSt_chr1.25923 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155996736:155999004:-1 gene:KYUSg_chr1.25923 transcript:KYUSt_chr1.25923 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVALASPATSPWNFLICSLAGLLLLWLAAWLLDRLWWRPRRLERTLRAQGLRGRPYRFLIGDLMDNYVRKNKEASMPLRCHNIAPYVVPFLHNTVQEHGKTCISWFGPIPKVTIADAELTRQVMSSKSGHFEKLQFRKLSRLLAEGLATYEGEKWVMHRRIVNPAFHLEKLKVSAISFYLVHRRTRLSTVIKWAIDPHLPGVWLNFQLMLPAFSSCCEELVTRWTGSLGSDDGHCELDVCPEFHSLAGDAISRTAFGSSYLEGKRIFELQSEQADRILASVKMIFIPGYLSLPTKSNKRLHNINNEIESILQGLIEKRMQAMKQGENTKDDLLSLMLESNMRGTDDNGQSIKGMTIKEVIEECKMFYFVGTETTSILLTWTMILLSMHPEWQDRAREEVLGLFGKNQPEYNGFSRLKTVTTILYEVLRLYPPSIALVRRTYKEMEIGGITYPAGVLIEMPVLLIHHDPDIWGTDVHEFRPERFADGISKASNDPSAFLPFGWGPRICIGQNFALLEAKMALCMILQHFEFELVPTYTHAPRNEKLLRPMHGAPIKLRPLV >cds.KYUSt_chr5.22931 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149744990:149746942:-1 gene:KYUSg_chr5.22931 transcript:KYUSt_chr5.22931 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLTRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELKDHTDANIVIMLVGNKADLRHLRAVSVEDAKAFAESGYTFFMETSALEAMNVEDAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGSKDDVSAVKKAGCCSS >cds.KYUSt_chr4.54272 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335495191:335495532:-1 gene:KYUSg_chr4.54272 transcript:KYUSt_chr4.54272 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMAVLAALFIGAMSTKVDLTVEKGSDAKTLVLNIKYTRPGDTLAEVELRQHGSEEWEPMTKKGNLWEVKSAKPLTGPMNFRFLSKGGMKNVFDEVIPTAFTVGKTYTPEYN >cds.KYUSt_chr5.7106 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44191806:44192102:-1 gene:KYUSg_chr5.7106 transcript:KYUSt_chr5.7106 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTASSSSTSSWSEVCCVTEVAAPASSNPYANLVPAIDVAGPVAPMQTKRSMEEPSRDSTESTTAPATTTASTAPTDTVHIVAVLAAAGTSHGALDG >cds.KYUSt_chr3.8282 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47811391:47811771:1 gene:KYUSg_chr3.8282 transcript:KYUSt_chr3.8282 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILELSVLESAVAAPSPPAPETACSLPLNFFDVFCLNSPPVERVFFYRLTPGTGDDITTILSNLKSSLSKALGVFYPLADRLRLTPGTDDRYELHYQPGDGVTFTVAEYDGDVDELAEDETRVK >cds.KYUSt_contig_4096.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000806.1:5164:5454:-1 gene:KYUSg_contig_4096.1 transcript:KYUSt_contig_4096.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLALLLISYGVGNAHCLIVPDNNTDILSLLDFKRAITNNPRQALSSWNTSIPHCQWEGVNCSLTHSGRVTVVNPPQPRPVWPNFPIPREPNVP >cds.KYUSt_chr2.48828 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305503584:305504271:1 gene:KYUSg_chr2.48828 transcript:KYUSt_chr2.48828 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSWGSGDGRGKTMKRRSRRKSVRVDGGEQPEAPQSVAADGDHANPQLGLAAGAQAGVGRRRCEGEIAVEDEPRKAVRAIFSELDEINAKPPIFPGSVQNTEEESERGQGATTPHGGAGQA >cds.KYUSt_chr7.9053 pep primary_assembly:MPB_Lper_Kyuss_1697:7:55039077:55039893:-1 gene:KYUSg_chr7.9053 transcript:KYUSt_chr7.9053 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLEEQVQQMEQRMNKMQEMMSRGGQHLEAPSSQNGSNSRQNSRAEIEEEIDGEDGDEEESEDGNVQRRKIVANPGNSSTQQDESLIGMDVLLYAWTGPETPVAKATVLSVDPDIIVGGEPLGPGTYEVIVNVAIKRDTILPYQCEDLLYIRDAVTRSIAWPSSKIKPYKPAASTSSRR >cds.KYUSt_contig_402.109 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:609076:611647:1 gene:KYUSg_contig_402.109 transcript:KYUSt_contig_402.109 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-arabinopyranose mutase, Pollen wall morphogenesi [Source: Projected from Oryza sativa (Os07g0604800)] MAATSSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPAKVIKVPQGFDYELYNRNDVNRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPLERNNRYIDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLSLGIKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSVALPKEATTVQKCYLELAKQVKAKLGKVDGYFLKLADAMVTWIEAWDELNPLKGAITTAKGPALKSK >cds.KYUSt_chr5.8755 pep primary_assembly:MPB_Lper_Kyuss_1697:5:55435922:55436311:-1 gene:KYUSg_chr5.8755 transcript:KYUSt_chr5.8755 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKALALLLLLALTFSALSATSIAGGDHHMQLHGLLSTRGECRGTVGECGADGGDTDGDDDAEGELGSASAESHRRFLQGRGYISYGALRRNQVPCSRRGASYYNCRPGGQANPYRRGCSRITRCRG >cds.KYUSt_chr2.253 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1535349:1537951:-1 gene:KYUSg_chr2.253 transcript:KYUSt_chr2.253 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGEDTVRASHILIKHEGSRRKASWKDPEGRVISATTRADAAARLGELRDQILSGRGSFADLAAQHSDCSSARRGGDLGTFGRRQMQKPFEDATYALKVGEISDIIDTESGVHIILRTA >cds.KYUSt_chr1.22222 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131398631:131400190:-1 gene:KYUSg_chr1.22222 transcript:KYUSt_chr1.22222 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWLLLLACLLTPFVVLLIAHRGAGKAKNGSRIPPGPLALPVLGSLLWLRHSSADLEPLLTRLFARHGPVVSLRAGSRLSIYVADRRVAHAALVERGAALADRPPATRALLGESDNTISRANYGPAWRLLRRNLVSETLHPSRVRLFAPARSWVRGVLADKLLRESEQAPPRGVMVVEAFRYAMFCLLVLMCFGERLDEAAVRAIGAAQRDWLMYVARKTSVFLFWPAVTKHLFRGRLKMGFALRRRQKELFLPLIEARRARKDRISRGAGAAAALKADTTFEHSYVDTLLDIKLPDEGGRALTDDEMANLCSEFLTAGTDATTTALQWIMAELVKNPRIQENLYNEIKATTTGPEEVTEEEVNKMPYLKAVVLEGLRKHPPGHFVLAHKAAEDIEISGYLFPKGATVNFMVADMGRDEREWQKPTEFVPERFLPGGDGEGVDVTGSRELRMMPFGVGRRICAGLGIAMLHLEYFVAVLVKEFEWKEVPGDEVDLAEKTEFSVVMAKPLRARLVPRGQ >cds.KYUSt_chr2.20392 pep primary_assembly:MPB_Lper_Kyuss_1697:2:127985242:127985870:-1 gene:KYUSg_chr2.20392 transcript:KYUSt_chr2.20392 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTCKVPDPKYVAVDDDIHEGVPDPSTPTTKRKKDDETAATMDGHVSDDEILINLIRKKARTTPQKPNTPAKKKTPIKRATTQEKAKNKTHVKKGSSQEKVKKKTPVRKPTTQEKAKKKTPVKNSKKNVPFAPILPPPPPSTVQPTFGAKISLLYWLGG >cds.KYUSt_contig_1781.85 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:507116:508544:1 gene:KYUSg_contig_1781.85 transcript:KYUSt_contig_1781.85 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLLVEYFLLSTRSTQPDEAAPAVLLPEGSGDQFMEVLGFASPSCCLLLLLAAVEKQGRKKNPDLSSLFDPPSPAPEPSLSYAYALPLSQMEAVRMPATIIYEEVMEDREPRNGQEFIHRTLMDWTFGRLPWLDEALDSMVERRREDEDQRQSHQAEEEPFKPPKAIQDNLRETTWAVAGARLQTECAVCLKDFEAEDMVSKMPCDHCFHQGCISQWLRVSCVCPLCRHALPTA >cds.KYUSt_contig_319.1339 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8922216:8928484:1 gene:KYUSg_contig_319.1339 transcript:KYUSt_contig_319.1339 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAFRKQQRRKELKRNKKERKKVREVGILKKDPDAIKDQIDKLEKMKADGALDKARKHKKRQLEDTYNLVVKKRKEYEDKMKEKGEQPVMFSHLGPPKRRPAADEEDRAKNPMPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSGAGPSSSMTESEEAGPSTMPPPPPPPPLPASSELADPSAPLPLPPPPPPPPMPAGDAAAPGLPPPPPPPPGAPPREPVVLGHTLLPPPPPPPQRPLQPPPLPGANELTSKQSVGEGATSADSAQAPVVLPPPPPPPRLPPNSNEMQATDNIARDAPIVKEDAKISRVLPPPPPHPLQLPPMPPRPPMMPPTQSDMLTPGTVRFPPPPPPPDSRPPFMPPGVAARPPPPPPPGLPPAQMPMAPFGVLPGPPPMFRPPFFPGPPIQSEEFAAFGPRPQLPQQPSYVKSAASTVVKRPLAQHTPELTAMVPASLRIKRESALPKPKPKAQQQPSAPTYSAPKPSVAPIRSVAQPSPSASKPQSIDDSYMAFLEDMKQLGALDD >cds.KYUSt_chr3.45819 pep primary_assembly:MPB_Lper_Kyuss_1697:3:288505644:288507194:-1 gene:KYUSg_chr3.45819 transcript:KYUSt_chr3.45819 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPADEKSLRAMEDGSGSGGGGKKVSRWPGYIHFIFLLTVVMCALVYAPRFLAPSLTSVAGVDFFKPLPSSLGRVEGGQGQSVHSGGGNAVDEEALVLDNQVGSPCASMPSHGICCDRTDFNTDVCFMAGDVRTDAASLSFLLFPPSHATPNPNATVVIEERVRPYTRKWERHLMERIHEVRLRAPTPEETASERHRCDVVHDAPALVMTAGGYTGNLFHAFNDAFLPAWLTVQHLRRRVVLAVLAYNPWWAGTFQELVSGLSDHHVVDLLHDKRTHCFPGAIVGTRFHGILAVHPERTRDNRTLADFHNFLADAYKDDAAAVKPLERGAATNTSPRRPRLGIVSRKGTRVIENEAAVAQLASSVGFDVSILETANGAPLSSEYAAISVLDVLLGVHGADLTKLLFLCPGRAALLQVAPLGVPPIARGCYSEACGMMEVHYEQYDVVANESSLRRRYAADDVVLTDPEKAKQKGGWEITARVYLGGQNVSLDLGRFGDTLRKLHSRAMRLPAAA >cds.KYUSt_chr5.22234 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145180648:145181892:-1 gene:KYUSg_chr5.22234 transcript:KYUSt_chr5.22234 gene_biotype:protein_coding transcript_biotype:protein_coding MASPANSSNQASGSGSKKEEAIGDMLNRLGIEDDELDDLVFEDEESAPKQGIKWMALAKVKEGGPWLFRQNIVCIEEYDGLENPDTVDLNFFDTWLQIHKLPVGYRNIALIKNLTEKKVGKVLKVETNVQGMGNFVRVKIRLDVRKVLARFVSIVRGGQREIYKIQYEKMPRFCGACGMMGHSHLECGSGEYEEDNLKWGDFLKADWETWFGRGFSNFRGGGQRGGRAGRFGEGIIARGGNSGRSLVPWRHNALGNPASDPLDSDLHDTATSPGKVKDMELDKTDLTNPAAKRALDMGILPLDARAQSSENSSNNGQATIGTEGQNNDVSDIDKNEKDRNKRTKKDGAISPSLGSAESLEGSVRSQ >cds.KYUSt_chr3.31396 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197182633:197189308:1 gene:KYUSg_chr3.31396 transcript:KYUSt_chr3.31396 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFAVTLLRLIKLALRMVISGSTGQSSHTFMEVVREVFKHSSAHGARAAIRADQNSYGFVQLISSALDVHNILCRKKDGDSSSSIKGIDGTGFLRGARIGIVAKPSAEFVAGIFGTWLSGGVAVPLALSYPEVELLHVMNDSDISMVLSTKEHHEIMESISTKCSTRYSLLPSVESIPPKIESQEPLSSELTSSVSSLLAEIDSLKKIKGDDPALILYTSGTTGKPKGVVHTHKGILSQVQILTEAWGYQRSVVEFMPKFSVRGVWQRWRESYPCGVSKSNDAITVFTGVPTVYTRLLQGYDTMNPDEQSACSYAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGPRKEGTVGKPLPRVEAKIIMDDGTETKAGVGELCIRSPSLFREYWKRPEVTEESFIDGGFFKTGDTVTVDEDGYFKILGRTNADIMKVGGYKLSALEIEAVLLEHDTILECAVLGLPDEAYGEVICAIIVAKEDVKERAEQASEPALTLQALTSWSKDKLAPYKIPTRLYLWDSLPRNAMGKVNKKELKKLLQV >cds.KYUSt_chr5.27206 pep primary_assembly:MPB_Lper_Kyuss_1697:5:172185426:172189320:-1 gene:KYUSg_chr5.27206 transcript:KYUSt_chr5.27206 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGEREGRGPAVRWPAGDGAVATPRGRIRGGHAGSGHAGLPLRCYLHCFLLLLAASSPPGPGLCKKCKSDITMFVMGVSEKEYKSNITIVSSTSCTTNYLAPLAKVINDSLAAPTTGVPSPIQSAFVEESALIAITLSDGAFSSRPGEGASGSIARDHQRQLFASKAIWYPKAANALSMEAHAIRDGVILARYRKVIMETASLVLSKAVEHHGIRFSGALQAIEQW >cds.KYUSt_chr6.4310 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24933068:24933280:1 gene:KYUSg_chr6.4310 transcript:KYUSt_chr6.4310 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDAGTLAGVETTGTIEWVCVVVDARTEAMSELIVEFVSWEFAMAEHALEISNTAVGIEVTIVGSRSRK >cds.KYUSt_chr4.28570 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179516623:179521329:1 gene:KYUSg_chr4.28570 transcript:KYUSt_chr4.28570 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKKRNRKKKGNQGKNTGDGTANAEEAVHQSHNHDSAPKENHSGADADDAMSSVGDGVPPYQNQEQASHANQNVANADEAISSVGEVIPCDENHDPTLTQENHKASNTVYAEQRSIGMSDSTVELDKDRLYEAKLNMMESELEKLHNKVGYHAQDEVLLEEKLNNLQNGYNMLLKKEEVLDNKVKSIEDVNGVLTHQETSLKERLNGLEEINKALQEQVKVLDEASKTTVEENQRLVISVDELESRLQTLEAKIALTEVSMTKEGNELIADRGLNSPLTVTLDNFPISAYANNHQDGSSGHFPEATSSNGGDEILIDVDAHQGFDEPRTSGEIVPVPLDDIQIHEDGPQPPGSAVDTDEVPFTDAPIVGAPFRLISFVARYVSGADLVNQK >cds.KYUSt_chr2.49041 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306944685:306945185:-1 gene:KYUSg_chr2.49041 transcript:KYUSt_chr2.49041 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQVVEVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKSLKEPERDRKKVKNIKHNGNISLDDVIEIAKIMSPRSMAKEMTGTVKEILGTCVSVGCTVDGKDPKDLQTEIDDGEVEIPSA >cds.KYUSt_contig_686-1.547 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3309682:3312151:1 gene:KYUSg_contig_686-1.547 transcript:KYUSt_contig_686-1.547 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLVRLLLPSLPASQPPLRLSEHSAPPRHGHVSLSLSSAARSSGHRAAFAVCASSASVAPAPAETEEEAEDEEPTGPKTRLIAMNIPWDFTPDDMRALFEKQGTVVDVELSMYSSKKNRGLAFITMGSEEEAISALNNLNLSELMGRAINVMFKEDTAKKSKSSASEEEKLEVESSEKSDS >cds.KYUSt_chr4.12044 pep primary_assembly:MPB_Lper_Kyuss_1697:4:73227856:73230909:1 gene:KYUSg_chr4.12044 transcript:KYUSt_chr4.12044 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFLPGMSSSSSDKEAADRAKPRSASAAAPGKSSSARAAAPSKSTSAARARFSSASLPVGGKSRWSEVGVAPFQDGQYVRLLNRGRGGYLFADETGRGVSVDRRRGMANTAWVAQVLETDTNYHVLLRSAYGRHLAVTRAPAPEGHVGCGAAQCAFDGPDDAHVMWWTTPGKGGSVVLLHGTSASLTALRANGRYRRWHRGVTVEAINRSRVTSMMEWEVEVIPLRVERPPYQLRPGGADALWHPGSAEKMEINCAVADDNGSTDGRGWETIQFRGRSLMELGNELAQRLGDRVSFQDITLFIQAGNLGQPTLLLTDLPHRDDRVDIVVFRVGTAGHDRLLFPDLDAE >cds.KYUSt_chr1.38726 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236629316:236633569:1 gene:KYUSg_chr1.38726 transcript:KYUSt_chr1.38726 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLHTRYSMLGRNGRTPPVAPQPPPQPQPPQPDADVDYNSDDSVDPRFEEYVAETERDCGGRRLFLAGLGGEGESACTPANNVVEELLAGRGGEEELSRVVINTSASSRSYPCCFWCGGSTPKLFLSVDSGGEGEDSDGVAASLHWWRCHKFFGVAPASSTPPLGWCSSWEAHQQGTYAGVIALPLHHTAEGRPFSRRSSSAGRLFSGLSSTVLAVRAQSGLFLGGVEGS >cds.KYUSt_chr2.47558 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297553421:297553768:1 gene:KYUSg_chr2.47558 transcript:KYUSt_chr2.47558 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGLLGCVSTGACRVAPGAVASPSSPTGKVPAGHVPVVVGAEGEETERFLVPAELLGRPAIAELLRTAAQEYGYARRGPLRIPCPAAAFRRLLSALAGRDCRALALPYYAVVV >cds.KYUSt_chr2.27576 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169448362:169455726:1 gene:KYUSg_chr2.27576 transcript:KYUSt_chr2.27576 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEESLEKEYDEYEHDENAFQINDNNVGDLDTYLTQENMDHSIPYSRCYASDLEDGGPDEDLDEDGLTAKKAERVDIFKKVTGGDIRIPLFRDVSLADGAVVDGCKSLLLGARPISHRDVDHKKNGIVKGLTFETLLEFKVWIKEFSINHHHPYTIVHSYFRKCYTVKCEEDRCPWIIHARPLKGGLAWHITSCVSTHMCLGNKLDGSGELAKYLNCDIVPHGDSEDFNILQWWNAHKLTYPVLSILAKDVLSGSSAPRLCPLIAAPCSSPFFDWMATNDDLAQIDISTEEKDKLVAEVMRYALFKTHQTTGCPIKREELTQIITKNYRQRGLPALVIKEAGDRLAATFGYEMRELQRTRAPSNRSARTSSQQLSNVDAKSYVLVSKLDPEVYSKYVEDKERAHLSGFAFVVISIVHVAGGKITEEDLWHQLKRLGLNENDENHPLLGNNKQALELLVQQRYLLKEKIAGPEGHFMSYELAERALDESISSKLKEYISQMIGEEWSMPPYWYCERALKIT >cds.KYUSt_chr7.26111 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163020909:163021689:1 gene:KYUSg_chr7.26111 transcript:KYUSt_chr7.26111 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQRGVGGGGGGRRDPGLMTRAVDKVFRFVRLAEFEILFVLFFLIAFILFKDLMSRPDYNQIFVKKPDLDDRWP >cds.KYUSt_chr1.42002 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257470100:257472301:1 gene:KYUSg_chr1.42002 transcript:KYUSt_chr1.42002 gene_biotype:protein_coding transcript_biotype:protein_coding MRILTGARVTPSELLRLHLVDAERTALDDALDRNTQFGRIYEDMSSWMDVAYTSSNSLEYNLLMQNIHVLESSLAGQDLVTLERDILVHMEQLGALKWFNATRSRATVTQTSYEPDFALAWNVTESGPVTSPLEEQSDDQLVIRSGKSQERKLKRIRASEKGSGVCVRALPRKSKKSRKSSSSQFIAEWKNYPGRRRSIVREQSELLVTIKECANLEKIRENMLKEGQVVTYDRWAKAAGVDEAVLKSRLQAGYCCRERLLVTTEWLVKYIARSYTGIGTAFEDLLQAGKMGVLDGAERFDSQKGCKFSTYVKYWIRKAMLALLAENSGVIQLPARMESIIRKVKEAKRAIRSSTGRNPIDDEIATFVGVSLANVRLAKKYSRRVVSLYTEVGTGQNAKFWEVTPDTSLEDPEEVIFRRQLRERLLLVLGTLPVREGHVLKLRHGLEDGRCMSLEQIGGIYHVSKEWIRKIEKSAMSKLRNEDVHHELKDFCGF >cds.KYUSt_chr7.34885 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218015414:218022046:-1 gene:KYUSg_chr7.34885 transcript:KYUSt_chr7.34885 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRIDLSGAEIRGGDHGDGGPPIFLPRHTAAASPLLALDIGGTLVKLVYTATCGAQAELRFAKFERRRLDDCFSFIRAEGLLGCNGTTLGSSKESMGLLKATGGGSYKFGDDFQEKLGVSLDKLDEMDSVVSGANFLLENVPGAAFTYMNGKRNSIDISPDNLFPYLLVNIGSGVSILKVTGNKKFERVTGTHIGGGTMFGLGKLLTGCNSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLASSFGKVITSMKKLTDYRPEDIASTLLSAFTYNIAQISFLVASLLGLRRVFFGGSYIRGHRSTMENISYALDFWSQSQMQAAFLQHEGYLGAIGALMSYGDPIDENLTLKESNEKQNTNETAAPTDPTAADEHNDSNIFPYLLVNIGSGVSMIEVIGKGKFERIIGSHLGGGTILGLARLLTGCSSYEEFLELSQRGNNLAVDLTVGDIYGENGYPKIGLPASTTAASFGKVNSSKLSDYKAEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYVCGHEKTMDKISRSLKYWSKGEVQTTFLCHEGFLGTLGAFWSYENMGIDGLESHEVIREVLLGAPYTGNFPSLPLTQEEDIEENTTVEVEVERLRHENAVLKSELEWLRRENAELKAKLS >cds.KYUSt_chr2.3141 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18430778:18433637:1 gene:KYUSg_chr2.3141 transcript:KYUSt_chr2.3141 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEATKLLTGAAYAWFDRPPARDTKATMERALLATFGALKDDISVTEHFPEPYLVRFIYPHHCANAVACHDLYFEGLKIQVRSWRLEDNADQVNLRAPPCQVVCGECATLCLVRHRGSAGPNAPPGVPERGRRGLHRRCIMHLDIVEDMTVEDTPMPGRNIWKWGNVDGERFMRDRAERLLEGANDRGNGRRDDDRDGQSRAPSRNWREKIRRSLSRSGRGRDEETSQRDRDRFGNRRDGRRRDDGGGAVTVATLAQPLQLVAAATAHPLLGPRNVMTEAQCMVAAYHAMEPMGELLPAPSAAGEAVDAAILELMGEQPLAIVAWTGQASPARQTVRAQRARRVLYLQAWDASAALATNVVTACAPCARPQAACGHGHCPHCGVLSQLRRASSRLKAKRKAASVAKVAETALCRGPWARHHQGRGGGQASLQACELNKIHLQNRRFTWSNERAKATLCKLDAFYCNNEWDFDTHFLHCLSSCLSDHCPLLLADHSGPRRPSSFKFENFWTCIPGFNDVVQNVWNEPTNHVEPFHILYHKLKKTGQSLRKWGRGLFSNTKVMLHATLLVILHFDMAQDLRPLSDDERDLQARLKRKVIALSVI >cds.KYUSt_chr1.6126 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37665643:37667662:1 gene:KYUSg_chr1.6126 transcript:KYUSt_chr1.6126 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGAGSKGTRANPPWKPKTVLATAVESIPNSLEAQQPYGRSLGPKSGGRLLFQSCPKVPYNIQGKRVGILRFTASEPVHHRSNRNLATRAEPSMLWYLAGTERGDGWENPTYSAMRTWPDLLGQAYLGLPKSKDG >cds.KYUSt_chr7.13229 pep primary_assembly:MPB_Lper_Kyuss_1697:7:81546839:81547249:1 gene:KYUSg_chr7.13229 transcript:KYUSt_chr7.13229 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQRSSSTFRRSGSSGLVWDERFLTEEAEAQAGDAGKDESQPDLRRSRSAGGLGMLSRRAGGGDDKKQQEKKKKQGQKEEERDPQVFKTKDVAPDVDPPSPRVSGCILCSIFSGSGSGSKARRGGRAKAKKKQR >cds.KYUSt_chr6.27379 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173859140:173861214:-1 gene:KYUSg_chr6.27379 transcript:KYUSt_chr6.27379 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSVSCLCFRAVAASTKSSPSSLWVAFSPARWRGTEAVSRKAPYGSLQRMCPGASCTSTARSIGGKCLSERKAGPSARTSAMRGSWIRRAFMALEVAHKEEQDDPLIFGFGGAPYDASRPASVEACFRGGSAQPRTIDTFSENRSKDWTKERSARTCYQRAHKGFGVEAGCAADGAAKTLYSSSISRKSPNGFGIPLIFLMVRFDMFLILLDC >cds.KYUSt_chr7.1292 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7012891:7015146:-1 gene:KYUSg_chr7.1292 transcript:KYUSt_chr7.1292 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAFSRSSSNVSLASLVRSGSGRGRGGAVGSRRMMQRVLRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRLWRSRRSGIWSILYVLNVIYSLITGRLVREKVDPAVQRVVRSQMNAVDSSQFREAPDLFEIEGTNGMPRASIDKLPENRITEEYNRNAVGDLSGCSVCLQDFQIGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL >cds.KYUSt_chr2.16487 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103732343:103732645:-1 gene:KYUSg_chr2.16487 transcript:KYUSt_chr2.16487 gene_biotype:protein_coding transcript_biotype:protein_coding MDERGQGCRTARASVMGTGLGRFRGGHRSEKHTVASLSQRRRRTWRSQNGRVLPDRTVDGRKQQEAGYADQPAGRGTRWASRSGAMLAEVVLDAGPQARR >cds.KYUSt_chr4.28591 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179638088:179646405:1 gene:KYUSg_chr4.28591 transcript:KYUSt_chr4.28591 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFAAAAVSSHPSLFSPSTSRPVIRRHLPPSSVSVRPRRCPPAAAASAAAAEPAGKLLLEVHGLTASVKETGQQILKGVDLTIREGEVHAIMGKNGSGKSTLTKVLVGHPHYEVTGGTIMFKGENLIDMEPEERSLAGLFMSFQAPIEIPGVSNYDFLLMALNARREKDGLPALGPLEFYSVVSQKVEALKMEPKILDRNVNEGFSGGERKRNEILQLSVVGADLALLDEIDSGLDVDALEDVAHAVNGLLTPQNSILMITHYQRLLDLIKPSYVHIMVVKTYGLTRFYRFGRKWQDSEDSHWYFGPRRHGRPDWNGGLRHRGNRWVPRRGGKRRGLSLRGGGLRRRLRGNRLRSNRRTPQHHNRHWMRRHRTPGDPGPSTTAVMRREQTPVVAAEPAVVVAAPAVAAEEVVDAVYEDEASASNVSADIGVPPEFALPPMEWLLGGPSAGWLVDDPERDFGDEELLVPPPPPASPPTMYYCMRHGFQPCLPSPTPSDEDRAHFAPPGCDPVPEFFAPPNAIQPEAAMEEVEAVAPAPAAPVLPDLNLPTPEKEEDEPVLALPTPSPEARVILRRFAAAMAARPVGIRRGTWSPAALGFTDENGVLRIDEATSSRLSSADGPCRRR >cds.KYUSt_contig_319.529 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3301175:3306802:-1 gene:KYUSg_contig_319.529 transcript:KYUSt_contig_319.529 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFEPAPGEGGQTEAADTADSVNDSVNPEAAEAEAEVEPEVEAEEKGEDEPMVDDEEEDHAVGSPKVLAVPEEECAEEDGEEHKADDLVDAPPTAVESVDAPPSAVEPVDVSAKAEGNGVEDALVDVSVADQVKSEAQENLGTEMKDEAQQNEEAEMKGEEAEMKDDTRENEEPETKVDTQGNETAEMEVDKAGNGDGHTEAKVDGDNGSSLKEESDIGGDNKQDANGEDQPMSAPPGEVGDQNLSDKVSNSSFMFDYTSGGDDSGTEEEQAEFIKELERFYTTKLMEFKAPKFYGEGLNCLKLWRQVTGLGGYDQALLEYEKHKIETGEFHVAASTLTDRIASDSQVGGSQLGSGRARRESATRAMQGWHSQRMLGNGEIADPVIKDKAVMPALKKDKNLKSSGSAKRKKTPTLEDERPIKSEKLQNDSTVVDMGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSKS >cds.KYUSt_scaffold_1259.23 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:116429:119185:1 gene:KYUSg_scaffold_1259.23 transcript:KYUSt_scaffold_1259.23 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGADSLQRRARPETCQPEVEEQQGAALGRWSTAVDSLEDLAKTARKRSASSSSTYDHDSVRAIHLKKDLASFTNWTVIVFHFACRLHQERRGNTVDSDTRWMAQDKAGDLCYIDDRGWIYVDRLKELIKCKGYETPQQSWKLFCWHIQMLLMSLLPRYFYLFDYVSDDNSSCRTAIFGGRSYELTSSW >cds.KYUSt_chr7.20041 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124170302:124171219:-1 gene:KYUSg_chr7.20041 transcript:KYUSt_chr7.20041 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPAKFTGIIGAVAGHDGGVNFCDMAYYQKLGEGSTMSIDSQNSMQTSMHGGSVRSMENSSVGSTDSRTGMLNHPGLRGPVAVASYSVGNSIFRPGRVSHALSDDALAQALMDNRFPTETLRDYEEWTIDLGKLHMGEPFAQGAFGKLYRGTYNGMDVAIKILERPEADPVRAQLLEQQFVQEVMMLATLRHPNIVKFIGACRKPLVWCIVTEYAKGGSVRHFLARRQNKSGGPLKLAVKQALDVARGMAYVHALGFIHRDLKSDNLLISGDKSIKIADFGVARIEVTTEGMTPETGTYRWMAP >cds.KYUSt_chr6.24676 pep primary_assembly:MPB_Lper_Kyuss_1697:6:155935452:155937381:1 gene:KYUSg_chr6.24676 transcript:KYUSt_chr6.24676 gene_biotype:protein_coding transcript_biotype:protein_coding MREAHAGVFLSDEGFHEQDKAVEAFMNQIARNQMRFYDLPYKLLGRVINVEFKDMTQSPPTQELAQRICMAWTCAVALPPHLQRSLLFLWLNM >cds.KYUSt_chr6.26111 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165407823:165408472:1 gene:KYUSg_chr6.26111 transcript:KYUSt_chr6.26111 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPTAKSFKQGFLRNFLVSLKSCRNGDMSLQERKRAVRSSADAAMATARGSGAIWPRALLASSSPPSSSWWPRLLPTAAKVKTTRCKSTARRCCRPKRSSSEMIARRLVRKRTKILRGMVPGGELLDGVSLLREAMDYVVHLRAQVAVLRRVSNAMHHMAGAVAPPVQLKVETAHLSDGNQG >cds.KYUSt_chr4.187 pep primary_assembly:MPB_Lper_Kyuss_1697:4:1027785:1028188:1 gene:KYUSg_chr4.187 transcript:KYUSt_chr4.187 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLTAALVALVVTASLVASAMSETPECCKDYQKWGNESEKTCDDEEQSDNDCNAWCMQSSCSRDGKDGFCKKIGKLHYCHCKC >cds.KYUSt_chr6.13860 pep primary_assembly:MPB_Lper_Kyuss_1697:6:86740771:86744426:-1 gene:KYUSg_chr6.13860 transcript:KYUSt_chr6.13860 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSAADLEARQLAILRRIEELELAAEQRRLGALSISHAEAEVEPGGTEVRLSATLAARGVRDFAFRRVPSDYYDRSLEERRGILAADSVAQLCKSIVMVNTKAAADVSDCTNPKNSKYYVVIVQYMARLNAETIKNFLYALNENQIPKKRFNMRLAPEEESCMLTGFVHNAVTCIGMNTDIPVIIDEAITKLDEDFFWLGGGEVDLKLGMRTSQFLKAFNPFVVNCS >cds.KYUSt_chr3.45914 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289072361:289074462:1 gene:KYUSg_chr3.45914 transcript:KYUSt_chr3.45914 gene_biotype:protein_coding transcript_biotype:protein_coding MHGASRRRRHALAYGVGLTTATFTCRYLATLTTIKKNRRLTRSREKKLKITPRKYRINREKKGSAQLLKGRMENPGGLSPPLHPATPATMDSADWSSLPADLINCIADCFLATSDLDYYMDFRAVCKSWRSATDDPKITSDHRFRPCHWVIIDKVYETKTYLLVNTATGRFLRKELPLLRGYYIAVPTRDGLLILVDNKSYNTVSVLNPFTGYMVRFIAPMPDEFVKSATLVSGSSPTLLLLCNKVVDAPDGSLRDAPRTVYIADPSGESLAMYEDRDACPLIRLSVRGICTNGELGSGSPFPLAVAKGMFDLMKYFNADPTEMSDDEDSVISEDEAIRNFFIGYDNRCYLLESAGEILIIIKLKDGMEIYKMDTDRYILERVENIGNRAIFLGGYCRCMSVNADKFPSVDANCIYYTKGLDFNHGIHIYSLRSRREAKISKVIGDGSRPYTIIQLLSSSINDN >cds.KYUSt_chr5.115 pep primary_assembly:MPB_Lper_Kyuss_1697:5:797253:799987:-1 gene:KYUSg_chr5.115 transcript:KYUSt_chr5.115 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAGLLTFVSTLLALALRRLLRRRAPTTAAGAGFFHPYTNDGGGGERVLWCAVRAVQELCPGLPCAIFTGDADASPDGLYARAFDRFGVRLLRPPQVVHLSKRKWIEASTYPCFTMIGQSLGSVYLAWEALNKFTPQFYFDTSGYAFTYPLARLFGSKVICYTHYPTISSDMVERVKQRNSMYNNNSRISGSIWLSRCKIFYYTIFSWLYGLVGSCAHLVMVNSSWTRSHIVNTWKVPERTKRVYPPCDTSALQMRPLERSTTPPILISVAQFRPEKAHGLQLEAFALALQRLDSDFLKPKLQFVGSCRNKEDMERLQKLQNRSMELHIDDLVEFHKDVSYSDLVQLLGGAIAGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPMMDIVLEEDGHQTGFLASEKEEFTEAILKVLRMAEPERQEMAAAARKRAQRFSEQRFHEDFTEAVRPVLLGQA >cds.KYUSt_chr2.9120 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57298527:57310384:1 gene:KYUSg_chr2.9120 transcript:KYUSt_chr2.9120 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRMTLSGEEEATSFVKPKAAAPGALVSRKMPEITSTHQREAGVDGMAQTEGLAAAHHQPLPECCGKPTRRGRPQRRPSHPRRPVRQGTADGRRAAVAVAHPTRVCSAKHQGGITEDEAGTAAIKTVELDTMLGGRAVQHREPQGYESDKFISYFKPCIIPLEGGFASGFKTPEEDKFQTRLYIVKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVIQHLKDKYHEGVCDVAIVDDGKFQAESDSGEFWVVFGGFAPIGKKVVSDDDVVLETSPTKLYSINNGKLNLEDIVLTKSILENTKCFLLDCGSELFVWVGRVTQVEDRKAASTAVEEFIVKQNRPKTTRVTQVIQGYENHTFKSKFESWPVSATGNTSAEDGRGKVAALLKKKGDVKGASKNSTPVNEEVPPLLEGGGKLEVWFVDGSAKTALPKEDLGKFHSGDCYIILYTYHSGEKREEFYLTYWIGKDSIPEDQHMALQIVNTTWNSMKGRPVLGRIYQGKEPPQFIALFQPMVILKGGISSGYKKSVEENGLKDETYSGTGIALVHIHGTSIHNNKTLQVDAVSTSLSSTDCFVLQSGNSMFTWIGNTSSYEQQQWAAKVAEFLKPGASVKHCKEGTESSAFWSALGGKQNYTSKNATQDVVREPHLYTFSFRNGRLEVTEVFNFSQDDLLTEDVMILDTHAEVFVWMGQCVDTKEKQTAFETGQKYIEHEVTFEGLTPDVPLYKVSEGNEPCFFRTYFSWDSTRSVIHGNSFQKKLSLLFGMRSEVMVDQLKGHQHWLRFHPRLIHLPKTNRPKSSGDGHTQRAAALAALSSSLNTSSKPKSPQSRPGQGSQRAAAVAALSNVLTAEGSTLSPRIDAERTEFDADKDAPGDEVPSEGEREEPDVSQEETANENGEVCVLIGRVGKANPMFILQTYLSDSEFHTVFGITKEEFYQQPRWKQEAQKRKADLF >cds.KYUSt_chr6.2610 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15218933:15223398:1 gene:KYUSg_chr6.2610 transcript:KYUSt_chr6.2610 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHPTPSPRPGAPPVTPHAGASSTPFRTPTSKHRLHFPSATPRGAAGAATEHPVEVIGRVRNLPPSSAAGPSALETPSSTTLRVRGDAGGHRDFSLDGVSVSDDETLESFYARFVRARIQGVRAGAKCTVMVYGPTGSGKSHTMFGCARQPGIVYRALRDILGGGGGVSGGEEDEAGFGVGLFVQVAVLEIYNEEIYDLLVGTGAPNTRGNTPKVRLEVMGKKAKNATYISGNEAGKISREVAKVEKRRTVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHASTPRDKMNTEESSAMLNSRIEAMNQFIYKLQKENKQREKERNEAQAVLRQKEEELAQVRAKLRVVEGQGAAAKEEEINLKVTEKTKVLKTELQMMEEKMLRQQQELLALKQRLQEVESEKAHARQPLQQDVIGGRLLARLSEMSAGGDPSMSMAMSMSMDLDAGDQPNILDVKVIKEDTRQQGQIWNQTSTAGSCTSAVQQEDVVRLSGFPEKAVLSTVYEEGDEEGEEKGNGAEEEVCKEVVEEESYKIDRMEQPLAEPDRTNRIQNIFRLCGNYRELVKKQNAESPVKQQVFGDENKQLGQQLLEDENNQPAKQLFGDENKDPSAWAAIETPMCDVKVADSPVSSQLSPIVCQVVDEAGLTVPEELKSCTTPEGNDQSRQKERDGLLEVYIKWESGNLIKGLKLLPTACLSDLRKLIEAHFEEASNKQQHHHHQFTFLLLGDPSGAPVSRENESTVQISRLPNWNNQTNSYLACLRAVKKPAADQLHQIPFSPLESKLNSALNDVQQQQQRASGALSPKVVGQMSPSFIRELRA >cds.KYUSt_chr6.7429 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45125888:45126214:-1 gene:KYUSg_chr6.7429 transcript:KYUSt_chr6.7429 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSAAAPALPDEMMTELLIRLPVKSILRFRAVCLSWAAALSSEHFCSLHAAKMAEAESVSPKLFSLSPASRFDTTGLYSCSGPSSTSRTWRPLRAAASLCCAMPWR >cds.KYUSt_chr6.7947 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48825447:48827546:1 gene:KYUSg_chr6.7947 transcript:KYUSt_chr6.7947 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAPLPRPPPAPPLPPATPFHDDSLHSLLASLSSPAAARLLPVPLLAFSRLRHRLPSSSHFLLRPIASLLHLHRPHLRVGAQLHGLSLSLGLSRHPDLAPRLLSLYSSHPSLLPYASRLAAESTYPLAYNILISACLRHGLPRQALAAYQQMSNHAVLPDAFTYPSVLRACAEAGDLALGRAVHQHGAAGGMDGHLFFQNALVSMYAKCGDLVAARTVFDGMPHKDAVSWNTIISGYVAAGQSRQALDLFQRMRADASAEVPHSVTWNTIAGVYVKTRDHRAAVELIREMVNGGAEVDFVTIVIGLNACSRVGWLRLGKEIHGLAIRMRCDTVESVGNALITMYARCKHMDSARRLFEMLGCKGVVTWNTMIASFALSDDAEEASKLFREMVCGGVQPNYVTVVTYLALCARVANLQHGQELHAHIVKHGFKGYRLLWNSLIDMYSKSGRLLVAQNVFDTMDDRDMISYTSMIAGYGMQGKGSVALQIFYQMVDSGIRPDHISMVTVLTACSHSGLVTEGEELFDKMTSSYGIKPQMEHYSCMVDLYARSGLIEKAEGMLNDSFFPSTSTMWAALVGACHERGNIKVGERAARRLLEMRTENAGHYVLIANMYAAAGCWDELATVRKLMRDLGVTKAPGLAWADLGNGFTPFLVGDRSNPLAPEIYEILDELSEQMRNVSNCSDLDIVEVLTDQNQ >cds.KYUSt_contig_319.37 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:315610:317361:-1 gene:KYUSg_contig_319.37 transcript:KYUSt_contig_319.37 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGSEENAAGEELEWEDEVEVVGEREERDREMALVNKPKKGKRKIRDSGEREIMDSRKAKTKDPSKVKRAKSNGSLAALPADLRGPDTEWWYAFLTKHAELHKDAESGASVPVPSDEEDAFRYFFRTSRRTFDYICSIVRDDLISRPPSGLINIEGRLLSVEKQVAIAMRRLASGDSQVSVGAAFGVGQSTVSQVTWRFIESMEERARHHLVWPDQERMEDIKARLEVLSGLPNCCGAIDATHIIMTLPAVESSEDWCDPAKNYSMFLQGIVDDEMRFIDIVTGWPGSLPVSRLLKCSGFHKLCEAGKRLNGPVRVSGEDAEIREFIVGDMCYPLLPWLMTPYEDENLSAPMVNFNARQKTARMLGTRALARLKGSWRILHKVMWRPDKNKLPSIILVCCLLHNIILDCKDELLTGIQLPVHHDTGYKEENCKQENPSGKVMRETVTGHLPTFEAVLN >cds.KYUSt_chr7.41121 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254757138:254758287:1 gene:KYUSg_chr7.41121 transcript:KYUSt_chr7.41121 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSEKPEHKSGSPWVVGEVEDRRISYHRVISMLTRTRYKCHCMKIDAEVLEPSLQNKCNVGYAFINMISPVHIISFYQAFNGKKWEKFNSEKVASLAYGRIQGRNALISHFQNSSLMNEDKRCRPILFHSNGPETGNQEPFPNGICIDMPLDGGAVASREPLGYEEDDNPNDKMAGESSMMGSL >cds.KYUSt_chr4.27937 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175536063:175537043:-1 gene:KYUSg_chr4.27937 transcript:KYUSt_chr4.27937 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSARRRQQCPLLAAFCASLVDGLARLESTLSSSVSIRWCADAMRLVRRMQRDLLAVFRSADAPVAAADCHGAGDSWLEQYMQETAALLDLCNALKSAVSRMHRYCMVVDFAAQVHGCRAGATAASLVAAGTAASEGTDAPSSAVAVHGKLSDVRAVVSEAERLGRKILSSGGGSVVLVTLVAKITMAVVATSVLHALTHTSPLPPLNDEDDVGAGGAHQCSSALARVAVPEQLRPWRESLSAINDRVAALPASIAEHESVATAVRDMIGGKMEGSEDHVELLRARSGELREGVETFDCVLDQVFDEVIRGRNEMLGIFRDKALT >cds.KYUSt_chr1.30859 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186895077:186895643:-1 gene:KYUSg_chr1.30859 transcript:KYUSt_chr1.30859 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAQSPATAVAAAVAVEKSKHWAPHGPALTACLVSINLLMILLIFFYFWRFFSGKRGPLAPGGADEEAPSADTSPAASPGGSRRLCDPDHPDIASSLPVTVFDSSSDAVGGKAAPECAVCIVEFRDGDQVRLLPRCGHRFHAACVGAWLQLHSTCPLCRASVLAPPPTAAEPKNDDPKDDGGAECPV >cds.KYUSt_chr1.41067 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251906613:251910305:-1 gene:KYUSg_chr1.41067 transcript:KYUSt_chr1.41067 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPATPKSTSTSTSSARKPAAPTPSSLQQPAPSTAPRPETTPRRRPSGSSPAPPPGSAAKTTSFARSFGAYFPRSSAQVQPARAASVPSTGPEVGELARLVEELQERESRLRTELLEHKILKETVVIVPFLETELAAKSSELGRCRDALARLQADNARLKDELEAAAATVSSKEQRIAELERQLAEAARPQRGASDDCSSSDYSGSRPNADSGTAKPVTKSVPPPPPPPPPPPMPASVKSKSYFSGSSRASPASSGSSTSSASSTPSCSSDTAASTGRKPDLCKLPPIPPPPPPPPPSMPAKRSRSASSSPSTSSGGGSKRAAGPPPPPPPPPPPPSGPCVRRVPEVVEFYHSLMRRDSKRDGGGGGGGSDAGPSGSGAANARDMIGEIENRSSHLLAIRSDVERQGDFIRFLIKEVEGAAFADIDDVVTFVKWLDVELSRLVDERAVLKHFDWPEQKADALREAAFGYRDLKKVEAEVASFCDDPRQNCSSALKKMQALFEKLEHGVYSIGRVRDGAMNRYRGYQIPWEWMQDTGIVSQLKIQSVKLARKYLGRVSAELEATQGGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQEIKEKASALQSQRDQQQLQQQRLAAGRS >cds.KYUSt_chr4.13281 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81814704:81821486:1 gene:KYUSg_chr4.13281 transcript:KYUSt_chr4.13281 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCLSRASFPKGFVFGTATSAYQVEGMAAGGGRGPSVWDELTHIPGMIPGNQNADVTTDQYHRYKEDVDLMKGLNFDAYRFSISWSRIFPDGEGQVNQEGVAYYNSLIDYLLHKGITPYVNLHHYDLPLALEKKYQGWLNAKTGDLFADYADFCFKTFGDRVKNWFTFNEPSRVALTGYDNGYQPPQRCTKCPAGGNSATEPYIVSHNLLLAHGYAVATYRNNYQAAQQGKIGIVLDFSWYEGLTNSTEDQAAAQRARDFQVGWYMDPLINGQYPKTMQDIVKERLPRFTPDQVKLVKGSWDYIGINHYTAIYMTQEKLLNQTPISYSKDWQANSDWLYITPFGMYGCMNYIRQKYGNPAVLIMENGMDQPGNLTRDEYVQDDTRVGYYQSYLSELKRAIDGGANVHGFFAWALLDNFEWLLGYTSKFGIVYVDFNTLERYPKKSAYCTSSRALTLSNPYVCAIIEDDPGSAPSRVILQRYGDVDHAFFFGPAADLSRNAGRKNRIVKGSVWQGQKGIEETVTLVRPGAGEVNIRLAESQSTGDCPMEEFEARVMRELEALKIVSAKLEGLTTITAHLDAHDEKFGEQMHRIDSVQAKVNLTMASLGRCARSKLLWRG >cds.KYUSt_chr3.16816 pep primary_assembly:MPB_Lper_Kyuss_1697:3:103038832:103040206:-1 gene:KYUSg_chr3.16816 transcript:KYUSt_chr3.16816 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMAIESLIEETAGDALNNSAKKSLVNQAVPPAAAAPPHRNPIPPVLAPSAAIAAMMPPILPPPSAAYELVRCASGCDSWAPHHVRLDGDLCRLCSSCVLLSNPDAYCCACLFLLSPSVYAAASHVDFSPGDTALCSSCGTFVAHVACVADPLSFVCPPCAAAIDNRAFSYDLDGRTLDARSARILLTAALLAHDTALRHAAEAREKAERSVQEAAAARAQARAMLDEAFRAAEAEACRDAEEQVTPSAPAVEQVAPSAPQVESIKRKTPQSNGPKKKTPKSNEVSNKLLKFNDMQQQATLAFATTAAAAAACPRSSPMPSSRLDRSQVKQEAMPLPVPSSRSIQNHAEIGGSADRAATGDYRELFGALQW >cds.KYUSt_chr2.30776 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189567646:189571179:-1 gene:KYUSg_chr2.30776 transcript:KYUSt_chr2.30776 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGGGFNPHFRHAAPLPPPPPLSQQPPPPPPPQEPQAGPTAGFQHQPLPPPPPLGQYQQQPPAMRPPPGQYQPYQNQAYPYAHLGQMNHMPMPPQQQRGFGHMPMPGPPPPPQGMYQQQPPPQYPMPGSLPPPPPRPPSIAPEDLPPPPPLPPPPPPPSSPPLMPPSPPAAPATAQSPAKPQGGPDGGPQGKNEEASTELIVSDDSDMDMDGEWILPTFCVTVFYKCTISQTSQIVLYLHSASFLVDFISLHLFTLSSSISGDEDSPSRVHLTVANSSPVAAAQCSGDINAVNVPKAVNDMSTLGSTDKSKTGNVTVEAGSQLQLIQQGYASDDGEDEEHTGASSNPVPLPQDNEPSQPSDRNTEIGHHQVTNAEENMNAAQNNELKDDRNPTHSSDELGYPVKDSLSGSESVGLQQSERQGRIQPKRIRSQSPQARRSPSGENKHSPSPSSSPGRQGRSPLAKRAHLQQSKSPHQESLLPGQPLLASSELPQMQFQPNAMAPANDFIQNQIRSYPAQDLSHPRPLDFHPHTLQPVVPSQQQPAAFHPDEFKRPFPVDSAPVPLPDGRPEFSGGVGLPYSSHQSSYGQQQPPGSLPSGANIVYPFQIYPSNLPGSSDLGPVSDAVLPKSSIKPHYNPFASTFETDPTLDIGPVLSPNAVVSASGKSLEHINTLSPFGQSVPGSGIRFNESSAEVMPSRQKQSYPGFASRGPYDPLLDSIEPSSNSINKMDLGQEANLSATGSRNASKLVNIEVESKNMHGLGLVAESEVGEVGEVAADTETGVVENVSPEPLGAKDWSSDVPGDMDNDQSLDVSKKGKDSRSMKLFKVAIADFVKEVLKPSWRQGNMSREAYKMIVKKTVDKVSSAVPGNHIPKTPAKIRQYVQSSQRKVTKLVMVSVLGFGYFSLQIL >cds.KYUSt_contig_7442.40 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001531.1:230211:232721:1 gene:KYUSg_contig_7442.40 transcript:KYUSt_contig_7442.40 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPSVGDEAPINAVLTDDELRAVLARLGPESERDAFGLVCKRWLQIQSSERRRLRARAGPSMLRRLAARFPGIRELDLSQSPYRSFYPGVIDDDLEVIARGFCNLLVLALQNCKAIDVGCCDHITDAAFQGMQTNVFQSALRVLKINNCVSITVAGVSSVVESCNALEYLDVRSCPQVTRQSCEQAGLQFPGGCKVNFEGSLSESDSSVDRFF >cds.KYUSt_chr7.2107 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12116830:12118361:-1 gene:KYUSg_chr7.2107 transcript:KYUSt_chr7.2107 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGSSVSDKRTMDATDLKSTGKVGKIKIFNKIKSEDYPPDTPKPSVVIRPPAEAEKDAPRKKVIIKQPKGHVDQQRAIDIRSGQEPRKIRKIAELSTLEKANREEDHWFAGEPSQMNSSGRLGSEGNRKNKVMGNDESWRAFQEQRERQEQRLIEARMYEASREEELQKAKKKNKKKKKHEFRDDDVLDHRPYRNDRKVPERNRAAKRRTPADMAEYAPSAKRRRGGEVELSNILEKIVDHLRDQTSISLLFLKPVTKKIAPDYYDVILRPMDLGTIRDKARKMEYKNRDEFRHDVAQIRLNAHLYNDSRHPHIPPLAEQLLEICDSLLLESADLLDDAESAIEG >cds.KYUSt_chr2.41450 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257807460:257808077:-1 gene:KYUSg_chr2.41450 transcript:KYUSt_chr2.41450 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGTAITTVRRRVPITWITTIIATMPQRHRGSTSSPTRRRCTLQASTTMATTVQRRRLLPLTVVTIIITATTVRRPRLLPLTVVTIIITATTVRRRRLLPLTVVTIIITATTVRRQRLLPLTVVTTDRCSTSWPTRRRSTLQAGTTIIITATTVRRRRLLPLTVVTTIITATTVRRRRLLPLTVVTTIIATTPQRRPSSTSTR >cds.KYUSt_chr6.27203 pep primary_assembly:MPB_Lper_Kyuss_1697:6:172538440:172539594:1 gene:KYUSg_chr6.27203 transcript:KYUSt_chr6.27203 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRNSILSHLLSTSAASTVWPLHQHLSAAAAAVSPRPSFAVEKYLIDTCGLTRAQALKAATKIPHVNCPSKPDAVLAFLAGLGLSSADVAAVVARDPKFLCAGVERTLDPVVLGLNGLGISRSEVARLVSLAPERFRSRCIVSKLQYYLPLFGSTERLLRALNHSSNLLSSSLEKVVKPNVAFLQECGLGACDIASLCGCARWILATKPEHVRAMATRAEAVGVPRGSGLFRQAMQAVAFLSESEIAIKVEQLKNMLKWSDAEVGIAVRKAPMVLVRSNDALQRKSEFFISEVGLEPAYIAHRPALLSYSLEGRIKPRYYVLKFLKVKGLLHQDRDYYNAVMISEKFFMARFICPHKKAAPHLAEDYAAACRGEMATRFRFT >cds.KYUSt_chr7.8447 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51155062:51155352:-1 gene:KYUSg_chr7.8447 transcript:KYUSt_chr7.8447 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVRSAPAGRHARSLLLPLDHFALRPPPAQPVVVAPPVHPVVVAPPVHPVVVAPRSIPSSSRRRSSPSSSRRSFAFSFGRSIVKKVWGPSATPRR >cds.KYUSt_chr1.23015 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136566484:136566687:-1 gene:KYUSg_chr1.23015 transcript:KYUSt_chr1.23015 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVDDNVGYFQAITGIPDTDLCTEILAAHNWDLQLVVSSITAKPSWPDPIYTTQRHIYDGLVHKA >cds.KYUSt_chr6.15917 pep primary_assembly:MPB_Lper_Kyuss_1697:6:100113167:100113763:-1 gene:KYUSg_chr6.15917 transcript:KYUSt_chr6.15917 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDDIAAAAGPFHIYQQLPMTTPAAAAPAGDSAMVPAPRKKAGGGAVKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTIEWLLRQAEPSILAATGTGTAPAAFVSSSAPSTSSYYHTLLGKRPREQEQEHDAAAFWAAPRQDVWGFSPLEAQAAYVPMAQAHHHHHHLNLNLLSALSGAYTRAEEETR >cds.KYUSt_chr7.8201 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49619920:49623187:1 gene:KYUSg_chr7.8201 transcript:KYUSt_chr7.8201 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRQELCRNFQRGSCKYGAQCRYLHATSNQQQQQQQQAKPNPFGFGAASRPQQQPFGAQSQQFQQQQQPNRFGFGVQGGGASQPRNAPGPAMNKWVRDPSAATKQPEAQPAAQAAHTSCTDPASCRQQIADDFKNEAPLWKLTCYAHLRSGPCDITGDVSYEELRAKAYEQGKQGHPLQSIVEGERNLQNAKLAEFNTLLSSTRASQTPSFPTVGSFPEVKNNSSFGGSQTNGPPVFTSFNQIGAATNLGSGARTTTPGVPTNSIFGQPTLPAFGQPTQPAFGQPTQPAFGQPTQPAFGQATQPTFGQSTRPTFGQSTTSTPSFAAPTFGNAGMKFGVQDASGSQMSRQTFGSMSSNSNFPNYTSSSNNRDIDRQSQELLSGMAARTSAVDQAPVE >cds.KYUSt_chr1.31902 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193547373:193552822:-1 gene:KYUSg_chr1.31902 transcript:KYUSt_chr1.31902 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNEEAMAANKRAFLDFLDQDVGKGVYMQAVRDMVQSKRHRLTIGMDDLRNHNLDLARRIIRSPGEFMQPASDAVTEVARNLDPKFLKEGERVLVGFTGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHYCPATNAFLSREYRDITSFVGLPTGSVYPTRDENGNLLVTEYGMCEYKDHQTLSMQEVPENAAPGQLPRSVDVIVEDDLVDCCKPGDRVSIVGLYKALPGKSKGSVSGVFRTVLIANNVSLLNKEANAPVYTREDLKRMKEISKRSDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGSYDRSITPTKNIGLPDSLLSRFDLLFIVLDQMDADIDRQISEHVARMHRYCADDGGSRSLDKAGYAVEEEDGDANAAIFVKYDRMLHGQDRRRGKKAKQDRLTIKFLKKYIHYAKNLIQPKLTDEASDHIATSYAELRDGSANAKAGGGTLPITARTLETMIRLSTAHAKMKLRHEVLKIDVEAALQVLNFAIFHKELTDMEDREQKEMEKQQAENEAAAGADNADGHGDASGNGDENGGTGNDPMDVDGSNASKDHDVSSQRIEAFEAILGQHVLANHVDQMSIDEVEQTVNRDAAAPYTRGQVEFILERMQDANRIMIRDGIVRII >cds.KYUSt_chr2.55536 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346431006:346433593:1 gene:KYUSg_chr2.55536 transcript:KYUSt_chr2.55536 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKKLPPESLPGRSPSGGGFQPITIHVRINRDRSYLPRLVNQGAVPSVEMAIEQALYWPDGRRKKRSKWLVAEQTGSQVHRLVEALVDKHNEDHNLLGDLAYELKDVVHYRNFCEKRTWFYHLNFTMTKVAGLCYGCTNNGSVNIKHPHNVDEYIGGHLDVRSSFGCHGEWSDSDDDVEAKKRELRRLFTGSDDPNVKTILWMHPDEVLLEED >cds.KYUSt_chr1.23119 pep primary_assembly:MPB_Lper_Kyuss_1697:1:137166257:137170079:-1 gene:KYUSg_chr1.23119 transcript:KYUSt_chr1.23119 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCSASGERLVSAARDGDAVEARMLLELSPALARYSTFGGLNSPLHFAAAKGHLDIVTLLLEKGADVNARNYCGQTALMHACRHGHWEVVQMLLLFKCNVTRADYLSGRTALHFAAHDGLVRCVRLLLADYVPSVSLDDSASSVADGGDSQANSGSSPTSSVGLKFNEPARVRYINKPADGGVTALHMAALNGHFDCMQLLIELGANVSAVTFPYGSTSNLIGAGSTPLHYAAGGGSLECCQVLLSKGASRLTLNCNGWLPMDVARIFGRRGLEPLLSPNSHTVIPAIQPSSYLALPLMSILNIAREFGLQHTISSVVDDSDLCAVCLERSCSVAAEGCGHEFCIKCALYLCSTSNVRVEFTGPSGSIPCPLCRNGIMAFTKLPSTPTSDGFKSSSALTFCNPCILNTRSVDSPATVCKAEIRRNRVAAVSSELVCPLTCSPFPSSALQTYRCSDDDPCGSTETQDGSEVQSPRPSHSASTELDKRGEQDIDQTTCSGMFWSRRSCQREQQCNAEINA >cds.KYUSt_chr2.43880 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273175397:273177178:1 gene:KYUSg_chr2.43880 transcript:KYUSt_chr2.43880 gene_biotype:protein_coding transcript_biotype:protein_coding MATIEIGSVSGFAAPHMPLDMAILGSVYLGFALHVPHLAHDPRSRKFKRSALKVGYINSDWLVFFAAAAAAATATTTAPPRLLLRRQKEKMLSEYIHSHAQIQSLLARSDDLACSDYLMHVNLVSLESVRIAPESYALLRPLVNRASIRCSDLDRLSVVAGLALELHRLEHDLLPQVMDQEAKLDRGVLQALLLMKNSAIAILHLGEAFKEAHVSDGLLDKDLISGQVRALSTVLKDAAVHVLQRSFTIVWLREQRIPLLVRLVTSLLATPVRFCDSE >cds.KYUSt_chr7.40667 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252375280:252379380:1 gene:KYUSg_chr7.40667 transcript:KYUSt_chr7.40667 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEGERERRSNGDDGEREDGGCFTFCPSTFRAFAVRSSGGGPAASVSQGELVDRSSRASPRQRLSPLVFLAHAVMALLPSPFLHSSSETGISERNCWLPEMMLAAVDSAAAAAGGGGEEEEARVRKPYTITKLRESWSDPEHDKFLEALHMNCCLLLNGATDLATANNCSSSIESQSGIWPTSDAIGQEIVLPALRAMPDFARVYKFLGSVFDPETSGHLQKLQEMDPIDAETVLVLMKNLSMNLSSPNFEAHGNDKMRKVLELKRGALDSGAPRDA >cds.KYUSt_chr5.29619 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187853460:187855049:-1 gene:KYUSg_chr5.29619 transcript:KYUSt_chr5.29619 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDLTRHLPPNLPHHVPYSRALQQRLYLLAQHARRRVAFHPAGTAAAAARRALDQLHAQLLLNGFHRKRFLLAKLLALAAAAADLPRAESLFLSAPSPPTSPTLANLLLRAAATSIAQPHHLLSLLSRLVSRHGFRPNAFSFSTLFAALARAGAAALPHGPALHARALAAGFARSSPHVATSLLDMYAAAGQLVDARKVFDETPDMLSASTTAWNCMLSAYVRCRELDAALRFFREMPRRDAVAWTTLIAGCANTGKAAQAVELFRSMRKARVKDDAVTMVALLTACADSGDLRLGRWVHARVDQERQRTVSLDNALVHMYVRCGAVEDARRVFLGMPSRSTVSWTTMISGLAVHGRAEEALELFRGMEERPDGATLLAVLSACGHSGKVDEGRKYFQSMERVYGIAPEVQHYGCMVDMLCRSGRLREALELAETMPLQSNEAVWGALLSGCKREDNLELAAKVTDRLIELQPERAAGHLVLLSNMYAGVGQWEQARIVRERVAALNAGKPAGGSWLNQNQTSIFVA >cds.KYUSt_chr5.36659 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231760107:231760340:1 gene:KYUSg_chr5.36659 transcript:KYUSt_chr5.36659 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNAIHTDPPGLGKRDYRYLMLMHPGRPAARDEDCGILSTDINSAPLRTATACRQGRFTQPDLPAFLNSSRQILHS >cds.KYUSt_chr6.33946 pep primary_assembly:MPB_Lper_Kyuss_1697:6:212802589:212803734:1 gene:KYUSg_chr6.33946 transcript:KYUSt_chr6.33946 gene_biotype:protein_coding transcript_biotype:protein_coding MESVREEILWEILVKLPTRDLARSSCVSRLWRIIVSDPFFRGLHGKAAHVVSGGTAVETLLIGEIGDDDHQGLEMVVLNASRAKPLCRVTDLDGTYSAANACNGFILVGSMKEEDPLHVCNPITGEKLKITAPPAMGAMAKHWYAMGFSPSTCQYKLFRFSLPLSGGYEMQMQYYSNLDVCTLTLGDSCGWRRNPYMFPACDISYSTPPVPIDGKLYLVTELPHGQGAPDRILVIDTASEACCIYRLPNEFTLAVQAEVCTLLSCADGCASPCTSPPRSGSVSGSCPRCEGGDSTMMPTTWGCLAGRLSLQVRYYHQGERQEETGTTGQRQRQRRRRLLELGSPIPAPGASLCITAGRPTMECLRGLSSQPSLASSCLRFL >cds.KYUSt_chr4.10517 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63853095:63855128:1 gene:KYUSg_chr4.10517 transcript:KYUSt_chr4.10517 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVNLGGTPHSLRETNFESLAAKELRVGSSHRPDPFVHQFFLMQERFRGYLVIVGGKAAGAGTSDSAITLLSRGDGECIKSPWRKLQDRSRGRIEQGSKATYAVRTVSYFIGFQFYRFPIFVYTLDI >cds.KYUSt_chr4.51515 pep primary_assembly:MPB_Lper_Kyuss_1697:4:319623858:319628839:1 gene:KYUSg_chr4.51515 transcript:KYUSt_chr4.51515 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLKLCSPKPLAAAPTARLRAARPAASARPLAGRLPPARVAAAVSGPSRCASFEQVLEHLIDGTHFSEEEAEATLQLLLDEDNEARIAAFLVLLRAKGETYEEIVGLAKAMMNHCVRVEGLHDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEELGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKVVKPVRRKLKIKTVFNILGPLLNPARVPYAVIGVYHENIVTKMAKAAQRFGMQRALIVHSKGLDEISPLGPGYILDVTPGKIEKMHFDPLDFGIPRCTLPDLKGGDPAFNAKVLQDVLAGQRGSIADALVLNAAASLLVSGKVKTLHEGVALAQETQRSGVAINTLESWIKVSNKS >cds.KYUSt_chr7.27876 pep primary_assembly:MPB_Lper_Kyuss_1697:7:173980040:174001551:1 gene:KYUSg_chr7.27876 transcript:KYUSt_chr7.27876 gene_biotype:protein_coding transcript_biotype:protein_coding AVASSGNGAAAGPVGSGVEVARSKRMLHVVLVSPMIPGNTGSIARTCAAAAVGLHLVGPLGYNIDDTKLKRAGLDYWPYVVVKVHDTWDEFRDYFMQQDGEKRLLAFTKRGTRIHSDFAYKPGDWLVFGSETKGLPDSALEDCSGEDLGGGTIRIPMVETYVRCLNLSVSVGVALYEAARQLNYEQLQYQADLPEEAQGLFPAEDIYG >cds.KYUSt_chr3.47887 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300031961:300032673:1 gene:KYUSg_chr3.47887 transcript:KYUSt_chr3.47887 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTFRSSFYRTKQADPLGEEDESLGEYYTDLEGGVSKDGDASDEWANYRCTRVSGGTLVVAVIAVFVLLSIGLYYLVLCFFYLPPEDAVIALVNGMLLLTGGPWLLCRLAACFYGRLRRRRDLEDGSGGKDCDTGNEKPGSGGYTRPDDEMLYVIAYLVFFMGIYCILLGNIIVIVGGGFLAFGAMLVVTCCFDCRGSSPPPAIEG >cds.KYUSt_chr6.2679 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15669697:15672876:1 gene:KYUSg_chr6.2679 transcript:KYUSt_chr6.2679 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTAVVAVGWGLKAVGWIASPIMSEIFKKGSSFLGFDAKEKLKELEPKVLLLERVMEAVEESPDRPRLEQLFKDLKSAFYEAEDILDDVEYHRLEKQIQDGKLKSDGDVPIRKRDWVKKKLRSAIPSSPLKDQESGMSRHQLKSSFEKIEKVINDACEILERLNLRPVTDYNWRQVVPPNSRSAVTTAAPPLKVIGRDEDRDKIIAMLHDKESNGHESTNIGVCYSVIGIHGIAGSGKSTLAQYICDREKKDKEEEKVGHFDLVIWIHVSQKFDLQAIFTEVLEGATGRPSSEFKNRNTLRENLVKELRGKLILLVLDDVWYNIRDAGHHGELEQVLSPLEVAKTGTKILVTSRSKDALVALGAVGERCIPISDLTDDVFVQMFMHYALRGAVVPGHDGIDLQMLGVEIAKKLNRSPLAARTVGAQLCLRPNVEFWRRTRDRDLLNETMGALWWSYQHLDEQVRRCFAYCSIYPRRRRFKRHELVQLWMAEGFIKTTNAEEEPDGVGQDYFDELLSASFLQLGERRLEHGCEVDYFTVHDLLRDLAEEAAIGDCFKLEEGFRGEVPPDVRHIFVGSCDRKMLTEKIFQLQNLRTLIMDYPLHIELSDEKFLESMFTRLQNLRVLVLRFNGLLGGRTFSLPASIGLLKHLRYFYFDMHVQMKLILPDSITKLYHIQQLDVLGASHMDFSGAKHMSHLINLRRVSSGLDFPNIGRLKWLQILYGFTVKNKLGYEIRQLKRLNKLQGKLCIMGLENVRGKEEATEASVAQKERVIELAFVWGDRSCSPEVEAEVLEGLCPSKYLQRLEIINYHGSTYPNWMVSKENGGPGHLRNLWLLNCSRLEPAPELFEVFVHLRWFRLWDSNWDALPHNMEQLTLLQVLDINGCPNILLLPALPQSLERFCLKGCNEEFTRSCETTGDPNWQKIQHIPKKTIRLQQ >cds.KYUSt_chr1.37854 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231166098:231168146:1 gene:KYUSg_chr1.37854 transcript:KYUSt_chr1.37854 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRSIAKALQDELKFPWEDIHVSASFPDDFLVRFDQPWQRDMALGRGTVPLRRGSLALTTWSPTSRGRPQMWRFYCRLAVENLPLNAWEDVPTIRAVLDGACELDKIERRSARRDNTAALFVWVWCLDPDLIPKVKPHSILDRPAERRQDLPEGTPAEEGRDGPLFRVLIHLDKVLDYTPIDESRRRRGVLWPQVYRKEWHFGIEDGLPGPRARPVRDRLGPSSHSRRRDDDRDEGRDGRHGGNRRGDRRGGDAADFDDYERRWSSERRHHRQDNHERRSSRSPDRRRRGDASRHRSRSAGAPDARAEEGVERQSNQTVDLAPPRATGHRSRSRSRTPTGSRAWGSTPSPPPGLHGCGYPASLTMPSPLEDGFAAVHDAAPSGMHAASPDSLVHFITNIPPPPSPLIPWEEIPGLQPGLGNGGLEDQWSANINSVQADEPRTYYPLSPAHLRSPLHKSPDQHAVDHWAAFFGEQSTEYVPSSRSLHEWQGMWMDDLLPSVQPQPDLLQPHIAGDDRMPGSWGVIHGGCPMIPEKTVVAAPFGQEPAAPRSPGWQLQDIFSTPPAQPSAPQNSDSSSEDLDDATLCEVTLKSNALRALREANLFGRGLMEEITQGVEQLHVDPKTSLMSKLLGMISPSLLGFPTNSKPKKKRMTPRDACGWHVRWEPQEEGVMRTAASFPQ >cds.KYUSt_chr2.32875 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202703394:202703744:1 gene:KYUSg_chr2.32875 transcript:KYUSt_chr2.32875 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSLDAHECENCHDFSGLAAAAGDVVRVGAAGLAPAMAVVFAVTGERFELSDGDDPGATLLDFLRSRIRFNGPKLGFTGPKLSCGRRYGRGQAPPATHHQLLPELHVAAVHPARV >cds.KYUSt_chr5.26278 pep primary_assembly:MPB_Lper_Kyuss_1697:5:166420954:166421787:-1 gene:KYUSg_chr5.26278 transcript:KYUSt_chr5.26278 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVNAHNRDGGCLSRKGYKNLGEKFTEKTGKQFTKKQFNNKWDALKKDYTGWMELQNATGLGWDPVTETMDADYEWWKTHLLYRPEHAKFRNGPPTNLEQQDVMFNKAHVTGESAANPGQELGEDKDGPILLDDDGEATKKTTLGKRKACVGEKEKESFFFKAYNTALSSIVSEVDAGSSSSKDDSVPTMKEFLAMVRECGVSEGTDLMFTAAKLAVKREHRVLFAAFETPGGRFDYLERTHNELNK >cds.KYUSt_chr6.18898 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119017302:119019134:1 gene:KYUSg_chr6.18898 transcript:KYUSt_chr6.18898 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLALFLLLLAAAAPRPAASASTDRAALLAFRAALPPPSRAALSSWHGPLSASWLGVSLHPPAAAAGPPSVAALALPGLNLTGPLPSPPLSLLRRLHELDLSANALSGTLPCTLPRSLTTLDLSRNALSGAIPTCLPASLQSLRALNLSFNSLHSPLSPWFSFSPHLTTLDISRNALSGAIPPLIITNPAASGLLLLDLSHNRFSGEIPAGLTALRTLQGLFLAGNRLSGEIPCGIGNLTYLQALDLSNNRLSGAVPAGLAGCFQLLYLRLGGNRLSGALRPELDALDSLKVLDLSNNRISGDIPLPLAGCRSLEVVILSGNQITGDLSGAVAKWQSLRSLSLAHNQLSGQLPDWMFSFPLLQWLDLSGNRFEGFIPDGGFNASSVLNGAGGVPQGIPSGGMISPQLFVSASVDATGQQLELGYELRAAPGIDLSTNMLHGEIPEGLVAMKGLEYLNLSCNYLAGQIPAGLGGMGRLRTLDFSHNGLSGEVPPAIAAMTELEALNLSYNSLSGPMPTTGGLRKFPGALAGNPGICNGEGCAADAGTTQGEMSGGNRHGWLGGWHGEDGWVSPGAFCISTMTSFFVSLVTLLSSPKARSFVFRPVRIEY >cds.KYUSt_chr3.27996 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174718389:174724689:1 gene:KYUSg_chr3.27996 transcript:KYUSt_chr3.27996 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPSWRRAYGALKDSTTVSLANLNSDFKDLDVAIVKATNHVEGPPKDRHLRKIAAASSIAGPRADVAYCVHALARRLTKTRNWIVALKTLVVIHRLLRDGHPTFREELLNFTQRVQVLQLSNFKDDSSPIAWDYSSWVRAYGLFLEERLQCFRILKYDVEAECLPKQGQGPEKGHSQTRELDSQDLLDHMPALQQLLYRLIGCRPEGAANRNYLVQYALALVLKESFKIYCAINDGIINLVDKFFDMPRHEALKALEIYRRAGQQAGSLSDFYENCRELELARNFQFPTLREPPQTFLSTMEEYVKEAPRMVPVKDPLEFPERLLLTYKPEESEELPEPVPIKEEIPVPRMEEPSPLPSSAEVASPPPNPRVADTGDLLGLSDPNPNVSAVEESNALALAITPNGVNASTTSTTITQDTGFDPTGWELALVTTSSSNTNSLAVDSNLGGGLDKLTLDSLYDDGAYRQMQQQLPYGSAPHNPFMATDPFAVSNQVAPLPSVHMATMAQQPQQMAMAMQPNPFGPPLQPQLTGMASVPNPFLDAGFSPFPADGNGMHPQANPFGTAQLL >cds.KYUSt_chr7.1867 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10756341:10758297:1 gene:KYUSg_chr7.1867 transcript:KYUSt_chr7.1867 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLLAAAPPPSLPTLRLRRPLSSGRIAPAACISAPLRTAALRRGAPSRLAAAAAPGPAEPEPAPPSAEEEAERAKLAQAPPRADVVKNLRNSIALNVIGMGAAILGMQATVGALVAKALTTSSVPYYQGISAGQSPVLALDVFLVQESLDNGTFTSRLF >cds.KYUSt_chr2.52400 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326959332:326961901:1 gene:KYUSg_chr2.52400 transcript:KYUSt_chr2.52400 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRRSSASLASRSKRSRSPDTVEEAWRRQCKRSAAGSRRAACKYDGAAYVPDKLIDFARGGRWYNEDPPMKPMSGPKFDEWRADWERRRWAKEAWSSGSTSARGAPLAGDDEEAPNLLKELRQFLKDDAKRKRAEEEEVAAAIAAAKEAELREAEADSYLVDLPESIRNSTARRNLGGYLSCGTGNPIDDCWRCDPEWHSNRQRLADCGIGFGRNAIGGRDGKIYVVTDPGDDDPVTPRRGTLRHAVIRNEPLWIIFERDMVITLKQELIMNSFKTIDGRGANVHIANGACLTLQFITNVIIHGLHIHDCRPTGNAMVRSSPRHYGWRTMADGDAVSIFASSHVWVDHCSLSNCADGLIDAVEGSTAITVSNNYFTHHNEVMLLGHRDSYLKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRFLAPTNPFAKEVTKRIEADQTTWNAWNWRSEGDLLLNGAYFIPSGAGASSSYSRASSLGAKSSSMVGTITSGAGVLSCRKGSSC >cds.KYUSt_chr2.32355 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199469824:199471536:1 gene:KYUSg_chr2.32355 transcript:KYUSt_chr2.32355 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAGSRSTLAPRRTLPATVVDDTVAAAAILLDKWHPEDSSSGRSLFLDSTTPHEADAFLRAAKDLHRAMLFYASGITTKDVHGGGHGLIQAQELLDTAMRRLQLELQILLSSLPNVLKFEQDDDVDQSTDALRETCGHLRAVAEAMLAAGYGQECVSVFKERRRASVASALQRLHGFSSSLQQATINKLSWEQIEPKMQSWLSGARAAFASVFAEERDLCDRVFAGENASVGDALFSAVAEDKAMIILAFAEAAVARARRAPERLFRVLDVHDALTETIIPSIVAAFGDKSEVTARAVTLAVTKVGDAARGMVASFEAAIEKEPAKATVAGGAVHPLTRYVMNYLVFLADYENALARIYSAEQFTDTSSSVGSGSDTTSSSSSDLSMSSTSSSSMKLATLSLWSNPIGWLVSVLMRKLDAKAGNYREAALSYLFLANNTHYVAKKVGGGTKLEAVLGEEWAEAQRAKARGYVDVYVRAAWGTKVLRGGAMDEAVVEAATMQERWVAADDDMGEVLRAVAKAAVVPTYRMFYRRQGAAARLTPGDVIGMIDGLFGGRASDISSSNSLVST >cds.KYUSt_chr5.13061 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85096295:85097707:-1 gene:KYUSg_chr5.13061 transcript:KYUSt_chr5.13061 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSYPPCSPLMSFLTQHEENICLLWPSQLVSPHENVTVYDSNPFLDYRRQDREFLAMMEVVQDADVLLQDDFSGAKIMEDGNRVVEAQEEPLVMEESSLGDLLLAGASAVEARDLIRASAMMAKVDGILHERSSFDRLSCYFASGLRSRMAGACTTECHRAAPANRLAAYQMVQEVSPFVKFAHFTANQAILEATVDDPDVHVVDFNVGDGVQWSSLMSDLAREGGKTFSLTALTTAGTLHAATPARWLSEFAESLGLPFRYDDEADLHELCTKINRSRRRRGSSSSSSVIVSCDVTTMSRTSTDASRMLRLLLGTVELLQPKLVILTEDELFRVGREPSRHVGAIFGEALQHFAAVHESLASCFRGGGYEAGMRLVEQEILGPRIEGAVAAGLPNGSMAGGADGDDVAFAFSGLGLRARRVSGFNVAQAKMLAGLFRRGFGVVHDKSRLALCWNDRPLTSVSLWSAA >cds.KYUSt_chr3.33398 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209677807:209685545:-1 gene:KYUSg_chr3.33398 transcript:KYUSt_chr3.33398 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPQSKPATKKPSQRSTPSAKKSSPQSTLINKEKKYFLDLLQRARMLKCKMTTVDGELLPSEDAKEYRSIVGGLQYLTITRLGPPVVFLASGDRAPLLPGDRAPLLSGHRALPGDRAPLLSGHRALPGEQPLLSGDHAPLLSVAPPPAEKSQRPEASPSPAQATSPTEGSSSPPENCSASNLAGVSSSLLPPGSTLLQAETKTRGNSILALSIESTMDLSDDDFERPSRASPRLKSKKCTRKKRMDGYVSDSGSENRTTTKRKKTRKNTKDAKAKQNIGFKCSPHLLVDLVRSLDTDQRKWVEEIGFAVFLSMPNCRLPKDLTVWLVNQCSWKDKALIVRGRPIKIKPLIKKLLGIPDGPYDVPLPRSKRGKAKGSTDEDKELKEEKGARCLSSKSTLESLVATHDPEQFKRSFMLYVLCIYLAPSSGHFVNLSYSPIVNNVEIIKDMNWCDHVADVLIEGIREYRESKAANVNVHGCIHVLLLIYIDVVKSAILKVPHGDPRAIYVTTDMLDLLDLTDLKCRTADGPVYGKLEVFLNGIMEIDAQFVKARDEVYAESFKTLSEMLMKIDSERASAIRSLHEKLYAAQADS >cds.KYUSt_chr4.39755 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245495844:245496614:-1 gene:KYUSg_chr4.39755 transcript:KYUSt_chr4.39755 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQFPVPPVVFNNSSSPTHRRHPIPGTGASPPPAFAPPRPSTSSAANPLPFMSFDIGPAPSNSTPPLFAGAPIGGSGASFEDEPPLLEELGINTRQIWRKTLSILHPLRSADTSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAVSLFLPRGGGLIFGVGMGFVLWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLVIF >cds.KYUSt_chr1.37129 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226848717:226851036:-1 gene:KYUSg_chr1.37129 transcript:KYUSt_chr1.37129 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVASAPRPLRATPRQPVGAPCHHHATPLNGLRGRPRLAVSASAADETGSGGAASSSSRFYFNITGFPFPLGPFLNRRTIRTEAVKGSVWLFEQEQALGFSSVSTNTRMTVIKLRSGGLWVHAPIAPTKECIQLIKELGAPVEHIVLPTFAYEHKVFLGPFSRKFPRAQVWVAPRQWSWPVNLPLEFFGVFRSKPLEDEDEGTPWAAEIEQKVLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPECIGKESLLAAAKNGLAVKILSKGREVPDDPVVDNKLTRERGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIARDWPFRRIIPAHFQAPINASRSDFLAAFAFLDEFLPERPPASPSLSLIFASFMGKAASYFPPDDMKTLSSLDDFLVSVGAVKKTVSGRKR >cds.KYUSt_chr7.2144 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12395040:12399062:1 gene:KYUSg_chr7.2144 transcript:KYUSt_chr7.2144 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHYHQDHALGMDPASAAAAAAGNPSFAPVGGAGGGGWEREKAAIEAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARAPPPLPHPAGAPAGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGTGATMSDDEDNPVDSESNMYEGNDVSDGMGFGMLTEGERSLVERVRQELKHELKQGYREKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPTSSSSDKSKRKSFHLLVLLGERLWLLCCAASLVSQDNLSVYGFVP >cds.KYUSt_chr6.27096 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171876708:171879158:-1 gene:KYUSg_chr6.27096 transcript:KYUSt_chr6.27096 gene_biotype:protein_coding transcript_biotype:protein_coding MCMRSCHPAAATGDGSGGSAAVGLAIASAAQKREGCHVPSKDRMGKAAGCIASHKRTVLQGSLLYSMDLFAALIVVGTPGRILALATDKDISCKNVRWSRMHKRLWSIAGVKTCCGKWKKINFGVSRFVVVQSHRPRKSNCQANHMEMHLAGASQEACLDLLGGSGGGMGGAMPRCMGCGIPDIILNDRDLMTTFGDPEDMVALQDGVSSFLWMIWFCHYEHD >cds.KYUSt_chr5.23029 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150233991:150234499:-1 gene:KYUSg_chr5.23029 transcript:KYUSt_chr5.23029 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTERKASKESEQRTYLVAAVMSNLGIASMAAAVYYRFTYKMEESSRHHSDDDHEATMQSASIDGKGPAGPDMVQIREKMQV >cds.KYUSt_chr7.35261 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220329783:220330013:1 gene:KYUSg_chr7.35261 transcript:KYUSt_chr7.35261 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALTAAAVGGVGPPPGRIGGGWLALTICSPSAAAPMPGHLAKVSRFSKMTGRSFLPRHCRGNLLYVSDTPPPVC >cds.KYUSt_chr3.17956 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110414651:110420125:1 gene:KYUSg_chr3.17956 transcript:KYUSt_chr3.17956 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRLNKRFAQVRARKDLHKFAHAISSAAPKRWVGPARWCRYASATASSACFTIPSPPPSPSPWRRPNPNPIPIPAAKPFLPVNSPTPSRPPPSPALPLRVLTVCCSVPSVRRRPGAQEVQDNGHRRGGSSGLGGVLRDEGRVRQAHRLPQRARKYYAPTRFLVSRPPSLPPWINPLLDVLSLPEILFFVLCDALEEGKFSAQRCLYVVRISRNNKEEVLSKAENDLAAVVNQHIGKLVKELHGTDFWKLRRAYTFGVQEYIEAATFCRFCKTGTLLSLDEINDSLLALSDKSVEPLQINVLDYILGVADLSGELMRLAIGRISDGEVEYAKDICSFVRDIYRELTLLVPLMDDNNEMKKKMEVMLQSVVKIENACFGVHVRGSEYIPMLGSSAEPDYAFFGGADYD >cds.KYUSt_chr3.30786 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193204150:193208063:1 gene:KYUSg_chr3.30786 transcript:KYUSt_chr3.30786 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEADAADLTGPLLAGAPPATAAAGEAVPPWREQITVRGIVVSAILGVLFCLITHKLNLTVGIIPSLNVAAGLLGYFLVRAWTAALARFGIVSKPFTKQENTVIQTCVVACYGLAFSGGFGTYMLAMDQKTYELIGTDYPGNRAVDVINPSLSWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTTGAELAEKQVSCLGKYLSISFIWNLFKWFFSGVGDSCGFDNFPSLGLAAFKNTFYFDFSPTYIGCGLICPHIVNCSALLGAIISWGFLWPYISTKAGEWYPADLGSNDFKGLYGYKVFISVSVILGDGIYNLIKIIYATIKEIMNARLKQGRLPLVRVQDDNGTSKLSSEEKLLNEVFVKDSIPPWLAGSGYVCLAAISTATVPMLFPQLKWYLVLCAYVVAPLLAFCNSYGTGLTDWSLASTYGKIGLFIFASWVGQNGGVIAGLAVCGVMMSIVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWTAFDIGNPDGMFKAPYAVIFREMSILGVEGFSALPQHCLAICSFFFFAAIAINLLRDVTPNSVSKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAVASGLICGDGIWSVPSAILSIMRIDPPMCMYFKPSVAYG >cds.KYUSt_chr4.41309 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255450606:255455350:1 gene:KYUSg_chr4.41309 transcript:KYUSt_chr4.41309 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGWNCQGMSKNLGSEKMMHLARMIYSGKPQTSTWDASVSTKAEVKTTITAGVLAVVEVVTEISYEFTADYNWGETKVKETKQNIVYDVVMPKMKKVTVSEIASQAKCDVPLSYTQKDALPTGEVRVTQLHDGIYTGMDTFNVQYKTKEEDLPAPQ >cds.KYUSt_chr2.3235 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19197907:19206968:1 gene:KYUSg_chr2.3235 transcript:KYUSt_chr2.3235 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHDGGGDDGRDGDGDGLLFAGVRFALAGFDPVTESQYRADMVERGGADAGGYGEAGCTHVIVFGLVYDDPVCVAARGAGKKVVTELWVDDTLDTGAMADADRVIYRPVRDLNGIPGSQSLNICLTGYQKDGREDIMKMVSLMGAQFSKPLKADTVTHLICYKFEGEKYELAKKVNINLVNHMWLEACLKAWEILPIDNYRKSGWEQEMMEAQVEDSADEADDAARVLSRSRGIARRSPITEIRMGAHVDPDVYAPIRDPTVSLGNAEVAAGRHLNTPKQVSKTEDVCERSLDARADIQSTHNTNFVTNSADTEARNSVHSRINPCSNEKAPGDHITRDEEKNGDKGPVDARASALPTINTNGATECADHFVHQPTVIPAIPVVDTDNIADGSDLFIANSVQLPTPSAESLLEKPLQSSDMTGKVDHKDNGPVANLVDGVGQSNSEGNIALVKANSISAGNSASKNSPILGYSRRRSRKSVSPGTNLSSAHQTASLHSYERNSPNVKISISPSTKSNHTISKLADAKSPRDEATQCVDRSDIVLAQTNSGLSSASPLPLNGGTDSAAGTANIPLPSREIASEAATVSDPAKKSTGSQPIKVNGDLSVDVTVNHTVSQMSGSSKKKVLSYRRASLKLAKSPEVVEKLPEIFAKEAIIESLAKAKELAQHELAAEKACAISPSLDSEFEKGSSSFSNQNWNIEMSNAPQVNSIEVAEVSHATMEAGAMKLSSSRVKSTGAKRSRNATNGAHTSFASRKREIATYKSRHNIGAVISHENIEADKEKDCTSPNAAECTTSFPEEILSSKARSVDTSSLNANSEVNGVPGASKLEFANLISQGNINKKPRRLPSSANDNHYQRGSSEKVSSSIERSAVANVSQPADMKMAMAGAPTADKADTVSLKSSFSEAAPQADTEKKMLSYRRASLKLAKSREVEKLPEIFAKDAIIESLDKAKELAQHEVAPEKTCAISPSMDSEFEKASSSFSLQNRNIEASNAPQVNGIEVAATGSHHDKEVSHATMEADSRKVWTSRVKSTSAKRSRNATNGAHTSFARRKRESATSESKHDIGAVISHENVEAEPEKDCTSLTAAECTTSFPEEIRSSKARSVAASSLNANSEMNGVPGASKLEFANLISQGNINKKHRKLPSSANDNNYQKGSSEKVSSAIEKSAVANVSQPADMRMVMVCAATADKADTVSLKSSFSEAVPQADTEKLSSSASADNHEPCAPDRVPNKRVRKAVAKRKISGALQYIPSEAEVLTIKQAAESSTNAGKAMGKDLQSANEDGMANQAASFCKDSFEDGSEDMQNIRPMTSKKNKVVDAMDDSVGQNKENIPVNVNLSPKSKYGNKCVGSKCMKKPVQKGKGVLCERSMTGGNDCGTLSLSEPTWFILGGHRLLRKDYMSILRRLKGRVCRSSHHWSFQATHLIAPELRRTEKFFAAAAAGRWILKADYLSACNEAGKFLEEESFEWHGDGLNSGDTISLDAPRKWRHLRERTGHGAFHGMKIIIYGECISPSLDTMKRALRAGDGTILATSPPYTRLLKHDVSFAVVSAGMASTDAWVQEFMNHNIPCVSADYLVEYVCKPGHPLSKHVLFNMHELAEKSLQKIQNSQRDELGASTGEAGEGGDTEPSCSACGSSNREGALMLICSGSQGNKASCGAGMHVDCLNLSPEAAAPDGDWLCPKCDDDGQVKPPPKKAEKVTDVLIVIPAIVNTYYVVPVLRLDVVWLPSFEPSAADLAAAAAEATAKAALWALAAALPSIRAVVPVTLELSTSNYLQWRGMFSDAVEKYALEDHLLEDAYPTDPPPQWVRNDAIVRSWLNSAVAPELLAMIVDTTTPSPRTRLVDAPLQHLPRQRGHPLLLPRARVPRSPTGLPDRG >cds.KYUSt_chr3.25352 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157350191:157351669:1 gene:KYUSg_chr3.25352 transcript:KYUSt_chr3.25352 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASMSVMAVVLMVLAAAQPARADRACYTRVFSFGDSITDTGNYRFVFTNDNGAHAPWPYGETYFHKATGRSSDGRLIVDFIADALGLPFVPPYWGGHSAEYFAGGANFAVGGATALSPEFLRENGVPLATDTVHLDMEMDWFRDLLKQPNLLCPNDFTDCTNMMEKSLFLVGEIGGNDYNEPLLSEMPIEMVRNLTPSVVAKISATITDLIGLGAKTLLVPGNLPIGCVPNYLMVFKSDKKEDYESETGCLRLMNEFSKYHNRFLLDELEKLRNLHSGVSIIYADYYGAAMEVYLSPKKFG >cds.KYUSt_chr1.2810 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16777999:16778574:1 gene:KYUSg_chr1.2810 transcript:KYUSt_chr1.2810 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIRSAGGALPPIRLAVERARQEVLRRELDGCQLLAGIWCHGFTVAELRSIRASLPPTARLVVAKNSDLAAAVEGTRWASLRPVARGMNAWLFVRSDEIPPALRPYRDFQKEWKLQLNDFTGAVYEGRLYGPDDFAKLEAMPTRVQSYQYLLGCLQMPAVSVLAALRARQEAMANPPADDAAPASELPEK >cds.KYUSt_chr5.15145 pep primary_assembly:MPB_Lper_Kyuss_1697:5:97869517:97879269:1 gene:KYUSg_chr5.15145 transcript:KYUSt_chr5.15145 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRHPTAPVEQVLEIPPEFDPDSAEFVSEISDYATEFKRRDVVEIPLEFDPDATELVSDKRHNVTKLPWEGMEIPLEFDPDSPELVSDITEYTSKLKQSHERARKLRADLAVEEQREQELSRVLKGIVTVPSLSETHKRRPKRKSSIERLRVSRHLAEEAMSYFEECVSISTLDSTDFSSLEEPHQNSVGTVPRKTNSRFLLKGGSSPADGHSYYENASTAFSLYKTPACVFFHLCLTPPTTLARPTTIDTEIMKRSPPAPPPPQPSPSSSSPACSPSPSSSSSPSSSDSSSIAIPRKRARTQKAASSKAKGAAKRPKRDASRSSKEPDAPSNGAAAAGKRSSIYRGVTRHRWTGRFEAHLWDKNCFTSIQNKKKGRQVYLGAYDTEEAAARAYDLAALKYWGPETILNFSVDDYAKERSEMEAVSREEYLAALRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTFDTQEEAAKAYDLAAIEYRGANAVTNFDISCYLDQPQLLAQLQQGPQVVPALQEELQHDLQSDNAVQELSSDEAQMPGAVDEPIAANDSTESINAPLVFDYSIEENLWSPCMDYELDSILGTNISNSMDLSEWFNDAAFEGNMGCLFEGSSNIDDCSSKHGADLSAFDFFKEGDDKQKDVSNMEREITPQANDVSCPPKMITVCN >cds.KYUSt_chr6.20754 pep primary_assembly:MPB_Lper_Kyuss_1697:6:130810905:130813259:-1 gene:KYUSg_chr6.20754 transcript:KYUSt_chr6.20754 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQAKKNGDGGIGAALAGFVSSNQDLGPIVRHAFESGKPDTLFHNLRGIAKSKEVEIEELCRLHYEEFILAVDELRGVLVDADELKGVLSGENLRLQEVASALLLKLDELLESYAANKNLGEALATLKMCLRVTSLCQVCNMNIAEGKFHTALKTLELIEKEYLKNIPLKLLKKVIEKKIPMMKLYIEKKVSAEFNEWLVYIRKFAMVIGQASIRQATLDRQKDEGMRARQREAEESSRVGFDEHAYALDVEYIDDESTLEFDLTPVYRAHNIHTCLGLGDKFREYYCSNRLMQLNLDMQISTAQPFLESHQHFLAQVAGFFIVEDRVLRTAEGLLSESQVETMWEASISKVTSILEEQFTRMDAANHHLLVKDYVSLLGATMKKYGYQATSLLEVLEKNRDKYHELLVSDCRKQIQGVFAKESYERMVIEKEHEYNMNVATLQLEPIHVVPVLPYVAPFSSSVPGSCRIVCSFIEDLVSYLSYGVMVNSYDVVERYLDKLLIEVLNDCLLKLIHSGSLETAQLVQIAGNIAILEQSCDTFLLHAAQLCGLPKRLLEKPHSGLTARAVLKASQNAAFNGLITSTNSKIDEFMLLLTSINWTAEEAPEHANDYMNEVLIYLDMVVSTAQPVLPRGALFKVVSGALSHISDSIVTVLLSDRVKRLSVNAVAGIDIDLRLLEEFAEDRFRSTGLLDLRNETSFKDCLVEIRQLTNLLLSNKPESFMNAVIREKNYDSLDHKKVTIICDKFRDAPDSLFGSLSSRNMVQNARKKSLDVLKRRLKDFS >cds.KYUSt_chr3.35352 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221991626:221998696:1 gene:KYUSg_chr3.35352 transcript:KYUSt_chr3.35352 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDKDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGKLAAVLQTSALGGPTLSTRIEACVDIKLFSGAVVGDVRFLQMAEPRPQVEFSADLEKWVLRSSRASVFFIMSTPKQRAALEARMNFANVLPDAERADEFLKHRAATGFVVDDGEDGIKILTCAHLIDHVFTARKPISAEDANKLFQFRVVCDHAEVHFSQRRGRARAYSTATVIDIDCSKDMLLLNIASDKVYSSVSAGGKATTCTSTHPSIHSALIPPQPEAFDIGVMVSWPSEKHLTSVRGQISHCSRTIKVMPGENENGYNMKLYEVDIRSQRGASGSPLLNGQAEFTGLLHGGDVQFSYFVSLDDILEFLERNGITRV >cds.KYUSt_chr1.474 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2539977:2542645:-1 gene:KYUSg_chr1.474 transcript:KYUSt_chr1.474 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGSIAFFSTYRPPVPLDIFSSTIPGSPAGKELLLTDGVSECGATPDDADKGRVTGLIFVSERDNGLETLHVALRTNNQVKVFRLADIYGADTFGGVRMEDSGCIAGGFKVGPRSVGHSLVYVSTKEPAKTRRTPWTVVYKTNLADGKTQRLTPQGQYDLSPAVSPSGTMVAVASFADSKWHGEIENLKTNILVMNVDGDLGRRLVIKDGGWPTWGSDSVIFFHRGVDMTLPDGTVQTAWGVFRYDMTTRVTVRVTPAAFNCMTPAAISSTKVAVATIRERSGFGDVRKEAQYRQIEIYDTAMPGQVIEVTRRGTNPKADHYNPFVDDGGKRIGYHRCRTSQAPDDPTRRVDKLQSPAGKDVGLFRVSGVFPTVSKDGTKLAFVDNEFSAVWLVDKLGLRKVHETGGPDRIFSPVWNQNLLLDSLYVCMGPSFHPDNALEICNIPRASDTGSVHGILQLTQGGFNNAFPSSNPQGNKFVFRSTRDGGPNKHKNLYIMDNSVVGANGGGKLTRLTNGPWTDTHCQWSPSGDWIVFSSTRDKPATAPPKDFGLDPGYFAVYLVKANDPTVVVRVMRSGSDLSGHVNHPVFSPDCRSIVVTSDLAAVSVDPISLPLFVHSVRPYGDIFLIDIDNKDITKNKDVQSYKRITHSRYENSTPCWTVLSPDDPRAPWTTMAGKGPAAAFRPGCPYAESFKMTGHLIVPKRCC >cds.KYUSt_chr4.47609 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294689993:294690444:1 gene:KYUSg_chr4.47609 transcript:KYUSt_chr4.47609 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAWLNIGIYEAGIIPIVYQQVKCWRTGGLRFTILGFNYFELVLVTNVAGSGSIKSISVKGTNTGWTQMSRNWGVIWQGMSGLAGQALSFSISSTHRLPERHPSGVDIRPDVSHMAAVRLLRD >cds.KYUSt_chr3.41652 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262847271:262850954:1 gene:KYUSg_chr3.41652 transcript:KYUSt_chr3.41652 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAPRFHTALPRLVLLRPQKPLPCWRRAARPDDQDLYVDDDIGDGFSFSGGKYAEAEGPSKSDEWFAQGRMVKAHALYGSKEKAKDPFFGLTMGKGSQSSDDVFSWFCVETGSSSNPTVLLIHGFPSQAYSYRKVLPVLSDKYRSIAFDWLGFGFSDKPQPKYGFDYTLDEYTSALESLIDAVAPGKLSIVVQGYFAPIVVKYANEHQDKLNHLILVNPPITDRHAKLPSTLACFSNFLLGEIFSQDPLRASDKALTSSGPYMMKEEDATVYRRPYLVSGSAGFALNAITRGLGKDLKDTMHRRIVVKS >cds.KYUSt_chr7.40273 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250060531:250061741:-1 gene:KYUSg_chr7.40273 transcript:KYUSt_chr7.40273 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAAGDAKLLIQSLGKAYAATPTNLKAIYIIDLYVVFAVASALVQVFYMGIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLAPERAFADFVLCNLVLHLVIMNFLG >cds.KYUSt_chr4.39311 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242563398:242565886:1 gene:KYUSg_chr4.39311 transcript:KYUSt_chr4.39311 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTMGPAPTAANGAVGCPASAHGAPIMSAAPAAGEASLGRHLARRLVQVGVGDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGVPDFSQELRCFQTITCYQAVVTNLDDAHEQIDTAIATALRESKPVYISISCNLPGLLHPTFTPDPVPLFLAPKMSNKMGLEAAVEATVHFLNKAVKPVLVAGPKLRVAKAAKAFGDLVDSSGYAYAIMPSAKGLVPETHPHFIGTYWGAVSTAFCAEIVESADAYIFAGPIFNDYSSVGYSFLLKKDKAIIVQPDRVTVGNGPAFGCIMMKEYLSELAKRVQKNTTAYENYKRIFVPEGHPLKGEANEALRVNVLFKHIQNMLTSDNAVLAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGANDKRVIACIGDGSFQVTAQDVSTMLRCEQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTAKVKCEEELTAAIETALGEKKDSFCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >cds.KYUSt_chr6.444 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2797122:2808742:-1 gene:KYUSg_chr6.444 transcript:KYUSt_chr6.444 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPPPPFFTGAAASDGPELLHVVSTAKKISAVFLLWCAASPTGRRRGPRLSATPATIGMRSGLLMPPPVTTDVGRSQSSTDGRFSCSAVPASASIDFGMFDKHGFISPIGESAPAGTREPSPSPQDADSFGKIAPPGEGAAAASATGPGATPYKDFGMFDKHGFIYVPTSIGESAPGTGEASTGPPGEGNAAANATSSGAAPVPTPDTDFGMFDKHGFIYVPSSIGESAPGTGEGLSSPQESDSSDKIEEGAAADNATSAAATKAAATPIPSPYKGEDAHWRPRKKSTKGVARFKLVKDKRPTPAKVGKTPVTKDPGESSVGGVADHKSTKRKLDVDAIEVITGSFNRARLVENLMRLANMPDGVMKKKKKKKTSAGEQAIVPYAAADMSCSALVPVGTPGQLAMVRHANHGKKVRAKVVGLDAETLRVHGVLAKWDEAASESFEGLDIGSGPEWDEVRRKYKLLVDWFISVVKDLFGSRKFSQWGGSVLDSVVGTFLTQNVADNLSSNAFMTLAAKFPMDKRKDNAEECSYEPPLTDDVLNCNEASSAANVNSLFSKPADCEKVGCTDEVKGQYGEDYKTIMENFLTIIQEKDVSTWEKDDLLNLVKSKSGKEICTERTLRKFIASLRLEDTAHWDKLRVEACIEGYDSKSKTRVPDKVDWEAVQKASLVDIAKCIAGRGQHYLLALRIQAFLTRIKKDHGSFDLDWLRCVPRESAKTAGRQPTSSCEPIIEVPPSPEYEYEALDEQGYPSEDDLVDIEDIMSGVGYDVEINLCSNKPMGSNGSWTPSCGKGLALNDSRYTQRKLKNIGHLRTEHHAYVLPDDHAILEEFEDRIPEDPCHYLLVVIPCPDDHMVKGTVLIPCRTASEGNFPLNGTYFQEHEVFADHASSCFPITIPRECIWELERRIVYFGSSIHSITKGQPRQDIEDCFKRGHVCVRGFDRQTRYPRRLCTTLHSIAGEKKESSSEQKESASSK >cds.KYUSt_chr1.2077 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12013704:12015029:-1 gene:KYUSg_chr1.2077 transcript:KYUSt_chr1.2077 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGAAIAGSKCDAAGPGKLLPELLANIHDRLEFLDRIAFATVFAASGDDVLSFPTPWLLLPCDKDKHPATATLFSLADRRAATVRAPDPALRDYVVLGSSHGWLATGDNQGQIHLVNPATGEQHALPDIATMGVFVPGDDSRGYFSVLVKRFLTARFGGGPPFGDNCWGAEGHGGITYTGGDDMRTRFYRKVVLSIGRRPGPARHEAMLIVSDIFGAPAFAAVEDGGAWRVARSRDGVEDAIHHDGRFHSVSYSGVVEAWERDAGSGAYTGTPVAPRLLATVGEGMSSHKYLAAAPDGRLMVVVKCWTKERGFLWNKSWTCSFQVHVLGDDGQWKETRDIGDAALFVGLNNSLCVPKMRRPEIEAGCVYYTDDQLWEAAVRKRNKDFKHGDDDHDYVDIRPAGVYSLKDGTVKKIDALRPQLYRGFTPTPPVWITPSIP >cds.KYUSt_chr6.30555 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193557976:193562878:-1 gene:KYUSg_chr6.30555 transcript:KYUSt_chr6.30555 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRRRQQRDCSDAPPTMHPRNRYASSAPDFAALAALYPSFAPFVSVSASAGGGRASVDFTDFAATRELTRVLLLHDHGVNWYAPEPLTRARLLLLLSRLLLFGSMELAELFVSLRWIPDGQLCPTVPNRSNYIHWIEDLLSSDLIPRISNSSETVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAKKNVESNPHLAGLIEIRNANEVPCSSKSETVAEEAVGESTSEPVENVVRSIPPILVGVVKDSEIFDFCMCNPPFFESMEEAGLNPKTSCAGTAEEMACPGGEQAFITLIIEDSASLKNSFRWFTSMVGRKANLKLLVSKARAVGASVVKTTEFVQGQTARWGLAWSFIAPRKMVVRSSIPGKVHYSFMLQGLRRGNGAFQVLKSAEAFFCASNLSCKTDSLSFSIDVTLSDEQTEAAILDGDDLPGSIEDNSTKLHTGASFRISMPGTLLIRGSLLNKSLSGTFSSFFSQLEETLKLEFVSLENDWTTRFENLALFLPDSAATSTPLFCDSVCDAFGNTSRLAAVRVLSFLSDVFRRHCIFDGMAADSEKHLLVIGYVHFRLLLLPI >cds.KYUSt_chr1.20519 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121058761:121061069:-1 gene:KYUSg_chr1.20519 transcript:KYUSt_chr1.20519 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAAHLHRDFFLSPLPYHLAELRIDPPHSAVAFSAPAAAAGGRKRRCLVPAVSPRKKMLLELHPFDSSPTPTPPPSPRRSPTTAPPLLSRRGSPASDFSFPSARPWIGGGGGGNIFAFFEDTPTTPSPTGSNVSTLSFMASSGQPTTPTTGVVTPVASPKKPTQGAGPTANGGFIFTASPEQPLTPASSTAGGGFASLSSEPSLSPREYQSGRAEASLLSPKHARTGSTDSGGLAFFPSPGPAIGHTGSPAFVFSASQTSAPPVRKSGGGSSKKRPRRQHGIVSAPRRNLLQWDVPQQAIQPTQKVVKTNALVTGEASRSSILSDSSTRPCCKFFTSPAKASKQSQEARKVSSEESHSTSSCGSLAGSRSAYVPSPAKQPSAEKTSKQDQEVEVSSVARERRTHAEPVACTGAVVMVSVTCTCGVRKEFCFDHCH >cds.KYUSt_scaffold_1259.499 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3384094:3385020:-1 gene:KYUSg_scaffold_1259.499 transcript:KYUSt_scaffold_1259.499 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAADNGFPRRSLHAWEGHLLHQAGYPCPPETRPPGGGWRLSAGGVPIPPPPRGHALDVTIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRRWERELASYDGPPPPPPRNNATGRRRWWSAPGRTLEAVLDHIEGGNFPVLTMPPPSRALASRRRGNVWQPRRMAASSSSSGSAPRSSLAPVKREEATSPSTPVRVKKEPASPPPTRGRSSGALVIRDQPSQPGRKRKAAKKEDAAAATNAAANRLAEEEARRAEEAAVAEAIARSLTDLVPADNALPEDAAPAWSERGPGSARRRSSSGG >cds.KYUSt_chr7.27210 pep primary_assembly:MPB_Lper_Kyuss_1697:7:170067176:170070530:-1 gene:KYUSg_chr7.27210 transcript:KYUSt_chr7.27210 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHFILLCFLFLGTPASSASTTSLATNATADGSPARQSSGSGNVTTFSFSGFHPDLRGANLTVVGDASINQGALQITPDTGNNAANFLANKSGRILYSDPFRLWRAEKGAGGGKKLASFSAVFTVNVYRFNGTEPAEGFAFLIAPSADAPPPGSYGGFLGLTNAATDGNGANQIVAVELDTEKQPYDQDDNHVGLNVNSVVSVANASLTPLGIEISPIGTAKYNLWIDYDGAARRIAVYMADVSKPKPASPVLASDLDLGATVAEKSYFGFAASTGRKYQLNCVLAWNMTVENIPWEEPGKSKSGLVLGLAVGVPVAVFALAAAAAFGYYYLCVVKRRKVDRDEGGSGSVITGTMIRSLAGGPREFDYRELRKATSNFDERMKLGQGGYGVVYRGVVVEDHNNPAAAGTTVEVAVKKFSRASTQGQNDFLSELSIINRLRHKHLVRLVGWSHDDGELLLVYEYMPNGSLDQHLFSSAAGSRQRQPLGWELRYSIVQGVASALHYLHDQYDQRVVHRDLKASNIMLDAAFTARLGDFGLARAIETDKTSYMEEVAGGVHGTVGYIAPECFHTEKATRESDVYAFGAVILETVCGRRPRCDIDGFHFLVDWVWRLHRDGRALEAVDPSLDGAYDEDDAERLLMLGLACSHPTPAERPKAQAISQILLRAMPTPHVPPFKPSFVWPATDGGFDTMSTTAGTTSSQVSTVMTSSSAGSGNFTRGSQSHAPPAPEQDTSGSLV >cds.KYUSt_chr6.12595 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78696410:78699680:1 gene:KYUSg_chr6.12595 transcript:KYUSt_chr6.12595 gene_biotype:protein_coding transcript_biotype:protein_coding MRELVAAGRADPVQDGRNPWQGRFEELVHHCLGLEMQERKDLLQVLPESGARERSSALTLQRCLLDRHNFASYHLLVPLIFPQMIDMAHAADSRAKIFLSLVILHGQISDMNVQRQKVVCMERCRKGDEDVSSMKTYFIDAWNLRRC >cds.KYUSt_chr1.42845 pep primary_assembly:MPB_Lper_Kyuss_1697:1:262117198:262118289:-1 gene:KYUSg_chr1.42845 transcript:KYUSt_chr1.42845 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAEVISVITNDGRNIVGTLRGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGEVDEDLDAALDMSKLRAQPLKPVIH >cds.KYUSt_chr7.34468 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215209694:215222272:1 gene:KYUSg_chr7.34468 transcript:KYUSt_chr7.34468 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSSSLPLAAVAMSKPSPSPSPSPSPSPPPNPLAAASSFLHHHLSNLASRFAVPRPALAAARPPGPQGASHSQSQSLALAPDEVARALIGTPVFTVCNSSNEFVLVSDPATGLRSLGLLCFRSEDADSLLSHVRKRQPMLGKGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALELKSGLTGFDGVPVFQSDLLVLKKQKKRYCPIYFQKEDIERELRKTSKASKGSALSKQIMVGSLEDVLKKMEINDRNSGWDDLIFIPPGKSLNQHINEVDRNNKNLPESTICEVIILLITKRKDLVNCPFHGVVTMHLVGPLRLEALASLLVLPRLAVADHAAVNDWSILRPQVTKLKIELLVGTMEPCYDRQPTYKPIIATVDISGHLLLQKTEQSTRVPFLCMVPKATMEGARDPPVAGKRRRGAFTVVFLAALPLLLVLFLFGDRAASIAADTQLWSQVTQQSPRRSASSSRDRLLGGLLSPDFDEPATCRSRYEGSKRWKPSPFPVSSYLARKLRQYEANHRRCGPGTARYREARAQLHSGRNGDRGECRYVVWLPIQGLGNRMLSLVSTFLYALLTGRVLLVHEPPEMVSLFCEPFPGTSWLLPPSGFPNMNRGFWSSCPESYVSMLESGVVGYDNDGGGENGSALPPYVYLHLENMNLRLRNHTFCEEDHLALDRFNWMVLRSDSYFAVALFLVPTYRDELGRMFPAKGSVFHHLGRYLLHPGNRAWGVVQRFFDGYLAGADERLGVQVRVVPQSGVPFEAMYERIVRCTRENHLLPQVTDEPAAAAALPANSTARAKTTAVLVVSLKPEYYEKLHGTYYANATASGEVVTVYQPSHDGEQHTEARAHNERALAEIFLLSYSDGMVTTGWSTFGYVAHALAGLRPWLLVPLDWSGRGADVACVRPASVEPCLHSPPSLVCRGGKDLDPVAHLPFLQHCEDVGFGLKLVD >cds.KYUSt_chr4.12765 pep primary_assembly:MPB_Lper_Kyuss_1697:4:78620555:78624864:1 gene:KYUSg_chr4.12765 transcript:KYUSt_chr4.12765 gene_biotype:protein_coding transcript_biotype:protein_coding MRAESVAELVLVVLALAAVVAHEVEAQVDAQAREWARFAFSCSEADHLVGGSRGGRVDSHWSSTDVQAFSVRGYLFSGWRTETYLRRNPSKEIFSELDEINAQGPILPRSFQKTEESTKWGHEAARLQGGAA >cds.KYUSt_chr1.34809 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212034656:212038042:1 gene:KYUSg_chr1.34809 transcript:KYUSt_chr1.34809 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSDPLLASADGMAGEGGDDDVALAAVDHRGRPASRASTGRWRSALFIIAVEIAERFSFYGVSANLISYLTGPLGEELAKAASAINLWNGVAQLVPLLGAALADSWLGRYRMILLASLLYILGLAMLAFSTLLSSGGHKCGTAGNTPCPPPSGLQMAAFYTSLYMVALAQGGHKPCVQAFGADQFDETHPKEAVSRSSFFNWWYFGICAGTAVTLIFLSYVQDNIGWGLGFGIPCVVMAFALAVFLLGTRTYRYYVSVGKKRSLFARAGEAFAAWRSSRRKSSSSPLAAPESSARVDEEQQEVVASNADLIEEAKSVLLLFPIWATCLIYAVAFSQSSTFFTKQAATLDRSVGHGLQVPPAALQTFISVTIVVLMPFYDRALVPLARRYTGLPSGITMLQRIGIGMVLSLVSMVVAALVEARRLRVAADAGLTDLPNVPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKMRSLGLSLYLSIFGIGSFISSGLVSGIDKATSARGHSWFSNNLNRGHLDYFYWLIAGLSALELLVYVFFAVIFKYKKKTSAIATTAD >cds.KYUSt_chr3.41291 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260529042:260529467:1 gene:KYUSg_chr3.41291 transcript:KYUSt_chr3.41291 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIGPCALLVLLVACSALAASAAREEPSGARQLWGGDGWRGRKVGARTEVRDVEENREVQDLGRYSVAEHNRRQREEEGDVRGRLEFDRVVSAQRQVVAGIKYYLRVAAADDRVFDAEVVVKPWLQSRALLRFAPADVE >cds.KYUSt_chr4.34214 pep primary_assembly:MPB_Lper_Kyuss_1697:4:209973745:209977055:1 gene:KYUSg_chr4.34214 transcript:KYUSt_chr4.34214 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVNVDGLTNAKDDGVADKPSEAVTAPSVAVSTVVDLAEEDGREDEPLIQAAECRICQEEDSIKNLEKPCTCNGSLKYAHRACVQRWCNEKGDITCEICHEQYKPGYTAPPRVQPDETTIDISGDWTITGAPLDLRDPRILAVAAAQRRLLEAEYEEYGGNDANGAAFCRSAALILMALLLLRHALSISDNEGDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQREEASAIAAAEVAFIMQSAQGRALQFTIAPDSPTTPQHEPHQQQQPQQ >cds.KYUSt_chr5.39506 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250030385:250035765:1 gene:KYUSg_chr5.39506 transcript:KYUSt_chr5.39506 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVEYVEKAKTFSVSANNENKPCLYVFFKRATISPVNTDTITPPPNGSLAAGLPSPRPIADNVVLQWLHLANTSATIEFNGWIYQIDLTFQRPLGRTTEGQLWDGLLEKGNIKKSVTFKSIERSAKPMKPPMRTYTKIQKFGSVERSIDVTRYRDYRELRSAITSMFGLQGKLEHPASSDWKLVHVDYENDVLLIGDDPWEGIYHCLCRASFLEGNQIENRQFPLSQDEQTLKNPKQQHALDAYLQCDEI >cds.KYUSt_chr3.25761 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159998852:159999760:-1 gene:KYUSg_chr3.25761 transcript:KYUSt_chr3.25761 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTVRILWDDPDVTDSSSEEEEARGARRVGRMVRELPPAPTPLVTAGLPELCSAGDDDRSSRRPGPGHGVCNAGAARKRAANKGAQSAKFRGVRRRPWGKYAAEIRDPWRGVRVWLGTFDTAEEAARVYDAAAVQLRGAGATTNFSASATDSTESAQEEDPPLPAGGYESGAESSQAASSPTSVLRKVPSMSSLAEDRAYDSEPCHGDAPTRGLAALEELGEFVPFEHAPVYSSGTGFWDFEPQAGLLYAEPSSSSAEEASWDAGEPWAAPPPAGVPENDYFFQDLRDLFPLSNLPAIF >cds.KYUSt_chr1.20964 pep primary_assembly:MPB_Lper_Kyuss_1697:1:123850058:123852025:-1 gene:KYUSg_chr1.20964 transcript:KYUSt_chr1.20964 gene_biotype:protein_coding transcript_biotype:protein_coding MHYDSQGRPDNQTIAVGGWWRQYRLLGGQEYRGNAFLLKLAEEHPKNLAYLTAPLRDPIREEANAGTHTTYASLTTTKKNQHEQNDMHDMAKMMRCNLSILSGIGNPDKQLLDDGQTDDELDSARDDGDGGGVVVEDVPELLLLRGLSEEEEGTTAAPSPCSAQRTAANNNGKDGNLRQFSLMLRMKTEIFTGIVLCDDATEVEERNGGG >cds.KYUSt_chr1.30162 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182562741:182564201:1 gene:KYUSg_chr1.30162 transcript:KYUSt_chr1.30162 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGEPRMVMVVMVHTMHTLQLLPDTQLWVYQHCMIILDLLMEDPKRSGHRGFGFVTFAEDGVADRVARRSHEILGQEVAVDSAEPVEGGGSRGGSYMEPPGPYGAYGPMLSYGQFSGSLGYDYGYGPSGGSGSSTSSVAVWFASSSLLLMVFMQMICRAAVVLKDRELAGSWIVYHTN >cds.KYUSt_scaffold_1259.496 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3360854:3361941:1 gene:KYUSg_scaffold_1259.496 transcript:KYUSt_scaffold_1259.496 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQQPPVGAPPPQGYPGKDSYPPQQGYPPAGYPPPAQAYPAQGYPPQQGYPPQQGYPPQQGYPQQGYPPPYAQQPPRQQGSSGPSFMEGCLAALCCCCLLDACF >cds.KYUSt_chr3.26716 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166554847:166570941:1 gene:KYUSg_chr3.26716 transcript:KYUSt_chr3.26716 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATAGDGDGAPPEERTPPAPSPPPPPAPAAVPAAPAPASASASGGGGGPSGSGEKTAKRMMKTPYQLDVLEQTYQGAPPLPMLRNVFHVSPPSKLTDHGAHREDFIPVSVSLAAAEQYPTEAMRAELSVKIGLSDRQLQMWFCHRRLKDRKPPAKKQRRDDDVPAAAPLPVSPVLAPLHAMPLASSDHMMGSPYDEPLHPAHMRRGPGRSSAVPRISGPDIGRRYYEPLPVMLAPPVPAMQYRQDELRIITSVESQLGEPMREDGPVLGSDFDPLPPGAFGAPIVPEQQKQPVRSYDAKIFSRNDPNLLKVSSFLPSMEHPFVPNSFPGKRKTTVANPPQVHPHGGSRAVHEYQFLPEQPSDTYDRASRSHYYDTPVEASNSRVSSLNPGSHLVHGSEEMAPGYTFEGQGLLPQSGRPQVFPAVPTDYEANQSNSNLNSVPIDGQFGISEVAGFEDPLVSSETRAYHDEDASRVDRKRKHNEEAKIAKEVEAHERRIRKELEKQDILRRKREEQMRKEMERNDRERKKEEERFLRERQREEERLQREQRREHERMEKFLQKQSRRAEKQRQKEELRKEKEVARQKAADERATARRIAREYMELVEDERLELMELAAQSKGLHSMLCLDSDTLQQLDSFRGMLSQFPPETVRLKVPFSIKPWTGSEDCVGRLLMVWKFLITFADVLGLSSVTLDEFVQSLHDYDSRLLGEFHVALLKSIIKDIEDVSRTPSVALGVNPGGGHPQIVEGAYSWGFNIRNWQRHLNLLTWPEILRQFALSAGFGPQLKKRNAEDVFYRDENEGRDSENVISTLRNGSAAVRAAALMKERGYTHRRSRHRLTPGTVKFAAFHVLSLEDSSGLTILDVAEKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSEAVLSAAREKIRAFQNVLSDSEAEKEVDDVDRDDDSECDDDPDGDDVNIDVVDEKDPILAVKAQDVVATATEVGDIKGKIDNLDTALTQPISLIAPGKGAGMLSLGNSSAAGTSSVSPLRASSDHHEVVTGDAEDTEIDESNQGESWVQGLSEGDYCDLSVEERLNALVALVGVATEGNSIRAVLEERLEAANAIKKQMWADAQLDKRRSKEDFAGKMQYNSYTTSKADVPEHNATETTPTPVRNLDIDNDGNVGAINNSEMVNQHSQSNAGNLPYERNGVGQDMSATPDVLSAQQYAYADKTRSQLKSYIGHRAEQLYVYRSLPLGQDRRRNRYWQFSTSASPNDPGSGRIFFESKDGHWRVIDSEEVFDSLVASLDTRGSREAQLHSMLQRVESTFKEGIKRKRSTAIEQSNGRHLKNGATDMVRANYRSEFGSPSSSLSSVSADSATAYSDSFKIELGRNDVEKIAISKRADGFLKWMWRECFNRQQTCAMKYGKKRCSALMCSCDYCYQIYLTEERHCSSCHKTFKSIHNFSEHTSQCEERRRTDPNWKMQIADYSVPIGMRLLKLQLVTIEASVPSEALQPFWSDGYRKSWAVKLHSTTSVEEIFQMLTLLEGAIRRDYLSSDFETSNELLNSKTQDMPSQSPFGLSGISVLPWVPDTIAAVTLRMLDLDYAVSYIKNEKKERDGGDSVKLPSRYSVVKKTQDIEPLEATGFDLYDARCPPSSGRRGRGRGSRGGSRGGRGRSRGGRIPRGTSSSSRIEFTDDNGSYEKAPKKNARRGRGRGRGRGRGRRTVRPRQPSSEGRGRSIPKANLLGSFHMLSNVKPSTVEESPRSSGAEEWGLENRRPYIDRGENSSGSQSDQSEDNEENGQPMDEEYDDQPPAGYSRAYSAGSRPHGMMDDETEEDDEEAEGDAESDDDDVDEDELNHAAADVDDEMDEDDDLGDDGDDGGDGVETNADEDATSYSSEYSE >cds.KYUSt_chr7.41187 pep primary_assembly:MPB_Lper_Kyuss_1697:7:255189820:255195855:1 gene:KYUSg_chr7.41187 transcript:KYUSt_chr7.41187 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSGGGSGSGGGISSPAPRAMKRELAFALQSLSEITASPGRTRSGRSLSSPAPSAQAAAKRRKTRSEPPSKDAEEPADLVSPPTPPLDVEAPKAASHDAAEAPKAAGHDAAEALPLDADAPKASHNAGDPPALDAQPPPKANHHDDLVMVDVEAHHDADLMDACALAPPAEKKKEEGSIPVVSPQHLKDGSHAPGEDADSTTQQPGEPAKQEAGSNGPNAAAAPPLAMPDVKECAVLGLDARPALQESTAVTGDIHRDNLMDACHGSNGPSILDNVLADPPSAAEEAATPASTAGLKLARRFTRSLLGNKLDKEAAASESQDSEGKKDSSTDLAQTPGRRFTRSLLKPKVEARPTSNLGVSEEPADSTSHTPPSVKKMEMKMSKKVDCFTKHPTNVRELLSTGLLEGMPVMYIIPHSKLQKTVLNGVITGYVIRCFCVKCNGSKAISTYLFEQHAGSNKKHPADHIYLANGNSLRDVIRACESSPLESLNKTIQSSIDPMSIRSRMNCLNCNGHLPSSQTEHFLCHCCLESNQPQDPTSPYTCNKSNSRDTGLHKLVFKVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNKVVSPSAFEAHAGEGSRRKPYDNIFTSNGVSLHELSMKISKDMELSERETDDLCRECGLGGDIFACKMCPRSFHLACVGLSEVPSEWFCDNCTILVQKEKALAANKNAKAAGRQAGVDSIEQIMKRAIRIVPISDDLGGCALCKKKDFNNAVFDERTVILCDQCEKEYHVGCLRSQWQVDLKELPEGEWFCCSRCSEIRSSLDKIISIGAQPLSESDIDIIRKKHESRSLIMDNDAEIRWQLLAGRSATEDGNLLLSSAVPIIHQSFDPIVEAHTGRDLIPEMVNGRRPKDGMPGQDYSGMYCAVLLSGSTVVSAALLRVMGGDVAELPLVATSMDLQGLGYFQVLFSCLERMLTSLKIKHFMLPAAHEAESIWMNKFGFSRIPQEELEAYLNGAHLTVFHGTANLYKAVPLS >cds.KYUSt_contig_1948.74 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:447462:448220:1 gene:KYUSg_contig_1948.74 transcript:KYUSt_contig_1948.74 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKVSISILVMALPVLYVSVLHVPPSTLFRDTTFWFLMSNSIIVVIAADSGMLFFGSSSPAAYVDDRPFLASGDASTVVPVEELLPVVIMNDDQGLVVARDVVHGDTVVAMDAHALVARGDHGVVKPERQEMTPVEDGVTVKARLTASRSLAREERAARRRRSRSHSHALAAAVPEDPVVEDKSVVVVREEKLRRTATEVRRRPTAAAAEEEEESEFYARLSDEELNRRVEDFITRFNREIRMQVEKELQA >cds.KYUSt_chr4.6159 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36166797:36170364:1 gene:KYUSg_chr4.6159 transcript:KYUSt_chr4.6159 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGFLSCFMHVGLALVLLAYLPVAFVCRLVYRLLVRPFVSGEDLRGKVVLVTGASSGIGEHLVYEYARKGACVALVARTEIALRAVAKTARDLGAPDTLVVPADITNVDEAKRAVEETVAHFGKLNHLVANAGVWSSCFFEEITNITAFHGVIDLNFWGAVYPTYFALPYLKASRGNIVVTSSIAGRVPVARMSFYNASKGAVIRFYETLRSELGSHVRITILMPGYVESNLTMGKGIQKDGNVGIDEEARDINVGPFPVGKTETLAEVVVASVRRGDDYVTWPGWYWPFHMMMCAAPEVVDWFSRAFYVSKSGDKDGDTLSKKVLMAFGGKKSSLYQPETIRS >cds.KYUSt_chr4.9156 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55213364:55214161:1 gene:KYUSg_chr4.9156 transcript:KYUSt_chr4.9156 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGNSKPAPLPLDGRVALVTGGSRGIGREVSSHLAALGARVVINYASDSAKASALATGLNSRGLDGGVRAVAFQADVSDPASVRALFDHAEAAFGSPPHIVVASAGLLNAKYPALADTTVEDFDAMFAVNVRGTFLVCREAANRMPPSSGGRIVTFSSSIMGTLLPGYAAYTATNGAVEAMTRILAKEVAAKGVTANVVAPGPVRTELFMAGKDEAFVRSVEARSMGRLAETTDVAPVVAFLASNAAGWVNGQVIRVNGGFA >cds.KYUSt_chr6.4529 pep primary_assembly:MPB_Lper_Kyuss_1697:6:26337169:26339784:1 gene:KYUSg_chr6.4529 transcript:KYUSt_chr6.4529 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGNAIAECDELRRRLWPQKNKPRTRRLFARFPEVLELDDEDFEEFEADFQAFEADCRDSDFDFGAEDDSDDEVVEITPPSVKPSISRDGLSTMTTAGFDDPATGSLKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNSAEEAARAYDVEARKIRGNKAKVNFPEEPIVAQKCRPAALKVRKSREVQIPAVVPAVNNIAHTNQPPAQPDNVPFVPATNSAAPVEAPVMNVYSDQGSNSFGCSDLRTPESALVQSNTYSAVVTPVMQNNADDLTDGLGDLEPYLRILMDDVVDEPIDSLLNLDGPQDFLGNMDLWSFDDMLIPGEFY >cds.KYUSt_chr7.8636 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52355135:52355473:1 gene:KYUSg_chr7.8636 transcript:KYUSt_chr7.8636 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKELAAKADAALTNRGGGKAGQKDRLGQEKGGHAKMECPLCKVTAPDVKSMQIHHEARHPKIPFDPEKINNLHGSTAAAPEAAASSSKPKPGVRGSLKKTG >cds.KYUSt_contig_815.245 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1495501:1510245:1 gene:KYUSg_contig_815.245 transcript:KYUSt_contig_815.245 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVRQRQRSMFIIVGDKSRDQIVNLNYMLAKSRVKSRPSVLWCYRNKLEISSHRKKRAKQLKKLMQRGLMDPEKADPFSLFLETSDITYCQYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLSSLSSLTSLYTMVMDVHERFRTESHTTAATRFNERFLLSIASCKACIVMDDELNILPISSHMKFIQPVTNNEDSEGLSERERELKDLKDQFREDFPVGPLIGKCCTMDQGKAVINFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAVAAGYSNIFVTAPSPENLNTLFDFVCKGMNALEYKEHLHYDVVKSADPNLRKATIQINVHKQHRQTIQYMKPHDHGKLSQVELLVIDEAAAIPLPIVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQTQPSASSDAPNSSRLFKKIELNESIRYASGDPIETWLNELLCLDLANSIPNISRLPHPKECELYYVNRDTLFSYHKESEVFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGKVNESENQLPDILCVIQVCLEGQISRKSAIRSLNEGHAPSGDQIPWKFCEQFQDNLFPSLSGARIVRIAVHPSALRLGYGSVAVQRLASYYRGKMSVFKDEEEVEEPGVKISETAEKASLLEECIKPRANLPPLLVNLEDRRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIPSAVTGEHTCMAMSPLNSDDIKVGGDSIELGFLEPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDFSHHEPSEYDISLTSKLLRDVLSPHDMKRLEAYSNNLVDYHLILDLVPILAHEYFSEKLPVTLHGAQASVLFCMGLQDKDISATKEELGIEREQVLSNFIKTMKKLYGYLHNTAGKEIEATLPRLKEIEMAPLSRSMDEDLDEAAEEVKEKRRAAEEATVDPKLLQKYAIDSDAFEIEKALQDGKLSGSGVISVKSSKTNADKKEKQREKSKRKETDVKNRLSMQLMDVVTAYFYGSLDSDIYMKVPDGISVPDASAKRNMYCVKLNKSLYGLKQSGRMWYNRLSEFLLRKGYSNSDDCPCVFIKKSSSGFCIISVYVDDLNIIGNTQDIDEARNHLKTEFEMKDLGQTKFCLGLQLEHLPSGIMVHQAAYIQKILEKFNMDKSYPSKTPMVVRSLDVEKDPFRPRDDGEEMLGPEVPYLSAIGALMYLANCTRPDLAFAVNLLARHSAAPTKRHWSGVKNVFRYLQGTKDLGLFFQFQRNLDTNMIGYTDAGYLSDPHNARSQTGFVFPHGGTAISWKSSK >cds.KYUSt_chr4.16525 pep primary_assembly:MPB_Lper_Kyuss_1697:4:102692265:102693320:-1 gene:KYUSg_chr4.16525 transcript:KYUSt_chr4.16525 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAPAPAPAGYPPHGDQAPPGYPPHGNQAPLPQHTAVAVTAASNGAGNPYVLVTPASAAPSTCQSIRKALGRYGKLLEDGTRRAADTTGNIWHHLRTAPNMADAAVARLAQGTKVYAEGGHDRVFHQVFGAVPGEQLRKAYACYLSTSSGPVIGTLYLSTARLAFCSDSPLPYHGPAAQPPEPMYYKVVLPLSQVRTVSPSASMSKPAERYIQIATMDNHEFWFMGFVSYDKALRNLSEALHHRA >cds.KYUSt_chr1.28209 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170177525:170186077:-1 gene:KYUSg_chr1.28209 transcript:KYUSt_chr1.28209 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGSCLEGSGSGGWVGGAGDVAALFWISNSTSDAAMEHGHGLEAYTYRGVPAGIQPGTRNGTHAHAHADAALEKSGAVVALGTSSSLFRFAFSAAATSGSGRRRAGGQGQSPDPAAGSSPLSAPAYPYPYRRTMSSSSSSAAVPPPPPSSPPPADEGRPAEADDRVASLVDRFLVEALENPRHRLMVLRMELDIQKFMQNHQLHEFEFQQFPNSYHRCAAHRVAQHYGLVTVADSLVDGPVSRIVARKTPESKYPAIALSEVPIKQARNDIEAAEKLKFVICQRPKASQNGAGGAGTNNGAVKTVEERIDDYNKARARIFNGSIPADVEGPSDFGALSIGRNELMNVEPSVYENKGCTLNSRSRVAVFKDAEKDRIDPDYDRNYKRYVRPPMPDFSVSPGAFSFAVPQFMQYGVGYMQSPSMPRNQPSVYYGQPDLSMGSSGTAVYPQWPTPAMMYPHCYDNLGHVISQVPVYQSFNHG >cds.KYUSt_chr6.26024 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164880590:164881234:-1 gene:KYUSg_chr6.26024 transcript:KYUSt_chr6.26024 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPTGDPESLATAQQAPLLGNADGRTRTTTTVVGKALSSTADLAKHLPTGAVLAFEFLSPTFTADGTCTAANRALTGCLIGACAFSCFLLCFTDSFRDQTGVVRYGFITPSDRLRLIDGAGAGVGASQQAPQDTRYRLGARDVLHGVLSFVVFLAVAMVDSNVVACFYPVESATTRQLLAAVPMAAGAAGSFLFAMFPSTRQGIGFPAAATF >cds.KYUSt_chr4.27243 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171125133:171131852:-1 gene:KYUSg_chr4.27243 transcript:KYUSt_chr4.27243 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTLSGGMQGIKRKRSSLHGLGSRALKGPSRQAQEKPKLWEASKKTEASSSASPAVASGPAKVWQPGVDELEEGEELQFDPEAYNYLRGFSTGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAEKAAWNYVAVFKLSNIQGKKREPIPSSKLDADSDMDSDNSSDDDEEEEEINEDIKPILQLKKVAHAGGVNRIRSMTQQPHICATWGDTGHVQVWDFKPFLNSLADSGPVAHKEEDIIHNHVPLKVFSGHKDEGYAIDWSPHVTGRLVSGDCNKSIHLWEPSSNTWEVDTQPFVGHSASVEDLQWSPTEANIFASCSVDGKICIWDIRTGKQPAISVKAHNADVNVISWNRLASCMIASGCDDGSFTIRDLRLIKADSLVAHFEYHKHPITSVEWSPHEASTLAVSCADHQLTVWDLSLEKDAEEEAEFRAKMKEQANAPEDLPPQLLFVHQGQKDLKELHWHPQIPGMILSTAADGFNVLMPSNIDTTIAGAETPTVAPPG >cds.KYUSt_chr1.34840 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212249656:212251287:-1 gene:KYUSg_chr1.34840 transcript:KYUSt_chr1.34840 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVAAAAPSTSRLLYHHHHATGSRRHQLQQRLRYSPNPSHLALRRRRLSPAGALFPDRITPFSYNADDESDDHPREECGLVGVVGDPDAASLCYLGLQKLQHRGEEGAGIVSVGADGKLNSVTGLGLVADVFSDPSRLASLAGPAAIGHVRYSTAGAVASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRSKLEARGSIFNTTSDTEVVLHLLSTSLARPLLARICDACERLAGAYSLLFLTADKMFAVRDPHGFRPLVLGRRGRDGAVVFASETCALDLIDAAYEREVEPGEVVMVDRRDMSVSSACLVARVPRRACVFEHIYFSLPNSVVFSHDVHERRTAFGRALAEESPALGADVVIPVPDSGFYAALGFSRESGLEFQQGLIRWHYSGRSFIQPTQAVRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSDGELISNQMDLEGVRQLIGSDSLAFLSLDKLHSIYGEEAGDYCDACFSRNYPVLPTLPEPAVEFDEED >cds.KYUSt_chr2.13260 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83989121:83989544:-1 gene:KYUSg_chr2.13260 transcript:KYUSt_chr2.13260 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFSCHCGELADLWRSRTTDNPGRLFIKCGMAKKCRFWKWEDELVGVGTSRKSLTEMDACSKRNLDSPGAPEVQVLQQIRSELVLLRCVGLLLSLALIFAICKQ >cds.KYUSt_chr5.33902 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215407146:215407604:-1 gene:KYUSg_chr5.33902 transcript:KYUSt_chr5.33902 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAAHRIRNWSLIESSAKLETTQGSEIDIGCRARQRREKLGQPTPDRARRMRKGDDWKRCSREELAAWDLLGFGPFGSRDA >cds.KYUSt_chr2.48921 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306127822:306131290:1 gene:KYUSg_chr2.48921 transcript:KYUSt_chr2.48921 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLYRNGAVSAPSPVTLAFFFSQSCLRSNSRDDPRPKGGFWAKWTVESAEMRARVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANIKALLGGACATAIFFFPRSALHGPEREGRRPFPATTWWYETTMSGDFTRRRGLNFSRGAKAYFEEALLGIVILMWTGNNVTRPFRVAGAAALAPVIDKGLKGMQEKLNLPSQMYAFLLVVGTVALVCFTVVGFLILSKWGK >cds.KYUSt_chr2.51218 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320213525:320214904:-1 gene:KYUSg_chr2.51218 transcript:KYUSt_chr2.51218 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTAPIPYSYRESIRRVNRSDSRIMWTISSLSSRSGSKYSGASSSDMSKNSGCSSRTAGDFGASELSRIAHRMVRDGYTQRMIEAFKYSAKLETWFIELDVKWVLQCREGHTLQQQFQLQGKSAWWLEGLVHGWIRALTITAVGVRELVAGGHDTLEAARFGSASISAMLVVVAAILDVLKVENLQVVLHMHICVSGASHDLRGSIFNEIGPSLEREEDRLTQAISSRMRNMRALMDCDNSWGTEISLGKGEVNSKTQMLVDCILLMKKARQNSAQSHNTENLRGLITDMIGYTRDLLRTKSKLCSDPNLGCLFLLNNSYFLAQEVSEPSTYLRPGRREPELTPECKKYMNTYLDVSWGRALSYIPKSNSSREPKLWKKTSTLDKFQSEFHETYLSQRFWKVPDSRLRSLLREKITNRVISGYRDYLKDHPELGKQASNGNSSPGDLEKMLGGIFEG >cds.KYUSt_scaffold_869.1653 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:10661122:10665340:1 gene:KYUSg_scaffold_869.1653 transcript:KYUSt_scaffold_869.1653 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPQVSLCRQAQRWQHGSFSCQFRHPGRLQASYRGLEAMHDDGYGTVKDLDYYYHALGELVEHDSGPPRWFCPVDAGSSVEDAPLMLYLPGVDGMGMGLCMHHKALGSPLSDRACIAITALLNYNIGTYLFFSMLALSGADRLLPSKSEADRLQKKLPKCKVFFFENHGHSLLLEHGVHVSSIIKCAGLYRHSSRYHRVFDYIPPSTTELREVDKASSDLTFRTSPAMFSTMEDGTVVRGLAGVPDDGPVLLVGNHMLLGIELISLAAEFMRQKKAVVRGIAHPLLFPNKARTWSEGHDFFDFLILWGGVPMTYKYIYELLAAGEFVLLYPGGYREALHCKGEEHRIFWPDRTEFVRMAAQFNATIVPFGVVGEDDLLNLLCTFDDIRSAPFGKEIMRAYSNHLKLRDADHEVIFPGVYLKIPGRFYYRFGKPIPTKGRQDVLTDRHAAARLYTHVKSEVEGIISYLLEKREEDKYRKLFPRLLYKAARGANTEVPAFDP >cds.KYUSt_chr5.11824 pep primary_assembly:MPB_Lper_Kyuss_1697:5:76711720:76713387:-1 gene:KYUSg_chr5.11824 transcript:KYUSt_chr5.11824 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTCRSCGEGPVVPDPVSGVLVCTTCGLVHDAGAYEFVHSARFTEGGELDRAAATTVHHSSQSPYLDHKLYAASAVITSMAARFSLSASRVEDVLNIAQSATDRNLACPGTAFLPVLAGACIFIVARSHSLPISLAEAAQAADCTTFALADLAYRIASRLSLPPLLSFDYSAALERAVELSLKAAAGEKKVAILSQARFLLRCASKWSLTTGRHPLPLVASVIAFAAQLKGFTSVSVEEIALEISAVPRTCRLRYKELVAALVRAAHKLLPWGSDVNAKNLVLSAPMLLYLMEMQSQSAQFQESLPRPDIASIVKMYSSVDDDETKYLQTDDPFDFDFKTYGQELKEPEDLNILEGCMSDTYQNVLKRIAQLKELGNFGKVPSRRKRCKTDWELEPWDNNAPRTKNKPLEEEAEIDIGYDAPPPSFTAGIDLQKRRRARIEAAKCRITEIRKAPATRIANAIEDSPSDLGHKDVCPPQKNIRKNQWRKRRDDKDHLTEISNTTDCVKKRKKRDSCNGIDWEDCVIELLLLHGANEEEIEQGQYKRLLDLHVFSA >cds.KYUSt_chr4.3753 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21395841:21401527:-1 gene:KYUSg_chr4.3753 transcript:KYUSt_chr4.3753 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPSGVGSEAAVDIALVLGVNNQGFLITRMRNQTACFAPAVCLTVFDTSGLFLIGLATKSSIFRGTIVVAIVGTLVFREVVDSIWLWRSLKSEIWSIFWANDKLQIDKWSTQGGMNMLFIVPFIGDLDACRLHYANQRQSGNSSQLSARELQGAAPTFGIRASFVEVGVFIIVELRRGRWRKVCCPVSCATGGKEVLAKAWRPLP >cds.KYUSt_scaffold_719.511 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:3496833:3500423:-1 gene:KYUSg_scaffold_719.511 transcript:KYUSt_scaffold_719.511 gene_biotype:protein_coding transcript_biotype:protein_coding MANRGGFPNRGRGQHGGRGGSGWQDDGGGRGGRGGNYHEGGPSGTAGDGSGSGHGGDQATNVFADGVFRAGQGRHFANEGRNYQGYNNRADFRRNVGYNGNNVRRSTPNSFNNGWVGNGQGRYAAQTPGLTVLQQKMVAEAAEAFARQLAGHPDPSPSAAQEPAAKQQQREVSAPLGTAVVRQPSLARAPPMYVPVQNSANGQGQQQSGVKRAEDVLLAVAGCTNGTDLEGLTEAEFVAATKKKGPSCFRCRKVGHFLNDCEAVLCECCQRPEHASKDCPLLRAPRPRLAMYGMGHPDLAFWELPLSASVRPRVENTRLGRVEVSGGELSVDQLITHLQWIVPDPLYQWEVEKMEDNVFRVNFPSKVDLVRVQHFGRFHVPDSNIVLLFDFWKKEVQPAWEPEDVWVRVYGLPPVALDDYLALWALGDVFGRTLDIDITFTRQNNVLRMFITCLDSTLIPETWDLKIKHEFFRLRFEVEGEQLNNNHDVTMSEAPGDGGDDDSHSNLHDKPGGTDNDRDAKRTKNTGEKNDEKEPSNSQQNVSTKSVCMQGSKGNKEGVAESMGKVVLGSKNTPSSVAEDVHELIPISPTNSQLHAPAVMVNTAYGPVSLSVGNDLVGRTSITSRVHGAHTQEHAQLGRQVGATPPGRQTAVSPRGPVVTERPSAAASHGLAPMGGSPVLSPVQAGGNQAATRPAVHGNDRTMHMPGASPVNFTSIKNFKVTKSPFVRLSQSMSDSHFKQTKHDIQGGPGTASTPVDFMKEVPRNLQYTKEQLVAFGGIQEEARRDVRSSGRLRTQPNADMTQMERAMLIAKKRAETPVIGDNLLGQVEERILHMKNWIGYLQVLNGNRNTLWSRSGL >cds.KYUSt_chr3.12641 pep primary_assembly:MPB_Lper_Kyuss_1697:3:75684075:75685574:1 gene:KYUSg_chr3.12641 transcript:KYUSt_chr3.12641 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAVLIFLLLLSPLHASANSSAPLYGIEFPPYNTAVADSGCDGKLLGEEEELARRTPSLKLHMSHRSAAADAAAGTTRKDSFLDSAKKDAIRIDTMRTRQVTLSGRRTTASSSPRRALSERLVATVESGVAIGSGEYLVDVYVGTPPRRFQLIMDTGSDLNWLQCAPCLDCFEQRGPVFDPAASTSYRNVTCGDKRCGLVSPPTAPRSCRSPRSDPCPYFYWYGDQSNTTGDLALEAFTVNLTAAGATRRVDGVAFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLRGVYGHAFSYCLVDHGSAVGSKIVFGDDNVLLAHPQLNYTAFAPAAADSADTFYYVRLKSILVGGEILNISPETLGVAKDGSGGTIIDSGTTLSYFPDPAYQVVRQAFIDRMDKTYPLIADFPVLSPCYNVSGVENREVPEFSLLFTDGAVWDFPAENYFIQLEPDIMCLAVLGTPRSAMSIIGNYQQQNFHVLYDLQNNRLGFAPRRCAEV >cds.KYUSt_chr3.6065 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34647136:34648257:-1 gene:KYUSg_chr3.6065 transcript:KYUSt_chr3.6065 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALEAAIDEVLVTLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAVGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNEAAAAAANQLAEEEAKRAEDAAVAEAIARSLKDLVPADNSLPIDAALEWSRRDWERQEAEQQRRMLDLAAARQLAARAAAPSSSRNAAPRGGDQARGEQRRRHLPAVASTRRRRWPGHEPLVRGAAAPGRRRLQ >cds.KYUSt_chr2.5269 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32717847:32718518:1 gene:KYUSg_chr2.5269 transcript:KYUSt_chr2.5269 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPRPAVDAPVAAGHGDKATPPAHRQRMKTALDLLHGIRVELTSHENEKEVKAGLPQLLLVCARRQSQGQAARRTCCSWAFLDSDEDAQGYGVLDMADQEEELAHIDPYTTTPTNLKFERIFRAAEGLKLFLILSVSKVAAMEGLFKFLVKLFLLFLLCYFFPKTKLLCSNNVLLHY >cds.KYUSt_chr7.28171 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175951684:175954200:-1 gene:KYUSg_chr7.28171 transcript:KYUSt_chr7.28171 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRIAAALVLVLVLALALQLSAAAPPDRCTHVCGHMRVPYPFGIGPPDAGCYLPGLNLTCDTSRRGAPRLLIGAGAGAVGLQVVDIFLHNSTLRVVQSGDVAIGANGRGLFNGDLRDHGPYKLLSSENELVVTGCNVMATLLDRNSSIVMSACASFCQDLNGPYVTAMDMGSCKEPVVGTRDEVAGYVQLTRFSQNATMDEERQRTQVFVAENGWFDRHLNASLAGASLVEGAMSAVPLLLQWDVVDLELGRPPPNEFDKWFSGCPTDLALKICRSGNSTCYQSGGYSCSCSEGYDGNPYVNGTEGCHDIDECKRAKDNRCFGECTNTLGSFECRCPPGTSGNHSIPNGCVNLDHGHRGHFGLIIGLSVASFPTIILSVLGAIFLNRKLQHQRAKQLKQKFFNQNRGQLLQQLVSHRTDIAERMIIPLEELEKATNNFDQARKIGGGGHGIVYKGILSDLHVVAIKKSKIVVQREIDEFINEVAILSQINHRNVVKLYGCCLESEVPLLAYEFISNGTLSDLLHKKPPISILWEDRLRIVTEIAKALAYLHTAVSIPVVHRDIKSSNILLDDASLRENTTLPCDQSHGKGAYLHGKGFAVRRRTAASARQRPRRQRRHCRAPDQTARQRLVAVRALVAVRSSVCRARARCRAPTPSPCAPSLPCVLEAAVRPDVAVRPDAAVPPNAAVRPRRCRAPRRCRAPARCRASPLCRAPTPKHARQRILQGHTLASQEHRLAPRGAFAVCMHTAK >cds.KYUSt_chr3.31133 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195432382:195434903:-1 gene:KYUSg_chr3.31133 transcript:KYUSt_chr3.31133 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEELRLDLDELRRLEGLATRPRVLSLLANEIRTVDAKLATAAATVPVAAPARAGVSYVTLGTFSWDQDNDKIRVYVFLENVDQEKVETTFKPMSVDIKFHDVKGKNYRCAIPKLNKEIVPEKCKVVVKPTKIVITLWKASTGSWLDLHYKEDKFKPSAGKDKDPMSGLMDLMKDLYEEGDDNMRRTIGQSLMDARTGKTADPMRRLP >cds.KYUSt_chr3.10010 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58985373:58988957:1 gene:KYUSg_chr3.10010 transcript:KYUSt_chr3.10010 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDDSTAAGEVERLLAHLDSEQKLLAACRDTWARSLAHFASLDKDVAARSASADEAAAAAAASTSESLAALDAREAAIPARLAAASDALSAAVAEAESAAPPPADIRGAIRWICRRMDGAALWRFMASRRKELAAVRKEVAPAVAASVDPPRLVLDVLGDFLAAGEGAGEDQCWVLGMLLRSLFGSDGRKPPEIGDTLVERAAVVAKDWTERFGIKMDTPAPSSLEVEMAEAAVVDNVATAEKKVEPVDVKEEDEQEEVEEEEEEEEEEEEEEEVEEVEEEEEEEDPEEVVAASGDEEEENPEEVDNDEAQEDPEEVEEKGQEVKVEVADEEKKKEQAEKSKVEDVKKAAGGVKEEEKSALGQAEAHIFLQMVAAFALKDKFNQEFLRSLFLANRRRKELAKFACILGFEESVADVVQELITSGNVIEAIYIAHEAGLFERFPPAPLLNSYIKDSTEKAQAVLSSGRRSGSAVDESKSLELTACKSVIRCVEACQLVSVFNIDSIKRKVARIEKEKADRKKLGSANRFQNKRARGAAGPQSFPAAKSARGSGSSYRPSFQNPVSRSFGYAARSAGYASPADAQAHYAPGSVAARRGGGLYGGPGAAFGSVAHNYGAGAAQQPYHR >cds.KYUSt_chr3.7775 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44612353:44612850:1 gene:KYUSg_chr3.7775 transcript:KYUSt_chr3.7775 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASNVRATYHYYYPTSINWDLYTGASAYCSTWDGGRPFSWRSKYGWTAFCGPAGTRGQASCGKCLLVTNTATGAQTIARIVDQCSNGGLDLDFDTVFSKIDTNGMGVQRGHLIVNYQFVNCGDNELLLQRQEE >cds.KYUSt_chr2.4676 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28952642:28953403:1 gene:KYUSg_chr2.4676 transcript:KYUSt_chr2.4676 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTRWCLGAELWTPKVKVHSSASCPCPSQQQLLLEEESSSSGAPPAEPEPEPEQAGSGEEGGAGHTSVQREVFTIWMKSLVLNGSGCTVFDSSGRIVYRVDNYGSRHSVDVCLMDITGSVVLQVLKRFWRWDGYRRGNWESKDPDAPRARGRPWFTVVSKRWGHGPSCEFRTDGGRAVRYKMNGGRRRQQAARASWIVDDATGVAVAEVKRKLTPTGVSLGEDVLTLVVEPNVDHSLIMGLLVVHGLINHSM >cds.KYUSt_chr6.19604 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123477329:123477802:-1 gene:KYUSg_chr6.19604 transcript:KYUSt_chr6.19604 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLAVAASLREQGVPPFVDLERVDSIGSLWQKRTYDRLKLHLPKQFCELPRMPFPVSYPQYPTYSQFIEYLQAYAAAFDVKPEFGSTVQTARFDETSGVHSSSSSGESMECMGAMARGRLRGDRGARRHPRTGTFTLKNKNKHGRPDPRARDRRHG >cds.KYUSt_chr3.36537 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229716528:229722224:-1 gene:KYUSg_chr3.36537 transcript:KYUSt_chr3.36537 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTGRRKSSLVGAGAGAGAGQFDPYGTSKHGASLRKGGRLPVYVAGVFFFVFVIVMYGEDIRSVTLDPIALTGPTTTLPAAVLPGGGVAPRRDVLSSTEKKGADEAVTTTTLPRRDLERPVERGELTTTTTTTETAAPVQVIRKLGGETNKKPKKEKKKKAKRQRAAKKTVAPALAGVTISTPETCDLSKGEWVFDNSSYPLYREEECSFLTSQVTCMRNGRRDDNYQKWRWQPSGCDMPRFDSKLFMERLRNKRLMFVGDSLNRNQWESMVCLVQSAVSPDKKYVTWEDQRVVFHAWEFNATVEFYWSPFLVESNSDNPKIHSIQHRIIDADSIAAHAENWRRADYLVFNTYIWWMNTLNMKLRRPAAGQNWEEHDEVVRIDAYRKVLTTWAGWVNENIDPARTSVFFMSMSPLHLSPQVWGNPDGIRCAKETMPLLNWNGPIWLGTDWDMFKVASNVSREASPRVPITFVDITTMSERRKDGHTSVHTIRQGALLTPEQQADPGTYADCIHWCLPGVPDIWNSILYTRIMSRPEAARVTAS >cds.KYUSt_chr4.8086 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48217530:48219825:1 gene:KYUSg_chr4.8086 transcript:KYUSt_chr4.8086 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASCLGRFLATTRRPLHGRGLAEIRLASIPDSPLARAPPAWAASWPPPAGRCTAGGSPRSASPPSPLARPPLSLAAPQTPRMADRSPAHACTQRAMAGARARVRELGDFVPSRSGRRRVAEVLARSIQCWTEITVFGKDWTSNASSGMGVAPDIRQTFLELQMKKAFRYVIFKIEEKQKQVIVEKTGATTESYDDFLASLPENDCRYALYDFDFVTGENVQKSKIFFIAWSPATSRIRAKMLYSTSKDRIKHELDGFHYEIQATDPTEVEIDVLRERAH >cds.KYUSt_chr5.29848 pep primary_assembly:MPB_Lper_Kyuss_1697:5:189256681:189257509:-1 gene:KYUSg_chr5.29848 transcript:KYUSt_chr5.29848 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQQTRVKGASGGNRFAAACGMLRQYQYMMGQGSGSRAAMATMGLMPGADGVEAAHVTPEERIKNTMELLLFPPRPGTLMDNSHQRILEPERAQLTIFYDGRTFVLDDFPADKADQLMQLAGSFAAPAASDDELVCPSMPVQHFLGGITALQNLCVCSGIPRNASLQRFLEQRKGRIAAADPYLVAPARAANETAKPVAVGDGGAPWLSVNSGLNLN >cds.KYUSt_chr2.50894 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318330463:318332792:-1 gene:KYUSg_chr2.50894 transcript:KYUSt_chr2.50894 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVTTLFSQAQKLEAELKENPAPTQADIDAAKIVAKEKGDTVAQLKSAQATKQEISTAISDLKKAKQDVLTMEERSKLKPGIPRREDGSVAFEKDFFQAVEILKDADRKFDNKVEWGIDLAAEHERYLTEVVFKKPVIVFNYPKGIKAFYMRLNDDEKRVAAMDVLVPKISLIDDGTVKSPTYKRYSTLACPWSGTTSTWTLRRYGSVRHSGFGMGLERMILFATGLDNIKDVIAFPEAVMVSHRHFED >cds.KYUSt_chr2.44946 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280003593:280003865:-1 gene:KYUSg_chr2.44946 transcript:KYUSt_chr2.44946 gene_biotype:protein_coding transcript_biotype:protein_coding MYTQLVSCFCNPPFPVPRFRTSTPPSRKLGNYAGAPQAIPGAALGPAGAGTDYGDAGIPRLDLGGSHRLLGTGTVLAATLWLCVAVALLI >cds.KYUSt_chr3.43707 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275761549:275762790:-1 gene:KYUSg_chr3.43707 transcript:KYUSt_chr3.43707 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAISKLPPVLVQPLEPVTVTGDINLSSYDKHLVSRPATAFFVFERPLHEPVETIKRGLSRALLDYYPIAGRLAAGATAGEVIIKCTGEGVSFVAASASCAIKDVMGLTDPSLKQELGVFYDGSCRYSDPLVLMQVTVFSCGGFVLCVTWNHSVADGVGMGQFMQAVGELSRGMPSPSVVPVRQPDSLILGPPPVFTKIMQLLGSLQPTQLALLDITIQSSLIRRIKDKYSSINSGQPCTVFEAVAAVLWRCRARAISSDPEALTVLFFPTNARTYAGAEEGYYGNCLVWRLATATTRAVANGDVMDLVKMIHGAKDRVPDQSDMEELLHLPHWYNLLRITSWRNIEFQTPDFGAGTPTRVMAHSPPQRDLPKCTACIPCIDEYNVLSTCVMEEHASAFLHELADMHLNYI >cds.KYUSt_chr6.19575 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123211691:123213239:-1 gene:KYUSg_chr6.19575 transcript:KYUSt_chr6.19575 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKMALGIKRASRSNTYHRRGLWAIKAKNGGAFPKAATPAAVAEPKFYPADDVKPRTVSTRKPKPTKLRSTITPGTVLILLAGRYMGKRVVFLKQLKSGLLLITGPFKINGVPVRRVNQTYVIATSTKVDISCATKNLPDFKKDDQKAIDAELIKAIEAVPELKNYLSARFSLRDGDKPHEMSF >cds.KYUSt_chr4.44662 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276600154:276601261:-1 gene:KYUSg_chr4.44662 transcript:KYUSt_chr4.44662 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGSSNSAIKSVLKGFRKVDPDRWEWANEGFLRGQKHLLKTIKRKKRHNEAGGELEKKAPARTQPAPGTENIEIGKYGGLVKEVETLKRDKALLMQQLVDLRHYQQGSNLEVQNLIQRLQVMEQNQQQMMALLAIVVQNPSFLNQLVLQQQQQQQQRRNNWWNADGSKKRRFPALVQGPLTDQETSGGGAEIIQYRPHVPGTSSQVIADETFCSDTAQPISSPALDMPMEMDTQTTSDNLNTLDSSWDFLPDTSALPDLDMDLCFDENGQLILEPPLEDTIQGLSVQDYDFPESEQDTQMEALQNYRNPQYGMS >cds.KYUSt_chr1.30981 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187652751:187666830:1 gene:KYUSg_chr1.30981 transcript:KYUSt_chr1.30981 gene_biotype:protein_coding transcript_biotype:protein_coding MNVERLPAERHGHRYARMQPDHDPDPEGDAASSSSSAAARRPEVLAASASFRLSEATRVFEELPRATIFSVSRPDAGDITPMLLSYTIEINYKQFRWRLFKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGDNMPVVHDDDEADDVNVPSQLDESSIRNRNVPSIAVLPVIRPALGRQHSISDRAKVAMQEYLNHFFGNLDIVNSREVCKFLEVSCLSFLPEYGPKLKEDYVSVGHLPKIQKGRKEKCCSCGLFNCCKSSWQKVWVVLKPGFLALLKDPFDPKLLDVIIFDALPHMDINGEGQISLAKEIKERNPLHFGFQVSSGGRTIKLRTRSSSKVKDWVTAINAARQPPEGWCYPHRFGSFAPPRGLLEDGSMAQWFIDGQAGFEAIASSIEHAKSEIFITGWWLCPELYLRRPFEHHGSSRLDALLEARAKQGVQIYILMYKEVALALKINSLYSKNRLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDSPDHKVNDAPPVIWPGKDYYNPRESEPNSWEDTTKDELDRTKYPRMPWHDVHCALYGPPCRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYKGRSKETNGEAEGKQNDDDNAEVKKKASLASCASYQDVPLLLPQELEPQALPDGDLAVTGFEIDQADNVNKTGFKQPLLNRKAKVDASRQDLPMRGFVDNLSSVESASIRRFDSAKEDRHHMDKKWWERQERGDQVASVLDIGQVGPRATCRCQVIRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRIIRAEREKKRFKAIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGLKAHDYISFHGLRAHGRLSDGGPLVTSQIYVHSKLMIIDDRIVVIGSANINDRSLLGSRDSEIAVVIEDKEVVNSKMDGRHWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPMDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRTQFRQSIAYWKEKIGHTTIDLGVAQEKLETYQDGDLKGEKPIQSSRLSAHVNTHATGFAPAVFLDSIFKRDHCINLARPAVDATMTPDGSTLLHVYIIPHLSSIPCSTMPLRLNANKVIDEHHSTENRQHPAAAPKTMPQAVERRRTRRHRSIRETRT >cds.KYUSt_chr6.25475 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161475237:161478845:-1 gene:KYUSg_chr6.25475 transcript:KYUSt_chr6.25475 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIASMSSPTGSTTSSVSLEAASVSNFDCLSSAALLEMDASGFQPDQQHCNNLHSDPLTTVIVATQPTTPTPTILHGSLRPKQLQIDALKREDDTRAPPSTDHGGSRYFPGAFPDRMAKHHLGDAFKKEAAPEAVAIAGLGQLPAGQPPRTRHLPGACRAIMLRAFGQTHLQPSPPISIMAATPAPALGSTTGRPLHAAGRRGELHFRHR >cds.KYUSt_contig_1539.1225 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:5667290:5668982:1 gene:KYUSg_contig_1539.1225 transcript:KYUSt_contig_1539.1225 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAANLTQTSSASAAAAAAAAAQHQQLQRQLFLMQQAQAQSQSQPHPQAQQLSQQAMSRFPSNIDAHLRPLGPHRFQQPPPSLLQTQPQAHSQPQPSPQQQQAMQARGRSPEVEMALQDAMRVCNPNIKTPFQSLEDAVSSLEDPNTFGNSAQC >cds.KYUSt_chr5.39493 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249976160:249979877:-1 gene:KYUSg_chr5.39493 transcript:KYUSt_chr5.39493 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTILIIPALLLLAGAVSAWLPKEHDLAAFKGINKIRGVNLGASNKLKNLTQRNTKKQYGYAKYAKD >cds.KYUSt_chr1.11359 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69623717:69625999:-1 gene:KYUSg_chr1.11359 transcript:KYUSt_chr1.11359 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAMSSIPSGRRRRLRRTGEVQPSADWADLPIDALLSVLHKLEPIELMVGGVGRVCRSWRRAVRDEPELWRRIDMRVRKDRRYRIDEGTAREAVRRASGRCEAFWGEDATDHFLLFLAEHNEALMESINKFPMLEELELSLCKNVFGKVYEVIGIACPHLKSFRLSYPCFYSIEDAEYNKDEEAMGIVTMSALRSLQLFGSELTNKGLTAILDNCTHLEHLDIRHCFNIYFDTALRAKCTRIKTMRPPHGSTDDHEFQVGNPETLLPQVPGVLP >cds.KYUSt_chr2.32112 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198088239:198089657:-1 gene:KYUSg_chr2.32112 transcript:KYUSt_chr2.32112 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGGAPAAVGCGRIGWVTEIWMVWLLNDYYDRHHRAYYKADLGQVLEPLKIRYYGSVDHQNPPASSDGQHVEPGGSQDFWWALVPRATARNAPARTSDAGARACHPTYTRSGR >cds.KYUSt_chr3.35544 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223198743:223199033:1 gene:KYUSg_chr3.35544 transcript:KYUSt_chr3.35544 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSTPRLGETASPGAAEQMFAALVGADAYPASSEFWTQLLDLPLTLHWPRGRVLQACHAFGERRPRSTSSTSPSPARGCSLVASRSQPRARWR >cds.KYUSt_chr5.4992 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31502466:31504159:-1 gene:KYUSg_chr5.4992 transcript:KYUSt_chr5.4992 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGSDDSGSSDLMSYYYIGCDNRHELGSDALVFWRNRRLLHRLLGSRGHHLFRNDPRFLRMWRSRTSLISPSPGPPHRSQPRPSDSPPAAASLCFVLTVFNATHVDTYAVLHGTTAFNAAHVDTWNGTTFIVPVIGAFLADSCWGKAWCCSPCPRGSRRCGRRRARGSRAHRPPGSSSPLLRGAVPDLHRHGGVKSALLPFAAEQYDVDGGPEQAPRKQSFFTWFFGAINLGIFVTGTLVSWLQQNVSWALGFGVSALCLLLAAIGFLPGTPWYRIQRPVGHSRAYSSIAPPPTVAVARCSHSPVEMRPRTTTWVTGGGTRLPGIVNDKGGA >cds.KYUSt_chr2.32576 pep primary_assembly:MPB_Lper_Kyuss_1697:2:200956199:200958379:-1 gene:KYUSg_chr2.32576 transcript:KYUSt_chr2.32576 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSRHSAFWKPRPPATHARRLPPRHSSTQPQEDASIPAQNMRLTALLSSGDMAAARRLFDDMPRRTVVTWNAMVAGHARRGNTLDALEVATQMHRSGLSPSEATYASVLGACARGRHLRFGAQVHCQVVKSGSESVEVVGASLLDFYSSCLDLHASRMLFDSLHPRNGLLWSPMVVALVRFNLPSDALDLLERMPAPHDVFAWTAVISGHARGAGELCRKAIWLFVRMLGDDGVMPNEFTFDSVLRACVKMGALDFGRSVHGCLIRSGFGSDKLITSALVDLYCSSDAVGDALLVYSDLEMPSLITSNTLIAGLVAMRRTEEAKIVFSQMPEHDSGSYNLMIKVYGIEGRLEHCQRMFEKMPRRNIVTLNSMMSVLLQNGKLEEGLKLFEQIKDERNTITWNSMISGYIQNDHSSEALKLFVVMCRLSIACSPSTFPALLQACATVGTIEQGKMVHAHLCKTPFESNGYVGTALVDMYMKCGCVSDARSAFCCIMSPNVASWTSLINGLAQNGQWLEAIVEFGRMLKQDINPNEITFLGLLMASARACLVNKGMRFFHSMESYGLVPTVEHYTCVVDLLGRTGRIREAEKFISEMPVPADGVVWGALLTACWYSMDLEMGEKVTEKLLCMGTKHVSAYVAMSNIYAKLGKWDDVVKVRTRLRNLDMKKEPGCSWIELKDIVHVFLVEDRNHPERDDIYLMLEHLVSNISLLSETDEPELLLPVS >cds.KYUSt_chr2.53971 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336905469:336908043:1 gene:KYUSg_chr2.53971 transcript:KYUSt_chr2.53971 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKETALDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVESEREQDDPLKLTTKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFLAADGAS >cds.KYUSt_chr3.16481 pep primary_assembly:MPB_Lper_Kyuss_1697:3:101073731:101074117:-1 gene:KYUSg_chr3.16481 transcript:KYUSt_chr3.16481 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAIVVFLMALAVAFAAGFADATPPGLRRSRFLATKLPEPPLAWGNCEKRPAVCKEHGSPGKDCCNDMCTDTKKNSGHCGLCGKKCKYGDTCCDGKCVDLLNDKKNCGDCNVQCSNKCRSGMCNYAG >cds.KYUSt_chr7.21876 pep primary_assembly:MPB_Lper_Kyuss_1697:7:135659487:135660386:1 gene:KYUSg_chr7.21876 transcript:KYUSt_chr7.21876 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLIGRGYPPRSLAAEEQHEQLAFQEAAAAGLRSLELLVSSLSPRADRATPLGEIADQAVSKFRRVISILDRTGHARFRRGPVGGAAAALTSPPISSPPPMPVRAPAPASSLQLAPQKSLTLDFTKPTKAPAAAAAAAPSVTSTSFFSSVTAGGEGSVSKGRSQLVSSGKPPLAAGTKRKQHQLQPPPCASGAHSDAAGGRCHCSKKRKHRARYTVRVAAVSSRAADIPGDEYSWRKYGQKPIKGSPYPRGYYRCSTVKGCPARKHVERATDDPATLVVTYEADHCHDASPPAAAAN >cds.KYUSt_chr2.39243 pep primary_assembly:MPB_Lper_Kyuss_1697:2:243308093:243308341:1 gene:KYUSg_chr2.39243 transcript:KYUSt_chr2.39243 gene_biotype:protein_coding transcript_biotype:protein_coding MALDRRASQPPATACVPATGYDEAARPGVSSTVGGDMMMMPGGGAVAMDVPLLWNDEGRMKRELVAWAKAVASMAIRESVRC >cds.KYUSt_chr2.6621 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41311114:41315987:1 gene:KYUSg_chr2.6621 transcript:KYUSt_chr2.6621 gene_biotype:protein_coding transcript_biotype:protein_coding MISKSPVIMIFCVCTAVAILGNMAGVLVYQFLLLALLVETCGNLEVLAAVVRIQYAMMGFIKVPYDYPEGTLEPTKKNFMHSRAIFYRMVLVEGALCILACILETFSSIPRKSLLHQSGFGSKWGVKCIDMYYSRVYEQCIAGGVLAPKITQLTSFAMDFTNSDSASNQLYGVQMLHSFLQKEPSKALLLSRLSTSMKTIQTLISMLGWASPQNAAIRLFAAKVINDLANNLEAVAIPGSMQNISSLLGTDNQMKRQSPLLYTYGSQEERQDIVLDIGDDEEDRQDPLVHTSSRRNSRMLRSRQWMTTCWSIEEELFTDQELLPVLGMSILERLADCGPENSEEICSANNLISKIIEYTNEIHDQILKGSSLKLLRRLSITGGEIGITLRRKISEQPFLLRNLAEILDHMEGSHELKKLATEILRNLATDRNTREEIVHIGVITSRLIHAFLAQHPPSNPYSDRSLQITAGQALALLTMETVNNCSLMLKEPGYELIRELIVMIQDDRYNRYVAAIILRNLCMNAQPMLSSSDMAELSHFLRKVLERIMNTEEAGELEDSSVGRAED >cds.KYUSt_chr1.26424 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159254288:159255328:1 gene:KYUSg_chr1.26424 transcript:KYUSt_chr1.26424 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPQLAFAFARFVARRTHPHPVATRRRWNSGRRRWNSGRRRWNSGRRRPDRNGGLRHRRAPYGFHHPRYGPGGDFRRHHRSNRLVPRNNCHGNLWTERRHSAGDPGPSTAVRREHIPFMHPVAAAEPVVVDVPEIAEEVVVAENEIETSASHVTADPEDLMPPPPAFVVPPMEWLLGGPSAGWIVDDPEREYSDDELETPPPMMRYFERHGNRPRLSSPTPPDEVAEHFRPPGYADITEFFQPPVAVAADAPPTALPDLNIPAQKVEEEKNEDAAPSPAVPTPSPEARVLLRRFASAMAVRPGGIRRGTWSPEALGLTNGVAELRLNEAAPHLPPSSVEKPGRR >cds.KYUSt_chr3.31182 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195710520:195718949:-1 gene:KYUSg_chr3.31182 transcript:KYUSt_chr3.31182 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAVDKATSIDAQLRMLAPKKLSDDDKLVEYDALLLDRFLDILQDLQGTDIRERVQECYELAAEYENKLDPKQLDEIGNLLTRLDPGDSIVIAKSLSHMLILANLAEEVQIAYRRRIKLKKGDFADENSATTESDIEETFKRLVGELKKSPLEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRDCLTKLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYAQIEDLMFELSMWRCSDELRVKADKLHRSSKKDTTKYYIEFWKQVPPNEPYRVILSDVRDKLYNTRERSRHLLTSGFSEIPDEAIFTDVEQFLEPLELCYRSLCACGDHAIADGSLLDFLRQVSTFGLSLVRLDIRQESERHTDVMDAITDYLGVGSYREWPEEKRQEWLLSELNGKRPLFGPDLPKTNEIAEVLDTFHVLAELPSDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEGAPAALAQLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAGWQLYKAQEELIKVAKTFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTVHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPIPPKPEWRALMDEMAVVATEEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLQTLQQMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSDDLWSFGARLRANYEETKQLLLQVAGHKDLLEGDPHLRQRLHIRESYITALNVCQAYTLKRIRDPSFQSCPGPHLSKEIMEPGELAKVSTTSEFAPGGLEDTLILTMKGIAAGMQNTG >cds.KYUSt_chr7.28809 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179403263:179403628:1 gene:KYUSg_chr7.28809 transcript:KYUSt_chr7.28809 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFRKSEPFYDGESDLSGLFQGLDHLGDAQEGEQQQGGDQEQQDGDQQQHQQIPIVAEIPAIPGTPTPPRPVPPQRWLQNPLVYTRRQVQREQVDALEEQQDQGRGSNPLDLKMKEAQV >cds.KYUSt_chr5.38839 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245870598:245872556:1 gene:KYUSg_chr5.38839 transcript:KYUSt_chr5.38839 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNPQAGRAAARVAEHGAPSTFAGLAAATSRIASHGRAGDAAAARAVFDAMPRRDAVAWNAMLTAYARAGQPRAALTLFAGMRAAPDAFSLTAALSAASALRCPAAGAQLHARVLRLGLRALLPVGNALVAMYARCARADHAARAFREMPARNALSWCSLLNAYVASDRMKLAQELFDEMPSANNIAWNTLLMGYSRSGSAKQYILQFNEMRMSGLCCDDATLCILIDACTELPCPSTGFAVHKIAVQSAWNAVPEVSNSLISFYSKFSLLDHAVKIFESMESRTVVSWNSLIDAYTRLGHVEQAAALFRSAPETNVISWTAMIGGFARNGCAEEALELFVKILAEKDIHPDDFTLGAVLHACATAASLTSGRMIHACAFQRGFPSYLYVANSLMDMYAKCGDVEGASNIFSDVLQKDLVSWNTMLFGFAINGWANEALTMYETMLSHDVCPDEVTFAGLLTACSHCGLLDQGRAFFESMVSVHRLKPSPEHLASVLDMYARSGNIKKAIEMLDKYSDAVQPHISDMREALLSAYSSGNLDVRIGRSMGSSMVSMEPAKDAGYVMLSNLLCAIGQWTEAERVRRTMSEHGVKKSPGCSWIQVMGAIKVFVSGGQELNSIECDIIHLLDDEMRSTMHRCTVGQDMDREGNPT >cds.KYUSt_chr7.13503 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83202969:83204983:1 gene:KYUSg_chr7.13503 transcript:KYUSt_chr7.13503 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSAAAAAVGRAVEEVRSALNEHADVVAELFGRVSTELRGGFAPAVDTFIGFFHAVDWKEPWLIGMISFHLILLLVTIISRRNINFQLMLSALTFSGVFLAEKLNAFLGQNWKSFSSQNYFDPQGLFISVMWSGPLLVITILILVNTLVTLCMLMVRWKRAELKHRAREARSKQE >cds.KYUSt_scaffold_869.1918 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:12053086:12057578:1 gene:KYUSg_scaffold_869.1918 transcript:KYUSt_scaffold_869.1918 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDFGRRAERPVAALEGCGVGARECRNRNTASTTSNSRFVWSPSPEPKALLPVVFRAPAQPSALVLVGGTNLKKRARMSERRDSRWEAENIRLRRINVIPGDAAGTRRFAVFDNVAAHLIDNRRSAGELSDPPHALPVPSPLAVDDDELDPLDRVLIVRRR >cds.KYUSt_chr3.4528 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25706554:25707816:-1 gene:KYUSg_chr3.4528 transcript:KYUSt_chr3.4528 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRPTSQGEKAGAFFGGGNGFHIGGVAVEGDKGLIFFRRCARLHSIVYTLCSCQQSMALKPNLYSLFISLLACGFETFFHWFFVAAKRYTNILVFLQCEAGHVLCSVCMEKLREVGSVLGLGIICDVCRKNTSYCRCVELEQVIDAIKVPCSNQTYGCNESIIYHEKEKHETECTHAPCYCPENDCDFRGGTCCLLDHFVTAHGWSPTNFIYNKPLKISVARDHRFTLLVGEDQTMFLLTNTPTEIGSAITMVCVRPHESEPSYSCNISAAQVVAGGKAEGRLVFQKDPLVSSNSLVGGVQLGKFFLLVPPELADRSSGELSLHIRVDRLAP >cds.KYUSt_contig_361.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000037.1:113811:114296:-1 gene:KYUSg_contig_361.1 transcript:KYUSt_contig_361.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTSFNVTAMAKGAVANQSDDSRRTGKGKMASGMRLNLGSPMVVVRSPGGRRSSAADEVLRRRRQINAGRSFEKRRETEKRSTRCGISPGACWRTQQGRGSSVAAGMFAGARWLWRRNGDLVLSTKRLGTIPYTQMKRTTRRTRRCPQNGEGWCIAAAPW >cds.KYUSt_chr4.45785 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283354661:283356577:1 gene:KYUSg_chr4.45785 transcript:KYUSt_chr4.45785 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGCCGRSRRPAAEKPRLQLPSRCRLLQREVLAAEAPGDLKPVAGKEEGGAALGTVAGKEEATGRGAALDRSRGRDEATGRGTALRPLSSPSPDPARMASYDGEDDSGRRRPAQQHRPSGGGSGDLAASAKLVAEAAKAALQDHNLGKVDKGRTAEAAADLLYAASLYGKLEGKPMGGYFDKAEDYLHKYGSKEGGSGGGKHQPAAHGGSGASHGGGRYEDEDDYKKKPSGGGRYEEDDEYRKKPSSGGGRYEQEDDYKRPPSGGGGGYGGGRYEEDDEYKKKPSAGGYGGGGRYEDEYKKKPTGSHGGGRYEEEDEYKKKPSGGRYEEDDYKKKPSGGRYEEDDYKKKHSGGSHGGKDESEGGSIGDYLKLAQGFMNKQEGGKKTSGHGGGGGYGKEDNYEEDSKKKHGSGKDESGMGDYLKLAQGFMNKKDGEGGSGAAMGDYLKLAEGFMKKR >cds.KYUSt_chr7.39420 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245108062:245110365:1 gene:KYUSg_chr7.39420 transcript:KYUSt_chr7.39420 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLAKKVASLPIDLDVIPDIDLNKLEPWDIQERCRIGTGPQNDWYLFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSAAGSGRIGMRKTLVFYKGRAPHGHKSDWIMHEYRLDDVSAAGHPGGSGDVPYYSGASSPMRGVAGDQSSAQEDGWVICRVFKKKHLVASHQTGQASAAAAAKMGGGQAMERSPSNCSSTVTSGSEHAKATQMQQQQHHQLLHYSASDDALDHILSHYMGRPSSTACKQETKPPTSSALDHLINNTAAGSTLYERYMKLPPLEHVVPGAGLLPPPATEYGGSGGDWDTLDRLAAYEQLNVISDGSIKTNGASMPSFFVDEHAATAFSSSVSGAGDGDLWSLARSVSSLHADLTMNNV >cds.KYUSt_chr4.48260 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298864132:298873155:-1 gene:KYUSg_chr4.48260 transcript:KYUSt_chr4.48260 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGAIRVLNVAEKPSVAKAVAEILSRGGMQSRAGRSRYNRVFEFNYAIGAQACHMLVTSVTGHLMELDFDDRYRKWYSCDPVDLFHAPVRKAVPQDKQDIKRTLEEEARTCQWLVLWLDCDREGENIAYEVVDICAGANRHLNIYRARFSALIPREIHDAVQHLARPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDVSGEERNMVLSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFLWIRGHLFDYSSAVVIYEMCVEEPMATVHNVRNQEKLKYPPYPLSTVELQKRASRCCRMSSEHTMKVAEELYQAGFISYPRTETDSFSPNTDLHAIVQEQVEHPVWGTYAQRLLNPEERLWRNPSNGGHDDKAHPPIHPTKFTTGETNWSEDHRKVYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRYDSWGGSLLPTYTVGQQFVPTTLTLDSGVTRPPPLLAEADLLSCMDKAGIGTDATMHEHIKKLLDRCYATKDANSRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKAVSIGTKSKSEVLQGCLQQMEACFRDARENKVKLLDAMGTFFARSNRPINETQPAEVVRRCGLCNASDMLLKRRPTGGFMVGCGGYPLCRNVVWLPGSLAEAAVTQHICPTCVPGPVRKIQFKFNRRDIPPNYDVDHLGCVGGCDDVLKELTELSRFGGHNQTATPDTWCESPRFSFNPYPKLKKCVRYVKMRELVSTQQCLQNIHVRWIIMGRKRHLLKLNAYKCHREPDASMA >cds.KYUSt_chr5.27891 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176474122:176474830:1 gene:KYUSg_chr5.27891 transcript:KYUSt_chr5.27891 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTLDYLSELLSGGSRHRSYKKKRKQFQTVELKVRMDCEGCELKVRNALSSMKGVQSVEINRKQYKVTVQGFVEPHKVVKRVQATGKKAEIWPYIPYNLVAHPYAAQTYDKKAPPGYVRKVDAVMPVASYGTGAGAAQEERLTTMFSDDNPNACSIM >cds.KYUSt_scaffold_6468.721 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3449731:3455344:1 gene:KYUSg_scaffold_6468.721 transcript:KYUSt_scaffold_6468.721 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTGEGPPLVTTEAKMVVATGRDHCQQLKDMLSKEDTWSMVVVAALSNQTSIPNPRSAPVVMDPGLLAVACSGSWKKLQSFLNGDPSPTSSDGNIRIRCCCCSWKKRQALPHPEPRPTSSNGTTRSSAIQQPSHDQEALLRLSFLDGVTVAGDTFLHVMATNSVEEDLVEDGPGLICSKAVQRLFVRNNNGDTPLHCAARAEKSQMVTFLVDLARGNDANTVKALLEKQNNRRWTVLHEAVRAGNNHIVKLLMEADPELASFPQDGTSPLYLAILLENKIIAKTLYEKSKGILSYSGPNGQNALHAAVLRGKEHELHRMSTGNGQWIGRKGRTPKVAASNQVDPRASIKLPKYARSDLLVRLNKIISLFTERQIALAGSTGFGAFAKSVHAVQFDKQFTTWLMPRVDTMNRTIGPVDGKRIMIFQEDAGSVFGVPFLGKEVYDSSLDKSEEMRQEVMELIGMQSPEAVPSEAAEKTLTTLAGRELSDEEEDKFKVAFVVFVLWILCDSSNDGDKESRNFWPALKHASTIHTFNWASYILDSVISSCVNARLATRSNTSYSPPAGTALFLQVFYLDNMDYGPLSLPKSSAPRISLFNAQTLSKQIMAETIGLRGQTPCRLFGAGKARRSEDVVYRRVISRDATARMVSKIASAAANNKPECAEKPHCSLQNTARCSPKNKQKRIRAEPDLTNTQGVGSNAPMDVNMQALLAVKAHKARCLKVLAVARKALDEETDKLIATFSKMDITGAALHPFSHYAKFVRYWVRHGSPKFIEISMAQIKTQFTGKHSYEPDTCDALLRRFQQMSYNGVAISWRHYLETDFAMRALAGEDYLSSRSIQNQWIGKQIMYDVSKCMLIITMAMVDNKWVSFAWDLKRRQLTIHDASNKLDKWESHKIVATILNSALRKCIAAYFDGWNVDLGRWATVYTSASSRGDDKENSCRDFATDALYFCQTFDGVKQGGRLTSPKDDFPTQADLLFDVLHLKNNTGTLPPQFVQDLDE >cds.KYUSt_chr3.35434 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222488055:222488618:-1 gene:KYUSg_chr3.35434 transcript:KYUSt_chr3.35434 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRLAGVLIVSVGLLAATVIIVVSLTMDSTTPEFSATVSGYDGLVRSAGAGSTPPSFRVALRVKNGNVWRHCFAARATVQYGGVPLAFASDLDGFCVPAKSVVEVPVVVSGEGLGMPDQLYASLQSRRERQERVPLEVRLMLEEKDTVKDLRFMLLRCTAKLDGMPDLPSRCLLFLLVEPGRIDGE >cds.KYUSt_chr7.29205 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181752407:181752828:-1 gene:KYUSg_chr7.29205 transcript:KYUSt_chr7.29205 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGLDRISPAHLFLLQVLTGSLNLRLHLDLECFRFLVGFLCVLLKDAGLLVPLVDGNLFFEDVRVKLCEFFFVFGQDFLSLFFEGLQIRHLIQHEGIGSVLLVDPPIEG >cds.KYUSt_chr1.18901 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110921091:110928308:1 gene:KYUSg_chr1.18901 transcript:KYUSt_chr1.18901 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSSSLLLLLLAVAATAVAGARVPGSGTGRGLALDGNATSVYLRARRRRAFENGLGRTPQMGWNSWNHFYCGVNENIIRETADALVNTGLAKLGYQYVNIDDCWAELNRDYQGNMVPNKQTFPSGIKALADYVHAKGLKLGIYSDAGTQTCSNRMPGSLDHEEQDVKTFTSWGVDYLKYDNCNPAGRSVMDRYTKMSNAMKKYGKNIFFSLCEWGKENPATWAGSMGNSWRTTDDIADNWVSMTSRADQNDKWAAYAGPGGWNDPDMLEVGNGGMTEAEYRSHFSIWALAKCTQTTTVITDSLGVQGKKVQSDNGLEVWAGPLSGNRKAVVLWNRQGYQATITAYWSSVGLPASATVTVRDLWAHSSSSAGQAPGSSEGQISASVAPHDCKMYILTPKVRVAAPWPPPPFPSLIDTDPAADVQGLLLLSVPDLVELAANRLGARRQQEVFEPVRPHRLSSAAHHGGRSSSPSATTLFSLQRTSPLELRPSPVPLPSRFDDLQEFRVHHRAIRTASASCSLTAVLWTPPRATIPEIGHHPRVLLRQSHHFSFFSVHG >cds.KYUSt_chr3.36426 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229097835:229100799:-1 gene:KYUSg_chr3.36426 transcript:KYUSt_chr3.36426 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADCMQEWPEPVVRVQAVAESGLAAIPDCYVKPPRDRPAAQHLATAASADGDVLHEPLDTSIPVIDLGELVAATADEGRMRQIMEAVAAACREWGFFQVVNHGVAPELMHAAREAWRGFFRLPITAKQQYANLPRTYEGYGSRVGVQKGGPLDWGDYYFLHLAPDAGKSPDKYWPTNPAICKDVSEEYGREVIRLCELLMKVMSASLGLEATRFQEAFGGSECGVCLRANYYPRCPQPDLTLGLSAHSDPGVLTVLLADEHVRGLQVRRADGEWVTVQPARHDAFIVNVGDQIQILSNSMYKSVEHRVMVNAKEERISLALFYNPRGDVPIAPAPETVTPERPALYPSMTFDEYRAYIRKYGPRGKAQVEGAKQGQGS >cds.KYUSt_chr6.27062 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171615228:171617466:1 gene:KYUSg_chr6.27062 transcript:KYUSt_chr6.27062 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSVEGWLSRFGALPMGVGNVVVEPHLEREWAGGGGVRMVVPVGQLPYLVQRGAPPLLQRILSFAPARETAASAILSRRWRPLWRRTSAVNLDITPYLPAKGQDISLRPSPLEAFFRDAVAALAAFPPRTALKRLTLYLVIDDAGGPYYGADDFEPQDDGRVAGLLAAHPATAELEHLLIRCAYDSQKYGLPLASMPFAATLRVLELQLCNFQPPSPPSMAFPCLKDLRLRGCLFMEGYLQAMLDAAPVLTSLLLVNASQKPLAPPDSADDTPTYRTLPLRLRCLTCTVLNLETFVEDQELEAFGHIGIQLDMPSLRSFRYEGHPVKLSLISPAPGLARVDLDATYHGGLSQSRRCEPMPRMLTSFSTTRALKLDVTTMEDILDGEKEHGGIILPTFPNLKLLHLDVFHEHESGVTVLSMARLLRSCPAMSELRVMMWWNYNHEIQRENKDPATTPFAQSMDRFEKLASKPSSRCSISKVSQLPAVLTDNCALFSCLQTSLRKVTLRFNAKEVDCFQVQVAKFLAENAMVLEEMHIDDGSQFWPEHLCHKLPSWRAESFRKRNLPDTAGFQVHQLNL >cds.KYUSt_chr4.55084 pep primary_assembly:MPB_Lper_Kyuss_1697:4:340030301:340031457:-1 gene:KYUSg_chr4.55084 transcript:KYUSt_chr4.55084 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASLCVLVTLVLAAIAAQAQAVSPAKTTKAVMDAVVKQEVENAINCNPGVGAALVRLVFHDCWVRGCDASVLLDKTPSSSSVEKNAANNIGLAGFDVIDSIKARLHDVSCADIVVFAGRESARILSKGSIDYTLAPGRLDGVVSSAAEADATLPEASFSFQELKDNFNRTGFSVEDLVILSGAHSIGVAHRTSFQDRLDAATQTPIDPEYQTALRYRVNVDGLKTPNPTEKNNIRDMGPFFQGVSGYNSSGVDLYTPEAGALDNSYYTANLQKMVLFKSDWELNQDPTHFAGDKLIEYRDNAADWDLDFSDAMARLSSLTSPGPKSEVRKHCRRTNSY >cds.KYUSt_scaffold_1259.56 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:368404:371534:-1 gene:KYUSg_scaffold_1259.56 transcript:KYUSt_scaffold_1259.56 gene_biotype:protein_coding transcript_biotype:protein_coding MILLDQEMSSSNATIAMPLVNNTGAPALAMLAPMAATFLLVVALWRHRSKTAVSEGGTIVGRGLPPAPPGLPVVGNMHQMLANKPVFRWLHRLVKHSGGEILRVRLGPVNVVVVTCPEMAREVLRKNDAVFADRPTTFAAESFSVGYRSVSISPYGDQWRKMRRVLTAEILSPATERRLRRTREDEADHLLRYVHAQCAADTGGGVIDVRHVARHFCGNVIRRLTFGRRHFRCPAVAVAGPDPDEVEHVDALFAVLSYLDAFCVSDYFPALVGLDLDGHEKVIRDVMRTLNRLHDPVIEERVEEWRLLRKAGERRDIVDFLDVLASLDGGAGRPLLTVQEIKSQAIDIMIASVDNPSNAVEWALAEMANKPEIMRKAMAELDGVVGRDRLVQEPDLRGLNYLKACIREAFRLHPYHPFNPPRVAMADTTVAGYSIPRGSQVILSRVGLGRNPKVWDDPLEFRPERHLLAADDDAVVGLGEPELRFVSFSTGRRGCPGISLGTIITLTLLARLLQGFTWSKPPGVDRVELREAETSLVMAQPLRLHARPRLPAHLYEAKHD >cds.KYUSt_chr4.47295 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292634878:292635576:-1 gene:KYUSg_chr4.47295 transcript:KYUSt_chr4.47295 gene_biotype:protein_coding transcript_biotype:protein_coding MVVITVVGIVAAFALLASYYAFVTKCQLLRAVLSRNPPWREPTRAAAPCEEQRRRGLGMPLIRMLPVVTFTAAAEGRRASTSSECAVCLSEFAERERVRLLPGCAHAFHIDCIDTWLQGSARCPFCRRSVTLPPLPLLPPRHDRHWQDELCASGNEDTGSIVIEVRGEHESWAAAGGGRKVRKKAVGDEAVETTRRKEFAVEPMRRSLSMDSSCDKLHVSVVKEFLAQRQMS >cds.KYUSt_chr3.36803 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231476121:231480590:1 gene:KYUSg_chr3.36803 transcript:KYUSt_chr3.36803 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVQIAADRPGRKWRRRHCSGRRGGAEAPPVLKEVGRRRGGEEVGRRLRKKVWRGGGPSAKEEGVAGQRGAGEGGCGRAAAGGSQEQHALKERQHQHAFTEIGNCNVTREVWVFSPVGSLPDKLLVGLTQLRVAATPDDAILSSPETTTATHLQKLGFSPSIVERFLRPFLAETFFDPTLDTSSRLFELVFKRLALGDNALPEAGIGAIAAQLADRLPRGLRPPQRPRHHP >cds.KYUSt_chr3.28957 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180890978:180892302:1 gene:KYUSg_chr3.28957 transcript:KYUSt_chr3.28957 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHPAWGAICLLAVILILCAASLCTHAGRSTSSYHLLDDEEEEDDGGDDSASFSFSSPRATPDELAFGFYDGTCPDAEGIVASTVRELFIADSNVAAALVRLFFHDCFVHGCDASVLLDRINGGKSERDAAPNRSLRGFGAIDKIKARLEKKCPETVSCADILALAARDSLVLVGGPSYPVLTGRRDSARSFHDDVNVPSPNATHAMTLDAFARRGFTERETVALLGAHSIGKVQCRFFRDRIYNFAGTGQPDDSLDADMIGEMRAVCGGAGTAPMEMGYYRQGREVGFGAHYYAKLLAGRGILRSDQQLTAGSTVRWVRVYASGVRGEEAFREDFAHAMVKLSALAPLTGSAGQVRVSCSRPLE >cds.KYUSt_chr2.30834 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189859875:189860573:1 gene:KYUSg_chr2.30834 transcript:KYUSt_chr2.30834 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSRMMLLPVLAVLVLAVLALLPSAAVATNYTVGDEKGWNPKVDYTAWVKKHKPFYKGDWLLFQYQNGRSDVVQVDEVGYDNCNKESAITSHNKGTSYAFQLKEAKDYFFICSYGYCYSGMKLAVTVKKGSASSSSSSSSSSSDSDSDSDSDSSPTPASKSKSSSKSAAAVPLAAGRGVASFAAVVGAAAMLLRML >cds.KYUSt_chr4.15761 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97408927:97410244:1 gene:KYUSg_chr4.15761 transcript:KYUSt_chr4.15761 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRLLLLCLVASAAVTTMAAPPAACDLSTLAPNLARHCQFDPDVPSFLLNPTAQCCEALAASLPEQEEMALPCLCRAAADPWLVAARLDVPRIFALYRSCVKGSFRRGPSFANWYCKVEKTGDIATAACNAATLATKVSRFCVIDKRFVDCCRAVVPTVVLTGRPPCLCRVGAEPQLAAAGLSATGILELYAECGGRSPVGPHLVDACKVWNLPTPALARPSATMAAAVLPPTTASCAPQTLAFLMVSYLYKDPTAESCRDLVASVDLGGGLPCLCHAAVEHVTVGAQLKATDLLAIYNACGGLHLGGAEHKAAATSCEGYGLPLPLPPTGKAPTTQLQQNHDGGAIRVGPDTLPETATA >cds.KYUSt_chr2.46244 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288839375:288840904:-1 gene:KYUSg_chr2.46244 transcript:KYUSt_chr2.46244 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCTALAAAPITSGRWPPPSSVRLHRRRQSSIRADAPPGGEPRRKKVAVAGAGWAGLAAAHHLVKQVSLLVPVPDCSCHAAFPPSIRSAAHALVLIVSLCDNERLLTASVRRHQGYDVTLFAADSGPREEAEFPVFHDQPRLPAPFGIFAYPEFPSLPLIDRLTSIPVLSAVIDFDNTDTAWRKYDTSEEI >cds.KYUSt_chr5.22347 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145871110:145874889:1 gene:KYUSg_chr5.22347 transcript:KYUSt_chr5.22347 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGLYTGIGKKAKDLLYKDYQTDQKFTLTTYAANGAAITATSTKKGDLILGEIQSQIKNKGITVDVKANSASNVITTITADEYAAPGLKTIFSFAVPDQKSGKVELQYLHDYAGINASIGLTANPVVNLSAAFGNSALAVGADVSLDTATKNLTKYNAGLSYTNKDLIASLNLNNKGDSLTASYYHIVEKSNTAVGAELTHSFSSNENSLTIGTQHILDPLTLVKARFNNSGKVSTLIQHEFRPKSLITISAEVDTKAIEKSSKVGIALALKP >cds.KYUSt_chr4.6043 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35448569:35451082:-1 gene:KYUSg_chr4.6043 transcript:KYUSt_chr4.6043 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVHSTGRTSLPPPRPQPAPAPGVQDHGPWPMAILKFAPYPIRIEYLDGSTEWALYCRPWSVHDEHVVEGQTGPQPRQLYRRFPGCQLVSPKLPVVISCKHPFPLCDSQAANGFVVSLCTLIKQAVFQDFIFNNGGLSVAFIFETSWVSENAAAVFGRVNTLKRQFKNLYVVVAVPKVEQIESFNRSYFQYNMELGCPTFVPVNDPEMGFEKMLKIAHARGVCKQQDITSTMRNELSQAIGSIEAIAKASVGFILENTDLSMDKAKTVVTFFRDPQYYLSPKIN >cds.KYUSt_chr6.15610 pep primary_assembly:MPB_Lper_Kyuss_1697:6:98034817:98035569:-1 gene:KYUSg_chr6.15610 transcript:KYUSt_chr6.15610 gene_biotype:protein_coding transcript_biotype:protein_coding MFAMGMFLLQDGVYTKFDTHRPKFYWEGAGPKRKYHLVNWPAVCRPKACGGLGIINSMKMNIALMLKWVWKLFQEDNPIWAQILRAKYTSADNIFAGSGQGGLQFWHSIHKIKHFFKLGATYKVKDGQRTLFWLDTWHGDRALKDQFPRLFSIAMHQGCSVFQVCDGSTQMGFRRALDVEALQEWHRLRDIIENAVLSEGQDMISWKLERSGKFSVNSMYRTLSAGASIAHFKDVWAAKIPLKLGSFPGN >cds.KYUSt_chr6.29169 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184824313:184825023:1 gene:KYUSg_chr6.29169 transcript:KYUSt_chr6.29169 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPTTPSFLRPPPALPHHRVRLPAPPPSASFRLAEILGGRGLCNGEVGIRKELSSPSPPPPSTPTPTGESSSPANADEPPAVDPDAFEKEMMGLTGGFPGGEVGLKDFVAKNPPPPPPKKTQPASPTAVVPAGRPRAPELPLFLPGMVVLVKNPMNAYHMYCGIVQRVTDGRVGVLFEGGNWDRLITFGVGELEGREKGPPMVNPKSVVLEALVGDDEEEAQKKKEAEGAAAKA >cds.KYUSt_chr7.20118 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124653957:124656278:-1 gene:KYUSg_chr7.20118 transcript:KYUSt_chr7.20118 gene_biotype:protein_coding transcript_biotype:protein_coding MELLASSPPPLFPSSPCRRSGTLHNFHAVAPGKSYSSGETSRRAICRAAEIHGSEQVKGREPLRRGTVSPRLKVPDHIPRPPYDGTDQLPDVNPDRQMHDSESIVRMRAACKLAARVLQYAGTLVKPSMTTDEIDRAVHRMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRELRDGDIINIDVTVYLNVTEECMLRGISACKHGASFKTIGERISEHVNKYGYSVDPFVGHGVGTIFHSEPIIWHTYDYEPGFMVAGQTFTIEPTLSMGSTQCEVWGDGWTAITVDGSLNAQFEHTVLVTVDGAEVLTKC >cds.KYUSt_chr1.39909 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244340297:244342026:1 gene:KYUSg_chr1.39909 transcript:KYUSt_chr1.39909 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLKVYADRLSQPSRALIIFCRHVPTSPSDPFKRVPFSYGDPSVDCRVNKLDFEEVTVNLGKGQHRTPEFNKINPMGQVPVIVDGRFRLFESHAILRYLATVFPGVPDHWYPVDLFTRAKIESILDWHHSNLRRGAGE >cds.KYUSt_chr2.1730 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10308520:10313010:-1 gene:KYUSg_chr2.1730 transcript:KYUSt_chr2.1730 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNRSPQRRQEPLPRVPKVPPKRPYDYTVEENDKIAKEQYMKSNFGKKKPQPEPEPTISKEKKLKMLKYLHQPEPKLSSNYDRSIRKSTVVAKERWEKSKVEGKPVPQLGTQKNPCPPLQVYPDVLQCLDPTLVKLYKDEVDAAGMSIPEYLSRIEFMNTDDVQIAYQYKYGQPLVRAEELPKLSTQLRRLHKWYMDACEDGQNWIMIAIKEEHYGRIDVINMEFSELFQLFNQNAIDKSMLSAYCPMKIRECRLGQIYDIGFVDPYTVNEYSVNRFPKDTENNLRALLGALDLLFLLVTSVLALLTRRTMTAVDAVAPEQEPLLPAPNPPLRPTTGRYAVGLGASVVLAAAAAVLLALAVLLLPVGTPWRSSEFAFLAVHSAAHAAAAWTVFASRKQTDHPPYLRVFWIATALAAALFSASAAIRDADRSPLFPDDILSFIFLILSLPLAYIAVTGYSGGLIASTAARHEQVQRSSDAAATPYVTASFLSRAAFSWINPLVSKGHADGSLAADDVPEVSPSHRAEAAHALLASNWPAAASASWSPVGVALWLSFWPKLLLTAALGLVQLAAMYVGPSLIDRFVEFIRRGGTPWEGLQLVLTLLVGKAVQTLASHHYNFQGQLLGMRIRGALLTALYGKSLRLSAGARRAHGAGAIVNYMTVDASMVSGAMHMLHGLWLMPLQIAVALLLLYSYLGPSVLMTLAVIAAVTVATAFASKLSLAYQLKFVGVRDSRVKAITEMLNHMRVIKLQAWEEAFSGKVRELRRAELGWLKKIILFVCASNVVFSSGPVAMTVLVFGTYIAAGGELDAGKVFTATAFFGMLQGPMSNFPQTIVMCVQAFVSLGRLDKYLSDAEVDGTAVERLGSSGAGDAAVKVQGGVFAWDIQGDGKKENDGGGEEPVLKGIDMEVRKGELVAVVGTVGSGKSSLLSCIMAEMHKVSGKVSALQILFSSFRV >cds.KYUSt_chr3.48923 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305659758:305665030:1 gene:KYUSg_chr3.48923 transcript:KYUSt_chr3.48923 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNRDLAKALEKLAELITTKGDGGGGSAGGGAIVPHTNIGQKLELPANEIKLEGVANYLRWSRRALLILNSKGLDECVSGEATEPADKASPEWKQWNATNSLIVAWLLNSLVPNIAASVEALTKASEVWDTLSNLYSGKGNIMLIAEIEDKVHDLQQGNKSVMAYVAELQHLWGDLDHVDPLELAHGECVSAAASWIERRRVMKFLKERGEEDTHMAEEATEEEVMAEVVDSHMDSSMEEVVDSSMAEVVDSHMDISMAEVVDNHMDNNMARGGGQQHAISPKAHMASSFRANYQVPLGDNQRKKDRMKQPLGTLLTMSTKMKDYRIIVDRYMCLIQERESSRKIGTATRHRGLWHIDRDKMGHDASSVSAAIVGGKESILHQTSCPDTPPQNGVVERKNRHILEVARSLMFTMNVPKFLWSEAVMTATYLINRMPSKILESFYDGESDLSGLFQGLDHLGDAQEGEQQQGGDQEQQDGDQQQHQQIPIVAEIPAIPEGKVERYKARLVARGYSQTYGIDYDETFAPVAKMSTVRILISCAANFGWPLHQLDVKNAFLHGDLQEEVYMEMPPGFVRPETKGKKITILAVYVDDIIITGDDDVEIAKLKGCLSQAFEVKDLGKLKYFLGVEVARSSNGIVLSQRNLLLPQVRPSPLSTQMEDSLFPNTNFDSLLSKFNHEWETPLAIAVALGHVFLASDLLERCHCMRLRQAILQQDKDGFNALHHAIRNRHEDLALKLIEKEPLLSQALSNYGESPMFVAVMRHFTKVSSKLLDVHDSFDRGKYGRNALHAAARNGNSGETLVEFKLRSDIVLDYFMI >cds.KYUSt_chr4.31053 pep primary_assembly:MPB_Lper_Kyuss_1697:4:194236674:194239030:-1 gene:KYUSg_chr4.31053 transcript:KYUSt_chr4.31053 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVDGCGTVLGRSQHGPYVGMYRAVQEAISRATTIAPNYDLPLAEFRNRAFQVPASRSTLRPLPESSTARVLFEEDEREQSKTEADAKFNYSMDAMSDKLEDLVRTMKSEQKNKSKKSTDGDRSIPFNWMLGDGTAPKRGRRTTSDQNRFQRPRDHMKGTAAWFVHNATKADLREVWIEFRDPPMVSVDGIYIKRMLQLREEMSEEMGNGVVRVPRNGEADKRAETDGNVHYVMHTLLNHQENRSKGKKVLTKKRKAEGVTIEDDKRAGYVSDEGSDGETNNQGRRNALPPQAFAYLAWPSI >cds.KYUSt_chr5.963 pep primary_assembly:MPB_Lper_Kyuss_1697:5:6634136:6634794:-1 gene:KYUSg_chr5.963 transcript:KYUSt_chr5.963 gene_biotype:protein_coding transcript_biotype:protein_coding MEASISSLCGALSDVLAHADSSAGALSDALTRRPIPLDSATTAFLQGLDRRVEAAGADLARLESMAFGTVSVEELLGHCQQALNIVSRHADAVEFRLVSFGYVAPEVDAEEEDGDTGELEVPGNGRLGESSSVLRSDLDRFDDDDDALYPFISYVIYLFLSWV >cds.KYUSt_chr1.29929 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181255089:181255694:1 gene:KYUSg_chr1.29929 transcript:KYUSt_chr1.29929 gene_biotype:protein_coding transcript_biotype:protein_coding MREGSANGGADRAGGGADAAAAAAVPMEAARRQKQPSSSGSAASSSSAEERVGENKQGRRRSKRREEVMRAIRDRLPAAPSCWGNSVSVVQERRGRRGRPDDRGRNGGGGDAVEVLEEVSVPGRGPGTAAAPAWCCLCPEGDCCSLEANPSANGKEDPGLRALLERNDFYSDDCNPHAPAANAAVNDDDDGGFETPAADFD >cds.KYUSt_chr7.28037 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175083735:175087700:-1 gene:KYUSg_chr7.28037 transcript:KYUSt_chr7.28037 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTRGGSERSPLNTSGANKGTSLREIEEEEAAVDSEPEEDVGGGKLYVAVGKDLKEGRSNLLWAARNLLACDLKLILLHVHQPAERIMTGLCKVSASHLEEKKLEAYRKTEKEEMTTLLDQYLNFCRVSLKVQVETLVIEKKNPATGIVELIDQHHITRLAMGASSISTKRKAPKSKVAAIVHLQAKPYCDIFYICKETLVCSSQQSTGGSSWGPNDLDIMDGSTAPLSIASSEEHQRSTVELQHKKDHAVRQAEEMRQINGNTVFAGVFALTEFRYEEIKEATDDFDDSKKIGQGGCGSVYKGFLRHTTVAIKKFNSEGTTGEKEYNDKVETLCRMRHPNLVTLIGVCREAKVFVLEFMPNGSLEDCLQCKHQTKSLSWQMRIRIAADICTGLIFLHSNKPKGIAHGDLKPDNVLLDTSFVCKLADFGISRSLNLTNTTVTPYHLTNQIKGTMGYMDPGYTTSGELTAQSDVYSFGVVLMRLLTGKNPLGLPIEVEAALRNDTLQEIIDTSAGYWPPQYTEELARLALRCCRYDRKERPDLAKEVWGVLEAMMNCPGDKYQPPMFFICPISQEIMRDPHIAADGFTYEGDTIKDWLQSGHTMSPMTYLTFTHHELIPNNALRFAIQEWQMQSFCPIADF >cds.KYUSt_chr3.16862 pep primary_assembly:MPB_Lper_Kyuss_1697:3:103335890:103336135:1 gene:KYUSg_chr3.16862 transcript:KYUSt_chr3.16862 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVVGSNYVEMDRNSLHQQVRPRRLQAYQARIKVGSTARMTVRDQHVAVEREEAVERLRADASSLDFPATVDKLGTDARS >cds.KYUSt_chr6.26279 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166538716:166539888:1 gene:KYUSg_chr6.26279 transcript:KYUSt_chr6.26279 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMRFMYSGLGFTVLLGKTAYFPCNMSNRILEYNIAEKRLSVIDTQFHEYYQWHAVLVAVEDDGVLVFAGLEDSSLHVWSREAGQDGVVAWARRRVIHLELLVPRPGCCSTPVWDGMSGGLLVGSADGVIFLSTHAESYTVDITSGQVRKLTNMRSTDKIIPYTSFLHSRFCICPMHVSV >cds.KYUSt_chr3.44499 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280862714:280862980:1 gene:KYUSg_chr3.44499 transcript:KYUSt_chr3.44499 gene_biotype:protein_coding transcript_biotype:protein_coding MALASASGGSGGRDFSVVVLGSDFAADASAALLTSSSADGEEAEWHDCAPDLGDDFPDLEELQVVRVQGADRSGRSVVRVVGKFFPGA >cds.KYUSt_chr4.38597 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238044559:238045123:-1 gene:KYUSg_chr4.38597 transcript:KYUSt_chr4.38597 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTLEIESPVAAQRLFRAGVMDWHTLAPKLAPHIVVSAHPVEGEGSIGSVRQFNFTSAMPFSFMKERLDFVDADKCESKSTLIEGGGIGTAVETATSHIKVETTASGGSVVKVESTYKLLPGVEEKDEITKAKDSVTNIFKGAEAYLVANPDAYN >cds.KYUSt_chr6.6993 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42435809:42448770:-1 gene:KYUSg_chr6.6993 transcript:KYUSt_chr6.6993 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAEDLLAALSSPSSGAGLRSRFSAYLQPFSPHLPGANPNPTNPPPKRATKQPKQQQPPPPPPPDAAALRPLAKRFLPFLCRALHLLPPLLRPNPSSADADELLQIYGLVLDCLAAISACLAGKPYAVLLQRGHFVCCLESRGHYARAEAEAAATLDALRHALSPTPAPTAPKPRRGAASAAPLLPDPGVAGEAGADPEISTLAIELTVCLANCASKGKVKEAAPYERECEKARMMDRLPTVARKICSAVDLSRVGSMELLHDVLKTAIESALRVKAPKALELCCQTTWAYASLSYSRLSATAEGHIVTEDLPEDTLNDIITDAFARITKMVDILNRCGSKVIRDIVVKSLSELLAYGDTSDYHKSYLVLIKLWVKITLKDFGDDQCVDNAPLLYHSLMGYPSPLPKKLIGLILEQELFAYGAMESRATMLCANMQNRIIHILLNEIYCSKEYYLDRSKVLVRKARALRASGVQNISSCLVCLSDAISLLKGVLDSSQGNAIVIHELATAYCLHAHCAQEANYGEEVIFDGVRSAVDLWSKMGALHHCSPGEIFQQLSETLVPLLCSLVDLLAMKGCFELQFELCKLIIKIWKEENLPLEKLFSMLFINGRLNHACCHLPMDQKFVSYVSQHLGVDCHNTIFWRNCFKGDYPSLSMFLQRLWPVDFFSQSCEQSFEFQFGLNASVDEIDKVASSLVSEVPSSNQSTYLAGCIYYDLSERLLSRGQPHQAISYGREALQLRKKLLKKKFKFNLGKFISGENQCSGGQGFVSLEAWGPTMAEIWPDCTRRSSMGDSFLTPWNVLRCYLESILQVALMHELIGDGAEAEVLLRTGREISCFQGLPVFAVVFTSELGQLYRKRQLWDAAEGELKDARKLLADNDEFISCKSCKLTLEISVDEQYGDLFWNLFEKDFQKRSTCNLSNALGMYQSAMEKLNDTCSEFSAGSTNDKLNTSCILCSKDCIAETKRGACDHGKEPIAAEDRVLPTCAPCLLFSHAPINQYDALVGLKSERGNLKNAESAPPLDINVKRAFRTSRLAKEQNVAARAKTRTTRSSKRTAHVKNALVCGTSCSLYGVDGNRDDMCSMFGCWNCLFVNSLNFECIKNILQFRKDCIRRRHLVSLLLKTARALGAQGGKHGAHEVHSIYWQCISLLYFRCLPQGCYTTYGPHLIGLIMNVNTGDFLSLECAEILYSISFFLLKGSLSEQSRDGCCSLSSVQMSHVVSWLLKAFALSGESPSLLQEVCRLLTCIFLLSTIDSTVQLPSYSKGFLSLNHWAAYFHQTSVGTYLDCHYLASLQALPRKIDKKCVAGFLAGKTDEVPKFLRFPSADMEQLENHVTKFFHELPDVPIVCISMLGGDIVNVLGETLLLPSLFPAWMLISRFDSTNKPTTMLLPVDPISKEAHNGDSPIKELDNPTRASDKKWNCPWSYTIIDYVAPTFKKLLEDNFRSLSGATDIPKDGQANARRWWSDRMKLNGDLNEILENMEELWLGPWKCLLLGHQLIDQHREGEAVLENLITGLESEFKLEVDQALIKVILGGVASVGELKECVSQLILYKGYFGRGGCCGRDRLRAFSCQIDDEAVVTVEHLCNGILTELAGPVDRKPVILVLDTDVQGNVGDAPTADELVLALRNHDLFLYFGHGSGSQYVSGKEIEKLDNCAAALLMGCSSGTLHCKGGYAPQGAPLSYLLAGSPSVIANLWDVSDKDIDRFSKALLNSWLQENLMASKDCPKCCPLAQEFESMTIAAKDNGKPRRKGSRSRKHQQTVEIDDSSNCCNCGHKRIASHISEARRACRLPLMIGASPVCYGVPTIIRKK >cds.KYUSt_chr7.8738 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52935074:52936226:-1 gene:KYUSg_chr7.8738 transcript:KYUSt_chr7.8738 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFFVCLHDSGCGDHTFGFPWSAPTVNAQSCLIASKPAMVWKPELSEFVLNRLVHLVRSGVCFNIGFKEQQMKKVAADVLAFAGVHVTTLQLYNHIRNWRTKWSVIMKIKSDRILEWSEDGCFFYSGDEGTADEYIQAIDFIADNEAEYAVYRKLQPPERRSWLRTWLRNQFPA >cds.KYUSt_chr2.42581 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265226606:265227748:-1 gene:KYUSg_chr2.42581 transcript:KYUSt_chr2.42581 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSAGVGEELRQIYLPGRSSHHPNGAPCQRTPSPKYHAKKGSPSLLPPERQAEGEGPNADAGDWSRKVSAAAAWERRRRGSNRSEQPSSLSLVPAKELRAVAARPGDKPSRRVAAADGTSWSSTRSGSGEQRRGGLGRRRRDPPGESPVNGQNEVATVEEGTVAAGMERRWLDKAGGGRNLVAATGSGAKCGRIEAKSDQDPQQIHSAMEKEGWESGRRTGTAALNLRRRNSPGDHAFSHRNLPDDHGFGHQPLEHRRTRSPT >cds.KYUSt_chr1.27740 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167157223:167162766:1 gene:KYUSg_chr1.27740 transcript:KYUSt_chr1.27740 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEALAASHLVGAIAHDVPGLKAPEADVGVLHEARGYGGAEAESDAPRATGAAVVVPAARLLEALGVDGGLAVDPVHLRAGPRRVAAGALRRWRRRREQRQGRNMKEMVESGNCAKQEKDDKFQQVKTEIYEMVKARLATKPKVTEQKDDSADDIQGVVNIDERRALKGKFVLDAPLEDRICDLYDLYVEGMDEDKGPQSRKLYVELADLWPQGYMDKVEIRDAISRSKQRRNLLYRQRKVRNEERMKRRRLAAAAKLRDGKLVETQYTMAQQVIHPPIKDANLPMPSTQTVYPVVNYGHNQVSRIADKVGEMSVAGASDGNRSSSADIKRRKLGLDLVDLQANPLKAPQRHGSEKQKPPKRADEAKAGSSLPQTVPAVVGYDPQRPGYS >cds.KYUSt_chr2.40618 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252307691:252308212:-1 gene:KYUSg_chr2.40618 transcript:KYUSt_chr2.40618 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSETDGSDPDMEKQQPLLLPAAADQETPSACCKAKGCSCASPTAARTLALVVLATGALFAAQLVAREEYLLLAVSASQLLSFVVLTSLLALCALPDEEEKDGAPRRLLAWARAAGGQVLLWSFAMALMVSMALWVAGTTPVVVGAALFGLALAVVFACYAELVQSLWPDE >cds.KYUSt_chr5.35478 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224166909:224173990:1 gene:KYUSg_chr5.35478 transcript:KYUSt_chr5.35478 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNALALSQFRTRLGSPLHLSADASSVARSSSGRSMASRYDTGAPPSRAGRGRVWKRDDPESSPRRAGISGIPKLDPKFSNKGAGTNKAPIINFEVSHHRAVAAVRLLRADKGKAFVDLLNEKANDSAENEMGYVERTLGFSTRNLDDRDIRLVTVIVAGTVRWKRYIDFLIMSLCSDEKVFSKMEPLLLQILRIGFFEILKLNVPAYAVVDENVRLAKVALRPGAGNMVNAILRKLLSLKETDSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKEEALKLMDWNNSDPYFSLRVNTTNGYTRDDLINRLDDLQVHYEKSIMDEFVRIREGMQAVLQAGLLKEGMCAVQDESAGLVVSVVDPQPGETIMDCCAAPGGKTLFMASRLAGQGKIWALDINKGRLRILMEAAKCHNLDDIITDVHGDLRLYAKESTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELICLQDELLDSASLLVKPGGVLIYSTCSIDHEENENRITAFVQRHPNLSQMKVSIPQLQLNTLWMGHLLLVLSGHCTRTPRTRRANVEKPIEANVIENGILPLSFLMNIAS >cds.KYUSt_chr3.36837 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231708057:231709133:-1 gene:KYUSg_chr3.36837 transcript:KYUSt_chr3.36837 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISDLAFSFARFVAREHHPRRASARTATRRNHSHWYFGPRRHGRPDWNGGLRHRGNRWVPRRGGKRRGLSLRGGGLRRRLRSNRRTPQHHNRHWMRRHRTPGDPGPSTTAVMRREQTPMVAAEPAVVVAAPAVAAEEVVDAVYEDEASASNVSADIDELMPVPPEFALPPMEWLLGGPSAGWLVDDPERDFGDEELLVPPPPPASPPTMYYCMRHGFQPCLPSPTPSDEDRAHFAPPGDDPVPEFFAPPNAIQPEAAMEKVEAVAPAPAAPVLPDLNLPAPEKEEDEPVLALPTPSPEARVILRRFAAAMAARPVGIRRGTWSPAALGFTDENGVLRIDEATSSRLSSADGPCRRR >cds.KYUSt_chr3.39403 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248205485:248206717:-1 gene:KYUSg_chr3.39403 transcript:KYUSt_chr3.39403 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVSKKQVQALGTEGWSKWSSLQDDLVRRIAGSFLASNDLDHYMCLRAVCPSWRSATDDPKDNASNPVFHPLRWIILDEVFQGDDDMRILLNTHTGRFLHKKLPLLREYYVVATTPSGFFVLADRTPPHTARVFNPLTGCLTCFPWSVPLEAGVAQVGHDDGLFYLYFLGDSSRKIYIGFPEVKYFVSRDCQQLAYNAVRDAVLGGAYPQHISVPALVDAFADLFDFMRSHSDFFNFFLSDLPGDTENNIRFRCYVVGLPAQVFLHVEREGRTPIVFKMNTETGKIEPVKSVGTFTIFIGCHRCLTVDADKFPAIEANCVYYTQHLGSSAQICKYNLSDKKVERLSEVAEFVKEDKQFVLVAARPFTIIQLLCSYTINRRDSELALQQMVQGAEQSCSNFVDGDLDG >cds.KYUSt_chr7.31292 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194853479:194856984:1 gene:KYUSg_chr7.31292 transcript:KYUSt_chr7.31292 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHPGPGPFHVFSSKSSSNVTLATFAPQPLLADAGQQHGVAATLGMAAPGMAATEAGRFFLPRGAEQQQQQLENWGDSVSGVVVTSPLTETSTDLDDSGDMRHRASMVGARPVLAPLSYSWLTRRIMQFRAVPCWQGGGGGDGDGAGQPRLGCVDSSDRRGDQKMSRRLAQNREAARKSRVKKKAYLQQLESSRSKLEQLEQELQRARQQGMFIAGGSSGDHSSGGALAFDLEYARWLDDHQRHINNLRVAVVTNMSDEELRLLVESVMLHYDDLFRLKSFATKSDVFHVMSGMWMSPAERFFMWLGGFRSSELLKVLASQLEPLTDQQLMGICSLQQSSQQAEDALSQGMEALQQGLAETLAAAAGVGPLATGADNVTNYMGQMAIAMGKLSTLENFLRQGDLLRQQTLQQLHRILTTRQAARALLVISDYFSRLRALSSLWLARPRE >cds.KYUSt_chr4.36784 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226192467:226196325:-1 gene:KYUSg_chr4.36784 transcript:KYUSt_chr4.36784 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASAAAPPPPPPPAQPHGAAPPYGVGLAGILPPKPDGDEKKEEKVDYLNLPCPVAYEEIQREALMSLKPELFEGLRFDFTKMLSQKFALSHSVLMGSLEVPSQSADVIKVPTAQYEFGANFIDLPKLMLIGRLMTDGRLNARVKCDLTENLALKVNAQLTSEPHYSQGMFNFDYKGTDYRAQFQIGNNAFYGANYIQSVTPNLSMGTEIFWLGQQRKSGIGFNSRYNTDKMVGTLQVASTGIVALSYVQKVSEKVSLATDFMYNHMSRDVTSSVGYDYILRQCRLRGKIDSNGVVAAYLEERLNMGVNFLLSAEIDHAKKNYKFGFGMTVGE >cds.KYUSt_contig_7441.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001530.1:78728:81321:1 gene:KYUSg_contig_7441.9 transcript:KYUSt_contig_7441.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLSPAAGEGKVVDSFGGIRAYVAGAQDSKAAVVLISDVYGFEAPILRNIADKVASSGYFVVVPDFLHGDPFVPGDADRPIKVWIKDHTPEKGFEEAKPVIAALKEQGVTSVGAAGYCWGAKVVAELAKANEIQAAVMLHPSFVTVDDIKEVKCPIAILGAETDVMSPPELVKQFEQVLSSSNAAGCFVKIFPGVSHGWTVRYDSNDAVAVKNAEEALKDMTDWFNKNLK >cds.KYUSt_chr2.29342 pep primary_assembly:MPB_Lper_Kyuss_1697:2:180533549:180536625:1 gene:KYUSg_chr2.29342 transcript:KYUSt_chr2.29342 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYSKKTQASKLGDALGIPFFIDNIIRAWRIDEDDRAPVLHGDREADHGDLLHDGNSMVRLPVLLAVEMLAYRTMQTRKNTSVWDSWRQTKISVRCASTR >cds.KYUSt_chr2.39128 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242613887:242614216:1 gene:KYUSg_chr2.39128 transcript:KYUSt_chr2.39128 gene_biotype:protein_coding transcript_biotype:protein_coding MESCCMHASFLLGSAESKLSVVEITLELYELCGDSFVVAQLLELSVGVVMPPSVEEVRSDSPEISVVTSPLSQTLGFEKSGVVDVVVPLSLVSNRHVVPIGDGLLSQNC >cds.KYUSt_chr1.18986 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111506511:111510003:1 gene:KYUSg_chr1.18986 transcript:KYUSt_chr1.18986 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDQSRRHNPSTSKRRTHFSSDDGKRKRVNTRQDDGPVSSRPIETIYRILCPGKKIGSVLGRGGHVVKALREETKAKIRVADSIPGADDRVIIVFDYQNHSEQAVQNISNNDGLENMKPHCFAQDALLKIHDKIAADEDPNEGGGIRILPSENLPQCALKRDELVQISGTPSLVRKALYEISTRLHQHPRKENPPLEEIIDASTRRKLESPPLVPHENPMLPHLHVDHAPSMPMLDSYRNRPSQYPVPIAEEFSIRILCASELIGPVIGKSGANVKQVEQQTGARILVKELDKDASGERLIVISSKEIPGDPVSPVIEALILLHSKVSAPSEEGDLVTRLVVPSKTVGCILGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGVPDTSREALTEIASRLRTRTLRDESSANNPLPFARFDAPPADMFLSRELALYGRPPANPPYGRPANDPLYGRSANDSPYQKLAVDPAYGRPASDSLYGRPANDPPYGRLANDPLYGRPANDTPYGRPINDLPYGRSATDMPYGRPNNKPLDLSSVYPVDYFSKRREYPGSGPLASNAPPSASFDRYAAPAPLPTMQMPLPASPGADYMSHRSYRDHMPTDSYSSRGTQQLGLSRTGNTSVQQLGVTRAANSNAYDYTEAARQMHGREDYQRLPGPIPGYSSSSLEVRIPKGSLESIIGAGGVNLAEIRQISGARMNLREAHPGSSESIMEIQGMPDQVRAAQSLLQGFIGANSQSTQPSKALDVHYPRWN >cds.KYUSt_chr1.8271 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50922781:50925559:1 gene:KYUSg_chr1.8271 transcript:KYUSt_chr1.8271 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSIRSFAPNQVNEGNPDLHEVEKDVDKTSKHKRRRRVTKVQQSVRDDGNQKDVQVDVQVDQMEGEANPRDKEVKSKKRKPGDRFIVAGQPKKKKIKVSVVSEDCHDEGPAVVYEEKASDGQSVDITCNMIAEDDQTLNKEADKLYEEDGIDEGTVNIEELVQASEEVLPIVPVEIEVSLPHTNMVDALRILQGYGTGSQSSTETPQVHITGDGMQVDQEDERSNGSAQLKTNTTKSDTFSDQVQVTPVARRPVTRSMSPLKSPLLKEDVKNTAPSPRRLTRFATAEARANASSTKLCNSPPSASKSFRNMSKNLSVDLGNAETPESEHQRKVRELDEDCPSFDLGFSPGEQTMVEHTAPELTVPELTVPEQTIFASIEMPTSGGKAINLQNSYGVSPTTPGSSTPIPQTKRILKLGPQQKSPFVVNDKKPSVPKSDTELYNKVCMYGGKTKDKLKRKGLSIMELSLFNSVIYQTQSSQEIMFSVLQDLTPEIKKMTGHYYLIVLNLTSGRFEVMDSLRREGDKALMADARTIIGSIKHLWATNYSESKINISKYKIVHITTPRQLTTYDCGFFMLKYIECWNGRRMAAINPSDMPALRKIFLKKWMDYVENRIDWEELLFPVRNC >cds.KYUSt_chr2.14421 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91235191:91235763:-1 gene:KYUSg_chr2.14421 transcript:KYUSt_chr2.14421 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEYIHSHDQILSLQARSDALVRSDALVLVNLVSLESVRIAPESYALLRPLLQSGRIRCAEMSLLSAAAGLALELHRLQHDLLPQVMDQEAKLEPGVLQALLHIKNSASAISHLGTAFKEAHDRGRFHDDALVSRQALDLGIVVEDAAVNVLEKGDPILWLQESHRIPWIVQLVTSLLATPLRFSESHF >cds.KYUSt_chr5.16781 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108033007:108034585:-1 gene:KYUSg_chr5.16781 transcript:KYUSt_chr5.16781 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPQRDAEMAAKYGISLEVLREELPRPLQSAPDGQIIFGDPLRVRVATAHKLQEAVDLILETAASFGDTPPRPPSATDCYVVPYSPPREAPALRQLLDGAPYVTVRNMAVLEAKEEALDQITRRIAAVEELIGMLRNLAARLLLRHQNPAANAKQRLFALKIRYVLCKIRSGDIDTAKSLLAGVPGIEGNAAEFGQERILEVAGDLSELYLEDLDLVEEDEVSDEICRLILAEVSSSHWRDKMQLIDDDPRLLEFIEDTIEELQRRVTLYEEITTEPSLIGASHVDFDEL >cds.KYUSt_contig_988.423 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2511878:2513867:-1 gene:KYUSg_contig_988.423 transcript:KYUSt_contig_988.423 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLPPLPATPTTITCLGDDLLREIFLRLPALPSLVRAAFTCCAFHHVVRSSPAFRRSFRALHAPPVLAFFLDPNFEVAPAFPCPWRSGDPELDQADLIDVCFPHSIRSRQGYFILDNVSGSTSAYYNPLMQALYLDIHYISLHFYTLSSEDGQAPPRVVCVIQVLGGWARAAVFSSDTKEWQFFPENMLTIIDADMAGRVMRGLIWWPNCCLEKTVVLNTATFQFSLIDVPSPSIREEESSYKLGETKDGKLCLVDLNDDKLVSYFLTADDDSVIKRWMLYKEFPLVPIVKNLTGLSKDEELRHVGVKLATVINGFVYLSIFYYKDTQSSEMYLSLCLETSEICKLFKGAYCYNSQSHPYVMAWPPSLLQSKEESETEFTEDSVADDVPVGTENASSVLVAALESLSQALMMDDGGITKEIVAAVHASLHPGADQDGITKNVMATLDAFLRPNEDGDGLLSKITSFDAQLITGRDRILRTSV >cds.KYUSt_chr3.9247 pep primary_assembly:MPB_Lper_Kyuss_1697:3:54153306:54159805:1 gene:KYUSg_chr3.9247 transcript:KYUSt_chr3.9247 gene_biotype:protein_coding transcript_biotype:protein_coding MFFALGVSSDKEAFDMIDMGDCDASVMNAISATISESGELCEGFRKSDKARQYVDDLVKSQKFPPAESFDDYVAKNLFPGISGNRNKAYFLGYMVKCLLMAFTGKRKCDNKDDFRNKRLELPGELLGRELRAHLRHAERLMVKALQRDLNSDRDLEFPLRYLDASVITNGINRAFATGSWCHPFKRNERCSGIVATLRRTNPLQMMSDLRKSRQQVAYAGKAGDARYPNPSYWGKMCFMSTPDGENCGLVKNLAVTAIVSCRVAQPLIDRFISCGMNKLDEIHAEEIPKMVKIFLNGDWVGSCTDPASFVMRLRCMRRGGLIDPQVEIKRDKHQLPGEVRVFSDAGRILRPLLVVENLNKITKRKGCPYSFQTLVQQEIIEFIGVEEEEDIQCAWGIRHLFPSSGQEVPGYTHCELNGSFLLGLSCGLIPFANHNFARRVLYQSEKHSQQAIGYSTTNPRTRVDTLSHQLYYPQKPLFKTVTADCIGRSDYPFGRKDGFSRPEYFNGQNAIVAVNVHQGFNQEDSLVINRASLERGMFRTELIRSYKAEVETKEPIKRLKMKEKVNFGKMESKRGRVDNLDDDGLPYVGASLQSGDIVIGKVSESGEDHSIKLKHTEKGMVQRVLLSANDEGKNFAVVSLRQVRSPCVGDKFSSMHGQKGVVGFLESQENFPFTCQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGKIRYATPFTTASLEVISEQLHKAGFQRWGAEGVLNGQSGERMKSLIFMGPNFYQRLTHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSEMHVCQTCERAANVIIRPVQGGKKIRGPYCGFCRSSENIVRIAVPYGAKLLYQELFSMGICLKFKTEVC >cds.KYUSt_chr1.8324 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51234328:51234983:1 gene:KYUSg_chr1.8324 transcript:KYUSt_chr1.8324 gene_biotype:protein_coding transcript_biotype:protein_coding MANPGAGAAASRMALLALLLVAVFAGSSLAQAPGNRTVAAAAAARTCKYTVKVKTSCASPPRTSDAVSVAFGDAYRNEAYGARLPGGGRAFERCGADTFHVTGACGYGVCYLYLRRAGRDGWAPEWVQVAEPAGPGAGERPATFRFGEPLPDNVWYGHNRCPKAARTAAARTNTSSVDAASSPLG >cds.KYUSt_chr1.411 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2173583:2175136:1 gene:KYUSg_chr1.411 transcript:KYUSt_chr1.411 gene_biotype:protein_coding transcript_biotype:protein_coding MERINVEEAAAGKINSLLLDSARVGSWVALDFLLKHDPPMMIRTEEFLALVASANRRTAAPDVDPGIDQEPASLAAGALLQGVLEADVGDIKPPLLLSARVGSWKALKLLFDREDPQDPPMVIPSEEFLASLVIAKGSVPVPVPAAPDSRQGVLRPVSLAARQLLKGVTPDGDSVLHVIATSGDDKDFLKYAGMICGRERGLLFLKNRNGETPLHCADRAGNSKMVSHLIDLAGRETSAGEINPPLLLSARAGSWKALKLLFDRDDAQYPPMMIPTEEFLGSLVIAEEPAARDLEQGVPRPVSIAPRQLLKGVTPDGDSVLHAVAGSGDGENFLKYAAMICGRDWDLLFTKNHNGDTPLHCAARAGNSKMASCLMALAGSARDDDGPDGKLTLLRMQNKRHETALHEAIRSEDGRLLGPEDRQALFQANRPTAEKIRDFVLQQKGMTIVKQLMGVDPQLANYPANGISPLYLAILLEKGTIALTLYQKSGGKLSYSGADGQNALHAALIRDRDTGTN >cds.KYUSt_chr4.7258 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42983954:42989318:-1 gene:KYUSg_chr4.7258 transcript:KYUSt_chr4.7258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I, Photoperiodic control of flowering time, Long-day repressio [Source: Projected from Oryza sativa (Os03g0793500)] MPELRSGVRRARLRSDVQAADRVAAPVSPAARGGRGGRRAAAAGRGYKKAPAAGRARAAPKARGKGIQAIDLETDQPSQDLPEAVAVDAAVARARQDLALNKVAEAAANLKMEGASGDRLAAAEDEATTTPVPERVQVGSSPEYITDRKLGKGGFGQVYVGRRITGGASRMGPDAYEVALKLEHRRSKGCSYGPPFEWQVYQSLNGCYGIPSVHYKGRQGDYYILVMDMLGPSLWDVWNSMGQAMSSHMVACIAVESISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASKWKKASSSQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLVFLIRGRLPWQGYQGDNKSFLVCKKKMATSPEVLSSFCPPPFKHFLEMVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRMVVNLEEEEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISCVASSQNFWALIMDAGTAFASQVYELSQIFLHKDWIMEQWEKNFYITAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMGTAGNRWGVVMSRNAGYSDQVVELDFLYPSEGLHRRWETGYRITSSAGTPDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTAC >cds.KYUSt_chr4.26066 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163892403:163894559:1 gene:KYUSg_chr4.26066 transcript:KYUSt_chr4.26066 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSIVASCSLAALMAFMAASLFLSTVTVAASVPAVYVFGDSLADVGNNDYLVTVLKADFSHNGMDYPGGKATGRFSNGKNSADFIAEKLGLATSPPYLALSSSSNANYANGVSFASGGAGVSNATNTDLCITFDKQIEYYSGVYASLARSLGQAQSDNHLAKSIFAITIGSNDIIHYAKANTAAGAGDGPSQQYVDTLIQSLTGQLQSLYNLGARKVLFLGTGPVGCTPSLRELSSTKDCSALANAMAVQYNKAAEAVLSGMSAQHPDLHYALFDSSAALLRYIDQPAAYGFAEAKAACCGLGDMHAKIACTPLSAYCANRSDHVFWDFYHPTEATAQKLTDTAFDGSAPFIFPVNIRQLSAI >cds.KYUSt_chr4.25182 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158256550:158259755:1 gene:KYUSg_chr4.25182 transcript:KYUSt_chr4.25182 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGAWPRRSSSCSRWLVVVWLLSAALRWEEPDAEGSGASSPPTIKLVGFSFDLDRSGLDLLLHRHHGGGNEDEIFVGAVLGRSTEGHPGAAHPRSISGWHGRPHLFFYYCDDLSSSWIRGDLQYPIQPASWVARLLLRLLQASPDLFLAPSYNLRREALFTLPFVGKDAAGDGGNCIADEVGMGMRTRWRFEFSSRVLCANRKDMVVISFSFWSFLQYWSCRRSKMCPLRVILIFLSATVAGFFLLRGLNAEPDFFQDADEGSESPRAAVPLHSKVGSAVKAGFWTTVDMASGRYLWRTLVAPAKSESDQAR >cds.KYUSt_contig_319.1064 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:7028454:7030670:1 gene:KYUSg_contig_319.1064 transcript:KYUSt_contig_319.1064 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSVLPSLSPRSALPLSLSPAAKTVRRPAAFACRCCRSDTSPEGTTTRRWFASLAAATAAVGIGVAGGGEAGAVSTSRRVFRSSKIPESEFTTLPNGIKYYDIKVGGGAKAVKGSRVAVHYVAKWKGITFMTSRQGLGVTGGSPYGFDVGNSERGNVLKGLDLGVEGMKVGGQVSYDYDFPFGFVLQS >cds.KYUSt_chr5.37313 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235937722:235942627:1 gene:KYUSg_chr5.37313 transcript:KYUSt_chr5.37313 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRFLSGCGDLRIIKELQRQFILVLRPWNRCGLLDSFGNFLSATNNVKPTQGGAAAAAHRRHAASMAKPQLLLCVLVVAAALLLVASAKKAADVSELQIGVKFKPVSCSISAHKGDRVKVHYRGKLTDGTVFDSSYERGDPIEFELGTGQVIKGWDQGILGMCVGEKRKLKIPSKLGYGESGSPPTIPGGATLIFDTELVSVNGEPSSKSDEDVGSEL >cds.KYUSt_chr4.43310 pep primary_assembly:MPB_Lper_Kyuss_1697:4:268486949:268498332:1 gene:KYUSg_chr4.43310 transcript:KYUSt_chr4.43310 gene_biotype:protein_coding transcript_biotype:protein_coding DPEKHQELVRSVFQLLSARPEGVSNFVQTDAIFGPGTKLVYKHLATLYFVFVFDSSENELAMLDLVQVFVETLNRCFKNVCELDIVFNFNKLHTVLDEMILGGQVIETSSEEIMRSVEEIA >cds.KYUSt_chr5.36413 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230121064:230123963:1 gene:KYUSg_chr5.36413 transcript:KYUSt_chr5.36413 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYGRVQTPSTAVRWCSLKAPRLSTGPCAACIHCLDLESSPTERLQPNLFLSRSSHDQATMRSVMFPNLHILLYRNLQKSASQVDMVRHSHGCITTKELGTVMRSLGQNPTEPELQGMINESATKQIRPGSRHARQVFRSLNPPLDGDVKINVDVALARYDDHGVVAAICRRSDGVYLGSLALDCPGFSEPAILETIAYKEGLAPDLQETRCVITSDCQEVITAKKHDCHPA >cds.KYUSt_chr3.13074 pep primary_assembly:MPB_Lper_Kyuss_1697:3:78685703:78688261:-1 gene:KYUSg_chr3.13074 transcript:KYUSt_chr3.13074 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGPFSGAAGLYEGFYGGFGGGHGHDHGFNQQLEASTLSALFDGGTRQLHTAVDVTDVVQKRKGGGERDEKAATALRSHSEAERRRRERINAHLATLRSMVPCTDKMDKAALLAEVINHVKKLKAEAARIGKHCPVPSGADEVTVVEVVQQSPSPHATTTRHNGPVLLVKATLSCADSCADLFADVRRALQPLKPRVVGSEVTTLGGRVGLTVLMTREGAVTAASVRQALESVLDRVVSSAAALDFAPRDSLLYSKRRRVSTFESSSSSS >cds.KYUSt_chr3.11791 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70280229:70280711:1 gene:KYUSg_chr3.11791 transcript:KYUSt_chr3.11791 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDWDEPVIQPPWHGSNSPCVVNSTPPSPGRQMVPDPAWLAGIQISSTSPSSPTFSLMSSNPFSVFKEAIPAPGNGSSRMCTPGQSGTCSPVIPGMMARHPDVHMMDVVSDEFAFGSSTNGGAQQAPGLVRAWEGERIHEDSGSDDLELTLGSSRKRSC >cds.KYUSt_chr4.25232 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158587864:158589589:-1 gene:KYUSg_chr4.25232 transcript:KYUSt_chr4.25232 gene_biotype:protein_coding transcript_biotype:protein_coding MDHADEEPATKRAKLSDGGGGDLLSALPDDILIHILLRLGNADVSARTSVLSSRWRRLWAFLPGLHFPPDTDPDTIRAVLAAHEAPALHCLRVLARDAHADFVAAWIPIAARRLSGDLTFINIASPDIVRDEAGDRGAFELPCFENATSVTLQLGFLRVAVPASGIAARLTDLHLDNFRLQAPCVLGDVFSSPLCPSLQRLAIHDASGVDKFTISSESLLELELMNLDGLQQLTVVAPALEELRVAFCFLNFRNPNQPVANISAPQLVLLEWRDAYDPCSVQLGEMPHLQRLGTGIFLVYGPDDFKPNRDCLSFLGQFKVVHSLTIPLLYNSIWDEAWAAGASTYALLPAVPLPDQASPAVYLLLRRAREESRRKRMRQG >cds.KYUSt_chr3.46822 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294225331:294233033:-1 gene:KYUSg_chr3.46822 transcript:KYUSt_chr3.46822 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLLLPLLAAATPPAHPDEPPSCAAVAAPVPLPERREAHGGGRILDITHYYREDMPSWESDAGIGQFLWLPASMRNGSLANNSEMRMPTHTGTHVDAPGHVFQHYFDAGFDVDTLDLDVLNGAPPLFFCSLAIRVVPSTGPSLLVDVPRDQNITAKTMESLQIPKGVQRVLFRTLNTDRQLMWKKEFDTSYVGFMKDGAQWLVDNTDIKLIGIDYLSVAAFDDLIPSHLVLLKNRDIILVEGLKLENVNPGLYSVHCLPLRLRGAEGSPIRCILIK >cds.KYUSt_chr3.1219 pep primary_assembly:MPB_Lper_Kyuss_1697:3:6772394:6773587:-1 gene:KYUSg_chr3.1219 transcript:KYUSt_chr3.1219 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSARVRRTQRDRAAAPVLPEDLVLWEIFYRLPAKEILRCRAVCRSWRRLACDAEFLLAHHRRQPSLPLVLFNRNAFSKVPATVDAFDLRQSPAVRRPILGFRSYDECHKYYIRASCDGLLLLSRTYRLYYICNPATRQWCALPVPDVSNVVTLYHHRQSGEYRVLYVEHPDGHFSAVYYVLTVGSSLEEKRCIGLSVPSPSVKKWIAHARPLDQDNPSVLLHDCLHWYSGNYLDAEWKVVVFDTVDESFRCMRSPPVADNEAEAHLCRMDGTLGIHQVDRHTMTVQVWALQDYEMEVWSLKHIIQLPVVEMTKYAPYTTFYLMAVTENGDMLVSGSYSGLLFHCDREGKLVDKFQEACVNPEVLRLSFKESLVKHVFFERKDRKRVKLQSFFRGL >cds.KYUSt_chr6.12856 pep primary_assembly:MPB_Lper_Kyuss_1697:6:80174519:80177703:-1 gene:KYUSg_chr6.12856 transcript:KYUSt_chr6.12856 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKVLVSDQLEEWKEYYINYKMMKKKLKHYVQQTQNGGRNHEQVLKEFSRMLDDQIEKVVLFLLKQQGHLASRIEKLGEQRAMLTEQSDISQVSQVRDAYRQVGLDLVKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVSTRANHPYSQLQPIFKQVGIVAVAGALTRNLASLQNHQGSYTSIYDHPSITLKDPVIEQINHSVQKLTNSTTFLKFLGQHALIVPEDVQTSSDDLVDDQSYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVVIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFSGNLLYALAYDLNSITVLILGRLLCGLGSARAVNRRYISDCVPLKIRLKASAGFVSASALGMACGPGLAGLLQTEFKIYGVTFNQNTLPGWVMCLAWVVYLIWLWISFKEPDHIAKENGANTQSSDSNHRRSDNLEDGLAQPLLTEEKERQDENVQDDDDKEEDRKECHKPATSLAAAYRLLTPSVKVQLLIYFMLKFTMEILLSESSVVTSFYFNWSTGSVAIFLAVLGLTVLPVNIIVGSYVTNLFEDRQILVASEIMVLIGIAASFHFTSSYSVPQYVTSAIITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVTADVTITAAGYLGQSQLLNATLVPSLVICLASIAATFGTYNSLY >cds.KYUSt_chr1.22608 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133730288:133731931:1 gene:KYUSg_chr1.22608 transcript:KYUSt_chr1.22608 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLPARLLHARGKTTAAQHAAARHLDHTFEKLLASSLPLIAAAPLLDALRASPDPLALPDLARRLPLRLHRRGPLHFLRLFPRVFHLRPPLPLSLSLTPPAASLLAVASSASTAAQTLHRLLAMCPSRSVPLRAVFRVWRELALPDDFEDSVLARHPHLFRLAPNPAEPTTHLLHLIPDTSNFSPAVDNNTRPANKHAFRLQFPPGFRLTKEYRKKINAFQLLPYAGPYEPTDHKTVSTKTRVSKMARRKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKCLGIDVNVRDVFLDHPGIFYLSAKGKRHTVFLREAYDRGKLVEPNDVSEARRKLVELMLLRRHGLGNANSNANMSASSANAQENFHDDLQELEVKIKGKAAYSITVFLREAYDRGKLVEPIDISKARRKLVELMFLRHHGLGNANSNANMSSSTNAKDSDDDLHELEV >cds.KYUSt_chr6.1838 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11039783:11040412:1 gene:KYUSg_chr6.1838 transcript:KYUSt_chr6.1838 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKSGNAGGGCAEEAEVAREFVLKVAMHCHCNGCKGKVRAAVRDITLDPGVEAADSSAAESSGEVRLLATADPERLRRRLHKATGKKVDLLLPKEPAPSKKQENADAATLQALLAQLQLQAPPQARQQYGGQGAAPWANHHQQQLALGGCFNNAVDNGAAYPWPSSSSYYPPAPTTAWGTYGYAPPAPAPVHGNVGHYGGAPAWLGY >cds.KYUSt_chr4.23053 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145114705:145115643:1 gene:KYUSg_chr4.23053 transcript:KYUSt_chr4.23053 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHISQEHPNAWPWGVAMYANLHYQQYHYEKEHLFEKTLTPSDVGKLNRLVIPKQHAERCFPLGGDSGEKGLLLSFDDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKQLDAGDVVHFERVRGLGTGDRLFIGCRRRGDVGAPTAVAPPPAVRVVPASGQNTREQQQPWSPMCYSTSSSYPTSPANSHAYRRSAEHDHSDMHHAGESQWDADTRSCSAASAPTRRLRLFGVNLDCGPEPEADTEMAAPAMYGYVHQNPYATVSPVPSNWSVPKHH >cds.KYUSt_chr2.31381 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193711026:193712377:-1 gene:KYUSg_chr2.31381 transcript:KYUSt_chr2.31381 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMSGLVSSDRWAAFASSVPGLVVSALSVSDLMSCLCLVGARQEMVCFIEEKTVALWPTVWSSIGCPSPSRLSVEAVGAGLRELADLRLQIRVHADGLHMGSSSGGVLVDGDDLGRRRLRWREGAGPSRPRSASSARWLACHVSVAESFA >cds.KYUSt_chr6.29782 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188782996:188784195:1 gene:KYUSg_chr6.29782 transcript:KYUSt_chr6.29782 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERPRKDSSSEDLLSPEEMVAQAGMDAVYRSDILNKPITPEDIEALEAKRLELLATAKKFKDTAAAMLEERKHATVFVEDFIQREQEVDEGLAKVKELRKHWDDKIVEAHHEVERVRRELIAPRRITIDSCSGVRVRSLHIQNAQQMHLTVSRSRGVRLDKMAITAPGDSPNTDGIHVAESTAVTITSCRIGTGDDCISISNASFAVKMRGIVCDPGHGISIGSLGQGGSYAAVEGVSLDNARIARAQNGVRIKTWQGGAGYVRNVRFSNVLVDDVDHPIIIDQFYCDQKTPCANQSTNVQVSNVVYRNITGTSRRAEAIKFACSDAVPCSDIVLSNINLLREDGSEVQTVCNCAMGFDYEPVRPAAHCLRNSECGGGGDKKVGGEEPKSLPLHTEL >cds.KYUSt_chr7.6389 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38521177:38521860:1 gene:KYUSg_chr7.6389 transcript:KYUSt_chr7.6389 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAPVASRSKESSRCRGEREPRARKLVRENLSQVEDGNGIPSTTTKYKEVYTDCLSKVFLNM >cds.KYUSt_chr5.34354 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217873005:217873964:-1 gene:KYUSg_chr5.34354 transcript:KYUSt_chr5.34354 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSEIEYEMPGFLRVHKSGLVERLAGTDTVPPSPSGDPANGVASKDVLLDTAANISVRLYLPAAATSEPGKKLPVVVFFHGGAFFTHSTASPIYHRYAASLAAAVPAIVVSVEYRLAPEHPLPAAYDDAFAALEAVVTACRPDGAEPWLATHGDASRVVLAGDSVGANIAHNTAIRLRKERIEGYGDKVSGIALMHSYFWGTELVGGEVAPRANMERTLDLASGGKFGSSHPYINPAASPEDWRQIGCGRVLVTTAERCLFVERSRAYADGIKACGWDGELEFYETKGEMHTYFLFMPDCDNAAKELAVVADFVRRS >cds.KYUSt_chr4.34855 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214091938:214092615:-1 gene:KYUSg_chr4.34855 transcript:KYUSt_chr4.34855 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSESEVWEEIILRRILSVLIFPWIERRPNGQPVATHFGWFRVPQPQQHKTNPIPRSEREHRPGHRNYLMAFISILRSSPVAVPAASSRRPALRSNHGAYLLRVSSRAAPSLSVRCEQSGKQGASAVDVWASRLAMMSFAAAVVSEVSTGKGFVENFGVPTPAPALAIVVTALVGGLAVLFITRSGSQQ >cds.KYUSt_chr3.42297 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267349156:267356373:-1 gene:KYUSg_chr3.42297 transcript:KYUSt_chr3.42297 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPRVALALAAALALLLSCSDVVVRAQDTERIEGSAGDVLEDDPVGRLKVYIYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSATRTMNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAVQFISSHWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSINIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFIAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHDKGVYLTPGQKALNWTQGPKGDLKPW >cds.KYUSt_chr1.26923 pep primary_assembly:MPB_Lper_Kyuss_1697:1:162465643:162466151:-1 gene:KYUSg_chr1.26923 transcript:KYUSt_chr1.26923 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVLLLAAAAVGVMVLLAPASAEVFMVGDAAGWTLKYPPTWADGKTFVVGDSLMFMYPAGEHTVVEVGGMEFMTCNVTGNKGIWNSGSDTITLSKSGKRWFVCGVSDHCAQGMKLVVTVVDVATPAPASPPESFRCC >cds.KYUSt_chr7.23988 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149474645:149478496:1 gene:KYUSg_chr7.23988 transcript:KYUSt_chr7.23988 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWSRTSEELEGLGDVEQSDDVGGAGRRFLGAEFVDFPAARGSSPVPGNVLNSEVGIAAPDARYRGRYDFERGHVDNDCSAAHGCFFSLTQPFVEATKLALEKIVAGTNASTIIEPQHQEILRVLISNLPCSPQVASARERLERLLLISTEIQEAKGEIGSASSKQSEATTRVERLEDDLKGKSDVISSVTEQQLERHKLVEVLSVKLSNATRALRAGEEELAQLNLEYSEKQSEVKKLRDSLHDINSQAAQEQKALEEKTLALEADASSVFETLKGWGATPN >cds.KYUSt_chr7.29480 pep primary_assembly:MPB_Lper_Kyuss_1697:7:183475981:183477162:-1 gene:KYUSg_chr7.29480 transcript:KYUSt_chr7.29480 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPEGGWRLSAGGVPIPPPPRGHALDVAIEEARMTMTDEERADPRHHPENYTRWNSYFLQRWERELAAYDGPPPPPARNNAAGRRRWWSAPERTLANIIAHIEGGNSPVLTMPPLSASRASASRRRGSVWQPRRMAASSSSSGSASRSSLAPVKREEATSPSTPVRIKKEPASPPATRGCSSGALVIREQPSAPQSGRKKTKKEAAASQLAEEEAKRAEDAAMTEAIARSLHDMEEEKRADDAALDWARRDWERQEAEQQRRLLDLAAARQLAARAAPTANDGVARYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQAAQPKANDDGSDDDGGDYTVFYRHFGM >cds.KYUSt_chr7.5939 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35503541:35503846:-1 gene:KYUSg_chr7.5939 transcript:KYUSt_chr7.5939 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGERERRPSSPISGRDSKDRPRRPDGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFLQLYIKRNRPPPTSPGSPSSAEAASSNSNPAAAAIHRTLSRG >cds.KYUSt_chr3.20927 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128225309:128231234:1 gene:KYUSg_chr3.20927 transcript:KYUSt_chr3.20927 gene_biotype:protein_coding transcript_biotype:protein_coding MLCMMTHVVITFHIPSAGAKQLPNVITSRQIPALHPFKSPPTYKKISWCSSLAAGLQDAWVRHILMNRLASRYASPSMEEVDDAMEARGLMVNVKFIGSMEEIVKEKGTLREGQHRAQDDRSCRPSPLSIAALPLHLRMTALSPYLHWHYRPTAKSIKSEPFIPLSYIGLSLGDSTSCLSLRDSIGIVLFIVEFFSKLANPTVPPHALALPPAHLRLAHPAAAPLALPGLTPTTATAAARRLARLAAAPGRPHPAPACAQHIHHAASHIQPPAIPCSERSTQPPPLAALSSPTTTTAAAPAVALHSAGSSARLDPGRHQLAPLNPAVSAPANQQSHPAPQSLRPADHPRTHESSIHPQHASSAHSTTRTISPISSLPSRASPKLAPATTLLHDFFSLAGCSDIDDAIAMEMRDSTICEMSDSTIREMSDSTICELDECLHFESMSDTPSPMDDETPIMEKMYMVHEDDDITPCLIEDEHGGHIEPTSSTKPTPYEWDYKGTRKTRSTSIEHELTKRALESIIQHDDVILTVGVATNMRTNFSFRWYASPSMEEVDDAMEARGLMVNVKFMGSMEEIVKEKGTLREGRHCRSG >cds.KYUSt_chr6.31894 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201460537:201463022:-1 gene:KYUSg_chr6.31894 transcript:KYUSt_chr6.31894 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEAAPRKSAAAN >cds.KYUSt_chr1.23516 pep primary_assembly:MPB_Lper_Kyuss_1697:1:139812253:139814203:1 gene:KYUSg_chr1.23516 transcript:KYUSt_chr1.23516 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSARSAALVLAAAVLGSLFLVALSEDEQLEDLRFVQHAQDAPLVSHFNYIVVGGGTSGCPLAATLSEHSRVLLLERGGLPYRNMSNQEHFTDALADTSLASPAQRFISEDGVVNARARVLGGGSCLNAGFYTRASNDYVRTAGWDARLVNSSYRWVERALVFRPDVPPWQAALRDALLEAGVTPDNGFTFDHVTGTKIGGTIFDNNGQRHTAADFLRHARPRGLTVVLYATVSRILFRSQEGVAYPVAYGVVFADPLGVQHRVYLRDGPKNEVILTAGTLGSPQLLMLSGVGPQAHLEAHGIQVLVDQPMVGQGVADNPMNSVFIPSPVPVGLSLVQVVGITKSGSFIEGVSGSEFGIPVSDGARRLANFGLFSPQTGQLGTLPPRQRTPEALQRAAEAMRRLDRRAFRGGFILEKILGPVSSGHIELRSTDPRANPAVTFNYFQEAEDVERCVQGIQTIERVIQSRAFSNFTYANASVESIFTDSANFPVNLLPRHVNDSRSPEQYCRETVMTIWHYHGGCHVGAVVDDDYRVFGVQGLRVIDSSTFRYSPGTNPQATVMMLGRYMGIKIQAERWRK >cds.KYUSt_chr7.30780 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191588520:191589788:1 gene:KYUSg_chr7.30780 transcript:KYUSt_chr7.30780 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSVLRSARPWASLSPDLLLDIAGRLHDATDFVRFHAVCQPWRDTAARAGATPRRRSHMFLPWLLSRYNGRITHSPVVYFGRVSSEPTLSDRHRSYNSIVLVEPGADGDRNWVAREDGGAAWLFFAGFEPTIQDVLTRAVTYLPRFPNDDNDGWIIRHRMRSPRGILYCDGTVFLYSFVAGTTNRRYGSYPPVFTAAILRPGDAKWTLVQKLLDLPASHHSCAAYHAGKVLVWVGAYFWCVLTPGFSKPANAGNTANIRLETTWDRLEDELYIRDRSYIFASRGELLRVSVLIERQQQRGHDYGRDYPAPTLALTVHALEQGGDGGKMRWVERDDSGMDDRVMFLGSPASFAMDATQLGLDGGCAYFTLRRRVFRYTFFDGEARPVDWLFPGWDSDKACVWLRPEPKVVPNLGKSRKARD >cds.KYUSt_chr3.43772 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276241878:276253659:1 gene:KYUSg_chr3.43772 transcript:KYUSt_chr3.43772 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLTALLAAFELPPPAAGDHEGESSLAVLIQISVSISGSANTELPAHGIFPLHVLFARATTNDSLNGGSYELQVRIDAQEAGARDMQKYSYSSYSYDDVPLSSLPDIIRVRTGNVLFNYRHCKNIVKSEGISVPQASIVSHPALHVSNHSTPAVLEFGKSRKLSVDRFGPRKRLLLQKREFFHSQKAQRMELEDVLGDHDSEDEIDDDIADFEDMTMLDGFSDVTKDEKRIMHMWNSFVRKQRVLADGHIPWACMAFSEQHGQELVHNPALRWCWRFVMIKLWNHSLLDARTMNTCNKFLDDLESESSAGPMQT >cds.KYUSt_chr7.39241 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244126388:244126660:1 gene:KYUSg_chr7.39241 transcript:KYUSt_chr7.39241 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFSILSASPADVTPGSNATGGSWARLSWRGVKLQDAQAGQQAGQTAEAEQQQLGRKGKAQSQETRRPRFAPEFDGIDCFETIVWR >cds.KYUSt_chr5.35517 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224385233:224391113:-1 gene:KYUSg_chr5.35517 transcript:KYUSt_chr5.35517 gene_biotype:protein_coding transcript_biotype:protein_coding MSICRYGFYDFIRVPALPDVQDIFPFTNPWIFFSHIRMSIGSLPSVYASHGYPLPSLFGGEAGMRSAILGSLGDLTGTTFWEKRSLEMVTDTYDVEQPMENHAASISRITSDVLHEILLRLPISSLLRFLRTCHQWRDVILDPCFVMGHASRAPEHLLLFLPRVDASASHKTLTPGRVKLFDEKWSVSTWAASSMEHDDHLFASCNGLLCFYRKYTLKIVNPTTGQTLHLSKPDGKLFRDLYYLYSFGFHPATAEYKLIYFHHEPRQGRSSGQPFCFDSIQVYTLGEDRWREIRAPKESCLVNLGVVNVDGAMYWITEEEVTCCGVAVMRFDLKDETFMTLRPPQLKACEATNGPCEAPDRSYYITEVDKKVCLVTVPFNCSAPRWRRYNAEVSGRMDVWMLESPTEDRWFLKYNIDVPSSAPRFVPQPCFIRGEKILLHDREGAAFSRDLQGDGMQIEECSEVKLLNFRPHRYYETQSYLYKETLVPLDVYAGAAIVRAPHWPRAPPGY >cds.KYUSt_contig_534.234 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:1632396:1637568:1 gene:KYUSg_contig_534.234 transcript:KYUSt_contig_534.234 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTGALDLASGLGGKINKEGVKSAVDEYEKYHGYYGGKEESRKFNYTDMVNKYYDLSTSFYEYGWGESFHFAHRWSGESLRESIKRHEHFLALQLELKPGMKVLDVGCGIGGPLREIARFSSTSVTGLNNNDYQITRGKELNRLAGLSGTCDFVKADFMNMPFSDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQCFAVYEWCITDHYDANNATHKRIKDEIELGNGLPDIRSTKQCLQAVKDAGFEVIWDKDLAVDSPLPWYLPLDPSRFSLSSFRLTTVGRLVTRNMVKALEYIGLAPEGSQRVSNFLEKAADGLVEGGKKEIFTPMYFFVVRKPLSE >cds.KYUSt_chr3.30523 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191301131:191302939:1 gene:KYUSg_chr3.30523 transcript:KYUSt_chr3.30523 gene_biotype:protein_coding transcript_biotype:protein_coding MWEDNPAATSSWTSSHAGGGDAEPHVYVVDEDGQLFNSQVGSNNPVRQGSDNHPHFYVVDEDGELSDIDEDADVGTHDAAFDMGDFVYSVEIGMKEKAEVGEILEEIRMQKEDPMSHFQGAMAALNAGAPGAGRGDIVNAVAARTAVVASADRRLSLHGLVAVVGTMQPAMGVGVVAELFAARFGEPPSRVEATVHAPGEFLLYFADPATRRAALAVQGPVVMGGASFLLTPWDRLRGAMPAILPYKVRVCIEGVPEHARDTTSVAPIFAGSALIDGVDEMVQCDQETACFCLWIWMENVDRLATRGVLKLEEPVEIESPLVHYEM >cds.KYUSt_chr4.18599 pep primary_assembly:MPB_Lper_Kyuss_1697:4:116648119:116651080:1 gene:KYUSg_chr4.18599 transcript:KYUSt_chr4.18599 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRSVFSFAQRGSYGNLGHPPATGVPETSGEEGRPATAFARPFLARPMAAAGDPAVCASPDVEAHALLRPSSSSSSDDEECAFEPAEKIIVSIATDPDADDEDIFASTGRAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGATAGDTLLWLLLWATAMGLLVQLLAARLGVATGKNLAELCRDEYPDWVRRALWLMAEVSMVSADIQEVIGSAIAIKILSRGFLPIWAGVVITALDCFIFLSLENYGVRKLEALFAVLIAIMAWSFAWMFIEAKPSGKDLIIGILVPKLSSRTLRQAVGIVGCVITPHNVFLHSALVQSRKIDQNKEYQVREALRYYSIESTLALVVPFMINLFVTTIFAKGFYGTEVAGTIGLENAGQYLQEKFGGDYFPILSIWGVGLLAAGTSSTITGTYAGQFIMDGFLNWRLKKWMRAIITRSFAIVPTIAVALYFNTTESALDVLNEWLNVLQSVQIPFSLIPLITLVSKEEVMGVFKIGLTTQIVTWTVASLPIVINGYLLLDFFSSEIRGPISGSLLCVAVLAYAAFLLYLILRCTELSNHVFRPVNKNSFT >cds.KYUSt_chr4.4102 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23503652:23505040:1 gene:KYUSg_chr4.4102 transcript:KYUSt_chr4.4102 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGRRASPAVLPDELVEEILLRLPPDDPACLLRASVVCKSWSSAISHPSFRRRLHELHRTPPVLGFFHNWYEDGGPRFVPTTASSFSLAAPDWRSWRALDCRHGRAPFLSKCLDTQEILLWEPITGALQRIPVPAKLARSNAAVLCAVDGCDHRLCLGGPFRVVFVFSGGEDTDEYDDEDYVTSACVYSSETSTWGELTSVPGKYSMWFTHFSSVLVGRSLLYFMSNNEFILEYDLARHGLTLLDTPSTDSSRAYAWAFNLMLAEDGGLGVSEIVDPLLKLWSRGASDGTNARWVLSRVIHLGNLLPIGFLDVDDRLCVEGFAVGANVIFISAAAGLFRIELQTEQVRKVCGDRCIGDCNLIPVVSFYTPHSSLQALRGKQHDLLLPLNPTEDRGGVEAGEEEEKILERAQELFDKGCKAIEDRDFTNAIDCFSHALEIRLVFYSQTPLLPVRLQHMYR >cds.KYUSt_chr1.33933 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206261833:206262959:-1 gene:KYUSg_chr1.33933 transcript:KYUSt_chr1.33933 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSRLAPERYGDGETRRKLGGEAGTAGSEQEGGERGGPATVGGGNSTDWRGGEEQSCARSFLAREEVEDDGNGAREKVLCAGGGNCAVDDGGGRWRRGWGLPIERKGRRILDLGIARFGFGRGPVSLYAVAAAGKAAFMALTAAVKASTRAKRIPPVTRADGIAVLGGVPHAVCSSASHACCVAALSFAVLLHDARCLMLQRVDRLVCCCCSLCGQTVLSDE >cds.KYUSt_chr1.35876 pep primary_assembly:MPB_Lper_Kyuss_1697:1:218631665:218640443:-1 gene:KYUSg_chr1.35876 transcript:KYUSt_chr1.35876 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAVSMGKAVLDGALGYAKSKAAEEIALQLGVERDVDFITDELHMMQSFLEMADEDNGQNKVFMAWVKQIRDLAYKVEDSLMDFGLHSEKKPLWGCIPRNLSDRRQIAKEVKELRAKVEDVSNRNLRYRLIKDRKGNSDSKVTAAEKQANIASAAMLGINEATLATQEQEKTEVNLHQLITSEEEDLRVIALWGTSGDHGKTSAIQELYDDPKVLKKFGLCAWVRLMYPFNPQEFLRSLVRQFYEKSHDEVGKQESITNVGANSFAKMEKMDQSDLISVFSAQLHSNSYLIVINDLSTIEEWHCIKKYFPNNKRQSRIIVSTQNVEIASLCTEKPYQVSELRQLSCDQTIYLFHKKNTKENSMSSASMVMFPTNEARLTNVEEKLKAVHEGMPTNEIQQEDERPKPAGGNKVFNSTATKKFNRSSTLALVDGVLTGRETEKSNVIKLIGQPDNNQSCKVISVWGMGGLGKTTLVRSIYRSQELSVWKHAWVTALRPFNPEVLLRDLALQLQKSIQEDTAGATSTKGISLMKIQELKVELARLLKTQNCLIVLDDISSIYEWDLVKGCLDSAGRIIITTRERNIAEHCSTVCKNMYSLEGLKGGAALDLFTKKVFKDKTEKVDLAPAMMEQAQIILKKCDGLPLAISTIGGFLATKPKTAVEWRMMNDRISAELEINPELRTIKTVLMRSYDGLPYYLKSAFLYLSIFQEDQVIRLDRLVRRWIAEGYSRDIHGITAEQLGRRYFEELLDRSMILPGEDVNDYNGKINSCQLHDIIREICISKAREENLVFKLEDGCCLSSTQGPIRHLVIGSNWKRDGDALPGVLDLSHVRSLTVFGDWRPFFISENMRFLRVLDLEDTLGLRDHHLDRVGQLHHLKYLSLRGCWNIYFLPNSFGNLLHLQTLDVRDTQIFELPRTITNLGMLQNLRVSVSRANVNGEQDIEVAYDEYVHDIVPSVIDSRCLWMSTVPLLLRPQVLDGGLNRRDVLNLFRYQFPGNEGVKVPRGIGQLKALHKLSGAYVEGNATVKEFIGLTELRKLGVVGINSKNSMEFWSAIAGHYHLRSLSVIGKSWKDELDGCLGEGLSPPSWLESLKLYGKLVRVTDWIHQVQNLCKLLLEDSFLEQDDAIQALGVLPNLAVLRLKEFSFVGKQLHFKNSAFPSLVVLELYRLLNLESVMFEEDAMPRLELLQVGTCRSLKKISGLSVLTSLKEIRLGAYAESLKPEMLSQLGERQKHVVVKDGSELIMADLHYYSKHVILLRLEHIFVGRVKISVVEWASFSVSQTQVTRALDFSSALGPRNLRTGPARGIQRLPDSFAEYVAGDDRPRTMHLREASCGYYRWIVDVIYDARGKMYLNIGWEKFARHHSLEAGFILLFSYFGDRDMSVKVFDEMRCRRDYHGDSTDEEDD >cds.KYUSt_chr2.10558 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67137984:67139477:-1 gene:KYUSg_chr2.10558 transcript:KYUSt_chr2.10558 gene_biotype:protein_coding transcript_biotype:protein_coding MERLDDFELPDFFKDPSYFTLFAIPCIWITTDVSQTYQPLSYAGSLSPEATEVFLSALVMEGSGVQSGRMYSLRAEIAPRSVSSGARSVDTGVCGHEIRPTAGAGTPPYFSAASSPPNFSFGDLGPGGDEVEGGMVVQRSPAPRRRGVTVGPAKLEGLPAAWSSPAVAAVKVKANRFRVDVVDPGATPRAGAPSHSGRPDRGVFFSILYVSAHASCF >cds.KYUSt_chr3.30330 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190004798:190007668:-1 gene:KYUSg_chr3.30330 transcript:KYUSt_chr3.30330 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPRARLLACIAVAAMVALAVPPWRGVAAHTRGVRPGNAAGQPFPENATRAQEVERLFMRWVRYVGALEHSTFQHAVARAFPSYSLVVDRNPASGDFTSIQAAVDSLPPINLVRVVIRVNAGTYTEKVSVSAMRAFITLEGAGADKTVVQWGDTADTPSGRRGRPLGTYGSATFAVNAQYFLARNITFKNTSPVPKAGATGKQAVALRVSADNAAFVGCRILGAQDTLYDHSGRHYYKDCYIEGSIDFIFGNALSLYEDCHVHAIARDYGALTAQNRQSMLEDTGFSFLNCRVTGSGALYLGRAWGTFSRVVFAYTHMDDIIVPRGWYNWGDPSRELTVFYGQYKCTGPGASYSGRVSWSRELTDQEAKPFISLSFIDGTEWIRI >cds.KYUSt_chr4.52040 pep primary_assembly:MPB_Lper_Kyuss_1697:4:323012640:323018637:1 gene:KYUSg_chr4.52040 transcript:KYUSt_chr4.52040 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQHSPAAPRSWSGDQRAAHEVDVPLQAGDEMALLPPDPAPTPVCAGVLSLSCVDSLLCRSDAGKPRCVAFSAHLQFYCQAERKARTGISPLLKLPVSFSPSPRFSSSTILNFQELPLQCPPPMDYERIHDPPHRQSGGFSPAKLRAMLLGLEKQQHDSEDNSPDANDSGELDDRRSLECSTSTEMSSNSGHRSRNRAQDDDSFDSESSSSGPTTVKRSSAVSALLPPFSRPTPSKWDDAEKWISSPTANRTGRTASAAGIPPKRSAFAFPEHGAHPPAVAKVVAEVPRNTGGALAGNSVGFTQPDSLKPAQTAPIVEEPEHVVRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRSVEFGGANVDSSKMGMSEEELQLNTRKEIMDLGERLGKTTIAAWASKEERATANFANVPADKALEIDRETRAADWQEAEKAKYLARFQREEVKIQAWENHQRAKYEAEMKRIELIAFSQIDYKKTERETQASNMATSKGTATLLKLQAKMERKRAREQDVLARKLASAGRRAEAKRQAAEARRSQEAARAEEQAAQIRKTGHIPSSFSCWCWCL >cds.KYUSt_chr6.22861 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144443792:144446714:1 gene:KYUSg_chr6.22861 transcript:KYUSt_chr6.22861 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGRRDAEAAVRIGTGNVFAALEALKRKKKKPPSSSSASSSAAAAPGRKGKGSTKKAAAPPPPPPEVFWAPAPLKSKSWADVEDDDDDDYFATTAPPPPVWGTASAPAAPEGKSGAEEETESEDDGLDVDMGDDEPEEPEHGHEVDLPSEPEVKKSAPVPVQSKDTEKQLSKKELKKKELAELDAVLAELGISDNATKADVTSKSERKTAEQNGDENKNPPVASETKTSKKKKSRKEKPSKDSKEQPSEVDSSKDHGVAADAKSEEEATAVDLKEKMKKIAASKKKKSSKEMDAAARHAAMEIAARSAKLAAAKKKEKNHYNQQPLR >cds.KYUSt_contig_7452.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001538.1:80773:87574:-1 gene:KYUSg_contig_7452.10 transcript:KYUSt_contig_7452.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEFSVLGAVQKFRALITGSTSPAANEEPRRASSPPLPPTPPRSGDVSPADSPPPAAARSGGRRAIAVRRQISSPQLLRCHAVRRADGEENDETGVQFFTPGNDYLHDFSDTESVSTANELNRSTTLSPLKSPTWMVWQNDGTQSSRRNGRFSLDSHGHGTNLYGSVTCSCVEGDTAEHPVDFDANIWCPPLPEDEDDDAESRLFGFDDEDDEVEVSSNLLALGCFNTNQTVGVGMLTEIAHKEGLRNAVLGHFRALVAQLLNGEGISVGNDDGSITWLEIVSTLSWQAASYVRPNTKKGGSMDPTDYVKIKCIASGDPIDSNLIRGVVCSKNLKHKRMISEHKNPKLLILGGALEYQRVTNKLASIDNILEQEKEHLRKIVGKIESRRPNVLLVERSVSSYAQELLEKGISLVLNVKRPLLERISRCTGAQIASSIDDIASARLGQCEMFKVQRVLEFPSGKQTNRRSTKTLMFFEGCPRRLGCTVLLRGSCREELKKIKRAVQLAVFAAYHLSLETSFFADEGATLPKVPSRPVIMVPDMRSDADYFAASAGVDVPYKLKQVQGDDSRIMLEENSVSPGSLSLNEEGKGIIFEHRESGSPVEHRESESPVEHMESESPVEQRGPESPVDHYLSHAIDSCGGCKIPPDLLDSDPRTSGKKCQEVGKWNHQTHHDFPARDLNDQTEFSGEYIPTTDNHQSILVSLSSTCIPKSLVCERPQLFRIKFYGSFDKPLGRYLREDLFDQAYCCQTCKEPSESHVRCYIHQHGSLTISVRRLLSQKLPGEHDGRIWMWHRCLKCEPKDGVPPATRRVILSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPPSVLCFNCHSPQDWTKTVAVEIYGQMKSLHWEISDFLHRTEKSILIEDEPVKTGIQRQIIEMKDLLKMERNEYEILLLPVIRDSYLQASIDILELNRLRRGLILDAYLWDRRLCYIDSLLVTNGHVSKTNPAAEILLDIRLKEWTTDLLQVDTSIGKPTCLLHLPGSPKKFSGSPRKSLLSREGCLNDGEYSMPEKKLQIDLVDHPVDDTEDLDKVFSKFNGHNERPTTKAAIGMEPVERLPSLASIFSDNIDLAWTGSSELQYDLSEGFTNMDENGSFSLLENRSYKNAPVRIHSFDSTLASRKRERTGLAPTSLHLSSFRSAEYLGGLTSITKDPMPNIRRACSQRSPGAIEKLSVILTRTPTYISSVSHMVEDGARLLLPQIGNEDVVIAVYDDEPTSIVAYAMTSQEYVHQVTRKLNSSLSFSHLPNANEFRHGLEGSLPSQEDSLEYKGTHFKFSFDDETPLPADKAKFSVICYFEKHFAALRKKCCPKDIDYIRSLSRCKRWSAQGGKSNVYFARTLDERFIIKQVTKTELDSFVEFAPQYFKYLMESLTSGSPTCLAKIVGLYQVNVKGLKGGKEVKMDLMVMENLFFQRKIPKVYDLKGSLRSRYTSGDSKVLLDSNLIEALHTKPIFLGSRAKRRLERAVWNDTSFLASADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNEAPTVISPLQYKKRFRKAMSKYFLTVPDQWTS >cds.KYUSt_chr4.4400 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25202500:25205842:-1 gene:KYUSg_chr4.4400 transcript:KYUSt_chr4.4400 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDTSALDNHFAAAAGNEFSGILATDNLPSLEFPEVATCNDFDGFQKATKEMLNHKKGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGVKCRLHELANKRRISIAGSSKTLANILYSYRGMGLSIGTMIAGFDETGPGLYYVDSEGARLKGTRFSVGSGSLYAYGILDEGYKFNMPIEEAAELARRAIYHATHRDGASGGCVSVYYVGPDGWKKLSGDDVGELHYQYNPVQEAFVEQQMAEVPAA >cds.KYUSt_chr3.4080 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23197121:23201968:1 gene:KYUSg_chr3.4080 transcript:KYUSt_chr3.4080 gene_biotype:protein_coding transcript_biotype:protein_coding MCMRSLQETVRSPRIPKLMGRPSKARHAHAHNTHLIDSRLTFQAWVLHLPMHFKQTRTVHRVQGWYTDPSHVDGAEVLRHAGERRGSGAHPGVVGDEEEGCGAAGDEKDEDVAEADVGDDDELVEEENSFMEALSRRHKPLAHLIAETGNVLFVVEVAMYTRITVEEDDAALLEEIIWRGCDRCVLQR >cds.KYUSt_chr2.28933 pep primary_assembly:MPB_Lper_Kyuss_1697:2:177878631:177881673:-1 gene:KYUSg_chr2.28933 transcript:KYUSt_chr2.28933 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVMASLALKPSPPPLWERSKIRGVRPSARPSLLIVASKVKKIQTAQPYGPGGGADFKDGLDASGRVAKGKGVYQFANKYGANVDGYSPIYTPEEWSPGGDVYVGGPGGGLELKDGVDASGRQAKGKGIYQFANKYGANVDGYSPIYNPEEWSPSGDYYAGGKTGLLLWVATLAGILLGGALLVYNTSALAS >cds.KYUSt_chr5.27323 pep primary_assembly:MPB_Lper_Kyuss_1697:5:172987792:172993593:1 gene:KYUSg_chr5.27323 transcript:KYUSt_chr5.27323 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGNEAVVEEEVVHMEDAVKLLVEHLVRPVLPRRAGKDAHLLTLEKQRAVAQQVHTAIILYNYYHRKRCPVLAFADAKRFFMCASLSVGEDLLPYSSIVHERENNSGKHGSLSVTDREAIQACEIAVELGASEGYPDVRMWPVAKVAVLLLDPTKTKCLIEYGTHTKGVWSIVEKEYDAAAVFVNDPFPKTTSVVEYFHILPYKEILQGLLHRKWPAVPRHGLHSVIDEKLEEQDENSTPKMRKQIAKVSTPKQNNRAIKATGANSNQTSGISKQKKSCKRNVEASRATEAKGLDGESPIIENESLIVPDVETSRLVTKSINTKGTTTLSGERILRQSGDQVDKNGKQNGNMPRDIFPPMVPYADPIIEKSALEPQNMEMNSGGVTENNSDQMYDLLRSIQKIRDETLHKERILQERSIQCDMDFQTILNEGEMTPKVLSIGEKYKGTCSNMMQVANSSCSGDGGQTMSLKRKRVKEALLVSNNKCQELDKICNEGKWILPRYTIVPSVADVPTVPRMFHASVHLTCPDFDMSIAGDPSPTPREARCSAAANMMLELEKKAKEEEQQDT >cds.KYUSt_chr1.9581 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58432560:58438582:-1 gene:KYUSg_chr1.9581 transcript:KYUSt_chr1.9581 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNEEGWDEEEGVVVTSATPTPVALSPPPVASSGLQRLVVGYALTKKKVKSFLQPKLLALARTLICEDMRSVIGPIWKIRTVDYREEHPEVTVLDPPSAIQHLHNRQSMLQEVADLNLSNSYGEVCAPRQLVIMKDPSSIPAAVAKAGLTLPLGGILFKVYIVGETIRVVRRFSLPDVNDYDMENNEGIFRFPRVSCATNNAEDADIDPCIAELPPRPLLEKLGKELRRRLGLRLFNLDMIREHGRKDRYYVIDINYFPGYGKMPGYEHVFTDFFLGLVQSKYKRRLSGS >cds.KYUSt_chr1.37307 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227993267:227999517:1 gene:KYUSg_chr1.37307 transcript:KYUSt_chr1.37307 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAEPLAPPPPRRPRSGPPPGLKNLGNTCYLNSVVQCLASTPPLANFCLSSRHSNLCKKVFPNKDKECPFCVLEWQVARLLRVEAGAVDSPGKIIRCLPLFAEHFRRGRQEDAHEFLRYVIDACHMACLRIRKRLPAASANGDCGPEEGRGLGACMLMRETFGGALLSQVKCLVCKGESNKTDEIMDISLDLLGTSSVADALACFFRPEILEGANKYSCERCKKLTSARKQMFIVKAPKVLVIQLKRFEGINGGKINRNIEFKEGLVLSNFMYNKTQDSQPVYNLFGSIVHSGFSPDSGHYYAYVKDAFGRWYCCNDSHVSLSSSQEVLSEKVYILFYMLSSKTQKHSTNGYSSSAVKSSNTNGNGLSSATCSEALKIPLVKPNGTCSTKGIAPVPLTNGKIAPGLHIKPIHLKNSGAEKVASNDKQHLALRNKAEVNGSITPVESNGCETEKSAEPNKTHGNVITCDKMDIGSQKVLQDADGNGHPVHFTDLQETSGVVATCAEKPFSVVSSTLDKDICASENSKNPVLHQGVSAAVKEVATSVKDSTRVKHHLDEEKFKEMLAESASSELRSSVWADDVCNFMRSAKRQCIQKTGTPVDSDAIRKQLISDSGRVFRCQWNSVGLL >cds.KYUSt_chr3.8581 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49798695:49805978:-1 gene:KYUSg_chr3.8581 transcript:KYUSt_chr3.8581 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSWLAPLTCAVGGALLAASAWLLCFRLLPAVVVVVRLRRRPTKDEKAQVPPGSFGWPVVGETLDFVSCAYSPRPESFVDKRRLRYGSAVFRSHLFGSATVVTADAEVSRAVLQSDARSFVPWYPRSLTELMGKSSILLINGSLQRRVHGLVGAFFKSPQLKAQVTADMQRRLAPAFAAWRDQGPGARLRIQDHAKTMVFQILVRGLIGLEGGPEMQQLRQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKRMARLIQRIIQEKRRRILCAGGEAPPQPRDTIDVLMGDGSDELTDELISDNMIDLMIPAEDSVPMLITLAVKFLSECPLALQQLEEENMQLKMRKTDAGETLEWTDYMSLSFTQHVITETLRLGNIISGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDTLYGDPYKFNPWRWKEQDTSTSSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKGGMPIRVTAKED >cds.KYUSt_chr1.39537 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241866251:241869024:-1 gene:KYUSg_chr1.39537 transcript:KYUSt_chr1.39537 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRCLLRLLTRRLVPHGPQPLPTVPIAAQTLTSLSQPLAPRAAAAASLRLLPSRSHFATRAPSGSEDEDDDDEEADDEAHYEDDEEGSGGEWGEGDDETPAAKPPSGKTEEEKLAEASEIGYKVVGPLGADEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLILNRVLMLGSQAETVIGRPTLTDATVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGIDKSFLQQEGIDKSESAAVAA >cds.KYUSt_chr4.45700 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282818155:282818931:-1 gene:KYUSg_chr4.45700 transcript:KYUSt_chr4.45700 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASNAIAGSIKKKAQPPNPDCSDDDVSSCASREEGLECPICCESFNIVENIPYVLWCGHTMCKNCILGLQWAVVKFPTLPVQLPLFISCPWCNLLSFRLVYKGNLKFPRKNYFLLWMVESMNGERAKFHSSGHEGHHSSGPCNGGTSSSQHHRRTSTARAEISSARDRINVGNTSNTDNFNVSLSLHKLIVCFLQLTAKFPLVIMFLLIVLYAVPASAAVLLLYFLITFLFALPSALILYFAYPTLDWLVREIFT >cds.KYUSt_chr1.28298 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170998254:170998617:1 gene:KYUSg_chr1.28298 transcript:KYUSt_chr1.28298 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLGAATTGDLALLERMAIKLDGGRGRLAEAVEAVKDNGVGALHLAAGGKKAEVCEFLVEDVRVDVDALDMCGVSAISVFVNTLP >cds.KYUSt_contig_319.922 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:6062316:6066133:-1 gene:KYUSg_contig_319.922 transcript:KYUSt_contig_319.922 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALNPTPIRLRPACRAPSARRRAAGGPRRAIPQQPPVRRPSGDRCVPWRGAEPAGPASAPAYPVVAPAPGAGSRAELEAFLEVVPARMRRELALHPEVWDLVEVVMDLGRRPLARFPSGDWVISDQPVTADDLRQAVSKVGNFSEDNRSGINHSLHRISAIRNRKAHIIGLTCRVGRAISGSAEMIRDLVVGGGSILVIGPPGVGKTTLIREIARILADEGKKRVVIVDTSNEIGGDGDVPHSGIGRSRRMQVPKVTMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIDSIIKNPCLQMLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEMVSKTECRVHHKLETTVDAILAGKPPKFEARMMGSNAADSGGSLVIPDKESKTEHMPSYLQQLVGRAIKSEDKFVDDVGSSRQTISKGVPSDDNVDGDFGSAKKAKGKTFVAERAPVRVYTYQVSESDILQVATVMGFEDELDITDDIGAANVILASRSEMKLNPWIRNVAKYHKLPIFVVKTNTMAQIVKAVKMIVGRDELSAPSRKQPKVLEGEIEIQDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDPNSRLQILPVKITKKSSTQGAQEPKSTKKNGSDLIVSENGGGFSFSRLPFLPK >cds.KYUSt_chr5.30425 pep primary_assembly:MPB_Lper_Kyuss_1697:5:192936484:192948985:-1 gene:KYUSg_chr5.30425 transcript:KYUSt_chr5.30425 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRFAPGANDDEASSSRRRPPALRAGGNRGGLHIGEAARGGAALPQPPPLLIEPKPESSEEDPDLRAALIISAAEEEAKWPQLHAAIRTSEMEEAARREVEDAEGRELYARALRARREEEEEAARRRRPAPRRRAAAAARGQEPLAGGGGARRLPKARAPSFLPVDVAAFPFFPIRLRLLSPPPKLRSCFSPPRPAPASPPPPRPTGAPRPPPRPSSSCSAAASAGGATGPTPSSAPRCPTSSPASRPPSRSSGPRRRPRPPPSSPPSPPPPPTSAPPSPHHPTPSPSPPPPSSSAGDWILFTTPTPFNRCVLLRCPSVSFEDGGLLLDGVNDRLLTHDRHYVNLSRGRIPAAAAAAQGAGAPSEEVSYQRLCIPAEDGGVIALDWPANLDLHKEHGLDSTVLVVPGTPDGSMDSGVQMFVLDALKSGYFPIVMNPRGCGGSPLTTPRLFTAADSDDICTAIGFINNKRPWTTIMGVGWGYGANMLTKYLVEVGESTPLTAAVCIDNPFDLQEATRSFPHNVALDQKLTAGLVDILRANKWLSAVEFALLKGRHPLIKDVDITINPSKSLAFIEPQPDNKKVPKDNNFHEQSQLIFYNNVPHGTNGLLTGSPNENAGTENSGSGLLEDNGYMDRVSKDSDEEESQEESEESSEDDERGHVLQSANLVMNMLDATMPGTLDDDQKKKVMMAVEQGESLVKALEEAVPEDVRGKLTTSLTEILQSRRGNFNLEALKRGWTSVRSSTRSVVQEKVKESDQESGHKDAKMLDQDRSVTSIGEGDQTDTNLTSSDRNPGEGTDLLQGKPYQPSGTLGIGIETGSEQTQLKSEKGNSGENESSEEQHRADEGSETAPSNVSDNQSMTNSNGAPREQVQSEDSTAEPNPQSNAIDKEGDAVRANEDKTAHNVVDQSMQVSKPEESRPPPVNVTQALDALTGFDDSTQMAVNSVFGVLENMIDQFQKHRDSENGDNSEGTTDEPSVDETESDVTANVDNELSGKEKKPSSDQPQHSISVEARPIMSEDYTSGETVSDIIVPPSKGKIRDSKKNKHGKYVNGDITKQGSDSPDYLLDIAANPYLKVQHALYLHEYLSRQLQLQSPDLNSATDLFLDPQEGKWKLADQMDNLQDGISKSDKHNSIEEEIERADSSQALPRRDNVMFPSYSLLGNFAGNEKLPGNALRQTLTYFITDELSNVLKTEVGRKLGLKSTEKLQRRLAHDVERLATQVSRTIVRDCELYRATSVQRNPTTVKFGVVHGENVIEAVSTAVQQSADLRNVLPVGVIVGVALASLRNYFHVGVSKHDNLTKAAVKSGILNEDLIVQDADKANIDNPSSRKKLENTDHHIEKTGQHKQQEITRSEGKGMMVGAVTAALGASAFVAHHQATGTSSGFSVEVEEHEIDETEQEKSQNNLVNSFAERAMSVAAPVVPTKGDGEVDHDRLVAVLAELGQRGGILKFVGKIALLWGGIRGAMSLTDRLILFLRISERPLLQRILGFSFMVLVLWSPVVIPLLPTLVQSWTISASTGIVGDACIVGLYVSIMILVMLWGKRIRGYENPVEQYGMNLTSVSREVEQVEEFEPGISTNKPWSSFDALVL >cds.KYUSt_chr3.28107 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175376146:175384908:1 gene:KYUSg_chr3.28107 transcript:KYUSt_chr3.28107 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAAMASPSTAMAFRPDIRTSSARVWGQTRPAGRAGGHDGGELLVPFLVFGDSIGEAVGARRSSAMADATEPFALLLPSASSALSVLLMLCAACCYLWEKGINRTAIESRDMVEPPGSLQRSFLAEFAIIETTTGNAIDKELACMLLRISCTSRTPPSRLLPPLRLPELSPREHYPSSTSTSSAASSTLQPSESSSARLRRPGVTKRASPSCRVASLHPPPPPRLLCRLQDSR >cds.KYUSt_chr1.10010 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61309044:61312993:-1 gene:KYUSg_chr1.10010 transcript:KYUSt_chr1.10010 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSMEDGEITVSGYDTAWVALVPRLDGGEGPEFPESLRWILDHQLPDGSWGDEALFSAYDRITNTLGCVVALTKWSLGAENCKRGLAFLEENMWRLAEEDPEEMPIGFEIAFPSTLETAKSLGIVLPYDHQVLQTIYANREAKLKKIPMEVMHSFPTTILHSLEGMPGVDWDRILKLQSSDGSFLFSPSATAYALMQTGDTKCYEYINRILKKFDGGVPNVYPVDLFENIWAVDRLERLGISRYFKKEIKQCLEHVHRHWTEKGICWARNSEVQDVDDTAMAFRLMRLHGYDISPSVFEHFEKDGKFFAFVGQSTQAVTGMYNLNRASQVRFRGEDVLERGARFSHDFLRRRQAEGTICDKWIIAKDLPGEVEYTLDFPWYASLPRVEARFYIEQYGGEDDIWIGKTLYRMPLVNNNIYLDLAKRDFNRCQVQHQLEWHGLQKWFTENGLEAFGVTSGDVLRTYFLAAASIFEPNRATERLAWARVSVLANLISKYLRCDSSGNKVLEQFMHGSLHEGEIDASGLNGDAKEEILVGALEKLVDLMAQEAPGDGTMHVNNLLRCADAEKNNAIIRHMDKKTQLDMQELAQNILQTDDDEPSSKTKQTFLSVVKSCYYATNCPSDILDKHVSKVIFEHVI >cds.KYUSt_chr5.36874 pep primary_assembly:MPB_Lper_Kyuss_1697:5:233165164:233166274:-1 gene:KYUSg_chr5.36874 transcript:KYUSt_chr5.36874 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRKCLRAAQAELGAGLVESMRASSPTHARAAGVDEEHAASMARHPSALGKFEEIVAASKGKQIVMFLDYDGTLSPIVNDPDAAFMSDTMRMAVRSVAKQFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKSSAGHAKSNSKAKGVLFQPASEFMPMIEQGWAAVAETVKSVVREYPKQEKINMQF >cds.KYUSt_chr5.42651 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268684483:268686898:-1 gene:KYUSg_chr5.42651 transcript:KYUSt_chr5.42651 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTQKTMTPAAALMALLLQLSFLAAAAAQVTGSTASCPRSCGNVSVPFPFGIGPDPDCYLLGFNLTCDRTSAQERLFIGGAGSTLEVVEISLANSTVRVMDTAGAVTITNQAIPPATLDPKGAWSSLGAGALQGPFVVSPTRNRLLVTGCNVQGTLLGEGDNLIVGCSTFCAITDQWTKATRVSPEDFAACAGDGCCETPIPIGRPSYVVWLKPLDLNQEMDHVAPIAVRVAETGWFEGASAALLNDPSRESSGRTAIPVVLEWAVNSARLVYQAPTTPGCPEDAATSVCRSSLSSCHNVSGNYRSGYVCRCLAGYQGNPYITEGCQDVDECALPGTCSGGECTNTPGDYTCHCPRGSRGNPHIEDGCVKSSIGLSAGIGIGSGAGFLLLVLGAIFTIRKLKHHRAKVLKHKFFRENRGHLLQQLVSQKADIAERMIIPLVELEKATNNFDKAREIAGGGHGMVYKGIMSDQHVVAIKKSKVTIRREIDEFINEVAILSQINHVNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEQPKASLPWVDRLRIATETARALAYLHLAVSFPIVHRDVKSQNILLDDTLIAKVSDFGASRCIPVDQTGDDTAIQGTFGYLDPMYFYSGQLTEQSDVYSFGVLLMELLTRKKPCSYRSSEEKSLVAYFTALLAEGDLASVLDPQVEVEGGKQVEEVAILAAACVRMEGAQRPTMRQVEMKLESLRVPHESIVMCDRRDTRGSEWHVWLASCIFSLFFYGDSEACIN >cds.KYUSt_chr6.22015 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139072189:139074210:1 gene:KYUSg_chr6.22015 transcript:KYUSt_chr6.22015 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVGKGDTPRHSSAATKLEQKMVEAMQQRAQEGTSLRSFNSVIMKFPKIDESLRNCRIIFQQFDEDSNGEIDQLELKHCFQKLDISFTDEEIKDLFEACDIYEHMGMKFNEFIVFLCLVYLLNDPAVSEARKRMGLGNLEPTFETLVDAFVFLDKNKDGYVSKSEVIEAINETSAGERSSGRIGVKRFEEMDWDKNGTVTFKEFLFAFTRWVGLDENEDDDDDE >cds.KYUSt_chr1.32538 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197598366:197598743:-1 gene:KYUSg_chr1.32538 transcript:KYUSt_chr1.32538 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLHQEQPLVRSPRLPCSGEAAAAGEFDGVEPKPTALLPAPLKMNVREGASAPEVSAARCSHDAIADEPLPGVRSHPASRPTVRRLLPRRLSAATAGFLSQALAAPTVASASAASRDGDYCAAM >cds.KYUSt_chr1.31455 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190749664:190750686:1 gene:KYUSg_chr1.31455 transcript:KYUSt_chr1.31455 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQHLRWAVLAALLLSTTTMCGAVAAQHVSVPPSAATAAAPAPAAAMDAACLNSLLNMSDCLPYVQAGSATARPDAACCPELAGMVGSNPLCLCELLSGAADSYGIAVDYSRALALPGVCRVETPPVSTCAAFGYNVRGLAPSAAPMAGSPAPGPSGDSPQFPGVSPFPSPPAPRSHAYRRSVAASTHALVAAFATGMMMF >cds.KYUSt_chr4.26328 pep primary_assembly:MPB_Lper_Kyuss_1697:4:165495819:165498807:-1 gene:KYUSg_chr4.26328 transcript:KYUSt_chr4.26328 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKGEAAAQHREAEEDGEMVGVVKLISAEGFEFVIDKKAAMVSNTLRNMLTSPGGFAESRDNEVRFPEISTAILEKICQYFYWSLHYASGKETAEFPIEPEITLELMMAANYLDT >cds.KYUSt_chr3.40545 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255770416:255771498:1 gene:KYUSg_chr3.40545 transcript:KYUSt_chr3.40545 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEMGEDVLSEILVRLPHKSLARFQCVSTNWNALIAADYLRRRLPLITSGVLFHDAPRDGVGRQAYTYACALPSSSSGETGNGGVAEADDMGFFPYHGTSSIIDGCNGLILYYSSSPQPTFHVVSPTTRRWAVLPAPRKKTLLSVLSFDPCASPHYKVVCFTRWLPRGASVEVFCSERGAWREHDKLDFGLDTDAMSATMHCFGGAVHVLAYSGHVVRIDLATMACTVTALPAPVSYRARAGHCRDRLRYASSDGSRLKFWELVDASRSEWALKHELGINDLVPGGSSLQATTAPTFLFMAFHPDREVVYLWTPGKLVAFNMEQRRVEEERVFGSAKEGAQLIQIWLFPFSRHLASCLA >cds.KYUSt_scaffold_6468.403 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1980166:1983935:-1 gene:KYUSg_scaffold_6468.403 transcript:KYUSt_scaffold_6468.403 gene_biotype:protein_coding transcript_biotype:protein_coding METAIGAASWLVGKVLSKLSDDLVSAYVASSELGLNSEQIKAKLKYMQGLLHAAQDRDVISNPGLQSLLEDLSKKADEAEDALDELHYFMIQDQLDGTLEATTDQGDGLYGHALHGRHAARHSIGNWLPCFSCPRTQDDDSVADTVVTSNPHCVSQSDSGNGGGHVGKLKFDRVMKHLEELKEFRVKKENDGFELKELGELSGLGGELSICNLETVRSKGEASDAKLKNKRNLKELRLVWGEDHPTIDDDVLDGLHPPNNIRVLGIINPGGSAGPSWLCGDVSTKKLESLHLEGVSWSTLPSFEQLSNLSKVSFRNIVGMRVFGPGIGGVTERSFTHLKEIVFEDMAELEEWLCTLDIKNCPRLSLFPLMPHTSTLTYVCVENNGSKLLYDGKEVSIKGYTRPLAFHIMDKVELMKICDVSHITLSDLQKLKSLRSMHFKRCDNVFSAELYGSVVLRSVQNLHIEKLQITGELFSQVLRSFPGLSQLSITKCENLTLVPVEDGGLWDLKMLQSFKGFGCGKLFSGWPMGEVGGGGRAMNPFPTWLREFDISEEPSMRSMGLLSNLTSLTSLSLISYEELTTDGFNPLMTVNLKQLGVDAMYSDEADISIAGDLLSMIARSKLMRAGSFQLEELWVDSISSGAYCSHLQPPRRYSPDIMVQS >cds.KYUSt_contig_2887.72 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:320875:325328:-1 gene:KYUSg_contig_2887.72 transcript:KYUSt_contig_2887.72 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil protein, Homologous chromosome pairing in meiosi [Source: Projected from Oryza sativa (Os03g0106300)] MSQKSFSQGGGGSFSQGVSASFSQGRGSGGALLSQGSGGAAFSQVGGGGGVAFSQVGGGGSFSQGGGGGGGAAFSQGGGGGGGGAPFSQGGGSAFSQGAGGAGAAFSQGGGGGGGGAAFSQGGGSLSLLHSQSQISQASLDENLLSPRHPSPARHQINEDVERKFQHVASSVHKMGMVLDSVQNDVMQLNRAMKEASLDCSIQKKFVLVETSLQQILKGQDDLKALLEGSTKSNPDQKSALNNHTSKLDEILSTLSILKQVQEDLRQLKGDIFRIFTKEMEGIVRAISSLNSRPDAMQAPTHLSCNTNRKSPINQPSVESPLVNQRPVADGKQTPVADGRPQRKQAPVANGRPQRKQAPVANGRPQRKQAPVANGRPQRKQAPVANGRPQRKQAPVATGESQRKQAPVANGRPQRKQAPVATGESQKKRGRAQNKQAPEANVRPPKKQTPVANGRPQVQQTAVANGTPEMNHAQDACWTLKMNHAQLACWTSKMNQVLEANGKPMMKQVPATEVLVCRLEAPKQEEVPIQMVNPQVATKKAPLSAIINLDDDDDDDEEGGDGSAPCVILKTDTGAAGELICEEAMEILQRARKRRRREENRAVVLFQE >cds.KYUSt_chr2.29673 pep primary_assembly:MPB_Lper_Kyuss_1697:2:182648583:182650607:1 gene:KYUSg_chr2.29673 transcript:KYUSt_chr2.29673 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRHPSILVCVCLILSLGPNLGAFCTPQAQSFVYSGFNGADVTLDGVAMVRPDGLLQLTNVSDVRGYAFHRDPLLFRKSPNGVVRSFSVSLVFGVQSEFVESSVDGMTFFVAASKNFSSTFSGGFLGLFNDSTDGSPDNHIFAVEIDTFKNGEFMDMDSNHIGIDINSLFSIQARTAGFYDDNTGTFTNLTLNSGEPMQLWVEYDAKTTHVNSTLAPFGAAKPRKPLFSTTTNLSEVLKDPSYVGLSGSTGPINTQYYVLGWSFGMDGPAPAINITNLPKLPHGHRKARSKVIEIVPPIATAVFIALVGTAIFLIVRRRLRYAELLEDWEVDFGPHRFSYKDLYHATDGFKNKRLLGAGGFGKVYKGVLPTSKMEVAVKKVPHESGQGMKEFVNEVATIGRLRHRYLVQLLGYCRRKDELILVYEYMPNGSLDKYLHCEEDKPTLDWTQRFGVIKGVACGLLYLHEKWEKVVIHRDIKASNVLLDGEMNGRLGDFGLARLCDHGTDLQTTHVVGTMGYLAPELLRMGKASPLTDVFAFGTFLLEVACGQRPIKQDLQDKQIMLVDWVLEHWNNGSLVQAMDTKLHGNYDNDEANMVLKLGLLCLHPLPIARPAMRQVMEYLDGDMALPELAPTHFNVNMVSMLQSRGFRPSIMLYPDLTSSINTFSGLSGGR >cds.KYUSt_chr3.6245 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35769473:35770042:-1 gene:KYUSg_chr3.6245 transcript:KYUSt_chr3.6245 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRRPAPSQLLVLALISCLSIASAASSFRPQPRPDNSDLAQTTSAAGGVGARTCWYTVQIKTSCASPAHTSDVISLAFGDVYKDEVYAAQLGGFAGAFDRCATDTFKIGGPCGYGVCYLYVRRAGRSGWTPEWIRVYEPASPGTPSTFRYGDPLPNNVWYGLDRCTRLVSPSSSSSPSSASAAVQAM >cds.KYUSt_chr7.4151 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24777589:24782477:1 gene:KYUSg_chr7.4151 transcript:KYUSt_chr7.4151 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVGRTPQTTGKTSPSNCQTLLDPIWRKVVDLFMPRASILLPNCVNLDLLCLVQGVEQEESKRSPSEQADEDCDNSGSVTAAPAPSEDPSEGHSSDSSNQCAGSDGGAKEVPEMDSKGSNYDNSECIDQSSPRAVLDISVSGSVDSDENSSTEQTAEPSRSLHWRNLVGGLILSRKKLMARAVTFPQRSKSSGLKRYLGRIRSGRNQIDCSAIAPEIFPEIEKWRPSWRSFDYDELCAATDRFSSDNLIGKGGHAEVYRGQLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGAKGALRWKARFSIALGIAEGLFYLHEGCHRHIIHRDIKASNILLTEEYQPQISDFGLAKWLPDKCTHQVVFPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLVIWAKPLLESNNMKGLVDPSLDAGYDPEEMALTLAVASMCIHHSSNLRPSMRSVVRFLKGDRESLELMGKPKPTKPPMFDSCDSEDYTRTSYLNDLDKHKQLALEQ >cds.KYUSt_chr1.3287 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19836523:19843951:1 gene:KYUSg_chr1.3287 transcript:KYUSt_chr1.3287 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLTPRTPSPSFLPFFSYCGSVGCGSRISIFDRLAAPSPPAMEALSEQERKDELFGAACDGNVRLLKKMARALNSGGLSEAEFLAAMVDDDGDTLLQMAATHGRTDVLRYLVEELRLDVNQPNSIGDTPLCYSAFAGKAAATKYLLAHGADPLVGHCDVVELLLSRGFDVDLGSARGTPLQAGADVNFVDSNGFTTVIYAAKLGSPAHMKCLLDAGANPNIPDEFGRMQIEYAAYYGKRDMVEMLFPSTSPISTLAEWSIDGIIYHVKSFGLKPMAMEFECSPDDYATLLANRSLCMLRMGENKRAALSDATQCRMVRPFWPKACYRQGAAYMALKEYEKASDAFAGGLKLEPTNTDIANALREAREALKNARCSENQDNSNVKTAS >cds.KYUSt_chr7.6041 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36157415:36168714:-1 gene:KYUSg_chr7.6041 transcript:KYUSt_chr7.6041 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDNSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEENRNWHFGISLIGQEEMETYDGEGVPQDVNEGVVNRLQVLEETPTSEEIAHDEGATEGDDSQGIEKVKQHLKNEFEVKDLGEMRYFLGIEVSRSPRGNYIDRIHIHGHMVTGRSKEHSIFAMRFSAMINQSRKKTDELHLLSAVDLTSRLEYDLLDTQGAWQLEDEDKKDPGRSSTSRRRRRLSFSLAVRKGRFARYSLLIGSRYGVWSAAERRFIKRTNFYHYHHITQGIRDVRMAYSAVILDGFYGVRSTLGFLCKLLVNPRRAVRIMRALLFYYHVFMAKDRRMECWPTDVHGKDRRDELSSVRHRLVDVYQRRMTPAQRQRVLVIYERHSRDLRFALGFILLVPLVLFVIIAWLLKYCW >cds.KYUSt_chr1.12138 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74996418:74997579:1 gene:KYUSg_chr1.12138 transcript:KYUSt_chr1.12138 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCPGTAVWVEHPELAWAEAEVVSLSSPSFIMVVLSSGVKAVVDGRKVLPRNTEADLGGVDDMTKLVYLHEPGVLCNLARRYTLNEIYTYTGHILIAVNPFAKLPHLYDMHMMEQYKGVQFGELSPHVFAIADASYRAMVSEGHSQSILVSGESGAGKTETTKLIMRYLTFVGGRATGDIRSVEQQVLEVSFQFPMGDNYQDCGRWGDWEPNSA >cds.KYUSt_chr7.37210 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232244889:232246490:1 gene:KYUSg_chr7.37210 transcript:KYUSt_chr7.37210 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPVLSVVLAALALLAPRAAGYPWYWCGVETGVTFQDNSTYQANLNLIAATLPKNASTSPDLYATAEVGAVPERVSALALCRGDANASFCFSCLTTAFAHLESSCVNQKDAIIYYDPCMLRYSNVQFLSADEDDPGTVDADLGSFPSNNAKATSSPGQYELVVATLLNATADYAGYNSTRRYASGEASVDRGEFSKVYSWAQCTPDLTPARCRDCLSRMMAQLTTLVAIGARALGPRCSVRYETQPFIDGPVMLQLAASSGAPAPAPVIPAAATEQRKRKYSVPGKVLIILLPIMAATNLIVWLFFWRRHQALTKKKQADSKDSAEVDEGMQSIESIVIDISTLRAATEDFAESNKLGEGGFGVVYKGTLPDGYEIAVKRLSKSSIQGVEELKNELALH >cds.KYUSt_chr3.9750 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57354136:57355677:-1 gene:KYUSg_chr3.9750 transcript:KYUSt_chr3.9750 gene_biotype:protein_coding transcript_biotype:protein_coding VPKLPPGRQLPPTRSDFAIRNPVKFEFEDFYFDDCTVEAIVAIAFSALPGTVSPAVPPRIAEILLRVPASSMEPGLELPHTVYTDETVGLAFTWSAPGLTGIARLMEKDFQETT >cds.KYUSt_chr4.50196 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311021091:311022032:-1 gene:KYUSg_chr4.50196 transcript:KYUSt_chr4.50196 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVDKWNPAYSSCRLSSSIYRFMPDCAITCPVSITGNGNGCCDSGDDVWDEICAEAQADAEAEPLLRMFYAELVLSRPSLEAALAAHLATKLCIPGALPQDALRDLLAGALEAHPEATRDTRADLLAARDRDPACAKMVHCFLYYKGFLALQAHRAAHALWSEGRAAAALLLQSRASEVFGVDIHPGARIGAGILLDHATGVVIGETAVVGDDVSILHAVTLGGTGKVSGDRHPKVGDGVLIGAGASVLGNVRIGDGAKIGAGAVVLRDVPCGTTAVGNPAKAIGKKPAPQRRPEDQPGVTMEHRWSDYVI >cds.KYUSt_chr4.54375 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336032490:336036991:-1 gene:KYUSg_chr4.54375 transcript:KYUSt_chr4.54375 gene_biotype:protein_coding transcript_biotype:protein_coding MKSATSLLLGAALATAFFLLYTSVRRDLGDGPARSAPPRWTQQEKREDSVRAAADRPSNQEAVMKVEQEKKDIVTSSDGGGRDGSSSHQQKQQQQQIVMPAEQQQKSEKPQDLADLLRRAATADRTVLMTALNEAWAAPGSFLDLFLESFQHGENTAYLVQHLLVVAMDRKAFDRCNAVHPFCYWFRVEGMDFAAEQKYMKGDYLEIMWNRNKFQQTILELGYTFLFTDVDILWFRDPFPRISPTAQLVMSSDFFVGDPNSPGNYPNGGLLYVKSCAGTIGFYKHWQSSRERFPGMHEQYVFDKIVKEGVPPHLGTKVQFLDTNYFGGFCQHGNDLSKVCTMHANCCVGLENKLFDLKNVLQDWKTYKTRAAVGNTEYFSWRVPGRLKRWLPFREVKLQRYGSITSRRRSEQLLQFKSNANLARVDQLVTSLDGSYTQPSLLLVNNSPPFLQRSSHMHPFCYWFRVELGYTFLFTDVDILWFRDPFPRISPTAQLVMSSDFFVGDPNSPGNYPNGGLLYVKSCAGTIGFYKHWQSSRERFPGMHEQYVFDKIVKEGVPPHLGTKVQFLDTNYFGGFCQHGNDLSKVCTMHANCCVGLENKLFDLKNVLQDWKTYKTRAAVGNTEYFSWRVPGRCIH >cds.KYUSt_chr4.23620 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148753061:148754527:1 gene:KYUSg_chr4.23620 transcript:KYUSt_chr4.23620 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDATEIKEHFVLVHGAGHGAWCWFRLLPLLRGHGHRVSCVDLAGAAGSRVDPGTVRSFDEYTAPLVDLLAALPDGEKVILVGHSAGGLSVTHAMHLFSNRIKQAIFIAAAMLPFGFETEQDIKDGIPDLSELGDVFDLSFGLGLDHPPTSVGLREEFQRRILYEQSPQEDCALASILLRPWPAALSAARFGNGHRSTIDEVRRVYITTAKDTMVKLEQQEGMIRRWPPSEVVAMDTDHSPFFSAPEQLFQLILKSL >cds.KYUSt_contig_1539.20 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:117719:122751:-1 gene:KYUSg_contig_1539.20 transcript:KYUSt_contig_1539.20 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQEVPDQIAAGWFAVEPSERPGSLQRSGSNSRLNAQAPEFVPRVAAVVPPRRAMVRVFAAPPPPPRTAFFAAPPPPPPFDFFAPVRVGAGFVAKEPEPELERSAPAAAEPSTADGLADEVAQKITKQVEYYFSDINLATTEHLMRFISKDPQGYVPISVIAGFKKIKALVHNNTMLAAALRTSSKLIVSGDGKRVKRRHPFTESDMQEFQSRIVVAENLPGDPSYQNLMKIFSAVGSVKSIRTCYPQTPNGPGPATNRSAKLDMLFANKRARACLEALRLPASRNSTTEQCKTRQLRRARSAKLSQFGLQNAEHQFSKFLDQQIMEQCEITDILPPPSENGRGYSVATPESPPSLPPAATSNPGSSSSLGCSCSVLLPAASLSRGLTLTSSSSSYVPDPLRPPPATPVGASQALSGAGALASDLPLVTRITNSNCLCTVTNS >cds.KYUSt_chr4.42124 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260552299:260554961:-1 gene:KYUSg_chr4.42124 transcript:KYUSt_chr4.42124 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHGDRRRHRREEVGVLLDEDEEFEQHGGGCGGGATSGVVEHELELGQDGGGGMVFEASSSVGSVSATMGPPPIMCWPPPAPSLSSQQQQLHHHNLVSDGGGGGQGPFFPMLPPLPPQPPPPPPFFADFYARRALQFAYDHSGGASSSSDPLGGFGAGLYMGHHGHGMMMPPPFGPSPFGDFGRMTAQEIMDAKALAASKSHSEAERRRRERINSHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEIREEACPLPTESDELTVDASSDDDGRLLVRASLCCDDRADLLPDLIRALKALRLRALKAEITTLGGRVKNVLLVTEDDTLAPCGDDEDGAPTPMSPQHTVASIQEALRAVMERTSSSPGGGGEDSGGSASGGLKRQRTTSLSAILENRSI >cds.KYUSt_chr7.25508 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159188093:159197037:1 gene:KYUSg_chr7.25508 transcript:KYUSt_chr7.25508 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTQVEHMLNDRLLNFVDVDLHDVKDARKRFDKASLVYDQVRERYLSLKKGTRADITIAIEEELHSARSSFEQARFNLVTAISHIEAKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYSQQSRERANKEQASLVERMHEYKKQIDRESRSSANCLNDSHNVDGIQTIGRSSHKMIEAVMQSSSKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQITRPPGVCPPTRPNNTPEHGSGLLSRLFSSHYHGIVHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPMKIYTLQAESAIDQMDWIEKITGVIASLLSSQSPEQCMQSPRSCGHDRSASESSSYSSSAELETSTSEDLTLEKNTGNGHHDVRSTHHHRTNIKPEKPIDLLRRVDGNTICADCGASEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNRVWEETLNSSSIAYSGELSINGPHTAQYFTVSKPKHSDPFSAKEKFIHAKYADKEFVRRHSIDEIHVAQQMWDNVTVNDKKGVYSLIVASHANVNLVYGQMASGLFLNLGKALLQEQPASPPDGSPRFFDCDSQEKASPKELISRATSSHVDDMDDRYEGFSLLHLACRVADVGMVELLLQYGASVNASDSRGRTPLHHCILRGRHLQAKLLLSRYVGAYN >cds.KYUSt_chr1.33010 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200335691:200339852:1 gene:KYUSg_chr1.33010 transcript:KYUSt_chr1.33010 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLYKYDSLGGKKTQLPHDLAVAIAASPLSSTSTSIDKGLQTPTNVGNYNSTATARSRHHDTLPYHNRRIHSLLHLAGLLHLKTVHSPYESGRVASVSMSGATSPMSSADQSPPRFGAIVHAINAENIDMGSMDEDTDNMKTEEETINDGAKEQALPTSKPENLELEEEEIFREGQTDMQIEEGLTKSETNELENKTGKEATYSELTNARQLRDKTYLRILVLEENDTVSASVHTGDRSSVYGESLTAHLEARHTPNPPQQGILGAGSDQHLVPQEDEDGKEVGAAEPSKSRPVSGKRGRHSLNYQDLMILWDAIMFHWNKWGHHLHDLLMSCVNYMLHAYGGT >cds.KYUSt_chr3.44964 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283246080:283246878:1 gene:KYUSg_chr3.44964 transcript:KYUSt_chr3.44964 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVKLIGCFGSPVVHRAELALRLKGVPYELITEDLNNKSEMLLKHNPVHQKVPVLLHGDRPAICESLVIVEYVDEAFDGPPLMPADPLARAAVRFWASFMDKECRESMWMALWTDGEEQAGAISAAKANLTLIEGQLPEGKRFFGGDTIGFLDIAVSWIAHWMEIFEEIAGVRLLTEEGHPTLYRWAREYTANQTVRLCLPDRDRLLAALAPSREIFVSIAKTMSTQKQYSVCWICNI >cds.KYUSt_chr2.10511 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66771368:66772704:-1 gene:KYUSg_chr2.10511 transcript:KYUSt_chr2.10511 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGQCGAGLSVPRGARSVQCAHCRGVTRVQRHGAVGVVMNAFANMAVRGGRPVTPPRLREAGYPRVPGDKRALLIGINYTGTENELSGPINDVKGMSFLLTQKYGFPKECILIMTDEERDPYRRPTKSNILLAMRWLVHGCRSGDSLVFHFSGMAGQMEDEDGDEQDGEDQTIFPLDWELNGEIRDDEINDALVRPLVKDVTLHAVIDACHSGTMLDLPNIYKLKKNGQTEWKLHTPPNGAWKNTSGGMAILISGCGDNQISTDGIGDEQLPMGVLTYSFVTAAFFAQRKPTYAQLLATIKAIMLERNADSRINRKLPAPMCSLVRKVVNFSGVQEPQLSSSQKFDINREHFEL >cds.KYUSt_chr1.991 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5225614:5228601:1 gene:KYUSg_chr1.991 transcript:KYUSt_chr1.991 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTRARSSIIADIIKRGPGFYEHVIKVDLKPTPTAEEAQGRGITSIKYQVTLAAAKELGLLDQEYNSLKEKHDQLQYCTYGCDERAAEDLEYHMRYVIPPLVIRKLSNKRYLLVVDNLQWPITRESLTSDCGLPPPVWTKSRWLISPTSLDAYNASKSEDDGASESMYRDVQVVFLTIIALRQSAEHIRLNMISQESIEYWHVIALDCFHYAMAIFAKHSQVAAVTSDELTHQWATLLPCMTDSNSISSKCSYMHQVGRVILEAFQKYSLLELPFSPAYEAREATNTAAQFLAYHGLIAEGITIDEVSDNKKKWISFSGDDGCHDMSNLEDLILVNCSNLVEIPPSMAALSSLTTLEITGTQIKYFPQKIFEEMQKLQLLKLIDNNNLISLTRPISRVHEFKLEGHPNLKSFSLIGSPHIQLLSLRGCRELKSVEFKNLGALEELDLSGTSIDEIPADIPSGTHLRKLLLLGVPSLLRFPWHMLERLPEVFYLDQCTEGNGNHFDQGLQLCVSDPRFFYSFGKSCVDFVRDGKFFQSFYVRVAPCSANNRRLQHDEGMVDDKLRELVQNQSTYVDVHNSCYAEEIAVALVITVPLRRTERHVEITGMQQRAGGLFGLLNVTKSISVTFDTSIDRFDRCSNFDDLEKCELRWCHKMEGVFNGISDMENLRNVHVCNLRSLLWFCQQYSKFSFSSLEHLHLEYCPRLEAMMPDGVTLPSLKTLDILFCYNLKKIFYRDTYPGDKYQLLPNLQRVRLQELPLLQQFNDKDTTITSPMWKELHVRGCWSFRCLPHLHGQLKTVKVNGERSWWSKLRWGSLSHRNSYEPKLPPEFASFDERAGVTSYLR >cds.KYUSt_chr4.39765 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245576797:245580785:-1 gene:KYUSg_chr4.39765 transcript:KYUSt_chr4.39765 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPATRLPEADALPDGFVESSSADQVPPTSSAPAPAAAGSPHPALGPDHEAATVDNGGETLGALSSSSAAADTLRGLDLNVAWEPESAPGQQGPAADIGEAKDSMKESFVVEQAEPLAIQKESVEPKRKAVKKGTIAVKEKLESLCREFQRQNKMLKEECQRVSTQGQNFRTDMSDKFENAMKAVGVKLEEQKNECIAQFEENNSLRNKLKELADQYNIIQQKYAHQLKEKTLELELADLKIKQHQAKAAQEHAQMVLYAEQVSQLVSTEKTLRVQLAADGEKFQQFQDALSKSNETFETYRREMEQMVKVIKEFRKQNAALKSKCENSDVALVKLVEEREVMKKQLDKYKNQKEKLESLCRSLQAERKQSPSLNTPDATTSDQADLTANQESEMSDA >cds.KYUSt_chr2.11868 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75476984:75482455:-1 gene:KYUSg_chr2.11868 transcript:KYUSt_chr2.11868 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVTAESTPRQRPPPPSPSLSQQQSRVRQQPPPGSDPLALGLIIFLALCFLLVSFSAPSSIVHQVPEGHVGVYWRGGALLKTITPPGYHLKLPLITQFEPIQVTLQTDQVKGIPCGTKGGVMISFDKIEVVNRLNKDFVYDTLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDMFDQIDETMKDAIQRDCTRYAPGIEIISVRVTKPNIPVNIRRNFELMEEERTKALIAIERQKVAEKEAETQKKIALSEAEKNALVSKILMQQMLTEKDSSKRQQQIDNEMYLAQQRALADANYYRITKEAEANKLKLTPEYLEMRFIESIANNTKIFFGEKIPNMIMDQRLIRSHPDSTPSKDRLEVE >cds.KYUSt_chr6.31503 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199106388:199106702:-1 gene:KYUSg_chr6.31503 transcript:KYUSt_chr6.31503 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSTAIRLSRSPAAPRALPSPATRLAAPSRRRRALSVRAEAAKEVEEPVELKAGVAVYKPRSYEVLVSDAARSLAAAIDDGRTRLEIEFPYACASFAPFLSF >cds.KYUSt_chr2.51445 pep primary_assembly:MPB_Lper_Kyuss_1697:2:321515851:321516409:1 gene:KYUSg_chr2.51445 transcript:KYUSt_chr2.51445 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGRLYFVSAHEKPAVPFRSWAAVYATDLASKETVHVTPQGIVDMSPAVSASGELLAIASYGERPWAFDFQVLETEISPETLEPYGAETRVTPPGVHCFTPAAAGSGRWIAVATRRKERAHRHIELFDLEMERYTSVTSLLNPELHH >cds.KYUSt_chr1.7961 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48862650:48866129:-1 gene:KYUSg_chr1.7961 transcript:KYUSt_chr1.7961 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGGGGGAEGKSEDGGKVGLPALEVSLAFPQATPASLFPPAVSDYYQFDDLLTDEEKALRKKVRAISEKEIAPIMTEYWEKAEFPFHAIPKLATLGLAGSTTKGYGCPGLSVTASAISIAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKQKYLPSLAQLKTVGCWALTEPDYGSDASALKTSATKVPGGWQLDGQKRWIGNSTFADVLVILARNADTKQLNGFIVKKGAPGLKATKIQNKIGLRMVQNGDILLNKVFVPEEDRLTGINSFQDISKVLAMSRIMVAWQPIGISMGVYDMCHRYLQERKQFGAPLAAFQLNQEKLVRMLGNIQAMVLVGWRLCKLYESGKMTPGHASLGKAWISSKSREVVSLGRELLGGNGILADFLVAKAFCDLEPIFTYEGTYDINALVTGREITGIASFKPAALAKARL >cds.KYUSt_chr1.30281 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183308768:183318507:-1 gene:KYUSg_chr1.30281 transcript:KYUSt_chr1.30281 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKEKAPVQPPAVTPDEAYLEAVREKRICLFKQFKDRQDRERSRIGGDPIRITLPDHNGVVKEGKKWMSTPMDIAKEILSSKLAASCLIAQVNGEPWDMTRPLEDSCELKLLDFDTVEGCATFWRSSALILGEALESLYGCKLCSLSPCTTSAEGFYCDAYYKDITLNESAFGRIQDKARKAVAAKQPFERIVVSRAEALECFAENKFKVEIIRELPDEAITLYRCGSLVDLCPGPHIPNTSFVKAFACLKASSSYWRGKANRESLQRVHGISFPDSKRLKEYEARIEAAEERNHRILGESQKLFFFSPLSPGSPNFLPHGIKIKNKLFSFLRQEYRDRGYQEVESPNVYNMEIWEKSGHAMNYKENMFTFEIDKREFGLKPMNCPGHCIIFKHGTHSYRELPLRIAEFGVLHRNEASGAVTGLTRVRRFQQDDAHIFCRESQIKDEVKSVLEFIKYVYDKFGFNHDYELELSTRPEKYLGDIETWNKAEQQLREALLEFGKPFEINEGDGAFYGPKIDVGVFDALKRKHQCATIQLDFQLPICFDLSYSTEEGTEKPVMIHRAILGSFERMFAILLEHYNGKWPLWLSPRQAIVCSISPKSVEYAKQVHAKIYKAGFDVEIDTSDKKIDKKIAEAQDQGAQFNYILVVGETEAKSETVSLRLRGSREPERPPVSIDNVIARFRDEVAGWQLTDRVAREPPSWGWIHAY >cds.KYUSt_contig_1658.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:11911:27680:1 gene:KYUSg_contig_1658.3 transcript:KYUSt_contig_1658.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRDAAQRATPHVPATSSSTAPPPHVPEYHVPPTAKPVLNYSIQTGEEFALEFMRDRALSHKNLLPGTSGGAADQNAATGYMDLRAMLAASHTGSEAAPGIFMMTPLENPRQKESDRKPVAQIQNRSTHTSTRSAPRALSGDGSGRGYASSEASDTARRIKFLCSFGGKILPRPSDGKLRYAGGETRIVRISKDISWEELKHKTTGIFNQPHVIKYQLPGEDLDALISVSGDDDLRNMMDEFDMIESEVGASQKLRVFLFSSLDFDDMNLGSMDADSEIQYVVAVNGIDVGSGKPSSGHGLASTSGSMLAQFINLNADDEPSNPNQDRSDFHGQSLMPSVTMPTPTLPSLSSDYTANMQSYQGSEMQYTQGSSNNFYGTERHISLPLSVPSDYGGTLQYAPYSGIASLATSDQRSYQDGFMSQGSGNDAKQVSKNSLHQKNEVDYFQTLENLSAPAPHNDLSASNSMQLEVPPASSAQEGRPSFLQPSDSGKSLEIPMASRATSAAHGSEFNEDDRQSSGGAFASGCSDFGSDMTDHSFKNSQPGAGRTFQSERIPREHAESLNRLSKSDDSGSQFLKLQSQSITEAADSVEGAEKTNSGAPSLNLNDPPSDDSLVQFEKNFSKAVPQPSQFGIIIPSEESDAKMTSANPVVEQQQVSEKTPAKGNLKASTTNRKQPGSDAAMARRVSWEAPRAVLPNDGKHDPAVPSPTSTTRAVPDGESAAANTENRDIFVDINDRFPPDVLSDFFAKAKDAQSSTPFTDPILSLNMPNYEPKNWSFFRNLAKDEFPSRNNDQGLAKIDEGVYTLAGASNDSINMKGLNPNSDFEAEKKPEPVITVADISSMVPAYTPSYIDHRPVMERSAEVFQVDNPYAPMGDDTSPPVPEFEDPKFEEDRTVGQVMDATLRDSDFEHLQIIKNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLAQEFWREAEILSRLHHPNVVAFYGVVKDGPGGTLATLTEFMVNGSLRHVLQRKDKCPDLRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDHSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPANCDPEWRRLMEQCWSPDPGQRPAFTEIAGRLRSMSAVANQAAKAAAAAAKLDVYIYDYLVKRNLHNSAKAFMNEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPPQGATAASIDLMKSREQQMRIQLLQQQNAHLQRRDPNHPSVNGAMNNSDVSAFLVSKMMEERTRNHGPMDSEASQQLLEANKMALLKSAAANQTGENVMLLEIYYVGYSSESGLMRLITFLPISTFLAVCGHYSQIHTRQFPTLDVPGMDQLRSNLGAQKQLMPSPNQFQLLSPQQQLIAQAQTQNDLARMGSPAPSGSPKIRADEQEYLIKMKMAQMQQSGQRMMELQQQQQHHLQQQQQQQHQQQQQQQQQQMQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGIPVASNANIAQKNSMVCGTDGTSGFASSSNQMDNLDSFVDFDDNVDSFLSNDDGDGRDIFAAMKKGPSEQESLKSLSLTEVGNNRTSNNKVVCCHFSTDGKLLASAGHEKKLFLWNMDNFSMDTKAEEHTNFITDIRFRPNSTQLATSSSDGTVRLWNAVERTGALQTFHGHTSHVTSVDFHPKLTEVLCSCDDNGELRFWTVGQTAPSRVTRVKQGGTGRVRFQPRMGQLLAVAAGNTVNIIDIEKDTSLHSQPKVHSGEVNCICWDESGEYLASASQDSVKVWSAASGACVHELRSHGNQYQSCIFHPRYPKVLIVGGYQTMELWSLSDNQRNVVAAHEGLIAALAHSLSTGLVASASHDSCTRNSSIPAAHTRYALVWKALVIPALRGARVLDLVTGKDKAPSEFISTEDTNNKTVTVVNPDYVAWISCDQQVLRWLLNALSPDVLAHVVGLNSSAAVWAALNTHVSGQSKTRIQQLRSALNDTRKGDLSAEKYVAKMKCIAAELAAVGKPLDDDELVYYVMQGLGSHYNNLRTAVNANPNTTLADLLTQIQAFDRQHKTDEPGFTSSANVARSDTRPRNDDRRPRPEERPRYDDRRPRQDDRPRYDDRRHQDRPRQEYRDDRPRCYDDDRRRRDDGGRRRDRRPTPYVNATCQICDIHGHPARDCWCHNDDDRSNRADRGDRADKGANFVAAHGVDTNWYYDTGATEHLTGQLNKLTTYHPYPGEDRVRTADGTGTSPHELGLPVKTNRQPGNCTGLG >cds.KYUSt_chr2.30233 pep primary_assembly:MPB_Lper_Kyuss_1697:2:186102129:186104292:-1 gene:KYUSg_chr2.30233 transcript:KYUSt_chr2.30233 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGLGAMAQQGLSDECVMMDTRRVVALSGINDGRPNKVNELISSEDGSVEDRGGGLLEHAHIVRTEGLLDWPLQQNFIGFQDSFIWVDDIPEKNVHHPLANIIVSFVLHFLLPNEQI >cds.KYUSt_chr4.1521 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8026365:8032205:-1 gene:KYUSg_chr4.1521 transcript:KYUSt_chr4.1521 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPQGPPSAGGASPTAAVVDVPVVGPPNAGDVSAMISATIPSKRKRIPKQFFEPPSPAAAPPTAAPPTAKKGGRMKTKAVGSRGAPQPKVKTKQVSRIGLAPPPSSKATAPPPSVPSDAMPAPPPPTMDVDKVFDVESTTSYMDMFNDSAVDLDAGIDASAQGSLLQDSRGSSLETMPRVFHVLRRRRRRRLLLRTISLRFHGALANHPDQHPRPSANRDLRPANDTAAGLAAVHKTPRRDAATVSAHLRSRDLPRAEALFLAAPASARVPHLDAVMLDGYLKAGRVDRARRLFDGMAEKNVVAGTSLVSAYGRSGRVAEARALFDAMPERDVVSWTAMLQGYVRAGMLSEAREVFDVMPERNAVTWTVMVKAYADGGHVGEAMALFDRMPERNSYSWYAAISGFLRAGRVDEAVSLFERMHHKNVATWTAMVSGLAQNSRVSMAREFFDKMPKNKDIMAWNVMINAYANDGQMSEAQRLFDSMPAKDLVSWSTVIKGYARNGRKDDAVGLFLLMLQSAVYPDITTLISVLVTSERTVEVGQIHGMATKMGHLSETSLGNALLTMYSRSGDLRSAWQAFKMLQEKDAITWTSMMQAFVKHGHASCALQAFALMLRHGHEPRSSTFTVALTACRNAGLVEKGRNIFRSIFAYGLKPTIEHRDILGRMARAREATEVVAAMPPEMRDQEMELKEREKKSKKKPVEDSQLAIEEPVEDSQMAIEEPVEDSQLAIEEPVGAESAAAATECTPTKGVFGWAVAYLKAAVGK >cds.KYUSt_chr7.14523 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89775512:89781689:1 gene:KYUSg_chr7.14523 transcript:KYUSt_chr7.14523 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLQNESVDGSDEHDASRENLSAAAVPAGASLWVTINYLLGNLGKTYPDTICVVDLGGGSVQMAYAIAEKDAAKAPKPADGEDAYVKKLFLKGTTYYVYVHRSIQWGSLSLTKHIATATSSQFFFVYLSSSVEAGWLNSCLPSYSAHARAATATSPARRPRSATPPPLPPPPPEATQVAPRAPASTRIGPRSEVHRVRRDDAPRGLDANGWQQPRRRHHQRRRPQHAGGHAQRRRSPSPELEGGLCFRCLEPGHPVRGCTNEVRCRRCLLSGHASRDCTPEQRAYDRARARPPPREEGPPQRRRTAPAAPPPPSPQLLAQQTLPVSTALVTIAAPPLPPPPQQPMLLARTVDPLGPVRAPAATTTARTVDPTGPVRVILSRSVEMEQAEAVLRRAMVASVTGTRPTVSADQVAEVLTASFNLQAGDFTVHLHHPEEFLIIFATQELKDRLSGDHFIGNTSFSLTVRPWCKLAHAGCGRFEHRVELELRGIPAQAWNVSTAESLLRDSCWVERIHPGTRSRADMAVFRLTARTHDPSTIRRQAILEVVEIIPARNPTEPPTIRTLTYPISIRITPDVIDGPRPGAADDPPGDNDGADKDAEGSGRAARRGSSPPLPQMPPPRRRRCSSRRARRWHGLGRACSDHGLHPDASSTASAWTAAGLMHAGQFRRRAGAGAAPSPSRSGAPWMHGPVRKGGASHVNLVA >cds.KYUSt_chr1.18644 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109180464:109181015:1 gene:KYUSg_chr1.18644 transcript:KYUSt_chr1.18644 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKQNPSSCSTKTEHVPTMAPPPHIAVVAFPFSSHAAVMLSFVHALAAAAPDGTAISFVTTADSVAQLRNPTRSWATCASWRSRTGCQLRRGRCQCCPRLDGWSSSWRRKAAQASAGDARVSCVVGDAFVRMAAEVAAATGAPWVPVWTAASCALLAHIRTDALRQDIADQGTSCTSERLMV >cds.KYUSt_chr6.24107 pep primary_assembly:MPB_Lper_Kyuss_1697:6:152301899:152302492:1 gene:KYUSg_chr6.24107 transcript:KYUSt_chr6.24107 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGVASKTAAQPKSAARRLWRVMRAVLYMLRRGVLPSGRKVSMDLCLFLRRGKPSEVEYASFSASVAQRRGRNRRQGEDEAANGYNAADIAKVFEMLNDGGSLFGDDDTLALATPSPGLWSSPAAFEFGGSPAARQRVTDSPCAGEQQVDRKADEFIRRFYEQLRAQKSVTATPECYAGASCVERALRPVAAGIA >cds.KYUSt_chr3.40989 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258730224:258736248:1 gene:KYUSg_chr3.40989 transcript:KYUSt_chr3.40989 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVPRGAQGEVSRREDAGVGRTKCLHSRGRTSSGSQNWSMARDIVPGSRRWPRAAAEREPAESEARQRVVVKPGETFKCDPGELYYHLSQIALEDGKAKENVEVFVKTDDKRIMLCTLSVDNDPHFVTDNESDQEVPLLIPLDSNTDGYKNNEATHGSNKLTAPRPVDAPPSIPKATVEEPISPPKPKGDDKDKTDAHNPSDSDDIETFEDVDYPQKKTKGLTRPVETPLKTPQGKKAKIETPTTGKNTGAEHFF >cds.KYUSt_chr7.29394 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182890584:182891792:-1 gene:KYUSg_chr7.29394 transcript:KYUSt_chr7.29394 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLTNDETHLQNVEQNRMRFMIEETISDLCSTSSLFPRNMVVADLGCSSGPNALALVSIAIDAIHNQCLHFQQPQVEVCVLLSDLPDNDFNVVVKSLVAFQQSHKSVVTGVVPGSFYGRLFTSGSLHLVCSSNSLHWLSKAPEELKRNQIPAYDIDENVRIERRPLVIGAYARQFRKDFTLFLEMRAKELASGGRMVVSLAGRRSEELASKFTHAWESVAQILSEMVSKGVINKEQFDSFYIPIYGPSDEELREIIQLEGSFSIREMQVPEPSSSSYNVLITPSRIANMLRAGFEPIIVQHFGWSGEIMDEFVRTAERRWSEEGSLQQEMARNPRVTLVVSLKKVV >cds.KYUSt_chr5.19446 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126151091:126153563:-1 gene:KYUSg_chr5.19446 transcript:KYUSt_chr5.19446 gene_biotype:protein_coding transcript_biotype:protein_coding MESGIARAVASSKAPHSRVTLANETAIEEKRRKIESGGAQAVSSSAAAPRSRVPLGDDGRPLDGVRRAKLLRHLRHGDGSIYRSNGYYAKVYRLHDRNESMRLAPNSITDFALTLSIACLEPMMMTEPSTSCMPDDRMVCQTHEFRTMMQIFYLRLANTCSHVGGPVELYGYVAVRDLLNPMRNYIFNRPRDDPFVVGHDGFIQMTGPKRGIRMEAHVLIEFDMKIKKGGEVEDDLQLLDCVASFSHRTSRNATANRRQIDCDCGAVDITYALLDSAAEATVQVGISELAPRDNGLRLKAAAFYTSQLSGQFDLFDGMVTAEASELSRIVVAVVKGGHLLVSLILSETGGSDRRIVQNSCTFPVQKHGNRVSVLKLGLATIEVKVTWSTLDIPQSLLLGPNCFKWEYMAAEGIEYDGD >cds.KYUSt_chr6.11939 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74336541:74340743:-1 gene:KYUSg_chr6.11939 transcript:KYUSt_chr6.11939 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPSQPDLQLQLQISPPPATKPMDLGFWKRALDTTTATTTASTAATIAAPSINRAYSSAVAAAGSGFHATGADGLFDGLQFLQHTQPILNHEVQDLASMRPIRGIPVYNTSQSLPFLQSQLHHHHLHNHQHCYDAIGGAAGRPRSPGKAGVLRLAPPPAKRGSRAPRMRWTTSLHSRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDHKPSGAPSYGQAKTIIDIPDDSSFDITNTSGSECSVQQSNLDGNDHGSNMCALWSNNSSSGGAWFHGKSRDANPGDMKSFEDVQSQCLDVDNASYLMSSPFRLSELVVGAKKPNLDFTLGRM >cds.KYUSt_chr4.45233 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280158383:280164467:1 gene:KYUSg_chr4.45233 transcript:KYUSt_chr4.45233 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSGKVDDRPPRPARVSNGEEENHRRTRRSRASDDEKEDDRRRRRARASDDERYDHRSKRDRDRGRHHRDSRRRRSPSSDSGSSPNGRHSRRRRDGSSRRRAEDRGREERRTSPERKEPTPPLPPPPPLPEMIPGRTGGVYIPPFRMAQMLRDVEDKASPEYQRLTWDALKKSINGLVNKVNGTNIKNLVPELLAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVMLQLKRAYKRNDKPQLLAATKFIAHLVNQVVVHEVVALELLAVLLENPTDDSVEVAVGFVKECGAILQDLTPQGLHAMFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQCTHDMSFETELDPETNLNVFRVNPNFVEDEKAYESLKKSILGDEMEEDEEGSDDASDDEDEEESDDEEQMEIRDKTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELNIMLLECCSQERTYLRYYGLLGQRFCMINKVFQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQDSFESVFPRDHPKNTRFSINFFTSIGLGGITESLREYLKNMPRFIMQQQKPASSESESGGESSDSGSSSESESSSDESGKKRSKRKKRS >cds.KYUSt_chr6.17884 pep primary_assembly:MPB_Lper_Kyuss_1697:6:112502435:112503537:-1 gene:KYUSg_chr6.17884 transcript:KYUSt_chr6.17884 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEEKPPPAAAGGGWGLGGRPCDTCAVHAARLYCRHDGAYLCAGCDARAHGAGSRHARVWLCEVCDHAPAAVTCRADAAALCAACDADIHSANPLAGRHERIPVAPFFGALSDTASPQPLLVPSPASAAAGTKREDAEDGDDGSDEAEAASWLLPEPGDSTEDNSAAAVAFFGDADAYLGLDLDFVRSIEDGIKAIGVPVAPSEHDLVAGAIFYPEQSMNRSLSSSEAVVVPDALSAGAARAPTAPVASKGKDREARLMRYREKRKNRRFSKTIRYASRKAYAETRPRIKGRFAKRNAGAEDDDGPFSPASSALLASEGDYGVVPSF >cds.KYUSt_chr2.39956 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248052946:248054838:-1 gene:KYUSg_chr2.39956 transcript:KYUSt_chr2.39956 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTDSDPARFLDGPIFSLLWLLLSLPLGRQRLIIIANNVWLESDLDINRVRRNNHKVEEKQSCVLPRRPISQMHRGYGALLGCLVVAVIIGVVVIFCHFRRRKYKIKSSKKDIEVAEASVEYEELTCKQMSIKEIYTATENLRLSNIIGQGIAGKVYKGMLANGWSVAVKHIIKNEHAETFLREVTSLSHVRHPNLVSLRGYCDGQDECFLVYELCVNGNLSEWLFGKDKNLFWIQRLQIALGSACGLWFLHIYPEGCIVHRDIKPTNILLGVDMEPKLADFGLSRCMDIGVSHVSSEVRGTFGYVDPEYRHNHRVHAAGDVYSFGMVLLQLLSGKRAINIQNTTKPISLDKMASMLIREGNVLEFADPRLNGEYSEEAFDLSLKLALSCTGHKQQRPSMEQVVSKLEKALEISMGDDDKRNTISFVDSFA >cds.KYUSt_chr5.42238 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266167696:266173470:-1 gene:KYUSg_chr5.42238 transcript:KYUSt_chr5.42238 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAPFFGLSDAQMQPMVPAQPPAPVAAAPAPKKKRNQPGNPNPDAEVIALSPRSLMATNRFVCEVCGKGFQREQNLQLHRRGHNLPWKLKQKNPKDALRRRVYLCPEPTCVHHDPARALGDLTGIKKHYCRKHGEKKWKCDKCAKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPAIGASLYGGVGNMGALNTLSGMPQQLPVGSFPDQSGHHSSASAMDIHNLGGGSNGGQFDQHLMPQSAGSSMFRSQAASSSPYYLGAAAAQDFAEDDVHRSHGNQSSLLQGKSTAAFHGLMQLPDQHQGSASNGNNNLLNLGFYSGNGGGQDGRVMFQNQFNSSAGNGNVNAENNGSLLGGGGGGFPSLFGSSESGGGLPQMSATALLQKAAQMGATTSSHNASAGLMRGPGMRGGAGEGGSSSSASERQSFHDLIMNSLANGSGAPATTGGGTVAFGGGGFPIDDGKLSTRDFLGVGPGGVVHAGMGPPRRHGGAAGLHIGSLDPAELK >cds.KYUSt_chr6.18193 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114460105:114462489:1 gene:KYUSg_chr6.18193 transcript:KYUSt_chr6.18193 gene_biotype:protein_coding transcript_biotype:protein_coding MVATMGAQYCSWLLRFLLPSLWEAEVAISAAALLATVALLVVLDQTASSTSAAASPPSSAAEACGRDSRCRRQRRSRRAKRSNKAAPEPGLASEIEIVADSSPSRGTTTAYVIKVELVSAKYLIGANLNGTSEPYAVISLGDQKRFSSMVPSLRNPVWGEGFNFLAGELPAEVTITIYDWDNVCKCKVIGSVTLAILGKDETGATWYDLDSRSGQICLRIRSVEVLPTSDSFFKQCTETNSQRKMLLNNQRLARIEDSGLLQAITEFPHNEVLILNK >cds.KYUSt_chr1.31117 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188672608:188673272:-1 gene:KYUSg_chr1.31117 transcript:KYUSt_chr1.31117 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTRGGYIIQEPSLLQLALKYREKKMVCRKCYARLPARATNCRKKKCGHTNQLRPKKRFVSKTSM >cds.KYUSt_chr4.54291 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335633259:335636713:-1 gene:KYUSg_chr4.54291 transcript:KYUSt_chr4.54291 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLLPSDSDSEGELPGWCHWWEKTATPSSSSSPATDDQEEEEGELVADDGHEEEDEEEEEEDDEDARCAENGFFFEASPLSDPIPMDLTSKGTIKSKAGHLRRMAYQSGRRSSPEEDEVVVGGECLTVAHIKQGADVSEAKDRVGPAAATVLFPTWLDLWLHVTMAPQDLEKDGPHVPCHPRLPDASASPTSASARR >cds.KYUSt_chr2.42892 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266989783:266990718:1 gene:KYUSg_chr2.42892 transcript:KYUSt_chr2.42892 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRREQHRIAGHPYAFEVGSFFLRGYYSVLANTPELARQFYTAGSTVVRLDCQTLHSAYGETVESSMDSLSITLNIQT >cds.KYUSt_chr4.14783 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91231570:91235675:1 gene:KYUSg_chr4.14783 transcript:KYUSt_chr4.14783 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAGAHTSSGRSFNPKFGELRRRMEEEDMPEVEDTYEQLALALGEAATVDLFKRSVFFVSIGSNDVIHYYLRNVPGVQMHYLPWDFNQLLVNSALSPCPSPSPKLILDVLQQELQGRLGSIKDARVPVFPYHPWDQESGGCKPFAERCRRVLFGVVPPVYEAGLAVRWSRRHPQPGQDLMPCLSGEGALQHQVVHRLRRLVAEEATLAVREAMSGQALRCPTSVHVCQPMEEFDFGWRPCLPNQFPGAASRRSVEGG >cds.KYUSt_contig_7536.16 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001584.1:102419:103713:-1 gene:KYUSg_contig_7536.16 transcript:KYUSt_contig_7536.16 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAARRRQELAAEGQRHLEETIAAAFQILSSMNDELCNPALWSSSATAAAATAISQQPHPHHGPPPPLHSADSEASDALGGGSGGSGGSLDEARHRYKIAVAALRASIAAVSPCTQEIASTESKGDQAEIERLEEHASSLRKGSNKVLQWLEITPSVH >cds.KYUSt_contig_2825.85 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000528.1:529060:531232:1 gene:KYUSg_contig_2825.85 transcript:KYUSt_contig_2825.85 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFTNGLRRKDFVEELGRTRPKTIAKMMYITNGWADDEDAVSNKRARSPDDDRFRCSNDHKRRGGRNYEDYDGPKKAKVVQAKEDHEGVEEENEMTSTNDIHVDTAFFTKKRNKNFGKKVLCYRSENRTCYNCDEAKHFADKEDSEDEQKLVVVASLALAEPGSLFTYEYTKDYSDIPKKSDTCLMARGAMEDDLVLSSIPKNSDIFLMEEVLR >cds.KYUSt_chr3.6391 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36666753:36669722:-1 gene:KYUSg_chr3.6391 transcript:KYUSt_chr3.6391 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKFVPLLLVLFVASCSWSASADRSSGGRGNGTQTTQFRSGDELRAYRRILARMDRLKKASVKTIQASFSILPATSPDGDVIHCVPAHQQPAFNHPKMRGQKPEDEPEERPKMSADAAEEEPVFTQAWSDGGESCPSGTVPIRRTTERDLQRYSGSLRRYGMKPRASVVRRDSTSDGHEHAVGYVTGDQFYGAKASLNVWPAKVASAAEFSLSQIWVISGTFGNDLNTIEAGWQVSPELYGDNSPRFFTYWTSDAYQATGCYNLHCSGFIQTNSRIAIGAAISPASAYNGRQFDISLLLWKDPRRGHWWLQLGSGPLVGYWPSRLFTHLGGHANMVQFGGEVVNTRPSGSHTPTQMGSGHFPREGFNRAAYFRNVQVVDWDNNLIPARNLRLVADHPACYGIQGGYNRAWGNYFYYGGPGRNVRCP >cds.KYUSt_chr1.31163 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189035963:189044899:1 gene:KYUSg_chr1.31163 transcript:KYUSt_chr1.31163 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEEALGFREDDAVRFIFGEDVAARMEEDGGGGLDGSLLELQAFRDVFSSSRGAAGHPCPPPMEPAGGGRFKVAETSLLPGAHFAPQQPAAAAAPQAQVFADAPPRFCGERIGAGWAHGLDVDAQSHSPVEQNGFMDVGVDLGVEGHAHFQSGQNGRMEDKADIGVQEHAHCRSQPNAIDELALELDTVLQGFLGCAADVVENCNRQQVYGAALMKNHMQATVEDRAARTCDAIGFGGSSSTSGVDDPMPSYIDALADFSQFQSTASLSDPFLYQWLHDQQPFPSDAASCLSYDKGQMVDASQALYTCSGTVLSERGAGEGYPLFSKSTYDTAVAVAPRLSHDHIGSGQFAELENLCGKGTPDANISSLDDGDVPQCSSLQSVPPAVGSKKTSSRDLPNLLEAHAHCLFKDAGWTISPRKRNDRAKMSSYFTAPHREVVLSSLTQAWKFCGNKLYESSVGSERGKYPMEWSDVDMFWKDLTDTMDYIQKILVNQHNALTLLQRWELLDPFIAVVFIGRKIFALQKCKTVRAVDSSTLVLDDNRNMSSESKSTQKASKSTQKASKSTRKCTPAITEADCRKQASESCSRSQAVPSCHDFEGGHNRDINLQNGCTQGQNSGASDGKENHIYVSTETRQFCSGAALINDSVKKARKKPKMIPDIDANGFDELYYQSFVQHTMENVFNQQSNVAILDFSNPENINLSEKHGICSSVGTLKKYLKAEPRSAKLNGNSQSNKPGMLWPIESKQMSMLRGEGTVKEPKEHSISEPDSNAKEPGANETITIEMVHKKLPSEAKQMSMLRGESTVKEPREHIISGPDSSARESGANETVLIEMVHMKSPSESKQSILRGEGTVKEPMEHTVSKSDSNARESSANEMVPIEMVHKKLPSESKQMSMLRGECTVEESTEHTILKPDSIARDSSANEIVPIEMVHKELPSLKESSLGIPPKDSHKVSNCNSVLAELSRESNAAFLKTDLSREAQICKMATAKRKHEGCDKYAKKRPCELRINDDDLLIAAIVKNRDVASYHKYAASSFFQGAKYKKLKSQKRSTKLLARTSGKGGTNLLGGKRISLTRKTVLCWLIATGFLTVKDVIQCRNLESNEVIKDGQVTWEGILCKCCSKTLSVSDFKAHAGCCMPMSSLGLFLQSGKSYTLCQVEAWSSELIIRRSDASGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHEACLSTQTMTHALSTRCCPVMVKDLTHGFVGDTVRRSNFARLDYQGFYTVILEKGDEILCVASIRLHGTKAAELPFIATCVDYRRQGMCRRLLDIIEKVEKENSACNVSGLTNGKCLSKGKANAHLELRDLELPEELNPEVAINGSFGTLKRECNPAAWFNSAKGWTVIEKLAAMVMQLLPGMIPNAAPWMFTHIKGMQCSSFFPKQASSELCVN >cds.KYUSt_chr4.27061 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169998650:170001240:1 gene:KYUSg_chr4.27061 transcript:KYUSt_chr4.27061 gene_biotype:protein_coding transcript_biotype:protein_coding MHRACAQDNGTADDLAQLGPVLYECAAHVIEGSFEKTDHSLRKIRKLASVVDGPLQRLSMIVADSLARRLLCPIQGFSAALIDPSLYLEQCCLRAACDNFANISPFLSTGFVTINRAILEQVQDEKVHIYFNFSMLQVVRIIDLSCSTTHRWQWLKILHDFHDRPGGPPELRLTVVHEDSEFLDNMQAVLSKQAATLMPSFHFDKVIGRLETLDFSNLREILKINFGEAVVISCALKMHRLLTVDDSVSLDGIAQLQQMANMAQLKQMASSMYSPASTLSYPQTPSPQRQIPNLLVSFLSAVRMLRPKILVMMEQEADHNAPLFRDRFAETIPYYAALFDSLRAVAATNQQRARVEKMLLGEEIKNILVCEGVQRHERHERLSQWEMHMQRCQFDHVPLSFEAIREGKERLVSYKLKECRSVEDNGHILLCWGATRLYSISAWRPQR >cds.KYUSt_chr1.37216 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227469607:227471849:1 gene:KYUSg_chr1.37216 transcript:KYUSt_chr1.37216 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLATPPPALAGSASAGLLARLRQASVVRCHGEPAAASSSASGWAPPTPFTGRDPGLKKPAWLRQRAAQGDKYARLRESLGDLKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMLLGDTCTRGCRFCAVKTSNKPPPPDALEPLKTAVAVASWGVDYVVLTSVDRDDIPDGGSAHFAETVRALKELKPGILVECLTSDFRGDLEAVASLANSGLDVYAHNIETVRSMQKVVRDPRAGYDQSLSVLKHAKASKKGMVTKSSIMLGLGETDEEIKQTMADLRAIDVDILTLGQYLQPTERYLRVREYVTPEKFDFWKKYGESLGFVYVASGPLVRSSYRAGEIFVQNFVRQKKAELVSAAS >cds.KYUSt_chr7.33519 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209073523:209079124:1 gene:KYUSg_chr7.33519 transcript:KYUSt_chr7.33519 gene_biotype:protein_coding transcript_biotype:protein_coding LVSFPCAMDDDAAKLPVSEESPNLGEKGEDEGDLLRKTEILDLKEAINSPSENSSNDAEGQIHGRDDSEKDLNEQVGKSRSPDAMEPIDSNQTVTEILEEEKSEDPVFDGTEVPEMEGLRRSSDQSVELDSEAQQGSVINERAAAIRDFVKEKGAIASTFIRRLSGRKDENDLHVEDDKNDVSESGNSEKTVSDSEMKPKEAQKKSEERTSWNPLNFIKIGGDNYTSTTGEAGHGNVPGSVEQPTAKGRIILYTKLGCEDCKMVRLFLYQQRLKYVEINIDIFPSRKLELEKNTGSTTVPKVYFNDLLIGGLTELKKMEESGILDERTGALFKDEPSSAAPLPPLPGEDDESGCGKMDELATIVRKMRETITPKDSENVLEDGNQLYRFLDHDPIIMTQCYNIPRGIIDVAPKPIVEIASRLRKLSCAIFEAYVSEDGRHVDYRSIQGCEEFKRYIRTTEELQRVEISDLAREEKLAFFINLYNMMAVHAIVTCGHPAGPLDRKKFFGDFKYVIGGCAYSLSAIENGILRGNQRPPYNLVKPFGQKDQRSKVALSYPEPLVHFALVCGTKSGPALRCYSPGNIDKELMEAACDFLRNGGLTVDPEAKVASVSKILRWYNTDFGKNETEVLKHAANYLEPAASEELLELLANTQLKVSYQPYDWSLNI >cds.KYUSt_chr1.35779 pep primary_assembly:MPB_Lper_Kyuss_1697:1:218055645:218066632:1 gene:KYUSg_chr1.35779 transcript:KYUSt_chr1.35779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA carboxylase (EC 6.4.1.2 [Source: Projected from Oryza sativa (Os10g0363300)] MVAEPDQTNGTPNRMSSNRHLSSPSVVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLRINAEHIRIADQFLEVPGGTNNNNYANVQLIVEIAERTRVSAVWPGWGHASENPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKVPQETCHLIPEDIYKKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVASPETIKELEQAARRLAKCVQYQGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPASQVAVGMGIPLYNIPEIRRFYGMEHGGGYHAWREISAVATKFDLDKAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLKTAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVSDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETARGGPRSYKLRINKSEIEAEVHPLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVTDGSHVVADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMKASDLIARLDLDDPSSVRKAEPFHGTFPKLGPPTAISGKVHQKFAASMNSAQMILAGYEHNTERVVDDLLNFLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKDYEFNADFGKSKDFPAKLLRGVIEANLAYCSEKDRVANERLVEPLMSLVKSYEGGRESNARVVVKSLFEEYLSVEELFSDDIQSDVIERLRLQHAKDLEKVVYIVFSHQGVKNKNELLLRLMEQMVYPNPSAYRDQLIRFSALNHTAYSPLALKASQLLEQTKLSELRASIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVCAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQHYLVRGSVRMQWHRSGLIALWEFSEEHIEQRNGQSKTLLKPQVEDPIRRRWGVMVVIKSLQLLPTAVEAALKETSHYGAGDANVSNGSPIRSNNSNMLHIALVGIRNQMSTLQDSGDEDQAQERINKLSKILRDTTITSHLNGAGVRTVSCIIQRDEGRPPMRHSFQWSFDKLYYEEEPMLRHVEPPLSTFLELDKVKLEGYSDMKYNPSRDRQWHIYTLNSEDPKSNDQRIFLRTVVRQPSLTNGFLFGSIDNEVGRSQATSSFTSNSILRSLIAALEEIELHAHNKAMSSRHSHMYLCMLREQRLSDLIPFSRMMGEVGQDEETACTLLKHMVMNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGAWRVVITNITGHTCTVDIYREVEDSNTHQIFYRSATHTAGPLHGIALHEPYKRLAPIDMKRSAARKNETTYCYDFPLAFETALKKLWKSSASHLAETNQHNQQYAEVTELLFADSTGSWGTPLVPVERSPCVNDIGIVAWKMKLSTPEFPGGREIIVVANDVTFKAGSFGPREGAFFDAATKLACERKIPLIYLSATAGARLGVAEEIKSCFHVGWSDEQSPERGFHYIYLTEEDYSRLSSSVIAHELKLDSGETRWIVDTIVGKEDGLGCENLHGSGAIASAFAKAYRETFTLTFVTGNAVGIGAYLARLGMRCIQRLDQSILLTGFSALNKLLGREVYSSQMQLGGPKIMGTNGVVHLTVPDDLEGVSAILKWLSYVPAYVGGPLPILKPLDPPDRAVTYFPENSCDARAAICGIQDTQGKWLGGMFDRESFVETLEGWAKTVITGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSASKTAQALLDFNHEGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPAFVYIPKAGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLIEIKFKPKEVEESMIRLDPELASLDSRLKEMKKANASLQETEAINRSINNRIKKLMPIYTQVATRFAELHDTSSRMTAKGVISKVVDWEESRSFFYRRLRRRVAEDSLAQEVKEAAGEPMPHRAALERIKQWYLASKGSEGDGEKWNDDEAFFAWKDDAKNYENHLQELKAERVSRLFSDLAESSDVKALPNGLSRLLGKMNPSKREQVLDGLRQLLG >cds.KYUSt_chr3.27639 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172615108:172618077:-1 gene:KYUSg_chr3.27639 transcript:KYUSt_chr3.27639 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVANCPNHRHFREAPLDRRSSSLGCRRAPPFSAHPRDLRASFLCDDQEDMAWTITAPRPLTTSKALPNSRRLISHRRSDPAHCYKHYENNNDGSGITDREYNALVDNFMDAVVENWHEPANFDQAKNKELYRKQTRRFAELALKRYNKNKNNKVKYSLVEAVDGAIFFEGDYFHAHVNFYANASNGPKKNGPKVLVFAELEHVGLRLNAMALRSFHFLDEKKQTGKCTESF >cds.KYUSt_chr6.28171 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178534522:178534868:-1 gene:KYUSg_chr6.28171 transcript:KYUSt_chr6.28171 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLYPEYFHSFHITEKSNVYSFAVVLLELITGRLTVVPISDSIRIYIRKWVQQSLDHGTMENIEDTKMGGDYDINSV >cds.KYUSt_chr4.28812 pep primary_assembly:MPB_Lper_Kyuss_1697:4:181039771:181042310:-1 gene:KYUSg_chr4.28812 transcript:KYUSt_chr4.28812 gene_biotype:protein_coding transcript_biotype:protein_coding MACPRLRYGPGGGLCRQHYHHRAPLSAPHRRYGPGGGFRRHHNRNHPRGNRWAQRHHSPGAPGPSTRAVVPQEEIPAAPETATVPEVVAAAPEVVAVAPEVAVIPDVDAEQVVDAEYENEASASSITADADDLLPPPPAFTIPPMAGQAPDASWTTPSVTSATTNPRRSRLCRCTTTCDMDTEGACRLRRRPTRSRSTSLRRATPR >cds.KYUSt_chr5.33665 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213711156:213714304:-1 gene:KYUSg_chr5.33665 transcript:KYUSt_chr5.33665 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSCTVLKMAVFVLVLFAGQLLIAELAAAATSGARYALMGHMNAHRKTQPVVRCIRLFGVNIVVVEAGPEGVAE >cds.KYUSt_chr4.8688 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52129520:52130896:1 gene:KYUSg_chr4.8688 transcript:KYUSt_chr4.8688 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSSLASAFSHLSLPSTSAPHAPPLLRLLPSPRRAPRLVLLSASAAEGPEEVLAVETEEDALVGLALRKYVKQRLPGGFAAQRITATGRRKTASARVVLQEGSGKVFINFRDAKEYLQGNPMWMEYCKVPLATLGFENNYDVFVKVQGGGLSGQAQAICLGVARALLKISPANRVPLRSEGLLTRDTRIVERKKAGLRKARKRPQFSKR >cds.KYUSt_chr1.37763 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230512989:230515239:-1 gene:KYUSg_chr1.37763 transcript:KYUSt_chr1.37763 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPASPTAGKSSPSLPPPVRLSTAQAQAVAAIQPSSPRYFFSSLAAASPSAHRRIAIAVDLSDESAFAVKWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVTVSDDDDEAPPPPSDSSEEEDARRKKKREEDFDAFTSAKSQDLAQPLVAAQIPFKIHIVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRKGGKSRLGSVSDYCVHHCVCPVVVVRYPEDAGTAGAGETDELRTVPENEVVFHEAPEGQKGLLHLLHLLLCFTLHSLASSRHEHIELASSGKSDFLL >cds.KYUSt_chr7.37153 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231898072:231902971:1 gene:KYUSg_chr7.37153 transcript:KYUSt_chr7.37153 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGERAAEAQREKDAGNDAYRKLFLETAVLHYTRGAALDPRDISFLTNRAAAYLLMSKYKECVRDCDDAVERGRELRADNRLLARALSRKASALLKLAACAGDYGPAIRALQQSLAEHYSLETLAKLDEAESGRKEVEEQERLDQEAADHHRHKGNEFFQKKKYQEAADHYTEAIKKNPNDHRVFSNRAQSHIYLRNLSEGLEDAEKCIELDPTFLKGYLRKANAQFLMDNYESALATYLEGLKCDPNSLGAIDGLRRCAACIKRSDGGDFGPEDLKEILGDLRLDNDLRNKLKKSMEEAAVFEQEASDERVRRIESERMARTSEDLYLNQVQQRKETEEFLSKIQEELQQLKVRQNEVIEELQKANEHNENLQHQLSESKDHYDWLLSEHDHLLHERNRSVREVEELRQRRGQILSVLVTAMHCEFSPSELECATEKFSSLRKIGEGGFGCVYKGVLRNMTVAIKVLRPDGLQGQSQFEQEVTILSRVRHPNLVTLLGACSELSTLVYEFLPNGSLEDFLVCEDKRATLTWQIRVRIIAEICSALIFLHENKPHPVVHADLKPSNILLDVNLVSKLSDFGISRLLIQSSNDNTTLYRTMHPLGTPAYMDPEFLATGEMTPRSDVYSFGIIVLRLLTGKPPVGVKRIVEDAMMHGNLNSVIDTSAGGWPAVHVQQLAHLALGCTEPSRRCRPDLSGELWRALESMRDDATSSSPSSSRSVLDESSIPSYFICPISQDVMNDPHIAADGFTYEGDLIRSWLNTGSDTSPMTNLPLEHDELIPNLALRSAIQEWHQQQNTVPQ >cds.KYUSt_chr2.52895 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330026769:330033942:-1 gene:KYUSg_chr2.52895 transcript:KYUSt_chr2.52895 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGVAAVMKMAVEMAAVSMEKPSGGIPRSGSVPEQETHVPQILASRWRRLWKQKTGTALRHLVNRFHVGAGISGVAPHYIPPPSTFNVLLDSYWFDNLGFILRETCRYDGESSNRDGGNQGERSGGAKWRSSVKRDAVLELAQACGQSIAEHQDRHFHVQAQLADAVWACNHCSVLHYELAFGCAFFAGGIVHRNKDQVFSKANAVVNSGLLLMAVMGLMFPAVLHFTHSEVQQGASEVALSRFSSCIMLVAYASYLYFQLSGRNNSYTQLGNEEMPNEDATEEDEEIELSMWEAITWLAVLTIWISVLSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITIGVAIGSSTQISMFVIPFCVVVGWMMGQKMDLNFQLFETATLFITVLVVAFLLQDGIANYLKGFMLILCYLIVAASFFVHVDPQSSDDD >cds.KYUSt_chr1.19320 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113397430:113399040:-1 gene:KYUSg_chr1.19320 transcript:KYUSt_chr1.19320 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHSRSRRIRCWFCGCWRAALLVSIDKVDWFKWCSDPEELGVLPGRRSPGYVLSPPFAADPFREAAAAAPRSAARLLQPQQHHLLAVLVATGTADTAACSQVRVAAGRERPNPRLAGSSSSTWIEVFFCIGIAMFS >cds.KYUSt_chr3.3153 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18152477:18152914:1 gene:KYUSg_chr3.3153 transcript:KYUSt_chr3.3153 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPAAGLGFQQHGTAPSNGRVLQPRKLPAVATTSVRCGAFRRNNYGGALADEGMTVLRRRIREARMAETNYEAPAGWAAWEKRYYRTYVSDVSALAGALQLMAMGTRPGVAVAVAALVLGGVPVSAAVALHLLGQVAGAVLQHS >cds.KYUSt_chr2.34460 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212856628:212859958:1 gene:KYUSg_chr2.34460 transcript:KYUSt_chr2.34460 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFACAHAEKRHRLDRTLNCVSKKGYVGSCYAKDVKYKPLGALLPEGFSGKMFYVKLVLLVLMCGSFMGLLHSPSIHHGDDEHNTQSPEASKVMWTSNADHKDSGYLSNVRIDWSRISMAVQEVSIAEDQLRVGLLNFDGEEMDQWRTLLPRDAAVSAVHLERVSSNVTWEHLYPEWIDEEELYAAPTCPDLPEPAPAPEGLEYDVVAVKLPCSGAAGWSKDVPRLHLQLAAARLATAGRSEKAAHVVVVSQCFPAPNLFRCKDEVIRDGDVWVYRPDVGELRRKLALPVGSCKLAMPIKALGESYVSSAPRREAYATILHSEQLYACGAMVAAQSIRMAGSDRDMVALVDETISERHRSALEAAGWKVRTIRRIRNPRASKDAYNEWNYSKFWLWTLTEYDRVVFVDADLLVQRPMEPLFGMPEVSATGNHGTVFNSGVMVVEPCNCTFRLLMDHIGDIQSYNGGDQGYLNEVFSWWHRLPSHANYMKHFWEGNSAEHAAAKRRVLAADPPVALAVHFVGMKPWFCFRDYDCNWNAPELRQFASDEAHARWWKAHDAMPQRLQGFCLLDERQKALLRWDAVEARKANFSDGHWRERIADPRRRICAGDEGCREREIKGRRVEGNRVTTSYAKLIDNF >cds.KYUSt_chr7.35632 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222675599:222677241:1 gene:KYUSg_chr7.35632 transcript:KYUSt_chr7.35632 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDVHKVWEIRALKRKPDEPSARALLDRIAKQVQPIMRRRKWRVKVLSEFSPKNPSLLGLNVNRGAEVKLRLRPDGRDNEFMPYEEVLDTMLHELAHNARGPHDAQFYKLWDELRKECEELISKGITGPGQGFDGTGRRLGGFSVHPPPPSLRQAALSAAQKRARNGALLPSGPRKLGGNSDIMSALSPVQAAAMAAERRMQDDLWCGSHNQSGIDDSEDVIILEKPPNFTARDRKRTKAGKNTKAVFSSGSAESSTSSRSQVTAPEDSSSCRTTDAGISSLWGCSACTLLNQVN >cds.KYUSt_chr2.33778 pep primary_assembly:MPB_Lper_Kyuss_1697:2:208744077:208746659:1 gene:KYUSg_chr2.33778 transcript:KYUSt_chr2.33778 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSKKKSRQSGAGLAGLAARLTKRLADENPRANLVFSPLSIYAAVSLLAPGARGDTLDEILRLLGARSRHDLEESIATTVADALKDRSGTGGPSVAFGYGVWNDRTRPLKPAYREAVVGTYRAEARALDFHGNAEDAAAQINAWVTDVTRNLITDVVSARSFTIETDVVLANAIYFKGKWDLPFYEQNTKDRPFHLLDGVAIDAPFMVNSSRHFIAVYDGFKVLKLRYKMQQQQDYYGPYTSRAPNSKKDTQYSMCIFLPDAHDGLRTLLDEITSRPGFVCDHLPSTTVKVGDFGVPKFKLEFTSNVKQVLQHLGLVLPFGMGADLSDMMEADGSRLPLLVHDVFHKAVIEVNEEGTVAAAVTIMPAPAGCAPMRKREPTVDFVADHPFAYFIVEEASGAILFAGHVVDPTDGKAPPQTGKHAAMARGHELLPKIRNPPRHFDKVGPQIHYVGLPMRPTSSTGLAALAARLAGCLAESSANKNLIFSPLSIYTALALVAAGARGATLDEILRVLGVQSRGELEELISLTSADALKDRSESGGPSVAFACGVWSDLTLTLKPTFRQAVVGTYKAEASAVDFRHAPEEAREHINAWAAQKTRNLIDSVLPPGSIKPTTPVVLGNALYFNGSWEDEPFDKRGTMQKPFHKLDGSHVDVPFMQSRMWQFVAVHNGFKVLKLQYKMVEKDRDDPYGHPHDTTQFSMCIFLPDAHDGLQGLVHMIASQPGFLHHHLPQCRVGVREIRVPKFKLSFHKSVVAVLDKLGLKLPFSTQADLSDMVEDNGSGLPIMVGDIIHKAVIEVNEEGTEAAAVTMVITKLGHSRWQHTPQLDFVADHPFAFYIVEEATGAVVFAGHVLDPSKE >cds.KYUSt_chr3.39211 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247048123:247052095:-1 gene:KYUSg_chr3.39211 transcript:KYUSt_chr3.39211 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSFAAPPPPAPAPASGSPPQAPAEPALTAAQKAPPPAPAPASEPPPQDPPAEPAQKALCPKPAPPAEDYDKKENPKMVQLFLQVPTDGGSADTDAVRARRSLLNEAESVIRSVVRSGGRYEARMWLCSTISSVHLLDPRDQRDLFINLLEMKDSRRDVAARLLRMIFDKKPKKAGSVLAKKLHMLEKFFQGNPERTLQWFSHFAATGDLTHKKGARALAHFAFVNRDVCWEELEWKGKHGQSPAVVATKPHYFRDLDVLQTVENFLEYVPDFWSSEELADSVKDGEILQIDTEYFVDQFVYLMYEENFKDVWQVVEEFLMEEQFSSLSQYLLIHLDEQRLLRFLKTLGKFIRPNALCKELAFPCCWLEVLLSAHIDQISLDELILLNCVIAKGRQLWHLMNGEEHEEERGRMDDLVKGTNHLTDADHFALIKDFMTTKFPDALKWIGIQSWVIFCDLSKECRSADSCESLFTGNNIKFRKAHDYSLVKNDGHPAVHTSDTDDKDLTRSSRKRRRDKKKRRHRYDSDEDNVDQLLELGNFNGKGTVELQRGSWHLSTDDFSASWDIADVPDHLSNHYLRETQGRSLKLKFILLLTALTQWKTSPAWTLK >cds.KYUSt_chr1.17447 pep primary_assembly:MPB_Lper_Kyuss_1697:1:101359484:101362350:-1 gene:KYUSg_chr1.17447 transcript:KYUSt_chr1.17447 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFAKKPTSREAIRNSKRELTNATRGIERDIGTLQQEQMDPAKQMKVMQEFQKQSAQMDMTNEMMSDSIDNILDDDQAEEETDELANQVLDEIGVDVASQLSSAPKGRIAGKKAVADDSSEFDELEKRLAALKNP >cds.KYUSt_contig_2767.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000487.1:48583:50100:1 gene:KYUSg_contig_2767.10 transcript:KYUSt_contig_2767.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDMRIEGRIIGFDEYMNLVLEDAEEINIKKDTRKSLGRILLKGDNITLMMNTGK >cds.KYUSt_chr2.17644 pep primary_assembly:MPB_Lper_Kyuss_1697:2:111282370:111286725:1 gene:KYUSg_chr2.17644 transcript:KYUSt_chr2.17644 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATSKALALLIFLLYVGAHVDAATTTLSQGQSLVGNATLYSSNGAFLLSFFTPRGGDGSRMYLGVQYAKAVEQTVQWVANRDAPVSAAASSYSATVTESGDLQVLEGERVVWRTGTSSSAGNFTLTIEDNGNLVLNGGSDAQAVQLWQSFDHPTDTFVPGMSITLERQNDSVVGQTLFKSWRSPDDPAPGNFTLGQDPLGSAQLYIWRSGQDGGENTTFWRSGQWANNNFVGIPWRALNLYGFQLSGDPSKSNGVMSYTFNTFNSSLYRFVLQPNGTETSFMLLDATGDWEVVWSQPSIPCHDYNVCGQNAQCSSGDHGQAVCTCLQGFEQKSEGVGCVRSAQLTCSERNVSMSSGDDFAELSGVKLPNYAAWEWTVSSADSCRQWCLANCTCDAYSYSSGTGCLTWSQELVDIYRFPTGPGPGPGEGYDLYIKVPASLLDSGSKRRRWTKVIVSVVIVVAFVLAACGFLLWKCSRRIRDKLGVGGGKRKTGASLMLHSGRDAKKEFSGPSQPDHEDAENSELPLFALETLAVATGGFSESNKLGEGGFGLVYKGTLPGGEEVAVKRLSKTSGQGCEEFKNEVILISKLQHRNLVRILGCCIQGDEKILVYEYMPNKSLDAILFDPARRGLLDWKTRLHIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDRDMNPKISDFGMARIFGGDQNQENTNRVVGTLGYMSPEYAMEGLFSVRSDMYSFGILILEIITGQKNSSFHHMEGSLNIVGYAWQMWNADKGEQLIDPLIRASSSASACREALRCIHMALLCVQDHAGDRPDIPYVVLALGSDSSLLPMPRPPTFTLQCTSSDRDTFRERGDESYSASDLTVTMLQGR >cds.KYUSt_chr2.50499 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315780073:315780858:-1 gene:KYUSg_chr2.50499 transcript:KYUSt_chr2.50499 gene_biotype:protein_coding transcript_biotype:protein_coding MMATPEEGDDDLFGDQLATLTTSLGLPPPEFRGKQLPPTAPGDHRWIIEGNVRGRLVRTETQDIVFYKMSPNWEIGVEMAMQEALARTVQTYQHEMSQGSSFYAFGRRFEDGTANRTAGDRVGMDYRVIQMEDLECHIVNLEESLTAEMRKNENLRVTIEKLKRGNTELTMELCDMDDKLIARDTEITELKNAMTPKETPAVPHDQDTQNEEEDPEERIAFMPNGEELEIVSEEEDTPTGNTPPHQRRTISTRTYHALLNK >cds.KYUSt_chr2.46458 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290430628:290431868:1 gene:KYUSg_chr2.46458 transcript:KYUSt_chr2.46458 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRIRTSFWELQFPTCSGVEEPSSSPSEDETGDADFVFEDLDHNAAEATATISGEIECLSDGNLERITNEIDEFYGLCEELDLRALEDNWIMDGSFEGMSSPDAGGITDDVATLTSSVESSRPSCFTAWKTSPQDVAATAAGESQKLLKKAVAGGAWTRAQGSTVKGHVMSERRRREKLNEMFLILKSLVPSIHKVDKASILAETIAYLKELEQRVEELESNRAPPRPIAEAAGRRRHDVAGKKASVGSKRKALDLSVGNHEREHLSKDDDPNNVVNVTVMDKEVLLEVQCPWKELLMTRVFDALKTLGLDVLSVQSSTPDGLLALKIRAQQAGSAAMAPGMVSEELQKAIGKR >cds.KYUSt_chr4.16357 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101644497:101646495:1 gene:KYUSg_chr4.16357 transcript:KYUSt_chr4.16357 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVELEGARRLALLAFAKLSQYGLSASSAPTADLPRLLSCCFQLLRRLDHADLDLATRCADHLRSFIHSVLSRDPGPFLLPALEVLFENLVDVNQLRGSYTMLDDDATQKGSRISKTCQCEFRIKLELMSHHFISSLQDEVGSEQFLAALDWSEKATQRIPELGLATALSLVRRSYSFSMPVIAQAHFVLLAARCVANGDLDLHLQVFQHTMSAYLICLPSLGVFDRNNAMKTPFSYWANTRPPNSFIPDATNQKLNCQIDRLLSCCKAHSDDGLHLKETDAFDICVSFIEESQHMFPEQLRQEAVIIVKRIVLNSLGCAKQKETHGLDAKVSEEVIYLAAVLRLMGSSFLEILNCLRKMRVEDGMQREKFTALCIETIRLLGQYEANGLHRDDLFARFDKPVDREMPLVLMLFHFASLLVFCLRMRFGFLWKGCIIMLMMTMNLVIDQERSLSAFQFLIASKDSATPSIHQEDSLKVSVPRKSIASQFNNLRKLRVGGDSSLGAPQRCKSRDGRAIFELIPGYKQNSSEWDDLVDFVECDEDMDYSSWFMQRAKFKEYKDTKWKRSKRPSENSSKIRELCANKSERRKTSR >cds.KYUSt_chr1.24323 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145195207:145202891:-1 gene:KYUSg_chr1.24323 transcript:KYUSt_chr1.24323 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWAAAWIPYPGQTTDAGKRSRKDEEDPLSVLASLFPFLLLISIQRGHKKVRKPAEAKSAPRRFASIFVGVPHNREAVDAAPRHARCGVSASFKLLAPKSHGDDLDAAVQELVGIGVQMYLLGRALTSAAAVLMSQERMPPSCCSLPLGQVVQPSSTNDIKIDIGEASWDVGVLEERSEVESASVSALRPDAEPFTLRRSVRFTTLAKCAGKAPKKASAAESTLLKALGIVPADLAGVCVHPRVGASPSSGAEVVPIFLCRRHDLEQLQPEAASSSLSSLTGSFGLTGCGVSTTESTSTQTKEKSIFFLHSV >cds.KYUSt_chr7.36790 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229849248:229850733:1 gene:KYUSg_chr7.36790 transcript:KYUSt_chr7.36790 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYGLLATFPDFPPKSYLQMSPVSAAVTWPLPSRAGTAAAISLRSHGTTCSRTARAYCSAAASRLPADAREIRQAQRSDGPATVLAIGKENPVNCIPQDEYVDWYFRVTNCEHLTKLKAKMKRISRNTGIQNRYFHHDEQMLRDHPEFLDDRLPSIDVRQEILAAAVPELAAAAAAKAIAEWGRPAADITHLVVSTYSGAHVPGVDLRVASLLGLRPNVQRTMLYLKACSAGSAALRLTKDMAENNRGARVLVVCADLSLIFFRGPDEARLDTVVAHTLFGDGAGAIIVGADPDTTTESPIFEMVSSSQATVPGTQHVVSGHIGKAGLHYSLSSELPFLVASNIEQCLLDIFQPLGHVISGGWNSLFMAVHPGGRAILDSVEAALELEPEKLAASRRVLRDYGNMAGVSVIFVLDEIRRRSHGEEWGVLVGFGPGITVETMVLRACNRKH >cds.KYUSt_chr6.30870 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195704719:195705270:1 gene:KYUSg_chr6.30870 transcript:KYUSt_chr6.30870 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRGDSVDVTVAAPSATSYGCAVAPVATRRPVARPPPQLESNREGHGALDGWLELAGGFGGATVNQVGAALLLFPWRRGLDRRGSGLELQLPMEQFLRSCGCGKNHGGRAAQLLGGPSLELEGLGGPSLGRKEGAQSSHRRTRTEAPDLQRLPPTAAAAVNELLEEACGADAGDSPRHLRL >cds.KYUSt_chr2.1191 pep primary_assembly:MPB_Lper_Kyuss_1697:2:6985882:6988329:-1 gene:KYUSg_chr2.1191 transcript:KYUSt_chr2.1191 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDPTTAKEKAAGSAVDAALDWLWLLPQAAGAGVRGGELEALHEIYKKVSFSIIKLLSAQGSCCSLYEEHLDIQVMGEEEPGRRWSTKKRGPPRRGDLVLQDHLGEELPGREEIILQDHLGEELHGPEIQEHLGGWRSSSRSTWAAGDLPPGALGRGAAWVGGVGTEE >cds.KYUSt_chr5.79 pep primary_assembly:MPB_Lper_Kyuss_1697:5:562494:565883:1 gene:KYUSg_chr5.79 transcript:KYUSt_chr5.79 gene_biotype:protein_coding transcript_biotype:protein_coding MPISAGIRAPPHGASLSVPGAGRRARVHPPASVAAGGVRRRRLGVVVAAASSAASPDELHARGRHLHGFPEESSLWNLIKDVEPLDLSVVQRDVPPETVDAMKRTVSGMLGLLPSDQFSVVVEALWDPFFKLVISSIMTGYTLCNAEYRLSLERTLELSDDETECSERYNNEHSHSELDLGGPMAVMGLSEDGELANESENRDAQLLPENIGGLENLNAQAKEHILQLQSRLDSMEKELHELKRKNSSLQMQQFSGEDKNELLNYLRSLSPDTVIELSEPSCPGVQEAIHSVVHGLLATLSPKMHAKPPPPSENIIGGTLNYGKGDDDRAELVEDVSLPFQPLISIPRDHLARLLFWCMLLGHYIRGLERRLELSQLLAVSSDARKERICEPGYVSSCSLSPQKLAMLELPVECPVVP >cds.KYUSt_chr7.38277 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238668993:238669536:-1 gene:KYUSg_chr7.38277 transcript:KYUSt_chr7.38277 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQRLAVAKRCSREAAMAGAKAAAVATVAAAVPTLASVRMLPWARAHLNPTGQALIISTVAGMAYFIVADKTILSMARKHSFEGAPDHLKNTSFQ >cds.KYUSt_chr1.40120 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245726477:245728989:1 gene:KYUSg_chr1.40120 transcript:KYUSt_chr1.40120 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGCGEKGGRGEHHQRGDGRIRRQHAPEEILRHTTKAEEEARFVGGQSVAAVEDRKQGADMEGRGLHNDADESNIKAGTEKLLQLATATTTSSSAAGRRTGRRTLTITAATTTNPEENEMETNDAGN >cds.KYUSt_chr7.3839 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22883767:22888668:1 gene:KYUSg_chr7.3839 transcript:KYUSt_chr7.3839 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLELDGGNILLKLDGSRALPRAYVALQDLEPFTEEVKNNLVNQAREKRLPERHVKYLEEALVEICKACDELPSSSETAKSSKTRNGLLPDRTLGLIEKPAEHLIKPPDDDVKGGCFMGNLIYLGHISGTEGDVRDCRNDRKDPSPTTSKRKMPVGKDSNHPMKKKHVASKSATNLHLEQEHSPIAPCSDREPKDQKVGKESHPTEDLVLDPTVQIVRALEVPKKCTTEKQLKAADRKEKKHVDVTSISTRTAPEAVPVTVPNNSAEKESRGFEKLKMMMKPSVTDKTERRGANGSKWTRDPQLGDLVLAKVKGYPPCPAKVLPTLLPESCVLQPWGLTLLVSTALQDLEPFTEEVKNDLVNQAREKHFPKRYAKCLGEALVEICKAYDELPNSCETAKSSETGTGLLPGQNLGLVEKPVEHLIKPSADGGTQKLEQMEGDSFMDNLNSLGHISTMAWRVGAGPVEICVSRHLILGDVVEQLGGSSVVYQTGNTEAGYVSKAEPTIPVGCCLELKSTQGAEGCAAEHASAAGEDAAGELIHDLRVRSGGRIKDANWRQSNRARQRLRKVRLSVGKLASRNLKLGSSLDNLMVENCVLRSSLRVSTKKNAELAAAVELLDTKNRELTEKIEVLEHGCDKALDDLNGAALALCSLGDCMQQGAVEETGGMATGGDSKQPSTDDSL >cds.KYUSt_chr1.30388 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183983872:183987249:1 gene:KYUSg_chr1.30388 transcript:KYUSt_chr1.30388 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTSWGIIFGAAAGWRWIFDGVVFIYHIDVDDSRRRGAAGYRRRARTAGHVQDGGVVWRHGDIDGRKQSVTRIGVMSFLRLLPQRLPQFVRQVERDVETVINVLQPGPIGILEHKFTDAEIREAQATVRNAVENWRRNSTLERNPGAGSFDKSM >cds.KYUSt_chr6.7844 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48125840:48132827:1 gene:KYUSg_chr6.7844 transcript:KYUSt_chr6.7844 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLFALPAVAVSGRRSHPKPRPHRTGKLVALLGRCRCGRRHLLGASSAAGLLHLANHSCLAAPPIDPDVMLERVHPARPGWYEKLYATALDKGMASYEAEIAEYKLNLFSQLSAEGKNILELGVGTGPNLKYYASADGVRVIGVDPNRYMEDYSRAAAISTGLPSSNFTFRRGVGEALPAEDNSMDAVIGTLVMCSVKDTNMALREIKRVLKPGGLYLFIEHVAAPDGSFLQFVQSALDPVQQFVADGCHLTRKTAENIEEAGFSSLSLHAVRLSSAYIISPHVYGVASTNATSTLGPQHNQAQKGTQEKGKRKQCRHRQLDKNKKRLATAAPSGECHHTPSTPKRHVSSNTFNKESDDDAIAARTSHRVSPDTRKRLGRRDCRRPLGRKCDTRRRHRVSVGPADKDFSRPPNPQTRTIRRALPNLPPISTRHRDLEAILVVSLWSPEQGLDVEMRDNRVGGSSTSTVREGTTSTAFAVADRTWQQAIDPQFVPTQMDMLQMLDKFRAEVVEDSERIVCRT >cds.KYUSt_chr7.9345 pep primary_assembly:MPB_Lper_Kyuss_1697:7:56888144:56894003:1 gene:KYUSg_chr7.9345 transcript:KYUSt_chr7.9345 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTGSSTPPIYTSCGAVVELSGFVRDLCFLFFALCFLVAVLATGTVTVWVAAAFVSLYAAYVVLVWTSQCCTGASKPDLAEPLLLDGDAPTLPSHSAKPTDPAKKSCLQRVLHALTMPLYLPRRLTIPDIAGHRWRKPYAVASAALAPVLVATTFTSRGAAAASVDHGHGIGILLAGAFPGLLLAALAASTTDAGSRAPQPASPRALVALLVAIGYMVGVDSGVLRITVLAWGDSLGDLVSNVAMATHGGPGGAQTAVLACYAGPLFNTVVGLGLSLTLAADAQYPAPFTIPADGAVYETVAFLFAGLAWALLVVPARGMRLGRLYGVGLIVIYLCFFGTRILNSLGLW >cds.KYUSt_chr7.21002 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130132176:130134545:1 gene:KYUSg_chr7.21002 transcript:KYUSt_chr7.21002 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTKKRGAGESGNGGIATALAGFVSNNEDLGPIVRHSFESGKPEALFHNLRGIAKRKEVEIEELCRLHYEEFILAVDELRGVLVDADGLKAALSGENLRLQEVASALLLKLDELLELYAANKNLGEALATLKIILQITSLCQVCNRDIAGGKFHTALKTLELIEKDYLQNIPLKPLKNVVQKQIPMMKLYIEKKVSGEFNEWLVYIRKFAMEIGQASIRQASLDRQKDEGMRARQREAEECSRVGFDEHAYALDVEYIDEESTLEFDLTPVYRAYNIHICLGLGEKFREYYCSNRLMQLNLDMQISTAQPFLESHQHFLAQVAGFFIVEDRVLRTAEGLLSESQVETMWEASISKVTTILEEQFTRMDAANHHLLVKDYVSLLAATMKKYGYQATSLLEVLEKNRGRYHELLVSDCRKQIQAVFAKDSYERMVIKKENEYNMNVTALQLEPIQVVPDLPYVAPFSSSVPGVCRIVRSFIEDLVSYLSYGVMMNSYDVVKRYLDKLLIEVLNDGLLKSIHSGSLEIAQLVQIAGNIATLERSCDTFLMHAAQLCGLPKRLLEKPHSGLTARAVLKASQNAAFNGLITLANSKIDEFMLLLTSINWTAEEAPEHANDYMNEVLIYLDMVVSTAQPVLPREILFKVVSGALSHISDSIITVILSDRVKRFNVNAVAGIDIDLRLLEEFAEDRFHSTGLSDLRKQTSFKDCLVEIRQLTNLLLSNQPESFMNSAIREKNYGSLDQKKVAIICDKFRDAPDSLFGSLSSRNMVQNARKKSLDVLKRRLKDFS >cds.KYUSt_chr6.22215 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140349479:140353725:-1 gene:KYUSg_chr6.22215 transcript:KYUSt_chr6.22215 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPLLPGLVLGFPPIRGREWGGGTTNALQEGKATPAGVTASVSGLPTRFLPSPNPQTRTIRRAPPDLPPTSTRHRDLEAILAVSLWSPEKGLDVEMRNSRVGGSSTSAEREGTTSTAFAVADRTWQRGLLLWNQTRQQWVGNRRVNNSQRQQTREPKIGKALAGRAPALVRPAAASSHGSLNLRSPFSSEAADGTGKTSRHEVAVADRSVSNTASHWPWGDFRVRDLVPFRLVDGLGSALSHVAETLSRPLERLRPLLGKVREDEEVYRLRFEVPGLGKDDVRVTVEDGALVIRGEKTVRDEGGGDGGEWWSASSYGCYHASLLLPEDARVDGIAAEVKDGVLYVSVPRVPGRERNVTEVEVRLACFSVVLIAANQSVQIEYYYLKTRNLAYRKGKAVAKQCREVNEEFIVRIVINNGAFGCLVLIRGSLP >cds.KYUSt_chr5.18998 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122959419:122961415:1 gene:KYUSg_chr5.18998 transcript:KYUSt_chr5.18998 gene_biotype:protein_coding transcript_biotype:protein_coding MMPHRLPGPPPYSQPPTRGRRLGILQRRLQGNAAQQTNHPRREKVMPSKDDTSKKGSDEPAAIARSDQGKRLGFHPEGTKPRRYHGTMARHFTITIEVKRKEHYRSEEKDIKRREEKDIKSI >cds.KYUSt_chr6.8508 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52317286:52319431:-1 gene:KYUSg_chr6.8508 transcript:KYUSt_chr6.8508 gene_biotype:protein_coding transcript_biotype:protein_coding MWIYALQIKEANYTRLCQEKTILTVNGQFPGPTIFARKGDVMVVNVYNQGNKNITIHWHGVDQPRNPWSDGPMYITQCPIQPGANLTYTVILSEEEGTLWWHAHSDYDRTTIHGAIVIHPKLGTAFPFKKPHKEIPIILSEWWNADVNQLLEESRKTGGEVSISDVNTINGQPGDFFPCSKNGTFKAAVESGKTYLLRIINAGLANDLFFGVAGHRLTVVGTDARYTKPFTVKQILISPGQTVDALLHADRAANGSSNGRYYMAARTLASNTDIGYDNTTATAILEYTDAPPASRAGRPDFPGLPAFNDLNASAAYTAQLRSLGNKAHPVDVPTDVDEHMLITIAINVVPCATGNATCEGPRGNRFAASLNNVSFHLPTLDILDAYYGSVRGVYETDFPDMPPFVFNFTDNNVPVERWFTKRGTKVKVLEYGAVVEVVFQDTAILGAENHPMHLHGFSFYVVGMGLGNFNQTKDPATYNLIDPPFQNTVTVPKSGWAAIRFRAANPGVWFMHCHFERHSEWGMDTVFIVKDGKTPESKMMRRPPGMPRC >cds.KYUSt_chr2.2949 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17392550:17401423:-1 gene:KYUSg_chr2.2949 transcript:KYUSt_chr2.2949 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDVPAMYTVLANSLSADEATRRPAEAALAQCEVRPGFCSCLLEIISARGLSCREDVRLLATVYFKNSINRYWRTRRDSYGISNEEKDHLRKNLLLNIREENNQIALQLAVLISKIARLDYPKDWRDLFSVLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQRNYAEITSHLFEYTWNLWKSDVQTILQNLSMLSQRNDIDSILEQSNDLILICDRWLLCLKIIRQLIFSGYPSDSTTAQEVWQVREVCPTVLTAIQSLLPYYSSFKDKQAKLWEFAKRACTKLMKVLVTLQGRHPYSFVHQTVLPATVDFCLNMITNPEQAGTSFEEFLIQCMVLVKSVSECKEYKPSPTGRVIDESAQPLSLEQRKKNFAAVANDMLKIVLPGDRVVLLCNILIRRYFIYTAKDLEEWSASPESFHHEQNLVQWTEKQRPCAEALFIVIFEKYRELLAPVVVSILREAMSVSPPLETDVTAGMLLKDAAYTAAGHVYYELSNYLSFNEWFHGSLSVEVSNGHPNMRIIRRKVALLLGQWISEIKGDTRKLVYHALVALLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMCFKLTEDVQEFDSKVQVLNFISVLLEHVGDKVIPFASQLSHFFQKIWDESAGESLLQIQLLAALKTFVSSLGFQSPLSYHMLMPILQSGINVDSPDALNLLEDSVLLWEATLSNAPSIVSQLLDLFPYLVGIVNRGFEHLEVAVNIIEDYTIFGGSEFLKSHGSSLANVLDSIVGNVNDKGLVTTLPVIDLLVQLFPQEAPPLISSVLQKLIFISLSRDDEHNPSRTTVRASSGAIIARLLVMNTNFSAQLLSEPALLANIQQSGISVKNNLLLSLVDMWMDKVDDATVIQQKEYAMALSVVLTLQIPQVIDKLDEILSVCTTVIIGGREVKTDDDTSGDITSSSWIGNDNSGYSSKFLKKRQAKDLDPIKQASLENMLRENLKACAAHLGDAPFNAAISRIHPAAFAQLQQALNSA >cds.KYUSt_chr4.53350 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330305025:330305728:-1 gene:KYUSg_chr4.53350 transcript:KYUSt_chr4.53350 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAETLVVRSPRQTVSLLRNRSRRPRFGRERERDPAAAAAAGPKPSEVYGFVGSITTVIATAVYLAWAYTPEPWLNSLGITYYPTKYWAVAVPAFVMVAVALSLLAYVGSNFLATPTPTSFNTIFDEYSRERANTIDATEQEEAERPIEPISDMSIHHINTLMFPN >cds.KYUSt_chr1.2412 pep primary_assembly:MPB_Lper_Kyuss_1697:1:14208664:14225226:-1 gene:KYUSg_chr1.2412 transcript:KYUSt_chr1.2412 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAGVARESNVVLASCCLSSTMAMREYHIVLRKDLTNSDVGNIGRILLPKRDAEANLPVLLERGGLILKKDDFKLPATWNFKYRCLFAWSLADLSCLLQRDVLVPALDGSDLIASSKTGAGEGSLGSMIRPDLVGLGATWTQKPLLFFRSRSRTYPSVDNISEHYTHKVVDFGLAHAPRTGAIKFKVVNTDIQGTPGGEPYICRAAAAPPTLMQQLFIGNKQDTPLRAFDLGFSMHGYAEEKEERAITDCGCSLQKSVIFGCLNLANILGEAMSFQGLDFGVRRRSRCLNLARILAIINSNESSNMCKPQGLTTSNKKDEKQQRRLGAIFQHAEEKQGFGTPTLMKSVHELLEKRGSASLRVFWQEETITMLKVTNQDNFISLYDALFCFISGVRGILAMKHLNHRPAGTIQVHPGGSSLTSTPFVSSSARGFLDPLLASLSGAGSSTPAVYTDSSDDDFPAELGNTIEGSLLEERIEQFKDKLEEGKVYKLESFMVVDPRPNYRATDHCYSDEIAIVTLWGEQAEKFNAESIQQISLQENVFILFVGMNVCLFKGMLGFKGTSLTRWHINIPIPEI >cds.KYUSt_chr5.8353 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52809166:52817439:1 gene:KYUSg_chr5.8353 transcript:KYUSt_chr5.8353 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREEFEDSTWDEVAFINRYALFMGYISMAIRGLGFLILTWTTVVLLGGFVSMLQKNDFWCLTFITLVQTAGLKLMLSDLIIAGRIFDVYMNEKLSYIANSAVGVAAGFFYGVLGNYNNTSTVLEIVLVIARFLLAVIAVVVQTLVMVMLLCPLAATYMFGLLISMGLSIWRLVKHDYGSNSVTEANLKPAMDTLYFLALLQGVLFCYRFLFALTKKGLANVVISNHEDLEDREVVLTYLRDTRIGCEKDPSFARGRNLITHAVDLIGSKSHDDFVSGVKMLYTAMCLGEKKLKKARVEGTKEEILSLWNEIIGQNMMMKSEIISASSSSVLQRLLQTLDPRGSFDREMRNQGAAIVAHLALVIHLEQFPGAIQYICNLIGSFEEYRLIEPYHRHRLVSKYDTDWNAQASRLPSEGNDASNLREAYEKLVLTGFCILRKLATHKNNCRIMSETQGLLPRIMAPLTSDIIHQFKGCAWSSRAVEESLKVILLLVAAPGKTGVKLRREISRNKEAIGTMERIINCKRCYAKHQRRAMGILTELHMDNQENRTAFIKMLVDIFADDNKDRPIRNLAGEALAKLSIQDGSNTSIILQVNGDVVGSLTKILLLDDAENKTCRIKAAEILEHMCIHHTQDDESLGKLKKAMADTMPKVLGQILHCGLIQDETHALAEQNQVELQEKEADIENQCDHPQDNAEHESKVESEVEMDMEVDELDNEEDEEFHTTLLSLCVTVCDIFISADEDLACRLGAIDAISLPRKLKDIVAENSIPTVPRLRIMKLTCKMAISMMKHRGSYQKEDLDNLMNALSSASKSMSFLDMSMVFASEEHGAGTTMKPVKSLRSLVKEAKKKVATYCKPHEPENIDPFTSTDGEAHNGGDGYESGQSSHAAGGDGYSEEAYGDEENGQMQNTMEDEDTNGDIDADESDEEENGKEVLFPAVGIRTSHQR >cds.KYUSt_chr1.34234 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208308681:208311897:1 gene:KYUSg_chr1.34234 transcript:KYUSt_chr1.34234 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSTGLGCASAHHPVHHLDPPLAGKPFLGVSATHFQRKMRSSGCPGRFGCSANPRQPGPRRTAKETMYDLHPEISMLYGEENGAVAAPSKDLGIGKATETSRDNTPISYRYNEPRIKVIGVGGAGSNAVNRMIESSMKGVEFWIVNTDFQAMRMSPIDPANRLPIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVAGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRALQAQEGIAALRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEEGEGRPAQAGGDNNRGRNTRFSSSSQDEGPKLQIPEFLQRKGRSGFSRG >cds.KYUSt_chr2.43838 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272893691:272894999:-1 gene:KYUSg_chr2.43838 transcript:KYUSt_chr2.43838 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNHRLPSGGAAVEKGNHHSHDEEWEAEDGQEEKEEAVADDDHEEVDHHEEEVESVADNGQEKEEEDGDARWTRLETKEAAEEAAATKEARTWAKAQRASGLAIGLVELNDYPNLELTAS >cds.KYUSt_chr4.25893 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162843859:162844074:1 gene:KYUSg_chr4.25893 transcript:KYUSt_chr4.25893 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTKLKGQVKWFNESKGFGFITPADGSKDIFVHFSAISDDGFKTLAEGQNVEYTIENGAKGPSAANVVAI >cds.KYUSt_chr4.53264 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329841371:329843366:1 gene:KYUSg_chr4.53264 transcript:KYUSt_chr4.53264 gene_biotype:protein_coding transcript_biotype:protein_coding MERAWEGRVDMRSSGLLAIHSMVDQGLLSTEAVPPPQTPMEPMEYLSRSWSVSAEEISKALLLKGGSKRSFFAADHLLQQTTEASHALVIPAASSHQIRQQHLDATTNSISPHRHSNPATKWLFQHKETAGRAKHGWKEKARADRAQVHAMVSVAQVSAAVAAVTAATCCDSQDAKIAAAMASATKLLASHCAEAAQLAGAGHEQVSSAVRSAVGAASPGDLMTLTAAAATALRGAATLKKRVQRETRSNASVLPYEKAPSWSPDIWCKEGKLLKRTRKGDLHKRGVSIYINKRSQVILKLKSKHIGGAISKNSKSVVYGVYSEPPEWTEPGKGSLETCCFGLSTAQGLVEFKCESSTSKQSWVHGVQNLLHQVDVADQVLLYLERWWECGTNSMQYFLIILIAYQTPNC >cds.KYUSt_contig_662.302 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1725303:1726472:-1 gene:KYUSg_contig_662.302 transcript:KYUSt_contig_662.302 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALREAIDEVLVTLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGHRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSASRKTAKNEAAAAAANQLAEEEAKRAEDAAVAEAIARSLNDLVPADNSLPIDAALEWSRRDWEHQEAEQQRRMLDLAATRQLAARAAAPSSSRNAAPREVIKLEESSDDIYRPSPPRVGDAGQGTSRWYEALPPQDDAGSSDDDDGGDYTTFYRHFGM >cds.KYUSt_chr3.28887 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180321783:180324196:1 gene:KYUSg_chr3.28887 transcript:KYUSt_chr3.28887 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKGGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRWGPQFEQATYKNRQRLYLSEQLTRSDNEAHKEKTTI >cds.KYUSt_chr3.30156 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188743554:188745689:-1 gene:KYUSg_chr3.30156 transcript:KYUSt_chr3.30156 gene_biotype:protein_coding transcript_biotype:protein_coding MECENVAANGDGLCVAQPARADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTMEGASLTIAMVAAVAAGADTRVELEESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETVATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVATAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRASTKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDLYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISARKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVKNAVKNCVKMVARKTLSTNDNGHLHNARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERDVQTSVFAKLAAFEQELRAVLPREVESARCAVENGTAPQQNRIAECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFVAMNKGEHIDSLLECLKEWNGEPLPIC >cds.KYUSt_chr1.39166 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239459810:239462116:1 gene:KYUSg_chr1.39166 transcript:KYUSt_chr1.39166 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKVRILLVSSSDSSGSKASSAQTNGHQGGISQGDDNTRQQDLFEKLPDDILHRIHSLLPIRDAACAACMSRAFLRSWRCYSKLILNDHALRLTHIESEERKAYVIDKIDKILKNHHHNGVKVESLNLILTPCNNIKASYLDRWLRRTVKPGFKELYLEMPLYMKKIYNFPCSVLSDEGAASSIQSLDLLSHCAFNPTSTLGCLRSLKILKLSLVRITDEGLEHLLSKSPNLEELSIFACTGIVCLKIPSTMQHLKFLRVRRCEILCKMMRVVEIDAPNLCSFHYHSSLPEVHVRNCSQLKHVKLSYPGLPSRALFYARTSLPSIARNVESLILDSYCENANTPMLQSKLPHLKNLEIGLSLLGCFGSSYDVFSLVSFLDASPALESFILRLNRDAMARCPVVGDDDECPRRKVDLWHNHLRQVTITGFCSAKSLVELTVHILESTHSLECLTLDTTYGGYDRRMMVYGIIGKCPNSRKIGQCWPMGKRAVEEAHRAVKTAGRCIAGRVPLAVQFEVLGPCSRCHTGNQ >cds.KYUSt_chr1.5083 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31300162:31301322:1 gene:KYUSg_chr1.5083 transcript:KYUSt_chr1.5083 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFAAGEPSMSASTIVADTARGCHILKIEGYSSTKVNPNGEAIKSGQFTVGGHRWRITYYPNGRTPDSADYISLYLELDEKDTKCVKAKFQMRFASLVTRQPSLKSAAAHTMTTDNGRGYPKFVKREDLEKSEHLKDDAFTVRCDIVVFNDFRTEATPVANQFVTVPQSDLKQHLGDLLKGEKGADVVFSVGGETFAAHRCVLAARSPVFSAKLLGAMKEGGNGSVVCVDDMEAQVFKALLCFVYTDSLPETEEEDEGAAMLQHLLVAADRYNLERLKLICQEKLCSHIDVRTVATILVLAEQHRCDGLKRACFAFLSSPVHLMAVMITTGFVHLRISCPAVAMELVARCLAPLGTSAALRMTAPNGTKRARVDETGELVWPAS >cds.KYUSt_chr5.9154 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58017916:58019667:-1 gene:KYUSg_chr5.9154 transcript:KYUSt_chr5.9154 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKISCAVCHGDMGRGHATFTAECSHTFHLRCVNHRTACPLCHTSWRDVPGVTPTHALLFDDDEPLEMPPPHGNNQATTAAGGGVMVLKTHCQYPAVAKDATRDGFAVLVHAKAPALALEAAKAPRAPLDLVMVLDVSGSMMGPKIALLKQAMGFVIDKLGPDDRLSLVSFSSTARRLTRLARMSDAGRASSERAVKSLVATGGTNIKEGLREAAKVIAGRRSKNAVSAIILLSDGQDNSTLHYSAAGATDYNELLPSSLVRSGAGPSTPVHAFGFGKDHDSAAMHTIAEATGGTFSFIENEAVIQDSFAQCIGGLLSVAAQEARVSIECVCPGVRVRSVKSGRYKNHVDAEGRAASVDVGELYADEERRFLLLVDVPRVAQDVDVTTLLKVSCAYRDAATGQSVDVAGEDAVVKRPVEAAEVEPSMEVERERLRVEAAEDIAAARAAAERGEHAEASQILRHRGMALGATGDAMCAELAMELGELSERVGDPTRYHTSGGRASLLSGMSSHWQQRTTTSGNYGKGLGGGGYSTPAMRKMLGMSVKARQKQQHQQFFTSTTPPPPSLAMNLLRKCHRFLRLK >cds.KYUSt_chr4.49907 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309208738:309211665:-1 gene:KYUSg_chr4.49907 transcript:KYUSt_chr4.49907 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRAKPSRGKKKARTCTDQALALDYVRAWAHPAPPPPEPSTADAGDGFLPPQAARMACGSGGNVLFELHCHSNHSDGFMSPSALVERAHRNGVKVLALTDHDTMAGIPEAMSAAHKFGMRIIPGVEISALHSPRGIPGVGDPVHILAYYGMCGPSRFDELDSMLLNIRDGRYLRAKNMLSKLNTMKVPIKWEHVTKIAGEGVAPGRLHIARALVEAGYVDNVREAFNKYLGNDGPAYATGSEPFIETVVQMISRTGGISALAHPWSLKHPIAVIRSLKSAGLDAMEVYRSDGKFDAFSELAEQYGLLKLGGSDFHGKGTKDESDVGAVKLAITTLSSFLKMARPIWCSAMKDILLKFVEEPSTANLGKIIKFGQLANFDGFVPIDSGMDVVNLCLSSWLSHDEMEAVDLEEVRSKLACYVAKA >cds.KYUSt_chr3.4122 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23484268:23485769:1 gene:KYUSg_chr3.4122 transcript:KYUSt_chr3.4122 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLKIERESGPHAKKRREGEICGGDRDEDKQMVSVGSNCGIIALEALDCTVCNHPLRPPILQCATGHVICMSCHRKLRNKDRCYVCSITGGYQRCIVLEKILESVQIPCSNTMYGCTVKTHYLEGADHDKSCPCAPCFCPDPSCHFTGSTAELLDHLTHTDNWPATEFECGQRFDLQIQEGMHVLYTREDGTLLLVKFTPVPPFGNAVSIMCVDPHAVAGDRKFRCLVGSNCSTDSWRSDFRTISTNLSNDLPTEDGSYSLVVPTLSCYSGINVTISKVTVL >cds.KYUSt_chr6.29756 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188689618:188690286:1 gene:KYUSg_chr6.29756 transcript:KYUSt_chr6.29756 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAAPAAGLISASASPPSSHLRERIAAGSHLRERAAPRGSSMASSPPPRRAAPPWPFTSASFLAVAALHRARSVLGPPPIRRGRAPPLPFPAAVNLYRSAVLAPFLTTAGLDSAASFLRGVGFVRRDGRRLLPPRPPPELQTTSPGAANGIRVSCLRHGRELQLASAGFNRHRRELQTASARAASGHHRSCKRPPTGLQSAWPGAANGLCPSCNWRPPELQ >cds.KYUSt_scaffold_869.1789 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:11429347:11438782:1 gene:KYUSg_scaffold_869.1789 transcript:KYUSt_scaffold_869.1789 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVATGISSAISTFSEWSGCVLRGGQLHPALLMSDRLGFAKIHVYRPASPDPVHNFPNRPLREMGRRRRFTQQPTSDDDDDDDRAAPQVPKATKPAAPASSGTKKQQRHIADEDDDEDDLELEEEEEDEKDLEEMRRNEEEERREETQTRRRRGRKPKRPAEESEEEAESEEEEEEEAREEESTEAVPIGEPVKVTGKGKKQRKHYYSFEYEGNTFELEDPVLLTPEQQNEKPYVAIIKDITENDGSLSVTGQWFYRPEEADKKGGGNWIASDTRELFYSFHIDDVPAESVMHKCVVHFIPLNKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTVDRIGELPDREPTPTDIPGESADQFSNKRGIRKRPLNPIDVSRDASGKPEQFVKADTPGSDNLKHYAILAKYKVVTADTYRDRWLDKLVDTIPLTSKEGAETSHVDPGSVVKISNNGSSTRDTGSGDNENSYPPDVVVSIMASLERATYEAFPSDFQKYNQKMRKLEFNIKNSPVLRRRLMNKELDPPVLLTMSPDELKAGSTPAEKTSEPEESRRLQMTDARCERCTEKKVGIADIIHAGHGDRYQVFKC >cds.KYUSt_chr3.8435 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48818772:48820284:-1 gene:KYUSg_chr3.8435 transcript:KYUSt_chr3.8435 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEWRPVVGMLAFDLITAVMTALVKKALERGLDRLVLVTLRQLVATIFLAPIAYFKERSTRPKLTLEILAYLFFSAVFGAALSQYTFFYGLQYTTATFAITFINLSPVLTFLIAVVLRMEPLKVKSMAGAAKITGTLMSLAGLLLLTLYKGMPLTHQAATALSPAAHNTDGGGNRSWMLGTMALLANCMFFSFWLLLQTRLTKKYPAIYSSTALMFFISTLQGGVLTVAVERRASLWMLTDKLEIITVLYAGIIGSGAGYLIMTWCVEKKGPVFTAAFIPIIQIMVATIDFFFLHEQIYLGSVLGSVLMVLGLYLVLWGKKRDEESSVSCATNKQMDEEADNVQAVKL >cds.KYUSt_chr7.9082 pep primary_assembly:MPB_Lper_Kyuss_1697:7:55291854:55295664:-1 gene:KYUSg_chr7.9082 transcript:KYUSt_chr7.9082 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSQAEAEALAADRARLEAANRMQRESWEAARRLQRSVEELQVAAQEKDAELDRLRAEAANAKKKLQLNEVESCTKLRGGNSRYDVHITSNISVELRKLKQAYETLTSIKDKEISALLSEKDSMRSQFNIMQQDNAALLKNKKAEAAQATEAALKLQQSVDELKVLAQKKDDEIGKLQEEAVGAKKQLMKMQSLVKEKDDEIQRLKGWHPQYFQMSNKDINGTHKKLRSDDPRDEDGNKQIGSDGADGEQSGSVEDGDEQCGSVKDGDEKSGSCNRNDEHDLEQHQSDGKIGSDKETANNQVQKPLGGPKRKSAACSAQVAKGKSHTESRAVKCWYSYRMAPQPLRSRNLKIANGRRSMIGTGHWGNYVRLVNDSLVDQPDKHKMFVQVLCNFENWRICQVARTMEVVLDGQPKLIHQFNRFLPNNCQMEVDDDEEEKQQPEEEQPRPQGAPQPRAQLPSASPSPSPSASASESASESELASAAAGLVKLKEAEQQPKRERV >cds.KYUSt_chr6.8880 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54633761:54634327:1 gene:KYUSg_chr6.8880 transcript:KYUSt_chr6.8880 gene_biotype:protein_coding transcript_biotype:protein_coding MHATPVILAPVLLAPAPVVLVLAFGLLTPVLLVLEPGLVAPLQGLLLLAGLAEQRSGLGILDRLPGLLLHRACADHLRQLRPLGLLLRDGQCQSSAHVRVIVLLRLQHQRRELRQCRAAAAGLSDVETAVVSGIPQRRRKTAAAAGLSSSAMDNARPARMSGSSSSSGFGTSGASCANVAPTRPTYPM >cds.KYUSt_contig_2087.308 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:1821157:1822219:1 gene:KYUSg_contig_2087.308 transcript:KYUSt_contig_2087.308 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHNLSHDLHVYNSFRGLPLMEEMTDRSLYLDGQVQRAPAVADIDLAWNDNACGHGFVQRKRTRMVAAAPSFLENPHAQGLVPVGDVLTRAAGSGAASTSGRITNATSLQHDLLSTLSHQCTEIDALVRVETERMRAGLEEARPRHVRALLSAAERAAAGRLRAAEAALELARCRNATLSERLGQISAEGQAWIGVAKSHEAVVAGLRATLEQLLQSAAAQGAAGEEADAEDALSCCFETPTGGDDAADDGEAASNKSRAACKACGEGESCVLVLPCRHLCLCPACDAAVDKCPVCAATKNASLHVLLS >cds.KYUSt_chr3.8929 pep primary_assembly:MPB_Lper_Kyuss_1697:3:52078572:52084335:-1 gene:KYUSg_chr3.8929 transcript:KYUSt_chr3.8929 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLDAVMMLVLLRMLPQVAAVRCTAFGLGYKLLWMLARLLFLTAAETAAAASSFYQPRDLFNEETWKKVTSQILKIGVLPFDPALAIVVDSGEHRRSTVSCLSRCTMRKRRELSENLKMKGGSVEDDAWYLDMEDRLDKPLAETEQARWMARCIYRVPACVKDIKSKAYTPQVVSLGPFHHGDPDLLSMEEHKRRAVRHVLRRAGIRAKELVAAVAEVAEHLKCSYMDLGVEWRDRNRFLELMVVDGCFLLEVMRTDAGNQVVGDYAPNDPIFSRHGLLYTVPKIRRDMLMIENQLPLLVLQRITTVEGVNSSVTLWSPAYQAAPSRRDTTTTPLLPGVVLGFPRYAQGHGSRASPNALQEGRVSAKPTGISPDPRNPASDAPSRSTALAAHQHSPPTSTRHHGLACTTAVSPWPTKRGQHGQEGPTGSGRQHLSSHVGGNHLHHHLQTPAGRVDITNQAHLARPSPSGLVKFRQHAAAYASLTSPPPTPVAPPSAQKSAAGQGAGPPGPRWGPKGPDLGQASAAPSRCAVPRPRGHPTTSSPPAVAPPGRRRRRRKPTDLGEPPPAEETPRLPYTIGEHRERIRACLPMKTRNDRETTELHDDAPKRVTTLGAAAVETKWSRVFTRSSGMGR >cds.KYUSt_chr2.1613 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9394066:9395588:-1 gene:KYUSg_chr2.1613 transcript:KYUSt_chr2.1613 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKSRDMATANIHATSSGDEERDGGTHVLLVPLPAQGHTNPMLEFGRRLAYHGLHPTIVLTRFVLSTGPPPGAPFRVAAISDGFDAGGMGSCPDPVEYCRKAEAIGSDTLAQVIAAEVCAGRTPAVLVYDPHMPWAGRVARAAGLPTAAFMSQSCAVDLVYGEAWAGRAPLPMADGSTLRRRGVVSVDLGPDDLSPFVVSPELYPKYLDVSIRQFEDLEEVDAVLINSFRELEPQEAQYMESRWRAKTVGPTLPSFFLDDGRLPSNRAYGVNFFSSDAPCMAWLDQQQPGSVVLASYGTVYSMDAAELEELGHGLCASGNPFIWVVRPSEAKKLTEKVRDSCKEKGLIVPWCSQLEVLAHKAIGCFLTHCGWNSTTEAIAAGVPMVAMPRSADQLTNARYVESAWKIGVRIQSNENDLVTREEVDGCIKEVMSGERKEEFHTNARKFMKMAKEAMQEGGCSDKNISEFAVKYLSS >cds.KYUSt_chr7.13559 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83564214:83564864:1 gene:KYUSg_chr7.13559 transcript:KYUSt_chr7.13559 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKHCDKHDCERQRLYRQFCAALVAIILLILLIVLIVWLVLRPTKPRFYLNDLTVACLNATSSPASYLTVTLQATIAARNPNSRVGIYYDRHDAYAEYKGQQVTVPTALPVVYQGHLDVSVWSPFLVGANVALPPYLAVSLAQDETAGYVLLTVRVDGWIRWKAGAFITGHYHLRVRCPALLTVNGGQGSYGSIAGGGGDGYFHFQRAAPCVVDV >cds.KYUSt_scaffold_1854.242 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1323602:1324124:1 gene:KYUSg_scaffold_1854.242 transcript:KYUSt_scaffold_1854.242 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRVFLLLLFFLFLLVVILILASAATATHSSHANARAAIAEEVEEGVGVQAMMLRKTMLGSRPPSCEGRCRSSCGRRCEAVQVPVTPHDLLQMLRDRRKKKTLQEAGAGGGRSSGGVSLPSSYDDRSNYKPLGWRCKCLHS >cds.KYUSt_chr2.9108 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57246712:57247481:1 gene:KYUSg_chr2.9108 transcript:KYUSt_chr2.9108 gene_biotype:protein_coding transcript_biotype:protein_coding MYADDASLFVNPNPSDIHQVAEILRLFGQSQSVNSYANIWAFRCITGRTLMEGLPMIDKVASRLPRWRGKLLNAATRLALVNSVLSTIPTSMVTVFHQGKWALRRIDKIQRDFLWKFKNDGDKGLAESLVYDGSGRVMSPISGCQDSYMAQPWLSLLLVCSRYPG >cds.KYUSt_chr3.48813 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305019010:305021311:-1 gene:KYUSg_chr3.48813 transcript:KYUSt_chr3.48813 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAKEFGVSPPGAVAPRRRAPPARVAAPRGVGANGGSPVGDLWLRTRGAAPTEQGHGSLAGSSHDSELDLALLVSDFLEGGSGDSRGSSDSESGSSDLAHLADNISMYKQAGDEKEHEMLSVVHSLLFSIHESELQAFVRGQCTGSCIRHLLVKLLRYAGYDAAVCVSKWQGFDKIPGGDHEYIDVITNSAMTGPERLIIDIDFRSHFEIARAVDSYGALLDSLPVVYVGTLPRLKQFLNVMVDAAKWSLKQNSMPLPPWRSLSYLQAKWQSKYERKDLLNPEQEFHGGIMNSDHHTLCVGHLRRLKSSLQSELDTGRLLMMPIQTDTTRRAKFDRRLRRSLLSF >cds.KYUSt_chr6.10387 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64051706:64053107:-1 gene:KYUSg_chr6.10387 transcript:KYUSt_chr6.10387 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMYKDLTDHVNSVVHSGKIPEVPESNRIGFSEWNETISSEDHPSIVQILLDRNERKAVDVDGNVLPTLVYMAREKRPQEKHNFKAGSLNALIRVSSVISNSPVIMNVDCDMYSNNSESIRDALCFFLDEEQGQDIGTLRTLTMW >cds.KYUSt_chr4.22404 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140773706:140774353:1 gene:KYUSg_chr4.22404 transcript:KYUSt_chr4.22404 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLAALRPIVRYSIGGTLIGLAISDRYFSVAAVHGSSMHPTFEDRTGEYAFVQRSGLARYDLSRGEVVTFVSPVDHRIRLVKRLIGMPGDWISVPETAEIRKVPEGHCWLEGDNGSVSWDSRIYGPVRNFYFSPTQASSC >cds.KYUSt_chr6.27756 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176014025:176016154:1 gene:KYUSg_chr6.27756 transcript:KYUSt_chr6.27756 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIYFSHNLYTKKFHMPSLGLVLVLLISLVSPISSCTEQEKSSLLQFLAGLSQDSGLTSSWRSHTNCCTWEGITCNQDWKVTDISLPSRGLEGSISPLLGNLSGLSRLNLSHNSLSGGLPLELVSSSSIIVLDVSFNRLTGGLHEVPSSATTRPLQVLNISSNLFTGRFPSTVWEVMKSLVILNASTNSFTGQIPSANCVSTPYFAVLELSFNQFSGNIPHGLSNCTVLKLLSAGHNNLGGTLPEDLFNVTSLEHLSLPSNWLQGSLDGISKLTNLVILDLGGNFLSGNIPGSIGDLRRLGHNNMSGELPTALSNCTNLVTVDLKTNMFSGELSKVNFSNLSNLKKIDLLRNHFTGNIPDSLYSCSKLTALRLSHNNFHGQLSERIDNLKSLSFLSLVNNSLTDITRTIRILGKSQSLTTLFIGLNFLHETMPEDDNIDGFQNLKVLSISDCSLSGKIPHWLSNLTSLELLFLHNNQLTGTIPDWISSLNVLFFLDVSNNKLIGEIPAALMEMPMLKSDKTAPKVFFELPVYAFSPSLQYLMPSAYPKALDLSINNFTGHIPEKIGQLKGLTFLYLGSNKLSGEIPEAICTLTNLQVLDLSSNHLTGTIPAELNNLHFLSNFSISNNDLEGPIPTTGQLSTFPGSSYDGNPKLCGPMIVNRCGSTEAGPVSIVLTKEIDTEVIIAIAFGAFFIVGVMYDQIVLARHFG >cds.KYUSt_chr4.36061 pep primary_assembly:MPB_Lper_Kyuss_1697:4:221672947:221673396:1 gene:KYUSg_chr4.36061 transcript:KYUSt_chr4.36061 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDPAVDGGAAISSRLRRGLAGRAMMGAAARPWNHGDVALSSVPRRGSPGRRIGGGGAALDPGGAILSFLPRLGIAGRVVMGADLVLLLLKVGITALRAAALDGSDGVDLVAGGGHQVRWRVGLASPGWSPTWGSDLNNGGGPRASLA >cds.KYUSt_chr5.3363 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21790249:21790881:1 gene:KYUSg_chr5.3363 transcript:KYUSt_chr5.3363 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVAAGESGRGAEQEQGGGLKLARFGGDERMRRREHMFDKVVTPSDVGKLNRLVVPKHFAERHFLPQLLPAAGLAAARLAGAVLRFEDGRGGGRAWAFRFSYWSSSQSYVMTKGWSSFVRDRRLAAGDTVSFCRAGARLFIDCRRRRGIPPPAAPKPFVPFMGLVATAQRTTSDEARRRFVRLFGVDLEVAGADEPPVPLDLQLPLIR >cds.KYUSt_chr3.43541 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274801536:274803300:-1 gene:KYUSg_chr3.43541 transcript:KYUSt_chr3.43541 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGHPCLAAAAKAQDLHPGRFPLCRRIPDSPNCRFLLTLATGLPAGVGDDGAWFASSLGIAMIQQPFQLFLACGIKRVEGRRRASSETLVVRCSAGVFLGVARRQPGRGFARHLHAPHLKMSFTPNPKPHSRRRPSGAPHPAGKPWTRPALKPQRRRDSSAGHQGEIFLMLCAGVFMLGQWKYWDRLRHHVDIGWLHFVHSMRNYVSKFFEHKPYDGRAAMGFGMLIGISIGLLNPSLGFAYVGFPKPHLSTLLDSTSSTSLKQQCTW >cds.KYUSt_chr5.39986 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252693387:252694457:-1 gene:KYUSg_chr5.39986 transcript:KYUSt_chr5.39986 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTTTAMSCLCYALLAATAAALLATATADPAKIGVCHGRVGSNLPAPEAAAALLRQNGITKARLFLPDPAVLPAFAAAGIDLTVGVPKENLTYLSTSGPDGALQWLRANGLATNPVAARLRYLVVGNEVLYNNQFYAPHLVPAMRNLHAALAALGLDRAVKVSSAHASSVLAVSYPPSAGAFDGATLDVLRPMLRFLADTGAPFMLNAYPFISHLSDPANVPLDYALSSSSGTAATTVVRDGALEYTGLFDATVDAVAAALEREGFGGVAVAVTETGWPTAGHPDATPQNAAVYNGGVADRAARGAGTPRRPGTPVEVFLFDLYDEDGKPGAEIERHFGIFKADGTKAYDISFI >cds.KYUSt_chr6.6259 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37831444:37840597:1 gene:KYUSg_chr6.6259 transcript:KYUSt_chr6.6259 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDPREVDMFVQFFHNFRRPTELVAFYNGEVASMELRNATTVCVDYNDVKCHNLEAASLLSFQDLQRHESLDDFLSTNPPVEAFELPDSITTAVCTPAGRMVLQGFIHCLVEGHAKGNSWGGSFEASHLVIVNLDNCRQECRILEQPTAAGPVNLATMQVDFKKLAQILLPKFRVMLTTRTDTEPAFFEDLGRDMRMLNIPATIPSSVHYNSKFTKFICRHMAFLPSLSRSNMIVNLIRVYKVLSVNERNIFTVSVDRAKRGLNDWRTDARFYELLKKVYDGKLGRAPYGNNGMDLLLFQRHFVVHAGDHLKIGFYVLKQLSDGNRSIETLEAIDYYLAHHYDQFLSKVVHRLIIDLDIGKLLEKVWENLHPVGKPLEGKVRFAVHLSGDLVGVSSVRLRRRYEEETSIARGEEEQLDVKMDVELDKELEMKISHGRAREEREACARGEDEVQAGPAPDCDHEEEANTGAPKGDRELVYEVPDLSRGVEGVDYGIVYGVDNTEAEE >cds.KYUSt_chr3.18433 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113359986:113361770:1 gene:KYUSg_chr3.18433 transcript:KYUSt_chr3.18433 gene_biotype:protein_coding transcript_biotype:protein_coding MELESNPAKKCAKAKGEEASSEEDRLSGLPDDVLHSILGVLRLKHAVRTSALSTRWAHKWLHALAASAVLDFTDRDLVRGQSPAQITATVDRVLAIHGAAPIHVLRVVLSPPDALGRDVVVGWVAAALGRGAREVGVDLVRRGVLDDGDGRVSLLQLPGGLFQVENSLSVLSLGRCSLRDVPPGAAGLAGLTSLSLDRVDVTDDDVRDVVSECRLLEFLSLRSCHLLVSVRVAGERLRGLEIVGCLSMRHLRVAAPALESFAYHGEVLYRRDDDYETYLVEFIGKDNTRRTLSDAVTPELRDAYLSHLGLGGYHEVIHEFAYSGFLEEVAHARILTLCSVGLLHIEETRIFYELTMDTPNLEEVQLLMDTMSDGDVSRFCGFFGLTEPPLLERLFVRLPSAACEDTTEDTCSGTIATGEDADIVLDYEIALDHLTFIKVINFRGTTRELRLLRFLLRRAPVLEQLVLVIPEGNEGTPGDHDQQKKLSLLLKIVQKHVTEIRKAWLWQDAHVTVCQPREDDSRSPAHTKYYHED >cds.KYUSt_chr3.9977 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58743986:58746222:-1 gene:KYUSg_chr3.9977 transcript:KYUSt_chr3.9977 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGHDAAAGPALSRSASIDRIPAEARRILHRLAGELWGADVDPAALAVSQLKGALTNEVFRVTWPGGEGDPRKVLVRIYGQGVDVFFDRADEVRTFECMSRHGQGPRLLGRFPQGRVEEFINARTLSAPDLRDQEISALIARKLREFHELDMPGPKDVSLWQRLRRWLEEARGRCSAEECKEFRLDSLGGEIAELEKALSAVDQGVVFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEFAADYHTATPHVMDFTKYPDMDEQRRFIQTYLGSSGENPSDTEVETLLDSVAKYTLASQLFWGLWGIVSGHVNKNIDFEYKEYARQRFNQYWHTKPRILLKACNPTC >cds.KYUSt_chr4.26496 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166376405:166382848:1 gene:KYUSg_chr4.26496 transcript:KYUSt_chr4.26496 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTPEALDHTTTQTPTRLRLSRIPRHTLHKLLDMDAHLLTTLHKDMGMGMAIHQQEDRHGGSLLVAGGAAAAAAYGAHHLSHGHGGGYYHRPAGYHQHHHYGGKFKKHHGHYGGKFKRGKHGRFGGKHGFFHGKLKWNTLNAAGCSRSGAQRSAPLAVPALMLGLVGRVVLVRRLLQHAFGKSTHASLRMYPLHETPPWTDCDGTLPPEQRSSSSSTSTSTSKVDDGVDIGDYEYEEEFIKNARGMKLFTCRWLPKARPIKALVFICHGYAVECSVTMRGTGVRLAQAGYAVHGMDYEGHGKSDGLRGYVPSFDVLLGDCDAHFASVVAAHKEQPLPRFLLGESMGGAVALLLHRARPTYWTGAVLVAPMCKITDEMKPPPAVIKILEAIVRFIPTWKIVPTRNVIGAAYRTRAKRDEIRRNPYCYKSRPRLGTAHELLRASLRVESEVLTQVTLPFLVVHGGADRVTDPEVSRLLCREAPSTDKTLKLYPGMWHALTSGELPENIDLVFADIIAWLDHRSASSGERDDLTSRSEQPS >cds.KYUSt_chr5.36527 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230883197:230889911:-1 gene:KYUSg_chr5.36527 transcript:KYUSt_chr5.36527 gene_biotype:protein_coding transcript_biotype:protein_coding MRESDRYRCDRSSSPSAASSSSSSRQRPSTVLVVEMEEDDAPPPRLLRPQFAARDYFDDAELERLLPQLDVNAGLAPGDFVDKRNLDTVIGLVSRSSQRDADKADEWRRIAEEQGKVFIDLGGASRSDAAAQRRRCHGIRLQGMGLLELFITACMPVLNMLFVTGVGSFLATDFAGILGKEARKHLNYVVFYVFNPCLVSVYLAKTITMESMAKLGGAIPSVTLIMGGNLITGLRGDVSVPRSVIAGIVAVRFILLPLLGTVLVKAAIRFGVIQPDPLYQFILLLQYAVPPAMNIGTITQLFGVGKSECSVIFVWVYPLASVAVAVWSVFFMWTLL >cds.KYUSt_contig_257.384 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2903120:2909569:1 gene:KYUSg_contig_257.384 transcript:KYUSt_contig_257.384 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGRKTSEQEKYGLTRAGDAAAGTLRVAIGRRRLSSRASSNRSRCDEAGEEAPEFRVESITLEPKDCHWNAVDVGNKTYVIGRSDEESKSHFDIKILDKLTQTWVVPTVLGAQPPSRSHSAILVNDEKILVVEKGVSLNDSIWFLEIDTPFVKQQRKIKGSEVVSWSKGVLGVAHKPVVISGPSGVGKGTLIAKLMKEFPSKFGFSVSHTTRSPRVKEIDGVHYHFAERSKMEQDISEGKFLEFAHVHGNLYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEQRLRARGTETEEQIQKRLKNARAELDQSNSPGLFDHLLVNDDLETCYENLKKLLSLDDEHEDSEDCCIKDGKATACYSILSKTESEILLQSDIGELEKGSANLLALDLSSLTGGAPGRTRGLKIQPVNPIANGLKAIR >cds.KYUSt_chr7.21511 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133409545:133412070:-1 gene:KYUSg_chr7.21511 transcript:KYUSt_chr7.21511 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAWSGSTRFRSPASAVWFLAAAILILIVLLQRRPPMDPYATPTPRTSVSSRRAELYGRMGRDLDERGAAFLEGGETSQSLTLSDLFDVRDGAVVPKLKAADPPVRANVLYLDPEFAAVISKAVKEVFLPYFDKVIWFQNSSMYHFSMFHASHHLEPILASKDEIEAEVDSVKRVTKAVCPIQIVLDQVVLTSTGVLLGLWQVESGTDPADIRSKLREALPRAPQKQLYDPVLLHTSFARILGPPKLPQEENTTSFDHIKFFHDLVAQVNGKIRGFQAKVSELWYVEEYDVLALAMNGKMKVRRLDLGCNED >cds.KYUSt_chr1.25120 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150627285:150637235:-1 gene:KYUSg_chr1.25120 transcript:KYUSt_chr1.25120 gene_biotype:protein_coding transcript_biotype:protein_coding GDGLCDEAENVVIKGEAPMRSSICTKRSRAAAIHNESERKRRDRINQKMQTLQKLVPNSSKTDKASMLDEVIDHLKQLQAQVQMMSRMSSMMMPMAMPQLQMSVMANMAQMAQMAQMGLGMMNMAGPLAQPAYAGLAPAMMHQPTPFVPMQAWNAAAAADRQKQPAAAVPDAYSAFLACQVAQQNAQQQQAQPNGMEAYNKMMAMYQKLSQQQSQSGISKE >cds.KYUSt_chr4.6185 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36335508:36335843:1 gene:KYUSg_chr4.6185 transcript:KYUSt_chr4.6185 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSGKCGCGSSCNCGSGCNGCGMYPDVEAAGNATVLLTAATHKASAGGMEVAAEAENGGCSCNKCNCGTSCGCSCCTC >cds.KYUSt_chr5.33151 pep primary_assembly:MPB_Lper_Kyuss_1697:5:210320869:210322281:-1 gene:KYUSg_chr5.33151 transcript:KYUSt_chr5.33151 gene_biotype:protein_coding transcript_biotype:protein_coding MATERTESVALVAVPFPAQGHLNQLLHLSLLVASRGLTVHYAAPAAQVRQARSRVHGWEPKALGSIKFHDLDVPAYESPAPDPTAPTPFPNHLIPMWEAFSTAASAPLAVLLRSLSATHRRVVVVYDRLNSFAAVEAARLDNGEAYGLQCVAISYHLAWLDPGHQLLRDHGLEYIPIDVTMSKEFVEYMFRTTAELQDEGGRGVASSVGLVMNTCRELEGDFIDAIAVHPSSMDQKIFAVGPLNPLLDGSTRTSGETRHECMEWLDAQPPASVLYVSFGTTSSFRGEQIVEMAAALKGSKQRFIWVLRDADRSNIFADSGESRHDKLMTDFTTETKGTGQVITGWAPQLEILGHSATAVFMSHCGWNSTLESLGHGKPILAWPMHSDQPWDAELLCKYLRVGLLVRPWKKYDQVVPAQAIQEVIEEAMLSENGMEMRQRAKVLGEAVRASVAKGGSSSQDMDNFIAYITR >cds.KYUSt_chr2.20077 pep primary_assembly:MPB_Lper_Kyuss_1697:2:126249469:126250938:-1 gene:KYUSg_chr2.20077 transcript:KYUSt_chr2.20077 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPTPTLVLLPEWGAGHLMSMIESCKRILLAGDRAFSITLLVMRPPTAEATSQVEAHVHREAASGLDIRFHRLPAVEPPADAAGVEEFIARYIQLHAPHVRDAISGMSCPVAALVLDMFASPMVDVARELGVPSYVFVSSTGAMLALMLHLPVLHEAVTVEFDEVEGEVHVPGLPPIPPEWMPCPVVDKKSPNYTWFVRLGDRFMDATGIIANTADELEPGPLAAIAEGRSVPGHPAPPVYPIGPVLSLGSKKDSTGPPHECISWLEAQPPASVVFLCFGSMGWFEAAQVVEITAALERCGHRFLWVLRGPPAAESGAGAPDGSEHPTDANLEELLPEGFLERTKGKGLVWPAWAPQKDILAHPAVGGFVTHGGWNSVLESLWHGLPMAPWPLYAEQHLNAFELVNDMGVAVPLKVDRKRDNFVEAAELERAVKSLMGAGEEGRKARAKAAEMRDVCRKAVHKGGSSEAALIRLSEALHQGAALPNM >cds.KYUSt_chr4.23200 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146109970:146112356:-1 gene:KYUSg_chr4.23200 transcript:KYUSt_chr4.23200 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRFAPGANDDEADRRRPPALRAGGNRGSLHIGEAARGGAALPQPPPLLIEPKPESSEEDPDLRAAPIISAAEEEAKWPQLHAAIRTSEMEEAARRGDFRRTRYSSKKNSDVQVREMANLFLNQAKQYAATRPAYPTELFEFIASKTPRHDLAWDVGTGSGQAAASLAKLYKNVVGTDTSAQQLSYAPRLPNTRYVHTPPDLPLERLHADVAPPGSVDVVTVAQAFHWLDLPRFYAQARSVLRPAPHGVLAAWCYTEPRVGAAVDAVFWRLYTASQPHWAPNRKMVDDEYRSVDFPFDPVEGEAHTGPFEFSTERRMDLGDYLTYITSWSAYQTAKEKGVEMLDEETVKDFAAAWGGDRDEVKTVRYPIYLRIGKVRPAESDA >cds.KYUSt_chr4.53277 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329926299:329933011:-1 gene:KYUSg_chr4.53277 transcript:KYUSt_chr4.53277 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSHPSRYVKLTRDQDAPAEDIRPGELNQPVHVPQLEGRKCSECGQVLPETYEPPADEPWTTGIFGCTDDPESCRTGLFCPCVLFGRNVEALREDIPWTTPCVCHAVFVEGGIALAILTAIFHGVDPRTSFLIGEGLVFSWWLCGTYTGIFRQELQKRYHLANSPCDPCMAHCCLHWCANCQEHRERRGRLAADNSAVPMTVVNPPPVQEMSVPENHSAAREENGASDKAVLEVSTKGHDHDDVESNRRLYLARRIHASTNSGARQGKFLLPGASAAALLMLGVLHAKRMYDDNKLAERKEKGLEPEFEPDLKASFLRLLPLRSMSRFWGSLMEVELPVFMRPAVYKGWARAFHSNLQESALPLEEYPSLQAFFTRSLKEGSRPIDADLNCLVSPVDGKVLRLGQLRGPGAMIEQVKGFSYPVSSLLGASSSLHDTKEEDVSGDQTEQNTPEGSNAKSWWRVSVASPKLRDQTRLSPKRGIFYCVLYLHPGDYHRVHSPADWNIFKRRHFSGNLFPTNERAARTIRNLYVENERVVLEGQWKEGFVAIAAVGATNVGSVELLIEPELRTNSSGSAILPSQPYNERVYEPEGTGVIVKKGQEIAGFNMGSTVVVVFEAPLSKAKEDGTVSSEFDFCVRAGDRIRGYAGSSEVEKDVVV >cds.KYUSt_chr7.38431 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239472808:239477447:1 gene:KYUSg_chr7.38431 transcript:KYUSt_chr7.38431 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPPMAHEANGNGNGRRKKPHAVVIPYPMQGHVIPAAHLSLRLAARGFAVTFVNTESVHQRTALALGVDPLRYDVFAGARCADVRYELVGDGFPLGFDRSLNHDQFMEGVLHVLPAHVEELLRRIVVDPASTCLVADTFFVWPATLARKLGVPYVSFWTEPALIFALYYHMDLLTKHGHFKCKEPRTDTITYIPGVPAIEPHELMSYLQDTDTSSVVHRIIFKAFEEAHDADYVLCNTVEELEPSTIAALRSKKPFYAVGPIFPAGFAHSVVATSMWAESDCSGWLDAQPAGSVLYISFGSYAHITKQELHEIAGGVLASGARFLWVMRPDIVSSEDPDPLPEGFAAAAAGRGLVVPWCCQVEVLSHAAVGGFLTHCGWNSVLESVWAGVPMLCFPLLTDQLTNRRLVVREWRVGVPIGDRGAVFAQEVTERIDEVMSGEEGEELRQAVKKVRATLEAAAAPGGSSQRGFNDFVDELTRRCGG >cds.KYUSt_chr7.32394 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201788525:201789655:-1 gene:KYUSg_chr7.32394 transcript:KYUSt_chr7.32394 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATEGMRGDDGQGISDGRLVTAKSIKFVLLSDRSIEAMTSWADQWDTGADPSPRRGSGGGGDGKKQGGDRVEKTKAAAVTGLKKVKAGTAQGFQWIKDKYHQKKNAGGGKNSNKQGGGAAPR >cds.KYUSt_chr3.10447 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62011515:62011810:1 gene:KYUSg_chr3.10447 transcript:KYUSt_chr3.10447 gene_biotype:protein_coding transcript_biotype:protein_coding MWLMGTFAPEGGAARPPEPSAPARLDPMVLTWLEQAQIANAFEFCPGDDFSLTYTDDDTDVVMLDDM >cds.KYUSt_chr4.2286 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12756312:12757814:-1 gene:KYUSg_chr4.2286 transcript:KYUSt_chr4.2286 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPGGHPIGGGNMWALLLDKDPGHSAAAANDLAVDVIDLKLKKATPVVAVKVKEAPPARNVAKPAKRVDKTKKNKKPSAGSSNMAAANVDYGGKVQVNVDATSNHEDREVKQDHTNQLIGKLKKFDGRVLHTANEDGERGKDDATALPEDCGDGSEDGGSGPKNHDSGLDDRGMTSKDSNDDCEPLGNRAVQLLAICANFPICEIMGYDSSLVRRIYVQRKGEVQEEGMIDLVPIGPRQILMAYGYFGLDVYTDRESGPPAITEAWDVEVDDEIEEYTRTISADPGRKLEITYLVIPNAIGANVEVKLKLKDLGSKSRAVYGKIKASATDYRNKSVHLFSCERGTSLSFSSGSTSILPLSPSMVAVPCRWQLELHIEVHLTVIICDSQEEQYKTLKFSLEFTREIMSQKREVDDDQVEVNIKWCPIH >cds.KYUSt_chr4.27908 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175362833:175364070:1 gene:KYUSg_chr4.27908 transcript:KYUSt_chr4.27908 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKTSEGAGPWLRSTKGFLGRQVWEFNPDAGTPEERAEVDRLREDFTKHRFTKKESQDLLLRLQFECQRLVITNTKDYTWQAISRMDTAPLA >cds.KYUSt_chr7.26774 pep primary_assembly:MPB_Lper_Kyuss_1697:7:167245444:167252847:-1 gene:KYUSg_chr7.26774 transcript:KYUSt_chr7.26774 gene_biotype:protein_coding transcript_biotype:protein_coding MGISMRLLLAVALLLAAVVAGAAAEEQAVPVEVGEIAGGVKEAALRAELAQLAEKISALESGIAEKSQDLKSKDAGIAKLEKDIEEKSHKITTLQSEITALQKKGPVAAEEQAAKAIARAAELEKQIDILNKEIEAQSSQRTALEARANKAEKKVQDLNSKLEALQKASGEQKRTIQKTERALKVAEEELMRLQNEATTKSKQLTEVHGAWLPPWLVTYSARYAEVVSGHWNEHGKPALESFLEKKLVPVKEKLAELKKNAEPYVENLSTKSVEVYEASRDAVTPHIVKLKAFADPYCQEAKKLSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVHAYGTFLESATTYHRQAQATISDYLHRHEITKSLVTKELVWFLKSDEFFPEAVSHEPWWKDGTLASSPAKLRLLVDLPSTPKTLASVPKTSTAAAAAACAMRPLDEKETTMVFEKLFKFTGPNLKHLLERPSAEGPDAEPGRYCLRLHKNRVFYASESLVRRATAVSRVRLAGVGTPIGKFTHGGAFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSALARITENTKSGDGVVVMSMADVPLGFGVAARGAQDCRKADTNAVVVLHQSDAGEYLRKEEELM >cds.KYUSt_chr3.23058 pep primary_assembly:MPB_Lper_Kyuss_1697:3:142722215:142724039:1 gene:KYUSg_chr3.23058 transcript:KYUSt_chr3.23058 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRCPCSSVPVHIHLAIGSRSNSQKRRKGTVLLIRALSLAGHWISVHRDAYESEQQFMELRNKIYKIKLDTRNKLGLEEEDKELHEVKMAEEHSKLEMDKVMEMAEVETRCEIEEGKVITEAESKLEEGGIEMAGADTKLEIVEAKEMAAGSNSESKLEEGGIEMAGADTKLEIVEAKEMAAGSNSEIEEEPDQMLEALTVLSTDMEDLFARYRDGWESLWSSKVDRFGSFLDTTSLSPMHFTHCTSGHLPHSAVVANTLQVYSIKVEEINEALELKWPLHVYGVVAARDTVDRNRNLIFLRQRYNCQTLTQKQPFLHLTGPSRAIVAIDPVNFEIELKVRGRTESEDRVLMSQAFHYSGNLCGSDATLSNDLCKIVLDFEELQQTVQATIVGVHVVKGKPFKHGCRVICVAEPPSKPVELQHKVSKPVVLRDRMAATQSDCHISLSRHVVSVQLHGMLKVVIFTYSSTGHKETARGRVFFTARECKTSWGRCDLGHSTVEVTVAWSRLVRDKLSLLSEGEL >cds.KYUSt_chr4.29892 pep primary_assembly:MPB_Lper_Kyuss_1697:4:187590733:187591711:-1 gene:KYUSg_chr4.29892 transcript:KYUSt_chr4.29892 gene_biotype:protein_coding transcript_biotype:protein_coding MFWPIRMKPGDRVYTFDRSSHPHLILLLLAATSRHHSSPATNHVLKLTSTAPPSTSPSSGKNEPGNCEDLEALDGAEAKCAYILSHTPCAPGVYIDYLRLFYCGFGAAPAAVGVAAAFVSLYAAYVVLVWTSQCCAGAGAGKPDLAEPLLLDGDAPTLLSHSAKPTDPAKKSRLQRVLHALTMPLYLPRRLTIPDIAGHRWRKPYAVASAALAPVLVATTFTSRSAAAASLDHGHSVGILLQ >cds.KYUSt_chr7.27963 pep primary_assembly:MPB_Lper_Kyuss_1697:7:174512048:174516658:-1 gene:KYUSg_chr7.27963 transcript:KYUSt_chr7.27963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-induced A20/AN1 zinc-finger protein, Negative regulation of GA (gibberellin) -mediated cell elongatio [Source: Projected from Oryza sativa (Os08g0504700)] MAQRDNKVEEPTEVHLHAPDIALCANSCGFPANPATNNLCQNCFIAASSTSSTSPPSPSSSSSQPAPFPTLFDKPRAPAASAQPVYVAVDRPAAGPADPKASRSSSVNRCHSCRKRVGLTGFRCRCGEMFCGSHRYSDRHDCSFDYKSAARDAIAKENPVVRAAKIVRCNTDTSFLSSFCGIRQVRARREESGLRGRGQRDSVYTFTAAGRDWPSGQRRADGRPGARRMASGDGASPGLNEEEDEQFARTASIPCLSSLAGPGFDQPS >cds.KYUSt_chr4.51853 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321953976:321956188:-1 gene:KYUSg_chr4.51853 transcript:KYUSt_chr4.51853 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVMEQCWADAAVAGTASAAQVPFLALLQGAMGDGGAGMKREYSAFECRPAASDVDLLESCVTQAMAAPQVADTPAATTRVHAERRRKRPRARPRATPPPEKRKKPEEAECQRMTHIAVERNRRRLMNDHLASLRALIPSDYIPRSDQATVVGGAIDYVKQLEQQLVALQALAAAQRGGGGVTVGTAASAASDGVFVSPQYTSYSESRGIGGGVDVEAMAAVGGHVRVRVAGRRWPGRLVRAVAAMEDLRLAVLHLAVTSVGNDAVVYCFNLKIEDGCEVATADEVATVVHQIFAYAAGACC >cds.KYUSt_chr7.13138 pep primary_assembly:MPB_Lper_Kyuss_1697:7:81057241:81059307:-1 gene:KYUSg_chr7.13138 transcript:KYUSt_chr7.13138 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPGWWSTWGSLAVVVTAACLLLHVAARVADALWWRPRRLEAHFAAQGVRGPPYRFLFGSVREMVALMVDATAKPMSPATSHNALPRVLAFYHYWRKIYGPTFLIWFGPTPRLTVAEPELVREIFLTRAEAFDRYEAHPIVRQLEGDGLVSLHGDKWALHRRVLTPAFYPDNLNRLVPHVGRSVAALAERWRAMACASGAGEVEVDVAEWFQAVTEEAITRATFGRSYDSGRVVFRMQGRLMAFASEAFRKVLVPGYRFLPTKKNRMSWGLDRDIRRGLVRLIGRRSDAANEHETKTTSKGRDGGFKDLLGLMINARDKKSQPMPVQDMVEECKTFFFAGKQTTTNLLTWTTVLLAMHPEWQDRAREEVVAVCGPGELPTKEHLHRLKTLGMILNETLRLYPPAVATIRRAKVDVTLGGGELAVPRDTELLIPIMAMHHDARFWGADAAQFNPARFAGGAARAATHPLAFIPFGLGSRMCVGQNLAILEAKLTLAVLLQRFQFRPSPKYVHAPTVLMLLYPQYGAPVIFRPIVPSPPSDPTTTPAS >cds.KYUSt_chr2.14355 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90835526:90836032:1 gene:KYUSg_chr2.14355 transcript:KYUSt_chr2.14355 gene_biotype:protein_coding transcript_biotype:protein_coding MCVFLPDARDGLAQLTDRISRDPDFVGKHLPTETVSVGEFRLPKFKLDFSAGLNGVLRDLGINEAFQLGKADFSDMVEDDGHGVSLPLEEISHRAVIEVNEEGTEATATTFLRMRGRSIQKPVLVDFLADHPFAFFVMEKLSGAIMFAGHVLDPSTSLMKRHKIDDQA >cds.KYUSt_chr5.35971 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227242144:227242608:1 gene:KYUSg_chr5.35971 transcript:KYUSt_chr5.35971 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEATGGSSSEGAPELTLLGFWTSPHVLRARFALNLKGIPYTYVEEDLFGDNTKGRLLLVHAGKPVAESMVILEYIDEAFPDSLPRLLPSGDPYRRAAARFWAAYVDQKLVPTWIPLHEPRDLRRRNAGALTLASVSRTAAPGATGTGGRRR >cds.KYUSt_chr6.10760 pep primary_assembly:MPB_Lper_Kyuss_1697:6:66667876:66671719:-1 gene:KYUSg_chr6.10760 transcript:KYUSt_chr6.10760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase I precursor (EC 3.4.16.5) (Carboxypeptidase C) [Source: Projected from Oryza sativa (Os12g0257000)] MAATSLLLIVALVLAAGSPRCGAAPQGAQVTRVPGFDGALPSKHYAGYVTVDEQHGRNLFYYLVESQGDPRKDPVVLWLNGGPGCSSFDGFVYEHGPFNFESGGSVGSLPKLHLNPYSWSKVSTMIYLDSPAGVGLSYSKNVSDYNTGDLKTAADSHTFLLKWFQMYPEFLSNPFYIAGESYAGVYVPTLSHEVVKGIQGGAKPTINFKGYMVGNGVCDTVFDGNALVPFAHGMGLISDDIYQEASISCQGNYWNASGNNKCDTALSKIDSLISRLNIYDILEPCYHSKVIKEVIPLNSKLPKSFKDLGVTNKTFPVRTRMLGRAWPLRAPVRDGRVPSWQEVASGVPCMSDEVATAWLDNDSVRSAIHAQSVSSIGPWLLCTDVLDFTHDAGSMISYHKNLTSQGYRAFIFRYTMNL >cds.KYUSt_chr7.38608 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240382730:240383944:-1 gene:KYUSg_chr7.38608 transcript:KYUSt_chr7.38608 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAPTLEVPTDAELLQAQADLWRHSLYYLTSMGLRCAVELEIPTTIHKLGGVASLPDLTSALCLPPVKMPFLGRLMRVLVTSGVFAADDASESGEELYRLTPLSRVLVHGVVADEHHSQKYFVLAVTSSHCAEAAFGLADWFKKDTEAPVPSPFEDMHGVPVFDDRTAVLDKDFDAVSNQGLAAHDNLGIATILRECGDIFKGLQSLTDCCGGDGTTARALVKAYPHLKCTVLDLPKVIVKAPVDGVINYVAGDLFHTVPSSQAVMLKLVLHFWSDEDCVKILAQCRNAIPSREEGGKVIIIEIVVGPSLGPIMFEAQLLMDMLMMVNTRGVQRSENDWSKLFVKAGFTDYKIVKKLGARCVIEVYP >cds.KYUSt_chr1.18984 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111490680:111494608:1 gene:KYUSg_chr1.18984 transcript:KYUSt_chr1.18984 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKIGSSDSGAAASGATAGASGGAPAKSSAAAVGGAPESVTVACPDHLIIADLAVAKSLGAVTNSAVAATRAIGRRSRRPLGERVHICSRCEFPIAIYGRLIPCEHAFCLACARSDSSCYLCDERIQKIQTVKMMEGIFICAAPMCLKSFLKKAEFESHVPEAHANLLQTNPEKEERNEPDAPNNSRASAGDTQRQSQMPEISTARAPPRPGVSPTSSSHMQDREDRSRYHQSREHTPLRPPMLSKPPSFHGRHSYPPGDNQSENNPPQGFDRPYGWAHDSTPGATPLRQESDHGTQDKQQVMPSSPFMFSPMHPHQQNFMMHMNMNQPLMPNASFNYPVQQDGNPQYFSAPFQMQLPDGGLDQGSVSGAEGLQRPWGMGLMGNPSQGVGGMAFMQAGFGMMPDSSMNPGMQGQADRGGGVQEPMVMQMQMSLPPPPPAQPPSAGQQQTFNRP >cds.KYUSt_chr1.11527 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70815161:70815706:-1 gene:KYUSg_chr1.11527 transcript:KYUSt_chr1.11527 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRPLDEPVEHLEPPLELDDMRGLLALLRRGTPGLLQHSEELPGNPVRRRAASPARPQPGAPPPSRPSRPAPPSLPRPQPPAASREAPGDRVCHPLSRRLLPPVLGQARLLLRVRAARPAPPLLARPQPPAASRRASRGPHPPSDWRAREEGAADWGGGEDAGIGEDERMRGLGKWFSPI >cds.KYUSt_chr5.32907 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208648114:208652315:1 gene:KYUSg_chr5.32907 transcript:KYUSt_chr5.32907 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRLAKRYRSDKQSIYIGGTDIPITLTDVKQIMDLPIEGKDIIVHMQQPFDKKLLDAYGSEGKLLISHLEKLIIASKTPDDHFIRTFVLYTIGVILAPTTKGYVKKKYLKIVENVLDIPKFSWGFFTLNNLFSCICKFNEDDDQALQGNLPLLQVWYWEHVRAGSVIYSAIQPPLLARWNEDIAKLRTDAYGSGNLDRGVMDMILQTIDERISHHEKKIGRQLMEIEHKLDAKLIAINEDLIDIKRTNGAQPRLSKAEDELRDVKKELEEMKFLIRTNTQPSASKDTYVQEQVNRGEYDLRPYPRAQASTTMFSDTTARTPSAKHVVPNKECDKRKQPRKPIFDDDYNITDEDMEVALFLRESYDVAEVANIGECVLKVYQLKPCVNKGFFYDQSSSSSEPIPEHVLMAQYEKEAPEHWDDEEWDFTVRVNDDLPLAAVGSDDDLSLTDGEADLQFLVDGELEGESEDDLYFRASFTSYDEEEEEEEDDSSDEYPPAKRFRAWSEDDDDDDEEEEEAPAEGYDSSDEELAGSSADGNYDGDDEAIDGP >cds.KYUSt_chr6.29324 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185794820:185796796:-1 gene:KYUSg_chr6.29324 transcript:KYUSt_chr6.29324 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRIRTRQLLSWSLSVWEVLHRPSLCIEPALIPSKTIPDPDDKKPEDWDERTKIPDPDATKPDDCDEDAPIEIVMRRPPSQKDGWMMSLMKLTILRLLSLKTGMMVTGEDGEWETPKIDNPKCEEAPGCGEWKKPMKQNPAYKGKWHAPMIDNPSYKGIWKPQEIPNPEYFGLHKPDFHPIATIGIEIWTMQDGILFDNIVIADDEKVATSILRRHGSLMMCT >cds.KYUSt_chr1.26524 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159854336:159857103:1 gene:KYUSg_chr1.26524 transcript:KYUSt_chr1.26524 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVERHVAEEEGEDSSSAAAAVAGEEEDTGAQVAPIVRLEEVAVTTGEEDEESLVDMKAKLYRFDKDGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICANHLVISTTKMQEHAGSDKSCVWHAADFADGELKDEMFAIRFGSVENCKKFKDLVDEIAESLAKTEGESEEGSSAAGLLEKLSVSESKPEESAKKEESADAGKETETKTEATPAE >cds.KYUSt_chr1.31889 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193466205:193468247:1 gene:KYUSg_chr1.31889 transcript:KYUSt_chr1.31889 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYFAAFFISLLLLAAPLPPSAAAKVRRHASTAATASYRRISWASNLTLLGSASILPGAAAIALTTNSSDGIGAGRALFSDPVRFLLLPPQQASFSTRFTFRIAPSPSYGDGLAFILTSSRAFLGASNGFLGLYPSSSASDDPDLADVSTVAVELDTHRDVALRDPDANHVALDAGSIFSIASASPGVDLKAGVPITAWIEYRAPRRRLRAWLSYSSSRRPDKPALSVDVDLSGLLRAYMYAGFSASNGEGAALHVVESWTFRTFGFPNSSHASSASPVPSPPPTDQASFKSTTLPLPTNHRHHHHLLYKLLGGVLGSVALLVLLAAISTVLWLGHRKGRSKIEEPAVMFEDKNFRGMLPMEVVRAATNNFGSENVIGIGGSGAIVFEGVLPSGSRVAVKRFQAMRHCSKAFESELRVTLDCPHHPNLVPLLGWCSSEHDLVLVYEFMPNGNLDSALHTKGGATLPWEARFGAVLGVASALTFLHDECEHRILHRDVKSSNVLLDAEFNARLGDFGLARMVSHGGVPLATQPAGTLGYLAPEYVHSGVASERSDVYSFGVLALEVATGRRPTEKGTAVVDWVWSLWGRRRLVDAADQRLQGRFVAEEMRRVLLVGLCCVHPDCRKRPGMRRVVRMLDGTAPMTLVPDKKPPVVLKSPLNQTSSVNTMDTKNTAFYSCR >cds.KYUSt_chr7.8611 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52262243:52265855:1 gene:KYUSg_chr7.8611 transcript:KYUSt_chr7.8611 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHRSVMTRGVDWNGSTANQSAALDHIHGYYKKALDRLPPELIPSLLDAGFCFGFLDPVSNIIANTVSHHLANTVFHELGKGRENKKRSRAGICKNGEKSESRRAAISKIISESSKDVHLIPRPSDRTNAMLLTSSVAARSLRGLVAFLTSYFRYLTTWDALRYLVLSAADLLVAVHLIQEVRRDARTIHDDPTARIALRCAAISALHPAVDALVSRSFLLASRFDEVSNLLLDTRSCFISDSTMERFSVLLTSSTTDMTMQETTPMQQAIARLQHRKTKAVTRLQQRKLENFPVGLQISLKMALLDKIHLLYLEAISRIPEHELRSRHHRGLLKAGHCYGPCSDPVTNIILNTIWYDTMFPPEQHFEVAMICTKSLARVEFLSLCGLVAYICARFPDFSVYEAMRRLVICNARLDSVVEMAKAEGHYEGDMPFSERDAYDTVSRAAHHPSPAAFVQFATTVMPQVGGTLWPTAEGQEYELHFICDVNSTIPEDGVCYCAHSCSYPFSHMNILARRKGSQNDQVPTLFFIQYSNSDEHMQDKAFLCCPISDPSKDAGRCYHCEYDGIKIIHPSSKSYLGRCTDFEEMARGESAVDAQGLAKKGQMNTLFDDTLEYEDSIYFDPAWDVDFRIFIDRTARDQARQEKLKKEQQPGRSVDYSWIDKLVYEDYLTRSPYLMY >cds.KYUSt_chr2.49124 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307500206:307502425:-1 gene:KYUSg_chr2.49124 transcript:KYUSt_chr2.49124 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGLSPLLSSPFVQAGDALIQLYNHFISDFETKINLLKFAHFTVVVSRQYSDKDAAINYLEGVISKLHDTKESRVEEPILYVKMQIANYLLEKGSQKECKKLLDEGKTTLDSMVDVDPSVHSTYYWICSQYYKVCQDYSEFYKNALLYLAYTTVESLSEPFKQNLAFDLSLAALLGDNIYNFGELLAHPIIHSLVGTAVEWIYHMLQAFNSGNLAAYQELCKVHGAALAAQPALAQNESGLLEKINILCLMEIIFSRASQDRTIPLSTIAEQTRLSVEDVEYLLMKSLSAHLIEGIIDEVDGTVHVSWVQPRVLGIDQVKSLRDRLDTWVGKVHTTLLSVEAETPDLVSS >cds.KYUSt_contig_60.426 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2537593:2539217:1 gene:KYUSg_contig_60.426 transcript:KYUSt_contig_60.426 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGIILNMRPDLFKPTVAEVPLVDVLTTMLDPAIPLTAAEWEVSEKEAKSCQATTSRAVNAVVGTGCRSGVLDLMCSWEKMSALLYCKLLMAG >cds.KYUSt_chr4.4223 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24175704:24177374:-1 gene:KYUSg_chr4.4223 transcript:KYUSt_chr4.4223 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRALAAAISLLLIISFVLAVPWYVLIAAAAGQAGEDGMVRVDGTRFVVGDGDRTVYLSGFNAYWLMEMASDPSQRGKVVAAFRQAAAHGLNLARTWAFSDGGDRPLQSSPGVYHEDMFQGLDFVVAEARRHGIYLLLCLTNNFDDFGGKRQYVQWAREDVAGAGAGAGGGHNLTSADDFFNSTLVKSYYKNHVKTVLTRVNTVTGVAYRDDPAIFGWELMNEPRCNAEPTGALVQSWVEEMSPYLKTIDGNHLITAGLEGFYGDGAHESKALNPWSIYYGTNFIATHRAPGIDFATIHLYPDVWLWGTTADEQAAFFRNWTASHVQDTEQHLGMPLLVTEYGKFIWEDQEGGENATTRRDRFLGTVLDVIYESASEGGPLVGGAFWQLLLDGDGMDALKDGYQIVLPEDERAASIISEHSEKMAELSEHDAVEARGRRGRSEPRKICGFGTSWGSCSCMIFLVRFGSLFRSVSSLLGAV >cds.KYUSt_chr4.4598 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26399023:26404124:-1 gene:KYUSg_chr4.4598 transcript:KYUSt_chr4.4598 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLTRAGKRAGEMALNAGGGAINWFPGHMAAASRAIRDRLKLADLVIEVRDSRIPLSSANEDLQPVLSAKKRILALNKKDLANPNIMNMWLDHFESRKQDCISINAHSSNSINQLLGLVELKLREAIMKEPTLLVMVVGVPNVGKSALINAIHRIAISRYPENDKIKRARVGPLPGVTQDIAGYKISSQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLLHRRGEFDEEPCDSSVKGSRESLRRRNRINNSDAVYVQDMVMEVQRTLCSTTMEFTGNLDEDNELESLIDVQLVALRKVFRIPHKPLDESHGAVSKKLLTLFRAGKLGPFILDDLPGQQ >cds.KYUSt_chr3.39185 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246884381:246889424:-1 gene:KYUSg_chr3.39185 transcript:KYUSt_chr3.39185 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAERAGELTRADFPEGFVFGVATSAYQIEGARNEGGKGDSIWDVFADNKEHIIDGSSGEVAVDHYHRYKEDIELMAKLGFRAYRFSISWSRIFPDGLGKEINEEGVAFYNNLIDFMIEKGIQPYATLYHWDLPHNLHKTVGGWLSDKIVGYFALYAEACFANFGDRVKHWITINEPLQTSVNGYGIGIFAPGLCEGVAAEPFLAAHHQILAHASSVDVYRRKFKAVQGGQVGFVIDCEWAEPLSDKMEDQAAAARRIDFQLGWYLDPIYFGDYPESMRQRVGDHLPKFSEKDREFIRNKIDFIGLNHYTSRFIANQPNPQPDEIHFYQVQQMERIDKWSSGEGIGERAASEWLYILPWGIRKTINYVARKYGNPIIYVTENGMDDEDDPSATLDQVLNDTKRVGFFKAYVGAVAEAIKDGADVRGYFAWSFLDNFEWAMGFTKRFGLVYVDYKNGLSRHPKASAIWFSRFLNGEAAYSKPDTN >cds.KYUSt_chr3.26980 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168333799:168336382:1 gene:KYUSg_chr3.26980 transcript:KYUSt_chr3.26980 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLHDMRPRSADITAFLNFGGKDHFFPFCALRGEGVGRLLGRKKKKKMQEASSSSSLPALSSAYQPLPSLYLGFLAIWAASGLSWAFSSWRNRHFQANNLQWILALVPLIKALQMALSFLFWYSCVNLQTCSLWMSFGVYVTGILFQTASFVSFMLISHGYCIMYERLSIRERRTTAGLGCLLYLSLIGYKAAVPYFTGFLLINYFASFYIIFRRTSQCLLVLREQLNFVEEEDIHSLHGTLSTKYTMFKRFQGAMQVAAVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMYIGWNFRIPEASLHLPVMPLMKSNWEIAMPPIYSVISEASSQINGMSEW >cds.KYUSt_chr4.36992 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227468275:227473495:1 gene:KYUSg_chr4.36992 transcript:KYUSt_chr4.36992 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCESSGTNVCPPEGVGIYNPGFWGMNIEEAKVYKVSMYIRSSDSVDLTASLTSSDGLQNLASHTIIADREDFKEWTKVEFDLQSSESYSNSRLQLTTSKSGIVWFDQVSLMPSDTYMGHGYRKDLASMLANLNPKFLKFPGGNYVMGNYLLNAFRWSETVGPWEERPGHFNDVWGYWTDDGLGLFEFLQLAEDIGASPVWVVNDGASRYEQIPPATIAAFVKDVVDGIEFARGDPGTTWGSVRAAMGHPEPFQLNYISMGNQECSMHYYKENYRKFYSAIKASYPDIKIISSCDRSTISPNEPADLYDVHVYTSSGDMFYKSSMFDSTPRGGPKAIVSEYAVTGNDAGRGTLVAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQHYGCPNYWMLHFFKDSSGAALHPSTIQVSNYNQLVASSITWKNSKDGNTYLKIKVLNFGNQVVDLSISITGLENDIQAFGSVKTVLASGSLRDENSFQQPDKVVPVESPITNARKQMSLVLDPYSLTSIDLLLDASTKMHSLLDSNLHSSM >cds.KYUSt_chr5.18564 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120022661:120027793:1 gene:KYUSg_chr5.18564 transcript:KYUSt_chr5.18564 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRAIKLREAHKAGSPAFCSVAWAPGGQHVVTACAAEVAILIHDAAAVSAAGGGGRSSGSAAAAALTTIRLHKDGVTAVALAPGPGGSLASCSIDHSVKFYSFPDGAFQSNVARFTLPIRSLAFNKKGTLLAAAGDDDGIKLIATIDNTISKVLKGHKGSVTGLAFDPLNDYLASVDSFGTVMFWDLCLGSEARTLKRVAPTFGSDHSVKNALCWSPDGQTLAVPGLRNNVVMYDRDTGEEVFMLKGEHEQPVCSLCWSPNGRYLATAGLDRQVLVWDVKSKQDIERHKFDEKICSLAWKPEDNAIVLIDVMGKFGIWESVVPSTMKSPTEGAPDLNKTKAPLFDDDDEEEKPSTSAGWEDEADESLGDSAAFNHKRLRRKATFDDLNGESEDVDMIHETESRKRMKDRHKDNKEAAKKAIDDSATSGRLVTARMQAAFQPGSTPSHPGKRNFLAYNMLGSITTIENEGHSHVEVDFHDTGRGPRVPSMSDYFGFTMAALNESGSVFANPCKGDNNMSTLMYRPFGSWAGNSEWSMRFEGEEVKSVALGAGWVAAVTSSNILRIFTEGGLQMHIISVSGPVVTAAGHGDKLAIVTHASDCLPSGDQVLDVKVFNISEGAQSMSGRLVLTPSSQLSWFGFSETGLLSSYDSKGILRVFSSQFGGSWLPVFSSTKARKSEDESHWVVGLDANNIFCIICKSPESCPQVMPKPVLTILELSFPLASSDLGANSLENEFMTKKLHLSQIQKKIEEVALLGLDTTAFEDEAFNTEAALDRCILRLISTCCNGDKLVRATELAKLLTLEKSMKGALTLVTRLKLPILQEKFSSLLEERMLNDARVGAVCSNATTTNYPPAFHATQPAKIVQNGNNSEASPMATPNPFARRSSAAVSKKAEVEQPNDAKDNNAKVSPAVTPLAKIPKKNDNSEGKAKRERDGIADQVGSKTKSSEDGNQAEPQRPLNPFAKSSSSKEQSSSLLDSIKKMKVESEKADKANVKKVKLLSFVARTL >cds.KYUSt_chr3.6831 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39251896:39252250:-1 gene:KYUSg_chr3.6831 transcript:KYUSt_chr3.6831 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGQSVRSGGQSSAEEPPSFIASLSEEAQRERSVELARRQDLIEKMKQNQYRLLRQAEASGSSGRHAQGPDGSSPVV >cds.KYUSt_chr2.53529 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333908669:333917330:-1 gene:KYUSg_chr2.53529 transcript:KYUSt_chr2.53529 gene_biotype:protein_coding transcript_biotype:protein_coding MSALYEKRRHLFVLLTLTLAAATSAASDVSFYPSAETAAAAHCDGTLYPELCLSTLSTIPDLHKKSLPEVISHTCNRTQTNVAAMASNCSSYFHGRLLSARDSVALSDCMELLDTTMDDLQASISDLQHPEMRPTVDHCMTVLSAGITNQQTCLEGLAYQKGGDVRSYMEPGIRHIARMVSNSLAMAKKLPGAAAPSPSTPSVQQERQPFTGYGQMVKGFPRWVKAGDRRLLQAAPSGINANAVVAKDGSGGYTTVSAAVAAAPTNSNSRYVIYIKAGAYMENVEVGKNQKNLMFIGDGIGKTVIKASRNVVDGSTTFRSATVAVVGNNFLARDLTIENSAGPSKHQAVALRVGADLAAFYRCSFVGYQDTLYVHSLRQFFRECDIYGTIDFVFGNSAVVLQSCNLYARRPMASQSNVFTAQGRTDPNQNTGISIQKCKVAAASDLAAVQSSFRTYLGRPWKQYSRTVYLQSELDSVVDPAGWLEWDGTFALDTLYYGEYQNTGAGASTANRVKWKGYRVISSSSEASTFTVGSFIDGDVWLAGTSIPFSTGL >cds.KYUSt_chr1.31118 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188677612:188678786:1 gene:KYUSg_chr1.31118 transcript:KYUSt_chr1.31118 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGDAPAELHSATRGDDAAAKPLLSPPSAPAAAPTAAAQETLEELDRRYAPYARRDAYGPMGRGPLGPAEAARLAVAAALLLPLRVVAALLLLVAYYLVCRVCTLGVEEERQGAEGPGDGYARLRGWRRDAVVRCGRSLARAMLFVFGFYRIRQDRRGAAAAQSSFVPLFKVLGQPPVSENGSGQSEFISLSSNITD >cds.KYUSt_chr7.2007 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11471550:11476236:-1 gene:KYUSg_chr7.2007 transcript:KYUSt_chr7.2007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRHWSVHMPLAHQPHAPRVGDYRWRAMRQRQYMLVRHATVALFSWCRYFAVFAPTAVSIHESPLNQVSLDLIHAIPRPVILTITTWTTDKLIRVRLHMYESCSLETTKAEAVVLDFDDAVKQVIELLRESDDATEGKIKAFILSGGWNFEGLGACAIIRAIAKLLKSTICDDSDMRNHFGKIFHVDCSLWKNKRTMQRAIAEELNLRHVMPIFDKQDEDDDFRGVEDSSREEISSIGHLINESLRNEKFLMIFHYGGVGDIDLAGFGIPIFGKGKLLCTDEVRFQVTRTAKKLMPSFANIFIYPLFTDGGFPRRALHEEAVGVIGDIGMEGINLAIVLDCFFYSLILTAQLPENSIGVDNGWATHACNYWICDGILGEERAWEIGNALYRVMTPLGYSSDGTRRLLRGLDIQETEQNVCWWYPAISNKLGAKNICNVPDCATSYFLTFQGDDPVYVRNDLFELASRNLHVLKLCNCRFDFASPPFQSCHNLRFLWLHNCANTEKEKSGVPIFSNLLVFDLRFTDYVMLPHMVELMTNLRELNTKGISWKTMSHAWKRLQKLHKLRVTESSDVVTVDSCSSIDMLNLELLDMSGNTHMESLPTLVSSARSLKMLVLDGCSSLQNVVLEGVPLLESFSFDGYGPVKNWIHSIQLPQKELRLKSPIAPVEIVQVTKISLQGCGRLQNIFLRALPNLEELDLSGTAIKMLTFPEMYVLNLKKLFLMGCEQLRSLDWGYEIHALEVLQVDTQKEARSVVCCGEQGSFGLQACIAFSDGRFIWSAIQALLFRFVRENCKAYLLISSMSHSQANITVSVKGIGSSQEGLFRTIPLLPYNNITLTEDVACLSLAWNQRQLQTLDVHMEIGEGSYNLESMQDNKYFRDLVGRVQSLHVHDNSSIMAIPPTDEGSWDMLVWCHVERCPRLHTLFYCQRGERLSFEHIRTFSASDLLVAYCIWARGSAFGFQQLQHIYLYNCPRLVFVLPISFTLPNLETLHMAYCSSLRHVFPLDDKCPEEIASGVTFKNLKHIKLYHLHNLEQICEARLTAPALQTISLRDCWGVRRLPAIAPQGPKPVVDCEKDWWNKLEWDGLDAGHDPSLFETRHSAYYKKTLPRVSFLRSHIDFLYAFTSIEKVA >cds.KYUSt_chr1.34447 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209709705:209717316:1 gene:KYUSg_chr1.34447 transcript:KYUSt_chr1.34447 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHCSVPGFGGGTPMPFTAIFQPPLLGRRMSGGTGYRAWYSAHAFGTVRLPRPKPFAAAILREDEVDIVWNGEEKIGGEKVWERVGKETLKAGDHIYSWRAAWVYAHHGIYVGDDKVIHFTRGRDQEVGTGTVIDYLLVSSGPARSTTPCSVCSSNEATAATETNGVTSSCLSCFLSGGALYRFEYAVNPALFLAKARGGTCTLAATDPDEVVVRRANYLLSNGFRCYSLFKNNCEDFAIYCKTGLLVAEQGSVGLGQSGQAVSIIGGPLAAVVSTPFRLVTTNIYGMVVMAVGVYCVSRYAGDIGNRRDVLKLEVEDLTAGLASGRIRPANISQLATPGQVQAPAVTTLVAA >cds.KYUSt_chr1.20542 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121255655:121256549:1 gene:KYUSg_chr1.20542 transcript:KYUSt_chr1.20542 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRHGGGDLRLATVLDSRRSFATSGRRRGANEDFVTRFRENRGSSSSSIISTGDAARPASLGRPQQGGDRAPLPFFTWYWARLAIGSVVAATAPFVHSKWASFLRIQSEVEMVKDMAETAAEVVEDVAAAAEKVSAEVSGQLPEDGRLRHAAVLVEHASHEVAEEARLAQDIIHKGDGMDQILWMRRSTFR >cds.KYUSt_chr1.30393 pep primary_assembly:MPB_Lper_Kyuss_1697:1:184010138:184016686:-1 gene:KYUSg_chr1.30393 transcript:KYUSt_chr1.30393 gene_biotype:protein_coding transcript_biotype:protein_coding MNALISAALWVVGKALAPVANGVLGDWDNSKNLGLNVEALGTELLLVKATLETASRKHIGGQAMEELLWKLRDSASCAEDLLDELDYFRIHDELHDTYDAADHHAKGGIHDLALNAHHTARAVLGLSSAAAPAEPGQVLEDARQRVGCCYWPRARQRSHGSSSAVPNANQADEEKVSGCMPKLGKLLPHSSSPHVHDDNSGQSTLYGPPQIEHSQETPFLGFNRVDFSERMKHIVEQLQPVRREVTKILQSCDRITVPDIAHSRPITTGQSIEPKLYGRDNIVNSIIYDMTKGKYRIKDLTVLPIVGPGGIGKTTLIQHIYHNKEVQNHFQVVIWVCVSLSFNLNKLLEDIKKYLPPVEGEKGYRPEELIEKRLKSKRFLIVLDDMWECSNEDDWERLLLPLKTSQEKGSMILVTTRFPAIAQMVGTNDHSIELEGLESKYFRELFHSFVFGDDQRRRDHGFLLETGDKIMVKLKGSPLAAKTVGRLLRKDLNLRHWRRILESKEWETQTGVNDIMPALKLSYDYLPFQLQQCFLYSALFPEDFKFSGGYLINFWIGLDILQSDAQNRTFEDIALSNLNDLVAHGFFIEGIYDSPWYVMHDLLHDLALQVASRDCISLHRSNVGSVYIQASIRHLSIIIDDDDDTVSRENFNSQLRKLKTRLKVKQLHTLMFFGEIDESFVNTLGDLFGEAKALRFLHLVNMPSSVESVLNNFSELVHLRYLCLGTKYGRDMHLPLAISRFYHLRILDLGQWYGCCDLPKDMSNLAKLRHFYAPSDELHSDMLNVGKLVLLEELKVFRANKESEGFEPRQLEHLTELRELGIYNLENIHTREEAAKVKLIEKNYLERLTLDWDSERCYTEPGVEAVVLESLQPHRYLEELCIRGHGGPSCPTWLGDNLAVEALQSLHLVGVSWKCLPSLGKMHGLDTLKLYNIALMKEFVIEQSFCRLIRLELVGLGSFENWVPSQEAHMFPLLQLLIIRGCPKLLGLPLSNHIVSPKPDQDGTIDWFPKLQNLIIKKCPEFLAPYIPWTKTLRSVKLGGVQILEKFQYSSESSDVSIIGKDDLQSLDQVLAFNNLTGLEQLTLRECPPLESKHLRMLTSLKKLDADSLYGLVGPLGEGDVEWQLPVECLVVREYRGASGKELTELLTHLPRLSILGIRSCTKITQLAVGVDVQQHTTSAAASEVKEGGRTMSATSEVEEDGLLLLPAHLSDSLRELYIDGCRELVLMDPSTSLPARGGGQRGLQTLRSLQSLHVIYSPKFLSAISSFSCCLFPSSLQNLSLNGVGGMGMLDPLSNLTSLTQLELCPRFFDGWDANPRQLLLQDDGGEVQFVPPPAVCSSKLQMLSTDDVMGLLAAPICSFLSPSLTYLSLYGNGEMERFTEEQEDALHLLASLQQLKFNYFGKLQHLPGGLHKLTNLKRRLSCLHHSLCIWKLRFEDQKIIVDNYWKDLPSRTELWYQVLVYASFLLACYCRNGQRLLDNDIMLLICSCLKLCLLLS >cds.KYUSt_chr3.11057 pep primary_assembly:MPB_Lper_Kyuss_1697:3:65859206:65859589:1 gene:KYUSg_chr3.11057 transcript:KYUSt_chr3.11057 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSCGAAKTPFAPDAKEQHEGEARPPKQYTPELLAFVWAQIEDGERLADMEDKDVVQEYLRAGKLHTYDPDKEAEKRFGRVAKLHPWAWPKHMVEQIEQCIKYLDEDEDDYRMGLYSLLGDEIRD >cds.KYUSt_chr3.47596 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298435045:298436702:1 gene:KYUSg_chr3.47596 transcript:KYUSt_chr3.47596 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTTSQSQSHYYFLYLWALVSLAFALAAYRRFLTAARANSDLRLPPGPWQLPVIGSLHHLVGQLPHRAMRDLARRHGPVMLLQLGELPTVVVSTPDAAREVMRTQDLTFASRPLTASMRVLTNDGRDIAFAPYGEYWRQLRKIAVTELLTARRVLSFRAIREEEVAAMLRQVGSAATAARPVEMRAHLTALVSNATFRAVMGDRCKQRDVFLRVVDEVMQLSTGFNPADMWPSWSWLAGRLSNDMRRAKECHATLYDMFGGIIEEHLERMEGGGDGNGEAMDLLEVLLKIHKDGGVDMVAIKAVIFDVFIAGSESSATTLEWALAELIKNPEAMKKATAEVRQAFDAGGRVVEDRLGELTYLHLVIREALRLHPPLPLLLPRLCREPCKVLGFDVPRGTQVIVNAWALGRDEQHWPDATEEFRPERFEAGTRAATVDFRGADFELLPFGAGRRMCPGIAFGLASVELPLASLLLHFDWEAPDVSNPNEFDMTEAFGATARRNANLLLRPSLRVPLPTPPAQGV >cds.KYUSt_chr5.41446 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261546100:261557753:-1 gene:KYUSg_chr5.41446 transcript:KYUSt_chr5.41446 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQIILQLVMSVLLGDHMAKRDMRIARCLSSLASSLVAATTVRSSSMSADMTSSSSESIALANSRTPRVQCGSVVEIWRRPARGSSRTTAAVEARLLELKEMENTTLNRLHHTSGATSQFEFALLEQITDNFSKDRVIGRGAYGVVYKGVMDNGETIAVKKLSYMPPDHVSGKQFHNECTNLMRVQHQNIVRLVGYCNETHHKSIELTGELVFCKENKRALCFEYLQGGSLDKHVSGNMSTFCFHFVGITNIANREWKEGGNQVDALMKGDLMEAPVCALVDAMFRLPRKLNALLVCHGHMLPRGAADEISLIKQDLEKMVAILQEHGESRVQDHAMMVKCLTKEARELTYDMEDSVDQYENAAGTRRWILSPFRKKYKITRRREKTIRLPEKLKWRLWMASKIREFSMRSQEALQRYSLFNHPGGNGISSSTASTSTRCDPSFGSWLPTPYGEPVGIIAPLKKLEEWLDKNGEQKFKVVSVVGSGGVGKTTLANELYRRISGQFECRAFVRTSRKPDVRRLLISMLSQVRPHQSPHSWKMHNLIADIRTHLQDKRYLIVIDDIWDMQTWDIINRALPDGNLCSGVLITTEVEDVALRCCGYDSKYVFTMKPLGHDDSSKLFFNTAFGPKYECPSELSEVANNIIRKCAGLPLATVIVANILVSQMGKHEQWDYVNKSLGYGLRTNPNPEGMKQVLNLSYNSLPEYLKPCVMYLSVYEEDYIVQKDDMVKQWIAEGFIRAIEEKNKEEISRSYFDELISSRMIQPVHVNDNDDVLSCSVHYMVLDFVTHKSIEENFVTALDHCQTTAIVADKVRRLSLHFGNAEATSPTNMGLSQVRTLAFFGVFKCLPSIMDFGLLQVLILHVWVDDESISFDLTRISELFRLRYFHVTCNATLEVPQTRMRGLKYLETLKIDARVSEVPSDIVYLPSLLHLSLPAETNLPKGIARMTSLCTLGYFDLSVNSTENVQSISKLTNMQDLRLSCSTVPSSHLKSKMDIMCSVIAKLCDLRSLILEPSSVLAFGSSNMSISCEGMTSPPAFLRRFEWLPQICTFSSLPKWIGHLVKICILKIGVTELVRNDVDLLRGLPALAVLSLYVRTNPIERIVFSKTGFSVLKYFNFQCSIPWLEFQVGAMPNLRTLKLGFDAHGENQHGTMPVGIEHLSGLKEISAKIGGAGADDPDRTAAESALSCAIKIHPARPTFNIQCVDRMFTGQDDNNGGVQEEENMTLEKQYEIMEEDSIEQHEILHSDSGALAGGSQLVRQYRGVRKRPWGRFAAEIRDPARKQRLWLGTFDTAVEAARAYDVAALHYRGRSARLNFPEVGVRVDTLHSGGGRPPVAPCPPSTAMSKSQVTYEEISGSVTGFREAARGSGSVTGVREVARGSGSTTGSGSGLVSPAMSESVVTYNMASGSTAGSWSAPPEADTSSTTDDLLDLNLTL >cds.KYUSt_chr3.42247 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266978013:266978360:1 gene:KYUSg_chr3.42247 transcript:KYUSt_chr3.42247 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRGSILAGRHVLMYLRASRSTPASSAISRMPPQNEAASAVCGLAQDPSREVRRRQRRYGAVPSRRDAYDQDSADSIDCEGQWPMCSMSGRSSVARCHAVGVSSERQFVETN >cds.KYUSt_chr6.25033 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158582626:158582988:1 gene:KYUSg_chr6.25033 transcript:KYUSt_chr6.25033 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIFRRFSLVAAADSSTTASAVAAYRQLRAPKQAPPSGRARVPQGHVPVCVGEEGGPVERFAVRADLLGRPAFAALLTRAAQEYGYGHPGALRIPCSVADFRRLLLRLSSDDPSAAAEC >cds.KYUSt_chr2.18267 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115093443:115101614:-1 gene:KYUSg_chr2.18267 transcript:KYUSt_chr2.18267 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRAFYKASGVCWRSITSAATAGRGVGIAVAISCAPSGEDEDDDPHLVFIHSEGVRACVGLCFELGQAAYWAVLLGCCGSVRGHELGDPWMFNPWTGHPTRAGHSQHMASSQTQEWQQRAWRAPAPGLLVPRPASAPQAYAAYAPATGFTNVQQQHAPSPFNMQQQNQLPNVQQQHQLDPALVAALNNMHFPNNEWYMDSGASSHMVSDPDCLNRLEEDNDNPEGAEIIGYEEPDLSGGVDGVDYLIVYGTGEASGGEQA >cds.KYUSt_chr3.45313 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285529052:285530524:-1 gene:KYUSg_chr3.45313 transcript:KYUSt_chr3.45313 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRVISLLASRCSNQEQSTDDKLQKISRMLIRIHSVVEEAKGRHITNDVALDWLSDLDDGVWQGRYLLDTVRRRDTEHEEDEHGDGEEVQPFSLSLFNPAKRVRVAASTMRCVLSGRSASLDEIHRVLENLQGLSGDLREFLMLLQGCNRIRRPLATNIFVDNGQMFGRHVERERIINFLLHDDYRPSPSKEKLPLLPIVGDIGVGKTTLVQHVCDDARLRSRFPLIMLFDFSSTYAIALGEAAVVLKSKHVIGGSGNLKHPLHVLNESFRSKRFLMVFEDVDMHKKKMLEELLPSLRRHGKQGSKIIVTTNNMRVAASMGTVAPIRLKVLPHPEYWFFFKGHAFASTDVEENPRLLAVGRAIAMKLNGSFFGAKIVGGVLRAHPDLRFWCKILRSDIGGLSLLGDGLGYIADLAENLLPSHVSMRQLIITKKPFRSTQPKFAMFHDMFLPSPDGAPESCSADVGNAKVLLCRSVLPFYCVYYTMLIAP >cds.KYUSt_chr1.3051 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18321592:18321807:1 gene:KYUSg_chr1.3051 transcript:KYUSt_chr1.3051 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSSYIARRRRRSRISPPDARPAPRSSVLRDTTVAPAREEDDLIELMAPLKAGDRWAVPVMVADEAVQV >cds.KYUSt_contig_97-2.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:71798:88233:1 gene:KYUSg_contig_97-2.18 transcript:KYUSt_contig_97-2.18 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSRISPEAGMGELQTVSWYQFLPVEPDYSATSERSSKAEQKDALNSTVLSAYLHLQSEGLLSTWTNSFVGPWDPSQGEHNPDEKIKLWLFLSGRHSSVPEMTHPAVAKLRVISSGLWVAPGNSEEVAAALCQALRNSLERALKGLSYARFGDVFTKYNPPTRNENSFRRAQPTVEFVFAATEEAIFVHVVISARHMRNLSSDDIEKVLTHSPRSVAEGLPVIVAPSGMLGRLVGCCPSDLARQVYSSKLSAPNLPGFTQPTICQLRGQSYYVEVALGFPATSTDKISEPENNQIKKELDSVKDPHLGVDGQQRLESADSLPVLEKTFIFPPEAVMVPMIHQAFVRFSSKRMWSQSWMGSSSWEAWPFWNFSPSSYFRNSSFLGSSRGLGVNSNFLRLRRKRNSNSDGMASSISSVSSTSNGSGHAIVAKGGDLLADADSTARHHSDLPLNNDVAGSKMVSKRSRSEITEVSSHTGKEVAENIQGSNGQGGRSWGWDEEGVVMDINILLSEFGDFSDFFQEDELDFGEPPGTAESHALVTPASDYGDVTFTDSPSTAMDIPEQRLSPVGFTSLEAFNHPTMSPIQDVASKVQEPLKEIASPAGSQSLVLSSGKFDYLTRAEATLTFAPEYAAVDVSGGEMTTTLFTNPYLPGSKKRGSCGFSSRVYSYNVAQSSQTEPAGDKSGKVAPANLSRDVGLSNLYTLVQGSKKVSEKRLNNMEEQSCKGETSVPVSSETSFSSSLTSQKKSDSMINVGFFLLSMKTALATEMECITFQAAMCRIRHTLLSLRTKASAELKGALSSVTQTESSSKLDLVPKYEMKRKENIPARLSGDADHEMYDRSVIENVGVWRPVAPKGAKALESLSAKTFTGASPSSSGQRQPIVDLLSAMALLVQQSTSFVDIALDMDDGDGSFFWLSLDEQRRRGFSCDPSMVHAGCGGLLGTCHSKDCAGVDLVDPLSAEVSESSMIGLLQSDIKVALKTAFANMDGPLSVIDWCRGRSNIAESAAMGDAYTFQYTPGDTRESSSSIPIGGDATSPAQSSSDRGTSDLEHHKGYHRVRPTIAVLPSPSLLVGYQDDWLKTSPNCLKLWEKAPLEPYASPKPVTYYALCPDIDMLTSAATDFFMQLGTIYEVCKLGTHSPQHNGGQMEQSPGKYLPSGLVLVECPDEVKTSGSHTISVSSVTEYLQALSKSWSVKSFVTSLARIIKDIQLTSSFSTNQKESSNIPCTVVYVVCPFPEPSAVLQTLVECSVALGSILSPDRERKSFLYAEVAKALNCNASADEASASNVVMLSGFSMPKLVLQIVTVETLLRLHKPNELTAFKDIAFTVYNKARRIPRFVSTNDMFQSPTYMGRPQSTMMHTTSPGRTLWKECLVPPRMSAPTLSREAEFDASMRSVSWDNSWQPGRAAVGLPDPSKIPDLCAQDDRKYAFEPLFILAEPGSVDCNDMESSRSGVDASSNRVYSSISGGTDSGASPLPEGSENDGATSLHCCYGWTEDWRWLVCIWTDSRGELLDSLIFPFGGISSRQDTKVLQSLFIQILQQACQIMSSSPEASNTRPRDVTITRIGGFLELEIQEWQKAIYSFGGNEVKKWPVHLRRSIPDGIPSNSNGPTLQQQDMGLIQDRNMPSSPNTLYNPHSKSSFTKGQPGSKKQILVEQTGMDNSRGSLHLVRSISLVAVSQDNSLHLACQADLLARPATGDGIQSSNQPSSYLEGFSPVKSIGSMSASYLLVPSPSMRYLSPTTLQLPTCLTSESPPLAHLLHSKGTATPLAMGYVVSKAIPPVRKNSAQLTKEDKHSVLSVSIVDYYGGSTATVQDKMSRGSKQARNMAHEMSARDYETDMHNVLESVAAELHALSWMTVSPVYAERRSALPFHCDMVLRLRRLLHYADRHLSQPTVKGET >cds.KYUSt_chr2.5338 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33114279:33115113:1 gene:KYUSg_chr2.5338 transcript:KYUSt_chr2.5338 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNDHLKVLRALTPAFYIKRVDQASIIGGAIEFIKELHTVLEALEAKKKRRLCSPTPSPSPRSLLSCSTPTSAGVGSSSDVSPSSNGSNNSSSSALAPFSLGAVKELAACCNSAAADVEARISGANVMLRTLSGRIPGQAAKIVALLESLHLEVLQVNISTMDDTVLHSFVLKIGLECQLSVEDLAFEVQQTFLCYQEPDPNSDFSMCYPSMAI >cds.KYUSt_chr7.3042 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18075973:18076983:1 gene:KYUSg_chr7.3042 transcript:KYUSt_chr7.3042 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDLDFILDRSPVLETLCVGENMFKIPLRLVSQSLRCVQIVGCSFEEISVVHAPCLERFIYSGGWGFGAASNKMSIGHAPKLQLLGVIKVGAKASPSIMVPSVRILALEVCFGVRNDVKMIPTMLRCFPNIETLHLMSAEADQPSGKVNLKFWNESGTIECIRSCIKQLVFHYFKWGRSELAFLKFFLGSALVLKEAVLVIAHTSFTSEEDLHSNVAPLGSMKRASAGSSITVFINPKPEGDNMRRYKRSSEFSVGDPFAI >cds.KYUSt_chr5.19906 pep primary_assembly:MPB_Lper_Kyuss_1697:5:129151760:129152182:1 gene:KYUSg_chr5.19906 transcript:KYUSt_chr5.19906 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSNSGTAQLLLPLFLYAGCFILSIALGTPWQVAAAASAISFSFDFSNSSSYDGHDLRFEGDANVHGGNLVSLTCNSNVSRNCTGRMSYGHPVPFYHTATGEVASFATQFTFTIVPPPQGLPKGDGNMAWLSSSQVTIH >cds.KYUSt_chr2.44964 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280108991:280110205:1 gene:KYUSg_chr2.44964 transcript:KYUSt_chr2.44964 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVRLKWVKNRGLDHLIARTTSIRSSCLLLDYLARQPSPPVPARALARFQKPLGLTFPVLRFLRRHPTLFAEQPHPRFPSLPAFSLTPASDILLARLARASAADSHLRLARLLLLTRSRSLPLASILPLRFDLGLPYDFASAFPSAHPDLFTVSNNHISLSTSHLPEDIAVSSLQRRHAEAITGATYRAMSRSPSSSLAPLAFPMRFPRGYGGMKKVKAWMEDFHRLPYISPYDDASGIDPDSDIYEKRNIGLLHELLGLMVHKMVRRNAIRLLREELGLPHKFTRLFTRYPGVFYLSLKCKTTTLVLREGYERGKLVEQHPLAAVRDKVHYVMRTGVLYRGKGLSKLVLDEDDDEEGALDGDKEFQGYGMDEDADVECFGMEIVDDDGSGIEDDDEHDGYDD >cds.KYUSt_chr7.20244 pep primary_assembly:MPB_Lper_Kyuss_1697:7:125555710:125568151:1 gene:KYUSg_chr7.20244 transcript:KYUSt_chr7.20244 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYPTARTHAAIALTERPRCIRPGCGLECRRRLGRDPRPVSGSQQRRQWKFASIKMLGDKQRRSSRVPLTSNKLTRTLESRYGSCLGYLEKLKSNLLLDIHLHEHLEVLYKDIRHKAIIQYTFPFISVDLNTMAVAFKTTVSLLEKELAALITDNKIQGSSDSGKKKFRSMYREVQKGFENSYSRTDGWTGRREKRLLHETVFGSWTCAMPDEKKHRVEEVSQFDGRFEDESQFDAPVDGTDDEPFIDEGPEIIQHIEGGRGPSTQLCVEVDARPEIIRGVRVVSRPTSPDAPVDGTDDEPFIDEGPAPEIIQPTEGGRGPSTHLCVEVDARSEIIRASG >cds.KYUSt_chr6.2892 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17022695:17024440:-1 gene:KYUSg_chr6.2892 transcript:KYUSt_chr6.2892 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVISSPGGPEALEVREVEDLPAPGDGEVLVKVAAAGVNRADTVQRQGKYPPPPGASLYPGLECSGTILALGPNVPSRWAVGHQVCALLTGGGYAEKVVVPAGQLLPVPEGVSLTDAAGLPEVACTVWSTVFMTSHLSPGESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAACKDLGADVCINYKTEDFVARIKEETDGKGVDVILDNIGGSYLQRNLNSLAVDGRLFIIGFMGGVVTEVNLAVMLARRLTVQAAGLRNRNLANKAQIVSEVEKNVWPAVVLGKVKPVVYKTFPLSEAAESHKLMETSSHIGKILLIP >cds.KYUSt_chr1.4153 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25357705:25358836:1 gene:KYUSg_chr1.4153 transcript:KYUSt_chr1.4153 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLSTRAILLSLFLGLAVFTGKPVATHGGGTKDAVADKVRKIVATAIQDNPGVGPALIRLLFHDCWVQGCDGSVLLDGSKTEKAAKNNIGLDGFAVIDEIKAKVGEDVSCADIVVLAARDATFIVSRGKIDYNVTMGRMDGVKSSAAAADAVLPPSTFNITQLNANFAAKGFNTRELVALSGAHAVGVAHRSSFQDRLDNATATPIVPKYRKALTDDVEKQKKLQGTQDPIEPNNIRDKELAFRNASGYDDTGVDTSKAARGVLDNSYYHANLQNKVLFRSDWELRNDTTGVAGGVMATFEANANKWFLQFGNAMAKLSKLPAEGTRFEIRKNCRKNN >cds.KYUSt_chr6.25346 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160573237:160573803:1 gene:KYUSg_chr6.25346 transcript:KYUSt_chr6.25346 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIMELDAVADGEPATPAAPTATGVVRVEKVRGRSAVTRCFAKYPLKIIVPSKVGSASSGAVWLYSLTYGGGIVSGDRISCTVSVGDGCTAAMTTQASTKVIILPVMFLVVNWTGQSGRFVSVAGD >cds.KYUSt_chr6.6829 pep primary_assembly:MPB_Lper_Kyuss_1697:6:41214329:41221133:-1 gene:KYUSg_chr6.6829 transcript:KYUSt_chr6.6829 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRIVAATVMLAAIVSSSDAKTTIEPCSGSDSCPALLGYTLYADMKVSEVAALFATDPSALLAANALDFAAPGAAHRILPAGLLLRVPARCACADGVRKSVAVRYAARPADTLATLADVVFAGLASADQIRGANGLADADPDAPLDAGQPLVVPLPCVCFNSSDSNLPAVYLSYVVQVGDTVPAIAAGYETTVTDVMNVNAMGSPVAAPGDILAIPLPACASSFAKSASDHGLLVANGTYALTAGNCVQCSCGPGNLNLYCTPASLSGSCPSTQCSNSNVLLGNASTHATSAGCNVSSCSYGGFVNGTIKTLLNTGLQPKCPAGFKGGKYKDLFDKIVYAHTLAEYNTSMENLKAYNEDAWKWVKAIPKEHISRHAFRTNSKTDLVVNNLSEVFNKYILDYRDKPIVTMSELTRTRLMTRKHLKRTGVDGVGWEITPVMSEKLESAKRRSNYDNKSYEVNLLNRTCGCFTFQLTGVPCHHACAAIFKEKEKPENYVHWFFKKDAYRAAYAGIIHPVPHQEEWVKTSSSYIDPPSYTIPIGRPKKNRRNLHQELRKDLSNVATVEIQPENRNIDHTEEHSDLESLTRRSITPMPTILLESARLAQVHGNIIHAKLRPEWSALSPLLSEQESKSKS >cds.KYUSt_chr1.24472 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146161904:146169662:-1 gene:KYUSg_chr1.24472 transcript:KYUSt_chr1.24472 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKKSSHQSAPTTILALSDDLLREVLLRLPSLPTLVRAAFACPAFLRAVRSSPAFRRRFRDLHSPTILGAFLVDDEPTTPTFAPVRLRGRRSDPDHAAALRGLDVFLTRLPDAIGVKKDDAEDAEDDDEDVQDEEDDDDEEDDADEDEDDEEDAIGVKKDDAEDEDEDVQDEEDGDDEEDDEPPMPTFAPMLLKKVAQDEDEDEDEGWSMLGCRDGYVLLVTPRWNTKKVAVYDPLTGALHLFPGPPDEVFSADPEIEYTVAEFHVIPSEADDRSFRVLCVPKEDGGKQIAVLSPDTREWQISPTPWSLQDADNVKLVRNGLVYWACYSDQHDYIPVLNTATMQFSQTGMPPTVPSCVLGETKDGKLCLARAHDGNLELMVWFLRAGRDGIDKWMKNGTFQMVNAMDKLTLNIIDECPSLNVVAIVGGFVYLTIHQNGPPNWLLSFCIETRELQKLCRITTSEFCYPYIMAWPPSLLPRKKMVYSVNAKKLAYPNNISVVSNMLGIVSQKVLMARSDYVKLGRRNRAAKQGLSDLGDDLLCEVFLHLPSLPSLVRAALACPAFLRAVRSSPAFRRRFRDLHPPPLLGVFLDIHDPSSIPVFVPTRRHSDRDHAAAIRGADVFFTLLPEDDNDVDPQWSMEYCGDGFSLLVNWKIEKMAVYNPLTRSLDLFPVPSDEICRAMYVEFYMLASEDNNGPFHVVSVSCRMPGAQAAVFSSDTREWKVFPFSENVNCSLDGTLVNGSVYWTFTIGPNVDVLNTATLQFSQIELPLHMEGQVLFEPGETKDGKLCLVSAVKLMLFVWVWRPDNNNSNSVDRWVLDKTFSLQDDTDNISRYCLYDDVRLNVVAIIGGFVYFSTFCGSRPNSCHFMCFCLETEELSKLCTVSHSDISYPYIMAFPPSLVCTKVIPQLEGA >cds.KYUSt_chr1.40153 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245922752:245925764:-1 gene:KYUSg_chr1.40153 transcript:KYUSt_chr1.40153 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVLTFPTNLQLQSAEEMGFFSEYGDASRYKIQEIIGKGSYGVVCSAIDQHTGDKVAIKKISNIFDHLSDAARILREIKLLRLLRHPDIVQIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHYQFFIYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDTWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGSPSMETISRIRNEKARRYLSSMRRKQPVPFSQKFPNADPSALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPISKMEFDFEMRKFTKEDVKELIFREILEYHPQLLKNYVNGSEKTNFLYPSAVDNFRRQFANLEEDGGKSGAAERKHVSLPRTTTVHSTPIPPTNGPVSQVPQRIPTARPGRVIASAPTENASVADRHIGRRVARDPVVPPAAASYHLKSDCSDRQHQQELEKDRARYRPLHHFRDAKVAPEMAPDIRSSPYYIPPFSGIAAGYSKVAAVTRMY >cds.KYUSt_chr3.45175 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284602674:284608136:-1 gene:KYUSg_chr3.45175 transcript:KYUSt_chr3.45175 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSADCRAPELYLALLQLDDSEAARTSPCALPELRLALLQLDGEVETTQGSQEVNMVAASNGNDGNDDANNGEKNHGGGNAMDMDPKGVEEGTTSNNNDREGTSENNGVEGMQEQSELGAIQIGTFNLPITPTVILTSAKNSDMLASLPILGLSQVADAATAAELPLADMQLSAQWPAAGVQTGQHSTAVDRPRGDGAQRARQSVELQATSVQETGLPVGTHAPGGD >cds.KYUSt_chr2.6003 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37350007:37362205:1 gene:KYUSg_chr2.6003 transcript:KYUSt_chr2.6003 gene_biotype:protein_coding transcript_biotype:protein_coding GNLNWMRSREATIQSPVWRGRENEIRPFGDPKASDSANLDNAAELLLRSGRSPAEAMMMLVPEAYKNHPTLSIKYPEAIDFYEYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDGFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKKVASANPYGDWLQQSTRSIKPVNFQSSPVMDNETVMRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPENADQVTLSSPVLNEGELDSLLNDPKLKPKVLSTYFNIRKGLDGSLDKAINALCEEADAAVRSGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSKKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAQRLENFGFIQSRPGGEFHANNPEMSKLLHKAIRERSDNAYTIYQQHLASRPVNVLRDLVELKSERPPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLADVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQINPKAKVSVKLVAEAGIGTVASGVSKANADVIQISGHDGGTGASPISSIKHAGGPWELGLTETHQTLIQNGLRERVVLRVDGGFRSGLDVLLAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGYEKLDDIIGHTDLLKPKHISLVKTQHIDLGYLLMNAGLPKWSSSQIRSQDVHSNGPVLDETILADPEVIDAIENEKEVSKTYPIYNVDRAVCGRVAGAIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNVRLVGEANDYVGKGMAGGELVVVPVDDTGFVPEDAAIVGNTCLYGATGGQVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKINKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSAKGAKILSEWEAYLPLFWQLVPPSEEDSPEACAEFERVLARQATAVKSAK >cds.KYUSt_chr7.18166 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112706835:112707176:-1 gene:KYUSg_chr7.18166 transcript:KYUSt_chr7.18166 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHAAASTSSGNGGSGRYFPARSTAAAKQRRAPAPAGSGGKIDGGDGYFGAEAAVVLALMTAALLVLPLLLPPLPPPPPLFLLVPVAILAVLLLLVLLPSDARGLRVAPSYL >cds.KYUSt_chr5.14534 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94249691:94257917:-1 gene:KYUSg_chr5.14534 transcript:KYUSt_chr5.14534 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSPKRADEVGFEGDLDLVISLLELVGRLLVDRKLGKGKIDDEDVTFQRMVAKMQDVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKISSF >cds.KYUSt_chr5.30748 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194903174:194904502:-1 gene:KYUSg_chr5.30748 transcript:KYUSt_chr5.30748 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATLSVLLLLVAGVYTVTAQGRGGAPGALRPQVVDVGVILDRKTWVGNISWTCIELAMEDFYASPRHAGYSTRLKLHLRDTGLDAVSAAAAGELSSCPKRLDGPNKRTKMALYGKKEQRSAPLPPKPNGQNKRMGAHDRIPPCPANSRQYETITLAVVPSGTQHPGQIEKDMPQAGLHLCTTATLGSPPLFRSCPTPPTIPG >cds.KYUSt_contig_5955.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001190.1:17035:19796:1 gene:KYUSg_contig_5955.3 transcript:KYUSt_contig_5955.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHLHVFLGSVVHPAETARGGDGGGIFSRAAEACVQGARRSGDGGHAHARRHPPLALPPTPTSVADAMSRVRAKGKTAFIPYITAGDPDLATTADALRLLDGLGADVIELGMPFSDPSADGAVIQASAKRALAAGATTNAVMAMLKEVTPELSCPVVIFSYFNPIVRRGMASFATAAKEAGVKGLIIPDLPYDEIRAFRKEAIKNSLELILLTTPVTPADRMKEITKASEGFVYLVSVVGVTGVRATVNPRVEDLLKEIRQVTDKAVAVELQHIDPGTC >cds.KYUSt_chr3.27324 pep primary_assembly:MPB_Lper_Kyuss_1697:3:170615818:170631478:-1 gene:KYUSg_chr3.27324 transcript:KYUSt_chr3.27324 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPLMPRKDQREKGYWRSETGKLAYLALPMVAVSLSQYAVQVSSNMMVGHLPGVLPLSSAAIATSLASVSGFSLLIGMASALETLCGQAYGAKQYHNLGLHTYRAIVTLLVVCVPLSLIWVFMGKILVLIGQDPLIAHGAGRYIVWLIPGLFANALIQPITKFLQSQSLIVPLLLSSVATLALHVPLCWVLVFRTGMGYTGAALAISVSYWLNVAMLVVYIVISSSCRETRTPPTIKAFRGVGVFLGLALPSALMMCLEWWSFELLILMSGLLPNPELQTSVLSICLTSITLLFTIPFGLGAGGSTRVANELGAGNPEGARSAVRVVMSIAVTEAVIVSGTLLLSRRLLGHAYSSEDQVVSAVAAMVPLVCITVVTDGLQGVLSGIARGCGWQHVGAYINLGSFYLLGIPMAMLLGFVLNMGAKGLWIGIICGSISQSTLLSAVTFFTDWQKMADKARERSLSEKVISPFTPRNNQAGWGIVARDHRGEVGVLLLAITGNSTTAFSRAPHESRSLRSERRRCGGGMASRAVTTEEPGSGIERRMLAVAVAWVGFSFDVPAVAKALWFPRVDLAVTRHWEGLGLEATRFSWKPDGEQGEASTTCPAATISVSGKKGSATELKCHGFAIPGSQAKNFDEDSVRASSSQGCVGILFVGMAVAYYSESIPLDDDERAKLRKANDDLTKLRRDEETKWAQRAKVKHIQEGGIDKSIPCQLAPYVGIRGDKELISMARSTSSTSSVASNAMDGGKQIETGLVDFVPHPPARVDAYAYLEEPMEMTFGGFNFRVGKEGSYRLATPVSSGSSVVDYDFSESSSSFETGTEEISPPRFAKSASRGKLVDIFGNMSFGSPAYSDLSSDSESIDSFGFIDRSTSVRAGIIDPRDGVTSFRRTNPTKYHQVYVVGETSRPEEETSEAFDDLGNPYIDPADLIEV >cds.KYUSt_chr6.7868 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48223795:48225551:1 gene:KYUSg_chr6.7868 transcript:KYUSt_chr6.7868 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRSGKRSYVREYIEPCEYNTDDDVDEDNFESYVQRQVKGFESRILKTVRRGRHACPFCPCKVKDGLLASLEMHAMDTRHSAHKWQGKADHEALARFLLGPRLPRSGRILKRRRNM >cds.KYUSt_chr4.3333 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18964526:18965953:-1 gene:KYUSg_chr4.3333 transcript:KYUSt_chr4.3333 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHLRSSVANGHAAAPSTPPRRTGSTPLRQTAGRRSSPPPAKVTTPPPPPRIAWYLVLEQLTRSDTLCFAPKEYYGTNRLSRNLTVGVDLLLNGTSIPDLMVLMKLASAQKSSSNPLSFHDGELLRLFHEHVPTAIRRPEVRRRRRVDKIDLPCLALVADSHPRPPPLLPSPGSTNAPSVVTEQLQLVVGGVALPPAGMGHERKGSKHTKSSQKRHSSSSGKQFEGLRLAGGGVSTRLTSLS >cds.KYUSt_chr7.39715 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246908681:246909556:1 gene:KYUSg_chr7.39715 transcript:KYUSt_chr7.39715 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVLVGAERRVLISGYGALPAPPPPDSLLGRLDQIDLRLRQLEDQRWPSSAAEDVDGGVCFTATADSDSRSGATARHQHSKSMPSALQPQEKQAHVLRGTLMDRLNLLESRIRQLSCELDLDGGSGKPASLAPPVEDRAWSEPPLPEPRGDVTASIRAHAAAGGAGANWSAVQILQRGARQLHRNKPNHPAKVKKLKETKCACEEEKRKAERSNKASAGRRWFPIGC >cds.KYUSt_chr7.11686 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71771165:71772483:1 gene:KYUSg_chr7.11686 transcript:KYUSt_chr7.11686 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRRRSADGFLNKLIYLVCWRRHHLRSPPRVRGRCFGCDGGSISSSRCRAGHAASSTWTPMLLSLVPSAKAVTDKGTYRADGVRKWYRSSSEAIFLSSTSAAILLAAIHASDFWRCFNLHRRPFQRLEAAFCVDFEASGAVPALESGGGSLVSCSSVGIEMDLIAFLILLVSVNKSMLRLKNQKQQEHRYTAADLFQQFNE >cds.KYUSt_chr2.3097 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18233224:18236264:1 gene:KYUSg_chr2.3097 transcript:KYUSt_chr2.3097 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGAPGDRGKPGGDGDKKDKKFEPPAAPSRVGRKQRRQKGPEAAARLPHVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPTEEKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVSILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTAKMTLSDDVNLEEFVMTKDEFSGADIKAICTESGLLALRERRMKVTHADFKKAKEKVMFKKKEVMKTVVLWWFFQIDSGIIRCMALLESRMVTVNVSLLSAE >cds.KYUSt_chr4.38280 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236056121:236057487:-1 gene:KYUSg_chr4.38280 transcript:KYUSt_chr4.38280 gene_biotype:protein_coding transcript_biotype:protein_coding MAYELVKLCNCNPPRKAPRWISWSGMNPGRRYYACVDALNGRGCGYVEWHDPPLPEFWSELIGDLRDEVWRLRGLQQAAPHVVQEASDGRLQAMQDELREKTEEIAALKGNYDKMLVEQALMQQMILEQALMHQMLVELERMHQMLVEQALMHQMLVRLERMVVDWKLLGRLVLEKMIQAIQNIENI >cds.KYUSt_chr3.6994 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40337733:40344226:-1 gene:KYUSg_chr3.6994 transcript:KYUSt_chr3.6994 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEAAADTSGPRFAPDDPTLPAPWKALMDGATLYYWNPETNVTQYDKPGAPALAPVPVPGAFAQQPGQVAQQQQQPQQTAHQQQLQQTAQQPPFQYQPQQAQQVPYQQQQQQPPQQEQMPNQQGPQQPLAPQYPNMHPQQPTPYQHGPYMQPHQQQQQQQYSYQVGQQPQMQQNPYNQGQQQPIPQAANQGQQPTMPPGGYNQGQQQPTMPPGAYNQGQRPPMPQGAYGHGLRPPMPQSAYNQGQQPQMPQHASYNQGQQLQGIRMPQSQPQQSPGFHQPVQAPQVLQAPQSQGLQMSPPQGQLQHGFPFTPQPQHGHVGAHISQLSHGQQSSEVKGDAGGLEGKQTGFSLPLSQQRGQVPLPNQQLPYSHQRPEAHSQLNIHGVGGPAFPAKHHLGGLSPRETNNASFSSSPAHIHQGGLDTNYRQQLPSSHVAPNHIAPSPGRPPVGFKRGNSEDQFEKHEPHSSGRFDGINAPHQQPKLAALPPSQNHLQDTRNGPPYPQPDNFSGYNMAPPHLVQNPLNNGPFPVGALTRPPPGTFPPPDFPSVASVDAYRQHHEVTAVGENVPPPFMTFEATGFPPEILREVHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFVHLSRCQNNPMLGPTVLVLAPTRELASQIQDEAIKFGRSSRVSCTCLYGGAPKGPQLRELERGADIVVATPGRLNDILEMRKISLHQISLLVLDEADRMLDMGFEPQIRKIVDEIPRSRQTLMYTATWPKEVTKIAGDLLRDPVQINIGSIDELVANKSITQYVEMVPPMDKQHRLEQILRAEERGSKIIIFCSTKKMCDQLARGIGRSFGAASIHGDKSQVERDQILNQFRTGRVPVLVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVAYTFFSEQDWKYAGDLVKVLEGTSQEVPPALQQMAARGAPGGPRNQAGGMSRWDGPGGGNRFESAAGGPGGYGGIREVPGAFGGRDGPGGFVDRGGPGGFVDLDGPGRFAGREGPDGFGGRDGPGGFGGRMGPGGFGGREGPGGFGGREGPGGFGGRDGPGGFGGREGPGPSGFSGRGGRGPDGFSRRGGGSPGRFGGSGGRGDSPGFGGRGRGDSSGFGGRGRGDFSGGRGGRGRGFGGRGRSDRGPHDRFISDGRGRYDDRRGFGDKGRGRSYSRSPDRGRSRGYDRRSDSRSLSSRSRSRSRSWSRSRSRSRSWSRSRSRSRSRSRSRDQGAGPERRPRARSGFDVPPPATEAGPVLAAPVPVPGPVADVAALKPGQSLADASDMSPMSPGGLVQVQEGGPFMGGGDANVSSAQADQPSQGKDLVVPPSFSAAENFPGAAVQQDAP >cds.KYUSt_chr2.26663 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163269458:163270798:-1 gene:KYUSg_chr2.26663 transcript:KYUSt_chr2.26663 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGIYGLAVLDRVRLGAGLNRLRRDYADDAPTAKRFEWLRRAQGNFDPSVESKGNAFTYGIPLLIRWPDRAPDHRICSDLGFYSFDEPISYPVPGALEESGGNKSAENIEESFWWPHLVDEKGRSSSSPHRLSRAVKTYRRSVFVGRVASTRRVNVIASNSRAAPSIYRGPTASGRIHHRLLPSLPNTPRAMVDYGDGAANNDFGRWSLHQWEARLLQMAGYPVPPDFRAPGGWRLSAGGVLIPPPPVGGDALDAEIDTVIETLSDEQRAEPRFFPDNYVAWNDFFRRRYDCELAAYDGPPPTPLRNNAAGHRPSPLGDRAGLHPLERARAHRGRQLPRPGDVAADDGAFRIAPARKLLDATANGVVLLVGLGVKVCHAFVRIGSSNSDADEDGEEGAGVATADQRAQQRRPRHPQGGRTGLVVTGARPQEETEEGGRSGRRCV >cds.KYUSt_chr2.41478 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258032535:258035563:1 gene:KYUSg_chr2.41478 transcript:KYUSt_chr2.41478 gene_biotype:protein_coding transcript_biotype:protein_coding LTVVSNVAIIPNLLNLVSYLHGTMHMGVSGSATTTTNVFGATSGFALIGAFLSDSYITRSRTMLLLGPFMFLGYGLLALQAYLPSLHPPPCNIETELNNCKKVHGWNATLLYTALYMSAFGDGFIRVCLPSLGADQFDHEDPSESRQRSSFFNWYTFGISSGGFVGLIFIVWLENYKGWDIGLGVCAILILLGLLVVAAGLPFYRNQVPEGSPLTRILQVLVVAFRNRKLELPEKLEEAQESCTRTRTCSVDALSQTDNLKFLNKACINRGKNGDWSVCSVAKVEDTKIVLRVLPLFISSMISYISNVILFTFTVQQGGMTNTRLGKIHVSPATLFIIPITFQLIMLAVYDQFIVPFLRRRTGYVGGVTHLQRIGIGFASMLLASVIAAIVEKKRKEAVVQMSLFWLAPQFFLLGVADVTSFTGLLEFFNSEAPRGMKSIATALFWCELGLASLMATLLVEIVNSATRHRHHGGWLEGTTLNSSHLDLFYWVVAVLGLLGFFNYLYWAKKYVYQHNPHIVETSADQDPL >cds.KYUSt_chr2.37502 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232273839:232283270:-1 gene:KYUSg_chr2.37502 transcript:KYUSt_chr2.37502 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVQMLFSVLNILIKLALNDGMDARVLVAYRFMFAVVFLCPIAFFVERNTRPPLTRKVVLHLFLCGLFGFTINQNLYVLAIKLTSATFVTAIANLTPAATFILVILTRLETLKLRKRAGQAKFVGTLIGMGGAMLLTFYKGPELKLLRRLPHPKLVHITKAHHTHPPSTGNQILGSFLGITSCFSYATWLVIQAKVGEVYPCHYSIAAMVCLFGAIQSTIMAACVERDKEQWRLGLNIRLYSSAYAGLVASGFAFPLLSWCLRKKGPLFIAVFSPLMLIFVAVLSSILLNEALYLGSVLGSILIVGGLYLVLWGKAKEQAEVSKDDEMGRESIPMTPTSEMK >cds.KYUSt_contig_2609.16 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000410.1:71960:73117:1 gene:KYUSg_contig_2609.16 transcript:KYUSt_contig_2609.16 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVTYASSTTSPSKESSRGDKGMPDPEAVSPPGRPAVITPATKRRDEREDNVDGNTLQPMSVVQPPLASSAGLDGRKGTSVASPPAMPSTEPPARAGVGRGAAGYAHAGGARHAAAGHDGHGHGLAAGAFAAAGHGGHGHGLAAGALAAAGHGAVAAGGHGASFAAAFGPSGRRAADSEDRVVYGGRVFRDCGSACFFDAACHGGGGLIWGRVDTGVYITFFPCIDGSFFTSWFSAALVYFFAGLLAAAFTHSPSSGRSADGEAEWEVRSFGGWGGGDG >cds.KYUSt_chr2.53656 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334669283:334675525:1 gene:KYUSg_chr2.53656 transcript:KYUSt_chr2.53656 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAADVKAEKAAANGSAGGGCDAAAAVGQGKKRADQAVAFHELFSFADKWDLALMSLGSLGAVAHGAAMPCFFLLFGDLINGFGKNQTDLRTMTDEVAKYALYFVYLGLVVCVASYSEIACWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYLATFFAGLVVGFISAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYASACMSWALVFWYAGVFIRNGQSDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEVIRQKPSIVNDQKDGQCLAQVHGNIEFKDVTFSYPSRPDVMIFRDFSLFFPSGKTVAVVGGSGSGKSTVVALIERFYDPNEGKVLLDNVDLKTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATIAEVEAAATASNAHSFITLLPNGYNTMVGERGIQLSGGQKQRIAIARAMLKDPKILLLDEATSALDADSESIVQEALDRLMVGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELLLKGTSGAYASLIRFQESARNRDLVCASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMMSVADNSLKYPAPRGYFFKLLKLNAPEWPYAVLGAIGSVLSGFIGPAFAIVMGEMLDVFYYKDLNEMERKTKLYVFIYIGIGIYAVVAYLVQHYFFSIMGENLTTRVRRMMLSVILRNEVGWFDEEENNSSLVAARVAVDAADVKSAIAERISVILQNITTLMTSFIVGFVIEWRVALLILATFPLLVLANFAQQLSMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQNKIMSLFSHELRIPEEQILRRSQTAGLLYGLSQLCLYCSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGESIRSIFGILNRATRIEPDDPESERVTTVRGDIELRHVDFAYPARPDIEIFKDFNLKIQAGRSQALVGASGSGKSTVIALIERFYDPTGGKVMIDGKDIRRLNLKSLRLKIGLVQQEPVLFASSILENIAYGKEGSTEEEVIEAAKTANVHSFVSQLPDGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESESVLQEALERLMKGRTTVLVAHRLSTIRGVDRIAVVQDGRIVEHGGHSELVARPEGAYSRLLQLQHHRN >cds.KYUSt_contig_2073.74 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:439906:440325:-1 gene:KYUSg_contig_2073.74 transcript:KYUSt_contig_2073.74 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPSLLDLLSLPPPPSPPHDSISPAISPTVVQVVPVDVSEELMGKFMDTSEFGFDYDRSGLWSPLALRPEVLAFAAGQAKRRRRSWRRKVGWHCKCKLRRVWQFLSLAHPDRFGFFFTDADVLLLVTGSVHGCFEQE >cds.KYUSt_chr1.10978 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67230445:67233530:-1 gene:KYUSg_chr1.10978 transcript:KYUSt_chr1.10978 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEHGANGLQEHAGALEEGRGGENQAGCETSEQTLNRTGKQPMLSVQFVQKILAEIFGTYMLIFAGCAAVAVNLRTGGTVTFPGICITWGLTVMVMVYSVGHISGAHFNPAVTFAFATCGRFPWKQVPAYAAAQLLGSTAASITLRLIFGTEHFFGTVPAGSDVQSLVLEFIITFYLMFVVSGVATDNRAIGELAGLAVGATVVLVVLFSGPISGASMNPARTIGPAIILGRYKGIWVYIVGPVCGAVAGAWAYNLIRFTDKPLREITRTGSFLKSARRS >cds.KYUSt_chr4.23136 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145630971:145633295:1 gene:KYUSg_chr4.23136 transcript:KYUSt_chr4.23136 gene_biotype:protein_coding transcript_biotype:protein_coding MARVCFGYHRSHILVSLSLLRWEDDVGSHGLDPVLAIGASPRQGAPVVGARDDGRGATRTSLNKALFFCKLAATGLGMNPIHSTHGDLEFDGSSATGGGVAHAEIDTSTGNPSHLALLARHFFPELSANVGAGVRLENGNIFRYSLRGKKAVAFTPDGLLGLNIKGRLLADKDFKPRTGSGAVELAWTILDFRKGQDVRLKAGYELYRKMPYFQVRENNWTLNGYMDGKWDVRFDM >cds.KYUSt_chr5.35253 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222844571:222851596:-1 gene:KYUSg_chr5.35253 transcript:KYUSt_chr5.35253 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDVELAAGATELAPRYPWRDDLRTLPRAFFSSSNASDALDRLRFLSVTDPSMLADGSEMEIRIKPDPEAGTITITDSGIGMTKDELKDYLGTIAQGGTSKYLKALKENQELGADNGLIGQFSVGFYSAFLVAEKEDDKYEFADPTRIQGLVKNYSQFVSFPIFTWQEKSRTVEVEEEESKEGEEATEGEKEKNKKTITEKYWDWELANETKRIWMRNPKEVEDTEYNEFYKKTFNEFLDPIAHAHFTTEGEVEFRSVLYIPGMAPLSNEEIMSPKTKNIRLYVKRVFISDDFDGELMSSSTPPPSHPEPIAVTPISSAPPPFILVQLDPTKGSDKTIEGTSSNPADLAGKEANGEEGGGGRRQEIEGPISRRRVIKVFLLFFVEDLLLLVAFGTSVYNGYEVGQHKADHLDVFLDEEQRYGEV >cds.KYUSt_chr6.19045 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119825344:119831361:1 gene:KYUSg_chr6.19045 transcript:KYUSt_chr6.19045 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRVRQGSAFPYTSYRIQVPNGPPRIRVPPKMQPLNIRHGKVWKEAPGWHATSSYYIIMSNAHNLLLEGSNPVVDVCPKNLVKARFPPRGNPLVDKDPSPIASADRGHQHFDSYGFLDPKVELSATILSTLVKRKRKGTWLEKITQGLGSKITIEIPEGTKRPEKPLSAAKFASEGGMIARGQMPVFSDFEEYKKDKNMVPNFVGKVGGIFLITSSLSKVIKKVCCDILKNVSKNRYEPFLHMRFSESMHLLETDLRVDMEYEMAILVPEGAPPKSVVEVISKVLTKDFPRNTFLKHVGLQSRSSSKLNKSSAANVSAHVLDLEDQL >cds.KYUSt_chr7.29211 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181768835:181770212:1 gene:KYUSg_chr7.29211 transcript:KYUSt_chr7.29211 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMEETLLSRRPEPKEEQSSAVSEELKRQLWLAGPLIVGFLMRNLIQMISLMFLGHLGELQLAGATMATSFAGFTGFSLLNSHRYPVHEF >cds.KYUSt_chr6.17102 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107726694:107726957:1 gene:KYUSg_chr6.17102 transcript:KYUSt_chr6.17102 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRYKCKDCTERIGFDLCGECYNNRSKLPGRFNQQHTSDHRMELDNTRLYGGLIAQLALIGAGGIGEAIADDHEIEDDTDEDAELL >cds.KYUSt_scaffold_2697.493 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:3212655:3215880:-1 gene:KYUSg_scaffold_2697.493 transcript:KYUSt_scaffold_2697.493 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLAAAAERLLSALDWEREAYPAYDDFLALPLFALFFPTARFLLDRFVFEVCFLFARVGAIVLAIHDASDVFLEIGKMSKYSNCDWLANVAFLLFVVSWVLLRLTYFPFWILRSTRKTTAPRHQGRQTSGARRVTARGREEALSEEKNPGQRAPNLPVLMPKRTPTLSPWLGGVEPSDMRDAHPRAGEELGSRAKDLLEHDRHDKKSTPHRPHYRCP >cds.KYUSt_chr5.22902 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149595727:149598747:-1 gene:KYUSg_chr5.22902 transcript:KYUSt_chr5.22902 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPLLLLLCLVTLVAVPTTVAASLPADFYRLLATKAALSDPTSALAPWDQSLPPCRWPHVHCLSSSDNPSVASLLLSNLSLAGEFPAQLCWLTSLVRLDLSYNSLIGPLPPCLAALPSLRHLDLSGNAFSGEVPRSYGQGFTSLLSLNLAGNDLSGGFPAFLANVSTLEELLLAYNPFAPSPLPDDIAAGLPRLRVLWLASCGLVGKIPSSIGNLRSLVNLDLSTNNLTGEIPKTVGNLESVVQIELYSNKLSGRLPAGLGGLKELRFLDAAMNPLSGEIPADLFLAPKLESLHLYENELSGTVPSTLGNATALNDLRLFTNRLVGELPPEFGKGCPLEFLDLSDNRISGRIPATLCSAGKLEQLLILNNELVGPIPAELGECRTLTRVRLPNNRLSGAVPLDMWGLPHLYLLELAGNALSGTVGPAIALAQNLSQLLISDNNFAGVLPAQIGTLTSLVELSASNNGFSGPLPASLADVSTLSRLDLRNNSFSGELPHGIRRWQKLTQLDLAENRLTGNIPPELGELPVLNSLDLSNNEFTGNVPVQLENLKLSLFNLSNNRLTGNLSPMFAGDIYDDSFLGNPSLCRKACPSGRRAAAGRRGLVGSVGSVLTIAGVILILGVAWFWYKYRSQYKKRGAEAGGKKWVVTSFHKVEFEEEDILTCLDDEDNVVGTGAAGKVYRAVVGRGGGADDDVVAVKKLWGVAGAAARKDKDGKAVMKDTFEAEVTTLGRIRHKNIVKLWCCLRSGDRGLLVYEYMPNGSLGDLLHGGKGSLLDWPMRYRIMVDAAEGLSYLHHDCAPPIVHRDVKSNNILLDAEFGAKVADFGVAMVIGDGPNAVSAIAGSCGYIAPEYSYTLRITEKSDVYSFGVVMLELVTGKKAVGPELGDKDLVSWVRGSIEQNGVDSVLDPRLAGESRDEMRKVLNVALHCSSSLPINRPSMRSVVKLLLEVLPDCKPAVVDEKESIDV >cds.KYUSt_chr1.2845 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16904594:16909126:-1 gene:KYUSg_chr1.2845 transcript:KYUSt_chr1.2845 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIWQCPGLMLVLWLAVTSATQGRGQMRNANNNLTRGSFPKGFVFGTATSAYQHEGAVKEDGRGPTIWDKFAHTFGKILDFSNADIAVDHYHRFEEDIQLMANMGLDAYRFSIAWSRILPNGTGEVNQAGIDHYNKVIDALLAKGIEPYVTLYHWDLPQALEDKYMGLLDRQIINDYAAYAETCFKAFGDRVKRWITFNEPHTVAVQGYDSGIHAPGRCSVFRHLCCKQGSSGTEPYIVAHNIILAHAKVSDMYRNKYKADQNGELGMSLNVIWYEPISNSTADVEATKRAQEFQLGWFADPFFLGDYPATMRSRVGQRLPRFTTKEADLVKGSLDFVGINHYTTFYTKDDHSSVTKYLLNDTLTDSQSISLPFRNGKAIGDKANSIWLYIVPVSMRKLMNYVKDRYNTPTVYITENGMDDSNSPFIPLKKALKDSKRIKYHNDYLTNLADSIREDGCNVLGYFAWSFLDNWEWTAGYTSRFGLYFVDYTDNLKRYPKNSVQWFKNFLSSS >cds.KYUSt_chr1.30804 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186540519:186540875:1 gene:KYUSg_chr1.30804 transcript:KYUSt_chr1.30804 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAMSCSALLAQRRGISAAIAAIDVSAKKVEEKAVKLGATATATTTEDKTAFWEPDPKTGDYRPVTGTKEVDPADLRAEMRKQRLLHQ >cds.KYUSt_chr7.6731 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40610459:40611196:1 gene:KYUSg_chr7.6731 transcript:KYUSt_chr7.6731 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAALVATLLLLAGGASAATLALYNKCGETVWPGIQPGAGKEILARGGFQLAPNRATSIRLPTGWSGRVWGRQGCRFDSAGRGKCATGDCGGALYCNGAGGTPPATLAEITLAATPTALDFYDVSLVDGYNVAIAMAPYHGSGANCRAAGCVSDLNSVCPAGLAVRGGNKVVGCRSACAAYGNAEYCCTGQFGGPQQCKPTNYSRLFKRACPKAYSYAYDDPTSILTCSSGTSYVVTFCPHRH >cds.KYUSt_chr7.28644 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178693956:178697653:1 gene:KYUSg_chr7.28644 transcript:KYUSt_chr7.28644 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVRAEAKAARNANRSSAWKSNYVAYGLLLGSVFVLFYLMVSTQFSYSQKALLELDRPTVDSAETILPKHGRNHPRQEDVIRTMEDFNREEEVAKAHTEQRHQGKRLQKQGESEQQWAQKNSIEEQSGGGTDDYNNVADAKPICDTSFGKYDVCELDGDTRARGGPGATVTLVSPRAPPKEWAIKPYSRKYLDGLKAVTVKSVPNPENAPQCTTRLNIPAMVIELGGLTGNYWHDFTDVLVPLFIGARRFNGEVQLLVVNLLPFWVDRYKKIFGKISRHEIVDFENDDVVRCYPHVVVGYGSRKEFTIDPSLDETGGGYTMVDFTKFLRSAYSLPRHRPIKLGEVRPAGGRRRRPRMIILERTNSRKILNLAEVIAAAEAVGFKVIVAGRPRASYDAFTREMNSFDVMVGVHGAGLTNCVFLPTGAVLLQIVPYGRLEEIARTDFGNPARDMGLRYIEYSVAAEESSLMNVFGKEHPIIKDPAAVHMSGWGNVAEWYLAKQDVRINVERFKPSLMQALKQLQ >cds.KYUSt_contig_1790.27 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:166348:167043:-1 gene:KYUSg_contig_1790.27 transcript:KYUSt_contig_1790.27 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSLSGSRSASRSGGSAPTRYVKKEPASLATPRFVKKEPASPPPTRGRSSGALVICDQPSSSPSRGRKRKSSKKEAAASAAANQLAEEEAQRAEDAAVEEAIAKSLKDLVPTDDTLPINAALEWSRRDWERQEAKQQRRLLDLAAARQRAVRTAARTSATNAAPRPVELIKLEESSEDDLYRPTPPRAGDPGQGSSHWYDTPPSEDAGNSSDDDDGADYTAFYRHFLM >cds.KYUSt_chr6.17318 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109054054:109056233:1 gene:KYUSg_chr6.17318 transcript:KYUSt_chr6.17318 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEEGWEKGRPTTQKNFDLQRAVKKSPDDHTFGHHPLEQQQNEVPYLRLVPTRAGGGAVFFWIMRLQKTRTRALLHRFGCVPSTDCPFCPGQPEDISHLFVGCPLLCPLWNIISPSGRPRTDDDVLGLLDALSEDLPSMHPKARNTAILALLWSIWKSRNRMVFDADLMSTTRVLDMVAAHLRL >cds.KYUSt_chr4.6689 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39370385:39372924:-1 gene:KYUSg_chr4.6689 transcript:KYUSt_chr4.6689 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPDSSKRPYVARVEEIEATGPRGSNVRVKVRWYYRPEESMGGRRPFHGSKEVFLSDHQDVQSADTIECKCNVYSFKEYTKLDAVNAEDYFCRFDYKSITGSFVPDRIAVFCKCEMPYNPDDLMIQCDDCSDWWSRNDGEGEGAIILDEISISSCM >cds.KYUSt_chr3.29686 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185713471:185714731:1 gene:KYUSg_chr3.29686 transcript:KYUSt_chr3.29686 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAAARDEAAFAMRVLRQLACGGGEASGSNLAVSPLSIHAALTLLGAGAKGATLDQIVAFLGPAGGPAHAALASHVALRVLSDSAGDGGGGPNVRFANGVWVDAAMRLKPGYAAVVSEHYRAQAHPAPFKHAPEEARTQINRWFESATAGRIKDLLPKNSVSTLTLAVLGNALYFKGAWCSKFDPALTLDDAFHLPAGGHVVCRPGYKVLRLPYARGYHDQRAFSMYIYLPDERHGLRSLLHKLGSSDPALLEDSNISLMAKVPVGAFKVPRFTVSCSTDATELLQLLGLRLPFHPGLADFSDMLDSPAPLVVSAVFHQSFVEVNEEGTEAAAATAVGVAFGCAAVSAPVQVVDFVADHPFMFLIKEDRTGVVVFAGQVVNPLLS >cds.KYUSt_chr3.22473 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138863759:138869924:1 gene:KYUSg_chr3.22473 transcript:KYUSt_chr3.22473 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTATPEPTEASAPTPQPPLDWRFSQVFGERGAGEDVQDGTDPTPLLLLAFGFVVAAATQLDLTASLLPGWVRVDIISAIEFNKTGHHLATGDRGGRVVLFERTDAKDHVSRKEAEKADYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQETNGASFLLSTNDKTIKFWKVQEKKVKKICEMNMNTSNAHVNGGPSTHVPNGGILKPGGLALLRMPVVTSQETSLAANCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPTNMEDLTEVITSAEFHPSHCNTLAYSSSKGSIRLVDLRQSALCDTHSKLFEQHESAGSRSFFTEIIASISDIKFSMDGRHILSRDYMTLKLWDVNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFNCGAGDSEVTTLEATRNPTRRQAQNPARPVRSMTRNVRRENTGIDANGNSYDLSTKLLHLAWHPAENLIACAAANSLYMYYGELLEMVYFEPVTWFSFEFHIELSKSPRGSDWIIPGKQLDSDYFFYWGKGLSFPYRFG >cds.KYUSt_chr2.44789 pep primary_assembly:MPB_Lper_Kyuss_1697:2:278879476:278889757:1 gene:KYUSg_chr2.44789 transcript:KYUSt_chr2.44789 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTELENPTSRNPSQKSSRRSSSRRSQKSAGQQSSPTVFPEKRGKSRSLRQKHAAADGKDAKKGKSHERRADVVDEMSNFVGFEVYSGKLFFDRKNKSKGVDDHLAANRKADATDARLTSKALIWGSTVLSLEDVISVSYNSGAQHFTLHAYPAKSPFFGKTHRVRTDFRFIASTLDEAILWVTCFAEQNIYINVLPHPSAEQEADAPLGGVLFDYPPIKSRTPQRILVILNPRSGHGRSSKVFHDKAEPVFKLAGFHMEVVKTTHAGHAKSLASTFDFNAFPNGIVCVGGDGIVNEVFNGLLSRSDRTEAVSIPVGIIPAGSDNSLIWTVLGVKDPVSASLLIVKGGFTTLDILAVEWLQSGLIQFGTTVSYYGFVSDVLELSEKYQKKFGPLRYFVAGVLKFLCLPSYFYELEYLPMSEEMSGHGNGTKQDKFALSDVYTDVMRSRSKREGITRASSFSSIDSIMTPSRMSLGDFDTSGGTAASSEPSEYVRALDPRAKRLSLGRSNIVSEPEEVLRPQPHHGSYWPRTRAKTRTDRNSVGATAANDTRLSWAAQSMHDKEDISSTISDPGPIWDNEPKWDTGPRWDTEPTWEPDHPIELPGPPEDIEFAASKELVPNLDEKWVVRKGHFLGVLVCNHSCKTVQSSQIVAPKANHDDNSLDLLLVGGRGRWKLLRFFILLQFGRHVSLPYVEYVKVKSVKVKPGANTHNGCGIDGELCRVKGQIRWHELLTMPDLVVMRPPFTRHPPPIEEPILKEVDVPHHVVVLVRPDGALKSHELRRTQRRKQSCGHAATATEAFDPSTDRPVDADARTSHEYRATLTRLWSSPLSPPARRHVCRLLAAPLERRRVAGSARPAVDVPGGPAAALAPPSFSWSRPAKPPRNNCWCLAHLSLLRSYRHARD >cds.KYUSt_chr1.21980 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129900391:129903924:1 gene:KYUSg_chr1.21980 transcript:KYUSt_chr1.21980 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDHAPILISTESQFRRPKLHFKFENWWTMEDDFQDIARNAWTGSVHKPFHARTTNLAGTLKRWCKKKRPIQKQLDVIQDQIYNIQLQPVHMQDHSLEANLIAQYEETMTKLTEFYRQRAKKHWATQGDRNTSYFHHAVLKRQRRNRIVTIKDVYGNNQHDPEVIANEFVNYFRNIFRSSCANNDRPTINTTHPQESQDFTNSIPDKQEIWKTLKSMRKNASPGPDGFNVGFYLAAWSWIGDDITSLIRNFYTTVNELSLALQEGLQANQLTGISLGPSCPPIHSLMTHPWRLVFKPLPGGSYAKHYLQEAQLEVTGCSENQHNAQQAYHLTPMVQIPSSFAGEAIFCDAAWKLEQDAYSAPAGIGIFIQMEHNQHCKQMYISAMSPPASSPLQAETFGLLLATKLADLLQLQAPRFFTDNSILTSAATTTNIVQAPGHWMIRPLIADIQSSNSFQAKRISHLHRSFNVKAHHQARLATRLHNRTLTFRCLCSNKGQCPYRDIFAVASMDPFMLLSVKCA >cds.KYUSt_chr2.50461 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315567069:315574392:1 gene:KYUSg_chr2.50461 transcript:KYUSt_chr2.50461 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGWSLHSPALARIHDRLQGMFLLHTAGFLDDSSDSVLSQYLRKWPEVYSPCFWTSTFALIQLVFVMIIVGQFLFKRIRRCRQRLKTASPESNKHYNQEQKNGDIKLGVSYQASKVCCLLILASHVMKIPFVQLQGRISGCKYPPFVLGEGLQVLSWIILSLSVFSLQKTKSATHPLTIRAWWIFNFLQSIISVIFDLRFSLSDHEYIGYAELIDLFTLLVSTYLFAISARGKTGITLINSSTTEPLLSPSVGEQAESKRTSLYSRATILDLVTFSWMSPIFAIGYKKPLDNNDVPDIDGRDYADLLSDSFNRIVEDVECRHGISTLSIYRAMFLFLRRKATINATFAILYACASYVGPSLINDLVKFLGGKMEYGLEKGYFLAVAFLSAKVVETVSQRQWIFGARHLGMRLRAALISRIYQKGLRLSCSARQKHTSGEIINYMSVDIQRITDVIWYANYIWMLPIQLSLAVYVLHQNIGNGAWAGLAATLAIMACNIPLTRLQKRLQSKIMTAKDNRMKATTEVLRSMKILKLQAWDTGYLQKLEALRREEHNWLWKSVRLTALTTFIFWGSPAFISSITFGTCILMGIPLTAGTVLSALATFRMLQEPIFNLPDLLSVFAQGKVSADRVAQYLQEDELKCDTITEVPRNETDYDVEIDNGAFNWEFETTSPTITDVNLKVRRGMKVAICGMVGSGKSSLLSCILGEMPKLAGAVRVSGRKAYVPQTAWILSGNIRDNILFGNPFDKEKYQKVINACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADVYLFDDPFSALDAHTGGQLFKDCLMGMLKDKTILYVTHQVEFLPAADLILVMQDGKILQKGTFDDLLHQNIGFEAIVGAHSQAIESVISAESSSRILSTDSKNLADSDDEFVKENDTDDQLQGIIKQESAQDVLQGINEKGRLTQDEEREMGGIGKKVYWAYLTAVHGGALAPVIVAAQSFFQILQVASNYWMAWACPPTSATPPRVGLDLLFFVYITLSIGSALCVLGRSTLVSLVGLLTAEKFFKNMLHCILRAPMSFFDSTPTGRILNRVSNDQTILDLQMANKLGWCAFSVIQLLGTIGVMSQVAWPVFAIFIPVTAICYMFQRYYIPTGRELARLSEIQRAPILHHFAESLTGAASIRAYGQKDRFSKANICLVNKHSQPWFHSTSAIEWLCFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNGQLSNITWNICDAENKMISVERIMQYSRIPSEAPLIVDDNRPPNSWPKDGTINIRNLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTLIQALFRIVEPRVGTIEIDNVDLSKIGLHDLRGRLGIIPQDPTMFEGTVRGNLDPLNEYSDQHIWEALDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSHVLVLDEATASVDSSTDAIIQQTLREEFGNCTVLTVAHRIHTVIDSDLILVFSEGRIIEYDTPSRLLEDEKSEFSRLIKEYSRGSKGF >cds.KYUSt_chr2.2747 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16403466:16404992:-1 gene:KYUSg_chr2.2747 transcript:KYUSt_chr2.2747 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHIDLNAAAPQTLAQPKRGRGRPRKNPPPPQEPPATATATATPPPPPPAAPDPAALPAVPGAGAGAAGSFAPKDLVWGKKLAHPSWPGEVLSVASGGTQLLVSFFGEKALAWCDAAQLRPYEAYFSVGELYDGEADDFDAAVDASLHEFSRRVQAALTAPADAPASLRRPFAPLDFLASLQDLAADRMGFSNRVQAAITKAHLRAFDAFRGLPDPPTYTLELGIVSADASAATTPVGRGMMKAAATEVNGDYSSTPPSRRGRKRKEEVQEVDSDEDWDPRKKGGSDSDTDIDMGRRRASRGRGSGSGAPRGGGSGRPRGRPRKTDAPTPRPPKPPVKDEEVEEEIEYPPAAEMLLQLTLVAADPFNFKGYDSVHVISSFFSKHKDSAVPSATDDKELLETLVGKKGRKNSVATVVTKPKPEIDEEPLEAVDGQRGRRKSAGGIYSARKAEDSYWCDIIISDFDDEDASSDYEGSKRKRASQNRNGNKKAKQEVAPQDELPSAPQTP >cds.KYUSt_chr3.27720 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173139518:173142964:1 gene:KYUSg_chr3.27720 transcript:KYUSt_chr3.27720 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPLLPPDAQVPRRHVGQPPAEEHRRQLEVPTPPTSQRVESLSPPAAHADGGDIGGRERRTEGSWGRGQTSQTREAMPPHLPSNPDHGQHVARPYHRHKSIHRLLGGGKAADVLLWKDRNLSAGVLAGATLIWFLFDVVEYNIVPLLCQIAIFTMLVIFIASNAAPLFDIDPPRIPQVVISEHTFREMALTIRYKLTNAVSLLYDIACGKDLKKFLLVVGSLLVLSVIGGSCSFTSLLYLGFLCALTLPVLYQRYEPEVDHLVARGGEDIKKFYEKIDANLLNKIPRGPVKTKFR >cds.KYUSt_chr4.49025 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303703107:303704658:-1 gene:KYUSg_chr4.49025 transcript:KYUSt_chr4.49025 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIRVSAFDPHFCFVLNLSTADARKKLEPLEAYVPAVLLTQDQFRDLEKSLEFDKPKYDESRSLLRSGPASSLRVNIRAVAQYASSNGQGKAATDAVDECLRALEDLDSLLLKATRKDPSASVETMRTKIAVALGALDNLLQTVPSAVMDKGKAIADAYRTPSDGYYEESNGAELDPSMKQLQDIL >cds.KYUSt_chr7.10926 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67161503:67165093:1 gene:KYUSg_chr7.10926 transcript:KYUSt_chr7.10926 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLHCVVRGVANKAADALVQTVTGMCGVDADRHKLERQLLAVQCKLADAEAKSKTNQYVKRWMKDFRTVAYQADDVLDGFLYEALRRQIQIGDSKTRKVLSHFTSHCPLAFRYTMSRKLNNVLEKINELVEEMNKFGLESQAEAPRVLYPQTHSGLDMDTEPVGREDDKGVVIRLLLEQEDQQKVQVLPIFGMGGLGKTTLAKMVYNDCKIQQHFQLRMWHCASGNFEAIALVKSIIELATKEICDLPHTMELLRGRLQQVIGWKRFLLVVDDVLGEVQQIWEDSLKPLLCAVAGPGSVILVTTRSQRIATMMCTLQPHELTCLSEEHSWELFSEKAFSKDVRPQAELITIGKDIVNKCKGLPLALKTMGGLMSSKRRVREWEVIAESNIGDNDRGKDEIISILKLSYEHLSSEMKQCFAICAVFSKGFEMEKSMLIQLWMANGFVQQEEGMMDLEQRGEFIFSELVWRSFLQDVKIKPFNHSASRHGLIGCRMHDLMHDLAKDVADECATIEELLQHKAFIKDVSHIKVSSDELELSSGLLKGTDSLRTLLLPPSAHKDLHKFKPTLLRALSCGSLSIILNQHINTKHLRYLDLSHSEIARLPNSVCKLYNLQTLRLNHCRRLQYLPEGITTMRNLKHLYLFECDKLERMPPNIGLLCNLRTLTTFVVDIGDGYGIQELKDLKHLGHRLELYNLIKIKSGADAKQANIYQKNNLSEVSLCWGRRKFNGPQDDIGNMEDVLEALAPHNELVVLEVYGYGGTKFSPWMVNSQMCQCLKKLIIFNCPRCNDVPISHLLVSLEYLSLGGMDSLTTLCKISDAEVEACSTSLQFFPKLKTIFLEDLPQLEMLAENSACEIYSLLIFPQLEELSIHDCRKISSVPNSPNLKNLRITECCSLPISSLAHLTTLSELSYDGKGCCSAGIPLGSWPSLVKLSVSSLAYMMMVPPEEQQMHMPLKTLRSIWLSGPNCFETTSVSSKLYLGPWGCFAFVQELCISECHELVYWPVDEFRNLICLRFLSISFCANLEGKGSSSEEILPLPQLEMLSIYNCSCLSAIPMVPESLEKLLIGECASLVSLPPNLGNLDKLRVLQIRGCNGLKDLPDDLTRLEQLTIERCTGVDKIPQGLLQQLPVLKSLEIVGCEDLQRYLIEGGEYFNLVSSIPCKDIPAPEKKSNKKSLAFLKKLLPSCPLSSFD >cds.KYUSt_chr3.23499 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145244474:145248838:1 gene:KYUSg_chr3.23499 transcript:KYUSt_chr3.23499 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSVEIRRRRALILNDGDDDDGGGRAGEAGAGGAPSSSSQPVAAARGPEIIDIDDDDEEEEEGAEVVADAEVQLGEGGGLVVKEEPPTDSDSGDVDWDELERLYPSDEERSGKASYAGGRRSGGPTGSPGGEVNGATSTSGGASTGRQEGACEVAGEAEEMDLEDDDGAEEGQQDHQEEEDKDEEYEVEEEEDDDDEETEEDEAGDEESEAGEGPGRRGVPSSARAAAGDSATEVFLRRKFEGWLISRVADTANDAGSTVAARTRSRRRCPNRKLLRRGTCSKPYCVDTASSESAWESSESEKAPPRAPAPSSSEEREPGAGGRGSYRRTVTGKRRRRGMKPAHGDDGSDSGGGQGVAAKRRGKYMKNNAELGGGGGEDRGTAARRRGKRAMEPDAAADGHGDDDESDGPFASSRKPKGAYAFKNKDGFGDVTFKNSSLVIPRGQGRRERETYDDLLDTIFEGIENYHNGSAPPDASVPPAQGQGCDTLSLIFSFGDEEEVVVEKTEHEEFVDELFADWDNLVLEEQQQYNDDAAHTHDKDEKSNMDGILADGATSCRCKPGKHVLFLDDQIGIRCKICDYIEMEIRDVFPAMMKDFADREPAADRELDLLFDDILKSIGHEGASDVGGHKTGVVWDLIPGVREDMFPHQQEGFEFMWKRLAGGIDIEQLKQTMNSDTTSGCVISHAPGTGKTRLAITFVQSYLEIFPHCRPVIIAPRGMLATWEQEFRKWKVKLPFHVLSSSDIQWSEDKTIQKLVSRDESLRHKLSANKLSQKSTLMLKLASWYEGSSIIGLSYSLYRNLAKGEGADGKTVRNLLLEKPGLLVLDEGHTPRNKKSLIWKVLAEVSTEKRIILSGTPFQNNFLELYNILCLVKPKFARDFACTRLSKKGQSRAAPHVEEDEGKEFWTSLRIGNITDEHIREIREKLDPFVHIHNGDILQKSLPGLRESVVILNPLPRQKEIIAMMEKSAGKGFLDAEYKISLASIHPFLVTGTKLSDEEASIVEKMQSVRLNPSEGVKTWFVLEIVCLCEALKERVLVFSQYLEPLALIMDQLTRKFKWTEGREILLMSGNVRVKQREALMEAFNDMNSEAKVMLASTKACCEGITLVGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLIAEGTKEKVKYDRQASKDHMSKLLFSNELQPTGSNQAQEMFSDRVLETMTGREDLKDMFVQISH >cds.KYUSt_chr3.22067 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135910838:135914632:1 gene:KYUSg_chr3.22067 transcript:KYUSt_chr3.22067 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTSEEEAALKAGVAKHGPGKWRTILRDPDFSAVLRLRSNVDLKDKWRNLSVTAGGYGSREKARMALKQGKRVPKVVTEPMDVDTSNLDNAPTAVIDAKPLAMVVEPKQLECSPGKSVARLDDLILEAIKKLKESSGSNKATIATYIEEQYWPPTDFQRLLSSKLKALVATGKLMKVNQKYRIAPSSGSLGGRGTKVHSTGDNRQNISIKQLTKPQVDAELDMMTRMTKEEAAVFAAKAVAEAEVANAEAEEAARVAEAAEVEAEAAKVFLDAVMLTVQNKRAASAVN >cds.KYUSt_chr7.19759 pep primary_assembly:MPB_Lper_Kyuss_1697:7:122504794:122505852:-1 gene:KYUSg_chr7.19759 transcript:KYUSt_chr7.19759 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQASWPDDFLCPISLELMTDPVILPSGHTFDRRSIQRWLDGGHLTCPVTNLPLPPSPPLIPNHALRRLIAAVSPAAVSSEKLRPGECQGPAPTSPSSVLGLLRLAKSGAAGRREVLESGAVSALLQHAAAGDEAAAKALVYLSLDGDDARVGLVADGAVDALCAAVSGGGAAAAHAATALTSLATVGVNKCTIGAYPSAIPALARLLRRGGARERREAATTLYELCKLPENRRRAVRAGAAPALVQLAAAGSARAVEVLGLLAKNREARHDLSRIPDIVPVLCSVAGSGNARAIDQALLVLNWICSESDELAMEAVKLGAFQLCEALVNDDNCKIAKNAVELVRTLEKA >cds.KYUSt_chr4.52518 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325764435:325766541:1 gene:KYUSg_chr4.52518 transcript:KYUSt_chr4.52518 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTARMKEEGFPRGIVGLETNLGTLRVQLLPDCAPRSVDYFIELLGLHNCAGCRFYRAEGRGHLWDAQGDSIKNAASGPPYGLLQGTLEVDGVAFKEIPREGCSAVRRGSVAWVGSGPEFLISLANHEEWKDTYTVFGYVVPEDMAIAEEMAMLPTSTDVWSNVTVRLLKDPVYFKVKRKSNASAV >cds.KYUSt_chr1.1739 pep primary_assembly:MPB_Lper_Kyuss_1697:1:9810704:9811411:-1 gene:KYUSg_chr1.1739 transcript:KYUSt_chr1.1739 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVMFPWLAHGHINPYLELARRLTAVTSHLDVVVHLVSTPANLAPLACHQTDRINLVSLHLPSLPDLPPALHTTKRLPARLMPALKRSCDLAAPRFGALLDGLRPRPDVLLYDFIQPWAPLEAAARGVPAVHFSTCSAAATAFFAHCLHNERVPRAFPFEAISLGGPDEDAKYTALLAIRCDGGTALVPDPLRVELGAGSMAAGVPMVASQSSRWRTGGAASRRRTPCGQWARR >cds.KYUSt_chr2.47981 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300042370:300045795:-1 gene:KYUSg_chr2.47981 transcript:KYUSt_chr2.47981 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRDAPGDVAGGAPSPPPAPPPPAACNPPSNHRDSHPHPPSSPPPLTAGAESCAPPPPCGAARQGFLSSSTPRSLSLDPQALPPPFSTPGEAFLQLTLQNFCTTVCSPVAPGSPLFVPSPVHIAVRHERRQPVSSSLVFQMLQFWLGSKFERLFVSEVGCNVFRLVVASPRLASFLVTLGGLRHGQLLALFSLPLASDALVNCGPLPGPRSTPSCTMTHTLALDVSGPLCPPRAAPSQPSLPRLVNSCCPCPSSPSSSVTRPPPAKRLRRPPTCLSLLSPGILPAPFGPLPWQVHQAREHFLFLAPNRTAPPPTIDLPSAPLPVLLSPCPPSPPSSFGSLGARAATDGAPAPSYSQVAASPCKPLPAPSQPTPPPLRPFTRRCFRCLSRHHLVAACRDPLCCRRCRHSGHKERSCKCPPFSRSAPASPSSPPSRTLPQSSFISCARSLMEAAGAPISGMDSDSRRRCTLCFADDHERHTCRLVLPEPAAVPPPVLAGAVAAAEEVEDEEEEDPEELVFEEDFDAVVEPPAQLGDDFIPIPAAADEASEEEDHFLPIVDAADFLLAPEPGLHEAASDEDAISGSALADSEDLAVPTRPDHIDVYMPFVNLRHFDHIAYAFATPPTQNPDPLILQAAELGCGPDRVSLFPSSDGARVAVFASDADREHAVGNGPFFGREVSVYFRRHDETDQRFIFEHEAMAALSISRFPFEQWQRQHITHSAGPYANPHDIDPICLTGVDFQAVLVTVKAESITDIPLNLAVKNYCSIGTLSEVTIIEFEDLAAGSGPSSGPDLEPIPDAASDLDDEEVVDLEGGNGYADAMEMLGIPPPLVPQGEPSSAAPAAALVSRALANAPPLPSVSGAPILSKPASVQVLLRLGFFDVLVTGSSGEQLSLRLPLRKAASDNGSKGIMVANFTTASVGLLDSVALLGPLRRPVLSVDVLVRASTAPPGAHVSLAVANDLALGSLAQLPPQPADGAGMAGLEVAHLCEAGSKDGSPPSTLTSPAEAVSEPPLPPASPVRHTPVQLLAAEAPFVAGAVWRAPPSPPQPRRCSRLASARYVSIIDKAMARRQELDHGPTTAPSRRGELSADALLAVALEEGGPLPKDDVGALAAACDIQLADLELAESSAPVTPIAPCL >cds.KYUSt_chr6.26250 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166353850:166358054:1 gene:KYUSg_chr6.26250 transcript:KYUSt_chr6.26250 gene_biotype:protein_coding transcript_biotype:protein_coding ISFLAKMGVYLSTPKTDKLSEDGENDKLKFGLSSMQGWRASMEDAHSALLDLDNETAFFGVFDGHGGRVVAKFCAKYLHSQVLRSEAYSSGDLGTAVHRAFFRMDEMMRGQRGWRELSALGDKINKFGGMIEGLIWSPRGSDSKNEDDDWALEEGPHSDFDGPTCGSTACVALVRNNQLVVANAGDSRCVISRGGQAYNLSRDHKPELVAERERIQKAGGFIRMGRINGSLNLSRAIGDMEFKQNKFLPPEKQIVTSNPDINVVELCDDDDFLVVACDGIWDCMSSQQLVDFIHEHIHTESSLSAVCERVLDRCLAPSTIGGDGCDNMTMILVQFKNRACEKKKADLGEQSAKDDIDSYNIRISRCAALFIVMPKFTISAMVLIIEASNLINLVTGLF >cds.KYUSt_chr7.2527 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14785659:14789089:-1 gene:KYUSg_chr7.2527 transcript:KYUSt_chr7.2527 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADAAKQPLLPRAYPSQVASASSPALPSVPPSGVPGAGSRRFQSVLDVPNLKKRGGGTRSWIRVEAATASVQTIDLDKATMMRRCELPARDLRLLDPLFVYPSTVLGRERAIVVNLEQIRCVITADEVLLLNSVDNYVLQYAMELQRRLLQRAEGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSVFSDQPLLAAFNSGGAGTSVSAPVSPVSSPTESRKLEKTFSLCRSRHDSVKSSDNTVTEHIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFIVAIFGVVAGVFGMNFETDVFSIQNAFQWVLIITGVIGAFLFCFFVWFFKYKRLMPL >cds.KYUSt_chr2.42645 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265628685:265638036:1 gene:KYUSg_chr2.42645 transcript:KYUSt_chr2.42645 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQTGAASGSGDPGTAAADRGEGGSSAARLPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFNSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEENADDNSRMEKKAEPADFVVSAENKTEQQSENRLPENKLSVLKEDIVQDQNSIPESRTSEGLLGANNHEINSSESIDSEEKNPKAEGNGHKDLDQQKNSTARKDKPAAEPIVVPIVLRMSDFDHKALLEEWIATRAFRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTIVDISANSFPQTLDWLHGYLLQISSACGILTVIYVVSILVALKDMAEATFQHLDALLGTDVEHEHTLGLTHLIEPANLDDLDAPFGANEILPARKAPMPDRFTAQFICACWCTLNDLVSPVQNAFISRKPTRKLRPHQAVRPPPHHLGAPRVLLKLDLERAFDSLSWPFLFEVLRQYSFIDWFLVWLAHESAANGEPVPLIWHRWGLRQGDPPVTKFFVLAVDILGRLITCSIDPFHLAIYG >cds.KYUSt_chr4.29184 pep primary_assembly:MPB_Lper_Kyuss_1697:4:183169469:183172981:1 gene:KYUSg_chr4.29184 transcript:KYUSt_chr4.29184 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAAGRALELAGPLRDLLPPVDFCCAYGSSLLHARTDETSMVDYILGVADPLQWHSENLERNPEHYSSWMARRGPGAVTWFADRVGAGVYFNPFVQWSDKRIKYGVVGIKDLAMDVLTWDRFYLSGRLQKPVHVLVDNWDIRKVNTVNLKMATSASLLLLPQEFTEYDLYAKICSLSYMGDLRMLFAEDKDKASLHVSMYYETTGNNKRE >cds.KYUSt_chr5.14478 pep primary_assembly:MPB_Lper_Kyuss_1697:5:93924008:93924765:1 gene:KYUSg_chr5.14478 transcript:KYUSt_chr5.14478 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRRSAGEAHEDTTAAQPTSRRRRLPMNTPPSPRLSRHRGRPHAAEREVEACAATAEHARMSLPTKPPPFLRPSLPLSMHWGRPSTAAREEVPSAAAAANVHASERPQAIECEEEPSVAALPVVHASRGGGVEHGEEASAATAVDENTSGRLRATRSPASAGEAAAEHGADRPPSARSGTLPAPWLGVLSRCVLVTGSVPLYLHRRQPLPLWRLVGLSARRYYSS >cds.KYUSt_chr3.39608 pep primary_assembly:MPB_Lper_Kyuss_1697:3:249590089:249594048:1 gene:KYUSg_chr3.39608 transcript:KYUSt_chr3.39608 gene_biotype:protein_coding transcript_biotype:protein_coding MFALGFFQPGNTGRWYIGIWYNQLPDQVSIWDANRDSPVSSPESSRLTIASDGNMVLLDHSGSVVWSTNLTAIRSSTVGIILETGNLVLADASNTSNILWQSFDHCGNTWLPGGKLGRGKLSGGCTRLVSWKTSTDPALGLFSIILDPNGTSQFFLMWNSTQQYQTSGNWTGHSFAGMPEMNPNNGFPNSMYTFDYIDGANGSYAVYGVKDGGLITRFVMDVTGVINVIRWSVSAKDWMVTLSKPHTQCDVYSLCGSFSVCTENAFSSCSCLRGFSEQYQGQWSKGDHTQGCRRNVALQGNTNGSRSDKFYTMVDVELPSNAQNIVAASSNQKCELACLSNRDCTAYSFNGSCSLWYKDLINLQDLSSASTGTKGVSIQIRLAASEFSNKKNAKKLAIIITIATIGVTLIVVASVFLARKRFKEVAHVDGSLIAFRYRDVQALTKNFSNKLGGGAFGSVFKGLLPEGTLVAVKKLEGFRQGEKQFRAEVSTLGTIRHVNLIRLLGFCSERTRKLLIYEYMPNTSLDRYLFGSSHQPVLSWSTRYQIVLGVARGLHYLHEKCRDCIVHCDIKPENILLSDTFVPKVADFGLAKLMGHDFSRVLTTVRGSIGYLAPEWIAGTAITTKADVYSYGMMLFEIISGRRNTIKRQDGTVDFFPLLAAMKVTEGELEGLVDALLDCDVDPAEVERACRVACWCIQDDEGARPSMATIVQALEGLVEVNVPPVPRSLKLLADQTTNVEFYSKLQSE >cds.KYUSt_chr3.8814 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51374895:51381257:1 gene:KYUSg_chr3.8814 transcript:KYUSt_chr3.8814 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAQSLFENEVHHLMRLNHPNVVRFMGYCYETKKFFENYEGKMVFAESSEMLLCLEYLPKGSLDGYLSVELGKRYFPRCSSALDKVMDGETEPALLGRDKRSLKRKFHDLQDLVLKAFKEDTRCPRRDNASATAQLINWSKLPWLAEVGLNKGSPRSPRSEGIPPADIGPYLCAGWILLSRPI >cds.KYUSt_chr2.39323 pep primary_assembly:MPB_Lper_Kyuss_1697:2:243699463:243702484:1 gene:KYUSg_chr2.39323 transcript:KYUSt_chr2.39323 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSSMCGGDAAGMKSVVWFRRDLRVEDNPALAAAARTAGEVVPAYVWSPEEDGPYFPGRVSRWWLSQSLKHLEASLQRLGAGKLVTRRSPDAVVALLQLVRDTGATHLFFNHLYDPISLVRDHRLKEMLAAEGIVVQSFNADLLYDPWEVNDDEGNPFNMFMPFWNRCLSMPYDPPAPLLPPKKINSGDLSMCPSDDLIFEDDSERGSNALLARAWSPGWQNADKALTAFLNGPLFDYSVNRKKADSANTSLLSPYLHFGELSVRKVFHLVRMKQLVWSNEGNHAAEESCTLFLRSIGLREYSRYLCFNHPCSHEKPLLAHLRFFPWIVNECTFKFWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDSRELDHIDNPQFEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPASVLQAAGVELGSNYPLPIVELDAAKARLQEALSEMWQLEAASRAAMDTGMEEGLGDSSDVPLIEFPQDLQMEVHWEPARVTANVPTTAQRRQDQMVPTMTASLNRVETEVSADLGNSQDSRAEVPSRMHFEQRTEGQEMIRSTGNAVRTNGIHHHNNFQQPQHRMRNVFATSVSEASSSWTGREGGVVPVWSPPAASGHSETYAADEDDVSSRSYLDRHPQSHLMMNWSQLSQSL >cds.KYUSt_chr1.37736 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230362515:230364652:1 gene:KYUSg_chr1.37736 transcript:KYUSt_chr1.37736 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLHRTEAFFLGKLRRGNTCLHISSIHGHHGFCNDVLDLEESLLAAVNFDEETPLIAAVRSHHLSLASLLLGRCCQSEFRHAILQQDRHGFSALHHAIRNGHKDLALELITAEPALSEVVSKYNESPMFFAVMRDFTTVCEKLMQNPLCAYSGGQHGWNSLHAAVNNGNKEIAKIIMEKRPEMAREATTVFCTPLRHVVLQGKIDMLRVMLEHDSTLGYEIDNQGIPLLNAAAFRGQVAAAQELLKYCPDAPPYNKTNGDTLLHQAVQADHEEFVEFVLKTPVLCKVVNMQNNNGKTALHYAVQNCNPRIVVDLLSHEDIDVTILDKFGSPAAWELWGFIENAKTLNWNEVVMLMSKAYPQGASALHNLHMQAKQQMTNTSRKDAKSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSNDPGSEGLPIMSKKFTFQAFLISDVLAMCSAFAVAFICIIARWEDYDFLLYYRTFTKKLMWFAYVATTTAFSTGLYTVLAPRLHWL >cds.KYUSt_chr2.6407 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39952956:39956998:-1 gene:KYUSg_chr2.6407 transcript:KYUSt_chr2.6407 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRTSQLALIPNDRICPRINTHIYTLEKNTQRLFAAPHALVLSFSFSTQINKHDELQTNKISSNRTKNCVALVGAAGVGKTAIAEGLAQRDASGRVPAALVGARVVEVDVGAMVAGTTLRGMFEERMKNMIKQAEASNGKVILFIDEKHMLYAAGSTLHNSTTASNMLKPALARGRIRCVGATTFDEYRQYIEKDAALERRFQKVQVEEPTTEATIAILRGLKQRFQGHHGLEIQDAALVAAAHLGSSYITGRQFPDKAIDLIDEACTTIARKMAQIGNENITRISSLTDSVERNLESSTTCRDQKAIINPNMLHNRWTGIPIATLHEEEKDKLLQLRDRLHERVVGQDEAVNLVAQAILRSRAGLDQPSQPIGSFLFLGPSGVGKTELAKALAEQLFDNEKMLVRVDMSEYDSVGSITRLVGAPPSYIGYEDGGQLIEKVRRHPYSIVLFYEVEKAHSSVSNIFLQILDDGILTDGKGRTVDFKNTIVIMTSNLGSKHLTQLPEEISLEVARNHVMKEVQKFFKPELLNRMSEIVIFEPLSYEQMKEVAKIQIKNVVATVAKKGISLSASDDVLDVILSEPHNPSGYLGACERPHRASLSPVQHLSRQANQIKNFTLGGAQDFQLSFHEPEMIAPWKRVS >cds.KYUSt_chr4.9343 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56429917:56434049:-1 gene:KYUSg_chr4.9343 transcript:KYUSt_chr4.9343 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGADKQGMKKAAQKLVQSLQQIVNLPLPEIKAALSACGMDTGAAVARLLSKGKHDIANEEEQNSKSKKEKIEEDNLSANDEDDEDSSSSTHDLDLPTTSSDELDPYIDTDLEENDDGCIFWASGASGPSKLRVGVMESKDEDDEDQMVVFSLSKKKTPKKKTQKSKSLFCKVCKTREFANYHLLRRHNRLEHGNRTIYSKATSGSRVALAPFSLPDQHTISIHIPSGFTTEKHTSAPPLASSTHHPPSPPPRILRAAPDHLLRVSSAPPPTSSSALTCPRSSSSAADGSGGAYLPRAFHRDRGRSSTSRARAVAGASPC >cds.KYUSt_chr5.11643 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75451723:75452810:-1 gene:KYUSg_chr5.11643 transcript:KYUSt_chr5.11643 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSSSVTNAMQMKERFIILGKLISTQLPQAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHSALNFAAVTEAPVIFFCRNNGWAISTPTTEQFRSDGIVTRGQAYGIRSIRVDGNDTLAVYSAVHTAREMAIAEGRPNLIEAMTYRVGHHSTSDDSTKYRPADEIEHWRTARDPVSRYRKWVQGNGWWCDAEETELRNSVRQEILQAVQVAERIPKHGLAELFTDVYDQVPPNLREQQRSLLGTIKKHPSDYPTEVNL >cds.KYUSt_chr5.40697 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256942996:256944450:1 gene:KYUSg_chr5.40697 transcript:KYUSt_chr5.40697 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDLDPPAYGYLDDDDDDDYYYYDDDLMEEDVEDDQSVSDESVDGEKDYPYLNPPPYGYPDDGERPPFVLIEPNAYFANRDNATTASCKIRDLGGTFKVTFCTAHPPLVSYLCVHATAFDHTEFAVEPHIIATETNGSLILLRFVIGRDPSDMMSIARRQYFIYDASVPSLKHLPHPGFHIFSEHAVAIVRKCNKRSQGSRNDHHYSGGFILRPHGSSQEDHNCSNSNCDYVLAAHSGFCQQSSELCLYQSDTETWSMLPVVLKSYTRTSHRTSKTLTIGGDKGTVAWVDLSRNILLCDVLDKNPNLRSLELPPPILPTDAQDLGTPRSVRDIALLGSFIKYVDLQPFPLSSTSHAWKAAIWSIKTGSSSPKDWHMDYLLDSTEIPESSLPKLRVEEDSAQPTLSTLHIGLPLLSLLDDGIVYFLTKIDYRSISHVAWVLAVDMRNKTVQKVAEFSSRRTVGLALGYIASRISNYLKGAPGN >cds.KYUSt_chr3.7282 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42127651:42128460:1 gene:KYUSg_chr3.7282 transcript:KYUSt_chr3.7282 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMQRLVLLILSVAITASAQGPAAVAPAAPTTPTPAAPTTPTPAAPAPAAGTTNITGVLAKAGQFNTFIRLLRSTGVATQIDSQLNSSSGNGLTVFAPTDNAFTSLASGTLNSLSDSQKNALVQYHVLSTAIPMSQFDTVSNPLRTQAGSSSPGEYPLNVTSTGGQQVNISTGVVNATVDNTLYTGDQLVVYQVSKVLLPMAIAGTPAPAPAPLAPTKTKGKTPASSVADAPEAEASTDVSPAPVRVVTGGGVAVAHVLALACVWWGL >cds.KYUSt_chr6.3767 pep primary_assembly:MPB_Lper_Kyuss_1697:6:21691646:21692620:1 gene:KYUSg_chr6.3767 transcript:KYUSt_chr6.3767 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTTTTCTAASAASAHANGAASASLCHGQIFPCLSCSSRISPAPALQEGGVAGATGRGADAAGIAGTSGLHRLLPLLFLPHHHFKRLALVELTTGCKARVDDMYMYASAGGATSSDSVCYNRHRWPLQPPTSTTATSVGGGRCKLHWRLLQGAVAAATIGVGASPAATIDGFRLLHQRRRLLQGVSPHATRSCGGCYHRRRRLVQGASPAATGGGGGCFKRRRLLQKAAAAAASRGHAGFYMGHRQLLPSAAAPATRGHAGCYMGHRRLLPSAAMAATCGCGGGLLEMASTFSGGSLWARGVAAMGFGVAALVPGQQLARCC >cds.KYUSt_chr1.28818 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174318955:174320454:-1 gene:KYUSg_chr1.28818 transcript:KYUSt_chr1.28818 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAFSALVGELAQRSISFFIDRLSKPEKLAMPTEETLRRKLLRVHVVVEEAEGRQITSRSMLEQLKLLREAMYRGYFVLDSFKYRSCQEPESNKEDNDGDIGVTRSFALSKINSAKRVQLLLGRSSRGAIGEDDLRRVLLRLDAIITDTGEFASFLAGYPRLRRQPHDTYMLLERCMFGRHVEMEHIINFLLQRGTGDLEVLPIVGPSKAGKSTLIEHACNDERVRRAFSRIVFFTEDDLGDEEGGTIKHESRRRALKDCKILVILELEGDIDDDAWRKSYAASKSYPAGDRRMIISSRSDKIARFGTAQALRVRFLTPEAYWYFFKALAFGSTNPEAEPKLASLAMEIAADLNGSFVVGNIIASLLRANFSAKFWRMAMSTVRECRRRYPFMFGALALPVSPWQLAEQAQFPLIGTTGQCLSMSNDRQIVSTGVEAPLIKMRDVMTGSVVLPDGKFEALAWKSTIPPYYSYTFTCEMQKPRPVPVRKKRVYDLTFNC >cds.KYUSt_chr3.18198 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111788902:111791913:1 gene:KYUSg_chr3.18198 transcript:KYUSt_chr3.18198 gene_biotype:protein_coding transcript_biotype:protein_coding MHAACTNTGVRHLPFSSRLVCPVPAPEQRQPNHRAPAPRPRPDRRAPAPRPQSAIEATLALSLSVKLQDEEFLAKLTAIAASSASATFPGKPLPHCRLPTTRASIAAFLTTHTPAATFLGKPPPSHPCKPISLVLRMRCHEIHRR >cds.KYUSt_chr2.55545 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346474945:346477491:1 gene:KYUSg_chr2.55545 transcript:KYUSt_chr2.55545 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKKLPPESLPGRSPSGGGFQPITIHVRINRDRSYLPRLVNQGVVPSVEMAIEKALYWPDGRRKKRSKSLVAEQTGSQVHRLVEALVDKHNEDHNLPGDLAYELKDVVHYREFCEKRTWFYHLNFTMTKVAGLCYGCTNNGSVNMKHPNSVDEYIGGHLDVRSSFGCHAEWSDSDDDVEAKKKELRRLFTGSDDPNVKTILWMHPDEVLLEED >cds.KYUSt_chr7.4977 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29837950:29838440:1 gene:KYUSg_chr7.4977 transcript:KYUSt_chr7.4977 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSKEEFLARFGADYGYPDAPRGVDEMRAADFKRLEGTVYLDHAGATLYSEPQMADVVKDLTSNVYGNPRILSSPSRETLLFFLVIDTCAVNSCGCGP >cds.KYUSt_chr1.39123 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239200350:239201378:-1 gene:KYUSg_chr1.39123 transcript:KYUSt_chr1.39123 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMYKQLGLGATGSPVTATHLLLLVLGAGFLALTVFVVHPNDFRLQSFFSGGGGCPGTPTDLHGAAPVTMQRADTPGVSPDEHPGARVLIGIQTMPAKYERRNLLRTVYALQVREHPALAAAVDVRFVLCNVTSPVDAVLVSLEAMRYNDILLLNCAENMDGGKTYDFFSTVARAFPNGTYDYVMKADDDTYLRLPALTSWLSAAAREDAYLGLVMPCDTENFYPFPPFMSGMGYVLSWDLVAWVATSETSRRDHVGPEDMWTGRWLNVAGKAKNRYDGAPRMYNYRGDSPVNCFRRDFHPDTIAVHMLKDDKRWAETLAYFNATAALPTSGLYHLPSVP >cds.KYUSt_chr6.27114 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171985666:171990270:1 gene:KYUSg_chr6.27114 transcript:KYUSt_chr6.27114 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWVLAVHNFYKAMILDEVLDAAARKAGTLPVLARRRTPHAAFAYKKGGVFFQDKWNWDSAIFNSQQGAEKMGIGDASPSETRDETMPLLPFNEEQGILGYSIHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIVPGILMIVLVAFLTEASIDMLLRCSHEGEITSYGRLMGNSFGRWGRIALQASVVINNLGVMIVYMIIIGDVLSGTSTNGVHHRGVFEGWFGSQLWNSRPVVLLATTIFVFAPLVSFKKLDSLKYTSAISVALAVVFVVITAGIAIVRLIQGTAEIPKLFPKIDENNSIWELFTAVPVLVTAYICHYNVHSIDNELEDRTQTKPIVQTSLALCSTVYVATGLFAYLLFGEGTLSDVLANFDSDLRIPFSDVFNDVVRVSYVVHVMLVFPIVFFALRLNFDGLLFPTSGHISLDNRRFTIISISLLVVIYLAANFIPSIWDAFQVTGATAAVLIGFIFPAMIILRDSYGVATKRDKILAVTMIVLAVLSNLVALYTDALNIIYRKAEV >cds.KYUSt_chr3.11366 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67691415:67692689:1 gene:KYUSg_chr3.11366 transcript:KYUSt_chr3.11366 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSGLAAVLLAPGEEPRGRAAARALGRESVGGSEEHDNLPPAVYMRPDNKWRPVSDINVGVGSSYGMPGHKKDALTTDDIADQRKTNNPYSCQLSS >cds.KYUSt_chr4.3813 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21767316:21767918:-1 gene:KYUSg_chr4.3813 transcript:KYUSt_chr4.3813 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSSSTSLALIVSSSVLLLLLLATAPILVVDAALQDVKATCAKTPNPIFCTMVLSADPDIKTATTERALAELAIKASARLGATAGSYARRELDLVKDNLLWQCLDECAQDIEDAVSHLDDAEGEVDDAKFNLVAQYLQLSEQDTWSCDESCRDTPPCPVRTAVLAKNNDFEKMMNITNALIKVVQASAKPATALPAKP >cds.KYUSt_chr1.10893 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66586809:66589449:-1 gene:KYUSg_chr1.10893 transcript:KYUSt_chr1.10893 gene_biotype:protein_coding transcript_biotype:protein_coding MILLRRSDIGLRVYRGLHGDQDASKLRASADGLLRLAAAAGAGNSPSRSTGRVDQLRLEDKKPDGTHDDTTS >cds.KYUSt_chr5.8906 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56621121:56621435:-1 gene:KYUSg_chr5.8906 transcript:KYUSt_chr5.8906 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTRLSAEKAVVIFTANIDCPMSHTVTTLFSGLGVGAVVHELDKDPRGRDMERDLARRLGRNPPVPAVFIGGKLIGSTDRIISLHLGGKLVPMLKAAGAMWL >cds.KYUSt_chr7.4929 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29577044:29577418:1 gene:KYUSg_chr7.4929 transcript:KYUSt_chr7.4929 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEKFSLKPCNQAEDTAPKRKEAPTASLDGCAPKRREEVASEKEPWQAVDSQLTVLEGEEGDDSDYEEYMEGIEVRYAANVQRLFGNDPNVVFVDFPSCCVRPPGVEFPKPCTPSPDGQNSE >cds.KYUSt_chr2.34166 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211103451:211104317:1 gene:KYUSg_chr2.34166 transcript:KYUSt_chr2.34166 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSEWAVAPAVSAPSTSTMSPGAILTMSAIFLLFLTLALVIIYLQYYFNTNFRPGPSGGVVAVSGARDKGVDPELLRSLPVTVYRAVVPKGFDAEDVRVECAVCLSELEDGEEARFLPRCGHGFHAQCVDKWLASHSTCPLCRVTVAKHDESLTASTSLPPVAPEPANFAANLPASVLLGVSDQATLTAVTSVTSDEGHPRPSGSGLATEEVLVIDIPDSRTPATPPHDATKTPSSPSLRSLKRLWSFGQGPSVPTSSCCWGSGSGSEGAEQVINIACATPRAQLV >cds.KYUSt_chr2.49941 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312384158:312384469:-1 gene:KYUSg_chr2.49941 transcript:KYUSt_chr2.49941 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr1.6818 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41935348:41937228:-1 gene:KYUSg_chr1.6818 transcript:KYUSt_chr1.6818 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFSVSRFHVVADAGSVPCQNACSWWVRSIAGLAGPPDHVVRHDCRMAKRQPSGGAVPEGRVVEAAAVAGSGSGVVINLHAMQQLRVGAGLLGRDVPSAEATSTVEASRMPVAAA >cds.KYUSt_chr2.54995 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342904846:342905942:-1 gene:KYUSg_chr2.54995 transcript:KYUSt_chr2.54995 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRFLNLVMMDMRAGGSYWLSRMKPEENLFYSSAKEAVAQDSQQRRRGRDKKMDESTLDLPSPLMRFRSSRVLDSTLAFLPFYGLAGSKVVVADSGGGTLLYDAQDDSTEILPSINNGVKWFIPISLCVTNPNKAVRPDALYAINGPNSGSFKSLVYCDAPHVMAWRWLHLPGPPYLNNGEQNRAILHEWTKAGTWKLPFVGRALQVPELYNLYFGFHDDNPDNLIALDLPSPPLQGADAPPKVLLQWRGLCSPHQDRCSWSLMDSSLLYLGNYRFCITKWFSIYDGSPFEADDLVDMAAVLTGVEIVNGQGNKEKLQMVKHKTRTFIYEYRNLESVL >cds.KYUSt_chr7.12731 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78427902:78430661:-1 gene:KYUSg_chr7.12731 transcript:KYUSt_chr7.12731 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGSNQNHQRGCPQHTSPLTAAEEADKDQPIHACSIDGTDFAPHDKAILRALLCYTAGLCACSPFFCLFSDKTIRFCGAAIHNDMKMLCSYGIDIPSAFDLQKIIPNPTKNPIPSVYDLANSTIGTKLEKEKRKRDKKKNKKKDHEEEEEEDELFFGWGNVPISYEHVQCAALDAHLGFELQ >cds.KYUSt_chr6.26512 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167917487:167918198:-1 gene:KYUSg_chr6.26512 transcript:KYUSt_chr6.26512 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAILAAVERLPRVRQQGSPHQELLSDAMYVFLINMQDLVHESMELSGQMTEQQLNGSSQLFQASHSQQLTLREPMLPAKQQQATRNL >cds.KYUSt_chr2.52898 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330057942:330061908:-1 gene:KYUSg_chr2.52898 transcript:KYUSt_chr2.52898 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLERSTIKAEEGERKEEQQQQQEKEAQQGLTRPPLANGSSRPGMFPMSSPPANPTQLTIFYGGSVCVFDSVTPEKAQAIMLIAAAAAAATKSNGTNAVKPLAMPLTNAAVSPVLTRSPSLQSTSVATGQLQALADPSSICKLQADLPIARRHSLARFLEKRRDRVVSKAPYSAVKPSEGMGASGIEMAAEGMAQ >cds.KYUSt_chr4.48998 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303533389:303537649:-1 gene:KYUSg_chr4.48998 transcript:KYUSt_chr4.48998 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGGGGRDLAAWILTVLGSYLLLLLLRRSEGRGKEGVGASFNNEAAEHRLKKCGSGGRRPFLAGLSGEGESVRPLVRSVVDKLLADRGGEEELAQAGANSSASSRRSYLYWIQLVVAGHRLGFSLACRGGEEGEAADLPSSAYRSQSLPKWCYRSAATTVLHKRRRLAPPLFVASHATCNSGAELTMSSSSSASPGRRCCAPELLRKLFFDLSSGLIVDTTPSGMFPGGGVDSRAARSPDCGGEDQGLDCFSIFSPRGIALFQRLDVIGTMENLVVYYGDVVRDEFGGVDFSNCDSMEVAVIDMVNRAFADVRKIIRAPFGQGMRGQRMTVEALIVVEGNGPARWGLREVKNDTNWRTYMRFASTPGAAMYGRPMVYVKFISATDDAGSSRSAAEEQLSITAGPSTGLSDQLAITAARSIDPSEQMPSHHNVDPGYWSAVVDISEHVEGLRMMMLVHLRPNHRRMKKE >cds.KYUSt_chr2.41593 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258743732:258747412:-1 gene:KYUSg_chr2.41593 transcript:KYUSt_chr2.41593 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAWWSKETVAVVTGANRGIGHALVARLAEHGITVVLTARDDERGEAAAAPLRDRGLPVVYRRLDVADPASVAAFADWLRGTLGGLDILVNNAAVSFNAIDTNSVEHAETVLRTNYYGTKLLTEALLPLLRRSPATSRILNISSQLGLLNKVRSPSLLRLLLDEENLTEAKIEGMVSQFLAQVKDGTWEGHGWPKVWTDYSVSKLALNAYTRVLARRLQAGSERVSVNCFCPGFTKTDMTKGWGKRTADEVADLGVSLALLPPDKLPTGTFFKWSTPQLYSKL >cds.KYUSt_chr3.36404 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228973894:228974202:-1 gene:KYUSg_chr3.36404 transcript:KYUSt_chr3.36404 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRHHPESTMAAALRLESAEDLRFRLTPHHHQRHGRRSFSSRARARAPPPPHAEIWILSMGVGRRCRAGGGKGEPELDGRRAGEGLALEFMAVRAECGGD >cds.KYUSt_chr1.36022 pep primary_assembly:MPB_Lper_Kyuss_1697:1:219490974:219493497:-1 gene:KYUSg_chr1.36022 transcript:KYUSt_chr1.36022 gene_biotype:protein_coding transcript_biotype:protein_coding MADQCSRWSLPQRRLAKPIQSDLPRRLRRDLDYIHCRKKEELQVYSDTYRTNIKVNSNEHGLQYKENKKTGYVRTKGDERYLRSWVAWLRRSSTTRKSSGDKVRLSTSVGVERWVAGLSRAVEINRDM >cds.KYUSt_contig_1253.1013 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:6338942:6343362:-1 gene:KYUSg_contig_1253.1013 transcript:KYUSt_contig_1253.1013 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPVQKNTLYVGGLSEEVDEKILHAAFVPFGEVKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMKRLQAEHSAAMKEAEKLHREKVAAEKDGEKDEADPMAAAEAQAVKQSS >cds.KYUSt_chr5.14677 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95107368:95108612:1 gene:KYUSg_chr5.14677 transcript:KYUSt_chr5.14677 gene_biotype:protein_coding transcript_biotype:protein_coding MEAACREFFALPAEDKAAFYSEDNKKTNRYFSGSTYQTGGNKYWMDCLRLGCSIPVGDSKNNWPDKPQNLRAVIETFTVLTRDMGMELLRLLCDGMGLRPDYFDGNLGGADVIVTLNHYPACPDPSTMIGLPPHCDRNLLSLLLPSTVPGLQFSHNGQWIDVQPLPNAFIVNFGLPLEVVTNGMLKSIEHRVVTNTTMARTSVGTFITPTKDCLITPAEEFLGEENPPRYHAVNYGDFNRIHSIAKHGLSSVKTTDLKKIEESLSTET >cds.KYUSt_chr2.51156 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319904859:319910001:1 gene:KYUSg_chr2.51156 transcript:KYUSt_chr2.51156 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDDDAVEEGVGESSWPPAAAASSGGGPPLQDVRKGIYHRLRDMGNEEALTDPFFEQLLEEHYERLPISYSIDLQVDKAEDVLLHRRILAECAHPDKRPVFHARFLRCLQEEKPKDAPSPPAENANGGDALPSSARQAEFPCERMMGDLSLGRSKGVDDFEAISAWRDTPEIRLVHEIIFSSIDKPKLLSQLSALLSEIGLNIREAHVFSTTDGLCLDVFVVDGWETEETDGLLQQLKETAKRSHPSLSNPTNSSTSERIRELQEKIGDSEFDRNLLQPMDKFASGSSGDLYRGTYLGMDVAIKYLRAEHVNDSSNVEFLQEIMILRSVNHENVVRFYGACTKHRKYLIVTEYMPGGNLYDFLHKKNITLELSVILRIAIGISRGMDYLHQNNIIHRDLKTANLLIGNGQVVKIADFGVSRHRSQEGDMTAETGTYRWMAPEVINHKAYDHRADVFSFAIVLWELVTSKIPYENLTPLQAALSVRQGFRLVIPSTVHPRLSKLIQRCWDENPHMRPVFSDITVELEDILHSVQASSSKGAHRNTKPKIQMKSQ >cds.KYUSt_chr6.6004 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36082847:36083797:-1 gene:KYUSg_chr6.6004 transcript:KYUSt_chr6.6004 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHTSHVFALVLLLSLAHGSLGAGRRLMELYTPQPSELLTYHNGTVLRGAIPVSVLWYGRFTPAQKAVVSDFLLSLTAASPAPTPSVSQWWGTIDQLYLSKAKVTAAQGAKTITQVTLARQVSDERCSLGKSLKLSQLPALAARARPGKGGIALVLTAEDVAVEGFCMSRCGLHGSVAGARAAYVWVGNSATQCPGQCAWPFHKPVYGPQAPALAPPSGDVGMDGMVMNVASMVAGAVTNPFGDGFYQGPKGAALEACTACPGVYGSGAYPGYAGNLAVDATTGASYNANGAHGRKYLLPALFDPATSTCSTLV >cds.KYUSt_chr5.32871 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208376210:208379135:-1 gene:KYUSg_chr5.32871 transcript:KYUSt_chr5.32871 gene_biotype:protein_coding transcript_biotype:protein_coding MGMATRCILLLLSVSAVFLLFNFEVLEGALRLAGHDETWIDGSTATTHDIVDRFGFLPSSNVAQKIKEVTEGVLKAVQTVLPWFKSPEVTFCHQKGTGLSVQQLEALVKMKPTKEEEEKLLVYDDDIDMLDPAEKFVKLLLAIPLAFQRMEVMLYKETFDDEVVHIKMSFAMIQGACTELRSSKLLLRLLEAVLKTGNRMNIGTLRGGANAFRLDALLKLADVRGADGKTTLLHFVLQELARSKGSKAAEKLGETPRSCHATLAEREEYCKTGTEFVSELSNELGNVKKVASIDLDTMMKSISNLSRGLAQLRDLIEKDLPRNDRNKEFLQYMTTFLNYAENTMQELEVGKAQVLHHVGELTEYYHGEVGKDEPNLLHIFVIIKDFLGLLHRVCREMRGKKQNQPLNLVLPLR >cds.KYUSt_chr7.24229 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151047689:151048139:1 gene:KYUSg_chr7.24229 transcript:KYUSt_chr7.24229 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLQVSKNPAIAPHPPSTARIPTTFVIVYPHASSTVPPQLQWAWATRANAMIPKPCRSGPHLRARRKRQAERPLQLPGLNPGASEDLKF >cds.KYUSt_chr2.5864 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36464732:36467505:1 gene:KYUSg_chr2.5864 transcript:KYUSt_chr2.5864 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLRSASSAAARLQPALAGRRRYLGTAAAAAEAEVAVAVGAVGARWEPMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTLGEKYGVKYSEDEILMRYRQAYAQPWGRSRLRYVDDGRPFWQHIVSSSTGCSNLEYFEELYHYYTTEKAWQLIDPDAKYVFEALRRAGVRTAVVSNFDTRLRPLLQALNCDHWFDAVAVSAEVAAEKPNPTIFLKACELLDVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVHSFKEVAERIGVSVGMGNSM >cds.KYUSt_chr2.44885 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279563175:279564647:-1 gene:KYUSg_chr2.44885 transcript:KYUSt_chr2.44885 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEAIAELGEGGGSAEAAISGFIRARHPGVPAAHDRFLRHYLAKHVAEGLFVRAATGRYSLPLDDDEPEPVLELADAPPPPAEPKRGRGRPRRDGSAPAPTPTPKPAAANEGRSQSPSAAPKRRGRPPKDRAQAPAAAVAPAAAVAPAAAVAPSAGSPATEGKRGRGRPRKDGSTPAAGKKGGRKPPSTTTKRGRGRPRVLPQTTAADVSGEALATDSADGPSTTDNNDGQQRELALAVANDGSTAPSVTGEDDSGDTPVPERSTQPCELALVEGSALTLAADKEVGTQAPLEGSAPPLVADKEDGTQAPSEASPPALVVVKEDGTQPEGSAPGLGTNKEHGTQAPLEGCEPPLVADKEDGTQTPLKGSAPARVADKDDFTEPLFTKHKRGRRTCRSAPAKATHAPAWTSIAENMAGSKALSAPPKSNDRQCKPASVQIKPRKMLLLNADRPVPIKPQKMLLLNADEVPDHPGFCVLALPAPVPTATKA >cds.KYUSt_chr1.26678 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160839977:160846373:1 gene:KYUSg_chr1.26678 transcript:KYUSt_chr1.26678 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTAMLDATARQAPHQSTSTAPPRRRFTAEQMFLSLRRFLRRLRAMALSSADDTAAVSEDDRRPWEPPFDASKPAPPISYPITDLAALASRSYLSEASNFHLPFNRASTPLPCPGAPLPARRRLLVCHDMQGGYRDDAAPQGGANPNAYALWHWHLMDVFVYFSHYLVTLPPPCWTNAAHLHGVKVSFSHLPPPMLQHSQLQEWEKGAEICREMFATKDSAHMYAERLAELAAALGFDGWLINIEVKLDTQFIDNLKEFVNHLTKTMHAAVPGSLVIWYDAVTVNGDLSWQDKLNKYNKPFFDLCDGIFVNYTWKEKYPRDSAAAARDRKYDVYMGIDVFGRNTYGGGQWNTNVALDLLKKDDISTAIFAPGWIYETNQPPDFQSAQNRWWGLVENSWGVLQSYPKELPFYSDFNQGHGYQVCYEGLQVSSDPWNNISCQSFQPMLKYTGDEVQTLVQTSINFKDEQFSGGGSVTVNGSLEQNVIFSEQLFNGGLYMENGSVLLFYSVNADANCAVGLSLDLSSRNKENTSILVADDIATFDRKKQNRMYSLYVQSDKVAPHASDNQNWVLYQATVQSSASYTLTGINIVCTLKTTGEVNTESEEDGSSEANGSRTLPYHALLGHVSIRNSNDDKLFPPAKSWLIEGGYISWSKNSNTSKLLSLKVSWKLNTSRQASFMKYNVYVEKLTADSNAKASRSFLGVASVEAFYVSNLQVPDEVTSLKFIIQACGHDGSCQELEECPKLFLVPVDHSV >cds.KYUSt_chr4.24472 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154092527:154092905:1 gene:KYUSg_chr4.24472 transcript:KYUSt_chr4.24472 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRRVALCFLLALVILHANPTSIAAGDDGKPEVCKYRQPVVPFCKDWSCKAECWIKAKLFLARVEEHRCIKGGLLGVCYCLFCGKHLALD >cds.KYUSt_chr3.38687 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243764229:243766093:1 gene:KYUSg_chr3.38687 transcript:KYUSt_chr3.38687 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLGVKLAPTMVADITGLGTFAIVFNVVSVMIDTAPLCVLLFYPDLKEAKKYFTEQGFATGAVMNLMLMVYVYFVADDQHPDVLFVSAVGFILGTAYTFFLLAHRVVTADRACMPRFWMFVVLLTFLGACSGLLSGILMQYRGDGYIIFWMLFVALVALNGLTLFPIMPKCQLLFSAVFGFRNPSNEIFSELDEINAQGPILSRSFQKTEEETKWGHEVAKLQGGAAQALAAPACSVGPSRRLLTYPSAYLKPP >cds.KYUSt_chr1.17018 pep primary_assembly:MPB_Lper_Kyuss_1697:1:98767369:98773310:-1 gene:KYUSg_chr1.17018 transcript:KYUSt_chr1.17018 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWARLGSFKYLVLAPWVVHGLHVVATKGWREADLGYMIILPSMMLRAVHNQVWITVSRLQNARGKRQIVERGIEFEQVDRERNWDDQIILSAILFYLGALHLPGGQNLPLWRTDGAVLIALLHAGPVELLYYWFHRALHHHFLYTRYHSHHHASIVTEPITSVIHPFAELVAYELLFSIPLIACALTGTASIMTFELYMLYIDVMNNMGHCNFELVPTWLFRWFPPLKYIMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDKLHENSLKNKDESVDVVHLTHLTSLQSIYHMRPGFAEYASKPYASKWYMRIMWPLSWLSMVLTWVYGSWFTVERNVMKKLRIQSWAIPRYNFHYGLNWEKEAINSLIVKAICEADKKGAKVVSLGLLNQAQSLNGSGELYLQKYPKLGVKLVDGTSLAAAVVVNSIPKGTDQVVLAGNISKVARAVAAALCKKNIKIIMTNKQDYHFLKPKIPEDKADNLLLSKTGTANVWVIGEGLDAAEQFRAPKGTRFIPYSQFPPRTVRKDCCAYSTTPAMSVPKTLQNVHSCENWLPRRVMSAWRIAGIVHALEGWNEHECGDMVLDMDKVWSAAILHGFCPVAEA >cds.KYUSt_chr7.4175 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24913863:24927538:-1 gene:KYUSg_chr7.4175 transcript:KYUSt_chr7.4175 gene_biotype:protein_coding transcript_biotype:protein_coding MESASEFRLYRGSGEGILEVVRLNAVGPPRAVDGDLHAPEVDGAGAGAGADIFVALVQGGTVVDFARLVFRGGEPTDADGGEDCYSTNKSTSDEDSAAASSVPSRYEVHESPENSFGFGSAGLMVDLEDGQWMNPKWEGEGDDLNAEGIVLPHSASSDTDDSSTKLGQTKLWRSAYHSNSSSDDEDADQDEDKGQHKKHRYQSIKTDDDPKSGDKCNMTDGFSSSSRSATKEDLISEAHDENGFSSTKLGQSKFWRSAYHSNSSSDDEDADQELDQHEDSGQEKKLRYQSIKTDDDPKSGDKCNMSDGLSSSTRSATKEDLISEAHDENGDSSTKLGQSKFWRSAYHSNSSSDDEDADQELDQHEDSGQEKKLRYQSIKTDDDPKSGDKCNMSDGLSSSTRSATKEDLISEAHDENGDSSTKLGQSKFWRSAYHSNSSSDDEDADQELDQHEDSGQEKKLRYQSIKTDDDPKSGDKCNMSDGLSSSTRSATKEDLISEAHDENGDLSIVEQDPYTGKEGQDDDRSVQINARLFPAQKHAIIKIFSGGEWVFTKDYALVWLDLQDMPESTLKVEFGAPFATILGFRNTDYIMDFKINQLKDSSEMLSDVMTLLKPSICDFQIIKKVGGQGACKVFKCLFMGRRCALKSLTQENTCALEPREIEVISNLKDTHVMTFYQAWIEPWAYKGKTEQNYLFIHLEFCPRITSIDIIRNDDIMMDGDQSFLDTVGFGYSQTQPQSPIGEQATPSTQHEARPSTQHQARPSNKGKNWSTDEDKVVVIRNSELTYYGDSDLEDFAYEEDIPEQIEIIRRGVSQLSKSECKSEVTGSSQLDHTKQEDNKDPYDIDAEMSRQRKYEAVRAMIFVSEEAAYYFYNKYAKEHGFSIRREKKKERLDELGTPTIRYRRFLCSRAGQRESKYLEMKDRTYRHRPESRCNCGAHFSVSYNRKKGVWTVLRFDDNHNHKPATADQIAFMRSHRKIKAHQKSRIMSLGAAGMRLFNIMRTFIE >cds.KYUSt_chr1.35646 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217339356:217341977:-1 gene:KYUSg_chr1.35646 transcript:KYUSt_chr1.35646 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSHVLGSKNLALTLQDEEVKTGVAPNLFGFFQKSKTRKEPHPETGSLWVNDLAEGQCGAYRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIADGLVDPSTIPSLRQIRRGRTSEQPRVETRPRASDLAIEKLRAEMEERERRHQEEQMQMQQQLRENMQMQHQMLQQMQQQQQMFQQMFMNQTVLTSPPGSSGPSTSCPPMFPHFIPTPDPAVMALLQQAPSQSPLTPGLTVNNTGIIRSLQQFLVAFKLYDLRGTGFIEKEEIREMVMAILDEANLRLSDFAVEEIIDNVITKILLANHSIFRAVFVRFNMHVLFDCQTFNQADSNGDGMIDPKEWEEFVKKNPACLRNMSLPYLE >cds.KYUSt_chr6.21880 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138006000:138009906:1 gene:KYUSg_chr6.21880 transcript:KYUSt_chr6.21880 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLLRSFVEVPRDSHFPIQNLPFGVFRRRGQPEHPPRPAVAIGDFALDLAAVSAAGLFDGPVLSGSPCFLQETLNMFLGMGRPAWKEARATLQKILSADEPVLRDNEALRKSCLVPMSDAEMLLPITVGDYTDFFCSVHHARNCGFIFRGPQTPVNPNWFHLPVGYHGRASSVIVSGTDIIRPRGQSHPIGSSQPSFGPSQKLDFELEMAAIVGPGNELGKPIGIDNAEDHIFGLVVMNDWSARDIQAWETIPLGPFLGKSFSTSVSPWIVTLDALKPFACEAPKQEPEPLPYLAEKNHINYDIPLEAWIKPKEQSDPSVVTKTNFKHMYWTVTQQLAHHTVNGCNLRPGDMFATGTLSGPEPDSLGCLLEITWNGQKEISVGNSIRKFLQDGDEVILTACCKVQ >cds.KYUSt_chr7.12544 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77309506:77310633:1 gene:KYUSg_chr7.12544 transcript:KYUSt_chr7.12544 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLARAARFLVLLQIALFVISAVIMSSSVCHGARGGIAFNPLDPHRPVCNGGMCPSPGKPYTGRGCRGEYNCPPAAAADNGRHGELLLLELDELRIHVADAWWAPAGTPRRRAPDGHDPQSFPLLALARLNSLPLRNVAELHEGYNIGFVVVDEVHVLGNLDRQGANSSLASMPQSPLAGVG >cds.KYUSt_chr1.1411 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7707355:7708538:1 gene:KYUSg_chr1.1411 transcript:KYUSt_chr1.1411 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALLAVIASLLGAVSCEFPVYAGYGFPRPNPNMPFAFPFPPFFSPASPAPGLRVGYYTHNGRCPQAEKIVRDAVEKATAGEKAGLIRLFFHDCFVQGCDGSVLLSGADTERTAFPNLSLRGFEVIDAAKAALETACPGVVSCADVVAFAGRDASYSLSSGRINYRVPAGRYDGKVSRAGDTFQNLPPPFGDLNLTTAMFAAKGLSQDDMVVLSGAHSIGRSDCSSFPDRLPPVANSSTTMEPKLAQQLTGTCSAGGSVNVLQDAITPDKLDIQYYTNVLSRNVLFNSDASLTTSTETEGLVEFYAGKRPIFRGKFLGPIQWNHDFEDAMVKMGYIGVKTSAEGEIRNTCAFINKP >cds.KYUSt_chr4.28176 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177055028:177056131:1 gene:KYUSg_chr4.28176 transcript:KYUSt_chr4.28176 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGSSVLVLTCVVILVASSEAQLEVGYYNCSCPRAEELIRTVVRAAIRRDPGNGPGLVRMFFHDCFVRGCDASVLLDTAPGSNATSVEKKSLANNPSLRGFGVIERAKRVVERRCRRTVSCADIVAFAARDASSIMGGIDFDVPAGRRDGRVSNLSEVLDNLPPPFFNSSQLVASFAAKNLTADDMVTLSGAHSFGRTHCSTISFRLYPQIAADMNATYGRTLRRQCPAATGGQDPVVPLDLVTRLRLDNQYYKNVQTLEVPFTSDATLLTQNDTAALVDLYARNRTLWMSRFAAAMVKMGNLDVLTGNQGEIRKFCNRVN >cds.KYUSt_chr3.43011 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271884300:271887094:-1 gene:KYUSg_chr3.43011 transcript:KYUSt_chr3.43011 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAAASLGKVFRIVALGGAVVELSGFVRDLCFLFFALCFLVAVLATGTVTVWVAAAFVSLYAAYVVLVWTSQCCAGAGKPDLAEPLLLDGDAPTLPSHSAKPTDPAKNRVFNASPHALTMPLYLPRRPTIPDIAGTAGASPTPWPPPRRAPVLVATTFTSRSAAAASLDHGHGAGILLAAAFPGLLLAALAASTTDAGSAPRSRRHRVPWLAAGFPMSVSWAYTPARELVALLVAIGYMTAVSACYAGPLFNTVVGLGLSLTLAAGAQYPAPFTIPADGAVYETVAFLFAGLAWALLVVPARGMRLGRLYGVGLIVIYLCFFGTRVLNSLGLW >cds.KYUSt_chr5.18945 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122662380:122674507:1 gene:KYUSg_chr5.18945 transcript:KYUSt_chr5.18945 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARVSIPAAVRRTIQNIKEIAGNHTDDEVYAALRECDMDPNETAQKLLHQDTFHEVKRKRDKKKEGNKESADPRWRSGTQGRGGKGGRGNYSARQSSNSSDSTGRNALAGKEIDVNPSMDKCSSSSAVNPITATKTPTSVSLSGGLSNGPSQTPAAMAKNSLSGSHLPSSDSKGPADLKSTPEEVVGLVSHVSQTSTLPPPSKAGVCTSIADSVLTPSLEAHSQCETIANKHASRSQRAAGLSSDDVPAVLKAVPNKEWKPKPTNKPTQAENVTHGDVPVTVKDAPQSVLVSTSVHKEDISSEMDKKLSDMQLFDKQQVIIPDHLQVTESEKYGISFGSFGTSVEHPTGFPNEHENAKISMLPEYESSPEVEEAVEEPASSSYHGASSTVQAATEPGHQQLTAEITDNISRQEVQQPNDFVANYYTYRPSVDADCHLSPITAPGAAVKSGNMSVAPAQTGQAQEFYVDYHRNSTQWCCLHPDPLHLPPQLLESYQVLFLFHNNLFLCSDSLLVYMYLIINQAFSHIISISHRSMFRHMRFTISWAVLLFLKLLHLEACIHQVKATLSGFLQLDGIYLPYNLARSMVCLHRGSILHLPLPKLVMVRMEGCTTQHRLLLEVLFIHCSSHHRPLPELLKWLAHLSMVISSRNMLR >cds.KYUSt_chr3.36978 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232654796:232657063:-1 gene:KYUSg_chr3.36978 transcript:KYUSt_chr3.36978 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSTSRAPRRPRIRSRAPPPTPIRTARGVRSAAADELVLAEFLEASQRVPALTLPVKKKRFDFPAPPPAPEIPAHGLLAGDAAAARTAVTAAGEAGAFRVGGAIGSGEVRAAMEAAEAVFGAPQEAKRELGRLFRGRDRVVGEELYLPWPVTADVDRLLEAALPSSTYRAFREKMDVVASKMEDLARCAVRVLSDNAKNPRDAALPREAPSVLCLTLYNCNKLKTSWGEFGSTERPNSYALSAHLSGRDQEICLRNQGGSTFFNLPAGSVLVTVGKQIQEWSNGEFKSAIGEILFELTDEPNPFMSLELLYSPGDLHLGEVGRHASNIDRPKIVPLRDQILITLVLLILYYLFWR >cds.KYUSt_chr5.14799 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95731777:95732586:1 gene:KYUSg_chr5.14799 transcript:KYUSt_chr5.14799 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVVYRRVMKAVQKHVGGGADKKHFREFVAAEFRSPVGTEAEARAGLRLAEDYAYHITSIQHQKELLFSYNIAVDRSEEMKKTLNKSAASVGLQLPDVYQP >cds.KYUSt_contig_444.281 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000041.1:1863876:1868784:-1 gene:KYUSg_contig_444.281 transcript:KYUSt_contig_444.281 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRMNANPDRITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGIFYLYMKTAERAHQPNRLWERVKLPRNYEKAMEVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKIITVPRKKTQREIRRSEKAEKAAQLDKSIESELKERLRKGVYGDIYNYPFSQFDTIVQMEKDDLAPEIEEEEEGEIEYVEGDDIEMGDMDDMEDFEGLVGEDVDADEDDGFDEPVTKKPKGSSFNLRSKIGRKSTKVITEVDQDEDMNSRQMTQK >cds.KYUSt_chr5.29384 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186224858:186225400:-1 gene:KYUSg_chr5.29384 transcript:KYUSt_chr5.29384 gene_biotype:protein_coding transcript_biotype:protein_coding MSICLRFPLAGRGLTVRPLPPTQMILAAIEALDEKSGSNKTAISTYIEENYENLPPAHASLLTANLASMKEGGKLAFVKNNYLKPAATAAADAEPTPKRGRGRPRKDPNAPPPPPKDPSAPKRGRGRPPKAKGSPAAKSPAPAPKKAKVVKEPKPAPAPAADASGSAPAKRGRGRPPKAK >cds.KYUSt_contig_1467.234 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1488945:1493694:1 gene:KYUSg_contig_1467.234 transcript:KYUSt_contig_1467.234 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMNPRTFSTGRVSYAQPVRLWDAETGELGSFTTTFSFSIYAADSGSMLGDGVAFFLGHYPSSIPTNSWGGNLALMDLNNNRNVVGDRRIVAVEFDTLGNTDFPDVMGSYHMGIDINSIVSVAYTNISNDLRAGDVMTARISYDNVTQVLAADLRIGGTSYHVNETVNLRHNLPEEVAVGFSASTGLAIEVNKVLSWTFNSTLTGTDKRTRRPTNKLLAAAILPPAIFVLGVVLLCFWVWRLKATRWKKSNGGDEDSGPGEEDEEEAEFVRGVGPKRYGYRRLLDATSNFAEGNKLGQGGFGSVYKGELADQDGLVAVKMLSPESSGQGRKEFEAEVKIISQLRHRNLVRLLGWCDSHRGLLVYECVAKGGLDRHIHGTGTCLTWRQRYNIILGLGSALRYLHEEVEQYVVHGDIKTSNIMLDSSYNAKLGDFGLARIVDPLTGPHTTRVVLGTPGYIDPEFVSTQRPSAESDMYTALVSSSWRSSQDGVQ >cds.KYUSt_chr1.22858 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135405405:135408145:1 gene:KYUSg_chr1.22858 transcript:KYUSt_chr1.22858 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKRLSESRDLTRIERIAAHSHIRGLGLDSSLEARDASEGMVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRAIGVRIKEEAEIIEGEVVEISIDRPASAAPSGAAAAAKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVIALDKASGKVTKLGRSIGRSRDYDAVGAHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITTIRGTNYRSPHGIPSDFLDRLLIITTQPYTEAEIRKILDIRCDEEDVDMSADAKVLLTKIGTETSLRYAIHLITSAALASQKRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFSEVQGEADGDDAMQS >cds.KYUSt_scaffold_1854.204 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1115897:1116749:-1 gene:KYUSg_scaffold_1854.204 transcript:KYUSt_scaffold_1854.204 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPRWIREHFSSLNSLDIRICKLREEDIALLGEMPNLRILTLRFEVVPKEPVAISSGGFPKLDWLNVDSRVPRVAFQQGAMPGLTTLKFFFQFYSGPPYTEPLGIKHLSNLYYISFQYNPDWYRADSPCIRATIDAVRKEAQEHPGKISFYVTGRKTEIFPEFKIEQLPEEIHGTSSSGMPECLSRLSTEPKRGIFLENNGARVSEEINEASTSETGEIEEDSATAQISQVNNEASTSGTCEIEGDSKA >cds.KYUSt_chr3.45307 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285478371:285480581:-1 gene:KYUSg_chr3.45307 transcript:KYUSt_chr3.45307 gene_biotype:protein_coding transcript_biotype:protein_coding MHNIWRILQWWDDWQLRILVLGSLGLQWFLLLAAPMRKFTIPHFCRTCIWLAYICSDALAIYALATLFNRHARASSNLCGAAEAAAAKQSSRILEVLWAPILLIHLGGQKEMTGYEIEDNELWTRHTVTLVSQVAVALYAFCKSWPSASDWKLLAAAVLLFVVGVASFSEKPFALNRAKIKRLAAVSAWVQGTKKPSKWTERINQFFLFEESSCFSLSTPSSRSTTDLPSQPQHQEMAKGRMMQRRGWCGRKKDDKTKPVALSEADKVLMVLSDMSLLAAANDLVARNKALRVEDVLPPLTVAEKALPRWLRNAFAFIYTRATVVVTPLYLFYHLLVVPVLHMAALTLFATSDKHPYKRADVKITYIILCLTAALDVFAVFIRQLLYRLMSMTRVPALCETVPGYNLIDTALWEGDKSIGWMYKFTDRMGLRDFNCFCICRPRFGELYGKVTQIVITDLVDARDRDLASYRIFDDDSNTYEDSKNWALSKDLQRHCGAEIRKSLLKVSFDRSVLLWHIATDLCDRCSIAVEADDGAGPGPGPHGGEDEAAAEEGAAGIEEPEAQQRHRWVDPTLRLHRDCTIAISNYMVHLLNVNPEMLLTGSRHHLISEAVKEVNKFLLSNKKKGDTLSQQDIDRILRMKHKPVEVDGEDNNQVFHIKEACKIAKELLQLQPKTQWMLMYRVWLGMLCYSASMCRGYLHAKSLGEGGEFLSFVWLMLALKGAKSLADKLQMPPET >cds.KYUSt_chr6.30817 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195325960:195328703:1 gene:KYUSg_chr6.30817 transcript:KYUSt_chr6.30817 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWDPVTGDQHRLDIPPGLATHAEKMTINGAVLRVGGGAHFQVVLAVNGNDDKKHEQVLARVYSSAIGSWGDLISTRLPSEVGRSGCPTLVFTGKTAVMAWGSLYWILAGSFNGILEFDLEKQSLGVIQVPVHMLEEGYYQFSIMRAEGGGLGLLFQTGLSFELWKRKTDCDGVDSWMLGRTIELDKLLCLDIWRVLVLGYAENNNVVFFWTSGSLFMVHLESLHFKTLFQTTIIPHYHPFESVYSAAVHLFSTPSPTHLRLFTDVLSPLYWMCSSACLPCPSSCLAISYLLLSAPLVRYVSAVSSPSS >cds.KYUSt_chr3.7242 pep primary_assembly:MPB_Lper_Kyuss_1697:3:41915276:41915614:1 gene:KYUSg_chr3.7242 transcript:KYUSt_chr3.7242 gene_biotype:protein_coding transcript_biotype:protein_coding MHYPTPASPSQMERNTTLHSDSSAVFPTFSAAFLTIAVEDLVLPVPIPDSTVCATAGIPVVLAMDEADCFCALSLTDFALAAPSHTACATRAPTLLMYFLPPPELPFISLSN >cds.KYUSt_chr5.30311 pep primary_assembly:MPB_Lper_Kyuss_1697:5:192237760:192238464:-1 gene:KYUSg_chr5.30311 transcript:KYUSt_chr5.30311 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGAKAATTTTIVRLRELLHRWALGAARDDVEDGEQEEAQAEAMAMAAGGGAAPPSIPPFVLQRLRRTLTVDSDDESCHSPEAAPDVPRGYCPVYVGLEQRRFVIPTSYLGHPVFRLLLEKAEEEFGFRQEGALAIPCETEAFKYILQCVERHDKGLAGDDADEGNQATTLEPSSSIHHVS >cds.KYUSt_chr4.27408 pep primary_assembly:MPB_Lper_Kyuss_1697:4:172115093:172116044:-1 gene:KYUSg_chr4.27408 transcript:KYUSt_chr4.27408 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDDLITKNKPSRGHGRRNLASASGGPAPARRRFHSPAANRSAAAPYRQLNFQPQQVPLASGNIAQPMAMVTAPSTDLDITPTNLYISNLDYNVSNEDIKGTAEVVFSTKVEALAALKKIEALG >cds.KYUSt_chr5.42519 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267909586:267911547:-1 gene:KYUSg_chr5.42519 transcript:KYUSt_chr5.42519 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIHNMALIPRQRCSGSLATLFNRQSKRDYNSAQSDSTLEVVWAPVLLMHLGGQDCITAYNIEDNELWKRHVLTAVSQITVAIYVLCKSWSGGEKRLLQAAILLFTPGILKCLEKSWAVQKARALVQASHHPRAQGEGDAVDLYVNHRHQVQGQDIEHNGVPVAQGEGNEVSRIQGRTNNRRLELEDRKLFVDLASSYPDRLAGENPRGQRAIARDDPQWGKTSLEEIEKRLMERIIAKLHREEVSGRGESPPDVTAQQGSIIHNAWKVSKALLALGDENKMWEVIEGVWVEMLCFSASRCRGYLHAKSLGVGPQLLTYFWFLLSRMGMETLPERLQRTELSSGGGNSSAPPSTSYVSTATVDEIV >cds.KYUSt_chr2.15880 pep primary_assembly:MPB_Lper_Kyuss_1697:2:99885443:99885769:-1 gene:KYUSg_chr2.15880 transcript:KYUSt_chr2.15880 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSSSREEEEEVEKEEEDSKAAVVQLVTLKVVSQERVIKHTMKVTDKLQVLKDVWYHKVPEVTYGTGVFLYDGCPFRADSTPEMMEMEDGDMVDFFEHQDGGALVA >cds.KYUSt_scaffold_1242.33 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000011.1:175313:176717:1 gene:KYUSg_scaffold_1242.33 transcript:KYUSt_scaffold_1242.33 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTELHFFLVPLVAQGHIIPMVDLARILAARGPRVTVVTTPVNAARNRAAVEAANRAGLAVELVELPWPSRWRSTFGRCPAGRTASSPVRAVGNKATFQGFFQFPGVEKEQHHMIDAEATADGLLINTFRGVEDVFIDAYAAALGRRTWAVGPTCASSTLDADAKAGRGNRADVDAGHIVSWLDARPPASVLYISFGSIARLPAKQLAELARGLESSGRPFVWAIKEAKSDAAVRTLLDDEGFEVRVKDRGLLVRGWAPQVTILSHPAVGGFLTHCGWNATLEAISDGVPALTWPSFCDQFSSERLLVDVLGVGVRSGVKVPAMYVPEEAEGVQVASGDVEKVIAQLMDDGPEGAARRCRAKELAAEARAAMEEGGSSYCDLTDMISFVSELSRKRTHERDTSRTAHPMHFTAAELGHNKGEKIEADAALAVQS >cds.KYUSt_chr4.11988 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72839818:72850572:-1 gene:KYUSg_chr4.11988 transcript:KYUSt_chr4.11988 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKAPAAPHGDGPPAEAPRRRGGGGKRKAAGSSFTPSKRHAKERNASYNVPQHLLHSGPLTRAARHSPHKLSGAPPDAAPAAAGAASGSGKAEGDAVRLDGEQTPAEEAPLVDEVFEAVRSRDAGVHVVPTFAGWFSWKDIHPVEKQTLSSFFNGKSEKRTPEIYSGIRNSIVMKFHANPQLQLESKDLAEISIGEADARQEVFDFLDHWGLINFHPFLPAGIEETKPEESQSDSPNEDKASVIEKLFKFEPIQSYMIPLPKKGEVEIPAPLPSFLPDPVLVEDVVAAAEPSVEYHCNSCSVDCSGKRYHCRTQADFDLCSNCYNEEKFDPGMSKTDFILMDSAEVSGARGTSWTDEETLLLLEALEIFGGKWAEIAEHVATKTKTQCMLHFLQMQIEDSFHGDEDVHQNIHESKQPLAEKGTPEVPDKMEVEEKIEGKDTEDEKPSEKTEGNNAEAKTEEGTPVEDKDTNNSAGVDSVAVPNADDPKPSSDADLAKEDPVNPDTSDKNASNVATDASGENASNVATDTSGENASNVATDASGENASNDAIDILKSAFEAVGHFPGDEGSFADAGNPVMALAAFLAGLVEDDNATTSCRSSLKAISEDPPALQLASRHCYILEDPPSDLKDFFVTVSNEIKDGDQAKDEDMIIDSTGTEKKDINEKEENTLPVEKQNSPSSSPKDHKESDNKNVSCDDEVPSVEPKSSNVKESGDPIPLVDKSASNDTGGSLSTKDSVAPQDNANGCGLLASQEAVAGSTTVASNPEEDKPSSEVEPDDDSSANGKIELNKTEDAVGAPTIVQEDEKSQTLGNSKMEEPNGAEIVPADAEKGSGVTAKPDDSLTRLKRAAATAISAAAVKAKLLAEQEEDQIRRLAALVVEKLLQKTEAKMSLFADVEQVALRTREYTEKTRKKLLMERNAIIAARMGALPSRPNQHGVAGNRLPPGYGAPAVEKGTGLSGFAISLSLQYGLESWGTATFGNFKWKLQNLRKELDRVRKNSMGHGPSGEEKRIMNKINEVLYQKEIWIKQRARVNWLKYGDRNTAYFHAHAAQRKRINGIHFLQREDGSICDNADEIKNEVQNFYSDLYSSEGAPNFQQVLDLVEETVTLEDTVLLEEDFSADEVKKALFQMHPSKAPGVDGFTASFYQRHWNLFGEDLCKAVLSFLNGGDMPAKMNDTTITLIPKVRNPQSIKQYRPISLCNVLYKIGTKTIANKMRPVLEYTISQEQCAFVPGRLIQDNAVVAFESIHSMKRKKKGKKGHSAVKLDMMKAYDRVEWPFLEAMMLKLGFPVRLVQLIMKCISTVRFSVKVNGGLLEPFHPTREAHRLEVKVCLNIQVEAFSEKYLGLPTAVGKITSDAFEFIADNARSKVNGWAEKNLSYP >cds.KYUSt_chr1.11299 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69238280:69242575:1 gene:KYUSg_chr1.11299 transcript:KYUSt_chr1.11299 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGREPSPASARPHVLLLCSPCMGHLIPFAELARRLVADHGLGATLLFAAATDTPSEQYASVAASVPDGVKLVALPAPPTLPSTAAVRERTVHAAVSSVRHVREIAQSLTSAAPLAALVVDMVSVPARDVATELGVPCYMFFTSPWMLLSLFLHLPEIDAGIVGEYRDATEPIRLPGCVPIHAHELPGSLLVRRSSDSHAGFLSVAKDTSRVDGILVNTFNALEPAVGDGTDGVVKLPVHAIGPLVWTRPVGVDRDHSRIIRWLDQQPHGSVVYLSFGSGGTLTLRQTTELALALEMTQCRFVWVVKRPDEDTASGAFFGTRRGEDDDELGFLPQGFVERTSGVGLVLPSWAPQTAILAHASVGCFVTHCGWNSSLESILNGVPMVAWPLYAEQKMNAAMLEVQAGVAVRVHADADDFFSKEEIADVIRRLMHEEEGAGVRKRICELRDKAEQALTKHGSSALALAQGNTDSSHDSLEDATMDDLVGHGNTGGSEPWGKVRFLVV >cds.KYUSt_chr5.14657 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94982704:94983423:-1 gene:KYUSg_chr5.14657 transcript:KYUSt_chr5.14657 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSARNRHGARRLRHAAQTRTIPRRLLILATPCNLEDRLVYGNLQSRRDHGCTSSSSAAAPPPPRPQGRARSRHLLVLPPLLKLAGPVTVGARPPSGEVAATTATAAPAMAMLPRTSGWRCYNCSATLLQMVASPSTSGIAPACSADIHGARPAPPSPHLLVLRLPPKLDWVAAAMGWWCTGAARSGGATASMGDGATCGCRSCYTWLVAVLLCGVDVCYEGRRWLLQRAAAGRRLLQ >cds.KYUSt_chr5.39840 pep primary_assembly:MPB_Lper_Kyuss_1697:5:251868665:251869837:-1 gene:KYUSg_chr5.39840 transcript:KYUSt_chr5.39840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-inducible chloroplast protein, Activation of the chlorophll-degrading pathway during leaf senescenc [Source: Projected from Oryza sativa (Os09g0532000)] MATAASTMSLLPLSQLKQLQQQRRHGGASSVLVLGRRKRFVVPRARLFGPAIFEASKLKVLFVGVEEENSKHPGKLPRTYTLTHSDVTARLTLAVSHTIHAAQLQGWYNRLQRDEVVAEWKKVQGAMSLHVHCHISGGHFLLDLIAPLRYYIFRKELPVVLKAFVHGDGSLFSSHPELEEATVWVYFHSNLPRFNRVECWGPLHDAAAPYDDEVAVDAPAADPTMAMTAADEPQTMPPASEWPRRCTGKCECCFPPECLIPWPHERDMAAATDAGQPPQ >cds.KYUSt_chr7.18706 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115954956:115955549:-1 gene:KYUSg_chr7.18706 transcript:KYUSt_chr7.18706 gene_biotype:protein_coding transcript_biotype:protein_coding MTATATRLPCPSPPLLLPPHPSFPGYGSALLLQHYRRGSVFRVIDKRRRKLEKKCFRLDSDLGESATEYASAGKKQRLGRTLSDSPCPEATSASQDPDRCPRYRFSSVCGHRREMEDAVSVRPDFLSGSSMSHFFGVFDGDGCSHVRILSLPAAECLDNDFEEPPPEQPPYAIGVATEPPDPPLEWWPDALQQRGEY >cds.KYUSt_chr1.41806 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256343567:256345067:1 gene:KYUSg_chr1.41806 transcript:KYUSt_chr1.41806 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLGSTCCSHNLLRDAGMLVPAPGFSTFAQWFDVDDTSVDGHDDTEGLDASAAHIANLLSSEPSDVKLGIGGFSMGAAAALHSAACYAHGKFSSGIPYPITLSAVISLSGWLPCSRTLRSKMESSHMAARRALSLPILLCHGRADEVVSYRNGERSTEFLRSSGFSCLTFKAYNGLGHYTIPEEMDDVCKWLSSRLGVDRPR >cds.KYUSt_chr4.38731 pep primary_assembly:MPB_Lper_Kyuss_1697:4:239113424:239114973:1 gene:KYUSg_chr4.38731 transcript:KYUSt_chr4.38731 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWSSPRWPLPFHSPCWPDPNDHPDGLTCPAGNVYFSVFGDQSSRSAKPPQEPPNNILFIQNCPDQTSSMMLEVLFQQYPGFREVRMIEARPGIAFVEFDDENQSMVAMQALQGFRMSPENPIAISYAKK >cds.KYUSt_chr2.44376 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276084233:276091805:-1 gene:KYUSg_chr2.44376 transcript:KYUSt_chr2.44376 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALLRLGRWRVRIMDLHADAALTPDEDDGLLGAALRDGRAVYISANVCELAQLTKALEGVDTVFHTAAADPNSNNFPLHYKIILGDGKNNDDFVYVENVVHGHVCAEKTLSTKEGAMQSGGKAYFITNMEPMKMWDFLDMILEDLGYKSQFTLRIPVYLLMPIACLVDWSYDKIFSRFGMRKPRLITSSIVKYATLDRTFNCQNAADQLGYKPIVSLKEGRKITTESYKQFRV >cds.KYUSt_chr3.1025 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5459952:5461003:1 gene:KYUSg_chr3.1025 transcript:KYUSt_chr3.1025 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDLASLDLVGNRDVWGWGFGPGKPLAGCGGHDVKGTSGALLGGDAEPCGGPGLLGFPAARVSSSLSG >cds.KYUSt_chr2.32317 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199232619:199233758:-1 gene:KYUSg_chr2.32317 transcript:KYUSt_chr2.32317 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPPPPPPPPPPPSLPSVLAHLRSLVSAASSALSSLPSPLLPSTTTTTTTTLTAPPPTAPLPLPSSPTAAVPLPLPATPAPYHDCPAVVRTTNPPPASTSLPAFFAAHCSSSPTTAPSLPSPPRILPSELCLLRRELDSWDIHHLPRAYSYTTARVVKALRPGAPHCVSTQLQRWLLATSPSHGVTIDAATRDHIYVLVKLCLTAAAAEAECSLEQHALNGQSGEVRVDPRALTFECPRLADGVSWLAAQLEVLYGKGSAGLLAVQVVKEAVLRLGYCLAAGVGGSSGEEGGSGVRDAGADGRVLLSQVADAIAALHERLSLEKKIRALRAPRPSKHQLYAFFKPSVMLYPTLLVAPYWERSNEYAHADAKDYAVH >cds.KYUSt_chr5.38189 pep primary_assembly:MPB_Lper_Kyuss_1697:5:241372159:241372863:1 gene:KYUSg_chr5.38189 transcript:KYUSt_chr5.38189 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIRVDSLLELETIAKKLSGARRIQLPMLTRLRDAPSALAIVEVLCSDYSWEVVGGTGRLSAAAKVEEELDLIGEVTIPRLEIDITNEGQGDPKSVHEQHEAMRRIVHQVSKLKEMSGEVSNPLVSSVEKLVTTVTDVLVDLPITEHQLDMIKKGVENIRDSTVHLEDEEENELELLQESEESEQQQQQQESEESEQQQQQQQQQQQLWADQAAQAQEGADQAEESTGSCTPI >cds.KYUSt_chr6.12488 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78056059:78057225:1 gene:KYUSg_chr6.12488 transcript:KYUSt_chr6.12488 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRTSPALGPLDDEDLLAEILLRLPPKPSSLPRASLVCRLWRSFATDSAFRRRFRTHHRRPPILGVFEECARELKFRPLLDPPDRIPSDRFSLDLSAYGFFSWSVLGCRHGRVLLLNRTENALLVFEPVSGDTHRILVPPVFTRDGSTDASAAVLCAAGDDQGHVHGDCHTSPFMVVMVGTDKRAQVAVARVYYRESGMWGDLISSAEPCAGYVGHRHCTLVGNALYWCLYGTADDGILKFDLDHQSLTVISKPPTGPIDSQIQIIRGEDGGLGLAILSYPSFQLWEHMVDCHGVDTWVPRKTVEMDEMLGRKADHTHIVGYAEDADAIFMSMHKPSDSDPFRRVLAIVQLESMKVGNHHGTCHQNTAYHPFTNFYTAGICLSLH >cds.KYUSt_chr6.2900 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17068656:17070340:1 gene:KYUSg_chr6.2900 transcript:KYUSt_chr6.2900 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGRVDQDHLEEFIMRHQHQGMGMLGFPVARSDSEEAPGSSESEPAAARPRGKRARAAEVHNLSEKRRRCKINEKMKALQSLVPNSSKTDKASMLDDAIEYLKHLQLQVQMLSMRNGLYRPPVNLPGQAPEPLPTLQMCTAAINQNNAEASNAAADMLPMTQIFGGISGTRHSFDPLNQDRRHQEPLVLPSIPCTTTPEPRFLLGSSQPHLQSLQLTVSAEMILQDEEMLKHHQSSTQEITSIPG >cds.KYUSt_chr6.19308 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121443836:121444696:1 gene:KYUSg_chr6.19308 transcript:KYUSt_chr6.19308 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALLVVVLAAGCWESANAAAMLSQLKPTLAVTASPTPNQVLHGGEDVITVTWSLNATAGTDAEYKKVKVSLCYAPVSQKGREWRKTHDDLKKDKTCQFKVTEQAYAATGTVEYRVALDIPTATYFVRAYALDASGTQVAYGQTLPGATFDVISITGVTTSIKVAAGVFSTFSIASLAFFFFIEKRKKNN >cds.KYUSt_chr3.27229 pep primary_assembly:MPB_Lper_Kyuss_1697:3:170032271:170038853:1 gene:KYUSg_chr3.27229 transcript:KYUSt_chr3.27229 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTPRRDVAVCLAVVVLGAFALGDARLWLRLGGRRVRVRGMPTLVGYPSPPFEPYWVMISVHGFFVGIAFLACPDHSPAAGRSLAPARSAPASSPALISAVQDLYEFMCSGPLVDRIGYTRERIAESIDRWLWCGSQVSRLFRLDELRLSDAEKARIYHFYIPVFLWCEDQVADHRSKYNEGDEIPPLVIGVSAPQGSGKTTLVFALDYLFRVSGRNSATLSIDDFYLTAAEQGKLRETHAGNSLLEFRGNAGSHDLQFSVETLESLVKLTKEGMKMKVPRYDKSAFGGRGDRADPSTWPEVEGPTEVVLFEGWMLGFKPLPNEVVTAVDPQLEVVNKNLGAYYDAWDRFIESWIVIKIKEPNCVFQWRLQAEVAMRADGKAGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGAKKDHLLVIDIDEERTPISGS >cds.KYUSt_chr4.2431 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13546590:13548328:-1 gene:KYUSg_chr4.2431 transcript:KYUSt_chr4.2431 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPAGTWGGPRGARWRLPGGANRDARDEAVAASLGGRSRPTEEEVLRPREKEVEEHGEGQGVHGGGEALPGGANRDARDEAVAASLGGRSRPTEEEVLRPREKEVEVLAAGMDRSPDVVVGVRRAALRLDSGRACDSASPPTPEPTTNSMAAAPLP >cds.KYUSt_chr2.19625 pep primary_assembly:MPB_Lper_Kyuss_1697:2:123475405:123477928:-1 gene:KYUSg_chr2.19625 transcript:KYUSt_chr2.19625 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNDEAGGSGGGVLCSLQLTYDEADVMYWQRIPVPYKLPHGWHISNVGFAVPPPPPAGPELSALITEWRKQMSLPLNALNNSSDSKRSAPSSSRWRPAVRTNASTTRRRRHAAAVRLPPVRPRRPVPHPAVLPAGGMRGASGAASTEAAGKDDSVWETALCGGDDPEEFPGQNFIVDRSVEEDYQQMTMDPRQLRTAIWERSVWEHHHQIPHHHQIKDALPTLPILSAPANDYLGAGGEDALSDLRCKYSSGRWFHLGNREGKKSVSVWAAMESVPAATPNTIREIWRAQRADGPAAVLAIGTANPEHCVPQEEFPDFFFRATGSDHLTALKDKFRRVCQKLGVQKRYLHHTEELLRAHPEFIDHDSPSLDARLDIVATAVPELAAQASKKAIAEWGRPAADITHLVVTTNSGAHIPGVDFRLIPLLGLRPSVRRTMLYLNGCFAGSAALRLAKDLAENNRGARVLVVCAELTLMLFSGPKEGCFQTLINQGLFGDGAAAVIVGAEPDSTSHEHPLFEIVSAAQTVVPGSDHAINMHLTKGGYGGNISTREVPGFIGDNIEQCLHDSFGPLSVAARWNDLFWAVHPGSSAILDHIDMVLKLQPDKLAASRRVLSEYGNMFGVTIIFVLDELRRRHKEQQGAGLPEWGVMITFGPGLTVETMVLRATHHTQASV >cds.KYUSt_chr4.38409 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236914951:236915160:1 gene:KYUSg_chr4.38409 transcript:KYUSt_chr4.38409 gene_biotype:protein_coding transcript_biotype:protein_coding MREGQRGGEAKQAKTAANAIEACLDVSLHDLTAVGTRVAGRWAAGGRCWPEMTSSTISSVPGCRHESRR >cds.KYUSt_chr5.28797 pep primary_assembly:MPB_Lper_Kyuss_1697:5:182380233:182380817:-1 gene:KYUSg_chr5.28797 transcript:KYUSt_chr5.28797 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLAAGQPSPTVAAQLNVIAAAPVRYAQPSADATAPRLYPIPMPFASPFPALATPPPAPYPAAAFYPMRPPSAFPSFMRPGTFPGPPVDLHPPPPPPPPPPGVLGPYPGTFPRPPVDLHHPPQPPLPPPGVPGPYPGTPSLLTGKPITAMRIVSTSAFLKIEHCLLLLRWGFTPSFGIVADQSDLPLTDCFS >cds.KYUSt_chr3.34929 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218990311:218996777:-1 gene:KYUSg_chr3.34929 transcript:KYUSt_chr3.34929 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLANLLVMGGTVLGRAVVQAYRQAIVNANSSGAAQEVVNGIRKASKAITEQEARQILGVSEKTSWEEILKKYDTMFEKNAKSGSFYLQSKNVLCAMSRSASLKPQERIVFGISMFYLRVVYLSTHDIVAHSETDCNPKHDLAEFLANSIIYRYLCSSEDLLQDVLGGMDALAAFGNRMRRDTLTQVVSVRR >cds.KYUSt_scaffold_6468.509 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2437751:2444150:1 gene:KYUSg_scaffold_6468.509 transcript:KYUSt_scaffold_6468.509 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKSARESGSLNLSNRSLSEIPAEVYNNLDTGSQDDKWWEGVDLQKLILAHNNLEVLREDLRNLSSLVVLNISHNQISSLPAAIGDLPLLKSLDASFNQINIIPEEIGSATALVKVDFSNNCLAELPASLGRCFNLSELKASNNKISRLPEEVAGCCKLSKFDLEGNKLLLLPENMFTSWTMLTEINAAKNLLTTVPASIGALSKLIRLDLHQNKITSIPSSIKGCSSLAELYMGNNLLSTIPAEIGMLSKLGTVDLHSNQLKEYPVGACKLKLAFLDLSNNELSGLPPELGTMTTLRKLLLSGNPMRTLRSSLVSGPTSTLLKYLRSRLSSDEEASGSRSTPTKDDQISAARRLSQSSKELNLSGLGATSVPPAAWETSDVLKLDLSKNSIEDLPNELSLCSSLQTLILSNNKIKKWPGMVVSSLPSLSSLKLDNNPLAEISSADLEALSKLEVLDLSGNASCLTEPSTVSSLPQLQELYLRRMKLQEFPVGLLRLKQLRILNLGQNHLTTVPEGIKDFTVLVELDLSDNNITALPAELGLLEPNLQVLRLDGNPLRR >cds.KYUSt_chr7.4240 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25355648:25361857:-1 gene:KYUSg_chr7.4240 transcript:KYUSt_chr7.4240 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAINAALERLQRAARNRACPSDVDTHEGKSAGSGPVVDAGRPPAVVPGFLVEDLLDSRFRPQSRGVSDGHGLELGGDDGLYREILRDETVSRLRELGKISDGEGFLERTFLSPASIRATHVIISWMKDAGLTTWIDQMGNIHGRYERSNSTDNALLIGSHMDTVIDAGMYDGALGIVCAISALKVLKVTGKLERLTRPVEVIAFSDEEGVKFQTTFLGSAAVAGILPESILQVSDKSGTTVEDVLKLNSFKATAAALGQVKYSPESVGSYVEVHLEQGPVLEALRYPLGVVKGIAGQTRLKVIVDGSQGHAGTVPMKLRRDPMVAAAELVVTLESLCKEPSRFLTYDEECGCFTEESLAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDVVRETIVASFSRIVMQRCDDRLVDCAVEHKHSAPATPCDAELTTQLELATRSAVSAMTPPSIGGGQRRAEPTPVLMSGAGHDAMAMARLTKVGMVFVRCRGGVSHSPEEHVAEDDVWAAGLALLRFVDQTVVAEL >cds.KYUSt_chr1.37341 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228143834:228144382:-1 gene:KYUSg_chr1.37341 transcript:KYUSt_chr1.37341 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSATTVVIATASRTPPRAQLRVARAEAFRCTYSKEGLKACSAMNLSPAVLKGMGLRWSWRPWGPELVEERLDAEKEDTRLGRSSSAELAQLRAARNETLRRGHGYSKENRKALSALNLSPAVLKGMGLRWSWRPWGSELVEERMDTGGGGHDARAVVNGSEPGVDPGVCAGPSSSTTKR >cds.KYUSt_chr2.2913 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17206966:17216687:1 gene:KYUSg_chr2.2913 transcript:KYUSt_chr2.2913 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTRSASQEAMMQMLQTMLEDREAERAERQANIAALQQIAQNNQGHGNHDHPGSKSKNFQHTNPPIFNKTEEPLDADDWLQTMENNLEVVGVEAAEKVLFATHYLAGPARAWWTSARAMNDGQMMTWEDFKLKFSKYHVPQGLIKKMRDEFRELKQGRMSVVEYRDRFLTLSRYNKPPAQNYRPNYTNNNGGPPKPRGNNNNSHNNNNHHNNNNNHHNSNNNNGTNNNTNTAPRTGSNATPVNPKDKSTVNCYECGVVGHFSNECPKKLARIAANTAAPAQQQRRFAGRRNQNNNNGRLYHMTATEAQEAPQTMPNASTGDYQEEEVFYQEEEQENFDYITNQGKLTLLQALRRDGGGWGWCGGAALGQQRRGKRSIEALNFAVPDMQDQGMDDYKQKIEALGGEAITGFQYSTATHIAVEGDIPAGGRVIWEGDGKKVTTTRWIDSCFKAGKLLSDPLKDLSESLLPIVNVTPLASNKSTGRSCSSDGKLSTHRHGSRKRCSSRRQTKLSPTGIYHHLLICVENQRQSESLYDYALGLRTYQNITLPGGTKTSKLCKISMKFILDRCFNIMVSFHAQKKALGGLLSAKSFRVYSDDSVLLDIPESELVPYSDSEGDKDYTGFVLMVRKEVFHHQHLPIDIVEWLRLISKGVSGCHQILLSNFIYLMEPYQGFGAFVTMYLQFSSIQSTEGWDDLSWALSSYDNWKLPLNSLMLKTFYYIDKNGNKVEYEPDIRGLLRLLWNCSKHQAKREVKSFVHIVLSEYPSLLSDLQRSLYQLGYLSHLRLKN >cds.KYUSt_chr2.53354 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332908226:332909497:-1 gene:KYUSg_chr2.53354 transcript:KYUSt_chr2.53354 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTYVPPGSAMHVFVKQFNKLLYDRDAEESFQEKRTPLGGVVFKVGEPIEKHAAKSREKWCNVQFRVVVSEGYYTCECGMYEHMGMLCGHVVKVLVHLRFKDIPAFHVMKRWTIDARDLLPLHLVPYQKDQGLVTSFSFRHSQLYLNCMEVVRLGDVNVDAFTIAMDSIKVLVPRLKKVAVEGDGLGLEQRLVAKKARVEGATSKVQCGEGDRVSVEGNALSFDAGLIAPSKNRSSGRPTTSHDKPPYETTSKRTRFCTVCRLPGHKSTTCPDRPPGAAKPRKEARCSNCGLTGHRKTSCIKKRYNV >cds.KYUSt_chr7.36467 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227876249:227877313:-1 gene:KYUSg_chr7.36467 transcript:KYUSt_chr7.36467 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTRGLAAVAMISVALLLLLIFPTTAAAAAVPSIDARRTRHLPLPPGLLRGPESVAFDNKGQGPYSGVSDGRVLRWNGDALGWTTYSYSPGYSSEACTASALRPETATESQCGRPLGLRFHLNSGYLYVADAYKGLMRVAPGGGEAMVLVTEVDGVPLRFTNGVDVDQVTGEVYFTDSSMTYQRSQHEMVTRTGDSTGRLMRYDPRTGKVVVLQSGITYPNGLAMSADRTHLIISSTGPCKLLRYWIKGSKIGTMELFANLPGYPDNVRPDKRGGYWVALHREKNEDPFRVDSHLLALRVSGQGQIIEEMRGPKSVRPTEIVERKGGRLFMGSVELPYVSVVTRKSTQIEIN >cds.KYUSt_chr4.50697 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314061278:314062129:1 gene:KYUSg_chr4.50697 transcript:KYUSt_chr4.50697 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLQVFGQPASTDVARVLTCLFEKNLEFDLVRIDTFKKQHKLPEFIKLRDPSGQVTFKHGDKTLVDPRAICRYLCTQFPNQGNKSLYGTGSLERASIEQWLQAEAQNFNPPSSALVFQLAFAPYLNIPQDYAAIAENERKLQQVLNVYDEILSKNEYLAGDEFTLADLSHLPDSQYIVDSDRGRKLFTSRKNVARWFDTISRRKAWAQVVKMQLEHPGAFE >cds.KYUSt_chr4.8891 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53349769:53350368:1 gene:KYUSg_chr4.8891 transcript:KYUSt_chr4.8891 gene_biotype:protein_coding transcript_biotype:protein_coding MRALQGRSMAPPPQHPTLHPRCRRRKPPSGGRRQREATSSQNPNPQPQETPQIGLLGAPGTAPVAVAPASTAAGIFACAQRPLSAWGQLLHAARLLALALVPIDAAPVEIRRGRHSAPKPARKRRRCSLLRLDPVGARSDPPCRRARPRRPIGINCWPPCCSLASSSRPDVLHLGSRRRHAGCCVVRLPSESLPWVSGR >cds.KYUSt_chr4.37135 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228470539:228475064:1 gene:KYUSg_chr4.37135 transcript:KYUSt_chr4.37135 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVLAVTASAAPAPPFRPSLAAARFSPGARLADRCRMQACGLRCWIAAKVKFQKAPKQHGWQVQRKLEVLGDESGGETANTSSDASDSSINEENSMHLGVNHSEAEPPVVKEHLVLFDHFDDQSESVPPLCIAVIGATGELAKTKVFPALFALYYSGFLPRNVAIFGYSRKTLADEDLRSIIEANLTCRVDHHENCEEKLKEFLKRTYYIDAGHDNKDGMEKLNSKMAHIEGTHAANRIFYLAVPQEALLDVALPLANSAQTKHGWNRIIIEKPFGFTSLSSQRDTESLLSRFEEKQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETATEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRKVDFEDVVLGQLKDTSGKVDRHTKSMTPTYFAAAMYIDNARWDGVPFLIKTGMGLMKNRAEIRIQFRHVPGNIYRERIGHDIDLDTNELVLRDLPEEAILLKVNNKVPGLGLQLDASELNLLYRDRYDVEVPGSYEHLLLDVLDGDNHLFMRSDELAAAWNVLAPVIHEIDQNRVAPELYEAGDKGPVNAYYLAAKHGVRWDDDW >cds.KYUSt_chr4.45366 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280837349:280843860:-1 gene:KYUSg_chr4.45366 transcript:KYUSt_chr4.45366 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHHTQHGGDSDFQMWQQQMMYKQLQEFQRQQQVQQIDHGARMQPSSGQFQAPAKAAPADQLPAMSNEMPNNEATAYAWSHNSASGDPRLSSNSQMVNTGSNTNWEQYGGAPGTGNFINGSAFPNTQTQPVRLMGMPAHQMNQSFYPIPATSRGGSVNQYPQFLGIPADLQSAMTRAGTNQPEKVSRPFSSLMDEPGAHEKGDSSSMQNFRGKGGFLSNSPLQSQGDNNKAGSPAPVNHLQHGFQLQDFHGRPNQVDFQVGLQEKSTMQVAPASGGASLDPTEEKFLFGDDEDSNWGALLKGDNNHGNSLDNDNFGGALPSVQSGRWSALMQETLQPTASKNSSKEEWGGLSLQKAQQAIANNSALPARDQSKLAALSGALQNARPLSASSYADETMNNPDFTSFQHAARTTYEQREKTPHESPRATVTNHQSSAGVNNGYIQHSLKQKQSDEYGRQEQVQLSNGNWAQQKAEMPRNNSHPSGAPSNTHGFWMSQQNAVDRNVNQESSNSQNEWKSNSPLRQDITSTQNVFNNDGNFWKQSGGNANSVHRLQQMKPDMSTLQMPKDSSDGKGVSMMGSSMPTLNPNQHQMVVGRTGEHVGINHNMAHRGPETSDSLRRSAEPRTNDRSQEYQNAAHMERHGQHVNSDLAARRHAFFAAKESHNLGQSGQQAAGSYMLQNHAMDNNTGVNIRHSPGNSVSNNQFPHQSLQAQNDLKPQFVTNSQGAGNMASVNEKMLVGNEHFKFRHGVPSSSTASPFGGSHAGLSQNRAVQNSQHMLQLLHKVDNSTDSNAVADMPNSSVDNVATSQQQLNQSSLQGFGLRLAPPSQRHSTSDHLWSSHTNVDGKQPEHSAREEHQGQIPSTGTQHISPAHTSSQPTPFHSSEMGSTGQPAGHFPQLSSGQQYPVPDARSGSVPTPQQGSSATVFKNVWTNISAQRLAGIQSNKITPNILQSMMFSNNASDSNLWGSQKADNQSQKASTPPDAATSSANSHSQEAKQALDSDAGLASSDMAIFDSTGATVPRGNQSLQKHSSDGNFAVPPSSLAQLHQQGIMNPRQGENPAANFQAMNTSHNISANGSGIGLHGSPAPSNLQQQNFSLLHQMQAMGHVDIDPGNAAGKMLKPNDIISDASQVDWKSAQRFAHGANNLVRSSIDNIGGTSVQGSFPSDMKMLSFAPRNNEERGANIPSQIPSRELASHAMVTRNDHQSQVQSLGANAASNLVERSERPGINPQMAPSWFEHYGNQRNGLNHSVINAQKTPTVPYNVPKASWCMENNSAEHRAESGQSVQALVPSNVSAALMRRPKKRKSTESALVSWHKITEGTKKLRKMSTSEMDWAWAANRLIKKSEDDPESLEDSPLNYLPRKRLIVTTRLIQEVFPAIPARVLRAQAVSAYESATYNIAMLTLGDTCIISSDNSRTIADNENNPSEQRTSAKQMEDKLSKVVEVFVGRIKKMENDYLSLGKRASMLDVHLECQDLERISIVNRLGRFHGRNHAAGVEASSASQMGSRRIFPDRHVMSFAVPGNLPEEVYCLAL >cds.KYUSt_chr5.38998 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246989796:246990146:1 gene:KYUSg_chr5.38998 transcript:KYUSt_chr5.38998 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLAAGSFGFGSAREVKAAVALAVVAFTVRDTTVASALSAAAEKVAVVIGSTTPSAALFYLSSGDLLELDEEHCFGGMVAGPYYESLAQGMLVEPPDAGAWREDGGAVQTPLWS >cds.KYUSt_chr2.26996 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165174712:165179879:-1 gene:KYUSg_chr2.26996 transcript:KYUSt_chr2.26996 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIADNFGSKMRPRWRCPHGAQAHAHVFSLPLLQNSSLVHSAGGQDRASPGSRPPRPGPASPLVPLRRRQDRPLPWFPSAGDKKGPSPGFPRPATGQAPPLVPLCGRQDRAYPSLRAAAASSTPYSASRHCRNGRPLPCLAAAHRKPSEHVGMCFSSFCRLRMNQRKAGELRMQASYCLASLVDQLLDHVDA >cds.KYUSt_chr4.15042 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92912057:92916343:1 gene:KYUSg_chr4.15042 transcript:KYUSt_chr4.15042 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEIRFGFAQALFFSSWGKGGQSIGSLDLKLQAIKAKMGEAGFTPLSHLNPSKQRCRVRVRISRLWVSFNPNNGTVLGLDSLLIDDEGGAMQAHVHPIDKKRLEARLVEGKVYALSGFVVGVSQGNYMTCRNRFMMYIGSQTVVDDIDGVVDSIPLHHFDFVNFGDVSSRNCDNSLLTDVIGQVVEVRPILEVLKKFRMIQICTLRIEDFSGKEQEVTLYGNLANDFYAEIREKCRQGPVVAVFSGMCVRYYNGKGCTVCSSSPSKYYLDLEIPETQEFRANLHHPKIPIVHPQSQQESPAAPTQESQSISKLSQELRSSWRTIKQLQSLDPFESPFVIALLLGGGTLGGGLGRFWAIAPDSDDEDSEQTPESKISPAEDCSRPWRYLCKSPVPVACDLPVLTTSAVLRKEKKRRLQRELTTDIGMGDRSKTEEEGMFVFPCSIWIITGMFIGRFMEFVSNLDVFIDGSTEFYSNISFPKSCSGPYGLHSKGTEGLFVFSDETVGLCTREESIGWGETYDQINRAHPAIARV >cds.KYUSt_chr5.34076 pep primary_assembly:MPB_Lper_Kyuss_1697:5:216344837:216346471:-1 gene:KYUSg_chr5.34076 transcript:KYUSt_chr5.34076 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLPKVVALLVTAFAIAIHLLTRAKKACPANLPPGSLGLPVVGQTLGILRAMHVNSVDQWFGDRISRYGLVSKFSLFGKPTVLLAGPAANKLMFFGSLLPPYVPLFSQRIIGEKNILSLYGADHRRIRGALMEFLKPDMLKLYVNRIDAEVRHHLEENWAGRTTVTVLPLMKRLTFNIISALVFGLEASAVRDSFAHDVGCMLAGMIAIPVNLPFTTFRRSLKARQRARRLLEGIMREKKAEQGDSPNKNLISHLLSMRDEHGQQVLTHEEIVDNSLIPMIAGHDTASTLMTFMIRHLANDPATLAAMVQEHEDIARNKADGEPLTWADLSNMKFTWRVAQETLRIVPVVVASFKIALDDIEFGGYRIPKGWQVFWTASATHMDPSIFPEPAKFDPSRFENLSSTTPPCSFVGFGGGPRICPGMEFAKVQVLVTMHYLVRHFTWKLSCKENTFTRNPVPSPLHGLPIQLQHKSAL >cds.KYUSt_chr5.157 pep primary_assembly:MPB_Lper_Kyuss_1697:5:1052037:1053113:1 gene:KYUSg_chr5.157 transcript:KYUSt_chr5.157 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCCCKKLVDDVAGWIKVYDDGSVERPAPPPEFRAMATAIAPYDAPCNGVTVHDIPANPPLRLYLPVAAPLLGRRLPVLLHFHAGVYCVGDPTWALYHSFYARLAASIPIAGIVSITLPLAPENPLPAAIAAGYTAIDWLKSLDRPVLPTEPVPDPTSDPVSKLRNTADLSRVFLIGDSSGANLVHQVAAGFSSAEPGYRGVVRLAGAILLNPGFTMSAPSASESTDQVNLPYMDPKLADRFLELALPKGATRDHPYIWPVRDDATAAALAMPPLLVSIATLDSLRDRQVEYCNLMRRAGKHVEVALSPGVDHMFYLMHGIAEPEPAGEDIAARVAELIDTIGGFVGRRHGCVARL >cds.KYUSt_chr6.17063 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107498017:107505241:-1 gene:KYUSg_chr6.17063 transcript:KYUSt_chr6.17063 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQSSEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVDGEYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQMIARVEYMHLRGFLHRDIKPDNFLMGLGRRASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKKLFRDLMIREGYQSDYIFDWTVSRQAAENNRLRPSGRTGGLVGPSAERAERTSARQDVPDRFSGSVETFARRTGSGSGHHGESTKHRTLLDSLLAPKMVLLHPRLEKIQIHTKLSKPIMNGDINIIKVFPVSLLPDVPSPKDDILQEERLSPNLLQL >cds.KYUSt_contig_2097.281 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:1470772:1472245:-1 gene:KYUSg_contig_2097.281 transcript:KYUSt_contig_2097.281 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVFGIPISVETLRATGEYNEPISQNDVADYAMKMINAGGKDIDAQNFVDKLKERYGDGISAKCLIYNATGTTLSFVTYKDWHGHIYDTPYPSQIQNGQWGAFLHVHPTFTSGSSAAVVYRSKVPSGGSSCDWLFSWGIPYVGDNGVYTEIREEGHFPKHWDYIYNKKVENASRSSTDGRYGYVSRTEIGEGTTVNVRGVFQLPYY >cds.KYUSt_chr4.5432 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31356597:31356809:-1 gene:KYUSg_chr4.5432 transcript:KYUSt_chr4.5432 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAGVFIPLVLFILLSPGLLFQIPGKCRAIEFGNSHTSAVSIIVHAVIFFCFAAVFLVAIGVHIDLGS >cds.KYUSt_chr6.19179 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120647676:120649137:-1 gene:KYUSg_chr6.19179 transcript:KYUSt_chr6.19179 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVSARIRILGASPDAVAAFLRFLYSPREATAGEWGEAAMAAHGAALLALAHAHRVPWLKWRAEEAVAARLTAERAVDALKLSRLCNAPRLYLVCVRLAGKDLAAVELSEGWCFAGRHDAALQVNLLRLLHDADQRKKRWERERASQDVYRQLSDAMASLDRAFTEAYPCDDDGSGACTARQGIVQLVRHLAGCGNKGGGGGCPHCKRIFQLLRLHSSVCDRAEPCRVPLCSNLKTKMQAEKVDKTWRLLVKKVARAGVMATLDNREVPEIVKRSWAKYNSSGSRSRSARFR >cds.KYUSt_contig_786.330 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1787343:1790710:1 gene:KYUSg_contig_786.330 transcript:KYUSt_contig_786.330 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVNGHLLDAVPRDHARLWLESVNRAISEEEANHLLYTQRYLLCGCCSPNCLGNYKISKRADEQQKQVKSLLSSVPGDNNITRAPDPRRVESMLVDPAPIPPSRWVILRGALLFIVSDDPNEGIVGMWGPDKDDNTNLLKHINNSFLEQSIFDFVIFVPSPSDCSVTNIQSEIISRLGMRHDGNEATRATRIHEQLENKNFLLIVDDLRQNLDLGAVGIPYPLGFMGEKKRKVVIMSLSGYRSVDQLKLKGDGNVGTQSAMIRGFLENKSFLVLLDDLWERIDLEVVGIPLPLGIEPLDKFKRKVVLTTRFISVCGGMEVKKQIKVPYLQENEAWELFREKVGDQTIFSPGIKDRARILVTEMKGLPLALVTVGRAMYGKFRPDQWDSAIQHMKKSCCIDTNEDPLKMEEEVFRKIMFSYDNLKSERLKNCFLTCALWPEDHQIHREELAQCWIGLGHVDEGDIQSSYTKAYSLMSDLIGACLLEGCGESNDRVKLHDVIRDISLWISCGCGKNNGNWLVHARAGPDENFSIPWSSAEYISLMFNRMKKLPFVGDLLKLRVLCLQNNNLDETIIGGVLVNSAKLTYLDLRYNKLKGIPESLCHLTELIHLNLSNNYGIMKVPHSFGNLIKLKFLYLQGTHIKIIPKEVISRLEALEIIYLDIVMVSDCIRSNVYRELGTLNHLKVFVTSVGLLDAWTSLHDVADLPIRSLRLIRSAEKKEFHLYDILSLDFAQTTLYELYIGIDKNVTDITLIQRPEQQPCSFGILSNMSMDNLEVWTTIKWMGTSPTSVFPRLTCLSVSFCPKLEHLSWAMYLPCLEKLDIEFSYSMRNAFTKNHVDNVWSGQESSQTFPCLKQLCIRVCRSLVTIADPDVTFPSLEVLEIEDCPELKKLPFDMASLPRCLQVLLMDDTESWERMDLEEGVRSFLQPRLRYS >cds.KYUSt_chr4.24538 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154468186:154468599:1 gene:KYUSg_chr4.24538 transcript:KYUSt_chr4.24538 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERCTAAAADEIRRLPAEVNWEMLDKSRLFVLGTTLFSGVSVTLYPAVIVKTHLQVAPPPQAAAAIVRAILSHDSLRGFYMAAFEATKSSVGPAAVRLGVSEPAALAIASAAAGVSAAVAAQVVWTPVDVISQRL >cds.KYUSt_chr2.14290 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90460335:90461520:1 gene:KYUSg_chr2.14290 transcript:KYUSt_chr2.14290 gene_biotype:protein_coding transcript_biotype:protein_coding MWEWWSVRNEANAGEVTPSLEAVCHRVEKLLVQQLSLKKPNKPPKPPDIHKWSKPPDDHVKFNFDGAFDSDSGSGGWGYVIRDQAGDFIAAGAGKSVHLRDALHSEAVACLAAIAGANRVGANRIIFESDASNLVNALKSNAFDRSEIVHELAKLGVISESEDSFWEATAPECIVNLLASDSAVQATVSLISAKAMAIPAPCIIMLSQDPIHVLSSIEIFKSGTLVIPKQTKKLAHRKKSQASFFYAFGHSTLTDSLICHTDPSAHDSQEVSGIFPSCQLPNANPDMQRYQGLVRDTCKKLSTCAHGVIYPSQSG >cds.KYUSt_chr5.15414 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99587247:99602416:-1 gene:KYUSg_chr5.15414 transcript:KYUSt_chr5.15414 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCPSSRAPAPAPALEDENLLQEILLRLPPQPSSLPRASLVCKRWRGILSDPKFLRRFRKRHREPPLLGFFAGHIGVEPVFTAVLDSPDRIPPARFSAPWSHRPGRDRCQFMGCRHGLAILINAHSCEVVVRDPLTGQQRRVPFPPGLCNNERRGSGWRWHAAVLCTEPEDGHVHGDCLWSPFKLVLVRGRCSRAFACLYESVPGVWGDIVSMATTHAIHPIRPSVLVGDALYWLFSDGAMLAFNVEAQTLGVVEKPGNAHCTGFWSCQPLRTDGGTGLGLAVVSKLSIQLWKRKSNCNGVVGWVLTHKTIQLEGMFPREMPWDDSRVLLVGYDEDTNVIVLSTRIGRFMLQLESMQIRKISGRMENGNRLMEFYPYTNFYYTPVTFAMMQFLSIYMTHSFQNATIKLSLQLSRNQLAMASAETGGDKYRSFIHGEGEKNTVWRLGAPPNFDVVNKLFEEERTNEWPEGSVEEKVQRLLKTWEMEMFHKVRPEDQKIVHSQGYTGSTNGMKPLTRKEWSAMGGYNAFLATTLPPEHRIYDPDKETADSSMSTFLTAFPRGFAIEVLDVYCSGPPKVAFKFRHWGYMEAPFKGHPPHGQRVEFFGVCIFHVDEEMKVEKAEYYYERGNFLASFLSAPAASAASASGCPRRHDFTGLKHGLLGLQEQHTAGQSELELHHHPWLVVVFIHERPLQLCSSHHCKAERRQLSFWRAQVMHTLRSHLLLGFVEGSFPCPPEEIDNPKAADDTQAPRRIYNPEFTAWHQQDATILSAIVSTSTERVQGMILRSSPEKEAAPGRAAASRRLPIIDNDAAHPSDDRRSSDDGSDPLLPPRSPLPLAVDDDNLQPLDHRPIQRLSFPVPMEPVNTKFYQLGNGGSLIFEHDLNALSDHLGRPHPEFHGSQIANQPGGELQWIITADLRGKMEPPTSERILFSFMESNWLDGLARGLQEGLARLCGMSGEALQHPRFSHLARRNSAGEPMDMSSHPQLKHHVEHLDFMLYHTQQDLDHSREYANQTHARIIEQGDCIKMLAKDRRTLRQQRAKKDATIDRLRAKIAALEATVKAQEEQMKKMEEDGEDIQGGSNYLSDDDDFEEDENTEGEDYDFWTMRMMTTPPIDMAPPTRNTNQDAMMQMLQVMLEDREARRAERQANIAALQQLVNNNQGHHDHPGSKLKNFQNTNPPVFSKTEEPLDADDWLQTMENNLEVAGVEENEKVLFATHYLAGPARAWWTSTRAMNAGQFMTWTDFKLKFSKYHVPPGLIKKMRDEFREPKQGRMSVVEYRDKFLTLVKVRPG >cds.KYUSt_chr7.4427 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26787323:26789019:-1 gene:KYUSg_chr7.4427 transcript:KYUSt_chr7.4427 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVVKRIENKVNRQVTFSKRRGGLLKKAHELAVLCDAHVGVIVFSARGKLFEYCSPLTRHVPSVYSPHSTWSELIKRYEAISNAQHQETNHDDDQQMSVEIARLRRECDQLKANIRRQTGEDLASANTDELDNLQKQLESTLGKVRDRKDELLNQQLDESRRKVHILEDHNRRLRQMINEGGHHRSSVEAPLVAAVDMASPATASGGLEVGHVTLGPWRCGGPRSLADGEFPFLI >cds.KYUSt_chr3.34744 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217881124:217881816:1 gene:KYUSg_chr3.34744 transcript:KYUSt_chr3.34744 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELSNFASASPHDCVRKWAEDEALAPDLTGFINPRRDPAVVSPASSTERSTASQGVLRSAAPTTDPSFKRDTPVDDLSPGARRLDDLIVGSSASPTSAVSPRVPSSGVRAGDFHERAPATSTATRALEILRAMSTAAISNSSTGGSTSTANSDSAYKLFDRVPHRPKWSSDRTLSIVVWRALYPVNEDMLRPILAPYGVQQLVVNPRVTKSNGSHYVKAINCGVEIKG >cds.KYUSt_chr5.6448 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39882666:39886836:1 gene:KYUSg_chr5.6448 transcript:KYUSt_chr5.6448 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLSPSMRSITISSSNGLLDLMKLKTAARHFSYRTVFHTVLILAFLLPFVFILTAVMTLEGFNKCSSLDCLGRRLGPRLLGRGDDGSMRIVKDLYKMLDEINSEDVPVDLKVAESFDDFIWDTKNNDYDLKSFAMRLKATMETMDKELRSSRLSEQLNKHYAAIAIPKGLYCLSLRLTDVYSSNALARKQLPPPELVPRLSDNSYFHFVLASDNILAASVVVRSAVTSALKPERIVFHVITDKKTYPAMHSWFALNPLHPAIIEVKGVHQFEWLTKENGPVLEAIETQHIARSRYHGNHLARTSAGDSPRVFAAKLQAGSPTYTSVLNHIRIYLPELFPSLNKVVFLDDDVVVQHDLSSLWDIDLAGKVNGAVETCRGGDSWVMSKRFRNYFNFSHPLIATNFDPLECAWAYGMNIFDLAAWRKTTIKDKYHHWVKENLKSNFTLWRLGTLPPGLIAFKGHVHPIESSWHLLGLGYQEKTDISSVRKAAVIHYNGQSKPWLDIGFKHLQPFWTKHVNYSNEFVRNCHIMEPQL >cds.KYUSt_chr3.4534 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25758629:25758910:1 gene:KYUSg_chr3.4534 transcript:KYUSt_chr3.4534 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSKLVQAEHLDHIIPGPPRWPVRDSYSLTGKASAAALSVVFVAGNRRERDEVEEQQNAREKKQRRVLLGRATVTHRPTGRWVTTQHGRVA >cds.KYUSt_chr1.32727 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198763875:198765948:1 gene:KYUSg_chr1.32727 transcript:KYUSt_chr1.32727 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVRWFDAVQRILSTSEPDREEKEDKARREYRRARAQARLMSLLDGIAVRRQTEEALYCMQTMTRVQTQIYSRRVKTEEDKKALRSQIQIKQGLDKMKTGEGWDHSHQSKEQMEATLAMKQEAASRRQKALSYAFSRQWRNRNPASSSSGRAAPTQSSNPPMYMDPGCPNWGWCWSERWIAAARPWECQTAPQPDKDKDRAPATPKTPGRAAKPRVSISIQIPTTPTGRSPRLPGLPSPSTPTRPPSPSVLRKTITPPARLPSPRASPLHRSATLLSERPRSSQEHLGSGGMEAALRRTTSMRSGETPRRISVRARDADTGETGGAPVTPSYMQQTKSVKAKARCASPIAADRAELTVRVRPVSSPSVQRRMPLEFAEKPGASSSPRTPSNSKAKPEVRAKRPPSPRFLV >cds.KYUSt_chr3.48759 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304754249:304767207:1 gene:KYUSg_chr3.48759 transcript:KYUSt_chr3.48759 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHDAPSKRLTSSRHHAAPGGVGQPPQQRPPRSMSAVPSFSARKPPEPLRRAVADCLSPPAPHSHGPAAAAASAATEASRTLRDYIANPSTIDMAYNVLIDHALAERDRSPAVVPRCVALLKRYLIRYIPKVQTLRQIDIFCANTIAKYDPGASHRASSFGQNFGSSAALPNSSLVAPPISNFASASLVKSLNYVRSLVARHIPKKLPFHPIHQPISSTSTKQSLPSLSSFLSRSLIPPLNPEVATNRDHLESKESHTAPDLISSASEKVDGGEHGYDIKYISFDILNWRWHVYGERQASGSAKESSDFAGLQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSVIQEFPDIDLLQPSTSTASTFASSQGHLKAITASKRMKSGPSQVWMNIPANTFQPRARPLFQYRHYSEQQPLRLNPAEISEVIAEVCSETTSNQSNAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDSEAAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVEDAPPVEKSETASNSYLNNEYGPSMDEQKATEPVEEQRISPAIDQFESWLLKILFEVLLLLVQMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVVKTLLEISVEHSWAKVVHNKLICMLANMLYQVPDGTQSGALDTHFVPERIDLLGGVDYICLEYSRANSKEEKRDLFFVLFDYVVHQINETCLSGGLSAYTYDDAQPLASLLASADAPEAFYISVKHGVEGVGDMLTKAISASLSQSAEYEQLSVLLDKVIRKLDGTVSTFSRIDSEFAYMIQVTKSFKGFSSIKDGSEDGDVACRARFCWATLHSLLHSQISSYRHHGYIWLVELLLSEISEETDGSVWSKIQTLQEEIKDAGSQDISCSEVSLPVCLLCGLLKSKYNYIRWGFLYVLEKFLMRCKLLLDDSDMQEHSVAYHSKNRLDKAFAVIDIMSTALLLVVQNNETDHINILKMCDMLFSQLCLRLPSTNVMQLGGLQSLGQLFGCTTKNMESHLETQAPHQTVGTKNFCRSETLQDTSINQSTQSTLLCETSMAALLLKGLAIAPMQLVARVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNIPGATSDIRAALLLLLIGKCTADQEALTEVEGNEFFRGLLDDTDSRVAYYSAAFLLKLGSTLSMSAFPASSSTPTILGSIRSMLLPSSTLVFMWPLDRAF >cds.KYUSt_chr7.823 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4451575:4453044:1 gene:KYUSg_chr7.823 transcript:KYUSt_chr7.823 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLTVSGVFGVQPADGSSELLYALTPTSRLLVGPRNLVSITAMSLSPHFVASYLELGTWFQQELPEPCIFKLAHGEPLWKLAEHDATFDALINDGMVSDTSFIMDIAIKESGESPPLEQTPAIAVAETAGTPELGTDRKVEHRPEAEVECTAAAEAARRNTPAGQGAGTEFAEAGTDGSSLRFLE >cds.KYUSt_chr1.36121 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220210435:220211160:1 gene:KYUSg_chr1.36121 transcript:KYUSt_chr1.36121 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLALYDPSAADRQRANDEAIIAQASSRVLVPFVPSREPINAAPLSAVAPKKANMATAVVHAEPSWLRSELLPHLRLRFDLPVYFIAEKAVTGTDLDPHQNRFRLPNEGVMRNLRPMLSPLERKAASLLQEECPRPPKLPKLPKVPGEKRAKRQGKKHGGLPVLVVEPHAGIRELQLSRWDSSAGTVIKGEGYMDFINRSGFKVGDVVKIWAFKETYFRLFGEDLCHDSPLYLLITKKE >cds.KYUSt_chr2.43119 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268395270:268399050:-1 gene:KYUSg_chr2.43119 transcript:KYUSt_chr2.43119 gene_biotype:protein_coding transcript_biotype:protein_coding MYSATGMLMLLTAALAILAPSAWGLDRAEFPAGFLFGAATSAYQIEGAYLEDGKGINNWDVFTHTRRKSSFHCPSLLLLSLFSSNLYPMSSSSRKIAAANGFGRGSLTVAEAWALYRAGYPVQPDMRLPSSGRWKMAINGIGVPPPPSPGTDRWRDSIWARRSALTADVWADPTWAATGNDAWWVAYFQAQYDMEMNSTTGLVGRRDSWNKDGHALF >cds.KYUSt_contig_1861.118 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:543992:544809:1 gene:KYUSg_contig_1861.118 transcript:KYUSt_contig_1861.118 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFLIVALLALVSWQAIASDPSPLQDFCVADKSSRVLVNGFVCKDPKDVKAEDFFLAAKLDMPRDTKMSKVGSNVTLINVMRIPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPDNKFLSKVLNKGDVFVFPQGLIHFQFNPNPYKPAVAIAGLSSQNPGAITIANAVFGSKPMISDDVLAKAFQVDKKTVDWLQSQFWADNHN >cds.KYUSt_chr2.54405 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339457057:339460565:-1 gene:KYUSg_chr2.54405 transcript:KYUSt_chr2.54405 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKLEVLLISAKGLDDSDFFNRMDPYVILTWRSNEQKSTVAKGAGGEPEWNETFAFKVYSSADNSPQLSLKIMDSDTFSADDIILKLLTGPAARIPLEAVLLEGSLPPTVHRIVKDEEYRGEIKIALTFTPAERRPRALPPPCRNPPEKSPEHHRSEFTTVVFFVFLTPASHRTKTSSPATPTTAKSITMSSVTPPPTSEPIMATPISSAPPPFAPVHLDPIKDSGKDTEGTSANPEKASGEEKAEQKAEEIAARKSKARQRDAEAKGKWWPCTTTDTELKNLETEGFLLPGSWRTVPGSLAPAPQDGEMVVTKALVERGFSFPPSDFFSEILKAYGLQPHNISPEQRPAISNHVTLCEGHLRVTPELPLFQYYFSVKKEKIRQTSELATCGSITFMLRPGRVYPHTD >cds.KYUSt_chr4.46002 pep primary_assembly:MPB_Lper_Kyuss_1697:4:284448778:284449506:-1 gene:KYUSg_chr4.46002 transcript:KYUSt_chr4.46002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGSGSASAAAEAAAAEAAAAAATAAAAAAAAAPEVARKAWTQEEDTVLREQVRLHGGPSKWNTICQALPGRNGPACRQRWFRFLSPTIDVDKPFTAEEDQIIVTNHARYGNYWTTIAHFLPGRSDLAIHNRWKSVLSKQHGAHASTPAPAAARAAGPVLPLVRGGTSSATHATQEDLTGDEPSAPLMECLQLFPLAPGDIRADPRAAPSSDLSCGADDPLTQLRLAPAPAATVVEATPL >cds.KYUSt_contig_1130.98 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000106.1:612222:613229:-1 gene:KYUSg_contig_1130.98 transcript:KYUSt_contig_1130.98 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEQIQQTLSEIDDRTPDALRVAFSLGRRVSPAPAPGEEDRVASFAASVLPPPPLVVYEDADANDPGQLHEEVPLASTSEDDATKLLRMEWASCYLPDHDEDAHFGHDEAGVLGVADGVGSYRNRGVDAAAFSRGLMTSAFMHVLAIEPGTPVCPYTLLERAYDETVASAASGASTAVILSLAGATLRWAYIGDSAFAVLRGGNIVHRSRAQQSHFNCPFQISAGGKGDSVAKADVGEMPVRDGDVVVAGTDGLFDNVFDMELERVVRMGTAHGYSPKNMVDVIAGIAYEMSRSRTKVSPFSTEYQKQAAGVGFHGGKPDDITVVVAFVRDLS >cds.KYUSt_chr3.37882 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238296665:238298909:1 gene:KYUSg_chr3.37882 transcript:KYUSt_chr3.37882 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAISRRAHKERAQPDARKKFGILEKKKDYVIRAKAFHQREDLIRNLREKASNRNPDEFNFKMVNSMTVDGVHRPKPETNKYTEEELMLLKHKDMGYILQAIQSEKKKIERLSSTLHELDNKRANKHVYFAEDRKTASSYKELEGRKQRAQKLEKLYADMALQKELKKPGRKRKLREEEIENPTSQPVYKWHAQRKR >cds.KYUSt_chr6.11759 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73176980:73179120:1 gene:KYUSg_chr6.11759 transcript:KYUSt_chr6.11759 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTQRSEVALAAAVRDPPPTPPSSSSGSADIGQEKPAQNAEPATCAEPPMGAEEGTEHQGLPDSSGGAPSLRKLSMVPLIFIIFYEVSGGPFGIEDTVGAAGPLLAIVGFLALPVIWSIPEALITAELGTMFPENSGFVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAVPALGGGAPRAVSVVGLTALLTLLNYRGLTVVGWAAICIGVFSLTPFLVMGLISIPKLRPARWLAVDLHDVDWNLYLNTLFWNLNYWDSVSTISGEVKNPGKTLPKALFYAVIFVVVGYLYPLLAGTGAVPLDRGQWTDGYFADIAKLLGGAWLMWWVQAAAAVSNMGLFVAEMSSDSYQLLGMAERGMLPAFFAWRSRYGTPLIGILFSASGVLLLSTMSFQEIVAAENFLYCFGMLMEFLSFVLLRVRMPDAPRPYRVPLGTAGCVAMLVPATALIAAVLALSTLKVALISLGAVAVGLVLQPALRFVEKKRWLRFSVNPDLPGIDVTHQPVAPDDPLVV >cds.KYUSt_contig_2197.59 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:347685:348714:-1 gene:KYUSg_contig_2197.59 transcript:KYUSt_contig_2197.59 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIFKGLRIISQMFAHKEHEMEIGFPTDVKHVAHIGLGTSDTSPSWMNEFKSIEDMSAGSLSTAGQSRQTSWTSTDFEPARSMLPTDINFPDKQDQESSSCPPRGPRKARRKKPRESSPNSARSSSSRSRTSFATAYDDFNESHRGFRVV >cds.KYUSt_chr7.37506 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234045801:234051019:-1 gene:KYUSg_chr7.37506 transcript:KYUSt_chr7.37506 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIVPIAVVEKLPSPLSKRSSPRRCCRNQAELEMQPTEDRADERAGRRLHLLTVDLARRQCFAIAVPQSLRGAGLREGADQVLIDSIARSRASGRGVRLDLAIRLHEADWVELPRQGTTNLAGTLKKWCKKKKPIQHQLDTLQQQINSIQMQPIPDQDHSLEVKLISQYEENMTKLTEFYRQRAKKHWATQGDRNTSFFHNAVLKRKRRNRIVSIKDACGNNLFDPEDIANEFVDYFKNIFRSLCLNNDRPFMNTSHPQGEQDFTNSIPDKQEVWEILKSMRRNASPGPDGFNVGFYTSVWSWIGEDVTNLVRNFYITVNELSLALQEALQGNHLSGISLGMEAETHGPKSPNFRMEITSPSASNGPSQVFPVANAIMQESKLDDMKTQQEQKSTNVMDQRPVPLQDSSLVVATCVSFVSTGIFTPPG >cds.KYUSt_chr4.14103 pep primary_assembly:MPB_Lper_Kyuss_1697:4:86798370:86798981:1 gene:KYUSg_chr4.14103 transcript:KYUSt_chr4.14103 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISLFLLPLLLLAGAAAAAEPPTSPPPTPPPSEPPTPSPPPPPPAEPPTQTPPPPPPPPAEKNGTLYELLPLYGLPAGLFPSTVTAFSLADNGSLTVDLAGPCSVHFEFLTYFEARVTGVLRYGSLTDLQGVQVRRFLIWFDVVRVKVDLPPPPRYVYLDIGWITRKLPATDFETLHDCEDSKNKCRLSSALATAATWFQV >cds.KYUSt_chr3.26842 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167457833:167458684:-1 gene:KYUSg_chr3.26842 transcript:KYUSt_chr3.26842 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSDGDRFLPTKKTDAAPRDAGRKRKRQLTLAVYDPAAAEERAIAAILLSLSAQSAGAPGPFLARPPLDAVPLSVAAPPRAEPPWLREWLGLRLDLPVHFIGDKALTVTDLDKQQNRFRLPTEAALRVLRSILFDEELDAAKIPRVGMEVAPRPPKKPRRRPPPTGEELLLQGKGKIEKRTSKKQGTKHDGLPVNLCNVDAGVIHLQLTRWESSHAIVVKGGGYLDFINRCGFKENDVVEIWAFKERQFRYFGELFLHERPLCVVLAKKQQQPAPLLGMGKQ >cds.KYUSt_chr2.7961 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49878848:49883665:1 gene:KYUSg_chr2.7961 transcript:KYUSt_chr2.7961 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGFEAALAVCPAAAQAYSKYCGIVSGCENANTREGLVELSRTIDNMEGMRDGIFGDIQKLMSVLELDDARQFSNFYDFVFFISRENGQKNITVQKAVAAWRIVLIGRFRLLDRWCNFVEKYQRHNISEDAWQQLLAFSRCVNEDLEGYDPKGAWPVIIDDFVEYMHRIYRPGDCSSAMASQCSISNTFRGLNLLPGSKRKCPTQFNSSEDNVELSDVPRHSLHLTPLKRLKESSVSTKSGVSECNAGAITNWGIQMTAKE >cds.KYUSt_chr3.29720 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185948923:185952174:-1 gene:KYUSg_chr3.29720 transcript:KYUSt_chr3.29720 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATAETVAVAAAGRVKTALGVAACERDAEKLELIEELTKGFDAVQEKVLATILARNNGAEYLRRHGMEGRTDRDAFKARVPVVTYEDLRPEIERIANGDRSNIISSHPITDSGTSAGERKLMPTVEDELDRRQMLYSLLIPVMNLYVPGLDKGKGLYFLFIKSETKTPGGLPARPVLTSYYKSDQFKHRPYEPYLVYTSPTAAILCTDSFQSMYSQMVCGLLARTEVLRVGAVFASGLLRAIRFLQLHWKELARDIETGTLSAKIVEPSIRDAVAEVLKPNPELAAFVAAECAKDDWEGIITRMWPNTKYLDVIVTGAMAQYIPTLKFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVTYTIMPNMGYFELMPHDPEAPPLSKDDPPPRLVDLADAEVGKEYELVITTYAGLCRYRVGDILHVAGFHNAAPEFRFVRRKNVLLSIDSDKTDEAELQAAVERAAKLLEPYGATIVEYTSEADATTIPGHYVVYWELMLKDRLDGLWPEAAVFEKCCLEMEEALNSVYRQGRSGDAIGPLEIRVVRGGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVLSKHFSPACPKYGPYKK >cds.KYUSt_chr7.16750 pep primary_assembly:MPB_Lper_Kyuss_1697:7:103790443:103792134:-1 gene:KYUSg_chr7.16750 transcript:KYUSt_chr7.16750 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGTNAGRAGGRLRRLLLLQEIRRELLLPVSSSGNPPSTVGYHSKLLHPVDPTHGVVEELDGWKLIALFREISSDYWRKDNVCHADPNFELSPRSIQITTVSMVLQSPRH >cds.KYUSt_chr2.36867 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227762429:227763739:1 gene:KYUSg_chr2.36867 transcript:KYUSt_chr2.36867 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKLLPPGPGRRSGGGGGGTRTTVRGRVDHVVSLTSTTYGVLDLQTKHGAAAGHGSCPDKEQPLPQEQEKPISREWKRAASSKLRPPPLVLPDAKKPLTLPDAKKPEPGMEVINSWEIMAGLEDADSPAKKPSKPGRWSPARVLSLALPSPRRSSAKRRSTPGKENSPLQRCSGNTNSNKPSDAADEDRVVLRPYNSIDNSKLSRASKRFSSPASTRVTRKPNPTDPGGMSSSRRSLSPLFDPELLASIERELSEEGAHIKRVDKPRQPKVVPAIVAEGRCPPGGADAVVLYTTTLRGIRKTFEDCNAVRAAIEAHDVKLIERDVSMDSGYREELRLLLGGRDLRVPAVFVRGKHVGGAAEVTRMEEEGKLKALLQGLPRARVWCAGCAGVRFVMCRDCNGSRKVRVDGDRKETAPCGECNENGLVRCPICS >cds.KYUSt_chr7.37801 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235707990:235710170:-1 gene:KYUSg_chr7.37801 transcript:KYUSt_chr7.37801 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box component of the SKP-Cullin-F box (SCF) E3 ubiquitin ligase complex, Strigolactone (SL) signal perceptio [Source: Projected from Oryza sativa (Os06g0154200)] MAEVEVAVADAAAGPSPLLDLPEPLLLHILGFLHDPRSRYRAALSCRRLLAAERLTRAAMSLRGDPRTLDFLLLPPGFRFPALHRLDLSLISPWGHPLLSSASPTAGYTNTDPHDPHEIAERNAYVAARLALYFPAVSSLAVYCRDPSTLEALLAPRWARTLRAVKLVRWHQRPLNLPAGADLEPLLRTCPALTALDLSDFYCWTEDVLPALAAHPAAAAKLTDLHLGLAGAGNGFLASDLAAIARCCPNLRKLVAPCVFNPRYDDSVGDDALRSLASSCPRLTLLRLSEPFEPASAAQREQACITTLGLIAFFDALPELEDLTLDLQHDVLEAAPAMEALARRCPLVRFLTLGCFQGLCKAPWVHLDGVAVCGGLESLRMKNCQDLTDDSLAAIGRGCGRLATFAIQGCDRVTSAGIRKLASALRSTLKEVSVLQCRFLNTAACLTALNPIRERIESLEISCDWEEVEEEPANAANGCHHEDDEPANGANGCDHEDDDETAETSYESASKKCRYMEELDNFSSWEMLRSLSLWLAAGQQLNPLISAGLDSCPRLEEISIKVEGDLRTCSRPSPVSVFGLSDLGAFQVLSKMKLDLSEAVGYALTAPTGHMDLAQWERFYLSGIESLENLYELDYWPPQDRDVNQRSLSLPAVALFQRSLGLRKLFVHGTTHEHFLSFFLKMPNLRDMQLREDYYPAPENDMMITEMRPESWLRFEVQLNNQPIPN >cds.KYUSt_chr2.53850 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336100425:336105382:1 gene:KYUSg_chr2.53850 transcript:KYUSt_chr2.53850 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRWWMEALLEEDGEFFPLADCIPAGQGSKELDAIWNALVTAPLESVLVTLREMVAAGNFFRCRSFHAGTLSVLLLVLSFKDNSAFQGLYRFLVELIWTLNIYMYFTMVYDGAVGVKHGRLYWLGIYRLLRTKGGLMKVVRNTILDMYGGIEPVSVKRRNKKGQ >cds.KYUSt_contig_1158.113 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:674686:675997:-1 gene:KYUSg_contig_1158.113 transcript:KYUSt_contig_1158.113 gene_biotype:protein_coding transcript_biotype:protein_coding MQADQRLAALGRTGQGPEKVGGGSGTVSPLIVTGGKSGGVALHDLRFISTGKSKHHKTTAGGNSSGMIWHIPKAHLGSVTSLSTIPNTTLFLTGSKDGDVKLWDANNSQLVFHWQKLHERHTFFQPTSRGFGGVVRAGVTDIHVLRNGFVSCGGDGSVKLVQLKNDFAAVNLL >cds.KYUSt_chr6.22617 pep primary_assembly:MPB_Lper_Kyuss_1697:6:142905211:142906806:-1 gene:KYUSg_chr6.22617 transcript:KYUSt_chr6.22617 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESSAAPPPPPAAAAAGGDAGRRAESPSPPRRLPDFLQSVRLKYVKLGYHYLITHGMYLLLTPLIVLTAVHLSTLSPADVADLWTHLRFNLLSVVACSTLLVFLSTVYVLTRPRPVYLVDFACYKPGPERRCSRETFMRCSTLTGNFTQPNLDFQRKILERSGLGEDTYIPPALVTIPPNPSMDLARQEAQVCMFGAIDNMLAKTGVKPKDIGILVVNCSLFNPTPSLSAMVVNHYKLRGNIISYNLGGMGCSAGLLSVDLAKDLLQVHPNSYAMVVSTENITLNWYFGNNKSMLVSNCLFRMGCAAILLSNKRSDRRRSKYELVHTVRTHKGADDKCFSCVTQEEDDSGKVGVALSKDLMAVAGDALKTNITTLGPLVLPVSEQLLFMATLIAKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNMDLTDWHMEPSRMTLFRFGNTSSSSLWYELSYSEAKGRIRRNDRIWQIAFGSGFKCNSAVWKALRSVNPAKEMNNPWMDEIDTFPVEVPKVSRVVVD >cds.KYUSt_contig_915.141 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:840463:840834:-1 gene:KYUSg_contig_915.141 transcript:KYUSt_contig_915.141 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPSFHIEPIQKLAHGQTARLSVLFLRASDLHLLCSPCPPATGHRAPKPPRGQHLDRRSPAQLSPPAFALLHRPAKPALGISLPDARPRVSCTAATLQRCCRRTPPRNWPQHRRLRPDDLLL >cds.KYUSt_contig_786.81 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:509306:512438:-1 gene:KYUSg_contig_786.81 transcript:KYUSt_contig_786.81 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTALGCQFLLVLVACSAHAVICSSLYGSETDGLSLLEFKDGISLDPQQAFMSWNDSTHFCNWEGVSCRVKKNQPRRVTSLNLTGRGLVGHISPSLGNLTFLHSITLMQNALAGEIPPSLGHLRRLWTLRLNNNTLQGRIPSFSNCSKLRVLDVSINNLVGQFPVDLPPRLQVLRVSVNNLTGSIPASLANITTLTTISCAYNNIKGNIPSEFTDLSNLEYFGEVPPNLFTSLPNLHELGIAVNFFLGSIPSSFPNASVLSFLDLSANNFTGFVPTMIGKLTKLMWLNLENNQLEAYGNQLSGEFPSGLANLRNLAVVTLQENHFTGVLPKWIGTLNKLQGIDLSRNLFTGVIPSSLSNLSRLGELYLESNMFIGQIPASFGKFSRLEDLDISNNHVHGRIPMEIFKIPTVFRIGLSFNNLHGPLPTSIGNAKQLIYLELSANKLSGDIPNTLGDCESLEDIRLDSNILSGNIPTSLGHITSLKVLDCSTNNLTGSIPVSLSNLRHLEKLDLSFNHLDGEVPTEGVFKNATAVRLDGNMGLCGGALDLHMQECPSNSSSHKESLVLKVVIPIVSMVSLAMVIFGLFLWRGKHKRNFTSLPSFARNYPKVSFSDLARATQGFSTSNLIGSGRYSYVYQGKLVEDQNKVAIKVFNLEIRGAQKSFIAECNALRNVRHRNLVPILTACSSIDFNGNDFKALVYEFMPRGDLYKLLYSTRDNEGSSGLNHITMAQRINIVVDVADALEYLHHNNQVPMVHCDVKPSNILLDDNMTSHVGDFGIARFKVGSSTSSLGNPDSSSVGLLGTIGYAAPEYAGGGQVSTAADVYSFGVVLLEIFLRRRPTDDMFKDGLNIVKLTEISFPSSVMEIVDPQLIQEMELCEETQMALKGKRIHSLLSMLDIGLCCTKPSPLERINMQEVAAKLHGIKDAYLRGT >cds.KYUSt_chr7.18979 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117545605:117548137:-1 gene:KYUSg_chr7.18979 transcript:KYUSt_chr7.18979 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVATTRVVGARASQCSSSASSSARLLPALARRTRAYRPLMTPAAAAAGSGRARLQVRAALLESTGVSVGFRAPQFELPEPMTGKIWTLDDFEGSPALLDGPEHMIVDAEKFKYSFPYLYDESQEAAKAFQAVCTPEFYLFTKCWVQHQMELVTGINYRAGQGMYAFSL >cds.KYUSt_chr2.31764 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195963939:195970208:1 gene:KYUSg_chr2.31764 transcript:KYUSt_chr2.31764 gene_biotype:protein_coding transcript_biotype:protein_coding MELDRPILLRHQGDQSLIEHLKTMIPPQPDRMQCLLVTVKHTSVENPKRKNEEERDHNLTTTEKQVAAISWGKRRRMRGSAGEALAVVVPPLAVGLCLLDPRGDSGMMGPMAPRRQGQAFLTLCLVRLRHRLTARAPRCPDGCPGSHRRWQGRGGNRRQRERVNEINDNLGIGEESAAMLDADVCDHTGCTSPTICPGRPRCQPPFSASSLAPLLRCAALLRRHYSPQPPRRPQLDADGRDHTSPAACPGQSRCRPPFSAASTSSPKQNRLLAPTSSSTAPPHNHRPPAEPLDAKPQRPSPNPSLEAGRRKPRLRPHHLELAPLV >cds.KYUSt_chr3.1801 pep primary_assembly:MPB_Lper_Kyuss_1697:3:10411269:10412156:-1 gene:KYUSg_chr3.1801 transcript:KYUSt_chr3.1801 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPRIQIPISIGGSRTMMSPKQLLTTILVVFSTLSFIKFLLITHPSAGASSSAHLHRAEWESGNGTAAKSDGLAAKEFALLRSIVAARAPCRLLVFGLSPQLLALAAVNSGPGAATAFVTDSAEDADAARRVLAGRAGGSSSAVHRATYPDAAGEAWALLRRARAAGPACARPTGTVRKSGCRLALTSLPREVLDARWDVVVVDGPSGAGPEEPGRMGAIYTAAALARAAAAAGGGRVVDVAVHDMDRTVERWYAREYLCEDNLVAAKGRLWHFRVGAGGQRDAFCSTAPVKIL >cds.KYUSt_chr5.19417 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125958369:125963375:-1 gene:KYUSg_chr5.19417 transcript:KYUSt_chr5.19417 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPSSGFCISSGFKPRIFPDTLKLCTKPTYPGRLIFEAAREGSIPIMKDLAQRCRIEDRSLEVVEEIKVKDSPNGPSLGALHVAASNGNLEMCEFLIDELNLDVNAAAEHGSVALGYVGLSPLICAIYGTAPKRIVELLLDRGAEPDIPSTEGVTVLHVLATKKDPFGIADLLLSRGANVDSMSPEGTPLHFAAQCGNLEMMEVLLNLVQSSYAPLTMALLGSSLKCVELVIQAGADVNAAKPVTPLIIAARYGLSDCIKCLLKYCADPNIADEIGIIPVEIAAIHGRKKCVEILFPATSPVDKFADWSIDGIMQHVESGSSEGHPDNMTQASFEAQGDYAFEREDYVHASAQYTLAIGTSPEDPILYSKRCLCYLRMGEKNKALDDASTCERLGCFVSRYCHEQGSALIPTEDYGQAGEALISSLKLDSESGPAGEVSREEDL >cds.KYUSt_chr6.23110 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146045874:146054034:1 gene:KYUSg_chr6.23110 transcript:KYUSt_chr6.23110 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPKNRSHVPAKDGQARPPPGQQGRRMASRDAGERAAFFARREAATVLRRVLRGDASKRSGGSIKSLVFSPSVRNKRATFALVCQTLKCKPPALARCHLACQVFDGMPVQSLVAAFSHGCPMYSVEECLCECLPILKEVFASTGVLSSKWKKQEELVYVTAYDILFGQEIAVSGSVEQFILLHKDNFRTTLKKICVKRKVSSIKDLISENTTVKPKPRFVRVNTLKTTTSSVIEVLSKIHKVEKDDMVPDMLVLPPGTDMHKHPLVTDGKVFLQGKASCMVAVALCPKAGWKVIDACAAPGNKTVHLAALMNGEGNIIACELNKDRAKTLQHTVRRSGANNVETVNGDFLDIDSNDPSYAEVRAILLDPSCSGSGISTERLDHLLPSHSRDDQDDAGSSARIRKLSAFQRKALSHALSFPSVERLVYSTCSIHQAENEDVVNSVLPLATSLGFELATPFPQWRRRGLPVFDGAEHLLRTDPEDDLEGFFIALFVRKAAADESSAEPSKDGVLGVKRKRVCTTGNSGLRAFSSLRLSRMDALCSIWRL >cds.KYUSt_chr7.15328 pep primary_assembly:MPB_Lper_Kyuss_1697:7:95034213:95036010:1 gene:KYUSg_chr7.15328 transcript:KYUSt_chr7.15328 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWTSVGLVAVAALVVGIAMPASAAAAVQPPAPAPSSDGTTIDQGIAYVLMLVALVLTYLIHPLDVSSPYRLF >cds.KYUSt_chr4.35182 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216200217:216201112:-1 gene:KYUSg_chr4.35182 transcript:KYUSt_chr4.35182 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSWSKISTYPTSSSPSTRPLGLAPDPRSHGGTALLAGQAGGARHAVPGREQVPCQATSVSVRPPDGGRQQTRFRGDRSSDAGPLGSGGGDGGPAMWTRTRVDFGQRTEKLKLSYDERKASLVKMLNAFDSSVGADVDDDKDDE >cds.KYUSt_chr7.8478 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51349868:51351570:-1 gene:KYUSg_chr7.8478 transcript:KYUSt_chr7.8478 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPMPVRSKSFKKSRGTPACSLPLLLLIAGVMYVMIGKPFPSFLMGSRSFFNRAEEFIPEPQVNCDFNSTRSDFCEIAGAIRVRGSTSEVFVVSPGRGATARDVFGPNSTWVAANATSWKMKPYTRKGESRIMNGIREFTVRLASADEVPACDVMHEDVPAVVYSNGGYCGNYYHDFNDNIIPLFITTRHLGREVQLLVTQKQAWWFGKYGEIVDGLTRHEAVDLDGDSRVHCYRRVTVGLKSHKDLSIDPRRSPNNVSMVDFKRFLMWRYSLPREHAIRTEEDDEERRRPRLLIITRRSKRRFMNLEEIVAAAEKVGFEVTTSDLMTPAKKQDDEAVVDDSGQARMADASATVNAFDAMLAVHGSGLTNLVFLPMNAVVVQVVPLGRMEALAMDEYGVPPRDMNMRYLQYNITAEESTLSELYPRSHPVFMDPGPIHDQSWSLVDEIYLGKQDVRLDIARFRPVLQKALELLR >cds.KYUSt_contig_319.1150 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:7678790:7680731:-1 gene:KYUSg_contig_319.1150 transcript:KYUSt_contig_319.1150 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTVSGAGGHPAGDIGDHPEAPNADDTIYQAALPDNEACVSAAAPELRYRGWKTMPFVIGNETFEKLGSIGTAANLMMYLTSVFHMTNVDAAMALNAFSGTTNLATVVGAFASDLYLGRYATVGIGCIATLIGMIILTFTAAVPTLHPPPCAGGEEPCAGATGRQLAVLVLAFVFIVAGAGGIRPCNLAFGADQFDPRTDSGRRGINSFFNWYYFTFTIAVCVSSTAIIYVQSNVSWWVGFAIPAALMLVSCALFFAGERLYVRVSPEGSPLASVVRVAVAAFRKRRVAAPDDPGKSLFRTRHASSLVSRLPYTEQFRFLDKAAVVVEVKSEVDLDGFPKNPWQLCSMQQVEETKCILRVLPVWVTCIVYYVAFAQTNTYVVLQATQSDRHLRAGGGLEVPPGSFTIFPMLALTVWIPLYDRLVVPWMKRLTGREEGITMLQRMGIGMVLSSVAMLISGLVEQRRRDLAVLQAATSGGSMSRSKVSPQSAFWLVPQLVALGLSEAFNQVSQMEFYYKQFPENMRSVAGSLLFSGLALSNYLSELLVSIVHRTTGGSEEGWLAEDLNSGRLDWFYFLIGAVGLADLVVFLVCANWYRYKVYDDGHEQDVDGNP >cds.KYUSt_chr3.27156 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169531277:169531727:1 gene:KYUSg_chr3.27156 transcript:KYUSt_chr3.27156 gene_biotype:protein_coding transcript_biotype:protein_coding METPTGALVTAPAEKRSTGHDAELVVATARGKASDLPPAVQNVVGTKCLVTACVTQEAYEADNITSAESDVVIKHNKNYMVSRKSSGTFPT >cds.KYUSt_chr2.50970 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318864569:318867033:-1 gene:KYUSg_chr2.50970 transcript:KYUSt_chr2.50970 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSTSPPTPTPTPTRDGVQWASAASSAALRRYSSLPKKGKPQGRESTVLAAFLLSSPQDPLSPEVLSLATGTKCLGASRLAPRGDLVHDAHAEVVARRALLRLLYAEIGADCPPSWLVPSGSGGRWRLRDGYQLHLYVTLLPCGVMPVPPSPSEVPRLQPDIVVNGCGDGGFVQRKPGRGDTTLSMSCFDKITRWCVVGIQGALLSHILEPLYLSTVTVGQSPDGAPEGFCIESNVEKVLCARLSSLSRIFPASSKPNKPLFFEAPIPPKEFHQTLGDIPPLTCGYSICWNKSGLHEVILGTTGRKQGTSSKAACLPSTESMLCKIRLAEAFISLEHPLVTKFQHEELSYRAIKDMACEYQQMLELLREAPFFSRWRSKPASLDSFKVQR >cds.KYUSt_chr6.6138 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36873825:36875639:-1 gene:KYUSg_chr6.6138 transcript:KYUSt_chr6.6138 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDNLPPAKKSASTYYDHSSDPWFKERYGDEAAEDDAGKPTGPGKFVPPYGKRTGFIPRRPEDFGDGGAFPEILVAQYPLGMGRRDDKGGSKILALTVDARGSVAFDAVVKQGENASKIVYSKHSDIVPKIATADSEALEDEDYEKLVEETTDRTKAALEKIVNVRLSAAQPKNVPTHDSGSKFIKYKPSQQSAAFNSGAKERIIRMSEMASDPLDPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSESLYVAEQKAREAVQMRSKVQRELMLKEKERKEQELRALAQKARMERSGAPPPSVAAPAAGGRERERVDDGDADMDLEQPREQRRETREEREARIERDRIREERKRERERERRLEAKDAAMGKKSKLTRDRDRDVSEKIALGMASTNAAKGGEVMYDQRLFNQDKGMNSGFAGDDEYNIYTKGLFNGQSGMSQLYRPTKDGDSEVYGGDADEQLDKVMKTERFKPDKAFSGASERSGKRDRPVEFDKQEENDPFGLDQFLTEVKKGKKAVDKIGGGGTMKASGGSSNRDDYDGGSGRSRINFERGGR >cds.KYUSt_contig_319.583 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3713339:3721155:1 gene:KYUSg_contig_319.583 transcript:KYUSt_contig_319.583 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYWSVATPNVGTEASGSVPATVLDGGTADLRLGREDREGPDCFLDLSERFPIVEPDYGHTKLRLSKQGLEAIQRIKTPIAAVSVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWIWGTPVEVDVDGSKVSVLYLDTEGFESIGKSNVYDDRIFALAAVLSSLLVYNLPETIREADISRLSFAVELGEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQQMVDEALQRVPNSNGDKYIDEVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDMEDQELEPLYVQRRDELKQLVASMIKPKIVQGRTLNGKEFVSFLGQILEALNKGEIPSTGSLVEVFNKGILERCLKVYNQRMDGVGLPVSVDKLQQVHVLAEDEARKLFDKQHFGKHYAAQSFLNLDEEIKKVFRNYGLANEYQSSKFCEARFSECEDKMDHLQALKLPSMAKFNAGFLRCNQSFVMECVGPAKENYEHRMSKMLARSRALFVREYNNKLFNWLVAFSLIMVVIGRFVIKLLLLEVAAWVMFIFLETYTRLFWSSDSLYYNPVWHIIVSSWETIVYSPVLDLDRLAFFFTDD >cds.KYUSt_chr5.22574 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147319061:147319300:1 gene:KYUSg_chr5.22574 transcript:KYUSt_chr5.22574 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPEQFRGKSRLPRFAQPLRYDLLLRPDLAACTFSGSAAVAVAVSAPTRFLVLNAAELSVDGSSIRFQARAAASTKS >cds.KYUSt_chr6.25056 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158784832:158788280:1 gene:KYUSg_chr6.25056 transcript:KYUSt_chr6.25056 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEGWRGLTAVEAPAAMDPILACAGHAAALHIPNELLAAREVESISEEELKAAKQGYQEAVSKGNGK >cds.KYUSt_chr7.10514 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64556070:64558514:-1 gene:KYUSg_chr7.10514 transcript:KYUSt_chr7.10514 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHQDAHHEDFQLKDTNPLLGEQWPKGAAGPARPAVGGGIAGWLGMDKPSSTYDLVEQMFFLYVRVVKAKDLPPNPLTGAAMDPYVEVKLGNYKGTTKHYDRRANPEWDQVFAFSKSRVQSNALEVYLKDRDMIGRDDYVGRVVFDLGEVPTRVPPDSPLAPQWYRLEERRGDVGGYKIRGELMLAVWIGTQADEAFPEAWHSDAATVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQSRGRAPEVFVKAQVGNQILKTSVVAAATLNPRWNEDLVFVVAEPFEEQLVMTVEDRVSPRKDDLLGRVQLPLTLFEKRLDHRPFVQSRWFDLEKFGIATAIEGETRRELRFASRVHLRACLEGAYHVMDESTMYISDTRPTARQLWKPPVGVLEVGILSAAGLQPMKNRDGRGSTDAYCVAKYGQKWVRTRTMIGTSSPTWNEQYTWEVFDPSTVITIGVFDNCHLGNSGNGNNNNSGGGPPPARDARIGKIRIRLSTLETDRVYTHAYPLILLTPSGVKKMGELRLAVRFTCLSMMNMVHLYTQPLLPRMHYLHPFTVTQLDALRYQAMGIVAARLGRAEPPLRREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGAAAGARWFADVCLWKNVATTALVHVLLLILIWYPELILPTVFLYMFFIGLWNYRRRPRHPPHMDTKMSWAEAVHPDELDEEFDTFPTSRQQDVVYMRYDRLRSVAGRIQTVVGDMATQGERLQSLLSWRDPRATCVFVFFCLIAAVVLYVTPFRVVALVAGLFMLRHPRFRSKLPAVPSNFFRRLPSRADSML >cds.KYUSt_chr2.13993 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88482974:88488787:1 gene:KYUSg_chr2.13993 transcript:KYUSt_chr2.13993 gene_biotype:protein_coding transcript_biotype:protein_coding MDISIACSSAAGTHFALHFFSLSARPRVSFRRRSTSVAHSAFEMLGGLCFGARGFLAFGTRSVASFFGGMVVEGKRRSCNSPTSPILLLLSPNSLHASDQSEASSDPSAPASRTALPSPSAGSTAGLARNLLLCAPARSNATALLAGGIGAAGLSPDVLLCATSLFPPTSAGLFAITITPALRLSGSTIMPATPEYERNRLARIAKRKAEEAGHLANIRNIASQLNKWSDEENKTDMEKRRSEAQQEDEHMVSGTHIVAEVLKEHNSSSTFLSTMGYQSRSETFRTSYSEERIRELEEKVEQHKREAIEANAMYQQHLTERGQIQEAALEEMQRKQQEELAAMKKSQQEKNKTYEKKARRTWQSY >cds.KYUSt_chr3.42076 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265765261:265775661:-1 gene:KYUSg_chr3.42076 transcript:KYUSt_chr3.42076 gene_biotype:protein_coding transcript_biotype:protein_coding METAGTTTKEPTTHNNNGGTPADLEAWDECRAKAEDKNKADPSRGPNDCERITNLGSTTENCKYRWYLLDFEHGSIKDDYCGGRTGYNSELLKIMEANQSPSRKRPRRDRNREKASVLNTAEPMNLDVWKEFPEDLFETVIARLPVAAIFRFRTVCRKWCSLVGSDNFSQQYSEVPHGIPWFYTITHENACNNVAMYDPSLKKWHHPSVPVAPAKIVIPVASAGGLVCLLDLSHKNFYICNPLTQSLKEIPPRSVQAWSRVSVGMVLNGATSVEGYKVMWLPNDGNHEVYDSMQNMWSRPGDFPPSIKLPLALNFRSQPVAVGSTLYFMCSEPEGVLSYDVSTGIWTQFIIPLPLHLSDYTLAEFQGRIMLVGLVCKNAAACVCIWELQRMTLLWKEVDRMPNVWCLEFYGKHMRMTCLGNSGLLMLSLKAKRMNRLVVYDLLSKEWQKVPDCMLPCSRKKQWIACGTAFSPCPSAVP >cds.KYUSt_chr2.4914 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30425078:30426616:-1 gene:KYUSg_chr2.4914 transcript:KYUSt_chr2.4914 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSTQERPRLRRLEFVHAAAAQAALCVAGLYALARDHAGPLRPGVDAVDSAVRGLAGPVYARFRGIPLHLLAFVDRKVDGTVEELDRHLPSVLKSASAKAYEAAQAAPELAREIVDEARRSGVTGAARAVYGKVEPVAKDAYGRIEPAARDLYVRYEPAAEHLAVSTWRALNGLPLFPQVAQIAVPTAAYWCEKYNRVIVYAAGHGLPGARYLPAVPVERIAKVFGEGSPPEAKPVEVNETVGEGSPEAKPVEVTETAE >cds.KYUSt_chr5.30927 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195786424:195786732:1 gene:KYUSg_chr5.30927 transcript:KYUSt_chr5.30927 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCRVIAGRVRPVLGQDQPGRHCHSSDYDSVDMCDGELVVLDSRAVLPCFLDLALASCQLGPSGSAGVQPSNAESISYKKIEYYYILRDYSILETHTRLLN >cds.KYUSt_chr6.4165 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24148267:24148809:-1 gene:KYUSg_chr6.4165 transcript:KYUSt_chr6.4165 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSRVPAGAVILFVVVAILLVIDGADAWGYRRGSQASRFLASHNAARRAVGVRPLAWDAGLERHARRYAVQRARAGCALVHSHGPFGENLFRGSGVGGSGGWTPEAVVAAWVVKERAMYSVQSNSCRGPRGACGHYTQIVWRGTTKVGCAMATCAGGRGTFAVCVYNPPGNYAGMKPY >cds.KYUSt_chr4.21108 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132957298:132960096:-1 gene:KYUSg_chr4.21108 transcript:KYUSt_chr4.21108 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPKLHGRRLSDSLGLCGAQLAVFSGEWTVRRRLQSCSVLRGGVPAPSSSTMWVVWSVVLLRLGAPDLVDALIWSAGFCRWYSLVVASSGKSESLRVQSDDDDVGSVFFYRYDASGNKSGGTATTTHLHFYMHDDYTGPRPTAKRVVSGRSSDGDNDTTTLKTAPRQFGDIVGWAVRVSEGGIVSDLTLHLVLEAGEHRGSSLTARGRIDMDATVRESVLIGGTGRFRYARGYMLTKNYDYSLATGGIVEIDVYVQHE >cds.KYUSt_chr6.5121 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30268871:30275114:-1 gene:KYUSg_chr6.5121 transcript:KYUSt_chr6.5121 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEASSASALPASEEDSLLLEVIALHKLKPHCRFSLNPNVVSAFGIFQQYWVHQVRKVRLQGYYDFSQKLKRIARLPFATIAYGTALMLLIIVWQPLLRILSISLLLRIAIVVEAICAGCFMSLYIWYIHKYNSLNGRPDILRSLYSALQPSSTLEDRRYYDGRLSDQQMALLQYQRENIHYLSEEVLRLQESLSKYQRTDVGNTPQVDLAHLLASRDQELRALSAEMNQVHSELDLARGLIDEKDSEIQRIRVSNNQYVEENDRLRAILGEWSARAAKPVSLSMTPRPRLLLLHTGNVVLTKMNWMSMLLSSGVWTIFFTRKECGSRQ >cds.KYUSt_chr3.39233 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247160083:247166603:1 gene:KYUSg_chr3.39233 transcript:KYUSt_chr3.39233 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQLLEQAQSRSSSSSDVLKSLEANPVRLTGPGNFFSWSRNAVLILESHGLEKFLEGLNEEFNLRSQLIQALPDWPTLDQTISSILEEETRLSNKITVPSINADTVAALSSLTQIQPSAGMRYDQANTIRSEYKKKTRFVCEHCNRPGHMRKDCFELVGYPPGWKRRPQNRPGNGSKGESSNNIYGSGSSVLVPIMDTIPLDNGTEGEPNHDAEANIHEVFDDTPSQQINTDREILSMDSIVSCDEPLHQEVTDNDGAGDEIPPKEMGHSEDTAPTDWREAIMDPKWKAAMLEEMEALEKNNTWEVVELPKGNDIAGIEELKQQLKREFEVKDLGQLRYFLGIEVSRSSKVITNSEGGTSILTSSDFLANTKGRLKHSLEITIRLPNRQLLQGVVQHHRLPCRQLLVITTEHSPVLAAACLADTLQVDPLPELFAVRRCYDSSQLMGTSGELIESPNGVETEGFMLSTCRITATGTGGPLVDLDGNIVGMNCYDNREITPFVPRDRIAKCLASCWIEPYYTPKKSRLSPQGIVNRDRSSGGGSENEKQEPCTPVLWCDLDQELASKLSPSVVSIASFDGETPHSECTGIVTDSRLSSPAFLTSPSLYKSVHGNDVLAMTVKVCLPNGEVVDATLQDLMPSYNLLLITTGPLSRFPDLQLARLRNSMKTESAAEVLAVRRCFKSGKLMTNRGALIDSPSGVVSDALKFSTCKITEDASGGPLVDSDGNIVGMNCYDRGTAPYVPNSFICECIGPDVFCSGNNQDVRSITQGSSNEIETSSTPNKCPEEVIDLVQPSSICNPTRAELTDENLKRILDPWPSGDNNAFTKRVNKMLGDSGYPLPSFQVEGMYLKGDFEEKFSEDICSKSVERVASKMSQHIVALASFDDEGRRYFACSGILIECNKSTTRVLTSASLVRASGTENKVHKLRIEVCLPSKQCVPGSLERYDLNYNMAVVSFSGDTSHGVAKLGETLQNNKVVALGRGFRSGELMVTDGVLTGERSRFDCEELQMSTCKITKAGIGGPLVDFDGNFVGSNFYDMENTPYLPKGIILEFISQFNAGRTIAAEVTKKSNSNGWPVPEPYWVYPTPHREPSYLSDGYE >cds.KYUSt_chr1.37066 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226427497:226434695:-1 gene:KYUSg_chr1.37066 transcript:KYUSt_chr1.37066 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGYTPAASTRMLSLRRAGGGDGAALHAGSPVKDVVVAPRGRCGDGAALRADSPDVDVFMATRGSRGGVGLHAGFVVTGFIAACRSRDDARLHADSSDTVVANSVRHRVIFRSGVPDLGGLIWSAFGSLLLALKLLWLKLGVEEKATISFNKAVALCHHLMWGGGVGILPLAGRGGEERKGADASSSTEGCWRGRLLLRLAEEISGSSSLAALHRWLPAIQLTATPALVAGKLARGAYETRGREDTHRQPGKTKTTTHRLGNPCLSGCSERADYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISSASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDHRAYLADHPGASAITTAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRREVMAARKKTRRSSGCSIKHLMCGGTCVA >cds.KYUSt_chr2.30591 pep primary_assembly:MPB_Lper_Kyuss_1697:2:188387556:188399986:1 gene:KYUSg_chr2.30591 transcript:KYUSt_chr2.30591 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRGELARLCSGRNWSKAIRLLDSILARSPSSIHDLCNRAFCYSQLELHKHVVRDCDRALQLDPALLQAYVLKGKALCALGKNEEALVAWEQGHEVAVRDTIDLKQLLELEELVSSVKICETVECEDVVDASPCDTKVVISEDRFIDTSFTAATTDTKTVVCEEHIGNSEASSNGDTMLPNNSIDHKDSSSPAKDTMVTHQTPKKQPKLVKKKKAKGKKKKKNQAEDSEDRSSSSDDTITLDQALFATKVSKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIDSNPSAGEAWKRRGQARAALGEFVEAIDDLSKALEFEPDSPDILHERGIVNFKFKDYDAAVEDLSTCVKRDKKNSSAHTYLGLTLSAVGEYKRAEDEHLLGIKYDGSFLDSWAHLSQLYLDLAYPEKMLSNLEKVLQIDSRFSKAYHLRGILYHGMGRHRSAIKELSIALTYDGSSIECLYLRASCNHAIGEYKSAIKDYDDVLDLELDSMDKFVLQCLAFYQKEIALYTASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRSGRLNKQDFKFTKHQTSLLLAADSIGKKIQYNCLGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNSAKLVRRRDKLNMSVNRGGYCSTSNLSAGSPTSSPSEERVSSGISLSWQDVYNIAVKWRQISEPCDPVLWVNKLSEEFNTGFGSHTPLLLGQARIVRYYPYYLRTLEAAKSIMLDLKYVNNAEDRAIFLTDIDKLKKIEVASSCSDLYNVVGETYWVATRCDSIAFQGRRLEGTRITTQNVGELAFDFAIRTPCTSSRWEEYQEEMTAAWEAICEAYCSDPNPTRDSNALDAVKAAILRMTYYWYNFMPLSRGSSVVGYVVLLGLFLAASMDVTASIPPGVQVDWEAILSPDPGTFVEAVKPWLYPSVKMSKSLKDYADVSVAFGTTGSVVAALTCVDDP >cds.KYUSt_contig_319.376 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2473433:2474106:1 gene:KYUSg_contig_319.376 transcript:KYUSt_contig_319.376 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSSFTGAAVVGRSAARSSLAPRRRAVIVRAQTEPEMDPSKETASASTSSPTPISSPTPTPAAPKPKANPSVWDALAFSGPAPERINGRLAMVGFVAALSVEAARGGGLLDQAGSGAGLGWFLTTAALFSVASMVPLLQGQTVESKSSGIWSADAELWNGRFAMLGLVALAATEFITGTPFVNV >cds.KYUSt_chr5.21130 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137643699:137644587:1 gene:KYUSg_chr5.21130 transcript:KYUSt_chr5.21130 gene_biotype:protein_coding transcript_biotype:protein_coding METKESTVAGRVAAADGSGARGRLPPGFRFRPTDLELVVHYLRRRALSSPLPAEVDIPEIRILAHDPSDLLPPGWAEEERYFFTCKEAKYVKGRRANRATGAGYWKATGKEKQVAVSVPAPKGGERQAVVVGMKRSLVFYRGKAPSGQKTDWVMHEYRLAGAGLAPCRRAQGSDAPAQPSEGWVLCRLFRKKKGAATAADRADATESARDPVDDAESSGVQFIDFFARADALGRPRAASPKSSSCVTDASAEHCREQESSSRSS >cds.KYUSt_chr4.35485 pep primary_assembly:MPB_Lper_Kyuss_1697:4:217828722:217829312:1 gene:KYUSg_chr4.35485 transcript:KYUSt_chr4.35485 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRFLTFLAKNLSINRQKNITEGPRNDGDHRSHAEEEEDEFAVKIEKADCKFNHEEEEPRSLPATILEERSADARASDQHKEAVAEASRKVAATEASLAAAPAVQEKKVRKSVTIKEEPAGDKEKAKKSLSKKRQASSVSGAGVEEPVPRPPLRWGLRPRMPSANLRVASNINEKSSNFIEARRKGFGAGGKPEK >cds.KYUSt_chr3.31397 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197191503:197193361:-1 gene:KYUSg_chr3.31397 transcript:KYUSt_chr3.31397 gene_biotype:protein_coding transcript_biotype:protein_coding MLERVAGFGLNTNMIMYLTKQYHLSNVTAGATLFAWAAAANFAPIPGALIADMYIGRFVAISIGSIACLTGIVFLWLSAMIPGAQPPPCGIGLAPAQCTPPGPRHLAWLIAGFTFLSIGAGGIRPCSMAFGADQFSRHPKEQRSRILQAYFNAYYASIGVAFTVAVTVIVYVQDNVGWKAGFAVPMALMTLSAASFLLGSRLYIKEKGSKQMFSGIGGAILAAVKNYSVRLPAKTEDGVYHHLKDCKLTVPTEKLRFLNKACMISSFGNGDSHGNGESASECHVNGDRRLCTVDQVEQLKSAIRILPIWSSTVFLAQAIGQNYAVLQANEMDRRMGAFRVPGCSLTMFNMVTMSLWSGSYDRWIAPALRRVTGNPRGLTMKQRIGVGLLLATAAMAVSAAVEGARRSQALAGGTAAAPMSAFWLVPQFALMGLAEAFGVIGELEFFYTELPKSMASFSMALLYMALGVGNLVNSFIVKVVDGASRRGGRTSWLSSDLNAGHYDYYYWLLACLGAVNFVYFLWCAWAYGEEEKNVQWEEEGEAERPVA >cds.KYUSt_chr2.5267 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32708807:32709476:1 gene:KYUSg_chr2.5267 transcript:KYUSt_chr2.5267 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPRPAVDAPVAAGHGDKATPPAHRQRMKTALDLLHGIRVELTSHENEKEVKAGLPQLLLVCARRQSQGQAARRTCCSWAFLDSDEDAQGYGVLDMADQEEELAHIDPYTTTPTNLKFERIFRAAEGLKLFLILSVSKVAAMEGLFKFLVKLFLLFLLCYFFPKTKLLCSNNVLLHY >cds.KYUSt_chr5.35415 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223753677:223754405:1 gene:KYUSg_chr5.35415 transcript:KYUSt_chr5.35415 gene_biotype:protein_coding transcript_biotype:protein_coding MKETGQRPFAGVDLRRPKGYPAAPADVEVHGDDPCPRCESRDTKFCYYNNYNTSQPRHYCRSCRRYWTKGGSLRNVPVGGGSRKTASSSSSSSSAAAASPRRAKNSKRRRVALASPELQTEPGTTDPAGAAEKEAMAGTVGDPATAVDAARAEATATGTVDHPAIAVDPATTETATTEDGVTADDHAAPWATDGGLTDHPSAADAGEKEVSPFEWPSGCDLGSYWAPGVFADTDPVLFLNLP >cds.KYUSt_chr6.17760 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111651515:111656255:-1 gene:KYUSg_chr6.17760 transcript:KYUSt_chr6.17760 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVRGSATVVPAEETPRLRLWNSNPDLVVPRFHTPSVYFFRRGDTPGESPDYFDGARMRRALAEALVPFYPMAGRLARDEDGRVEIDCNAEGVLFVEADAPDGAVDDFGDFAPTMELKRLIPAVDFTGGISSYPLLVAQVTHFKCGGVALGIGMQHHVADGFSGLHFMNSWADLCRGVPIAVMPFIDRTLLRARDPPAPTHPHIEYQPAPAMLGSDEPQALAAGKPEAPPTAVDIFKLSRSDLGRLRAQLPTGEGAPRFSTYAVLAAHVWRCASLARGLAPEQPTKLYCATDGRQRLTPTLPEGYFGNVIFTATPLAEAGKVTGSLADGAATIQAALEKMDDEYCHSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANRDGSLSVAISLQAEHMEKFRKMIFDF >cds.KYUSt_chr4.16605 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103132473:103140102:1 gene:KYUSg_chr4.16605 transcript:KYUSt_chr4.16605 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFQTSTHRERWIFQPQDLVTLPDPLFLFLRSIDKWTGVNRRSAETLAQYGTTRLKVDPVDGSISNPEPAPDHVVGSSSVKPLSCEEEQMMRRFYEQKIQEVCKAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYSSCKVEENHVSAEELGKGINQDHQIILNNEMIVLKSLDFDLIVFAPYRSIEGFIDDMDDFCRAGNGAHQRLKDLYQTANSHVDRMMLTDAPLLYTPGQLALAALYKSNDALKVLDFERYLESVFSRQRLDCPVEQFVQIMNTINYLVDRLQILTQEDMKHADRKLRHCLDEHKKKEKKPKHKSKRTAGDARHSNRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMIDDDGSLSPTRPFDRCLEETVNAEAILTALNGVASSSKNDSKDDDWATSDDDADAMEHDDDSEGDKGSVSFNDHRRAHYDEFRKVKELMRSGSLVEEGANNTQNKTAGEKATCDKGKPSSPQA >cds.KYUSt_chr5.18930 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122507216:122508511:1 gene:KYUSg_chr5.18930 transcript:KYUSt_chr5.18930 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKTGKKRGGSKPKPKPQTETPPSSSLPNSVPPPPSVEPPEEAAIDVLPVDVIPNIFRRLSLVDLLRAALACHRWCRVAARCLPRAAPLLGYFFHPVKTATPPHIHKRDPTRYDAVFAPLDVSSPRLSLDFAPDASRFNLHDCHQGLLLLEPNTPTPRSTHPRLLVLDPATRRRALLRPPPRDTVPDDHRWRRSRYYVGSALLSRAHPSKLCFEVVCFTIDGGHPRAWVASFDNDQCRWRALPLAEHVLVDFDPYWFETRGVHATGKMYWHICNSSRVLELDLATLRFSYLLPPAALPGHHFKYRMGETPDGRLCVVTVENELMQRWVRGDSMRSDNGWIVEEEMDISKVYDTVPGLPKDRIRRKQSIMVMDVDVGQKWKLFIEMLGYGTFSFDSKTRKLHRLATKGGKEYGKPISAYFLAWPPAFLAQA >cds.KYUSt_chr5.32207 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204351958:204354693:-1 gene:KYUSg_chr5.32207 transcript:KYUSt_chr5.32207 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDQQLKTLASPPLLLLFKDLQNSQGGGRWGHLQWCGAPSFALNRSGLPFFLQFVTSAEMVPNFQVNSDISTEVKEESKIAKPEDNLSTSTANTASVSLDCLGHELRVGASGPAALGRGCCERHEIRVWHAPPDLLNAHRR >cds.KYUSt_chr2.51685 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322892845:322895704:-1 gene:KYUSg_chr2.51685 transcript:KYUSt_chr2.51685 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASLDAGFDFDDLGFLDGLLECFDLDLPADFGDSFLGEPGKDGGLGLDLGSPDGGRESSPESVVTDDGAPSSGDREEDDGGMSAYVSDLERFLMEDSVDYEVGAPPTAEHKEFAPDECFLTDYFVADDGCTEPAAGAGVNDADTTGVEDELELAVDDYFLDDYYVEDQGYAMSAAGDTVDDDDMGLKEEEIAGDEYCFEDLFAADDVNGCAEPASAAGVLDAASSSEDDDFAAREDEASSRKRASWLDQAKLGAYRKLTAPKPLAMSENHLKRISRKEHDSIFLLMIETTWLVVARGSLLYLRCYRELILKYDMARHGLRMIHRPDVFQANAYGVSVNDGALY >cds.KYUSt_chr2.17504 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110297867:110301874:-1 gene:KYUSg_chr2.17504 transcript:KYUSt_chr2.17504 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAPTDVEEEAPMEEEEAEAEETEVEDDDDDEFECTLVPTLVNYTPQTQLPPTAGRSDHTNTMSSDHTTASQSPPPNAGASTPLPEAEAPPLLLLPLPEAHASLPVPDELLEEIFLRLPTAADLARAFTSCTSFRNVVAPISSRNVVAPLSFLRRFRSLHNPPVLGFLRAEFYPAQSPHPSAAAAHALAQAADFAFAFLPDHAGWSPRDVRHGRVLFSAVSLAEGRGDFIDATSNTFVELVVCDPLSRRYIRIPPVPEDLAASVQRSGMLDFEPFFAPASREDDESSFGLICKVLCENKVAVFLFSSRTGKWGSVPHHGLDDLSNEVVDALYARCGLHRRHYAHGCFCWVLEWMDKLLMLDTRGMEFSILDLPPNSHGGRLAIVEAGEDTIGLLNIGMRTLDFYSKVWRNRSGGGAKEWQHTTMNHPLPNYHWCIIGADEEYLLLRGISLDWPWFGSSSQERPDIEYFAFEIKTSLLERIHVCKHKMMHAHLLLESLDVVDVICHPYYNGIKLEAEILAGLCDQAALVEKAKWLDRKWSSNFVPKSLVCGSAV >cds.KYUSt_chr2.7691 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48266116:48270469:-1 gene:KYUSg_chr2.7691 transcript:KYUSt_chr2.7691 gene_biotype:protein_coding transcript_biotype:protein_coding MELGNVRYASGRVAGASEPWSNGTQVYYTNGNSGGGNGAFVGLTLIQSAAARGAVCLDGSLPGYHLHRGSGSGSNNWLVNLEGGGWCNDVKSCVYRKSSRRGSSNHMERQLQFTGIMSNRPEENPDFYNWNRVKVRYCDGGSFTGDGADAASGLYFRGQRIWQAAMDDLMAQGMRSASQALLSGCSAGGASTILHCDEFRGLFPSNTRVKCLADAGMFLDAVDIAGRRGMREFFNGIVRLQGSGRSLPRSCTSRMDKTSCFFPQNVLPNMQTPTFILNTAYDVWQLQESLAPKTADHQGLWERCKQNYASCSGNQLQFLNGFRDEMLNAVKGFSGSGQNGVFINSCFAHCQSERQDTWYSGNSPRLGNKRIAEAVGDWYFERGNAKYTDCAYPCDGTCHHIVFRGRHL >cds.KYUSt_chr6.28572 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180955361:180956024:-1 gene:KYUSg_chr6.28572 transcript:KYUSt_chr6.28572 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLPAPQGCPQHRLQDAARSFQLAAEQLKKACERLDAASENLLKAAECLMELTKRMVAREAAAQERAVEMNKNHLGKFKMLGLLSIFELRLGRNYGAERHGDDPAGPHRKKRKVDGKMPKCKGKEVMSNGSSKGNAAGSCTPSEPGAVS >cds.KYUSt_chr7.24698 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153960098:153964739:-1 gene:KYUSg_chr7.24698 transcript:KYUSt_chr7.24698 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDDEAKRPKRADVGAEADHFSALPDDLIISILVKLRDAAAACKTSVLARRWLGLWAQLPELHFLHADPDDIRDALNAYRGPELLLLSVVAEDASPESVSAWLPIAARRVTGALRFQNSPSPQRGDDYDDDDLALPCFGTAVEILLHLQVFRLALPANGVFARLTKLYLFGLRLRGPPCGLGDAVSSPRSPCLKFLSVIEVWVLDVLTIHSESLEYLLLEELPELQHLVVKAPALRELAVHNCLDNALTSTELVAGISAPGLESLMWPNLCNPGSVQLGAMPHLRELAIASFQVYGQDNTPAMMNRRLMAVLRRFQSLESLTLSLTYPPDIRTYRFLMGEMGSLPDITFLALHVTSCQHSFGASLSHVLRMCTSIRVLHLYFDAKPEWHKEETVCLSDCICDEPQKWKTEELVLDGLEEVEIFDFGGTEHEVAVVKPLFCWATVLKRMKGVMHGLELLKRGAIWRIGTGSQVRIWRDNWLQRSDSLKVSGKKKETRLRWVSELIKPDTRSWDEDTVRKYFYPHDAEAILAIKLTQRPSDDFVA >cds.KYUSt_chr6.17296 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108931380:108931811:1 gene:KYUSg_chr6.17296 transcript:KYUSt_chr6.17296 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQLTVLSQQQGKLTSPHPDVIEDLAVSAFPRNPKDKKHQRVPNEGSVCVERDGKRRANPLQVPRRAGRRSPDESKKRRKPRAPGEEVEQVFVGIAKGTARRGKNSVPVQERPSPRVTVPRDPEEDADFQGSKILPVVVSQG >cds.KYUSt_chr5.40799 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257599162:257601010:-1 gene:KYUSg_chr5.40799 transcript:KYUSt_chr5.40799 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAERKRTLRSSDGEEFEVEEVLVLESQTIKHMIEDECDGVIPLPNVSAKILSKVIEYCRKHVQTRAALAPDGDMSTNAAGTELKTFDEDFVKVDQATLFDLILVSLSSNHSLPAANYLDIKGLLDLTCQTVADMIKGKTPEEIRATFNIKNDFTPEEEEEVRKENAWAFE >cds.KYUSt_chr3.23590 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145847943:145861520:1 gene:KYUSg_chr3.23590 transcript:KYUSt_chr3.23590 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNMKTFQQALAKASAVIEKTVTTTVQEVTGPRPLQDYELLDQAASGGPGLAWRIYTARPRDAAASTPYPVVSVWVLDKRALSEARARAGLSRAAEDAFLDLARADAARLVRLRHPGVLHVVQALDETKAAMAMVTEPLFASVSNALGCLDNVGKVPKELKGMEMGILEVKHGLLQVAETLDFLHNNAHLAHRAISPETVFITSSGSWKLGGFGFALSVDQATGGLASSQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKLGSTCDIFSFGCLAFHLVARRQLLDCHNNVKMYMNSLTYLTSEAFSGIPTDLVADLQRMLSVDAASRPSAMAFTGSSFFRHDTRLRALRFLDHLLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKDDFELSTLPALVPVFTSASGETLLLLVKHADLIINKASHEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVPLSRQLDMKLLKQSVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKAGIVEILQTLRRCTAVDHSAPTLMCTLGVANAIFKQCGVEFAAEHVVPLVFPLLTAQQLNVQQFAKYILFVKDITSKIEEKRGVTVTENGSAEVKVSPSMSNGIHSKPTSGGLGQTAQMPAAKSTSWDEDWDPTKKTSAPSLSFDSSSQTKEPSKDPFDFSTQTNQPSTLPFDLGTQTKRPSTVSQVATATIPSAQPLPPLQSLAPSSGPQNSGSCVPVDIEWPPRMSTSSDFNAPFSMNMDTKSGELSNDGLNDVDPFADWPPKTSTASSISAAGRLPSTNQSISGLNAGNIGFGGSSNTLGQMKTNQVSWSAKPNHSNVMGMNSTAGYLNQGNSSLGFGNPIGGPSSGLSNPAIPYAGQSMRQPQPDFGSLSQSSSGTQGPPRLAPPPSAAVGRGREIAAMSDDSCNALIEDLHSLKIKFSTNSSSDNNEEDGTEEAALSNENTTCKTILSPIAVRCAGRPPSLRKESKVDKLIRQAQEKKKKEELREKKKAAQEEKKKASEKVRSANLRKKGSSTKRKSPEDDNPEQDDIEHVVHLDHQICSSADQESFNLCIGTGNLNPTITTTVPYGVMEVIYRCTATLRSWSALQKVENRDLFTKVCTRLEDTARNTFSLHG >cds.KYUSt_chr5.14843 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95984079:95986517:-1 gene:KYUSg_chr5.14843 transcript:KYUSt_chr5.14843 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAPPTHLATVSFLTFLALVSSAASRDILQLGSSLAVEAYQSDILQSPDGTFSCGFYSIYDYAFTFSVWYSRAASKTVVWSANRDRPIHSRRAALTLRKDGNMVLRDYDDTMVWQAADYLRNVQHAQLLDTGNLVVKNTSGSIVWQSFDSPTDTLLPSQLITAATQLVPTTQSRAPGNYIFRFNDISMLSLIYNVPDVSDIYWPNPDISVFDNNRSRYNSTRLGSLGNNGVLSSSDFADGLLLKASDAAVPGTKRRLTLDPDGNLRMYSLDDSDGVWSVSMVAISQPCAIHGICGQNGICHYSPKPTCSCPPGYVMTNPGNWTEGCTATFKLTCGDQEPVQFVKLPDTDFWGSDQKRLLGVSLEACMDSCISDCTCKGFQYLQGKGSCYPKSLLFNGMSCATPMVRAIYLKLPARFNVSDTPIPQSNVLDLAPPTLHCDQMSRGVRHPFPDVKETTDGEPKWIYFFSFIVAIFVIEVSFIAFAWFFVFRREMGPSEVWVAEEGYKVMTSHFRRYSYRELAKATREFRVELGRGRSGAVYKGVLEDERPVAVKKLKNISRGKEEFQAELSIIGRINHMNLARIWGFCSEGSHRLLVCEYVENGSLANILFRDQKTFVLDWKQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDTDFEPKITDFGLAKLLSRAGSNQNMSQVRGTVGYIAPEWVSGLPITAKVDVYSYGVVLLELLSGTRVSELAVGSDAEVHSMLGKLVRALSDKLEGHEESWVGEFVDQELSGPFNYLQAKTVIELAVSCLQEDRNKRPTMESIVQTLLSFDEASD >cds.KYUSt_chr3.41465 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261537913:261542444:-1 gene:KYUSg_chr3.41465 transcript:KYUSt_chr3.41465 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAGRAPAPAVVTVTASAAAPSPPAPPPPPPATATADTGTPSPDPDALYQEGMWHQMAMSSGATMQQGPYPERPGEPDCTYYLRTGLCRFGLSCRFNHPPDRNMAIASARIKGEYPERVGQPECQYYLKTGTCKFGPTCKFHHPREKAGIQGMAQLNSLGYPLRPNERECAYYLKTGQCKYGNTCKFNHPELFNAVPSSRGSPIYPSVHTSGSTGPHSYTGTTMASWAYPRGSFVPSPRWQGASNYAPMIVPQGLVQVPSWNSYPGQILPVSSSESRLQSPGAQQYYGTSRQGEGSAGNQGMLSPYRSSSFPVPQYALQRENVFPERPDQPECIYYIKTGDCKFGAVCKFHHPRVRSQPSPDCVLSPMGLPLRPGEELCKFYSRYGICKFGVNCKFDHPMATPVGVYAYGYSASASPNAPTARRLLESPSGSASYPS >cds.KYUSt_chr3.33133 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207965663:207967297:1 gene:KYUSg_chr3.33133 transcript:KYUSt_chr3.33133 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAPTTTPSSRLLLHPRAAATPSPTYHHLLRRHATPSPLPLRHRAAPVRAWPDSVTPFNFGDDDDGGDDHPREECGVFGVVGDPEASSLCYLGLQKLQHRGEEGAGICAAGDDGELKSVTGLGLVGDVFRDKSRLESLPGQAAIGHVRYSTSGPGGPNLKNVQPFLAGYRFGQLAVAHNGNLVNYTALRTKLETQGSIFNNSSDTEVILHLIATSLSRPLLARICDACERLAGAYSLLFLTADKLFAVRDPFGFRPLVMGRRPNGAVVFSSETCALDLIDAVYDREVAPGEVIVVDRRDMSVSSACLVPHRPRKSCVFEHIYFALPNSIVFGHAVHERRTAYGRALAEESPAPTADVVIPVPDSGFYAALGFAQASGLEFQQGLIRSHYTGRSFIQPTQAIRDLAVKLKLAPVRGVIEGKSVVVVDDSIVRGTTSSKIVRLLRDAGAREVHMRISSPPVVGRCHYGIDTPSEGELISNKLDIEGVRKMIGCDSLGFLSIDKLHSIYGEEANELCDACFTRNYPVPLPEPVPELVSSFED >cds.KYUSt_chr1.32500 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197362300:197366887:-1 gene:KYUSg_chr1.32500 transcript:KYUSt_chr1.32500 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPAHAGHGFALRDGVLAARSAGGESTDSDGPRLPPLRLGASYADSRAALASPCSSSSSDAFLSTSSSPSGLLNPYGIWSPPRAPSEAASSSSEVDFRTAREYDTSDLFFGDNWLHADRPLHREPPPGSGTSGDGDGDEEDKFIVGPDASARRSETRDDGGRRHARSKDDAGSAGRAEVYTSPPCRCCHGETEKDGLESVSDSWSAVYGRYQIMDDLTEVLDECGADALQFRRNDGAALKGAPLVDSRTGGDQEFDLSALEKELQMLSPYLGEEADALNSCRFDPDFRVNNELDIDIVTDEKILDDKELLKSSYSVHPFPEIVTPEDVYEMEDFGSADTNVQNTTTHKIGEGPKTDIDLASSIFHQEYEEFELRIFHRKNRTGFEETKEFPIVVDSVVAGRYRITEYLGSAAFSRVVQARDLCTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKHDPADAHHILRLYDFFYYQEHLFIVTELLRANLYEFQKYNQESGDEVYFSLPRIQAIARQCLEALVYLHNLNIVHCDLKPENILMKSYSRCEIKVIDLGSSCFLSDNLILYVQSRSYRAPEIILGLPYDQKIDIWSLGCIFAELYTGEVLFPNESVSTILARIIGIVGPIDTKMLALGQETQKYFTEEYDLFHKNEDLFVSHMLGMVNASDIIVHNWSRGITMFVFTSLGSGSLLIRIEYAN >cds.KYUSt_chr5.39393 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249286764:249289305:-1 gene:KYUSg_chr5.39393 transcript:KYUSt_chr5.39393 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGAEKGRAPPAPAGAGAYGGYEGAGDRKWWPWLVPTVIVACIAVFVVEMWVNDCPKHGSALGAGQPCVAGFLRRFSFQPLRENPLLGPSSATLAKMGALDWNKVVHQNEGWRLISCIWLHAGLIHLLVNMLSLLFIGIRLEQQFGFVRIGAIYLVSGFGGSVLSALFLRNNNISVGASGALFGLLGSMLSELLMNWTIYSNKVAAIVTLLFIIVINVAIGILPHADNFAHIGGFIAGFLLGFVLLARPQFGWMERSELPHTNQPPKYKAYQYVLWVVALVLLLVGFILSLVMLFKGKNGNDGCHWCHYLNCVPTSKWNCDT >cds.KYUSt_chr1.35329 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215342419:215343069:1 gene:KYUSg_chr1.35329 transcript:KYUSt_chr1.35329 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRGIGGGGDEQEQRQQRFDLEMETEEVELSLRLSLGGRFGPDRTRLPRSSSVACILAPEEAPPAALPRTSSLPTMADADAGGKLSTSGSNAARADAAEVEPSAAVAVEHSTSLQVSAVAVEPSATHAQQGSTVEVESLATGERRRAVLCSLAPHCHGHARREIPREGSRPAAPSLEERGSRGDFPFRVDRSNGPSSTRPPARHILIRDINISS >cds.KYUSt_chr7.7101 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42727911:42730294:1 gene:KYUSg_chr7.7101 transcript:KYUSt_chr7.7101 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAITGGGFYNIDVEPIKEPNQGELYEAVIHFASAPLSPELLSDELKYLMDVSWDWQVTRVSETEFTVRFPSRETLRMNTRRGQIFLPLCKRDVDIREAFVTPKPGKAFPSVWVQITGLPGDLMEKERLLAALTMLGRPIDVDELSLKKWKTEPIRIRFQCRHPERIKGTVQLCVNGEPYTLGVFAELNAPGGGGASGPPKPPAPRDDDDDDLDDLDSDDKSDGERWNRHRRNDKNDKTGAATNTKAGQDGGSLGGSGKTTRAAAPGSRSAPSLGAIPDQYGSNLAGNIPGLSLSCRFEALADLDQTEPGDGAPTPLDTSLPGLEESLASGETVSHVTDPVDAWLLDSPTGQAPGDALGSKPPVSEPDLRGEVIAAISLSQGKRTKVVEVQASPRTTKKTTAASAVRKSSRNQGPAANRPVMERAKMLTKAKNLDPPSSGPGNPFAALPSLSDTHISSVITDSCIVFVPSAGPREEAISLLRAKEQVQAALAEAAADKARHAAELAAREAVENSADPPRVGALHATSADGPQGARDEAKGQAMRALIYNIRGFGEQGRRTQLKNYLRHNRCDIIGLQETIKADFSTAELRSLEFGSQYVWNWVPADGHSGGMLLGFNDDTFEVGAWKSGSFFLSAPVFQRNNRLRWTFFLVYGPADHRRTDEFLGELIQAVNASPYPVVVGGDFNLIRCGADKNNGNIHRARMLQFNEAIASMALRELERAGARFTWTNKRLDPVRCVRTESWYPRLGRLPSLRVPSLP >cds.KYUSt_chr1.37989 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231983313:231985313:1 gene:KYUSg_chr1.37989 transcript:KYUSt_chr1.37989 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLWHLGDEFRGQSKVMEDRQWSLMTSKLAEITKSKAERMNDFDYARMNTVPEMKQWDRLPYHQDDSKIDHLNLGLMNLDFKMNDIKMNEAAMKYPFRNMPYNMNQMYPKGNNGNVNSFKMNAGANKYSNNINGKEANGKHNGSNNNNGSNSNNNSVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKALEEDAFRPVLHHYDGPKFRLELSIAETLSLLDLCKSDDV >cds.KYUSt_chr4.11003 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66843481:66844992:1 gene:KYUSg_chr4.11003 transcript:KYUSt_chr4.11003 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPHAVVLPYPFSGTINPALQIAKLLHRRGVYVTFVNTEHNHRKAGADAVRGHDGFRFESIPDGLDEAARAVQDYGRGLCISTRTRCAAPLRDLVARLNATPGVPAVTCVVPALMSFALDVARELGVPTMAFWGGSAASLMSHMRIPELQDRGYLPLKDESCLTNGHLETTVIDWIPGMPPISLGDVSSFVRTTDPDDFELQFNESEATNCTKAGALILNTFQDLEADVLAALRAEYPCIYTIGPLGSLLNQGTVAGDRKDSSSTSGLSLWKQDTECLTWLETQEARSVVYVNFGSHTVLTPAQLAEFAWGLADSGHALLWSIRENLVPGGGLAALPPEFAAATAGRCRLTTWCPQERVLQHPAVGCFLTHNGWNSTCESLAAGVPMVCWPGFADQYTNCKYACEVWGVGLRLDDEVRREQVAGHVRRAMEAEEIRRNAAAWKAKAAKAAAPGGSSYQDLQRMVMALNSVTDRL >cds.KYUSt_chr4.6883 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40681658:40683343:1 gene:KYUSg_chr4.6883 transcript:KYUSt_chr4.6883 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALRASTSTSSSAPSFTQRRRRGAATVAVYSREPRRPVFRALAAAATVATPTPGRHPPLALPPTPTSVADAMSRVRAKGKTAFIPYITAGDPDLATTADALRLLDGLGADVIELGMPFSDPSADGAVIQASAKRALAAGATTNAVMAMLKEVTPELSCPVVIFSYFNPIVRRGTASFATAAKEAGVKGLIIPDLPYDEIRAFRKEAIKNSLELILLTTPVTPADRMKEITKASEGFVYLVSVVGVTGVRATVNPRVEDLLKEIRQVTDKAVAVGFGISTPEHVSKIAEWGADGVIIGSAMVKQLGEASSSREGLKRLEVYARSLKDALP >cds.KYUSt_chr7.4982 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29853247:29857784:-1 gene:KYUSg_chr7.4982 transcript:KYUSt_chr7.4982 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLDLSSRGDGDLRSRRLRRETTAAKARDNFVWQSAPQSSRGGGDLCHRAPHFGGIRGRGDEHEAGIEVEADMQEEEEEEPDMEEEEAEGDGKHGGKAEAVLQDGIRAVLSLGYRAIAITRVLDATDWARTEKLTAAKSAGFADGENVDHLTKETIVLPVSHPWSRERRSLFFLLTMKTTTGKAGSND >cds.KYUSt_chr4.29842 pep primary_assembly:MPB_Lper_Kyuss_1697:4:187302022:187302381:-1 gene:KYUSg_chr4.29842 transcript:KYUSt_chr4.29842 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDRRHINRPPSLAAGSQRRSGGSPARDPEQLEVARKITAMAARTFCLEHEATHLRQKLAAKDRLTVELAERADELDRALRYARTAWAAWMPATAALPISLIPTPWRHIPEKMGPWGG >cds.KYUSt_chr4.29969 pep primary_assembly:MPB_Lper_Kyuss_1697:4:188232292:188236062:-1 gene:KYUSg_chr4.29969 transcript:KYUSt_chr4.29969 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQRQQFSSQTKWWQFMTDALCKDELMVTIYGVWHLEGADGSFNRKRSLKERRGAEAGHRGGHRSRALEVVGVIGEELVTVAGEEVVIKEEVVAVVEEEVVAVPGEDMVAVAREEMVGKWKRRREKKVEKGKMRMRKKFLCGGRCIFGPDANSIVLSVSLIMTPTALFVAFVSFRLAELMGKPLGPFIPTTAMAVGAFDLVVLLLTSGRDPGIIPRNTRPPDPEDIQLDNMASPMTRAPSSGALPPTRDVYVNGMVVKVKYCHTCMLYRPPRCSHCSVCNNCVDRFDHHCPWVGQCIGKRNYRFFFMFISSTTFLCLYVFVFCWVNLVLITRQYGYSLGGAIVESPVSGFLIVYTFVTSWFVGGLTAFHSYLSCTNQTTYENFRYRYEGKSNPYNRGVARNLVETFLSPIPASKNDFRQKVIVDPNALLYGPPSMAYSYSFGKFSSSKKSFNTQGSLSFDMAKPSFDLGAGGYTAKRTSIGSSDFGDIYSTSSGMESMAHQQPRHSIFGGGGLQRSKKMADDTESIATTDLDTVEYGGGAGRPNGRDIEAV >cds.KYUSt_chr3.46469 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292345055:292346673:1 gene:KYUSg_chr3.46469 transcript:KYUSt_chr3.46469 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATARALPEELVEEIFFRVPPTEPASLVRASLASKPWLSLLTGRRFNGRYCEFHGSPPMLGLLCHWRRYTAPTAEDNLPPFISTTSFAARIPDDEDWVASASVMDCRHGRVLLSETGTLPLQFIVWDPMTGSCSWLCAPEDYYNSEKAAVVCAVSGCNHRACHEGPFRIILVGVRNEGDGYGCVAYAHMSLPKLGQWSNTCHGLDLEGESAYILDKPSVLAEGALYFILVYHDDDDGDGDDDDDGDDYGGRDDDDDDDGDDDDGDDDGDDEDDGDDGDDDDDKDDDDDADGGGDDDDDDDDDDNNGNRVAILKYDLGSNYLSVIDAPPKETHQADDVILMLMEDGGLGFAQLDRLTLIIWSRQMGPDGFSTWTQRTVVNLKELLPIQNIKETLRLTGSVEGGDIIFVTTDLGIYQINIKSLQWKKVWKREEWRALFPYMSFYNPQERVGPRYVVH >cds.KYUSt_chr3.36553 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229811064:229813148:1 gene:KYUSg_chr3.36553 transcript:KYUSt_chr3.36553 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSTGAAVASVPGTTSGGSIFPGPPPPTPSNHKPLPSTAAGGTTEAALSAFLNRMLLSAPAPTLRSRPSSRLKTRTSAPPMVSLDSPDLAPLCAAADVGYFHLAGHGIPSQLPSSALAELSRVDASALRASNLCTLGFSEEDQEEEDGGDDDPAMVFDVDERGMDALPAAAEYARRMRDVGMQVMELLSRCPEVGYAEEPFAEGKRKARCLVWVSKAGTGESAAAPPPAGKAKAYPYAVGLHCQWEQEASPSSWVMDNNGEWTAVGASDGALLVTIGDIAQVWSNGKLKKVRGMARPTSAPSSDGHAGGEPGRLSITVLITLPLDTVISPLLPPSDAGEEDLDEEEKDAEGADGDGDEWKFRSFLLEDYAWRVYHERLQFKDPLARYRI >cds.KYUSt_chr3.36150 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227267258:227267788:-1 gene:KYUSg_chr3.36150 transcript:KYUSt_chr3.36150 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGVRIHIVHPFAQVQHLIEKCICYDMDKEECVKALEKHANIMPAVTSTVWKELEKENKEFFETYKKDQGGQRTGPSPEQSSASRSSDDNDN >cds.KYUSt_chr2.34181 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211252102:211253711:-1 gene:KYUSg_chr2.34181 transcript:KYUSt_chr2.34181 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKDFVVRLATFHSIEKHLTPSRTRRRCWWVPVGHFAVHQHTAKTLPFAVHQHTAKTLPFAVHPYTAKTLPFAVQPLTAKTLPFAVHPHTAKTLPFAVSPSLPCASLCRAISLCRAPPSAVSSLPCAFWAITRQSDHLSPANVELRRVKKTSTMP >cds.KYUSt_chr6.13330 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83346915:83350576:-1 gene:KYUSg_chr6.13330 transcript:KYUSt_chr6.13330 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNGSKLDAIACMGSRLDDQEAVALCRGRADLLAHAARRRDALAAAHAALAASLASVSSSLHLLLLASASAQPVLTLPAAAAKTVDDPPPPPPAHHKPSSPPHSSSHIDFASSSSESDSGSVSSSPPHHLAASHHSHHPHPFPHYGYGYPYAYAPDHPPYGYPYPPPPGTLHLHYARSHPPPSSVAVEHPAPTSARVYEFGAVDPPRSYYAYGGEPTHAATHPAPSPPRASSWDFFNVFHGYDVHDNYCYDHAAAGATGTATPYTSSRCSRDVREEEGIPDLEDEDDDAVVVKEVSIERPVPGARNSLGAVSSSSSDKGVVAAGGTARQQAPAQPPAPPAHRKSSGSADVAGEIKAQFVRAAEAVWALAPILEVERRSYQHQHHRRSSVYHVSSGMVSSTALPDSGFRGEELDVGGREKLTGGRSLSLTLQRLYIWEKKLYNEVKSEEKMRLLLAKNSKRLKFLDQKGVEAHKIDETQKLVRKLSTKIGIAVRVIAKVSKKIDRVRDEELCPQIKALIQGSYIAYPLKTMLHQKADDGSLFRFVKMWQEKLECFQIQCEAISLAKNLDSVISGRISRDLAMELEVDLVKCIVNFSSWVNAQRSFVKALNGWLALCLNYRQEETPDGARPCSPGRVDAPLVFTICSSWSEAMDRISEKEVVTAMQALVSSVRNLCEYKNVEQSEQITMTREREKWNKILARKSVEINKEADTLNRKLALVPGRQNLLPTVQTYQAHFFEADSLQVSLRRVLQALESFACSSLQAFQETLRHAEGEILSRENAKVS >cds.KYUSt_chr4.44586 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276158265:276159722:1 gene:KYUSg_chr4.44586 transcript:KYUSt_chr4.44586 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLTGAPGSAAAAGGASAALKPQFHHYHHHRLPPRHHHHPSLLSKLAFWSVCSLSLLLAFLLLSPSSSSSAPASKTDSPRRSLHASTATAAAYGGAAWEKKVRASARVRRPGGRAGLSVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDPALKRGRAALLARAGVYVVDGDIADADLLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVAGLVALLEAARAADPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHVYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESSGGGAHQTTISRDFTYIDDIVKGCIGALDTAGKSTGSGGKKRGPAPFRTYNLGNTSPVPVTQLVDLLEKMLKVKAERRIVKMPRNGDVPYTHANISLAQRELGYRPSTDLQTGLKKFVRWYLEYYNPELAVKQKQHGSSTGKASRGRNGSTSSSAR >cds.KYUSt_contig_1145.38 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000108.1:173430:174473:-1 gene:KYUSg_contig_1145.38 transcript:KYUSt_contig_1145.38 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVALANAAPAPSVEEETKQSLVEQTMGDAAERPPPSKSENIFMQIAVHPDGTITRPVVPSVPASLDAAVSSRDVPLDASLGTYIRLYLPSSPVDPPSTKLPIILYFHGGGFVIFSADTVFYHASCEAMAAAVPAIVASVDYRLAPEHRLPAAYDDGVAAMLWLRDAAHEDPWIAAHGDLARCFVMGSSSGGNLAFNAAVLTKGVDLSPAAVRGVLLHQPYLGGVERTPSEAASGDDFMLPLEANDKLMGLALPVGADRDHEFSNPAKAMAPEALVGLPRCLVSGSDGDPLVHRQRGFAAWLLDGGVEVVARTDRAGFHAAELFVPEKAEELFAAVREFVHGGGEP >cds.KYUSt_chr3.34862 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218564581:218567750:-1 gene:KYUSg_chr3.34862 transcript:KYUSt_chr3.34862 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFVLNTGAKIPSVGLGTWQSDPGVVGEAVYAAVKAGYRHIDCARAYNNEKEVGLALKKLFEEGVVKREDLFITSKLWCGHHAPEDVPLALDESLSDLQLDYLDLYLIHWPFSVKKGSSIGNPENYVTPNIPATWGAMEKLHDAGKARAIGVSNFASKKLGDLLALARIPPAVDQVECHPGWQQTKLHSFCQSKGVHLSAYSPLGSPGSTWMNGNVLKEPVVISIAEKLGKTPAQVALRWNIQMGHSVLPKSVSEDRIKQNLDVYDWSIPDDLLAKFSEIKQTRLLMGNFIVNKDSIYKTHEELWDGEI >cds.KYUSt_chr7.28330 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176885126:176886026:1 gene:KYUSg_chr7.28330 transcript:KYUSt_chr7.28330 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEPESAVVMGAYGGPARPVESAAGETMLLWSLGNPASHRPNAFVRHGAGALAIDACGRQLTLHQSPSNFKGASGVTGAVVWDSGVVLAKFLEHAADGGLLAARGARAVELGAGCGLVGVVAALLGARVVATDLPDRLRLLRKNLEENLGPGDGDARVAELVWGEDDDPDPELMRPELLLGSDVVYSEEAVDDLLATLSLLAGHNTTVLLAGELRNDVVLECFLEAAMEEFVIGCIEQEQWHPDFRTNRVAIFILVKKKPLSPESSDLNCF >cds.KYUSt_contig_1537.351 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:2170734:2171082:-1 gene:KYUSg_contig_1537.351 transcript:KYUSt_contig_1537.351 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQLRPEHLYSRVQSRYASTLDAENNSALEHRFRTLRIVLDTSLATESDEELHFLAAEEPDLFNEAKPDARRRHALEEEMEAIEENSS >cds.KYUSt_chr4.53799 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332836543:332841212:-1 gene:KYUSg_chr4.53799 transcript:KYUSt_chr4.53799 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTWQPSLWADLLPELLGRVIARLPFPDDLARFRAVCRTWHSAVREHARPGLPWVLHSDGTFVTHDYGFHRRVPFPDNTRFVGADGSWLALYRTDGANGGRRSYLHPFTKTTVPLPGLDSVIGKVHDRFNIRKVLMRSDQDDIIAVTTNSGNYPLILCRPGKPGAWFPEQGDVAYASINDIAFHGGNLYGITYDKELVMLGLDEDDDGIPAVASAGCVIEYMPDDDEAYEEEANEDGGEASSNEDEDEAEYSDMSSFDEEGDEDGESSSNDDEEEADYDEGLSSLDAGDDDHEDFSSNEDEEDALNLINGLDDYEANNFTYYEGDGLIPERAEFLEDGYYEHGGDMPNEPREYIFIFRYLIESNGKLLMLRRRERTQNCSDSYILDVEVLEANLGTCKWVPTDMAGRLYVSDRNSKYVSALSGEDENELAFYFVDEHDEMDPESQTPDEIMGIPVPGLVFSSTTALLLVFSSTTMSPKATTRKPRAKKERPPGMTNAEWAADEKRREVETSGRAERVKKAAAKRAAAALDEQARKISMAMSMGHVPGGGGGAMFPGQWPTQGTSSSPSSFSPSMYSPSPPAMFQEGAYVQPSRFTPSPPELDGSGGQFEGTSPALRRGPLPFGAMAAPNDEEIHEMITSGSMAAAASPGFFMAAAAASPGFFTQEEARATAAVAARNEYGEDVADRS >cds.KYUSt_chr3.39111 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246445168:246454007:1 gene:KYUSg_chr3.39111 transcript:KYUSt_chr3.39111 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVTDTDIGVSVDSIIFPEVPIALRLSSHLMVGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGIIIQGRSSIHLTDMDVDDNPSKDEGAEGCNNMDDEPSTHSKHITSWTGYNVQTPDLNMLLHNEDDAGPSTSYYQPSPYPFDEPASPEFVSAQAPATPGLMEETVPSRVHESPVLSPQRKASPSSNEETAKADNFAAPPSEFLQSEAAKADNLAAPPSEFLQSEAAKAVNFAAPPSEFLQSAAANANDAVGAETIDFGLAKPVQVESSGAVHEMDSPRQHCSTKDLLPVPQTSNLEATVDKLVRNTDDIAVSGETLTSKATVEGVTFVQNTSEPFANGSTEPSMIRNPTHFNEGSVDVQGYNMPTMGSVPFVQNTSEPCTNGSAEPRVTGNPTHFNEGSVNMQGYNTMTSNYSIEQNSQRAPPEMERNNISVADFQQNDGPIFHQNAGPIFHQNAGPIFHQNAGTIFQQNPATMFQQNPAAMFQQSPGTIFPQNAGTLFPQNAGTVLQQNTGTLFQQNTGTIFQQNAGQQNAGTIFQQNASQQYAGTIFQQNAGQQNAGTIFQQNAGQQNAGTIFQQNAGQQNAGTMFQQNAGTVFQQNAGTIPQYMAYSDRPNALSTSNFFPERETMLSAPDTQFHLTNDLGFGQITAEKGITESDGSNKIASLTSRKRHLEDSLPAPESRTTVNLSSTPHGKRPADAVPNDDDLLASILVGRRTPGLRLDSTPLPPNASSLKRQRLTPKTTTPRMTPKRRVKMDDAMVIHADIIRQQLISTEDIRRIRRKAPCTRSEIWMIEKGSLEEDIFREPIFSCMRKDLNKLQYRTYGIVPHPTLHNIELQGQPDMPETIAVDTDNVSISGAKESATLDHQLHMVLPDGTQLDAMPQEATAAVDPTPQEATDAVYPTPQEATDAVDGTAAFAFQMPSDDHVNNIEKVTESLFGDGKETPLVNETSFDANIPAQDDIVDKDGRQDISADLQRNTNADTPLFVLDDTTHDSATRVTDAPDVVLDSSSPARAQAVDDLNGEQRDILHSDINVFEDKEMPTSEITGLEFAQNASAFPQPTEDENAVSAMGENSGLQENNAGSFVDMDNMVHDFALKECSDFGSAIHDVDTDFLNYDDDGDFDEAAIDDEPNPDEFQSLDALSGWSSRTRGVARYLKTLFDEDSGLGRKNVAIDHLLRGKSRKEASRMFFETLVLSTKDYIQVEQPNPFDFVNVKPGPKLLKTDF >cds.KYUSt_chr1.24532 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146637387:146641324:1 gene:KYUSg_chr1.24532 transcript:KYUSt_chr1.24532 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPPAPVVHLPILSTPATPSRRVSLRTPVSAAASSLRRKCRLPQLRSSLPPEGVPAELVGEDSKFVPLNAEDPMYGPPAILLIGFEKGETGKIQEFLKELDGDFLKVIQCTEEMTKQTLWDAMHTEQPNVEGVKISQSPQRICIFSGLTGEEMMMFIDAFPESGLEQAAFAALVPNSAEKILSEVIEEITGDHEMLLQVIHRVIVNPLTVPSGKCQSLEKLSSFDYVLFHTQSCMPCS >cds.KYUSt_chr6.6769 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40771574:40771870:-1 gene:KYUSg_chr6.6769 transcript:KYUSt_chr6.6769 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEEKKGKVKKGWLAVMVDEDQRRFVIPIAYLYHPLFRRLLEAARDTYGYHSSGPLRLPCSVDEFLRLRALVERDTHSSSSSHRVHLAPCTRAKVTS >cds.KYUSt_chr1.42696 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261246645:261251413:-1 gene:KYUSg_chr1.42696 transcript:KYUSt_chr1.42696 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGDAPADVSAVGTLVWVRRNNGSWWPGRVVSPNDVPDCCPAPPRSPATPILLLGRRDGPVFVEWLNLERSKRVKPFRCGERGLEDCIRTAQEQAADRRLRSKTAAGGGTRYARKDDAVLQALEIERACLRSSSSSRPTTTTTTSKPSHSAPAPAPAARKRRRTPNDSEDDAPQRMKDLTDIGKHATTPNAPAFTDLNQHLPSTSQMKRTKQSHHHSANRNQPGPTADQDQDHPCGISRRRDRSRPLSELCNGDAWNGHRTNGQRPHQHLMHAASSLGMVLDNKPSSRRAVGPVIKTELGYGDMWKNGLKPNGQRADQRPIRAASSLDAVLDTRSSSRHAIGPVKTEPVDDGFPLPVNVHLAATSIMKTDHLHVHQPCASTKAPTQEHTNQASNCSKDGISSQCDSRNSKKKTITSVDHEGINNTKTVPEREHRRERAAKHRAPSNEVILLEKRVEKSAAADKTAAPADDYKGLAVITPNSLDCVGAVLQQHSGIKCKVEEPAETTSNHPNCENVPAPSVVFELSPHQVLPPQQRDLVAAVKPVKTLQLNSSIYDVEISAQGASSGSKGGRVPLVSLMSKSSRRPVVGYPVTVEVLDDTAPRPPAPSVHDHHHPSTSNTVNRPMKVEEEEEAAAPAPQRAMPLPSSHRAARTTRAKAKSRRKASDDDESWRPHTKNSPVTSSSANLKSRRKTTEDETWRPHTKNPVSSSPRKMRRLSSFGPSQRGAGGGDRRPAAVGPFAVACIPLRLVFSRIHEALS >cds.KYUSt_chr1.18965 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111405433:111409013:-1 gene:KYUSg_chr1.18965 transcript:KYUSt_chr1.18965 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCLSFVIGSWNVRGLGDPDKCDIVRATLLSAKPSIVCLQESKLGDLSVAKASSFLPLPLRAWDTVDSVGASGGIVSAWDPRLFTVLSSVASRHALSVDLVLANNSSPFRVTNIYAPCDHASKIGFLHSLGPHDPGVDLLWLIAGDFNLTRDPVDRNNANFSSSDADLFNDTINDLAFFELPLRDRQFTWSNGRASPTLIRLDRVFINQAWNDTFPASSLASASRDTSDHVPLMATISSSIPKGGFFRYEPSWGLHSRFRQIIRSAWCSNSRADATARVVARLRLCRNKCKTWARRISPCSQRETDCRLLINLLDVLEEGRPLSHSEKLLRSLTLDALHLAIKEKSMYWKARAKIRYALEGDENTKFFHSSATCRMRRNSIPSLLINGVEITDHSAKAAALKSFYADLLGTVVPTTWRFSLSSLYAAAPTLPAHLSSPFSSQEIKDTFLGMNKLSSPGPDGFGPSFYSTFWDVVAPDILDLFRSFYDGSMDLSRINRAFLVLLPKVDRKAPTLVFKIDFRKAFDSVNWDSLLAILRARGFDECWCLWMEKILRTGLTAVLLNGVPGDWIPCRNGLRQGDPLSPYLFIIVADVLKKLIRLAWIKGELAHPISPDIPCLVLQYADDTLILCRATPAAATTLKRVLEDFASATSLAINFDKSCFIPMHVPPEDAATMAAALGCPISSFPQPYLGLPLSHTKLPASAFAPLVLSFDRRLSGWRANLLSAGGRLVLCNAVLNNLATYYMCSYLLPRGVIDRIDKRRRAFFWTGKDSCSGARCLVAWDKSPSFLEKIVDHCLPLYRAITRVEVVDGRATSFWFDKWTPGPALATRFPAIFSHCTRLHATVATVVEEGVDLQPRLSSVAACELLEVQRLVAGISLASGSDRRFIDDTRRAGFCSREAYRMLSPPHPPDASACVVWALRLPAKLKIFAYLADIDRLSTRANLFRKSCAPSDTCAACDAVETSRHLFFECALASDVWTRLGVWVTSEQFSIWELPSPLGVAPTTWHFGVAAIMWSIWKARNDLVFNGTTTSPVFAIRRASEDIALWRWRIPLPGRADVDYLRSYILMRCD >cds.KYUSt_chr6.17331 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109096664:109104796:1 gene:KYUSg_chr6.17331 transcript:KYUSt_chr6.17331 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGVRPFASPAMDSDEEEEQMFVELMQEEMVAAAQDDEHMMILGCLASMYAGPATGSTWWVGTRSPEVQAEIANGGLLHVVCRLLRRQSIARCKADCTGLVGFSSPQKCTSGYEDARCMELPNTRETSFTLEKMALNKAKRYLEDVLARKQAIPFHRYCRGVGRTAQAKNCQPNGHGRWPAKSAQFVLDLLKNAESNAEAESEIAPRKA >cds.KYUSt_chr3.13003 pep primary_assembly:MPB_Lper_Kyuss_1697:3:78078556:78095815:1 gene:KYUSg_chr3.13003 transcript:KYUSt_chr3.13003 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLKRCTAAGPCAMEPPSTNGAPPTKRRRERVVPSRFRDSALLPLAKKPCKPAPAPGDDDGEVYEVEVRAVAPKGSAFGPVQTEVWTGDEHQPPAQTEHDLYRACRNIGSPGCGSGSVITSLSTAATVKCEIEDKPHVVGSVVTSVSNAAGNGSVVTSASNAGPDGKPAAAVVECKPKKECGARKEDFYWPEEFVLGDVVWARSGKKCPAWPALVIDPLLHAPEVVLNSCVPGALCVMFFGYSASGHSRDYGWVKQGMLFPFVEYLDRFQGQSLYKLRPSKFRAAIEEAFLAERGFFDLQMDGVCSLEKSVNEQSVADGTHEVTGSNTEQECQSEAVPKPAACCDSCGNRLPSKISKKKKQEAEQSLCRHCEKLLQSKQYCGICKKIWHHTDGGNWVCCDECEIWVHVECDRTCNELEDLENAEYFCPDCKSKRKKTLAVEQVSMSNSSEFASTSKEKLPESIPVCCAGMEALYLTEKHMILCPCKSCKKRLMTLNEWERHTGSRKKKWKTSIKLKSTGEPLINLLEDIPGGNFKSFTPGIKKEELLSLQANSYSPVYAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARALEDLTNWVCRTCELPQQKRECCLCPVKGGALKPTDVDQLWVHVTCAWYQPKVSFPIDETMEPAMGVLSIPSEYFKKTCVICKQMHGACTQCYKCSTSYHAMCASRAGYRMELQYTERNGRQVTKMVSFCASHSTPDPDNVLIVKTPEGVFSTKFFIQNNEKQSPARLTNNENHQVPATFSDCPAARCLPYDMLRNKKEQGKAIPHRVMGPQHHSQDLIADLNACMDQKDDQHFSTFKDRLHYLQKTENKRVCYGRSGVHGWGLFAAKKIQEGQMVIEYRGDQVRRSVADLREARYHRENKDCYLFKISEDVVIDATERGNIARLINHSCVPNCYARIMSVSDDKSQIILIARRDVSAGEELTYDYKFDQDESEDRKIGYVWFCLPLPPACLVLTMILSLNVGPDYTHPELQTGAICNCGFAVQQSEVQSDKIPKQCLLMHKQSASLVPLVLRDGTDLKTLARRFWKVAAPYWWSEDKVQARLQLAAVFALTLATTGISVGFNFLGRDFYNALADKDQEQFTKQLLYYLGGFAVGIPFFVLRDYAREVLSLRWRSWMTSYYMKRYFKNRTFYKIQSQSLIDNPDQRINDDLSSFTGTALAFSLTLLNAVVDLVSFSNILYGIYPPLFIVLIVYSFGGTVISVFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAYQNLSELLLASRNLEFFTNGYQYLIQILPAAVVAPMFFAGKIEFGVINQSVSAFNHILSDFSLIVFRFQSISAFSAVIDRLGEFDDLLDANEPSLSSRRDSIEGINIVFKSGSPSVLSSNGSQMHSDQGIVLEICNLTLLTPRGGNILITDFSMELKEKDHLLVMGPSGSGKTSLLRAFAGLWTSGSGNIVYHTRDSTELQTENFSSSEPSNIKPRGEELLQSSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWTEDILLSPTNDAQKKDALPFLSEVSTSDGVGAKPEMPSTDELIRVLEVVRLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLAKPTLVLLDESTSALDDTNESIMYTAMLLQAEQAVALDCANTDEIQHKHGKENPAF >cds.KYUSt_chr6.21600 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136285016:136287343:1 gene:KYUSg_chr6.21600 transcript:KYUSt_chr6.21600 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRKRGTADGANGASVGGKRTRESESFQTGVGSKLKPCIKFFSTAGCPFGEGCHFLHCFPDGHQAVAKMSNLGGPVFADPPRRMTVGPAVPNGALTLTFKTRLCNKYDTAEGCKWGDKCHFAHGEGELGKHMFMNNSMPPHVGPKPTSHSAPPAMLTPGITTPASFGASATAKISVDAPLVGAIIGKGGINTKYISRVSGAKLVIRDHESDASLRNIELEGTFDQINDASAMVTELIVSIDGNSSAPPPGLNLVGGSHRSGGPGSNFKTKLCEHFTKGPCTFGDRCHFAHGENELRKSASA >cds.KYUSt_chr7.9385 pep primary_assembly:MPB_Lper_Kyuss_1697:7:57125026:57131916:-1 gene:KYUSg_chr7.9385 transcript:KYUSt_chr7.9385 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDATHAAPPAAEAQSLVDSFCAVTSATPAEAAFFLEGHNWALESAVRSFYDSSEADADADGPDPAPLPALPAARDGADSEDEDYVVDGGGDKDEDDEDYVAEDEEEDALAAASAAADERRRPAKRRKSSHGAPGGNGTASGTRNVRTLSDLGGGGKGAAESDGDEDEEDDEWAPPPELYTGGEKRDRSKRKNVGEEIFKQAQKKGAKLVPVPARRQSSSSRSFPGTSRLLTGEAVQPDAPQPPEEIVHNVYFWSNGFTVDDGPLRSFDDPEHASFLKSIKNNECPTELLADGRSRVQVNIVRKEEKCPEPVKRPAPFQGGGRSLATPSENSAPSAVTSSAATSSAATATTATKTITVDDSLPSTSLQIRFADGSRVVARFNTSHTISDVRAFVDATRPGEASDYTLQAGFPPKPLDDATKTIEEAGVANSVIIQRV >cds.KYUSt_chr3.33253 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208669608:208671579:-1 gene:KYUSg_chr3.33253 transcript:KYUSt_chr3.33253 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTQPLLLPRSDGVVPGVVDFRGGPASRASTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINAWSGVATMLPLLVACVADAWLGRFRTIVFASILFVVSMGMLTLSSSLPAFHSDGCGSFFTTHAANCTPSPVQVAIFYVSLYLVALAEAGHKPCAQAFGADQFDQGHREESVARSSFFNWWYFGMCSGTAATTVISSYIQDNIGWGLGFGIPCLVMVFALAMFLLGTRRYRYYTSTQSSPFARLARAFVALLRGSKSGHDDAEFSAEHREEVSGLLRLFPIWATCIIYAVIFSQSSTFFTKQAGTLDRRIGATFQVPPAALQTFISLTIMTFIPVYDRLFVPAARRFTRMSSGITMLQRIGTGLVLAMVAMAVAALVEAKRLGVARDAGLVDQPKVPLPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSIGLAFFLSIFGVGHFLSSFLISAIDGATNKSGESWFANNLNRAHLDYFYWLLAGLCAVELVAFVIVSRVYVYKKRVSHHDNNVAVM >cds.KYUSt_chr3.28889 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180349365:180350066:1 gene:KYUSg_chr3.28889 transcript:KYUSt_chr3.28889 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPDVIPAWSLVRGYFSPATLFLLLNVVIGTIALTSRRRHNHHHDDDHHHRQHQKDYVDQYEAAPPAPAPLARTSSVMERLRSLGLYRFRSGDFPPEYNYSLSAGDDDESGKQHQQQAQYARSRSEPAAAKPPTNRTGNGAEKAAKAKVAKKPLSEVKRLERAPAPAPARLVQRAPRAPVARAVVTAAPEAAVPAACVDERADDFINKFRQQLQLQRINSLLNYKEMLNRGT >cds.KYUSt_chr5.30974 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196085983:196091243:1 gene:KYUSg_chr5.30974 transcript:KYUSt_chr5.30974 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVALSLLTLALLLRVAPSTAQNPPIARRPAFAFGWLNGRQTFRAGDTAVIIIMSFDLPDANVSAVRRSGSFTLTVRGKTGNSTYAADVRARLEGAPPSWTITFVPLRAGDFVAVLEEERFAIGISTLYYTVAARDLHPSASLASWMYFTGYVVAGSKAFVSVVPRDAFGNAVPREAEGMPPGDGYFRVSGSYLNGTAVEFLDFQYNGWTADGRLSLEFVPTLAGDFLVQVYGDNRPLRDSPLWLTVKPGPIDIAKSTADWKHGTNVLQIFSKLEIFINQKDLYGNLVPEIHPFDAAVVEKASNLSVPVGDLRIEAVAEGIQVLSFNVGEPGEFVLTILDLQLKQNLSNMAYIYNVFVGYADGSNSFANGSGTAQSVAGAVSSSIPSDPLFEPRVKISVRNEVTVWLRDSFMNPVVSLEPKLRLHLTSANIPTLVNTSSFAAEEFVDNKDGSYTTYYVAKYLGSYSFCTQLNNTQLPPCPFEVHVLGDDYFSQVKNDNISVWEDESVSFEVLSNDYIAAGQPEGVNLSSHSNVASGKVFISVLCRPPHFISLPKQLHVTEDIIGPKFGGFPGIEIIYSDTAENISVTVKAQSGKVLLAPMPMKLQQTSDDVISISRGARSGKDINLQGMVEAINVALKFLRNEDFYGEDVIMLSAKNRNGNKSHLLLVLSLEVLEGTLVMTLPAGIVATAELKADGNNHWQSLQAYVAIAHHFVLRGTGIRFHGNVSDCNNAMQRLFYQGASHETRLFITVNDLGNYGCYPDCSEMMSTPLSTAKTVRLVKTKPMNSRRAILVGSAIAIEILAMLCLGGVLLYFLVKCMSQLKGKQRDPVNNEVRTAEQTTSRQMSRSPSDDAGYSSAPAAVLSLGGNRSSCRQRSCKQELELQPLSGIRINVDQDAHLALDKDK >cds.KYUSt_chr2.15391 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96899113:96909596:-1 gene:KYUSg_chr2.15391 transcript:KYUSt_chr2.15391 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSGHVVTGEVTVLEIRAAAVRVGGGREERGSASSSAFQPPLVGVEEPIRTRTGNFLIRVLSRGFDQGCSRKRRHFGTTRLGGKTQEVSVPPVEGVAGGGTSYGWVDGGLQGLSLGIAGPVQIQCTDLCSSAGDRLVVDQSITLRRVVPILGVPDALVPIDPSSPQINLLPGETTAIWVSLNVPCGQQPGLYEGEIFITAVRTDTDSRAESLPKSERYQLYKELRTCLDITESRDNSSSEEMVQRLSSTSTTLRRLLVLPAFQDCQENNGLGDMMDEDVMNNVAVRVKLSLTVWDFTLPLTPSLPAVIGISETVIEDRFCLEHGTKGWYDALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKASEYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKTESHWSKAYFYLWDEPLNMDQYEVICNISNELRTYTPDVRILTTYYAGPSGSELTPSTFEAFAKVPNVLRPHTQIFCTSEWVFGTREDLVKDVIAELRPELGEEWWTYICMGPYDPQPNWHIGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASLRLERILSGMQDIEYLNLYSSKYGRAEALALLEKTGAYLGPDRYAQDHGPVDVMRGEVYRTCRS >cds.KYUSt_chr5.17194 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111020041:111030064:1 gene:KYUSg_chr5.17194 transcript:KYUSt_chr5.17194 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVKMGELEQEEIVDHESEKEAASAASRLQLSSSSLREALSSTSSLSRLSLSLSLREQHHDEEGELKWAAIERLPTMDRLHTSLPLHAKANAGDGAHLLEPVDVRTLGAADRRELVHNLIADIHKDNLRLLRYQRRRMDRVGVRQPTVEVRWRNVRVEAECQVVDGKPLPTLLNSAISTLSLLTTMLGFKRNQERIHILKDVTGILKPSRMTLLLGPPGCGKTSLLLALAGKLNRNLKVTGETEYNGVKLQDFVPEKTAAYIGQYDLHVPEMTVRETLDFSARFQGVGSRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADVLVGDAMRRGISGGEKKRLTTGEMIVGPSKALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYDLFDDIILMAEGQIVYHGRKDCIMSFFESCGFKCPERKGGADFLQEVLSKKDQQQYWSRTKERYNFVTVDQFCDKFKASPSGQNLAEELLKPYDKSKGHKDALSFSIYSLSKLDLLKACFARELLLMKRNAFLYITKTIQLGLLAVITGTVFLRTRMGVDRVHANYYMGSIFYALLLLMVNGFPELAMAVSRLPVFYKQRDYYFYPAWAYAIPSFILKIPVSLVESVAWTSISYFLIGYTPEASRFFRQLLILFLIHTSALSMFRCVASYCQTMVAGSVGGTLAFLVILLFGGFIIPRPFLPNWLKWGFWISPLSYAEIGLTGNEFLAPRWLEITASGAKLGRIILMDKGLDFSSYFYWISVGALIGFILLFNVGYATGLTIKNLPGTSQAIISRNKPTTFEEKDQDMFMDTKNGMPKLQAETALTANRTGRMALPFTPLIISFQDVNYYVDTPAEMKEHGYMESKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKIQETFARISGYCEQTDVHSPQITVGESVAYSAWLRLPPEIDSKTRNEFVNEVLETIELDDIRDSLVGIPGVNGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDELLLMKRGGKLIYAGPLGHLSCEVIRYFQEIPGVPRIKDNYNPSTWMLEVTSTSMEVQLGVDFAQMYRESSMCKDKNILVKRLSIPVPGTSDLHFPTQFPQKFWEQFKACLWKQSLSYWRTPSYNLVRMVFITVTCISFGVLFWQQGNINRINDQQSLFTILGCMYGITLFSGINNCQSVMPFVSVERSVVYRERFAGMYSPWAYSFAQVAMEIPYVLVQVLLFMVISYPMVGYTWTPAKFFWFMYTMFCTLLYFLYLGMLMVSLTPNIQVASILASMFYTLQNLMCGFIVPAPFGDDTDRMVMVFGETKSIAVFMRDYFDFRRDLLPLSAIALAAFPILFAVLFGYNISKLNFQRR >cds.KYUSt_chr7.32486 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202359474:202364435:1 gene:KYUSg_chr7.32486 transcript:KYUSt_chr7.32486 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKSEKGDPAAAAAAAAAAPQRKDPYEVLGLGRTATDQEIKSAFRRMALKYHPDKNGDDPVASDKFQEVTFSYSILSDPNKRRQYDTSGFEAIETDSQELELDLSSLNTVNTMFAAIFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGNSVRKKVEKQTAHFYSVDITEQEAKKGLVCRVHSTEKSKFKLLYFELEENGGLSLALQEDSVKAGKVSAAGMYFLGFPVYRFEQNNSASAAKDPDSAFFKRLDSFQPCDINELKPGTHFFAVYGDNFFKSASYTIEIVCGESFSAEKEKLQNVEAKILTKRAELSKFEAEYREVLAKFTEMTSKYTQEMQTIDELLNERNVIHASYTNNPPLKRSSSRNKSKSPSKVFKFDEEKNQRKEKKVKDQPVEGCGSEDDNSSEKKTNARKRWLNIPFKVDRRKAC >cds.KYUSt_chr5.19513 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126555571:126555909:1 gene:KYUSg_chr5.19513 transcript:KYUSt_chr5.19513 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGGESAGGAAKEAAANLGASAWAGKEKTVAVVQEQVEKVKAHDDPAGQAAAEARKDERFEEVEAAKLDAIHHNAAVAKDGRAAAIIADDTEAQAAAAAAETEARAVDAEG >cds.KYUSt_chr3.12901 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77389066:77389699:-1 gene:KYUSg_chr3.12901 transcript:KYUSt_chr3.12901 gene_biotype:protein_coding transcript_biotype:protein_coding MARADKHLLVYRRRTLEEREAAAARRREEEEAAARLREEQQAVVALKQLGECFRTTQVIQLPTDRPKPTPKPRRYHVSPNLEEKVKAGVMLCWLKKGMKKMKEALKIGRGKDKGQVYHRKECKAVAATSHFVEQVEGAVAERATTSQDGGAEQVLLEAVAVEKVSTRDGRTAAQVVASQVVA >cds.KYUSt_chr1.36484 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222521211:222528649:-1 gene:KYUSg_chr1.36484 transcript:KYUSt_chr1.36484 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIAASNGTSDASPSEESSPSSTKTLNWEPPLKADAGEDDPILPRRPPGANVSRRRRLISAPQFNLWLLVDDFNIARYADDMNNDNFDVRAADGLNELIDELALQEHPLLDRRYTWKNSRDEPTLVRSTAYCYIAAVKLSSSPSFLPNLQALPSQLYGAPILRRSQESILLRHFGPKNFAMSGARSYFTSKKKAAATSNGASSRNPFDSDSDDGGATQQRPPARASSAPIPAADQRGALFAGGAEERTGPSSGFASSSSAAARSRYRNDFRDSGGVDGQSVQELEGYAAYKAEETTQRVDGCLRIAEEMRETASKTLFTVHQQGQQIRRTHAMAQDIDQDLSRGEKLLGDLGGLFSKTWKPKKNGAIKGPMLTRDDSFIRKGNHLEQRQNLGLTGRPRRSKSREALSEPTTGLQKVEVEKAKQDDALSDLSDILTELKGMAVDMGSEIGRQTSDLGHAEKDFDELNYRAEKHDELLMKNHNARPTGAMPIPEAHANAHFTNKFGNRKRNFRKFKGKWKNNGQRTNGQFKGNGHFKKNDQNDNSQVCQRCGYVNHRTTKCRIPKHLVNLYMKYGGKGKQVHGNKVEAHFNVIEDNPQASPSQSAIEEFKPKDNIILDEDMLVDYT >cds.KYUSt_chr4.25433 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159781177:159786492:-1 gene:KYUSg_chr4.25433 transcript:KYUSt_chr4.25433 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVTHSYLASFLRSGEWQPGAQERPKDLYINTAIWISSGTGRPWNHVPCRLHNAFRKIHARLVRELEKKFGGKANLQSCRIEPLTNVFFPSHLPAERDPSGLCSEIASAMLSHDRRFTVVGFAVVGLVVASRKKAKQQESETYQMLGEEVTNRHPCLQKQQRHGNLVELARPELSFLEILALDVMSPMALLSFPLRRCNNTARTTTWAGPDVATLGLHSSVSPCCETLPSVASSRRRGSPTPARRRRPLAPCPLPRRLPRPPAKPSAADEGGGEDLCLSGSRTAVPEGGRHAGPRRPPPSSAPPAPLAVASRLAAPLLPPVVRRPSTNSLGAVWLGRARSGPVWARSGRGGPRPVRRSLALPANALSCRLPVASRGTLLLRALVETFLPASP >cds.KYUSt_chr2.29310 pep primary_assembly:MPB_Lper_Kyuss_1697:2:180219896:180222055:-1 gene:KYUSg_chr2.29310 transcript:KYUSt_chr2.29310 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSDPKRRSRYLSKIIMLVLLMAMCVVMLTQPPCHRRAPSVFSIHELGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNIGAVKVLQNLFPEPGRLQFIYADLGDPKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVVLEAMATHNVKTLIYSSTCATYGEPEKMPITEETPQFPINPYGKAKKMAEDIILDFSKSRKSDMSVMILRYFNVIGSDPEGRLGEAPPPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGKVGIYNVGTGRGRSVKEFVEACKKATGVDIKVDYFSRRPGDYAEVFSNPAKINRELNWTAQHTELHESLRIAWMWQMRHRSGYGGPQAMIL >cds.KYUSt_chr5.13545 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88065031:88065480:-1 gene:KYUSg_chr5.13545 transcript:KYUSt_chr5.13545 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVHAADMAADGDLLELDVLWPASSAPGLLAALPDDEAKKKKKRAGGPAVRSASRAIPEKAALTPAAAAAARSAPVRIPSETAARRGRWAHAVGGGDDGDAMVPPHEIVARRAAAHNSVLEGAGRTLKGRDLRRVRNAVLRRTGFLD >cds.KYUSt_chr7.14735 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91105852:91111200:-1 gene:KYUSg_chr7.14735 transcript:KYUSt_chr7.14735 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRNTFTLNVYNASLKQTSATVGSAATNSMPVFTFLIALLLGLEKIKLRSRSGLGKLAGVALCLAGVLVIAFYAGPSIRPLAHPTLQWKNFSFLLQNFEDPFFAHKLWLVPLLKEYPNKLMATAMQCLFGALQSFFVAMMAERDFTKWKLGLDIGILAVLYSVNPTLDSNTSSNDESDDDDDEVDKDTKDLMHEMELVHASLRASTVKENVELRAQLELLTSNYRKLEENHEKLSGSHNDLLISYDGLKLSHEASITKSPNDKSGLGFNSNNMNKSKRKSNNKKVQEQAKNSAKIGCFKCKVEGHHVRS >cds.KYUSt_chr2.44641 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277881762:277883036:1 gene:KYUSg_chr2.44641 transcript:KYUSt_chr2.44641 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAQAASVFCCADEAALCDECDRRVHRANKLAGKHRRLSLLHPPPSSSSPSSSDQKPPPCDICQEKRGFLFCKEDRAILCRECDVRVHTTSDLTRRHNRFLLTGVRVSSAPVDSPAPSDQEEAQQQEEEENNSSPCNADSCSGGSASATASPSDGSSISEYLTKTLPGWHVEDFLLDDAAAAAAAAAGGMAQIGGPQQDYPSWAGQEQLIGGVVVTAAGERARRELWVPQMQVEAQWAGSKRPRASSSGYSYW >cds.KYUSt_chr2.43928 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273431140:273431370:1 gene:KYUSg_chr2.43928 transcript:KYUSt_chr2.43928 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAGDTSSSTRFSANFGRSSTMSVGSLAAFSLDDGTFQTPCSVLPSRGEDHCLSSSCGGSASSSATRSSSRTS >cds.KYUSt_chr5.35777 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225957877:225960630:-1 gene:KYUSg_chr5.35777 transcript:KYUSt_chr5.35777 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRCGSLEEASLMFEEITQKGIDDIISWNSIVAPHVKNNNPCTALDMFSKRAMIVHEKATNDRSDIISIVNILPACASLKALPQTREIHGHAIRHGTFPDAFVDHALIDTYAKCGSMKAAAKVFNMMEFKDVSWNVMVTGYSQSGNFEAAFVIFKNMRKEKKSLNLVTWTAVIVGYAQPPQPPPPPPPPPPPPQPPPPPPPPPPPQPPPPPWPWHPPAETPETYAAPYIPVGSTTVLPDRADDLAFVGATLAGLLVFAAAGFFLGRSSSACELRPQRNTANETIQTDATTMPRAASHGGRKLVAAPPADSRRALAIDREQS >cds.KYUSt_chr6.30049 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190468552:190470526:-1 gene:KYUSg_chr6.30049 transcript:KYUSt_chr6.30049 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRRYEEMERDAAAMKKKVAELEMANYALNVRLRDADGCRFQTAYRGSSTLVNFVELSTAIVERKSLVAAQNKEGVHCFILEANQSGNSAHFSPVR >cds.KYUSt_chr1.29778 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180279742:180285626:1 gene:KYUSg_chr1.29778 transcript:KYUSt_chr1.29778 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRPHQKPPGGDSLPISTAAANADLPSRPFPLLTLPYLFSLLALLLLLALLFPWGPPRHAAPASPWRSYTLQDAAAFAAAAGNGTIILAAVSGPYLPFLSNWLISVRRAGRADQVLVIAEDYETLERINAAWPGHAVLVPPAPDAQAAHKFGSQGFFNFTSRRPRHLLQILELGYAVMYNDVDMVWLADPFPYIVGTHDVYFMDDMTEVKPLDHSHALPPPGKKGRPYICSCMIYLQPTEGAKLLLRKWIEELKEQPWSKKVKSNDQPAFNWALLKTVGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHKLWLVDEHSDESPLGAEQATQKTVKDGKVVPKPSEAKELINFMGKNYETKVQSAKTFDEFYHAIYELIEMFCEERGQLQYKMPSKKRLEDAYKAVHPNGTANLSKTDFMKITENIITMDSFTFGKAALDVLVVLFGAPVCALLAKRIIPGLKSFSDDMVIPLATSGAVVYLAKTNKL >cds.KYUSt_chr6.5558 pep primary_assembly:MPB_Lper_Kyuss_1697:6:33324640:33326573:-1 gene:KYUSg_chr6.5558 transcript:KYUSt_chr6.5558 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGAGGAAMPGSGATGLFACRSAASAAGAMSMRQTYLDLAAAAARSASCTWVDAMRASSPTRSRAGAAAAASDVDELTAWMRKHPSALGKFEQIAAASKGKKIVMFLDYDGTLAPIVANPDAAYMSDAMRAAVRDVAKHFPTAIVSGRCRDKVYNFVGLSELYYAGSHGMDIKGPSSNPESVLCQPASEFLPVIDEVYKLLVEKTKSTPGANVENNKFCLSVHFRCVDEKRWNFLAEQVKAVIKDYPMLKLTQGRKVFELRPSIMWDKGKALEFLLESLGFANSSDVLPVYIGDDRTDEDAFKVLRKRGQGVGILVTKSAKETSASYSLQDPGEVMEFLLRLVEWSRIRSSSPAMIRPRV >cds.KYUSt_chr7.7776 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46893753:46894316:-1 gene:KYUSg_chr7.7776 transcript:KYUSt_chr7.7776 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGFSSPTGCRALRPFAGVRVIHTNGYVQDFDGSDGAPVTVARATASCASSSGSSYVLCSSAHLLQPGRALFRPDDALQPGSVYFLLPHSVFQAESSAVDLACLMNRLTALARKGGGCAPAPCPVESLFAGRPEDLQQAPSKPPAGKCGAATKSRSWRPQLDRIDESMGRSSMRSSVSTCSVRSQD >cds.KYUSt_contig_2868.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000549.1:85508:89116:-1 gene:KYUSg_contig_2868.13 transcript:KYUSt_contig_2868.13 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNRFRQLLLSSWNVRGLNDPDKCVDVKMNLNAQPLHVICLQESKLTSITPQKAASFLPPGFSTFPFLPSIGVSGGIVTAWDPRYVTHLSDRPLQFSLSSTFELVEDGVRFSITNIYAPCDRARREDFLSELRSLSDLGSEAWLLIGDFNIARYADDRNNDNFDANAEAGFNDLVDELALQELPLLDRRFTWTNSRDEPTLVRLDRAFINLGWGARLFNSTLHSLVRNTSDHVPLMLTASSRAPKTHIFRYEKSWAFYPEYRALVTSVWARPQNRSLPCASRRLCNSLKWARAESKKWAKNRRRPEEVISNCRKVIELLDLVEELRALLAPEVMLRDRVRVRLSREYKALDAYWKQRFTFRLCRFGEDNTKFFHACASARLRKNHIKVLHDGDRVLYNHAEKAELLRSFYAGLLGSSTPPVWGFDLRTTMRSVAGLHDLERPFTLQEARDAVWAMRMDSSPGPDGFGPAFFRTFWDLVSPDLMAFLQDFYDGVAPLDGLNRAFISLIPKKDEVLTADGFRPISLQNCVMKIVTRILTTRLQHFIERLISFEQSGFVKGRNIVDNFLYAADVVQSCQVRSSPAVVLKLDFKKAFDSVNWEALDAILDARGLGPLFRSWISSILNTGRTAVLLNGVPGRWITCKNGLRQGDPLSPYLYLAVADLLPSLIAMEGGGDRLLHPLVDDLPCPVIQYADDTLLILRAEHSQVRRLREILDLFSHATGLHINFHKSTFVPVGGVSAELASELAGILSCPVSSFPQTYLGLPLSDHKLPAAALEFLSVKISKRIPGWRTSLLPIGGRLTLTTAVLSALPSFAMSVLPIPKGTLAKMDRPRRSMFWKAREKCSGGDCQVAWDYVCRLRSEGGLGVVDLGLQNKCLLLKAVRGLFTGRDSLWTRWIKRSYLGEHPQAATPAWKCFQSLIPLYRSITRVEPRDGCSTLLWHDSWTQLGPLSAALPAAFSHCLRPLATVADALESGTVEIPLVHRVSAAASGEMEFVHAFLSRISLSASPDVRSVALGPSVDFSTGCVYRALHSTGCIVPGQDVNWNCFAPLKVKVFFWIMRLQKTRTRALLHRLGCVPSTDCPFCPGQPEDISHLFVGCPRLRPLWNIIFASGRPRADDDVLGLLDALSEDLPPMHPKARNTAILALLWSIWKSRNRMVFDADLMSTLRVLDMIADHLRLWIVCAPSSVDTTPLLAWCRSIS >cds.KYUSt_chr6.26112 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165410936:165412252:-1 gene:KYUSg_chr6.26112 transcript:KYUSt_chr6.26112 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAEDERHTRVDPNLEPILVHRRHELTSLPIPDDLTLDILRRLPNPADLVRASAACVSFRRLIADRSFLRRFRELHAPPLLGFLEQDTKVFHPVASPHPSAPEARAAALAADFSFSFLPAPFAADFSFSLLPAPARDWLVQDTRDGRVLLDRPREHGHGILNRYKVVFPELVVCDPLHRRYILLPPIPAHLATTVERPLQSTQHRYCETFLAPPADASPLSSAAEQTTSFSVIWMAHCATKPVAFVFSSSTGQWRPVSSPSWSASIADLLSLTQMPPFSGRQYAYGCFYWLKRLGGQLLELDTRRMEVSISELPREIECLRGEDIAIVEAGEGRPGMYVRLQFTNHLNYYVRGNNGGWQFEKTVSLDFECLFIGSMGRHLFLSKRASSSLDAGLLSLDVKTFHLERLFVSNSFITSGRRICTYSNFPPSLLSTPTI >cds.KYUSt_contig_686-1.1334 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8430226:8434412:-1 gene:KYUSg_contig_686-1.1334 transcript:KYUSt_contig_686-1.1334 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVEIRYSLAVFLRGPTPKVDDYIATLNYKERRSSSVKERNRCEQIMITYEQDDDVLRWSFNPFHDPYANSAAYCGANVQHDGGFYNGCYAREAVDLSYHQNADEEHLRLQMCPNGWYDPSRNYYSGYEQGEEEADDTEPSSSSSSPGVNPYGEDFTLELVDDSFEIDGEVGKRLNQMVPIPFRALSDQFYRSPEHHKFVRQQVINQLKSHRDTYEGYVPMEYSDYLEKVSQNGEWGDHVTLQAAADTYGVKIFVITSFKDTCYIEILPNAQKSNRVIFLSFWAEVHYNSIYPEGDLPTSETKKKKRWWRFRNKH >cds.KYUSt_chr4.43095 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266958057:266987807:1 gene:KYUSg_chr4.43095 transcript:KYUSt_chr4.43095 gene_biotype:protein_coding transcript_biotype:protein_coding MACVGHSLGCSWARGLVALIRLCAFDIRNEKSHCMLRHDYLEDMHGMRMLLMQKLLVANRVFLPRIPLYPLEDDVLPFSNSSKRTVEAPQEGDPWVDVRILKETLDCPVCFDHFGTEIYQDASLYTGDGSVDIKGRPATRRTTGNWRACFFILGDECCERLAYYGIAKNLVTYLKSKLHQGNLEAARNVTTWQGTCYLTPLIGAILADSYWGKYWTIAVFSSIYFIGLVVLTLSASLPALQPPSCLGSVCPEASLLQNGTFFLGLYMIALGTGGIKPCVSSFGADQFDDSDPTERVKQGSFFNWFYFSINIGSFISGTVIVWIQDNSGWGIGFAIPTVFMALAIASFFSASNMYRFQKPGGSPLTRVCQVVVAAFRKLHAEAPHDASLLYEVNGQLSAIEGSRKLEHTSELEFLDKAAIISSADAKSDLFTNPWRLCTVTQVEELKILVRMFPVFATTIIFNAVYAQNSSMFIEQGMVLDKQVGSFKVPPASLSTFDVISVIIWVPLYDCVVIPIARKFTGREKGFSELQRIGIGLVLSIVAMVSAALVEMKRLEIAMSEGLTHEKVAVPMSILWQIPQYFFVGAAEVFTNIGQLEFFYDQAPDAMRSLCAAFALVTVSAGSYLSSIILTLVAYLTTQGGDPGWIPDNLNEGHLDRFFWLIAGISFVNLLLYIVCAMRYRSVSSDLQLSSLALDAGLYTGDGSVDIKGRPATRSTTGNWRACFFILGDASNNDTARSTSTSPNPQDFGLSPGRISSISLLHRFLDKAAIISAADAKSDLFANPWRVCTVTQVEELKILVRMFPVWATTIIFSAVYAQNSIFVEQGMVLDKRVGSFNIPPASLSTFDVISVMIWIPIYDRVLIPIARKFTGREKGFSDLQRIGIGLVLSIVTVACAALVELRRLEIARYEGLIHKNVAVPMSILWQIPQYFFAGAAEVFTAIGQLELFYDQAPDAMRSLCAAFALITVSAGSYLSSILLTLVSYLTTQGGDPGWIPDNLNEGHLDRFDLCDGGPSWTQQKRGDYWSKRMEIIRRSFFLPCVRFLFSAAISWQDARLYTGDGSVDIKGRPAARRTTGNWPACFFILGTECCERLAYYGIACNLVTYLKMKLHQGNLEAARNVATWGGTCYLTPLIGAILADSYWGKFLDKAAIILDGDAKSDLLVNPWRVCTVTQVEELKIIGMVLDRQIGSFNIPPASLLTFDGISVMIWIPIYDHVLIPIARKFTGREKGFSDLQRIGIGLVLSIVAMACAALVELKRLEIARSEGLIHDNVAVPMSILWQIPQYFFAGAAEDASLYTGDGSVNIKGRPATRRTTGNWRACFFILGSGNFNAFSVASNTSTTCMFRPPAAAAPAPAAGQRTAPASRSPARERIPASARIGPRSEVHRVNRAAELDAEGYQRPRRRHHQRRAPPRPAPSPRRRSTSPGSSELCFRCLDPGHRVRDCTNKVRCRTCLVLGHSSADRETCAREQQQRRLEADRARERRDGGRARPQPPPALLETRPPPPPPITASPPPAAPAPAPPAAAPVRVLLSRSVEMEEAELTLQRAMVATITGTRPVVSATDMENALYAMFDLAPGDFTVHLHLPEDFLILFSTRATMDRVAGEHLVNTANFSFLLRPWSKLAHAGTGRLDQRVELELRGIPAQAWHLSTAEHILGSDCWIERLHPATRSRTDMAIFRATARTNNPSAIRAQAVLEIVEIVPSHVPSAPPTLRTLTYSIDIRVVPTRAGTNGGTRPPQAGDHDDAPGNGNGNPSNAGQALATAVPAAADESVAGQKVLPMVAPTAWPLTPLAGLLRAPLGPTELASTPPGAVRRRRRGSKDWWALGLLNNKATTAAATDHHPALRCPGRSATSSAAATKHVDSTLPTPRRRARRQPAELLSRRGRYASTTASSNAPPPTAERRAHVRVLRTLGIVGTNRVITAAEMKAFDDVFAAPIPLAVLTAIAAPVDEQLPASPGSPMPSDAPITA >cds.KYUSt_chr2.3821 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23055123:23059469:-1 gene:KYUSg_chr2.3821 transcript:KYUSt_chr2.3821 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTPSQRRRGPRAALLALVLCSLLVPLAFIFDRAPSGTSSLLANPLRLPPADAPEKKISNGSTGVLRQHEQTGRNSSGVSAKPKVPPVPRTEPPKAVNESTQRTSEVSNARKRRGAKADEVENAKACQLEFGSYCLWSTEHKVIMKDSVVKRLKDQLFVARSYYPSIAKLQGQEVLTQEMKQNIQDHERVLSASAVDADLPSFINKKIEQMDHTIARAKSCTVECHNVVRKLRQILDMTDDEAHFHMKQSAFLYNLGAQTLPKTHHCFSMRLTLEYFKSPSLDSDVSLARKFKTPNHRHYVILSKNVLAASVVINSTVISSKEPGNNVFHILTDAQNFYGMKYWFARNSYKKAAIHVVNYEEMILEKLPKFSPRELYLSEEFRVFIRSTERPTEKTRMEYLSLFSHSHFFIPEIFKDLKKVVVLDDDVVIQGDLSFLWNLDMGDKVNGAVEFCGVRLGQVRSLLGKTNYDPKSCAWMSGVNVINLDKWRKHKVTENYLMLLKQVKNNDEVSLRESAFSLSLLSFQHLIYPLDKKLTLSGLGYDYGIDPEVARSSASLHYNGNMKPWLELGIPDYKKYWRRFLTREDRFMDECNVNP >cds.KYUSt_chr3.19545 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120296901:120310982:-1 gene:KYUSg_chr3.19545 transcript:KYUSt_chr3.19545 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDAATGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFSRRWEREPAAYDGPPPPLCAQQRRGPPTVVERAGPDTRGRRRAHRGRQLPGAHDAPSIGIEGIGEPPSGKRPAATAHGCRLVVFRIGVKVILGAGEEGGGVVAFDAGARQKEPASPPATEGAAAAPSSSENDLPRRERPEEDEERGRRRKPARQGGGGARRRPRWRRAIAGSIADMEEEKRADDAAPDRPSAAGSAERYTMAAGERNETAAAAATAPLLALARGKERCPACRLAEINMASTSIPYRNFFYVWVVCLCASLPIQSLFPYLYFMIRDLNVAKQEEDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVVGPAIGGFLAQPAKRFPNLFSEESIFGRFPYFLPCFVISVLAAGACVACIWLPETLHMHHDDKTIDTMEAQVCDSSLENGKVKQSGSGRMASTKSLLKNWQLMSAITLYCVFSLHDTAYLEIFSLWAVSSRKYRGLSFTSQDVGTVLAISGLGVLVYQLTIYPFLAKYAGLIKPFRSAAVLSILLLATYPFMANLYGMELKVLINIASLLKNVFAATITIACNILQNTAVAQEQRGVANGISVTLMSIFKAVAPAAAGILFSWAQKNITGLFLPGWDPVTKTMDADDEWWKTHLVYRPEHAKFRNGPPANLEQQDVMFKKAHVTGESAAIPGQELGEDKDGPILLDDDGEATKKTTLGKRKACGGDKEKESPFFKAYNTALSNIVSKVDVGSSSSKDDSVPTMKEFLAMGISQINSQLSCQRVKLWTAAFPAQQLPLHSSAASHSQPKQRGP >cds.KYUSt_chr3.3234 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18493180:18509383:-1 gene:KYUSg_chr3.3234 transcript:KYUSt_chr3.3234 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSLVRFPVLIDGLHWEAKLMGRGAHTRIHPWEHVLRMGLGVVFVDACGDLMVDAGHVNAMIFSFGSFLGRLDVDEVKKIIYIDVRLDLILYAAWYFQVIVFCMIDYNQFWSLAQIDCRCSPHLILPIMKLIAENDEQKGYVREIGFEIFLSMGELEMNKALNLWLVDKFNCDSEALEFEGGISIPVRPLVKSVLGIPSGPIQVVEGLDVDDALKDQYTVNTRAKAAKDVANEMSSITDKEPFCIAFMMAILGIYLAPNTSQTVNRAFLGAVKQVDKLKEMDWCNFVATYLFKGIREFKESNTACVTIKGCVHILSVIFTDFVKDAAFEVPVGFPRLGVVTKKHIKWVVSHPFTSLMVRRPEESVYAAVLDSIAKDNIVKDGKCVDSETNSLSDTPATTDTNQNNNKHRASGELGTVMLAKPAPCDNPSERKKGHNVMDTSNRPIRSAKSRTAEIIIYKCSPQLLPPIMKLITENVEQKGYVGEIGFGSFLSMAEFKMNRALTLWLVDKFNCDTEALEFEGGISIPVRPLVKSVLGIPSGPIQVVEGLYIDNALMDEYTCNGKVKNAWEVANGMCSITDKEPFCIAFMMGILGIYLAPSTSVGVNRKLLGAVRQVDKLKEMDWCNFVAAYLFKGIKKFKKSKATFVSIKGCVHILSVIFIDFVKHAAFEVPVGFPRLGVVTTEHIKWVVSHPFTSLMVRRPEESIYAAVLDNWPKDNIVEDGKCVTNTDALSDTFGTTDSDQNKNRHPVSAELGTLPISADNPKGMNRGSTSPMENAGDWSAKKASVDRPSSGQAKRARGIAAVDFSVLNCTVCSCPLKRPVFQVSFFNMFHSPELLKHLACGRCIAELPGEQCQICEHGSGFSLCPIMDDIVSSAMVECSHDGCKSSVPYHELDDHESACSHAPCYCTEAGCGFIGPPKLLLGHLAALHSMPVHTVNYGKVHRLLASKPRFLLHGEGDDSVFLLVVGTLGAAMVVSAVCIRAGASPSYAVKLWVNGPALPSRAAGRIKWKMEAMTSSTRPGEVVVQELPSFLTVPPAYLGWLGASKAVTLDIRIDRM >cds.KYUSt_chr7.20241 pep primary_assembly:MPB_Lper_Kyuss_1697:7:125521389:125529407:-1 gene:KYUSg_chr7.20241 transcript:KYUSt_chr7.20241 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRRSGSPDPAPHLEAAPSPDGLSLNERRRCLLLLATPERPGRDANRRLGDAVRPAWAVAAELWRREVAANARLAVARARLAEALAELERNRDWLHGPLAELGGGEAMCHHRRAMAGACSVWGAVFGPGHANSVQLAATSANEAGLVHDGHRGSCILGAVLSGPGHAISAQLAETGRDRARGFGRTGGCISNSGLAYPHPNPLVVQHWLSHRKPRFHVGAEISGVAPHYIPPPSTFNVLLGSYWTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRNSPPGLEAMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVMPNENHNNKIVTTANAIQVRINENIRLMAELRARWDREENEKLAKEKNVAKVWTITTTSNASATHVAAPPTINNKRIGVSNVSTSNAKREKLPEIA >cds.KYUSt_chr6.15044 pep primary_assembly:MPB_Lper_Kyuss_1697:6:94230390:94232133:1 gene:KYUSg_chr6.15044 transcript:KYUSt_chr6.15044 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIPMTKECHWRHSFFRCWPEAFGSKESPIAMAAGKVYSFEEVYDVTEFMEEHPGGDEVLLACIGKDATADYENIGHSESAKEQMAQYCIGEFDAATMPAKPVKVEDFPAPSASSPGVWRTVLQLAVPLLLVTMAFGFQNFANTETE >cds.KYUSt_chr2.51194 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320089626:320091226:1 gene:KYUSg_chr2.51194 transcript:KYUSt_chr2.51194 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPDLVFTEDTFGSYTITNTDQESMTFEELLKFDAKKRDWLNRKFINNVDSVLRPFLCASNTSTITLEKFVVKSGLRRKHKYYIDKWVSFSTAARAKHIAYDFTSDVNCFGSYFDKYKYVFPLCSLSGPNGSCIKYLDLGYVCLKLPPGFCGITNLKKLTLNMVSINGDDLQCLLLSCTLLESLSIESCSSSSSLCVRQELSRLHYLRVRHCKLEMMEFHAPNLTKFEFDDQLMQTVLKQSSKLSEAIFVSNMRLCDGYDDDLDYIFTELPTAIPHVHTLLLLLTASQVERFCNTQDNFIGLRQLNMNLDIFFDPYDDNWAMGLVNLLKLAPLLEELEVHMDCDRYCSPNPRTVTAAQGPLHHHLKNVYMFGFCDVLGLAELALYILGNAIVLERMVVDTMAGMKEDLNTELFYSVKSGSSEGFVLPTKGALYCVEEKKLFAKKNLDREEFRHILTIL >cds.KYUSt_chr4.17857 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111829670:111830523:-1 gene:KYUSg_chr4.17857 transcript:KYUSt_chr4.17857 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSVGGSYWLSRLRPEENLFYASVGEAVASETQARREGCKPICASTTDLPSPEFRFKSWRSFDPTLCFMPFYGLGGGPKEGRIVVSDSAGHTHLYDAEEVSGEMLPPTNEPSNWHSPISVCIAKEEASHADALYAINAFTSTNFKCLAYIGPSSPTRCSKTTRPSASPRSAKAVALAPTGYCFDTANQEWTKAGSWTLPLVGRALCVPHLCNLYFGFHDSNQENIVALELPSPLGGDAPPPKVMHQWRGFCQSGHL >cds.KYUSt_chr5.8523 pep primary_assembly:MPB_Lper_Kyuss_1697:5:54002683:54004363:-1 gene:KYUSg_chr5.8523 transcript:KYUSt_chr5.8523 gene_biotype:protein_coding transcript_biotype:protein_coding MLILGRGIGHSGLSRSMEEVPGKKFSRNYTQWTQEMDSALLDVFVEHHNNGDRAQNGWKSHVYRAAIKAVREKCGVDVTKEKIVSRLKTFDKHYEIVSKILSQSGFGWDWEKNVLQLESDEVWERYVEANEKAAPYKNKVIRNWNEICTIYSKDHATGLGARTGAESTDPEVIQAAVEANDTSPEAVGPSPKRPRTGEAIMCMLGSLKTSFDDAMKSTEPLQQPQVTPPSVMLATIEAVPDMSRTEQLRAYAKLTVSERLFHSLLELPLDARKEWLLMLP >cds.KYUSt_chr6.1293 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8087631:8089355:1 gene:KYUSg_chr6.1293 transcript:KYUSt_chr6.1293 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLSFILRPDMSDNISLPTSDYIPYMRLDPDGHLMLYGLPFLPNRDVFLYSEVLYDVLGSMGMDNCAYPKTCGEYGLCTRGQCTCPLQNSSSSSYFKPVDEQTLDLGCMPLTPISCHEMQRHQLLSLANVKYFHDNQTVVNATNEDDCKQACLKNCSCRAVLFRAGGCVWVKKVFSLHAIQPENADYASAYLKVQLIPSLSAPNADRKKVILATTFGTITALALLVIVVTLYLQRRRKYEEKDEFNFDQLPGTPTRYSFQKLRECTQGFSKKLGEGGFGSVFEGKFGEERVTVKRLEGARQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSERLLVYEYMLRGSLDRWIYYCHNNVPLDWCTRCKIILHVAKGLCYLHEDCRRKIVHLDIKPQNILLDCNFNAKVADFGLCKLIDRDQSKVVTMMRGTPGYLAPEWLTSRITEKVDVYSFGVVIMEIVSGRKNIDNSQPEENVQLINLLQEKAQNNQLIDLIDKHSDDMVSHQEEVVQMMKLAIWCLQHDSIQRPSMSIVIKVLEGAISIETFDANSLMFVQDNPPTYSVPAQACILSGPR >cds.KYUSt_chr4.32961 pep primary_assembly:MPB_Lper_Kyuss_1697:4:202054886:202055286:1 gene:KYUSg_chr4.32961 transcript:KYUSt_chr4.32961 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSRPAGAFTQPQLKQLRAQCLVFVAFRNQLQPRKVHLEVALGGCAPAAQGESEIRAEKVAGETSSRSQASSSAARLPLLPISSLRLSSTPESHHHNSDDPTEHDE >cds.KYUSt_chr6.27882 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176847827:176849386:-1 gene:KYUSg_chr6.27882 transcript:KYUSt_chr6.27882 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKRLLSFLLSCFSWYLISVPSPHYPGEFIQCLREKLPSELVYEQSSSSFTDVLASSIKNPRFFTNATARPLCIVTPTDARHAQAAVLCGRRHGVRLRVRSGGHDYEGLSYRSALPDEVFGVLDLASLRSVSVNHPESTAWVESGATLGELYYAIAKNNSRVAFPAGECPTVGVGGQFSGGGIGMMMRKYGLSIDNVLDARMVNANGDLLDRDGMGEDLFWAIRGGGGESFGVVLSWKIQLVQVPPTVTVFSIGKTLDQGAIDILTTWQVVGPSLPDDLTIRVKVQGQEALFLAVYLGTCSSLVATMSRRFPELGMTSADCRSMTWLESAALSFTTLDNIGTPEEVLLNRTGSMSFSFKVKSDYVRRPIPKAAWKDVFSWFEMSGSGYIMLEPHGGFMDSVPADATPYPHRNGVLYVIQYLVFWQGDGGTAAPADWLDDFYDFMEQHVSKNPRRAYVNFRDLDIGQNVVVDDVNTLDGGEVWGERYFMSNYRRLATVKGAVDPTDYFRNEQSIPPLL >cds.KYUSt_chr7.1928 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11016494:11017555:1 gene:KYUSg_chr7.1928 transcript:KYUSt_chr7.1928 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSGRRLRSSGTAPIGFASAMELRSGRRICSSQQVRIPEDLGPDRISALPDDLLLIILARLRSIVTAARTGILSRRWRNLWTLLSTLIFHNVKYSSLEPVLARLVSPAVSLLEIHIPITRRQPDRWDWWEHMSPVEVYMDAGVTSLLRAAARLEPEELVFTIDKVKGSHVDVPYFRRAASIVLKANTFSAPFHMPPGVEFVALDTLSLSCSITGLDDLISRCPRLRVLRLKVPTKNYLVMVHSTSLQQLFVNTRDGTSRVDIDAPVLRRLSMSLRPYRQLDISVMAPMMETISWYCSYCYVRLAPGFGSWRLNELRIQMAQRQEELPSLHIDASMVCPLFLNYSLRLPSVD >cds.KYUSt_chr5.40082 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253225897:253228763:-1 gene:KYUSg_chr5.40082 transcript:KYUSt_chr5.40082 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTALMAALLLLVSLAAADIPIPAADIHMPYREKSEEEMRLIFVEWKAKMGKTYSSAGEEERRYATFKDSLRRIDQHNAAGIHSYRLGLNNFSDLTQEEFSATPCLVIPSVHDKDKAAWRLIIYMLFVCGLFIVYFIWTNWLACGWGRLFVLQRLCELITPKSTARLIPCAPEEVEPAITLLACPAVELPLTYLGLPLTIRKPTSA >cds.KYUSt_chr7.18215 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112976001:112978933:1 gene:KYUSg_chr7.18215 transcript:KYUSt_chr7.18215 gene_biotype:protein_coding transcript_biotype:protein_coding MALMPVELCFATRASPATGTLPRRRATVRCAATAPAPMGEKTEYRDGPLERAFMGLFARKMEKYAGRKKKPGGEEEKKAVWDWDYESFVDVSRRVMVGRSRAQQQEAVREVLISMLPPGAPEQFKKLFPPTRWACEFNAALTVPFFHWLVGPSEVVEVEVDGVKQRSGVLIKKCRYLENSGCVGMCVNMCKIPTQSFFTDEFGLPLTMNPSECIFLLELTSEPPPPVIDDLLSTMAALKPIWVRQAEEAKPKSETDTTAAAKATLPHPLHIRPCRPAAPDPDSRALLLPCLGRLLPNPSRCLRQLRTSPRPAGSL >cds.KYUSt_chr1.30429 pep primary_assembly:MPB_Lper_Kyuss_1697:1:184241989:184242303:1 gene:KYUSg_chr1.30429 transcript:KYUSt_chr1.30429 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATHPDYCDLLLDHEPQLDYPDADDHLLVPCIDLLDVVMVVWCFWSCVDRCLDVGGTATDVQPLRPCTGAGVVLVVTTASSTPAEWHPLQPRRLLLRYGTVS >cds.KYUSt_chr6.10303 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63455176:63460123:-1 gene:KYUSg_chr6.10303 transcript:KYUSt_chr6.10303 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGGGSAEWLPLFDRVEAQVEALAADRARLEAADRVQRVSWEAARRLQRSVEELQAAARDKDAEIERLRAEAADARKKLQVADASRRGRWEAAYVELLLGANQKLAELRDSDLEDSRTLAGNSSSKEVESCTKLNQNTTDQTTSDLRVELRKLKKAYETLSSKKDKELSALLSEKDSVRDQLSILQQDYAELLKNKKVEAEEAAEAAQKLQRNIDELKVLAQKKDHEISILGAEAVGAKKNLQKMHSLVKEKDDEIQRLKGRHPESVPKHNKDIIKTYKKLRSGDPAVTDDEMGGCDENDDEQIGGDEDGDKQSGSAEDGDKQSGNAEDGDKQSGSGEDVAELSRSDDEDVGEQSRSGDDDDDGQSTSDEHRQSESDEETTHNPIQRPLWNRKRKGDAYNNEDKGDVMTWLRSWKAPAPLRSRKREVTNGRCSYRRTGHWGQYVKAVNDSLVDQPDKHKKFVVFLRNFENQSAREVAITMTALLKGQPKLIHQLNQFLPNNRRSRSRLR >cds.KYUSt_chr1.9645 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58909142:58909489:-1 gene:KYUSg_chr1.9645 transcript:KYUSt_chr1.9645 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPTASAVSFAAARPSAGASSRPRAASAGRVSAGQPEGGKWWAPLVGWSGKADYIEPATAMVVEEEKAAAARPFVGGLTEEKARQLRARMVETESFHDAMYHSAIASRLARSS >cds.KYUSt_chr7.30834 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192014621:192014866:-1 gene:KYUSg_chr7.30834 transcript:KYUSt_chr7.30834 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGLREMAVAAAFVVLPHRPRDPAVRQDRAVLKILPFAWNRRRLLHRPRAPAVRQDRAVLKILPFACDRLVFLIYFEQNL >cds.KYUSt_chr5.24194 pep primary_assembly:MPB_Lper_Kyuss_1697:5:157355791:157359245:1 gene:KYUSg_chr5.24194 transcript:KYUSt_chr5.24194 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPAYGSQIGAEAVGLGLLAYESRPATAEAVGLLALPTLSSVPSPRSSTHEHRQLVAGLYLVVAGSGLLQPPDAHVLDLQARFLGLEDHLASVILVV >cds.KYUSt_chr4.42145 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260681720:260687168:-1 gene:KYUSg_chr4.42145 transcript:KYUSt_chr4.42145 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLSDDVGPPVSLSLKPETVGDKRDEVVLGSAPAPPEVPSEAFAQSFAHFIRAWTKSTRFNFLRKGILEEGGSCCPICDTPLEAPAHIMFECSFARCFWSMLGAAPADTTRPVSATSTCPLPPFAPRRTASMLSLLCLWHLWKHRNGVVFNGDHSKNPT >cds.KYUSt_scaffold_869.912 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:5776333:5781140:-1 gene:KYUSg_scaffold_869.912 transcript:KYUSt_scaffold_869.912 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEEEETAGEADGSVPGGDGEGGDGAAEEGERPAAVVSCSICLDAVITGGEERSTAKLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWFYANGSHPSQDPNIDEHGEDFPDVGYSEMGRGIFLLYHLFTSLVKVFPCHVYTYRYAVSCMLYCKSSLIITWNSIKPYRFQWCPVGRLAQLPSLFDDVESSPAITFHDFMGQNFTSEHLPVSAPGATHPGPYVAYFQPLPSPSSSSSPHVTERTMEGATYHDHWSSLAGVADGRPMQTLHPIDFEHNHWAHIPLSYSQPNSNNGVTEQPGVPVGAMRVGGLDRDSQQRGSLPSVYGNGSGSRSRIPNAPPMVPQFMRAHGNLSEQYQQSSSSLYAGSQLSGGPASVEPEDSGGNQLYAWERDRFAPYPLIPVGSEASWWGSSQQPHGTLEPAAVAAAASRRLFGQWIGIGSSQPQQVSPPADNRSSDSSPYRQMHIPRM >cds.KYUSt_chr1.23892 pep primary_assembly:MPB_Lper_Kyuss_1697:1:142302204:142306324:1 gene:KYUSg_chr1.23892 transcript:KYUSt_chr1.23892 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFAADAAAASSALNGAVDWWKDVNESPMWQDRMFHALAVLYGVVSVVALIQLIRIECRVPEYGWTTQKVFHFLNFVVNGVRSIVFVLRRNVQLIQPEILQHVILDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINGVVYAIQVIPHVATLPCGIKRKTKEIAGGWLCDYNLLHLFLDQMCHAGRDLAFSYGSVYPKETATKTRDHAVPSYPLEQAWLVQTNVLFTESIQHGKYRNGNVEITRTCSQACPGFMRGSL >cds.KYUSt_chr7.35196 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219885111:219886705:-1 gene:KYUSg_chr7.35196 transcript:KYUSt_chr7.35196 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHITRTSKRLRCCYYEPVIKKTRAKVHLVDLSEDLLSVVLSKLPIKDAVRTGLLSSKWRHMWRGSSKLKFDGITVCGSGVFGRQEYTQKFNYNVNAVMQQHRCMVVDELVIKFGFDSRLVDHVNTWVGFAVSSRTKSLALDLAPANFMGRTDQYKFPIELLDKGSIFRLRHLRLSFTSFELPPQFIGFPNLTTLDLHMLRVTRKDLQDMLSNCVNLEWLSMIRCHLNDELTVARPLSKLLYLSVAHCNITKIVLNTVKLKTFMFYGRLYPIDLGCAPELKHAFLEFYSSVTLEHALTVLPKVISSVQDLTLRATFPLKFSVYDSMHEVSKPIKSLPRCPHNYLKNLHITGFSGTTGQLEFLVHAVENAPLLKMLTIKGADLTGCDLDHIGKRRFSFQFRELERVYLRGIISPNAELRII >cds.KYUSt_chr1.15671 pep primary_assembly:MPB_Lper_Kyuss_1697:1:91179809:91180958:-1 gene:KYUSg_chr1.15671 transcript:KYUSt_chr1.15671 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFFADDDGADELPRTASHHFDDDITADSAGPTFGLIRIMVIIKNYAQVRRHGSMEEETEEGDASRKAGTTATEWPTLPPCSTPEKDGTVAMPTPTPPSPL >cds.KYUSt_chr7.16400 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101589613:101591479:-1 gene:KYUSg_chr7.16400 transcript:KYUSt_chr7.16400 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRHLPGNLKERIRRYEQYRWQETSGVDEEHLLVNLPKDLRRDIKRHLCLSLLMRVPMFEKMDDQLLNALCDRLKPVLYTEGGCIVREGDPVNEMFFIMRGNLMSMTTNGGKTGFFNSDVLEGGDFCGEELLTWALDPNSTSSLPSSTRTVKPMSEVEGFALISEDLKFVATQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWHRHCRKKIEDSLREKEERLQLAIVNDGSTSLGFGAAIYASRFARNMMRILRRNSTRKARLQERVPARLLQKPAEPNFSAEEQ >cds.KYUSt_chr7.38181 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238029158:238032529:1 gene:KYUSg_chr7.38181 transcript:KYUSt_chr7.38181 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYRAYGSSSHDRGKEGYLTHCSNMSIFLFGVWGWSVSIEEDESGDKAYVLCFVEFSDAKCAFTAMKALQEYRFDERKPDAPVLKIRFARFPFRPPPAHDDGKRLSAR >cds.KYUSt_chr2.18081 pep primary_assembly:MPB_Lper_Kyuss_1697:2:113898316:113901817:1 gene:KYUSg_chr2.18081 transcript:KYUSt_chr2.18081 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASCSLSRSPASTTSVSVASAPPPPRPWEPLPNSTIELQGDGRHRRTSRLHFRPGLQTFSLKFGDSLHCSRFVLNVPKEDLESFERILFLLECAHWFYEDNSLENNPSLKSLSFKDFTTISKIHSLSS >cds.KYUSt_chr3.45945 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289268019:289271709:1 gene:KYUSg_chr3.45945 transcript:KYUSt_chr3.45945 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDANENICDFPTATDVLADILTRLPPNARRRLRLVCQLWRDVVDERAAADMRSRAKVLAVTTEGAAYVVDVLSPGSLTDLWQRPLAIKAYAGPMSVVGTCNGLVCLCEDQEPGGAIMLANPATGEELRLPPLPMPSASVRLYRNSSRSWHQTYAFACHPTTGQYKVVHVPCCFDPFWEPGVVHVFTLGEATWRDVQAGPDARCSLGRSSLVDVDGMVYWMTEFTARVMAFDLEDERVTRTAPLPVPARPSACRLTKVHARLGVAVSGGDSLTVWVLEGDRELEPPAKAEQREGVVHVFTLGDASWRDVQAGPDARCSLGRSSLVDVDGMVYWMTEFTARVMAFDLEEERVTRTAPLPIQARPSACRLTKVHARLGVAVTGGDSLTVWVLEGDRELEPPVRPGGV >cds.KYUSt_chr6.6250 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37755869:37756111:1 gene:KYUSg_chr6.6250 transcript:KYUSt_chr6.6250 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLKLVMKIIVIKLNLKLQIKLVSKLKLMMKIMMIKLKLKLKLQIKLMTKLELAMKIMMFYLVIFKTLTIGPRLSWIIF >cds.KYUSt_chr4.36993 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227473605:227476394:1 gene:KYUSg_chr4.36993 transcript:KYUSt_chr4.36993 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWRLGASSIEKEPLSGKCESAVEDSRSLAGDLATPRRPSPSTTTTTTITAATTTTFATTISPAASPISTLCARRCWYSPVRTAKEDVQLEVDCGFPVVRRGVAVGRGEKAAGGGSEEGGRGSEEGGGAKDGDSGAEALNPSDQPRFGVGGGADGKGMEAANLWAGARGAGGRGAGDGQVDGSRRPAASIGGARAGGAGKLEAVKTSFQISPVRSSPVLTRGGVSRPAIAAGCRGSADDWRRREKIRSENPSPTRYCSPERVGKFGWFEQRRGPLRSVSLVDAIGGRRLDPSRRNRSVHVSSGLASFGSTSSSSYKSVDAPAVSANNLLVGSRSTPSAPTLVWVRRELVRDRSFTPADCYPAGRGFLPRATVIKFSDLWGAAEGKRSFVEVVSMAGGGRGAGRFGGGTGRGSGGGRAPTAAAATSSAVPSAGAVKEPVVVKSEFPPQMMQQMGAGQGMFPMLQPHMWNMPMNQWPQFFGSQQIPQPGFNPMLMVPQGIPQNLPQSNSQGSSASMVPLQQSQGSGAGKSKKKIQKNAVSDGSRQTGDKGGNNLQLSVMGGPGPILDPKFKSVTCYNYGELGHYVGLCTRIKRCFICSKTGHHMDNCLMWYSLLPTAQYWGSANPGLGFFHVEVEGPEAVQWLNMDNVGVVVVKEGEISAGDLEKCFIDMWKANWFWQIRQIGPKKFLVRFPPSKRIKDLVEYPSINLKKDGVVIYFENWEGEAEPFEEFQEIWIKIYGIPAKWLTWKTICQVSTSLGVLVNIDWQGIFRSFYEEVRVKVAVRDKTKIPTNKLFEMEQCFYLVNFVVESEGEAIDVDEDDDEDPGLGNKGDTVNDDDEIGDDFKSLDKDKQSGANNKMETESSMPLGGRNELLSAAHQKLEMSVLEKVLGKEPVVQVSNALILREVEENVGKNLLQHFDDESEEEADAEI >cds.KYUSt_contig_686-1.1092 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6713426:6715470:-1 gene:KYUSg_contig_686-1.1092 transcript:KYUSt_contig_686-1.1092 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLPIKRIENNNNRHVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSRRLCPFSGRHGFVSSYHLFPFSDSWHGCLVPNACVRVRRCVRVEDVLLRYLNMSDHDRGEPIQNREYLISMLQRLKRESDMATQLANPGALNEKIEEIQQEIYASQQQLQISEERLRYPEPAAFGSTNEIDGCEKFLMDMLTRVVDRKNYLLSNHMSFDPTAPGMQGPNGAPMYVHPAQAEGMGSFASDAALWAAEAGPSSGHHIFGATDPMIYLRFVHTSWQPDTVTPVTHVTAGSVRNELCFRFGRDQDVYDANTQVAGLHGGGGDPCGGASDVAGSSSQADAWRQAYTCTELLSTLIPNAPFPLMQHCLGPDDQYLQAMPPAEMVVPAAAQDQVEASASCSYNMPTSDETGTPVLAYDSGAVPVPPPNIA >cds.KYUSt_chr4.51127 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316952965:316953432:-1 gene:KYUSg_chr4.51127 transcript:KYUSt_chr4.51127 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVLRPEYLPEPSDETYESELRKCAMAVLMRFFPEAAALSPLELEAAIHRIITESNPETASEAAPGMVRFSDRDIRIWLQTVETPTVDDLLGADAGGVFPPGWIEARRREMEEECRPEKKTPRQACALVDKIRVDLVAKGYAEVIKEYVDPYVI >cds.KYUSt_chr6.10746 pep primary_assembly:MPB_Lper_Kyuss_1697:6:66570738:66571365:1 gene:KYUSg_chr6.10746 transcript:KYUSt_chr6.10746 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLVAASATVALAAEAPAPAPGVAAGSAATGPALGAVLGATVLSFFAYYLHVAKSAESL >cds.KYUSt_chr4.39582 pep primary_assembly:MPB_Lper_Kyuss_1697:4:244320184:244321586:-1 gene:KYUSg_chr4.39582 transcript:KYUSt_chr4.39582 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVAIPSSPVFSPSRRPLSCKAASASPDSASVSSPAPPPSAAGSPLRPFSLLRAQIREEASPTPQKAGSAAPAGSVLKRRRPAPLLVPVDGAAVAAAAAAAVAAVESDPSNEVEEAGDEFAAYCRRGRGRRRVEMEDRHVAKLALGGDPDVALFAVFDGHGGKNAAEFAAENMPKFMAEEMNKVHGSDSAEIEGAVKTSYLKTDEEFLKREESGGACCVTALLHKGGLTVSNTGDCRAVLSREGKAEALTSDHRAARDDERQRIENLGGFVVNYRGTWRVQGSLAVSRGLGDAHLKQWVVADPDSRTLLVDPECEFLLLASDGLWDKVDNQEAVDIARPHCIGSDKASRVDACRRLVETAVSRGSTDDISVLIIQLQKFAASS >cds.KYUSt_chr5.18456 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119136241:119137945:1 gene:KYUSg_chr5.18456 transcript:KYUSt_chr5.18456 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFKPNPLSLSVPDPALDRWLRDSGYLDLLDSSAPAPAAATAAATPTNPASASASSGAAADVLAFARTLASLLTLNPFAGLSTADLAKPTPSWSLAFVGAPGATSYSWPPTPTQARLRVQENVRRYARNYTALSILIFACCLYRMPMALLGMLASLAVWEAVRYCRDRWGLATRAPGVGQALLHCAQIDFAYDDSVTSSKCSEQQISIPHMARFFIKTVFAFPDSHWTVPKEIPSHVRKRIP >cds.KYUSt_chr6.1158 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7346229:7347386:1 gene:KYUSg_chr6.1158 transcript:KYUSt_chr6.1158 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCILTRLLYSPSCASPITPLHRLLSAAAAAADPSPAFAVEQYLVDTCGLTRPQALKASAKLAHLKSPSKPDAMLAFLADLGLSSADVAAAVAGDPQLLCADVDKTLAPVVAGLTGHGLSRTEVARLVSLGRTIFRCRSIVSNLPYYLSLFGSYENLLKLLKKSPELLGCSLEKVVKPNVAFLRECGLGDCILSKVHLPTQRILSTNPERLPAMVACAEGLGVPRGSPMFRHVLYAVAMIGEDKVTAKVDYLKRTFRWSDAEVGVVACKTPQLLSRSKDTLQRLSEFFISELGLEPADIAHRSVALTYSLDSRLKPRYYTVKFLEINGLVKSFPSYYTIFHLTDKVFVERYICPHKEAAPHLYEDYVAACKGEVSTRFLSA >cds.KYUSt_chr2.6023 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37484779:37489780:-1 gene:KYUSg_chr2.6023 transcript:KYUSt_chr2.6023 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQPFLPSAPSSVLRRRDHPFLLHRGREQRWPLRSAGTPRRGSARKLLLVKGCYLRRHLAMLPTAVESATLDVGLTVSHAESKVSPCQQADGSPSADVLEPEVTLPEDILWKIHALVPMQDAARAACLSHSFLHSWRCYPKLIFDMRALRKQTKDFINRVDHIMQNHSGVGVEIFKLQTRNDFSVHPSYLDRWLVVALTPGIKEFVLGLPIENEM >cds.KYUSt_contig_257.173 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:1511572:1512824:-1 gene:KYUSg_contig_257.173 transcript:KYUSt_contig_257.173 gene_biotype:protein_coding transcript_biotype:protein_coding MLATRAAQCIRETLAAAGLASKTTRRVLALARSPSRRPHQARQPRRWEPAFVPLLPGRRDGLLCLAPSCDAVCRYRATPQTFQGRRVLLFLAPRLRSKIEELLYLAARLRSLLAASASASSPKSAIEGDMKLRVVVMDSEAAAVAATERSTAKEKLCEYTLDDSVCLWIL >cds.KYUSt_chr3.12443 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74368571:74376994:1 gene:KYUSg_chr3.12443 transcript:KYUSt_chr3.12443 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSPTQSRAAAAAVGAELARLERRLGQWADPDARQRLAGLGETAAARVLRTIGESRTPVKTLSGYIRHLADKEAMERNARGIPPAESAACSSGPSHGDESVSGPLHYSDDQYDVQSPYREEITLGLSNHAAGANQPRPIGSQANEGSGREIAHVVPNLSAMGAQTPSGWVSLRNQNETQINSPIRSMAALTAVTSPVQALPCMEGDIPSAMTPAMMPVPTLPSTVGQNKLGKTPAMMPVPILPNTVGQNKLGKTPAMMPVPTLPWMAGEDPEAWIRLLKQDYSHYPAMTPPVWNQIPVQICSPARAMAPSVMIQEGTARVYHCNVEIRGDYAVKIFKGPYVETRRTHLAKVIGDDNVLVVKIIGKSSKDTTDFAPYHKDEYGNIVVQDDEPLIHTDGTGLISEDLAMKCSTSISERKPLLTQFRMFYKGAAVKGTALVDRRLPSGTILIRPSMIKIKSDPELCGVQTVNSLEIVTTSNQPKRTLTSKYLIALLYYGGVKAEYFIELLHDAIEGAENARYGYGDALKLAFIYADMEDSMSARMLLSGVPLEDAYLQSRLATMSQLERKGIKEGKLPINDCFYLMGTTDPTGKLRPNEVCVILDRGQYSGKVLVYKHPGLHFGDIHVLTSRYIKDIDEAVGHSRYAILFPTSGPRSLADEMANSDYDGDMYWVSINAQVSCLLVDAILDFSMRNIAMDSPFEASRTKV >cds.KYUSt_contig_946.146 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000087.1:998770:1010357:-1 gene:KYUSg_contig_946.146 transcript:KYUSt_contig_946.146 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAMSSSGDPTQLDGQALLELHNASAAKIQRHWKVVQLRRLEARESFCRTLSTLVQNVDWNCFGPDSDFLGQLLFFFNAKRGEDVDMLCKICDILLLYVRSDGDLVSLFAGVDSSRVKSVVVYRLRKLAFICLHALHEKSESTIDYSYFSSLQAFCSNGFISNYILEIASCADVLPTDILANHPGQACLLANVLETATWILSEPDFAPESVGTYVDGTIPIDIDATYYLDVDLQTHISTLFQHLVNAAVCGILSIDFSDSAGLSSTELQIFMDIVEFKKFERYVSLEDLPSLVFILKEALWELLLTIPLQSSSLQTTCSPLDNKKMLTQILKSSVTNGLCELLIQLENWNNMDQFVPPIGFCSTKAISDEFASQLRLEADHLLMGNSRQYFGSRTLEISRTNPLKDAFNKINFLSAEDLKGRIVCSIFIFLEFYLGRETFFNDLFSLDPELYRTLVNMKDSDDLSYLYFIAPDGKELFPGGARMHVTAHNVTTYMHLVANYQLNCQLLISGSLSLNVEDLQLNTNYSGEYHMDHVVVVMFWNVLRKFSGCDQERFLMFVTGSARGPIRGFGTLNPKFGIKREEQMHAKLIQAISSKSGFDLM >cds.KYUSt_chr1.925 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4902574:4903547:-1 gene:KYUSg_chr1.925 transcript:KYUSt_chr1.925 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAPYIYILVTPFHQEISLALGNSNLVISIMAATTLTMKLLVDSSPPRPRVVFAEAGKDTVDFLFSLLAMPSGTAVKLLGMESMVGSIANLYASIEKLGDPYMEPGATKEAFLCPTVLSPAASPKSSLLCLPGPPSAPKSFYRCDRGGLYSSCRNYVTNDRGARCPACGSQMIYDSRYVSSGTVTQEAKGFVQGGMATYTVTDDLMIFPMSNISNMAMLNTVAVRNLSALQEKTVQIGYEEGLAIVKASLQSKTVLTDVFLRKKPNSSTPSLSNNDRSLPWGA >cds.KYUSt_contig_1890.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000227.1:30003:30386:-1 gene:KYUSg_contig_1890.6 transcript:KYUSt_contig_1890.6 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAGRVRTVAGRAWSPEPAGNVGTQEAARMRRVHLEVQHAQFQLEVQHAQVQIEFHLEVQHAQV >cds.KYUSt_chr2.13889 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87922054:87922416:1 gene:KYUSg_chr2.13889 transcript:KYUSt_chr2.13889 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAARILEETAPTKGEEHKPELPPLPKVELPPFPEVHLPPKPELPKMELPSFPEVHLPPKPEFPKVELPPKPELPKVELPTFPEVHLPPKPEMPKVELPPKPELPTIPEFHFPEPEAKP >cds.KYUSt_contig_554.257 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:1512203:1512511:1 gene:KYUSg_contig_554.257 transcript:KYUSt_contig_554.257 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWDFGSVTVLGSVLVVLWSIRSISIIIIIVFFIPTVIDINQHNVSARGRDSTNTRTISKEHNRSRDSTSTLSGEHFRRNGGATKNASKEYRGGSNTGSGG >cds.KYUSt_chr3.31853 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200086146:200086457:1 gene:KYUSg_chr3.31853 transcript:KYUSt_chr3.31853 gene_biotype:protein_coding transcript_biotype:protein_coding MANHAAAALLIASLLVAVTLADARITLQVQGGNMMEGNAVRTFVKSVPALTCNKVLGFQEGDTCFDIAQGSGLTQDQFLSFNPNINCAKVFIGQWVCLDASSA >cds.KYUSt_chr5.11140 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72204045:72207639:-1 gene:KYUSg_chr5.11140 transcript:KYUSt_chr5.11140 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRLVIEYFLAVRPDTAHSTNANPANQETQTRLIAIPSQLPSSNLATSQPTSSSPSMIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSICVDITDNELVYLECIHLFVEILDHFFSNVCELDLVFNFHKAIIERMGELEKLD >cds.KYUSt_chr2.17683 pep primary_assembly:MPB_Lper_Kyuss_1697:2:111472995:111476204:1 gene:KYUSg_chr2.17683 transcript:KYUSt_chr2.17683 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLPMLWTVGPPLGYLWRLSVAEVTTPLALAGMVTEPRPSLNFEGGKQASGSAVDDKKGNTRTPPLHPPSSILLLFLFHRRLLHLRFSILFHAASTSTSSPLPYGRPAAADLLLDRAVWLACAGPFARLPSVDAKVYYFPRGHADQCRGANPPLHLLLQDAKQRCTVKSIELHYRPTTDEPYAVITLDHDRELELLPAAIQQEEPTEMRYFVRHLTGTSDDRSPISVTSCALSIFPALPPGAHDQVLEAADVHGRRYTFNHSLQGGNHRLLAGWSRYCGHKRYNVILERNAVVFIRPRAAEARFIIGSRRGPGPSLDIASDQVGNVVQATQAAAAAAAAAAGAQSFTVIYYPRQGWPFVVPRKEADDGLAFDWQVGMDVRMRVPVDPHEVPESREEDETPDFFHGVISQVNNANWCKLQVAWAPSSVPTPDGNVNAWQVVLKEEEEAPSRKRATSPAIALDAPSSSRQRII >cds.KYUSt_chr6.7489 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45578385:45595063:-1 gene:KYUSg_chr6.7489 transcript:KYUSt_chr6.7489 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQHMGSRLLLERQLAGQDLIFFLNKPRELQVPECTIHHLPHQFQGGLLVHGQPNRKFLKVSMVLQGVVDAAASADAAPVEDLARKERKRIMELIETQGMLPGSYPKFDVAVKGQKVVVKFNVPSTCNISHLIVDLVTHIGLEAEFAGGSEMLLRAWDSAAARQITLNPPKTTASTGDNNEDSLCVLIFEPLVGSEYAVSSCEVEFIKPGSFSLKELEGLVSALKLAGQKDVKTSSGKASTKGSGQRSKYAPSIEKIVSDLEAMGVRVYGFDETSSVPVDGTVMWENIAGYEPQKREIEDTVLLALQNPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLAKDLPDGGIIFLDEVDSFAIARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDQQSRAEIAAQYAKHLTKSELVQFSLVTEEMAGRDIRDICMQAERHWASK >cds.KYUSt_chr7.29200 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181744416:181744682:1 gene:KYUSg_chr7.29200 transcript:KYUSt_chr7.29200 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLLSRRPEPKEEQSSAVSEELKRQLWLAGPLIFGFLMRNLIQMISLMFLGHLGELQLAGATMATSFAGFTGFSLLVCICSLWNYP >cds.KYUSt_chr2.46179 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288280808:288286836:-1 gene:KYUSg_chr2.46179 transcript:KYUSt_chr2.46179 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSPPQPVLSGRNLRARIFSISADEQFSLLSEMQGLTGDRAGILIEQPASAAIAQPGDLILSILYEGRIHMAYVSMVDVGGGAGSEDGGSSSSTDPSEDEDDGVSSSTDSIEDGEQGSTEARDEEGKKQSVQSSTVSFSSNLAMESEDKELKERNRHLHIRVWPQYCVLVFFDGECWKVTKQQPMQWLQTDQMEEINQRIMTENERVELDWTKNLAFVDLSKQMSVLGFVGLLECSEAVDNFIRRDLSPDIMNYNINDVIGDGATCTVYGVSTRYAIKKMELTEDFDDKDAIINREPREVIILSSFRHTAVVSFFQAWAANGKYISGLSGSSQDNWDDEDSEPNVDLEDERERIYVAVQMEYCGSLGIIFVELFHHFKGGYERVEVFTKLRNGEYPNSPDWYGDLTLLKQLVGPPPSQRLSTDEILVLL >cds.KYUSt_chr5.638 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4592275:4595705:1 gene:KYUSg_chr5.638 transcript:KYUSt_chr5.638 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSPAIANDVTELIGNTPLVYLNTVTHGCVARVAAKLESMEPCSSVKDRIGYSMITDAEEKGFITPGTSVLIEPTSGNTGIGLAFMAAAKGYKLVLTMPASMSMERRIILKAFGAELILTDPLLGMKGAVKKAEELAAKTPNSYILQQFENAANPKIHYETTGPEIWKGTGGKIDGLISGIGTGGTITGSGRYLKEQNPNIKLYGVEPTESAVLNGGKPGPHKIQGIGAGFIPGVLDVDIIDETIQVSSDESIEMAKSLALKEGLLVGISSGAAAAAAIKVAQRPENAGKLFVVVFPSFGERYLSSVLFHSIKKEAESMVVE >cds.KYUSt_chr2.43700 pep primary_assembly:MPB_Lper_Kyuss_1697:2:271808176:271809648:-1 gene:KYUSg_chr2.43700 transcript:KYUSt_chr2.43700 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTARPHFVLVPWIGSISHIAPLTDIGCLLASHGASVAIITTPANASLVQSRVDRVTPLGAVIAVTAIPFPTAEAGLPDGCERLDLIPSPAMVPGFFKANKKFGEAVAQYCSRDAPRRPSCVVTGMCNTWTLPMARELGVPCYIFHGFGAFALLCIDHLYRQGRHEAIASAEELVNISVLQQPFDCKILGRQLPPHFLPSTSIGSGLMQEVREFDLAVDGVVVNSFDELEHGSAALLAAAAGKEVLAVGPVSLCCAPALDPQGDDARRCMAWLDGKKAKSVVYVSFGSAGCMPPAQLMQLGMALVSCPWPVMWVIKGAESLPHDVKEWLRDNTDADGVADSKCLVVRGWAPQVAVLAHPAVGGFMTHCGWGSTLESVAAGVPMVTWPLFAEQFVNEKLIVDVLGIGVSVGVTKPTENVLTAGKLGTDVAKAEVEAEQVKSALERLMDEGDEGEGIRRKALELKVKANSALEKGGSSYNNLEKLIQSSV >cds.KYUSt_chr4.5837 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34165897:34181905:1 gene:KYUSg_chr4.5837 transcript:KYUSt_chr4.5837 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGDVDGDAFRGHFPIPAACRNRDSRPPDLGFVMAAALEDSDWQEDGYPRYLFISTFPSRHSVISLSRRLLRSKPRAPPSQQLLGEHAISQDLQTNGRIESSYTRTQTHPRSLADVYRFFIVDAKAHFILIDKGLLWKVERFGTRAAMSLTDDLVVEILSRLPLKSFCRFKCVCKSWLTLSSDPHHRQKFPRSPVGILYQIPEDGTGIHLAELPSSDKEIDTTLSFVPCYEYLELMSCSNGLLLCYHGGITTYLADISHVIVCNPATEEWMSLPNTQPGPADSEYDLMLCFDPSWSQHFIVFTFQSVSSLYGEYSTEVKIFFSKDSTWHGCHWERDSGFYSTERFLNGVLYVSHVCEHILLAIDAPDTGTHWLNQRIIHLPGFPNGPDMFDCCDGCLCVSSGVLCYAQQELHGCKMRIWSLEGPDRWVVKHRLSMNGVFGRDLSLCSNREGFLCFDFDIQAFDLERDLVILVDKVTDKIISFSISTGKASEMMKIPRFEYARSLAPLHPTSLDSVAHIFIDIAILLQYGPQISKSVSLRYHLPIKANLSLLVPSRTETAPHVFSFSSTKPKTAGVITVDANFPLNPRTFLAPPIPDDIRAYCLKQRYMEPKQEIWAFPGWYEKYHDLHGGEAKSRASNYADVANKYYDLVTSFYEYGWGESFHFANRWEGETLRESIKRYEHFLALQLGLTEGMKVLDVGCGIGGPLREIAKFSSALVTGLNNNEYQITRGQELIGSAGLRERCNFVKGDFMDMPFPDDTFDAAYAIEATCHAPDAVGCYREIHRVLRPGQRLAFYEWCMTDRFDPGNPRHADAKAEIELGNGLTDIRTTAQCVQAVKDACFEVICAKDVAEDSPVPWYQPLDPDAAGSWSSMTSTAGFRLSRVGRLLTRTMVKAMERLGVAPEGSVRVSGLMETAGEGLVKGGRTGIFTPMFFVLARKKPVAN >cds.KYUSt_chr7.22441 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139009907:139010575:-1 gene:KYUSg_chr7.22441 transcript:KYUSt_chr7.22441 gene_biotype:protein_coding transcript_biotype:protein_coding MATETYVTYVAFQGHSIKTTVTSSGTAVKRWLREIRYMYRWVYHKLIVGLDVEWRTSFSRVQNPVALLQLCVGRRCLIFQLLHADYIPDALAEFLADRSFRFVGVAVQGDANLLSKDHHLQVANTIDLRGLAAGGMHRPELAKAGLKGIASAVLGANIEKPQKVRVGPWDAYRLSDEQINYACIDAFASFEIGRKLLTGDYPPAHCLTAAEDYTSDYASDED >cds.KYUSt_chr7.24118 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150279629:150282981:-1 gene:KYUSg_chr7.24118 transcript:KYUSt_chr7.24118 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRAAVDAMDGVKLKVTNRYVLIKNDIFELTLSNPDGMVTGVRYNGVDNLMEILNKEDNRGYWDIVWNPPGERTGIFDVIKGTDFRIIYHDENQAEVSFTRSWDPSLEGKAVPLIIDKRFIVLRGSSGFYTYGIYEHKEGWPDFGIGETRVAFKLRNDKFHYMAMADNRQRIMPMPDDRLPPRGRQLAYPEAVLLVDPINPKLKGEVDDKYQYSCEDQYNNVHGWISSDPPIGFWQITPSDEFRTGGPLKQNLTSHVGPTMLAMFLSAHYAGDDLSPKFTNGEYWKKVHGPVFMYLNSSQDASDPSLLWEDAKVQMMMEKESWPYDFALSEDFQKTEQRGCISGRLLVRDRYIIDGEDLYATSAYVGLALPGEAGSWPRECKGYQFWCRADEDGSFYIKNIVAGNYNLYAWVPGFIGDYKFDATLTISSGDDIYLGDLVYEPPRDGPTMWEIGIPDRSAAEFYVPDPNPNYVNRLFINHPDRFRQYGLWERYAELYPDRDLVYTIGESDYSTDWFYAQVTRRTDQNTYQPTTWQIRFNLDSVSPNSNYKFRVALASSANAELQVRFNDQDRVVPHFTTGLIGRDNAIARHGIHGLYWLFNIAVSSAWLVRGMNTIYLKQPRNQSPFQGIMYDYLRLEGPCGC >cds.KYUSt_chr5.30241 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191757107:191761663:1 gene:KYUSg_chr5.30241 transcript:KYUSt_chr5.30241 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHLCGQQMASAAMVKPASADGLRVAASSPRAVPARRRFRGLVVRAATVVSPKYTSVKPLGDRVLVKTKTTEDKTAGGILLPTTAQSRPQGGEVVAVGEGRTIGSNTVEISVPVGAKVVYSKYAGTDLEFNDANHLIMKEDDIIGVLDTDDVKDLKPLGDRILIKVAESEEETAGGLLLTQATKEKPSVGTVVAVGPGPLGDDGSRTPPSLTPGSSVLYSKYAGSEFKGSEGDYIVLRVSDVIAVLSS >cds.KYUSt_chr7.21304 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131951349:131954287:-1 gene:KYUSg_chr7.21304 transcript:KYUSt_chr7.21304 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLGANACAVSAHRGIMEVTILSDGANTWAASAHHGIMEAKASDPSPLQDFCVVDKNSPVLVNGFVCKNPMDVNADDFFKAANLDKPRVTNKVGSNVTLINVMQIGGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPENKFLSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKNTIDWLQAQFWENNHY >cds.KYUSt_chr5.29182 pep primary_assembly:MPB_Lper_Kyuss_1697:5:184913092:184916118:1 gene:KYUSg_chr5.29182 transcript:KYUSt_chr5.29182 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIIWTALLLPVLFLADSLLALQPPATCQQKCGSVEMRFPFGIGDGCFLPGFEIECTTGGTPILAGNINKLAVMNLTVMPRPEAEVMLPIAYNCYNTTSGANLNWFNGSIGINPAYRISNTSNELVVLGCNTFAYTNSGPSNTNFYSFYTGCVAYCDREGRAQDGACAGIGCCRVEIPPGLTDNTMTFFASELGSSAYGYDHTNMTYSPCDYAFIVKKNTYDFRVSDLKMDNPRSTTKPLVLDWAIRNSEDGNKTCAEVKNKPGYACVSDNSECLDSYNGEGYICNCTKGFWGNPYLTGKGGCEDINECDDEWKPYNPCKGVCHNKVGWYDCKCPSGQKAHDDNAYENVCNPTFPVEARIALGISLGVFILIVALLLAFIMLQKRKLDKLFEKNGGDMLKNVNGLSIFTKDVLKKITKDNAEFLGNGSFGNVYKGTLPDNTMVAVKASIKVDEATKEEFVDEVEIQTQMIHKNILKLVGCCLEVEVPVLVYEFAANGSLQDILHRKKDQVLPLDSRLDIAIGSAEGLKYMHSYATHAMRHGDVKPDNILLDDKLTPKIADFGLSKLLKEEYYAKVVVGCMGYIDPVFMKTGLLTQKSDVYSFGAVLLELITGKKNVYDEKLSLIIEYRKIYEKERSGRAMFDNDITTEEDISVLEEMGKLAMDCLKEDLDERPDMTEVAEQLVMIRRNKKFGKSNNTNPDSIGDITIYNSPTNTEVASGTTRANISAKVAPSNMDILPSP >cds.KYUSt_chr6.9805 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60450028:60455237:1 gene:KYUSg_chr6.9805 transcript:KYUSt_chr6.9805 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSPSFQGNSMKSEEEDTDFLDDANRPVARLIVDSPRGTHCMDETNSFSSRRSFENGGQELSLPRRLSYGDEIPSLSSRRCNGNGVLSHSNQWHYGPESRSLSTRQGYGDEIPSLSNQKFNGILHSNQGRCSAEFPSFSSRQNYGDEIPSLSTQKSNGMSYSRQYQQYGADIHSFANRQGYGEDISKLSHHWRYRDKVSLYSGQRCHEAHDAEARQLSSYQQGASRGSVHPRGYQKGTSRGSRRPSDNFVNSHVSNQQVKMTTTIHTGTRPQVANGALNNTDYCRNSKKENPLGTSEDLRDRVCGPRANKLNNASTPTMKKDILSPLVRRDQFNRSDFSVQYEHAKFFMIKSYSENNIHKGIKYNVWASTSYGNNKLDAAFHDAQALTKEKGTKCPVFLFFSVNTSGQFVGMAEMLGPVDFKKTMDFWQQDKWNGFFPIVWHIVKDIPNRLFKHITLENNDNRPVTFSRDAQEISLPQGLEVLKIFKSYCHGTSILDDFDFYEGNENTCCALKEINADSLHEARLSYFGTDDLKSMGDIEASMETISLHEP >cds.KYUSt_contig_988.29 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:225463:230288:1 gene:KYUSg_contig_988.29 transcript:KYUSt_contig_988.29 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLPFVVDTWGSSSRRRRHHHFLTHAHTDHLANAQAYPGDTVYATKLTMRLALQRFPQLERGVFVEMELGKRLVVRDPDGDFSVTAYDANHCPGAVMFLFEGPFGNILHTGDCRLTSNFVLNLPLKWEENNCRLDNVYLDCTFSELPLFPSKESAIQQIVGWNQFKEIKETKLEETRASRQPDSLLIRPSTMWYALGQNQKPSLTEAEQDDSGSDAKGLREHLTFYLLDHKYNEKAHIMYKG >cds.KYUSt_chr2.12009 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76230882:76237775:1 gene:KYUSg_chr2.12009 transcript:KYUSt_chr2.12009 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPLAADILISTPAAAPAVAPAPVVAAAWGNRRGASRCGALRATRGRGWPAPVVGKGRPPRTLSVRCDASSRDGRITQQEFTEMAWQSIVLAPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTRLLDATEKYIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLVLGFADDKRFGRQLFKDFQITVKSLKTAIESIRGKQNVIDQDPEGKYEALERYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLITLDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQVILFIDEIHTVVGAGATSGSMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTVSILRGLRERYELHHGVRISDSALVAAAVLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRSVLKLEMERLSLTNDTDKASRDRLSRIEAELSLLKDRQKELTEQWEHEKSVMTKIQSIKEEIDRLNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTENELNEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEDELHKRVVGQDPAVTAVSEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALASFMFNTEDAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVVLFDEIEKAHSDVFNVFLQVLDDGRVTDSQGRKVSFTNTIIIMTSNVGSQYILNMDEDGGTTDLSYESMKKRVMDAARSVFRPEFMNRVDEYIVFKPLERQQINRIVKLQLARVQKRIADRKIKLEVSPAAIEFLGSLGYDPNYGARPVKRVLQQYVENELAKGILRGDFKDEDSIFVDTQVTVPSNGQLPQQKLVFRKTNEESKPAAAEDEKFLPTV >cds.KYUSt_chr1.34033 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206970129:206970614:-1 gene:KYUSg_chr1.34033 transcript:KYUSt_chr1.34033 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWTPTEEPKSPNPGVACTHREKAPRQPKATSMPDFGTAGDDAGPPLPLAASASQKRNHRYASQAPMLTIALILGVSNLGSSSMNLPPWELDEGQQGRPAGAERRHRAEEAQRHLAIRHGGAHNYSYTYNYSESQQTYSIKGRPAANSISSPTGMAGRGR >cds.KYUSt_chr3.21580 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132484359:132484703:1 gene:KYUSg_chr3.21580 transcript:KYUSt_chr3.21580 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANRLVVAAVLAAAIVAGVAARGVNPYVDIAPPPPRNSGDHSAHRLTAGGIAGIAAAAVIVVAIGLLLCCCCCRSAVEPPPQPVRRAEVVVRMPPVDVRARAPSTVRRFFE >cds.KYUSt_chr1.38205 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233480628:233486529:1 gene:KYUSg_chr1.38205 transcript:KYUSt_chr1.38205 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSSTLPLRLLVAAALLAHVATTTSASVSAAPKSRSRSCYGRLFSFGDSLTDTGNFIHYSNAPGSVSRPPYGETFFGRPTGRWSDGRLVVDFIVEKLGFPYWPAYLQAKSPATKDEFRYGANFAVASGTALSQQFFQDEHLNVSEITPYSLGVQIGWFKKVLATIASTDEEREEVMARSLFLVGEIGANDYNHPLFQNKTIGWVRPLVPWVVRSIGLSIEVGGPCTKDVSFAFMESEPALIDLGAKTIYVPGVFPLGCVPRYLFFFRGSEPGDYDSAGCLKWLNDLTILHNDMLKAKIDELRRDHPGMSITYVEQYDEFLSIIIAPARNGFDVDTVLDACCGGGGPHNANFTIHCSEPGAVQCPDPSKYVSWDGLHLTEAMYKIMARGMLLDGPLAAPLPTDHGPDATTDWQ >cds.KYUSt_chr4.640 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3395927:3398109:-1 gene:KYUSg_chr4.640 transcript:KYUSt_chr4.640 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKHIIAKCGGLPQVISVIAQEISKTYSKYQTEAALATILGDICDDFMGRLETDPRFNELKDLFSWMQSYFDACPDSLKPCIFYLSVFSADKRIRRRRLLRRWIAEGYSRDTSGGGTAEENGEKLYADLVESSIIQLTQTPSSNDEVDDDVCQVNGFFREYIISRPMEDNLVFSLEGCCSINSQRAGQHLTIRNSWDGDEIVFKSIDFTRLRSLTVFGAWRSFFISNDINMELLRVLDLEDTDSGLTDHVLEQIGKQLPRLKFLSVRGCKDITRLPDSLGGLRQLQTLDIRHTKIAILPHSIIKLVKLQYVRAGTTHVTSSEGGNAARPSPDEDDCESTSSEDSLSSEEDGVGTMDSNSLMRTDGEGTIRSQPPPAGDDGMSINYDDTSRRPQAEDDDRASTTGAPCRSKARNAVVSYSCSWWSKKKLCASQQIDVNFGVEAPAAGIGKLTALQTFGVVNVGGARGKSILKELNKLTQLRKLGLPKNLKSLKLYNGDGHGNVHVPWVWIKQFDNLRNLTKQNLGLRISTQDDIDSFAEFPNQVLFRHICVKPTQDCELRYYTKYRAGWQGSGSLVLKIDCGIYKLEIAFGIWIAKHVEVLVVHCSSTESSLKLSGLEYLWSLKEVVLKGSYSESVKQHLQEQVDQHKGKPVLKLEDGESHQSQEPKDPAAPSACCHT >cds.KYUSt_chr2.53008 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330736835:330739750:1 gene:KYUSg_chr2.53008 transcript:KYUSt_chr2.53008 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGEAAATTPSDGFFLLLCPRCCGVVSDAKVEQRGFVQEYVQTVVCTGDSWKMVHLVVGSWLKADGSGSLLRHRRAWVPVLKFDGVSRDMLPWSDSFNDNDLSYGKLHWRSEKLMISDGAASSSGEEHLDFKSKQIPVEHL >cds.KYUSt_chr2.39491 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244881606:244883369:1 gene:KYUSg_chr2.39491 transcript:KYUSt_chr2.39491 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCGHGDANNESMNTNMTTRDDGCRLVLGLGPTPDFYSTDYQNTGVYRSKESQTLSEQSFSFTDPGMLRLGLQTDGAETMQHLQASNGRVHSYSLVDEASTSAAVRSMGGYMPSLLFAPRTSSCAANGVQLQNQDSLDLTHYNSDNTQAIQQHHQLSPEPSATTETSFGVSSDVVTGATTSEQRSHPRHPKKCRFKGCSKGARGSSGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEELGCTKSAEGKTDYCIAHGGGRRCEYPDCPKAARGKSGLCIKHGGGKRCAMEGCIRSAEGKAGLCISHGGGRRCQYPDCGKGAQGSTLYCKAHGGGKRCIFDGCSRGAEGSTPLCKAHGGGKRCLFEGGGVCPKSVHGGTEFCVAHGGGKRCAAPGCTKSARGRTDSCVKHGGGKRCRIENCGKSAQGSTDFCKAHGGGKRCTWESGCEKFARGKSGLCAAHGTLVARQQERGVVKNRGSMIGAGLFSGIVASSATAASSMTNDYSSSGISTASDSDGTVRSQAMIPPQLLVPRSMMPSSSSEPTVRGGREGSCAVPEGRVHGGGLLSLLGGSFRNANIDKL >cds.KYUSt_chr1.24484 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146283133:146283477:1 gene:KYUSg_chr1.24484 transcript:KYUSt_chr1.24484 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCFPLQSTQRQAPRHGGGVTVVSRGEGEGTVKIVVSRGELERIAARVIRRQRGGAATVSLHRHVEPAAAFESLRVEQRPCDPLHRRRPELEGGAAARRGQWRPALSGIPEEA >cds.KYUSt_chr2.38534 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238923823:238928659:1 gene:KYUSg_chr2.38534 transcript:KYUSt_chr2.38534 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWRLIKGHENGDNAAIWEFGASLGIFTRRARGRPPLAVNAGHLWLLRRKSREKEKGTPRRREASAGSWPEQNRTDVISPGKYLSPFYPFASSWGVRWASYESVNLVLSDDGKPKFEIEEVEPSKKGRYQTKRRLKLQRKREKKKRKEANKNDPRRIRPKGKKIKQKFPTAEARVKYKIEKARLKESMLVEKLKRYEVEKAQGPVAKPEDLNGEERFYLKKVSQKKSNYVPIGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQDYASEIARLSGGVPINVVGNDTIVFYRGKDYVQPEVMSPIDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHVALYGKPQSETTDLVHGDGGSLTSLCLEATASASISVTTSVAI >cds.KYUSt_chr6.2821 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16601363:16607240:1 gene:KYUSg_chr6.2821 transcript:KYUSt_chr6.2821 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPKFGATFKVYGRSSRKRGVNKKVLKVPGTEQKILDATSNEPWGPHGSLLAEIAQATNNYHEYQMIMNIVWKRVSDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHAYQISALADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERVQEVRQKALATRDKYRSSFAMSGAHRSPGGYDNDRYEGGRYDNRNGYGRERDGYRDDDRYSGAGDTPNREGDRYSRDSNDRNREDEYRGSNSNPEYAEGSGRRSYGEEEAYSSRGRGSNADAPTQDERPIERKPSNQQIASPPPTYENVTRDAQDNHHEERNGVSVPAAAPKLPSPSIPRACSPPGQVNGVHDKPVEVVAAQAPAHAEPNGFDEFDPRGSVPDASPPVNPSPAVNSFEMDLFGSDPIGELALVSVPQPTATPNVEPPANSGFETNSFMGMPPASTGFSEEIDASNPFGDPTPFKAVHEENHGVPQTNAAPAGSFQSTGPGADANPFQLASAASFGFEDTLGDLSFPSNAAPGQQDIFGSTSSVPSSISHANPSVFQAAAPNTHAAPTFAHPPAHPAATNPSSFPQPAATSFAPAQAPQPAAPNQQSDPSNFFMPPASGTGLSGVPSQNGAPSYMPQQPSHLAASQNGTPSYMPQQPSHLPASQNGAPSYMPQQPSHLPASVNQYPPQQSFLPPTAAAAPQPTSISRVASQPFIAPNSMPSGGNIPLQSSSSAPPETIISAMQVRQTEPVKKFEPKSTVWSDTLTRGLVDFNISGSKTNPHADIGVDFDSMNRKDKRFEKKISQAPVVSTITMGKAMGSGSGIGRAGASAVAPPSNPMGAGRGVGAGAGYGGGMGMNRPMGMGMGMNPQMGMGMNQHQPMGMGMGMNQHPMGMNQQPMGMNQQQMGMGMGMNQQPMGMNQQQIGMGMGMRPPMGMAPGGMPGAGYNQMGAGYGGQQPYGGYR >cds.KYUSt_chr5.43326 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273087168:273089506:-1 gene:KYUSg_chr5.43326 transcript:KYUSt_chr5.43326 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARRPELVREAPWPVSSAPPRSSIACRKTERERIRLYSTGIATFHSRVGFQRSGYSQRRRSEISLPGVLMMAATNGLVHASTAKPLFTFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSTWNKQGNIKFSINFGDIVDGFCPKDKSLWAVQKVLDEFDKFDGPTYHMFGNHCLYNLPRSKLVSLLKMPTSSDRAYYDFSPCPEYRFVVLDAYDFSVLGWPHDHPVTAAAKKLLDEKNPNTDKNSPEGLVGLDRRFVMFNGAVGKEQLSWLNDVLQNAAERQQNVVLCSHLPMYPGAASPVGLMWNYDEVMAIVRQYNCVKACFAGHDHKGGHSVDSYGVHHRTLEAALECPPGTSAFGHIEAYHNKLLLVGSDGMADTEMCFQFPERALL >cds.KYUSt_chr7.37447 pep primary_assembly:MPB_Lper_Kyuss_1697:7:233685892:233694886:1 gene:KYUSg_chr7.37447 transcript:KYUSt_chr7.37447 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVESGGAEANAGPTYRNVLAKDAGLLQSAPGLNSCWDVFRASVEKYPSNPMLGRRPVVDGNAGDYAWMTYQEAYDVVMKLAASMSKSGVKQGERAGIYGANSPEWIISMEACNALGVCCVPLYDTLGANAVEFITCHAEIQIAFVEERKIGELLKTCHATSKYLKSIVSFGGVTNDQKEEAKNHGLSIFSWEEFLIMGGDHHVDLPEKKKSDICTIMYTSGTTGDPKGVMISNESLLVNLAGADSVMQSIGEAFDQNDVYMSYLPLAHIFDRMFEEVFISHGSKIGFWRGDVKLLVDDIGALKPTIFCAVPRVLDRIYSGLTTKISSGGILKKTLFNLAYKLKLDSMRKGIKHEKASPFFDKLVFSKVKERLGGKLRIIISGGAPLSVAVEEFLRVVTCAHVTQGYGLTETCAGTFVALPNDFSMIGTVGPPLQHTEVRLESVSEMGYDALASIPRGEVCVKGSVLFSGYYKREDLTQEVMIDGWFHTGDVGEWQPNGSLKIIDRKKNIFKLSQGEYVAVENLENIYGVLPEIDSIWVYGNSFESSLIAVINPNQQVLEQWAEQNGISGSLAELCENSGAKEHFVAELSKIAKGKKLKGFEFIRAVHLDPLPFDMERDLITPTYKKKRPQMLKYYQGKLFLVRLCLLFPVSTAASAQVLTPASLSLVALYAETGLKILAVNTGPALNILNSTEISKKQSTSNFRPAKAFSTFSYDVKYEDQKTGQTGEAPKELKE >cds.KYUSt_chr1.24286 pep primary_assembly:MPB_Lper_Kyuss_1697:1:144971573:144972756:1 gene:KYUSg_chr1.24286 transcript:KYUSt_chr1.24286 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAAFAVCAAALLLAVAAGGAAAQGVGSVITQSVYDTMLPNRDNTLCASGFYTYDAFIAAAAAFPSFGTTGSADDVKRELAAFFGQTSHETTGTQGAADQFEWGYCFKEEITPTSPPYQGRGPIQLTGQSNYQLAGDAIGEDLVGNPDLVAQDPVVSFKTAIWFWTTPQGNKPSCQDVAVNRWTPTAADTAAGRVPGYGVITNIINGGLECGTGPNVDRNVDRIGYYTRYCGILGTDAGDNLDCYNQQNFAQA >cds.KYUSt_chr7.35012 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218710034:218710884:-1 gene:KYUSg_chr7.35012 transcript:KYUSt_chr7.35012 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRACCAKEGVKRGAWTSKEDDMLASYVKANGEGRWREVPHKAGLRRCGKSCRLRWLNYLRPNIKRGNIGDDEEELIIRLHGLLGNRWSLIAGRLPGRTDNEIKNYWNSTLGRKALPVLGASRPATRTVVAMPETPTSSGSSTAALAPSSSKPPPAAPSSAATTVWAPKAVRCTGGLFFSRDITPPSPAVPQTRTGGSVDGDDCSGSGSSSATSEFPTEPFDWMDDVRALASFLESDEDWVKSLHMAQ >cds.KYUSt_chr7.26913 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168164347:168165905:-1 gene:KYUSg_chr7.26913 transcript:KYUSt_chr7.26913 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDSCGQTLELTLSRQPRSPAPFLAGAISVANISAAVSLARHRPLPRAVGVMDLLSNGVEKLDFFSD >cds.KYUSt_chr1.986 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5197397:5200312:1 gene:KYUSg_chr1.986 transcript:KYUSt_chr1.986 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPQEAQGKGITSTEYQLILSAAKELGLLNQGYNTRKENYDQLHYYTYGCNVSGAAIELVDYVRKVGSLVGKLLSAKNYFLVIDNLQLPIELGSLTRVCGFPPPTWGNSMWLISPTSNVACKQSKLEEDGFILFNTYEQVVYLTVFAVRQSAEHIRNTMRQESIEYWHDIAINCFHYAMTIFTKHSQVSDDLTSDELIHQWATLLPRMIIKEEESNTIVSRSKCSSMLRVGRVILEAFQKYSLLQLPFSPASEADEATSTAAQFFAYHGLIAECTTVDELADNKKKWISFSGDHGWHVSREWLAIQEEETRGTTVLTLRGCSQQSPILSKLNHFLPKLCFLRVLDLSYTPIKSLPSSIHYLQNLQLLSLRGCHDLKILSSSSRTSVTDSTKSTSSPLSTLYQIEILDLNGVPLSYLTQDVANQKTNLIHLDMSHSEITNFPSKFFEDMSNLEELILVNCSNLVELPPSMVALSSLTTLEITGTQIKYFPEKIFEEMQKLQLLKLIDNNNLISLTRPISRVHEFKLEGHPNLRSFSLISSPHIQLLSLHGCRKLKSVEFKNLGALEELDLSGTSIDEIPADIPNGTQLRKLLLLGVPCLLRFPWHMLERFPEVFYLDQCTEGNGNHFDQVLQLCVSDPRFFYSFGYSCVDLVRDGRFFQSFYVRVAPCSENNRRLQHDEGMVDDKLRELVQNQSTYVDVHNSCYAEEIAVASAITVPLRRTERHVEITGMQQRAGGLFGLLNVTKSISVTFDTSIDRFDLCSNFHDLEECELRWCHKMEGVFGGIPDMKNLRNVHVCNLRSLLWFCQRYSRLPFSSLEHLHLEYCPRLEAMMPDEVTLPSLKTLDILFCYNLKKIFYRDTYRGDRKKNQLLPNLQRVRLQELPLLQQFNDKDITITAPMWKELHVRGCWSFRCLPHLHGQLKTVKVNGERSWWSKLRWGWGSLSHRDSYKPKLPLKFASFDECAGVTSYLR >cds.KYUSt_chr1.11473 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70387143:70391461:-1 gene:KYUSg_chr1.11473 transcript:KYUSt_chr1.11473 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGNGTAWAGALSPAARYAETGGASLTWENLTAVLPGSGGRATKKLLQGLYGYAVPGRIVAIMGPSGSGKSTLLDSLSGRLARNVLQTGKVLLNGKKRRLDFGAVAYVTQENVLLGTLTVRETVTYSALLRLPSSMSKAEVRRVVDDTLNEMGLRECEDRPIGTWHLRGISGGEKKRLCIALEILTRPRLLFLDEPTSGLDSASAFSVIETLRTLAIDGGRTIISSVHQPSSEVFALFDDLCLLSSGETVYFGDAKLAPQFFAETGFPCPSRRNPSDHFLRCVNSDFDDVATALKGSMKLRAEADLDPLLKYTTSEIRERLVDKYRISDYAMMVRSTIHEISKIEGVLEEVVKGSQATWFKQLRTLTKRSYTNMYRDFGYYRLRIIIYVLMAICLGTIYYDVGNGYTAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKVFSLERQNGHYGVAAYIISNFLSSMPFLLTMSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWKYPVSYIVYGSWGLKGAYKNDLLGLEFEPMTPGGDKLTGEYIITNMMGLSVSYSKWLDLAMIFILLLAYRITFFLVLKVKEAAAPYIRVAYTQFTVKRLERRASFRESLAMTSLSKRHNTPHPMAIQEGLNSPMQY >cds.KYUSt_chr1.9687 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59188843:59190234:-1 gene:KYUSg_chr1.9687 transcript:KYUSt_chr1.9687 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSTMLNPIVLSDGEEEMQMDMSDSDMDVPVQQPVVVHRGPRITGNEEFDKHCVWGNNLVLNEDQCKALTKMEFSAKFTERYLKKFLSDAIELPVQCAGYKKYYNVKMRLGIDLKRAMLTSGWAKAVRWFGLEEGCVYIFSLLWSIRRAITLGFSSTPGSMKTVPTVPVVTFWFGGSISHSTRYASLKCWTVK >cds.KYUSt_chr3.2522 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14492376:14494407:1 gene:KYUSg_chr3.2522 transcript:KYUSt_chr3.2522 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRMLMQLKMLTEAMYICDRYSYSSHVRLEGKAKRLQHLLIRVHTVIEEADGRYIMNSSMLMQLRMLSEAMYRGYHALDTFTYEGIEDRGSNEVCDSSIMPFVIPLKCSRTTTCARKDKVMHHELHDALKNIEIVAANMIEFVVLLGGCDRMLHRPYDAYLYHDNIMFGRHTEKQILLNFLLQDSIPGDEPVVLPIIGGYAVGKKTLVAHVCSNERVRSRFSSVLHFSGDNLFRILEHGSTMLGEILVVVEFVSNVDDKDWQTFHSFVKSMARCSKVIIMGKLQILTRFGSVKPIFLNTLPYDEFWYLFKILAFGSANPIEHPQLIDIAEEFSKEFHKEGSLGVTNSFTDVLRNNLSVQFWLCLLNKGRRVIEKNISAYGVHLNILMEQGHPVDISDFSSQPLRIIPYTAKVPLKNELPKVTLGELLVDPSIRPKGEFSLLSWKSRIPPYKSFAHFAQTNVKDMPEVTPLSGRKRRGVSL >cds.KYUSt_chr2.11072 pep primary_assembly:MPB_Lper_Kyuss_1697:2:70317968:70320348:1 gene:KYUSg_chr2.11072 transcript:KYUSt_chr2.11072 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFGSGFKCNSAAWECIEPARNADGPWATSIHRDFKCRCDFFFKSSFPFRVEVDFTLALLYPTCSVGFKLARIWPCFDLDSRLDSSGPLLLSA >cds.KYUSt_chr5.9870 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63112627:63114929:-1 gene:KYUSg_chr5.9870 transcript:KYUSt_chr5.9870 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATATAISGRVAGEASAILAPSASFLPAPLRRAPCSKTHFCAFPRGAPSNLMLKPGQLCLERQSCLLQRSSTTSALSRGDFSPVTQDVEGFLHSVVNMGFLDRLKLAWKIIFPAPTIHENTNASIAKQRLKMILFSDRCEVSDEAKKKIVENVVEALSEFVEIESRDSVQVDISTDAGLGTVYSVTVPVRRVKPEYQESDEQYRGKIVGVDFKDTGESSGNVDVTFDFYVPSENP >cds.KYUSt_chr2.49894 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312060943:312067152:-1 gene:KYUSg_chr2.49894 transcript:KYUSt_chr2.49894 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDAAGAKREAEEAAKRKRESVLSYWGAVVKDDRKLCKFDLGSLERKMFSWSVQDIFNRDLLRQQVKSIPDTFTSLHTYLDSFKCPLIEEVHADLFSSLDGYAQANYIQIVWMEKLDDEKSIFCFEVSKPSKDQKSRETYDPKEGDIIVVSLQKPRHVSDLKQNKASYVLDSETKKPMEPSFVVFLINMKTFTRIWDCLHMEIGDPNRRTSTGIINLAWQYKPKVVESSSCSQISQCFARRSIDGLGIEKFNLNDSQLNAVADCASEMENYSPSIKLIWGPPGTGKTKTISTILWAMLIKGLRTLTCAPTNTAVMEVASRIVRLVGESSDGSVCFLNDIVLFGNKDRMKIDDSDDLSMVFLDSRAERLLSCYVPNTGWRHCLCALIDLLENPVTKYKLHIGYILEEMKYRDKLLRDDRLVALLSVFHKSNDHLLALHSVFRKPIHNTPEDKEEKCHKEGRYDSEAMKKAFRVLPFKDYFKGSYKKLLENLCNCIEILYSDHPRSSETGQSFQCMLEVLELSEILHTSINCYADSDDIWPDEVLEGNIKEDCNPVSWPQQVAFLRTNTCKKSKFKLARSLCVQELRYLRKNLELPDCYSTRLYNVPMDNSISECGLVTKPENLNPLELLIVDEAAQLKECETLIPLQLPGIRQAVFIGDEYQLPALVKSKISDNAKFGRSVFERLSMLGYGKHLLNVQYRMHPKISRFPVVTFYDGKISDGPNVTTKSYEKNFLASEVFGSYSFINVEGGHETTEKHGRSLKNTIEAAAVSRIVQRLFKESVSTGIKISVGVVSPYNAQVRAINEKLGKSYNMHDGFSVKVKSVDGFQGAEEDIIIISTVRSNKAGSVGFLTNMQRTNVALTRAKHCLWIVGNGTTLSNSKSVWQKIVKDAQDRGCYFDACEDKDLSNAVNNAVIELDDAENLVKMDSLHISRPRFQNSRPKYR >cds.KYUSt_chr7.34997 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218640270:218643127:1 gene:KYUSg_chr7.34997 transcript:KYUSt_chr7.34997 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNSNDVVLLMPPDQPQLQPQQQQPKATAEAPQTPPNPEKPPQASSPHRPPLVNPDKPPQASSPRRPPLPPASAALLRRSSIAKPKSRFVEPPAPPARPSSSQPSPAHPASIQTPRPASTPADADDDDDIFRKDSAPTHASAAKCRRRACLSLELAVLVVFLALLVVSLVVRQLKGRFIWGLEIWKWCVMVTTVFSGHLVSRWLIAFIVFLIERNFLLRNKVLYFVFGLKRSVQACIWIGLVLLAWSLLFDRDHGRTPKTARLLNYVSRFLASVLIASIIWLIQTFIMKSIASTFHRKAFFDRIQESLFHQYVLQTLSGLPLMDMAENIGREPSGRMSLSRGKEEKGTPDVIDVAKLRTMNQDKISAWTMKGLVSAIRSSKLSTISQSIESFHDFDDTEQKDTEINSEWEAKVAAKAIFKNVASPGCKHIKELDLLKFFSKEEVALMLPMFEGASETGNIKKSALKTWVVKAYLDRKSLAHSLNDTKTAVSQLHNLMRVLVIVIISIITLLLMGIATTKVLVVISSQLLVVVFIFGNACKTVFEAIIFVFIMHPFDVGDRCVIDGIQMTVEEMNILTTVLLKNDNEKVYYPNSVLSTKPISNFYRSPNMFDTVDFAIDVSTSVASIGALKSRIKGYLESKPTHWQPIHTVTLKNILDVNKINMTLFAQHTMNFQDIREKNIRRSELVMELKKIFEELSITYYLLPQKIELSYAGPNPLPISVSQGR >cds.KYUSt_chr6.4944 pep primary_assembly:MPB_Lper_Kyuss_1697:6:29175756:29176925:1 gene:KYUSg_chr6.4944 transcript:KYUSt_chr6.4944 gene_biotype:protein_coding transcript_biotype:protein_coding MELNHMDAKENLTTAERFRRAGARARLRHESLGVAVPLTLGSWEFVAHFAIGSPPQEVHAVLDTMSSLVWTQCMPCGNDCFYQDVDIYDFSLSSTGMAVSCNDSLCSAGHETQPCTGDDGRAGACAVRTTSVGVDLAGVLRIEEFTFGSEKASIAFGCITKTDTTGIGEGEDASGVIGLGKGPLSLVSQIGGNRFSYCLSNQVQSSLLVGPSAVLNGGAPFASAPFSPRSSYNLLLAAVSVGEADLNIPSEDGGIIIDVRTPFMFLVDAAYKELMQELSWRLGGSLVPSPIHDMFELCVAPADVSRLVPPLVLRFGQGGGAWAIPAENYWIQLNLEASCMMVVNSAVSSNGAPMNRTTVIGNYMLQNMHVLYDLDNKEVSFQPADCSSI >cds.KYUSt_chr1.32661 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198349087:198349290:1 gene:KYUSg_chr1.32661 transcript:KYUSt_chr1.32661 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGYQYSSSGGSASFAKEKRPPAKRGQVKLQMARTLSNLVSPSGAAAADGSKQANRNSFRRETSYN >cds.KYUSt_chr3.12002 pep primary_assembly:MPB_Lper_Kyuss_1697:3:71655470:71656147:-1 gene:KYUSg_chr3.12002 transcript:KYUSt_chr3.12002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFSIRGFAASKRGEQAAEGRRPLGIKALPPIEAPKFRWWENELASAVAAAAAPSPRMSPKGKPPKKKKRSISDLFAAAPPLPEPPSDESGGGEQAEVEDDEALLAIVRRAKEEKRRKRRRLEEEEEAAAASADGSGGRDTERNFEATKME >cds.KYUSt_chr2.44891 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279601045:279604164:-1 gene:KYUSg_chr2.44891 transcript:KYUSt_chr2.44891 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKPVAAGLTEPAVPPRAASGRRYKALVPWRFQPGFARRQANIPAAAATPRGLKSEASSGAKGCGSAPARGAGGQGDLQLRRGTRSAAAKGSDGDKMESGESMTRILVVDSSDGGIVVVDSPDGGKLENGAAEDCQSKRGALGEAAKTSAVSTPLSGAGSDKRATRSAAARSSDAGKVESGPRSGAEMDGQFKRETRSAAAKSASGGEMESSAHSGAKKGCQSKRGTDSAIVKSSSGEKLVNGAHAGAQKDGGEICMSEGSEKSHVDESVTVKSSSGEKLENSAHAVAQKDCGEICMSEGSKKSHVDGTATVKSSSGEKLENGAHAFAQKDGSEICMSEGSKKSHVDGNATVKSSSGDKLEDGVHAVAQKDCGEICIPDGSNKSHVNRSGLKRSCSAADLVGEEPEGTGNRAAKKFNVAAKGCSSAGPVGNDNVSYCRKGRKEIVPWRFQIGYKRSFSTAFCSNGGSPETPEYRAQGSSTQCTSGTRSTVRCYASPHSGVRVSAVRNFSSGKGEKETRTAYKKMRSDNNDHNQGMPETGVSSARKTVMTNLQDFQLIYKKLLHELDKSKEALDLQAYKIFRDRFPVQYDDKRYVGNVPGVHVGDIFHVRVELCVVGLHRPHRIGIDHMKDANGTCIAVSIVAYARFSDIKKNLDALVYSGSTTATINQKIDGTNLALKKSMDTNTPVRVIHGFSTKGNGQRKMLIYGGLYLVEKYWRERESEDCYVYMFRLRRMAGQKHIDIEEILKSAHTEPYDGVIMKDISQGLERIPIPVVNSISDECPMPYVYMSRLKYPRNYQPAPPAGCACVGGCSASKRCACAAKNGGDIPFNDKGRIIVAKPLVYECGPSCKCPPTCHNRVGQKGMKFRLQIFKTKSMGWGVKTLDFIPSGSFVCEYIGEVLDDEEAQKRMTDEYLFAIGHNYYDEALWEGLSRSIPSLQKGPGEDEDAGFAVDASNMGNFAKFINHSCSPNLYAQNVLYDHDDKSAPHIMFFACEDIPPGQELAYHYNYAIDQVHDANGNIKKKKCLCGSVECDGWLY >cds.KYUSt_chr6.10110 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62275659:62284213:1 gene:KYUSg_chr6.10110 transcript:KYUSt_chr6.10110 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLRSAPYHRSTLSAAALLSPSKPRHSASFPHPARRLLRVPARAIQSSSSSGATKQEDAPPAAGDAQEPLPAAPAFVVEELGWGTQLAVKLRMLVAPPWQRVRKGSVLTMKLRGEVTDQLKTRFSSGLSLPQICENFEKAAYDPRISGIYLHIEPLSCGWGKVEEIRRHIVDFKKSGKFIVGYMPVGGEKEYYLASACAELYAPPSAYVALYGLTIQQTFLRGVLEKVGVQPEVQRIGRYKSAGDQLARRSMSNEVREMLAALLDNIYGNWLDTVSSKHGKKIEETEEFINSGVYQVERLKEEGWITDLLYDDEVMTMLKERVGQNDKKSLRMVDYSKYSRVRKSTLGIEGGGDRIAVIRASGSITRTRGRLSVGSSGIVAEQFIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLADSKPVIASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEILSKGRYAELNAADNRPLRPDEAELFEKSAQNAYASFRDKAAMSRSMSIDEMETVAQGRVWSGQDAASRGLVDSLGGFSQALAIAKQRANIPQDKKVQLVEISRASPSLPEILSGIGGSLLGVDRVVKGVLQDVTSLNGVQARMDGILFERLENASGESQLFVLIKEIVNYFD >cds.KYUSt_contig_1181.288 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1908388:1913532:-1 gene:KYUSg_contig_1181.288 transcript:KYUSt_contig_1181.288 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGTRVVPDTRKGLVRIGRGEEGLIHFQWLDRGQNFAEDDQIVFPDEAVFEKVTASSGRVYILKFKHDDRKFFLWMQEPNADGDSQICRQVNAYINRPMDADAVSIEAEMSHEDTADDDISSRAGNLVDQSMTSDLAGEVTSAAGPVRLSDLQRILSAIQPSDVMADPDAGLGLGDILKPDLVLPLIESLPIEQLASHLPEGSWTAGDILELLQSPPLRQQLDAFTHVLRTGQIDLAQFGVDPSKYKFTVASFLEALEDSVAKAREGGDKNSEPKRGGENDPMDES >cds.KYUSt_chr3.12784 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76673928:76674158:-1 gene:KYUSg_chr3.12784 transcript:KYUSt_chr3.12784 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMAANGGVEREQQGVGRRFQMPLHYPRYTRENYEVMPEWQLDRLLSNYGLPVQGTLHHKRSFAIGSFLWGAGGN >cds.KYUSt_chr3.42590 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269261067:269264264:-1 gene:KYUSg_chr3.42590 transcript:KYUSt_chr3.42590 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQSVAKRHGVGVAAEEDPGRHRATSPVHEMPDVEDGKAPRRSKRVASLDVFRGLAVALMILVDGAGGEWPVIGHAPWNGCNLADFAMPFFLFIVGMAIPLSLKRIPDRGRAVRRVILRTVKLLFWGILLQGGYSHAPDDLAYGVDMKHIRWCGILQRIALAYLVVAVIEIATKDARVQDLSSSGFSIFRLYLSQWIVACCILLIYLSLVYGIYVPDWEFRVRNVDSPNYGKVLTVTCGTRGDTSPPCNAVGYIDRKVLGINHLYQKPAWRRHWACTDVSPHEGPFKKDAPAWCASPFEPEGLLSSFSAVLSTVIGVHYGHVLVHMKSHMDRLKQWLALGIALLVLGIILHFSHAIPLNKQLYSFSYICVTAGAAGIVFSILYFLVDVLNLRYIFEPLRWIGMNAMLVYVMAAAGIFEGFLNGWYYDGTNNTLVYWVRKHVFVGVWHSTRVGILLYVLVAQILLWALVAGLFHRAGIYWKL >cds.KYUSt_chr1.6438 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39668893:39669744:-1 gene:KYUSg_chr1.6438 transcript:KYUSt_chr1.6438 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPELAFAFARFVARQYRPARRTFGPVAARRRWNSGRRYQRRPDRNGGLRHRRAPYGVHGRRRQQRRPDRNGGLHHRRAPYIHGRRRYGPGGGLRHHRNGNRSRPWRHHSGNRWVQRRHQVASAEPAVVAVPEVAAEELVDALNEDEASASNASVDADELLKCERLIDDPEHDFAYDTVPEFSSPPNAIQPGAEMQEVEIAAPAPAAPVLRAQAEENENAALIDIPTPLPEARVLLRTFTSAMAARPAEIHAGTWFPALLDITNRLGGLCLEDPSEGTNRC >cds.KYUSt_chr6.21420 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135200234:135201010:1 gene:KYUSg_chr6.21420 transcript:KYUSt_chr6.21420 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPRLDIDLNEALSPPPSPPFPQSPPREYAAPPISPPPPPLPPPPPPPPPPLLPPPPPQLTPQVQLLLAYEARDIALRHHQAESMRLATARALAAAAAAGSSSAAAGVPQAAPHPGEAGWGHPPLPCASCGRPEMPGATIVCDACERGFHQSCVRVWPPLVTQPRPPPPGPPGLRRPPVAVNEDWICPECEMRGERSGRWRLGAVELDINAAPPPEDPLTVAARDIDRHLSQLPINNLLMIAANLIIGLRGYDKKK >cds.KYUSt_chr5.21385 pep primary_assembly:MPB_Lper_Kyuss_1697:5:139593392:139601872:-1 gene:KYUSg_chr5.21385 transcript:KYUSt_chr5.21385 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEASADVVLHNAYVVTMDGALMVLRNGAIAVVGDHIAALGPSADVLAAFPRAAQTLDLAGRILLPGFVNTHVHTSQQLARGIADDVDLLTWLHGRIWPYESHMTEEDSYASTLLCGIELIRSGVTCFAEAGGQYVSEMARAVELLGLRACLTKSTMDCGDGLPPNWSTCSTDDCIQSQKDLYGKHHNTADGRIRIWFGLRQIMNATDRLLLETRDVAQELNTGIHMHIAEIQYENQLVMRTKEIDHGTVTYLEKIDFLRSNLLAAHSVWLNEPEISHFSKAGVKVSHCPASAMRMLGFAPIKEMLDSGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYISGTTNPTALPAETVLAMATINGAKAVLWDNEIGSLEVGKKADLIVVNPFKWSMLPLHDSIANIVYCMRSENIESVMCNGQWIMKDQRIMNLNEGGTLSLPADMYPLCSILWGLWKLIASAGRNGKLHGYELVNITCLKHNPGIFAEVPTYPPHLFRRRYCMRRSLFIRIVKACEANSNYFKQRRNAAGVMGFNAFQKLSAAMRVIAYGISADYTDEYLRIGKDTTSESVVWANLSPSSQ >cds.KYUSt_chr1.2875 pep primary_assembly:MPB_Lper_Kyuss_1697:1:17112832:17113788:-1 gene:KYUSg_chr1.2875 transcript:KYUSt_chr1.2875 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAGADDRAPVVVCKGKRSKRLRVNAAPPSPAVVTPVASAEVDDESSSSAAGDGWLSPGGDQEEEAASVCVNEEDEDMARCLMLLARGGTGPSSSSPVVVAADSTAKEAKFRSRRAAGADGEFVYECKTCGKCFPSFQALGGHRTSHKKPRLLLPPTTPLSSPPTDEKKRPSTASPAAHATADPTVLVIPVPATPPKHEVATATAVTSGGSRQQQRSRLHECTICGAEFGSGQALGGHMRRHRPLVPASSTTDDVGTVEVIGGKERSLLELDLNMPAPCDDAPAETTSAFPFPVNDRSAAAILFPAPASTLVDCHY >cds.KYUSt_chr6.778 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4925203:4928522:-1 gene:KYUSg_chr6.778 transcript:KYUSt_chr6.778 gene_biotype:protein_coding transcript_biotype:protein_coding MMRECRWHSRASPAQPLLATRGKPEKLKGRRGAAQSFPGVGRSGPSPAITGVKERLCLVWDERRDSLTKIPGIDLKENPMARTAPAELSITQVVHLRYLMEGLPELLIAEIVKRITSTTDRSSLSLVSKQLYTIEAEHRDAIRVGCGLDPTTEALTSLFSRFPNLWKVEINYSGWTSSHGDQLDNQGLHVLSSHCPLLSDLTLSNCLYIDDTGLGYVSSYCKKLRSLRLNYAPEITSTGLSQVAVSCRYLSVLHLVGCTAVDNVEWLEYLGRYGSLEELVVKDCNGISQYDLLKFGPGWVNLQKFEFEINGNYWMGAVQDPAYKVGYPYRYDICCDNLKDLRLAHIVTMPEIGLRFLLGKCKALETLYLEYVIGLDESEMIALFQRCSNLNTISLRFMPLRCGYDFRTPLTDDSLKALALSCPMLQVVELTFTFINPIYPTEIGFTQEGIVALIQSCPIRALVLNGAIIFDDEGMKGISSTQFLETLELVDCESITDAGMDFIVQAPCLSSLTLRECKNVTDAGMAALVSSQKLESLTVIGCCQISQEGVRGAAKTVRYSAQIESHDSLKGMNMRGSGHQSTVKAIFGC >cds.KYUSt_chr2.36896 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228086441:228087172:-1 gene:KYUSg_chr2.36896 transcript:KYUSt_chr2.36896 gene_biotype:protein_coding transcript_biotype:protein_coding METRSESAALALGSIGATLLTVLSRDHRYIGGGRAQLLHRCTQTYSRTHHVRNHRPPPHLPARATPVRPSVHGAPTAPPPALLRPHLAHRAPRFRTAIEPPASSPPILRQSDLLTEALGAESLDPDDVAMDGVAAVVAQDPPCKREQREPEDDADQGFVMPRRTRSGRVFPPPISVIGRGGRPWLTLRAHREDGRLVLREMRLPSQELLQPCREDGRFKLFRHPEAGGRCFVAGAGSPTAAQD >cds.KYUSt_chr2.3104 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18264563:18265780:1 gene:KYUSg_chr2.3104 transcript:KYUSt_chr2.3104 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRPWWRTLVDGRWGGAVRIVRGGGVSCDDEEADGIAPAPPSEEEVEKTEEMVRGLATLKGATLRAGDIAEAALFLASDESRYISGHNLVVDGGVTTSRNVIGL >cds.KYUSt_chr5.18215 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117633476:117643073:-1 gene:KYUSg_chr5.18215 transcript:KYUSt_chr5.18215 gene_biotype:protein_coding transcript_biotype:protein_coding MVWELIEVPGNPSPPQHSTVDVVAANIVPKLANALISIDGVSGFKEEELPAIFDCMRTAMQLSQVGNAAIVVDPSNMQIISKATDQIQLHDTSLKESKCARVEADKSCSLPEAFEDKANALLLSSSRFCNGLDREVSCINPFGWMKQRSTEQKPLTSQDGFLWHPLRHAAVVAIENAAVRDRMLFPTSTNEPELNGDVGNCSDDEPAKRLKIVTEDKEQSADPACCSDLSEKNRPYLCTGFDIYLVWEPCAMCAMALVHQRFKRVFYASPNPITGALGSVYRLHGQKSLNHHYSVFRFENSFGFTLLVEMELKWFISGGDGGDDDRDDDDGDGDDVQLDDGDDGVDFPLREGISPADSCPPESSFLSGVLCPAEAAVTLRDYPLWLRKAVRAIFSELDEINAKPPIFPGRLQNTEEESERGQRATRP >cds.KYUSt_chr5.32149 pep primary_assembly:MPB_Lper_Kyuss_1697:5:203949433:203956210:1 gene:KYUSg_chr5.32149 transcript:KYUSt_chr5.32149 gene_biotype:protein_coding transcript_biotype:protein_coding MTTILTSTRWNVFLDCACLTKSCGLLPHNPPWESFFFGASSHIHDHHKDGKTQAKDLFEMAHLEPALHFVDVLKLTLRAHFIFIFKTYLRLDLLHLDGKTQAKDLFEMAHLELALHFFILHHVDILEALVKGLSPRRAAAGALPGSLIVKRDFLHNGRSHHQLLPLIQRGKLQAAVLPVTPPLLDDEAKRKQMSEEYGFKQIGEQLPDNVTLKDVMDTLPKEVFEINDVKAWGSVLISVTSYAFGLFLISKAPWYLLPLAWAWAGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLVEDTAWQPVWQKEIESSSFLRKAIIFGYGPIRPWMSIAHWLMWHFDLKKFRSNELPRVKISLVCVFAFMAIGWPLIILQTGIAGWFKFWFMPWMVYHFWMSTFTMVHHTAPHIPFKSSEEWNAAQAQLNGTVHCSYPRWIEILCHDINVHVPHHISPRIPSYNLRAAHDSIKKNWGKYMNDADWNWRLMKTILTACHVYDKERYYVSFDEVIPEDSQPIRFLKKFMPDHA >cds.KYUSt_chr6.33631 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211207383:211207952:-1 gene:KYUSg_chr6.33631 transcript:KYUSt_chr6.33631 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHCYLFLLSVALLLLPSPAQSSSSTIIRLRSANDIIAETCERCSSTNPNVDNALCIASLSADPSARDADLHGLAMISAKLVRAGVTGMYTGMSELRGKEAAGSPRRSCLDACIGLFHDAMVDLDDAIAAIDGRTYDDAKTKMSATTDAPVTCSDEFKEQGLPPPMEAESRRLFQQAVISLAIISLL >cds.KYUSt_chr7.15828 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98332278:98332939:-1 gene:KYUSg_chr7.15828 transcript:KYUSt_chr7.15828 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRKSRGVGRGDDRKLLAPGRDGDAGGDDEDDDLRYKDRQGRGRTATATATATAIPTPSTSAAMNCERAPLPLIVNADFAEGADCAPQKMNQLVQHPASHLSTCLGSLDNWIVIGYEAVFHPQENLHPIHDDC >cds.KYUSt_contig_1181.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:158851:159681:-1 gene:KYUSg_contig_1181.17 transcript:KYUSt_contig_1181.17 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSMAATAMGDTRQEYMIRFDAHFEDPSPSSAIAEPPPLPPQQPFAGRAITPEQEHSAMVAALLHVISGYTTPPPDIFPAAAAAARREACAACGVDGCLGCEFFGSDAALDGAQKTTASAPAPAAKPQRKRRNKKNKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDSAAIEFRGPRAKLNFPFPEQQHRTLDASQPKDDDDGNAAAKSDTYTPSPRSAPDVVEVRVPQGWEETGDQLLWDGLQDLMKIDDTELWFPRSSNSWN >cds.KYUSt_chr2.50685 pep primary_assembly:MPB_Lper_Kyuss_1697:2:316849895:316851873:-1 gene:KYUSg_chr2.50685 transcript:KYUSt_chr2.50685 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQTDQPNPRTLNDDATATNGVPLLRRDGGDEAENQRWPPWLKPLLATSFFGQCKMHADAHKCECNMYCLDCVSGALCSQCLAYHHGHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGEYRGRKKHAAGMKRKLKKPTTGAVASDSDDSSTITSGGSEKSSVVQSFTPCTPPATATANSYRSAKRRKGIPHRSPFGSLMLDF >cds.KYUSt_chr3.27940 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174351004:174351636:-1 gene:KYUSg_chr3.27940 transcript:KYUSt_chr3.27940 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNCCDWHQNRGHRCPSMPSSGLMVWVSRKPHPNGPDRPLLPLAPGEARGKDHRQRPSAGELPCMPRSPRRTGTLAFPAAINRAALASSPWRSEPHLETELSQLGRDEQRDHLPRADAALNPVAPSPAAPFEQLAGDAVPDFLPRPFPTAPSHRIPGAGTVQTEPVSRDASSAVLAESSIFAAAVPGSLSRFVLPPPGEAIDPLLLVPW >cds.KYUSt_chr5.40808 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257628941:257632245:-1 gene:KYUSg_chr5.40808 transcript:KYUSt_chr5.40808 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASAVSFARPVQAINVKSASFSALRKDNVAFRMQSAPLRSVYCSAKKETVDKVCEIVKSQLALQPGTEVCGSSKFTDLGADSLDTVEIVMGLEEAFGITVEESSAQAIATVEDAADLIDSLVVA >cds.KYUSt_chr5.42598 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268334102:268336603:-1 gene:KYUSg_chr5.42598 transcript:KYUSt_chr5.42598 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRADRQSNKRPFEDEVPPAERRRELELRHRAEREHELRRQDWERERSPDRRYAGQSFRYDGAGRPAYNHDSRRFQEEGEFVPPRQQKSARHFKPRNPAPAASAPPPSTAGSSQVESIGVDPLAPKTKITCFNCSTPGHFQSNCTRPPHCVLCDVDGHTTGMCPNANRPTELKWFGFAIDGGAFYAFDCPPLESNPKHDNMAYVLAEATEEAINEGLKKLIDETWDFQVRKVADSEFAVLFPNADSLRLCKNATNLTLPVSKITVVVSEVRPAPKPSGRLQEVWVRLHDVPPPLLSSANLMAAMVMLGKPLIVDELSLSKDEPVLMKFHTPVPAKLRTTVNLSVHGEIFPIRVVPEPSKGSVSATDLPPPPHNDKDDQDDDEEEETEELSASDHNWKRQKAKSGDKSGAPSSNATSAGTKKTSLQIVTTAALQTVKKIRKKSGVKPSPALKAGTSECQAPLECPSPRSPVAKTLMPNSFDQYGSNLVLLQSPPECSPVGSMSFPQLSAPLSGNTPSSVIISSPEASSPALHLSPLKAAKLSAADREEVGWQSPIHWEFDNETLAIRCQKLKKKKELSQGSAPAQRKGFINLLAEISASAPASKAPRSAVVPSTPLAPVSASKSASIHSKTASSAGGLPATTPTSGSRRSTRAGGQTGEPVLQKAIRRAVEKETPGTSKIPATPAAPLPSSVFAVLPAASDSHLLSVAADCNILLGSSGDNPLEILELIRAKELAQAALAEALAKAAAVKDMKDKEQNAKEVNNANPPRAPVGNAPAMLSTKPGGQSDSASDEDHLTINQLVVQAKLTNNNVKRTLRCTPARQARALKECPQ >cds.KYUSt_chr6.19015 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119725840:119726208:1 gene:KYUSg_chr6.19015 transcript:KYUSt_chr6.19015 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPARRLLLSLRLGNPPPLPIPLLSHIAPQLVPHQPPPPAPAAPLPGSPAPSPDPKLRDLLFAFHPAVHIYPSLVDPIGGDDVCEGGAEVWADSVKKKRKRKMNKHKLRKLRKRLRRQT >cds.KYUSt_chr2.36407 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224648667:224650190:1 gene:KYUSg_chr2.36407 transcript:KYUSt_chr2.36407 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRIAMLCMAAAALLVSFGVGADEAAQTAAASPKELQRGFSVAHDTSYSQFQPVLSDPTGVFALGFLRINSTMLDLVVLHLPSAFPLWRAIPDRPAPWSAAASLFFNGSLVLTDRATNQVLWSTGAVAGDSAVLLNTSNLQIKSDGSPGAVWQSFDYPSDTIVQHQNLTSSAALRTPDRRFAMRLGSNYFGLYIEPPPQSSGGVAAAMYLKHTALEAKAQIVTGGGPIYARVEPDGYLAMYQKEGDPADVMSFDTFNHGIRAFRRMTLEPDANLRAYYWDGSRWVLDYTAITDSCELPTTCGAYSVCVPPSGRCACLANATDGSGCAAASVGNGLCGTTGREVGGLYWELRKQGVEPANKEQLGFEHALSAEDCEARCARNCNCWGAVYSNSTGYCYLMDYPAQLMVAADERKVGYFKVRSMEEAAERGGRATGVTVALLVVGVAVLVAAAAFGAYRVWDRRRRTEAETRRQLGADGDGLSPGPYKNLGSFSSVELSSSFNSFRR >cds.KYUSt_chr1.6455 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39757324:39758288:-1 gene:KYUSg_chr1.6455 transcript:KYUSt_chr1.6455 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLWSRPAAATENAPAEDVESSDCGICFLPFDCEAPPIFQCDAGHVICSSCRDMLEQPEGRCHVCCGKAACRGSRRAHGRDVWPEYYGSRIRSRARRGPCRCPGDCDFVGSAAELLCHFVSVHNWPCTNGVLAGDTISVRLRDGVNIVAVDCVAASDDDDNRRGIAGRYLLALVVVELEDEGVTMGRSVSGFCIRPRVAAFDRRSLWPAKDAQCQLCLSYTRNVYAAANDGILVRRHHQKTNASVACSDLARGLPGRDGWFKADVLLSDDLDDNEETVEVNLRIIIS >cds.KYUSt_chr3.16452 pep primary_assembly:MPB_Lper_Kyuss_1697:3:100926192:100926722:1 gene:KYUSg_chr3.16452 transcript:KYUSt_chr3.16452 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGMRLERRPLANRRHSSTVIAVPSALARVMRQHDHLSEFARRFIEETCPGRFRVCSEEIGVNQITLGAVSWYPTLASVTFGADRKRIRGELAARSSTSSTYGKGESAPFFREELAAGVSNYIYTYGKGEAA >cds.KYUSt_chr2.13032 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82653626:82655165:-1 gene:KYUSg_chr2.13032 transcript:KYUSt_chr2.13032 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHDVARAPAPPSEDSAYPRMSPEDLATPPPPVVAPAGTNPYVMPSPSSGPPPKSARENLRDMFGQAGKRFSEAARKTEGIAGDVWQHLKTGPSITDAAMGRIAQISKVISEGGYDKIFQQTFECSPDEKLKKAYACYLSTSHGPIMGVLYVSTAKLAFCSDSPVAYVTEDNKTASSIYKVVLPVPHLRSVTPTASQQNPAERYIQVVSVDNHEFWFMGFVNYDSAVKYLQEAASGSA >cds.KYUSt_chr5.38429 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242963655:242965283:1 gene:KYUSg_chr5.38429 transcript:KYUSt_chr5.38429 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPQLFGNGMPVPFNGEMFVLARDGVEFNVDKIPSAPGGHAKTKGTIYLSNIRMVFVAAKPVGNFFAFDMPLLYVHGEKFNQPIFHCNNISGFVEPVVAEGQNRALYSTHTFKILFKEGGCGTFVPLFLNLITSVRRYNQFEAQSAATPRVDPLQAAQTPVDEMMRHAYVDPNDPTKIFLQQPAAESQMRRRNYHGPADNAY >cds.KYUSt_chr2.53421 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333229076:333229426:-1 gene:KYUSg_chr2.53421 transcript:KYUSt_chr2.53421 gene_biotype:protein_coding transcript_biotype:protein_coding MPLARIKKIMKRSAGDGSGADGAGARMISVEAPVVFSRACELLVAELTRAAWAATLEGKRRTMHREDVAQAVRDTDLFDFLVDVVKDDGGDAGAGGREGLLAPVPGRHVDDDGALD >cds.KYUSt_chr1.24574 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146930519:146934460:1 gene:KYUSg_chr1.24574 transcript:KYUSt_chr1.24574 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAWAGGGDLGAEQAQAGDGDLAVLRVEVRLGGETPEGEACAKMNGQERWRSSQLAQLSQESDCNDTARQGYRDQATWQNLFEFDFIIITREEVDAVG >cds.KYUSt_chr4.9221 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55673298:55676079:1 gene:KYUSg_chr4.9221 transcript:KYUSt_chr4.9221 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAAWDGPTAGDLKAAGAESIPGGVRVKGWVIQSHKGPILNSASVSLFEDKLQTTHLPEMVFGESSVSLQHPQTGIRLHFNALDALKQWKQEALPPVQVPAAAKWKFRSNPSDQVILDYDYTFTTPYCGSDAVALNQDTMQTGLDECSSLCWEDTDDRIDLVALSAKEPILFYDEVILYEDELADSGISFLTARVRVMPSGWFLLLRFWLRVDGAVMRLRDTRLHCSFGSKEGAKPVVLRELCWREATFAAMSAEGYPSDSAAYADPSLVARKLPVVMQKTQKLKVPS >cds.KYUSt_chr3.9717 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57153624:57154103:-1 gene:KYUSg_chr3.9717 transcript:KYUSt_chr3.9717 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVFGLENPLMTALQHLLDIPDGEAGNAGGEKQGPTRTYVRDARAMAATPADVKELPGAYAFVVDMPGLGSGDIKVEVEDERVLVISGERRREEKEDARYLRMERRMGKMMRKFVLPENADMEKISAVCRDGVLTVSVEKLPPPEPKKPKTIQVQVA >cds.KYUSt_chr1.31794 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192893620:192894198:-1 gene:KYUSg_chr1.31794 transcript:KYUSt_chr1.31794 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDSEAPAPSSVGTAGLGFDDHGGGPAAGGGSAFDTNVVIILAALFFALLFAIGLNSLARCALRYGSRGAAAAAAATGAGGASARRGSGSGGIKRRALRSLPVEVYGAGGGEDIDDVCAICLGEFVDGEKVRVLPRCGHGFHVRCVDAWLVSHGSCPTCRRPVIEGAPAKGGGGRSQRPAETDTIAVVIV >cds.KYUSt_chr3.7663 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44114609:44116233:1 gene:KYUSg_chr3.7663 transcript:KYUSt_chr3.7663 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGIILNMRPDLFKPTVAEVPLVDVLTTMLDPAIPLTAAEWEVSEKEAKSCQATASRAFNAVVGTGCRSGVLDLMCSWEKMSALLYCKLLMAG >cds.KYUSt_chr3.33678 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211490075:211490500:-1 gene:KYUSg_chr3.33678 transcript:KYUSt_chr3.33678 gene_biotype:protein_coding transcript_biotype:protein_coding MADDTGLVLAAALTGALFMVLLVLLAVVLVRRRWRDRAAVASGGRFVLFGVCFQDDVERRMSLDRSRRRAARGGEETEDQEPDEGELERWKKMFGGPNRCLSTIEEGTEKGTPAATPAFCTPPASPERRDARSVQEASSAC >cds.KYUSt_chr3.20404 pep primary_assembly:MPB_Lper_Kyuss_1697:3:125561371:125565812:-1 gene:KYUSg_chr3.20404 transcript:KYUSt_chr3.20404 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRYAPDDPSVPKPWRGLVDGTTGYLYYWNPDTNVTQYEKPLPPEALLPPPPPLPPPPPRARGRRSRSRSRSRTPPRRDNRDRDRDRDRRRHDEPNSKPAHHLPPAALVPTAAPDDPSAEAYRRRHEITVIGDNVPAPITAFDSGVIPSDILKEIQRAGFPSPTPIQAQSWPIALQNQDVVAIAKTGSGKTLGYLLPGFLHIKRLQNSTRSGPTVLVLAPTRELATQILEEAVKFGRSSRISSTCLYGGAPKGPQLRDLERGVDIVVATPGRLNDILEMRKISLKQVSYLVLDEADRMLDMGFEPQIRKIVRDIPSSRQTLMYTATWPKEVRRIADELLVHPVQVTIGSVDELVANKAITQHVEVITPSEKLRRLEQILRSHDSGSKILIFCTTKRMCDQLSRTLDRHFGAAAIHGDKSQNEREKVLSQFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAYTFLCDQDSKYAADLIKILEGADQDVPPELMDMVSRGGRGRKRNKWATRSERGGGDSRYGGRLESGRGGRGKDDYGGRSRNDRGVSDGRSHRSGRGRSRSRSRSDSDRRSPSPKRRRHDVQTSRSRTRSRSRSRNRNRSRSRSRSRSRSRSYTRNRRASRSRSHSPGARRRTERRAGSGSARPDSGHVVEHKSSPKIEPSNDNRNHSDQKDDYHVHVEDEKMGKVDLDRSPSPQDDKSAPYSPVYNGKGRGSPNGHPVVDAKPVEVSVKPEPVSPPHRSKNREDDEEGMIDDEGEEGIIADDDHRASAAVQNGDAK >cds.KYUSt_chr7.11825 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72619415:72624574:-1 gene:KYUSg_chr7.11825 transcript:KYUSt_chr7.11825 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESALRSSSARPQGGRSSGRNRRAGPALERRRGRGPVRQPDVEATISHLQEEITNVGSELEELKSKEDSERDEFISQMLEMNARIRQFQQMASVELARKCSEVSADGEQGKATDGNQGKGTDCHNVNNKNETDESEYMVIDLADKFSSIEAEMHALEEEYRKDLLVHKQQMRTWCEEEAAGSTARLMFRWVYVEPRSMVNFEAIQVQE >cds.KYUSt_chr5.3872 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25108654:25109214:1 gene:KYUSg_chr5.3872 transcript:KYUSt_chr5.3872 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAAAVLVLALLAGVQAAPSPAAAVPVDTVADSCDAIRDFVDYAFCATALRSGGGPGASTADRHAHLLVAADLAAARGASARDAADAMARAERDPAARDGMEACGILYGATSVPALRFLRGYAAARKWDRARPLLALTGQAGIGCEAALGGATEARGRMAEANREFDQLSTMATALLNKVDTLG >cds.KYUSt_chr7.13566 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83645556:83646744:1 gene:KYUSg_chr7.13566 transcript:KYUSt_chr7.13566 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSGTGQRNAIPPPLIMGLVGTNMEVGGLLPLIHRSLPLSPLHSPLGTNEVDDGQRHNLGWLVIRDGGRNGGRQHVLHIMREAAAKPGDSGDHP >cds.KYUSt_contig_1181.767 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:5238641:5243447:1 gene:KYUSg_contig_1181.767 transcript:KYUSt_contig_1181.767 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEAPLGALHLAEYAPAGARTVDCFQRIRKIGEGTYGLGHQISKTNVSVGWSTLDAVVIIVPFPATLSEVFEAVDIITGERAALKKIKLDDGKEGVYMGQLLKGLHYCHVNNVLHRDIKGANLLISGDKLLKIADFGLARPFTRDGSFTNHVITLWYRFDCHAVELIDRMLILNPSQRISAQDALSATYFIQYGEET >cds.KYUSt_chr1.26124 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157074983:157080524:-1 gene:KYUSg_chr1.26124 transcript:KYUSt_chr1.26124 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTPAVVAASGRQTVEGLEVPLVDPHRAEVVMRWVARRRASSRWTRKTVRAKLKGGVLCAQAFGSGVGPCGLDLGLTGPIWEVMGDIAKDLTAGTVGGIANLVVGHPFDTIKVKLQSQPCPAPGQLPKYAGALDAVKQTVAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMETLLRSEPGAPLTVNQQVVAGAGAGLAVSFLACPTELIKCRLQAQSSLAEAGAISAAALPKGPMDVAKHVMKDAGLKGLFKGLVPTMGREIPGNAIMFGVYEAVKQYMAGGPDTSNLGQGSLILAGGLAGGALWLTVYPTDVVKSVIQVDDYKKPRYSGSIDALKKIVAAEGVKGLYKGFGPAMARSVPANAATFVAYEITRSAMG >cds.KYUSt_chr2.23960 pep primary_assembly:MPB_Lper_Kyuss_1697:2:146088028:146089425:1 gene:KYUSg_chr2.23960 transcript:KYUSt_chr2.23960 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGPGVTIDDDLPVRSVISDAAAMIAALVAGGLEQSGDGRFRAAMKNGINPDDKSTEMASLTASGATA >cds.KYUSt_contig_1467.182 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1191635:1201405:-1 gene:KYUSg_contig_1467.182 transcript:KYUSt_contig_1467.182 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWRPKTFSRGPWKRAAADGDWHPSGGDRREARRNERLHSPLPHLRNIKPGFKSVLDPLFSFGRLFSQEASSVPADLTEMTSLHDELEKKLQDPNATPMSLPLEFLKIITCDFSTESELGRGGYGVVYKGVLRSGKIIAVKKLFETLLKHEAFQNEISFLMGIKHQNVVQFVEVSKELPSEMQCMDKSQVLDTNASNEHILHSITEDMSNIKTGDTVGEVKKSQDCLLPTPLWTSSTSSQTHQEAAKESSEPQQSSDKTSESQQPTAANPSHRKGQEIQGDASNKVWSLDSPKSKYTLYGHSSCVYSLDFFTRDGQQYLITGSGDKTAKVWDMHKKECAGTLPHNSAVIYVLSHPTLPVLVTGTEHGHVHLWNSITFRLKRILAIGSPSRVDGLACFNESGRVVVAHDMALSVIEIQDEEEQGGKAIRDNALYDLYLLSSLDDVAA >cds.KYUSt_chr3.19970 pep primary_assembly:MPB_Lper_Kyuss_1697:3:123104702:123106287:1 gene:KYUSg_chr3.19970 transcript:KYUSt_chr3.19970 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPAPAPADDDSDVYDLMKDFGAAGDGVTDDTDALKTAWDTACQADGEGVVVAAAGHSFLVHTTTFTGPCQGSVTLQIDGTIVAPSDPTTWPANSKRNWLVFYKADGVSLTGAGLIDGKGQKWWDLPCKPHKGGSTHGPCDSPVALRFFESNNVTVQGLKVQDSPEFHFRFDSCRGVHVNGLSISSPALSPNTDGIHVENTQDVLITNTVVSNGDDCVSIGAGTLNVHIENVTCGPGHGISIGSLGKQGSRACVANITVRNAVIRHSDNGVRIKTWQGGSGSVSAVTFENVRMDAVRNPIIIDQYYCLTKSCENATTAVFVSDITYAGIRGTYDVRGPPIHFGCSDAVPCTNITLSGVELLPASGDTVDNPFCWNVYGNATTPTVPPVACLMEGVPRNVEDSSSLKCY >cds.KYUSt_chr2.55645 pep primary_assembly:MPB_Lper_Kyuss_1697:2:347101114:347101800:-1 gene:KYUSg_chr2.55645 transcript:KYUSt_chr2.55645 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYQGKGRRVHPAPPPAPDAALALLPAALLALAATLTPEEQEVLAYLLSGIGTGGGGAPSGRRTTKRHNGPHLPDMGCGCFGCYKTYWARWDASPNRHLIHRIIDAVEEGSAAASSPAGPSTRRGGQRRRRRGGRAGHALDAAAAADALLPDACRVDLQPCCASDGGGDDDGDYEGDDDDGADSVYGGDREDEALTDDSDCASTAEKSAVGKLVRFIGEKVWAAWT >cds.KYUSt_chr2.53662 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334715428:334715928:1 gene:KYUSg_chr2.53662 transcript:KYUSt_chr2.53662 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAPALEAVPAPEPAAAVPVQGEPERRRRRAAVVVREGDEAGLDEPDFVIQGRISLLFRPGIASLPALETCHAVDFRRNVWSGRWTRPARNDNCEDVDDAGQYRCLEPEHPCEAPWCDGPLGALESNGWGYSQSAIERAVVREVDSASISHSSSITLDAFSLDSG >cds.KYUSt_chr4.41463 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256455721:256456176:1 gene:KYUSg_chr4.41463 transcript:KYUSt_chr4.41463 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRADVFDPFSLDLWDPFPFGSGSGSIFPRASSDTSAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVDDGNVLQISGERNKEQEEKSDTWHRVERSSGKFLRRFRLPDNAKTEQIKASMENGVLTVTVPKEEAKKPDAKPVQITG >cds.KYUSt_chr1.35613 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217097906:217107257:1 gene:KYUSg_chr1.35613 transcript:KYUSt_chr1.35613 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYGLAHEELMFWDVPMSTNVRPRLENTRMGRVTISGGELTIPEIIVQLQWIVPDEAYQWDVVRVEDNVYRVNFPSKMDLVRVQHFGRFNVPNSEIYMSFDFWKRSVEPIWRAHEVWVRVYDLPTSVLDDYLAMWSIGNLFGKTIDIDITFTRANDVLRMYIICLDPSLIPARMDIRVQDEFYRMRFEVEGDQPVRAADVVMNEANNGEGDMEHDGPNGNIEQPGSVDESNSVDQQSGQNGTTNLPSDIQGQRLALSPFQFGVAGSEIFLNGEMLLAVPKSPNVKFSAEIENRLQGFECSQSLATEFAAEMTNRVHVQNLSPIVQAASFVADDFVGLTEHSPAQPDAQENLLAAQPSMHEAMQGTSIGGIDEAAKPATPCTVLTPVGVSEVQGNWCAAAWFGWVTPEPVLGWSRFVRNHNACGFLERVGTRVTHPMVRRLRSDHRMRSMNLVPPAYKYPPSTLIPHSPPFSPLYAAPPASSSSAVPEPAGKLAGASPERFHHRGVHRVLNSGEPPYENLVAGDSDHCGAHYGKFSELQASAVAGRDDP >cds.KYUSt_chr4.11229 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68279719:68283992:-1 gene:KYUSg_chr4.11229 transcript:KYUSt_chr4.11229 gene_biotype:protein_coding transcript_biotype:protein_coding MCRNNLIIPFPLLVSSRTKSVRPGLELSLATRHATTNVLLHRPGCMLYRGRRRTRPRKIGWWSRKITIAPSGRGARRSSMPPPSPTPPIVPSHLTGAVGFHLAGADAVDFSLEQPQREVANLDTGGTAPEACDGDLLLGECAVDLGGATAEEARVALGSALTGAEGNRDTRMQRSPMAVEFWLPGAFAPVIWTIVKWLEIGVSGQYSDKQTAGKQRLRELIDEEVGARKQDALIQAILEMNVPLGEASLNEEHKATILDDIMEADGDTRDVHAGLGSSGQREGQRMLQQALVAMAANANAGDDGVDFFSSFMSLALDGQSPQMKTLNVLAGVPAMIVDVVVATAVAMARVAHVTPLCAVGQTPKCRWVS >cds.KYUSt_chr3.34528 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216636086:216637062:1 gene:KYUSg_chr3.34528 transcript:KYUSt_chr3.34528 gene_biotype:protein_coding transcript_biotype:protein_coding MAESWMDVLPPPAPYFSGQPCWVVPERRAGGAWTLEENKVFEEALAAIDLDAPDRWEMVALMLPRKTAADVVDHYRALENDVGFIEAGLVPFPHYDSSSSSPSPGGFTLDWDGGGGNGFRRGYCGLKRGRADHERKKGIPWTEEEHRLFLKGLQKYGRGDWRNISRNYVTSRTPTQVASHAQKYFIRLTTGGKDNKRRSSIHDITTVNVPDDDDRPSSESPPSAATTASDHFGGQRHAFVPSMGTLGAGHPYGGSVKLEHQSPFMAGAGLGLDDSLLLQMQCGQL >cds.KYUSt_chr1.29293 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177309754:177310419:-1 gene:KYUSg_chr1.29293 transcript:KYUSt_chr1.29293 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSRSGGGGGAGAHFSFSLSPSSSCRHTPSSATLDLLILLLVLFSLAFLLASSLAHVSRSLSPLLASPPAAAALASASAALPYLAAGLPYVAAAAVLAAAAFLSCRRLPRRRCRNPRCRGLRKALEFDVQLQSEDAVRAGAGSTVGGADAAMWGEIETLPWKGGQSGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPVAKLEGWGTPKSKRRSKK >cds.KYUSt_chr2.49672 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310772223:310774258:-1 gene:KYUSg_chr2.49672 transcript:KYUSt_chr2.49672 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGDRVALDVYGATTTVAQAKGMVMALEPVPVAMQRLFFAGCHLDDDGRTLTGYGVQHDSVLFLGLRLRAADTTPCQEEMQRLQVPAGSMAVKHELHQQQVQAHLRHPEYTVTKHFNHRHFENLKANGMTK >cds.KYUSt_chr7.104 pep primary_assembly:MPB_Lper_Kyuss_1697:7:556281:559128:1 gene:KYUSg_chr7.104 transcript:KYUSt_chr7.104 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGLRDALANVYNMKDGKVSKLPPAAIEGHGSSTRNWDRAVPPTWLFSEDLDTEESGEKKAPLQLFFFFFFFFFFFFFFFFFFFFFFFFFFFYSSRVDVV >cds.KYUSt_chr1.25147 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150862824:150864046:-1 gene:KYUSg_chr1.25147 transcript:KYUSt_chr1.25147 gene_biotype:protein_coding transcript_biotype:protein_coding MASQWEMAMGVELGMGLGGYHDVTGAPMSHNAAGTYSAAAHHFYGMQPMGDHAGMRVDELLDLSSAGAHDFFPVAADNGNQYHHLGGARVGTGEPSAATTPSATSSDHQTSMLSFADEFYIPREEAAELEWLSKFVDDSYSDMPNYCSASHAAMAAAANAASNGCSAGQDSCVTAAPGRGARSKRSRAGAAAANAWHSLVPSQPSPSSSSCSSSDFPSSAHARPSNGDGGSGSRNNRKQGPSMAGGEVGLVEGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPAASPTFLLTQHSNSHRKVMELRRQKEMVLIRGSHHRVVDPAGAGAGVDVKPELSMFRDYGIC >cds.KYUSt_chr4.23480 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147828610:147832554:-1 gene:KYUSg_chr4.23480 transcript:KYUSt_chr4.23480 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHPSSPSASSPARKSPQPTPAGDAIDLSAAAAAAAATATTTAKSGPNASFSSSSSPPAGFVFMCSGATKPQCFSYRVLGLPRGRLGDVSRIRRGAALFLYDFDSKYLYGPYRADSDGGLDLEPAAFQGRYPAQVKFTIDGDFMPIPESSVRSAIKENYSRGKFCPELTVMQVEKLRGLFRPITVLPESAPSADYRHYVDNRHPDPSAAYVPASGFHPTQPAINRHPDPTTSYLPPSGSHPTQPAAYVHYPSAYVPAQAAHLVPHKPYAYPYSHLPPPSAQFTAPAYYATPAGHPYQAGYESYDPSASAYHYAQATPSCYPYVQTQHLVPQHVPRPVYSTDPYFTANRDDPYRFDAVKSHYQETTSERSAYGAAHENLQLVRQYGYTPSSETAAPEAATTNLGLVRSYGSDPSSATGVQSNVDGAAPTIYSYAGTPAITQAENVAAPSLRFVRLSVVIVPKGLGVRIIEDGITILTADIFGMLYKGIQSLPFITIGIAMPVES >cds.KYUSt_chr4.1318 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7003922:7007673:1 gene:KYUSg_chr4.1318 transcript:KYUSt_chr4.1318 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLEIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYISETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLRTPSFIKAMFADDNVVVAQPLNAGVGAGARFGAMDPQFQ >cds.KYUSt_chr7.6103 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36531328:36537948:1 gene:KYUSg_chr7.6103 transcript:KYUSt_chr7.6103 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNDTLVAAAARQRGIDVLLNAESSRESPAAVAFSHNARLLGAHASAAASSHAPFSSPKRLLLLASRPDLHPRDLPRLPFPIHTPGALVHADHLGRRIPLTPTHILAMLLAYLKHLAEADLEAPVADCVISIPCYFTQAQRRAYADAAAVAGLRPLRLMHDLAATALCYGLYRSDLGVAGGPPTYVAFVDVGHSDTQAGVVAFDPAGMKVLAHGFDADLGGRDFDEALFEHFAAEFRDRYGIDVLGSVKASMRLRAACEKAKKVLSANAEAVLNIECLMEEKDVRGVIRREDFERLCSGLLERVVEPCRRAMADSGIGLEKLQSVELVGSGSRVPAIARVLAGFFRREPSRTINVSECVARGCALQCAMLSPTFRVREYEVQDVIPASIGFCTEEGPVSTASSNAVFRRGQPLPSVKIITLHRSSGFTLDAFYVDENELPPGTSTQIGSFQIGPFQAHSEKSKVKVKIRLNLHGLISVESAVLIDDDQRDANSADSMEVDSNDDMDHKSRNVRQMHRQDLPIVESIYGVMSKQELLEAQEQEQQLAYQDKLVERTKERKNALESYVYDIRNKLSERYRSFATDSEREEISVNLQQTEEWLYEEGDDETEAVYTSKLEELKKLVDPIENRCKDEEIRAEATRELLKSIGENRMAAKSLCAPEQEAIDDECTKAELWLRESSQLQGSLPKNVDPVVWSHEIKKKEEELDRDHHALNAMLQ >cds.KYUSt_chr4.23859 pep primary_assembly:MPB_Lper_Kyuss_1697:4:150344388:150344661:-1 gene:KYUSg_chr4.23859 transcript:KYUSt_chr4.23859 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTGDSSSRFQQQLAVVRAGARMPHHQSQFGFDGHVSPQHYAAMAHGVDSYDLAARHPVQGGSTQ >cds.KYUSt_chr2.3464 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20715035:20716670:-1 gene:KYUSg_chr2.3464 transcript:KYUSt_chr2.3464 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQTMSSLGGGGGGAGGGVGGGGGGGGVLSRQGSVYGLTLNEVESHLGEPLRSMNLEDLLRTVLPAEPHAPGTGAGKKTVDEVWRNIENAGRGRQPTVGEMTLEDFLSRAGVPVDGAGGGGACGADAHWLHQYQPPQQYVARPLPRPLGVGAGPVLDAVYHDGGGGGFLSHAGGGRKRGSALVAGGDGVVEKTVERRQKRMIKNRESAARSRARKQAYTNELENKISRLEEEIQHLKDLKKLEPVMQFVPQPEPQPGSKEQQQQQQLQHQQQLIRQVVLYMPQPEPEPNQHLLRRIKSACF >cds.KYUSt_chr3.25554 pep primary_assembly:MPB_Lper_Kyuss_1697:3:158647687:158648928:-1 gene:KYUSg_chr3.25554 transcript:KYUSt_chr3.25554 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLKIPDPDPGDAECQGEEEVVEEPEHGEIDTMGRGKASHTQPRWLGNTPGASTSAAGGGRPDNPGAGGREGVLEDGAGGGRPGSGGAGGFQGGAGGGRTSSSGQEHGGRNHMPKMPFPRFSGEHPRVWRDQCLDFFRVFNISPSLWHTTASLHLDGNAAIWLQSYKQRHSIKGWPQFITAVENEFGADDQRKSMKSLLQLKQTESVHTYIVEFQSLMYQVLMFNPNYGEQFFMSQFIKGLKTELRAAVESQVPETLKRAFLIARVQQEVQEDTRARGAQAYVRPEQAQPRDAAKPAMKFATGELWKDRQLREYRRANNQCYRCGEPYTPTHKCGQTPAVVLNVMEAPEEQACPLILAEEVLNILEMQDIAYAEHLSLDTRTARDRWEPQEEGLMRTTASFPSVKNQGLSNQ >cds.KYUSt_chr2.1917 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11503187:11503687:-1 gene:KYUSg_chr2.1917 transcript:KYUSt_chr2.1917 gene_biotype:protein_coding transcript_biotype:protein_coding MTTADTKPTRGGRRGSSVTGTTTLARLHIVVGHCRVRRHLRRLPGPRKCGTKNILGRVTWDDSDDFIATVFHDIHMTMEEGREIELFEELTEEEAMRLDMSDEDAYDQALQASVPQPLPSYPWAAVASPPPPPAAPAFPDWPWVILDLVDQTHCPTTMRLMLELGF >cds.KYUSt_chr2.12489 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79283776:79284093:-1 gene:KYUSg_chr2.12489 transcript:KYUSt_chr2.12489 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKKTAKAGKESSSSWRGLFHEVDEEEEKTTAVLFCYSGELEDGHGDCGGRRQWRFFSRYLTERCMNWRKKKGRRGAGEEGSELGFCQHLVYMEGKRAGVRHP >cds.KYUSt_chr6.31627 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199880918:199881223:1 gene:KYUSg_chr6.31627 transcript:KYUSt_chr6.31627 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSVEQMPLRSSTDLSSPESAQPAHGEGSERPVGADAAGGAPAAAAGYPGPERLQDRLFTHWLSLPDTVGGGVERGLQAPPLPPIRPLERISRIERGGGG >cds.KYUSt_chr7.40726 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252776155:252780702:1 gene:KYUSg_chr7.40726 transcript:KYUSt_chr7.40726 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHRHLLRLLDDPFFPFPPPPPSSSSSCPFLPSPFAFPHHDLDTLDLDLFLPPHHTVDPFFSPLPSPHASLLHDLTDRVAALELAALAARAPQPARRKYTYAATSPGGRKVKWTAEEKPRTGHRALKWEAELASPNDDGFDRKWRWETKSKAAGKAKTKWGAEIKGKGCLQPWSHAYSWEEDFSGSDDEEEDELERNPERKKKEIKGPAAKEDKKDKKKTTKCVRIEEIPEDNTAGCDAIRKAFAMGNDKGKAKELSPQDAALLIQMNYRAHLAHRSQVLRCLRDLAVAKAKLKELRSLFYNLNYRRRCSHDHEERQRFSEKIIVLLLTVDALEGPDFMVRTAKKSMLEELEGMLEIVDPQPPDEFVVLYQGPDFMVRTAKKSMLEELEGMLEIVDPQPPGKERSFSRRKFDLPQGGAIPNEKSAAVNNTVKVINTGKGK >cds.KYUSt_chr7.12103 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74432140:74437019:1 gene:KYUSg_chr7.12103 transcript:KYUSt_chr7.12103 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSDLDRQISQLRDCKYLPDAEVKALCDQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDTPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWAQ >cds.KYUSt_chr4.23172 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145916978:145917818:1 gene:KYUSg_chr4.23172 transcript:KYUSt_chr4.23172 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCICGPMAAIYRPPRNTICSPCYEGAKSIIAFLNDDERAAEVWEQVKEMRDREEEANQRAGFLEQGFGSAWMEGAHTDIVVKPGNGPPIPAHKAILVSRHFHLINVPSPDFLAW >cds.KYUSt_chr3.31680 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199122759:199134306:1 gene:KYUSg_chr3.31680 transcript:KYUSt_chr3.31680 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAASALPSAAAGNVEFIRARSDKREYRRLVLPNALECLLIRDAETDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEQEYTKYISEHGGSSNAFTSSETTNFYFDVNVDNFEEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDGWRMYQLQKHLASKDHPYHKFSTGSWETLETKPKERGLDIRLELLKFYENYSANLMHLVVYGKESLDCIQSLVERLFSNVKNTEQRSFKCPSQPLATEHLQLLVKAIPITDDDYLKISWPVTPNMHFYKEGPCRYLSHLIGHEGEGSIFHIIKELGWAMDLAAGAGSDSTEYSFFSVSMRLTDSGHEHMEDIIGLVFKYLRLLKEDGIHEWIFDELASVNETEFHYQDKDHPISYVTDTVSSMRLFPPEEWLVGASLPSKYAPSRINMILDELSPERVRIFRESKKFEGSTDCAEPWYNTSYSVENVTPYMIQQWIQKAPTEMLHLPKPNIFIPKDLSLKEVHEKVRFPTVLRKTPLSRLWYKPDMLFFTPKVYIILDFHCPLSSHSPEAAVSISLFVDLLADYLNAYAYDAQIAGLFYSIYLTSTGFQVSLGGYNDKMRVLLHAIVKQIVNFEVKPNRFSALKETSVKDYQNFDFSQPYSQASYYLSLILEEKKWPLVEKLQALSKLEADSLAKFVPHLLSKTYLECYVQGNIEPGEAESIVQEIEDTVFNTPNSLFKSMSPSQYLIKRVIMLENELKCYYQIEGLNPKNENSSIVQYIQVHQDDAISNIKLQLFSQIASQPAFNQLRTVEQLGYIAGLSLRSDRGVWALEVVIQSTVKDPSYLDARVDEFFKTFERKIHELSDKDFKRNVKSLIDSKLEKFKNLWEEAEFYWGEIEAGTLKFDRIESEYIKVDAPQRRTVSVQVFSGNHLAEFKKAIAEADSPKTYRVTDIFGFKRSRPLHRSLKGGPGRITMD >cds.KYUSt_chr2.10058 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63652548:63655454:1 gene:KYUSg_chr2.10058 transcript:KYUSt_chr2.10058 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMLPADADALSAGAAEKRINGPVNESKCKKKAPRKIHKAEREKHKRDLLNDLFGDLGDMLEADRQNSGKACILTDTTRILRDLLSQLESLRKENTTLQNESHYVTMERNELQDENGVLRNEILELQNALATRLAGNPGWDHGTAGLPLPVPHSTSTDFPSQQPMQSPIIASTIFPALQQPAPPRELKLFPDAVSEIEGPEPSEDHEAPNHVARPQARYPTESAAWPEEPEEAAADESSCRFPLKDEPEAAAGESSCRFTLKEEPEEAIAGDSSLNGWVAGSVTLGSIICDLLFTESDAPLKFLEKNVLLTL >cds.KYUSt_chr3.3683 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20876289:20877512:1 gene:KYUSg_chr3.3683 transcript:KYUSt_chr3.3683 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPAAAAAAQQVPQREPPPPLSIVSALPFWFYLTAAVSLLALLLPHLLSPHASPPLPPLLRRHLSAGRLLKLHPGPDLFAITSHPAATSARHHHPVLLLPGLAAGSFSFRRLLSSLSSRGHLAAAIDLPGQGLSPPPPAPPPRPNPLREILDRGIFHAFEHLVETGEVPFQDEAAPDASHSFYSPANAAAAAARAVDALGLGPVHLIIHDSALAAGAALVSANPAGVLSVTLIDTTATLPAFPSAVLGVPVLGRLVLSVPALFRGLMRLCCVRGMDAQEADAHRVAMRGEGKRDAVFEAGKAMNQSFDLAEWRTSSEKIKSLPMMVLWSGSWSDKWIDEGKKVTAALPGAKFVYHYGGRWPQVSLIDCLHMFISFIFRDYAYFSLAGLPYVLASVHGWPSAASFT >cds.KYUSt_chr4.24133 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151897334:151897645:1 gene:KYUSg_chr4.24133 transcript:KYUSt_chr4.24133 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDEPLVTLDEVPLTHSDWQELMASGEILFVGEDKDAPEIPAILEKVHQILMDSAKRCLAKGDSSRFPFVILEEDEITSSVETGSAEAVMDEMQTEKYGTP >cds.KYUSt_chr4.25022 pep primary_assembly:MPB_Lper_Kyuss_1697:4:157331710:157332330:1 gene:KYUSg_chr4.25022 transcript:KYUSt_chr4.25022 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKMEGAKAVSLAFRIVALALSVAAAVVMGTANQLIIINSGRGTVVSYSDYSALVYFVVGSVISVFCGALALYLFVHRGGGSLAVSLLDTAALAILFSASGAALASRRCFVDGADTFSWRTGTAAAIGVCAAAAVWVAALTRETPRGDFSLGMGSGGGGSSAGCKHGCPSPQC >cds.KYUSt_chr3.5781 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32690281:32703541:1 gene:KYUSg_chr3.5781 transcript:KYUSt_chr3.5781 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAPFIYCDAAEDDGPGGEKKALVKAALDGNLRRVKVLVKSLTKKGGLESVFSLNKDGIGVLHAAACTGQLEVCKYLVEKLGADVNAPGCGTAALGATPFMTACQSGDVPTVKYLLDHGGDLTKSDEKGRTALHHAASTGSCKVTEFLLSQGVPVDIDCGRGTSLFMAATNEQDKTLKILLDHKANPNIIISGVGSPLLSALIYRSLKCMKLLIKAGADVNCKGSMMNPLLLATMHGGYTNYIKLLLKAGADPNIPDDLGRLPIELAALRDCWEEVEMLFPLTTRIPDAPVWSIEGVISHAKIQDKKPIEQQHLERRTALLKSQADTAFKQKEYKMAIEFYGLAIAHGESATLYANRSLCKLLMGDGDGALSDALKCRMLRPKWSKACYRQAAAHMLLKEYKQACDAFEDAQKMDPGNAEIESELRSSCSKVWLTSQPLESGAPTPASSSSPKEAAGASSAACRGSRTLVSAASGKPPGEGEERVGRDMAPPFIYRSSDDGVSGEKALMKAAMDGNLGRLKGIVKSLTKGKGDQSAIFSFYTEGVNVLHVAASAGHLEAGADVNGKGSMITPLVFAAMQGGYTNYIKLLLKAGADPNIPDDLGRLPIEFAALHDCKEEVEMLLPVTTPIPNAPDWSIEGVISYAKTEDKKPILVKVIQERSYLSAD >cds.KYUSt_chr1.23708 pep primary_assembly:MPB_Lper_Kyuss_1697:1:141195461:141200714:-1 gene:KYUSg_chr1.23708 transcript:KYUSt_chr1.23708 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGHELLPLEAAHGGKWSEQEVNLTTFSSRPKFPVQIEMDMRRGGKGDPASPWRERGSESEKKVGTACAWHDLGFGGGDELQLNGGRGGGKSGTEEYFPNDDYFREDNFLVNMAINEDIFKGSSSNTLSMLSLSRRLTIVWNLASH >cds.KYUSt_chr3.28794 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179683980:179692525:-1 gene:KYUSg_chr3.28794 transcript:KYUSt_chr3.28794 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGAGAGRGLGGGLCAVLAAALVVSAVVILTGGGHQEPHAPAFGRKVLLSVTSAHPQHNSDNILHPSQLQAPGFQSLGLTAKLPASTSTGVIKEHDQHAPSPTIKHEGPAASPTIHPASHGNTNGVPVAPPLKGRGHHSLPVNNTHGMIHGAPVVAPLKERHHRSLPVNDSSVKGPVVSPQKSPSIHRRGHVIPVAAPPKEPSSHLSPANHKHRKGSFPVISPAPHRTDNASATSHGHSGLPSSPPPAPGSLNNGLSNVNDPRLRPFAVDVGNHRERKSPGSAVVGSRLESRPISASPSFSSSIVAYKGSAKLFSLVDMERATQTFDESRIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGSDKYTAVLDWDARLQIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARIALGEGNEHISTRVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWACPFLTNKDGLETLIDVSLGSGIPFDSVAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCKEGSEFNESRSFSRDTHIQDAEIMSRSSLDMDVGPVLSTEQFAASARYDTLDASGSFRRYSSSGPLKVGKAERNRERGLSTGSSSEHCGVQRFRIDSE >cds.KYUSt_chr1.7482 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45857466:45858023:1 gene:KYUSg_chr1.7482 transcript:KYUSt_chr1.7482 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHEAEMSWNVLISPDQMSPKGLLLRKSIIERLLEDIKCRKASKEHGYYIAVNELNAIAEGEVCELTGDVSFPVTFTCLTQKPRKGETLVGSVEKILELGVFLKSGLIENIFLSYKVMGAYTYIGGDNPMFMKDHSKLEKGTIVRFKVLDFLWVKADRQFQLLATMVGDFQLLATMVGDFLGPL >cds.KYUSt_chr1.41798 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256281409:256286556:1 gene:KYUSg_chr1.41798 transcript:KYUSt_chr1.41798 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRLTPDEPELPHGTPPRPQLPLPVAGAGVAAGGGGSGSGGLEMASDDERSVAADSWSVRSDYGSTLDDEQRYTDAADVLAAAAAAANFPSAASDYCSDKDDQDSGEVEGSMLGLQSYWDASYSEDLANYQEHGHAGEIWFGANVMDTVAIWTKKLCANFIQGGTSSTNDNNNCEGDDKDFFNYPILDLGTGNGLLLQALAKQGFSNLTGTDYSEGAIELARNLAARDGFTTISFVVDDVLETKLDRKFKIITDKGTLDAIGLHPDGRAKRVMYWESVSNLVEPGGIVVITSCNHTKNELLQEVEDFSVQKSVKEDADRGVSDVQPVFRYLDHVQTYPTIMFGGVEGSQVCTVAFQRA >cds.KYUSt_chr4.42628 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263933877:263935106:1 gene:KYUSg_chr4.42628 transcript:KYUSt_chr4.42628 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSCCPPSDADAILAIKLPQRQCDDFVAWFPEKNGIFSVLSAYRLGLQPSLDLLSEGQSSAEPGGDRGIWNLVWKEKVPQKLRVFAWKAATSTLAVRSGFHHRIPKIDPTCIICGLEVEDGHHALIRCTLARALREEMRKIWTLPPESAFQINSKEWLLHLLSTSSPSIRAKIIFLLWRSWHLRNNIVHGDGKASIVASASFIANYLESFSSVNLARSDPKGKAAVSPEHQLPESSVRLSNWTTPTEGQLKENVDAGWDVSTKKAGIGVIIRGHLGQVVECEWKFISWCASAEEAEVLACLQGLKSLIRLQAPYGILESDCLRTVKTLQCKVKDTSFCWSLYAEGQELLNIYQSISICKVDRASNGLAYGLAQLGKKGDSGSVRGSVPPPLAGLAEKDCNWVVEPLVA >cds.KYUSt_chr4.28427 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178543599:178547400:1 gene:KYUSg_chr4.28427 transcript:KYUSt_chr4.28427 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPRRGGLAVLALAIAIALAARGADASIHDYSGGAFAPRANSFFFHGGSEGLYASDPSSNSTTSFIRFDIVTFRRTQESAARHEEMQQKTGLVEAIIVEIQDRDKIGGSYLHSDAICCTPELDKEKSCKVGEVIIRPNPDNPDWPKRIQTFFDGTREETSMGTQSVSINKTGMYYLYFMFCDPQLQGLKITGRTVWRNPHGYIPGKMAPMMTFFGFMSLAYLVLGLLWFLQFVRYWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGTRPMGITLWAVTFTAVKKTVSRLLLLVVSMGYGVVRPTLGGITYKVAALGIVYFIASEALELVENLGNINDFSGKTRLFLVLPVAILDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNYLAMSVLISIAWIGYELYFNATDPLSELWRRAWVIPSFWNVLSYVLLTIICALWSPSRNPTGFAYSEDIGDEADEEGLSLVGSAVKGTGDMVNMHVFPEDKRA >cds.KYUSt_chr4.39795 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245732369:245734317:1 gene:KYUSg_chr4.39795 transcript:KYUSt_chr4.39795 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALRRRSRLPIHLLLVAVALLALLPAQSGAEVITLTEETFSDKIKEKDTVWFVQFCVPWCKHCKSLGTLWEDLGKVIEGTDEIEVGKVDCGASKPVCSKVDIHSYPTFKVFYDGEEVAKYKGPRDVEALKNFVLNEAEKAGEAAHQDEL >cds.KYUSt_chr5.19907 pep primary_assembly:MPB_Lper_Kyuss_1697:5:129152218:129154490:1 gene:KYUSg_chr5.19907 transcript:KYUSt_chr5.19907 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNTGTAYGEDRFVAVEFNTYTSSGSSDHIGIDVNTVKNSVNTTSLASPGLGGTMTASINFTSSTRMLVARLHFDDDPSVQPAEVSAVLPDPVTSLLPPEVAVGFSAATGVKNAELHQMLSWSFNSTLAPNLKKQASSIGKSKIAGIVAGVCGVLLLIWFILSWFMWKRQRNSLRVEAGPRKYMCRELAQSTNNFSMERWLGKGNFGAVYLGKSLMVDQGQKQDVAIKEILKGSSEGRKDFLAELQTIGKTKHKNLVRLEGWCCYSRSTWSLMCWCCLKHLDHKLFLVYELMLEGDLNDHLHKKDTVLSWPARYNIVKGIGSAIFYLHHDCEPYILHRDIKPGNILLDDKYNAKLADFGLSRIANKGNATLVTTAVGTWEYMDPQCRKHGDVSFNRSTDVYSFGIVLLEIVWAGRKSRQQVWELYFSYK >cds.KYUSt_chr4.37674 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232245800:232247030:-1 gene:KYUSg_chr4.37674 transcript:KYUSt_chr4.37674 gene_biotype:protein_coding transcript_biotype:protein_coding MNARLYLFLYQAVSLKEFQVFEDKANAINSNGVCSKLANMIKRSLRPGQKLAVGKQEYKDIIEASLVSRIHCLFDEAVLEVIWGLKNLIKFFVPGEELELTHEDRLQISRGMKMVLDRYGIEVDASLVNSGIIDMASTVYECDLFVDERAELLQYGSNQIKEVSRIETGLWDKLELATALKLICYPEDEIVTGFSDKMLTKFVAEKLVADAHLYELKRHDWIYMDLYTDFVWVYGVRHRALELLGSRGKTRFEVAPGVHLQDGRTRFGAPALTAVHGRKIEGAPGV >cds.KYUSt_contig_686-1.463 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:2879097:2889196:1 gene:KYUSg_contig_686-1.463 transcript:KYUSt_contig_686-1.463 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIIQWISEASERRSSVASTEASPAGAPTIEITAPEPPVVEITAPEPPRYPVDDIKEMKACHLVLSYREHVHEGSHRQCFTTGALHHNNPIQDGYARVTVEEIVQGFENPDIDIATPEGVKRLGDVKRRFILWRKKFIKFPSEAPTSPPPQALAFKNRKELAEKKAVKDEAESKLERGKEVAQLGEQSKQSIAPLIVQAADPDAPDIIAAAAKTWIDCNECRRTSGRVRFHRILMVIKVQTSSLLVHDSPNMDPALWGDMRKMLQNFANIQIDMLVLIVVNGKVGRERENQVSCGGSPAVELERDYGKCRRTEEGGWVLEEADGRGSPMAPAELLSTEEAKEQAPSPGRWPHEATEAHEFEGQHAYFDRTYHSVSERRYADVLLYVAFDHVLLELLLAELWHPLEHRAKVDRP >cds.KYUSt_chr3.34669 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217415898:217422980:-1 gene:KYUSg_chr3.34669 transcript:KYUSt_chr3.34669 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYLAREATKLWRKVTAETAVELQLLFEKWRLLLAGIVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSEILFTSIFITFVLWSFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIVTFYSTQLPGPNYHCREGSKLATLPPPNNVLEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRLIKLFAWLMAIVQSLLIIASRKHYSVDVVVAWYTVNLVVFFIDKNLPEMPDRTSGSSLLPVSAKDKDDRTKEQLHKPEKDNKMKEELHKLLNGNTVDSTDWSCTLVVSCDGVVVLIIGHAGRGGARAVRVLKGDPHGIQRLPDTFPNFFAGDERPGSLHLRKDDCRCCRWIVDVIYDARGKMYLHIGWEKFARYRRLEAGFVLVFSYFGGRDMSIKCSTRHVAAGTTTATTLRRTMTEECCFFASNICTKVSGCSSSEEPTWAPSPAGFSSLGDWVCP >cds.KYUSt_chr7.6437 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38859071:38860986:-1 gene:KYUSg_chr7.6437 transcript:KYUSt_chr7.6437 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAALQGAMGALSISAPGATASTSAFWGNPLATYSAAPSGVRFMVKTSPIEMRLKRWERKKCKPNSLPMLHKMHVRVGDTVQVIAGREKGKVGEVVRLYKHNSTVIVKDLNMKSKHKKGTDDEPGEIVMIEGPIHSSNMMLFSKEKNVTSRVGHKLLEDGTKVRYLKKTGEVIDSVENWAKVFKEGDSE >cds.KYUSt_chr7.15350 pep primary_assembly:MPB_Lper_Kyuss_1697:7:95270792:95272453:1 gene:KYUSg_chr7.15350 transcript:KYUSt_chr7.15350 gene_biotype:protein_coding transcript_biotype:protein_coding MRWETAATGAEAEALQERIWDLHDKLSHAILSLSACAGLPGCGCGHVVVKGRRPGGYPDLAAASAMVDARGLHAIRAALEDLEGHLHFLHDVQLQQRAERDAAIARVQQSRILLAARLAEHRGKRHGVIEEALGFVGDVLDKNQFVSPEDVYGMHNQSDEDEDRTGHGSNMVVRVVSCTFAFAKNILRFERMGGVLGNAAVLAVSMLAFLQLHQLALGRQTPAVQYRRTDNRFHSGGSRRNSKEKHVEVLLARG >cds.KYUSt_chr1.37208 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227371791:227382566:-1 gene:KYUSg_chr1.37208 transcript:KYUSt_chr1.37208 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRYTEQETALEIKSLRRIVAAYFNYQDAAEKDVRRYERSFKMLSPAHKELLFHLGLKYQRLRWCISMNASFVMNMLEAFDPPFDMSQNEDGDCHDCAEHMHGNNHADCAHSSERSDFSKSVVTTNNSALHAQHDCPREDAKSNECSNLMDEKVHIVGCSQHAVPNLGTSQGVDKSCNGGEDASAAANYHDADCFASSTDENATPGHNMPPDFHLDVPPVDVDKVRCIIRNIVRDWAQEGQIERDECYKPILEELNRLFPDRSRPPSCLVPGAGLGRLALEISSLGFVSQGNEFSYYMMICSNFILNHTQEANECTIYPWIHSNCNSLSDNDQLRPVSFPDIHPSSAGITEGFSMCAGDFVEVYSEKSQESAWDAVVTCFFLDTAHNIVEYIEIISKLLKDGGVWVNMGPLLYHFADSYGPDDDMSIELSLEDVKRVAYHYGFVLEVEKMIDTTYTANMASMMQIGGSTFFSFYEK >cds.KYUSt_chr1.42423 pep primary_assembly:MPB_Lper_Kyuss_1697:1:259737358:259740852:-1 gene:KYUSg_chr1.42423 transcript:KYUSt_chr1.42423 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRQGAKRIGGAVLQRTQAALTSPAVAEERRRLVPRRMYSTEEQITEELTRKIQQKKEELYDLMLKAEQSIWTSSFSNKRLLQLLSVHVKPRPGDTKWQLMCLSKRAINAFEIAGLVALSSLITSAGLSFKRFIGHVELVREIQKRKDELYDLIAKGERNCKTSSWWDAFLLARLCKDVTPRPSDCDWRDLKSSTSAISGIKRAGFFSLAFLAVDCYNGSSNDEKVAPGTIMKEEHEASEVETGSHLMGMGNLF >cds.KYUSt_chr2.52556 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327889177:327894514:1 gene:KYUSg_chr2.52556 transcript:KYUSt_chr2.52556 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHLFGIGQLEPRGAASARSSLVVASSGKSESLRVRSDDDDAGISTITQVLIKWSRLLDDSATWEDWDVLKVKFPYDSAISEDWDVLKGSIHYPLSSSTTPMAAAAAASAEAAAITRRLASCNTAARERAVRHLLSDFLPASAAHLSAADLLKLWKGLFFCFWHADKPLYQSDLASRLAAAVSAAPSPAAAAAFLSAYLATICREWPHIDVHRLDKFYLLNRRFLSHAFLFLAARAFAPGVTAQIASVFSQKALLPQADCATSRGLGYHVADAFLDELMPVLPISLPSMELLLDPFFSVLEKSTDRVLVSKVRSSLFERFLESGAQLLEMLKNGQSAEKGSVEEKLGKVGLLFGFSKRFVDIGAKAETVQGNRKTLFGLRDAFVKLEKGLELSGIEISEPEFEGAAEVPIVTAVENGMDLDEAKVEKKKKKKAKKAALVEGGDAAKVLKQEKKVKKDKNKKDKKEKKKKNKVDVVDGGDGSERSADASAEDQQMGDASDVITFDEVVMSNLQKQFEKAAEEAGMPATPATVKVEKKRKRSKSADRSSAVSGGNAGGEGNALAQEGDKSGKRVRFSMKSNLVWKPQTPLPPQCLRLPPSATPRGSALKSGVQPGPIRESSTPVKKNKPKVKSAKKMLKKSPSSAVKRLRKLQNFSA >cds.KYUSt_chr7.20484 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127019373:127019738:-1 gene:KYUSg_chr7.20484 transcript:KYUSt_chr7.20484 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALQAVELPESIRGRCWADVADEVDAEEAARAELAARCSPPGTATFGDYLVYARRGRPRRSRRNGAGWLGGGRAVRRRHARRWSRRALLAPSVPGISPSGTSVVVDALEVGVRRGRHGG >cds.KYUSt_chr3.33880 pep primary_assembly:MPB_Lper_Kyuss_1697:3:212643788:212644198:1 gene:KYUSg_chr3.33880 transcript:KYUSt_chr3.33880 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPATESAAAVPVGDTPAAALDGASASDGSMPGVSIDIHMGINTAMSCRVEDIVVGGMDVVTPADGRPRGVVLAIDIAGAVSRATTRVRKTKRLLEEAMSAADEENRTDWFAPIEENIIDWFADGEKRREQSLH >cds.KYUSt_chr1.42055 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257700110:257701160:1 gene:KYUSg_chr1.42055 transcript:KYUSt_chr1.42055 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKAIVLTENGVLVLLDTRLPSGWKITVEGLAVSPVPKDERLEAPIKERCTQLTDERRANPSFVADIELWPTLFEDERQAVLGYFVGSYLPSRLNRQEHRQWWYGRTIKLVLAYYGYVHPTPQLPQPSGAPQVVMELCHPNDPADTPGLSQELRTSFDISLAAMKGFGKAWSVSDYGMVIDLEDDDDSTLTLTTSPTR >cds.KYUSt_chr4.51838 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321874026:321874724:-1 gene:KYUSg_chr4.51838 transcript:KYUSt_chr4.51838 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRDGHEPEWTTLISSTKLRRKILWFRKDVRPSGDEAVSGERPVGFFVRVECDVDSKTRYLQHDNTKSLIVDNSGYLVSTFEVLNISTLQNEETCRRELRSMLESMLQDLKVSKEFMESILPEDVVEETTTLASGGPQDLFFSFAIKVDHELLISRRTILEACDIRTTPSDEKCAICLDYMKPSQRYVIRSIHLIGCNHPFHKDCISRWLQENQNCPICRKDVKLSVLETM >cds.KYUSt_chr3.35162 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220745651:220747595:1 gene:KYUSg_chr3.35162 transcript:KYUSt_chr3.35162 gene_biotype:protein_coding transcript_biotype:protein_coding MENVQYHHHHAAARRSSSPLPPPPSPPLSPESAAAEALANARWTPTKEQITVLEGLYQEGMRTPTAEQIQHLTARLREHGPIEGKNVFYWFQNHKARLRQKQKQQTFEYFARQFQRPQPLPILHRAPGYPYPLTGAAPPSPQMITQAPPPQHPACNNREGSQGFVRLLALALHLGLAGKDMDLGIAIFTHVLHASVMYRQQQGYMTGHAAAHAAYCSQPQQPRMDMPRDNVPAQQAPASAVYYQHPAGANASIQQQRRVLHSSPATTGGHTAVNARPVQPQTLNLFPLHPTFAYREKKKARRPGTASSAMPSASASFSWESESSESHNGESSVPFFDFFSLGSGGC >cds.KYUSt_chr2.41257 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256500366:256506292:1 gene:KYUSg_chr2.41257 transcript:KYUSt_chr2.41257 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYFAACQEEARKDVERAFGALQQRFVIVRYPALTWSESQMSERHRLIGPGYGYVEDESGSDVRIMDPSAERNRSWLVATPDLHHVDTTPQRVSEKTGIRRSNFFEMNGVVSSPGESSTRVIGFQSTLNVSKIRWQPLMYGARTDYISICNLKADQGQGGTEHKLQKQTSKELI >cds.KYUSt_contig_1253.214 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1457030:1457851:-1 gene:KYUSg_contig_1253.214 transcript:KYUSt_contig_1253.214 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLSPTPSLTREQFLNLSSLLPGLSLLLSAGVAGQRWRGDGAGVARFLRGCGSLPVAGCLPGSGSDWRDLAAWISPSLGFFWFLLLLRWSEGKGEQLSGASFNKGKAVFFLLQRGCGGRNIFLAGLGRGKVRLLRIFDVSKLLAGHGGEEELSYVVIIFSAPWRVYLYYFCGGGSTSKLLLSAGHGGEGEDGSDDAATTSLKRRIKCVPWEAIFLSRSKATLWPIQVPAMDSGDSTSVVRFFLRVAVAYYGCVEASGFVPASSHDGGVAAL >cds.KYUSt_chr7.30024 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186898554:186898970:1 gene:KYUSg_chr7.30024 transcript:KYUSt_chr7.30024 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKDALLIIGIGDEALLPVEDMEHVLDDVLATLERIEAKRGRLQGEIAAVSRSRRAPRGRRAAPAPAAAEGNAQPMAPAPAAYTRKGAGAVKKRLRAAAGKAKKEKERQEALWGELQDAIADAKQRVALPRAGNRLA >cds.KYUSt_chr2.35158 pep primary_assembly:MPB_Lper_Kyuss_1697:2:216869607:216870173:1 gene:KYUSg_chr2.35158 transcript:KYUSt_chr2.35158 gene_biotype:protein_coding transcript_biotype:protein_coding MADIRCDQRKVTPPSPSSLRIFGYDVAGGATIVTEVPSAPPTPQAADGRRFECHYCCREFANSQALGGHQNAHKKERQQLKRARLHVDAGMGYFPPPPGHVIAVGGHAGPSAYPRVDLHRWVYLARQPTVGLPFHALPAEPRLHDASDGATSTSASSFARLYEADDGTEEASAMGLDLHLSLAPASSS >cds.KYUSt_chr1.32748 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198927541:198934732:1 gene:KYUSg_chr1.32748 transcript:KYUSt_chr1.32748 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGMVGRGVGRVLSQGMYSVATPFHPFGGAVDIIVVEQRDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVDASFHMLLDNSGQAYFMRELVPGSEEDSGAGSGVVVSEPETPLRSKSDGELYMGSGGDQLGGSELNEEEQTGDEFDSYLEEAEDLAKQAEGGASEMLLVSVNGCVLTAPISSTEESMDDVQLSDPQFHLGPGQSSSGDFSRNGEVWESGILDDLYISQEKVKFDSENPSEALEKLQDASTAKEDESLDISVTESETLHVSVDDGEACVASTHEAEVQVVSQSVNNGLNNQLLNLENEAHDVSGNNSEGYQPLPDKYDALDVLENSDEAYIPLANGDEACDIPLVRNDEACKSPSKVGKVCDGSNENIEDAAQSVSRSGTNGLNYEPLNVEDASPDISENSNKCYQSLPNKDESLEVSANTGEAYEPLADKDEACEVPLVQNTEACKSSSKADKVCDVSNENVEDEVDVVSWSGNNGASHQPLIVEGEACDISGNTDEGDQLLPIKDEAIDVSENNDVGYQPVSNEDEARDIPLVQDDETCNSPAKASKVCDDSNENVKASFSRYDTFRSCLDLTSQDDGDSGTEPFSPEFDHQRDSQLSSSNHSDIDIDLGEDRSETAQCDQSDPSNHLEEVDVSEITSDDNITQGEDLSCEGDSDGSSKDTTPSKAATCKTDRLRLSPRISDKDKLGSIPEHPIAEEELNKEEHPQLQKGLGFEISLCGHMLRPGMGRTSADEVFQQHLVPEEDLKLSGPSIIKNANLIVKVDGNYFVWSKVSHVVLGKAVFGPDFSVEPVDAIPVERQETPRSGEDSLGMSPSSRRWRLWPIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQAPENNKNQSPRKQFVRTLIPTSEQVASLNLKEGQNIITFSFSTRVFGKQQVEAHIYVWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFSAIKENGYQLIFLSARAIVQAYLTKSFLFNLKQDGKVLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNSWNYWKVPLPDVDL >cds.KYUSt_chr7.17901 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110864841:110869479:-1 gene:KYUSg_chr7.17901 transcript:KYUSt_chr7.17901 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATAEPSASVENYSLLMGAAAVMKMAVEMAAVSMEKPSGGTSPLRQRAGTETPVPQILASRWRRLWKVSVVFAERLEATKDATKAAKDTADDAYDQHVLDYSVDLGIYLDVLSLRWGLTFVSAMSLLVILSTYLWFVRIFLLVALCTSHVASSLRLGLDVCRASGYLPGKAGHCEQSNDPDCCEDGKRYPQYHCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEMVVALSTGWFKNMGRCGHRIKITANGKSVYAKVVDECDSVYGCDDEHNYEPPCANNIVDASPAVWNALGLDQNVGMEGITWSDN >cds.KYUSt_chr7.2070 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11865764:11866356:-1 gene:KYUSg_chr7.2070 transcript:KYUSt_chr7.2070 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEAKPTPVTATPSRPRAQPPVNPWSTGLFDCMEDPGICCLTCICPCMTFGLMAEIVDRGATSSGASGAMYIGMGVMTGWGCQWIYTWFYRTKMRAQYGLQETPYPDCCVTGFCEPLAICQQFRELRNRGFVMDIGWHANMELQQQQGRGGNAATVPPAMHVDGMTR >cds.KYUSt_chr1.1659 pep primary_assembly:MPB_Lper_Kyuss_1697:1:9348767:9352477:-1 gene:KYUSg_chr1.1659 transcript:KYUSt_chr1.1659 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLVCACSFLSILLSFYVFQLLPDIRRRLPPGPRSLPVIGNLLDVANSLPHRSLAHLAQRYGPLMTLRLGTVVMIVASSATTAREILQTHNATLAGRNPPDAWHAMGHAANSVFVLPPRHKWRALRRIGTERLLSPRRLDGDVLRPLLREAILGMLHQVLDLAASGGTVEVGRAAFAAMADLQWRAMFSSGLDEATSGEMHVFAREAVAFSLKPNVSDFFPALAAADLQSVRRVFTRHLARVYQLIDQQIDQRRHDREAAGGGGARKDDLLDAMLDMEEQGKDDVDDSLVNVNRDFIRSFLTDIFLAAIDTISSTVEWAMAELLQDQRIMTTLQQELKMVLGSKTHVEYSDINQLPYLQAVVKETLRVHAVVPLVPNKAEATVEIQGYTIPKGSTVLVNLWAIHHDPEVWTEPDKFLPERFMQHEDINFQGADFRFIPFSAGRRICLGLPLATRMLHAMLGSLLHRFEWTLPLDVKENGVDMSEKLGLTMTMATPLQVIAKPR >cds.KYUSt_chr7.13541 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83418343:83423151:-1 gene:KYUSg_chr7.13541 transcript:KYUSt_chr7.13541 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLSPPLHHPLRPLNPPPLACTTASSTGFSFFFPPAPRWRASSAAAGDGPGPSEAAGDTPLDADLLRRVSAAADADQALDIVAESLGGAGSGPKSSLDASDCNAIVAAALDRGNVDLALSVFEAMRSGLARVGRWSWARPDVRTYALLVQRLAAALRVSDAIRIVGYVSRAGASSAEEVRFGITVCCPTCMVAIAVAQPQHGTQVVSCSKCRYQYELLSGDITNIESEEVSMDTSAFEKALQFINVMKDDLPAAVHSIVIRTPSGTARTHRFATKTVELPAQGGERVTISLAAPANVYREMGPLKIAARSKGFSPGEPMCLTNHVSGQVSKLLRPPSKNVGPFVLTPYLFVGALALLASGDAVSAFIDPSLPRLITATAIASAAVGTTLNQVILPETRKLPQKAVDIVAVRQKLLSQYDMLQSRLTDLKQLTEKEVWMLARMCQLENKILAVGEPSYRARRGRLKNVRKSLESTLLAKIELMESYAKLCSMIEIEVEMDSDVLVAEAATGAERISEQIQQLMEIDSLEEQWRIQAEANDEAERLLSSDSSEALSAEHV >cds.KYUSt_chr4.33829 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207577495:207578155:1 gene:KYUSg_chr4.33829 transcript:KYUSt_chr4.33829 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSYLAWSIKMKVSMRAKRIWDAVETKEPKVPKEENVTRVNQWMRSRIHPVTRLHPKNQMAMAAIYQGIQEDMLFLVYGKETWEALKIMHMGAERVKDAKVQTLKTEFEGLRMKETESIDSFAS >cds.KYUSt_chr7.15768 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97896108:97896488:1 gene:KYUSg_chr7.15768 transcript:KYUSt_chr7.15768 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVSPLPLLFLLLAVRAAVGSGSGAIGGGGGGQLWCVAKNNAEDGALQSAIDWACGPNGGADCRAIQPGGACYEPPDLLARASYAFNDYFLRSGGAASPAACDFSGAAALIGLNPSKHRRRILS >cds.KYUSt_chr1.35234 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214795622:214798577:1 gene:KYUSg_chr1.35234 transcript:KYUSt_chr1.35234 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKDAFDLSALGAAVPNAAELSAEDKANLVESIKNTLQGLAARHTDVLESLEPNVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKMYEPLYSKRYEVVNGVVEVEGVTKDDSGEAAADQKEEKGVPDFWFNAMKNHEILAEEIQERDEEALKYLKDIKWYRISEPKGFKLEFHFNTNPFFKNEVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKVLKKKPKKGSKNTKPITKIENCESFFNFFSPPQVPDDEEEIDEDTAEQLQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQDEDFDGMMDDDEDDDEEGDEDEDEDEDDEEDEDDDDDDDAPKKKGGRVPAGEGQGERPAECKQQ >cds.KYUSt_chr3.36162 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227320221:227320865:-1 gene:KYUSg_chr3.36162 transcript:KYUSt_chr3.36162 gene_biotype:protein_coding transcript_biotype:protein_coding MASTANYGRCEEIGAEQEGLPRAAAAAEENEAGVRDEGAGAEEDDRRQPGARAARWARTGAQAGRVGERWRWEEKVRSLLHGSANIAALHHEPPATDHNCLCFAAASAHRAGCPHCIFAPAPPVRACTPPSTLLHRRALRGMHEPSLLYTTRHRSYRAAWSPSYGHI >cds.KYUSt_chr2.404 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2458708:2460379:-1 gene:KYUSg_chr2.404 transcript:KYUSt_chr2.404 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLHIMALLLLIFLLLIIWQQSERRRRLPPGPGTLPIIGNMHQMIWNKPAVFRWIHRLLEEMNTNIMCLRLGGTHVIVVTCPKIAREVLRKNDEVLASRPATFASGSFSFGYKGSIFSPYGKQWKKMRQVLTCEILTSSMEQRLHHLRSEECNHLVRYIKNMARPNNFVDVRYVAQHFCCNVIRRLVFGKRYFSDDIPASSTSGPGHDEVAHVAALFTALNHLYSFCVSDYFPALVGLDLEGHEMVSKNVMRVLNRFHDPIIEERIRERSTTLGNGGEKKEARDFLDVLVYLEDVDGQPLLTLEEIRAQTMEMMFATIDNPSNAVEWALAEMMNKPEVLQKAIDELDVVVGKDRLVEESDIPHLNYLKSCIREAFRLHPYHALNLPHVATADTTIAGYTVPKDSHVILSRIGLGRNPKIWNEPLEFWPERHLNTGNVLLSEPGLRFVSFSSGRRGCPGISLGTSVTVMLFARMLQGFTWTKPPGVERINLQEGYASLSLPEPLVLQAKPRLAAHLYI >cds.KYUSt_chr6.11756 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73163929:73167354:1 gene:KYUSg_chr6.11756 transcript:KYUSt_chr6.11756 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGTEYRRLPDRADGASSPASRQKLSLVPLIFIIFYEVSGGPFGIEDNFNVIDPWANPNTGPLLAIVGFLVLPIVWSVPEALITAELGTMFPESSGFVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGVPALGGGAPRAFAVVGLTAMLTLLNYRGLTVVGWVAIVLGVFSLLPFFVMGLIALPELQPARWLVIDIHNVNWNLYLNTLFWNLNYWDSVSTLSSEVRNPGKTLPKALFYAVIFVVVCYLYPLLAGTGAVPLDRGQWTDGYFADIAKLLGGVWLMWWMQAASAMSNMGMFVAEMSSDSYQLLGMAERGMLPAFFAKRSRRPDAPRPYKVPLGTSGCVAMLVPATALILTVLALSTLKVALVSLGAVAVGLVLQPALRFIEKKRWLRFSVNSELPGIDDTHKPTAPDEPFLA >cds.KYUSt_chr6.30052 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190480588:190489077:1 gene:KYUSg_chr6.30052 transcript:KYUSt_chr6.30052 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGPWILNQNALIVKDLDEGAQPSETILNSVPGWVHIYNVPWGKKDDVWGRQYGGGLGKVLEVHVPRALVRRCSTARAAMASISAAAKASAAFAAHKHELAAAAPTQQRPSSRSRRARGGCVRAVATPAQAPRAPAATGSVKAPMTTTEKILARASERASLEPGENVWVDVDVLMTHDVCGPGTIGIFKQEFGDDAKVWDREKVVIIPDHYIFTSDERANRNVDILRDFCQEQKIKYFYDIKDLSDFRANPDYKGVCHIALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVMGTGKALLKVPPTIRFVLDGEMPPFLLAKDLILQIIGEISVSGATYKSMEFVGSTVESLTMEERMTLCNMVIEAGGKNGVVPADATTFKYLEGKTSVEYEPVYSDAQARFVSDYRIDVSKLEPVVAKPHSPDNRALARECRDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGGKVKVPTFLVPATQKVWMDVYGLTGITVPGSGGKTCLQIFEEAGCLRVDDKQELPRQDGTQGRADLPGIPLHRCRISLDRICRGPQGLPGVVTLEQWIGLELLAEIPCVADCSVPKKFSVFSVL >cds.KYUSt_chr2.2471 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14903995:14906012:1 gene:KYUSg_chr2.2471 transcript:KYUSt_chr2.2471 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNGYSNGHTAVANGLVACPAAFQASPAAAPMVSSTEATLGRHLARRLVQVGVSDVFAVPGDFNLALLDHLIAEPGLRLVGCCNELNAGYAADGYARAKGVGACAVTFTVGGLSVINAIAGAYSENLPVICIVGGPNSNDHGTNRILHHTIGLPDFSQELRCFQPVTCHQVVINNLDDAHEQIDKAIATALKESKPVYISVACNIPGVCHPAFSHEPIPYCLAVRQSNKMGLEAALQATVEFLNKAVKPVMVAGPNLRVAKAGAAFEQLADASGYAVAVMPSAKGLVAETLPRFIGTYWGAVSTAFCTEIVESADAYLFAGPIFNDYSSVGYSFLLKKEKLVIVQPDRVTVGNGPTFGCVMMKDFLTELGKRLRKKRNTTAYENYKRIFVPQGQPPESEPGEPLRVNVLFKHIQQMLTGDNAVIAETGDSWFNCQKLKLPDGCRYEFQMQYGSIGWSVGALLGYAQGAKDKRVIACIGDGSFQVTAQDVSTMLRCGQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLIDAIHNGEGKCWTAKVTCEEELTAAIETATMVKQDCLCFIEVIVHKDDTSKELLEWGSRVSSANSRPPNPQ >cds.KYUSt_chr2.47517 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297270484:297273201:-1 gene:KYUSg_chr2.47517 transcript:KYUSt_chr2.47517 gene_biotype:protein_coding transcript_biotype:protein_coding SCRLGEVKRVTKETNVHVKINLDGTGVADCSTGIPFLDHMLDQLASHGLFDVYVKATGDTHIDDHHSNEDIALAIGTALLQALGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLSIPTARVGIYDTQLVEHFFQSLVNTSGMTLHIRQLAGNNSHHIIEATFKAFARALRQATEYDLRRHGTIP >cds.KYUSt_chr2.4980 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30851550:30851858:-1 gene:KYUSg_chr2.4980 transcript:KYUSt_chr2.4980 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRALPLLLLLAAVVVVVAAAPAQGEFIELGSRRWLQEMGAVAPAEGPAAADSGLGYISYGALSANTVPCSERGLSYYNCQPGGEVNPYTRGCSAIALCRG >cds.KYUSt_chr5.32150 pep primary_assembly:MPB_Lper_Kyuss_1697:5:203957795:203961516:-1 gene:KYUSg_chr5.32150 transcript:KYUSt_chr5.32150 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGVFAEVLDGEVYKYYADGEWRASASGKTVAIVNPTTRQTQYRVQACTQEEVNKVMEAAKVAQKAWARTPLWKRAELLHKAAAILKEHKTPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKVPLGVVLAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLIGCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMEAVADAVVEKVNAKLGKLTVGTPEDNSDITPVVTESSANFIEGLVIDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTRDINKAIMISDAMESGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >cds.KYUSt_chr5.41480 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261671196:261675065:-1 gene:KYUSg_chr5.41480 transcript:KYUSt_chr5.41480 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARMKLLRNRRDAQLRKMRGDVAALLRDGRDDTARIRVEHVIREQNTMAANEIIELFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELSRMRDLFEKKYGKDFVAAAVDLRPNAGVNNLLIEKLSVNKPSGQTKLKVLKDIAKEHQIDWDTTETEQDLLKPPAELIQGPSSFVEASKMPVKTTLSANFAQPYPSNYRSEYTDEYDNGGAMQFKDAASAARAAAESAAQAASAAKAAADLVNKNTRSSDGSTHSSDDDEDEDWKTTRNESTHSSRRQTMSNTSRSSRKENVSTFDELRPRGSTGRRFSASNHTEDKDIDLMDLDTGRMRKRNSTGRAARKVHSEIKFDDSEAEDESDARKRGSRAARKVHSEVKFDDSEAEDESDARKRDSRASRKVNSEVKFDKSRGWNSEAEDEIQSLERPPPRREHYQGNGHSDEKEPDDDFPEPPKASLASRVHPSMPLDFETLTARFEALRSGKLP >cds.KYUSt_chr5.18876 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122066990:122073563:-1 gene:KYUSg_chr5.18876 transcript:KYUSt_chr5.18876 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEEAIKQFSALMEQLEEPLKTTFQGQPVYAFGVGLSTFDKASVHYYLQSHIQMNEYRDRVVLPGASEMFAKQINTCLKVMDMTGLKLSALNQIKMLSTITAVDDLNYPEKTETYYIVNAPYVFSACWKVVKPLLQERTKKKIKVLSGPGRDELLKVMDYQSLPHFCKREGSGSGSSSDEVDCYSYDHPFHQQLYNFVKQQALSQDTVGPLKQGSMHVKVPTPDLEEAKIIETIESELNNLRGGGDGISRSFNRITIEGKRRERPDEGGIMEPMAIMTVTGGVLGPIFVVLSRIQPVVDFFRRLCDCLRHPTRRPARPVRAPWKRAPAEE >cds.KYUSt_chr6.5232 pep primary_assembly:MPB_Lper_Kyuss_1697:6:31094392:31095910:-1 gene:KYUSg_chr6.5232 transcript:KYUSt_chr6.5232 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARGMAARGKKASRNGPPPAAKAAAVAGDGKDGPEKAGGGKDGPEKASGEGRFFCCYLLRSLCPRSKSRTYIGFTVNPRRRIRQHNGELRCGAWRTKRGRPWEMVLCIHGFPSNVAALQFEWAWQHPTESLAVRKAAAGFKSLRGIGNKVKIAYTMLNLPSWENLNLTVNFFSTKNMKFTVGCPALPCHMKTVVSPMEDLPCYDVGIPSDEDKEPTEDEEPDATSDHGLQPLDLETGTAGGESDTDEFAPMERNGVCRPRISESSSRQLVEEETRIAERDAEYPIDDLGYMEWNRNPVGDLGYMEWSRIPETSELHESGASPRCSSSSCSDVVARRPVQFVSGQSSPVSKAGPNETDVVDLVTPVGRFARDCSKAVSICPKIIDLTNSPIVIEL >cds.KYUSt_chr6.13461 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84296127:84297170:1 gene:KYUSg_chr6.13461 transcript:KYUSt_chr6.13461 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLFATFPLPVPKMPDTSKSSRFLPIRASAAAAAAATASPSFDLRRYWTSLISEVEGELDAAMPIRPPESIHNAMRHAVFPGAGKEGSAKRAPPVLCVAACELLGAPRAAALPTAAALEMLHAASLVHDDLPCFDAAPTRRGRPSTHAAYGTDMAVLAGDALFPLAYTHVIAHTPSPDPVPHAVLLRVLAELARTVGSTGMAAGQFLDLAGATALGEAEVMQVLTKKFGEMAECSAACGAMLGGAGPDEEAALRRYGRTIGVLYELVDDIRSASGNGKMRSNASVLRALGMDRALGIVEELKAQAKTEAERFGDKYGDRVLPLYSFVDYAVERGFELQDAAARP >cds.KYUSt_chr2.9520 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60010479:60013043:-1 gene:KYUSg_chr2.9520 transcript:KYUSt_chr2.9520 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGGAEGLFAACARTSPAAPLISPACVERLAKGAAAAPRRAGHHNAPAAMQLTAFSMGPVHRRRPSTTSLGTTPPRAAGENGSLSALFAQKMTQLPVSSLSEPQPTRRTVLQTITMVAVLKRRHIIALEASHNNLVLDSQADETPGAKIIGVSIGGSDMTPRFEVTRAMERIFRKHGTGLFDWM >cds.KYUSt_chr7.40076 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248872540:248873961:-1 gene:KYUSg_chr7.40076 transcript:KYUSt_chr7.40076 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLAGRHGPLMLLRLGELPVVIASSADAAMAIMKTHDAAFATRPQTATLRALTKDGLGVIYAPNTEHWRQLRKLCTTELLSARRVRALRVTRETEASGLVASIASASRSKKPVNVSSLLSRFVTDVTTRSVVGDWISEREEYLEAKRQVVKMAAKFSLADMFPSSRVARMFSGGVRQAEACNREINRIMDKVIVDHRARRSAGAGGEEEVILDVLLRTQIDGVPLDMGTIRAVILDLFAAGSESFSTTLEWALAELIRNPSRLLKAQAEVRRALHGQTNVLEDALRDLPYLRLVIKETLRLHPTGPLLLPRECREPCRVLGFDVPQGAMVLVNAWAISRDPASWGADAHEFRPERFEGDGAAVDFWGTDYQFLPFGAGRRMCPGVLFAITNVELAMASLLYHFDWELPGGADPAKLDMTEGSGLSARRKSELWLNATVQVPLPQ >cds.KYUSt_chr1.31480 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190869405:190870388:1 gene:KYUSg_chr1.31480 transcript:KYUSt_chr1.31480 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTVTRYWCHQCEQATEEAMVEEIKCPLCDSGFVEEMIGEHFEALARQLPEQGLSQWDPVDNSFEQPGSVGDSDDEDNSDIGREFEGFIRRHRQASALRRVLDSIHDDLRDDRERDNSILVNAFNQALALQGAALDPDEDRGDNGNSGNDDGLLEEYVLGAGLSLLLQHLAENDPSRYGTPPARKEAIEALPTVKIKEAVSCSVCLDDLELGSQAKQLPCEHKFHSPCILPWLELHSSCPVCRFELPSGETKDLSEPSNVDRESSQEEVLADGPTNDSEDSSRPWAIVPWFSGLFSTPEPQNVRGTSTDQQLPPASGTNPSAGHS >cds.KYUSt_chr2.41462 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257933633:257942817:1 gene:KYUSg_chr2.41462 transcript:KYUSt_chr2.41462 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAGGSDWKQYCRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSTSELEIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFIAGLLLLYMSEEDAFWLIVALLKGAVHAPMEGLYQPGLPLVQQYLFQFERLVREHMPKLGEHFIEEMINPSMYASQWFITVFSYSFPFPMTLRVWDVFLYEGIKVVFQVGLALLRFCHDDLVKLPFEELLHSLRNFPEDATDPDKLLPIAFSFKVLSHLEELEKEYRKRLEGPNASSSSKRLQPLKSKSMRRVGSQVLSNSNVGMATAFKAFVNSPVGPKTTHFWGPVANWGFVLAGLVDLNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNETVQLYHLSRCAKAQGYLDKKVPEAQHLESLLDFTVNSLCTDLVLCTLFSGRRVLTEIGMRSGEFGQRRGGGALLILKPEVKEEQDDEEAAKAALLAEYERQQWLIASSDDPKDCPGLRAACLASLNDKDAWRGDLDTAIAMSIRDTGKPPVDLTDDGEAGPSDLVKDEPDERVKQEVVTDDMYNFHQYYDAPAAASTSRLGFSLNLIESCSNLCNIYSKFG >cds.KYUSt_chr1.38808 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237063825:237068994:-1 gene:KYUSg_chr1.38808 transcript:KYUSt_chr1.38808 gene_biotype:protein_coding transcript_biotype:protein_coding METITVVENGFVEVERKVQKSYWEEHSRDLTVESMMLDSRAKDLDKEERPEVLSILPSYEGKSVLELGAGIGRFTGELAKEAGHVLALDFIDSVIKKNEEINGQIYKNITFKCADVTSPELKIEDNSIDLVFSNWLLMYLNDEEVEKLIGRIVKWLKVGGHIFIRESCFHQSGDSKRKVNPTHYREPRFYTKIFKECHSYDQEGNSFELSLVTSKCIGAYVKSKKNQNQICWLWEKVKSTDDKGFQRFLDNVQYKSTGILRYERVFGEGYVSTGGFETTKEFVDKLDLKPGQKVLDVGCGIGGGDFYMAETYDVHVLGIDLSINMVSFAIERAIGRSCSVEFEVADCTTKEYAENTFDVIYSRDTILHIQDKPALFRNFFKWLKPGGKVLISDYCRNAGKPSDDFAAYIQQRGYDLHDVKTYGKMLEDAGFHDVIAEDRTDQFLRVLERELAETEKNKEAFLADFTQEDYDDIVNGWSAKLKRSSAGEQKWGLFIATK >cds.KYUSt_chr7.10555 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64821352:64827837:1 gene:KYUSg_chr7.10555 transcript:KYUSt_chr7.10555 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVREGDDAYIDQGWAAFTVAHQLQIGQFLIIKKVFFKGIFAGIRFESFRGISACAIMAEDGIGHWVSKDRFAAKRLHALAAELDDPKRRIIEEQSAFRALLNVSPFNIPNALIDFVASHTSPGLREFKFHKKRIVFTKDMVVYMDHLDFPPNQHVINYSIPRFLELSNTPYGVAAFSNRDHVEEPVEQPVESSEVNPSASLNEWLVFPTSQDLEVPAAYKHLYEKHRSIYGRDLDTTLKNFGVGLKQMHSQRMAALLIDIDAAKKESDGPSVHFPNRGGVEDENMDGADRHDDEASEQPKVMEGTTPPIPSRDAEDHLGENVSPQHPTNTDVSVIKRAKLFAADGKLSLIAGIPLNVGSTVVVAERSPSPSDVVADVVTKDASTGAKTVEKKTRYKRAAKGELSPPKLKKIKVSQDVVRNKEFLKIGRFFCSYKSFIGALRPRQYLSNVVMAVWTEKFNHAAKASAEKNPRNHKRYAFSPYFAEKLAVETSTFDPASVMKEFKIACSKFKILKDDMFSNFVRTANESKVSWVDFGKFKETTPDHPQQDTLYDCGFYSILYMEHFNGKVMPNFENDVVPDFRRLLAASLIDNRDNQSDDVDVIMNEDLQRGIV >cds.KYUSt_chr3.7588 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43700053:43701035:-1 gene:KYUSg_chr3.7588 transcript:KYUSt_chr3.7588 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDTAPHVVEDIPGVLQLLSDGSVWKDVLYHAAHGLSVRVYRPESSSSVAGSSSKLPVLVYFHTYCLRAAAELPAVVLSVQYRLAPEHRLPAAIDDGADFLSWLRGQAELAGAGSADPWLAESADFARTFISGASAGANLAHHVTVHAASTQTQLALNSLHVAGYVLLSAFFGGSDRTTTEADHPAGVTLTVEGSDMLWRISLPVGASRDHPLSNPFGPESPSLAPVDLPPVLVVAPGIDVLRDRVLGYATRLKDIGKAVEVAEFEGERHGFSVLQPFGEAANELMRVLSRFMYTGVTRQPN >cds.KYUSt_chr3.15125 pep primary_assembly:MPB_Lper_Kyuss_1697:3:92326422:92326772:-1 gene:KYUSg_chr3.15125 transcript:KYUSt_chr3.15125 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRTGCSGKAPPPPPPPPPPPPHSPPMFEFDYDDDEDDDMDEDPELTAMNEQFMDDAQWETAEKEGAHAAFDAEQQQRWEAVADDDDDDDDYWSGPDLEEKAVEQGGPSLRPSSL >cds.KYUSt_chr5.11912 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77372150:77374399:-1 gene:KYUSg_chr5.11912 transcript:KYUSt_chr5.11912 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHGEPAQALLHLLRRSSSTSLLTHRPPHIALAAATARVRSGTLSPEDAHNLFDELLLQATPVPERALNGFLAALVRAPASAACSDGLSLTVALFSRMSRGHGPPVASPTVHTYGILLDNSCCRARHPDLALAFFCRFLRAGLKANNLIVNTLLKVLCQAKRTDEAADVLLHRMPHLGCVPNAISYNTVFKGLCDASRSQDALDLLRMMAKQEAGCSPDVVSYTTVIHGFLKEGKLSTASNLFHEMVQQGVVPNVVTYSSIIHVLCKRGRSKEARQILDCGILKGLKPNIVAYSTMLHGYATQGRLVDMNNLYNLIVGEGVVPNQYVFNILINAHAKCGLVDETFLIFQDMQKQGVKPDVVTYLAMIYVYCRKGRMNDAIQQFNQMIHMGVPPNIPTYSCLIQGYCTHGDLVRAKELLHEMKEKGIPYPGIMFFNSIINSLCKEGRVTGAQDIFNFMIHIGEKPDVITFNSLVDGYCLVGKMQKASRVHDDMVSVGIEPGTITYSTLIDGYFKAGMVDAALTLFKEMSGMSAKQDTLTYNIIMDGLFKAGRTVAAKEKFHEMIKSGVRFSIGTYNVILGGLCKNGCADEAVMLFDKLRAMNLKFDIRTLTIIIDAMFKVGRIEQAKILFAAIPVKGLVPDVITYTTMMSNLIEKGLVEEADSIFSSMERSGCASNSRMLNIIIRKLLKKGEIVRAINYISRVDGKSMSLEASTISLLISLFSRKGIYHKHKDLLPERYQFLEGDIHS >cds.KYUSt_chr7.36831 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230138146:230139976:-1 gene:KYUSg_chr7.36831 transcript:KYUSt_chr7.36831 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPPRSSIRWHVHWQLCPLPPRTYKPRRSSGRYSMPDLVADPLPLLAAVADPPPILALVVFPGATPAVGELPPPPRRFPLRREREIREDALSSIFSLPLSSYPPPPSRATCPQHSPAMPPPLSFLHIPPNPPVLHPSPFLPRSHHRLSLRTDPPLRAAVAAAAAENPFAAAPTAPDVEMFRGGDGVWTARTPTVVVLWDLDNKPPRGPPFEAATSLREAASLLGRVDSISAFANRHAFTHLPAWVSADRRDRRALDRAERAGVVAPPIPYSCAVCGRRFPTRPDLTRHFRQLHERERNKKLGRLRSLKGKKRQKFHERYISGNTKYQDAARELLTPKAGYGLDSELRRAGVHVRTVPDKPQAADQALKRQVKHAIACGVDCVVLVSDDSDFTDTVRNARAAALRMVVVGDGCRALGKVADIWLPWDSVQNGEVDEEMMRSGRVPEFRYEEDDEQDDDEFLVDWDRNELDDVVDDIVTTRTKMFGATTVSAFAEEDIVDGILGRRLKEDDMLWSSDDEDDDDGYL >cds.KYUSt_chr1.18400 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107664545:107665117:-1 gene:KYUSg_chr1.18400 transcript:KYUSt_chr1.18400 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEAWKTQFRELMVEAEGLCRPHTSLKIVYARGFLAAPMLAAAAEDVRTILEVAEQALEDTAGDLDAATSMLGNARELARRGGDAPDQPLPRILGLPDMTNVQREASRKARDARMLAVEAYHAMELCCDCLLMIRHLLHHPFLPGLDGVIEHQRAQACGHLARAKEKVDACAALAARARDDVSAAAD >cds.KYUSt_contig_97-2.133 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:619563:621307:-1 gene:KYUSg_contig_97-2.133 transcript:KYUSt_contig_97-2.133 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKIHGLHIELDIIPEVDLYKCEPWDLAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVMMNHGGTPPRAIGMKKTLVYYRGRAPQGVRTDWVMHEYRYDDKADSDDTSAIQDTYALCRVFKKNTICAEVEELQEGQCSMALLEGACQQLLAGSGHRGNSQEYYQTPSPDVPVGSTSGTADADEDADKDDSWMQFISDDAWCSGAAAEEDSTSCVALAT >cds.KYUSt_chr6.26026 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164888920:164892410:-1 gene:KYUSg_chr6.26026 transcript:KYUSt_chr6.26026 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVPAAASSAAAPRSPWHSPVPYLFGGLAAMLGLIALSLVALACSYWNLSGGGLAGQGDDDQTDGQKRSVARLAGEWQGHVVVIMAGDEHPTFLATPVTTTGRDAEGGAEQAADATACCAACRSEERKLAGARVAATRPAGYEDDAHSRTACRGPAARNSSYIPQGFAASLNISFLSKPDSMWESWSSMPTSLAFSARTPSSHDIGDGVEDQYCPPTWLLKLK >cds.KYUSt_chr4.49823 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308624243:308626882:1 gene:KYUSg_chr4.49823 transcript:KYUSt_chr4.49823 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSDPSVSGSWRSVRKDRGVNLGLPVVLVGHELYIALPYPTSERTHAALKASGISNTITYFIRDVFKWVVVDEIHDPNGRPKSGCVRFYVAKMVELESDEEPMVQEEPMLADDDTDEEYAESEDDSEEEELQAEPSKKAIYNKERLLEKLEDIAWPENADWMHKLTIDHDQGEKVDVNDDLTRELAFYTQALDGTRQAFEKLQSKKVRFLRPADYYAEMVKTDSHMHKIKGKLLFEKKQIEEAEERKKAREMKKRSKEVQAEKLKERAKEKKENIESVKKWRKQRQQGGFSKGKEDGPNINFEVEEGLKQHKKQRPGVSPGDRSGGLSKRKQGKNSRSKDSKFGHGGRKGMRKQNTAETTNDFRGFNNQKGESGNKKRKMF >cds.KYUSt_contig_7589.20 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001601.1:197032:206673:1 gene:KYUSg_contig_7589.20 transcript:KYUSt_contig_7589.20 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRGRASSSGVPVVGFGGYHGASRVEPAADSDGSVRLPPDVDAEVLQHLRRLGRKDPTTKAFEYKRLLLDYNRDVRRATNDTMASLVSAVKKGLAPHLKSLMGPWWFSQFDPATEVAQAGRRSFEAAFPQSDRRMDALMLCVKETFLYLNENLKLTTQALSDKVTPMDELEDMHERNGHASVKIQREPLTTDGTELKHQRFVAFVDKLVLNLSFGEVILAPLSSFSRAWVAAEMICTWNWKGGSAFSTFLPSLVQYMKTEPGLEVSFVSLLLDTLLEGALMHESSDWALFNSWHLSDSEIEKIQDRFLRSLVALLFTAYTKESVLRESDAHVLFEQLRSSLLIGSAVNRKCLRTLPFVMSTIIKPLTEKVRLNEASPCTDLLGKSILSWLEEAISSLSSSPREVAQQGRLHSNSHKLYYCNLLLQSDNMSSVTLSDIEDWMQVVLSCFPLKITGGTSKLIVKFEREISDAETSLLLTLFSRYQTFYGSADPSLSSRETILSKTVELLGVKLTAVMVGYCWTKLGETDWCFVFHILRKWIESSVLLVEEMTDNINDAAVNQTSAQDILEKLKLIACTMEDLTFTFAESALVTLCHLNLVDNLHETDNSPNLQLIRSGEYAESNDKMMENILRLFLASGVSEAIAGSCSEEASSIIASSRVDHLHFWELVASFIIDASPQIRRSALDSMKLWGLTKEPVNGLYSILFSSEPISHLQFAAYSLLMSEPLCQLSLVKGSSVGEIGEIPLSSQESEVGQNIESIPDSEKALYLRDELTALIEMPTSELVKTDLVALERVNVFVAWALVLSHLQLLPLSSATREKVLQYVQDKISPSILDCIFQHIPLKTAAPSGKKKDVELMPEARAAAEASKGAITTCSLLPYVESLWPVGVLQMASLAGSLYGMMIRLLPSYVRTWFTSLRDRSLSYSIESFTRAWCSPPLLLDEFSQV >cds.KYUSt_chr4.27586 pep primary_assembly:MPB_Lper_Kyuss_1697:4:173352457:173355358:-1 gene:KYUSg_chr4.27586 transcript:KYUSt_chr4.27586 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDSEPTVAVKLFIDKEKKRVLYAESSTEFVDVLFSFLTLPLGRIVRLLGKRSQVGCLDELYKSVESLSEDHFQTKACKAMLLSPCNAAAAHCSQLKVKVDDSNRMYRCKEASCGYAVFSSVPDATCVCGHPVQYIKQWTKKELSHPPIVEKSEGGTFAISVPKFIITDDLHVAPSCTSIMFSLIEKFGIPQKGNIEEKLLQGAEEQLTGDEKQQANKNEKKHSLSFVSVVRPCDPSEEAPKLVKKTAVESSRCSQSPEAKHTPKHHPLLPPQKAPCFLAPDRGASDLSEISSLLERAMLTKQPLTGLCFDVAIAPSVTDLCNIPENMFGKQSVADPKFRAMNIRLVQSKEDSVLYAEVGQDFVDLAFGLLAIPLGTMMKSFSQLPQVGCIDNIYKSVGGSAKQECQTILLSPKLPPYFGCSNNILQVEESVPRYLRIGQTLVSERNPKSSTDKAYIKGGPMKFMVTNDLHIHPFCLTNTLDFLRASKIPTGKLVQKELILNQTQVLKFVGAAFGTRKALSSLLLPSKK >cds.KYUSt_chr1.28082 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169344484:169348567:-1 gene:KYUSg_chr1.28082 transcript:KYUSt_chr1.28082 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAPTDEEEAPMEEEEEEETEVEDDDDEFEWSDDDGPHPNETADQQRTLVESFESEKKLQDAARAREEAQIHRAVELSLQAAQQGRACDDALLEQRRLATALRMERRRAQQELRRRGGDNGAEPSNTPPSNPAPFGGRFGDVGDALSTLVLKCEPLGLRRPAGEMAETVAGWLVCPVIRIVVDKAKSCAADRIRWLNGGVPDALNQLDWTLTELRTVASAVELSRGARGNGGRDLDRWLLQLKDAVHEADEVVDEFEYRSLRPDGGKVDRARSSLVKFGKQLSGTDEPLNRLKAVIEKLAGIKASSGRLMQAAGLEASLSGELSGRHPTWEDPDTGSLLEEGEVFGRDAERKEMVSWLLATGPPHCPDRRAAAVPVAAIMGLGGMGKTTLARVLLHDRSVKEAFDLVMWVCPGATYHKVELVKQILQSAGVQVPDNMKNFAVLQKQLGETVSSKRFLLVLDNVWNRGDMDEYMWSEVLAPLKFGNPGTGSKIMVTTRKKIVATSLNASKHVMLGGLAFADVWSLFTRIAFGNDSVDKHPALHAIAEMLVPKLKGLPFAARVVGGMLKSTRSIREWKRISEMESYDNVNLTLELCYRNLQEHLQPCFAICSIFPKNWRFKRDKLVKIWMALDFIQPADGKKLEDVGKKYFDQLVDGSFFHERKEGHQNYYYIHDLMHDLAENVSRIDCARVENVDGKQIPSTVRHLSVTDEAVTHLKGRCHLDRLRTFIILKQSSSSLAQLPDDILKELKGVRVLGLDGCGMADLSDKIGQLIHLRYLALCKTITRLPQSLTKLFLLQTLSIPKRCRLEEFPKDMRNLKYLRHLDMDRASTSKVVGIGELIHLQGSIEFHVKREKGHTLEDLNYMNDLCRKLHIKNLDVVASEQEACKAGLRNKHGLKVLELEWNSTVKSDPSVDADVLEGLEPHPHVEEVRIRRYHGNTPPRWLNMSFRKDSKLCLLKSLYLTNCRKWEVLPPLGQLPCLKVLHLKEMCALKQIGSEFYGVKLIAFPCLTDLEFDDMPLWAEWTKADNTINNVFPKLRKLNLLNCPKLVKMPPFSLSIRKVTVRNTGFVSHMKLSFSSSSKACNAALETCSTSILTDGLLHQQQAEAISVLTLRHCQGVKFEELQGLTSLKKLQISHLDITDEQLGTCMQGLQSLTNLEIDNCSNITSLPHVENPSGLTTLHIRQCSELYSLHSLPNLAALESMSIENCSKLTVESFPTDFSRLGSLKKLNIMCCTELESLPSDFPSSLQVLDLIGCKPALLNQLQLKDGPEWDKIARVPIKRIH >cds.KYUSt_chr1.31488 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190920937:190922002:1 gene:KYUSg_chr1.31488 transcript:KYUSt_chr1.31488 gene_biotype:protein_coding transcript_biotype:protein_coding MELPFMTEERRQLHREGAARSKRIRASFLQIQNRVRDEFLEKGYVEVDDDYLTNMAESEKEGRAMWEESRKKRNPILTFAARDDPNYACFYAPFDPSDDNQTRHLIGSGPGNKADQEEELVKDEPFLSFWKAKAHGNC >cds.KYUSt_chr7.31159 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194052234:194057600:-1 gene:KYUSg_chr7.31159 transcript:KYUSt_chr7.31159 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNLSRATGRESSASIANGRPPPPEAIHGRSTASTREGRATELRPLCAVAAAHAGLPPRMALPLRRFFAAFAAPPILPALRPTLVLAPSDASAAAVASLAAALVGRLAMGPAATSSARAASSGTSWIIDSQRIASKIKNVSGSLDLSKQKWRSNPSKECPSCSHIIDNSDVVHQWPGLPKGVKFDPTDQELLWHLLAKHNKSGAEPHPFIDEFIPTVEEDDGICYTHPQKLPGVKQDGSVSHFFHRTFKAYNTGTRKRRKINTDNLADVRWHKTGKTKPVIVDGKHAGCKKIMVLYVSTVKGGKPKKTNWVMHQYHLGTGEDEKNGEYVVSKLFFQQQFKLEETNAQELTNTDALETIVAEADLPDLPEPTMEEDDDEHISSITNQEVLHNNEYNTDREALHSNEHNAYQENENCEINMEEKAAEENAAYPSSEKPEDGDNPSSQDPNLWEGDSQFLLDSQQLAENLAICDEFLQSQTSCGDGDEPGTIKPRLAVYAQLPVEDLKKDLEEYKDLGPSDNAANLELDNTSEFRLSQLEFSQDSFTAWPGGKLVD >cds.KYUSt_chr4.50669 pep primary_assembly:MPB_Lper_Kyuss_1697:4:313845426:313846246:-1 gene:KYUSg_chr4.50669 transcript:KYUSt_chr4.50669 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLATVRSGQCPADIGIPNGPAEDGTRGLRKAHKSKNTKFGSPVSIKGKLVPRSLAAPRRARMWHRRPSLAAGLASLLAAGRRLDSSNGRRRLQQPPPPTASSFAREDAIVVTEEDVNTSSPKKTSSHVYHLQKRWCQYVAAPVA >cds.KYUSt_chr3.19840 pep primary_assembly:MPB_Lper_Kyuss_1697:3:122152532:122154487:1 gene:KYUSg_chr3.19840 transcript:KYUSt_chr3.19840 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEDEVVAADLPSFSSGGKRRTDQDEAAALGTRDRSSEQESSDQLPFRKPRVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAIGCPVRKQVQRCADDKTVLITTYEGNHNHQLAPQATTMANTTSAAAAMLLSGPATSRDGAALFGLPAVFQHHQSFPYASTVATLSASAPFPTITLDLTQPPAGANGMPHRMPSVQQQPPTMPFAAPSQLAMYLQQRASTVFPDRPALGLDAQQQSMMETVTAAIAADPNFSTALAAAISSVMAGDAHRQDHPPISHGSTFGEGHGDGAAGVGSSTPAAGSHVVAASGGSPRLATQSCTTSIT >cds.KYUSt_chr6.12866 pep primary_assembly:MPB_Lper_Kyuss_1697:6:80233990:80238240:-1 gene:KYUSg_chr6.12866 transcript:KYUSt_chr6.12866 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGNRRVHNRLGPAPGASSSSSSSSSSGKVCHHWRAGRCNRFPCPFLHSELPEAAANSSRPNQRDGPGAGGHVWRNPNSGGGRGGGSGGPNRWGRGPGGADVAPRNRVQDRPCKYFLAGDHCSYGERCRYPHTYCMSNSITLLTPLQGHEQVVTGIALPNGSDKLYSGSRDGTVRLWDCQTGQCAGVLKVGGEVGCMISEGPWVFVGMPDAVKVWNMQTQAEMNLTGPTGQVYALAVGNELLFAATQDGRILAWRFSAATNCFEPAAALEGHQLAVVSLIVGGMRLYSGSMDKTIRLTVDVADLEVAARGKNSMSAARGGNRTPKIPDAADEIEVRCATKRNLEELQLQITHLQITYLPHTPTH >cds.KYUSt_chr6.5766 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34641097:34642406:1 gene:KYUSg_chr6.5766 transcript:KYUSt_chr6.5766 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSLAAASPLTSTARGLAVSTPRTSFLGLRALGASAARFPGLAAAPRRGEAAVVRMAKREQELEEIRAMETEKLEEEVVDLKGELFLLRLKRSARQEFKSSEFGRMRKRVARLLTVRREREIEQGINKRLSRKLDRKWKLGIVVRPPPSMREKKEEE >cds.KYUSt_chr6.8920 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54919096:54926289:-1 gene:KYUSg_chr6.8920 transcript:KYUSt_chr6.8920 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSKLGKKEDGGRGMEKEAKQQQQLQLRQRHAARRGRRPLAALAFVAMAVACSAAVHWQLRRETMERAELRLVSMCEERARMLQEQFGVTVNHVHALSILISIFHFEKNPSAIDQDTFAKYTAMTSFERPLLNGIAYAERIFPHEKEMFERKHGWIMKTMNREAAPLQEEYAPVIFSQDTVSYLARMDMMSGEEDRENILRARTTGKPVLTNPFRLLGSNHLGVVLTFAVYRPNLPADASVEQRVEATAGYLGGAFDVETLVENLLSKLAGNQDILVNVYDVTNASEPMAMYGSQTPDDKVGLLHVSMLDFGDPFRRHEMRCSYTQKPPLPWSAISNPLGTFVIWMLVGYIICAAWSRYDKVTEDCRKMEELKTQAEAADIAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLTMTQKDFAQTAQGCGKNLIRLINDVLDRAKFEAGRLELEAVPFDLRSLLDDVISLFPSKLKEKSIELAVFVCDDVPKLVIGDPWRFQQIVTNLVGNAVKFTERGHVFVRVSLAEQSNVETCRVPNVTLNGKDCKVESTANGAFNTLSGFQAADERNSWEYFKLLLSDKELPSNELEGEKCNQMDADQVTLMISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPSIGSTFTFSAVVKRASEDTSSDSERSLCEALPTAFRGMKAILVDGKPVRSAVTRYHLNRLGITVQVVNNMSMGVQAFGQNGATESREKPSMLFIQNDIWRPETDIQLLNRLHALRMNGQVHELPKLILLVTSEADKEKYGSTFNAVLCKPIRASTIASCLQQLLQVEIPVRKENQNRPSFLRNLLVGKNILVVDDNKVNLRVAHAALKKYGAKVHCVESGKDAISLLQPPHFFDACFMDVQMPEMDGFEATRQIREMEKKANEEKREQSTSAEGSTSADWHLPVLAMTADVIQATYDKCIKSGMDGGGAQVVVAAPRAIIFSRLQPHLDGDVEKLVGMVWSSRILSGCGDLRIVKELHRQFILLLRLWDGCGLFDPFGDFPSAINNVRLAQGGAAAAARRRHGLEVEDEGLLKDLVVIFIFLELLCTVRCFF >cds.KYUSt_chr4.52060 pep primary_assembly:MPB_Lper_Kyuss_1697:4:323134856:323135425:-1 gene:KYUSg_chr4.52060 transcript:KYUSt_chr4.52060 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILTLLVILAPLYMAAARLLCPNVLHVSMTVACSSAHGTKFMYDNCIDAMQRGGIDPSPSHTEETTVYAILAANQAIDSYSGTLQDLRIQLQQNKSLSRAESDAYHGCMNDYTTSTNTLAVIVNSCLNNCYFKKLSSLYVDGIMSLENCKDRMLAPWMNVPPLYPKVERDRSKIVIAYMLGYLLDGL >cds.KYUSt_chr5.39827 pep primary_assembly:MPB_Lper_Kyuss_1697:5:251770887:251771603:1 gene:KYUSg_chr5.39827 transcript:KYUSt_chr5.39827 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKVLLCHIAEPDGPSWQEARSRCWLHGRGQGAAVPAWLILAARRPDASEMTRHTSMALRPASGDDDDAAALACAAPLQAKVRTAEPNEPSWHVATPTPKTSPAPAAGRSHWKRIISLPSAPHRAAASCARAAAVGVLEPPREHLTAPPQSPVAQARVDAPEATQPVRAEKRPDQPVVPGKDTVAQADSSKIVCCRLQAAACHFETNQEEREDDFDEGETLFPCDCGSHVWCAERL >cds.KYUSt_chr1.9555 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58292890:58297031:-1 gene:KYUSg_chr1.9555 transcript:KYUSt_chr1.9555 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGSDADDSISLDEHHGLRYALMEAYLRGTDFQLHLPRLLGGLHGGGQCIPLLLIPAPAATLHGLDAFVLLRHHLICRLRLSVSASDTLCFRRSCGLHWGYDRGYGGIVDPGTPTTALAFSNLLVNLSLLALLSLAVAAGNSSTASGQILLNCGASNKNDDDSGRTWDVDTASKFAPSVKGVAATASYQDPSLPSKVPFMTARVFTSNYTYSFPVSSGRMFLRLYFYPIAYGNYVVSDAYFSITTRNLVLLNEFNASQTALAINSAYFVREFSVNVSTGSLDLTFAPSAHHNGSYAFVNGIEIVPTPDIFTAADTRFINGDSPAPFSFSPNTGFQTMYRLNVGGQAISRRDDSDFYRSWDNDSPYIFGGSGVTFSKDANLTIEYTSEVPKYTAPVGVYDTARSMGPTAQINLNYNLTWILPVDAGFSYLLRFHFCEIQYPITKVNQRSFLIYINNQTAQEQMDIIVWSGGIGRTTYTDYVIITAGSGQVEIWIALHPDLSSRPEYFDAILNGLEVFKLQVYGPNNLAGLNPPLPPKPDANPSGASGARKSKGAAGAAIGGAVGGFAVLLITCFGICVICRRKNKISKDPGTSEESQWTPLADYSRTRSSTSGITATTGNDTSILPSNLCRHFSFSEVQAATNNFDQAFLLGKGGFENVYMGEIDSGTKVAIKRCNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEDRSEMILVYDYMSHGTLRGHLYNTKNPPLSWKQRLEICIGAARGLYYLHTGVKETIIHRDVKTTNILLDDKLVAKVSDFGLSKTGPNVDNTHVSTVVKGSFGYLDPEYFRRQQLSEKSDVYSFGVVLFEVLCARPALSPSLPKEQVSLADWALRCQKKGMLGQIIDPWLQGKITPPCFIKFAETAEKCVADHSINRPSMGDVLWSLEFALQLQESDEDNSSFIEETSSSGASPLLVTRMQSDEPSTGTSTTTTTTMSITGRSIASVESDGLTPSTIFSQLMHPDGR >cds.KYUSt_chr5.9953 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63564869:63569784:1 gene:KYUSg_chr5.9953 transcript:KYUSt_chr5.9953 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTDTLSCLSTYLSSHNYIIGAFKPPCDTSITFAEARGRKQVSVKKDNGKTTMVPVFQSMETISGEVSIAPVPGKRIEHTGVKIELLGQIELYFDRGNFYDFTSLVRELEIPGEIYERKTYPFEFSSVEMPYESHNGTNVRLRYILKVTIGRNYVGNIVEYRDFYVRNYSPAPTINNSIKMEVGIEDCLHIEFEYNKSKYHLNDVIIGKIYFLLVRIKIKNMELEIRRRESTGSGSNTFVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLLDTPQAS >cds.KYUSt_chr3.28929 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180661107:180661709:1 gene:KYUSg_chr3.28929 transcript:KYUSt_chr3.28929 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDDDGGGASRAAGSDDCGYGCCMIGVLIYWSLWLVFVALPPIDIDALLPGLRELDGSPCAHDKPTTCSVEFLGARGLELALAPGAASPAFDLVVHVANGHFYELRHGGGDVVVSYAGVPLARGRTPGFRLPAREAGRWAVNATSAGLGMPADLGRLMAAERRWGVAQLEIDVGVAWQSFTCDALVDGQPSSSACRLA >cds.KYUSt_chr4.18199 pep primary_assembly:MPB_Lper_Kyuss_1697:4:114318684:114326349:1 gene:KYUSg_chr4.18199 transcript:KYUSt_chr4.18199 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLHQLPPMQHAMLAHPPSCKHDAAATMAATDMACLQQHQHQQQQQMIPPAVPSPNTPSGAREQCPRCASHDTKFCYYNNYNTSQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRLRPAPQQAFRRPAVHFGAPPPPPMPAPQPQQPHSHQSQQQQGGLLGSLFALGGAPLLEGRVGFDLGLGLPGLGQVEFGLHSLGLRGGGHAAAGTSSASLLWPAGLLENGSMDAWKMSGGGAGAMSMWAPEFSSAPTAAQRKSKTPKSRKKNSYSKFRKLKERLQFKSVRTEEEVLNEKPLRSVPPLEGVVPLATVAPPKEDGDEGDTARCASAGDVNNDDEKKPKKEYAEKKQKKMYAEKKPEECAEKKPKKEYAEKKEKANLFNRSSPMKVVRVCKAMTNDQRSFIIRAGFGSVLGMKCSKLIPELCRFLMECFDQDECVLDFGERGKIPITLDSVVRIMDVPMGSHPVPYQGNIQATNLVFQMLGIHDGKQPTITYLEKQLGKEYPADDDYLRKFIIYLMSFVFAPTTGILVSPKCYPAVLNTEAIASLNWAGFIIDTLIQTANAKGNSLETDALDASEEGPRICVWTNMMIKLVVDLDTKADGSFGNLPLKPCFRNKPSIFCYQPSVVDMFIKHHLPVNVDDKTLGQYRAAVTKMCTSFEDGVAEFITSLPSSKGQNYSSVQNEEGRNSRKKENKRKRRKVPNVKLPTEEVGDQEDDDSEELKGKNPDEVNVDAGCKLPKSNKRKTTDDYLVGVVATNKKSKVTSTFDGVVASNKTCVQDDQPDANSKDQDVEDEKETQQVGSDDTFLQEVSDVNHQKHEVPFKAEVSHDCAPNLMSPGKLHALSHLQLYGLGSQSSTDTPPAHNVEVNDGVTISAQIPSNNKKSVSFSVEVKDNSKTQTSVPISEQQISRKVNQGSPLVRRPVTRSMSPMKATTATNATPSPRRLTRLAAAAIKASQNKDDDFLHRATPNERIEVTPSELIDSTMNRKLELDFTAAEPAAETEMQRKIRELRDDCPSFDLGLDNPGSQQIETEGQVQQPDATMEEPIIISSNEDSGDSLDKIFATIEMPNTTPATVKCKVVHEVHDSPNNPSSCTPVPQPRRIVKPGPTLQSPYGNLVNKPTVPKSDAELYNKVCSYGGRTKHPLNEERIIDYGDYYILLRDLANSVKPEGLISNTTCEIALRVLASEMVNQKKYVMPLCIATKLRNATCNLDRTVRKAFQCTPSHRLDHKDFIMFSALQDLTPEIKDVMTGHYYLIVLNLKSGRFEVMDSLRNEGNKGLMADARNIIGSIKHFWQVNYSESKIDISTYKTVFIQTTKQDTTYDCGYFMLKFIESWDGKRMLPIKASDMPAYRKLFLKKWMDRQENLIN >cds.KYUSt_contig_257.413 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:3056808:3057962:-1 gene:KYUSg_contig_257.413 transcript:KYUSt_contig_257.413 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVDAVSAAILGLSVLLITGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLIAWFSETVVKFVGGLGLSWQLSFGVLVLLYFYSHYFFASGAAHIGAMFTAFLSVSSALGTPPLFAAMVLSFLSNLMGGLTHYGIGSAPVFYGAGYVPLAQWWGYGFVISVVNIIIWLGAGGFWWKMIGLW >cds.KYUSt_contig_60.324 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:1903046:1904116:-1 gene:KYUSg_contig_60.324 transcript:KYUSt_contig_60.324 gene_biotype:protein_coding transcript_biotype:protein_coding MCALPNSPPALTIDVLFGDRYVPVMITSSPTTPFAFSPATATYTPPMTPQVSTALPAMMMADKQYWTTHPTVMSLPMAKSTARAAGNVVVRPVWAHNLREEVELIESLLRRYRYAAVDTEFPGTVYRPKVPTYALTAEKKYALLKANVDELHLIQLGLTLFDAAGRLPDLGTGVQYVWEFNFREFDVRRHRHAQESIALLRSKGVDFDRTRRDGIDAAAFGPRLRKLLRGGLGDAGVITFSGAYDLAYLVKMMLGSGYKLPATPAEFESVVKAMIRKRLYDVKEMARRCPRDIDLRGGLDRVAGKLDVRRAVGEAHQAGSDSLLTCQTFIKIRERYFVGDDDLTTVAGIVAGITAC >cds.KYUSt_chr4.38611 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238159817:238160182:1 gene:KYUSg_chr4.38611 transcript:KYUSt_chr4.38611 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLDFEYTLAKPGSHKKLPLEERQCVVVLQLCVANGVLVFQIVHADVLPEALREFLGDNLIKFCGAAAGNNVKMLKCYNITTILEAQNLQRMIPNPTNKYPHFSVRLVQPLHCNRGCEEG >cds.KYUSt_chr3.34215 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214566305:214569182:1 gene:KYUSg_chr3.34215 transcript:KYUSt_chr3.34215 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGGVHDAAGVDLAPADEEGQFAEGENQQRVIKQLSCKIRWVSVLKNLQGMLNIPDIGQLRFCADATLLYRILLAMLTVRILATRVPNPMKKWLSWWTKFGYPQVIRQLKKTPRGKVANCRLLKDPRVQPSDSEIAMAFGSKCTRVDDQNNDEVEETGGHRVDVDLGRPPVSSTSSLF >cds.KYUSt_contig_786.543 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:3068688:3075099:1 gene:KYUSg_contig_786.543 transcript:KYUSt_contig_786.543 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKWRHRLRKSGKETPFRYQQIAKLLEIGLLCQEKDPYRRPFISDIIHVINELESTDWQISNENKSTAEQMSAYSEDDMLGIEPLELRFPFELNTKIPRSLELTNETNSSIAFSIKTTIPLPYCIEPKKDIVAPQSKYSVNITLHPIDKAPQDTLIGDFIVRSTKVNDNLKSEDINEDIFNRDGPKLVDEPSPCTSNELIQFDLPELPHQMFYTPDPTMDPPPRALAPSEVKYCKKALKAFDKKLKQPLAIHREYIDLTDVRKTLQSTQNFEVALNPDNRKRNRYTDAIPFDETRIRLQSSTGIQKSNDYINASLIKHYDIDQTKFISTQGPLVNTLEDFWQMVVENSSPVIVMLCKFDYIKCDEYLPLSKCQGKYGKFIVNITKVRQDGELILRSVKVQHNESLKVHHVLHIQHSTWPDHGVPNDTSAVRNILKRLYKIPKGYRIVAHGSAGIGRTGAYITIHNTVERVLLGEQSSIDIAATVNKFRSQRPGMVQTEHKVREEFLPETLVKYYSCFLDLLSLADSIDARHEGRFGFQKESLSNIYLI >cds.KYUSt_chr2.5129 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31785046:31785811:1 gene:KYUSg_chr2.5129 transcript:KYUSt_chr2.5129 gene_biotype:protein_coding transcript_biotype:protein_coding MDDARMVLETQQDHVSIVISAITAVETVSMTYGEAYHCQNLKRGPSTSENVVLLSVLTACRYRGAVDASKEIFDSVVAEYRVELGPEHYGCVVDMLGRAGRLEEAEELMLQMASGPSISALQSLLGACQIHGNTSIAERVASIVWCLRAAVQHLC >cds.KYUSt_chr3.36661 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230574163:230576085:-1 gene:KYUSg_chr3.36661 transcript:KYUSt_chr3.36661 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIAKTLGRTGTMTEDILKVFSNYDGRLSLEKLYAAAAAAGGGGEHSMPASSPPPTLPSAAAPPPVTSLERTVRTLDRQISQFVAMDRLIWADSADADAFLEAVDDLIGTVQELDAAGTSRGLLDRADELLSRCMARLEDEFRALIERPDDAAPPPPPDGFDSDQPISDDDVTDDGYGDEPIPIARPVSDFDVVIDALPPGSVSDVRQIARRMVDAGFGRECAEAYAAARRGFIDESVARLGIRCARTSDEVHASPWEELEFDIARWIPAFKMVFRILIPSERRLSDRVFEGLAPYGDLAFVAAVRTQALQLISFGDAVAAASRAPERLFRVIDMYEAVRDLLPDLDPVFADPYSAALRAEVSAVCSTLGSSIKGIFMELENLIRRDPARVAVPGGGIHPITRYVMNYLRAACGSRQTLEEVMDGDLGALGVTAIAVDPDRPTSSLAVHIAWIMDVLHKNLETKSKIYRDPPLASIFLMNNGKYIIQKVNDSELGVLLGDEWMKQMLSRVRRWSMEYQRGAWAKVMSVLQTGGSGFSGLPVKAMLQKLQMFNGYLEEIRAAQSEWVITDEQLRADVRAAITDSVVPAYKGLIARLRSSPEAPQDLFIKHTPEDIEACIQHLFEGVAK >cds.KYUSt_chr4.17264 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108008628:108011595:1 gene:KYUSg_chr4.17264 transcript:KYUSt_chr4.17264 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHRCRSVLAYPAGAPSVCCAVCRAITAVPPPAPAVEMAQLICGGCRTLLMYTRNADTVRCSCCSTVNLVRPVNNIAHVNCGRCRTTLMYPHGAPSVKCAICEYITNTGINTMSPTPCPRPTSNESAYNAPSTSAPTSHPQNVTVVVENPMTVDEKGKLVSNVVVGITPGKN >cds.KYUSt_chr1.8485 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52145449:52145748:1 gene:KYUSg_chr1.8485 transcript:KYUSt_chr1.8485 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTSARQAALRPPRAPSARQRSGHRGSPPAHALAAAPPAGRPALQPKKLLAPPGLQPLLGMRLPLAPLELLELRSPHRNSHTSLHRILPEVAAGSLEI >cds.KYUSt_chr2.28051 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172100645:172101112:1 gene:KYUSg_chr2.28051 transcript:KYUSt_chr2.28051 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSSNSKAKRSLGFQQGQRPSKKPRVGGGGAPAGPVIVYERPPRVVHAGPHEFMSVVQSLTGQQPSEPSLPEPEATTGARYNDGTSTSAAADDLVLITHGQQQQQLAPCADVSWASCVSGCCIVAPVPEQHHLLPGHDAGHTRAHQLSASLFE >cds.KYUSt_chr7.19665 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121944368:121951700:1 gene:KYUSg_chr7.19665 transcript:KYUSt_chr7.19665 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGKKDALVGGRRVVLAVNGVRYEAAGADLSMSLIEFLRTRTAVRGPKLGCAEGGCGACVVLISKYDPFTEEVNEFSASSCLTLLGSVNLCAVTTSEGIGNTKDGFHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKEAGRPAPPQGFSKLTTSEAEKAISGNLCRCTGYRPIIDACKSFASDVDLEDLGLNSFWKKGTDHADVSKLPAYISGAICTFPEFLKSEIKSSVNQMDNVPIEISDDDGWYHPRSIAELQVLLNSNWFDVKSVKIVASNTGSGVYKEQDLYDKYIDIKGIPELSVINRSSKGVEIGAAVSISKAIDVFLDGNLVFRKIADHLNMVATPFVRNMATVGGNIIMAQRLQFPSDIATVLLAAGSTVTVQVASKRLCFTLEDFLEQPPCDSRTLLLNIFVPDWDSDNMTFETCRASPRPFGNAVSYVNSAFLARVSEASSSGELLIEDIRLAFGAYGTKHAIKARNVEDFLRGKSVSASVMLEAIKLLKDAISPSVGTTHPEYRVSLAVSFLFSFLSSLASNQNEPARTDRLNGSDTNGIMNGGSESSSVKHVKVDIDCLSIRSRQELISTEEYKPVGKPIKKAGAELQASGEAVYVDDIPAPKGCLYGAFIYSTHPHARIKGIKFRSSLASQKVITVIDAKDIPSGGENIGSTFASLGEEALFADSVSEFAGQNIGIVIAETQEYAHMAVKQAVIEYSTENLEPPILTIEDAVKHNSYFHPPPFLAPKPVGDFSEGMYEADHKILSAEVKLESQYYFYMEMQAALAIPDEDNCITVYSSAQMPEATQSVIARCLGIPFHNVRVITRRVGGGFGGKAMKAMHVASACAIAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSVGIKSDGRVTALHLDLGINAGISPDYSPVMPNAVIGSLKKYNWGALAFDIKVCKTNVSSKSAMRAPGEVQGSFIAETIIEHVASALSADTNTIRRKNLHDFESLKVFFGGSAGEASTYSLVTIFDKLALSPDYQLRAARVERFNSGSRWKKRGISCVPITYAVTLRPTPGRVSILNDGSIAVEVGGVEIGQGLWTKVKQMTAFVLGQLCPDGGEGLLDKVRVIQADSLSMIQGGWTAGSTTSETSCEAVRQSCAALVERLKPIKEDLEAKSGMVAWSSLIAQATMVSVNLSAQAYWTPDPTTASYLNYGAAVSEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGIGFFTNEEYTTNSDGLVINDGTWTYKIPTVDTIPKQFNVELYNSPRNQKHVLSSKASGEPPLLLASSVHCAMREAIRAARKEFSVCTGQANSPITFQMDVPATMVAVKELCGLDVVEKHLESLLAAKA >cds.KYUSt_chr5.42292 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266547587:266549578:1 gene:KYUSg_chr5.42292 transcript:KYUSt_chr5.42292 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSQLKKKRGGHKSGDAKPAATLDRSSSKVLDGDETIFAEMARELREEGNKLFQRRDYDRALLTYEKSLKLLPSPATGPAAAADVAGLRSNLAACYMQMSPPDHYRAVNECNLALDAAPRYGKALLKRARCFEALGRLDLAARDVGRVLAAEPGNLTAVDLGERVRRAMVEKGFVVDEMAVLQTPEEVVAEAPKQQKPRNKKKGRKAAAKAAAAAVEEEGNPTAADPVPKEEEPPRQVKLVFGEDIRWAQVPASCGMAQLREAVRGKFPGLKAVLVKYRDREGDLVTITNQDELKWAEELVDPGSSLRLYVTEADPEHEPYLEDAGTGSLVNNTSDNGSIRSNNRQDEDRSTVTCIDDWIVQFARLFKNHVGVSSDEYLDLHEVSMKLYTEAIEDTITSDEAQEVFQLAEGNFQEMAALAFFQWGNVHMSRARKRLLLPEDSTKELVLEKVKEAYEWAKEEYSKAGKTYEEAVRAKPDFFEGFLALAHQQFEQAKLSWYYAVGSGADLDTWPSSEVLELFNRAEDNMEKGTEMWEEIEEQRLKNRSKPSQENAVLEKMGMEEYIKDVSTDDAAERASNMRSQINILWGMLLYERSVVEFKLGLPMWEDCLMAAIEKFKLGGASATNIAVLVKNHCANETAQDGKKNIAALVYSLVQPVLIS >cds.KYUSt_chr6.30576 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193763296:193763811:1 gene:KYUSg_chr6.30576 transcript:KYUSt_chr6.30576 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVFFDMTVGGAPAGRIVMELYADTVPRTAENFRALCTGEKGVGKQGKPLHFKGSAFHRVIPDFMCQGGDFTKGNGTGGESIYGEKFADEKFARKHTGPGVLSMANAGPNTNGSQFFICTVACAWLDGKHVVFGQVVDGMDVVKAIEKVGSRSGTTSKPVVIADCGQL >cds.KYUSt_chr5.8672 pep primary_assembly:MPB_Lper_Kyuss_1697:5:54903489:54915097:1 gene:KYUSg_chr5.8672 transcript:KYUSt_chr5.8672 gene_biotype:protein_coding transcript_biotype:protein_coding MMETLKLEEFTRMVTTLWALWHARRKMIHEDLLQSPLSTHHFIESFIQDLDVSVPKKGKKQGDSKATIPKWCPPPARECKLNADAAVAKTTCRGAVGVVCRSFNGQYMGSSAVVFEGITDPGCLEAMACREAIALAEDLCRGEIMVASDCLEKGHGGGESEKKLWDEGGGGNPGALEQHGTNHLGSGVDADLYVLTLDTLPLNPHIKERSDNIELDFKILIPELDFKNSELPALEKFGAVSQDHLHLRQPVQWQSHCKPHLKEIEAIEPGKPETRANTNCVQKNSELPALEKFGAVSQDHLHLRQPVQWQSHCKPHLKVQDPIVVRRLRSLPSLSLRPTDSDGQTPASSRSTTGNTRRHGDASLFPASTPTAGLPRAGQSMATAGQLLARQLPIVEVMEITTSSTKCSKLPPRPCSPLVSQSALSNRATGPAAAAAGNLRPQNRSDAAGSGHAAAPTILLVAHQKTGGRAQDVFLLLTPHLWTKKSWSATPLDILCVHAPHALMLLVLLV >cds.KYUSt_chr5.18758 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121249863:121256650:-1 gene:KYUSg_chr5.18758 transcript:KYUSt_chr5.18758 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLSTVEETCVRDKAVESLCRIGAQMKENDIVDFFIPVVKRLASGEWFTARVSSCGLFHIAYPSATDPLKTELRTIYGQLCQDDMPMVRRAAASNLGKFAATIEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAVQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVYSIREAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLVPILDQSVVEKTVKPCLVELSEDPDVDDKQSQKHMLLSDVALLIGVEIDEGMRSEG >cds.KYUSt_chr4.10722 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65079538:65082531:-1 gene:KYUSg_chr4.10722 transcript:KYUSt_chr4.10722 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGSSAGGRSTDPLEGVRAIVLKPSESLDESRFTRIAGADFNDAGLGLDGLLGSLASTGFQASHLGDAIDVVNQMLDWRLSHEKPTEDCDEAELDPKYRESVKCKIFLGFTSNLVSSGIRDVIRFLVQHHMVDVIVATAGGIEEDLIKCLAPTYRGEFSLPGALLRSKGLNRIGNLLVPNDNYCKFENWIMPIFDKMLQEQSSENVWTPSKVIARLGKEINDEGSYLYWAYKNNIPVYCPALTDGSLGDMLFCHAVRNPGLIIDIVHDIRLINGEAIHASPRKTGVIILGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVYIHAYLQKYSV >cds.KYUSt_chr1.28464 pep primary_assembly:MPB_Lper_Kyuss_1697:1:171921670:171923169:1 gene:KYUSg_chr1.28464 transcript:KYUSt_chr1.28464 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQQQGGAEETLMQRCKPYLAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATLSIAPFALILERKVRPRMTLRVFINIFLLALMGPVIDQNFYYAGLKYTSPTFSCAMSNMLPAMTFVMAVIFRMEKVNLKKARCVAKVAGTLVTVAGAMLMTLYKGRAMEMIWSKHTHLHDGPHQDAAAAAKDWFKGSIFLIIATLAWASLFILQGPTLTRYNAPLTLTMLICFVGTLQAIVVTLAMEHTTDVWKIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQVRGPVFASAFSPLMMIVVAIMGSFILAENIYLGGIIGSVLIVAGLYSVLWGKHKESVEKKDIEATEIPVAIKGVDANGRIMDIVELDEVQLEKAKANGKAVTISVPAEEARMQRDGEN >cds.KYUSt_chr1.41681 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255496455:255498467:1 gene:KYUSg_chr1.41681 transcript:KYUSt_chr1.41681 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQVGEALGGVAALMAFHHELRVNPRQCRLLADACALAFDAVAAEVRAHLRFDDRLVGRWRPLESPLRELQRAVRDADHYIRHCLGDTHGGGNTSWWARAVVATHGVECVELHMHSLLWCVAVVLEAVEVAAEAAASSDPDELARSRTLFGRDYDKDLIDPALFRRSKVGMAYLSTQELIARLDTAWKEDRWLLSQLLDEMKQGSSKPMSRHEHRLADLLAAPRGKLHPASALLAGGDFHIRRRLGGSLKEAQWMGEAIAVKHYIGVDADDAAVCAEIALLTSVAHPNVAHCRYCFHDEEKREAFLVMDQLMGKDLGCYVKEVTGGGKRTPRAPLPLVVVVDTMLQIARGMEHLHSKNIYHGDLNPSNVLVKQRHGAADGYVIIKVAGFGGHSAATATSPARKASHATAGTNANANANGSGNGGANPCIWYAPEVLENDEAAARRTEKADAYSFGMICFELITGKIPFEDNHLQGDNMSKNIRAGERPLFPFQAPKYLTGLTRRCWHADPAQRPSFSSICRVLRYVKRFLVLNPEQHDAPAPAVDYLDMDAMLQRKLPAWQGSASAPRVSDVPFEMYAYRVMEKEKGKAAPGILHISDSGSDGNSLCGDESVHSAATLPDIAETASPTTWSRSLSARSSGSGSGRMPPALSSPRRPAGRAAAAKAGK >cds.KYUSt_chr5.41204 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260070520:260093978:-1 gene:KYUSg_chr5.41204 transcript:KYUSt_chr5.41204 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAVVSMEMPSGGTSPSRRRAGTETSVPQILASRWRRLWKVSRTVAYSSRRFSSQLRLPAHLFGVYDGHVGPEVANYCRDKIHVVLRDVLRAGKGLGEVGEVDVKEPWEKVFGDCFQKVDNEVSDKASMFSNGSSESRAEPVAADNVGSTAVVTAVCSSHVIAANCGDSRVMLCRGKEPISLSVDHKPDRKDELARIEAAGGKVIDWNGYRVSGILAMSRSIGDRYLKPFVIPKPKVTVVPRAKDDDCLILASD >cds.KYUSt_chr3.6207 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35522789:35526758:-1 gene:KYUSg_chr3.6207 transcript:KYUSt_chr3.6207 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPAERTFFLVLLLLVLAAVQSAAQQGKRYSVMDFHAAGDGSTDDAKAFAATWNATCGDSCSPTMVIPGGKTFLLSQIMLDGPCKSPVSVELDGKIVAPNSIWTTAAANLLTFYSVNNLTVNGSGQMDGNGDIWWTCFNQKKCHVRPILLAFASCNNLSVKNIHLKDSPDKHMTLFRCSQVQVNNVSVRAPGDSPNTDGINMALSDHVYISNCSIQTGDDCVSILSGTSDVIVTNSTCGPGHGIRLGGEGVRCRRATYYLVFMVGRRRKGGNGKANGFVFENLNMTDVQFPIDIDQFYCPRGNCPPQNSAVAISDAKFINIQGTSSNPEAIQIMCSQSVQCRGIYLDNVNLTSSSRTAQTRATISNAYGTVGGMVKPHVQFLGA >cds.KYUSt_chr2.27526 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169052771:169052995:1 gene:KYUSg_chr2.27526 transcript:KYUSt_chr2.27526 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVHTDMMAEFLRASGGAVVMDGGLATELEAHGADLKDTLWSAKCLFTCPHLIKKVFSFSLTFASRLIRIPL >cds.KYUSt_chr5.13559 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88147425:88148573:-1 gene:KYUSg_chr5.13559 transcript:KYUSt_chr5.13559 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWSSKAIAPAKPPLPEARPWSDLPPELAGLVLCRLPFLADRVRFGSVCRHWRHAVRQQAPALPPAFPWIISFNGLTYRSIQDGQVSRLSRPSKSTACWGSSENWLLLARPWHGHGPKNYNFLENPLSGAVIPLPGQLDPDMSVNGFAELACIHKFIVCSNNVIVATTISCPLVACCRPGMSSWSTSLHAGSESYYEDIAFYNGEICAITTRGDLFAHEVSVDNGNGEATVSSAKQVIKGSDTGYEGGITRFLVVSPCGNKLLMVNHEKCHGLLDDGYVFKVFEADVEMSRWSQLASLGDQVLFLSRKCSKAIPASTHDDEYFRGDKIYFLDRALQGPKKCRSPTGLTSSGMYDLRNNAYHPILSDNLRVGDVMSWFFPHK >cds.KYUSt_chr6.27410 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174049781:174050920:-1 gene:KYUSg_chr6.27410 transcript:KYUSt_chr6.27410 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCVLTHLLSSHPAASPLHRLHSAAASPSSAFAVEQYLVDTCGLTRAQALKASTKLSHLKSPTNPDAVLAYLAGLGLSSADIAALVDKDPEFLCAGVERNLAPTVVQLTGLGLSHSQIACLVSLAPAKIRRRSVVSRTHYYLSFFGSSQSFLQAIKRCPRLLSADLENPVKPNVAFLQGCGLGACDISNTCLARPSMLLTNPERLKAMVANAESLGVPRGSGMFRLLLRGGGLLSEENFAARMENLKNTFRWSDAELITAVSKNPMVLKRSKETLERSSKLLISEIGLEPAYVARRPSMLNYSLEGRIRPRYHVLKFLKGNGLLDRDRDYYSAFKVTEKVFVDKYICPHNEVAPHLVEDYAAACRGQVPTNFRFA >cds.KYUSt_chr6.8029 pep primary_assembly:MPB_Lper_Kyuss_1697:6:49388305:49388793:-1 gene:KYUSg_chr6.8029 transcript:KYUSt_chr6.8029 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLGLPRGSRPRSSVEIPEWPSPSSSRAAAHPVGRPGRGSGCWASATTRHSQRARASSQPPPKNAELQRDAAMAARERRRIQARVNRSRAGAKHEGLRWGSASSASNGGAGNREVDLRPCFVEARAGKLLYGEFDLGISRAPPRAVVFDLGKFLDLGEETN >cds.KYUSt_chr2.41717 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259591718:259597240:1 gene:KYUSg_chr2.41717 transcript:KYUSt_chr2.41717 gene_biotype:protein_coding transcript_biotype:protein_coding MILDCHEEQQRHHHGRALVKGACPGQDNGHGDDYCYGTNRKRVEVRRFSPRTKMARRSSSGTTEMKKRKQTCWLQERDEVEEGVLELLRLRELREEEDEATWAPSPRSRFMEEGMEESAMAAGKRKQAARVGGLIWRGRVDEEDSQALLVLHLTKDSDRTRLTLTLRPDNQTIAVGGWWRQYRLLEQQRHHHGRALVKGACPGQDNGHGDDYCYGMNRKRVEVRRFSPRTKMARRSSSGTTEMKKRKQTCWLQERDEVEEGVLELLRLRELREEEDEATWAPSPRSRFMEEGMEESAMAAGKRKQAARVGGLIWRGRVDEEDSQALLVLHLTKDSDRTRLTLTLRFGLGWVRFSLG >cds.KYUSt_contig_2824.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000527.1:122127:126845:-1 gene:KYUSg_contig_2824.15 transcript:KYUSt_contig_2824.15 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETERSSTESSPASGLDFEDTALTLTLRLPGSSSAAADADRKRGASSSTSPDASSLAAAASGAPPAPKAQVVGWPPVRSFHKNALAAKFVKVAVDGAPYLRKVNLHDYAGYDHLIRALQDKFCSHFTIRRFGNDETKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWNTESCPMKHTAWPLRTRLPERRRKLCSAEVLHVMVLSEAHGSAGLVMCDRVMCDRLQVRSLVSPSFNLTPVGGPPHGLLPLPPPLATVMDALRRDGDHPCLPLLDLPHFVAGHSYHLARPIYRLLHRERLLVLPLLKVVLSRVKTSTGSALRLSREMYCGSVSIYLIPGDDEPVEVDMVVMATVTA >cds.KYUSt_chr6.32005 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202227827:202229119:-1 gene:KYUSg_chr6.32005 transcript:KYUSt_chr6.32005 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSGLRNFADLLHPRSGRPAVPKPVADDVTTRYHLFPGFPSKKTKSPSHMSDAGQIWPPPPLDDEDVLTEILCRLPPLPSSFMHASLTCKLWGRLVNSAAFRCRVVDHHRHAPIFGVYEKYARTLRFIPALDVPDRIPPKRFSLKVGADGSATVDHWDVLECRHGRVLVINLTRRELLVFDPVSGDRRVIAFPLDFLNNICTANGAAVWEDEGAGHSSKFRVVLVGFWGDGGEGKVAARVYSSETGEWGDLVAAPDRPEPCLVGRLPCTLVGNGLYWWLAEPLGSILHFDFGTQNLTIIDKPPIANINIGCSRIIRGKDGTQLGLAVLSYPTLQIYDREVGSHGALMWVLRKTLHMDKILGLPTRMADRDTFIRGYAEDAGAIVMSAPHGIRNALYIVQLESMKHREIHGNFFESSYHPFANFYIGRP >cds.KYUSt_chr3.39253 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247292449:247300961:-1 gene:KYUSg_chr3.39253 transcript:KYUSt_chr3.39253 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTGTRSGLGCRPWGRSVPERHVKKMGKKGNWITALKKAFTTNPKEKATNGQLVAQYSQPPPPPQYRSERDKKKWGFGRSRQHADPVPTMAGMSMPLYRQPSSIEKILGDAEMERQYYGRPPAQYQITPARPTTTTVSAAPHATATAPTTPLARERERDGGGSEKQQQQYTTAVLPLPPPPACSPPPLIRRFDHDREQQQRLQRLQQGRAAEKITEWRQPQQQQRPRTRALAARQAAVPPEQARAAAVAIQAAFRGYSARRSYRSLRGLIRLQGVVRGPSVRRQTAHAMRCMRTLVRVQAQVRASRVEAMERRNHRALLRDDGRWRSGSQDGGMWDDSLLSRDEADARTKRKAEAVMKRERALAYAYSHQVLKATPMAAHAILADLQSGRNPWWWSPIDRSHEPEYPRHVEPMPIVVRHKPAPAVAHREMMTPMMTPMTTAANTPARSVVSAYYPKQPSSRPVTRATRGAPNHGGVGGGSVRDDESLTSCPAFGVPNYMTPTMSASAKARARAHVLQQQLDKERRAAEQKPRFSFGLGQSIGSWAKTPFWKGGSGGGGQPSVPPSRAGTPAASVAGRRHRRSVSGLSVDSTVSMPAGDCVAAIDGTHITSRVPRSESHAFKGRKHYTSQNVLIAVYFDMRFTYVLARSEESAHDATILNDNLERADGLKVPEGKFYLADAGYACRPSFILPFRSTRYHLNKFSSRFYPKNTNELFNLRHSSLRVTVEGEFAALKNRFKILDQKPFHTFDNPQV >cds.KYUSt_chr3.12271 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73242567:73256728:-1 gene:KYUSg_chr3.12271 transcript:KYUSt_chr3.12271 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPSQGRSDSPAHGVSDRVVGVLGGGQLGKMLCQAASQMGIKVAVLDPLENCPASSVCHQHVVGSFDDGDTVREFAKRCDVLTFEIEHVDAATLEKLEKQGVVCEPKASTIMIIQDKFRQKEHFSKFGIPVADFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKGLSSVVSSLGGFEYGLYVERWTPFAKELSVIVARSRDGCTVCYPVVETIHKDNICHVVEAPAGIPEKMKKLATSVAEKAIKSLEGAGVFAVELFLTNDNQVLLNEVAPRPHNSGHQTIEACYTSQYEQHLRAILGLPLGDPSMKAPATIMYNILGEDEGEPGFVLAHQLIKRALNIPGASVHWYAKPEMRKQRKMGHITIVGSSMFIVKAHLDKLLQRDTDAVNKARPRAAIIMGSDSDLPIMKDAAAVLEKFNIPFELTIVSAHRTPKRMYDYALSANERGIEVIIAGAGGAAHLPGMVASLTTLPVIGVPIWTKSLQGMDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELSGRIYEYQQNLEDSVLGKAQRLEESDGKELWDALNAKFGATDAGSELYIMESFHDIRMVNNRSVVEQAHEIQCIAKELELLKCALPDKFVAGCIIAKLPPSWRNFAATLKHKRQEISVENLIASLDVEEKARAKDNTEKGEGQSSANMVQKKPYSKNKGNNKPSFNKPMKTTTFKKKKMINKADLSCFTCGETGHFSKDSSGEGRPQEKGEASQHGDR >cds.KYUSt_chr2.7005 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43723037:43725432:1 gene:KYUSg_chr2.7005 transcript:KYUSt_chr2.7005 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMDYWVGFFRGAGENIFDAIDAAIDVAASDHPAALRARRDAIAERLYTALLVVSSAGPPASAAAAPAARPQAGPPASQPQVLPEGAGSVPSLCSSDRAEAITDDGAPRRGDDDGAVVAEAERIKGVLLNHQEKSEAALLELLRRLQQLEFTVDTLKVTEIGKAVTSLRKHSSKQIRHLVRLLIGGWKSIVDEWMSSGGGGDAIVDHTPQSMHPSSLEQEDRGLSTPSMDDGSLFATPSTSIRLSEDNQGSRMFDGMDDDGNTRNSGQRYPGNQEPIRRPPQPMAQQYDPDQSWRQEQSAARQSRPQELTNGQTREQFIATMLARPSSCAESGPGRPQVRPKQQQGALSTQGKPQPAPSDKPADTNSIRAKLDLAKNAKLEMATNSKLEVTKRKLQEGYQEFDNAKKQRTVQMMDPQSMQKQGSNRNWQPNSNTKPRNNGNNTNNNRNWPR >cds.KYUSt_chr1.5148 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31724894:31727421:-1 gene:KYUSg_chr1.5148 transcript:KYUSt_chr1.5148 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEKGEVFKWLHLRCHEVHGKKMPYDERYTQYIKKTGLLPFVHLVSRSTPHMNPCAITALVDRWRPETHSFHLPCGEMTVTLQDVSMILALPIKGEPVCRSTSSDGWREAMRDLIGNAPTTEKMLAGAPYSWIQRNFKKCPEGAEDEVVEMYARAYLWYVVSRVLFSDGTGSNASFMWLQLFAGWEHNLSWGTAALAYLYRQLDDACCKTGKGASIGGCMLLLSIWSWLRLPVGRPIEMRRNDWNDHRDPLRFPTVAYIWDNTEPFHGESKECYMRYISQLDALTPEKVTWEPYGEPGSIARHILFRVNPKCLEEAHLWRMTCPLICFYAVEYHLPDRVMRQFGLFQETPPPWKDTRIELHELDKIRQKKEKNWPIRHRHYINKFKAIIRRIEDPKTVPVPLQPFDSEAFNRYLFWLGSVSRLYIKPPAFAPVDVGDGTYPDDEDMAKLDYNRRTREGRNPDPTRELRFVREEVSRVLSDAELALQSGTESTLRVFAERTKNWARGLCALLGCRTIEAASPTRPTPTSSYEHNEDEDEGGGDDEVDGDGEDDEGGDEDEGVDEDEDEGEGDGEDDEGEDEGEEEEELGAKQHDEIGMSQLLDAPSPSQRLKRHKPREPYTPDEWNKKKALEEERARKAERARKAHEDEDDDGPRRRRKNEHRMKANADRPTAKRGKGRE >cds.KYUSt_chr5.12242 pep primary_assembly:MPB_Lper_Kyuss_1697:5:79850095:79855020:1 gene:KYUSg_chr5.12242 transcript:KYUSt_chr5.12242 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRKEKGGDPELVRNSQRSRFESVELVDEVIALDEAWRQRQFELDKIRQELNKTSKEIGKLKAKKQDATELIQSTEEIKGRLAAKETEVQEAKTTLDAKLVTIGNIVHESVPVSKDEANNAIVRTWGERRLEGNLKNHVDLCIMLDIVALEKGADVAGGRGYFLKGDGVLLNQALINFGLAFLRGRNFTPMQTPFFMRKEIMGKCAQLAQFDEELYKVTGDGEDKYLIATSEQPLCAYHLGDRIYPGDLPIRYSGFSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKNSEDFYKEIGLPYQVVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDYQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENYQKEDGVEVPKVLQPLMGGIDFLPFKRPLDSKQASDAKPNKSKPKGNAA >cds.KYUSt_chr3.8643 pep primary_assembly:MPB_Lper_Kyuss_1697:3:50248439:50249020:-1 gene:KYUSg_chr3.8643 transcript:KYUSt_chr3.8643 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWCRPCRSPLSRDHYEGPHAPISSSPGPLAAPVLLPRTSSMGFLMGACSGDGIPLLFSSPLWTSAGVEHGEEGHLAEFHRPAMVAASPAAPLAIGAPTPPRTAEQGGRGWRATRPLVDLGGVWFPLRDREIQIVPCLGVFAPSRWTLKGSSSSALQPG >cds.KYUSt_chr6.1902 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11403075:11403935:-1 gene:KYUSg_chr6.1902 transcript:KYUSt_chr6.1902 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLRVPDSGGSSSIGIVADDWNGGGRCIGARIRGVNVGFLDEQVLVLVFRALDWDPRTLSSVARASRRLRAVAERVLWRDLCASRAPLMVAALTTTATGRVGGGWPALAKLLLFCSGAAAGAAVPGHFAPMSRFSKTSGRSFLPRRCGRDVLYVSDPCEHAAPGDDGEDDLGAYRGVFRGFVGSRTRARLVDSRVPLEPTVRCPYCGASVWNVAAPRGARRRLGAHERRLQYFVCVSGHLHGSCRLARLTSSDGGAVGSGSDDDDDGFADADSGRLRTTGRLAV >cds.KYUSt_chr5.29850 pep primary_assembly:MPB_Lper_Kyuss_1697:5:189262741:189264590:1 gene:KYUSg_chr5.29850 transcript:KYUSt_chr5.29850 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSCCAARPTSLWWQRSGDPRHGSMGKGQIWMNGHNSGRWSYRASASCNYTGTYRETKCQTDCDDISQQWYYDGGWLKLSGNLLVVPEEFSGHLSGIFFEMGVSD >cds.KYUSt_chr7.26166 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163355692:163356144:-1 gene:KYUSg_chr7.26166 transcript:KYUSt_chr7.26166 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWMAASSSSGSASRSASRSCGTTPPPATPRTARKEPASPPPTRGRSSGSLVIREGACTSSSLSRGRKRKPNKEDAAATAVFDLTAEDAAVREAIARSLNDLVPADNALPMDAALAWSRQDWKRETAVAPGPGGRAVPLIKLEDSSKDE >cds.KYUSt_chr3.29377 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183918628:183918924:-1 gene:KYUSg_chr3.29377 transcript:KYUSt_chr3.29377 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIEGYRVAGGPLGEIVDPLYPGGSFNPHSLAEDPHAFAELKVKEIKNGRLAMFSMFGFFVEAAVTGKGPLENLADHIVDPVKNNAWAFATNFVPGN >cds.KYUSt_chr7.37080 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231435752:231436594:-1 gene:KYUSg_chr7.37080 transcript:KYUSt_chr7.37080 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRISHTARLPVCGVPARASLSPPHAATSPSSRRAALGSFSTRPHLVLASTPAPRADLVNRYLVVMGLSPTKKATTTTRSSLPREQGLRGRARHRLQVRHGLAAKGRRHRYMRPPPLLHQATVVATRATVAGAGADATCGRDRCYIRPPSMLQQVAALATRTTVVAPMLRAVAAIATRTIAMAPMLHAAVTIATYGQRRCYKGHRGSTDATCGRRHCYIRPPPLLQGPPQWCQCYMRPPRPFMQETMARATAVDSLAAWRCCERPRCCYQMPTEVVLL >cds.KYUSt_chr4.51760 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321251754:321254668:-1 gene:KYUSg_chr4.51760 transcript:KYUSt_chr4.51760 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGIARGRLAEERKAWRKNHPHGFVAKPETVADGTVNLMVWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPANFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPAEYKRRVRVQAKQFPPLV >cds.KYUSt_chr3.19461 pep primary_assembly:MPB_Lper_Kyuss_1697:3:119668551:119668991:1 gene:KYUSg_chr3.19461 transcript:KYUSt_chr3.19461 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHYGTLGLRSDATKAEVKAAFRRCALRDHPDRHAQSGDAGARTDAARRFRQASDAYHVLSDDRRRAEYDLRIRSSSSYGRTSSSTWASSSASSGYGYGYGHGHSGGSWRRPPPGRGGASVGYDWGLLLKAVTRRRFLLNLGFAR >cds.KYUSt_chr5.4259 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27241179:27242715:1 gene:KYUSg_chr5.4259 transcript:KYUSt_chr5.4259 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVLPGKLRHRWQGGDGHGVEAIAMRSSSSMSESSTRCVITDNMEERHRQVVAPMENMEASHRRAAPPVVNMEESHRRVVAPASCTTGGKHGGESPAANMRQRARHDGALVVKAWEVYSGSDFERVRVAGVEERNAHMRVDKQSIPAAAAARHCEGNQSAIHSPPAISYLGLGKQKPRERESGSGDVRGGEKESGSTGCVERIVSQRIGVALTKVPLLPPLTV >cds.KYUSt_chr7.15961 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99065285:99066076:-1 gene:KYUSg_chr7.15961 transcript:KYUSt_chr7.15961 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVRSVWAENFKAESRLLHQIAPLARHVALNVKYPGCVFGHGNGRSHRDLTADEERYEVIRANVGLLRPLQVGIAVCTDDGRRFAWEFNLRGFDVASPKHARDPKSVAYLASHGVDFSRLPRDGIDGFRLRWLLRDSGLIRARPSWATFTGAYHVAYFVTMMYGEKLPDSVDDFMKMARDLIGRQLYDVKRLAREHDRSCVGALSNVVEKLNVMPPREGISKSKPAGTGSMLALLAFETLKHKLGPNMEKYRHELCGLQVV >cds.KYUSt_chr4.9390 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56665942:56666477:-1 gene:KYUSg_chr4.9390 transcript:KYUSt_chr4.9390 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGYTGEGGDSIMSWPRSTSPTSSEVQLARQADDPWYIAYQDESTQWCSQRMDLEEKVANLGDELARKNLMIFELKRIVDEEKKNSELIRKEKLRVETDLAVFDQVVENLEHELKVMGEEKSRFICAVLLGVIPVLAVMWFW >cds.KYUSt_chr2.42353 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263818225:263821156:-1 gene:KYUSg_chr2.42353 transcript:KYUSt_chr2.42353 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAYVYLGVALVSLFIVLASRRRSAVHGGDGLRLPPGPWQLPVIGSLHHLAGQLPHRAMRDLARRHGPAMLLRLGEVPTLVVSSREGAREVMKTHDTTFATRPLSATMRVITNGGRDIVFAPYGDYWRQLRKIAVTELFTARRVLSFRAIREEEVAAVLREVGEAAAAEHPVVEMHALLSALVADSTVRAVMGDRCKERDAFLRELERSMNLAAGFNPADLWPSSRLAVRLSGAVRRAKECRDAVYGILDGIIQEHLKRMDSGTDQDDDLLDVLLRIQKEGGLQFPLDMDAIKSVIFDIFGAGSETAATTLEWAMAELIKNPKVMKKATAEVRQAFQSHGAVSEHALSDLHYLHLVIRETLRLHTPLPLLLPRECQEPCQVLGYDVPRGTQVLINVWALGRDERYWPDAPEEFRPERFESEAAAADFGGNDFTFLPFGAGRRMCPGMAFGLANVELPLASLLFHFDWELPSSTELDMGEAFGLTARRRAKLLLRPILQVPVPGV >cds.KYUSt_chr3.13671 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82456073:82457352:1 gene:KYUSg_chr3.13671 transcript:KYUSt_chr3.13671 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSFLKYLRGGAVAGYQRAPVPATTIAASPCEDGGGGGGDVVDDDAAFFDLEFAVPAGDEGAASDEAEEERVEFNFSVAGDVASGGAEVVAVEPVGDDGAVAKEAAATAEAEPPAASFLRPATKFRVLLLKLRKPKLAAAPADGNGAAAPKPTNRFLIKFRVEDAPFASLFTRDTSSRTSDAGARPALQAAQPSDAVAITAEERRFAKEVVLKYLNKIKPLYVKVSRRYGERLRFAGASEGEETDAEPEPEPSPSATPAPSTQPRAAAAAPPQPQPQPAVVVACGVRAPRAGVPAGLKQACKRLGKSRSASSAVAAAPSPSQTPPTPTGGQPQRRDDSLLQLQDGIQSAIAHCKRSFNASKGSESPLLRSMTDPAPRTGGAADAKDGGDGA >cds.KYUSt_contig_686-1.151 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1147348:1147803:-1 gene:KYUSg_contig_686-1.151 transcript:KYUSt_contig_686-1.151 gene_biotype:protein_coding transcript_biotype:protein_coding MWALAREERWALEGSSYGCVLPKAEGGEDTPLAEQKLRIGISYKIANKIHKICVAAGEEGRAASLQGKKERRGLDPAPFDSVRSGGGDGDHVARRGGGYGVGRDCVLLDVPCTRAIKTKSLVAGLAEISEGADDFGGEKAVASMELVRVPI >cds.KYUSt_scaffold_6468.916 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4416590:4419845:-1 gene:KYUSg_scaffold_6468.916 transcript:KYUSt_scaffold_6468.916 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHRQSLGNVISGEAHFSLRLAWAVRDAFSGRALVLGKGHGGSVLRWRCSREKPCDDEAEEPCQLRARHRPAMTTSGAGHWFLGRWTLELESKVSAPRKFRACVMDWHTLAPKLAPHVIDNAHHVEGDGGTGTVRHYNCGSAVPFNAMKKKVEFLDVDKCECKYTIECDGVETSTWNIKMKPTANGGSVAIVECTSKGVQANDMMLKAKESAAEMFKTVEAYLIANPNAYN >cds.KYUSt_chr4.46744 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288975170:288978444:1 gene:KYUSg_chr4.46744 transcript:KYUSt_chr4.46744 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAVIEIVSDDETEVSPAAKPPADALDWASTLLLDDDLGGFGEGLVDDSKLIQDFLATLEGEKKSAAAAAAEDDDDDDCVILEGDPDKPLVLVVKEEKKPGDKDGPDEEELQVLGEKGEVACRDFPHPRHLCAKLPFRTGFHANHCTMCHCYVCDSPAPCPSWGKGTLPTDHCHATDKDGKWSKQRQLLKRKGLSPSKHENIKKMLLSSTRTPSSQQYTGHQVSVPQPFTPLGITVNQPSAGRVPVASNVIQNQQMHPPVRAAQNVVPAVHLPKASAPAPKTTGKRSKKPVAAPTVYTAPNGYGLNRAVPNDVPWRPEPLGVIQTQGAPGSHGMPGSNGLPGMNGAPGRNGLPGMNGAPGRNGLPGMNGAPGRNGLPGMNGAPGRNGLPGMKGAPGRNGLPGMKGAPGRNGLPGSNGLPGMNGAPGRNGQPGRNGLHGSYGAQGRDGAAGSNGAAGRPGQPGGTVTVIHGNPTQRSLAAPVQVHPRAHLRAALGTMQALQYSAWTAPGTQRAQDPSAIQKSWQAALANLASDLGVSDYNTNPPQVQQPVSTQPLQHSQLLSQAKASQGGEMQHSNTPATAQMRPSSNGLCQLNPKSEKSVVGMPKTQATQALCVMNSHSSLAPSETYLKSLVAKPAAKQ >cds.KYUSt_chr1.41077 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251977757:251979060:1 gene:KYUSg_chr1.41077 transcript:KYUSt_chr1.41077 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAARWKELHGERRWDGLLRPQLDLDLRRTVIWYGEMAQAAYDAFNHEKLSPHAGLCRFGRRRFFDRVMLPGHAAAYRVTRFLYATCSAPAVRGAAFVGVHGRGRRCRESNWIGFVAVATDEGKATLGRRDIVVAWRGTVEALEWVNDLEFVMVPPRGLLRDAHGTDAMVHHGWLSIYTSTDPASSHNKDSARDQVLAEVRKLVDTYKEEKVSITMTGHSLGAALATLSAFDIVENGYNGTYPVTAFAFASPRVGGAGFRKRFDAAGALRLLRVRNAHDIVPRYPALPYHDVGAELAIDTGASPYLRAPGDERVWHNLECYLHGVAGVKAGGGFELAVERDVALVNKSYGVLREEHAVPAAWWVPSNKGMVKGDDGRWSLADCEEEEAEDPVVPVNNK >cds.KYUSt_chr3.38690 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243777127:243779023:1 gene:KYUSg_chr3.38690 transcript:KYUSt_chr3.38690 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLGVKLAPTMVADITGLGTFAIVFNVVSVMIDTAPLCVLLFYPDLKEAKKYFTEQGFATGAVMNLMLMVYVYFVADDQHPDVLFVSAVGFILGTAYTFFLLAHRVVTADRACMPRFWMFVVLLTFLGACSGLLSGILMQYRGDGYIIFWMLFVALVALNGLTLFPIITCKPFPDQKSKFYTSCMCVLNLTDSAFFVFYAMALQSADGVLSLVSMFNTACGVVQLIALAIPMLMRWLRKVGAYLRSCGESCCGCFRLIATFLDLHGE >cds.KYUSt_chr3.39388 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248127989:248130025:1 gene:KYUSg_chr3.39388 transcript:KYUSt_chr3.39388 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEKVGCSGEEGLVEVVVGVDGKGAIECRICQEEGEEEAMDSPCACTGTLKFAHRKCIQRWCNKKGNITCEICNQVYSPNYILPPTKCCSDEMSMDLRQSWVGRIDPHESHFLAIAIAEQQLLHAEFDDCVSSNSSGATCCRTIALILMFLLLVRHVIVIVRDVSMLQDATVLFSATLQFAGFFLPCYVIARSCYTYQHRRRRQVLISYKSP >cds.KYUSt_chr3.11323 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67490728:67492350:-1 gene:KYUSg_chr3.11323 transcript:KYUSt_chr3.11323 gene_biotype:protein_coding transcript_biotype:protein_coding MARTASSKGSSGRERELARVASSKATSGRERDVKKPLLLGRFEVGKMLGQGNFAKVYYARNVGTGEEVAIKVIEKEKIFKSGLTSHIKREIAVLRRVRHPHIVQLYEVMATKLRIYFVMEYVRGGELFARVAKGPLPEPEARRYFQQLVSAVSFCHARGVYHRDIKPENLLVDDAGDLKVSDFGLSAVAEQIRHDGLFHTFCGTPAYVAPEVLSRRGYDAAKADLWSCGVVLFVLGAGYLPFQDRNLVGMYRKIHRGDFRCPKWFSPELLRLLHRLLDTKPIRRAAVDEIMENEWFRVGYRRFSFRIEDDRSFTRFDLDDGDVYASTSPPDTPRTEDGGDRADDPDLHARMTSCGSAPSLLEGRLLGNSGRRSNLQNASSLLEGRLLGESSRRRSSLNAFDLISFSPGFDLSGLFEDEGSGASGEGEQQQNAARFVSAAPVEEILASLERTAAAAAMAVRAREDGSVIMEGTREGAHGALAVVAEIYELTTELTVVEVRRKAGGAAEYEEFFRASLKPSLAELKCDEQPRAAAGDTPRKV >cds.KYUSt_chr1.39173 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239523718:239530821:1 gene:KYUSg_chr1.39173 transcript:KYUSt_chr1.39173 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDILTRVDAICQKYDKYDVDKLNGANVAGEDPFARLYGSVDAEISQCEEKAEAAKQEKSRAAVVAINAEIRRAKAKLIEEDMPKLMRLAVKKVKGLTKEELTTRSELVSALPDRIQSIPDGSATAAKSNGGWGASGSRPGGGIKFDSTSDGNFDDEYFKGTEESNKFRQEYEMRRMKQDEGLDIIGEGLETLRNMAADMNEELDRQVPLMDEMDDKVDRANADLKNTNVRLKQTILQAALLRSSARTTLHSTRTRNNPKPPRPLVDLTRSAAAAAAARMSAVNITNVAVLDNPTAFLNPFQFEISYECLVALDDDLEWKLTYVGSAEDETYDQQLESVFVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYVGQEFMRVGYYVNNDYDDEQLREEPPAKLLLDRVQRNILADKPRVTKFPINFHPEPGTSTEQPQQEEAQQQEEAQQLASPEPQTAPLEPLTAPLESNLASLGVCKIRATVWEVVQAGRWRELGLTAYRILTLLSCAVLLRKSKSSNFLPFDLFEIEIRDDVGRVSCVEHGDGLPAGYTVCDVDGGPIGYTIKCGDGCPAFFSVVPDLCDV >cds.KYUSt_chr3.44032 pep primary_assembly:MPB_Lper_Kyuss_1697:3:277926932:277927876:1 gene:KYUSg_chr3.44032 transcript:KYUSt_chr3.44032 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGVHSIGVCNGVLGNNLPSPADVVKLYRSKGITAMRIYAPERKVLRALSGTGIGLLMDVPNENITAFASNPSAAAAWVKANVQPYPGVSFRYIAVGNEIMDVDAQKNILPAMKNLDAALAAAGHGGIKVSTSLRFDVFTNTYPPSSGVFADDDFMDPIAEYLESTGAPLLANVYPYFAYVRDTTNIHLDYATFQPGTTVTDNGNGLTYTSLFDAMVDSIYAALEDSGRPGVKVVVSETGWPSSGSFAATVQNARTYNQNLINHVGGGTPKRPGLLETYMFAMFNENLKTGDETERHFGLFNPDKSPAYTLSF >cds.KYUSt_scaffold_1259.272 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1669327:1673861:-1 gene:KYUSg_scaffold_1259.272 transcript:KYUSt_scaffold_1259.272 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEGVGAAAGCSSDHRRLQQGQRRTGWRRRKESAPREMVAFWLLLLLWGKEAAACSLEAGSLKQTMDGSATVCRAQGDAVDGGGAPCTGRRVGGRQRRSPGTWRRGGSRGGLPGGGARLVAASSRNTEGVPPGLPLENEYEEQQRQTILHNSRVAASLGLTLHPSTRSSAKTPPTSLTKDTEHSSDSEFDPADCDGELTQEDALVDYPLQHNKASADSGKNFSRTNRRKNTQQEPQADTGGWVTVRNKKKG >cds.KYUSt_chr4.17148 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106988355:106990249:1 gene:KYUSg_chr4.17148 transcript:KYUSt_chr4.17148 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVWLLASSSACRGGEGRGASSNRQFQVRHLEVPSSLALSHGGRWCSGLLSGELLWWELASESILDGEVNKCGLALFLSSASTKGWLLLAGRGGEEKEMPLLLLHRSRRSLPQRCTAGFTVFFLLSACHGGEGKDEEHLKLLVHRRCSGERSELLLPWAVLKRWPQLAAAIFGQEADPASLGSQACQSVFFLCVRNISNLAASAQASAQPSGFVPGVDRGGRCKLFVAGGEFGPDCVSAIFFRVKTGYVKDLVVISFSFSVLLVKLCPPP >cds.KYUSt_chr1.26039 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156610755:156613031:1 gene:KYUSg_chr1.26039 transcript:KYUSt_chr1.26039 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAEAFSRRLSAAVRGLSGAWYGRHMAAADRAIRARIPLVDLVLEVRDARVRLPAATAPLLHSPPFRRDKIPTATKTHWLVNSPHPPLQVPATSAFEPLRRRSPEEPDVRRLVALNKADLADPSETEKWVTFMKQRGCSCVAVNSHSRESIKELLNVVLGRIREIKVGVSDCTGTVLLVGIPNVGKSAIVNAMHQIGRIGAAEKGKLKHAIVSSHPGETRDISGYKVASHPNIYVLDTPGVLSPIFANDDSGPRLVLTGAIKDSLLDEYEIAQFLLSILNSMKEYREWDDLNILGNKSCFADALSTRSHHSKRQYSSDHTQDFIVRGVRQALFETIATFQGDLGNEHDLRRLIEIQLTSLQNAFRLSAESSDVMSKRVAIKLLNLYRTGRLGHYTLDHVPDVRLEVAA >cds.KYUSt_chr2.4570 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28282446:28284989:-1 gene:KYUSg_chr2.4570 transcript:KYUSt_chr2.4570 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQILKSVPSERLVISVFSVASLQESEVVEQNVPPTDEETVVSDSTVCLDLHLLSNSLIDLMIRLQSNSLLLALFLGADAKRLIGRRVSDPSVQSDMKLWPFKVVAGPAEKPMIVVKYKGEEKQFAAEEISSMVLTKMREIAEAFLGNTIKNAVVTVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLDKKASSTGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDMTGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITISNDKGRLSKEEIEKMVQEAEKYKAEDDEHKKKVDAKNALENYAYNMRSTIKDEKIASKLGADDKKTVDDAVEETIRWLDGNQLAEAEEFEDKMKELEGICNPIIARMYQGAAPDMPGMSMEEDAPTGGSGAGPKIEEVD >cds.KYUSt_chr3.6992 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40326817:40328716:1 gene:KYUSg_chr3.6992 transcript:KYUSt_chr3.6992 gene_biotype:protein_coding transcript_biotype:protein_coding MFATELFQIVKVETGGEDAFFVGDDGGGVFAIADGVSGWAEKNVNPALFSRELMANSSTFLKDEEVSHDPQILLMKAHAATSSTGSATAIIAMLEKTGTLKIASVGDCGLKVIRKGQVMFSTCPQEHYFDCPYQLSSEAIGQTYKDALVCTVHLMEGDIIVSGSDGFFDNIFDQEILAVISESPGIDESAKALAELARKHSVDVRFDSPYSMEARSRGFDVPWWKKLLGAKLTGGKMDDITVIVAQVNIVVIPDDEGAIVEREKGAEKVTVAATATASAEQKG >cds.KYUSt_chr5.11977 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77846743:77848261:-1 gene:KYUSg_chr5.11977 transcript:KYUSt_chr5.11977 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAATWTPTTSPSTSTSCSSSSQFKVGVVSLSRGTRTPSAAATSCAVTTRRPPQAVVQPIADPIPVIGSPLTAESIELVLDEVRPYLKADGGNVALHEIDGNVVRLKLQGNCGSCPSSVMTMKMTIQRRLMAKLPEIVAVEAITDKEAGLKLNEENVEKVLDEIRPYLAGAGGGNLKFVTINRFTVKVRLTGPAAGVAAVRGAVAKKLREQIPPIAAVQLLS >cds.KYUSt_chr6.20850 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131469207:131469687:1 gene:KYUSg_chr6.20850 transcript:KYUSt_chr6.20850 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLDWISNAIASAGFSRKRNPWVVPLEAETARVVVPSLISSLMICSAIGATAAAEEEASSLPSSNPVRIGSSTSAYETAL >cds.KYUSt_chr7.16568 pep primary_assembly:MPB_Lper_Kyuss_1697:7:102591801:102592100:1 gene:KYUSg_chr7.16568 transcript:KYUSt_chr7.16568 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNGGTAAVGGQHQQQKPERGAFSCSFRMPLHYPRYKKADYEAMPEWRVDCLLREYGLPIASDVHDKRHFAMGAFLCPASTDRAHLNFHPDQADQQS >cds.KYUSt_chr1.25430 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152539756:152543507:1 gene:KYUSg_chr1.25430 transcript:KYUSt_chr1.25430 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEFRCGSGGGGGGGGGAELQLRRGDGWELKLRAEDATVEGEGEEEGAARAIVRAAFDAKRAAVGVGARMLFYPTLAYNVVRNQFEPHFHWWDQVDEHVLLGAVPFPSDVLRLQKLGVCGVVTLNESYERLVPKPLYEAHGIENLVLPTRDYLYAPSLDNLCKAVDFIHRNASCGKLTYVHCKAGRGRSTTVVLCYLVQYKQMTPAGAFEHVRSCRPRVLLASAQWKAVQEFYQLRVKKTVKPSCMDKPIIKSPSPVFLGTGNLITFDEKTFVMVSESDLEGYNADTLAVNMGSDLWEISLVYRVQFASQAAFAGFSYLWLQCRAQKDKEALAESMGSESCSLEAEQPANGHPCLLQGVVVNP >cds.KYUSt_chr3.6368 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36498963:36511732:1 gene:KYUSg_chr3.6368 transcript:KYUSt_chr3.6368 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFTRAKATDRSMGSFNPLGRPAQLERGIITRVLELATAAAQGKYFYSYETILYELLELFVMPSERIEKGKKGWIPCHPWLFSFARFVAREERRFHTRRHRIFAARRRNFRLAAASRRNFGRRFQRRRNRNGSVRHRRAVLGASRRRYGPGGGLRRRHNHRQHYHHVHRSVQRHHFPETPGPRIRTVVPQGEGQDIAEEVVAVPDVVAAAPEFAAVPAVGVEVAAPEYEDEASTSSIAADADELLPPPPAFMAPPMEWLLGGPSAGWLVDDPERDFSDDEPAAQPPPPVCYNMRHGYGGYLPSDEEPEQFAPPGYASVMEFFEPPTAAAVDALPPALTTNLQTEMEGNEAVATARARALVPGLNLPAAEELEEGNEDAPPATSLALLTPSPEARVLLRRFASTMAACPAGTRRGTWSPEALSLTGRFAELRLNEPAHHSSSISGGAELLDTVTTACLLSWAKHFSGLHERDIFDLFLPEFDKPWVIHLRENLLLFYKPLLLEAQHCLQEKEGGVDIKLFSGAVAGEERNPSNEIFSELDEINAQVPASAHTRTGAGFAGSCFREDAPLLCPWSSSLPEDARSGDKRAARGWWRERYGRRGDRPGAVGKERQEARVLVAGGGIGVLVFSLAARRKGCELEQVNGTTAPCTKKMLALEDELFPSTPGSARSGPPRPPAALQSG >cds.KYUSt_contig_7377.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001496.1:35698:39693:-1 gene:KYUSg_contig_7377.6 transcript:KYUSt_contig_7377.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAQNSEWILGAPSLLSNSNPWLLSVRPHVIPGVPSRPPGAPPAGDAPPPAGRSSVRLEAAYPSNSIFALHLRALRGKDYGKTKMSYPDYTETESGLQYKDLRVGDGPSPKKGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKEFFKFKIGSGQVIPAFEEAMTGMRPGGVRRIIVPPDIGYPDNDLNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELIRIVPTQ >cds.KYUSt_chr3.29159 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182440637:182440984:1 gene:KYUSg_chr3.29159 transcript:KYUSt_chr3.29159 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASRTPGVLGLQVLLCSSLLLNALLVAHHFLSALPAPLELLGAASNGGGSLSWSLQAASDAESVAATGCSGHGRVFLDGIVGEDGRPGCECNTCFQGPDCSVRTADCTVDADR >cds.KYUSt_chr6.21690 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136912351:136915596:-1 gene:KYUSg_chr6.21690 transcript:KYUSt_chr6.21690 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLKQPLLLSAQSGNVASPLFAAAAAASSQQIRRASSKAGGRVRTRRISCASTDEAMGVSTSVTTKERNLTVTAIVTAQKPTSMYVSRGLDDLQDLFGKTLLLELVSCELDPSTGREREKVKGFAHMTLKEGTYEAKMSVPESFGPVGAVMVENEHHREMFIKNIKLITGGDESTAITFDVASWVHSKFDNPEPRVFFTIKSYLPSQTPPGIEALRKKELETLRGDGQGERKFHERIYDYDTYNDLGDPDKNIDHRRPVIGGKEHPYPRRCRTGRPKSIIGAHIITETRSSPVYVPRDEQFSDIKGQTFSATTLRSGLHAILPALSPLLNNSRCFPHFPAIDALYSDGIPLPVDASTSFNIINDVIPRVVQMIEDTTDHVLRFEVPHMVERDRFSWFRDEEFARQTLAGLNPICIRLLTEFPIVSKLDPEVYGPPESALTKELLEMMMNGLMTVEEALEKKRLFMLDYHDVFLPYVHKVRELPDRTLYGSRTIFFLGKEGTLMPLAIELTRPQSPTKPQWKRAFTHGPDATESWLWKLAKAHVLTHDTGYHQLVSHWLRTHASVEPYIIATNRQLSRMHPVHRLLHPHFRYTMEINALAREALINADGIIEEAFWPGRYSIELCSVAYDATWQFNTEALPEDLISRGLAVRHEDGELELTIKDYPYGNDGLLIWNCIKQWASDYITFYYKSDEDVTGDQELQAWWEEVRTKGHADKKDEPWWPVCDSKDNLTQILSIIMWVTSGHHAAVNFGQYHFGGYFPNRPTVVRKNIPVEENRDDEMKKFMARPEEVLLQSLPSQMQAIKVMATLDILSSHSPDEEYMGEYAEPAWLAEPSVKAAFEKFSGRLKEVEGAIDERNNNPENKNRCGAGIVPYELLKPFSEPGVTGRGIPNSISI >cds.KYUSt_chr2.46225 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288653050:288658173:1 gene:KYUSg_chr2.46225 transcript:KYUSt_chr2.46225 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSAAAEVDAETRSILERAAASSLPPLPAVHHLLSVGVCVRCIFRMFGSFSHACSCTSLTVSVLHSFLEEHDDSVKSGSCSCLSTDETCCSVCFGILLPTCHQDDGVTPFDDTSCIDIITSMVSQAVQREGYQIDEFSLEISLPAVVAANERAIRLYMKQKYGNENWFKDEIFSQQTMPVKEALRFLIVPSLEKQLGVKHSNNSFRIRLTYTHDDASLKLHSLLPNDRSHKRKTESRGGTDTRRNSTYDDKQILSETDSFIHKTLDGIQDQEFCSLFQLPPEKLVKPCNLVISCLRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICRGDGCKFHAAGREDIDVRMLGSGRPFLIEVLNVRSIPSANEVQQIAEKINSSEKKYVRVRNLKLVNSEIWSMMREGEAEKQKQYAALIWTSRPLTDNDLQKISVVKDMVAGPRYCNSMSLMSKWTCCNNMLLVEETYSCAKIPVEKTSGRWPVRKE >cds.KYUSt_contig_686-1.1041 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6427522:6434279:1 gene:KYUSg_contig_686-1.1041 transcript:KYUSt_contig_686-1.1041 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNGFSDPYVKLQVGKQRFKTKVVKMNLNPEWDQEFSWVVADVREVLKLDVYDEDMIGTDDFLGQVTVPLEDILAAEDLSLGTRWYQLLPKGKSDKAVDCGEICVAISLETGGATRSWSDTAELTGIQKQYSLVSSPSTGSSAALAYQENEAPKGDNVIEYSGGAQIPEEEICSQGTDQTATEDRFNVIGTEISNGAETLKTEKLERPSLVDRVCQMFVKKTDDLSSTSMAKTEASEEVQQEPAGVEVPVSQTDDMSTEASFDELLKSFESKHEGVEMPVNLQGILVNQSYFTSPGDLNNLLFSPDSDFRPTLIQTQGCTDFKTEPWRTDNSGESLKRVVTYTTAPSKLVKAVKATEEQSYLKADGKEFSVLMSASTPDVPCGTYFRTEILFRIMPGPELDSEQQTSHLVISWRMNFLQSTMLKSMIENGARQGLEQNYAQFSDLLAERIKPIDVEDAGSDKEQVLASLQGGQESDLKIAFLYFCNFGVLSSLFVALYIVVHISLVNSGAVQGLEFPGLDLPDSLSEIVMGGLLFLQVQHIFKKILCFFQAREQKVGDHGVKAKGDGWLLTVALIEGTKLAPVDATGFSDPYVVFTCNGKTKTSSIKFQTLEPQWNDIFEFDAMDDPPSVMNVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLEGNLAKSRQSKLHLRIFLNNSKGTGMVSDFMSKMEKEVGKKMPLRSPRSNTAFQELFSLPAEEFLISSFTCYLKRKLPTQGHLFLSPRIIGFYSSMFGRKTKFFFLWEDIEDIQAIPPSLASWSPSLAITLHKGRGMDAKHGAKSIESGKLKFSLQSFASFSVANRTIMALWKARSLSSESKVQIAEEQSQDKALESEDSGIFLGVEDSKSLQMSEVFSSTISANMNSLMELFEGGSLEMKVMEKVGCLKYSATQWESDKPDESQRQIHYKFSRRLSPVGGEVTGTQLKSPMPNKKGWIIEEIMELQGVLLGDFFTLHIKYQIEDLAPKQKACSVQVYLGIEWSKSTRHQKRIEKNVLSSSSARLKEMFSLASKQLPHAR >cds.KYUSt_chr2.45748 pep primary_assembly:MPB_Lper_Kyuss_1697:2:285333023:285334501:-1 gene:KYUSg_chr2.45748 transcript:KYUSt_chr2.45748 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLHLVADVVADMFYGQLRDQRAHIQQEQASGGGARRTPRPDQWGDSLDDGGEGAAAERHIGGPTAAGSCNLRDLRKLWDEDDGRILASTKQADRDGRSPWRGGRWGMSGATAMARRVEGNSDAGVHGASGEAELGRGWSRRGGRRS >cds.KYUSt_chr5.15992 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103003815:103004224:1 gene:KYUSg_chr5.15992 transcript:KYUSt_chr5.15992 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMSSSVQSWVEQHKLATVGALSATAVGATVAAGRRHGRAKVLTVAAALGGAVLAQRYYSAKRREEEASSFELEFYSQLPAATAEDGQENERWTY >cds.KYUSt_chr6.5701 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34206707:34209893:-1 gene:KYUSg_chr6.5701 transcript:KYUSt_chr6.5701 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAIKEIIHLFGRASGLHVNYNKISASLLPCSDEEAAHVAQHLACHLVELPITYLETMEPQVRKDVAHCLDAALVVMVMSPCPVAMDVAVLLLSHGKPEHLHKVRRQGCNDVLWVSNLYSARSIVLFTSQQKILIIEMSILKTKFIMVFQYTKGIAYDSIIGSYHTPDDAGEDSANVLLVGL >cds.KYUSt_chr4.46832 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289579356:289580660:-1 gene:KYUSg_chr4.46832 transcript:KYUSt_chr4.46832 gene_biotype:protein_coding transcript_biotype:protein_coding MSKADCRDTLMAPWQCLQNPPQYVGEDKACFLAMVIWWTSREYARKHEEGKQKRLEMGGGSHVQGSKNLALTLQEEEVKTGATPNLFGLFQKSKTRREPHPVTGSVWVNELAEAQCGAYRSSFKAKHGEDADPTTEDFDVEAEMEERERRNQEEKMRIATSSLGTDMRMQQQMLQQMQQQQQMFQQMFMNQAVVTSPPASSGPSTSCPPIFPNWIPAPDPQVLALLQRAPSQSPLTPGLTVNNTGIIRSLQQFLGEFSYTSNSSIPCHL >cds.KYUSt_chr5.7084 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44057990:44058331:-1 gene:KYUSg_chr5.7084 transcript:KYUSt_chr5.7084 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNRTAGVLLFFAALLLAASASVAVDGRFLISHAGAAGTRASARLHWTSETLTRRLEDEVTPALSWAAGLLEGDQIGYNAIKHQDRPACDPHCPAQGEAYSRGCEKKYDCKP >cds.KYUSt_chr3.167 pep primary_assembly:MPB_Lper_Kyuss_1697:3:978573:979259:1 gene:KYUSg_chr3.167 transcript:KYUSt_chr3.167 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRRRPAAGGRRRKDGDGHVNHGSGGDNDDSSFGQQRRWPPVDLTEKKEHPCPVRLPFPSCDNGWIMVSTLLCPPHTLIQWRTYGRLDAAFCTLPSLRLQPAPPPLPTTPLPARLVADACSATAAPLDVASLSARLPSPAGCSASAEALATAPVATGPSLSLGLRTTCGSVCVR >cds.KYUSt_contig_1861.62 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:330000:330750:1 gene:KYUSg_contig_1861.62 transcript:KYUSt_contig_1861.62 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVPLVRAVSTSASGYLKVGDALRSDRRRFTEGDVAAYAAVSGDRNPVHLDDAVARGAGGFSRGRVVHGMLASSLFPALIASRFPGAVYASQSLRFAAPVHVGDEAVAEVKALNIKTSSGRHIVKFSTKCFTIGGDEDDDEEETPAIDGEAMAFLPTLQLSSQGIAD >cds.KYUSt_chr3.34602 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217029995:217031245:1 gene:KYUSg_chr3.34602 transcript:KYUSt_chr3.34602 gene_biotype:protein_coding transcript_biotype:protein_coding MTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQLVAHFGCSSQPEFCSVLQKEWHCHELLLNILKYQRMN >cds.KYUSt_chr6.19647 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123638984:123639547:-1 gene:KYUSg_chr6.19647 transcript:KYUSt_chr6.19647 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPVSANRRPRPDVTGGEPTLCDGGDVGIAAPGGWRLEALHSLHVHAFVSQPVRQGDRFIPYRGSVLELDIARYLLTDPRKGKKNNSISLLGQLTMARTESKPPQSPVLHDQLPFPWNEQPVPARIWRHLSSGSSCMPPPTGWESGWPHDVEL >cds.KYUSt_scaffold_3611.223 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:1177821:1180284:-1 gene:KYUSg_scaffold_3611.223 transcript:KYUSt_scaffold_3611.223 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSHSFLHLHLFGSSSSCTRRRRRRAAAAMGCAHAKPSQGSPARSDGRGIDHLKRNNGYVPSAARRLTDPLPTTIAVKEQQTTEANADPRLPTETEAASETSTLTTAATLSPSPPPSARREADDREQLVDGWPTWLLDNVPREALQGIVPRSADAFDKIEKVGQGTYSNVYKARERGGGRVVALKKVRFDTAEPESVRFMAREMRILRRLDHPNIIRLDGIATSRMHRSIYLVFEFMYSDLSRLISRSDVPLTLPQVKCYMQQLLAGLQHCHERGILHRDIKGSNLLIDRRGVLKIGDLGLANYYGPGRRRPLTSRVVTLWYRAPELLLGATDYGVGIDLWSAGCLLAEMFSGKPLMPGRTEVEQLFKIFSLCGSPPDDYWRKTKLPASFRPPKPYKSSMTERFAGFPPSALPLLHTLLALDPAARGTAAQALQSDFFTTAPLPCDVSELPVVYKEETPDPTTSHDGRKPKLRQRSSKRRESKQRAEQEQRGDELKISNAKYPNKENEIAMEGTAKSGQELDGIVASIASSSVQESAENTIVNAFASTTPRRFSGSPIQGLLPNASPDQPQLRRANTYHSTGDDHKGGVALTHHSMAIRSAT >cds.KYUSt_chr3.45419 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286147190:286154944:1 gene:KYUSg_chr3.45419 transcript:KYUSt_chr3.45419 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASASGAMFPPTNSPHKPWEDPSFFRWRKRDAHVPLRTHDTLQGALKYWSDRRNVSYLDAEPAVWNDDAVRGALESAAFWSQGLPYARSLSGYWKFRLAQSPESVPEKFYDAQFNDSDWEALPVPSNWQMHGFDRPIYTNVTYPFPMNPPFVPSENPTGCYRKVFHIPKEWKGRRILLHFEAVDSAFIAWVNGVPIGYSQDSRLPAEFEITDCCHHSDPDKENVLAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQIFITDYFFKATLDENFRVADIEVEVEIDSNKQDREHVPTFSIEATIFDNSGPSDGLNSDMSAANVVNLKSKPNPKGGPCHGFHGYVLGGKMENPKLWSGEKPNLYTLVVLLKDANGMLIECESCQVGIRKVVLAHKQMLVNGCPVVMRGVNRHEHHPRVGKTNIEACMIKDLVLMRQNNINAVRNCHYPQHPRWYELCDIFGLYVIDEANMETHGFDESSHFKHPTLEPIWANCMLDRFVSMVERDKNHACIIIWSLGNESSHGPNHSAMSGWIRGRDPTRLIHYEGGGSRTSSTDIVCPMYMRVWDILKIAKDPSENRPLILCEYSHAMGNSNGNIDAYWKAIDSTLGLQGGFIWDWVDQGLTKEDADGSKAWAYGGDFGDTPNDLNFCINGIVWPDRTIHPAVNEVKYLYQPIKISLVDNILKIENLHFEETTAALDFNWVLLGDGCVLGSGSLDVANLAPQSSHLIKAESSPWYPLWTACAVKEVFLSINVKQRYQTRWAKEGHILASAQVCLPQTNGFAPHVIALTKSPLISERVGDSVIIGKSSEWQIKINSRLGTIDSWKINNVELLSKGILPCFWRAPTDNDNGGFYTKSYGTRWREAFLDNISFHSSQFSVKELPDNTVEVSTVYHGLPGHLAKPADDAALSEAYESALFRVNMRCRIYESGDVVLKYEVSPKSDLPPIPRVGIVFNAEKSLDHVTWYGRGPFECYPDRKAAAHVGVYESNVEDLHVPYIVPGECGGRADVRWMALRNAGGYGIFASMHSEESPLMQVSASYYGATELNRATHNHKLVKGDDIEVHLDHRHMGVGGDDSWTPCVHEQYLLPPVSYAFSVRLRPVLPSSSYHDIYRSQLPC >cds.KYUSt_chr5.40113 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253385921:253389899:1 gene:KYUSg_chr5.40113 transcript:KYUSt_chr5.40113 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTKRPLGVVTAWVRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIAVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTVLDSATLVATLFVIYMIRFKLRSTYMVDKDNFALYYVVVPCAALALLIHPSTSHNIANRFSWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >cds.KYUSt_chr6.6284 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37980580:37984635:-1 gene:KYUSg_chr6.6284 transcript:KYUSt_chr6.6284 gene_biotype:protein_coding transcript_biotype:protein_coding MDASHSRFGSLTDRGHGYCRNISGTRVKVLPPLSACAAIVAYSIPPAGVHRRTGGQVSGTARFLYGRWRIRFLESALRDCSSSSSRTVFRPSRAVLHTVVNNVTAATSSATPPSPPPLDRLAAEILSGVAEAASAPPPAEVQLLYPAAVLRRTPYHPPDSCGNKTHLDAHAVNRRSDKGREPSLRALRECFYIVFEDEIHRTIVDSNLFKAGERVAIGASGGKDSTVLAYVLSELNKRHNYGLDLFLLSIDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYDWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGIYSPNAYRGFAREFIKDLERMRPRAILDIITSGENFRISTTTRMPEQGTCERCGYISSQ >cds.KYUSt_chr6.22151 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139924246:139926045:1 gene:KYUSg_chr6.22151 transcript:KYUSt_chr6.22151 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAVLNSGGGIRRLPSLIPHHRLMSGSSVAAIFSQPSPPADPTAAIHSAGLDLSHPNTIPALLVHPDLASNYPAASRFFSWATSNPDTAVLNSKCFNSMLQLAAANGDADHFWSLVTSMRSKGYGLSKATLKTATESFRSKDMSKDAGMIQGAFTAHARNAAVAEACKILRSDADELSKLDKLNALGVDVTDELVALVVEKVGQFPQQAMVFFAWVEQSAGAGIGWGKVYNAMAKAIGREDCIEEFRDVLRKMSSKELGMDKEVYVTLVGRFLKRKMFEDAVDLFRFAMGGTEKPSAEDFVFLLKKVVVRDDLDLKMVMRVVRIYQKAGNEVKHSVFDAVIKSLRSMGRLGESGRVLKAMEDGGFAPDSTVHGKAVLAMCDAGNLEDAHKHLARVEKSGYKLDPVVWSALVKKYSLGDDVDMAVACFPEMLERQSGNQVGCALEVLVSGLCKKKEAKEAFKVLKDLVVKYAVVPWQSTYKYLIHKLIRQGHLNEAFDVLGLMKTNDLPPYIDPLISHISKSGTVEDALGLLKAMTSKEFPSRTVYKRLFQGLFKEGRHEIAQQLLLVSPGSVRNHAEVLDLFYTKKLQEEPAAAAAAL >cds.KYUSt_chr3.26285 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163556764:163562150:1 gene:KYUSg_chr3.26285 transcript:KYUSt_chr3.26285 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGSETSAVPSVPAGGARSGGDGIFAGEPSRWSGGGGGGRGDYSDHDNRNGYVKLLVGTLPRIASQDDAMGPVEVRYADGEKKRPGSIENKLFVASLNKQATAKEIEEVFSTFGHVEDVYIMKDGMRQSRGCGFVEFSSKEAALAAMNSLGGTYIMRGCEQPLIVRFADPKRPRPGESRWGGPAFGGPGVSPPSDVLIIRPTANLDKPGGRHMPPDTWHPASLSSVAPHQFNNFRWDNPMGLMAGTVIAAAYNGAFRPQMFHGNGQTAVPMSSHMGINPYSLQAHHLGWQQIPALQKPPGPPHNFPVQMQNQQGQHSLGPGCFGQNVPSMQLSGQLPVPQPLTQQNAFAGALQALSAVQSNPMQPVPGQQQLPSNVTPQMLQQPIQQMPSQALQLLLQQQAALQSNYQSSQQPIFQLQHKLGVQEGKLDTRAKQFGEQEDKLYMRAKQLGEQEGKLDARAKKLGEQESKLDAREKQLSEQECELDARAKQVVEQKCKVDARPKQLGEEEGDMQAMESLMKALVTKERESNDELQSTRKMLIEAFQKLTNGRSHIGVKRMGELDPKTFANACRSNVPHEDAQFNSAILCSKWQAEIANSEWHPFRIVTIDGKLTEILLEDDKKLRELKEEQGEEIYGLVTKALREINEYNPSGRYIEPVLWNYKEDRKATLQEAIQFVLKQWQSHKRKR >cds.KYUSt_scaffold_1854.390 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2085234:2087457:-1 gene:KYUSg_scaffold_1854.390 transcript:KYUSt_scaffold_1854.390 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSNAKLLLTLVLLQALMDSAAPACSVDAIYSFGDSIADTGNLLREGPVGFFSSIGSFPYGQTYEKPTGRCSDGLLIIDYLAMALKLPLINPYLDKTADFSSGVNFAVAGATALDRTYLLQKAIIMPPGNMPLSSQLDWFKAHLNATCPSQEDCAKKLGGALFLVGEIGGNDYNYAFFQKRSIEAVKAYVPQVVKSIMDFTKEVIKLGATQIIIPGNFPIGCSPSYLSLFSVAGSADHDERGCLTSYNSFAAYHNEQLQEAIDNLRKTNADISIVYADYYSAFLHLLDHASVLGFNEGSLLKACCGSSGEYNFNMDLMCGGLGSSTCADPAQHVSWDGIHLTQQAYRAMALSLLMEGFAQPSESVQEIWSC >cds.KYUSt_chr5.3184 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20571084:20575447:-1 gene:KYUSg_chr5.3184 transcript:KYUSt_chr5.3184 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKSGGVGAADEGAAGAAVRRWVEAGGGRLVLDGGLATELEAHGADLNDPLWSAKCILSSPHLIRKASPHATTFALPCHSRKLVNDMKSFDHYSLAYKATIQGFESKGFSKEQSENLLTKSVEIGHEAREMFLKQHSDQSTPMHRPILVAASIGSYGAYLADGSEYSGDYGEAGTLEFLKDFHRRRLQVLAEARPDLIAFETIPNKLEAQAYVELLEECNISIPSWFSFNSKDGVHVVSGDSLIECAKVANSCAKVGAIGINCTPPRFIHSLILTIRKVTDKPILIYPNSGERYDAEKKEWVPGGEGFAHSLEVETSDLLELELQ >cds.KYUSt_chr1.23655 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140870308:140871000:-1 gene:KYUSg_chr1.23655 transcript:KYUSt_chr1.23655 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPSRSDSFSRSWLACKPPPPSSFERLDADAGLGCSFNSSTSFIDMDPEDLFSMRWTSAPMPAAEQEEEAAEFDFGQLACAGAAQCSSQLLVGAGLPLTSFEPRNSIASYADAAFYSAQSTPASAVSSSRRAGGAKAPLLATRRILVRYMRLLAPLCRKVRALPARALAPRSSTPRAAAFAGPTTASPARQSTSSYASAAEYWCQGHAETAVRDAILYCKKSVQGQDA >cds.KYUSt_chr6.29831 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189033774:189034370:1 gene:KYUSg_chr6.29831 transcript:KYUSt_chr6.29831 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRAKSRSPHPSPPLIAWCACRRFPSSRPGTPNLDAFPALPHAHKYPCFYPATTQAIPTLLSAPQLQLVPQLHLTLRSIPAFSSELSVLRSRSMAPSIAIAAPASGTWKSSKKLGVAAAPAAESVTAQAEALRRRNAELELELAALRAELEAARLRAQAAEEAEERLCAQLGEAECEAVELARELVAARGAATYSGR >cds.KYUSt_chr1.38165 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233144870:233145409:-1 gene:KYUSg_chr1.38165 transcript:KYUSt_chr1.38165 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPLDYSSHPCDILGVLMQEDTDMGDDGKEKDMRADAAPPCGITRDDDCCDRRQYEKDDESALLPPAGAPRTDDDVLLHATSPPGAPGDDGGHHVERDADARNVTRGDDDASTTAGCSSTLLLELSFASGVCFFFERRGRWKHSAAHPVPMSGRRGARARGGLLLVSRDDGGLGTAAS >cds.KYUSt_chr7.30461 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189640838:189645724:-1 gene:KYUSg_chr7.30461 transcript:KYUSt_chr7.30461 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPLCFVAALLLAVPGGGQGERSDVYVVYMGAVPPRTSPSFLQETHLRLVGSILKRGKVARNVVVQQYKHSFSGFAARLSKDEAAALRHKPGVVSVFADPVYQLHTTRSWDFLQQTDVKIDSAQRYSAKPAASSAPTMDTIIGLLDSGIWPESPSFEDTGFGPVPSRWKGVCMAGDDFNSSNCNKKLIGARYYDLGEVNGAGTRSSSNSPRDEAGHGTHTSSTAAGNAVTGASYYGLASGTAKGGSAASRLAMYRVCSDEGCAGSAILAGFDDAIGDGVDVISVSLGASPFFNPDFSEDPIAIGSFHAVAKGVMVVCSAGNSGPDPSTVVNAAPWIMTVAATTIDRDFESDVVLGGNRSAVKGGAINFSNLDKSPKYPLITGASAKSSSASRIESASHCEPGTLDASKIKGKIVLCNHSQSDTSKMVKVEELQSAGAVGSILVNDAERSVTTAYLDFPVTEVTSEAAADLYKYISSTSEPVATITPSITVTELKPAPVVVYFSSRGPSAQTGNILKPDVAAPGVNILASWIPTSSLPAGQKQPSQFNLISGTSMACPHVAGAAATVKAWNPTWSPAAIRSAIMTTATQQNNNKAAMTTDSGTVATPFDYGAGQVNPTGALDPGLVYDLAADDYLHFLCNYGYGASQIKLITSPAAGFSCAGNASKDLISDLNYPSIAVTGLGAAASRTVTREVTNVGAQEDAAYTVAVSAPDGLDVKVVPSKLEFTNTVKKLSFQVTFSSKNAPPAKSALTGSITWSDGKHIVRSPFAISN >cds.KYUSt_chr3.15354 pep primary_assembly:MPB_Lper_Kyuss_1697:3:93864266:93868237:1 gene:KYUSg_chr3.15354 transcript:KYUSt_chr3.15354 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKQEFEFDRRLPASNWIVIRIDGCHFHRFSKMHGFEKPNDERALNLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKNLSLCVSYFTSLYGMKWKDFFPNNDLREPPYFDGRVVCYPNTKTIRDYLAWRQVDCHINNQYNTCFWMLVKSGKTEKEAQQTLKGTFSKDKNELLLQQFQVNYNDEPAMFRKGSSVYRDKVKRKVKTDDYGNPIKRTQMAITVSNFDIIGPEFWEKHQYILREEKYRYEYVKKFDNIPRLPCSNWTVVRISACQFDQFSLIHSFDKPNDETALRLMNASASLTMEQFPDIIFGYGFSNEYSFVFQENTELYQRDERLILSSCSSCFTSFYMMKWKEYFPSKELVQPPRFEAEFLCYPKPKIVCDYLSWRQAECHNRNQYNTCFWMLVKSGESEDKANEILKDTLSKDKNELLFQRFQMNYNNEPAMFRKGSSAYRQKICIAERSNFSITITEKMFWHSL >cds.KYUSt_chr1.19310 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113341777:113344752:-1 gene:KYUSg_chr1.19310 transcript:KYUSt_chr1.19310 gene_biotype:protein_coding transcript_biotype:protein_coding MESGCRCGSIDLVVDFAEQPQYKQGRGYRPQDCASCPSSGSPGWRIQLQFRSFTAAGSFQFHVLQSVRGFRLTRTARTATASVNAKGGLIALTTSSRTIGENVECFWRLAAVRRAPFWFVVSGVEKDGMSMMGDEIDYMEEPLQRKMQEQPHDADTAVALLSLFLESNLNEMKLGEGDPGKIGLESKVSHQVCNKIFIPDQDVTTDEEP >cds.KYUSt_chr3.13385 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80648417:80653525:1 gene:KYUSg_chr3.13385 transcript:KYUSt_chr3.13385 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNLQASMPFRRPFGDSAVRSRLFVPSGLIPGDVEVDCAELRNRGGEGAGLDCFLQFSCEVHSAKSADPWWGAGEKMRRRLVLFGDSITEQSFATGGWGAALADHFARQADVVLRGFDGYNTRWALKVLDRAMEGAAAGGADPAAVTVFFGANDANLPDRSQGHQHVPLAEYQDNLRAICAHFKNKWPSAAIILITPPPIYEPARIRHKYGDNDPSRQPERTNEAAGTYAQACIAVAKELDYPVIDIWTQMQKFPDWQTSALCDGLHFTPFGNKILFDEVLKTLGSIGLSQHSLRSDLPLYHEIDPKDPLKAFEI >cds.KYUSt_chr1.10980 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67266421:67266675:1 gene:KYUSg_chr1.10980 transcript:KYUSt_chr1.10980 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKAILLAFVILLAASPCVVRARMTPRDPATTTSPSQGSLMAPPMPPPSTPIVNKVETGVAKRWGTMAHLDGSVPSPGVGHP >cds.KYUSt_chr5.22131 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144381494:144390303:-1 gene:KYUSg_chr5.22131 transcript:KYUSt_chr5.22131 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDAGGEVRHWSAEVNAVSLHVAEQGPAAGPAVLLLHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSSAPTDPAAYTVLHLVGDAVALLDHLRLPKVFVVGHDWGAQVAWHLCLLRPDRVRAVVGLGVPYFPRAPRPMAELFAARGDGFYITQFQEPGRAEKAFARYDVATVLKKFYSIELDDLAAPPGVEIIDFFQESSSPLPWMTDKELGQYAEKFQKSGFTGPLNYYRMMDTNWRLTAPWHDVKITVPTKFIVGEKDIGMESFGTKHYIESGGFKSNVPDLEVSIIEGHHFLQQEQAERVNAEILSFLDKFTEN >cds.KYUSt_chr7.13668 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84278081:84281277:1 gene:KYUSg_chr7.13668 transcript:KYUSt_chr7.13668 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGRLAAGAHWIAATPPNFRAGVVQRIMENLSQSPKGHELDDFKLHKAASGYEARVFSESGNAQDYLRTISKKMMDLQQRWQPGVQAASDIQQQQQQAQMGGHQMRPVHAVLANSSGATMPSQTASAIPPLPVRPPQLQNMHGRVNQAQPGKLPPAAPVGQPHPCHPTVVNQTPTQCQPRLSSTQQPEPRLTRMNQQDLRVEQRQSYETQNGQPASVAMVQNTQCNQQSGNPDPAGGVDWREDMFQNITSLKDAHLSELVGFARYLHSCVLQMKTNGKLESLSKEQVVQCRYAHHTMERIKQVVNFLQTQKTKIPEGAKGQLDAWQIAIQDLLSNYKAIKARTAAKNTQHQSQNCREPTPQVVNTSGAKDDNVQQNHHEQHADEALSHSSQNAPSGTPLTQQENHSDNLTDEAEDNTPVQDEAESAVAVNIPADTAAFTGGTCSQKIQQRSPADESVPQQLTQTAEPAVASPAQQQTHSAHTAPVEAEDDLERAEAESPVDMEALIKRGVNAGRSLSPAALGSLASDMGVNLKRVRFDEEYSGKSGYKRQKMCYGTLLEEIRATYNMLVETEIRISEEDTGGADGTVIELCYNAVSLTADLSAAICASEITTKLLVPADYPKTSPMILGGDGGRRNGVPGVVDMAFRRALGLLPEPRSIEGMAKAWDSIVRRSVVQFAHRFGGGMFSTSTAYLRKDDKAIIDTKSVVP >cds.KYUSt_chr6.2585 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15012106:15015821:1 gene:KYUSg_chr6.2585 transcript:KYUSt_chr6.2585 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKGAFVDYKCLKKLVKKIKVARHDEDETSDADALVAGAAGGFSVLDPVRALAAWFAPPKVHACPEDEESSDSSGEFVRPAARHEREFLERADEELEKVDCFYETQEAELLGRGEALIDQLRILADVKRILADHAASRHSRSLLNRARYVSATAPLPPALSGSGRFLPSVSGLASPHSMSDGSVDELQQTQMTEGAAVADEVMAALERSGVSFVGLPGKKDGSKGRGASALQMPSTVRIDIPATNPGRAALKVWEELVNVLRKDPAAAFVYRRKIQHAEKNIRDAFMALHRGLELLKKFSSLNVKAFTKILKKFVKVLQLADEVEFLFMKHFADNDKMMAMKYLKPKLPRSTHMITFLVGA >cds.KYUSt_chr6.14583 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91460297:91460605:-1 gene:KYUSg_chr6.14583 transcript:KYUSt_chr6.14583 gene_biotype:protein_coding transcript_biotype:protein_coding MPACANAVRPRLDRALRQPTSPSPSVPAAALASSFAPSPAAAARPRALLRRSCTLVERMCTLLWSSTRAAAAPEKRPRRCGVTVGMVGIGTASIVRLTCGVS >cds.KYUSt_contig_1158.231 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:1384073:1390180:-1 gene:KYUSg_contig_1158.231 transcript:KYUSt_contig_1158.231 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVEEEGTVGSGGGLLRRYAVGSEVEVQIADRGFHGAYYEAIVTARLPGSGGYEVVYSTLVEDGGGGPLREAVAPANVRPRPSPLPPPGAARCDLNVFDMVEAYHNEGWWPGVVSGAWPASTVTEPRYAVSLPTREVVEVVASLVRPRRVFVRGRWMDMQDVSGFHARTGWSFDHLLVLVPRVPLYDEGSNVEVICPQGKQGIMTATVIKMVDSANYVVQHGDSKRSILVLHSRYIRPPPDFDRLKFQYNLEPSAEVEVYQDGTWLPGVISEIGSCESSKYAVRVKNHNNADEEDYTLVSSAFLRPCCKWDGQQWRLSSTKASYQLHKKHARKRKYTLSIENSLSPVFLTPGGDSDRNSFVRNKRMRTDNVRNEGLHMRHSLHPNEVTTGSSSKGDIDMEAPADKHTTMMENLDNEIEAKALGLEEASHVLSNNEGAPLTPLSCYPDLMMQPLQVLPTLNERKELPLSDHVCSEAEPVAPYDSTARDGIQEMCQEVTEAKILLADDCDEVPDTFSLTSQNSKQSIGDPVHGKASSMRQLSRNSEFLPSKGMEVPERCKKTHDVMGMVNNIAGICLKEKDNFMNCMPCPNSEGSSSLAHPGRILVHPAMTMDLSAFMPAPNSNGLPPELVTSSLLLALLNKMEVFTRLPQNLHFSKLLQDSHPELREGKAIGLVISFSHLAESIQNMRIQDDDNKFQQKMSSLAELEENGFEVGTLKERLENLLRIKSRHMDFKGKKAILEHDILEKEGAYNKVEQKVGILDIGIKELERMLLHAQEEKASLVEQKSAIGLEISKLQGDACRAEELYMSAERDFGRTGGTATAPWEACLPADNTASDLVLATTTCSI >cds.KYUSt_chr2.43841 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272908479:272909344:-1 gene:KYUSg_chr2.43841 transcript:KYUSt_chr2.43841 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSGGHVVGVPVTSKAYGIEEKVPAKDHLAVSLTHPSPYTSFGYKHSSKGQVVHWVSNLSRRAQGFREHVTLGPKISETVKGKLSLGAKILRAGGVDRVFRKAFPADKGERLVKALQCYLYTTGGPIAGMLFVSTRKVAFRSDRPVAVTSPTGDVARVSYKVVVPLKRIGKVRPSENLERPDEKYIHVSTVDGFEFWFMGFVSYQRSFKCMQQVVCSDLQ >cds.KYUSt_chr5.5056 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31926423:31926794:1 gene:KYUSg_chr5.5056 transcript:KYUSt_chr5.5056 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQDSTATIPASIAASPAMATASKKIPLPIPADFGFGGGYGGQTVPGGGLGGFNGYEGGLGGCCGGFGYNGFGGNGGLGYDNGPLFFGSAPASGLKLSSLLVGNGFAPLLVAGAAAMFYM >cds.KYUSt_chr5.42420 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267438580:267439172:1 gene:KYUSg_chr5.42420 transcript:KYUSt_chr5.42420 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLLAVAVVAGCAVGLSGATIHIVGGNHGWNPNIINYTLWSRSQTFFVGDLISFRYQNGTHSVFEVNETGYGSCTMDGVAGNWTSGKDFVPLVEPRRYFFICGNGFCQAGMKVAVTVIDQDFQFHDHGPEMEPDCAADCGTAAASVAARLMVTALAVAIAALA >cds.KYUSt_chr5.19271 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124951024:124952241:1 gene:KYUSg_chr5.19271 transcript:KYUSt_chr5.19271 gene_biotype:protein_coding transcript_biotype:protein_coding MACSDELVIPEELPRFALKEVSGAVELVKGKEFARRVFLANIENTSGEPLDLEMEVTAIANRMGQKLRIGKANHSADGDKFLIILNDGKHLRTGKLAFVAAEIQKKIIGKAPVVEIQKKTGKAPVDEIEIQKKTVKGPVDEIQKKAGKAPVDEIQKKKMDNAPLVKGKAAVTVYEILVGKKMDKAQVVAGIDQIKTEKMMHDALVVKALGELLEDGKVLAMPKNQTESSKAMVDAMETGEVLVETETGKEVVAINKNQTDKCAESDQPAGVNGMCKLSDDLVRFILAMPKEAPLDTEDIPFMTTKYDLAKLLNRSEEWIEEQRQWFKEDAARDQKIYDDFVPFQNWVHHEFSENGYVEVDEESLNQVAELEQYSRELWDDWVNNRGGLAGLKFADPSDPRCAVAY >cds.KYUSt_chr5.19486 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126402370:126402807:1 gene:KYUSg_chr5.19486 transcript:KYUSt_chr5.19486 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGKSSSAVGAAKEAVANVGASAWAGKEKTKAVVQEKVDKVKAHDPAAKASAEAKKQERIQEVEAVKQDAMRQNAAAKEHATALSYHPTPDADREARAVAVEGPGAGPAAAPRAGSIDDGRLPAGAGHAVGTLHARGTAGGRSM >cds.KYUSt_chr4.482 pep primary_assembly:MPB_Lper_Kyuss_1697:4:2612631:2614073:1 gene:KYUSg_chr4.482 transcript:KYUSt_chr4.482 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLDWRSVGSLIATVMVFRAAMRDLVPPEAEQWLRRFLARVTAAFRAPTATILIYEADGTAITGVANDLYDAAQLYLGTRCLAAAHSVRLYKPRGGSSTVASLPDDHTAHDTWKGVTVKWTSTARPVDVYGGGQGPSGGGGVHRSLELQFVRQHRDFVHDSYIPHVMDEATRVRLTSRERRLYTNGEEYQRLWTSHAFSHPSTFDTLAVDPALREEIRADLLRFASRREHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLMFDVYDLELTTVATNSHLRRLLVSTTPKSVVVVEDIDCSLDLSDRRKKGGGSIVDQDDDDNNAAIGREESISLSGVLNFVDGLWSSCVGERLIIFTTNHPERLDPALVRPGRMDRKIELGYCSPAALRVLAKNYLGVGEDTDDEAVNGLMAQAEGLLAADDDVRITPADIGEVFMGCDGAGASAALRKLVGELRRRRDHAPAPAVDALAAAETME >cds.KYUSt_chr1.32179 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195340083:195345691:1 gene:KYUSg_chr1.32179 transcript:KYUSt_chr1.32179 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNVVICLEFGNAPALAELDLVGHLPPELGAFPALDSLSGAVPLELGNALALVELDLRYANSVCPPQGLSFGGVAGMVTGLIAGIFVAALVCIEWVQGRWRRNWVRRRRLLHGEITPAPVRVGEDEPPPSWDIVPDPVFEGSATDARVLRSAMNTPVGKFEVPPGKFYLVDGGYANTASFLAPYHTMFAVGSPKAVALSKVAQKKNSPKPRAKKNRGGSSKERASWNPDLEKTLVELLHEHNVPQYRGNNGWSSEAWSKIVSEFHAKHTYVTMDKNQIQEKEKELKRDYKMLKEARMQSGASWNEKRCMIEAEPHLWNNIITTFPRANKFRKKPFPLFDALGELYDGQYAEGTWNFTSTQPPQYPVFNKVNEGDQLSSSGVEFPDLEESYAYQAQHEAGDARQKTTEEVVPQTTEDTSVEKNGQRPPRKAGAASNQEKEPKKVKKGAALEGALERYIDVRIQQVQGEAAALAREKELVQANDYSIKRCISILMKTTWPRDEKVKASEVFQIPANRETFITFNEDDPNLVRFG >cds.KYUSt_chr3.27018 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168582987:168587088:-1 gene:KYUSg_chr3.27018 transcript:KYUSt_chr3.27018 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSGDASPAGPAALDLAVVHILEASSLPPLPERGGNAAPRKEECEKNKDRKEKGGAPRITGWGLREYSKIVCEKVEAKGRTTYNEFDEKNIRRRVYDAFNVLIALRVIAKEKKEIKWMGLSNYRIEKMKKLEEVRRELTNRVRNKKRLLQEIEKQFDDLQHIKSRNQTLQRSAENANGIRLPFVLVKAYCSASVKLTKVSVSDELEDGGHGVDAEAEVEWQQQRW >cds.KYUSt_chr2.47361 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296307028:296317623:-1 gene:KYUSg_chr2.47361 transcript:KYUSt_chr2.47361 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSSGDGGRDTRRGGATEQQAGNGGVQEDQKLIWSALGGRRGGRWCKATDDHGEARPTTGKTGSIPAIGASRLGLLGGEDADEAAVLPSGPAELGEAGSHSDLRMEEASGRSRIRCTETPPPPIPSRGIQEIASGTLPERGIISRRTLRRHGRLRSDEFHVGAGISGVVPHYIPPPSTFNVLLDSYWFHVGAGISGVAPHYIPPPSTFNVLLDSYWDGEVGKWEGRGHQGGGRAGALDMGRQGHGKEGGEGGLQCSNHISNMTRVYRHLTIDYLKMFQQLPLL >cds.KYUSt_chr5.20812 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135156031:135156587:-1 gene:KYUSg_chr5.20812 transcript:KYUSt_chr5.20812 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGVAYERWKTMMALDHLERTGTCVMYSGSPPLGQGWRPAGCCWSSTAPWRRSPDRVGSGLSTSSAARSVVALLRDGAVVVAGVCGPVELQAGFGQLPGDGGLEVPGESLPDYVRPAVAAPAGVVPSLEALPWSSLGLQAQIRLFG >cds.KYUSt_chr7.23594 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147121884:147123663:1 gene:KYUSg_chr7.23594 transcript:KYUSt_chr7.23594 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPWPWSSEAAAAAARGEGRGDEDRRRRGGGHGVGLAPAVPRDVRDGQAPREVERHGERVQDALKTRFFRRVRRLRGVGRQGGGVAVEEERLGEVRLARAGAALGGPDEDDVGGVEETKAAATRTVDRAVARTATRKRARRRGSRGQVREEWNEY >cds.KYUSt_chr7.37862 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236119487:236122014:1 gene:KYUSg_chr7.37862 transcript:KYUSt_chr7.37862 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAGGFVLGLRGPDLGLCGPDLGLGGLELATTANGEAMRGQVGVEDLLGAAVGWPCWRFLQLRHGQPRPQHLLRHDGSSSLARGAGALFGPVCSWQRGSRGGGPGIGGFGSSAWSCCAVSLLYEVLQLLRDSEEAGAVAPERKVSRPSQVFAAADAIISATKLEIRSSTEQHNDLQVNNIRTPAPQFAVIPGSTIAEPSIVAGTKIFTDASWVQVEQQRNSPVPAGLGICIQVEGNQQCSQLFISAISPPVSSVLKPLVFFLQ >cds.KYUSt_chr5.22300 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145566134:145569275:-1 gene:KYUSg_chr5.22300 transcript:KYUSt_chr5.22300 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKGSGSRDANGGGLAEATASRLRFEDADEVGMEVEVEDCPAAAAAEEEVIGNEKTSADYYFDSYSHFGIHEEMLKDVVRTRSYQNVITQNNFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKTNGFSEVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLDTVLFARDKWLADDGVVLPDKASLHLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMSSGDASFTVPFKLVAERNDFIHALVAYFNVSFTKCHKLMGFSTGPRSKSTHWKQTVLYLEDVVTICEGETLSGTMTVANNKKNPRDIDITLKYSINGQRSKVSRTQHYKMR >cds.KYUSt_chr3.36630 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230400020:230401432:-1 gene:KYUSg_chr3.36630 transcript:KYUSt_chr3.36630 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPLLPQFLIPFLALLCLAPASAARRVSVSVYYEALCPFCSSYIVNDLAKIFQNGLSSIVDLRLVPFGNGRVSPDGSMTCQHGEDECRLNAIEACVIRLWPDAEHHFPFIYCIEHLALTQKWRAWESCFHETGLASQPVIDCYNSGSGRQLELQNAAETFALQPPNQFVPWVVVNGRPLGDRNPRELAIVLALCIALWF >cds.KYUSt_chr1.16008 pep primary_assembly:MPB_Lper_Kyuss_1697:1:92986563:92999943:1 gene:KYUSg_chr1.16008 transcript:KYUSt_chr1.16008 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNAKSSGAQLEKMEPVHSNGQPGDDVIIIESEGDGNKVGVQTSIREGALEDHNEHKCGNTDSTVLEEESTSTDDDSDSDLYGFYLRESENEQTSESDAEKDTEVSLPEEEVEELVAEFLDVESKAAQAQESLEKESLEKVEAELDLAVSIEMEQFKKAWSTELDDLEIRTADLLEQLDAAGVELPSLYKSIESEAPNVCETEAWKNRTHWAGSQVSEEANKSIRIADEYLQSCRPVRRKHGRLLEEGASGFLAGKVPVGDDNSVQCHEKSWNSFNELINSKKCTKSSFGSSNWASVYLASTPQEAAALGLQFPGVDEVEELAEVEGVVDDIKGVDEMELSEEQRKKYRKRNEFGHEEQSISRVDGEVAARRVPPYSVFRDKGPWLCLWCPPKPGPTAAERSAEQPRIEYAQTAVLRWLAHSGPRQETMATIHVFSFPNETTAVSIDNVKRQDPIEVREEDDAKTMKRLRRHMKKRTRGLCKDNIDLASSSNVCSEPPPLPEKHKTDKNEVSGELLKRTREDGADLDHKRSKTVVIESDDDMLIDSKLALRIKDSEHSSAELENGVDIIDLDLIPSQSPTLSDIDLPKAFKCTICTEMVLYPVVIAPGVFSVKNFKVAVPCCCCQPRQLEHLISECDKALSGDVESSDPESDNTSGTKNIDPVSKHKRKKKIRRIMDDTELGEETKRKIAMEKARQEHVKSMHEQSASKLRSGITGTSSGALSKVFLQDAGDGHIVNVAREEDEEPVRIPSSVSAKLKLHQVSGIKFMWENVIQSVRTVKSGDKGFGCILAHNMGLGKTFQVITFLYVVMRCTQLGFRTALIVTPVNVLHNWKKEFTKWHPAELKPLRVYMLEDVPRVKRLYLLNKWRAKGGVLLIGYSSFRNLSLGRHAREKDTADEISNALQCGPDILVCDEAHMIKNRKADITHALKQVRTQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPPKKVFVITVKLSPLQRKLYRRFLDVHGFSSSASSEKPFKHSGFFAKYQKLAQIWNHPGLLQMAKEQRESVRREDAVENFLTDESSSDDNHNTESQLLNGDNKQKIRTDQQSTKIDFVNEESNWWENLIDEGTYKEADYSGKMVLLLDVLASCYELGDKALVFSQSLTTLDLVEFYLSKLQIKGKEGKYWKQGKDWYRLDGSTPSSERQNLVERFNDPANTRVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRVWRYGQVKPVYAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLHLFEFGDDELLDQSGSDSTIINHTKVGMEKLSLPISSETTELPVDGIMLNLLSDHPRWIAGYHEHEVLLQENEEERLTKEEQDMAWSSFKQSQQLDAVPRKGAHDPERKPTETIVPPPKRSRQSQQPKSNSNNQKKCSNLNHLLTLRSHGTKAGCTTSCKECGQDISWETLNRSDGRLR >cds.KYUSt_chr1.3234 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19533293:19544354:-1 gene:KYUSg_chr1.3234 transcript:KYUSt_chr1.3234 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPDRRDFLYRDARRHDGGDPLPPPAPAPQRWRDSPYHAPPPPPPLRDHARPSPRRTASSDAYYRQGAGAYDRSYPDDPLGGYATSRSDRYWPDEDGGGAAYKGFGRYGGGGGGRRDSGRDPGRDMRGSYRRSPFRSYGGDFPRSHQEPPPPPPMRRSPLRSVAVPISYDPPGAREDREHHPRATPWRPLRRRESRSDGADAAAAAAHTAAARAAASSSEKNGGPEQSAEAAAQQMAEDEAPRKKPRLGWGQGLAKYEKRKVPGSADLDEPVAHGSPDDGKHKEDFGPPASAPCAASLVVAASEPSSSAPASAPCAAPLVVAPEQSSAPAPSAASPVAAPAPTSPPASAPCASSVAAPALSSPPASAPCASLVAAPEPSSAPAPCAASPVAAPAPSSAPASSSPAREDKSCELTANMAAMSSKDTPGAEAQAYNDEIPVKLCQLDGDPIGSLANVLAELLQHEDSCSGDSRRLTNSSKLLLLKENIAKELEKTELEIDSLECDLKLVTTESENRALENAQNPSPSSGTPKVPVKPETCETSSPVKEQGELSPCKIPMEVETAPVHNVIAVSPEGSVACPGFAVAQLASAADVSPLKPSEGTESPRIDADRQRQDPSPCHDGVNSLKADGGKDLSVRPCSHHFDSNNLIPSIIAANNEIAREFNELVFKPLPADQPCLDLSALAHFSSQRKNDLSVRKKLAIRKTELRFKEQTLTFKFKVLRHLWKEDVRLLTVRKQRPKSSKRTDQSNRASHSGSQRQRSSNRSRLGMPAVNLSTFPTTEISDVANKMFTEFQFKRCRNFLKMPALIIDEKEKRSTKFVSKNGLIEDPVLVEKERAVINPWTHEEKEVFMQMLASFGKDFSKISSFLQHKTTADCVEFYYKHHKSDSFREVKKLLDRRQQQPTSNYLGTKSGKKWNPEGNAASLDMLGVASVVAAHGLDYANRVERLPSKSILRTSCKPDVSVVAKGSLEKDFVANASLHERESVAADVLAGICGTLSPEGMGSCITSSADPGQKIGVTRMDYLLVPGVVKSFDEEGTLSDQECEVDPVDWNDDEKSTFIEAMNNYGKDFAQISSYVKSKSYEQCKVFFSKARISLGLDMIHQRTTDAGLLTSDTNGGRSDTDEACAAEMDSAFCSRQSSLKTEMDVCPAADRTIQGHTLSDITFKQPKTDTSDGPDGVDIKLEEGEIKADDKNCNTGVDHRQLSEATHQSSPSSAHIDINSSQNTESIEITEHSSQVSVHENDAITSPREQPVGAHLEIRSSQHNIEVIPLSKVSERICTQVSSMEGPSHHASDSALMKAGNLTPSVCLPGVTQSGKASEVICTEVSSIEGPPHHAPERNSTPSVCLSADRGRKENVVHFSDMAGVSCNRPSFTSSYQQIVPTDPLPPKPKPQVTPLTPKDLMPVQFCSDLPDPTSIRFEGIASITSPSFGHHANGVISTSGPKDMSKFPVFNEQSRNQHDALFRNIDGYMQHRRDHCLAADVPSFSESTASGTGGVSHSDQFTLNKYQNGRSGCSGLSNTSTGFLLTGHSEELRQGQLKPCSQNASTESHDQVKRPGDVKLFGKILSHQSSLQSSGSSSNGSKSKPPSPKIDKQAAAIFLNSSRDRMVYSSRSTNAHLGQDERVVRSYNFDGSTESESVFRVAKSQRSLASVPFYSAKNGTLGVFAEYQQPLMQQLPSDPKRLESFADLQKRNGIELISGFQQPGKATRLGGAGILVSAVSDPVAVLKAQYGAGSKILGNEADPWKDIGNSSILMLVLPVRSAVVLLYVFIWDDVRCNVPTQLSFCVLSDEEILVVSIVPLLLPLAVEVPAYSYSRRCWCAKPRAAGGGGPPLSCHALGGSDGSTAPHLWPGTGLVLERHHGIQCGIRGDGPRNLAVATAMVEIGGGGDPDCFPRGYLGSMVESSGGWWVGALLSVMALPGYAELYLEDGPVVDWR >cds.KYUSt_chr6.28705 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181905550:181909967:-1 gene:KYUSg_chr6.28705 transcript:KYUSt_chr6.28705 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTAFSCALLLVTLLPPSANASSKMYIVYMGERKHDDPSVVTSSHHDMLTSILGSKNEALESIVYSYKHGFSGFAAMLTESQAETLAKFPEVASVKPNTNHEPHTTRSWDFLGLGDSQQPPEQHGLLQKANYGEDVIIGVIDSGIWPESLSFDDTGYGPVPARWKGVCQVGQAWNTTNCNRKIIGARWYSGGITDEVLKGNYMSARDLSGHGTHVASTIAGREVWNVSYIGSGLGAGVARGGAPRSRLGVYKVCWEGAGCQEAAILAAIDDAINDGVDVLSLSLGGGAGEEIFGSLHAVLRGIPVVFSGGNDGPKPSTVNNAVPWVTTVAASTMDRSFPTLMTLGNKEKLVVPVIRILKVRLGAYADPTQNSLRAHNYHSRLTSTGRIGEAIPKSTQYVYPERDDTRRGNRPA >cds.KYUSt_chr7.16278 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100827071:100828978:-1 gene:KYUSg_chr7.16278 transcript:KYUSt_chr7.16278 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSILSKSRNVPSHRRRRRLRRLLSSSLGRATAVAPATTITASPPPSSNSHLASSPHSYNQYASILQSCVAAGSLRTGRQLHGRLLVSGLGSDTVLATKLVDLYAARGHVEHARRLFDGMPKRNVFLWNVLIRAYAREGPREAAVQLYRGMAEHGVEPDNFTYPLVLKACAALLDLKTGREVHERVSGTRWGEDVFVCAGLVDMYAKCGCVDDARAVFDGIAMRDAVVWNSMIAAYGQNGRPMEALLLCRDMATNGVRPTIATLVSTISAAADAAALPRGRELHGFGWRRGFGQQDKLKTSLVDMYAKSGWVQVARVLFDQLTKRELVSWNAMICGYGMHGHADEALKLFNKMRVEALVTPDNITFVGVLSACNHGGMVKEAKYFFSLMVDVYSIKPTVQHFTCLVDVLGHAGRFEEAYDLIKGMSMEPDSGIWGALLNGCKIHKNVELGELALQKLIELEPEDAGNYVLLSNIYAQSGKWEKAAMVRKLMTNRGLKKIVACSWIELKGKTHGFLVGDASHPRSDEIYVELERLEGLMSDAGYVPDTMPVFHDVGDDEKRNMVRGHSERLAIAFGLISTPPGTKLLVTKNLRVCEDCHAVIKLISQIVQREIIIRDVNRYHHFANGECSCKDYW >cds.KYUSt_chr2.5773 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35826976:35829815:-1 gene:KYUSg_chr2.5773 transcript:KYUSt_chr2.5773 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSYSIAVAISELAHVALVAPLVLLGGDNRRGISSAGSCRGLLPLKRKRASNGPAIATAEKEALVAGCRQELQGLFEYYKEVSGHRMQLEGGNLSSNAMIGCLLEESNLGLTKLVDEAFEKLKETEGVSVASVRSSVLLIGQRMMYGQSSPDADVLEDESELSLWCWEVRDLKLMPVKIRGFLSGRRTARKKIHERINAIRFLKSPGAEAQVNRLRKVSIKLSKALNLEGIRVAKDVESIANQSMQEMRETEGTAGRIETLHGSELPNGNASMTVNKVIVDHEVAMQLSKVIVDHEVARTTAQKQFFIFEDLRSYWFSPRPHSEALSCAQIMPMAVYDPSLGSSGYFPGSASIVWYNLDSHAGESAGITRTATQVTLAKKLIVEDAAGAFAKIAVAPLERVKNLLQVQNGCLHQSYSSS >cds.KYUSt_chr3.46568 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292899815:292904401:1 gene:KYUSg_chr3.46568 transcript:KYUSt_chr3.46568 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEVSRGGGRGLQMAAPPRGERCAVCRGADISIPHQANCSHWFCGHCIVGVWLHGSVLRPSDCPLCRRPITLLVPSEVASLLRDEPEIAPVVERIEQYNGRFAGAPHSAIQWLLDQPFYIRRMLTEFRDTRREPPLFFKIQVVLAVALSLLYLVSPIDLLPEAVLGYRGLLDDIVVFIIAYAYISAAYRAVLVARHAA >cds.KYUSt_chr3.37710 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237262452:237263267:-1 gene:KYUSg_chr3.37710 transcript:KYUSt_chr3.37710 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLGTFILMFTQVCAIIMDEQHDGVVGLMGIAVAVGLAVMVLIFSLIHVSGCHMNPAVSIAMAVFGHLPPAHLAPYVTAQVLGSTAASFVGHAIYHPVSAGIATVPSIGTVEAFAVEFVITFVLLFVIVAVATDPHAVKELIAVAVGGTIVMNILIAGPATGASMNPARTIGPAIVTGRYSKIWVYLLATPLGAIAGAGAYVAIKL >cds.KYUSt_chr2.38934 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241387565:241388242:-1 gene:KYUSg_chr2.38934 transcript:KYUSt_chr2.38934 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCEAEPPLAGHGGEGEGRGFLRHTEELLLASRGGEEEKLCWVLFPAEQQSRFFSLALDLLSVWVVLPPTCGRGDRQEGGRPSACQSGVERHMGERAVLTMLEAFFRRQISSAGLVRRRYSWPRGPLRTSEVPAPGNFYFLQADELKGKIFDPGVVIHPGDEPSGAVPGVATRDHGPRSSRRGGEEGHDRFLVFLSGFLFVNLLDSCAIVHKAKVLFVIVPTD >cds.KYUSt_contig_786.185 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1020013:1024203:1 gene:KYUSg_contig_786.185 transcript:KYUSt_contig_786.185 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGCSRTSNRTRLNLGRPPPRHGPPRRPPAPPRSSAPAAAPLLRPAPAPAARFSSKAEYKAFVACLRDISASAQADKNYSGDSVRDKADSARAATHDGEILRVIDNVIRSQRRGLVEENSSDFPFEISEKEGLTELTLTRSLKGEKIEVLVSTPKLDQDGKDDEGLLSPSKENQEDEGNTPPEKYSLPVRVTVSKGDGSGLVFTCTANPDDIVIESLSMRRKSLELDKNLQETFHKYLELRGITPTATKLLHEYMISKDRRVLPKTASKDKRNNLVFLTKLCSFLKKD >cds.KYUSt_chr3.44233 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279231088:279234540:-1 gene:KYUSg_chr3.44233 transcript:KYUSt_chr3.44233 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRLYYLTAPTAIFLRRSSPTSTSTSPPSLRAFQVALAAATENLRSGTLSHEDAHHLFDELLRQDTPVPTRALNGFLAALACAPASAACSDGGLLLAVALFNRMPLVAPPTVWTYSILLDSCCRARQPDLALAFFGRFLRAGLKANIDIVRTLLKVLCHAKRTDEAADVLLHRMLHLGCVPDAISYTTVIRGFLKEGKFSTASNLFHEMVQKGLVPDVVSYSSMIHAFCKRGRCKEARQILDCGILKGLKPDIVAYTTMLHGYATEGCLVDMNNLYNLMVREGVVPNQYVFNILINAHAKCGLVDEAFLIFGDMQKQGVKPDAVTYLAMIDAFCRKGRMNDAIQQFNQMINMGVPPNMQTYRCLIQGYCTHGDLLRAKELVHEMMGKGIHCPGVVFFNIIINNLCKEGRVTDAQDIFDFMIHIGEKPDVITFSSLIDGYCSAGKMQKACRVRDDMVSVGIEPDAITYNTLIDGYFKAGMVDAALTLFREMSDMAAKPDTLTYNIIMDGLFKAGRTVAAEEKFHEMVKSGVKLSICTYNIIINGLCKNGCADEAIMLFEKLRAMNLKFDIRTLNIIIDAMFNVGRIEQAKNLFAAMPAKGLAPDVVTYTTMMSNLIKKGLAEEADNIYSSMEASGCPPDSRLLNVIIRKLLNKGQRRSRRATSSRDNAEGLLRRDHNQRSTVSPMCTKGHAHRAHDTTPQAKSKGIAEPSPHPDSFRKHARTLRSKNRKRKPKGTAWPRLHRPPVSPKSNTSRGRTKVPHQARSRIQAGQHLQLVTPKEARSSHHQATALLDRRKPPPWLPVQNHGACQPDGAASTTADNRTWGPSTTTASPRVGSEPRSKAANCEPRSVRLSRTRCRRPIEMREQGTPSKPLQRDKHQPPRARPPQAEPPMPSTTLATEGLARSSPPVPRRPHAPGQGAEIRRQSPPDLAQREAASSPPCHAQELTKDQPGWAEREAGSAAATEQRDGRQQGRRG >cds.KYUSt_chr4.49714 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307842796:307849671:1 gene:KYUSg_chr4.49714 transcript:KYUSt_chr4.49714 gene_biotype:protein_coding transcript_biotype:protein_coding MADRDAASRRGADDEERRAGLLNGDAKKADWQVLSAAAAAAGEEDDESDNSKFGRRVWAESKKLWAIVAPAIFSRVVTFSMNVITQAFAGHIGDLELAAISIANTVVVGFNFGLMLGMASALETLCGQAFGAKKYHMMGVYMQRSWIVLFGCAVLLLPMYLYAEDVLLLTGQSPELSAMAGKVSVWFIPLHFSFAFMFPLQRFLQCQMKNFINAAVSGIALCVHLFVSWLFITKLGYGLVGIALTLNFSWWATGAMLFAYVACGGCPETWHGFSFEALADIWDFVKLSTASGVMLCLENWYYRILVLLTGNLKNAAIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVATLTSLVIGLFFWVLVMGLHDKFALIFTSSAVVLDAVNNLAILLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGSYYIIGLPLGILLGWFFNLGVLGIWAGMIGGTAVQTLILAIITIRCDWEKEALVASTRMDKWSEIEKYKTIPSVSCNHRSQRPPSNSNTAETNARRDAEPPPLQDPKKAPSPTRLCESMNRLAASSEAHVIVASRPADVPVLSWTKIRHLPSTKSEKNGISTTFGPTSSLQKNHLAPAPNAVMENDKRQ >cds.KYUSt_chr6.2489 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14467399:14468688:1 gene:KYUSg_chr6.2489 transcript:KYUSt_chr6.2489 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSKAGVNGNEKHLVCVTGAGSFIGSWVVKELLDRGYHVRGTARDPADRKNAHLLALDGAEERLTLCRADVLDYGGLRAAFQGCRGVFHVASPVSNDPELVRAAVEGTRNAINAAADAGVVRRVVFTSSYGAVHMDPNRSPDTVVDEACWSDYEYCKRTGNMYCCGKMMAEIAATEEAAKRGLELAVVVPAPTVGPLLQQTVNFSTDHVARYLTGAKKAYTNVVTAYTDVRDVARAHALVYEHADSPGRRYLCVAAVLHRAQFLQLLRDLFPQYPVTSKYEDDGKPMARPYRFSNKRLRDLGLEFTPVRESLYDTVISLQQKGHIPLTAPVPKRARL >cds.KYUSt_chr1.36620 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223502637:223505770:1 gene:KYUSg_chr1.36620 transcript:KYUSt_chr1.36620 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSPAASRRGGRAARQSPFFRDLASPIPSHRAGGSRFAPSGANATPSATPPPPPLFTLDDRFAAADFSPDPTASDLLPVAGSPSPRAAGAGSRSPSWDRSRASAPGSPMDGVVEPRKEMLALPAPSSPCTPPPPATTMAAEAPSPVTPATATARTEPPATEGKGDCEEWVTVFGFSLRDTNLVLREFEKCGVILRHHSGPREGNWIHILYQHSYDARKALQRNGIQLSSGVIIGVKHIDPTHRQQLDDRFTGINQGRFMVSLPSKSLALKSTGASNQLGALPRPYDPKSSTNVVRDAGRRATGSVAAPAKSIVTNVMDLIFGI >cds.KYUSt_chr5.8157 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51731283:51732605:-1 gene:KYUSg_chr5.8157 transcript:KYUSt_chr5.8157 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPPPQPPPKLPSMMATITTAFSADGTTTTTIITTTSNAITASTTSTATTISSLSGDDLREIFLRLPDLPALVRAALTCRSWLGAVRSNPAFRRLFRALHPAPPIGFFLDLTGPTNPLFVPLRPSDSDAIAALRRGDFFLTSLPHSLWGWSVTDCRDGYILLWNNLVGNDLSLATLNPMTWAVDILPLPGGIEAGSSNNFAVLGFHLQCSDEKPWVFRVICVCTDQNRVRAAIFSSETRDWVIQPWVDIGENNSLKFRAGSLVDGSVYWPCHGKGRMVRINIGTLDTSVVDLPGQVEVDGYNFKAGETKDGKLCIVYQSGLSLDVWIRSMDGDGAEIWAPQSTHNLSAEIDRITHAGHLHGYIKIVQVRYGYVYLSKTCMTLAGMQHSWFFSLSLETLKLELLHEGKYDGYVHLYVMAFPPSLVADDGSTGHDAEGSH >cds.KYUSt_chr1.11503 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70555453:70559934:-1 gene:KYUSg_chr1.11503 transcript:KYUSt_chr1.11503 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAASAAVTASYTNYIPDSVKTFVSDLYRYIRDGDASGTLALYDETFHRLCAGPYRAAPWPPAEAVAACCDNDQFFLILYRELTFRHAHARLPGLLTLKHRADSWDNYCSLFAVVLQGVVDAQLPDKWLWDMVDEFVYQFQRFCQYRAKLKDKSQDQINLLKRFDQIQAYSQLFPLVKSVFMSRKCVSHRWFLLHIESFLAWDVYGVLNYLKALVEKSMIREILEREKEGLEHSTMQNDNDGGSNVLKMLGYYSMIGLLRVHCLIGDYSTGLKCLSPIDINQQGVYTTVIESCISTIYHYGFANIMMHRYSDAIYQFNRILLYMLKYKEYHQKSPQYDLLLKKNEQMYALLAICLSLCPQTNLIDENVSIELKGKYGTQMTKMLRCDGEKYYDELFSFACPKFIDASPSVFYNQDTYRLQLKLFLAEVKQQQLLLGVRSFLKLFSVITIGKLAHHMGSNETSFEEVIGELAQLQSY >cds.KYUSt_chr7.21433 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132915385:132922912:-1 gene:KYUSg_chr7.21433 transcript:KYUSt_chr7.21433 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHKGRQAGSTLVSSDQGTDIEATMVTSQDGSGVSKWTVDKTRFSVEDLVTGAVLTKEEQEYLSTLCRSEADSLGRIAAAVLLLLELDGSLGQAAIDQLASLATAMEHDEHDSDDDVPPGLDSDGDASRFRKKRSKVWEEYTPVYVNGVIQSAECRYCRTLMSCKGVNDIDPVNMVLPESLDDINLVNVSENSRYKSKVWMDFTPVYVEGRIQGADCIHCHKRFSAEGRSHLNRHTQSCSARVGTSANHQDSWFFASSVQSRVKDELSPALTNGKVQIAEYASRLLKGNSSGHRNHQNQHILALPADSMTPTEPSNLSALTRKLDQEACYQDLTRMIVMHGYPLSIVEHEEMKRFAKGLNPMFNMASSLDMEEYSTLLFQKEKSDLKEKIALSSQRISLSASVWFPHGAEPTIKYLCLTAHFIDADWKLQRRIIKFGVFWSSPSNLERIIHYKEACVLECEIGACNVIWEAIRDWNLDRKLFCLASVSEIRNDESISKLKDMLMQRNSLPIRGQLYNIACVDDVLNSVILQGQQMLYLVGDLLERFIQACVSSSLTRQQLLEVVSHVGLKCPHEDSKWWHKIYFRLEVLLHYKKSFPSEELVSLDEMKIVEPICKILRVFYRVIEVISGSVCLTANMYFHEVWKVRTILQEEASTEHTDVASMVREMQEAFNEYWQNSYFWLSVPVVLDPRFKITFIEFRLKRAFGADAAKYVNDVTEITRELFHEYCTSMDQSNIQTSNCQAHDVEMYGFDSDSLEDWDQHLTAQTRSQLLSELDNYLEDGLVPRKDDFDILNWWMSHSSKYPTLSIMAQDVLAMPCSAVHCDAAFSSRGPVIHKQWSTLNIKTIEALVCTRDWIR >cds.KYUSt_contig_1181.1417 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:9187838:9190028:1 gene:KYUSg_contig_1181.1417 transcript:KYUSt_contig_1181.1417 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDALRRELLHEGIRQQFIVAELAKRQELEDEFRDRFRQTTMPHRCASPLPHGGPFAGSAMSRRPVKDRIEEWYQPPWCREIDEADAVIAGAALSGVKRKRTADTQPSICSICNVICYYETDLQKHLRGRKHQKKLEALKGKGKGTEEKLHEKEAPQLVDKNKKE >cds.KYUSt_chr5.2904 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18989833:18992647:-1 gene:KYUSg_chr5.2904 transcript:KYUSt_chr5.2904 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRKGGFKKKIKAVAELVGLSSGSSSQTRPPSAPPSPPPRRKNAQPRRLRTPSPSPPPAEDDDEEQWGGEDEEDGEEHGGQDEEDGGEDGGEDGGEDGGEELEEDEGLGGLPQELNKDLAWYPPEEEEYVAAEERLEPRDKKPYKRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRLPTREYSNILGGLIRKHFPGIVNLPSGGRDVAWTWKHYSYAEDPSGKCANMQERVVRHFWKYFTRAEGKEIACDIILHELCRVRVTGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQNPPQYVGEDKACFLAMVIWWTSAEYARKHEEGKQKRLEMGGGSHVLGSKNLALTLQQEEVKTGVTPNLFGLFQKSKTRREPHPETGSVWVNGLAEAQCGAYRSKFKAKHGEDADPTTEDFDVEVAVLAGQGKKGGRLWIADGLVDPTTIPSLRQIRRGRTSEQPRVETRPRASDLAVEKLRAEMEERERRHQEEQMQMQQQLRENMQMQQQMLQQMQQQQQMFQQMFMNQAVLTSPPGSSGPSTSCPPMFPHFIPTPDPAVMALLQQAPSQSPLTPGLTVNNTGIIRSLQQFLGGQGDGGQGGGEGQGSGGQGSGEG >cds.KYUSt_chr2.6045 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37622609:37623907:1 gene:KYUSg_chr2.6045 transcript:KYUSt_chr2.6045 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDYLRLHRIDVVCLQETIKNDFTDQELRSLEVGEKFFWCWLPANGHSGGILLGFRDSVFDVGAVDMGNYFLSATILCKADRSKLMIMGIYGPADHARSNDFLSEISQKIAQVEVPVLMGGDFNLLRDAEDKNNNRITWAILDAFNDAIARWGLRELRPPKVTATGTPFFLVSMTNKNRRSGNLTLAAETTRNFTQSLCLRSPSATSATRKNRTTKIRSSIFIEGTEKEENRGSNRLRRSRSPWTRHAGSGIRFTYNPAPSLNLVTSMALKGRG >cds.KYUSt_chr2.8706 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54727487:54728692:1 gene:KYUSg_chr2.8706 transcript:KYUSt_chr2.8706 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRVRKSRKAAELAYRLAMLPADVVDEILLRLPAKSIARFRAACKSWHALFSDRVFLRAHHDHASRAVLLRKRSGPRSSYEWDDDICALPFGQRAATPIGLEKLRRRCRFLLHGCCDGLLLLSQSHRPNYSFLVYNPTTQEHVPLPRDLNWNLAVAGFYFHAPTAEYRVLCYRCDRTKRVTRKHYDYSVAAVGRPEVRRLASTTYGGYTRWKNNDAPVILHGSLHWMRMLHRLIVFDTVSERFRGMRGPMQDRCMVGRLVAMDDGKLGASSFAEGERTVDVWVLRDYRKETSWTLRYKVDVGFMGGSSVKFKWLGIAHVSESGEALFYGAQRYGMYNLREGEVVKAGRKIFLFPKKDDRKIDARLSATWHAYRESLVSPVPKDVGADPGLEFYVSGKFR >cds.KYUSt_chr2.35084 pep primary_assembly:MPB_Lper_Kyuss_1697:2:216417670:216420750:1 gene:KYUSg_chr2.35084 transcript:KYUSt_chr2.35084 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVQKPRDEEAPIAANEIRITAQGRTRNYITYALALLQENGSDEIVIKAMGRAINKTVDIVELLKRRIAGLHQNTSIESIGITDTWEPLEEGLVPLETTRRVSLISITLSKKELDTSSPGYQPPIPDDQVRPPIDFDQEGEALPSDRGRGRGHQGRGRGRAMSNGGADYNDEDTDGDGGRGYGGSGRGRRGYAGPGRGYGVDTMMVL >cds.KYUSt_chr4.16211 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100479605:100487530:1 gene:KYUSg_chr4.16211 transcript:KYUSt_chr4.16211 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGDGGDDGGDDDVGDGDDVQLDDGDDGVDFPLPEGISPVDLSPPESSFLSGVLRPAEAAVTLRELILSSNRHGNGRTRGRGPREDIALSNAQTGEEYADDNAMIPQNVTVLVRRVAGQMSENIVVFSSRKVIEDGSIASNKSVVTESVSKSCSSTEVQDEDAAIAAVIDAAEVKWEDPPFKRGQHVGRFPGRQYGHGPLDKEAPPPGYVCRSCGVPGHFIQHCPRENQTPPPGYTCYRCRIPGHFIHHCPTIGDPKFDDYKDKMSRSFATVVPVNPVDDILYAIAPAASASVVDDLPAELHCRLCNKVMADAVLTSKCCFDSFCDKCIRDYIITQSKCICGVKVLADDLIPNHTLRSTISNMLGARACSTASGTGKHKSSSGSNPDPKLQSPTTSAASGKDMKKQSMDHLLPSASPDAGVVQVAREDDHVDQQKTKTEGSAGGSVQTAVPIADPLKLNDVSESTLKGSTISGTLEPKVAKTKRRKKADSTKIACPNNADYGYNVPFDAAYCNPFNGGYPMVTDPYMYNTMGMPYGGYPMDPFGVNPFGNMPLQAPFGNMPPGPFGNMSQQAPLGNMPQQAPFGNMPPQALHMQGYPANYQSRETHPAHGEAAARSRQASHRDTEAAARSRQASHRDTEAAVRSRQSERPEDTGSRPRPSERSRSRSRSRSERRDHGRSDRSSERRDHGRLDRASVDTRSERRDHGRSDRASIDTRSERRDHGRSDRASNDTRSERRDHGRSDRASNDYDEDNHSRKRMRASSPADDKQSRRRSRHSSRSLAARDDSSDDEQNFKRTWGR >cds.KYUSt_chr7.4380 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26472456:26474603:1 gene:KYUSg_chr7.4380 transcript:KYUSt_chr7.4380 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWYLRHVHRGTAAAEAFAAALLAAAFFFFFNPHLATLPLHPPPPPPPIRPTATASNALRRRQGQRRRVPDGSNFFFGGYEAVVSELSSKDLPVLKRFFEISYQNDLIGQGKASVFNVMSNWSPIHRCDNQGLSKTEIGLRGLSFVCPDSHGLM >cds.KYUSt_chr6.33247 pep primary_assembly:MPB_Lper_Kyuss_1697:6:209026267:209027380:1 gene:KYUSg_chr6.33247 transcript:KYUSt_chr6.33247 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPLGPDPAPAAGEALSTCRGRRLTLAPGDMERISMAPSSSISPAPSGSRFEVLVEDVSDSSSEGSCDLDVPFASAMEGSVEPPASKSWQGRPQPDQSSRRDVASSLLGIKMQRRARVGTWRGPCPPRRISLPAILGQFFGAMEVASPATAVARSIETAGAEYGEPFSDARIAPAAAFVDLDTVLAIAARSTKLASGCDIVAAAEVAEGCVATIAKFYNSFAVKVVFVAQVARFFFAIAIKAAAVALLASRVYAGATPTVLVAGAGAVG >cds.KYUSt_chr4.42255 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261398476:261401295:1 gene:KYUSg_chr4.42255 transcript:KYUSt_chr4.42255 gene_biotype:protein_coding transcript_biotype:protein_coding MPASILSLPPSSSTLLRFLPRNAIPVPFARNPGAPPRSLRSSNRFAGSCHTGASSGTSLAGSGSEGAAAGGYEDGSLPFVNLSSDILRADLSLLKDEKAPSPLLTTLPSLQKGNRGDGHLESTPAYPSALNALYAACLAGNATEHLWNFTWPAAVATLHQSLLPVAVLGFFTKLVVFVAGPLVGNLVSSLPRIPAYRSLTVIQTVAHLVSAAMIMHAFTLPRASTALELLLRPWFAVLVAATAVDRLSCVSLGIIAERDFVVQLAGLGRPVALAQANATLSRVDLICETAGASIFAFLLSRNDPLICIKLSCLISLSALPVHIFLVGSVNRLTHGIFDHHEHRRSTNAASNFDIRRTVADASATIRHGWREYISQPVLPASLAYVLVCFNVALAPGALMTTFLIHNGVSPLVLGAFGGSSALMGILATFMTPSLVKELGILKAGAAGLLAQSALLGAAVLVYLSGSISRRGALFIFLGLIVASRLGHMAYSVIGLQVVQTGNPIGKAKLIGATEIAVASLAELGMMAVAVAAKDVSRFGSVAVLSAAAVLAAACLYCGWLANATHELKTLFRCDDGE >cds.KYUSt_chr6.30754 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194987253:194988727:1 gene:KYUSg_chr6.30754 transcript:KYUSt_chr6.30754 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSHSSMAPLVLAALFLLLAAHASAGVTSSYRRSLLTLPDMPLDADVFRAPPGYNAPEQVHITQGDLTGRAMTVSWVTRERPGSNVVRYGFAADCLNLTAKGTVRRYTWGGVYRSPYIHHATLNGLNHGTVYHYAVGHGYTVRSFSFKTPPKPGPDVPIKFGIIGDLGQTFHSNDTLTHYEAGSGDCAAVLFIGDLSYADNHPGHDNRRWDSWARFVERSVAYQPWIWSTGNHEIDYAPEIGETVPFKPFTNRYLTPHRASNSTEPFWYSVKMASAHVIMLSSYSSYGKYTPQWTWLQDELARVDRTTTPWLIVCVHSPWYNTNDYHYMEGETMRVQFEPWIVDAKVDLVLAGHVHSYERSHRVSNVLYDIDNGKATPVFNASAPVYVTIGDGGNTEGLAPSFRSPQPDYSAFREASFGHATLDIKNRTHAYYEWHRNQDGVKVVADKAWFTNRYYLPTHTN >cds.KYUSt_chr2.1206 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7108097:7111170:1 gene:KYUSg_chr2.1206 transcript:KYUSt_chr2.1206 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYAAHKFEYSISLSWKKYNVGQINSTELSDAIWKSFFQGKLTFPHWTKGGEAMAPVVNPTGGTVLVRKLATLSPKEVFVGDIVLLKDPEKSDDLIVRRLAAVEGYEIVSTDEKDEPFVLDKDQCWVVADNQALKAKEARDSRLFGPVPMTDIVGRVIYSLRTAVDHGPVDNSRVAMFQDSPVLAVELDVEEMVKNNKM >cds.KYUSt_chr2.43584 pep primary_assembly:MPB_Lper_Kyuss_1697:2:271161751:271163742:-1 gene:KYUSg_chr2.43584 transcript:KYUSt_chr2.43584 gene_biotype:protein_coding transcript_biotype:protein_coding MPALADQADPPLADSYRALLRAGNGIAPTPATESLAVLEQDLPTIDLQGLASGDAMERRACADAMAMAASEWGFFQVTGHGVGRALLEEMRREQARLFRLPFDAKAKAGLLNGSYRWGNPTATSLRQLSWSEAFHVPLASIPGEDCDDGMLCSLRGVMQEVADAMSRVADTVAGALAESLGHRPGGPTAFPAGCDGTTCFLRLNRYPACPFAPETFGLVPHTDSDFLTILCQDQVGGLQLMKDSRWVAVKPRADALIVNIGDLFQAWSNNRYKSVEHKVVANSKAERFSVAYFLCPSNDSPVGTCGEPSPYNPFTFGEYRRKVQDDVKRTGKKIGLPNFLKLSTVDGQK >cds.KYUSt_chr4.16799 pep primary_assembly:MPB_Lper_Kyuss_1697:4:104434027:104435575:1 gene:KYUSg_chr4.16799 transcript:KYUSt_chr4.16799 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEGIVLLLPEDALAEIFRRLPPHSLAVLRCVCGAWRAFIDARRLLRKELPHFLASFLLNFHLLKSLQLLSHPSAASNAADLDLTVSGKLDHVYPLPSVHVMDHCNGLVLIHDTVANPATRRSARLPLLPPSCLDRSPHRHFYANRYIAFDPAVSPHYEVLSVRRILYKQMSWHFDPAIEALEWPPSPCAMHIFSSRTGRWEETSFVREGDAAGTVADMRGDSIPRKRNAVYWQNKLYVLCETNILMRISLSDRKYHIVKPPIGMCYRLYPNLYLGRSENGVYCAFVDCSILQVWLLVESHCQMEWMLKHQTNDLRSMLQPRNRIGRCDTQWVLHNVSSYEDAIDEDAIYGEIFERDLDSHVLLEDEVLDDRYMANQIFSILGFHPYKDIVFLSQGVKRGLAYHFNNGKVEDIGCLYPPCYEEVTNQPYIEASFPYTPCLIGRFPETIHLEN >cds.KYUSt_chr4.23291 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146692447:146694181:-1 gene:KYUSg_chr4.23291 transcript:KYUSt_chr4.23291 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLSPVPFKSKMAAGFRRLGIDPDDANGLFRRATAAAIATLRPLAPIFAAANHFLNGCENFFTRFFFPSPPVDHASRLPDALLGNIVSRLPVKDAARTAALSRRWRPVWRSTPLVLADADLLPATSAVSSVLAAHPGPFRCVHLTSTRMAEFHGLLTSWLQILAAKGVQELLLVNGRWPHVLVLPSTFLRMATLTRLYLGLWKFPDTAAVPRASFFPNLLELGLCSVAMENKDLDFILDRSPVLETLCVQGDMFLLRLCLVSQSLRCVQIIGPFEEIFVVYAPHLERLIRSQGNKASYQKKNGTPDVSRTKVRIGHSPKLHLLGYLELAPGKHMIEVGSTIIKAGTRVSPRTMVPSLRILAMEVRFDIRNDAKMIPSVLRCFPNVETLHIKSGKTHQSTGKLNLKFWHESGGTIECITRLVFRDFRGGRSELAFLKYFFESALVLQEVVILLADGLTSMEEARSKVESLVSTRRASGAFSLLFNGSSDPQEDYIQSFKRGSDFSLRDPFANY >cds.KYUSt_chr4.48897 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302863075:302863473:1 gene:KYUSg_chr4.48897 transcript:KYUSt_chr4.48897 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLPLELLLALLGSELRPDRFGGRLRSAAIWSSGVIGIASKLAEAHTVPIWSWDNLATTSFLALIVQVQEGEPHSCRRRVRRDYSGRHCKGCRRRGRRDHSSHLSSLQRRRGHAGDRRDTAAAPACDKID >cds.KYUSt_chr7.7192 pep primary_assembly:MPB_Lper_Kyuss_1697:7:43340470:43340853:1 gene:KYUSg_chr7.7192 transcript:KYUSt_chr7.7192 gene_biotype:protein_coding transcript_biotype:protein_coding MPITDCSGSTEPTWLHSPSSSPPRHPPRGPPSPHILEGSNRETRRRSNAQTKLAEKLPGKESSHLLTGSIGKSIASVLLAGEIVLLQAHTDVKWPLARLGGAALRRDFPVRPRRLALRLHRHSGLDI >cds.KYUSt_chr5.4136 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26534388:26535970:1 gene:KYUSg_chr5.4136 transcript:KYUSt_chr5.4136 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPEEILSDVVRNILRPHPPATLSLDRPVIRLPRPAASHADWRGLSAFALGALFQQLPVPDLLRLGYLFTPRWREVWRMYRLYLHDKQFASLPIPRSEVADAIANVLEEFLDGFQGQGVEEEDIGGVHVYRSGVYSFRVESTEWRPDHAARWCAALQRGGASEVVLFNRGVAGQDPVLIGVPPILLQCATVSKIHLAFFTVEAGELDALTGISQLGLHGCACRPGVVEGVVAACSHLRMLLVQDCALDSVVVRSAHRLYRLSMLRTVSSSLTVDDVPNLRELLPGYTAALSITGATELTSLMRFKLPATLEIDGVEMRSVSILWLALDYTALRGMEVHMVPQLVHQILRRFPCLNRLIIERTDAVPREEGMAGRHDQDAYSMPLHGGLDQSLCYLTLLDFRGGKAELELLKAIMLSTHALRTVELAYSPSRMWHDLWHATQEALAGLELFRIVSGNPSLRTPLLGIRSQYAGEIQGYEWCGSDMF >cds.KYUSt_chr2.27147 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166443728:166446361:1 gene:KYUSg_chr2.27147 transcript:KYUSt_chr2.27147 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDYSTESSNEEDVQEEGEKETSLAEGDVFKPVDMDPEWVPKVGMVFDSEEDAFQFYVAYGCRSGFGITRRSNNTFDGFRYRSTFICSKGGQSRLRSGVTRPARKRGTKTGCKAKMIVKDAHFQNRWEVIVLELEHNHALDPSLLKYKKHLENLSLNPPHMSEAPQDCSAAAHSSGVGDSSVPSSAHIEIKTKIDRNRKLKLAEGDLEALVSFFNDMQDRNPCFFHSLDMNEQGQLRNVFWADAKSRSSYNYFGDVVSINITNFSDQYDIQFVSFVGANHHAQPVLLGCGLLAGRSLGAYVWLFDTWLRCMNATPPPAIITNYCHDVAIAVKKVFPNARHRFCLRHILNELTEKLDGMEKKDGMITTFSTLSYDSVTMPDFDKEWQEMTQQFHLDGNEWFSRLYEVRAQWAPVYVKDFFWAGMSVTDRNDSAADYFDGWLMSGTSVKMFVEQYEAAVRSKLEKESYEDLQSSQMRQPMMTGLPLEEQAAKMYTVQIFQMFLNEMGRSFQCNYNILDRTDSGATYIISEHLNQAKKVEYKVAYDSVEEDIWCMCRLFQFKGAQELGSYDDLYKVGHQYFAEVVELGSVNSESKEYALSIMREIRDRVISYEKSLRDQRVDSQVSTANFAYNPVNEDFTDDALPISLNTKGWDLPQGQSKRSRKKKLATPSVLDTLKKKTKKAYNKRRNATANNLNTTVATPDSVPDSIHVQQNQVNEGWPLTSAGAPDAYPYGVETISFDLTQYNNAPSFHWPESSTRSQLE >cds.KYUSt_chr4.2280 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12685652:12690889:1 gene:KYUSg_chr4.2280 transcript:KYUSt_chr4.2280 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGGRRGGRGRGPRRPRGRGRGRRGGAARAPRSPSPASSSSSHEERCFEFLLRIDDDPLGIKRLPDKFAEFVDGVEPAHLQLREASYNFCRWSVEVLFDGQGKMYLHTGWDKFARDLHLEPGCQLTFLYEGDGEMIVKVFDDTACRVHYPHTGESGSDTDNMTWYVVYKGKVPGVYNDWEECRRQWRTASASNGARLRDCSGALEQAAMAHQNAITVAHPRRRPRLNIGEGPEEAAENTGYGMKLHTAAPQQSEDDQRNEATGGRAGKKERGGRHGEHLLDLRWRKLMMRPWRCAIPCSKSGESGGKESSNLRRMKPCCGHPLLRVGRRALVPVWKDERRKFGKVDEQQLVCGA >cds.KYUSt_chr4.6313 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37052876:37059249:1 gene:KYUSg_chr4.6313 transcript:KYUSt_chr4.6313 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKSCSAVADADHGDACGRRYLAEGMVVAFTMPSFTSDARGNPRSGSPDQAAAAPRCRYLLEDVVQAIEEGPMRLSEVGVGDVLQVVAAAQGLRFRGATYDMADAPFDVFPSPSRPCLLLADSSRRMRGGDFLVHGEVRRRNIMKAGLVDWLKEVRVSVMLRDLLGVPSNAAVLDAATAHGGAAWARRRCFQYGGAMLQRHSVPARRLEQASPWMLAAYTTGLQKPLMDATTTYRRSYKGLHWSCKRRCYEHPPAELRRADADAANTYCRSYNGGRESFIVPTSELQALLLRTSTYERPPAELPRADADAANTYSRSYKSTMADAKAS >cds.KYUSt_chr7.19926 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123473207:123474817:-1 gene:KYUSg_chr7.19926 transcript:KYUSt_chr7.19926 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPSGRPPRRAASVRAASYDESLVDNALEAYLGNSPSRRIRRLRRLSPEERQRETETEALIALSLGFPIDELLPAEEAVLAAPDAAAPNDYIVVRNHILASWRADPRVPLPRARVLETVAATYDHLVAAAHGFLTREGHVNFGVSPAFPASPPPDAPEGPAASVLVVGAGLAGLAAARQLLRFGLRVLVLEGRARPGGRVYTARLGDGQAAVELGGSVITGIHANPLGVLARQLGIPLHKVRDRCPLYYPDGRTVGTRLDRVITLVFNTLLDHATKLREALKEAAEGISLGEGIERLRRLYSVAKTEEEREVLDWHLANLEFSNAGCLSELSLAHWDQDDQFEMGGDHCFLAGGNSRLVHALCDGVPVLYEKTVKRIEHGVDGVSITVEGGQVFQADMALCTVPLGVLKSGSIQFEPELPQSKLGAIQRLGFGLLNKVAMVFPSVFWDEDIDTFGCLNKDSSKRGEFFLFYSYHTVSGGAVLIALVAGEAALDFEKVDPVVTLHRVLAILRGNQLILGILLGVLKLKCIVSS >cds.KYUSt_chr7.4560 pep primary_assembly:MPB_Lper_Kyuss_1697:7:27583314:27583628:-1 gene:KYUSg_chr7.4560 transcript:KYUSt_chr7.4560 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGNAGSAARPSSSCRRGPGGCGLALARLVRRLRRRSKMLCTAARPAAASRYCHQYDPLSYARNFDCDGYGDDVSGAGHLCHHYTFASRFVLASSGARQPDRL >cds.KYUSt_chr7.17642 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109255392:109258572:-1 gene:KYUSg_chr7.17642 transcript:KYUSt_chr7.17642 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSSGVGRTRRTVRSTVGRMRRMVGRTVGRTVRRTVGRTVGRTVGRELEEDEGLGVWPQELNKDLAWYPPEEEEYVAAEERLEPRDKKPYKRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRLPTREYSNILGGLIRKHFPGIVNLPSGGRDVAWTWKHYSYAEDPSGKCANMQERVVRHFWKYFTRAEGKEIACDVILHELCRVRVTGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQNPPQYVGEDKACFLAMVIWWTSAEYARKHEEGKQKRLEMGGGSHVLGSKNLALTLQQEEVKTGVTPNLFGLFQKSKTRREPHPETGSVWVNGLAEAQCGAYRSKFKDKHGEDADPTTEDFDVEVAVLAGQGKKGGRLWIADGLVDPTTIPSLRQIRRGRTSEQPRVETRPRASDLAVEKLRAEMEERERRHQEEQMQMQQQLRENMQMQQQMLQQMQQQQQMFQQMFMNQTVLTSPPGSSGPSTSCPPMFPHFIPTPDPAVMALLQQGPSQSPLTPGLTVNNTGIIRSLQQFLGINEKQKKSGIFPAPLPCICTRQRHMHTAKKAFAVHIHTAKVALLCTARLWQCCCSIFAVRGEVEAHGKEETLPCGMGAEHTAKPAARQTLKAHGNHTRTATLSQHTAKPVRTAKAFAVPLPRGARQRRLCRAVHCRAFFAVQARSAKALPSVFGPLPCVLAARQSPVLP >cds.KYUSt_chr5.39025 pep primary_assembly:MPB_Lper_Kyuss_1697:5:247165044:247165394:1 gene:KYUSg_chr5.39025 transcript:KYUSt_chr5.39025 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLAAGSFGFGSAREVKAAVALAVVAFTVRDTTVASALSAAAEKVAVVIGSTTPSAALFYLSSGDLLELDEEHCFGGMVAGPYYESLAQGMLVEPPDAGAWREDGGAVQTPLWS >cds.KYUSt_contig_662.183 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1175068:1177371:1 gene:KYUSg_contig_662.183 transcript:KYUSt_contig_662.183 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTKILRDAQDLDDSIRYAAERDLTELQQLDFPDFLLSLSAELASNESPPECRRLAGIILKNSVEAKYSEDNIKQWINLDPLIKSQIKESLLATLGSLVPDAWHASSQVIAKLAYIDIPSRDWQDLIGRLLGNMAQQGASPPLQQATLEALEYMFEEFLGLEQDKIDDVLDAIIRAMNRAEQSSQVCLAAVKALQNVVMFANFANDDCKNCIMTAICDAAKSDGAVIKHAAFGCLSAIASKYYRMLEPYTESILSLTTEALKGGVESGALQCIEFWITICEKVIELRKQNKHDAHAISTVDCSFIEKPLSSLVPVLLKTLLKQERDDDAKAIFISAIKCLDLVAITIGDAVVPIAMQFVEVNIKASDWQSRWAATFAIAGILQGPSIEKLSPVVRLLLDRMEDRNVEVRGTAVCTLRRMFDLLHSPACANRIFTDANLPRIVAVLAKRSEDVPDVSEEACRAIYFLAKGYESISSELGHSKKEISSELSPFLSDVFDVLFSTSAPAKETPFRLPTSASAYEALCEVVRVSNIQDYEAKAAIGVLMPCIMRRLNMVLDGKASSSGDKRNKYDLLVLLCDLLHVIIQKLGNTFPVWRTPYVLLLFCRVLTFDSSAARDKAALAIGALAHAVGPNFVDHMPILLQHFNAKLLFPIYLQVIGDIFLVLGDEILPHCDYIIDVLYRGLSKPMLKLAILECFGEIALAIGKNFEKYLQAVMRRLKDAADPECYDDVLEEDEVDYSNQLRQGITGYKGPEIWVESSGGSNRFQ >cds.KYUSt_chr1.37393 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228389251:228394024:-1 gene:KYUSg_chr1.37393 transcript:KYUSt_chr1.37393 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSALFPTGLVCFLYLFIFFNSLPLVICNDEIENDRQALLCFRSQLSGPAEVFASWSSSNASMEFCHWHGVTCSAWPPRRIIVIDLASQGITGSIPPCVANLTYLTKLQLSNNSFLGVIPSELGLLSRLSSLNLSMNNLGGNIPSELSACSQLQILDLRNNSLQGEIPPSLSQCKQLQEINFGNNKLQGSIPSAFGQLPELRKLVLARNSLTGTIPLSLGSSSRNLTYVNLARNDLTGVIPESLANTVYLEVLILMSNSLTGEIPKALFNISSLTDIYLQQNSLVGHIPSFTAISAPIKYLSLSNNYLSGTIPSSLGNLSSLLYLRLTHNNLVGTIPESLGHIPTLKLLTLSVNNLSGVVPASLFNMSSLTFLGMANNSLVGRLPSNIGDTLPNMQGLILSTNMFHGPIPASLTSAYHLSQLYLYNNSLTGLIPFFGSLPKLEEIDLSYNNLEAGSWDFLSSLSNCSRLTMLLLAGNNFEGELPSSIANLSISLQWLQLNENKISGPIPTEIGNLKGLTMLYMDYNLLTGDIPPTIGNLNSLVDLTFAKNRLSGHIPGNIGNLVELNFLKLDGNNLSGRIPGIPRGGIFDNAGALSIEGNDQLCESIPKRDRSFNAECEALRNVRHRNVVKIITTCSSVDSTGEDFKAIVFQYMPNGNLEMWLHPKGGASENNILTLNQRVNICLDVAFALDYIHNQCASPLIHCDLKPSNILLDRDMTAYVSDFGISRSPNAYQDGATSLAGLKGSIGYIPPGEASIPNVNAEYGMNEQVSTKGDVYSFGVLLLQMVTGCSPTDEKFKDGTTLHEIVGRAFSKSIHEVIDPEILQDDRNAADVMERCVIPLWTYRYEDEYEDDEEQYEDDNDEGEDADNVQVLGASDNGEAAGPRIRRPIHRASARRRPLR >cds.KYUSt_chr1.18681 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109439767:109442920:1 gene:KYUSg_chr1.18681 transcript:KYUSt_chr1.18681 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVGSETVLLRRPTSGDLAADLLLKRMWFSLLSFARSDTTSSGDSHREQIVPFPQKPATTSLRIDQFALRWPQGDVKKNRDVDGSWKVLCLDKHSSLLDLNLMKLNPLKGELLRPYEEMASGADL >cds.KYUSt_chr4.22530 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141660834:141667607:-1 gene:KYUSg_chr4.22530 transcript:KYUSt_chr4.22530 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKWNASGIYTAASCYKATFLGSTLCEAWCLTWKTWAPSTVKFFHWLAHLDRCWTAARLQRRGLQHHPRCLLCDQEMETIQHLLYGCCFSRQVWHGTLSWLRATCNPPEQDDTLLTWWHKAKHATPKPLRKGLASIALLTPWVIWKHRNDIVFNGAEPSITNTVAKIQEEAALWARAGAPGLRVLLPQNWDVTCNVPVKLPDQIEPMKHLETLEIDAGVCAVPPDIVRLSNLLHLHLQSGTNLPDGVRCMSSLRTLMYFDLGNSSEDDIWGLGELTNLRDLHLTYSSSPSSEHLKKNLIALATILGKLYNLKSCTLASGTSGMVVLVDGSSCMYSTPVFLERLELPPICFFSRFPKWIGQLHKLCILKVAVRELMMNDIDSLTGLHSLKVLSLCVQRAPERRIVFNDGAFPVLKYFKFRCGVLYMSFMVGAMPNLRRLKLRFNTHIGEKYSSMLSGVEHLLNLQDISGRIWARTESDRRISESALKDAISRHPKCPLLNVKWVDPVEEDYHPSEKHHQRQEKGLSVEKHRVLEKAEDTNKHADAGLSQNSDLPSTVASRCLKSEETAEARKHHVLESMLRDESTEPKSLELSLLKDITNNFSDDREIGRSEFGVVYKGKLRNGSTVVVKRLAVAVDDKKFLDCVHCLMSVKHNNIVRFLGYCANTNENVTKEGFKSVSEMARGRLLCFEDICNGNLQMHLTGMYGDGIQLIPFLFSLS >cds.KYUSt_chr7.11611 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71364046:71367636:-1 gene:KYUSg_chr7.11611 transcript:KYUSt_chr7.11611 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPKGLGQETEPGHQQSRSPADEPSDAAGDVSPRPTSFEGALPTKSFAAAAYGVARRSSLPPPPGQLKVHQAKKETCNHVQSEFRSRLPSDVKVYESGAPASMAENSKGVTLMTPLCNCHCRECTLPKLKAKERTSSNGAAYSSSSCPKSAPSLLKMKPEDCRELAIGLSMCQSTDKDLMAHGCTSSPDPVYEKIPCGSRCNESILLKWSSEAA >cds.KYUSt_chr3.35946 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225863023:225866258:-1 gene:KYUSg_chr3.35946 transcript:KYUSt_chr3.35946 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARARWRFLALPLALLLAIGSSRGLDPAPKPPVPKAISDLRDAIVKGLGLQSEELKVSGFDVRDALVGQAVAYEFDMEVGRKAVPVRLLEDVSRWDFVDLPIFRSQADADDTAALAEIGRGARGSFEPTLPPFQLAGPMELWIQDGDDVRLALPHDVEAGTLKKVVLADGAVVTVKGARAVSLRLPLELPLPLNRTTYKGRLSSLLSIAQALRGAARSNQKPLLSLRIEGPTSLSSTPSMSPNDKLKLKRLAPGQVELSSRAIPAATDDEDEPHNTGLWPLLSLNASDGSLQGLEDLLASVLAKKAGEKGTFKLLNARAAAQTYVKMGFTVEKSVADGEVNWSNLPEWKTKPKKLRAHYEVLARVERGQAIPERIAQVQPFHAEEAMSESMLNGNVSRSKMEAVNPPPIYFSL >cds.KYUSt_chr3.38842 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244679737:244684095:-1 gene:KYUSg_chr3.38842 transcript:KYUSt_chr3.38842 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERGGRPDPAASGGRRRAAVNAVWVTLSEEQRAEERYLPDNYDASEERYLPDNYDASNEFFRVRHERELAAYNGPPPPPALTTPAAATAGVLGSTTSLLYFCAALPSSSLPSLAPRTKTKSPKKPSPTLVSIPMAELAAPPGGIDPRSGFCAATRTYHSVRTAGTFPPESLPVTVAAYAFSLLSSPLPDRPALIDAATGIAVSYPSFLAAVRSLAAGLWSSLGLRPGHVALVVGPSRLDIPVLHFALMSIGAVVSPANPASTAEEYAHQVGLSRPVVAFAAPEVAAKLPGHLRTVVLGSDEYNRLSSAGAQAAPPPVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALICAHAENAEKVAAEATEAGEEPPPPAVTLLPLPLFHVFGFMMVLRSVSMGETAVLMERFEFGAALRAIERYRVTLLPAAPPLLVAMIKSEEARRRDLSSLLVIGVGGAPLGREVAERFAAVFPDVQIVQGYGLTESSGSVASTVGPEESLAYGSVGKLASHLQAKIVDPAIGEALGPGQRGELWIRGPVVMKGYVGDDKATAETVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELERILQSHPGIADAAVIPYPDEDAGQVPMAFIVRQPGSNLTAQQVMDYVGKHVAPYKKVRRVAFVTAIPKSAAGKILRRELVQQAVSMGASKL >cds.KYUSt_scaffold_6468.361 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1823547:1823768:1 gene:KYUSg_scaffold_6468.361 transcript:KYUSt_scaffold_6468.361 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTGEYSSVADKASKRRDLLDALVGCSAKLRAKALKNQVVDALRKPMGIKDVSDLRALASIPFSVVPAVADA >cds.KYUSt_chr3.31990 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201107089:201108576:1 gene:KYUSg_chr3.31990 transcript:KYUSt_chr3.31990 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASASASTRANTLSRIFASSSPTPPPKPSPKIKRAPTQKPPAVDADSIAGKKPPKPLGAQPAAEAPCSDAGHHKPPNSLRAIFKGLLRQRDPDKLAAEAPCSDAGHHKPPNSLRAIFKGLLRQRDPDKLVSEFVEASAASSRFRDKHHVYKAAVSRLASSGRQDGIQAILDAQKRFLEASTEAFAARLIRLYGRASMPSHAVAAFHELPAKHKSTGTFNAVLAACDEGGDFDAVVAAFQEIPASHPSVAPNVYSYNVLIRALCKKPDLAAALEAVSLMEKHGVSPDTICFSTLLNGFYKRGLMDDAETVWDMMKERNLEPDAKCYNAKLRGLVAAGRIEDAAAVVERLEKGGPKPDTVSYNELIRGYCKAGRLQDAKKLFDDLIKNGCAPNKGTYGTLLPLLLQAQELDCALRYCHDLLSSKKTNGLECDLLQDVVNALIEASRVEEATKLVELGQNGYYLRKGLRMPHTAQDNEVIAETDEEESISEEKVL >cds.KYUSt_contig_988.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:111599:117263:1 gene:KYUSg_contig_988.12 transcript:KYUSt_contig_988.12 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRPGSDSPKHGATLPGRWSSTFSVFAMGSSFKGRITTSPPTFNLITTAIGRGRSGDSPRRLFLLLTPMGNRAARLATPCFASGGAGGDVVDASAATRAVPGVDDCSIGQILSFDGPEGQPFAGTTIHGVLLPSNQSTLGSSSSFVLNDGDSQILSMSGDSSCDSSNSFSFRTLQPEQYSGPLEYCASSPSSSGRVISSASRRGTRTDEQILADLRATRHRRRRQEETASGTPLLDRLRRAVASALRGARPCGVPPKKQQQRDDDEPAVTMVARNGDTGGRAIIKSNGVNGHAAADDGEARVQWARGKAGEDRVHVVVSEERGWMFVGIYDGFNGPDATDYLVNNLYASVCRELVIDDHPPDDSDPRSPAARRRHGEVLDALARALRRTEDGYFAEAEARAAQCPELAMMGSCVLVVLMKGTDVYSMNVGDSRAVLAHRPEPDLTSVVLPPRLRHDQNGGGEDLAGVTEEIKRQFDECDMTELLALQLTMEHSTSAYKEVRRIRSEHLDDPACIVNARVKGSLKVTRAFGAGYLKEPRWNKALLEVFRVDYVGASPYITCKPFLRHHCLGPRDKFLILASDGLYEYFTNEDVVARVEAFVTRYPDEDPAKYLSHEILLRAANQAEPHCQPLPSESTDPASRRPMPSPSAPASRHLRLWWRRRGRGGAVAATFAVALLAAALLLSLSYYASLPFAPASGPRSSALVGLTLLRHAEEKGAREYFSLSVSLVHFGYCNTARCYS >cds.KYUSt_chr1.36726 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224098224:224098658:-1 gene:KYUSg_chr1.36726 transcript:KYUSt_chr1.36726 gene_biotype:protein_coding transcript_biotype:protein_coding MDQELQEADVLWPDVNHRADDHRCHGHGYQPQRRESRQPHYADIARAPRQASSAPVGIPEIKRSPTTEQGSWAPRRCCSEDLDGGVDRAASASFVAPHEMAARRRCGEGDEERSVCVGHGRTLKGRDLRSVRTAVLRMTGFLET >cds.KYUSt_chr2.50777 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317497677:317498787:-1 gene:KYUSg_chr2.50777 transcript:KYUSt_chr2.50777 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCCHDAGVKKGPWTEEEDKALVEYIQRRGGHVGSWRGLPKASGLNRCGKSCRLRWTNYLRPDIKRGNFTDDEERLIISLHAALGNKWSTIATQLEGRTDNEIKNYWNTHIRKKLLRMGVDPVTHQQLPPDQHLDGASASAAFFPETLLWAAAAATLGGGLDTGAIMQAQMLQQLLHAIGSNNTTTNLIANLAASNAMLNSGGGIVPNLLLQDQMNMLSTGANYLQPGYLSNISSFAGQDMVQQQLISSPAPGTSSSAGAEPADQHRDTATEFASPAADRAPVEEFAGLLEPMMDMPGLCSLESDSFWNDILKDSYRF >cds.KYUSt_chr3.35838 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225264971:225269426:1 gene:KYUSg_chr3.35838 transcript:KYUSt_chr3.35838 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDIADRLAQGFTGLLQAAPPQFPWPPAPHKRAMPFDLDLHAAPFGAARRGKDVFPAAAVAVASVIDIGGRLGQAGVEIGTSVGGAVQHAVRRLPVPFLGPAQIRGARGSNLPSPPAPAADAVALDRCPLEAAAAAAAAATGSAAASSVSGSTNGDDLDEDDEGYGCEIGTLGNFKKSKGTVNMSATYSTRNHDVESSVVARGDLWRLEASRSGSTSGNDSSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKNGVHSLCPAIWSKQRRWLMMSMMCLNPIACSFMDVQFPNGQVTYVAGEGITASGFVPLFGGLLQAHGKFPGETRMSYSCKNKRGTRFTPMFQWPDKSLSLGVTQALAWKRSGLMVRPSVQVSLCPTFGGSDPGVRAEVIHSLKEELNVMCGVSCSRHPSAFTALSIGRSKWNGQVGSSGVVVTLETPLNNIGRPSLSVQLNGGFEI >cds.KYUSt_chr7.13231 pep primary_assembly:MPB_Lper_Kyuss_1697:7:81557276:81564602:-1 gene:KYUSg_chr7.13231 transcript:KYUSt_chr7.13231 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSADEDGGGVDGGAFRGHFPVSAGFHVGAGIPGVAPHYTPPPSTFNVLLGSYCRTKKPRKRRRLSSPASRQLASLLAHSFIGICVRHHWDFTVVAVASTQSAAGGCRLVITAAAAAPRHRILAEYHWCRYPCSIDLCCWCRRLSSGGWTITGTTFDLRDPRILVVAQNHIMEAEYDDYSATNASTAAFCRSAALLLMALLLLRHALTLTDEDDDDTSAMFSEQISTGLVVLLENECPSAADLALCSFYILAVDGHSAGIAGWSWSRGGGGLDPRRRILARLGLLLALSLLRWSEGGEAASDVVFLKKSAEAGSSPSLVGTEDEAPQAGRGGELGILLGAAKYVDVSLLAGLGGGRSRSVAC >cds.KYUSt_chr1.24904 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149063091:149064461:1 gene:KYUSg_chr1.24904 transcript:KYUSt_chr1.24904 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSLLLLLLVVLRAVAGDSGDAAGDAPDPCSGRRIHIRTLPPRFNAQLLRHCDAAFPLADPSAAATSAPSCESLANHGLGPRTHARSHSWYRTDARLLEPFFHRRLLERGCLVADPAAADAVFVPYYAALDSLPYVLDPALLNSSALHGASLAQFLARDRPQILSRRHGHDHFMVLAGSAWDHSQPPRSEPRLWGTTSLVRLPEFENFTFLAFESRSWPWQEHAIPHPTSFHPASLPRLDAWLARARRSRRTTLMLYAGGVSRPSRPNIRGSILAECANRTDACVVVDCSAGKCSHDPVRYMRPMLGAKFCLEPPGDTPTRRSTFDAILAGCVPVFFEDAAARRQYGWHLPPARYDEFSVHIQKETVVLGGVNIAETLAAVPDAEVRRMRERALEMAPRVLYRRHGSTTELREAGKDAIDLAVDGVLRRIRRRVLALDQGQPERIYAQEDDIVET >cds.KYUSt_chr4.37632 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232001276:232003210:-1 gene:KYUSg_chr4.37632 transcript:KYUSt_chr4.37632 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAFQLLRRRRRRRLLLRPFLQNNLLRLHCALANHPDPYPRLPGHRDFRPASDTPAGLAGSDETPQRDAVAYAATVGLQLRRGDLPRAEELFRAAPRSARGHHLDAVMLDGYVKAGRVDRARRLFDGMAEKGVIAWTSLVSGYCRAGRVDEARALFDVMPARNVVSWTAMVQGYARRGMLREARELFDAMPERNVVTWTVMVKAYADCGRVGEAMELFDRMPWRNSYSWTAMISGFLRAGRVDEAVHLFERMQDRDVVSWTLMVTGLAQNGRVSMAREFFDRMPTNKDIAAWNAMITAYANDCQMDEARRLFDSMPAKDQVSWSILIDGYVKSERKDVGVGLFLLMLRSGVSPSSTTLTSVLVTSESTVEVGQIHGLATTLGLLSKTSLGNALLTMYSRSGDLPSAWKAFKILQEKDAITWTSMMQAFANHGRASCTLQAFAQMLQHGHDPSSTTFTAALSACSHAGLIEKGKDIFRFIRHAYGLEPTIEHCTCLVDILGRAGRVREAMEVVGAMPPEMRDEAILRRLLGVCMMHNEVDAAREVGEALAKSDENPSGSGSGSGGYYTVLANVLASGGLWDEMAAAWRAMKGSKVRKTPGMSWIVVDARSHVFFSGDQMHPQCPEIYEMLDDTLVPQIKKDNGS >cds.KYUSt_chr4.12398 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75737531:75737971:-1 gene:KYUSg_chr4.12398 transcript:KYUSt_chr4.12398 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAPHVLSFFFWPFIPPYPLSPSYPRRRKLWQEPELEHQLAPVAAPPPGRGTRRGGGRTAARRQRDGLDRRRNDDGMDRRRDDDGLDRRRDDDGLGRRRDDDGLGRRRAQSEVVEREEEAAGVPPGGRRANRVHPGARGNLIDG >cds.KYUSt_chr1.9697 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59255285:59260121:1 gene:KYUSg_chr1.9697 transcript:KYUSt_chr1.9697 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRKVKLLGRRRLCKLSSTSPSPCPPYPTDDHHELQDVGSWEMDEPTEDCRDEDDDRDTYSADQEEEMDEEEELPDFEMEAAGGSGMAPYKLPARIFNKLYPHQLEGLRWLCYSGSNKNDARDFELRNAFKEGGILLTTYNIVRINYKKIRGDFYNDADDEEEGRFRQKYELPIIRGNDKTASNRAKHIGSNVRKKLIERMKPYFLRRKKSEVSLETGLTDNKWLRKKKNELIIWLQLTDLQVLKKICDHPQIVTERAAENILEGMDGMLNIQEMEMAEKMAMNLADMAHDDDDVVEVGPEVSCKLFFILALLRNLLEEGHYVLIFSQTRIMLNLIQEAILIEGYSFLRMDGNTEVSERERMVKDFQEGLGAQIFLLTTKVGGLGLTLTKAARVIVVDPDWNPSTDDQSVARVDRIGQTKDVIVYRLMTSGTVEEKIYKSQVLKGALFRAATEKNEQTRYFSQSVLVMIPLLVIACWISY >cds.KYUSt_chr3.8511 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49241375:49245678:-1 gene:KYUSg_chr3.8511 transcript:KYUSt_chr3.8511 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRTADHLEALSLEIERKLHKAITSNSQRLQLLQQLFADIALKVDDRARDKIISTSNEGIAPVDEREDSHLCFYEILANHFVKVPESGKRILELIVQLWSQSFAANIFALLFHRWLFEVPLDGKEVSLRYSSALVQGATNVFWIDIQTNTRHFLPLYHYLLEEVALVPDQLSKISPQAGRNLFFLLSRFMLFYDQDHLLASFLEHFPAFPNSFLVGGAADYFVIELTDQLQKLKVEPVLLHYLSRMTILQCGSLSEACDKSVLPSALSVVLAFFLLELRHDLCEDHLLLPPASSCLDVGRLQEAQTPKSAQRMRKWWPKHV >cds.KYUSt_chr1.36506 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222689516:222694369:-1 gene:KYUSg_chr1.36506 transcript:KYUSt_chr1.36506 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAAVGTAVVVCAAVGTAVVLGRRRQKRAAELHGAAEAERKRKVADVIEEVERALSTPTALLRSISDALVAEMERGLRGDIHSQLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVLRVQLAGREKRVAKQQSEEVSIPPHLMVGTSMELFDFIAAALAKFVDTEGNDFHLPEGRQRELGFTFSFPVNQLSISSGTLIKWTKGFSINGTVGEDVVAELSKAMERQGLDMKVSALVNDTVGTLAGGRYVDNDVVAAVILGTGTNAAYVEHANAIPKWTGLLPKSGNMVINTEWGSFKSDKLPLSEYDKAMDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDAALFGDVVPPKLEQPFVLRTPDMSAMHHDASHDLKVLGTKLKDIVGVADTSLEVRYITRHICDIVAERGARLAAAGIYGILKKLGRDKVPTDGSTMPRTVVALDGGLYEHYKKFSSCLEATLTDLLGEEASSSLVAKLANDGSGIGAALLAASHSQYAEVD >cds.KYUSt_chr2.17632 pep primary_assembly:MPB_Lper_Kyuss_1697:2:111205800:111208129:1 gene:KYUSg_chr2.17632 transcript:KYUSt_chr2.17632 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPANPIVDLPPLVAPPPRVKAPTPRPPTPASLQPDSPGVFFSNAAAAAPLGSAHRRIAIAVDLSDESALAVTWAVANYLRPGDAVILLHVRSTNVLYGADWGSVTPTSPDDHAEVAARKMDDDFDALTASKAEDLAKPLQDAKIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSKGFGAARRASKGRLGSVSDYCVHHCICPVVVVRSPADAAPEGGEATSVLDAAVGAEDVLHPVPEEDAEYHDATEEHKGHFRESIPNLSGLIDPSFSLILQFYLTTSVSLKLSGLWELNALHENGMYTS >cds.KYUSt_chr4.54781 pep primary_assembly:MPB_Lper_Kyuss_1697:4:338382944:338383816:-1 gene:KYUSg_chr4.54781 transcript:KYUSt_chr4.54781 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRWKKGKDGKYFSALAAASPMSSIVADLQSSLKRSRPVATISSHGEDAVLGVSPHQAILLNQAAFDRFVENAGAEKQWFQLGAEEVFYLCHALKCVVVESERGKQMSEGELWDLLCSASDSFPEMYKAYSHLRSKNWVVRSGLQYGADFAAYRHHPALVHSEFAVVVVLEGAQFGGRCGRLKVWSDLLCALRASGSVAKTLLVLTISCSICELGSPDCLEQLVVHERTITRWIAQQCREQRCEPCRVQPNKEEQGHTRETVVSNYWGVILGFTVLSTLLVYKLRFSQ >cds.KYUSt_chr5.6929 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42959504:42968698:-1 gene:KYUSg_chr5.6929 transcript:KYUSt_chr5.6929 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSPCDWDESEGIWRWLGERWIERQSALAACSAASGGVEPSGKGARCRGRLARVPHEISSSSARRKFRRQHRGRTNCKGYMAGSRAKDATSDALTSKLESKIYYHREAVVEYVQIKAMVDTVIEDKRKLLDENTEFLSTIDKVLEEKEILQKDNEVIKELVEPMEEELEIVKDELEEEKLEHVAAKKELATAKEQLAQQSKEMKALRKKLQESEAMHAQLESPRPGMGFTEIDAGWSVGLKEMGKLNEKPFQDACADKLSPKHSGAKASELYSLWQEVLNSPNWNPFKSVIVDGNCQEEVIDVDDDKLKGLKMAWGEGPYNSVISALVERKEYNTDGTGGVFDVWNYKEGRKATLGECVDGIFDHVKKLKRYQVTYRFLDILLALNLQGAGYMADIADALAFKLQSKICYHRDANIDYLEIKGMVDKLTEENKKFRDEKMEYLDMINMVTEEKENLQHDYDVIKEQVASMEEQLETVKKELAAANHELVAAKEQLVAEKHEHVAANEELTAAKEQLVVAKHESSAAKNEHVVAKEELVMAKEQLARKTKELEVLRKKLQESYYDVVKKHLAMEEQLEYVKKRLVAAKEQLVAAKHENIAEKHKNAVAKEKLVVAKEKLAQKKQDLEDLRKKVKESEAMQTLRQQQRGNAPGPEPVHFRRVTRSSYKREMLLQGPLANAADRNRPKKRQHGAMHADSLTREGSDSSKEGSDSSN >cds.KYUSt_contig_2407.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000327.1:41716:43515:-1 gene:KYUSg_contig_2407.6 transcript:KYUSt_contig_2407.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSWRSIADFARRGRRGALVLGASGRVTDVVVCKEPSLLELRGTAMILGLQGASSLPLPHQRRGGVPGQAAACGAPCWAVASPREGLWLQGHGGHVRSVAATEGYGVAGHWRCDGLQLQQQSGATEVDMA >cds.KYUSt_chr1.4045 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24571752:24576048:-1 gene:KYUSg_chr1.4045 transcript:KYUSt_chr1.4045 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRLRRQPPPAPSRAADPPEPAEVIPAGEVVEVLLDEQGYRGAHLTAVVARFDPDLRSYAVEYGTLAASGASGRPLPQVVPASQVRPRPPPPSPAQPSPPAGAEHAAVDALRDGAWWLGVACPGGDMADGRVKVCFPETREVLEFDAADVRPHLEWVAGEWRSPEDMESLKRTPYTEGTQVEVAKFEDDSVVAWFPAVVAKTIWKNSLLVEYTFGKGDGSQLRKEIVNMKDIRPCPPHASAISFCIDDDVEGFQGDGWWPGRITEIRPKLMYTCKIANSGKEVQLHQKALRLRCDWTDGQWKQAAEDLPQTKFREGSRVEVSSNDEGFCGAWFQGTIVKSVGHKFLVEYDTLKADDETTPLTEVIGAEHIRPPPPVIPVTSGFKLLDEVDAFTNDGWWVGMISEVISDQKCMVYFKAYQEQNEFGLEQLRLHCDWLGGRLSVKCNFFKMTIYIPWQALEM >cds.KYUSt_chr6.1295 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8097991:8100456:1 gene:KYUSg_chr6.1295 transcript:KYUSt_chr6.1295 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVILFFLVLEAATPLLRMAQASKYSSIAKPSTIWINSGVFFKGLDRNIGTNVISDSDFELRIDAGFCCASSISTFACDKFLFALSIGSTDLITQQIVWSANRDRPVKENATLEFTTDGNLVLRDADGSHVWSSNSAGRSVAGMMITDIGNLVLFDHKKATVWQSFAHPTDTLVLGQSLVEGMRLTSNTFATNHFYITVQADGLYAFVESTPPQRYFSDLMAQNKIGHYPTKATFMNGSLTTFGQPRPDYVSNITLPTAISTIQYMRLDSDGYLRLYEWSWSSQAWIIAFNVMYMLDVCDYPTVCGEYGICIQGQCVCPLENDSSSSYFKLVDERKPNLGCAPVTPISCQEMRHHRLLTLPNISYFDDSHMAMNATSADDCKQACLKNCSCMAVLIRYNWIAPHGDCVWVTKVFSLKSIQPNNDYNSSAYIKVQLSSSNENKMKVQRNPSNENKRKVMLGATLGAATALVLFINVVALYKQRRRKYELQDEEFDFDQFHGIPTRYSFEKLSECTKGFRKKLGEGGFGSVFEGKLGENRVAVKRLESARQGKKEFLAEVETIGSIEHINLVRLIGFCVEKSERLLVYEYMSRGSLDRWIYYQDNNAPLKWCTRCSIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDDNFNAKVADFGLCKPIDRDQSKVVTMMRGTPGYLAPEWLTSQITEKVDVYSFGVVIMEIISGRKNIDNSQREENVQLINLLREKAQNDRLVDLIDKHSDDMVSHHEEVVQMMKLAIWCLQHDSIQRPSMSIVIKVLEGAISIKTFDANSLMFVQDNPSTYSVPSQASILSGPR >cds.KYUSt_chr1.9250 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56566817:56569477:-1 gene:KYUSg_chr1.9250 transcript:KYUSt_chr1.9250 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNLPKITTPIGVRFISGANAACFGRNASPIHFAALLKECRSVNAVRQVHQQLIASGLLSYPASLLAVSFPPLPSEPFVSPKSLGTGVVAAYLACGSTNEALSALEHVTPSPPVWWNLLIRQHIKEGHLDYAIAISCRMLRAGTRPDHFTLPHVLKACGELPSYRCGITFHGVICRNGFESNVFICNALVAMYARCGSLEEASLVFEEITMKGIDDVISWNSIVAAHVKHKNPWTALDMFSRMAMIVHEKATNDRSDIISIVNILPACASLKALPQTREIHGHAIRDGTFPDAFVGNALVDTYAKCGSMKDAVKVFNMMEFKDVVSWNAMVTGYSQSGNFEAAFEMFKNMREQNIALDVVTWTAVIAGYAQRGCGQEALNIFRQMVFSGSEPNSVTIISLLSACASLGACSHGMETHAYSLKNGLLSLDNHFGGDGDDEDLMVHNALVDMYSKCRIFKAARSIFDSIPRKERNVVTWTVMIGGYAQYGDSNDALLLFSEMTSKPYPVAPNAFTISCALMACAHLSALRMGKQVHAYVVRQHRYEASTYFVSNCLIDMYSKCGDVSTARYVFDGMPRRNAISWTSMMTGYGMHGRGNEALEIFDNMQRAGFAPDDISFLVVLYACSHSGMVDRGLAYFDSMSRDYGVAACAEHYACVIDLLARSGRIDKAWSIVKDMPMEPTAVVWVALLSACRVHSNVELAEYALSKLVEMNAENDGSYTLISNIYAKAGRWKDVARIRNLMKNSGIKKRPGCSWVQGKKGTASFFVGDRSHSLSPQIYALLESLIDRIKSMGYVPETDFALHDVDDEEKNNLLVEHSEKLALAYGLLTTSPGTPIRITKNLRVCGDCHTAFTYISKIVDHEIIVRDPSRFHHFKNGSCSCGGYW >cds.KYUSt_chr2.18681 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117694819:117695223:-1 gene:KYUSg_chr2.18681 transcript:KYUSt_chr2.18681 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPWRFALLLFSFLRPPFLVAGGTYTTSCGKTGNYTANRTYQGNLQAATTYVANEASFSGGNGFTTTAYGEAPDVVYVLGICRGDTPDNLTCYECLSAASLEAPTLCPNDKDATLFYDGCTVRFSDQDILSS >cds.KYUSt_chr5.12229 pep primary_assembly:MPB_Lper_Kyuss_1697:5:79738405:79744416:-1 gene:KYUSg_chr5.12229 transcript:KYUSt_chr5.12229 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAQQRAVEVRESVELTEKEAKIFGRLLDVVRHFSLGTQVRVAGGWVRDKLLGKDSTDIDIALDNMTGQNFCERVNEYSEFLGEEQKGIGVIQCNPDQSKHLETATMLIDDIWIDFVNLRSEKYAENSRIPTVEIGTAKEDAFRRDLTINSLFYNINTKSVEDLTERGLEDLKKGLIATPLPAKSTFLDDPLRVLRAIRFAARFSFTLTEDLKEAASDENVKSELGSKISRERIGHEVDLMMSDKHPVDAMCYIRDLGLFYVVFAFPEKLDPPVLDTHDWLCVSYIEAAWNLAHSIGSSVFSGGSDPKSQDDQKRLCLYSALFIPVRNMVYMDKKKKKVPAVSYIIRDSLKLKASDADTIVNIHVASEKFAELVLLESNENLETVKEKLDDEYLEIPTDSVKRVLAGLILREIKGFWRVALFISALINPEVGNASGSLSKQGELNQRKEKYLSVERSITDLDLDGVWNLKPLLDGKAIMGVLEMYSLPQQQRLVKWQLAHPKGTMEECKEWMKQSQQQSKRQKVECSS >cds.KYUSt_chr5.26474 pep primary_assembly:MPB_Lper_Kyuss_1697:5:167579340:167581445:-1 gene:KYUSg_chr5.26474 transcript:KYUSt_chr5.26474 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQPSPSMAGEWDLASHIPPALETPEIPLLSVGLQAMGLSDQGQNQQNREEEKEEFTLLLKVACSGGTPKILSLGSVQQAMIRAWRNNFYKVSQVNQLIFRAHFSSFESMMFVYTKQPWTVGSDVMLIEFESPRKDIEKGDYKFEYVYATIRAYGIPKKHRSFKILKDILNLVGNQSEFHELRQVMLESRPDYIWGIAKIKVGMSVYDRVKLLYSVNEAGITYLNYEKIGRICVFCGVMFHTVRNCNLRQRIVAEKIRSGQADQAQQVPFQRYGSWMVEPADIPINFAVQGEGSNPIFSTYQSPHIGRSQRAIGEDQLRQRAGEGSNAGMTRRRLQFEEHSSAKEHEQQLQDSTVHTPRSIDGGNQTQSGARRTAIVHERHVGGTVGAAASAGKSVLENNPASPFPNPQLPSLAKSPPKRASTSLDLVSQQAAKRAAPATGQDGDGGDADLQAMQMCPPAFGAGSLGAQLEEGGGARALPSAAQAIADSRGGGGILGARPSFSNKPRSNPNPTLSAARSRNRRRPSGWDVEEVANAGIGHRVVGITHHKPGASTWRRVHRAQEVAVGNAGGRDAGQTVGIFGIPNSASNCASPAHSSSAHRADHDPWPLHTPSPSHSMATQDSQQGGFSPPPLAREHYVGMDGCFGSDATGGQANVQGQIQLGTSSNDATQAVCDNSGRTSMDMDLEAAAPALKAPRAP >cds.KYUSt_chr4.51472 pep primary_assembly:MPB_Lper_Kyuss_1697:4:319372759:319375958:1 gene:KYUSg_chr4.51472 transcript:KYUSt_chr4.51472 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMPLTFSPPRLLHHRQANLQQPRPAARLALHPRRGSAAARLRCAPDGEVATPTAEPEQQAEEEEFMLLASNRSDFNEVIMVIDSPSNRYLVLDASRNVHSILPKKSPWTNSYWDEFVSLPPVVPRGPVALLGLGAGTAAHLMLEVWPWIQLVGWEIDPMIIELSRDYFGMSNLEKTTELGGSLSVRIGDALSPSVAVEGGFAGIVVDLFADGKVLPQLQEVETWLEIAKKLMPDGRIMVNCGGADTAVSLAADTGVSSWVQNPTIKALCSAFPGQLNWKRLSEKESVNYVALTGPLPDLEEWSTSVPSELSPRVKQWVPCELA >cds.KYUSt_chr1.24635 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147464825:147466662:1 gene:KYUSg_chr1.24635 transcript:KYUSt_chr1.24635 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATAEENRKVFVVVPAEPRAGRSTLSWALVNLCGGGGATTVVVTHVHVPPQMIPVMGAKFHASKLHPEQVSSFRMMEREKADKMLDEYFHQCSKTKVKCEKLVIEKEDVASGLVELIRLHGITELVVPAAADKHYSRKLDKPVCMTAAAVMQRADPSCKIWFVCKEQLICIRDTEAEISPSAVTAPLLLNPGHEILHLSTHQEEDGDIEIELGFYEELGEACRAADDLMNRALKESRRRQKAEEEVASSLRKAKEYEELYLEEVKKREEVEAALARAEAEISELRQAIQRNTTREEPQEAAAMPSIILGQPGIVSGEPEPVLCRCQRKLAASSPSSVLLLPSSPPADEDGCTCEAGAVGCCCWLDTDGMPSPVGAAKPLGRPGFALCAVVQDYMRQQQRCPFP >cds.KYUSt_chr5.2942 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19264439:19267252:1 gene:KYUSg_chr5.2942 transcript:KYUSt_chr5.2942 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNMHLFFFSSLILLVCFLTHESFAGTTEISYSALAADSVLCQRWCGGTTVPYPFGFSASCPIVLSCDVTTFRPIVFLPSGGGDNDTSYRIVDFNSTTSTILLEIPSSCSRSIPDARRTLSGANYGVTSRTGLFLHGGCRETNSTSCAVPVAVMSSLLSAAQCRDNETALAASAVACVASNSPTRSAGVFLQWDKSDNTTCDNMLSSALYAETAEGTASLEFGVAELGWWVNGTCAGGSERCVADATCSNVATPSGTEGHRCACVAGMGGDGFYAGEGCYLTVKVQKRNFDVCSYHFNGEAGGASSWMRHKLPLLAGVCGVLLSLGISALFIIHRRKRHNGMTNTTKQQPTKAVTLFRGEHVEDELEQGAGAPRRFSYDELAAATDNFSNDRALGRGGFGSVYQGFLSDMNREVAVKRVSETSRQGWKEFVAEVSIISRLRHRNLVQLIGWCHGGDELLLVYELMHNASLDTHLYKPDCVLAWSVRYEIVLGVGSALLYLHQDTDRRVVHRDIKPSNIMLDSSFIAKLGDFGLARLINDGRRSYTTGLAGTLGYFDPESMLSGRASVESDVYSFGVLLLEVACGRRPAVVQANGDVAHLVQWVWNLYGDGGILVAADERLIRGEYDGKEMEQVMVVGLWCAHPDRGMRPSIRQAVNVLRFEAPLPSLPARMPVATYGPPTNPLSFGTLVLSSADGR >cds.KYUSt_chr3.1206 pep primary_assembly:MPB_Lper_Kyuss_1697:3:6678476:6680780:1 gene:KYUSg_chr3.1206 transcript:KYUSt_chr3.1206 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRQSGGGAVAAVDGNTSSGCGGGGGGCNSKMERKDVEKNRRLHMKGLCLKLSSLVPATSTHHIRHYQSSNTSSQSNNNNKDAITQLDQLDSAAAYIKQLKGRIDELKRRKQGGAGGCSPSASGNKASSTTTTATASLPVIEVRHQDSTLDVALVSEAGKPFKLHEVISVLEQEGAEVVSASFSVVGDKIFYTLHSQALCPRIGLEADRVTHRLHGLAAAASAAAAVYTA >cds.KYUSt_chr7.24901 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155247496:155247801:-1 gene:KYUSg_chr7.24901 transcript:KYUSt_chr7.24901 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTPELKAYLDEWRAEIKLMRDKLMPPPPAPATTTPPVVAPAVPIDTRAAVPAPCVTAPVASSDTADLALASAWLAEPAAPFSTIREPLAVAPAATIHT >cds.KYUSt_chr1.22593 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133640397:133640753:1 gene:KYUSg_chr1.22593 transcript:KYUSt_chr1.22593 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRQQGAPKGCVTVRVGAEGEEQRRFAVPLGHLSHPLFAALLEEAEREYGFRHQGAIAIPCRVDRFVHVEQLIDRDLGDHRHLVDLDNCGAAVTARGGHGHGHSHINLPRFVGCFRD >cds.KYUSt_chr6.6225 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37616811:37622967:-1 gene:KYUSg_chr6.6225 transcript:KYUSt_chr6.6225 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGVFKCITDTRLRSRDPDDGPVMDLPTSNVPSRIGYTYCSGAIRWSAGVRRDQLREHVLAVEHEGVFFHGLHLGLRLEDLEMHGLPQRCLRGGISLQLLPGSSPVVVLLVLHGPLLRAELDLGLLGRHRRRHDPCGRGFHVSSGLGGRGLGGGRSYDGGRGLGGGISYGGSSFIVGHLSRTGPPRRLARTIVLAVRAASGGLPPSTSAPTPIRRRVRRCSTSFRTDRDKHGDPELVRNSQRSRFEPVELVDEVIALDLAWRQRQVDVDKISRELNKTNKEIGKLKAENQDATALIQSTEEIKGRLAAMKTEVQEAKTTLDAKLATIGNIVHESVPVSKDEANNVIVRTWGERRLEANLKNHVDLCIMLDIVSLEKGADVAGGRGYFLKGDGVLLNQALINFGLAFLRRRGFTPMQPPFFMRKEIMGKCAQLAQFDEELYKVTGDGEDKYLIATSEQPLCAYHLADRIYPGDLPIRYSGFSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKNSEDFYKEIGLPYQVVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDYQARRLGIGYGQKKNDKQSKQFVHMLNSTLTATERTLCCILENYQKEDGVEVPKVLQPYMDGIDFLPFKRPLGSKQASDAKPNKSKPKGNAA >cds.KYUSt_chr2.3095 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18223906:18226414:-1 gene:KYUSg_chr2.3095 transcript:KYUSt_chr2.3095 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPSADASSSGGGGGANVLLLPFPGAQGHTNPMLQFGRRLAYHGLRPTLVATRYVLSTTPPPGDPFRVAAISDGFDAGAGSSGGASSASIAQYMSRLEAVGSETLRELLLSEARAGRPVRVLVYDPLLAWATRVARAAGVPAAAFFSQPCAVNIVYAELCAGRLALPVTDGRALFARGALSVELEPEDVPPFVALPEQYPEFCNMSIGQFEGLEDADDVLVNSFHDIEPKEAKYMESTWRAKMIGPAVPSFYLDDHRSPSNKFYGFNYLSGGESCMDWLEKQSANAQARSSEAHKLSEKLKAKCEENGLIVSWCPQLEVLAHKSIGCFVTHCGWNSTLEAIACGVPLVAIPHWADQPTIAKYVQSVWGMGVRAQPGENGWIKRDEVNRCISEVMDGKRKDEYKRNAVKWMQKAKKAMQEGGSSDKNIARFAAKYSST >cds.KYUSt_contig_1407.186 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000141.1:865108:875871:-1 gene:KYUSg_contig_1407.186 transcript:KYUSt_contig_1407.186 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVSVPDMRARVVQARKVREQERAECGSDPYVTSVFRGDAPGILGFPFPAPGTGGTHSEPVNSLSTRNIVGYERADHREDHVRAPAPKTVFPKFDGENPKLWQQQCETYFEEEEAVPCKKRSYSKSKFRDSSKSKWNSRSDDGYRAKTKRTDTTKPEDKLEALRAYICSKGLCFTYGEKYSRTQKFPTQVPLHVIEELLEVLQIQPHSDMKSTSSSDSEDEAVMMMGSSILNGKKKRGVATYPSKVSAVVKWPMPKTANELHGFVGLTGYYRRFIKHYGVLARPLTHLMKKVQHNAFVKLLGLQFVIKYKKGISNAAADALSRQHDALQVAQVFIDNIYRLHGLPQTDGQTERLNQCLELYLRVKVVGQVERVDGRSLTYPEFVDRFMKPNLPVVLTGLTSSSRSCEDWTLAGTDGRRRPNLDFFAQNFPSPLVQVADCSSREFTDHKRLEMSMQEFIDISVRNSSCSSNGDCEASLLYLKDWHFVKEYPDYVAYTTPTFFLDDWLNMYLDSHPMHRDPDIANHKNEINCADYRFVYMGAKGTWTPLHADVFRSYSWSANVCGRKLWLFLEPSQSHLIFDRNLRSPVYDINDDVSEKQFPDFNKAEWIECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLHWVWNLLHEDYKVAKEYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFIFITRFVLANVIELYHIREPEDAKFNSTETTHPFVYNLMQIRDVASKMISKEAFSTKNLCIISEENRSAMSDVTKILKDDSFRRLWMSLSEAYAYIGRGQRSFDQMRDLNQKGCLSVACLKSDCNVVDDITFLMLEIHGPGDLVRLIDAALRK >cds.KYUSt_chr2.22758 pep primary_assembly:MPB_Lper_Kyuss_1697:2:139457949:139461281:-1 gene:KYUSg_chr2.22758 transcript:KYUSt_chr2.22758 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVVSNPPSGATVDEYDRIPDARYRDMADSKGKSFTMRHCFDVLQHHPKWQLRDEQIAPKKAAMVTLDDTEDEKKGRNVDKPEGNKKTKERLKLEGETALLRDKFDQMMKSKEVIAAKTLEIKLVTIEKKRRDTRSACMPAAPLQEHITWYGVLEEGSRKWQPQLQRFRPRKTASLVQMSKIGVNIIPCCTVYDVNCFSAAEDLEGQCNSC >cds.KYUSt_chr5.4958 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31352857:31355125:1 gene:KYUSg_chr5.4958 transcript:KYUSt_chr5.4958 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLGHAGNWLSRTAPEAGQAAPGNQCSSRAVRDEVRSPPPPDEDDRHRLRVVRKGAAAVAPDDADDVTRMDHLAVRFHVRGKLEYDGKEWNYIGGRIGHSTVEVLDLSIEVLKLHLLEFLVISDEDLEDTTLSWRLIDNERNSRCMCRLDDDSNVKNMVKHVTRVAAGFVEIFAVSPERHGFASSDEEEEAMVQDKGKEIEIDSANGGDDAEDRSDSDYDDLLEADSGDSSADDDEALFYRKYAEELKQSVRRQMLGEDRAKVKEDFIIPENIKEAEEEGSDCFDSDDNLSFDEDSDGEVKTSRTKHRVYDQTAEVKEFEVGQCFTDSREFKQALVNYGLKEHHHLRFTKDERTRVLAKCSWVSCPWSIYGSLVPSRSQWFTVRRWRIRRGGARIFAGGGWRKNGEEVNAACGVRAGRGGWSAVRLDQVRSGSSRWRKTCQRGAKPPAKPAIISQGGNLSGFV >cds.KYUSt_chr3.27548 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171938635:171938937:1 gene:KYUSg_chr3.27548 transcript:KYUSt_chr3.27548 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAGELRPRPSASPLTGRTAAATRGPPDCAPLLLWLVLAIGLTIRRLKFCDRPLPVARPPRLLPDLCSSTVASVSCSNSSLLAWCLDALPSRCSSRP >cds.KYUSt_chr4.24618 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154911767:154915188:-1 gene:KYUSg_chr4.24618 transcript:KYUSt_chr4.24618 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRVASSAAGTAALVYVVLSGRLSSDGLEKVPTRRSGRKDVEGESEERWPEKAPASWREAMAVAARTAGFAYGETLGKWPLGDIAFGISHYMRLQGNLQHEYTGSNCVPLEGPGVRQELIALLRCLRLSMFFSKKPFEVFLEFGGYDQSDILTRNCKAKLMKPKFTIVRDESTRCFLLFIRGAISVMDRLTAATAAEVPFHHAVSQEGRRTSVVVGHAHCGMVASARWIADQAIPCISRAVELFPDYRIKIIGHSMGAAIAAILTCILRENKKLSSSSCIAFGPAACMTWDLAESSKDFVTTVVNKNDLVPSFGKVSASSLRAEVMASSWAPDLQEHIQQKRILSFVNHSVNFMRSYVSFVHNPSSKVADVESYIAKVHENSESEDTRYIVKKHSALSCWPYVAAGKQTLEAPDTEEGTAREETEQLMEALQSAPDASQAPSHRQLYPPGRIMHMVALPEEQGGQNAGVALYETPRGMYGKIRLAGSMIRDHYMPRYVETMEMLIDRLAEDDDAPLSDTNEDVPLD >cds.KYUSt_chr3.42391 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268040337:268047704:-1 gene:KYUSg_chr3.42391 transcript:KYUSt_chr3.42391 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRAGSPVYGRQRSGSSTGSTSPGGVSPSHHRSSSTSSAASIPGAAAGPGAISNVRRTQNVAARAAAARLAQVMASQSAAAANGDDEDEDDYANDHPPPPPIRFGSGARAPHGSNGVSLLGRAARSPSPALGRNIVEQPPPVRSASTGRLAVAARPTATVVPPIKTNSTLRTPSPIPPVAVDSPVQRSQTRRFDTAPLNNRESAPRRESSTLQDELDMLQEENESVLEKLRRAEEKCEEAEARAKELEKQVAALGDGVSLEARLLSRKEAALKQREAALKAARESNDGRNGDIKHELESAKEEVAAAVDQLKEAESETKALRSMTQRMILTQEEMEEVVLKRCWLARYWGLAVQYGVYPEIAVSKHEHWSSLAPLPLEVVLSAGQKAKDEPKQAGEDDAQRRNRLVRDMSDIMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDYKSPGEPKFLEAFDLSPEEAEDVSFKHAWLIYFWRRAKTHGIEEDIADERLQFWIGRNAHAPNSHDAIDVERGLTELRKLGVEQQLWEGTRADIDEAASAAENE >cds.KYUSt_chr6.31447 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198872788:198875509:1 gene:KYUSg_chr6.31447 transcript:KYUSt_chr6.31447 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIRLHLLLLLPVTLTVVLLLIPSSPPQPPPHSPIPCGAAPSDATAGSWIPTPEPSPPPLYSPSCPFHRNAWNCLRNGRPPVAALSWSPARCGGGVVPRVDPAAFLAAARGRRIGLVGDSLSENLVIALLCALRAGDAGARKWKRRGAWRGGYFPREDVVVAYHRAVLLAKYTWQPVENSKIQKDRIKGTYRVDVDIPADDWVNITKFYDVLIFNTGHWWGLDKFPKETPLIFYKGGKPIEPPLDIFNGLKVVLKNVASYIEREVPSKTLKLWRTQSPRHFDGGEWDHNGSCVSDRLLEEHELDSWFDPRFGGVNKEARLVNSAIQEALVNTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVWGQDCMHWCLPGVPDTWVDILAAWILHYFKQGKG >cds.KYUSt_chr2.7432 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46621967:46627200:-1 gene:KYUSg_chr2.7432 transcript:KYUSt_chr2.7432 gene_biotype:protein_coding transcript_biotype:protein_coding MADADNYSSGGGGGSGWEVVSLTASTYAAAPGPVPPLLLDSQTELSPPVDAAAIFMSQHFNLPADALLADLNGPREEEEPSPAMVDDDAAGTEDLNMQSDRQTEMQDDTLTGSEFLGDGNGLQEGGGLVGSVVEERLSTILCPPEAAGSDIRTTTQHCAATDVNSASPPAAHVVPAVSVSSGVTPVSANRGPPSKIPCEAHAWWNKTFSFLRSNSKQSLTFRFVFVAATIAGLAFPGQRDSRLQLRLEFNVDSEKMSSAVRDPLGQVKLNMLVGGSPVAQGRLLRMARRASRRHRSADHMLVGSRQLPQRPASRPRLRRPPSRRVLLLRLRLHLDLECDLLGNMPAPVRGLLLSRGRFHITPSSMMELDIGMLHDGLDNNWLFLEIFPEGPILDARDIDVRVQFRDPNAGFMEAHQQITATRVHVGRLADLGHGPKACVPVFFVQLESHDLLALAMPPYMEQHLITKYKLKNNGPPVKVCLYMDEHCEWNVFIKRWSDFAARLTLHVDDAMVFTPKDDDFKVDVFRKETLCSNIFSCRRHREGPYADPHH >cds.KYUSt_chr5.22060 pep primary_assembly:MPB_Lper_Kyuss_1697:5:143914469:143917307:-1 gene:KYUSg_chr5.22060 transcript:KYUSt_chr5.22060 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMVFSPEFWRMGVLWTLSLLYSYLLLFQHGRTATYHRRRDVGGRDSGGGGGRPICVVTGATSGLGQAAAAALAREGYCVVLAGRSAQLLSKTTREIRRQQPDACLEAFQVDLSSYMSIKKFETSLSQWIRDSNREPSIQLLINNAGMLAKSHRLTEDGIDEMMQTNYVGPFMLTSILLPLLKNSHVPSRVVNLTSFTHRCVSEIDVSEEALRGVKFGQRSVGGSYPLASTYEYTKFCLLMFSYELHRQLHLPSGISVMAADPGVVETGIMRELPPCLSRFAFFVLRVMNLLQKPDIGIDAVIDAALAPPEASGKYFFGGSGRTVRSSALSYDMEAAKKLWAESSALLQELQLRDYEFRRN >cds.KYUSt_chr7.29619 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184361987:184364775:-1 gene:KYUSg_chr7.29619 transcript:KYUSt_chr7.29619 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPSDIRSLPIDIAFARLQEWLVDRKRVPHDWRKRLAALRARIAAAFASLPRDLHPDLLTLELEEIGYLEAKKIYSILLESNTESRNIFGRLTGSAGEWESIVKAYEKDHIFLGEAAQIMVQNVNYDIPYQRKQMQKNQQQLAELDRREADIKRLATLSATRYMEACQELGLQGVDVRQELIESAKTLPSTFSKILEVLTSDPVSLAVEYYTVFVKECHTEDKENFKAVVQNLKQLRANPPSLHVSVCKEVQNSLGDASKAHGSNMGGEEHIDFNIPADDIDWDISVDNNGIDWDIGEVEQPTEESGNGFGSYEIIDANVELAGSENYGVGVSAYPSADKEGLACDTSDQICWDISTDNPEENAAIEIAPTESGQYEERSQLLEKEYRNNILDDLLEVKSFLTLRLGEARNADTSSLQHQVQAVSPFVLQQYAAESLENMLVEISSAISLLTNQKTLDLIMILNSKRFLDRLVSTLEEKKHHEVKLREGLGSSDYKIKGTKEAL >cds.KYUSt_chr4.20509 pep primary_assembly:MPB_Lper_Kyuss_1697:4:129137504:129138562:1 gene:KYUSg_chr4.20509 transcript:KYUSt_chr4.20509 gene_biotype:protein_coding transcript_biotype:protein_coding MYINIYRQISTPRRDVFPAELDHVHQDPGSVDYDAHLGHDAGGAAERLVHELAVGADERQLVVLPAVGVGGVVFDLTQERVLFGELLGVDAIGGGGRGARFLLGGLLDWSSMAAAMKAKRAASIPEDEESARGKRLQLHDSAAEQGPGLAAAAGELVAAASAAETRGLRLLRLLLRCAEAVAADQLPEARDMLQEIAEPASPLGYSRSASRPTWGTRRARVLSSYLGAYSPLLAASPLAAAQSRRDSSAFQAYNALSPLVKFSHFTANKSILQALDGEDRVHVVDLDIMQGLQWPGLFHMLASRPCRPLSLRVTGLGASLDVLQPTGRRLANFAASLGLPFEFHPIEGKIGK >cds.KYUSt_chr1.9155 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56029455:56030219:-1 gene:KYUSg_chr1.9155 transcript:KYUSt_chr1.9155 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLASWAAEERWMYPAFLAMYAVIYSIGQLGLFRRWEWRHRLDGASCLISLAHGSAAALAAVAAIAAQPAERRGFAAPNSRLQDHVLDYSVAYFTMDLLHYLAFLPGDTLFIAHHIATLFVFLTCRYLVHHGAYALLILLFLAEVTSLLQNVWTLAGIWRAEVPAAARVYNALSVPFYVLYTIVRGVAGPIFFLKMSLFYLSGQAVDVIPWWVRISWIIVVGPAITVSNLWIWNLWKELLRERKQSMKKKHT >cds.KYUSt_chr6.25438 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161302027:161302722:1 gene:KYUSg_chr6.25438 transcript:KYUSt_chr6.25438 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDGHRQAPSPRRRELKMGALDLGKMGVSCKEKKILGSPEHPTTRRSKLPPRPHLKSNQCAAEGWSCTRNRHGAGDAPADNPGHAENRVRTIPATPWTQVDSRGRAGGSKLGALLETGHTAAELTLSSRPLPHASGEAERRRARVPSTAAATNVHASERLRAIECAEEPSAAANPVGGAPTSTGRRFPRPQLSTRTRRGGCAWLSSRGSPASAGEAAAEHGADRLPSAR >cds.KYUSt_chr7.26473 pep primary_assembly:MPB_Lper_Kyuss_1697:7:165305901:165308103:-1 gene:KYUSg_chr7.26473 transcript:KYUSt_chr7.26473 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLSFCFVVLSTLLAIWLLKLFVSGGNTKPKKSLKPPGPWTLPIIGSLHHLISTLPHHKIRELSRRHGPVMSIKLGEVPVVVVSSAEAAELVLKTNDPLFGSRPSSPTMEIATRGGKGIVLAPYGERWRQVRKVCTVELLSAKQVKRMEGIRTKELGNLLRFITESSRDGATINVSDKVASLTNHLVTVGVFGGKFTRQDEYLHELDKTMELISGFALLDLFPSWWLLRWISNSERHVRRSCRRLEGMVADIVNERKAVRAASYSARSTDDEDLLDVLLRLQEEDSLAFTLTTEIIGAVLSDIFGAATHTTSSVLEWTMSELVNHPEAMSRAQLEVRKVLGEGRSVINNFDLAELPFIRMVIKETLRMHPPAPLLPRLTREDSKIMGYDLLEGTNVCINVFAISRDPKYWENPEEFKPERFENSNKDYYGTHFDFTPFGAGRRQCPGIQFSSSVMEVILANLLYHFDWMLPDGASIDMSEKFGLAVGKKHALKLKAIPYVVKSHAAEATQ >cds.KYUSt_chr3.35144 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220597503:220598600:-1 gene:KYUSg_chr3.35144 transcript:KYUSt_chr3.35144 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFFHELRRQASSYLTGKIRSARLALTDVTPTQLMTEEATNGDASPPTAKTMGLIARQAFDIDEYVRILDILHTRLATFDRRQWREPYKALLLLEHLLTHGPRSVALEFQKDRAVIRQMAKFQHVDEKGFNWGATVKGKSERVLRLLERGPFLEEERERARKVARQISGFGSFNLRTGGSGSSSSSRAQLWGGEDGAAPQVYGRSHSQYEQGRRWDEDDVGEDQEDKENLIATGSGREEAVELEERHHRHPFLGFGQQRPEATLLLGQ >cds.KYUSt_chr2.18902 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118897593:118899326:-1 gene:KYUSg_chr2.18902 transcript:KYUSt_chr2.18902 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRARPCAGALLACAAIAVSCCWSFQGANAAAATPSFGDNFEITGAEDHVKTSPDGQTWYLSLDNKTGVGFQTKQKYLFGWFSMKLKLVGNDSAGVVTAYYMCSDLDAAPQRDELDFEFLGNRTGEPYIIQTNVYRSGVGGREMRHSLWFDPTVDFHSYSILWNPKQIVFFVDKVAIREYRNSDKPNMFFPIAKPMYVFSSIWNADDWATRGGLEKTDWTKGPFVSSYSDFTADACAWASGPAPPACAALTGDSWWDQPPAWALDKGQRQDNGWVARNLMIYDYCDDRKRFPTVPEECALRTATTS >cds.KYUSt_chr1.11041 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67579206:67581535:-1 gene:KYUSg_chr1.11041 transcript:KYUSt_chr1.11041 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKEEDGGSHDEGFASSSSNDSDGAESRDYRVFDLFRGSIRTRSRIEFPFVRPSAEFSHWIPTHLQSALMARRPRGPILRRRPARHEDRISALPDDLLLLLLRKIDTHTALGAGTLSRRWAHLPRQLPDLDFRVGDILPPRYHKWLFVGNGSESEYGWQTSEKEIRPNIRRFERRAMRSLVRSVQSFLDAGHDGRRVNKLRLEFFTTHNTACINRLLAKAIDAWGAVSLEVLAKPIHGERQVHAFPSHGLCEEPRASRLQSLKLGGCVPPPLHEYSALSTLVLQDMPLSTPEAAYEGVFTSCPQLQVLHLISCQCGDQIVVDAPRSQIRELVLDKCHFIRLCMRALPCLERLASLETRVLFESASFPCLTQYNLKLGFGDNLGKFTQYFVNIFKAQIGLFFRHTREITNLLIRFTGAVRWIVPSSSPATFLPNLRRLLVADVPSSWDVSWPRLLLETAPNLHTFHVHLDPSLADPGDEIPWQPTMLRHDHLKEFVMVGFQAMERQLYLVKFVVEVCTALCHVALFKDGHVRDKGHWDWELVTEQYSWTQEEKGALLNQIMDGVSSSSIVPSQLVFG >cds.KYUSt_chr7.16126 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99953172:99953740:1 gene:KYUSg_chr7.16126 transcript:KYUSt_chr7.16126 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATELAVAHSSQAMEAATELAVAHSSRAPLAATVLAMREVTARLTSCPSLSSMASSCSLVPPSSHEPVDVLGAELLDEAVVGVELQDEVTVDAEQQGEVTVDAELLGAEQQDEALVGVELLGGDAVAVEVPMVAMTRRPMGKIRKLSRTEEKIEMVQNHVRFAY >cds.KYUSt_chr3.35418 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222379899:222388777:1 gene:KYUSg_chr3.35418 transcript:KYUSt_chr3.35418 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGTEINKPMDSAISDKYRDMQEEYYAKIARQMKMSMLCELTPPNCLVNDPTLFHIRESSKKIVLRAAQFIVGLSSSFDGDPLAWCSGFWIDLDSEKRIGTAVTSDSHKAPITGCLVTVHLWGGAVAKGRLLYHQKHYNLAFFRVKMHPSIQSPHFIDKVECAQDIFELGRDESVKLVIHHGRVKYSNPDVYERNHHMRIEGPHRDREVSAGSPAERCEIRVGDVIECFDGKCVSTVVELDNMLLSIVVNSGDGLNSDLDVEIQVYFPRRYLRRIKILTVKVSEDGEFVARGKRRNFVTPIKHQEEGGGSSFFVFCVNQEEDTGVSDLGHTLSWIRHIGRHFTGSYDKQKWASATCCLFLPEAHACVQLDISCSHFSNKAYRGTGGTHSAGSRSIERYRKHKEAELGKPLTVVGGWQKMKLKQPDLSQPQPSLPEYFGNAEEELEKYCSVFKGLHPEVDDPIEQETDLTTIIVAGSGAEHGRTKLLSGVIKPQRTLTQIRSTLTTGDPPIAPPRHRRTDANFEAAYAATYEKYLTVVAEWDLKRAAWEEYQEATSCALRTFFLTGERIALPEEEPARPGPTPVCPSREAFTATYYARTPGTGSSRN >cds.KYUSt_chr2.9317 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58677989:58678483:-1 gene:KYUSg_chr2.9317 transcript:KYUSt_chr2.9317 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSATSSTGKTRRQQGGKHAMPWFATSYAGAVTEVIYAVSIRRQVRDLLAAGSGAGGFLAALLFARIMELLLAAVLDRRQRGMDLLLTDGEGFPSSKPQQDRRERSAAMEELRCAQTTWMQRVTMRASGARPEAGGAVRQGEQGGSPEVGGGRKFPGRDRERN >cds.KYUSt_chr4.46656 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288399632:288401673:-1 gene:KYUSg_chr4.46656 transcript:KYUSt_chr4.46656 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSLGLRAAAKAAMVGGYRSAASFRRAVLPAASADTRPVSTTIGAVDDWYIPDRDVFGPVPTLEEAMAATVDLREAFEMCVSQEMLQDRVHSEILKHEDEYDNLSVTSGSSGRVIEAFTMLQANPEAQIMGFTNFDLIDVVASLASDKNVWEAVMKNEKLVQFYKNCESDQSESSCATDEVSDAESSLSSNDLSLGTGDAFKEYVQKMRAFVSEMVTNLSSIMQDLIATSDEGQSKGRLRTLIMNTKKDFANERSSFVLLAIATIFVVLLKRA >cds.KYUSt_chr4.52786 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327437867:327439922:1 gene:KYUSg_chr4.52786 transcript:KYUSt_chr4.52786 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHPTAEFELPDFNSDDSGDSQFNEWNGVFIVDAEADAAEELAQWGEDHSVDLHLLNFGASPAILRKPTASAGLGLGNQSLANRILHRHHVMHAVVELFALRVES >cds.KYUSt_chr2.49379 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309009317:309011104:-1 gene:KYUSg_chr2.49379 transcript:KYUSt_chr2.49379 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLGANKANSCPLTPLGFLERAATVFGDCPSVVYHGAAFTWSQTYRRCLRLASALVSLGISRHDVVSVLLPNVPAMYEAHFGVPMSGAVLHSINTRLDARTVAVLLRHSGSKLVFVDPAMVPLLDDALRLLPAGHQAPRVVLVEDPHQKDFLPAPTTTTMTYERLLEMGDPEFAWVRPLSEWDPMVLNYTSGTTAAPKGVVHCHRGIFLVTVGSLVDWAVPPRPTILWTLPMFHANGWSFPWGMAVVGGTNVCLRRVDAAEVYDTIARCGVTHLCGAPVVLNMLANAPEGVRKLLPGKVQIMTAGAPPPAVVLHRAEAMGFEVSHGYGMTETAGHAVSCAWKGEWDKLPATERARLKARQGVRTPSMTEVDIVDSETGRSVPRDGATMGEVVLRGGSVMLGYLDDVDATRAAIREDGWFYTGDVGVMHPDGYMEIRDRSKDVIISGGENISSVEVESVLYGHPAVNEAAVVARPDEFWGETPCAFVSLKDNVAGAVTAAEVIAWTRERMPGYMVPKTVVFRAELPKTSTGKILKYVLRNLAKEMGPSRWGGSKM >cds.KYUSt_contig_686-1.662 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3949712:3950671:-1 gene:KYUSg_contig_686-1.662 transcript:KYUSt_contig_686-1.662 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQDHRLLVLLLAVALTGAGAATPRQLFLVTQAPVTLTNHHGQLLTGNHSVNLLWYGRFTPAQRATVADFVASLSSPAPAHSPSVASWWATTARYHPGAARLALGRQVLDPSLSLGRRLSEADLASLAARLSPHRGSVAVVITASDVLVDGFCLSRCGLHATASAAAPVKRSTRAAATATRGRGRFAYVWVGDSSEQCAGECAWPFHQPTYGPQAPPLVAPNADVGMDGVVINLATLLAGAVTNPYGGGYFQGPAEAPLEAVTACTGVFGAGAYPGYPGQLSVDAATGASFNAVGVAGRRFLLPAMWDPKTSQCSTLV >cds.KYUSt_chr7.30283 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188598679:188601933:-1 gene:KYUSg_chr7.30283 transcript:KYUSt_chr7.30283 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGMATSEPGNGQMADKAADEGNMQDVEAAIDIGGEGSRSGTPSRSAAAGSPESRTEADFEFLWRLRKYLLLLAVLAVSVTYNAGLNPPGGFQMDSTLHHSAIPGDPLLPVKFFRRYEAFFYCNATAFATSLVLIILLLSRGVASKRLWLRSMQVTMILDLFSLMGAYAAGSCRALRSSVYILVLVCAVFVYIGIHILVFIRVVPMWIKEKVQKILHLILEKLHGMLNHIKQMLSICPVSGVGEQRNSGDEEEEIEEARKFILMLATFAATITYQAGMNPPGGFWGVNVHGYRPGTFVLRRHNLLRFNIFTCCNATSFVASLVTVILLLSTELSMHGIRTKALFVCVIADLFGLIGAYASGSSRNVATSLSVVLIVIVVLICVLVLVMFLQSETATFWIDKELKPAVNKFLSMLSWGRDSHLSNLKQNDSQKTHQQGTELDSQRTQPEDTELDSPRTQHQDTELDCQHTTQQETELDSQRTHQQDTELDSQRTQQDRELGSKRSQQQYTEHSSRDTEVNGGVSNLQSCSADSNLVSTGDVRSASASDLNSMEENNPSVLNQSHDWSEQAVETVCSSSTDALTTEEIHTHNIEVQFAGSQQIAIPMGPSSPSDSGKPIHGILPLQGSVDQNAASGDLRMEKSSEEQLILPRSHGDAAENHAQPMSSHHIGVENGGEIKLEIGGTENGQAAQHEEKSSDCSYRNPEDVLLKKSRTYLLLLAILAVSLTYQAGLNPPGGFWTSNVSDHSAGDPILEDNYHKRYLAFFYFNATAFAASLVIILMLLSRKMSNRVIKRRAVQTAMITDMLALMGAFVVGSCREKTKSIYISVVIFPVVAYVSLHVLVSRHIIPGWKECVSKRIIPGWKDCVLPKSPQTDKKSRDAMEKDLERRRNLLLILAILAATVTYQAGLNPPGGIWPDEHSKGGRPGNPVLQDSHPRRYDVFYYSNSVSFVSSVAVIILLVNRESCEHGIKSYALRVCLIAGLLGLLVAYSAGSCRKVKSVIYLIVIAAAVLICLAVQVLVLSSTQGALEGPLTWLRTWLRKIFHLKTDSGVPLDSSEESNKKNNAPGSAPRSTIRRKGRDRST >cds.KYUSt_chr2.32153 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198321227:198322859:1 gene:KYUSg_chr2.32153 transcript:KYUSt_chr2.32153 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAALEETGKGGGAAPSGVTLEELRKKMADFARERDWEQYHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWEERETEHLGEELADVLLYLVRLSDMCGVDLGKAALRKIELNARKYPAGQASGSSRKRTRCSDDSVRTSEDCGSVVPAAGESKEEQ >cds.KYUSt_chr5.29234 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185256631:185256909:1 gene:KYUSg_chr5.29234 transcript:KYUSt_chr5.29234 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQRGKGSAESKAKKKKKKKSGGDLGEAKNRIAQRKCPETQKQIPAFRKGAAAFGQREVGGNNKSISAQVLLLPPPTPPLCRQVAAKAAMS >cds.KYUSt_chr4.27596 pep primary_assembly:MPB_Lper_Kyuss_1697:4:173437814:173443351:-1 gene:KYUSg_chr4.27596 transcript:KYUSt_chr4.27596 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVCARHGPAKLPLPPPAGDRVAAGRWWWWRPAGARRGLVARAPSFNSRIGLDSQNSHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLQNAVMSALCDPETGECPVRYDVPSEDLPVLEDKVAAVLGCMLALLNRGRTEVLAGRSGVAGAFQGSEHSTMDRIPPLALFRGDMKRCCESMQVALSSYLVPNEARGLDIWMRLQRLKNACYDAGFARTDSHPCPTLFANWFPVYFSTVPDDSATDELEVAFWRGGQVSVEGLAWLLAKGFKTIVDLREEDVKDDLYLSAVGEAVSSGKIEVVNMPVEIGTAPSAEQVQQFAALVSDGAKKPIYLHSKEGIGRASAMVSRWKQYATRAERLATKKRSPNVNGKALKNDRTEQHTSSENGALLESDRTVDAGEARDTEIEISHNNLEVTNSLPNDQSTEQGELHGSRTELLSDFKLETSPLKAQFPSGNVFSRKEMTKFFRSKRIYPKSVLNSRRRSSSLMISRRKQNLRAEQNEAIDCEAADMMVLKNANGTLFDNDYVLSVSSGITNGKLSNNGTSTSSEQKENPASLLTIDTGTSNGNSSNGNAQLGSQKPSEKNGAPYLERYPSDSVDGSICASSTGVVRIQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHHQEKMNVLVEPDVHDVFARIPGYGFVQTFYTQDTSDLHERVDFVTCLGGDGVILHASNLFRTSVPPVVSFNLGSLGFLTSHIFEGFRQDMRAVIHGNNTLGVYITLRMRLRCVIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGDSVHISMSEHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >cds.KYUSt_chr4.28359 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178067898:178072751:-1 gene:KYUSg_chr4.28359 transcript:KYUSt_chr4.28359 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPAWCAVLAVLLAAAGPAAGRFVVEKNSVSVTSPEALKGKYECAIGNFGVPQYGGTLQGWVVYPKSNKDACKEFETSFKSHKSGERPNFVLIDRGECFFTTKAWNAQLAGAAAILVVDSKDEPLITMDNPEDTGTSHMENITIPSVLITKKLGEDLKKSAENGEMVSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKSFRGTAQVLEQKGYTQFTPHYITWYCPEAFVVSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLHQVCVFKVANDSGKPWLWWDYVHDFAIRCPMKEKKYTHECATQVIKSLGLDLGKIDKCIGDPEADEENPILKAEQDAQIGHGKRGDVTILPTLVVNNRQYRGKLDKGAVLKAICSGFEETTEPAICLSDDVQTNECLENHGGCWFDKANNVTACKDTFRGRVCECPIVKGVKFAGDGYTNCEASGIGRCEINNGGCWKDTKDGKTISACSHEESKGCKCPVGFTGDGVKSCEDIDECKAKSACQCSGCSCQNTWGSYECSCGNTNMLYMREQDTCISKQAASSVGWSFMWVIFFGLVFAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDSQEGANQQHVAHAGDDI >cds.KYUSt_chr6.2276 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13330624:13332636:-1 gene:KYUSg_chr6.2276 transcript:KYUSt_chr6.2276 gene_biotype:protein_coding transcript_biotype:protein_coding MGASCGERWEVGASVFGLELRVEEEEEEDEEEGAPAARALALEKRGPGGNGGGIGGGAMPSHPILSSPKPRPSRSEEKEPEEDDDDDKVEMAFAIAYGKFGVEVDDDGPGAGDGDAYGSAGGGRTCMVMLRTPGAEPGRGRPAQEAESRGDLVSRWTDGDRGSTPRSKPAEHCMAKEQTREWAKLEPDRSRDHAGGDR >cds.KYUSt_chr6.18640 pep primary_assembly:MPB_Lper_Kyuss_1697:6:117208484:117209907:-1 gene:KYUSg_chr6.18640 transcript:KYUSt_chr6.18640 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCRWWPAIYALLLVLLLLSVSLSSSMLKTSNMSSGQAATASSLRNIDAILDCPSLLCQMVVLGAGKKPCAHLHAPAMEMDMIYLIRKVMICQLPAVLPGYDGLRVSFFPSSGSASLPGFGFLQGDNWCALVVWSMV >cds.KYUSt_chr7.40352 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250554851:250557049:1 gene:KYUSg_chr7.40352 transcript:KYUSt_chr7.40352 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSASPLPSPPAGGTAASDHHARLRAAAARSDLPGALTAFVAMSSTAPASAAGPVLRTFTSLLKLCAARSDLATGRTVHAQLAARGLATEALAATALANMYAKCRRPGDARRVFDRMPVRDRVAWNALVAGYARNGLAGAAMEMVVRMQEEDGERPDSVTLVSVLPACADAQALGACREVHAFAVRGGFDELVNVSTAILDVYCKCGAVEAARVVFDQMPVKNSVSWNAMIKGYAENGNSTEALALFKRMVGEGVDVTDVSILAALHACGELGFLDEGMRVHELLVRIGLDSNVSVMNALITMYCKCKRTDLAAQVFDELRYKTRISWNAMILGCAQNGRSDDAVRLFSRMQLENVKPDSFTLVSVIPALADISDPLQARWIHGYSIRMHLDQDVYVLTALIDMYAKCGRVGIARNLFNSARERHVITWNAMIHGYGSHGFGKVAVELFEEMKSTGRVPNETTFLSVLSACSHAGLVDEGRKYFSSMKEDYGLEPGMEHYGTIVDLLGRAGKLDEAWSFIQKMPIDPGISVYGAMLGACKLHKNVELAEESANRIFELGPEEGVYHVLLANIYANASMWKDVARVRTAMEKKGLQKSPGWSIVQLKNEIHTFYSGSTNHQQAKDIYARLAKLIDEIKAVGYVPDTDSIHDVEDDVKAQLLNTHSEKLAIAYGLIRTAPGTTIQIKKNLRVCNDCHNATKLISLVTGRKIIMRDIQRFHHFKDGKCSCGDYW >cds.KYUSt_scaffold_6468.738 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3559835:3560059:-1 gene:KYUSg_scaffold_6468.738 transcript:KYUSt_scaffold_6468.738 gene_biotype:protein_coding transcript_biotype:protein_coding MESWASWFGSGVTSAFFASLERCSCINLSTDDDDDDLDGEAHDRALILAADSAPSDAAAHKETDGGKEPPLPPV >cds.KYUSt_chr3.45573 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287126381:287126722:1 gene:KYUSg_chr3.45573 transcript:KYUSt_chr3.45573 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFIDRNNLHSAENEIEKTSNKTKAIQGTTMTAYSLSSLTPRSTNTEGTQGQGQYRAGCGVDSDDVGAGRGGGGGIGAGGGGGACTQASVEESPVSLELTAESRDWMVQPSY >cds.KYUSt_chr5.6223 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38455828:38456412:-1 gene:KYUSg_chr5.6223 transcript:KYUSt_chr5.6223 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRPHQRGSPTATTPGSPRPCAISAAPRRISRASLRPPLDASPSAAATAAATTSSTPPFAATKSSTPALWPVTVGMGSEEAPEALAHGGLQVPPLLRFINRTKGSVEAAELWQSGAASRITIGSSIQRLGQWWLEPRSSSTDPSSVSASRSIATQGHRVRACLARGGELAGYAPVPLPDPQLAPNESPIFSSL >cds.KYUSt_chr7.9313 pep primary_assembly:MPB_Lper_Kyuss_1697:7:56611363:56611653:1 gene:KYUSg_chr7.9313 transcript:KYUSt_chr7.9313 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAAKNGFGRRSLHQWEGRLLHMAGYPAPPDFRAPGGWRLSAGGVPIPPPPMTRAALEAEIDAVLVTLSDEQRTDPRFFPDNYDSWSDFFRCR >cds.KYUSt_chr3.29591 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185101404:185104315:-1 gene:KYUSg_chr3.29591 transcript:KYUSt_chr3.29591 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPTRGKRVFTPGRGEGGEETRDLNAASKEGHGARSVASVVATAGHRFPRSRASRHHPANQSKQIWPRDAGFTGRKRGDLPGTGTQSWRQLPGHTRRPPPLRRPHDQRGGQALTATARRRAGAVHQIRAAALSYRAAKIDETSQTEVGAQVVALGRGFESGKLMATEGAVTAKRSRSYCEELQISTCKIAKAGIGGPLVDSDGNFVGMNFYDMDGSNSFPTKG >cds.KYUSt_chr4.19887 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125060304:125063375:-1 gene:KYUSg_chr4.19887 transcript:KYUSt_chr4.19887 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLLRAATDGDLISLKKLAAENPSMLLGTTLQGNTCLHISSFHGHEEFCKDVLALDHSLLSKVNLEKETPLIVAVTGGHATLASLLLKLCPSKTILAEDKNKCNALHHAICCGHKKLALDLIDAEPALSKGVNEYCESPMFVAVMRGFTDVTEKLLEIPGSAYSGPHKYNALHAAVRNGNSAVAEKIMGKYSQLATEESVRGSSPVKSAVLRDKANVLRVLLKCDRSLGYGVTKTGGIPLLVYAAYRGHIDVARVLLYHCPDAPYSKPDGWTCLHEAVEAGQTKFAEFILGTPQLRKLINMRDGKGKTALHYAVQKCNPRIVAALLSHMDTDTTLLDKGGLPAVWELRNTTDRAKTLNWNEICMLMSEADPQNITSLNNLHAHAKKDVTSESRKDAKSLTQTYTSNTSLVAILMATITFAAAFTLPGGYSNDAGSQGLPVMARNLAFLAFLISDTLAMCSSLAVAFICIIARWEDFEFLIYYRSYTKKLMWFAYTSTTTAFATGLYTVLATRLPWLAIIICFLPALLPILTKLLGEWPVLRLRFRLGHTFKSDLLDMV >cds.KYUSt_contig_6118.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001233.1:43184:49934:1 gene:KYUSg_contig_6118.4 transcript:KYUSt_contig_6118.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRLYAIDIDQELNARGMQLCRMRIHLLRLQVKIMFYNGDPRTGVLWCLWCLRMANSKYEYVKREFEFNRQLPASNWIVVRIDGCHFHRFSELHAFEKPNGESALGLMSACATSMLKKYNDIVFAYGVSDEYSFVFREETELYKRRESKILSSCVSYFTSVYMMKWKDFFPNNDLKEPPYFDARVVCYPNMKTVRDYLAWRQVDCHINNQYNTCFWMLIQSGKTKNEAYQALKGTSSKDKNKLLLQQFQINYNDESAMFRKGSSVYRDKVKTDDYGNPIKRTRQATIVSNFDIIGPEFWEKHQYILGKEKYGYEYVKKFVNIPRSPCSNWTIVRISACQFDQFSLIHSFDKPNDETALRLMNASASLMMEQFPDIIFGYGFNNEYSFVFQENTELYKREESSIISSCSSCFTSFYIMKWEEYFPSIPLVQPPHYKAEVLCCPKPTTVCDYLFRRQSECHNRNQYNTCFWMLVKSGEGENKAKEILKDTLPKDKSELLFQRFQMNYNNEPAMFERVHVLTVKRWENLQRWKPMEMLQESGGMWRWPT >cds.KYUSt_chr5.2414 pep primary_assembly:MPB_Lper_Kyuss_1697:5:16316101:16317774:-1 gene:KYUSg_chr5.2414 transcript:KYUSt_chr5.2414 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMLLGCFNSVSPLSGSATIALKAAAIAALLAVLILPSSARCPSLGPEPVPASASPAPAPAMQCNCSHCVPKCGPAPNCDLSCAGARCPACLAEKTNSCIWSKCNGSPCDACDLEANLACFPSCDNRQCAGCQSQISRHSQCVSDCCGATCP >cds.KYUSt_chr1.16261 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94468142:94468822:1 gene:KYUSg_chr1.16261 transcript:KYUSt_chr1.16261 gene_biotype:protein_coding transcript_biotype:protein_coding MLTADVASSRSCGRICPSKRHPYPPIRADPPPGPGSSVRGEAMAEAPPTPASDSDPSPSLAPAAPRSLPTTLSLPRPSTGSRPARGGSTVSGSGRKKGKAPAAGSATAAEGTTRRHGRLVEAVRLIGGGADPAVAGTDILELAMAKGAMFSWLGYWPEGGYPKEGQPY >cds.KYUSt_chr5.19337 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125433923:125436238:1 gene:KYUSg_chr5.19337 transcript:KYUSt_chr5.19337 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNRSGDFWCGAGQFPFKPTPQACFPPPRHPLLPLFPASNGFGQHLPVSLHRPVAENLDNPLPRPLPFECAMPASPCTAPSAPTPGHIAIPSPFTASPTSGPRTYADALLAPAAPSKPRSPPPRTPIRSTAGLCFRCLSPLHPVRECRDPVACRGCGASGHRLRECTMARPRPTLAQPPATASPPNSPATSPPHPASPFPSALVGAPALALRSNGASLVAPVPFSLGESSTIMAPLAACILCHHRPTPALASPPPSRDITTSPHSSRPASPDAPPTSSPLTAHPLPIPMPVFPREGPAFADAAAQAGLEGASLSSASDSGSSLESFSSGGRSPSLAGESARPDFIEVFMPPGDLEAARRLAVVLIEPPNAFINNEAAVRAALSHISTHLPFEIVGSSVGAMYLRFASEAERDSAIDLQPFAHEEARINLFPEESFDRIPRRARVCALVSATGFQAEHVNPAGITGMFCSFGKVLEIDPITLAGHDMTVVRAVILMHHTRDAPCDVWPVGGPWDSRVATISIERTWPRARSYSNTGAYIPLFPPPPPPPFMHHRGHPPFLGVPLQRRLGPAPSSDAERRGHNVGAGRQLAMLLRLHGTPPFVDPAATANPPSPPSHDPAADSPWSTVSSRRSRVTITEIVGGDEPIAAQTISAPKITLPPDSDGELAPAIDDLRARKAKGRARRDRALADRRCSARLARKEPANHVTVEAQAIKRRGLRDSLFGCSPMLRAKVLKSKALDAARKPLGATSVSELRAAAAIPPAQVPASVDA >cds.KYUSt_chr2.3209 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19035977:19042998:1 gene:KYUSg_chr2.3209 transcript:KYUSt_chr2.3209 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRVAVRRAKMDDDDDEYVADEDEVEEEYVAAACSDEDAAAAAASEDEEDPDPDHESDADFDGDEEEEADDEDFEIEAPRPRPRPRPRRRPAPKARGRGRKPDSSSSDDDFDEDLEIEPPPPRAKPKPKQKRAAAKPRARPSRKARRPRQQDDDSDADFDEEDEDLDDETFRIDAPRPRRPARARGRGRKVKKPRDDSDEDEDEDFGEDEGFEIEAAPRQRRTAPARSRRGTRKGKPRDGSDDEDFGEDGELGDEGFEIEAPPQRRTATARSRAGRKGKPPRHDSDEDFSEDEELEDEDFEIDAPRQRRPAAARFRGGRRGKKEDESDADFDAGEEKMEIESCPAAVRSRGGRKGSQEDESDADFDMGEEELETEACPTAVPSLECGEDESDAGFAGEEEGSSEDEVLEVETQPSEVPSPVRTGQQDDDSDAEFNGEEELEDEGLETETPRPEHRNGRHDDEFDEDFCEEQEEPEDQEADEELETETLQLMQPVVEGPGWGRKRKPTGSCRPRHEDDDDYEEEIEDEDEDFDPEVDEEEDNEEEEVAEDDEDLDEYAPTRAKNVRPKNHLAKRKPVAGRQHRKRRSGSKGKARKGTSAHQRRRKQWVADDEEEEDDDDFVVEDDVEEEVDYQPRKKAKVAGDGTTEPLAVGESWPSVESDTSEFEFVTSEEEDAKNEEPIADSVKIKRKKGRRKKGSESDSSSDSDYVISEEDLKDLGVPRPQETVPQPHLLTARRTIVPRRVDEKGKEPEEALKQICGICLSEEQRATIQGVLNCCSHYFCFACILEWSKVESKCPLCKRRFNTVTKSSVADVGSGLRNAAIRVEKRDQVYQPTEEEMRRWLDPYENVVCIECNQGEKYQKGIGIVVDVDSVWRDLMHKHRIEWFTVEKSQQSSSHFVQSANNLTQCTYEGGNNFREVENAKEQLIPIVKKSIKHICAQSPLVSGLALFLKWFMNKLSYYINKRRGVFLRRALAPPVANNSRKGEEKEEEEVVPERTILELGDYVLNEEETMIQQVAVITEAEARASAARRRKPSVLFARTRLRRRRRQSAV >cds.KYUSt_chr1.17269 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100234910:100246004:-1 gene:KYUSg_chr1.17269 transcript:KYUSt_chr1.17269 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFRERKTRDFNEVKCIKDGEDQLLVKDEAIKHRWQKYFDNLYNGEVESSTIELDDSFDDTSMCFVRRIQESEVKEALRRMKGGKAMGPDGIPIEAWRDLGDIAILMERYREQKKDLHMVFIDLDKAYDKIPRNVMWWALEKHKVPIKYITLIKDMYDNVVTSVRISDGDTDDFPIRIGLHQGSALSPYLFDLEEWVAFITYGRKLAVWGCFWAGWFYIGQTNPSTGVATTDPSTLQTDHNQMSHWKVVRYKFTLFNELYFLVAGGTVWTDGQPPAIEGKSSPACNQQKHSKKGAKSESVKDNHIKPDAEQVSTGDDLPDCEERSVSMYAAVHGSQMPEQTNGLQEPIPYLISKDGPENVGKFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELSIQRDFADAVLYEDRRRVKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMSFGRDVWPAVCAAMDYMDQFDRDGDGMIENDGFPDQTYDAWTVRGISAYCGCLWLAALQAAATMAHRLGDRPYAEKYKLKFIKAKAVYEAKLWNGSYFNYDSGTSSNSLSIQADQLAGQWYAASSGLPPIFDEYKIRSALQKIFEFNVMKVKGGRMGAVNGMTPKGKVDETCMQSREIWTGVTYGVAANMLLHGMEHQGFITAEGIFLAGWSEDGYGYWFQTPEGWTTDGHYRSLIYMRPLAIWAMQWALSPPKAILEAPKEHLLTLIDNLDLKAEITPLDDAERKIMRDAQDELANLRRQEESKWAQRAKVIPLVTYWIHMWSYLQLAGERHDMDTGCNRLGTVARDFYSRGMAHFEKKKEAIAALPERLQAAALIPDMTPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR >cds.KYUSt_chr3.8386 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48454082:48454740:-1 gene:KYUSg_chr3.8386 transcript:KYUSt_chr3.8386 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWAFATVATIESLNWIKTGKLVPLSEQQLVDCDRGYYHRAMKWIMENGGLTTAAEYPYKAARGACKRAKPAVNIKGHLAVPPNEAALQSAVARQPIGVAIEIGSGMMFYKSGVYSGTCGTRLEHAITAVGYGTDPAGTKYWIVKNSWGPGWGENGFIRMKRDVGGSGLCGIALDTAYPTM >cds.KYUSt_chr5.2383 pep primary_assembly:MPB_Lper_Kyuss_1697:5:16095322:16098515:-1 gene:KYUSg_chr5.2383 transcript:KYUSt_chr5.2383 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSHNPPPVTRRKSILAFKFLIPFVLVLSVSVIAVTQYFQSISYLLRPLWDTPPKPFFRIPHYYAPNMSMQQLCQLHGWDILPSPRRVFDAVLFSNELDILEIRYRELFPYVDRFVILEANATFTGIPKSLSFFENINRFAFASSKIVYDMLPIGDLDPESRQTPFLVEAGHRRALNSLLTRSGIAVGDVLIMADADEIPSPETVQLLKWCDGIPPIMHLELKNYMYSFEFPVDHNSWRASAHVFTERTKYRHSRQTNLMLADAGWHCSFCFREIKEFAFKMKAYSHADRVKQESFLSPDRIQRVICNGENLFDMLPEEYTFGDLFKKMGPIPRSASAIHLPSYLIRNAYSYSVRSVDMGVLKLKVSGLCLLLLMPLLLLLGSEAKTCKWYSKTYMTFYCTIDKCAQACKNEGFDSAECDMVGFNHIMIQ >cds.KYUSt_chr3.40547 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255806457:255807536:-1 gene:KYUSg_chr3.40547 transcript:KYUSt_chr3.40547 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEMGEDVLSEILVRLPHKSLARFQCVSTTWRALIAADYLRRRLPLITSGVLFHDAPRDGTGVRQAYTYACASTLSSSGERGDVAGADDMGFFPRHGTSSIIDGCNGLVLYYSSSPQQTFHVVSPTTRRWATLPTPRKKTLLSVISFDPCASPHYKVVCFTGWLPRGASVEVFDSECGDWREHEELDFGLDTDAMSATMHCFGGAVHVLAYSGHVVRIDLATMACAVTALPAPVSYRARAGHCRGRLRFASSEGRLLRFWELVDAGKSEWALKHELGINDLVPGGSSQTTTAPTFLFMAFHPDREVVYLWTPGKLVAFNMEQRRVEEERVFGSAKEGAQLIQIWLFPFSRHLASCLA >cds.KYUSt_chr5.1266 pep primary_assembly:MPB_Lper_Kyuss_1697:5:8739299:8742520:-1 gene:KYUSg_chr5.1266 transcript:KYUSt_chr5.1266 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSTDRRHGNGPATAASPALWRPRSAAARNPAAADPRPYGAHDDAAPILPLPNPPSHARPHRRRHRPNRRPAPPQDRAPANHRPPPQERAPLAPAPAPAPVITGVPQLVQEIQDKLARGAVECMICYDAVRRSAPVWSCASCFSIFHLPCIRRWARAPASSVSDAASWRCPGCQSVHAVAARDVAYTCFCGRRHDPPNDLFLTPHSCGEPCSKPLGKGDDDDAASTRCPHVCVLQCHPGPCPPCKAFAPDRPCPCGKRTIARRCADRTTPVTCGQRCERLLPCRRHRCEKPCHTGPCGDCQVTFPARCFCGNKTNTIPCGEMTGSLSDTAAAGAFSCGEACGHGLACGNHACEAACHAGPCGECRLLPGTVTACHCGKTRLQGTRASCLDPIPACDKVCDKRLPCGAHRCNVTCHEGRCPPCSARVEQRCRCGSSGRMVECYKASMEQFRCSKPCGRKKNCGRHRCSECCCPLSNPFARHEGGGVSVDPHFCRIPCGKKLRCGQHGCQHLCHSRHCDPCRETIFSDLTCACGRTSIPPPQPCGTPTPSCPHPCTVPQACGHPASHQCHFGDCPPCVVPVTRECSGGHVMLRNIPCGSKDIRCNQPCGKNRQCGLHACARTCHPSPCDPPPPASGDASSSSGSKASCGQSCGVPRRECKHTCNAPCHPSSPCPDVRCEVRVAIACSCGRITSTVPCSAGGSYHNGDSMLDISIIEQLPRPLQPVDSNGKRVPLGQRKLCCDEECAKMERKRVLAEAFDITQPNLDALHFGENSNASDLVSDLYRREPKWVLAIEERCKFLVLGKTRGNSSSNIKVHVFCHMTKDKRDAIRLIADRWKLSVQAVGWEPRLFVTIHVTPKSKVPARVLGSKAGVPVSASHPYFDPMVDMDPRLVVAMLDLPREADVSSLVLRFGGECELVWLNDKNALAVFGDPARAATALRRLDYGSAYQGASMFCPSSITQASASGNVWVGAQREGGSVAKTSANPWKMAGASESDPSVGWAVLGHSAGTSVLGQAPGSAWRRGDAAGQVMDTNRWNALESATTSTRPIAGAGQALEKLQPDFEVEDWEESCE >cds.KYUSt_chr1.3884 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23735979:23736371:1 gene:KYUSg_chr1.3884 transcript:KYUSt_chr1.3884 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLINAALNDELFFAVANHTLTVVDVDTLYVKPFAIDSLLIAPGHTSNVFLTAKSSFPGARYYMLARPYSTTRPGTFDNSTIAGVLEYEEHDLASPTSDKNRLPTFTPMLPQINDTTAVSNYTASSAFG >cds.KYUSt_chr2.52325 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326467701:326468135:-1 gene:KYUSg_chr2.52325 transcript:KYUSt_chr2.52325 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSKIRNIVWLRQTLRRWRSRAAARSSAAVVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEEYGFPTGAAGPIALPCCDEGLFEHVLRHLSSSSPSARFVTLEELKSGGGVSCCCAAAGDALPLLRGISSDKFVW >cds.KYUSt_contig_7368.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001489.1:7499:9235:-1 gene:KYUSg_contig_7368.1 transcript:KYUSt_contig_7368.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILVPLDDSGCLPESEIIRRDEPSKKVVEARDHDSRGAPANQETKNRRAMETLVHVEDSGSSSEPDIVRRDDRSKEPHEALDQESRAGHGDCNSTRKRKAISTSIPVPLQQSGGFPEPEVGRDCRNKESHQALDQESRAVHGDCKRKAISASDYAKKARKHSAISTLKKIQLREIGFSASFSREKFKGMKKEISVDLYMRKNREALEEFEPFMRNRSAIVKMIPIQDILVLLSSTGVCCTVSRGVTNRIIAFVTKTGELTEDIFYNKKNNSLIVSSSTRSDSYTAKEYMSIPIGSLRTRDHNGSRVFSSQQIKWLLGF >cds.KYUSt_chr2.44318 pep primary_assembly:MPB_Lper_Kyuss_1697:2:275691226:275692369:1 gene:KYUSg_chr2.44318 transcript:KYUSt_chr2.44318 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCESKGVKKGPWTPEEDKLLVEYVQANAPGNWRMLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFTPEEHKSILQLHAIVGNKWSMIAAQLPGRTDNEIKNYWNTNLKKQLRQQAALNPAGDHPGLALAGSGSSSLAARHTAQWETARLEAEARLSMLSTSAATTSVTASSSSSTAEHGAPDAPSSDVFLRLWNSEVGDTFRRKSVREEAAPPQKEGGAPAAAVLRPPRDDYSSAASNVTTAMMAEDYHAFLDLAAEELGLFHGGFSLYPPADGLFSDFH >cds.KYUSt_chr4.54535 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336911483:336920002:1 gene:KYUSg_chr4.54535 transcript:KYUSt_chr4.54535 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTVVLLPVWASGHFMPTLEAGKRLVAALGAGFSLTVLVMGAPTRESALEVAAHVARETNGGVVSFRHLPSVEPPTDCATVEEFTSRYIHLHAPHVKNAVAALPGPAVALVVEFTSTTMLDVARELGVPAYVYFASSAAMLAVMMRLPALHEEVPADFAEMDGELVHDVPGLPPLPASCMPASVMVKSSPSYADTAYHGGRLAEAAGIILNTVAELEPAVVAAVAEGRCMPGRPPPVVYPIGPVIPSAADSSSDHECLRWLDAQPRGSVVFLCFGSMGFLGATQVREATVGLERSGHRFLWVLRTPPPEADGLELEELLPEGFLDRTRGRGLVWPAWAPQREVLAHPAVGGFVTHCGWNSVLEALRFGVPMAPWPLYAEQHLNAFELVVAMGVAVKMDVDRKRNNLVEAAELERALRCLMDGNGTEGRRARERAAEAKAACLKAVEGGGSSYGSLQAAAAAVRRAWDRTLTSDVGGSGFRGRLPMGELHRSVVTVGALSLFGGGILYLKDDPEEPAARKLIYQELLEEETYEEKAMKKRFEEWMIKYDRRYRDNEEKAMRYKIFKIVPSLAASGHGANSGMSLHNFKTNDDDDNNGDDTEKSVVARNPGDHFNEALDGKETMEEKAMKRRFAEWMVKHSRRYEDEEEKAMRILVVAPPRQAPSSPGPAASLPATPRPRRPPPRRATAPATSAPPRHSPGKPRPRRSTARRPPPRRATARSASSALLPHLGRPLGPCPQPFAAPGVAPFVAPSVAAGAALCAAALAAGAAPVRRRPCRVRRPVRRRPCRGRRPDAAAALAAGFAPCRRGAAPCVASASPFLAAVPLAAVGVRPCPPPRARPLQEAPSWQQALPIRRRRRGSKLAVGAEVVSLPATSGRLRAIDFIIWLLFITDQHLQLKVGIDKLKKKVMCCNMLVVACEKNYPTNT >cds.KYUSt_chr1.4332 pep primary_assembly:MPB_Lper_Kyuss_1697:1:26585052:26586437:-1 gene:KYUSg_chr1.4332 transcript:KYUSt_chr1.4332 gene_biotype:protein_coding transcript_biotype:protein_coding MALARRLLPLFLRRGGALPRPPCPTPARALSTAALPADAPTPTADEDDDAITIKGVRISGRPLYMDMQATTPVDPRVLDAMLPYYLSQYGNPHSRTHLYGWESDAAVESARARVAALVGADPREIFFTSGATECNNIAVKGVMHFYRDRRRHVITTQTEHKCVLDSCRHLQQEGFDVTYLPVRPDGLVDLAQLRDAIRPDTGLVSVMAVNNEIGVVQPLEEIGRLCREHGVHFHTDAAQALGKIPIDVNRMGIGLMSLSAHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAREMDYDERRVSALQQRLLDGIRARVDDVVINGSMEHRYSGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEAEVDRATELTVHQVLKLREMSPLYEMAKAGIDIKSIQWSQH >cds.KYUSt_chr2.36277 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224070488:224079376:1 gene:KYUSg_chr2.36277 transcript:KYUSt_chr2.36277 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEIGRGEQVATVRAVLGEETPEMDIIRALHMAGDDPTKAINILLDFHHKLPPPPSPSPPPPPVKPTAESIRPPKTPAQSKPAAEKPRPNPATIGGRDHWWLVGSAEMAGLSTCKGRRIAAGEPVSFSIPNSAAAAASGKGRPGRFALASCTSEIMRFSTPQQGEVGRIPNEWARCLLPLLKEGKVKVEGLCKSAPEVLSIMDTVLLSVSVYIDSAMFRDQKQSLPKAARVATEDSTFHPLPALLKVIGLSPFKKAAFTPEDLYSRKRPIDRKSSTGETATKLTSEKLKLSSGGNEDDHGEETVSDTDLDDIIGISDSSALEERAPPDALQCDLRPYQKQALHWMLQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLARGGILADAMGLGKTIMTIALLLSDSGKGCITTQHSSQISGEANGLGEISAQSHDSVKNLASPFSFSKLRKPKAPLIGGGSLIICPMTLLSQWKAEIEAHTEPNSVNIYVHYGQSRPKEANFIAQNEIVLTTYGVVASEFSTEGSTEKGGLYSVHWFRIVLDEAHMIKSSKSLISQAAAALTADRRWCLTGTPIQNKLEDIYSLFRFLRVEPWRNWALWNKLVQKPFEEGDERGLKLVQTILKPVMLRRTKSSTDKEGRPILTLPPVNIEVKYCDLSETEKDFYEALFRRSKVKFDQFVEQGKVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFADLNKLAKRFLHGGNNAANGDSSSLPSKAYIEEVVQELRKGEGECPICLEAFEDAVLTPCAHRLCRECIFSSWQSPAAGLCPVCRKSMSKQDLITAPTNSRFQIDVEKNWVESSKISFLLQELESLRSSGAKSIVFSQWTAFLDLLQIPLSRHGFSFTRLDGTLTLQQREKVINEFSNDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKSVSIKRFIVKGTVEERMESVQARKQRMISGALTDDEFRTSRLEELKMLFS >cds.KYUSt_contig_959.54 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000088.1:333124:336940:1 gene:KYUSg_contig_959.54 transcript:KYUSt_contig_959.54 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLDGAKQILAVLFSCFDLELKQPRGLDDPQLLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNASVDEKIDFSFKLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEIIESIIDKTFEEADTKHDGKIDREEWHNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >cds.KYUSt_chr2.10485 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66540397:66540857:1 gene:KYUSg_chr2.10485 transcript:KYUSt_chr2.10485 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVILRWRRKRPGLRGIRPGNQLEGPSQQIQPAKAEDEYDFLQDGKRQAEARLQRALARVHSMAQYPEAREQYQRLTTCVAEMQQSRVMQDEMLSEAADGTDFMAGLEDLIPRDDAQMSVIW >cds.KYUSt_chr5.2948 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19304548:19305309:-1 gene:KYUSg_chr5.2948 transcript:KYUSt_chr5.2948 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPFAVALKHSVTPSPVHVKMREERTAGGACCLRLLCSMRTSYELQMIGGPGLQVGEEEEHTDYVIPLYEVNLRSHDACRAAMLKLLSSVPVAGPVSLLDPAAGEWYEPVLDAAADGIVSQLQPVTSGEGYAFDVSLCIEESFKYIQPEALLLACEQAEVPTSQPPVVERCAVCMECLPLPSPTATTEEALLSLPSCGHTFHRRCIASWFQKGSTCPLCRRDMMYCLLDAEKRFGLEREDISSKKRNRDMAS >cds.KYUSt_chr2.16863 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106163197:106165689:-1 gene:KYUSg_chr2.16863 transcript:KYUSt_chr2.16863 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSRIARGSQLSQSLSRIVSEGGAAPTPAASALRNAAALGPRAPRTTSSSFHSLASAALADKSGAAAHLHHHHHHPSRRISTTPAKLLPASASASAEPSDATDAAAALPDLGPTKPGEKPRVVVLGTGWASCRFLKDVDTALYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQPALATRPGSYFFLATCTGVDARKREVYCTVADGEGLPGDGPYRFRVAYDKLVIASGAEPLTFGIKGVEENAIFLREVNQAQQIRRKLLTNLMLSENPGLPEEEKKRLLHCVVVGGGPTGVEFSGELSDFIMRDVRDRYSHVKDYVKVTLIEANEILSSFDVGLQEYATRHLSKYGVKLVKGVVKEVLPKEIVLSDDTRVPYGLLVWSTGVGPSEFVKSLNLPKSPGGRIGIDEYLRVPSVEDVFALGDCAGFLESTGKPVLPALAQVAEREGKYLAQLLKKVAAQNAGKAHCLSKNADLGEPFVYKHIGSMASVGGYKALVDLREKKDARGFSMAGFVSWFVWRSAYLTRVVSWRNRFYVAVNWTTTFVFGRDNTRIG >cds.KYUSt_chr5.35361 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223470616:223484733:1 gene:KYUSg_chr5.35361 transcript:KYUSt_chr5.35361 gene_biotype:protein_coding transcript_biotype:protein_coding FFLKTHDFLQPLENPLAASVPERQHALPGGIGTFTVSQQQVPGPGARTTAAAAPVVVVKPEPPAFVLWGQPTAAQPISAHAGAGHHHQQWTLPFAGAGQAASAPRQHPPGRKRRAGGGRGFMESGSRSNGGDGFDDDDDGLAARREVSSSLQDLAVRVDGKGGSCSSSGMDQRPNTPKSKHSATEQRRRSKINDRFQILRDLLPHTDQKRDKATFLLEVIEYIRFLQEKEQKYEASFPEWNEENAKLLPWSNMYFRSSWKNAQSKGQIAGDALPDPSQFITNGSSPEFNITAKLDDNHTTVASAAASGAPDHAEIDHIASVSCRSADTPTNILNNATPQSQPQWADASGVDDCGVNSDMLNNQQLTIDEGTISVSSQYSQELLNTLTHALQSSGVDLSQANISVQINLGKRAVKRPTTAGQSSSFQRALKLRSQKSPLKNIRCDMSKSDCLNRLEEDYDNNEGAEIIGYEEPDLSGANMASSRPGRNVKVMDSTLSEYEGGLADILRAMLLEFGCDPQIQVKKYMYYDGTVLAKCRVGLRLPESLGMSVVMPAGEARTINTAYHIAIMRAITDIREHKKKELMGSEFTHIPHMQEEEDPMLNHYKYAKRKPIATAKYMDNSRNLISLLFQLNHHLTGAIDTMLEEFTEPKVETRGKEPMENVVHTPVYSAGDYISIDPLERETTPVTPGNYLGSSYGGYEGGEESGNNQRSETPIENSTGWRWGSDTGTHSTSVYYDGEMNEDATTQNQSYPSNEGVDGGYPTQVESDMNVENTYGGATGEYTRWVDYDALDDQFVNTDFSLGSSSDSDYQPTGRPYVPGSIRRTTRSTGWKPGMYRE >cds.KYUSt_chr1.36107 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220086928:220088549:-1 gene:KYUSg_chr1.36107 transcript:KYUSt_chr1.36107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os05g0530400)] MDGSQSQGGGAPPPFLIKTYEMVEDRSTNRVVSWGAGGASFVVWNPPDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKVDPERWEFANEEFIRGHMHLLKNIHRRKPVHSHSPQNQVNGQLADAERREFEDEITRLKQEKGVLLADLQRQAQQQCEITWVMQSLEERLAAMEQRQLNIVASVRDILQRQRGDVSGSALLETDHFSNCKKRRVPKIDFFAKEPMVEEQQVPYLQTTVDETPSMFAVRPVNAEPFEKMELALASLESLIQRAGDYASSQDMYGSVASPALAVGDLQTASMATSVDLQPPATLDPSSPPGLLESPGYVQSPMLQLADIHQDTSKTMTEVDTNSEVSTTDTSQDEIMTAETGVSQEPAVVNDLFWGRFLTETPEFYRTRFESYDADCKTETAEPKDDETIGINCNWFNRRVHVEQITEQMEHLASEEKT >cds.KYUSt_chr6.1117 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7077288:7081823:1 gene:KYUSg_chr6.1117 transcript:KYUSt_chr6.1117 gene_biotype:protein_coding transcript_biotype:protein_coding MNNERSKQARGTQLPPRSRLLRISSSPAQARRRPAEAPSHLLFSPTGGAHQRSSRPLPPSDQSGEPGAPALPLGLQTMASGGTSIRRYVGAIKDSTTVGIAKVNSDYKRMDIAIVRATNHAESPAKEKYIRDIFGHLSAGRARADVAYCIRALARRLSKTRNWAVALKTLIVIHRALREVDPSFRDELISYGRSSGHMLHMSYFKDDSSSEAWDHSAWVRNYALFLEERLESYRVLKYDVEVDPLGANVYRTRDLDTTGLLEQLPALEQLLFRLLGCQPHGSSSYNTIIQHALSMVALESVRIHTAINDGILNLVDKFFEMQRDDAIRALDIFKRAINQAVLAIEYRKKPEDEEASTSPPPPVSTSVKEPEPEPVKEGAPRPEPVKEVAPRQEPVDLLGMDEPIPEISDLDQKNALALAIVQPDIAPKASSENVTTSWELALVTAPSSNNSATTSSKMSGGLDLLTLDSLYNDAHRQAQQNASYDPWQQQPTPMLSAPMTMMQQQQPMHSPFYASAQQQQAYMQQQQQAFMLQQQHKYQQQYQQMMMMAGPSVHHQASSNPFAGSYMPAASNGTGMM >cds.KYUSt_chr2.1806 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10721943:10726660:1 gene:KYUSg_chr2.1806 transcript:KYUSt_chr2.1806 gene_biotype:protein_coding transcript_biotype:protein_coding AKPLAGYRYIQAIAVVLLLCALASAFIVFFKGQPSAVVAALAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQKALVLLGSMAALSLMTIVSVVIGRIFQSVPAQFQTTLPIGEYAAVALLAFFGFKSIKDAWALPDNTNGNLEENSESGELAEAEELVKEKVSQKLTSPLAIIWKSFSLVFFAEWGDRSMLATIALGAAQSPLGVASGAIAGHLIATLFAIIGGAFLANYLSEKLVGLLGGVLFLLFAAATLFGVF >cds.KYUSt_chr6.14066 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87919039:87919581:-1 gene:KYUSg_chr6.14066 transcript:KYUSt_chr6.14066 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPPPLSALLRRLSSHPTHSSAFHATLLKSSSLSSPIPATALLTAYAKAGLPGAASRLFDEMPARDAVAWNALIACQVRHGRPAAAAEAFRGMAAAGFAPTAATLCTMLKACTSSRAVRPGRQVHALTVLACHGDVIMKTALVDLYMSCGCVEEAERLFIHMERPKRDNDWNEITCID >cds.KYUSt_chr5.30172 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191351951:191356720:1 gene:KYUSg_chr5.30172 transcript:KYUSt_chr5.30172 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPQAQQGQAQSQGGGGGTGGVCPAEQFWSLLDKADRRFARVRDLPLYGRREPEEYGKAFRIYTQLWRMQQEHRQRLLEAGLRRWQVGEIAARIAHLYYSQYQRASDTALLSEAFVFYHAVLDRAYFLDEHLGAGASTKHLRFLARFLLVALILARRAHTVPLLASRIRALLDESKKTLQAKSLSPSPPSEWKHTVQEITRFLKADSPFMNMRPLRYSYAFDPPPDNLPTTPPTVKKRGLLLGDAILCSYYHNEVKFTDLTLDTFRMLQCLEWEPCGSFALNNGYSAHDEGGQNHPNLLKDLRDAALPPNPLKTVLHRPSVPHFLTVLATKCEELPLNGMMLIYLSAAGEMGASGLGPDASERVVSSFSKFDVSNTRPVNPKEDNEPSLWLGCREGEGSNCIYPCDLIPFTRRPLFLVIDSSVSYAFKSIHGAEKGETAAMLLSRSSRSCAVGFGADSTRQSGSQFTMFLTAPVQAFCFLIGKNGLDIDKETYNKAEELLSLSLNDWATTLVASSSLDPVWIEVLGDPLLRRLLLRFIFCRATLSLLKGSNNKAECLPSCLPPLPESVSGESMLSQCCVMRVASLLGAADQFSFAEVTTWSDVDEPIGSGGADR >cds.KYUSt_chr1.20353 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119928371:119934388:1 gene:KYUSg_chr1.20353 transcript:KYUSt_chr1.20353 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEAAEVVEEEEEPKGVEEEEEWKGEEDEDEDEDEEEEWEQEDEQDEEEEEQEEAPAEEPVQGVGSPAKLADGYYEIETIRRRRLRKGQKQYLVKWRGWPESANTWEPAENLTACSDFVDAFEKRQQPRYHGKRKRKVTTNPVRSPNTSHGKRGRPRRSDPRFLSHIPAPERKTLPLRTSSRRATNNTSKNSIVGFDASVNVVAQPILAQSVTREGSSSVPPDGFPCQRAPISVVVQQQDELQPGNGLSTVENPVHAPPSQGVQVTGAKKRKLGSVRRFKQDEVQQDQGELQTGRSGKPGAEDVDSTEGETGDRTKGQGCGNQLHIIKIIKPVRYFATMTDDVQQVAITFKALRSDGEEIFVDDKELKNNNPLVLINYYEQHLRYNPTS >cds.KYUSt_chr4.45753 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283133979:283137024:-1 gene:KYUSg_chr4.45753 transcript:KYUSt_chr4.45753 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRATTQVKCRVLPFRVKTQGYDSSCTSTTYPIEGGLELPDELLKVVDLLIWGLIGKYEELVIEGKELVSDGGNQAARATAARRPPPMPSPPLPKLVCLPLLVALALAATLLYAAGLHATLARVRPAPNPATMRDGQTTLALRLAKQLAPASGDNTATTKGNVAFSPVSIHAALSLVAAGARGATLQQLLAFLGAPSAAALADSGRRVVHRVLADRAASGRPRVLFGGGVWADASLGALKTAFQDVAVQSYRSEVRTVSFADEPEEVANAINGWVKKATNNLIDSIISAKDISAGVDLVLANAVYFKAKWQIPFRPSATRPGSFHRLDGSSVDAQFMSRTMYAAQYASCSDGFKVLQLPYEHRRRDFGMRRGRGDGDAAGVAAPDAEDDTRYSMYLFLPDERQGIAGMVDAVAAGPDYLYNVLKTTAANTVTVTLPKFAISFERDIVDDLRLVGLSLPFSSESADLRGIFEKERPTFLGKILHKAVVKVNEQGTEAAAVTMGMLAGAGMQKPVEFLADHPFSFFIMEERSGVIVFAGHVLDPTN >cds.KYUSt_chr2.54294 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338730153:338733266:1 gene:KYUSg_chr2.54294 transcript:KYUSt_chr2.54294 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQSDPFLSELTNMYERSTEKGSVWVTMKRSSMKCEARLKKMANKGEEVEYRCLVRATDGKKNISTALSAKDYLKFQASYALVLKSHMHALKKRERKEKKRVVEPEKIPEKEPKKPKKSSKKSAVSK >cds.KYUSt_chr2.32328 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199283152:199288678:-1 gene:KYUSg_chr2.32328 transcript:KYUSt_chr2.32328 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMNTRSRSHAVAAQAALPVEDSPALLGIKFEDQRHRNRFNRLKDRKIKSTKWTCPHILNQLGLRDDFNTLCNNVGSLEFCFQEAATYLHLTLEFPSTLKYTVKHYYNIEDNQPGVDRISFHLMNRECDLTLYEWCNHFGFENSATANRYACITLNPSPSISRDSMHKRRATGGKMKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKNAIVQVDAAPFKGWYLTHYGVDIGRKKKTTAAAKKDAAEGQEGEVAAAATEEAKKSNNVQRKLEKRQQGRTLDAHIEEQFSGGRLLACISSRPGQCGRADGYILEGKELEFYMRKLQKKKGKGASA >cds.KYUSt_chr4.14006 pep primary_assembly:MPB_Lper_Kyuss_1697:4:86209529:86209996:-1 gene:KYUSg_chr4.14006 transcript:KYUSt_chr4.14006 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRGPDILVRVLIQRCCNRSPPSLHLASDAAALGVQRAAAMGAGAAATPERLCYKRWSHQLQAVHHGLSAAASRGPLQGGRPETAVLQAADAVAASGWGPCYKGLPKLLQGSGGGHGGYQRRTALLQTATGVATRGDRSCFKGLEASVVAASG >cds.KYUSt_chr4.39626 pep primary_assembly:MPB_Lper_Kyuss_1697:4:244697699:244701063:1 gene:KYUSg_chr4.39626 transcript:KYUSt_chr4.39626 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCADWLKHRGPDWSGLYQCEGNFLAQQRLAVVSPLSGDQPLYNQDKTVVVVANGEIYNHKKIRKQFAAKHTFTTGSDCEVIIPLYEEYGENFVNMLDGVFAFVLYDTRNNTYMAARDAIGVNPLYIGWGSDGAVWIASEMKALHDDCPKFELFPPGHLYSSAGGGFRRWYNPGWFAELVPATPYQPLVLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVTKRHLIETEAAKKFGTELHSFVVGLENSPDLKAAREVADFLGTIHHEFHFTVQDGIDAIEEVIYHNETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFIEVAMSMDPEWKLYDPDQDRIEKWVMRKAFDDEEEPYLPKHILYRQKEQFSDGVGYSWIDGLKAFTEQQVTDGMMKNAVEVFPYNTPINKEAYYYRMIFERLYPQESARETVPWGPSIACSTPAAIEWVAQWKASNDPSGRLIASHNSATPAHAGAGAHANGNGKVQNGNGKVQNGNGHVNGNGKVTANGKANGTLE >cds.KYUSt_chr2.19616 pep primary_assembly:MPB_Lper_Kyuss_1697:2:123394622:123395092:1 gene:KYUSg_chr2.19616 transcript:KYUSt_chr2.19616 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQEEAERMAAQEQNEKLYDKGRVDAMNGLLSAPASSLPNPCRGVAVGTTTKKGEHGEDGEVELEEDAQLQRRRESMNKW >cds.KYUSt_chr7.6637 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40074288:40077212:1 gene:KYUSg_chr7.6637 transcript:KYUSt_chr7.6637 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVRSRKRKGSSSELDVARSIELLILEASLLGVYTGQLVIILWASFRAPFQKLKDAPANDVDCATEEQSWSTYVSAVNNLCRMLVYHPKQPQRSSAMLLGTPCSCIRLLCEFRKRKGSSSELDVARSIELLILEASLLGVYTGQLVIILWASFRAPFQKLKDAPANDVDCATEEQSWSTYVSAVNNLCRMLVYHPKQPQRSSAMLLGTPCSCIRLLCEFRKRKGSSSELDVARSIELLILEASLLGVYTGQLVIILWASFRAPFQKLKDACLPSKTAAKEFCYAARDALLMYKAIVRVQLLGTWRCPWDGEAMDKQDQGGGDGVTRRPSPLAGTVLLVGGGRRSAAADGLLVGAAMKKRARWRWRSRDARKKRGGAELPGELLEVPEQGASSRRGRRGGKSRSEEEK >cds.KYUSt_chr3.31434 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197430667:197434582:1 gene:KYUSg_chr3.31434 transcript:KYUSt_chr3.31434 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPCLVLLLLTASFAASQAGIHCGSKEAASPAASSPSPSPATNGTMFADNLRTLLFALPDAAAPTGFASLSNGTGRNRVFVRGICRGDSSESQCLADLRSGTIDLIASCTAASRRATGFYDKCIVTYADINASTAGFSFEEDELSEILYDGRRVADPDNYEKTYYALMKRLVARAASGNGSASARTSMFATGEAEYNRSIPNGTMYGLVQCMRDLSASECGRCLQAAVPQLPTCCSGYQGGVVRSFNCHLRIQLYTYYDLALDAPPGAAAPSPPLSDEQRHRKRRSEHIILAVALAVGALLVLVVALVFVRQRRRRIEAHKEQSDNAADGLDCFSLQVLKAATSNFSIQNKLGEGGFGEVFKGELQGGKEIAVKRLSENSAQGFNELKNELVLANRLRHRNLVQLLGVCFQEKLLVYEYMPQGSLDSILFDPEKAHQLDWTRRTTIISGIARGLLYLHEESPLKIIHRDLKPSNVLLDLDMNPKISDFGLSRAFGGDQSIDITKRPVGTLGYMSPEYAYCGQVSAKSDMYSFGVIVLEIITGRRNNRSLEDAASRSLLSYVWEMWSTGSVEELVDPSLGGRYPESEALYCVQIGLLCVQENPSARPDASEVVLMLNTHSTSTALPAPSRPAFCFSQPGVVALAGGNPTSSYPSTLDGTTSSGQLPTPGFSENDVTISELQPR >cds.KYUSt_chr3.36933 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232306940:232311862:-1 gene:KYUSg_chr3.36933 transcript:KYUSt_chr3.36933 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRTRVGWLRTRLPCHSARAQFRGHALDDLFFFNDEEEDDIDWEPSACLLENRWFCLNCTVPNLDEVTHCQIENKPNPHPERPDRLRGIAASLAAADTYTNGHSACAAKLAAGLCADLASLILSGLVRNGFALMGVLAGEGFSVNIPWSCGGVGDNDYIFAFEHVVLPIALFVIAPIAFFIDALVRTAYSYFGVFFPVWVQLQNLPQTSPLYLQDLMEQGVILWVVEM >cds.KYUSt_chr3.40148 pep primary_assembly:MPB_Lper_Kyuss_1697:3:252987742:252989649:-1 gene:KYUSg_chr3.40148 transcript:KYUSt_chr3.40148 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAGLIRLLLVAVPILQAAAGSGNSTAACPLDLGYVRTFPWDRAPCAPPVTNVTTCCTTLLSVLGIGIAARLRATGRFRLPSATTSAACIRAFSDALATPPLSLPPTLAPTCFPVPSQFAISPSFCAGVTTASQYVAAVGDATVGNLNSSCGSDLAAMSLCSSCLAAGIRASARLTAAAGNSSDSLNCFYLTVIYAAGISNTAGPASPATAACAFGLALSTPSSKSFPTPSSSVTHTNIVVATVVPIASVLLIALLVALLVWTKRHDGAIKTGRGLHVERRPSRQRPNTGSVLFEIGELAKATGGFAERNIIGRGGFGVVYRGVLTDGSVVAVKKMLDPDVDGGDDEFANEVEIISHFRHRNLVPLRGCCITEAEDADDHGSKQMLLVYDYMPNGSLDRYIFDGAAMAWARRRSVIMDVARGLEYMHYGVKPGIYHRDIKATNILLDEDMRARLADFGLARRSREGQSHLTTRVAGTHGYLSPEYALYGQLTEKSDVYSFGVVVLEVMSGRRALDLADPSGMVLVTDWAWALVRAGRAREVLAEALLREREGMSVVDMERFVLVGILCAHVTVACRPTMPEALRMLEGDLDVPELPDRPQPYGQRIPFDEAEGNFSASSVLNGPFVDFGDMLR >cds.KYUSt_contig_2197.81 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:479483:484922:1 gene:KYUSg_contig_2197.81 transcript:KYUSt_contig_2197.81 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGGDGGDDDEDDDDGDGDDVQLDDGDDGVDFPLREGISPTDLSLPESSFLSGVLSPAEAAVTLRDVPLELRETGKPGRKKKMAAAAVSSSSPCCSTTPLPSSSSRPLFLLLLPRPSSLRLPIRSRRRPIRSTSTSYNGWADLPAADPDPAFFRIAAGPNAPLLLLLLPAVAALSLSRLPPIPLLAATFAAGFATARHLSPAPAPSHHHRLAALLADLDARLLSFKDRLLSSTPDDDEGIALQSLDRARDAVLECAAAVYGGTNANGAAPEGDALRDVAREVAGYFGTWAKDALRELSFSSPRKKPVVPKVVAPAAATAVTDSKVDPDAAGVAHAQQGDGDKHTGSIRAGAKRTGIGEDKQLGSAVVGTARPLGMLPFDGQDADDRADDAGFSSESGQGDDRLERLVFKHRHGRGARNDDPFAFEDGGGFATESTESSLLERTLEIRDRSYRLKIERRNGGESRASGAQKKPADEFTASADEPALAEDGAISSDAEEFSRNVKEAAEILRKARECMMARDDEEAADALLYRSASLLSTAVALRPTSLAAVGQLGNTYLLHGELKLKISRELRTLLANSGAYLSGRERVSRSRKLDRRILNRDNISSALVDVCEECESLLVEAGRSYRMAVSIDSGDVKALYNWGLALTFRGQLLADIGPEAAVDADRVYLAAIDKFDAMLSKSNTYAPEALYRWGSTLQQRSQLRSRNNKEKIRLLEQAKSLFEDVLYVEGNNKMVREALSSCISELNYHGRWLQ >cds.KYUSt_chr3.24523 pep primary_assembly:MPB_Lper_Kyuss_1697:3:152114872:152117367:1 gene:KYUSg_chr3.24523 transcript:KYUSt_chr3.24523 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGLSLIPPWSFLYALALGALASLSLWCAWRVLAGAWLGPQRTARALRSQGLRGTTYRFPSGDMKEYVRLIVAACSEPMPLSSHAVTARVLPFDHGVVREHGKVAVTWFGPEPRVVVTDPKLFREILANKHGQFGKQKSILRIERLLANGLTTHQGEKWVTHRRIINHAFHLEKLKRMLPAFAACSGELVGRWENSVGSSDAQEIDVWPEFQNLTGDAISRVAFGSSFGEGRKIFQLQSEQAQNAVKMANVMYIPGYRFLPTKLNRRMKANAREVEMLLKGIITKRERVMRDDHADNDDLLGVMMESNIKETQEAGSSKPTMTTDDIVGELKLFYFAGMETTAVLLTWAMVLLSMHPEWQDRARQEVLRVFGKNQPDSEGINRLKVVTMILHEVLRLYPPILQLSREAYEETELGGITYPPGVTFALPIVSVHHDPDVWGEDVDEFKPERLAEGVAAASKDSPAFFPFGWGPRICVGQNFALLEAKIGLSMILQHFLFELSPSYTHAPCPVSTLQPQHGAQIKFTKL >cds.KYUSt_chr4.6345 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37258773:37259533:1 gene:KYUSg_chr4.6345 transcript:KYUSt_chr4.6345 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKCFGTVAPLLLLTLAVAAHAVVAARTAPAGGAAEASLPAAAAAESGAGAGGAGAADAKNLFVGVGGMGDLPGLPAVGGGYGGGFSNNGAGVFTGVTGPLGGVGGGVGSVGPVGGVGGAGGIPFGGFAGGGSPFGGVGGGVRVYVAGQPWAELQPGMPGQLPGLPFVRQARKPHVIMR >cds.KYUSt_chr6.18597 pep primary_assembly:MPB_Lper_Kyuss_1697:6:117049966:117053952:1 gene:KYUSg_chr6.18597 transcript:KYUSt_chr6.18597 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDESKLEQRLQLLALRIPRELASAVTRLLRSGCLLDMPRVKPVVEDPESDKHRLVVLSEKVQNPDLSDIPEQVRDSLKQLCSVDVVPYALTLGYSYWSAGCPREGHGYGGIPASSARRSTGAGGFSSGALLLTVGKKLQERNTRK >cds.KYUSt_chr7.13312 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82012495:82015448:-1 gene:KYUSg_chr7.13312 transcript:KYUSt_chr7.13312 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVAMTAKTKNCRLATENKNTWAIPELRFPWDSQEDRSCSLSLHDGLLSPAHGGLFASVSLKVSTAAPAVAAAGPAEQEFKIPFADHCMKYVSSAVGFPNVAEPVGEDVVDGKARKKAGKRRLKLKIKIGNPHLRRLVSGAIAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQSIMKTEGWTGLFRGNFVNVIRVAPSKAIELFAFDTAKKFLTPKADESPRTPFPPSLVAGALAGVSSTLCTYPLELIKTRLTIEKDVYNNFLHCLVKIVQEEGPAELYRGLTPSLIGVVPYAATNYYAYDTLRKLYRKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQAGAVGGRQIYKNVFHALYCIMEKDGVGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEAEE >cds.KYUSt_chr5.28599 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181113429:181115657:1 gene:KYUSg_chr5.28599 transcript:KYUSt_chr5.28599 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWKKKLGHTLSRLVSPKPPFNLAKPRPDFAPPPPPPPPPPPHSSYPIPPPPPLAMGHGGRPAPPSPGGHVFPQAASTVLPDPARFFAPGLLSAPLPTNCFFQNFTLKNGDQPEYIHPYSVKSAAAALTVCYPTRNHSPTFDIQTFAADLTVSSPSDASAASQPHKVVAFDDLSVTLDFSPSLRAFLVRGCPFVTVATADAAGPVDISVASVHAFLEAAPCDDARTKWRLRMNSGQTFLLYASAPILLSQASVTQLAAPAFAGVIRIAYLPDAAMEPVLDQYSPCYPTAGDAALNRPFCIDYAWRKQGAGDLLMLAHPLHLRLLSQDCSGAVQVLDGFKYRSIDGDLVGVVGDAWALKTAPLSTTWHSTRGVSDDGVGEVVAALRKDVDSLATSPITTTSSYFYGKAIARAARLALIAEEVGCPDVIPAVHKFLKANITPWLDGSFQGNGFLYDSKWGGLVTKQGLQDSGADFGFGIYNDHHYHLGYFLYAIAVLAKIDPSWGRKYMSQAYSMVADFMTLSRKCGASYTRLRTFDLWKLHSWAGGLTEFGDGRNQESTSEAVNGYYSAALLGLSYGDAHLVSVGATLTAFEMLAAQTWWHVREGEGIYEDDFSGNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPLLPISEALFPDTGFVKDLVTWTTPALARDGVGEGWKGFVYALEGIYDKESALAKTRALSSHDDGNTLTNLLWWLHSRGDSSGRCCWYRQYGH >cds.KYUSt_chr5.31957 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202649700:202651772:-1 gene:KYUSg_chr5.31957 transcript:KYUSt_chr5.31957 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVDRLMVPEPIEGTGAPKSSSSRDATALLPSAAHSFFGVGDSMVPEEEPLLQMMECRICQEEDEIKNLESPCACTGSVKYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPPRPHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHIMEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAMFSLFLLRAAGFLLPFYIMAWAISILQRRRHRQEAAALAATEVAFILQSGQGRGVHFTIAPDSPATPQHEPQP >cds.KYUSt_chr2.39877 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247548198:247554246:-1 gene:KYUSg_chr2.39877 transcript:KYUSt_chr2.39877 gene_biotype:protein_coding transcript_biotype:protein_coding MVENSSIPLLPNGPTNKHDNGGQGLAIVRSLIQQLGPPSLKVEIVRPRMEVDGRNANPTFHHPPKALGVAAMGEKVSVGVCIDNGSDDGLVVDFKGKPVDKSRAGGWLGAGLILGTELAERVCVVGISMNLVTYLTGEMHLSSAKSANIVTNFMGTLNLLALLGGFLADAKLGRYITIAISATITAVGTSLLAASTAVPPRCIMAAAGCVAATGGKPAMLYSALYTIAAGAGGLKANVSGFGSDQFDARDPREERAMTFFFNRFYFSISLGSLFAVTVLVYVQDNVGRGWGYGVPAAVMVAAVVVLAAGTPRYRYRRPQGSPLTVIGRVLWAARRNRRLPCPADASELHGFHEAKVPHTDMLRCLDKAAIVEADLAMAAASPGLTVTDVEEVKMVVQLLPIWSTCIFFWTIYSQMATFSIQQAEQMDRRVGGGGFVVPAGSLSVFLVLSVLLFTSLNERLLVPLAGRLTRHPHGLTSLQRVAAGLVLATLAMAVSALVEKKRRDASTGGGTVSAFWLVLQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLSTLAMGFFLSSFLVLAVDAATRGAWIRGRLDDGRLDLFYLMLALLGVANFVVFLVFASRHQYKRTMSDAGDAAGTV >cds.KYUSt_chr4.16535 pep primary_assembly:MPB_Lper_Kyuss_1697:4:102757951:102758676:1 gene:KYUSg_chr4.16535 transcript:KYUSt_chr4.16535 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSVRGCGPASASSVSFSPRRVTTSSFLPPLTRRASSSSARARALVADGPPRALQPVRREQREPGLLESVFGESDDEEAEEVGEERVEGWMRESIAEIVRHVGEAPFLVHLFRDNDRDGGGVTVQREPASAETWPDVRRRWGKGGAQRRPDGIILVEQVAAAAVEDGAEAARQVWGLVVQARGMECAACYVLDTCRVRSPAGFCTHFCLARAQCFGEPLELQLRNAWLNRLSGHRR >cds.KYUSt_chr4.7493 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44629715:44630534:-1 gene:KYUSg_chr4.7493 transcript:KYUSt_chr4.7493 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRWETLKKDYTVWKGLIQHASGLGRDPITHTIDASDDWWTHEIQMCPEAAKFRIAPLQDEEDMRIIFDKNAVTNVTARVPPSSEDRASQSRINIDEVEGSGCEGEDETLVTPSRARGTKNKRCPYSPSPAATPRLRTGSGSVSRLDRVIDLIEKKAKAKEDEKSRNSVTSPGPGIDPVREEIRRMRALIVQDGAKPGSDDYFYATQIFLTKEYRDVFTCLEEEVEPTVRLDWIRRTWAQKNKA >cds.KYUSt_chr4.45936 pep primary_assembly:MPB_Lper_Kyuss_1697:4:284108103:284108969:1 gene:KYUSg_chr4.45936 transcript:KYUSt_chr4.45936 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPVVVAGGPPGPPRLVLSVVDGQLVAAERARVADGEPGQDSGAVVEVRARQPPGRLPGLDAVLAHRALRRAAGLGDRHRREALHGGPRRRPPALGWGIVLRLRGELPEQRVLVVVEEVRVDAGAVVVVEQEAAEAVGGEEHLPLEAAHRGGLELAVPLPAATCSHSSASLSPRPSHCWGCASSCSSSCRQSQQSGRPTSSGATNTTAPSSSSSWKSRPRNAAAGSCCAAVPHLDWRQHLLTPEARFWPLPLELPSTEHAKEQLQRRLMFFWAPRRGEVVKVEQVRG >cds.KYUSt_chr7.11869 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72876327:72878028:1 gene:KYUSg_chr7.11869 transcript:KYUSt_chr7.11869 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAGLYGSLIVDATPEQDEPYRKDYDGGELNLLLSDWYHENVYAQAAGLERKDKHFEWIGEPQTILINGRGQYECMLGKVTRYHRGIDRYAKTCVRGKEAKLCRDEERCLRRSECGPYCPESQCAPVVFDVEPGKTYRLRIASTTTLSALNLQVQGHELTVVEADGNLVEPFKVTDIDIYSGESYSVLLTTSQKPTFYRPGAFWISVGVRGRHPKTLPATAVLRYTNSKFDWPGSAPPETPAWDDIDHSKSFTYRIKALRNNAITKTRPPRSSALNRTIVMLNTQTMVGGHVRWAINNVSLALPATPYLGAYHYGLQGSAFDAAGEAPDGVPEGYNVSRPPGENEGYAARLSDRVYELPHGAMVDVVLQNADMMRERASETHPWHLHGHDFWVLGYGEGRYDAGRDLGKLNMQDPPLRNTVVVFQHGWTALRFVADNTGAWAFHCHIEPHLHMGMGAVFVEGVEKMRELDVPREAMMCGVISTSAAVMTPATPRAPAPAPAP >cds.KYUSt_contig_2703.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000456.1:61220:61588:1 gene:KYUSg_contig_2703.6 transcript:KYUSt_contig_2703.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLESYWLGKAGFVDAVQSKVREHGQRFRRKTLMSTKIPSGSSPGSAARETALTWWLHSRTQAAERTPGGSGKERFPRRIFSMLTGYLTEFADTSSLLSVLAWKTGRNKGRTAAGGADEEED >cds.KYUSt_contig_319.434 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2813500:2815362:1 gene:KYUSg_contig_319.434 transcript:KYUSt_contig_319.434 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSATATPRRSSATAPRRRCSPPWLYGKSSSTTVMDSVTSTSSATPLRSFTMDMVLATEELHHVPSPVRALASLALAMHGRAPPYTAWACTAAPAPRPGHPVPGHARQRPPPLACSAPHRRPRPVPGRGALARARAGPRPSRPPLAASGLARAARPRPWPRLFLLLQVMATMVALSSFTGAAVVGRSAARSFLAPRRRALVVRAQTKPEMDPSKETASTSTSSPTPISSPTPTPTPAAPKPKANTSVWDALAFSGPAPERINGRLAMVGFVAALSVEAARGGGLLDQAGSGAGLSWFLTTAALFSVASMIPLLQGQTVESKSSGIWSADAELWNGRFAMLGLVALAATEFITGAPFVNV >cds.KYUSt_chr1.8500 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52221230:52223184:1 gene:KYUSg_chr1.8500 transcript:KYUSt_chr1.8500 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVRKEMNRRMFQNTALQSAAVAALVKEDIAQRASAAGAGDRRRGFPMAEDSGAILRHISSLKDMLDKVNEEIEQNIQKTREIESEIVKHSETEKDYLVKESELMKGVSVAEFELDGLMQVAGN >cds.KYUSt_chr7.19634 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121681916:121682367:-1 gene:KYUSg_chr7.19634 transcript:KYUSt_chr7.19634 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSFVPCGCRAGPIDDAPPADQLQQQQAAATRDGGAAARRRRRRARSLGGSPQWRPALGDIYEGVAVDAGKGAPGGARVVPARPGARVLPRAHSREYRHIETASSMPAFAPTAFLF >cds.KYUSt_chr5.16248 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104632752:104634591:-1 gene:KYUSg_chr5.16248 transcript:KYUSt_chr5.16248 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSNPAPSVQSSTPPPPCFVPSSKLPAGGALESTATTTQPLVPAVVLAEAALTRRRSDPAVGGQMRRRARQFCKRPLDSKAAFLAPSLPPSGLAPLAGATCGLLPMSPTMCSQPRPAASTSTLILPEEVPAPPPCPPRPYHRNRTVTVAVTPTGPRSSDGLLYDPAVLVEGLGSLSLPPVASGGHAEVPLLEEDTLPATSLLWVASLGFDDDDDDNGELAPKSLLTSTTGTVSSEVRGSADLCHVEGAPTEPCGGLSVAPAALGQGEGWSPQRVVLAASEGEGIDGTLTPVSTVSSLLSQALGFELCEDPSPPLPVVHLHVDSLVTSKVASAPPSVEASRCGDKVIEVGALAPNSDALFASELCDLLARLEAASPGSSKEIARLLEEKSSRGKIQKVKDYLRSRSKNSGATRKEIAVS >cds.KYUSt_chr1.41775 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256136660:256138587:1 gene:KYUSg_chr1.41775 transcript:KYUSt_chr1.41775 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVTTPSRPLSAGSQRRRYRPTVLAIAGAGKPRRRRAPAAATSCSALASKQSTAAAKLPPPPAPSEEAEGISTDYNEVAAALETLYQLSPTLVEDEQLDQSKKATKKKRKVRKKAAAKTTIPVRKVVVRSQRPRRMDLGKRVEMREAAGKEEVGAERGFEEALLREHAVSTDMGSLDWRRMKIPPVLTSAQSARLFKIVQPMKAILKVHENLQNELEREPTDVEVAEAMNMPVQRLRRCREVGRAARNKLIKHNLRLVLYAINKYYPDMTGDERFADLCQAGANGLITAIDRFDPKRGFRISTYALFWIRHSVVRAMTLSSFTRFPFAMESERQEVNRAKEELSFELGRAPTDEETMKKVGLSPARYRDVVRMTRPTYSLHSRNRVTQEELINEVTDGDAIGVDTHKHNRLLRLAIDDLLDSLKPKESVVIRQRFGLDGRGRRTLSEIAGNLRISREMVRKYELKALMKLKHPTRVDYLRRYM >cds.KYUSt_chr4.21011 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132379900:132381019:-1 gene:KYUSg_chr4.21011 transcript:KYUSt_chr4.21011 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRATLLALLGCLCFFSSVLAARELNDDLSMVARHEDWMAKYDRVYKDAGEKAQRFEVFKTNARFIESFNTRGHKFWLSINQFADITNDEFRETKTNKGFIPNKVKVPTEFRYENVSLDTLPAMVDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKLSTGDLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTKESSYPYSAADGKCKSGSSSAATIKSYEDVPSNDEGALMKAVASQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTTSDGTKYWLLKNSWGTTWGENGFLRMEKDIADKKGMCGLAMEPSYPTA >cds.KYUSt_chr2.626 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3821911:3832590:1 gene:KYUSg_chr2.626 transcript:KYUSt_chr2.626 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEQSARATATTSGLGKHDNGVHDNPEDLGSTSNGISSLEQPLLRRNTTLTANHLAIVGAKVSHIESLDYEIIENDLFKHDWRSRSNVEVLQYIFLKWALAFLVGLLTGVIASLINLAIENISGIKMIHMVQLVRDKRYWTGFFYFSGFNLALTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSICAVSSGLDLGKEGPLVHIGACLANLLSQGGAGRFRLRWKWLHYFNNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSTLLWRTFFSTATVVVGGLIIFDVGDVTVNYHLNDLIIVTLVGVIGGLLGALYNYLLHKVLRLYSLINGKGRMAKLALALTVCVFTSAGLYVLPFAVPCTPCDPALGAACPTNGMSGNFKQFNCPAGHYNDLASLLQATNMDATRNHSCQVSGTVEHNVLNLGVALTFRGRVSATESYRTAACSRIRIWDDESGSRDGLPFPVSGYYARNIFSTGTSGEFRLDSLLVFFAIYCVLGLFTFGIAVPSGLFLPIILMGSAYGRIVALVLQDVAHIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPMTMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPNPEPWMKDITVGELAAAKPRAISLQVVERVSTIVHVLRNTGHNGFPVVDRPRPGLSELHGLMLRSHLVAVLNKRWFLTEKRKTEEWEARERFSAVELADKSCKLDDAKLTQEEMDMYVDLHPFTNTTPYTVVETMSVAKAVVLFRSVALRHMLIVPKYQGPELKIFAYLADIDRLSTRANLFFKNCAPSAICAACPTEETGRHIFFDCTLASGVWARLGVDIPADRFSFWDLAQPRDFPVDVWRVGMAVLLWSLWKARNDLVFNNRNCTAQLVIRRAYGETEEESDDDRFSCDDFTSPEEEEEEKEEEEEEDDTSSDEPPAKRFCPWPGNLSDFDKPGDDDADEEDEDNEGPAGGRWSSDDEPAGSSADSGDDGDDEGSDGP >cds.KYUSt_chr7.19075 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118189019:118191924:1 gene:KYUSg_chr7.19075 transcript:KYUSt_chr7.19075 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYDRIPPIQQTPGNRSTVTFAGGAFRNSTFRPDHEGQASSRMNSVEMQPGYKKLEKADGSANSLDDDRTEEQKKIDDWLPVTSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLVISWVITLYTLWQMVEMHECVPGKRFDRYHELGQHAFGEKVGLWIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDVICDSKCKNIKTTYFIMIFSSVHFVLSQLPNLDSISGISLAAAVMSLSYSTIAWGAAVDKGRVTDVDYSVRATTTPGKVFDFFAGLGDVAFAFAGHNVVLEIQATIPSTPENPSKKPMWKGVIVAYIVVALCYFPVALIGYWAFGRIVDDNILITLSKPRWLIAMANMMVVIHVIGSYQIYAMPVFDMIETVLVKKLRFSPGLTLRLIARSTYVAFTMFIGITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLTIYKPKKFSLSWCINWVCIILGVCLMVLAPIGALRQIILKASTYEFYS >cds.KYUSt_chr1.1860 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10714758:10716374:-1 gene:KYUSg_chr1.1860 transcript:KYUSt_chr1.1860 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIYEIFNKLLEQQQQVKVFPVKYALESNPVRLSGPGNYVSWRRHAQLILSSHGYEYLLADNEENLKSSDTSARQINDRILVWLLGSMEPIVRQQVEIITTVFEPVDKNDLAIHHKWFESLVAKLFLDGLNQEFDLRRQLLFAQPMWPSLDDIISSVLEEETRLGHCKEDDLKGGDDNAALSMRPRYVARPFGKSDNSKLYCDHCRRKGHTEDACFELYGFPSWWNKGRQWSGGVQAASKRQANHVTSVQDSLVADSRDIEDFNSKGRLFEGASYSKGPYKAESTLLDTSSQGKDKVRVADGSMAPIVGRGSVRCTKTLSLSPVLHVPKFPVNLLSVSSLNKSRHCRSWFDPTCCAFQDLGTGRVLGTGTEHDGLYYLDNESDEVALTSCLSLGEELLLHHRRLGHLSFAALSRIYPTLFKSCPRELLISYISYFVQVMS >cds.KYUSt_chr7.6992 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42163830:42165280:-1 gene:KYUSg_chr7.6992 transcript:KYUSt_chr7.6992 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKAAYQRIMVDNLQPLVLVHLLAASLVALLRLGPDELSSLLRELQPVHLFFGGFLPAAVVIVYLMLRPRAVYLVDYACFRTPHTSRVPFATFLEHSKQSPTLNSRSYRFISRLLERSGLGEETCLPPAQHNIPTHKYCTLDGARAEFELVVFSAIDDLLAKTGIAPGAIGILVVNCSLFCPTPSLVDIIVRRYNLRRDIRSMNLAGMGCSAGLISVGLARNLLQVAPQGSHALVVSTETITPNYYVGNERAMLLPNCLFRVGGAAALLSTSPVNARFRLNHVVRTHTGAGDDSAYRCVFQEEDDEGNVGINLSKDLMLLFALSLVARKVFRARIKPYIPNFSKAFKHFCIHAGGRAVIDELQKSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYVEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIHPAPNADGPWATSIHRYPVLIPDVLKH >cds.KYUSt_contig_444.279 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000041.1:1856174:1857968:1 gene:KYUSg_contig_444.279 transcript:KYUSt_contig_444.279 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSSTADTGWSSTAGTGWSLTAATRRNSVTAFTPRANSSGPAPSNSKRMRMLEVRENKRSLKIDEQIVLSNAYLLEEIAGGKLHQIVSRRKKLPLEALDVWKYNRMRKNDIFSEPLVHGMCTDLHGTYMAEFPRMREHTEIDEARDGVHDQPDEEMLEQLRGMHGVLDLNFSPAQRTGMHGVVDLNLSPALPRGMNGVLDLNFSPTQMRGLDGVLDLNLNPSEQRVLDDVPDRRNEEMQEQKTVLDDVPDRPDEDMQEHKTVLDDVPDRPDEEMQEQQIGLDDVPDRPDEEMQE >cds.KYUSt_chr2.36975 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228729962:228736469:1 gene:KYUSg_chr2.36975 transcript:KYUSt_chr2.36975 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVCNAPKEECVPAQGGMVRTRIKEIEEAARGARDMQPPSLYVFVASPLEVSAIPSSSGYRTQTIFYEVPQVKHCDSMYELPQPRINTEEASSTMKAEPKVARLLEKAGFTLRRNNRIPPPPAVCEAWWRQSEDLIKKRHKARPKFGLGYIDLGGSDDEDEGPSYVPRATCHATSVSSGDDTETSRRGLHRRNYNDNDQTEVGELDSMMSTNASFPVDSVGPPRAEKILAGVLYSDLELTEKEIERMVMEASRAEFLNHQQVNFRESSMSAGAEPSSSAAISGSSGSAAVGDKGSENCFVLPDTVLTRSMQLLLAMGFSYIQVMEAYSIFGEDVDSMICYLVEMGGAGASAGGSNRRKGKAAE >cds.KYUSt_chr2.18918 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118977661:118977963:-1 gene:KYUSg_chr2.18918 transcript:KYUSt_chr2.18918 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTIAVKQLSESMECSATGEAFDHVERRPGIIPCGSGPDPADPVDAVEGSHRIRLLHTTDLAPARTPSSKRQPGRCIASHSAHRNTPIQIPSHPSPK >cds.KYUSt_chr7.21298 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131931598:131933499:1 gene:KYUSg_chr7.21298 transcript:KYUSt_chr7.21298 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGLQETIKQEFSGPELRSLECGGQFAWSWVPATGHSGGMLLGFRDETFEVGVWRKGSFFLSADIFHRKLGKKWCFILVYGPADHARTGEFLDELGAEVRACNLPVVVGGDFNLIRRASDKSNGIVNWPRVRRFNDVVAALSLRELHRAGARFTWTNNQSSPIRSVLDRVFVSPAWEQMFPLCSLQAITRIGSDHTPLILDDGDKGIKRPSHFFFQIWWFGVDGFVELLKGKILECIHGQGPHRCSIDLWQCISRSLRQFLKGWGANLGKEKRAAREDLMRRVQHLDHLADSQGLDEEGWALRYHLEDQIVHLDGVEEEYWRQRSRVRWTLKGDSCTAFFHAIANGRRRKCSIPRLITEAGEVSEQADLVDHVYQFYQNLMGAEGETRAFSLADDLWPKDKRILDTENVELEVTFTPEESDAVLADMKPDSAPGPDRLPVLFFKKFWGILREPILAILNDFALGRVDVARLNFGIISLIPKVKGADNIRQFRPIVLINVIFKFLAKAYAMRLAPIAHRTIDRSQSAYIKGRCLHEGVLALHEIAHELRVKKLGGLLLKLDFEKAYDRVSWDFLREVLLKKGFSAMVVHRLLQLVSGGQTAVNVNGEIGVFSGTHEECDRVTPYPRSCSISW >cds.KYUSt_chr7.36681 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229238504:229239178:-1 gene:KYUSg_chr7.36681 transcript:KYUSt_chr7.36681 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLLGGLCALFTLASSSSRTAKKCCDGDQAKSHVVEQEKPAKTEQTEKKARTDPEADLGIVFSTFDHDGDGFITAVELEESLKRLGIAVSAAEAAAMVARVDANSDGLIDIHEFRELYDSIPKKRKHLLPLSAGGDGAEEGDEEEEEEMDLKEAFDVFDGNKDGLISAEELGTVLGSLGLRGRTAAAECRDMIRLVDSDGDGMVNFEEFKRMMTVVKA >cds.KYUSt_chr3.44126 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278573889:278576143:-1 gene:KYUSg_chr3.44126 transcript:KYUSt_chr3.44126 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPCECCQTNLKFIRQINGNFMHSMVIPEWFVKYFGGKIPGTIKLEAANGNIYDVAVTESMNRKILQSGWAVFVDANKIEENYSLMFRYLGNARFKVTIFDSNEGDPPVDESLEMDDLQMLSKDYVLSRQCDLTIEQEGKIHALIGQIQPKLPVLVVLMKKTNVEPPNILVIRKDYAIACFPRESQTITLHVPRKSNDWQCNLRIRRDGGCNLRWGKFVRDNSVREGDLCLFQPLTDVKESRFTVAVHLLHRANIGHSPSGKTGTVSNHRRRSTKISSTACVKEGPSTDGEDHGSYDNSKGASEPPFMLQDKTRLTQAQEKKVLEKVSAIESELPIYVVLMNKRSICRPYRTPYLSFAMRYVSRYLEKKYAAGHREKSVISLVLQRDGKTRTWDTELRYRGACMMTSKGWASFARMNSLREDDLCLFKVMENEEPLKMMVYIIRRENCVA >cds.KYUSt_chr3.37416 pep primary_assembly:MPB_Lper_Kyuss_1697:3:235111583:235116052:1 gene:KYUSg_chr3.37416 transcript:KYUSt_chr3.37416 gene_biotype:protein_coding transcript_biotype:protein_coding MDARPRPSCGRRVTAKKRPRPADVSSNSARKLQRREVAAFPARNFAVRSTRERFRNIQLQEEFDTYDPKENRSLLPFLSKRSEIIEIVGARDIIFALSQLGVCAAFSRVTNQRICFLNGSPDEVIRSLFYNKNNDSLITVSVYGSENFSALRCRTTRIEYIRRGKPDGGYPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYTISDKDVQEIKISPGIMLLIYSRKRGYIPLEILSIEDGKRLKSFRHLLHRNKKVDFIEQFNEKLLVKQDGENLQILDVRNFKTTEVSSSEFVTPSAFIFLYEMQLFLTFRNRSVSVWNFRGELVTSFEDHLLWHPDCNTNSIYITSNQDLIISYCKADPDDPSSQDNACSINISEILTGKCVAKIKAGNLCKQKKSSNFQCTPSEALSDITSLYYDEEREEIYTGNQQGLVHVWSN >cds.KYUSt_contig_1890.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000227.1:32419:34556:1 gene:KYUSg_contig_1890.7 transcript:KYUSt_contig_1890.7 gene_biotype:protein_coding transcript_biotype:protein_coding MENPYERRMDHSGSFHTYPRVGGPFQSLQEAQDGIECYLIEREDPKMFLGAVSDIEMRSIRRKYWPDGTRKMPPEFYPVDITRNWMHQLAQSLVDKHNEDHNLVEDLAYQLKKVVCIQSICEVDVFTWCYHINFTAQAKGVGSIEDLFFAELISKGEGELEELVPSCLRMLTPIDNGTCHGCINNGSGDHMRHPKDPSAYTGGHFAAAEETRLRNLFTGPKAHIYEKYTRPPQGSALIPEE >cds.KYUSt_chr2.48849 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305654055:305658812:1 gene:KYUSg_chr2.48849 transcript:KYUSt_chr2.48849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MGWGMVVYEGAVVVSSLAGLGWAGLWFLNRRLYKEYEERRALVQILFGLVFAFSCNLFQLVLFEILPVLSKDARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRQRSFLAAALFLTIFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIDETDIKALERQLTQSMESCIAKKKKIILSKMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKNLEAEVQGLEELSKQLFLEIYELRQAKIAAAYSRTWRGHFQNLLGYALSVYCVYKMLKGLQSVVFKADGSVDPVTMTITIFLRHFDIGIDVALLSQYVSLMFIGMLVGISIRGFLANVMKFFFAVSRVGTGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRVIITDVLGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTSRQADKHPID >cds.KYUSt_chr1.1976 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11385319:11388558:1 gene:KYUSg_chr1.1976 transcript:KYUSt_chr1.1976 gene_biotype:protein_coding transcript_biotype:protein_coding MANPDDGTAAAAAGRKFWRSASWSASRAAEAEAPPLPPRLAPPPLTPRSKGRACLPPLQPLAITRRSLDEWPKAGSDDVGEWPNPTTPGAARPGSARPGEGLRLDLSALRSQGRKDQIAFFDKECSKVADHVYLGGDAVAKNRDILRNHGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQSGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRLAPHSSYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGAKCHSVMEKDAKAAAFQVVRYEKVQGQIKVVREGLEQPEFWDAFSSASFHSDSNAKLGKDQIDSLSRAGLGSRKVESYDSDFDLVYKAIAGGVIPAFSSSGTGDETHLPARESSWSVLRCKFISRSLSRVYSDSALIRDLDPRVQHLTAEASISPPFLSPSSFSSDSTISSKYSSDSPSLSPSNSSPPSFGLSPASSNLPHALVPSSRSPLRQSSNEERSKPVLGSIRSPSKVSSIAERRGGFSALKLPSLPKDLVLPPRVPSSVHTAAEVADKSNANGVKQLTGVSCPDECTLTSSTATDSKSEATAHSETRLIEQTDSNSEACSYVQVLVYRWPCMEKLTAFGRKDLDPKTVFIFVAPNASVSAEAAKTVCIWVGGEYDCSKGVDTIDWQQVAGDFLNQKGFSNTLPVKSVSLAQHLNAAAGKTMQAIVRAQEHGEDYAFVHNVDANHTVVVIQYCVNTAVHA >cds.KYUSt_chr1.28118 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169614866:169617551:1 gene:KYUSg_chr1.28118 transcript:KYUSt_chr1.28118 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLDRWRDFFRGAGAPICEVVEKAILVAAADEPREFLRRRDRIAERLFNALHAPPPAAASCHGSTTVSQPPATPAAVAEDKGSVRRVPETTLDSKVHSSSPNLAAPLPLAQDAGSDSDSDSEDDERLRRAAASNYGHTYDDDNEEEDDLEDLDAAAAEEEDRHTQQHEDEDQEAEELEALTNEIDRESQVVGEVLRIKDLLDHKQDYSDATLFDSLRRLQLMQLSVSALKATEIGRAVNGLRKHSSQRIRHLVQTLIQGWKVLVDEWVSTTNVALADNSPGTSNPSVVDDDEEEEGLPSPPLDEGAFFAPEATAIQLSEFFDEMDEDGNLRHNNDVRPGNKRENNGRRPSDHSAVTKPELTRPAGTVERDQFRRPELTRQEPSVRQTNQQKPQSSSLQARPHGMANRQSRPPSSDSGSMRPKAAPHQKPVGDMKYKETLDHFGVDRKPAMGHVDKSRLHAQTSAGARRESAKPKINDGLEGNVRLEAAKRKLQERYQELEKAKKQRTIQVMELGDIPKPKNHNRQPVAKSRNNIRSRVLGRR >cds.KYUSt_contig_2817.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000520.1:47477:49141:-1 gene:KYUSg_contig_2817.11 transcript:KYUSt_contig_2817.11 gene_biotype:protein_coding transcript_biotype:protein_coding MASAENQPTAPRGDGMDEEGQAAHLPDDVLAAVLRRVPPRWLAASRCVCRAWRDAVDGRRLLRADLLPLTLAGLFVHFDEHKYPEFLARPSSSSSSSAAGSRAVSGDLSFLPSASPHCGYFWEEGCVDWRNYNIQDHCNGLLLLQSNCVVNPATRRWNILPTCPAEEDGIGHVMHRSTHLVYDPMVSPHYEVFKIPTLYRYYKVDPSMEQSEWSPSLCKIYVFSSKSGCWEERCFSRQGDAAGTVSQMRVGYWRFSAVYFRGALYVHCNHNFLMRISLSNNTYSVIKPPMDLTVEGHLDINIARSKKGVYIVASDMYWPRDKYLLRVWILNESCGQVEWMLKHNIDLKHVLAGHHFCGRDQWILEDINYKLFRSSDCQEDSKKATTKENTEWNSDEDVEEEDMIDHSGYLEDKNVVVEKKLVWNSNNDNALNYGGMSEDCYSDEEHYDDSRDEDLRILGFHPYKEIIFLSASERICLAYHLNGSKIEDLGNIYPKDYIYFKELVNEQEKIKSFPYTPCWMEEFPGSN >cds.KYUSt_chr4.26303 pep primary_assembly:MPB_Lper_Kyuss_1697:4:165345807:165354289:-1 gene:KYUSg_chr4.26303 transcript:KYUSt_chr4.26303 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAGRMDEWPNPDENEEPGARLGQITPSGWKKHSPGACSGDEWRCFKAGFRREHTVSGEKAGGAHGPLRASAHIRVSTQIDYQPDICKDYKLTGYCGYGDSCKFMHDRGDYKSGWQIESCYVLDNGDSYPWLSIDDDKASRLLFLDIPVLASTPTSFLGKKVKKQAAVNYHGGNKINSRVVRVMAAKELDEGKQTDQDRWKGLYYDTSDDQQDITRGKGIVDSLFQAPMGDGTHEAVLSSYEYISQGLRKYDFDNTMDGLYIAPAFMDKLVVHLAKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFSKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADMIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADAPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDNVPDEAVVKLVDTFPGQSIDFFGALRARVYDDEVRKWVSEIGVENIPKRLVNSREGPPTFEQPKMTIEKLMEYGHMLVQEQDNVKRVQLADKYLSEAALGSANDDAMKTGTFYGKAT >cds.KYUSt_chr6.11868 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73801751:73804909:-1 gene:KYUSg_chr6.11868 transcript:KYUSt_chr6.11868 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVACDVAAMDFNALSRRELQALCKLNGVRANMTNLAMVEALHSLPSVDGIDQIGTTLCLPTPGKSAAKSALRAAPASDQQQQQGSPLPRGRRVSLKSPEAIQTDRDDETKRGLVKEIVRTPGVALRSISRRPRAAPTPAAGGALRRSQRSTARKAAAPAEVDAATTRRSARKTAKLNVAIDFDQEDGEEKAREVEEPKGAASDGPQEEEAITKLMEGNIKADEPEQGEEVASSVAPIESADKICDDFKVEEAVEEPTKLQEVVSSVALIESAEKSCDNSKVEEVVEEATKPQEGAAIGEEQKLVNVEESVMEDSPIFGVLSKVAPETSMKNFENASTEGFGNWSPVLEIADEINSASEDKEDAAVEVPKEDVKEDATSPTFEAADADSKIILADVTEKEVAADELPQADTTDDESAEEYGLNGESSDETDLTEESSQEDGLDEDEDASEDNEVDFSPSAEADDAPNKMTPAAVTEKKVAADELPQVDPIEDESESDLTEESSEEDEDMENVNLTVDGESDETIEASNSTEVNFDSDEEEELEMLEIGEEMKDYEESDSVTGEEDEFSGDLSSEFDSIDFSDAETESNSSPVALEGIHAAAPSSAAKTVGSTITEDIVSSEGDEVSQQVETIVESLDKVTITEAKKEEWAKEKKQVNVGEGMSLRKLKSAYKESLIAAKEGKKLTIDADQGTRVVLAELDDNAKC >cds.KYUSt_scaffold_1854.86 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:421937:422548:1 gene:KYUSg_scaffold_1854.86 transcript:KYUSt_scaffold_1854.86 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGAEYRCFVGGLAWATDDRGLEQAFSQYGEILDAKVSMFLPDLLRAPRSRARSAPDLTEFRCAQIINDRETGRSRGFGFVTFATDEAMRNAIEAMSGKELDGRNITVNEAQSRRSGGGGGGGGYGGQRGGGGGGYGGGGYGGDRGGGGGYGGDRGGGYGQGGGGYGQGGGGGGYGGQRGGGGYGGGGGGYGGGGGGDRWN >cds.KYUSt_chr2.34494 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213049740:213055775:-1 gene:KYUSg_chr2.34494 transcript:KYUSt_chr2.34494 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHDRIPPIQQTPGKSTVTFIGGAFRNSTLRPDHESRPPVDSMNKNGILLEVVQVLSDLDLTILKAYITSDGGWFMDVFHVLDKKGQKVTDEKTIEYIEKALGPGSNIPSAKKGSTSPGRSVGMHSIGDHTAIELKGPDRTGLLSEIFAVLADLECNVMAAEVWTHKTRVACVVYVNDVSTGQAIDDPCRQSGIEERLRHVLRGHGGDDDGGRGAHTNFAVESTHVDRRLHQLMHADMEFYEGALQGDAAAADGTAVTVEHCEEKAYSVVNVRCRDRPKLLFDIVCTLTDMQYVVFHAAVTSDGIYGIQELYIRRKDGRTLLKDEAEKVIKYLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGEQAMNVFYVRDASGQPVDMKTIEGLRGQVGQTVMLNVKTVPDAVMAPEQAGSSMAKTNFFSFGGLFSKLRV >cds.KYUSt_chr2.26707 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163526175:163534857:-1 gene:KYUSg_chr2.26707 transcript:KYUSt_chr2.26707 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMALLAGAAETVASTPRPDSAAGAAVMGVFKYNFAAQFLSRVIPFLYNGWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNTSGSGDVLSDEEMLKVAWMIIPFGLLVTFIGSLFVFRVKKLKFSDPYAKATLIIGFACILELLAEPLYILSQTKKYYIIRVYTEPAATLLRCLTTYIFIKGHIKVEKLVVFALSQVVYAACIFVGYWAYFLLFTNIRIFDLLPFRLSTLMVYDKQLLHMCILFTGQTFRKLILQEGEKFVLVWFDTPYNQAAYGLVDKLGTLVVRIVFLPFEESSYATFTQLASGQTPQNISNLEGSLLGALKLIMLIGLVVISFGPSYSYTLLNLLYGGRYSDGEATAVLRYYCVYIICLAMNGTSEAFLHSVANENQLKQSNDMLLLFSAIYIVLNVIFIKSAGAVGLIAANSINMILRITYSALFIKDYFKGSFSFHRCLPAGWGVLLISGVTTAFSERIFLNRNRFKQTLPIHMAIGIMCLVFSLLEIYRGEKQFLLSIITSLKGHNKST >cds.KYUSt_chr1.40234 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246428852:246430220:1 gene:KYUSg_chr1.40234 transcript:KYUSt_chr1.40234 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTANAHMSSPKLEEGSIATPWEQLQGSCSWEGLLEPLNADLRASLIAYGELAEAAYDGFDADENSPHAGSCIYDQAGLLAASGVSHPEYYTITKFLNATWEPLLGQSLESMAIGNALFVQQPEKPGRSESRTNWIGYVAVATDEGAKALGRRDIVVAWRGTVKILEYPKDVEFRYKSAAQVLAGDFSDAKVRSGILDVYTTNNPVEKHIMPMIVRNSARDQVLAEVRKQVEAYKEEKTSITVTGHNLGASLATLNAVDIVANGYNVPGSRPEQTPCPVTAILFASPHVGDDNFKSAFASFPALRALHVRNAGDKVPPADENMDVATAVLHINMDRSPYLNLRLNDDVTRHNLECYLHGLAGDQGDAKDFEMVVDRDVALVNKSADALKDDYPVPANWWAINHKHKVKGVVGRWTFDNINDL >cds.KYUSt_chr4.829 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4339351:4340905:-1 gene:KYUSg_chr4.829 transcript:KYUSt_chr4.829 gene_biotype:protein_coding transcript_biotype:protein_coding MANTMNKEARKVYEDGEGGIHRLPDECLAKAIGLTSPADACRAAAVSAAFQSAADSDAVWERFLPPDCDAVLERAVHIVDASCKKELFMDLCDEHVLIDDGKMSFGLQRSNGSKCYMVCATELQIAWANVDLYWRKRSDPDSRFSKVIELVSVCLLSIYAIINIKELSPGTHYAGYLVFKLAHDASGLGSSRQISFVEVDEQPVGKVYTASLHPCTRSSCELDRECESSTMEEPHEHKQEDDGSGIAVVRYPRQRVDGWLELEMGDFYTGKIDDPTANVKIALSEHEELQWKKGLIVEGIEIRPKN >cds.KYUSt_chr7.3849 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22961137:22964810:1 gene:KYUSg_chr7.3849 transcript:KYUSt_chr7.3849 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERHLRRILLATTVNRPRADVAYTICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKDDFLSYSYRGTILQIPHFKDDSSPLAWDCSAWVRLYAQYLDERVECFRVLKYDVEADRLLKLPKASGKAHSRTRTLPCADLLDQLPALQKLLLRLISCQPEGMSCTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMAKIDAIKALEIYKRAGQQAERLSAFYDYCKRLELARTFQFPTLRQPPCSFLVTMEEYIREAPRASITSKSRSLPSDNEDETPQEPEKPVEEETEEPEEPEEEPQTTADLSEEDEPQPLPTTGDLLNLDEELHPMIADLEQSNALALAIVGPGSGNNTSISQDLFAIDKSGWELALVSAPSNHTSQPAGNQLAGGFDKLLLDSLYEDTARRQQIASATYNGSFATNPFDPSDPFAMSSSFAPPSNVQLAMMSQQQQYYQMQQQEYYQVQQQQHQTVMMPPQTYQQQQAQYATNAGLSNPFGDPFSGLVAMANPPKQSSPH >cds.KYUSt_chr7.18956 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117452198:117454508:-1 gene:KYUSg_chr7.18956 transcript:KYUSt_chr7.18956 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKERKLSRLGSGKGANGSFGARGGHRPPPPAGTRRRLFAAFFAFLCAGAVVFGGVHAIGASFRPVLMTAWPSATLNALSSERRVQQAGGDGAGTVLPSVQIRHAVALPDHVLLILRDRSLLPASGQFECLYNVTNSTQLRHPPLLVAAMPDGPSLVHCPAGPSGVAVSLSLTQSPPVTPLRWDQLVYTALLDSRDNSTILFAKGMNLRPGRLGVPSRYECVFGRDLSKPKFVVTSPVISAAQETFRCVTPARVRRYLRMTADGSSNRNSDGKPMLVSIRTKGRGSSTLPSVAEPEPLPRPNRHRRTRHRQQKAHSMCVCTMLRNQARFLREWIIYHSHVGVQRWFIYDNNSDDDIDQVLNTMDPSAYNVTRHLWPWMKSQEAGFAHCALRARESCEWVGFIDIDEFLHFPGNQTLQDVLQNYSSKPRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGMKYANVGQGVMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPSRFCEVYDTGLKDFVHREFTDPQTGNLPW >cds.KYUSt_chr3.4291 pep primary_assembly:MPB_Lper_Kyuss_1697:3:24409906:24411087:-1 gene:KYUSg_chr3.4291 transcript:KYUSt_chr3.4291 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKHIRTMAIDMSTIPLPYDVVFDILSRLPLKPVCRFRCTSKGWRGLMSSPVFAAAHRSRHGPLLVDTGSFQEEEPDGGRDMRLLDIKGNIVRVIRGAGGYGMTCNTSLDDLVCVNGASCGGVNVVDPATGKVLLSCPQVEIIEHHSFPYAYQRFYFTFGFGRAIPSSEYKLVRFVADQGRSCEIFTLGDGRCWRQTQPSPVKICHERGSPIVIDGVMYVFQDQRLYNDDTLLCFDLESEQWKADVIQGPLKFVHGENTGTIGIRLTELNGALCIVQSVFNRLLDPFTNIWILDNKDKRSWSKAYTITMAPSACRYMPLWVMSDGGKLLLHCSFDKGCDKNRNHKGWSLVLQIYDPLTETCTDILGTPDDLAGRIGLCSFGFDHSACQKLVN >cds.KYUSt_chr1.13663 pep primary_assembly:MPB_Lper_Kyuss_1697:1:81962281:81965709:1 gene:KYUSg_chr1.13663 transcript:KYUSt_chr1.13663 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPTTPRRAETTNRCGSSSPSPASKESARGLSNRREIRRSLLQPATFRRRFRSPPSTSGLVDPFHGITIRTGPQPACAPAPSSPSVRQDTIRCSGLYAGWTIFAPSPIRSDLRSIPIEAHHQHRNNDFLLVVSTDLCGARILVSGVEEIDTSPEDPLVHLSAPYLDMESRGEIPC >cds.KYUSt_chr6.12549 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78391736:78396285:1 gene:KYUSg_chr6.12549 transcript:KYUSt_chr6.12549 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTPPALHSLSLNNPLPSYPSAPSGSADDATMKPVDECLLKSRFAAGRLRDVVLGMAKKRIRSYSTARVGLDDSNQVKDYDWGAHILQNVMSEVDAFQKKKANNKLQNEPKKIWVGSCLPVLAEHPDFMIPIFEKHKQLWAKDMEDIQAMCTEKHGTRMAEFARDVIAAWKVHPAPTTSFASPPRAVDVSNIHEAEGSASKATPETRFWKEAVQIAQEVEKSKEKSSRQKMKPDTPAAATSAQTNPYQGASHTTYYDIEPPSFSLLLPGESWSQPLPPTDQLEQADVVTTGVGTSSSGPIAQSSSHEKDQLPPLEQEQAASPMEDLAISPGHDPATSHQQDFASAAPTSVPNTTTGTTLTGI >cds.KYUSt_chr2.34331 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212051212:212054312:1 gene:KYUSg_chr2.34331 transcript:KYUSt_chr2.34331 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTSPDPPDYCSAVSPELKLYQAFVFSVPVFFTFVLLLFFYLFYLRRRRANWQSLRMRANSLIRGDNPRLECGIKKEVREMLPVVVFKESFLIRETQCSVCLADYQAEERLQRIPPCGHTFHIDCIDHWLSKNTTCPLCRVSLLPAPKTVSVEPADLEAQTVEEDSLDLQHQAGLNDEDTQQEDQAVEQSSEGLTQQGEEQRSIMQDASVTVVVEPQALETEGSPSATCLPCKLKK >cds.KYUSt_chr2.6502 pep primary_assembly:MPB_Lper_Kyuss_1697:2:40557589:40561771:-1 gene:KYUSg_chr2.6502 transcript:KYUSt_chr2.6502 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLSSRAERFPKKKEKSRLEAQSTHHELGSGFVLLGGYSKDLRLDDDFWYATAIVFLEATRLRFPRIITLVRRALGSRQVFWRRVITNLCMVSALGMQVFMLHLDPSVNLPVVLIIQLCAFAVVSLGNFQIPAAVLRIVLALLSLRHKYGPKEKNLKASLRIFYGMVLGQGMLYVVACMLEFFSFIPRRSLVHSGAFRGQWGVESVNLYYAYALEKCMQEGVLAPKKISLSTFAIDSLNSDSPKKQLNGIRTMHCFLQRGPTKAQLFSELTISNMTMARIIRMLDWTSPKDRAIRLYAAKVTTELAKNIRAVTFPGTMQLLSALLDADSRPKRGNPLLITDDEQEEIRDPFLNIEDSQEKEHDAVRDVNGNRGQRQDPLQDTDNLLETQNRSTKQACINKQNCVLRCWQRISEFCSIPEEQMSTDHDLLPALAMSIIESLAGCDQENCVQISNAADLVPKIIGFTSYTRGTDNVNTKAQEKILLKSSLKVLQRLTSIGGEIGITLRHNISNHPFLLTNLAEVLGNNRSSQESIKIVAEILRNIAVDGNTRQEIGQIQLIITMLMHVFLNAEGSPSTNADRLVRKVAGQALAMLTTESVQNCLVILREPEFIQKLKTMILTNDDRYIYVAASLMRNLCLHARPKLKDSDLKELSHTLREVLERIMNAEGAELEILIGLSSQICKVIPGDFTRELEDGQIERRFAQRLVDTLNANTKPSAHCPGIRRVILEQIIYMIEFNSRFAYRFKECRMTEALSTVEHTLSKAENYRLFLGDTGFMECSTPLSTLLDRAKELMGCD >cds.KYUSt_chr3.34722 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217723224:217724744:-1 gene:KYUSg_chr3.34722 transcript:KYUSt_chr3.34722 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFDAAAAGFWLPDEFLDDDFFADEKAAAAAAAAARSDSDEEDALVAGLSRRMAGLDCDGTIANKVGWLVPLFVFRISVFSRCFRLDPSDEDLFSEFQGEVVAGSPQSTLCGLQASGEDSPTGGASQVSSPPSSPLDKQPADPWDLLHEAAGQVARLPPTTSSIPVPKPISVAPPPPAAKPSAPLLPAPKPAAGSNYQYTSHAQRQAQIARFHLLKQQQVMKHQQLAMAMAWGGGADYSPLGLGPSAWPPLQKQAPNQQPAPPASTNAGMRAVFLTPPGAKRECAGTGVFIPRQAGAPAEPRKKQGCSSVLLPARVVQALNLNVEDLGARPCYPGGFVVDHEALVNRSNAMQASQRREQNNVNSTSAAAAAAHSPPLAVACEVNLPQEWTY >cds.KYUSt_chr5.38327 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242371802:242372440:1 gene:KYUSg_chr5.38327 transcript:KYUSt_chr5.38327 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNHNSLFAAVLERQPQLAHLLASKMKIGKAPEILKKAVTFCKSKSGVLVARFLVLASFRRRMATVGSISHRVHALVAAADREKRGRVDCHKALMLRNADKQAVHGAEIVVNLSRQLALFDQENEHDGGCPDWTLHPIFNENSYCCYIDECDGDEDDDGAHEDVVVEPSVMDVIRNNREVEGLEFNFDNEIDQAADMFITRFRNQMNRSF >cds.KYUSt_chr3.5296 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30051397:30058343:-1 gene:KYUSg_chr3.5296 transcript:KYUSt_chr3.5296 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVRRCPFADLWVDPFDGFCSIVPASWDSDSAGFANARVDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKKEKWHRVERSSGKFIRRFQLPENAKMEEVKAGLENGVLTVTVPKVEIKKPEEFDSRRHAGYLGGVDFFNQESGLGLDDVDFFNLESGIGLDDEEEDEDDEVVFLDALEEEEELQPRNLTDKEAMEMAITESNLVEFSQLLKYEQIVELVNSGIEGISMDEVKKKLFSVSLSGQHKDYLDACSEGSFTSKEVEARWDLLDRIEDNAEGWENDNGKESGYADKPPFKPLPPEEGNEEKEEKKKKKGTKKKKKKKKKKENKKKEVTAYPRVNEITLGNRKYVAPNDYCDNESEYDDLPMPFTYISNHDLNEHTTFDIANLWEINSENDDNVPPIFDDYYKECYDIVYEKFGVKEVFKGQERRMIYYDQEE >cds.KYUSt_chr1.27263 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164373241:164382110:1 gene:KYUSg_chr1.27263 transcript:KYUSt_chr1.27263 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPPLAALAGGAWASHRPAILAAPASLRRSRRGALRLPAWRAAGGGRAPRVPAKGAVLASDMGAEEVVGPSPLLDARSEQELVLRIRKEVEKGKLPADVAHNFENLFYNYKNAVLKNGDPNAHQIILSNMMDLFERVLLDEENQFTFQPYHKAIREPFDYYTFGQNYIRPLVDFRNSYVGNISVFSDMEKKLQQGHNVVLMSNHQTEADPAVIALSLERSNPWISENIVYVAGDRVLTDPLCKPFSMGRNLLCVYSKKHMNDFPELIEMKRRANTRSLKEMALLLRGGSHIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVPGHIYPLSLLCYEIMPPPQQIEKEIGEQRVISFHGVGLSAAEEIKYGDVTAQTQNADENPAEFLLSNRSYKMSDNLMDKVNALGERLKISGAEVSRKMSTGVTSMSFKMKEFFQGQNMADKIVDEATTETMDGPDWATNLEICDMANTEKVDSVELIRAIKRRIMLKSPRVQYLALVLLETIVKNCEKAFSEIAAERVLDEMVRLIDDPQTIVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLRSRGIRFPGRDDESLAPIFTPPRSVPAAEPYSDAAHDGYQEIPEESFAPVRAAPSVQVDEAFEVARNSVELLSTVLSSSPQSEALEDDLTTTLVQQCQQCQYTIQRIVETAGDNEAQLFEALSIHDELQKVLSKYEELKAPVVAEPEPEPAMIPVTVEPEESPRAPARKSAGSGYRSGGEDLLQDLDDMIFGKKGGTSSQQDRTPRKEQKDDFIGL >cds.KYUSt_chr2.52784 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329315806:329318361:-1 gene:KYUSg_chr2.52784 transcript:KYUSt_chr2.52784 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPGVLLATAFFLVTTLPWAARSDGDHRSVMWRGDSFAVEDAAPSSSSSGHVLVSPSGNFACGFREVATNTYTFAIWITAAADATVAWTANRDAPVNGRGSRFGLRKDGSGLVLEDFGGRVVWSTNTSATRADCAELLDTGNLVVSDASGHPLWQSFDWPTDTLLPGQPITRYRRLVSASARGLPSSGFYNFYFDSNNILNLMYEGPEISSNYWPDPFNKWWVNLRTAYNSSRYGSLDAAGRFTASDNLQFNASDLADPAVAMRRLTLDYDGNLRVYSLDASGAGTWQVTWVAMSRPCDIHGICGRYGLCTYGLGGAPVCSCPEGFVVANAGDWSKGCRREFDVRCGEDVDFAPMPVADFWGFDFNYTEGLTYDTCRQICLDDCNCEAFGYKKGVGQCYPKIALFSGRISDFRQVIYLKVPRRLQNKFDPSVLRLGGHACTVREVRANTTASYYLRRAIGGKINFVYFYSFLAGLFVMEVIFIAVGYLFVFRADPAARQIRDEGYSLVLSHFRRFTYDELSSATCQFSDEVARSASGAVYKGVLGDGRNVTVTRLEAVTQADEVFRSDLSVIGRINHMNLVRVWGFCSEASHRLLVSEHVENGSLDKALFLDGEGSAIALGWQSRFGIAVGVAKGLAYLHHECLEWIVHCDVKPENILLGADFEPKITDFGLAKLLSRRDERGRMLSKVQGTRGYIAPEWALNLPITGKADVFSFGVVLLELLRGQRVCDWAVVDDGAAGEELLRLDFPRLVALLREEARDLREAWLEEFVDARLRGDLSYLQAAMMLEVAVSCVDDDPAKRPSMDAVVQKLLTSQDVVPPSMRHASSPVPESRRQRHASFSVAEISHMV >cds.KYUSt_chr2.3278 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19447283:19447747:-1 gene:KYUSg_chr2.3278 transcript:KYUSt_chr2.3278 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFVSAGGSRGGKIVLPDGTVRALREPVSVAELMVEHPCHFVVDARLVSAVGGAKVAALPADDVLHGAGVYVVLRAIRGRVSTDDVRRVLATASRPQAGRQEQRDEAPTRVEGLGYNLPEFLSREMTSRGAWKPSLKTIEELWQPRKIPHWLF >cds.KYUSt_chr2.50940 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318647385:318655442:-1 gene:KYUSg_chr2.50940 transcript:KYUSt_chr2.50940 gene_biotype:protein_coding transcript_biotype:protein_coding MIWYGRRGDGELTNVGKGSLRGVRLAVLAATAATGSHLPNSNSNTATTPRPRWWWRGLAAKEGIGMGARVSKATSCCCIRGQLPGSTRLDSADAVDEDQAEAYELPAFQEYSFEQLRLATAGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLDEAKSVGQLRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWETQAMKWPMRLRVVLYLAEALEYCTTKGRALYHDLNAYRVLFDNDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLVGQFSNEEGTELVRLASRCLHYEPRERPNVRSMVQALSPLQKDIETPSYELMDMPQGGASSVQSLPLSPLAEACSRKDLTAIHELLEKTGYKDDEGTANELSFQMWTNQMQDTLTSKKKGDSAFRQKDFTTAIDCYSQFIEVGTMVSPTIYARRCLSYLMNDMAEQALSDAMQALVISPTWPTAFYLQAAALLSLGMENEAQEALKDGSAQETSSSSGR >cds.KYUSt_chr6.30823 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195378981:195388669:1 gene:KYUSg_chr6.30823 transcript:KYUSt_chr6.30823 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSYPPDLGFAMAAALEENSNWPFGISSIGHHRRFHRHHRRNPPLLGFFVREGDFVPTLEAPNKVPPRRLSLQRGEGDRFLSLGCRHGLVLIFDIKPNQMLVCDPVTGDQHRLAIPPGIATHAENTTINGAVLRPAGAVDHFQVVLTVGDNDDKQHRRVLACVYSSETGLWGDLISTPPSFEVLRSGRPTLVCTGNPAVLVGRSLYWVLAGNLVEILEFDLEKQGLSVLQPPVHMLKEGFFSIMRAEGGGLGLLFPTYSGIQLWKRQTDSDGVASWALGRTIELDKLLPLNSRQVSVTILGLAEENNVVYLCACAMVFMVHLESLQFKKLCETNFLCHYHPFECVYATGRLIFCMYVKISEQSVLPSFLLPFLKYQIQREDCSCSTISMSSHVRTNMKVGKVPRIVAPAFEGPEDVVPLTAPTCPICMEPWTCSGAHRICCIPCGHVYGRSCLERWWHRSRHDGAKCPQCGKQFELKLIINLYAPGNLWDGCCRLQDVKAHYESKLDEKDKAIQEMATTSAHLMSLKEQIKKKVEEKTVAYVDLLEIIGFLENKFPAISSIHNPPAHAPVDVENRRQHHDELLTSHEIRPHPLDFVTPRGLRTERLGGTRRDEGGN >cds.KYUSt_chr4.37824 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233288101:233293718:-1 gene:KYUSg_chr4.37824 transcript:KYUSt_chr4.37824 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVGRARVGTPGAHGRGWTVGSSPAGTGSDPTTRRARTSGRKGETNEDGVACVCFICMHPCSTLRSLLRSAGTQPLLILRSSARKDPSLLDLIGICLSRLFRCVRKANPAMAGQYIKTSTRGENARIEYATSCMQGPRDEMQDYYTALLDLDGSDSTSFFGVFDGHGGHRVAWYCSRKFHTELVKVPDYQNNLHAALEQVCFRIDQTLKRSDEWKNPDSPPAPAPAPGNGSFRSRLQTSLCSCFGKNYEGPQIEGSTACVALIRGNQIIVGNVGDSRCVLSRNGQAIDLSTDHKPNEPGERARIEAAGGSVVQRQVLVYVDGRMRAEPGPYRVDGIIAVSRALGDFQFKKNNKLKLICNPDIHTEDITDDIDFLLIASDGIWEVKTSEEVVTYVNERLQKRADLHVICEGLLEWCRDSRDNSTVILVQFKNGNNPTANASCSGDSCPWPQAKTTDLSSSIGAPKVDTKAEIKAAEE >cds.KYUSt_chr7.6912 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41681116:41686748:-1 gene:KYUSg_chr7.6912 transcript:KYUSt_chr7.6912 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELLRYDGMEDEEEVWHRSDLAAFRHVENVLQATRMDPKLVDQSVMTEYCKLVNIIDQSKRKSPDEEALRVTTLKALSEAVSKIDIVYHHSLLNTAAVADQYLRECLQMLVNNFTPPYIVKNELPPWVVPRKKDIHFRLCESLKTISDTVPLAPMMLRDIIDRSMPKLFENKAKMVSFVECMLGLDTERMGDLIGAVLLAKVVELLTELDVSITWEDILQEEHNIGIFDMEFEDLDGDEDEDVLGQAGTKVLFGGNQCADKLDGLMVVVCEHLKSCAERGYLPKEYDILQTIFRASVLRVHRSKFAQFIMFYACSLDPEICGPQFAVFLTDIVIKREEDAISRLVGWCVGYCDHQKTSGTAAIPNHQIFFATCQAVMYILCFRLRSIVDYGNLKSQLFDLPFGFLLTHPLEPLKVCLPSIVNEFLRQAKDAKLFTAFKESALNDAIESDLSRTFGGIGRLDMFFPFDPYLLKESDRYMRPNFEFWSMVKTTYIDDKEDYDDELEDLDGPGMDDDSSDDDNPEVNNDPDDLDIPMDKMSITPCDSYFLQFAKESHAGPSMPAKIRPSVSPPS >cds.KYUSt_chr3.26876 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167679712:167685175:1 gene:KYUSg_chr3.26876 transcript:KYUSt_chr3.26876 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDGCSVDYYALLGNHVIMTNEPAITEENHVMSMAKLTTLGNAVPGALTGIGVLQSCILVSLPRIDGLQVPISYESIKGREPFASLFLPTGHHASSAMAASFFRPLLPPKPVLPTLKPQLPLTPTTAVRCTASPNSKPPTTTTTNTKPTQQESTQEQEPTPDEANANPRSIPDDETPPSATATTSFSVVRRIPSAISTDGRLRRTALTQEEPPNFEIGWKRTKPVPPEKPKGWAIADFLEKLEGLMARGRYGSGPLLGTVAGVVTERAREEAEILMAEGGVDERVATELFRVLRLVEMDVEMVKAAVKEETVKERVETARARCRQAILVALSL >cds.KYUSt_chr2.43975 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273709075:273718121:1 gene:KYUSg_chr2.43975 transcript:KYUSt_chr2.43975 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSAVYFGHISIGEVELWPKGEMNLAAAPWVREIRVDRLSPPSERCPPLAVLQTVSPSGRCLVMESRPTAPTDEPPTPLVSMHTACLRDNKTAVFPLGAEEIHLVAMKPKSNLPNHACFWGYKVPLGLYTSCLSMLNLRCLGIVFDLDETLVVANTTRSFEDRIDAIQRKLSNESDPQRISGMLAEIKRYQDDRSMLKQYIESDQVTDGGKVYKAQSEVVPPLADSHQPMIRPIIRLQEKSIIFTRINPSIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLPHRLVCVKSGSSKSLLNVFRDGSCHPGMALVIDDRLKVWDEKDQCRVHVVPAFSPYYAPQAEANFPIPVLCVARNVACNVRGGFFKEFDEGLLPWISEVHFEDELNDVPSAPDVGNYLISEDENSAISNVNKDPLAFDGMADAEVKRRMKEAVSSVQAVDPMTTNADMMSVAANQQFIPSSSIPIAPPPGMVPLNNNQDHQPPSISWPVAQSGPGDTLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPSPPFPAEPSVQVSVPQVQSQGNWFPVQDEMNPRNLNRTSTGFHSESDAVHSDKNQPPHQSYLPAGDNPISSDRLNYQNQRYPSQPPHSEDHHMLQNQAPTTYRSFSGDGMATQHFHPGHRSSQMESGRQFGHYTETSGAVLEGIAAKCGFKVEYQSTLCDSAELRFSIQIWIIGEKVGEGMGRTRKEAQRQAANMSLRNLADKFLSFDPDKLTIPKDNGFCSNTTSFKYTGSSRDDMLPVASTSDESRYMHERVYNSAKSASSVAALKELCTAEGYNLVFQAQPPPSDSSTRKEVHAQIEIDGQILGKGVGATWEEAKLQVAADGALKTLKYMLGQLAQKRSASPRSFASNFSKRFKPDFQPTVQRIPPGRYSRSDSYIP >cds.KYUSt_contig_1791.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000205.1:74813:76474:-1 gene:KYUSg_contig_1791.3 transcript:KYUSt_contig_1791.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISHLRMKTRPVYQGYLFTEAGMELWNVEAHVYSTLEDVKPLRVFEAPIPRDNFNAGVEDAAREAIRGLMGLYEPMFEGTRFEYFPTKYSDDNLVYYSPTTTEDNPKLVQQVDLTRAFNDSLEDDVYEIRRTRKQLRDVQEELDTLKMVRNNEVSPAMEQLLQGQAQLMQLLTQHLNQNNNNNNNPPPPPPPIDRLTRFLRLKPPTFSSSAEPIDADDWLRTISNKLDTVQCLEPEKVLYATHQLEGPAAAWWDNYKLSIADINNVTWDEFKKAFRGYHVPTGLMALKKKEFRALRQGSRTVAEYLDIFNKLARYSPDDVADDEGRQERFLDGLNDDLSFQLTSGDFKTCQALINKAIKLEGKQKEIEGRKRKANTPELRTRDRSKVAKSSSSHDHKEVVEGHKGQKDEHPFAPGITCYKCKEVGHYAKQCPDKDQVDTEK >cds.KYUSt_contig_824.143 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:884841:886466:1 gene:KYUSg_contig_824.143 transcript:KYUSt_contig_824.143 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGRRRREDRLSKLSDATLWRILSFLPAKEAGRASALSSRWRNVYAGVDAVTLEQPESPIPDYEDYGSWSYGRPVDPNPKPPFGTTVTAALLARHLVPTAPAPQLRVLRVALNYYRREDASTVDHWVSYALKHAAPAPVGLELDLRLRRVPVCSRPYSLCRASGSRKRSRSTDDEEEEVNSRPRRMSVSDDDESSCWPQYTVPKMLFSSAALRSLSLGPCKLSPPDTISLPSLEALLLVRVTDCERNVQRLVYACPRLADLTLEACGTVTALYLHGNRRLRKLALRCCHSLVVVEVNEKLHTLEYLGAVPDTPLLALRCGGRWKPTSCMIDICGKEVSSEKELAKLGKFLQLVASTKHLHLRSARLGCGVEHDALARSLPAFRRLLHLELTGLLPHGDGDGEAAIAAVSRILLHAPNLEVLSLSLDTDPSKEGLHARKSWHDCKEGELVRAHQLRCNKYEVLAAPAVIIPCLRKRVREINFAHYHGGRAQRTLAKFLLCNAPFLKTLYCGIVRGELSIQDMLRDEIQGWAMNTPENCIFD >cds.KYUSt_chr3.22523 pep primary_assembly:MPB_Lper_Kyuss_1697:3:139247439:139254878:1 gene:KYUSg_chr3.22523 transcript:KYUSt_chr3.22523 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVHAPEDEVPHWKSRELFAHMEIKMTTSENLRTELLSRTPPFGLRLWVVLGISIWAAILFVLGCICFLLIYWRKQGNRNRSKISEPEIPDVTKEIAVDEAGSRAFVENFCAQETHSFPVKGRYTEKDSGKLLAHSITSESSGDHNFIECISVKQYDRSHSGDEGSSAYDKRQFSQSATMSMSPRTGLPEFSHLGMGHWFTLRDLERATNGFSNEHIIGEGGYGVVYHGQLVNGTDVAIKKLFNNMGQAEKEFKVEVEAIGHVRHKNLVRLLGYCVEGSHRMLVYEYISNGNLDQWLHGAMRQQGVLTWEARIKVTLGIARALAYLHEGIEPKVIHRDIKSSNILIDEEFNGKLSDFGLSKLLGAGKSHITTRVMGTFGYVAPEYVNTGLLNEKSDVYSFGVLLLEAVTGRDPVNYGRPANEVHMVEWLKLMVGSRRAEEVVDPEMETKPTKQALKRALLLALKCVDPVADRRPTMGQAVHMLEAEDVPTREIRAVKMDYLDNAPIKCVGLDCEFTNPREGDQRTAVLRLSVASENLVFHICQTDEGPQVLKEFLQDDTIRFRVAAIGKDVEMLSPYSIHINSAYDLQKILPNLTSNHISSLYDLANSIIGTNLEKKKRKKYKKKDVAQEKEDELIFEWENTPLSYEQVRYAALDACLGFEMARSYWELVGYNSHVDRLNI >cds.KYUSt_chr6.19031 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119780860:119783542:-1 gene:KYUSg_chr6.19031 transcript:KYUSt_chr6.19031 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVELSDSDLSAGSVSSRAPPPPLRSVVVAPASRQLGALGWDVGAGPSRPVAPPLAVVDDGPWLTQGPRRARALRRAGQDPPRPRRFDVARRPLPAARPPPGSAAARVPVALHGCCYNCGLEGHISAECTNDTICVRCGGSQHTSRDCKRPRPVSNGSPPAMCAPPLRRAGGPSAVAAAVGEGTARSSSTLPPPPTLGAGRSWRDVASFSGGSESGTGPAGTGPTSFSAPFAPSSPSAPPVMLADVARPASPEPLDICYVCPSEGMVQLEEDLDRAVAVTVAGERAVSVDTAAAAIQAQLMLAVDVDYSIRPSDPGDFLILCGSLEVRRQLLAADVVSSPDCTLYLQPWSRQAGAVQRETPFLADMEIRGIPAHAWTERTAIKLLDGAGIIDAVDPATAARRDMSCFRLSLWTHDVAAIPTVRWLAVPEPGSATAPPLAPLAALGMTRLGRPPGAPHVDDAVAGLPAEARSRRDGRCGGSPAGGRAAARASPASEAAVPPLDDRWAPQCSSAPPVRPVRADVCPSVDCVAVGPAADVPMPSAGRVNPSPGSACSVAWSARELARADEGLPLCTTQVRPRLPAGQRSGLACTASADKACSSAFLRGNVVAIEAAEAFLSGRRGVCGTSDASLGFPLSAGSALHPSASHVGVSPLGSAGQARDPSSSAGFLGTDESAVKLTPAASIGSMDVGPVETSTPFDGHAETPSSPAHNDTHVMAQDGALSLRALLSQFRQRIDDPLLPLPDPVVVRRRLFQVVRPSARRSRRLAAKGAGVSAVKRAQRILMHKLGVCRAEERLSAAQLDEYAAIFASPLGPEQVSAIASLFGLDSGAGEDSTMVEAAAV >cds.KYUSt_chr7.1830 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10479512:10481830:1 gene:KYUSg_chr7.1830 transcript:KYUSt_chr7.1830 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAMAAAATERPAVPIFYSAGGIALGAARGDDEEGGVFGGGFPAARPSAVTQQFFPTTTVAQQRQQAVEQREQTVERCVLAGAAAAAAAGAGRWSRPASRAKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDSGKQVYLGGFDTAQAAARAYDQAAIKFRGVDADINFNLDDYQEEIKKMSSCSKEDFVQVLRRQGAGFVRSSSRFRGVTLHKCGKWEARIGQLMGKKFVYLGLYDTEMDAAKAYDKAAMESCGEEAVTNLEEPKAAACSGELSLQSWESEPDLELSLGCSGGGAGGDVLHSAALGNRRTSLTLEMPEEVSTTAWCSGRNRSIWIRPSSRPTTPPNPTLGYPDCGDHRPSTDSSTTTMLQMGQISGGGRAELQQQMSGWPTGGGNHWLPYAAAAAASSGFPPAPELGCGLGAEQLNHHRLDRREQQWR >cds.KYUSt_chr4.49629 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307267027:307271835:1 gene:KYUSg_chr4.49629 transcript:KYUSt_chr4.49629 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTAVKPPPLRSLFAMTSRRRRRSSSPAATSILFSPLRSVSSPTRSSLSALCCLFLALALSVSAAAAGDSAEDNDEDGRCLCFRDVCADGSSFCFASSAVQTLLASDGGIMEPDLGFPRDRGPSRPMCFPMSGGSMVTCSSVDSTITGALDALGQEGKDVARYDAASCQAPLVPDNWMQASHGVPLELDGTTDVNSNGLYSSSSMDVEINPPVLDWGRSNLYAASMASFTVVNRNNDSVLRVYEPFSTDPQFYVYGYEDLVLQPGENASVTFVFLPKLLGSSSAHLVLQTNFGGFIIQAKGMAVRSPYQILPLTRMDVVLGGHLEKNLSIYNPFGDSLYVEEVAVWMSSFESTKQSSHVVCQLGPVDEAVELTSLSSNWHTASRTEFGRPVFHIRPSEQWEVLPSRTSTVVELKLQPISEGKVFGAIYMKLRNCTTDTMDIVVIPIELEVHTRTYYDSTSLVSVTFEHISSCAGNGSIYSLSLRNDAAELLRIVSVTADNRDDLTIFQVKCLNGLILFPDTVTDIALIRYDASVPKDISFDNCNIVIKTNSSLGSSVVIPCQDIKGASISYTPKAAVAESDEQAGVSFSEEISASSRTGSLGSITETEGWHNMKPAIRRADRADDMVLRNWRSHGTMTGISVLTNHALLFPVVQIGSQFTEWITVHNPSQQHVSMQLVLNSEEIIDQCKTVKDAREHTFSSRSPEIDSTETRYGFSLGSSAITEASLGPLASALLGPIVFRPSNRCMWSSMALIRNNLSGLEWLPLRAHGGWQSIALLEGPEPVWKLEFNLGSNLQDNSTLSKSEIISPLCSQQFSKEIDVKNSGDLPLRVTKVKVSGVDCGLDGFTVNNCQGFSLAPSESMKMLISFKGDFSSVKVQRDLELAMTTGIFVIPMTANVPLCMLKQCKKSYFRSIRWKALILFFGTVSVFVLVFVRSSPFSLSVSSQDYYVKIDDGKDNITKTVKPSFLQGSNKTSRSIREHKKPEEALPEKCLPSTHDGPQKKDDKRKPDKQQNTATTISVSPANRVEDKVTSEATQTSGNLTIRVARDKGRRRKRKVGGTGLAAKFEVSSSHSGNSTPSSPLSPSLTPKQGWPFSGAPSELKHKTKLESRLDVETRAPSTGNNKEKNDWSRTAKQQPPAPSAATSLNPLASSTALTTAWSSPLLAASSQIAPHARAPGSNLVKDKAVKRDEGVTALKKEFTYDIWGDHFSGHLLGKAREVEPYKLFGASEGASNSFFAREPQALMMKQPSAPPVSRGRRSQPSDVAPGYGIN >cds.KYUSt_chr2.53530 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333924147:333924755:-1 gene:KYUSg_chr2.53530 transcript:KYUSt_chr2.53530 gene_biotype:protein_coding transcript_biotype:protein_coding MARDTPLGAGAMSGLMDWHAWLSSARLEPTLVNEYALVLARNELEAGDAAYFDHEFLRCMGISVAKHRLEILKLARPRFLRRSSSAATAASLSRILAAVDRAARYLRSIVRRRGESSSSAALVLVPCQQQPDGVDFVRASSSYGYCKAPKRTRSKPKAAAAAAPPRAGGCRGAATVHAMKDIDSGGEETVKWDRMFQDLNPN >cds.KYUSt_chr4.47023 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290941193:290949220:-1 gene:KYUSg_chr4.47023 transcript:KYUSt_chr4.47023 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYMDQQQPRPPPPSGDHAAHPLTWARFVEKENVWVLLVEADKTTRLAITDLLRVCNYQVLAAEDGRQAWAFLQVQGIHNNIDLVLTEADLSGIELLDRVMDHGVCKHIPIIVMSNCDSLGTVFSCLSKGAVHFLKKPIRQQEVKSLWQHIWKRFNSSSWTKRAVVIDGPQAMAPDHSTEHLDSTCALVIHPNSTRWVPDTADLIGLMAKSMDVQQAARAKDAPNCSSKLVPWLELSLKRPRSTGYYANAIQVATRNVLGRTNISAITRIFSTYNNSSAVSNQGGAGFTESFLPHGNSSEVAKTDSPYNMKSSSDAGLMKQDYENEIETTDGAPEGDYELVYEEPDLSGGVEGVDYGIVYGPDNNESEE >cds.KYUSt_chr5.41460 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261607317:261607532:-1 gene:KYUSg_chr5.41460 transcript:KYUSt_chr5.41460 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRSSLKTALLRYASGTSNRTPSAVYNTQCPFTGTEIVVWHSSAAPFAVVVWVILFLPMAAILCHFFAN >cds.KYUSt_chr2.15567 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97992193:98004228:-1 gene:KYUSg_chr2.15567 transcript:KYUSt_chr2.15567 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGHSKKPVALSPVVEEVAKSHHFFLRAEHIGGTHDSGVEAMVHVGRKALVHGEVEARLHVGAALITRTITGQMLDRNSMSPRRLQAVVMLLMLMIFNASGAFVGINIGTQVSNMPSPSDIVSILKAKKIQHVRLVNSDHQMLVALANTGIEVMVGVPNDQLLRVGQSRPTAADWINKNVAAYLPATNITYIAVGDEVLTAIPNAALVLVPALQFLQSALLAANLNTQVKLSSPHSMDMITKAFPPSTATFNSTWSSVMSQYLQFLKSSGSAFMLNAQPYYGYVKGQGIFPLEYALFRSLNPNSQIADPNTNLFYTNMFDAMVDATYSSMKAMNFTDIPVMVTASGWPSHGGRNEPAADVDNALAYNSNLIRHVLNNSGTPSQPNNQVSTYLFELFNEDLRAGPDSEKNWGIMFINASAVYSLTFEDVATTTTDSPALRGMFCVANSSAPHSALKQSLDWACGPGSANCSAIQPGQPCYKPDDIVAVASYAFNDYYHRTQASGGTCNFNSTASMSSTDPTPAPMAVVRLPDLFALPLAAVMSSSSSFPTSRFPFAAGGSGAGGPGGGGGGGGGSSVRPWGSSGGTSVSSSGKRIQKELLDLNASDCSAGPKGDNLYHWLSTIIGPQGSPYEGGIFFLDIIFPLDYPFKPPMVTFKTRIYHCNVDSTGMVSLEILKDGWSPALTISKVLLAIKAIITNPNPYNPLVESIARLYLTDRTKHDEIAAEWTMRNERLLRQKEQTTLSSDIFPKSQTMATEVDVNKSRRFDRGMSRRTRRPASLVASYEDQYMPPLARQLLQEARLKRLFQCEDAELQAPQPCDDAEQQMEILQAPQEFEVIEKKVPEQYQDHQEKKSLQFEDEEEKEPQPHQDEEHNIPKQYQDEEYQPQNFEDEEDEKKPHQYQDEEQNIPKQYQDEDKSGQQHEDDNAPEKSLDEEQKAPEQDQQKVDEQNIPEKDQGEVQRTEQQYQDEKELEQCQDEKQKTPEKFKDEEEKTGKQCKDDGEKTSEQYQDEEEKTSVQYQDEKQKEQKGCQGTDQKTLVQHLEMPFTPLPVGNMPRLSLLELIREKQLGTGEPKATSNFGYGENAHASHRAPGAAAGGTTLAMVIRRHDGGKKPTGIIRRCVKALNQMVKAKHGSKKNVPFKEV >cds.KYUSt_chr1.37029 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226042567:226043145:-1 gene:KYUSg_chr1.37029 transcript:KYUSt_chr1.37029 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHVEMGGAAGIKLFGKVITRQPATRAGTDIGAPSKTSQQAPPPMSSSGRGSVEQLEEAARARAAAAEARLPCPRCRSEDTKFCYFNNYNVNQPRHFCRACHRYWTAGGAIRNVPVGSGRRKNRPVLHGTSTMVMSGDHRLAGTESPGLALGFPPEHPGWFHAAPSPAYTGHGEMEQYLWLVHQCQPQRPG >cds.KYUSt_chr5.32565 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206553939:206557418:1 gene:KYUSg_chr5.32565 transcript:KYUSt_chr5.32565 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLGRFWALAVSDDEDAGEDPCVAETESGDSSSAEGRRRPATSVTLDGFIHRAEELGGSLRHRRRRAFAPGGKGSRFSAGQTSRFGRLGEAGWSRGGRGPSLAASPAVGGPVTGDRRPNDQRKTAAAAAPPEVGTVEGRSVAQGSASCQAGGLDLGRPASVAVPGLEEFPRLPGSSAGSGPCAGFGPLLLSCPTGLEGASIGVKRWLWMPKGVSNPCLGFLARGEEVRRRFSRQSKFVVRPPQPPALTRSFAEVTAMGGDGRNKRRLDCEGGDGRRAEGGGSGAGFWQEGGSSGGGGGHNQGQGGGRYGANSIWQGPGHGGSDGGRRHEGGGGGSFRQEGGNVGSGGFFRQDGGGGGSFRQESNFGGGGPFRQEGGSNFSGGGGGPFRQEGGGNFFGGGGGPFRQEGGGGGGSFRQEGSGGGGSYRQERGGGSSFRQEHAGQFRSDGEAGHFQGGGGQNGFRDEGQGSGGNHQLQEGWVQPPAWWQGQQRREDRAPRRSAGEGRARNPNAGRQGPAAGKGGAMIKFKSTPLSPLQISDEFKDLVDEQWDWQVCRLSETEFSVCFPSQATLRMGTRHGKLFLPINKVEVEIREAFLSPKPSLSLPSVWVQLSGVPDDLMEVDRLMAAMVLIGRPLEVDELSLRKFRTEPIRVRFQCRYPERMKGTVQLVVNGEGYNISVKAELGGRGGGTTGSGPAPSPPRDDDQDDEDYDDLSPSEEEWNDLGQKDKEKRNQAAAEKTQETTTGKEKATEVRGGGMGAGGYHSAPPLGATESRLRFLDEYGSNLADGGVLASFRQPRSLMIPVASPPKAAEGSTDSPVCDPALEGGEVMAMGTGLGMAAPMEVAQGEHLAAGETAVATPVRPMGGDGVPRSSPLPAVRLPKVGEVVPVVAAEGEMSKTAATYSRAPKKMDKALSVRKSSRHSKAAVNLSALEKAKRLTADTNLDSGTPQSTSLDSLPDARLSNVLVDSCIVFNPSRGSPCEILDLVRARELAQAAIAAAAFKKEKEEQLAAAREAELQAEVPAEGPPLSEIPEGEGPSTRSKPKRACAKRPMLSSRKGRGKRAG >cds.KYUSt_chr2.52579 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328044126:328046440:-1 gene:KYUSg_chr2.52579 transcript:KYUSt_chr2.52579 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGAASGSDKLSTSSSSKEDKGNFTVCSTCATAQELAGYTSTQRRRSSRSWYLVVERSSRGEPESLAEGEEGRQIWATEEVEEMGTLTRIHLSDLGMVYMLVDATVFPTERWMGWGQFFVITMAASSFLTTKRISSPVRDRSMVGIVVNDIKSLSEGHGWLRRTDTNKSFTSSSRNHSNMDGVAGQIVYPILGIVAAAAATFYAVSFMEIREKSLEELDEKYSEYEETGGRQRRARRRSGRQAKKRND >cds.KYUSt_chr2.46626 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291530205:291530606:-1 gene:KYUSg_chr2.46626 transcript:KYUSt_chr2.46626 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNLVVFSMASACGGNCPTPATPTPSTPSTPTPTPASFGRCPRDALKLGVCANVLGLIKAKLGVPPTLPCCPLLEGLVDLEAAVCLCTVLKANILGIKLNLPIDLSLVLNHCGRSVPTGFKC >cds.KYUSt_chr4.46160 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285237091:285238361:-1 gene:KYUSg_chr4.46160 transcript:KYUSt_chr4.46160 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRRWLPSCCCFDRGDGGGRSGSVADDGLLWDVALKAHASGDYSVAVAQANEALEDQAQVLVSPASTLVGVYDGHGGPDAARFVNARLFSLIQEFASENGGLSAEVIRQAFGATEDEFMDMVAKSWPTQPRLVSVGSCCLVGAIEDGTLYVANLGDSRAVLGRRAAPGDRGKRRTVAERLSRDHNVSDEAVRREVVEAHPDDPHIVTSAHGVWRIKGIITVSRSIGDAYLKRPDMCSPALMQTICPFPMRRPVMSAVPSVRARKLRPGDQFLIFASDGLWEQLTDEAAVDIVARSPRKGVAMRLVRAAQLEAARKKDMKYESIAAIEKGRRRRFHDDITVVVLFLDNRPSCAPAQSSGVAADEIDGTYAPVDVFSLSSDDQTDDPTRPVLR >cds.KYUSt_chr6.24518 pep primary_assembly:MPB_Lper_Kyuss_1697:6:154849734:154850291:-1 gene:KYUSg_chr6.24518 transcript:KYUSt_chr6.24518 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRDRALLEADLWIKEGGKGSVDKQLLSAYAEIDIRAEYNYMLHVRIPSDDCNLDIKCMALTRSVETVIQVYAKVDHPCHVRFTAFSTCYDDYEILIFDGKLFGNEKLFQHVVTVKASEKLDVLLKVGQSLFQWTFQDEHVGAVCAPDDSIFAYGQFFVRVLFAPKDYQRNPSTTFRHPSIGSS >cds.KYUSt_chr3.1362 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7586428:7587123:1 gene:KYUSg_chr3.1362 transcript:KYUSt_chr3.1362 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKGPCRSLEPRIPRSRPRLFNRGLDLDPADAPAAAPTWKPPRVASTNDPDQALSYLHRACSLANLAVKHIDLAVAVISSFLDPKDVAETAEMADEDAYTSEEGPYPSD >cds.KYUSt_chr6.1431 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8763963:8764241:-1 gene:KYUSg_chr6.1431 transcript:KYUSt_chr6.1431 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFSTAQAADPKNMPVPKLILHLEGADWDIPRENYVLEVQEDKGTLLYMVILPTHGQDLTIIGNFQQQNTHIVYDLHANKMNFEPASCDQL >cds.KYUSt_chr5.4669 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29755038:29757313:-1 gene:KYUSg_chr5.4669 transcript:KYUSt_chr5.4669 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSAVSKDAVVVGDGAREDQHRLRAMAARTMTDALSAAVARSGAPEKAARLEECARSLEAEKAKMELFRRELPISVHLIADVIQWLKDELAQHRKRPAPDQLLAAAPSPAPSAEGVKTEPDATDKRSWMSSAQLWTCGSHSTASTSIISNGGSDRKKQAQKVSNAFMPLNGLPSFPKSSERPETAAMAVPDLSLSSPVIDAPCPGGPSANSSVVTDAGEQQRQQSRKARRCWSPELHRRFVAVLQRLGGPHVATPKQIRDMMKVDGLTNDEVKSHLQKYRLHTRRTSDGDRQQQAAGVWPPPEQYTTSQHSTSQSGSPQGPLQLTVSSRAASVTAGDSCDGGDEEDGKSASYSWEMQQNGTKASSSS >cds.KYUSt_chr4.6385 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37562347:37563744:1 gene:KYUSg_chr4.6385 transcript:KYUSt_chr4.6385 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLEEDDGNGKFCRGVGDGLRACAMVDKAHLILTRQLHPVYIRSEIPIISEQLHELMDRWRWKREHSPALELRDLGGPGRWSRSRSWDVNSKEAEKGSGKH >cds.KYUSt_chr1.21338 pep primary_assembly:MPB_Lper_Kyuss_1697:1:125844851:125845799:1 gene:KYUSg_chr1.21338 transcript:KYUSt_chr1.21338 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAQLRLLSLLALCVASATADWSRGTATFYGGADASGTMGGACGYSNLYNQGYGVWNAALSSVLFKEGASCGECYLIMCDTSKSVDCKPGAVTVTGTNLCPPNWALPNDNGGWCNNPRQHFDMSQPSWETIGIYRAGIIPILYQKVKCWRQGGMRFNILGSKYFQLVLVTNVAGSGSIQSMSVKGTSTGWITMTRNWGAIWQCNSVLTGQALSFSVTSTGGQTVYTYNVVPAWWDFGGQTYASNNQFDY >cds.KYUSt_chr7.28613 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178415666:178418363:-1 gene:KYUSg_chr7.28613 transcript:KYUSt_chr7.28613 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGTRVEAAPRLAQWRVDALPCYTYRKSDPFRVGLWNWSVPLSSLHALCKSLACYLYISVERNNKQTSVKLFAELSNSAKNTAPAPIASFVAKLLISFPPNQKIIVHPGIFDKHLKHDGFVWTIDSSVTGRFVIEIEFLDLKVADPSGGEPASVWASNEIRQSSDNTALSSLSRMLQEGILCDITINADDGSIRAHRAILAARSPVFRSMFSHDLKEKELATVDISDMSLDACRGFLNYIYGDVRNEEFLTHRLALLRAADKYDIGDLKEACLESLLEDIDTSNVIERLQTGHLYRLQRLKDSCLRFLVDFRKVYEMHDEFNMFLQTADRDLVAEVFQGVLAAWSGR >cds.KYUSt_chr3.30910 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193942331:193947687:1 gene:KYUSg_chr3.30910 transcript:KYUSt_chr3.30910 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPAVTRGVNEADPFRTSSSHGARRVRRVGQGDLAAAGVDLDAAAVVSAERRVHRVDTGDLAAVGVDLDASAVVSTERRGCLGDPNALVAAVAGNGKATEIEQDKHLVDFRTGKWSSFTGSKEIEQDNHLVDSRTGKFTVRKTVAVVYDDRMLLHRPDFYCKDGSVRMIQHNEPADVDDIPERISCIMDKLYEDNLMDRVTVVEPSFASVDDVLSVHCPDYAEFIECLPPSPANLDKYMFYNTPDMFCSEGTRDAVLLAAGAAIKAAQLVNSGEYQKAFAIVRPPGHHAGRDEAEGFCYFNNLVIAALNLLRKHEVKKILGVDWDVHHGNGSQELLYSSNEVLFFSCFNNALRYPKTMKQGVEHVGKGKGAGYNVNVPLRTNFGDADMLYVWEEILLPLLKEFDPDIILLSCGFDAALGDVGLAKVTAPCYATLLHKLIESGNGKVVLILEGGYNLQVLANCASHCVRLMTGDTEVLLKDDTIKAPPKSTKDTVNLLKHHLSRYWEVFKDC >cds.KYUSt_chr2.36543 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225561704:225566689:1 gene:KYUSg_chr2.36543 transcript:KYUSt_chr2.36543 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKDRISYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPTELAQFHSADYVEFLHRITPDTQHLYASELTRFNLGEDCPVFDDLFEFCQIYAGGTLDAARRLNHKLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDIKDIGDREGKYYAINIPLKDGIDDNSFTRLFKTIIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFKIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNDIPDNEYIKYFGPDYTLKVPNLSMDNLNSKSYLSSIKVQVMESLRAIQHAPGVQMQEVPPDFYVPDFDEDELDPDERVDQHTQDKQIHRDDEYYEGDNDNDHDDGAH >cds.KYUSt_chr3.45643 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287531347:287532518:1 gene:KYUSg_chr3.45643 transcript:KYUSt_chr3.45643 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRQVLPGTGSVNDVPRISPYYFWYYLLLPPCRIIRRLGTVPDQIAAVLDRPSSIALLLRLGRSSSAWAGPPALHPSGLGSPSSSALALALALALHPPPLRPGQILAKVLLEEGLPRKVLPEDDLLRPRQVLAQDHPGGRSLPAQVGPRPGPSWRTITSGPGSSSPRLATTWLLEPKRNRDDIDVE >cds.KYUSt_chr3.41643 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262755392:262759178:1 gene:KYUSg_chr3.41643 transcript:KYUSt_chr3.41643 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVLSVELLLPRFDSSRQGPPYLFPHLLLVQNDGITAKNGRRVLRYNLETPPADLQRVDASFATTPYWSIAKEAVSSARWLPIEPVSSACPLVRCAVERKLLHASRSARVGEENKGTGLNFSSRNLLDSAAGERTPQGKKVNSVEETSSLSDNIDAIMSMLVNGRSNGDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSNNNYRP >cds.KYUSt_chr1.20097 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118388880:118391073:-1 gene:KYUSg_chr1.20097 transcript:KYUSt_chr1.20097 gene_biotype:protein_coding transcript_biotype:protein_coding MLECYYARRRDTGSVIAPSIRLIRRAALSRRRKKETPKTGVFRLVNHGVPRDLTARLFRLTLYLLDTDPGEKELPGYFGGTPALSLCVKENVAHMARIARKLFDALADGGAELALNAAQRPAEQNA >cds.KYUSt_chr3.7904 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45388126:45388529:1 gene:KYUSg_chr3.7904 transcript:KYUSt_chr3.7904 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLRHPDVLFFHLQPPSSALRWCGDVAAAWAAVAWLGWPLLSLNYFDQVVPLPLDDTDTGKSEPTFIRNWSQSDAIEQIAVATWLHSLHCVLIV >cds.KYUSt_chr1.37369 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228296635:228298860:-1 gene:KYUSg_chr1.37369 transcript:KYUSt_chr1.37369 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDIKAARGGRIFENLGTVEGRLLRLEVVVLLSALVLATLVLYGSTRRRSSDRLLRGVMWMAYSLSYVVVTYAVGIIQDGPFHGETFVLWATALLLIQASAYAAPVHSRRDVDQRKKLLLQHVLQTGLVLWLVLNATGTNASYRAAIWAFWSLNVLKTAAKIGEMIKTSLPDRSVKVVAEYMDVEESLAAADQQPADPKTMTGYRYLFHGEDTMELSHEYGRLSREEILIQSTCKSVVTIDAVYRWIDEQGYSDVEKDMAKDFCLAFALFKLLKRRFFGYVPAEAGSTKALNLVLNGLIHQQVHHPHHRHHRDVVATGPDAAFRVVEAELSFLYDFFYTRNIVLVGVRTYIFIAVVVLALTMWTTFFGTLGPDYHRLRIGVKDLDRSVTVVVIVITAALEMCQALAAFSNNWRYTKTVYRCVRDGRPWHKKRQGGHLWWKESITPPEARYWEDKIGEYVLLKRYSHRPLNLLSWMTLYLVEPRRQGQKRERRKDLPVQVRHAVLMSFKASGGRLSNGVTTLQSHNLLPRLAWACQFAKVTDQILVWHIVTTRCDWSSRLHRHRRRGDDDGGSDNWLVATKLSNYCAYLVAFVPEMLPDPSYNAEQIFDTAVRQTRCHLASCGTKQEILAQLDKIEANEQSYTEGLEAGGGTEGRAGSSTIVERAALLGGQLGLAVGRDGERLWRVLAEFWAELVLFLAPSDNVDAHAEMLGAGGEFMTQLWALLAHAGVLERPAAGASAP >cds.KYUSt_chr3.24771 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153741159:153742658:1 gene:KYUSg_chr3.24771 transcript:KYUSt_chr3.24771 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDEQQSPLHILFFPFLAHGHLIPIADMAALFASRGVRCTILTTPVNAAIIRSAVDRANDASLGTDCPAIDISVVPFPDVGLPPGVENGTALTSSGDRLKFFQAAEQLREPFDRFLADHHPDAVVSDSFFHWSADSAAEHGVPRLGFLGSSLFAGSCTDSMLRNNPLETAPDDPDALVSLPGLPHRVQLRRSQMVDPVKRPDLWELHQRVNAADQKSFGEVLNSFHELEPDYVEHYQTTLGRRAWLVGPVALANMDMAGRGASALSPDADSCMRWLDAKQPGSVVYVSFGTLISFSPAELRELARGLDLSGKNFVWVVGRAGPDSSEWMPEGFTDLIARGDRGFIVRGWAPQMLILNHPALGGFVTHCGWNSTLESVSAGVPMVTWPRFADQFNNEKLIVEVLKVGVSIGAKDFGSGIETHEVISGEVIAESILRLMGNGEESNAIQKKAKDLSVEARRAVEKGGSSYNDVGRLMDELIARRSRVKVGEDMPTNDGI >cds.KYUSt_chr5.2802 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18520166:18520591:1 gene:KYUSg_chr5.2802 transcript:KYUSt_chr5.2802 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRNVLLRKCKSLSRAGSSRSSSSYSNLRSMSARDIAGDDTAVSAAAGEGAAVVFVGSSRRRYVISAKHLSHPLIAALIDTTRRDDGGDGTASKAQEVAVKCEVVLFDHLLWMLDNAADLRDDDGGDAARELAQLYATC >cds.KYUSt_chr5.34498 pep primary_assembly:MPB_Lper_Kyuss_1697:5:218763062:218763936:1 gene:KYUSg_chr5.34498 transcript:KYUSt_chr5.34498 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETARSNFSPLGPTGAADRDTAPDVGVCLRTYLPLFNGFFPPLGRFKQLEAEDMYKMVVVPTRRGDTEDAGTDVDRSAPAVAAARTSRSHSEAERKRRQRINAHLATLRSLLPSASQMDKAALLGEVVRYVRELRGDADAGTVAGVAVPGESDEVGVEEERWDDRENAKRVRAWVCCADRPGLMSELGRAVRSVSARAVRAEIATVGGRTRSVLYLDVGQTIGASRPALQAALRAVLLSREDQLLAVESYKTQRFSALISQGLG >cds.KYUSt_chr5.16089 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103651192:103655169:-1 gene:KYUSg_chr5.16089 transcript:KYUSt_chr5.16089 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRSQKQQQQQKPQEHVDPHGLKPATSAIAALTRDLRSFESSSVVNVNCIGDGDGFTAYIAIRDDPRELANVPQEVYEMGVDAPELKMPYGKESKNALVKLIGGKSTKIYVYGQDQFERYVGDIYCDTVFIQEEMLRNGHAWHFKNYDRRPQFSAWERKARAARKGLWASRNPEKPWHWRRDERNERHGTIEVYFHRSLLWHHGVLLPNFLLKSTMRSLPLMPPHDHTNTHPLHMLGYIMKA >cds.KYUSt_chr7.32542 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202684133:202686095:1 gene:KYUSg_chr7.32542 transcript:KYUSt_chr7.32542 gene_biotype:protein_coding transcript_biotype:protein_coding MRASNPPDKEALLSLPPCRSLGSLWRGSKTVKSMSSGEPKWHPLHTYALALQIPLMDKLLDNGVDINLVDKDGFTPLHKAVIGKKEAVISHLLRKGANPHVRDRDGATPLHYAVQVGALQTVKLLIKYKVDVNVADVDGWTPLHVAVQSRNRDIAKILLVNGADQTRRTNGGKTPLDLSLCFGRDFNSYDLAKLLKLVPANRAA >cds.KYUSt_chr1.23354 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138679479:138679883:1 gene:KYUSg_chr1.23354 transcript:KYUSt_chr1.23354 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRLIEGQCRRQSPIQDPPWIWREAAGDVPPGAAPHHQDGKQPLPPPNQALAGNFKPIPLATKAGQEREKRRQEELDGDDDGLITETSQGGGALSSNRRSSEEHHQPRPTLDLAEKPGFCSKPNRQTAGNYA >cds.KYUSt_scaffold_1700.88 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:625300:628147:-1 gene:KYUSg_scaffold_1700.88 transcript:KYUSt_scaffold_1700.88 gene_biotype:protein_coding transcript_biotype:protein_coding MHNAAHNWAAEFGKQNNNPEGWVTEFGKQNNNPEGWITEFGKKNNNPEGWARSFEQQYGPNGWASEFEQLSQGADKWVNREPIVFMANSVLLYELHRFLTLPSRLFVKPAGRQTPGLLSLGFEQQDVSGVVTYVGPAEADFCAPSGMREIAIVDSGHNTIFLRFFGESAYLLGDQLLSAEQNNAVVVASNMEVVHQSSVRQYSVLRHQSGST >cds.KYUSt_chr4.35221 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216384401:216386218:-1 gene:KYUSg_chr4.35221 transcript:KYUSt_chr4.35221 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSQQPQGSGAGKNKKKNQKNAASDGSKQSGDRGGANLQLSVSGGPGPILDPKFKTVTCYNCGELGHYVGLCTRIKRCFICSKTGHHMDNCLMWYSLLPTAQYWGSTNPGLGFFHVEVEGPEAVQWLNMDNVGVVVVKEGEISAEELEKCFNDMWKANWFWQIRQIGPKKFLVRFPPSKRIKDLVEYPSINLKKDGVVIYFVNWEGEAEPFEEFQEIWVKIFGIPAKWLTWKTICQISTSLGVLVNIDWQGIFRSFYKEVRVKVVVRDKAKIPANKLFEMEQCFFLINFFVESEGEAIDVDDDDNEDPGQGNVGDAVDDDNEIGDDFKSLDKGKNSGTNSKMETEPSIPPGGRSDVQSAVHQKLESSVQDRVFGKEPCVQVNNALVLRGAEENIGKNLLQHFDEESDDEADDGGQNADKLVPNNPVHVIPPMAWKEKKKWGPVQATRMSSRITRDGKTAIEKAQDIKKAKNLEVPKGNKIHGFSNSFAALDSPTLYDNAKNAGISLGHRNSNVNSMIDEIKEAETKRLVNFHNSNPDNFLPSDISLSLEELRVGFEEERKGVSDQEDCISDVPDDDEPWTLVHSRKKGRRKLIFKNGSSFNMEP >cds.KYUSt_chr7.10265 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62827283:62828772:-1 gene:KYUSg_chr7.10265 transcript:KYUSt_chr7.10265 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEARGRGAVNPYCPNADNPYHRCAAYCPVAAPAPAASKPPPAQNGAARSNGEAGGAQRQAVNPDCPNAVNPFHRCAEYCPVPAPAPAAVKTFPLPPGTGQELAQNGRTHSDGDLQPRPRRRERAGGSGGLPLYVFLREGSDGEGKKVDPRCPNKANPFHVCTDHCLDKIIDAGRSSEGGKSPISLFSRRSGRSTSSSEDGSVKSGSSKKSDAKCPNAGNPFHECGEHCTAKIKEAEKLKKTDKKSPRGKGGKSISPVQNWKVDPRCPNAGNPFHICAQYCFDHLNEAAPTAPSKPGVFFKLPSTC >cds.KYUSt_contig_319.1360 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:9060442:9062250:-1 gene:KYUSg_contig_319.1360 transcript:KYUSt_contig_319.1360 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALHKAAVQGSAASLKKLVAGRPDILGSKTPQKNTALHIAAELGHAGFAKEPSAAQKEKGKTGEPSTSSPEAESAQGPLLMANKAGDTPLHEAVKYGRSALALKLLAAEPSRGHALNVKKQSPLHIAAREGLADVVEKIMRQPWVHEKFVTSDSVSGTALHQAVLGGHTRVVEILLDATTPEEQIALTDSSENNALHYAAQKNNARVVKLLLNRKVDLGYKLNTDLKSPLHMAAHYGSTEAMVELLKQCPDVVEMVDSGGKNAFHVAVISGKVNALRCLLKHVRPEEIVNRVDHDGNTPLHLAARMSRIQSALLLLQDRRVNPCLLNRDNQSARSLIEKRAHAEEMDTYEMYLWKKLKKQEASRCKKELLPPVPSYQSLRSRRAGHDEYYELSVGTYTLVATLIATVSFAATFTMPGGYSQTEGTAIHGHTAAFKIFVISNTVAMCSSIVVVFCFIWAWRDPVKFKLDQLMWGHRLTVLACLAMVVSLMTAVYITVAPTARWPAYVVIAIGASTPVVVFLILGKEALYVPL >cds.KYUSt_chr5.37756 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238487942:238489807:1 gene:KYUSg_chr5.37756 transcript:KYUSt_chr5.37756 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVSGMAPLYFALVLGYCSVRWWKLFTRDQCDAINRLVIYFALPFFAFDFNAHAGTFAASYRVLAADAVAKLLVIVSLAFWAAASSRKAAPTSYSWCITGFSLATLSNGLLVGAPLMDAMYGKWARDIVVQLSVVQAVVWLPVLLVVFEARQAWMEVTAPAPAPALEEGLQEDVDRAAAGGGRKKKTGCAFWGPLLRNVGLKVVGNPNVYASLLGVLWSIVANRWNLEIPLIIDGSIEIMSKTGLGIGMFNMGLFIGLQDKIIMTGPGLTTLGMVLRFVAAPATTMVGAILLGLRGDVLRVAIIQAALPQSVGTFFFAREYDLHADVLSTAVIAGTLVSLPVITGYYVVLGFI >cds.KYUSt_chr6.7557 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45934841:45960100:-1 gene:KYUSg_chr6.7557 transcript:KYUSt_chr6.7557 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFMSDEELRLLGGDVAAVAERADAAIRELRNQVDTVRAEADAAAIAAEQTCALLEQRFTALSAEVERYQAEAAERAAASERRDADLASSHAEIHQLRIQLIAKDGEVERLKVEISELHKSKCQSLELIEQRDIEIREKDGVIKSYYDKILNQADASASKEARIQEAEAKLTHCQSTCDRIAQEKEILEKHNLWLDEELTAKVKNLADLRKANMDEESRLSAKIAEELFSAKDASAANEQRLGTELSTVMKLADLHKESSDEWSKKAGELEGVIKALETHLTQVEDDYKEKLEKETLAKIDLEKEVAELKQKIEKCEFDLENARKSGELSLVPLTSIAEDLADLSDTQMKEMTPNAVNQNDLMIVPRVPSGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERWGRRHAEAVLERVLHEIEEKAELILDERAEHERMVEAYALMDHKLQQALLEHDNFENTIRNLKVAVLLKECQDIQLRCGSSLSNVGHGAFSMTIRDGVSNDEGNGHEHMTFNDINGLVQQNVELRNKVHLLSSDLDKKDMELRESFQVELKRITDAAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKTRSTVEHISNNLQDDGRKDLMVLFEGSQEVSRKTYEQVSERARKLDEELTKLRTELGSLRSERDKAVLEAEFARDRLNGYMAEIDHQRKEANSVSLRNAELMHLVVDYEKRLRESTDSMQALEENSRKLLMEVSTLKHEKEILVKSERRSLDEATIDTIHTTDEVQENARSMEKRNQQEYIKRLERDWAELKKELQEQRDHIRVLTLDKKSAFDGCMKQVEDMRKEVQSSWKASSDAASRAAVAEAKCSDLEAKLKSKTIIFRDGGHDNSSATEVNDELFRLKEELEKYKEEAQANKSYMLQYKEISSSNEAALKQMESAYQDYKTESEIAKRSLEDEIAKLRSQLSEIEKRYVMKCEEAASAIEAKEKEFNTLMNEIVILRNEVTQKVERIENLEIELASSKSALDEQCKRWRSAQDNYERQVILQSETIQELTNTSKQLSSLQQEIAILRQTADAQKAENDALRTFGEQEKIELAKEKDDALKKYNELNDQLESALKSSKEAHELLRSQADSARSSMFKDEEFKSLQLQVREINLLRESNIQLREENRHNFEECQKFREEVHKAKIEAERLEKVLLEKEADAEICRKELAMQNIEIANLNQRISELTLRGNSIELERAKNILSEREVVIKNLEEKLAGCQSEFGARERKLTDIEASLRSEIDRQKKLYGSMKKKLEVSAKEKEDSLLKQIEDLKSSQKTISETATDQVVKEKESRIQEKKQMEELIEKHRQAVKEAIEHYSGQSSQIPSGSAVEQQIRSYFLAAKQWEESPNPFEDGSTSQTPLTETSTVDTTTAGRQVATPPRPPTQLKVMEEKSVSTLTRPSTEQRKLRRPLVRPPLEQRVEEPQADSDTPIVEGSTLQDKGGVALGSAAVKEKGSMPMERGSTLGQEKGGSSLERETSGGVSVLPSSRKRLISSSQVRDDASQGEASDANPPSKKHKEEFSQGTSEMKNDQSAPEDVTAQAPVVSVDNQDGQQLTEEMDMDQTSIRIEEVEETRDDDVSPNDDMEEQTGASVDIKSQDTEVDVDNNATDVEDVPVKSEAVVELFDEDQKLEGGKEEGQIATTTDGEDEREEGELPEESEQQSDSSPLHVDADMSEETGEGEATADRAAVEPDQSPLSQSAGVDASPSRSPAREPSPSNPAQVGASSEQQNPGAVAETGAKGRVVNLAKKMQTRQEKFGRISPPPPSSGRSGGRAPPRGRKRGGSGGQSQ >cds.KYUSt_chr4.4395 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25164785:25167404:-1 gene:KYUSg_chr4.4395 transcript:KYUSt_chr4.4395 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKTLHMSRVWREVEPVLWIGATAGLCWAAWRYYQYRVCLRNYGRKMGPCSSDPVIGRDDEIDRVICILCRRTKNCAALVGEAGVGKTAIIEGLTQRIASGKVPAKLAGARVVELDLGAMVSGAIFRGMFEERLKDVIKSAEDEDGKVILFIDEMHLLLRVGDCIGGTDAANLLKPALARGRIPCVGATTLKEYHKHIQADAALERRFQKVHVEEPSVQATIAILRGLKQQYEDHHGLEIQDDAIIAATQLAGRYITDRQFPDKAIDLIDEACAATRMLVDSEIEATATRTQICNKQKVNAQSRAINAVKEGIVGPAHVAQVLSRWTGIPVAAIDQEEREKLLHLAEKLHERVVGQDEAVNLVAQAMLRSRVGLDQPGQPIGSFLFLGSTGVGKTELAKSLAMQLFDSEKVLVRFDMSEYSEHGDVMRLIGAPPSYIGYEDGGQLTEKVKKRPYSVILFDEVEKAHHSVFNVFLQLLDDGVLTDGQGRTVDFKNTIIIMTSNLGSKHLAEGMAGETTMEDARNLVMKKVREHFAPELLNRLSEIVIFDPLSHDVLKEIVKIQVKGVVDRVANKGISLHASDSALDIILSESYEPMYGARPIRRWLQKNVMTVISEMLVKGDAAEGSTICINGSDDNKGLRYEVVKKVADPPGNLSEPALEPLGDSSEDSDDFSMVTTIKDNVMAAEKTKVAVETVSALGGHGASWWPWTIWK >cds.KYUSt_chr6.27263 pep primary_assembly:MPB_Lper_Kyuss_1697:6:172911775:172912252:-1 gene:KYUSg_chr6.27263 transcript:KYUSt_chr6.27263 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQRVALWLDHTTGEPGVEPEQANAQAAFLFGKAHLLPGYQALGSRGMIQSVTQKVAGQSFEDVQQRGREERYVHGVVRKGIKH >cds.KYUSt_chr2.16183 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101797832:101800594:1 gene:KYUSg_chr2.16183 transcript:KYUSt_chr2.16183 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGMLILKLGSALAIEAVKVGTGQLCLEARASSLVRLFGQIRDIKEELESMQSFLQEAERFKDTDKTTASFIKKIRGLAFEIEDVVDEFTYKLEDKHGGFSVKMKRRFKRIGTWRRLSLKLVDIKLKLENLDRRRARYDIRGIAIEARSSDAHCRSTDQTSHFPTEENLVGIDESRNLLIDWLTCDLQQESVISTVWGMGGVGKTTLVAHVYNSLKIDFDCAAWITVSKAYQVQDLLKQIIRELQKSDLKGELRVDIVDMEKRSLVEIIRDFLRGKKYLLVLDDVWGVDIWFKIRDVFPANSTSRFVITSRIHEVALLATGNCIVELKPLEEHHSWELFCKEAFWKNESRICPQELQILAQTFVDKCNGLPIAIACIGRLLSCKKQIYSEWENLYKELESQLTNNVILDLNIVLMVSLGDLPYILKNCFLHCTIFPEDYLMKRKRLIRHWVTAGFIRETQHNTMEEVAEGYLYELVNRSLLQVVERNESGRVRSCRMHDIIRLLALTKSNEEGFCRIYDGSGSSSAENTRRLSIQSANIEQLTLSSEVHLRSIYVFENSLTIDPLKSFLKSFMLLSTLDLQGAKIRKVPNEVFSMFNLRFLGLRDTEVEELPKRVGRLHNLEVLDAYNAKLSSLPESVATLKKLRYLYGATDPKAGIKGVVAWTGFRVPKGIMHLTGLQTLQLVEASSDTLCHLGALTELRTLAITKVRREQCSDLCTAIMNMSHLVSLAIMAISEKETLELEDLCLPPTLSKLEIGGQLDKKRMPQIVSSFSNLNNITLLALAFSKLDEDSFSCLLELHGLRALWLDKAYEGKRLHFSAMVFPELRLLSISDAPHLNDVLIEQGALQSLVHLTLTDCPELKALPDGIEGVRTLEKLYLRGASKELTKKLQNKEETSEGDECIKKISHVRRVTVYP >cds.KYUSt_chr3.19708 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121310394:121311847:-1 gene:KYUSg_chr3.19708 transcript:KYUSt_chr3.19708 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPHSAPLYMLYGASNFPDHHGDPHQDEDGGGFEFAALAKNFDDNDCAAPRACASDVSAAFADEMFRGGVLIPLKLPPRLQRPAASSAATSPTTQLGRVPSWSPFASRRTQKGLDPFAAALENVRRDGAAPAPRRASQHRCRGWGSRRSCRRRRVASASQNAPSNPPHLRAQKVLDDLPATMRWLPCSPEDEQAFDDDLREHLLIIASLQGMLDAEAEKREESRAAEDQGREEGSRSPGRGWRGMPCCRTTTSPTGQHMPTIFGAGTG >cds.KYUSt_chr4.5435 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31362499:31363470:1 gene:KYUSg_chr4.5435 transcript:KYUSt_chr4.5435 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTPSSPLSHATPPRSPTAGGGGTPSRLAVAPASPSTPQCAIPASPRTPPSKATASTPAPTTPRTPRPEITLRDPSDKRAPAADAIRKPSSRALRAIRALLRSLPILAPAACRPASALPRRHNGGIKPHDGGARVTGTFYGHRRARIALAVQERPGSLPTLVLELGVPTGKLMQEISAGGHVRIALECEKKSKKSADGGGDSGGGSAYVTLLEEAMWTAYVNGRRVGYAVRREATDGDLAVMQLLSTVSAGAGVLPGDVVDHEPPADGAAEADGEVAYMRAGFDRVTGSKDSESFYMVSPDGDGGAGAAGGTELSIFFVRV >cds.KYUSt_contig_662.248 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1395549:1398768:1 gene:KYUSg_contig_662.248 transcript:KYUSt_contig_662.248 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSSASAASQVDFYGFLDRMRRPAAAGLFRSIKSFLTLDDPSAEADGARVQAFYAAMEAAFREHPLWANATHQEIDHALEGLEKYIMTKLFDRTFGASPEDAAADAEVSERIGLLQRFVRPEHLDIPKVLHNEASWLANPPHLHSNLKFVQLFRRETKLVSEVEYYLTNLISAKMFIVNVDGRSLSMEENEFQMHMETAKLGSRFPFMDSETESLTAAELKQMHRLYRQVVTRYTSLSKALRKLSIDEDQLRASVDDDS >cds.KYUSt_scaffold_2697.693 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4369638:4370021:-1 gene:KYUSg_scaffold_2697.693 transcript:KYUSt_scaffold_2697.693 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVEMTCDKCRSKAMALVAATLGVDSVALGGDGKDQVVVVGNGVDSVKLTSALRKKVGHAQLVQVGEVKKEEEEKKPAEATPVVEYAYPWHYYQYPSHAVPVYEHPAGAYGYQHRLDTWWM >cds.KYUSt_chr1.23112 pep primary_assembly:MPB_Lper_Kyuss_1697:1:137129111:137134705:1 gene:KYUSg_chr1.23112 transcript:KYUSt_chr1.23112 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARRSVASRFSHHLSRRLHPSIPHQLSSRSSDDDPPSPPQPLPLPPFLPPAFRAAQTLNHLLPFSLHHSGLPRRSFSSAAPAGEVDAAASVLADAAAAAVPGLPAPFPGEVAAAAVDSFYPVAALQYLIDYVHTFTGLNWWACIAITTVLIRSATIPVLVNQLKSTQKLNAIKPEMEAIKDSMDSSDPKSALEGKYKMTALFQKHGVSPFSPLKGLLIQGPMFMSFFFAINNMVEKVPSLKGGGLSWFTDLTTPDPYYILPVLTGLSFLATVELNLQEGMEGNSMAGKMKTFSRGMAVMTVPFTMNFAKGIFCYWITSNLFSLVYGIVIRRPAVRKLFNLPALEAQTAPALKSAFNLFGGSKAIPSAKSPLAITAAQQSSLEKPDAAALGYRVKNLEKKVKSRGKSRKHSNTNGRGTLYLLFGMCLGNLTEYTVHLLFGILFIRSLICFEM >cds.KYUSt_chr4.46718 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288735088:288736140:1 gene:KYUSg_chr4.46718 transcript:KYUSt_chr4.46718 gene_biotype:protein_coding transcript_biotype:protein_coding MPIELGTPPVWNLVSIDTGSPLCFVQCEPCTLKCNDQEGSGSKFNPNKSESLRRVGCSERICRTVQSALRIRSKACMEKEDSCLYSVSYGRSSAYSVGKLVTDRIAIGQYEKGYSLPGFVFGCSLDIKYDQHEAGIFGFGVAPFSFFAQVARMVSYKAFSYCFPSDKKKTGYLSIGDYSRVGSPSYTPLFLARDHPVYALQLDKVVANGIPLVMEPSEMIVDTGSRWTVLQSATFSQLETVITEALVPLGYARTAAMGPGYMCFDDAWLRPFKNWSALPVVELSFDMGATLRLAPQSSFYFTTNYGLCTYFMKGSALGTAVQVLGNSATRSIGVTFDIQGGKFAFRNDDC >cds.KYUSt_chr6.833 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5270728:5274613:1 gene:KYUSg_chr6.833 transcript:KYUSt_chr6.833 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARRLLVLPLLLLLTAAAAPSPSAAAEEEFTEELLLRPLPDRKALAHFHFRSSTPAPGRHHHLFPKAISHLVQKFHISELELSFTQGRWNYETWGGHDPLSTNTKPPGVELWAVFDLPLSEIDATWKNLTHALSGLFCASINFLESSTAFSAPRWGFKSNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTTSETGGIVLDQSLTVVLQPNTASGKQLHSADGKPQPSWSLEHLFNRKLSGKCLVSKSSRIFVEIEKGILAKSGSEASWSNEFFELSTPPDRVLNELDRLDVQSSSLYEYDLGNYNDDKPLDVGITWKLPLMWSCSPAPYYARRFLMGSGNERGSIALSFLSTDSQKQLGGSSNDCSIKAVVLQVFPWYVKVFYHSLQIFIDGSSKAISEVLEMIHVTPSEDKLSPGTLEMLLRFPCGMQSATLILDFDKGFLHIDEYPPDANQGFDIPSALVSFPEFNSSRRYSKTDPMFVSPLLENFKEENVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEESKLKKAASRPALIPMLIARLRGQKADPSNSESSPVSPAGLKLLLKVAFVAVVAVAFHYLSNS >cds.KYUSt_chr3.41731 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263336002:263344574:1 gene:KYUSg_chr3.41731 transcript:KYUSt_chr3.41731 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAVIGLVLILGLTPRKCSGCTHEVDLDKPPVLEEVSDFFKGHGIEDFTFSRGRLSEWRCRAKLAVRGTSESPLIGLYQEGTHIVQDIPECRAHHPSINAAIKLLKQGISKLNVQPFDEDAGTGELRYVQMTVTTYNTSIPVAERYDQARVQVSLVWNSRDERSKNSEKLSLLQEFLWTNGGPRSNLHVIHSIWANFQTSTSNIIFGHKWRHIGGEADLWERFGGVDISLDPYSFGQANTLSFNSLLHKLIKYVPRGSTVVDLYSGAGVIGLALAASRKCRSVKCVEINKMSKLSFEKSASRLPTNLGCTITWHNTDASVEPIHWLEGSSVAIVDPPRKGLHPSVINALQRVGLSERKAYKAKSSLTKIKDEKRPWILRAREPAVHVDSTIMEESSETWPETLIYISCGWESFKKDCKSLISHEAWYLENAHAFNFFPGTDRGQESNSTSLLNMKLVSLRGKLQGQDDDILIHNFHGQGLHGGVGNLPDGQFFAHPRDKLFLLDTDKLRVWIL >cds.KYUSt_chr4.19127 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120207787:120210541:1 gene:KYUSg_chr4.19127 transcript:KYUSt_chr4.19127 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAAAAVDPASGYCAATGTFHSLRDPIPLPPPDLPLSFPAFAFSFLPTPLPAHPALIDTATGEAVSFPAFLAQVRALATALRSHLRVSRGDVAFVLAPPSLRLPALYFALMAVGAVVSPANPALTTGELAHLAALSKPSLAFAVSATAGKLPPGIATVVLLDSPRFLSFFQGASDSSVTDTATVIHQSDPAAILYSSGTTGRAKAVVLTHRNIMTSRVMAASAPAAPEVLLLTVPVFHVYGFVLCFRPVMAANTLVLHTARRFDPRAVLEAIGRFSVTRLALAPPALLAIAKTAEGDESVTASTATLQSVLCGGASVSPELIRRFSQKFPHVCVTQGYGLTETTAGFCRSISAQESQRVGSVGRLSWGTEAKIIDPETGAALPPGVAGELYVRGPFVMKGYLGDKESTSEVLDSEGWLRTGDVCMIGKDGFLFVVDRMKELIKYNGYQVAPAELEDLLQTHPGIDEAAVVGYPDEQAGELPVAFVVGRSGSDLHEAEIKDFVAKQVVHYKRIHRVFLVDSIPKNASGKILRKDLAKLVLHQISAKL >cds.KYUSt_contig_1145.65 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000108.1:338698:341712:1 gene:KYUSg_contig_1145.65 transcript:KYUSt_contig_1145.65 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARRPMGVVVAWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKERTCAGLSLKSQELTALFLAVRLYCSFVMEYDIHTILDSATLVATLFVIYMIRFRLRATYMLEKDNFPLYYVVVPCFVLAFFSHPSTSHFMVNRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGMWPSMVLLSEIVQTFILADFCYYYVKSVVGGQLVLRLPSGVV >cds.KYUSt_chr2.9941 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62914349:62915164:1 gene:KYUSg_chr2.9941 transcript:KYUSt_chr2.9941 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNHKYQDVNYRKASYLYGDKHANREAPVEEKYDWTSDEDNILDTEDDVEDDYYTSDLEFLGFHPYKEVVFLSSLVVRGLAYHWNSSKFQDLGSLYPKQYSSVAMAFAGIDTYFPYTPCWMHDFPGNESESLLQDERLLTRESESEDEDDPSFTSMDEYELQKLRGHTKRVKDSRAKVRRRHRIRAR >cds.KYUSt_chr3.37021 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232928301:232928751:1 gene:KYUSg_chr3.37021 transcript:KYUSt_chr3.37021 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTASAVVLALVLAAAVVAPARAAMSCATVYSTLMPCLEFVREGGTPARGCCSGIKDLLAQANNTPDRRTVCSCLKNVANSAGDSTIIGRASALPSKCNVALPYKISPSVNCASIH >cds.KYUSt_chr1.7459 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45726790:45727854:1 gene:KYUSg_chr1.7459 transcript:KYUSt_chr1.7459 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPPLPRSALPLSDLPNQLDLPLNRLGRSMEQQAAAHESKMEELELPTLDLDHESSSRFTEQLAAACRDHGVFRLVNHGVPADLTARLFRLTRDLLDTDPAKKAKLPGYFWGTPALSLRVKDLNWVEGLHLAPDNASADDGGAAYSAFRQAVTAEYVAHMARIARKLFDALAGDLALALDAEQRASYLEERGGTFRAYRYPACDPAAGRQHLGMEPHTDSSVLSILNMDLVGGLQVLRRDGPISRWCAVRPVEGALVVNLGDMMQAMSGGAYRSVEHRVVAPPPGTERMSLCYFAFPQEDAVIVPSSTGKEERYRAFSYREFREQVQADIKATGAKVGLARFRIPDHPSPAPQ >cds.KYUSt_chr6.24978 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158248132:158248683:-1 gene:KYUSg_chr6.24978 transcript:KYUSt_chr6.24978 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRSIFTRLLSSPSASPISHLHRLLSAVSPSPGLNVEEYLVDRCGLTRAQAIKASAKISHLKSPTNPDAVLSFLAGLGLSTGDVSAVVAKDPKLLCSAVDKTLAPVVTGLAGLGVARLVLVARDRIRCRSIVSYLNYYLPIFGSFHNLLRALKFNNSLLGYNLERTVKPNVTFLRIVRST >cds.KYUSt_chr3.47743 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299241394:299242011:1 gene:KYUSg_chr3.47743 transcript:KYUSt_chr3.47743 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSSPTLTRGHWTNLADGPAAMIAERLLAHGVAEYVRFRAVCVSWRLSTADLHAHGGGLDRRFHPRRWIMLHETPGAAEPDRRRRFLNLSTGECVQVRLPELLDHAVLAQTCEGLLVLLHRDRTHHVRLLNPLTRHLITQLPPITTLLPEQDPDHHLLHSPCSAIYFGAWGSGILDDKDSTVVLSFNSLEAWPSLATCTGRC >cds.KYUSt_chr7.679 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3742680:3747252:1 gene:KYUSg_chr7.679 transcript:KYUSt_chr7.679 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKEQQDALPKHANHALLGSDFKKVELSKRLTDIHYTVFNNIASQTKQAKGVLRFGGSVGFQADGIRGQVVQRTGELMKMAAEMTKKTKMDADGWRLKLVALSAGFSW >cds.KYUSt_chr4.32617 pep primary_assembly:MPB_Lper_Kyuss_1697:4:200083144:200090192:1 gene:KYUSg_chr4.32617 transcript:KYUSt_chr4.32617 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQLQAQSLLRAPAGRPALRSVFCALPLSVRMPAPTRATFWEAARITMRFGGVASKQAYICRDCGYIYKDRTPFEKLSDDYYCPVCAAPKRRFRPYEQPVAKNANATDARKARKGQLKKDESVGKALPIGIAVGIIALAALFFYLNSVY >cds.KYUSt_chr4.47479 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293899971:293901446:-1 gene:KYUSg_chr4.47479 transcript:KYUSt_chr4.47479 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLNTSGTGSERPRPHVVLLASPPAGHRIPLAELARRLVEHHGFAVTLVTFANLPLPAHVLASCLPPATVATAVLPAVDMDDVPAHDIIQVLVQLVRRSVPNIRAFLRRISATAGPLAAFVPDIFCSEALLVAGELGVPGYFFLPTNLNWLALERRFVELHHGLPPGEYRDFPGDVELAEGVSLHRTELPFVFRDSNSLDFQRLLENSRRYPLADGFLVNTFDEMEPALVEAFKLAAEQGAFPPVFAAGPLIRRPNPEPDVDDRDCLCLEWLDRQPIGSVVYVSFSTFGGLSLEQTTEVAAGLEESGQRFLWVVRMPNLALPAAADGDPLALLPEGFLERTAGRGLAVTAWTPQVRVLSHPATAAFMSHCGWNSTLESVQSGVPMVALPMGTDQTMNATILEEKLGMALRPRTREDGIVGREEIATAVKELLIEGERGRDARRRAGEMQQAAVSAWLPEGSSCRALEEVATKWKAGCGVTRKDTTDGTAI >cds.KYUSt_chr1.27570 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166156334:166156747:-1 gene:KYUSg_chr1.27570 transcript:KYUSt_chr1.27570 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMDPRLVVAMLDLPREADASSLVSRFGGECELVWLNDKNALAVFRDPARAVSVLRRLDYGSAYQGAVMFCPSSITQASSSGNVWVRAQRDGGPTAQGSANPWKKAGAFEPGSSGDWTVLGHSPGMCVLGQAAGSA >cds.KYUSt_chr1.39477 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241541504:241542463:-1 gene:KYUSg_chr1.39477 transcript:KYUSt_chr1.39477 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPISLPCLAFHSVVDRSTILFSASEKKPIGGRDIGQLENMTICPTTHGFMLARDPTTLATFLWCTQSRAKIELPPLGLEVDDDLLVDCTCLLSCKPTAPDCVVLLVEPDSPFIWYCCAGDSKWEEHEYDIGTQALPDWEPPEEKVVICPIAACRGKFYFNGTPTSLGVIDFCGVSPVFGSITIDDTMDEKYGYEDVWAKDFMLESDDELYMLRLLSAGVSKPYSGATVLRMDFLKRRWRRVDDLGGRTFLLSQYEFGATCQGGECNLQQDCIYFADSPRRKSLQIFSVKDGSAELQKLDEAPAADKAFWMINRVAR >cds.KYUSt_chr3.39062 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246093496:246095309:-1 gene:KYUSg_chr3.39062 transcript:KYUSt_chr3.39062 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSTSYFSSQPQLPSSSSTASTSRSSGRQPRNRRTGSCVMLEGAASVGGAVVGRTRSLTEEDLEELKGCLDLGFGFAYHEIPELCGTLPGLELCYSMTRRFLDEQRAPGHLDLEPAAAAAPIPNWKISGPGDDPEEVKARLKYWAQTVACTVKLCS >cds.KYUSt_chr1.3935 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23982952:23983950:-1 gene:KYUSg_chr1.3935 transcript:KYUSt_chr1.3935 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVDSTAPLSLFLLQRFRRPTSPPPPAFWSPIAAFTAATERVRAGTLRPEDAHHLFDELLRQATPVPERFLNAFLAALAHAPASHACRDGPALAVALFNRVRREEAGPRVVPPAVHTYNILMDCCCHLCRPKLGLAIFGCLLRMGLKTNQITANTFLKCLCYAKQTDEAVNTLLHKMPEFGCVPNAVSYTTVLKSLCDSTRSQQALDLLKMMPQRGGCSPDLVAYNTVIHGLLKEGEVRQAYNLFDQMIQRGFAPDVVTYTAIIDSLCKARAVDMAELILRQMVDNGVQPDRVTYYTMIRGYSSLGQRKEARKMFGEMLSRGLIPNQKFKK >cds.KYUSt_chr2.13947 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88256534:88260769:1 gene:KYUSg_chr2.13947 transcript:KYUSt_chr2.13947 gene_biotype:protein_coding transcript_biotype:protein_coding MMIIPAGALIMVSLLQLYSPAAAQPVQGAGLPPNCPTRCGNVSVPYPFGIGDGCYWPGFNLTCDRTRLLLVGGGGGTLQIVEISLANSTVRVVDSAGQVNLTFDGAADGNGTWGGAGAGPYVVSERHNQFVVTGCNVQATLVGDGGGNNVISGCSSFCSINDKWTGVVTSSPSPGDGTTATTCSGIGCCETPIPIGRPSYGVQFKYLDSSHEHDDKLPIVVRIAERGWFDAASAALLNDSTGYAPSRRPAGPVVLEFAVDSNPVVVPGVATSGCPKEAARSACRSSLSSCHNVTGNYRSGYVCRCHNGYQGNPYLAGGCKDVDECALPGICSGQCTNTVGGYLCKCSRGTSGDPRIKNGCVKSSLGLSIGIGIGSGAGLLLLVLGAIFVTRKLKHRRAKMLRRKFFKQNRGHLLQQLVSQKADIAERMIIPLGELDKATNSFDKAREIGKGGHGTVYKGIMPDLHVVAIKKSKVAVQREIDEFINELAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHAEGPTSLPWKVRLRIATETAGALAYLHSAVAFPIVHRDIKSQNILLDDTLTSKVSDFGASRGIPSDETGDVETAIQGTLGYLDPLYCSTGILNENSDVYSFGVFLMELLTRKKPYLYRSSEEDNLITHFTSMLMKGDLVDLLDPQVVDEGGKEVEEVAMLAAACVRLQGEHRPTMRHVEMNLENLRASHVKVVALDMNAPSYALIEGTNTEELSFMPPRTKLLRHTAPGTNATMENAEISGWERSKISNQDQKTLKKLGLVKKEGSLIFPGDESFTRPPIGYRVTFIDHLIRGLSAPIHEFLRGFSCIS >cds.KYUSt_chr2.30714 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189169829:189170889:-1 gene:KYUSg_chr2.30714 transcript:KYUSt_chr2.30714 gene_biotype:protein_coding transcript_biotype:protein_coding MHARALATPFCFSSPAGGRGQPEERHIDAERRKLQLEDAAEAFGVMLTLHKSEPEMYTDTFIAALVANLLGVGTETTSTTTEWAMALLLNHPAVLNKAQAEIDARLGADPGRLLDKTDLPHLPYLHCVITETLRCAPPRRCCCRTRPPPTASSTATTSPRAPSCSSTHTSSTATRPRGARRRTSSEPERFEHGAADGKLMISFGMGRRKCPGESLAMRTMGPVLGTLIRVLLPGKGLGMKTSTWPPAPAPSCSRLTLSKPYAHRDQACMPSFTISEQARNATVKGIIKIMENLLHDTGYFLVFAETHRTIMYLPGTITIL >cds.KYUSt_chr5.4211 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26936384:26940884:1 gene:KYUSg_chr5.4211 transcript:KYUSt_chr5.4211 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNHEPATPAAAAETPWKGRLRSHHATPLSSRSPWIPSRPSRIQNNDEDARRFRTPATARRRDEGDGTVRRSLRVLARRFGGHDAAHPIVLDDEDEECEVRGNQTAMTHVRRSARFQRGGKSLSKPPVGKESHRKRPPRIPNRRDIAHNRETQNAAKSGKTRENPTRSSQRIAAVQSSARMKEHKELQTLYEDAQDVPARRKTAHASCKKSEMQEPKPSCCEEPTRKRMRGSERRPESGKQSHPSGCPEVAPITKPRNIIHKKGGNDPSSIVQPKISDETLMNTNEGNEEQCAEHPIVLDEEEDEVGEINLFLVAGMGINLGRGEREVRGNRSATTPVRRSARFQRGDKSLSKPPVDKETHRKRPPRIPNRRDIAHNRETQNAVKSDKKRENPTRSSQRIAALQSSARMKEHKELQTLYEDAQDVPARRKTAHASCKKSEMQEPKPSCCEEPSRKRTRGSERKPKSGKQSHPSGCPEIAPITKPRNIIQKKGGNDPSSIVLPKASDETVMNTKECNEEMCGVKGGEQQQLCASDDWTEEQDLTLRQAYFTARPSPHFWKKVSKLVPGKSAQECFNRVHADLSTPAPIAPRPRTSKIQFSPLGHFKLSDSKVPNLLEPLVGRRKTAKQKSLAAQKTVRHLLKKHSLIDQAQEADHFSIFETSPSALQLNIPLEDSPGTPDNCINSFPLVKYSVSSSARKRPLSKLKTKQAELSPAVLKPIKNVVLHERYINQLSRREVAKKPRKKAAGTKATDPEKPLLEQQAGVVKAAKNALMSEATDFICQFKKLQANSLAHVLENSEDDVDNSV >cds.KYUSt_chr4.40075 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247487500:247491129:-1 gene:KYUSg_chr4.40075 transcript:KYUSt_chr4.40075 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSCEKGAAGERKDPAEAEKQSQIAPTASSAEMSRLEASKGGVHTKFISNHGLDCTSLTYDELDVATERFAQKHFLGKGGFGEVYKGVLDGNHVAIKILNPNGMQGNREFYTEVMVLSRLDHPNLVKLVGYCAEWGQRLLVYEYMPLGSLETHIFDLSPDKKPLDWNTRMKILAGAAQGLQHLHVSTDPPIINRDVKCSNILLGEGYHPKLSDFGLAKLGPTGDDTHVSTRVMGTPGYCAPEYLESGHITLRSDIYSFGVVILEVITGRRALDQRRGKAERNLAEWATPLINKKEYSILADPALSGQYSETSLVQALAVAQLCVRKTASQRPLITDVTAALTYISSRRRSVAFIKLFLNNYVRQFHIFDRML >cds.KYUSt_chr4.1905 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10379587:10380100:-1 gene:KYUSg_chr4.1905 transcript:KYUSt_chr4.1905 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGRGSAAGGNVAVVVGLVLLLCVLLQASVAESAVFNVGDRGGWSFNTNSWPTGKRFKAGDVLVFKYDATAHDVVAVSAAGYKACAKPARGAKVYKSGADRVTLARGTNYFICSVPGHCQSGMKIAVTAA >cds.KYUSt_chr1.8053 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49440901:49443795:1 gene:KYUSg_chr1.8053 transcript:KYUSt_chr1.8053 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRSPATTAYRRLLLLFLPFLLIASYFLPFASAYRPGDIVPMLRSGQYHGSRSVWFDVIGRHCPSFAVNREVLMPIPKPTGFTGADPYKITFQIGHEKFHLPWLYVINRKSSQVPLIDFHLKYTGNDLLGVTAKVVDMPQHFLELHPDIKKHFWDPQNWPKYVLVSYTWEEQSEIDVAGGFYMLFGSGLVLSFVLAIYVLQSSQEKLTRFVREAVSDSSLPEGGIAKVE >cds.KYUSt_chr5.30257 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191842819:191844355:-1 gene:KYUSg_chr5.30257 transcript:KYUSt_chr5.30257 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWSVARTICREQDCADGALARVERERRRGRGPALGREEERTGSGAHRRRPRGGVLTGEARGGGLRSTVGWDQGPRQQDNVFVTVVASIQYRPLAGKESDAFYKLSNTKSQIQAYVFDVIRASVPKLLLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDARVKQAMNEINAAARMRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKSSAVFIPHGPGAVSDIATQIRNGLLQGQSAAQK >cds.KYUSt_chr1.24628 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147405704:147413475:-1 gene:KYUSg_chr1.24628 transcript:KYUSt_chr1.24628 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTAKRGAGEEEAERLLAAAKLNPNDGGPFRALGHHYARAGDAQRAARCFQRAVALDPDDAQAGEALCDLLDVDGKESLELAVCKDAAGKSPRAFWAFRRLGYLQVHQNKWSEAIQSLQHSIRGYPTCADLWEALGLAYHRLGMFTAAAKSYGRAIELDSSRVFALIESGNIQLMLGYFRKGVEQFRLALELAPHNHSAYFGLASALLAWSRHCVATGAFTWAANLLKEASEAAKACSSLTGNLSCVWKLHADTQLALARCFPWEHGDIKWGMDEHTFKASVLEWRSTCLSAANGAKLSYQRALHLTPWEANIHIDTAACLDLICTMEENNSVDPITWELPEKMSLGGLMLEPVNKDFWVTLGLVSSNQALKQHSLIRALHLDMSLSEAWAYLGKIYRQSGHKQLARQTFDRARSIDPSLALPWAGMSAEDHNQYGAGAVNESYESCLRAVQILPLPEFQIGLGTIAARSGELLSPQVLMAVRQAVQRAPHYPESHNISGLVAEVRSDFQSAIASYQQAKFALDMMCRSKLDNRYPFVDVSLNLARSLCKAGLATDAARECEELKTKGLLNVDGLQSYAFALWKLGRHDEALSVSRNLAENLPSMKQESATGALGFICTLAYNITGKDSAALVIHTLPGQPSYNRELKFIISALDALQPTKRFQLPQLSTPPRLTSYEVMSEVHSNIALGKAIGGESNSCLGVEGGLSYLKKVLHMYPDCSLLRNHLGSLLLSGGDWTASHKAVRVTSLSHGHTSNRGLRSPHQIQASATVSCYATCTSYPKFSFPTCEDQYLSQYNALCNLQRLVHQEPWNQDARYLLVLAIFQKAREEKYPKHISTILKRLILQVLSSRSNSEENKIVLYEKYLLLLLSSEISLQSDDHANCITQATDALRVTSPSADAFFAHLQLCRAYVVQGDLSSSRKEYMKCLQNQTNTEIGWVMLKQLESLCSPSPGSDEIEINLKECIERKGSNPSKWASLFNLACAQSSMRVEDFASAEKALAQACAEKDADSCILFLNGAMNMEIARRYTAPQYISRAASSLRKAQQKSQATVPIVSLLLAQAEGSLGSKTKWEKNLRLEWFTWPPELRPAELYFQMHLLASQLTAAAAPQQKQRLLLPETMQSPGAWLLRAIHQNPSCPRYWKALEQLVYV >cds.KYUSt_chr2.54216 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338312844:338317788:1 gene:KYUSg_chr2.54216 transcript:KYUSt_chr2.54216 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDMGGWYEAAEGWYETAEEAHDPRRTAAEEEADAVHHREMAQLNAAIEERLTEFTHDSREHEASVRAGRRCLDDLIERKNELIAQEAARRLLLQMPSPDRQLQDIRGDLGEFLKLHGSDLASSSNHHPTTDFDQHLRTSSIVPRKVYGRVAEKDYIVKMITEEISDGVVVLPIVGIAGVGKTTLAQLVYNDTNAQFDQRIWVWVSHNFDEVRLTRDILDSFSGERHPEINCFAKLQEMLRSYANSKRFLLILDNVWDGMNKVLAPLVSSHVNGNVILVTTRNMSVAQSLGTLKPVKLDALANDDFWLLFKSHAFGYENYDEHQSISNIGRKIAEKLRGSPLAAVSTGDLLRKKLNTAHWRDILTNEDWKSMQLSRGIMSALKLSYDQLPYHLQLCFSYCSIFPYSYQFLGEELVSFWIAQRFVKCNNSSQSVQGIGRCYLIDLVNLGFLQEVKREESYLGSQTLYALCGIMYDFTMMVSKVDCASIDGLQCNKMPQTLRHLSIVTESAYNKDLAGNIPGNKNFEENLRSVVISVRKLRTLVLLGHYDSCFLLLFQEIFQNAHNLRVLHMSATSTDFLKRGYDEVDGAIPQVLSKLYHLQVLNVGSYTDPTIYNQINNLVSVQHLIVHKGVYSSIGSIAAFQEPDGYKFQISSGFEIAQLQSTDEFVQHWMHVKTLEEACEAVLRNCELSEKLILFCKETYADIGMELVCPHPGIKDLQISGLFSNTFAAFQTVHIGGCAQWRILPSLGRFPFLTKLKLSGLVEIKEVLVPSLEELVLDRMPKLEICSCTSVEGLNTRLRALQIEECKALKEFDLFESGDKFRMEQRPWLPGLRELVLSDCPHLKVSKPLPPSTISCELHISGVSILPSMKGLSSEKLYIGNLSEEEDLEDEIDVPSNDLTILNDQILAFDNLRNLKSMRIAGCRNLSSFSLEGFCHLVSLKSLEIRMCRKLFCSDMMSDATLEDLTAANWKAFPCLESLSIKSCGIGGKWLSLLLRHAPDLEELYLEDILPIQSDDSSPGKEDDASTGLAQDGLVHIPLNLISSLKKLICKDCRHLTFNCSEEGFSGFTSLQELIISSGCAELFSFLVHKDRNDLTSLKSLVVGWSPGLESLQLHSCTALEELTIKDCEGLTTLEGMESLGSLKMLVLEWSPGLESLQLHSCTALEELTIKYCGPLIQLEGMESLGRLRYLAVYNFPGVGPCLESFSRQGYELFPQLETLQTDDPSVLTMSFCNHLTSLRLLQLRGLVLSEEQGRALVILTSLHELEFYYCPRLHLPAGLHLLPCLKRLKILYCRPISRLPETGLPLSLEELEIEHCSKELADQCRGKATSKLRVNIK >cds.KYUSt_contig_2087.66 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:438395:439622:1 gene:KYUSg_contig_2087.66 transcript:KYUSt_contig_2087.66 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPATFVVVVLVTVALCGGVGRAQDMDNEWARYRGFFGGGGTLLPQSDVDLLEFPLNLEYLETEFFCWSALGYGLDGIDVNLTGGGPAPIGGQTAALTPFVRDVATQFCYQEVGHLKAIKQNVRGFPRPLLDISAANFGKIVEQAMNMTLDPPFNPYENSLNFLIASYIIPYVGLTGYVGANPKLLTPQARRLVAGLLGVESAQDAVIRALLYERGLSRVASYGVGVAEVTAHISELRNQLGSRGIKDEGLVVAPGQGPEGQTVGNIIAGDQFSLAYDRTPEEILSIVYGTGNPAQAGGFFPQGADGRIAKGLLM >cds.KYUSt_chr1.23029 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136649772:136653078:-1 gene:KYUSg_chr1.23029 transcript:KYUSt_chr1.23029 gene_biotype:protein_coding transcript_biotype:protein_coding MERDVLVSEDAASAAAAASSSFAETRVICRVCVLTAPRSLRFPLPWSCAIFVTDIRLRPCCLRRCQKQFAQYTCPRCNSRYCSLTCYKGHSNQCTELFMRENVTEELKQIQPEDESKRQMLDILKRFHLEDEMESDGEDESMFSEELIQKVISGDGINLEDLSDDEMKRFRQALASGELSKMIQPWTPWWKNPVAKSISLSHDGSQLIKEVSTDVNTLSDPTAAPESIISEIPEGPESPLPSLKQLTRAEPSPLLTVHLVDILYSYCFTLRLYNGDWHSDPFGASTVALSMSKVMGEDAKPETVSESLTTCIEETCSPVYRHTGGFRFAIGLVNDIITILSLGSNALVCALCDFRRLIQAGESMLKEEKVGKTERAQSSQKLRAADRKLFFITCWAHEQPADTWSSLAHLVEVQKASLEELDNRSGVRKAGRKDNRQSKVLIEEV >cds.KYUSt_chr3.34013 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213455447:213456424:-1 gene:KYUSg_chr3.34013 transcript:KYUSt_chr3.34013 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQLYIHQEPSFVRIVVLHTIQLPPAGNRDGEQIVSGNAAAYRSRTGRRSARRQHRDLLGPERERGLAAQTCATGNFKFVNVAFLFTFGNGQTPVLNLAGHCDPASNGCTFVGAQIKSCQSRGVKVLLSIGGGVVRYGLSSAADAKNVAKYIWDNYLGGASASRPLGDAVLDGVDFDIESGNSAHWDDLARELKKLSGYKPLYLSAAPQCPFPDASLGPALNTGLFDYVWVQFYNNPPCQFNATAGVGNLASAWDRWTGIPAKQVFLGLPAAPAAAGSGFIQTSDLISTVLPVVKKSSKYGGIMLWSRFHDLQTGYSDMVKSSV >cds.KYUSt_chr3.4909 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27896771:27899852:-1 gene:KYUSg_chr3.4909 transcript:KYUSt_chr3.4909 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVFTYVKNGPLVEPAQEGDLPRQMLGLLNWYKGYIKHKNAKDYIYAEVRYEHHFKHYWVQIPRSELFQLFNLRDLDKSIISCYVLMKKREMRIRNIHDVGFIDPHIVNSHVLEHHPADVEDDLWRFIRKQQQKSDILFPYHFGFHWILMVIKVQTSSVLVHDSLNMDPALWGDMRKMMQKVWRRFVDTKVDIRDNQGRSFLHAAAIKGRSTIISYATKNKMLEHLLNKQDREGNTPLHLAVVAKEHKVISKLLASKKVHSHIMNNDGKTPADLIEDSTGFYSMVRLVVKLYVSGAQFRPARQDHIEKWKGQDIMKWRESTSKNLAIVSTLVATVAFSAAFNVPGSYGSDGKANLSGDHLYNAFLVLDTIAVTTSVVATILLIYGRASRSHRSWIGFMISMHFLWLALNSMMLAFFAAIVAVMSKKNPMKIALSQLIYYGLYILMTLLASLATPGSLAGVMRFLVGGCYEQQRRAKRRISRQFPFVMFYAFNVVVFIAVNTISLAAIDVSGRLTW >cds.KYUSt_chr7.26574 pep primary_assembly:MPB_Lper_Kyuss_1697:7:165922180:165923528:1 gene:KYUSg_chr7.26574 transcript:KYUSt_chr7.26574 gene_biotype:protein_coding transcript_biotype:protein_coding MVGINAWVRTTEYLRSSKLQAQRTVTFAGGAFRNSTLRLDHESRPPVGLPLHARETLGPRPPPATRSTAPPPSRAAALGAVVPNLEEEQCEIRLHHRWSGDAEARTEGEDRVAMFRVCYAAPITARPKAATRKRRAAPPDQGHAAATNTGRPARGRSATTLHELYLPWKIRNEFEDETNAKL >cds.KYUSt_chr1.18903 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110947106:110949919:-1 gene:KYUSg_chr1.18903 transcript:KYUSt_chr1.18903 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAADNARNRGRLASILLARKARFTDATNGTTATAKSKDGYTMALSFWVADPPQLSLFSVHCSNPPGSRYAIDPSFVHPPRVVGADGPFVLLRAGFYAAAGTREYFLYKAATGDASPSLDRIPSPGESRYDRGDDLRGVREFGILGHGGGGHYLVAALRDAPSSSSDDYQLRIYSSERKSWSTRTLQNPCPGVDRVVPDKVITLGQGGLLGWVDLSHGILACDLLRLQDPDPDPTAAAAGGGVSFFIPLPQPLPGNRYKQKHPIPPAKKMKMHPLAEEPTPSASWFRDITCVNGVLTFIEMENPAPPENEDNTVSDSDLITWLKRKAVDSNSKLQLSSFRDDWRAVTWTRKVSPPSSSPAANCWRQTRVAHVADVKGSEQLVTFRDLYSAFPVLSPADDGNDILYLKSHAEPAHQDGWVAALDLENKALNAIAQYYLPDFWVFELAEKLRREKNPPESIIQNDHISSQVHPVANNLAPSSRINSFNGGSYHGYYSQQLSAPNSFAYGAYTGYGNIQQQWQQLTPTLEQPIGASWQHPPPPVQRQPTPHEVKTCGMLLF >cds.KYUSt_chr5.1345 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9285677:9286177:1 gene:KYUSg_chr5.1345 transcript:KYUSt_chr5.1345 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVWTPRRSSRSSSIGSAGSGSAGSGNNIEYTSLRDMLLEGSGGSSGGENHVVSWGIRGGSWRECNSDNIHEFDASNIGIRNQLLKHAASAYLQSAVVVGGGAGGAREGQGCCLVRLWRRVAGSVRVSGRGRVLMRACSWQGCVYDMPAELCARVAAFFAGIWT >cds.KYUSt_chr7.1673 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9522068:9524652:1 gene:KYUSg_chr7.1673 transcript:KYUSt_chr7.1673 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVADRRKRRAEDPSAVLPQLLAKRGRCSPASAARAVEDLGISLDYDPLDALQLIFPDADPQLLRGYFEASGNVLDAAIRGFKDHLASGSETASAGAASSQAASGGPELNTPTNGTEWAELIVKEMLSASDMIDAKNRALRILELLEKSTSRCITPDEQKMREEHKILKQMLVTLVPQNSILKRGFLIQHNRLKDYHDMVQERSQFKEIVAKYQNQIKALEDRNYVLSYHLAQSKNSTSGHRNPDVF >cds.KYUSt_chr6.578 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3597663:3599291:-1 gene:KYUSg_chr6.578 transcript:KYUSt_chr6.578 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAIIMRPAEDPRWWWAGGRVPNFTAIVCAGGQLCVWLKLKLRARQAADAAAALADAAAALAEAARRVAGRDLEAGDGRIIASQASRSGDGRVAAQIPESGADNAVVGGIASQAGGAAQVMGGAGPNKDVPAAGEIEMLELGGGGGGNDDVENQVKEGDGENKDDGGGVGIAEVDTAEEDDAV >cds.KYUSt_chr4.7296 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43222831:43224397:-1 gene:KYUSg_chr4.7296 transcript:KYUSt_chr4.7296 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPIEDILKGKQPLHRTETIGSNPPASTSPWTRPPEGMVKLNVDGSFILQDGTASVGIILRRHDGSIILSSCRVLMLAVAAAIILAFLPMIASETVHPVGDARGWTLGFDYKTWSESKQFRVSDTLLFGYNKAFHNVIEVSGPDFKACNTANPIGAYSSGSDEVGLEKPGRRWFICAVGKHCQMGMKLNVTIHAADALTPAPAPAPWSHHHKSRRPFVSKW >cds.KYUSt_chr4.45194 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279920897:279921868:-1 gene:KYUSg_chr4.45194 transcript:KYUSt_chr4.45194 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPARAIAVALLMFTCCGLALAADKAPIKWMKAHATFYGGADAAGTMGGACGYDNLYAEGYGTRTAALSSALFKDGTSCGQCYKIACDRKRADPAFCKPGVTVTITATNLCPPNNALPNDNGGWCNLPREHFDMAQPAWQKIGVYEGGIIPVMYQRVPCLRKGGVRFRIVGHDYFNLVILMNVAAAGSIKSMDIKSSDSNDWLPMSRNWGAYWQCGGYLTGKMLSFRVTDTDGQTIVFNEIMPVGWKFGQTFASKLQFK >cds.KYUSt_chr3.6614 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38062806:38065902:-1 gene:KYUSg_chr3.6614 transcript:KYUSt_chr3.6614 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTRPTPPEPSPRPPPQPQPQPPAARRYGVHFSASSFIQAPLSALLEYSGILRADPGPHHPAAAGAPGEVSIRIVGAGDASPSSLPEGIIVEDDAGRGARPSAAPAAQQTAAAGAESSSSSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKMLVLVGITILFAAHVFGVYWCYKNGDLIRPLVMLPPKEIPPFWHAIFVILVNDTMTRQTAMVVKCILLMYYKNTRGRSYRRQGQMLTVVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSHKEFHYGSYATTEQVAAAGDMCAICQEKMHAPILLRCKHVFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >cds.KYUSt_chr2.42274 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263340661:263344171:-1 gene:KYUSg_chr2.42274 transcript:KYUSt_chr2.42274 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKAEQKAALDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGYVQPSHLPLSELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLSIIPLLCIMEVLFENFRYSRDTKLSIVVVLVVGTNLSQFICIGRFTAVTFQVIGHMKTILVLTLGFLFFGKEGLNFHVAVGMVLAVVGMIWYSSASSKPGGKERQGVPSEKAPKSPQSELDDKV >cds.KYUSt_chr5.1018 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7033144:7040547:1 gene:KYUSg_chr5.1018 transcript:KYUSt_chr5.1018 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTLLDAAYDGDLRLLKSALAGLVLGNGRGHPREAVEAARSEGGMWALPIAACNGTPLVYAVISENAPVVKYLLDHGADPDKADDDKLTPLHSAAGLGDCEMIKLLLAKGAYVDPIADEIGTPLHLATKEQQVAAMKTLLDHNADAGAIINSDCVSTLSLDSTMGNDGSTECLNFLLEACANCDTPDDEKHENTWKIALLKSFGTKAVKRKDFYSASAFYTKALDLDPNDATLFSNRSFCCLRMGDGEEALLDALKCRELRPDWPKACYRHGAALMLLEDYGSACKTLLDGLKLDPENAEIERALREAMESLKTSKGTRAR >cds.KYUSt_chr5.38432 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242985417:242989018:-1 gene:KYUSg_chr5.38432 transcript:KYUSt_chr5.38432 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAARWWLRREVLVGLALGQLVSLLITSTGFSSSELARRGVNAPTSQSLLNYVLLALVYGGVLIYKKQSVKIKWYYYLILAIIDVEANYIVVKAYQYTSLTSVMLLDCWAIPCVILFTWIFLKTKYGWRKLFGVGVCVAGLVLVVFSDVHASDRQSKGPNPLKGDLLVFAGATLYAVSNVTEEYIVKEGSRVELMAMLGVFGAVISAIQISILEREELRSTEWNAAALLPFIGFALALFLFYSTVPIILKVFGATMLNLSLLTSDMWAALIRIFAYHEKVDWMYFVAFAGTAIGLVIYSYKGSRETADSTAQVAVATDEEAATENHAAEQVTDAGEDDEPASNKSASAAST >cds.KYUSt_chr3.43615 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275228415:275231907:1 gene:KYUSg_chr3.43615 transcript:KYUSt_chr3.43615 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGGGGGKMLPRRRRLPLGDLTNLPSTTSDITRLKSTARSKPRSSPTACSSTSSIGSSTVPRRAPPPPPLSVAVVKGKDKPTRELQSSTSHLKKIRKTGKPKVKTGDALPVASSPPSKKPRKLTRGEPLHMDPLDQSSGELLPNVKTGDVLPWASSPPSKKTRKVTREEPLHMDLFDQSSGEPLPLPRDFIEERRAYFAEIDAFEMVEEFVSSGSDLQ >cds.KYUSt_chr5.25958 pep primary_assembly:MPB_Lper_Kyuss_1697:5:164678297:164680129:-1 gene:KYUSg_chr5.25958 transcript:KYUSt_chr5.25958 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSEADVNVSQPPMRRSLAVAAFKEELRGRYFRCFDHQHHVSRCKNDTKCLHCLDADHISKGCPTSHLPRVPLPPLPADRRRPQKLPVHSRLVFPQDHPNSLRQPVHTHLRPATTAMPWRSPTPPLPVQYPLMTIAGISNFIKQPLHTKVVLDHTQEMTEEEAKLRESALVFTVTGTCLRLAPGHINDGLLHDFPNLPLGLFQISLLHQGNFFVRFSEPRWFQVVAAQPMFHCDDTPVIISRWNRLTFMSFSKYRYNVRLYLERLTPQAWSLATVQKALPTCLIHTIAEDTQAKLDLSYYVMVAWVDRLEDVPTEATVEIHEPRPCNDLLTHVLLPPSFSSLDPQFEEIVTEVVPMAAPMGLHGRDASTINENTCAFISYFWAGHAPTRRGGQGKTLHGLPLSAMEGPKRLQRGAEPQEVGAPLSGGGEAGALVLEGAAVELAAHATAAMW >cds.KYUSt_chr3.21450 pep primary_assembly:MPB_Lper_Kyuss_1697:3:131689328:131690813:-1 gene:KYUSg_chr3.21450 transcript:KYUSt_chr3.21450 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAYGPSSKPVPVAAPRSANGSANGSGGGGQPRPPPMVPGRVPPPPMYRPKPMAPPPRRRRSGRGWCCVCCLWLTLVLVGLVFLAAIALGVFYVIYHPQLPTFAVTSLRLAALNVSDSDAVSSRIEFTVTARNANEKMAFAYGDIAAAFSADGTDVGDGSVPGFVHPPGNTTVIKGSASAADVTVDPLVAEKLRSKKNHAMSVELDSKVGFQIGRFKSKRLNVRVLCGGFTAGLAKAAAPSPAPIIVAAAPAPVRSKVRLSSSSSSSGGATTTDVKWASVASREEKKRASEFQLDFAFWLVCSIFFSSGSGSGYGYGAPCLEGTAVPWLAADLPGPWVSGTACQRTVDRRLGLERKRVGREKVPLVCARLGEAT >cds.KYUSt_chr2.46396 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289893729:289903766:-1 gene:KYUSg_chr2.46396 transcript:KYUSt_chr2.46396 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVLFRFRTIDHTVRCPFIDRDTATWKTARSDFVRTNIHAAREKVVPAHWIEGKSMMSKCAPPAKTFDLNPVTSAANSTLLSLPHFLSHAPDSSVYCFFSPNFLFRDGSEHAALPPPMDREEVTEFLGQVPLLQCLPGSSIRRIADAVQLKHYESGDYIAREGEPVDGLCIILDGQAEVSSPANTEEENRPDYVLNKYDYFGYGTNSSVHQVNVIAVTKLTCFVLPSQYGHLLQTKTIWNAEETPENHSLLEQILHLEKLEVDIFRGLTLPEAPQFRQVFGGQLIGQALAAASKTVDCLKLVHSLHAIFLIAGDNNMPIIYQVNRERDGTSFATRKVEAKQKGLVIFTLIVSFQKEELGFEHQAAIMPAVPPPEQLLNMEEIRERRLTDPRFPMQYRNLAAKKKFVPWPIEMRFCQDSGSQHKPSLYYWFRARGKLSDDPALHRCVVAYASDLLYSGVSLNPHRERGLKTYSLSLDHSMWFHKPVKADDWLLYVIDSPSAHGGRGFVTGRMFNRQGELVVSLTQEALIRRAKTPGQTPRPKL >cds.KYUSt_chr7.22056 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136639572:136641572:1 gene:KYUSg_chr7.22056 transcript:KYUSt_chr7.22056 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGLLECAWTNLQVLDFSQNSLTGALPNLIGNLTSLNRLELSDNSITGGIPPGIGNLMCLTALDLSSNLFSRSVPSEIGSLTNLTSLDLSNNNFTGIVPSEIGALSDLTSLVLSKNNFSGVITEEHFRSLKGLKNIDLSSNYLKIAVDSDWVAPFRLEVALFSSCKMGPLFPAWLQWQLELTTLDISNTTLMDTIPDWFWSAFSRVIYLDISHNQISGSLPTHLYGMAFEELYLGSNRLIGPIAAFPRNIIVLDISNNALSGTLPSNLEAVKLKTLLMYSNQIGGSIPDSMCKLQGLEDLDLSSNLLEGEIPQCFEALSMSYVLLSNNSLSGAFPEFLQNGSNLEFLDLAWNKFYGRIPTWIGDLAQLRFLRLSYNEFSGIIPVEITTLSYLQYLDLSGNNISGVIPLHLSNLTGMTRKGFMPISGTNVGPAGLGSLSITGQFGEILSIITKGQDLRYGGTLAYFVSIDVSGNSLTGEVPTDITSLDALINLNLSSNYLSGNIPTKIGSLQSLESLDMSKNKLSGEIPPSLSNLTSLSYLNMSYNILTGRIPSGHQLDTLNVDNPALMYIGNNGLCGPPLQKNCSENITVTHGPLTRSNQEFDPLSFDFGLMLGLVAGLWTVLCSLLFKKTWRVAYFQLFDELYDRIYVYVVVKWASFKRKTYEE >cds.KYUSt_contig_2619.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000416.1:49413:53537:1 gene:KYUSg_contig_2619.9 transcript:KYUSt_contig_2619.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSPPPTPKSPKLQPPLLERAKGPSGLDKIVLRDPRGFSAEVRLYGGQVTSWKNDHGDELLFVSSKAIFKSPGAIHGGIPICFPQFGTHGNIEKHGFARNRLWLIDDNPPPLPVNTAIKTFADLILKPSEEDLKIWPHSFEYRLRVALGPKGDLLLTSRIRNTNADGRPFSFTFAYHTYFSVSDISEVRIEGLETLDYFDNLDGKKRFTEQGDAIVFESEVDKIYLDAPPKIAIIDHEKKRTFVLRKDGLPDAVVWNPWDKRSKTIQDFGDEEYKQMLCVEPAAVEKPITLKPGEEWKGRLELSAVPSSYYSGQLDPDKVLHG >cds.KYUSt_chr5.40086 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253241362:253243934:1 gene:KYUSg_chr5.40086 transcript:KYUSt_chr5.40086 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVVHIVLLLLPSLLAATATATADDICIVGSGISGASTAFFLTNYTTSLPQLRVFERRGKVGGRLATVTIGGEDFEAGGAIIHPRNLHVRRFAALLGLSARDDGDDDWLGIWDGGRFVFSTLRPPPPGSSWLRRRLHGLANSLVLLRRYGLSLLKMDSFVQEMLQKFLLYYNGFESRPVFDSVEEMLKWSGLYGLTQRTLEEELIDAGLNSQTISELVTVITRINYGQSVSISGLAGAVSLAGSESGLWAIKGGNWQLAAGLLKTANATLHLQEGIDSISDAGDYYVLKSNEGHEYNCKVTVVATPLDEVNIKFAPPISIPPRKMQHTHATFVKGLLNPEFFGLGSVSDIPQLIATMELPDIPFSSISVLKKHGEHDMTYKVFSRAKLNDTLLDQIFSTREETIRIDWPAYPHYHAPEDFAPIILDGKHLYYVNSFESAASAMETGAVAAENVARLIISRLPLGLRAGLSSAVSELHIKSFSGEQDSGRVDL >cds.KYUSt_chr5.6524 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40497061:40501638:1 gene:KYUSg_chr5.6524 transcript:KYUSt_chr5.6524 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRLFYRKPPDRLLEIADRVYVFDCCFSTDTMDQFRYKNYMDSIVLQLREQFPDSPLMVLNFRDEGKSLVTGLFSMYRITVKDYPCQYLGCPLLPLDIIIHFLRLSERWLMLEGKQNILLMHCEKGGWPVLAFMLAALLLYRKQYNGEQRTLDMVYKQAPKELLQMLTTLNPQPSHIRYLQYICKMDYELGWPSEPIPFTLDCVILRGVPNFDGVGGCRPIVRVYGQDILTADKSRSALATPFKSKKHVRRYRQADNMPVKLNVGSCVQGDVVLECLHVDDGLENERLMFRVMFNTFFIQSHILQLNFEDIDVCWDADQRFTKNFKAEVLFSEISAESDASTEIGSDDDEDEDDCGDEIEVDSGDEFFEADEIFSNPDSHDGHKDAETLSISSTDCTPGAEARKISPFSSLELNSDIDGSRQNKPSPFEILNDEEACTSVDTNIMHEDTTRVVSSLESTTDGGRDSSTSSSAIYKDKDDGCSVENISPRQDRTVDPKQDSIHTDNVLVKEVIILETNSPKDIQMIKEVIISEVTTPKQVLPGDTVEMELGNAVDISENITLTEADNTEGLDFVLKQDDGDSPIEERVTYVNDTNQEDNSNIEQPSTSDTNVLVIELTNENNRVELSLLGKPHPHSTSDTLILSSGEQNVEQSDASNSNGTAEQTEGTEASISNSTGQPSNISSMDMLPGGFSLAADGALTHPSASAVTADSSRLVLKKKTLLPLSSIFAPSTPRRRNLLRSASTDLSFLSPLQTESNQKSVPSTSGRDVFATPSVSPPVQSATSLRPSKVLSLVNPPLRPIKTVSSLPSTSFEAYIEMSTSYSPTSHANHQLHVNPYPPCIPPPPKLHLAKTQGKDLHPGSLAFPAPNRYASHPPAPPPPPHTLCRQTILNLGVSSLTLPPKSSIAMTELPRKDSESEISPSHGTNDPSSSKFEEELEACKEFLPCEMIDEMSPSTAHRKEHGAIPTPPNPPICSWHRGISQSLTSQPCRSPSPPHLSLLPSPQHLPSPSPPPSTQSLLIPSRTHAPAPHLPHSPALHVNSISLPSPPPPPPPCPSGIVAPVSLCLPLPFSREDSTSECLAAPPQSVPPHLPSLPRNNATPPPPPPPLPREHEVICPLPPISPKRHVAPSPPPPPLGRHQVPSYPSFSEDHVIALPPPLTRDAIIPLPPPPPPPPRESTQEFPSSECQVGTPIPSPHPSFDGMERIPPPLSPEGSKRTPQPSLTGGPEGTP >cds.KYUSt_scaffold_869.108 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:590558:592734:1 gene:KYUSg_scaffold_869.108 transcript:KYUSt_scaffold_869.108 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSAPYRNTRASRGDDVENIGFAYNGKINRSLEPVYVNQGGDNGVVINSRKCPLGENLVISNEKVVEKIAPQALKNNSSDTNKVSKKPLHSRKVDASSRGIVDEDEEDIKIVKSARRKYICDEDEDKGDISGDEHNLIIGDNHNTAGAEDGIGGLIAQTAIVELYGSLPIDEPIWSGMLEIGGEGYVPLEAHLLAKSCEKVWECSRSLQNMVKVAKIPRLDAEPKCFRVSRPTEDNIGLYFFPQEMRPNEGFDKLVKEVMDKNLILRAYVDEAEMLIFPSILLPERHQTFQGKHYLWGMFKRREDMVNAEGEQTMRGTRTGNGRQHCSRPCVGKKDVNKGARTNSLARPNESTPPAERTVAEAATPAPAADTATSNAPSRQAEHAADVVAEPVPAATSHAASLMLPADPVAADVTTTAPAAGAASSHAPSSSVSQRGMYGFIAPSENQKIHQLIQELEREGAVVIFMRGETIGCGVGTQ >cds.KYUSt_chr2.41788 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260083094:260086083:-1 gene:KYUSg_chr2.41788 transcript:KYUSt_chr2.41788 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRRRQWGVACAVLLLSALLGAVSSQSPAPALAPKPPATPSRTAVPPATKPAPPAPKQTPSPSASPAPKPSTPPTASPVPKPPSPPAPAQAPKPSSPPPAASPVPKPPAQAPKPSSPPPAAPPVPKPVSPPPAAPKPSPAAPAPSQKPAPAPAPKPSPPTATAPPPTQKPSAPPTPPPTPAPSSSLALSPSFYASSCPSVELAVSDVVRSASTLDPTVPGKLLRMVFHDCFVEGCDASVMVQGNGTERTDPANLSLGGFNVIDEAKRLLEAVCPATVSCSDILVLAARDAVTFTGGPSVPVSLGRRDSLVSLASNVRRNIIDTGFSVDAMAASFTSKGLTLEDLVTLSGGHTIGSAHCGTFRERFRSDANGSMVPVDGTMNTDYANELVRTCVASASATVDCDEGSAATFDNRYFSNLLDGRGLLRTDAVLVQNATTRATVAAFAQSQDSFFSSWASSFARLTSLGVKTGSDGEIRRLCSSVNGG >cds.KYUSt_chr2.5642 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34815329:34816927:1 gene:KYUSg_chr2.5642 transcript:KYUSt_chr2.5642 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRTMALAMLMISSLFFHSSYASSDDFLRCLSASIPNKLLFAQSSPRFPSVLASSVRNPRFLMPWTARPLCIVTPTNASHAQAAVVCGRRHGVRLRVRSGGHDYEGLSYRSVQPGAAFAVVDLARLRSVRVTRGPHATAWVDSGATLGELYHAVGKASGRLAFPAGLCPTVGVGGHLSGGGFGMLLRKHGLAADHVVDAVLVDAKGRLLDRSSMGRDVFWAIRGGGGSFGIVLSWRVKLVPVPPTVTVFTVAKSVEEGAVDILAKWQEVAPALPDDLFVRVLVQGQVATFQSLYLGTCDALLPVMRHRFPALGVNRKHCKEMNWLQSVAYVYLGAGATVEGILNRTSSMDAVFSKATSDYVRRGISRDTWAEIFTRWLARPDAGLMILDPYGGKIADMPESATPFPHRGGVLYNIQYMNFWEGANEEAARAGWVRDVYRFMEPHVSKNPRQAYANYKDLWLGENVVGAGAIRSFEAGRVWGEKYYKGNFRRLAMAKREIDPDDYFSNEQSIPPLAVQLILTNMAQVDRSY >cds.KYUSt_chr5.19160 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123987957:123989186:-1 gene:KYUSg_chr5.19160 transcript:KYUSt_chr5.19160 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARPSSLGTPPSGAAIDVLTGDDLRDILRRLSLADLLRAALTCHRWRRVAARCLPRAPPLLGHFFHPVNPAPPPPMKRREKTHYDAVFAPLDASSPRLSLDFAPEISRFDLQDCHQGLLLLEPTVPLPKSIIPRILVLDPATRRRVLLPPPPRDTVPDDPRWRSSRYYIGSAQLSRAHPSKLCFEAVCFAIDDGRPRAWVASVDNGDCSWRALCRDMDVLVDFDPHWFKRRCVHAAGKVYWHICNSGRVLVLDPATLRFSYLLAPALLADQYDKYRIGETPEDGRLCLLGTDSYSNKLQLWVRGEARCSDNGWLLKRNVMDMRVVWEAVPGLPTDWAQRIFNLWPSDMDAGRTGKAFIQTLGYGRYSLHLDTGKMERLETKDGKEYGHPIYAYFLAWPPAFLAADQY >cds.KYUSt_chr2.8307 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52232521:52237489:-1 gene:KYUSg_chr2.8307 transcript:KYUSt_chr2.8307 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFWAPLGACVLASPAHGFVESRAGQCQFEIETEFEVRVLSKVVVLDVVLEECLHSLLDPCESCVRVREIEDEQKMGVATVTELKQSVSVSGKKMFRTSLSNRHANEWPPTDVSSDLTVEVGTSSFALHKFPLVSRSGKIRRLVAEAKDAKLARLTLHGTPGGAPAFELAAKFCYGVHVDVTVANVAMLRCAARYLQMTDDFSDKNLELRAEAFLRDAVFPSIASSVAVLRTCEALLPAAEDVNLVARLIAAIANNVCKEQLASGLLSRLDQSAQLKPQTVGLVELDSPGPGDWWGKSVAGLGLDFFQRLLSAVKSKGLRQETVTRILINYAQNSLHGLMTCRDMSAADKCGGVTDTDALKKQRAVVETIVGLLPAQSKKSPVPMAFLSGLLKTAMALSASNTCKTDLEKRIGMQLDQAILEDILIATGSSCATTSPTPAAAAVQQHQTLYDTDVVTRIFSVFLNLDDDNEEDGGGGFDYDSPRSPKQSALVKASKLLDSYLAEIALDSNLVPSKFISLAELLPDHARLVTDGIYRAVDIFIKVHPNIKEAERYRMCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQIRLRSAIQSGGGGGGGGGSVFGGHDAALFYGCAAAAATAQGGNNNNMRSGSGVGSGAMSPRDNYASVRRENRELKLEVSRMRMRLTDLEKDHVSMKRELVRVNPANRLLRSFARSFGRLNTLFRMRPAAEPGLQQLGAKATADAKVLFQRRRRHSIS >cds.KYUSt_chr4.51896 pep primary_assembly:MPB_Lper_Kyuss_1697:4:322259144:322260308:-1 gene:KYUSg_chr4.51896 transcript:KYUSt_chr4.51896 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQTPATTELEVESGDAAPVIEAEQPKDDGAPVVEDVKEGDVDEDDEEDEDDDEDDDDDAEDGELGVAGSEGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVIGGAKPDAAAGAPADEEEEVDETGIEARDIDLVMTQASVSRGKAVKALKAHDGDIVSAIMELTA >cds.KYUSt_chr7.33302 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207766309:207771418:-1 gene:KYUSg_chr7.33302 transcript:KYUSt_chr7.33302 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPGNNDDIELLADRGGEEEHNHVVAILSASWRVYLCCFWYGGSTSVLFLSAGHGGEGDDGMDVAALPCSKRRHKRVPKRCYGAASSSSTLLLTRFSSREAHQRGTHAGVTAPPLLLMADWQPFSWRTDFPRTKLSKGKSHHFRHDAGPTDTTVDHIDLYYQHRIDTTVPIEDTSATLVLKMGELKKLVEEGKVKYIGLSEASPNTIRRAHTVHPISAVQMEWSLWARDIEPEIVPLCRELGIGIVPYSPIGRGFFGGRVTEQVTAESNLKGHPRFSAENLVKNKHLYLKMEELAKKHHCSPAQLALAWVLHQGDDVVPIPGTTKIKNLESNIDSLKVELTEDDLKEINNQIREEDVAGGRSYTSFAHATWNYADTPKK >cds.KYUSt_chr3.26475 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164902480:164911744:1 gene:KYUSg_chr3.26475 transcript:KYUSt_chr3.26475 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPLPLSRQGNGKAKSETPKTLVSSATASGGRLPPLMPPSTPKRRRPLGAAAAASPRTRKKQKRLDAICDVAPPPPPCGGGGGDGQDSDAEAVRRSTRLRRPPATLDTSPAASPRRKRPRRGGSSGGSRKGGRARGAAVARLVEGEEGEDEEDGGGNAAWRSRLRDRAKGKAGARRRARSMWLEDEDGERTVAVGVREGTKEHEGAEESSRRRGVREGEISLTIDLTVGTPEGVTVVEEVAEEDDGDEDLEDEEEEEATSVGTDLAQANVEEDSLPGAPVQLEGENDDELACAENANKAESGDSGENEQLGVHHGQIAEVSSLPDEQQMELDGPGPGEQVEEVQQDEQMDGAPNIVLSGEALDERAGKSLVSDENRGGLEIKEGRRCGLCGGGTDGRPPRIALHDTADSENEAYEGALPSEDPNYDVCDGFSEDPGWLGRLLGPIHDRFGIARVWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRTESCIFDHRKFLITCNDHRHLFQPQGDKYAELLRKMKIKKMKADLRKLSHDAWRKDIEAEEKWLENCGEDEEFLKREGKRLNRDLLRIAPVYIGGSSENEKSYRGWESVAGLSDVIQSMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKCQPSIIFFDEMDGLAPCRSRQQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTLEARSAILSLHTKKWPSPISGAFLSAVASQTVGYAGADLQAICTQAALNALKRTCPLQDILRFAEKGTEHGRLPLPSIDVEERDWLSALAAAPPPCSQREAGIAANDLVSAPIDSYLLPCLLKPLLHLLISLNLDERIWLPSSVLKASSFIKEVVFSSMEKNNVPHTSWSSYLPSLIQQKDIANKIESILSSYGLTASQLGNHGSMLLSQNKEHEKFDDRRLISTGSSNKGGLAYKLSGFRALVAGEPRSGQRHLVRCLLHGFMGQIVIHKLDLATMAQEGNGDILNGLTQILLKGLNLGRCLIYMPRIDLWAVDKVHEQETEDHGLNAGTSKLSSSPIGSMTKCSEVWNTLVDQMDSLLASVSISVLATSELKFQDLPCGVKRFFSTHVVDQCLSSSEHTIPRFSVNVDSYISCDDVLDSCALRLSHDLIQHHVQLLHDRAHNNYGEQKEVSTPMEISAPRECKSGENKESVILAKSSMDVDKQPSCPTKLATCSAQLQPAASDVKDKEENPKKLDFHESVSRNPSSRTVKGNESIAIIAFGVQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLWHSSSSHDKSLSEENTVLKSKEKALFVRGLVAVGLLAYREVYASVMEVCADVRKVLELLVGQIRTKILERKSRYRYFHILSQVAYLDDIVNSWAYTFQRMDVVEHDPVHQALHDVHKGPLTPADTVINDGGSGGVNNGEEKCKPDIQRSESLSESVEEFNNMLRAENFVVSSTTIDNVEISKNVASSEAHGNGNELNTSFPLNDAEPDHLINGQPQDSIKKFPAPKSLCLYKCCSACFDAVYKVSHDILSNAWLCCRQGLLLPMLESVELDECYAFSILIVLCFITQFHQVETRMTNTNRLGCYLQSGYWYAF >cds.KYUSt_chr3.4116 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23422308:23424614:-1 gene:KYUSg_chr3.4116 transcript:KYUSt_chr3.4116 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSYSPPGRQKRTEEGGDMVGEGLFVQLNYSVHRLRRFIGYILGWQGAPQHVGSAPRVFDWPSHALGARLCRIARRQSRRITVDQLAEDHVAKLGRHVFRTRKAASSSSKAPLGQDAAGKRRSPLLVTTVATRTEGDRHIQPHPRLILKRIREETPAINSPNLQSTMASSPHLHHR >cds.KYUSt_chr7.698 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3815900:3829604:-1 gene:KYUSg_chr7.698 transcript:KYUSt_chr7.698 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGRRPDFASSSPGTGTGPGPGPSPSAGTRRLLRTQTVGNMGESIFDSEVVPSSLVEIAPILRVANEVEAGNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKTDRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKIEEKKKLYVPYNILPLDPESTDQAIMRYPEIQAAVYALRNTRGLPWPKDKENEKKPEEKKKPDEKKTDKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQIPKPEQQSKLDDRALDAVMKKLFKSYKMWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDDEAFLMKVVTPIYRVIEEEALRSKTMKSKHSHWRNYDDLNEYFWKNDCFRLGWPMRADADFFKTPKFAYPNRLNGEERSAGSVHWMGKINFVEIRSFWHIFRSFDRMWIFLILSLQAMIIIAWNGGTPSDIFDVGVFKQVLSIFITAAVLKLGQATLDIVFGWKARRSMSFARKLRYVLKLVSAAAWVVILPVTYAYSGGSPSGLARIIKGWLGNGQNQPSLYILAVLIYLAPNMLAAMLFIFPFIRRSLESSNLKVVTFMMWWSQPRLFVGRGMHEGAFSLLKYTMFWIILLAMKLTVSFYIEIKPLVQPTKDIMREPIRTFQWHEFFPNGNNNIGVVIALWAPIILVYFMDTQIWYAVFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPSAFNKLLIPSDAHKRKGFRAAFASKLAKPSDDEQEKDKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELDIFQWPPFLLASKIPIALDMAADSGGKDRDLNKRMGSDPYFSYAIRECYVSFKNIINTLVFGRREKVVMQEIFAVVDKHVNEGTLIKDLNMRNLPALSKKLIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMEDQELGGVLDSIHGGNSRKHETMTPLDQQDQLFTKAIKFPVVESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRNMLPFSVLTPYYKEDVLFSSQNLEEPNEDGVSILFYLQKIYPDEWKNFLERVDRKTEEEVREDETLEDELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDDLMKGYRATELMSEDSPLMTQCKAIADMKFTYVVSCQQYGIQKRSGDPCAHDILRLMTTYPSFRVAYIDEVEAPSQDRNKKIDKVYYSVLVKAAVTKSNDPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYLEEALKMRNLLEEFLEKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGISKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDNALATGKRFVHNTPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVFEIFGQPYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWADWNKWISNRGGIGVAPEKSWESWWDKEQGPLRHSGKRGTILEILLALRFFIYQYGLVYHLNITKQYKQSVLVYGFSWVVIMVMLLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFISIIVILTAIAHMTILDIFVCILAFMPTGWGLLLIAQAIKPAVEMVGLWGSVKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >cds.KYUSt_chr6.1088 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6918889:6921344:1 gene:KYUSg_chr6.1088 transcript:KYUSt_chr6.1088 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKATAGGKDRFGDLTDDLLGHVLSFLPLEDALQTCVLDTRWRNHWRHTATQDFIVGNRSSSLSCERLKQLVKLFIHLRGSSPLDECNIDVCRDDDEKGTYRNTELLIAYALECQVRELLVTVDYFGEGQRKLHKPLISQHLKTIHLEWVKLQCSALNFSRCPVLEDLKMRHCIIYAHRISSKSLKRLCITGSCCFAEDVHTRIFAPNLISLQLDGFDGFPPFIEYMPFLVAAYVGLHDNCFDFCRESDECGCYDCPVSEGVLNGLTNAVNLEFVSENGMALVFRRLGSRSFPLLRHQSLQFLPLMAPEMRPPL >cds.KYUSt_chr5.4076 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26226092:26226301:-1 gene:KYUSg_chr5.4076 transcript:KYUSt_chr5.4076 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTVKRTPSSTSSKVEESENWRARSERWVTNRESHAVAMPPDAPMDGKRENDENPGGRNDAAFCVGID >cds.KYUSt_chr7.35043 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218906544:218908326:1 gene:KYUSg_chr7.35043 transcript:KYUSt_chr7.35043 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRDGAAAPPLKMLDRKRGCAANAVLVAFIVTVPSMAILFGARSSASALWIGSANASRLGAHDRLLGGLLADDGFDERSCHSRYEFATYRRSAGRKPSPYLVTKLRRHEALQRRCGPGTAAYSDAVEQLRSGKSHPGAIGSPECRYLVSISYQGLGNRILAAASAFLYAMLTGRVLLVDPSNEMGQLFCEPFPGTTWLLPPDFPLTSYTNFSIDTAESYGNMLKNKVIDAASTTAQQLPPFAYVHLDHDASVEDKFFFCDEDQRVLRDIRWLVMRTDSYIVPGLFLVTGFQEELGVLFPEPDAVFHHLGRYLFHPNNNVWGFITRYYDAYLATAHQRVGIQVRVFGADPESPELLEQITTCTQKENLLPGLLAAGIDPPAVPPAAPARKFSKAVLVTSLKSWYYDKLKSMYWEHAAASGEAVAVHQPSHEEYQLFGARSHDTKAWAEIYLLSLTDVLVTSGRSTFGYVAQGLGGLTPWVMYKPANGSVVPPDPPCGRDVSMEPCFHNPPFYGCRIKQWVDTGKIVPHVQRCKDVSWGLKLVPRVP >cds.KYUSt_chr6.2895 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17052545:17053735:1 gene:KYUSg_chr6.2895 transcript:KYUSt_chr6.2895 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQGDVATSPSLSSTGSSGSGNDNNAGGGGGTGGVRIYACFAYGGTNSFECYEPGANRWRRVGGIPGVPDGHVLKGFAVVAVGESVFVIGGRLCRRDDVGDGGGGGEYHDTDVGVRADVLRYDVRRGEWLACAPLLLPRFDFACAPCRGRICVAGGLCSLSGARGTAAAEVYDAEENRWSPLPDMSTMRYKCVGVTWQGGFHVVGGFAESTCTAADAGTSMVLQSSALERSSAEVFNCHRGVWEIIPGMWQLDVPPNQIVAVAGRLFSSGDCLNSWKGHVEVYDGELNIWSVMDHSALPDLSLLASSLPPSAQQLYLTMAVVDRQLYFLAGYEVAGDDDDTYRTVSLVHSFDTSAMPGIVPAWNSFQPKMDHEHNVEDGSKELFSQCCSVQLSS >cds.KYUSt_chr3.25053 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155527115:155528250:-1 gene:KYUSg_chr3.25053 transcript:KYUSt_chr3.25053 gene_biotype:protein_coding transcript_biotype:protein_coding MQHEALVAPANADRLRSAFELKSYAFGDQRLSSSPRYLPSGGDDGLYRCSSPFSPSLGFSSPSPLATSVSLSPSSSASLVDDADYCGGAAAADATGHRLQLARVALQYQEVVDRYELCLSRLAEAVDEAAVLRRENAELRVANGDLARRVAMLGGTQTAAVAIADEIRRLRGLGDQKVHASPEKTAVLPKSISVRSSDYLKMNQPAPAAATPAVYSRKPRASNPTNPSSQQKWGADGGMKWGEEKKQLQKDRQPDASSGAAAELDVCNQGMFKTELCNKWEETGACPYGDQCQFAHGVSELRPVIRHPRYKTEVCRMVLNGQVCPYGHRCHFRHSLTAAERLLRRP >cds.KYUSt_chr5.1253 pep primary_assembly:MPB_Lper_Kyuss_1697:5:8648418:8649185:1 gene:KYUSg_chr5.1253 transcript:KYUSt_chr5.1253 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMDSRKRCVAAFLDEPSPAAPHLAKRGRFVPCAASMAPLPVLAPFDPLDAFRRVFPDADPRGLESCFAASGRDINAAVQAYRAQQARDALAHHLASAAADGDDERCAGVLVEQMGAATDVDDAKNRATWMLELIRNAAAERAAREAAAETTKLREANASLREHAELGAMETARLYEENAALRERTAAAERDGTVLKRGVLAQQRRYEEMERDAAAMKKKVAELEMANYVLNVRLRDADGCRFQAAYRGPDVF >cds.KYUSt_chr5.18903 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122291560:122294278:-1 gene:KYUSg_chr5.18903 transcript:KYUSt_chr5.18903 gene_biotype:protein_coding transcript_biotype:protein_coding MCIQLAGTRRPPPRPSTLTASSPRLSLDFLPDISDLFLSDSHLGLLLLRHKADDLDSCNRSFLVCDPVSRRHALVPPPPFDGFSGGKVIGVALRSRAAAADDSAAGGLQFEVVYVTVDVDRPRAWVGSFRDGWCRWNALPRSREVAIDFDLMRFQRVCVHAAGGMYWHILNSHFVLALDAETLELSRLPPPAMMWGAGESCKYRVGERPEDGQLCVASLEEDAMMLCLRGNGEGSDNGWVLERHAPMQKVFDTVPSLPKDPLTRRAKLWLSDVDAGRTGRLFVHTIGYGSFAYHMDTGKLERLATEDGLAYGRPILAYFSAPDACSSSSA >cds.KYUSt_chr3.35770 pep primary_assembly:MPB_Lper_Kyuss_1697:3:224807099:224807311:1 gene:KYUSg_chr3.35770 transcript:KYUSt_chr3.35770 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEKGKSPADAAESVAGSAARVAREWSTWAMKNAKVVVHYGFIPLVVLVGMRSEPRPSLAQLLSPV >cds.KYUSt_chr3.26835 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167408751:167414810:1 gene:KYUSg_chr3.26835 transcript:KYUSt_chr3.26835 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPNASMGLLNLGGCGVLLPSLQSNSWPRHGFLVPRRDTSVSWGLVRRCRVLDPHSGAAGALASGEAGAGSSELRHIEKELTFSPTFTDYVKMMESVKLDRRKSFQGGDSDGRSPRRRFAGDGDASAGRRVGRESADPRDKSFERKRGAPRDRGGRGERSAMNGSPGLVGKQMARDAEKGSDGQRNVQEYVKRRIVRGERSEFGGATDNNGKQFAPFERKRGALRDRGGDQGRRERSPMNDSAEEFTGLAGKRMARDAEDSGNGQGKVEEYVQRRIVRGERSKIGVSTDNNDRRQFAPYVKTKDSRGSMVVHESPGNMPGQSNARKDLQGRATSAASRTSVTRDSSIILKNTNSTINKGREDFTNARSSPRERQISNSEINADSNFQRYQHRKESSRRDFVDGRFGDNDMDYSKATRGENVQPGKFVRRDSIDDRAAFKTFEAFTDVRNRPRALQMEIEEKIQKLASRLNATDVNTPEWKFSKMIHDAEIKFTDHSILRVVQILGRYGNWKRVLQVVEWLQSRERFKSDKSRYIYTTVLDVLGKAKRPFEALNVFYTMLDQLSSYPDIAAYHCIAVTLGQAGLVKELFDVIDCMRSPPRKKFMVGPVQNWDPRLEPDLIVYNAVLNSCVQQKQWEGTFWVLQQLKEKNIRPTNTTYGLVMEAMLVCGKYNLVYEFFDRVKQKSIPGALNYKVLVNALWREGKIDEAVMAVKDMENRGIVGSASLYYDLARCLCSGGRCKEALLQVEKICKVAKKPLVVTYTGLIQTCIDSGSMENAKYIFNEMCNYCSPNNVTCNVMLKSYIDHGMFEDAKNLLGNILSGRIQSKGDSGQQAIADKFTFNTFMDACAEAKKWNDFEYAFRKMLSNGYHFEERRHLRMVLDAYRNGKVLISYAQGSNYLITHMSKAEVFWLAFLRLQYRSKGHIRVPVIQFEMSVKPLSVSVLTKVSVVHHYSGTASGRCMGLPVSSWPGSTRPYDNGKILPKTETR >cds.KYUSt_chr2.44601 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277512210:277513738:-1 gene:KYUSg_chr2.44601 transcript:KYUSt_chr2.44601 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYAHHHSSLDERMDALKGSSRHDESAEEAIGAAAADAPAAWGFADKEGFSVEDLLDLEEFCDGEGEADAKDVPDEHEAPPANAAAAKQDRSNDGSQQSVVSFDLAPPAPEIVDLPAHDAEELEWVSRIMDDSLSELPPQPAPPASMMAARPPQYQHHRQHPQHLLLQQRRPQDGAYRALPMPSACDPFRTPTICALSTEALVPVKAKRSKRSRASAWSLSGAPHDSASSSSTTSSSSSSSASFSPYFLLGVSDHHLLLDEYSLLGGPPPPLPSKKSKHGKSGKPKKRGRKPKHLPPSHPGGGSAGSPGPNDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTYVSTVHSNSHRKVLEMRRKKEDGPLYLTGAAGVANTTAVPSF >cds.KYUSt_chr6.18882 pep primary_assembly:MPB_Lper_Kyuss_1697:6:118920570:118920866:1 gene:KYUSg_chr6.18882 transcript:KYUSt_chr6.18882 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPRVLPSSTMRLEKKPAGELLCRASKSAPWSFISSSPRNWARWRSLPRPSEMSPPPYRSPAASLPGWSPCCPDDDDEVGSPPPPPPPPPGGMNLLM >cds.KYUSt_chr7.16463 pep primary_assembly:MPB_Lper_Kyuss_1697:7:102006008:102007308:1 gene:KYUSg_chr7.16463 transcript:KYUSt_chr7.16463 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVISTSKLIGENSDAAPTGHLPLAHRRIGICRRRSTPDARRTRGTSSAASGPASAGCASTTSPVPAPRVPGRPSSSSPWRRPRPSPSRSLPDTPDRPFDGQVQASLTLAAALAHLSLASLLRVGLRRLLCIERLRHDSDAVRAGYTVQLACSFRVLACFLAPCSLADAAYKAYWYWDAASFRSPWWNADASSTRIRRLVLFSGNFNASSRGRLLALVSGGLDASSWHGARRRRPRLQQAPRCAPGGSSLPVSPRASPVRRCSIRLASRMG >cds.KYUSt_chr1.8357 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51451790:51454123:1 gene:KYUSg_chr1.8357 transcript:KYUSt_chr1.8357 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTAAMMSVGRSGGLPLASLNHISIVCRSVEASLDFYMNVLGFTPVRRPGSFNFDGAWLFNYGIGIHLLQSEDPDSLPEKTEINPKDNHISFQCESMPAVERRLKELDIPYIKRCVEEGGIFVDQIFFHDPDGFMIEICNCDNLPVIPLGDHTFAMASCKRAVAAKQQPLTPVPAQAAPPATAAAQCVPSANKAMPRVAGDEAAAHISCA >cds.KYUSt_chr7.9453 pep primary_assembly:MPB_Lper_Kyuss_1697:7:57650798:57651700:1 gene:KYUSg_chr7.9453 transcript:KYUSt_chr7.9453 gene_biotype:protein_coding transcript_biotype:protein_coding METMRERQAAALAKKAPAPVREQEPLRVMEAGAPVRRKKFRGVTYMPSGRYGAGINVPGSKKRQWLGTYADEEVAACAYDLAAKSVHGSKAKTNFDYPPPYDLIDKVTKAPGRRGPVYPVPPPPAPPAPAPAPAPAPATFSYKAPPSEPVPFPVRPFLLDPSRLVQRAPGVTITFVRSTAPEPAPAPAPAPARKPFAIRKFVFSQLASNPMYSIFARPQIRNVAPVPASSVETCFSSTTDPPLGDSASSSIRTGLMLITPKPFTLPPNIRTPSASGVGFSGDNFSPSTKEPLNFADLGGL >cds.KYUSt_chr2.52403 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326971494:326972000:1 gene:KYUSg_chr2.52403 transcript:KYUSt_chr2.52403 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAWTTFSVDGQLFGAHRYVLAARSSVLKAELFGQMKETTMKCIEIHDMEPAIFEALLHFIYTDSLPSNCGVDQDAALQHLLVAADRYGLDRLKAICEGMLCQRIDMQTVATTLALADQHHTMHLKNACLGYLSSQDVLRAVKETDGFKHLATSCPWIIMDILDKLP >cds.KYUSt_chr3.1712 pep primary_assembly:MPB_Lper_Kyuss_1697:3:9769791:9772046:-1 gene:KYUSg_chr3.1712 transcript:KYUSt_chr3.1712 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQRVDSARPPFIVAGYRGGEAVPRRSQSGLASLQHSSLFALLCCSFQFRSNLSFKRMDKSCGCETCKKWAEHYYWDLSDDEGKCFFKVIMDDLHEMTIPEKFAQRFKGKISGTIKLEVRSGSICNVSVETCADKMILQSGWDEFVSKHDIGKGDFLVFRYNGDSQFKVGIFDPSGCEKALSCVSINNPPPAETVESSYEHHSRRSLDNTMEKSSSSTPSEPGDACASQDDLIANNIPPYMIAHNVHLTPVQKDKVEEVVQDINSDIPVLVTMMCKSNVNREQCKFAFWKIYCDMYLPQEKQRVVLQRNGKRWTMWLNGATSPARSLIHGWRRFVLDNDLQVGDICLFDLLNNKKMCTMNVHIICAMKRGRR >cds.KYUSt_chr7.2031 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11612988:11621265:1 gene:KYUSg_chr7.2031 transcript:KYUSt_chr7.2031 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVFTYVKNGPLVEPAQEEDLPRQMKAKMGLTFTKLFSRLFAKEMRILMVGLDAAGTTTILYKLKLGQIVTTTPTIGELKSFNVAAGAAEGLDAAVKDGVNVISFSIDAFDDVQFNYDFIDIATYKAMEMKKREMRIRNIHDVGFIDPQIVNSYVLEHHPADVEDDLWRFIRKQKLKSDILFPYHFGVWRRFVDTKVGEFKKELHFKMAVRTTGDIQPPGTNLCGYYVCERIRRYCNERDQKCENNILRNNLRKTLSPEARFRPLQEELAGWLAREVIDPRGEHHYDDVDLYMH >cds.KYUSt_chr1.29898 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181098702:181099478:1 gene:KYUSg_chr1.29898 transcript:KYUSt_chr1.29898 gene_biotype:protein_coding transcript_biotype:protein_coding MASARTSSRPIGNVEMAPRCTPTALVRTSSPCLTGDEDGATLHTGSPIKVVVVQLVGAVEMAPRCPPAAPARMLSLRRGGWRQAVRRQPHQGWCRPRHGGWRRAVRRQPNVVVLAMADGAGLFAGSLIKVGVVLAMADDAGLFAGSLIKVGVVLAMADGAGLFAGSPTSSSVAWCRVGDGARMCTPPALTSSSSPWRMAPGCTPAAPTWPSSAVSSDVTVQPYACAWREREGGEIFNRDSHRLETSPSYPSLLPSPDR >cds.KYUSt_chr2.52993 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330656972:330659646:-1 gene:KYUSg_chr2.52993 transcript:KYUSt_chr2.52993 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLLVAAALADSPIALPGCPETCGDIAVPYPFGIGQGCFHEGFNLTCQKQHRTKLLLGDGTEVLGISLPNGTVRIDSNVFQSASANFNGTWSGPPATSPFTVSSRYNWFVAYGCNIVAQLIPYGTSVGNVSTCASMCLDNVEDAKSPMCSGIGSCRAPIPWDLTSYGIQVTHMAVQKYTVGTSSKHTAAFIVDRAWFTIFQNNIEIDPVPMCQHCGIHSVPAVLEWSLHSNSRCRSSNSFTTYDVDGNHGRIRCNCTQGYKGNPYIEDGCQDIDECQEPDVYPCLHGTCTNMPGTYRCSAKKSTKSLSGLITGIAISAGFGLLFSFLGVAKITNKLKQRRAKKLRQKFFNKNHGLLLQQLISSNKDIAERTRIFSLEELDQATNKFDHNRVLGGGGHGMVYKGILSDQHVVAIKKAKIVVQREIDQFINEVVILSQTNHRNVVKLFGCCLETEVPLLVYEFISNGTLSFHLHGQSENPLSWKDRLRIALETARAIAYLHSAASISVYHRDIKCANILLTDTLTAKVSDFGASRSMAIDETGILTAVQGTYGYLDPEYYYTSRLTEKSDVYSFGVILAELLTRVTPVFSSHSSECTSLASHFVSLVRENRLLDILDIQIVEEGGTEDAKVVARLAESCLNLKGEERPTMRQVETTLEDVQNSKVHLSCQITRANQNTMNGQLYKGSKGGEGTRLYSLEKEFIQSSEIPR >cds.KYUSt_chr5.43129 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272039973:272043194:1 gene:KYUSg_chr5.43129 transcript:KYUSt_chr5.43129 gene_biotype:protein_coding transcript_biotype:protein_coding MGAITSAELNFLVFRYLQESGFVHAAFTLGYEAGLHKGGIDGNVVPPGALITVVQKGLQYIELEANTDENDEEAEKDFALLEPLEIITKDVEELQRIVKRKKRERLQIDVDKDKGKEKECMIEDHENRLAGERERERHGKEKDKEREKDRTERDRVEEKEKEREKQNTERIDKFKHEEDSLASAGPTPMDVTTTPQEILSADVTVLEGHSSEVFACAWSPTGSLLASGSGDSTARIWTIPDGPCGSIQPSPASVHVLKNFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSRDGELKQTLSRHKGPIFSLKWNRKGDCLLSGSVDKTAIVWDTKTWECKQQYEFHSAPTLDVDWRNNSSFATCSNDNMIYFCKIGDPRPVKTFGGHQKEVNAIKWDPTGSLLASCSDDCTAKIWSVKQDKCVYDFKEHSKEVYTIRWSPTGPGTSNPNQQLLLASASFDSTIKLWDVEQGHLLYSLAGHVQPVYSVAFSPNGEYLASGSLDQSLHIWSVKEGRIVKTFRGSGGIFEVCWNKEGSKLAACFSNNTVCVMDFRM >cds.KYUSt_chr2.2135 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12929689:12932083:1 gene:KYUSg_chr2.2135 transcript:KYUSt_chr2.2135 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCRAAVAWEAGKPLVIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELVPGDHVLPVFTGECKECAHCKSEESNLCDLLRINVDRGVMIGDGQSRFTINGKPIFHFVGTSTFSEYTVIHVGCLAKINPDAPLDKVCVLSCGISTGLGATLNVAKPKKGSTVAIFGLGAVGLAAMEGAKMAGASRIIGVDLNPAKYEQAKKFGCTDFVNPKDHTKPVQEVLVEMTNGGVDSAIECTGNINAMISAFECVSDGWGVAVLVGVPHKEAVFKTQPMNFLSEKTLKGTFFGNYKPRTDLPEVVEMYMRKELDLEKFITHSVPFSQINTAFDLMLKGEGLRCIMRMEE >cds.KYUSt_contig_1989.31 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:205997:213123:1 gene:KYUSg_contig_1989.31 transcript:KYUSt_contig_1989.31 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPSVSELTFVISVLDMVTWYAGSPHGVKLHSSPEDIPPEREAIVCKAPPKLFSLGGNISIPDHVSIEGTRRLSNSSSPPREGEEEGDAIGHDDQDEKEEKEEDSDARWTRLEEEEAAARNEARGRARAQAKARHSFTDDEENPNDHLSEGNSSLSDASTASTSSEEVTSRKHVREDDEAGPSTRSSKFKILAKPKVLKKIAPTRGAVATKVAEAKKAADAELAEAAKAKEDAELAREAKAKAKEAADAESARAAAAKARARAKEATEAEATKEAVEADAEKAVEARRRRPRS >cds.KYUSt_chr1.29080 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175923590:175924354:-1 gene:KYUSg_chr1.29080 transcript:KYUSt_chr1.29080 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILFVSTSFLFHLQNSTAPREEAEEERRTIEERTMHPGAVAVGVGAAGRAVMDVRAAARASIILGLAMAAIALVAATMIKPSNFHAQIIDEHAAPSPAPAPGARQCAATEAEALGMRGVALQLVLMGLLEAVFAAAADVALAGSRPVLGRWLAVLAHVYGTANAWSLWYVLNGAAVVAVGHCARGHLAYLLIGYVLLAMSYAVLLGVSLAVTLCW >cds.KYUSt_chr4.24121 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151810802:151811164:1 gene:KYUSg_chr4.24121 transcript:KYUSt_chr4.24121 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKLALARRRSAGTVAVLLLLLLTTGAAAVATTADGNAAGAVARRWSSPSWLWQQGRRAHAARRLLLAVRQDSAATADKDTNEFHVEGVRRPADGKLARAVVFDAGRKIPDRDANHRHN >cds.KYUSt_chr5.9050 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57486429:57487481:1 gene:KYUSg_chr5.9050 transcript:KYUSt_chr5.9050 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLMVSRCFFHKKGTHIFEVAGYSLMKGMGVGKFVCSPTFTIGGYDWSIKCYPEGTTEPSKGCVEICLELMSSNAEVRTLFDFGLVRHDSGLISTAFAPQSKVFSSKARGTCQYCVMIVSSSIDAEPTKYLQNDVLMIKSVITVIKESQASVIVGPPEIEVPPSDILEHLAKLLEAKEKADVTFSVGGETFQAHKTVLAMRSPVLEAELFGPLRETCVTIQDMQPAVFKALLHFIYTDSLPDFDDFEGDDKCEMHRHLLVAADKYAMDRLKMICQNILCKNLNVENVATILALADQHNCDKLKDVCVEFIASSDKMDDVVATQGYADLKRSCPSVLVDAFEKRSRSRKA >cds.KYUSt_chr3.21942 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135215119:135216400:1 gene:KYUSg_chr3.21942 transcript:KYUSt_chr3.21942 gene_biotype:protein_coding transcript_biotype:protein_coding MILACEARPGQFFLGSQSKWGGGGAEYGYGRDDSLRAAQEHAEELEKKLEPSEEARKDAEAKAASANNLEARLDAAELTLKDKTDQIAQQEADIIKRLDKQSDRFSKRIGEMYTQNQEQEEDGLLDSLTTLEMNCLLARDCLKEGRAAFERLFPHFFPKDPVPDKFKPLAKCFTGKDDPILAHRQTSLKIGVEATIVLAIASGERVDWAKVEIVRGLTKEKWAGLLRSAKAFSKKLIALIDLMASSSTSFTQTEVK >cds.KYUSt_chr7.5564 pep primary_assembly:MPB_Lper_Kyuss_1697:7:33355519:33359950:1 gene:KYUSg_chr7.5564 transcript:KYUSt_chr7.5564 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSAPASCLLLLLLLAVGSLAVSRGDDCCGYNVVSVAGSGSALSARLELAGETPALAELGPDVQRLSLTARQEIAGAKDVNFTHGYGYAGVKDGNFTHGLETDTRLRVRITDADHPRWEVPQDIIPRPAPEEVYLNMPLPGNGDSSSPPRTRVLSTAGSDLVFTIHASPFRFTVSHRSTGDVLFDTSPNLVFKDRYLELTSALPADRASLYGLGEQTKRTFRLRHNDTFTLWTADIVASNVDVNLYGSHPFYMDVRPPGTAHGVLLLNSNGMDLLYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLVGRPAPMPYWSFGFHQCRYGYLNVDDLKGVVAGYAKAKIPLEVMWTDIDYMNKFQDFTLNPANFSFAELRPFVDRLHQNGQKYVLILDPGISIDPTYGTFVRGMKQDIFLKRNGTNFLGNVWPGDVYFPDFMNPRADEFWANEISLFRRTIPVDGLWIDMNEITTFFNPEPMNALDDPPYRINNSGVHRPINSKTTPASAMHYGGVSEYDAHNLFGLLESRATNHALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAAKWDDLRYSINTMLSFGLFGVPMIGADICGFGGVTTEELCGRWIQLGAFYPFARDHSAIDTVRREPYLWASVAASARKSLGLRYRLLPYMYTLMHEAHTTGAPIARPLFFSYPEDVATYGVDRQFLLGRGVLVSPVLEQGATTVDAYFPAGRWFCIYNHSLAVDTRSGERVTLPALPDSPYVHVAGGSILPLQQSAMTTAQARRTPFHLLVALAEDGTAAGDLFLDDGESPEMGGARSEFSLVKFSCATWSDGKIRLRSQVVHNSYAPSRTLLISKVVIMGLQSTEPARNFAVYVNGAAVQFNRAVSTSYRSRGGLGAAHVGGLSLVVGEEFELKVAMSY >cds.KYUSt_chr1.35657 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217387652:217388776:-1 gene:KYUSg_chr1.35657 transcript:KYUSt_chr1.35657 gene_biotype:protein_coding transcript_biotype:protein_coding MGCALSSSSKGSRRAPPGYEEPAVLAAQTSFTVNEVEALYELYKKLSFSIVKDGLIHKVNTDEISPIPSPTTTSSNGLRRPDYGLRSSCLDSLVLIVDQEEFLLALFRTSKGANLFADRVFDLFDLKRNGVIDFGEFVRSLSIFHPKAPKADKTAFAFKLYDLRGTGYIEKEELREMVVALLDESDLCLSDCAVEAIVDNTFDQADSNCDGRIDPDEWEQFVNKYPTSLRNMSLPYLQ >cds.KYUSt_chr4.33764 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207170180:207174348:1 gene:KYUSg_chr4.33764 transcript:KYUSt_chr4.33764 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSELSSCKETKLHAATHSWLPLDKGKLSKFTAHSSSSSSSSIESLMKMPEPAVLPHFKPADYVDILAQIHEELEYCPPDDRSCLYLLQFQVFRGLGETKLSRRSLQAAWEKASTIHEKLIFGAWLKYEKRGEQPISDLLGSCGKCSQEFKLLDFVSQISAESHGMISYDDESDEFQGSSVVHLRIRDDMIACDRRKLSALSTPLYAMLNGGFRESYLEVIDMSRNGISPIGMRAISKFSLSGRLPYLSAEAILEMLDFANKFCCKGLKDACERKLASFVSSRQDAIDFMECAIELGCSILAASCLQVLLNELPECLNDEQVVRIFSSANKQQRSTMAGNASFSLYCLLSEVSMSISATSDVTISFLEKLVDSASDSRQKQLSLHQLACMRLLRKDHTEAERLFNAAFTAGHLYSVVGLARLASLRSNRHFSLKLLDSVMSSRWPLGWMYQERALYLDGDSKLENLNKATELDPTLTYPYMVRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVAAKQLRMLVMENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHASSQHERLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLEPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNNRTGAFEEMTKLIEKARSNASAYEKRSEYCDRDLTKADLQMVTKLDPLRVYPYRYRAAVLMDNHKEKDAISELTKAIAFKADLNLLHLRAAFHEHVGDISGALRDCRAALSVDPNHQEMLELHHRVNSQEP >cds.KYUSt_chr5.21007 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136628338:136630083:-1 gene:KYUSg_chr5.21007 transcript:KYUSt_chr5.21007 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRWYVVFASMVVMAASGSTYIFALYSKELRSTLGYNQQTLNTLSFFKDLGTNVGVVSGLVQQVAPTWAVLLIGAGMNLAGYLMVYLALTERTAAPPVWLMSIYMCVGANALTFSNTGALVSCVKNAPESRGIVIGLLKGFVGLSGAIYTQLYLAIYGDDAKSLVLLIAWLPAAVYIFFVHTIRVLPYRRRAEGDEPNTKPFFCFLYISIALATYLLVMIVVQKQVPTFSHAAYAVGATVLLLILFLPLGVVIKEEYQAVSQLEESLQHPPAIAVEEPKTSSAKDDDDDETKPSFGFGCFTSMFKPPALGEDFSIMQALVSVEMLVLFVVSVFGIGGTLTAIDNMAQIGQSLGYPAKSINTFVSLISIWNYAGRVGAGYMSEFFLARYRFPRPLALTVVLLLSCVGHLFIAFGVPQSLYAASVIIGFCFGAQWPLLFSIISEVFGLKYYSTLFNFGSAASPIGAYVLNVRIAGRMYDAEAARQHGGVAAVGDKVCKGVQCFKHAFLIITGVTLAGVLVSLVLVWRTRNFYKGDIYARFKVAPATVVDGSNDGREMGGSRDEEEVKKGKNKKQEVNEEEIQ >cds.KYUSt_chr2.41330 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257054689:257055171:1 gene:KYUSg_chr2.41330 transcript:KYUSt_chr2.41330 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRPAAIAGGRQVWPVAEELGDAGASQRLVEAVARGDAREAGELLASGRADVNYAGVVWLDARRVAEAALREGAAAELRASREEIRADVSPLFLAAGNGDVALVRALLVSALCSALLCFYCRFSQLALLLQHPVLPFAGRFGNHSRAFRRVLLCAQP >cds.KYUSt_chr3.11003 pep primary_assembly:MPB_Lper_Kyuss_1697:3:65540216:65545122:-1 gene:KYUSg_chr3.11003 transcript:KYUSt_chr3.11003 gene_biotype:protein_coding transcript_biotype:protein_coding MMASGSASSSSDPLLRRRGLISCLHSPTCLPQGAGDDAPTVEPPLPDNDLPQAICDLIVPFYEEAFHRLPCETMPDLVGLLTTGGLCLGLLDPVSNIILNTLALLPQDAAAAAASSSPPAGKRSKRLVGKTLAKSNSGVYDWQSLAGRSYYSLVGFLMAYFGWLTEEQAIRYLYRANANLLLALKLIQHDLYAEVEEPLDPDSERACAALKWAATRAGHPSPTTLAQAMTIRLKDADFDLLQKQFSADGTPPPIMAKDAEAISRIVRRPLYVTGISHDAIDKLAFHVGHNLDVMGAETEAIPTAGTISTTYSFHSRPIWSVQSELSHELEDCLDEAVRQSVLFKAPCGDDCDYRQSLNMYLHGMIHNFYIKALKLLPTPSGSLMRGFLIAGHCYGSMDPVSNIIVNSIWFYMHGSFLPLPEQRKMKNYIDIFDPMALLPSQVYSLKGLTELAKFADPQFLLPAKFADPQILLPACALETLCIARCNIVEMLSSTSAERLETNPFHEAATVAEHPLPLQLGELHRQLLLMPYERNKLLSCITRAQTCKTVLPLDEMTPVLEAVLYSCNHPVPVLGPAPRLCAKALKMVANKRSDYEENRKWFRSQIEQVLKDYTSKHFWVARCYYGVHSSTKIVYPDRSEYIYHDITENGTDRVHALLEMDLVYFSSKIDVQLAKHLNHAASSAQVLHSLPGRPRPPLPHASAITCHPQIPPLEEDICFVLVSFARPGATPMGRKGGAPSVGRGGGAPPPPRTPPLEQELCLAESFTLLDPRSLGAVELVLPGRRFPSRALRRRTSSSITEPSRLLLVLQDCFPVCGFHGPANVGTKF >cds.KYUSt_chr7.13542 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83426082:83427179:1 gene:KYUSg_chr7.13542 transcript:KYUSt_chr7.13542 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADAEAIRALYHGLVGTGKLREEELSSFRRHFDRLPSSYLTTRYMWPGPDDVLLDRKVLSDAAANKRIAAHARFIQRVRLPYDDDGFGGGPPDEQPTLPELRQEELLVHEIIFASRDKPGLLSQMSLRSYMQGSNYEVA >cds.KYUSt_chr3.36235 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227879232:227881264:1 gene:KYUSg_chr3.36235 transcript:KYUSt_chr3.36235 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCVSCLDSGQSWEVPTAAVLGPGGHGCFELNLRTTGAIQAMEEVQDMQESETASVVKVPREPAIIINGVPELPPDCPSGSQPAVRDAPGSQVDPRFGEWLEGRKVRKLFGDKYYVGKVVKYESQSNWYNVVYEDGDQEDLEWAELEEILLPLDITIPLKTLVMDKCKRQSAVPDYKPKVGRPKKVYAMLEGARNETSGTVPLPQGTSVASNQTMVVAVNDQKSNNVLGLLQVSASNDVTSASASTGENAQVCLKSSDQPRKRGRPRKDRTISSDIQPKKRGRPPKKSSMPGDPRNSVHKRNAQTIRQEKLKRENMRVQGATPGAQLF >cds.KYUSt_chr4.50649 pep primary_assembly:MPB_Lper_Kyuss_1697:4:313720679:313721785:1 gene:KYUSg_chr4.50649 transcript:KYUSt_chr4.50649 gene_biotype:protein_coding transcript_biotype:protein_coding METATMAWTAAVAGVGLVYWFFWVMGAAEVKGKRGVDLKMGSITDDKVKDKYTQYWSFFRAPKDTAATAADREKVPAFVDTFYNLVTDIYEWGWGQSFHFSPALPGKSDRHATRVHEERVADLLNAKPAHRLLDVGCGVGGPMRAIAAHSGSKVVGITINDYQVNRARAHNRKAGLDSQCEVVCGNFMAMPFPDASFDGAYSIEATCHAPRLQDVYAEVFRVLKPGGLYVSYEWVTTALYRADDPAHVEAIHGIERGDALPGLRHHDEIADIAREVGFEVVKELDLALPPSLPWWTRLKMGKFAYWRNSLVVRVLTLLRIAPKGVVEVHEMLFDTAKHLTLGGETGIFSPMHMVLLRKPADAASDESK >cds.KYUSt_chr6.32287 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203704962:203706382:-1 gene:KYUSg_chr6.32287 transcript:KYUSt_chr6.32287 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLHAAHGLVHGDVKSSNLLLRHGGDPDNAAALSDYSLQHLFAPLPPSARAGGYHALELVDPRRPTFSSDVYSLGVLFLEILTGKCPASGTAGVDGGGVALDLPRWVQSVVREEWTAEVFDQELVRLGGGAEEEMVALLQVAMACAATMPDARPEATEVLKRVEEIGGGALPFLSILPFPDANP >cds.KYUSt_chr7.11142 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68408371:68411967:-1 gene:KYUSg_chr7.11142 transcript:KYUSt_chr7.11142 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIRLPDRTPHCLLYKNQQPPASRSHLAVALGEARRRMSGLPEAATLLVWEACGLLGGATSLISELQREGRAAPASNATSPDLSANILLPALPLRYILVPNRDTDLINKPIENYTQMKMVYAGRVPAAPLTPTAVHRAPSHLMDHKANMYIAMTPAGRMDWFTTFLTKNPSKKTFSDLDEINAQHLRIPGSFQNTREPPERGHRGPTHGADITRLGPAPPCCVAAPYPLRRRLFAYLSLLDLNLRYEKATVRETFQSRRHREAKIWGTGLSVPARRRDGEVPPEGFSIDTTAIFINAAISHEEGVVLHRG >cds.KYUSt_chr1.18199 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106255876:106262076:-1 gene:KYUSg_chr1.18199 transcript:KYUSt_chr1.18199 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHDGDGRGHGLQERAWRAAARRLEKVIHRRLGSRSSSLGTRQEASVSGHGEPPLAPSLLLPRPFLCHRPRAAALVDLFLLLHAHQVKHEQAVPNAQADLFLYVYIVLDEIPMRLIDLYKPCEFYKIIRRIHGLKKVISDLPCEFRLALNLECLQYGTIKSQASSMVEKEIQYFCVAMGHENESFEDFVKSHDAYQEYLMFFPMNNGLASVAGNVGLLESLNLAMVAPRVPELVNVDFAQARSILGINERWLKRYGMAASSGDRSGRCSRLDML >cds.KYUSt_chr7.40428 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251013292:251014432:1 gene:KYUSg_chr7.40428 transcript:KYUSt_chr7.40428 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAVPTVAQHRKMHEAMARSSDDKAERWAQHGKAIAREARAFELGEARYYTVLVWSAILWQFFFLGAVGVIFCVHTLFTGILIAAFIPVTEVLGVVFLHEKFSSEKGVALVLSLWGLASYSYGEYSEAQANKKKAALAESQAS >cds.KYUSt_chr6.23894 pep primary_assembly:MPB_Lper_Kyuss_1697:6:151042512:151047860:1 gene:KYUSg_chr6.23894 transcript:KYUSt_chr6.23894 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAERGGGGGALPLAVRELIAGGVAGGVAKSAVAPLERVKILLQALLFMLLPDSLVEGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPILDLVAGSIAGGTAVICTYPLDLVRTKLAYQIKGAVNLGLRESKPSEQVYKGIRDCVKTIYKQNGLKGLYRGMAPSLYGIFPYSGLKFYFYEKMKTHVPEEHRKDITPKLACGSVAGLLGQTITYPLDVVRRQMQVQAFSSSNLVNGKGTFGSLVMIAKHQGWKQLFSGLSINYLKVVPSVAIGFTVYDSMKDWLSVPSREKAAVVVPVLTEDGSNATPVHSS >cds.KYUSt_chr1.29071 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175881798:175882418:-1 gene:KYUSg_chr1.29071 transcript:KYUSt_chr1.29071 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAGAAAGGAVRAVRAVARANIILGLAMAAIALVAATMFNPGDFHAHVRHVQHGASGAGAGARGAGMRGGNRGGGAGPARGGSESGAHGGGAGGACRCGRRGRSGIARQAGPVPRAALAHVIGAINVGFLCYVVNRAAVVIMGNCAVNLVFDYVLVAVSYAALLGVSLTITFF >cds.KYUSt_chr2.40345 pep primary_assembly:MPB_Lper_Kyuss_1697:2:250627688:250630522:1 gene:KYUSg_chr2.40345 transcript:KYUSt_chr2.40345 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSEKKVPSGFADAAFKEEKKRAEFLRHELEKNKEKLIRKSNQLIQTAAACDEYVDTVTESNRRIKDIILKTLTLGCTTPPHQCQSSLLEIQNIAISLSQHTAKTIAMVRAAGPSPFGEDTFHNDRLSSSGNSDFSSTRFAPPDLLKCYQAPPGTNNTALFAEALLPLLGALPSVAAPTGFASLRSGGTSRDRAFARALCFGDSTPPADCLRCLSDAARNVTAGCGTSRLAGIWTDGCFVAYGTADTSADAFRSRAIISLGSDVTRVTNASEPDLRRLADVALSLAPRAAANGPRMLATADATAVSNNYAWRSTVRVLAQCARDRAPAECLRCLQGSAREVGRCCWGLDPWRGGVAAAVVGFDCYLRFEVATAKVALPELIWLAMKDNPVFVVVCFVATFYLAAVLAVLVLITRANKMKPALQALEEEIAALQAQIKAVHLQLAAQTN >cds.KYUSt_chr2.50295 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314487263:314487499:-1 gene:KYUSg_chr2.50295 transcript:KYUSt_chr2.50295 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQARVGTSAHAAALLVPPAPVTQEQRHSLHGRLHSTSLNEWMGSHRHPPPAERPVNGDRLPPHAYSCTFFRFDFFL >cds.KYUSt_chr4.12871 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79160453:79160948:1 gene:KYUSg_chr4.12871 transcript:KYUSt_chr4.12871 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRDTTVVLALVLLCGVLHGELAESMNYTVGDSRGWTFGSGSWSNGKRFLAGDMLVFKYAPGAHNVVVVDAAGHNSCSAPGGAVRYSSGNDNVTLTRGTSFFICGVPGHCAAGMKMAVTAA >cds.KYUSt_chr6.21267 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134247916:134248551:1 gene:KYUSg_chr6.21267 transcript:KYUSt_chr6.21267 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMHPRLPASSADGDDTGNSVIGAYRVFYAVAVVCISVVIVCVLVASSSVAAWKACGFAAMAALLLGVVGYFAPKAWIRGRGRPASALLAVTATPGRTRTRAPGCACVLPANVPLPPAFAYLCPLKSDGSSKQEATTSRVMCSVCLEGVHGGEMVRQLPACRHIFHVECVDMWLQSHRTCPTCRSVTKPPVMLTVKAAATEEAPESLPPV >cds.KYUSt_chr1.10053 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61616787:61617203:-1 gene:KYUSg_chr1.10053 transcript:KYUSt_chr1.10053 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNPRVLLRAAASLLRPAAAAAPQTLTNATPAPLPLSLGRALLPGYRRAFSTDYGKDVDEVNRKFAEAREEIESAMDSKETVYFNEEAACARDAAGEALGAFDALLARVPPADADKLRRSMGLKMEQLKAELKQLEE >cds.KYUSt_chr4.20259 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127462697:127477534:1 gene:KYUSg_chr4.20259 transcript:KYUSt_chr4.20259 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAHRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKESRKRLFSMINNLPTIYEVVTGTAKKQGHNLFTPQPSRLPEPNSRGPKMPPPKDEDDSGGEEEEGEEHENALCGACNDNYGQDEFWICCDACETWYHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA >cds.KYUSt_chr1.33731 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204973718:204974843:1 gene:KYUSg_chr1.33731 transcript:KYUSt_chr1.33731 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDEDGAANNGFPRRSMHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPQGHALDVAIEEARMAMMEEERADPRHHPDNITRWNSFFLRRWERELASYDGPPPPPPRNNAAAGSHGASSDKASRSISRSAPSLAPVKKEPASPPSNRTHGGGIVIREPSAAQGGGRLRPKREQDTSGERKRKPAKVKVEEADSAEDAAILEAVIARSLQDLVPAQNAMPRLVEEQWEKEEAERQARLLQDVARYRRPATPPSGAAVPVVDLEASDDDLYRPSPSPPRTSGRWGDAGQGSSQGASAPQFDADSSDDDGGDGDYMVFYRHFGM >cds.KYUSt_chr4.13263 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81632564:81636268:1 gene:KYUSg_chr4.13263 transcript:KYUSt_chr4.13263 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCLLLLALVAAYHGGGACVRAAAGANWLGGLSRASFPNGFVFGTATSAFQVEGMAAGGGRGPSIWDAFTHIPGKIARNENADVTTDQYHRYKEDVNLMKGLNFDAYRFSVSWSRIFPDGEGKVNEEGVAYYNNLIDYLLQKGITPYINLYHYDLPLALEKKYGGWLNAKTVELFTNYADFCFKTFGNRVKHWFTFNEPRIVSLLGYDIGTNPPQRCTKCAAGGNSATEPYIVAHNFLLAHGYAVARYRSKYQAAQKGKVGIVLDFNWYEPLTNSIEDQAAAQRARDFHIGWFVDPLINGHYPQIMQDLVKERLPRFTPDQAKVVKGSADYIGINQYTASYMKGQKLLQQTPTSYSADWQVTYAFARNGKPIGPKANSDWLYIAPFGMYGCVNYIKQKYGNPAVVITENGMDQPGNLTRDEYLRDTTRVRFYRSYLNELKKGIEDGANVVGYFAWSLLDNFEWISGYTSKFGIVYVDFNSPSLERHPKASAYWFRDLLQGKH >cds.KYUSt_chr7.39731 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247002453:247004087:-1 gene:KYUSg_chr7.39731 transcript:KYUSt_chr7.39731 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPRRSSRLPPAGRFRKENGGMVVLGTAVSRPAAVTTPGPTLSAAAALADVASGLPPPSSSLEPAAPMPAPVGSSPDGEATCPRAPLSSVTLHQAPSRPAAAALVAALTADVGMLWDGSLSSVEDDEDDGSDEELAPMTPLATSSSSLPSDPAVLVEGLGSLSLSPVALGGAAEVPVAVALPAPSLLWVASLDSDEDDNDEELAPRSPLAGSVHVEEVPAKPCGGFSAFAGALGDNDGWVQVGRGGRPSHEPSSLLRKEGLERSLAFKRWARGRCFRCLERDHQVSSCRAPFRCIRCRRPGHRERFCRARFPAARSRSPDARARPPEACAPCQPSRSPPAQPRRHSASRSWAEVVCHSSSPTSSPPRPSPSCCEEFNSNRCFDSHLQCQFALLRLELDQLVANRVEEASRPLREEVASLKMLLAHAGVSLEPTEACSSGGQELASMKASLPLSFEEQKSSVVEITPELHELCGDSSVVPELLELGGGEVMPPSVEEVRHVVPFDVGIAKSGLPATVSGSVVAREVCDFLATLAATFPASAVD >cds.KYUSt_chr5.14383 pep primary_assembly:MPB_Lper_Kyuss_1697:5:93345271:93350851:1 gene:KYUSg_chr5.14383 transcript:KYUSt_chr5.14383 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTGRCLLSPMRCSGTLILLSYFGGRFAHAPPADDDDEEDDDGNEDDDEEDDDEDDDDEDDDGDEILGSRTGAKGRPSLLRPGPIVLSSVAVGSLVAAGAANAADLGDSLLGPSGLLLADLSIGDWFGGLLFSAGQQANEAVLDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLGIIMGLSLLEVVELQLPSFFSDFDPRAAAANLPSSDAS >cds.KYUSt_chr3.3179 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18252240:18258539:-1 gene:KYUSg_chr3.3179 transcript:KYUSt_chr3.3179 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGPVLRRKSWRRTSTSSSAAIALRETVAVRTSPPTLEHRCGCRRLRPATASYGRRAALLQVLYLHREAIWLVVDNSCSRCPKWLAPRRAAALEAVDGGVLSPAQIKDLIAFLLSYLRSILGSSMARRDGEIPTKRSNLSDGGGSDDRLSALPDDLLLQILLKLVDTAVAARTSVLSTRWRRLWTLLPELLFYPATEPLAIRAALESHEAAALRDLDITLLDATPESVAGWLPIAARRLPGHLKLVNIFEPDESDGQAREIVAFLLPCFQNATSIHLQLGYLGMALHPLGVFARLTDLNLISVQLYGSCMLGEAVSSPRCPSLQKLVVHGARGLGNFTVQSESLKQLTLRNVHGLEQLTVIAPALLSLSVICSFHSTTTMNQPVATISAPQLMSLIWVDAYDRRFTQLGEMENLQRLSTYPFFVYGHNDSHKVVNSYTMKLLSHFKLIQTLNFKLLYPLEITNHEYLMEDITRLPNIVVMNLHIEPKAHSFGTSLFHLLSMCTGVRKLTITLDCKTSHPVQTVCSAGCVCDQTPNWKTEELTLNCLKTLNLSNWGATDHEAALVKRLLEWATVLQTMTVTFDRSVAGSKAKEFCQMLQIFSRPEIWPCCAIFTSLDESVASDYSVSPFDEESLQSSFYTHCRWCMRCPPNIFLLLRVIGDGIMRLRVIGDEVIRPQIFSSPFQAMSSSSRKITASNGFGRDSLTVKEAWALFRAGYPILPDMRLPSSGGWKMAVNGMGVPPPPTPGTERWKDAIRARWAELDTEERADPTWAAKNNDAWWGTYFQAKYDM >cds.KYUSt_chr4.14401 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88777801:88787726:-1 gene:KYUSg_chr4.14401 transcript:KYUSt_chr4.14401 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPATKGPGDGIYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAVGMLIEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFEKGVTQDQVILMAARASRIENQDAIDTAIVGMLGDPKEEHIPIDEVFENLRCSHEGLTSEQAQQRLQIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPATKGPGDGIYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAVGMLIEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFEKGVTQDQVILMAARASRIENQDAIDTAIVGMLGDPKEKKELASSFLLDKLTKRYHLELVPGMCSVSLSTIGVRPCGVVDFHWGRYCSSLSK >cds.KYUSt_chr5.42384 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267241856:267244116:1 gene:KYUSg_chr5.42384 transcript:KYUSt_chr5.42384 gene_biotype:protein_coding transcript_biotype:protein_coding MCAATLEWMRKRSEAHCVSNGGLLLRRTPCTGGGGDLAIGAVGWLIDSIERSRRAVSGQPEVEPRPRASDLAIERLRAEMAEKEQAAQEHARNMERQILEQQTQMMLQMQQQQQQAQMSWLMSQTALSSPPGSIPAPPPYSMPWMPPPPTQSPRTPLTVNNLNIIRSMNRDYMSQGNDDEAGGSGEGQG >cds.KYUSt_chr1.18381 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107554013:107554606:-1 gene:KYUSg_chr1.18381 transcript:KYUSt_chr1.18381 gene_biotype:protein_coding transcript_biotype:protein_coding MACSILAPCKRALARLFRIPASIRAFRFRKSSAKMSPHNRRRRRGRSSSRSLQLFRPLIRSSSTPETSTESPTACRAAVPEAPVQAAAPVPSPETPAYVKMVERLRSRTSTCTGEEKDACHSFESCLMEILTEEGKVQDVEELLQCWERLKSPVFVDLVCRFYGDLCKDLFSSAGGDDSGNADRDEGLVSTSAALLG >cds.KYUSt_chr1.35119 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214051216:214051908:-1 gene:KYUSg_chr1.35119 transcript:KYUSt_chr1.35119 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCQPSLKDVGVGVGEAGAIIPNDEITEGGDIGVDAVPENPATAVEPFGEGVAACGSASPAGWLGVLCTGAWSSTGEDGKSQPAPAAMAGGQDGPATAREGPAAAVRSGGSSGCTDVGWTGSPSSSDAASVGNSMLAG >cds.KYUSt_chr3.21784 pep primary_assembly:MPB_Lper_Kyuss_1697:3:134072686:134077145:1 gene:KYUSg_chr3.21784 transcript:KYUSt_chr3.21784 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVALPAPVFSPATAGLTLIAAAAAEPIVAVVAGAMEMEMEMEGVPPVPPVRTTTTTTAAAMELPAEEDAAGSPCSVNSDCSSVASADFEGVGLGFFGSGVEGGAVVFEDSAASAATVEAEARVAAGGRSVFAVECVPLWGYTSICGRRPEMEDAVVAVPRFFGLPLWMLTGSNMVDGLDPISFRLPAHFFGVYDGHGGAQVADYCRDRLHAALVEELSRIEESISGANLGAVEFKKQWEKAFVDCFTRVDDEVAGKASSGGGGDVGTSNAAAATDPVAPETVGTTAVVSVICSSHIIVSNCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAAGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEVTIVPRAKDDECLVLASDGLWDVLSNEEVCDVARKRILLWHKKNGGSSSSAQRSGDSPDPAAQAAADCLSKLALQKGSKDNISVIVVDLKAQRKFKSKT >cds.KYUSt_chr5.22327 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145768859:145782314:1 gene:KYUSg_chr5.22327 transcript:KYUSt_chr5.22327 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDLKKLEQELKERESLLQARQAELDRRLAEMGKSVDNTTTSPNPSPTPSSYVASIKSHVPVTLDLQESNYAKWRELFLVALGRYGLTAHVLGTTGATPSDTSPTSDWARDDYTVLSWIYGSISSELLGIIMAPGSTARQIWDALASLFHDNKKSRALAIDAEFRNTPQGDMSVHDYCSKLKSFADALADVGQPVSDETLVLTVLRGLNEQFSHLRSFLPYQVPFPTFLQTRSALVLEEAQKKTDAKNAAASALWASGNSINPHAGGERAPSAGRGGGSGSTDPRPPSPYQPGLFINSGCGGGYGGRRGRGGGRGRGRDNNSPWMYNPWTGLPTRAAQQQQQLQLAPWQPRWRAPTAGVLGPRPGHQAYTATGQQLPPMTPTWNNGLMIPPQQHISQQQLDPALLTALQNMHLPGNQEWFMDSGASSHMASDHVLVNIPARFLELRQVDRAEKHLVLFFLLLSSSMTEIAVSDYTFITAMQKRNWKTNIGITFFPVIICVLLIVLQNIINSELDKPKYRCGCACVETDINGTCKRRECGVQYSTLEQVWNCAIPSPPRWPALIQVPQPESRAVRTVSQPFDDLPEPSCRDSWSCPASVLITGKDRYFAESVAGGLFPAFSPTLNVTDYLDALSRIVVGSDTAPWYTQLLEPAFSSSDTIYLLQPQCVPYLSQTISYRARGIPLQLNIQCVEGVMLWRESTSVINDELLKGYKQKGGKINEFIAGYDFLSTTEFGLGINVLYNSTYNDKTAYSFIAALRVPRLVNAVSNAYLKYIRGPGVEMRLEYVKEMPKVGTSYRFDLSSLLSALFFTWIVELLFPVMLAYLVYEKQQKLKIMMKMQGLKDVPYWMISYAYFFVLSVVYMTCFVIFGYFIGLNFFRLNNYGIQFVFFFVYINLQIAFAFFVASFFSSVKIATVIGYIYVFGSGLLGAFLFLFFIEDKTFSGIWVLVMEIVPGFSLYRGLYELGQYAFAGSATGSSGMMWGNLKDPVNGMCDILIIMTVEWALLLVLAFYLDQMSSVGGSVRNPLLLFRSSQKKHAPSLHKSSSAQQDSKVIVNMEKEDVAQERRLVEQLLMDRNANEAVICDNLRKMYPGRDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMVGLTRPTSGTAYAHGMDITMDMDDIYTNMGVCPQHDLLWETLTGREHLFFYARLKNLKGAALVKAVDDSLKSVNLFHGGVGDKQVGMYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNNLWNIVKEAKSNCAIVLTTHSMEEAEVLCDRLGIFVDGEFQCIGNPKELKARYGGAYIFTITTSPEQESEVDKLVRHLSPSANKIYNLSGTQKFELPKQEVRIADVFRAVEIAKSRFSIHAWGLVDTTLEDVFIKVAKGAEVFNDVA >cds.KYUSt_chr7.17165 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106358988:106364719:1 gene:KYUSg_chr7.17165 transcript:KYUSt_chr7.17165 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNRTYKLRGGKRIGASIFDLVVGDVVPLKIGDQVPADGVLISGQSLAIDESSMTGESKIVHKDQKAPMLMSGCKVADGYGSMLVTGVGTNTEWGMLMANLSEDIGEETPLQVRLNGVATLIGVVGLSVAGAVLVVLWLRYFTGHSNNPDGTTEFVAGTTGAKQGFMGAIRIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEVYLSETKLNPCDNTGLMSSSVASLLIEGIAQNTAGAVFSPEDGGTAEVTGSPTEKAILSWGLKIGMDFNDVRSRSSVLRVLPFNSVKKCGGVAVQVSDDNVHIHWKGAAELVLASCKSWLSIDGSAHPMSSDKYNELKRSIDDMAMSSLRCIAFAYCPWELRMVPKEDLDKWQLPEDNLTLLGMVGIKDPCRQGVREAVQLCSAAGVKVRMVTGDNVETAKAIALECGILNANDVESETIVIEGKVFREMSESAREEVADKITVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVFSNIKA >cds.KYUSt_chr3.4329 pep primary_assembly:MPB_Lper_Kyuss_1697:3:24612898:24613903:-1 gene:KYUSg_chr3.4329 transcript:KYUSt_chr3.4329 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTMTTAVKGSTSAATVDVDVEALSCPRCLQLLVPPVLQCAAGHLICSSCHDDLPDKNKCVSCFTKCFFTTSYGRCHAVEAILRSVRVACPNTIHGCTAGKMLYHEKAEHEKTCRPNIADSAGGLPRSVVKMGPCGGDGNAWEMDLQGIDRIIKVVVWTRAVKVDVVWVMYERDGQEHTEKWGYAGHEAELSEICLESDEYLTGVKGHVGKYYGTDVVSSITFVSNRRTYGPFGYTQGKPFELLAAAGGRIVGFHGRSKEYLVALGTYVKMDV >cds.KYUSt_chr4.4422 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25324232:25328135:-1 gene:KYUSg_chr4.4422 transcript:KYUSt_chr4.4422 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRCSKLSVCWWPPHFKSPRLENGAAGEDGSGVPVFAEYSLDELRAATDGFAPDRIVSEHGEKAPNVVYRGTLFSSGRTVAIKRFGRSAWPDSRQFVEEARAVGLLRSGRLSNLIGCCCEGGERLLVAEFMPHDTLAKHLFHWEAKPLSWAMRVRAALYVAQALEYCSNKGRAIYHDLHAYRVLFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVIPESVVYSFGTIVLDLLSGKHIPPSHALDLIKGRNISVLMDSCLEGHVSSSDGTEMVRLASRCLAYEARDRPNLKAVVSALASLQKDASALSRTLLGIPQDTEKEESSEQISFSSTGKAYATANLEGVHEMLVIDGYSEDDRATFKVSLSSWPGQPAESIQVKKHGDDAFHSKDFMEVVECYSRFVDTGAMESPTMLVRRGFANIVLGRLEDGLEDARKAEGISPEWPTAHYLQGMALICLGMESDGHEKLNIAGALEAQRKGRTRTV >cds.KYUSt_chr3.7094 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40937831:40940400:-1 gene:KYUSg_chr3.7094 transcript:KYUSt_chr3.7094 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPPSWVILGSVPRVLSPAAADTDLPPGVADFSLALPAPPRVALLTIRARIYPDRASSRRSPTIIAADPSGLILLHSEQGRRATPGPTITDTPGHQGVSWCPYLDAYFLLDATAPATAAFPLPNPELLSGPGFIGLITSPKGYMVAELQPVFGSAKAQLLRFSSQVGEWVTQSVAYPLPRRSWRRADGVLSCSGRLWWVDLAWCLLTCNPFAYDPTLTVVHLPEGKVLKPREAAGLLDKYRCVGVSAGKLRFVDMYRNRSSRSGAAQISVWTLDDHPVGSWTLECEATFTEICNDPSYKATGLPRKIPVLALIHPTNPDVVYFFLDGHLFGVDVRARKVVHCELYDLVEPSMEKVASRFVQAWQLPPALCSGSAKETDDGVDEELQRLHLRDEHEEREAGLT >cds.KYUSt_chr7.30195 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188003565:188005171:1 gene:KYUSg_chr7.30195 transcript:KYUSt_chr7.30195 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATVDLRGVERGGPGWAEARDAVTASMVAHGFVVVRDDDALGPQLRQALFGRAMPEVFALPAEAKQRYVSTSEPFRFRVQVSDIHGMSSESLRLPDATDAGRVRGFADLLWPQGNPAFCDTVVSATKNMLQLQRTVETMVLEALGVREENIHAHLGTLAHALRLSRYGVPPDTETGVSMQAHLDYSRDVEEKADQLAAAMMEAPTNVEEEAPMEEEEAEAEETEVEDDDEFEWSDDDGPHPDETDNQQRTLVESFESEKKLQDDARAREEAQIRRAVELSLQAAQQGRAEEDARLERRRLATAQRKERRRAQEELRRRGGDDGAGPSNAPPGGQ >cds.KYUSt_chr1.37150 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226955371:226956132:1 gene:KYUSg_chr1.37150 transcript:KYUSt_chr1.37150 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRNWNLKEKKDGHWSEVERRAVRLGGEVARAALTCSLRIREGQEGGGHRVGCLHRLERILQHVAAADDIDLAARLGAREKNTAALHSVVESTAAWPCAALPRRAISSSSSTAAWRSPPRDATLPHGPWSLAARRPIRRLPGAALPATGLVALVGGGLEEPLAAQQLAFPSVTPSPPPPSALSSRPPPRRRPCRRDGAKASRAGEAWAREAARVNRAATSLATAMKMSRAGGHRCATRCIPSAAAASGVER >cds.KYUSt_scaffold_1854.188 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1045722:1048116:1 gene:KYUSg_scaffold_1854.188 transcript:KYUSt_scaffold_1854.188 gene_biotype:protein_coding transcript_biotype:protein_coding MNCYLIIFQDYPYLSRGNFSIALVGDNSSMDLKFHLIENITNDFSEDQKVGSGGYGDVYKAVYKGEEIAVKKLHALQGLDDKQFHNEFLNLTKVSHENVVSENWKKRLQAMPGLYSSHEIDIQRVNKCAEIAISCVDKDREKRPFIKDIVHELQKLEAEIKKLSQISDLPEDRTGQRSCDTNVLSVDPTLELRFVFEQRKEASCCLQLTNMTDGFIAFNVKINPDKYRIQQSRGTMPPCSKRYIVVTMKAQANAPPNMRCQDMLFVQSTGLTQEQALGLNGNDYQDLFETAMAERVVDVVKLPIVHVTLD >cds.KYUSt_chr1.27062 pep primary_assembly:MPB_Lper_Kyuss_1697:1:163223449:163223835:1 gene:KYUSg_chr1.27062 transcript:KYUSt_chr1.27062 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQLLRNSGIKLVRPHQVRNRQHQISQVCSLLRSTSLVDLGLRNILYADLLHLSRVRRHTPSHAAKLDVRPSPSSAPSPDARELTRALPCCRRGHGAPRYAPPQASPWLQQGPPPGILARPRRWPED >cds.KYUSt_chr2.51754 pep primary_assembly:MPB_Lper_Kyuss_1697:2:323242516:323245243:1 gene:KYUSg_chr2.51754 transcript:KYUSt_chr2.51754 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSSAATLLHIPGLPPRGPQRGGCRSQPRRRHAAVRCSSSFSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALVNSQAQHPLQIGEQLTRGLGTGGNPNLGEQAAEESREVIANALIDSDLVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRSLQALEALEKLERSVDTLIVIPNDRLLDVADENMPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARILEAKEKAASLVSAAVQQPAAVPTWSRRLFS >cds.KYUSt_chr2.2406 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14549030:14549727:-1 gene:KYUSg_chr2.2406 transcript:KYUSt_chr2.2406 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKSLIVDLFSAGSETSATTLQWAMSELMRNPNVMRKAQAEVRENIKGKPNVTEDDLADLKYLRLVIKETLRLHPSVPLLLPRESTETSKVLGYDVPKGTTVFVNTWAICRDPKYWDAAEEFKPERFESGSVDFKGTNFEYTPFGAGRRICPWNVICPIYHGARPRGSSLPLRLGSFPMGLSQKSLT >cds.KYUSt_chr7.25842 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161300267:161301103:-1 gene:KYUSg_chr7.25842 transcript:KYUSt_chr7.25842 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEETGRMRVQQHVTAAEGGGKKAKRKRGKVELRRIEDRTSRQVRFSKRRSGLFKKAFELSVLCDVEVALVVFSPAGRLYEFVSSNTRHVVSTSYNLLHINSLSLSLLFLHTSVWFLVVVVGMVLPV >cds.KYUSt_chr1.37218 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227477678:227479554:1 gene:KYUSg_chr1.37218 transcript:KYUSt_chr1.37218 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVMIRFGHDWDETCMQMDEVLSGVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFVDIVETVYRGARKGRGLVIAPKDYSTKYRY >cds.KYUSt_chr7.20458 pep primary_assembly:MPB_Lper_Kyuss_1697:7:126908924:126912838:-1 gene:KYUSg_chr7.20458 transcript:KYUSt_chr7.20458 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMKKLEYKRGKLLPLGFIDPNTVHEVTVRRYPKDTEDNIVMFLEKQADKEDIFFPYNFNFHFILLIIDLHLGVVNVMDSKRKEYAEWADMAAILRRAWKRFINTVPVNGNRSLHLEITLMACPSILCRGFDFLLPGLDPPRRGLFLFSASASSMSWRDAMISKCSRRSSSNACSSSSSRATISSSLSMAKAKSMAKFKAKATVLMATFFSFTAPIGIALGIAITTSYSKHSSVALVVEGVFNSAAAGILIYMALVDLLASDFNNPKLQTNTKLQLATYFALFMGAGLMSLLAKWA >cds.KYUSt_chr1.9579 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58414396:58417452:-1 gene:KYUSg_chr1.9579 transcript:KYUSt_chr1.9579 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPPLSVARRAATAVASAAAHHLLPSVNSLILEPSVPSPASPRRRIRLMPLRERPPLAPLSPHGRSFAVVAGDGGVKAEVPALVVVSFYRFADFPDHADFRRPIKELCEELRVSGGIILAPEGINGSLCGIPEAVEKVLNFIQADDRLKGLRMIQSPVTPEDEAIHHGHTSHSPVGAGEDAPFRWDHVRVKLKKEIVSFGDPDVMPTKTVGKYIKPKDWNALISDPDTVVIDVRNMYEIRIGKFNRAVDPCTKSFREFPSWVDNQFQLAESDSPSSVNGDTVTEGPGKNLNSSEPKELPRVAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILKYLEEIPEAESLWEGECFVFDKRVSVEHGLAQGTHKLCYGCKQPISDEDMESPKWEYGVSCPYCFATKSEEEKERARARQRQFETWGVIGGPDKGRSPKRLESVYKVEENKQSPSSV >cds.KYUSt_chr2.864 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5121246:5123387:-1 gene:KYUSg_chr2.864 transcript:KYUSt_chr2.864 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLGAILQRFPLLAPRAASRRAPTSRRAVANKISCIGWDPEGILAAPQPGHIANLEFRRRLDSDADARAAFDRQVKEETERRRKEREARVVPDTDAGLVEYFLDTDAREIEIEIGRLRPRLNKGFFDYIQREIAEIKFAVTRTAELEDRLIELEAMQKVIGEGVEAYDKLQNDLVTAKERLTNILQSKDRKSTLLDMVERNELNMSILTLLDENIASAKTSNQEEAVAFMENVRSAMLKYITWLAEGDRNTWFFHLRASKRKKRNRISRLKCVDGSFTEDQLELGHMAKDFYNSLYTSEGTSGMEEVLNAVPVTVTAAMNEQLLAVYGDEEIK >cds.KYUSt_chr1.26306 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158523969:158526466:1 gene:KYUSg_chr1.26306 transcript:KYUSt_chr1.26306 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGATLYSEQLPPAMLQRRSANYQPKTWDYDSIFSMQYANKPSKQISSVEASLKQRVRQLLLKEDQEVATRLRIIGQLQSLGVAYHFEEEITRILMSMHVHDAYLQLKHDLSSTALLFRMLRAHGIPASIEILGAFCDSTGDLEAANPSLDSDGLVALYEASYLAFPGEAMLDRARAFAVKRLQELMPSMKPYQREKIRDVLLDLPLHWRAPRLQTIQSLEEHRGDEYETCRDMIDPSVLQLAVLDFNLVQAVHRSELLEVTRWWKETGLGEKLPFARDRLVECFFCAACIAPEPCLAGCREVLAKVGVLIVHLDDVYDLYGTLDELAMFTDAVGAWSSAAVALPEYMKAMYSAIRSTSAAAADRVMEEQGYDVLPLYKKAWHELCKAFLVEAKWQHERTMPSLDEYLDNGWITSTGPLLLLHAFTMLQHTQQQQQQDSWLRDDDDDGSKDMVYPMLIELCSRVFRLCNDRATHEAESEQGEGPSFIACHMAEAGGGASEEDARGAVADTIAETLKEVNREVAFRSTGAANSLCVNLARIIQGIYRDGDGITSPTDSRKRLVKDLLFTPADLDICDPLD >cds.KYUSt_chr1.30182 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182706713:182708522:1 gene:KYUSg_chr1.30182 transcript:KYUSt_chr1.30182 gene_biotype:protein_coding transcript_biotype:protein_coding MHARHGDRHRCYVDYPTAVLRTLDQTLNLSQHVRVESIGVCYGMSANNLPAASTVVGMFESYGINSMRLYAPDQAALQAVGGTGVNVVVGAPNDVLSALAASPAAADSWVRNNIQAYPKVSFRYVCVGNEVAGGATQNLLPAMQNVQGALASAGLGHIKVTTSVSQAILGVYSPPSAGAFTGEADAFMGPVVQFLARTGGPLMANIYPYLAWAYNPSAMDMSYALFTASGTVVQDGAYGYQNLFDTTVDAFYTAMAKHGGDGVSLVVSESGWPSAGGEAANPANARIYNQYLINHVGRGTPRHPGGIETYVFSMFNENQKDNGVEQNWGLFYPNMQHVYPISF >cds.KYUSt_chr1.19699 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115800211:115801596:-1 gene:KYUSg_chr1.19699 transcript:KYUSt_chr1.19699 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAVVFLDRAGRPRIMVEGSLYQGGASLSVRVLDRHGVVRLTAIMLVLRPSTIAVAVAVLDRHGTPRRVFVRFLRMDRSSDITIHFCTMRADVHNVGRLPNRHRLQADLDLDLDLNLDLLLDLVFDLDLALARPRTHARNGRRLPRLPRQAGALALAVARPRADAYNGRELLRILRQALALAVARPRAHAYNGRDLLRLPRQAVALAIAWPRAHALNGHELPRFPRQAAGLAVARPRADAFNALVVFHGGLGLLAPNRRIDVPAGALGDIQHANADLARGLLQAWRHMVVAGGLAHQARLRGLFPTAALVVHGPIFAQRPAAARSPPRSPLLAAAEVCVLGALPFPFNGPPRFHVPLPVAALGPGAQPSLQAVAALGPGMPPRALPVGAPGLGVPLRPRPLAAAGLRRGPPPSPPLGSFVMDDHHLRMLEEGDAYGAQGGTGDAFGAQGGTGESPTRYC >cds.KYUSt_chr4.22335 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140298438:140300832:-1 gene:KYUSg_chr4.22335 transcript:KYUSt_chr4.22335 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAQRLKHSGADGDGGGEDRLSDLPDDALLPELSFNFIGHHRIDAALAAHQVPDLSLLFVLTEDPYPEFVSEWLPAAARALSGHIDLEVFRPDTEPVAEERGDIDLPCFEKATSITLKLGFLGLALPSSGVFARLRGLQLVDVRLHGQSGLGELLSSQRCPSLKSVIVCQARGLDSFNIHSESLLNIELSNLHCLQQLTVIAPVLEILRVKNCFTNPLDPDLSVANISAPQLKLLEWMNAYDPSSIQLDNMMHLENLGIKIFILEGEEEAVERNLYCMTLLWRFDHIRALDLLISYPPDICTGPYLMEHMPKLHITILALGIMAYGHCFGASVFDVLMRCRGLKRLDLDFLPRSQLGVAYVISNQNGKLKSLR >cds.KYUSt_chr1.22834 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135221201:135232894:1 gene:KYUSg_chr1.22834 transcript:KYUSt_chr1.22834 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSGHSEATATGDASADPTAAAVADDVHDSKEVVLRRYFLQEWELVSAILRRIVAAGGVAEPADVHRIRSIMDKYQEEGQLLEPYLEEIISPLMTLVRSKIMELGAGTDELLEIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLELAVALLEKCHTMSSATTLRQESTGEMETKCVVLLWLYILILIPFDISSVDTSIAAADHMGGSEVVPLVTRILDICKDYLSNSGPMRRMSGLLLARLLTRPDMSKAFSSFMEWAHKILLSVTDDFVDQFRSIGIVEALASIFKIGNRRVLHDAVSGIWNDCSVVMKTNIAIRSPLLRKYLVKLAQRVALISLPPRTPSWRYQSVSSSLGANLSSSTSGSSSGSTQQVNIDQTDTCLLEEDMDVPETVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYTNFDMKAVLEQLAPHLLTVACYDREVNCRRAASAAFQENVGRQGTYPHGIDIVNTTDYFALASRSNSYLTVAVSVAKYEGYLYPFGEELLCNKISHWEKSLRELAAQALALLVQYDMDYFAGHALEKLVPCTLSSDLCTRHGATLAAGEITLKLHQLGFAFTTDMQRALSAIVPGIEKARLYRGKGGEIMRSAVSRFIACISMAAISLNEKTKRSLMETLNENLRHPNSQIQCAAVEALKHFIPTYLVSAGEKIGNDVVSKYVALLDDPNVAARRGGALALGILPYEFLLIKWMPVMSKLCSSCTIEDKADDPDAEARVNSVRGLVSVCETLTSNVEQASNIGEPIYAFIKVTVMQALFGALDDYAVDNRGDVGSWVREAAMDALRRCTFILCRRDGVSVRTPPVAEDKSEPSDMDANATTTTHKLFDSTIAQDLVAGIAKQAVEKIDKIREIAVRILHRILYNQEQFIPFIPYRELLEEIIPNNADLEWAVPAVSYPRLVKILQATCYSKPVLSGLVISTGGLQESLRKVSTSALVGYLQDSNINTIDEGKSRECLLSHDILWVLQRYQKCDRVITPTLKTIETLLSKKVFLNKEGHGDFYCELVNLLGSELKGSKDFTKLCAGLSILGYISSQLDITGTKAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDNLISAENMDKAQDVLAETCWEGDVEEARRKRSELNEMAGFGVTNSQKSENRQETRRTPDVQNSTDENTSYSSLVEFSGMASDVIPLRLCPEDIKVHHSVKGSVLINVTENGSFKLTTVYGPTDRALKDQFFVELVALKPTSGVRWLVLGDFNQIRRARDKNKGNVDRSRLVRFRDALQTCELREVHLQNRRFTWSNERVSPTLCKLDAFYCNAEWDLRFGTHVLYALSSSLSDHCPLLLADACGPKRTQSFRFKNFWLKLPGFDDVVKEAWTRTTTHTEPYQILFHKLKETGKCLHKWGKGIYSNTKVMLHAALLVILQLDVAQDHRQLFPEEVDLRAKLKRKVITLAVVERARKKQCARVANIKEGDANTKFFHLRVNARRRKNHIHRLKKNNGWVTEHGNKEKIVYDHFKAIAGRGEPSTHDFNWEELNFTSPYLHSLGETFTEDEVKEAIKQMPGDKAPGPDGFTANFFKRCWSTIRVDVMKVIHLFGNLHAENFHWLNSANIALLPKKEGAEEIADYRPISLIHAIAKIISKIMANRLGPLMDELVSNAQSAFIKKRSIHDNFLYVKNLATRFHKNKTPALLFKLDIRKAFDSIRWGYLIDLLAKRGFPARFRNWIVALLNTATSSVLLNGVASPPFRLGRGLRQGDPLSPLLFVLDIDTLAQILEKATSHDILHKLRGRGHILRTSLYADDAAIFVAPLKEDVQNLAHILYDFGKVTGLCTNFHKSSVVPIRCGDLNLDDILLDIPAKRESFPMRYLGLPLSVRCLKRKDIQHLEDKCAGKLPTWNGKYISMAGRSALIKSVIASQAIYHLTPLSIPVETISFINKIE >cds.KYUSt_chr4.12316 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75137341:75143137:-1 gene:KYUSg_chr4.12316 transcript:KYUSt_chr4.12316 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEDPAAALPPAAPPTAAAEEEEAGKSGKRTGWRVKAAVALYVLALSAFWLGLHWDFRHKALSKAEEGLVCMCEERARMLQDQFAVSVNHVHALAILVATFNYEKQPPALDQDTFADYTARTSFERPLLSGVAYAQRVKHADRDAFERQQGWIIRTMKHEPSPEQDEYAPVIYSQETISYIEGLDMMSGEEDRENILRSRETGKAVLTRPFRLMSNHLGVVLTFPVYLVDLPPDAKEEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNHSDPLVMYGSEVPLGAPSPSHICVLDFGDPFRKHHMICRYRNKPYVPWNAITTPSGLFVIFMLIGYIIYAAWARYDSVKEDFRKMEALKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTELKTTQRDFAQTAQVCGKALISLINEVLDRAKIEANKLELESVPFHLRSILDDVVSLFSSKSREKKIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLADHSNLATEAKVEPVVNGTNGHNDETTAISSSVSHNTLSGFEAADSRNSWENFKFLLSHETNQMPYGTDSDNVTLVVSVEDTGIGIPLHAQCRVFAPFMQADSSTSRNYGGTGIGLSISQCLVELMRGQINFVSRPQVGSTFTFTAVLKRCEKNAVSVSKSALLHPLPSSFKGLSSLLVDKRPVRATVTKYHLQRLGIACKSVATVELALGVLSGRYGTLLNSMHRKQPSLLLIESDSWGLKMDIPLHTRFLEMKQNGCESVFPQVILLASEESDKMKAKYAVDYVITKPLKASSLAACIFQALGINITQANKEKHHGSDSLYGLLLEKNILVVDDNKVNLRVAAGTLKKYGAKVKCVESGKDALELLQVPHEFDLCLMDIQMPEMDGFEATRHIRAIEAKAHKQADDADSSEADSMARKAKWHLPVLAMTADVIQATHEECTKHGMDGYVSKPFEEKQLFQAMKKFLGPSTSS >cds.KYUSt_chr4.40638 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250830565:250832694:1 gene:KYUSg_chr4.40638 transcript:KYUSt_chr4.40638 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEPPKLERDLFFSEEIMHDTENYQGYLVYVPEINVTKPMQAQTISLWLTPAEQKPIRSMVQSTRKRMDGDGVAVHGGATMAAVLGNDNLLHRILVRIDYPTSLICVALVCKLWLRHVSAPDFIRGRLYVGHYSQLLLRQLRGRYVVEEEEDDATQLGSVVGDRWGVLHEATVSRSHCYSCSIGSAW >cds.KYUSt_chr3.31274 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196502159:196503049:1 gene:KYUSg_chr3.31274 transcript:KYUSt_chr3.31274 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARYAACHRQWIAGQEVGLAELVAAAASAAAGRTTDEELSAVVERCMRGYEEYATSRRAMAREDGAAFFAPPWCTAFENAVLWLGGCRPSLTVRLLYSLCGEGLEAHIEELVGGRGRGEGTGMGLLGIKPAQLDQINDLHHRTLREEGLLTDRLATLQEDIADRPLLPIVRERERAAAAAAAALAARHVGANSNGLPGRLEAGGSFGGVDAEVDAAMESYRAGLAKLLEEADELRLSTARALATQILTPRQAVEMLVAGKQLHLSVRDWSRRQQREQNARLPRASTSTSSGANP >cds.KYUSt_chr7.21209 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131370048:131372830:-1 gene:KYUSg_chr7.21209 transcript:KYUSt_chr7.21209 gene_biotype:protein_coding transcript_biotype:protein_coding MHDEVEFKYYDGGSDWVKVINDEELATMFAKHKEKEQFHVRLQNDVVVPAVGPSRTDSCRRNGSSSQNSSVRGASVSARRRGGSSNMGTGSRVPREVEPEYIDDEERLYSDVVQNLRRPCRAESRDECDNEAFVIDDEEVEDEDLPAIEWDPANSQMEEGTIFASMSEYRNALVTYCIKAERTFEVDKSDRESFKLLYSFKGEVEKTNPENKRLGNGHDSLLSYDGRVDPAFGECFDVSNDEQNDDQNEDAVEATTDDPIDDPIYDPIDDPNDDPIDEPQNDQIDDPIDDPIDEPQNDQNDDPIDDQNDVPIDDPIEEKIEAPNVGVQPSVVVSSTCSVVGSNKVVAVSSEIVKVPTTKRRRKEAMSTRITRSKVVARSTRTNKKPQSFVDD >cds.KYUSt_chr1.2569 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15314697:15315695:-1 gene:KYUSg_chr1.2569 transcript:KYUSt_chr1.2569 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLATAMGAAAAAVRWVCRKKKKRDHKKNNSMKKRDLNQTKKKRDLRNGEWLPVHHSTAADGGMSFSLEEIMSATRNFGETRVIGFGWQGKVFRGVIVDADGNNSTEVAIKRATPSSPRQNACEFGPEVEALRKLRHRHLVPLVGSCSCSKGGETILVYRYMPRGTLREHLMTKPVMPWWRRLDACMGAARGLHCLHATGIVHGGVKTSNVLFDESWVAKLSDYGLSRSATMESDVHSFGVVLFEVLTMARPGDMVGGLVDYAVACHRNGTLKDAIDPEIKDQVAPECLEKFAETAVECLAGNCIERPVIGDVLWNLELAVQLQLLNSRRT >cds.KYUSt_chr3.28926 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180632062:180637692:1 gene:KYUSg_chr3.28926 transcript:KYUSt_chr3.28926 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLANRALLRRLLAASSSTTSPSPSRGISTLVPKPAPAGPRPRRAHHQHTPSRPVSVSALQPSDTFPRRHNSATPAEQAVMASACGFNTLDSLIDATVPAAIRAPPMQFTGKFDAGFTESQMLDHMAHLASMNKVYKSFIGMGYYNTHIPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKAKKKTFLIASNCHPQTIDICKTRASGFDLNVVVSDAKDFDYSSGDVCGVLVQYPGTEGEVLDYAEFVKDAHKHGVKVVMATDLLALTTLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPAGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPYFDTVKITCADANAIAEEARKNEMNLRVVDANTITVAFDETTTLEDVDKLFKVFSGGKPVGFTAESIAPEVSSSIPSSLVRDSPYLTHPIFSMYHTEHELLRYLHKLQNKDLSLCHSMIPLGSCTMKLNATVEMMPVTDPKFANMHPFAPTDQAAGYHEMFDNLGDLLNTITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDHHRNVCIIPVSAHGTNPASAAMCGMKIITVGTDSKGNINIAELKKAAEANKDNLSALMVTYPSTHGVYEEGIDEICRIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVIPTGGFPLPEKTDPLGSISAAPWGSALILPISYTYIAMMGSQGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKATAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAQVENGVADANNNVLKGAPHPPQLLMSDAWTKPYSREYAAFPAAWLRGAKFWPTTCTDNSEYFALLTSLLLRCKLIIPVLLLSGRVDNVYGDRNLICTLQQASQVAEEAAAATA >cds.KYUSt_chr1.959 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5072798:5073148:1 gene:KYUSg_chr1.959 transcript:KYUSt_chr1.959 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTCANRELGAIRFPDGMLPDGNSNLHVRRGEEVIHRGCGRIRRFHRPARMGAASMAEGASKASWCSSGDRDETRFAGWLQAATRAERRARAEMWQRAPQRDGKRMKAADFAGGV >cds.KYUSt_chr2.27262 pep primary_assembly:MPB_Lper_Kyuss_1697:2:167233729:167239782:1 gene:KYUSg_chr2.27262 transcript:KYUSt_chr2.27262 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHMGEEETEAGVEEMEQRRIAIAGSSSALHWTRPTVRGAASAVAANLTVPCSGRRFEKMEKDQWFAEKKEKGSMEGVPLPGISGYRCICGGLVTISVEERHEDEGSELLDTIAPDLVFIIDDKSDQVFTRCCRLGSILPHASRMASLCLTERLSGTA >cds.KYUSt_chr6.7368 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44784810:44785535:1 gene:KYUSg_chr6.7368 transcript:KYUSt_chr6.7368 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSDAVGGAEGWWGFSSDLVRWRSANRSSRKSSAVLPRWKTAEVASVTDPLDKRCCGLLQGRCAVSALLAGSGGGGDELGSMVHLQARRQLREMSESVLPSAVPVGKESSASSSAKLQWSWEAIFLSIISAADGSRPTSKAKPWPIQKPAKDTGESTSFVRPFLRSAAAYYGCVEASGSLPASSHDGGVADLWLGSGEREGSDYASQSLSEVFSVNVGDLYVLFHLMGSFVIICTSTAWF >cds.KYUSt_chr2.25200 pep primary_assembly:MPB_Lper_Kyuss_1697:2:154127021:154128167:-1 gene:KYUSg_chr2.25200 transcript:KYUSt_chr2.25200 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVWSSSPELGLVRRFPVVVLFFVVLQWLETEAERGCTAGISMNKAAGAAGRLVVDSLLLLFNHRGDGEAERERQAPGRLAHRDSASSSSRTQLLLVTSFEEVMAVDASDEFEFPSDEWLLGSSLLSVNG >cds.KYUSt_chr2.44568 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277306037:277308670:1 gene:KYUSg_chr2.44568 transcript:KYUSt_chr2.44568 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRFEEAAGHFGAAIALAPDNHVLYSNRSAAYASLGRFTDALADAERTVALRPDWAKGYSRLGAARLGLADAAGAVEAYEKGLALDPSNAALRDALAQARPRARGGDAIGKVFQGPDLWTKIAADPATRAFLDQPDFTRMLREVQRNPAALNTYLSDPRMVQVLSLLLNIRMQNQSSNEAPESAPSPSPSQSTPPPPPSPKQQPEDKPREPEPEPVEMTDEEKERKEKKAAAQKEKEAGNAAYKKKDFETAIQHYTKAMELDDEDISYLTNRAAVYLEMGQYDECIKDCDVAVERGRELRADFKMISRALTRKGTALAKLAKSSKDYDVAIETFQKALTEHRNPDTLKRLNDAERAKKELEQQEYYDPKIADEEREKGNEFFKQQKYPEAVKHYTEALRRNPKDPKVYSNRAACYTKLGAMPEGLKDAEKCIELDPAFSKGYTRKGAIQFFMKEYDKAMETYQEGLKHDPSNQELLDGVKRCIQQINKANRGELTPEELKERQGKAMQDPEIQNILTDPVMRQENPRSSQEHLKNPGVKQKIQKLVNAGIVQMK >cds.KYUSt_chr1.11144 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68224902:68227356:1 gene:KYUSg_chr1.11144 transcript:KYUSt_chr1.11144 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMRGAVLLGAVVLLCALGHGGAQRYEAIYSFGDSISDTGNLCVGGCPAWLTTGQSPYGETFFKRPTGRCSDGRVIIDFLAEHFGLPLLPASKAGGDFKKGANMAIIGATTMDFDFFKSIGLSDKIWNNGPLNTQIQWFRQLLPSACGKDCKRHLSKSLFVVGEFGGNDYNAALFSGRTMADVRGYVPQVVSHIVRGLETMIRLGAMDVVVPGVLPIGCFPIYLTLYGTSNGADYDGDGCLKSYNDLSSHHNSLLRRSLANLQRTYPHTRIMYADFYSQVIHMIRAPQNFGLKYGLKVCCGAGGQGKYNYNNKARCGMSGASACSDPQNYLIWDGIHLTEAAYRSIANGWLKGPYATPRILH >cds.KYUSt_chr4.7553 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45065660:45070814:1 gene:KYUSg_chr4.7553 transcript:KYUSt_chr4.7553 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQDDDEEAFLLAVEAAEAAAASKRRRVSTSPSRTPAASASEGSYLSALKGSHSSAWKQQQEALSLAHKRPGGYRAPGIAPADGGGVQIAKGACFKCGDTSHWARECPQSLPASGGGGSGCGTGGGGVYVDAEVKVEEKECPCGSGTCLVLTSSTPRNPGRRFYRCPMKDNGGCNFFEWCDAPSPGLANARSNTSFQSDASVVNMPCSCGAGTCLVFTTKAGINVGRQFYRCPAQGGSSCGFFKWCDDQQQPRTAAPLQASPPYQTGIASTNQNMNKSSSACFKCGQENHWAKDCPNQSSDPYSDKGGRTTLTPATSSDGCFKCGKAGHWSRDCPVATSGGGGSGGGVAGSVKSSWNSRRY >cds.KYUSt_chr1.37314 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228024245:228025570:1 gene:KYUSg_chr1.37314 transcript:KYUSt_chr1.37314 gene_biotype:protein_coding transcript_biotype:protein_coding MCCEMRPRFGFGSTARRRIWPALCSSAKKLHAAVPPAPLVARKKSKVIVISGPTGAGKSRLALEVARRLGGEIVSADSVQVYHGLDVGSAKPSAEERSLVPHHLIDIVHPSEDYSAGTFFDDARRATQDVLDRGCVPVVAGGTGLYLRWFMYGKPDVPRSSVEIASSVRSELAGLRWEDAVELVLQAGDPKARDLDTNNWVRLSRRLEIIRSSGSPPSAFALPYSSFQEQQHNTKLADSPMDCQVKELDYDFLCIFLACPRVELYRSIDLRCEEMLADTGRGLLSEASWLLDIGLQPNMDFASRAMGYSASRAIGYRQTMEYLLQCRENGGSSSPQEFLEFLTKFQQMSRNFSKRQMTWFRNEKIYQWVDASQPFEAIVQFICDAYNGVEEMVVPESLEMKRESCVHKSNDLKTYRSENRVFLGEEDCRQVLDWIRSTQGK >cds.KYUSt_chr3.34385 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215712316:215712678:1 gene:KYUSg_chr3.34385 transcript:KYUSt_chr3.34385 gene_biotype:protein_coding transcript_biotype:protein_coding MPETPNIEEDKETAVVTDGEGCVSILLDATLQEVRSSLPLPAAAAADGEDRISALPDAILHEVLSFLSSQEAVRTCVLATRWRDVWKSVPAPALRINVSATPVRQRNCPGQVCQSPGSPP >cds.KYUSt_chr3.8336 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48100423:48103813:1 gene:KYUSg_chr3.8336 transcript:KYUSt_chr3.8336 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAHHCRGLIDYPPIAAASSPPRHGSDSQFLRNDTPPVLCAPSSSAQDSLVPTRGGLLRCRRGACGGLILLLAAPTTEPPSRALLVGGSLPSRPREVLRAAAAERYVESSSLLPAVAPPPSFKANFSTRITPSPSMFFEPASSPVESFDDRSMEEPEHPDIKPMSSDSTNSSDVSSDRVLPPDPEIPLWSTAADEDLIYAKKEIANAPLVSGHPDLYAPLFRNVSIFKRSYELMKGLLKVYIYHDGAKPIFHSPYLKGIYASEGWFMKLIEGDESFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTLEPLSIYVKNYIDMISAKFPYWNRTKGTDHFFVACHDWGPYTTKLHDELRKNAIKALCNADLSEGVFIRGRDVSLPETFVRSPRKPLGGIGGKPASKRSILAFFAGQMHGRVRPILLRHWGGKDDADMRIYSRLPRRITRRMNYVQHMKSTKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFDDVLDWSTFSVVVPERDVPRLKEILLEIPEGRYVAMQSNGKSSAPSIVAV >cds.KYUSt_chr3.37338 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234743083:234751195:1 gene:KYUSg_chr3.37338 transcript:KYUSt_chr3.37338 gene_biotype:protein_coding transcript_biotype:protein_coding ELFKMNLSGTLAPEVGLLSQLTKLDFMWNNLTGNIPKEIGNITTLRLLTLNGNQLSGSIPDEIGYLQKLNRLQIDQNQISGPIPKSFANLTSMRHLHMNNNSLSGQIPSELSRMPVLLHLLVDTNNLSGPLPPDLAKTPSLKIFQADNNNFSGSSVPAAYNNIQTLLKLSLRNCSLQGVIPDLSGVPEFGYLDLSWNQLTGSIPTNRLASNITTIDLSHNFLNGTIPANFSGLPNLQFLSVEANNLDGAVPSAIWSNITFTGNRSLVLDFQNNSLDTIPAAFESPKAVILLLSGNPVCDTSNAARAAGLCQPTSVNEAPSGPQVSIDCFPCPKDKTYEYNPSSPIPCFCAVPLGVGFRLKSPGISDFRSYKEAFEIDSTSLLSLSIYQLYIEQYIWEAGPRLNMHLKLFPNNTSLFTMSEVMRLRELLAGWEITLSDTFGPYELLNFTLGSYADEFPDVMSSGLNKGVLAGILVGTITAAIVVSVVFTIFVMRKRSKRRTVSRRSLLSRFSVKVDGVRCFTFEEMAKATNDFDDSAEVGQGGYGKVYRGNLDDGTAVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLIGYCDEEEEQMLVYEFMPNGTLRDHLSVTSKRPLNFSQRLHIAMGAAKGILYLHTEADPPIFHRDVKATNILLDSKFVAKVADFGLSRLAPVPDISGTLPAHISTVVKGTPGYLDPEYFLTHKLTEKSDVYSLGVVLLELLTGMKPIQFGKNIVREVNSAYRSGDISGVIDSRMSSCPPECATRFLSLALKCCRDETDARPYMAEIVRELDGIRSVLPEGEDLFSSTSMVAGSSAALTDSGSMSLTGEIFDSSHASNSGHANSGIPSGTVAPR >cds.KYUSt_chr2.9988 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63216393:63221103:1 gene:KYUSg_chr2.9988 transcript:KYUSt_chr2.9988 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPTKPSSPRPRRGRCRLCALCLGSALLAIVVSALVHLLSSSPTHPAPSPRFSVIIDGGSTGSRAHVFATGPDGRPDLARSAVMRVSPGLSSFAADTASAGESLRPLLEFAREKVGGEGGAVATEVRLMATAGLRLLEESVQGAILASCRDVLRASGFRFEDSWAKVIPGSDEGVYAWVAANYALGTLGGDPHKTIGIIELGGASAQLTFVSDEVLPPELSTNFTFGETAYTLYTNSFLNFGQNAAQDSFLEIVRSRGSSIQIRWETLRLNGP >cds.KYUSt_chr3.49471 pep primary_assembly:MPB_Lper_Kyuss_1697:3:309060008:309061724:1 gene:KYUSg_chr3.49471 transcript:KYUSt_chr3.49471 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATAAAGAVAAFTSGRRISTSPPSSLSSPFLPRAAGAIVARRRATAPTAAVLVRAQAAGAKKSVLIVNTNSGGHAVIGFYFAKALLAAGHSVTVLTVGEEGSDKMKKPPFSRFSELTSAGASTVWGDPADVGAAVGGASFDVVLDNNGKDLDAVKPVADWAKSAGVGQFLFISSAGIYTLTDQPPHVEGDAVKESAGHVGVEKYIAAEFGSWASFRPQYMIGSGNNKDCEEWFFDRIVRKRPVPIPGSGMQLTNISHARDLGSMLTLAVENPDAAAGKIFNCVSDRAVTLDGLAKMCAAAAGTTAEIVHYDPAAAGVDAKKAFPFRNMHFYAEPRAAKEVLGWTSSTNLPEDLKERFAEYASSGRGEKAMTFDLDDKILSAVGAAPVSVAA >cds.KYUSt_chr7.29147 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181427580:181428125:1 gene:KYUSg_chr7.29147 transcript:KYUSt_chr7.29147 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLSFFPHSISSASPGHGSHQHRTSAPKLGIRRHCGDPCERRSSGAGGRTVMLPSVSAAATSFRRRCYKLPVALLQSFSGAATIVGATIARRCHPAALLQLSVGGATITQRRCCEQRPEVQQTPTSVVPGSLRRCSERQRDLLSMASAVPTNWRRRAAARLPSCSMPATGSSRCLCFRHR >cds.KYUSt_chr5.7810 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49233057:49237010:1 gene:KYUSg_chr5.7810 transcript:KYUSt_chr5.7810 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAASALLASPTHTLRCRGSLSGVPRLRLRPVSPGAGALRCYVTNVGVDVSNVTKEEAFDDHPSLPPGCSIPVVHILGDVLDSSPFPLHDSTPHPIDFEELPVLSEGEQHILAATPAHPAGLYALYASYLFGNLVEQIWNFAWPAALAILHPSLLPVAIVSFFGKLSVFVGAPIVGKLMDHFPRIPMYTGLNAVQVATQLISVAMVIYALKNVGHASTSAFLLRPWFIALVVAGAIERLAGLALGVSMERDWVVLLAGTNRPVALAQANAMLNRIDLICETVGASVFGLLLTKYDPVTCLKISCGLMLCSFPILVMLGQLINRVSCHALYTSRTPNDESICADLLDVRKIVQNGLSSIKHGWHEYKQQTVLPASVATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSVMGLVATFISSSLVKRVGILKAGAAGLIFQASLLSIALTVYWAGSISQRTPLLIFLASIALSRLGHMSYDVVGTQIIQTGVPASKANLIGGMEVSIASLAELVMLAMAIIANDISHFGFLAILSVSSVAWAAWMFCRWLGNPTDEQRELFIFDPHFQLRAT >cds.KYUSt_chr6.2208 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12980184:12981783:1 gene:KYUSg_chr6.2208 transcript:KYUSt_chr6.2208 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVAEVALPTEKLCVDPNRGGASRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKDLLPAIHRIRLCELACGSSSFVMVDPWEAMQKGYQRTLTVLSRVKNSLCKDGLADQGSLKVMLLCGSDLLESFSTPGVWIPDQVRAICKDFGVVCIRREGNDVEMLISKSETLQECRDNIIAVDEIVPNQISSSRRMYKEMSLNKVPHL >cds.KYUSt_chr3.39899 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251453584:251460220:1 gene:KYUSg_chr3.39899 transcript:KYUSt_chr3.39899 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDSLLTAVSLAYYLRFVCIVLVRPAGAPVSQKLNHGSISFGRFELESLSWEKWSVFTNDSRSEEFGKFNGLVAQKKAYFEEYYKRIRELKASQQQIQQTELTLEYSGDGSDSSQTGEEMQAEDLETPTASGTIVYDDYVEDATHETTSEQGMQCYHDHKDEDFHMEFSPSNVTSAARISQQTNKDTRENASGDNSDPVDTENASCGHASLGAAYGNAKAPKRIIDTDPRLRYASMIIPKSVKTIPGSPLDRTSVSKRPASVKHSMTMNQKTKTDKLLSTNGTPQKAAGVARARKPTAKKAPEVTGVKRPSSASARMPSVGERHPITRASVKKPADVSAPRRPSSAERCPVTRESAQKQATVTTPCRPSTSERRPVSRESAAKHADVATTRRPSTGERRPITRDSVQKMDPRTSSKTRSSVDYPMVTATSVLCQLSTIENVEFKCWRTNICKAEKERDLDCEEEMKKKGGHVQKQAHAPPRWSNPPVDVVKINVDASVGNNLNKGAVAAVARDTNGYFVGASAVIFLGRSEPETLEALACREAMSLATDILATKVLVASDCLSVVKNF >cds.KYUSt_chr4.14550 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89866078:89867670:1 gene:KYUSg_chr4.14550 transcript:KYUSt_chr4.14550 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPNFSWDSPQHRNSTIVALPHVPWLSFHMAENFWLLLAALNKVWLSQKVSFMDEATAIVAASKAIHDTEKALGPVGEDGIEEEEDEEEEEYNDYENKYSDDEEEEKNKGKGKVANGVVHKKSIQHIVVQEGPKVAAVES >cds.KYUSt_chr7.31283 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194818314:194824148:1 gene:KYUSg_chr7.31283 transcript:KYUSt_chr7.31283 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMSSLLPKLGEILLEEYNMKESVKKGIGDLKAELESMQGALVKVSSVPLDQLDPQIKIWANEVRELSYAIEDKLDSFRTRVDGVETTKPKMKHLLKMVRNKCTKFKARHEIANDIKGIESQVRQIKERYDRYKIEDVVANVATTTVDPRLSALYSKASDLVGTDEPIEEVMKVLSEGVDTSPKNTKIVSIVGFGGLGKTTLAKALYDKLSDTYQCQGFVPVGQNQGTKKVLRDILLELDMELYKAAATMDERQLINQLRKFLAGKRYFIVIDDIWDIPTWEIIKCAFVDSHPESIFIITTRIVDVATRAGGIYRIKPLSGDNSKLLFYTRTCGEEPSHDNQPDEVTNKILKKCGGVPLAIITIASLLVGKPSADWSKVYDAIGFGDEDSEVIQNTRKILSFSYYDLRPYLKTCLLYLGMYPEDKFMQKKSLIWRWVSEGFVPDKQGIGSYELGESYYNELVNKSLIREVEYRFEEGCCLHDMVLDLIRTMSTEVNFVTVQDTRENHITPSPNGQSNRVHRLALHRSKVEHNPGINMGHVRSFNAITCTDSGIPPLSVFKVLRVLVIEECDFLEAHSLEHLGKLVQLRYLGLVKTTVKLPEGIGEDLKFLEVLDIRGGLISELPSSVCELKNLRCLQADEGTIMKGKIGKLTSLEDLRVRSVEKFPNFFMELGKLTNLRVLEIQFGKCEETAGKALAGSLCNLHKIQSLIIRRFELNEFMDLYETKFIVRVDSLEDLALDTKLRFLSLTGIIMPRVPPCFNSLRVPLLSQLWLHVEVVEAQDLQTLGRLSSLLDLFLESEEQKRILYTFGCHEFKKLKHLSTNIEITLGEGALPRLQMLSYCASVGRKDSLVPWNNRCPLLEGVTCRLDCANSTFGELKAAKTVLWKAERIHPNSKNLDLYIERENYDRKAARLIDALRSILHELDRPDEEDITADQRDIHRMIKSLETLLRDAAEPRVGRYGEEELPGIVTKLKTWLHDHAGADQEEETDDSDVTYSSDYTDIDDDDYDDGAKRGLERFSWYKEFMAMVMSHESTLQKVANRNAFETADTGV >cds.KYUSt_chr4.36271 pep primary_assembly:MPB_Lper_Kyuss_1697:4:222819077:222820081:1 gene:KYUSg_chr4.36271 transcript:KYUSt_chr4.36271 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIKSSSLFHPKNQNRRSLPLTSLEEAELPKPAWTLSLSILKEDGGDLMFMPFGSSRDKIVSADQDGIVLVHDICQNRLSSKPRLKNGWNANSIAITLGDDLYLINRCPRVPDRFQPYRPCFQALINGVPPADVPGLPGWYWHSLPLPPYVETSGYEHSCASKIVSSTVVRDNIWVSTRGDDIGTYSFDKVSHEWSKVGSWELPFCGDAQYVPELDRWLGFSCGRDDQFLCASDLSVAAADGAVPTVCCVWKEDIATNPQNWELLRSDLVRVDNGRFCIARQFHVYDNHPFLDENFAVLTGVELEHTAEDGIQVVKHKSIRYNFNGKLLQLVC >cds.KYUSt_chr7.22722 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140970084:140971001:1 gene:KYUSg_chr7.22722 transcript:KYUSt_chr7.22722 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKEVELARIVPTKSIHDMKKESERLELGSPLARNLAGPAPGAGIAAGQNLAGPAPGAGISGRSSPPRSSPSGACHHQGALHQELATKDLKFTSYSQRQLAPRIENKAPRSSIAGRSSPPRISPSGTHHQGALPQELATKDLKFTSSSPNVVGHCNQ >cds.KYUSt_chr4.18572 pep primary_assembly:MPB_Lper_Kyuss_1697:4:116423965:116424660:1 gene:KYUSg_chr4.18572 transcript:KYUSt_chr4.18572 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRDIMEQVDEASVERGTAPARLLAAAGEQQGDGADAVTWLNLTLGVSGSPPAAAPDSSSSSSDVEHPPPPPPPAATAPPPPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSSTLSSYHNHQRMVAMAGVPLEAHAAIVRAALRVNPASSAVHKPPVSRDATAPRLREGVVGGPWPQLVYEEVLGSASTSWPGSFRMRTQSEPPTSEQQTPSEQSMKMDLSLRL >cds.KYUSt_chr5.18499 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119512217:119514120:-1 gene:KYUSg_chr5.18499 transcript:KYUSt_chr5.18499 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVMPWGFVLLVLLHGARWLREKCFSGSLRWRSSSGGGSIKILLNKCCGSLDLAEVDESLPPVDHRGDDRRGKWWISLRPRSGMQGILAASMDNFWSSSSAAYPRQQMAVWLLNSMAVRRLLPDLLLALCWYQLVSSLQADVPSWRVFCNSVVATDANPSPSGAVPGDGVGGRDIELVFVNGGKGPDGVFQFSFRVLYVKVEDGVISLFFLGVLYERNARVFRNEASTSNMVVTKIKEEVAMWSLAGAKALSNVMPRE >cds.KYUSt_chr3.44393 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280085361:280087809:1 gene:KYUSg_chr3.44393 transcript:KYUSt_chr3.44393 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRSRNSSRSRNSSRDRGNDDASILRSASTTDAELAALRAQQRQERDARSVGRRLRNYQAESTASINLLTHQMENMVVLMERMQTSIDRLSQPEGPKASPACASTPPDAQALSALRNSGISLMIDVGGTDQLAYLAASGSNASAWVRTNVQAYQGLTIKYIVAGNEVQGGDTQNIVPAIRNLNAALSAVGLGGIKVSTSVRFDVVANSYPPSAGVFAQAYMTDVVRLLSSTGAPLLANVYPYFAYRDNPRDIQLNYATFQPGTTVRDDNNGLIYTCLFDAMVDAIYAALEKAGTPGLRVVISESGWPSASGFAATADNARAYNQGLIDHVGGGTPKRPGTLETYIFAMFNENFKLGDLVEKHFGLFNPDKSPAYPIRF >cds.KYUSt_chr7.28634 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178584634:178588329:1 gene:KYUSg_chr7.28634 transcript:KYUSt_chr7.28634 gene_biotype:protein_coding transcript_biotype:protein_coding MVTESSSSSQSSTVPTSKGMARTLAQHHQAVVGFLFGFFVILVLYTTVSGQFGSNTIVLQSTPAEQNARASPPPPSSPASAPNNSTQDNMQANRTEQPGGQPVNNTITNVSDKMKEEELIRQELDQDDDKDETNVKKGAPSPPKPICDLSDPRYDICEIIGDARATNRTVLYVPPPGERSADGQEWAIKDQSRKHLEYIKEVTVKTLSAAQSLAAPECTSRHAVPAVVFAMNGLTSNPWHDFSDVLVPLFITARAFDGEVQFLVTDLQPWFVDKYRLILANLSRYDVVDFDRDAGVRCYPRVVVGLRSHRDLGINPARAPRNYTLLDFRMYIRDIFSLPPDSVGIPYKAAAASNNNATVDGEKRKPRLMLINRGHNRKFVNIPEIADGARAAGFEVVVVEPRRDLRLEEFSLAVDSCDVLMGAHGAGLTNFFFLRTGAAMLQVVPWGHMEYSAMIFYGVQAKEMNLRDVEYSITAEESTLYDKYGKDHPAVSDPESIHKQGWQLGMKYYWLEQDIRLNVTRFAPTLHQLRRTLGE >cds.KYUSt_chr2.53350 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332872178:332875550:1 gene:KYUSg_chr2.53350 transcript:KYUSt_chr2.53350 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLKYRAGLVLIGAVVLIWVTSAEVTQEIFADYKQPFAITYLGASLMVIYIPLAFLKDFIYKLLRRHSGSSRASKVASKSSFGGSAPLKSGEFEKMLEMEPQKTVVIDFTDVDLPVLEEAKPLICGIGEFGDDVLKEQQLSTKEIAIYGLYLCPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFISVLLGQDSINAAKVIAVFVSMAGVAMTTMGQTWATDESEVSNAGATQRTLLGDMFGLLSAVSYGLFTVLLKKFAGGEGSEKVDVQKLFGFLGLFTLFLLWWLVWPLTALGIEPKFTMPHSAKVDEVVLANGLIGSVLSDYFWALSVVWTNPLVATLGMSLTIPLAMVADMVIHGRHYSAVYIIGSLQVFSGFVIANLADRFSRFLGL >cds.KYUSt_chr2.41210 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256222767:256225541:1 gene:KYUSg_chr2.41210 transcript:KYUSt_chr2.41210 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEAREREPDAEASRRTAEQQHPGGRQRSDADRRRDGGSSRGGRDGSNGYGHRRSHPPKSRLSGRLGDREPGEVLSGSASDDSGGRLHRAAENVVSSSGREGAAGAAAQLTSKKRKFSPIIWDRDSPKPPLHSDAARGTEPVSADTANGKKVAEPAELPPPPPVIPQGHIPERLVVDKSPMDVDLAVDSTEQSHEPEESKLLESEEAKVLEPEESKVLEEEEYPTMRNISTSRWAGANDDEQVGASLRKKKSTTPADFADLDRGKKTPSPELGEVVTSDISGGRTMSRSTDSGRMGNDEKEVDKDDYMDVDREEASDNDSVDRLSSGSENQVRRSETPEPVKPAHRCINMLHGCRSVDVFERLNKINEGTYGVVYRAKDKKTAEVVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMENMKQPYTQSEVKCLVLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLREKFPAASFSGTRPNLSEAGFDLLNKLLTYDPEKRISADAALQHPWFHEVPLPKSKDFMPTFPALNELDRRTRRYLKSPDPLEEQRLKELQAKGNRGLFG >cds.KYUSt_chr2.18812 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118346463:118351556:1 gene:KYUSg_chr2.18812 transcript:KYUSt_chr2.18812 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLYAPNLDDYLPSDSPPQEPPRTLTLRDLLDISPVLTEAAGAIVDDSFTRCFKSNSPEPWNWNIYLFPLWCLGVVIRYGLLFPLRALTLLLGWLAFFAAFFPVHFLMKGKSKIKRKIERKLVEMMCSVFVASWTGVIKYHGPRPSSRPYQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLKDREVVGRKLRDHVQHPDNNPLLIFPEGTCVNNQYSVMFKKGAFELGSAVCPIAIKYNKIFVDAFWNSKKQSFTSHLGRLMTSWAVVCDVWFLEPQYLREGETSIEFTERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRMFAESVLRRLEEN >cds.KYUSt_chr4.18233 pep primary_assembly:MPB_Lper_Kyuss_1697:4:114627558:114634385:1 gene:KYUSg_chr4.18233 transcript:KYUSt_chr4.18233 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYTPSPTTFNVLLGSYWFDKPWFLTEGKLAAVAAAAAAFHACPLISDGVSLHLNAGIPSPLPLPLHAESRPTPYRRRTSTTSSPPSAPPSTSSSSPPVPPPPRDANCPGFCRGFNACCAQAQASLLPAQLLRVSIAACPATGAPCGLRFQPDEDDGDRKSVGELARGEIVVLLSM >cds.KYUSt_chr7.3959 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23680418:23680777:1 gene:KYUSg_chr7.3959 transcript:KYUSt_chr7.3959 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGDAGNCTTWFVARMDHQAKIKDLPLQHFGLQEVDTPRSSSFRGAEMPPWPKIAAARDADAKDLPPIESFRQGEHHTLTGVPPDSRSTNPRVHLLLSVSTTTRRRKSLPGTHRDQS >cds.KYUSt_chr7.28564 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178193424:178194035:-1 gene:KYUSg_chr7.28564 transcript:KYUSt_chr7.28564 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSTTPPPPAPVLGAPVGYPPPGVYPGAAAAAGYPHAPALYAPPPPPPPAVSAASQQAAAQQQMQQLQAFWAEQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDEAKDAEAAVAAGMQHPAAGMPTADGMGYYYVPQQ >cds.KYUSt_chr4.13339 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82231778:82233246:1 gene:KYUSg_chr4.13339 transcript:KYUSt_chr4.13339 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSYSSSPLHIVICPWLAFGHLLPCLDIAERLASRGHRVSYVSTPRNIARLPPVRPAVAPLVDFVALPLPHVEGLPEGAESTNDVPYEKFELHRKAFDGLGPPFSEFLATACADEGKKPDWIIADVYHHWAAAAANEHKVPCVMLLLGAATVIAGWVARSSEQASPGLDLSAARPPRFETERMKLMSIQRASGMTVAERFSLTLMRCNLVAIRSCLEWEPNSVPLLATLGGKPVVPLGLLPPSPEGGRGVSKNGDDAAMRWLNEQPAKSVVYVALGSEVPLRADQVHELALGLELAGTRFLWALRKPSGVPDADVLPSGFEERTRDRGLVVTGWVPQISVLADGAVAAFLTHCGWNSTIEGLLFGHPLIMLPIFGDQGPNARLMEARKVGVQVPRNENDGSFHRDGVATAVQAVAVEDESRRIFAGNAKKMQEIVADSECHERCIDDFIQKLRSYQE >cds.KYUSt_chr7.7756 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46795559:46798590:1 gene:KYUSg_chr7.7756 transcript:KYUSt_chr7.7756 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQRKQPRNQETEVRMMLPVSIRCGTCGTYIYKGTKFNTRKEDCIGETYMGIQICRFYFKCTKCSADITFKTDPQNSDYMVESGASRNFEDCREEYMVSTMVVDKEKRKQEAEEMGDAMRALEGRAKDSTQDMDILAALEEMRSMKKSKDYVKRIEDDDDDEDEDSGILGQSSVTAKVTGYSESVLNPTDVLTKTNGPEVFNKEGNKTLTEDNAFWSHNCV >cds.KYUSt_chr4.17149 pep primary_assembly:MPB_Lper_Kyuss_1697:4:107007402:107007644:-1 gene:KYUSg_chr4.17149 transcript:KYUSt_chr4.17149 gene_biotype:protein_coding transcript_biotype:protein_coding LKKVLQLYRGNPRLSPETKSRSIKSTRRKTRTRNRRSTNIAIRIGVKIRKKIKTKTKKRRRIRVCIMIWELTIPKNIMRR >cds.KYUSt_chr7.15178 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94232779:94243720:1 gene:KYUSg_chr7.15178 transcript:KYUSt_chr7.15178 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHSVAALWSPSPPSHHITAVAATPTALFTGAADGTVLHWPLPPSPPFTPRPSSLLCAHAAAIAALCPLPSPAAASLLVSCAAGVLSLFYASAPLRCLRRRSLPPWAGSPSLVSPLPASSSHPRVAILCHAHDDHRHASAVVVVDARTLAVLHTVFHGALSVGSPRAIALTVCVASAAASVVLADTQGRTQTLPIVDGAAVEGDSPRRLSASSLSSVASAEAPDGTVEAVALSDDGKVVALVLKTSCLLKCAAEGSVLGELSLANTSLCTTGVKGCLAGGFFLRGGEWGARHLEDGNLVRSLVLWGSNGGAIVYRVAVGTPLFACEAVCEVPGVSSDHGEMSSSIQFCQMGNCLIRAESRPYEIGGSFSWKPFISIWSIDQLESSIGTNPESPPFCKVLGEGSLQGEEFRLERSHSLPKSDNGVEMSSQMCSSDNGLGRYGRTVSSSMVLSEDSYAPYAIVYGFHNGDIEVIRFLNLMPAATFGGGGGVYPHISERFFVGHKGAILCLAAHHMHAHSDSRSFQRALISGSLDCTIRVWDLDAGTLLSVMHHHVASVKQIILPPASSHHPWNDCFVSVGDDGLVALVSLQTMRVERMFPGHSCYPSMVAWDGVKGYIACFCRSLHSTNDVGSTLYIWDMKSGARDRIIRGTTSQSVFEHFCRGISKNAVTGGILGGTTSASSLLVPVLKDTALLQSRANRNGLNVSPVSKNHHTAADSLALGALTAHDVKGKTPAPDDRHNSAYSSGKVGSAKIINKRRKYPIKCSCPYPGIASLRFDLTEIMSTQGSFSDRQFRDHLYGDDTKETIQPGVSQNTSGIQEMDSPSRESLEGQLLRFSLCFLHLWGVDCELDKLLVDEMQVCKPEDCHIATGVVGDRGSITLMFPGKEATLELWKSSAEFCAMRSLSIVSLAQRMITLSRSCTHASSALAAFYTRHFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCSAPRSVPQPLRIERNKIPDAHLSSSDLVDTLIPAMQNASVSCHGQLKTDGEIVDRDDDDDTSQISSWLESLENQEWLSWIGGTSQDAVASNIIVAAAFVVWYPSIVKVKLAKLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKACLGTDIAHFLSDVLFQIECLSSAPSSNAIYKTAVAVTMREALVGTLLPSLAMADIMGFFGVIESQIWATSSDSPVHVVSLKTLIRVVRGSPKALAAYLDKAISYILHTMDPSNLIMRKACIISSMMALREIARVFPMVALNESMTRLAVGDAIGEIHSATIRVYDIESVTKIRILDACGPPGLPSFLEGPSDTTTSILITALSFSPDGEGLVAFSENGLMIRWWSLDDIIMDKVVRITILA >cds.KYUSt_chr1.35567 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216829105:216830361:-1 gene:KYUSg_chr1.35567 transcript:KYUSt_chr1.35567 gene_biotype:protein_coding transcript_biotype:protein_coding METVPDDVLVEILVRVADAVALFHCAATCKRWRGLVADWPKGARRPSSLIGFFQGQPEPFIIHPPPVLSPFIPAPMSPLGPRRRFLVVSIPEAGSSMERAVPLASRSGLVLVRLGSQEWTGMNSMVHLAVCNPLAGTSELLPPLEPSRPFSDKSCCCAILSGQDYCSDEQKRSTVGSSTFFKVLIINIIEWNGHSIYLRTFSSTEPSWSAPRKCKNPVVGKQQTHFSAVVCRGQARWLFRNRLDICTLDVNVGTGDVSTTKILLPMYLRVCELYDEPRLGATTDRRLSLNFLHRACLRVEIWTSEGDEDSIHGTAKWLPDRVIELQQPHKTQIDNARCMCVGEKSGTLLIKDSRGNMYVADLETGTMEEVTARLCDPVSTAIPFEIDWPGFFVSPLATGSIKCTKSGEAVLGLARSFG >cds.KYUSt_chr5.2818 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18593225:18594373:1 gene:KYUSg_chr5.2818 transcript:KYUSt_chr5.2818 gene_biotype:protein_coding transcript_biotype:protein_coding MCYAGDTDSECQDCLDRAPDGIMKLCSYSRTVQAVYNSCSLRYSDESFFSVADLSIVDIADLSIMPQVEQTPYRPWNHIGPDQTWYDGGVLVGHVVDTVGFSQTRLKLIHRLTVKATQAAVRIAEGTQPFTGMQLLQAVVQCTRDLPPSECTRCLSYYTDQLPRLFPNNTGGAIKGSSCYLRYAVLAEKPRTMQVRMERYLYSEKYRREHEKQITKNEKYREEYRRERRRNVAIVTILFTISMVPVVCLIGTLVRFLSYRWLYWMAAARVAVRSDLESLAKVMAYYRGKSIYEDEFEQGTGPRRFTYDELVAATNGFSSQNKLGEGGFGCVYWGFLNELNLHIAVKKVSKSSRQGWKEFVSEVRIISRLRHRNLVQLLGFFR >cds.KYUSt_chr4.2133 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11696425:11699581:1 gene:KYUSg_chr4.2133 transcript:KYUSt_chr4.2133 gene_biotype:protein_coding transcript_biotype:protein_coding MDREASTSYDVLERMLLDESAEPTSLPLSLLQYVTNHFSLDNQIGSGGFAVVYKGMVGKGMVAVKKLSNTFGLHENKFHEEVKCLINAKHKNIVRFLGYCAETQGKMQKFEGKLVMADQRNWLLCFEYVCNGSLDKHITDATCGLNWSERYQIIRGITEGLLFLHEMRILHLDLKPANILLDGYMVPKIADFGLSRCLSEDQTRAITENLRGTLGYMDPEYIRLGQITFASDIYSLGIIIMEILTGVKQYLDDDYISLPREQFGERIGKLGAERLHKADVKEHSILEDFSKEEMERMVKDAQKYKEQDKKHKKNVQARNDFQTLLFTISNNVKNLQDSAAILLVQMEATHEQDLQTPGHQDTRIRNSTRQVHKPHKAFDKMMQAHNDTVNKLQHSIMELQETADGSANCIERKERTTNQIIGQMEQIEGDYKRIRSQIENIQARNNILNLLFTISNNMKNLEDSATRLFIHPEATREQDLQTPRFQDTRARNSTSQVYKPHMIQDKDNRQMVQAQNKLYYSIKQLQDTADGTKRWLENKELTTNQIIVQMEEIKGDYNIISSQIENIQARNNIKNLLFTISNNMKNLEDSAPRLFIHPEASREQDLRTPRFQDTKKLYYIIKQLQDMANGTKRWLENKELTTNRIIVQMEEIKGDYNILSSHIENIQALNDIKNL >cds.KYUSt_chr4.3629 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20551923:20553329:1 gene:KYUSg_chr4.3629 transcript:KYUSt_chr4.3629 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGGGGGLPNGVGHHAPANGALANGVGDHALPNGVGDHAPADHALANGALPNGAGQANHALVNGAGQANNALADGALANGGGHALANGAVLVANGGLHVMAAANAMLPTRVLYMAFLRLPAQEIARCRGICRLWRHITGSEEFRRDHQRHISRTLMPLVFYQRARWRLCALDVLDDVLLPRPVFRFPLHHGELHIHGSCAGILLLSFGYKLYACNPCTRRWARLPPLHVNHRIIGFYATGVYDDEAGTGCYVLYDDGPEHDCVYWIFRVDAHAAARYIGRPVAGPGIGLDLVLANGIAPSYVIPPVHFLVYLCWLPQAAQGIRGILIFNTVAEAFRLIPPPTIQVDGERFPVGMGAQLFVLHEHLAMTFISPTGAVDVWVRDDDTSLWSRQYRIGLPVEAALSQGVFAVARDRSDMMQCPHILYTGEDGVYFSLKTIQESLLLHPDILPLQDTDAVDGHPPFFHYH >cds.KYUSt_chr2.3109 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18293148:18294098:1 gene:KYUSg_chr2.3109 transcript:KYUSt_chr2.3109 gene_biotype:protein_coding transcript_biotype:protein_coding MTATNNSNAVAAAGTEPRQQQQHRRLEGKVAIVTGGARGIGEAIVRAFVRHGARVVVADIEDAAGEALAAALGLGGACCSYVHCDVSQEADVERAVGCCVERYGRLDVFCNNAGVLDRQHPPAADGAKSGGIASLDAAEFDRVLRVNTLGAALGMKHAARAMLQQRGGSGAGGSIVSVASVAGVMGGMGPHAYTASKHALVGLTKNAACELGEHGIRVNCVSPFGVATPMLVNSWRHRWHDGDAGEDGSAQPPSEEDVEKTEEMLRGMATLKGATLRAGDIADAALFLASDESKYISGHNLVVDGGATTSRNVVGL >cds.KYUSt_chr3.9288 pep primary_assembly:MPB_Lper_Kyuss_1697:3:54376376:54377014:1 gene:KYUSg_chr3.9288 transcript:KYUSt_chr3.9288 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRSEPKVWRRREMKISINKAGFGGSGGCRCSSKLLLLPRRGGKRKEFLLAASALPSDPQQGSSSASSRRFSMAPLSPYTMAERQPLHWRTTTAPLSPDFLGEGRPIHPRAVATGRPQGSNNLRKALLPTRRLSNLGGVGSHLYVPSGFVPGGAEVDSGEIRSREGGAGPDRVCVFFQGPLCNLYGPVCNFPVLSGLICNLYLTADNMKP >cds.KYUSt_chr5.29001 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183568337:183568675:1 gene:KYUSg_chr5.29001 transcript:KYUSt_chr5.29001 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSGGGGEAVPNGGGAKNGKAVQAFERCSGQVQGILEHNRVLIQEIKQNQESGEDSDLNRNVALIRELNSNIARIGSLYSDLSAAFAKGTPAARAADAAKGYNKRSRPPQ >cds.KYUSt_chr2.40608 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252249944:252251621:-1 gene:KYUSg_chr2.40608 transcript:KYUSt_chr2.40608 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGSTECTEVVNSAIASAVDHGIEEVDMAVIENTMYEFPWWLFSSGSNPSLTTLCLSFCKLSVPLKFGGFSSLTKLALIRMRMSLKETHLVLRIDTPALQRLEYCGEMLPASTFQSMPSLEHVSLQCMFDDYHECHAERLENISTCFPYVRSLLLRYEIPKVVKPRTPAVFLSLKVLTLKITTKPSDNLLWMAMFLNAAPYMETLRTTIRYLSHLDSHNGVVWDDVDFQHDSLKNVEMYNFMGRDNEIGLARLLLDRAPNLRCISFNQAPLKEEVVCVPRRSILANSPIPIDTDVLHLAATVEHKNLLDEAIILVHCHNLN >cds.KYUSt_chr2.11050 pep primary_assembly:MPB_Lper_Kyuss_1697:2:70165894:70168182:1 gene:KYUSg_chr2.11050 transcript:KYUSt_chr2.11050 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGAPRALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQHTGANAIAGRHTPGTFTNQMQTTFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLYWLLARMVMQMRGTILPGHKWDVMVDLFFYRDPEEAKEVDEEEALVAPEYGAPAVDNWGDLPALSAGPAGAEWGAAAPAPVAGEGWDAPAVPLPADAAVAAVPAPATGWEEGSAPAPTGW >cds.KYUSt_chr1.31900 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193540645:193542132:1 gene:KYUSg_chr1.31900 transcript:KYUSt_chr1.31900 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTGSRRPGCDGGSMLRLLLVGSLCCGCFFLVELWDNGALRSDSGKMAGAATHHAGHDPVSPSTDLQDIGHYYNGAGGRRLLSGGPGSHPPRCTSKCGSCSPCSPVHVSVPPGVLVTTEYYPVAWRCKCRDRLYMP >cds.KYUSt_scaffold_6468.410 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1998251:2003859:-1 gene:KYUSg_scaffold_6468.410 transcript:KYUSt_scaffold_6468.410 gene_biotype:protein_coding transcript_biotype:protein_coding METAIGAASWLVGKVLSKLSDDLVSAYVASSELGLNSEQIKAKLKYMQGLLHAAQDRDVISNPGLQSLLEDLSKKADEAEDALDELHYFMIQDQLDGTLEATTDQGDGLYGHALHGRHAARHSIGNWLPCFSCPRTQDDDSVADTVVTSNPHCVSQSDSGNGGGHVGKLKFDRVMKHLEELKEFRVKKENDGFELKELGELSGLGGELSICNLETVRSKGEASDAKLKNKRNLKELRLVWGEDHPTIDDDVLDGLHPPNNIRVLGIINPGGSAGPSWLCGDVSTKKLESLHLEGVSWSTLPSFEQLSNLSKVSFRNIVGMRVFGPGIGGVTERSFTHLKEIVFEDMAELEEWVGGPSSHMFSRLESIKINDCPFICSFPFLECSDIFTKLCTLDIKNCPRLSLFPLMPHTSTLTYVCVENNGSKLLYDGKEVSIKGYTRPLAFHIMDKVELMKICDVSHITLSDLQKLKSLRSMHFKRCDNVFSAELYGSVVLRSVQNLHIEKLQITGELFSQVLRSFPGLSQLSITKCENLTLVPVEDGGLWDLKMLQSFKGFGCGKLFSGWPMGEVGGGGRAMNPFPTWLREFDISEEPSMRSMGLLSNLTSLTSLSLISYEELTTDGFNPLMTVNLKQLGVDAMYSDEADISIAGDLLSMIARSKLMRAGSFQLEELWVDSISSVLTAPICSHLAATLQTLWSSHDQRMRIFTEEQEQALQLLTSLEDLEFIDCYNLRSLPQGLGRLSSLKRLGILSCEKIVSLPPKEGLPTSLEKLDVYFCSPELTEQAKELKEADPWFSSEISEIGHWTRCAVVASDESEENKRIHEKIEAMTDVAHPKHELWSHRPKAVAVAKFEHRAEKVHYYFDKFHAHLSMVWRTLFPLDQAPETLSALFTRFKTPERIRLLVRKELLAGAELAFASVLACHPTLDLEAIANTRRSLDQYYNIARNHAYTIISRMETGIERDLKAREDQGNLS >cds.KYUSt_chr2.29271 pep primary_assembly:MPB_Lper_Kyuss_1697:2:179951932:179952210:-1 gene:KYUSg_chr2.29271 transcript:KYUSt_chr2.29271 gene_biotype:protein_coding transcript_biotype:protein_coding MARCKVDDFVVEKSRYSRLASSYGERIIAWILLRNSEDRGEKNVACAMSLIQSPEAGAEHGGPCAAATGLETSAGFIELLVLLQADHTTMPS >cds.KYUSt_chr1.35221 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214707234:214709742:1 gene:KYUSg_chr1.35221 transcript:KYUSt_chr1.35221 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSARAISATYLQDDQVDEAEAQAECCLDLGTFCEQVRVQMEKVELSLVSADTEQEKRRQISRLQSIVGTITHFCAAYTETVEVAKTKEMDREEKVEGESVMSSHKFSTATKEMEQETSVTVRVREDAATNREKLATDTLSKSEHSDDDEYSYEEAAFARFRAGWERAHGDNKSIFEDLTLISPMLFTHCTPGFMPIDAVIPKTVQVCSIKITDLKHFNWPLQVYGVVAARDAVDQRRNPIFLCPRDDCQILSESYPFLHLTGPVRAIVSEEPVDIEIQLVVKGTTAAEDRALISYAFLYEGNNGGARVRTSTIEKYSCTLELCTQQVIRSVQAIIFGLRIVDVNSMPEYGFKVLCSTRTQSINEPPEVVLFDSKVGRKYAMKNGFLNLSRQVVSVEMRGKLKLTVQAYSESGDVAAESHVLVDPKRCNTTHHELHFGGLKVDFTVAWSLLVDDEDAILINGMADPFSLLPPMHPSIASLLK >cds.KYUSt_chr3.35538 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223142356:223148770:-1 gene:KYUSg_chr3.35538 transcript:KYUSt_chr3.35538 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRHFLNPILSPLSFAKPPPRPLACRARAVAQLPAQRRAALAEPDVGISRFASSLPGFRGALKQRYSDFIVHEVARDGALVRLTSFDLPDEVAPLCSTHCGFQDFHSLLTSRFGLVPFADSQDVDAEDEEADPDPDLPHTLESFRLLCGDADADTLREFLSRVSEDDSNVSPIILSPDADKAHRSEVHDFFKRNFKFLATDTVEHSDGVQRCIRVRLKSGPRGRRNFREKARNRTGMGSSRHSGWRDDRPFDSRVSVIWPYHLGKYLRFHLYKENKDTQEALRVIGKMLGLQVTLFRVHASRLAALNNKLIGIKVGDFKSVVAESEDVIKAAVDGLINSGFINYYGLQLQRLKKYLGNYLQALMAIPRPLRLMYVHSYQSYLWNHAASMRVGKYGLSGVIEGDLVYRKEYPFGEGSVTGASNDDSTNTSEMDISTETLLEETIQSVKIADSEDLLKAVYTLEDVVLPLPG >cds.KYUSt_chr6.14711 pep primary_assembly:MPB_Lper_Kyuss_1697:6:92119615:92121107:-1 gene:KYUSg_chr6.14711 transcript:KYUSt_chr6.14711 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDALSWLLPLLLLQAAAVRASGVKRSQPANETTLPSTTTLAGCQKSCGNVSFEYPFGIGPGCFRSQDFEFICKNHSSSHGPMLLMNDGITEVVQDNEYIDIDPHPDILLSFSRSISLRPEVDVYNMSWNLGRSFRDYNLQLNFTGCDFDMQVLDRNNTVGGCSATCPDEDIIGRVAQQNCNGTGCCSTHVRSEVAAAFEIKFVRQKIGEPKFREHNNNRISMWDAIDVTAGGYIRWSIVVDQPGPASTFRHRTDYACLSNHSTDDSNDEPNYISSYICICEDGYRGNPYITDGCSRDKAPLFLSVLNKCAGSDGAAASGPALPSTPFKRGSNPSTNGRLTPPTTTPTFLLSFHFRAAREEDLTLFIFFDPGYGGLTPA >cds.KYUSt_chr4.1916 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10466291:10468778:1 gene:KYUSg_chr4.1916 transcript:KYUSt_chr4.1916 gene_biotype:protein_coding transcript_biotype:protein_coding MSESESSAAAAAATLRYSISASNAAWAARSSSASFSASAKALASLTGSRRSSVLSSSNSSSELEGGGARALSLSLFFSSYKIETPGLPLATIVDKFPSTPKQVAAVPQWISQPKPAGRSIKEASAMERGGDKQAKQNGDHSGCDGDASEWKKVVVLTAIVEMHFSQMDGHVSYFPEFGESDTIVDPNAQF >cds.KYUSt_chr1.4932 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30311958:30312743:1 gene:KYUSg_chr1.4932 transcript:KYUSt_chr1.4932 gene_biotype:protein_coding transcript_biotype:protein_coding MERLCHIFLFLLLVLLGVSVYGAAAVMVLSVARFGHLFYRDPSLACFFSLDFLLLLLRAFNTQISSTMFVRSFATLDDDVGLSLFSSTAILAGLRKRAASLPTFFKALVVVTAYVGVFWPAFRLLLDVPAQFDLTLMLLGGVPYFAGTVGITVVCQCRLAGPVPVIDDASDSPCCALRRGLALVGAGEFCAAAAKFALLHGVFVAVAVLTAFPSQALAAGTAINAVLCTVVVVALLLQPVMYLAPAITAANPTKYDSSLPL >cds.KYUSt_chr1.35001 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213274503:213275667:-1 gene:KYUSg_chr1.35001 transcript:KYUSt_chr1.35001 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLRWILKNKEEMLSGFAVVMEGHGVGSSEPASGDFPSAEGVLLVQAIERSNGGGAPPAASWSSSSSSGFSGSWSWGPVCNLNLVLDLSIRPIVEHSLQNTLPKITMIRERILQATSSRIRSAEIKAFYLQRLPPPPNHHLRPRPPEHRDNEEELRQAPDPAHGTWSKPHGMKPLHSIKPQTGISPNPSTTTTIGTGILKYSQAKPATTQRAAKFSIEMVLHF >cds.KYUSt_chr1.38469 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235169670:235172683:-1 gene:KYUSg_chr1.38469 transcript:KYUSt_chr1.38469 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVNGAAGGDTKAAFARIYDALKGELLKDPAFDFTNESHQWIDRMLDYNVLGGKLNRGLSVVDSYKLLKGADDLSEEEMFLASTLGWCIEWLQAFFLVLDDIMDDSVTRRGQPCWFRVPQVGFIAVNDGIILRNHISRMLRLHFKKKPYYADLLDLFNEVEFKTASGQMLDLITTHEGEKDLTKYNIGVHRRIVQYKTAYYSFYLPVACALLLSGENLENYSAVENILVEMGTYFQVQDDYLDCYGEPEFIGKIGTDIEDYKCSWLVVQALEHANESQKSVLFENYGKKDPASVAKVKTLYRELDLEAVFHEYESESYKKLIAEIEAQPSVAVQKVLKSFLHKIYKRQK >cds.KYUSt_chr5.30095 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190875174:190876953:1 gene:KYUSg_chr5.30095 transcript:KYUSt_chr5.30095 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEFMSAGSLEKHLFKSISDSLPWMTRMKIAVGAAKGLAFLHDADPPVIYRDFKASNILLDSDYNTKLSDFGLAKDGPQGEATHVTTRVMGTHGYAAPEYITTGHLTAKSDVYSFGVVLLELLSGRPSVDRARRPREQNLVDWSRPYLKRSDKLYQVMDSALECQYSCKGAKVAALVAYKCLSQNPKSRPSMREVVKALEPVLGMDDFFPVGSFIFTIVVEEDKAIDMKVEVEDKHQNHQDRHRQKYPESTIHADIILHGQNDNATGFSSTLRRQQRTLSYHRERGS >cds.KYUSt_chr7.12089 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74332764:74333792:1 gene:KYUSg_chr7.12089 transcript:KYUSt_chr7.12089 gene_biotype:protein_coding transcript_biotype:protein_coding MDNERQIPVDPVSLRHLGMVADPDSPLSAPSVMTELVAHSSPLLPPLRRPTFVGASLPCSAASSPVHSASAKREEPSLATVIALSSLARQHSAALAHYVTSPTAAPTTLSRSASRAEGRTMAPHDDEYPHFEFDTDADAHGFKCGVLCMFIPGFNKKKRGSPSAAAVVSSIQRQHSGARRRSSVSRMASMERFECGSWSPPPPPPPTSAPHVDTDFAMEVAKVSCADDTDLPIKMAFVFDGDARGVLKKSASESESRRAESGAPVKMAFALEGEPRGILKKSASASQRQESPAPRMSSASQRHVRFSTAAAPPASCPTSPCITPRLAMARAEFNAFLEAQSA >cds.KYUSt_chr1.1329 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7210523:7217593:-1 gene:KYUSg_chr1.1329 transcript:KYUSt_chr1.1329 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPGKLDSLISGHKHNLRPEEKNKLCILQGDLQGLIDNYLLEPSEVEFPASTASFWMKDVRDLSYDIDDFLHELAQAGTSGSRISVQTQKLPRIKISRIPDKLKKRKWIADEILGFRSRVKEAIQRHISYLGDCKWRPSSSSGQLGEWKNPSTPCSIRLVGVESSIKQLCGRLANEGQPEHKVVSIVGTGGVGKTTLAKEVYRKLGGQFECRAFVQTSRKPDMKGLLTSILSQVWRHQLPDSCEVHKVVLKINQHLQDKTYIIVIDGLWASSTWDIINYALPKGNYCSRILTTTEVDAIAQTCYADTSKYMSSKKEGNSKYIFKKEPLNEDESRELLLSTVFGLQAECPQGLKEVSNEIIKRSGGLPLAINILASLLARQPASSLEHWNYIKNSLSSCLAANNSLEVINQVLNVGYDNLPHGLKACMLYLCMYEEDRVILKVDLVKQWMAEGFICAVEGDDVKEVARRYFDELVRRGMIQPVDVNCNDEILSCKVHHIILRFIRYKSIEENFILAVDHSQTSIRLADKVRRLALHFGNVEDATPPALASMQLSKVRSLAFSGLLKCMPSIVEFRFLQVLVLKLWADRDNRSDILIGSDDLSGSITNPDDLTDNPTEPDDVSYSLTEISELFRLRYFRLDARHLSVELPTQMQRLKDLVAWEIDAEVTSVPSDIVDLPGLFYLSIPSEVHLPSSIDRMTSLRTLGIIDLGKNITEDFMSLGELTNLQDLRLTCSMLQPDNLEKNLECLGSLIRKLSNLNCLTLVPAVSSHMNIQNHDGASIMSISWHGFTIERHSPAHLQRLELSWRCCFFFRLPEWTNELTKLCVLKIALRKMSSEDFAILKALPSLTALSLFLLISPARRIMFDSEGFLVLKYFKFVCAAPCLAFLDGAMPKLQNLKLGFNASRMKQYSLISAGFESLTGLNEISTKIGGAGVDECDRRSVQSVLIDTVSKHPSTPIMNVQWVDWNFCGDEEKYVENQKEIWKQTPEKQGLTAGESSDEYGIQGKNLEEDAKKQSDIRTCAILESTSYLQNTVHNVLEEYEHESRKGAGPAEGNLGDGKDASSVEEAMWVVEQWDLPATRDRLVFESPENAKEYLTAVACLTSVAGAGVEAWLQIAMARLEEEFRQLLIRGTTSPTAENLHTSAFPGFSPTISTFSSTSSIDNFGELDEPVGWDTWSSVSDGEISSYFISPETISTFKDIADVMHSLPYLIFPYIVSMLKDIADVMLHAGHALKLCQVYGEVRQEKLIECLTVLGVDKRSLEEVQRMEWVTLKDKKYKWIRALKVVVQGLLAEERRFCSQIFTADADIKEVCFTKAGKSCVLQLLSFANNIAVGKRSAEKLFSTLDMYEALAELLPELVVLFSGEARDFIKEVAEPTLESLGDTVLDTLAELANAIRGHTDCRSLPDGGIHQLTRYVMIYLVRLVADYSRWLHHLLDGHETDQLENTGMTPLGHMMMMLITHLWDKIEDKSELYDDEALQNIFLMNNLYYIMQKVNDSELKSLLGNNLICIRPGQLTVYSERYFQSSWIRAITCLTDDGLPHTTGSLSAIKGALQERFKSFNLIYEEICRTQTTWSVVDPQLREELRISITKKLIPAYRLFVERYRGTDTMASGCADSSPMLPPYILPEDGAASCYKE >cds.KYUSt_chr7.18788 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116388220:116391585:-1 gene:KYUSg_chr7.18788 transcript:KYUSt_chr7.18788 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGGGGRRRKGARRGKTKKKTKYLSLSRHLSQAAEVVVHRSESLSVEESLPPSAPSTEEAAQTLEDDGAGHEQQQLDPFALHPEAPSTLFAAAPSLNDILGASSPFSSGGGSGGSSSCAPSPDASGGSGRFEGEEEDLARRALRGRERWVYCRSSSSSPSAATATTTTTTTTSSSCSSAASTGAASASARLPLLKLDYDEILAAWAGRGSLYIGAAPALAAPKLEPDSVLVEVAPGRQAAAWTAPDATGRAERVRRYKEKRHARLFSKRIRVSRSERQYREIIAEYICYCVSLPFTSQAVALVANAAWIMLVGSFIIYLSESHGPKGNMGRSFAGYTRAIRYRWEEANAKMDEKRRREKEATCASFIDLAKRPPEIQAIEVEAKLLTEENRIMFTNLSLMNPINRA >cds.KYUSt_chr1.42017 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257536847:257540337:1 gene:KYUSg_chr1.42017 transcript:KYUSt_chr1.42017 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVAEAYAATGDLSGVGARVVDLSAPCPVSWRETEQLLNVALFPLPGARICGEDTMRHGGESLFVFHPHLSSSDLPATGMWTQWMTTGRTTGVCNWGTQDRSALFLPVSGQIRGCSSLPSRIPWLRMWLALVANSLGKTGSRPLHVQPSEVNPLPSTSAGTPVVAGSSTNGPALTCFFSPVCAPVWFPIRVDLDRCAPSERLPSASGVDTGGSRFC >cds.KYUSt_contig_2278.53 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:414883:422185:-1 gene:KYUSg_contig_2278.53 transcript:KYUSt_contig_2278.53 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYSKKTQVSKLWDAQGIPFFIDNIIRFHVGTGISGVAPHYIPPPSTFNVLLGSSWFDKPWFLSEGKLAAVQFIGSLATVNGDLQQFCEVASGPCFGAWLTRTLSYRWHGHAMVIKKLSEQEKNVCNEADHIEWCKIDDSMRNPPAGFEVSV >cds.KYUSt_chr3.13879 pep primary_assembly:MPB_Lper_Kyuss_1697:3:83941547:83942044:-1 gene:KYUSg_chr3.13879 transcript:KYUSt_chr3.13879 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTAIPATTGVVSPELDEGGGEAAGGDGGDLSEGGDGVVDGGEGVAGGGVDGVVGVLPDDGAGAEGVGVAGGGRGEGGGEEAGGAGGGGEEELAGGGADDAGGGAVRLVGGEAGAGAAGGDDDIAVRNPAAYFSRGLALLPLCRSTAPTGCTNLGKKPPGRER >cds.KYUSt_chr6.17662 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111121966:111124050:1 gene:KYUSg_chr6.17662 transcript:KYUSt_chr6.17662 gene_biotype:protein_coding transcript_biotype:protein_coding MLAETEKAFLKQPKVFLCPKKTSKGSYKPGKGGNRFWKSVGLGFKTPKEAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIVVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVREGDHVIIGQCRPLSKTVRFNVLKVVPAGSKSGVVKKAFTSA >cds.KYUSt_chr2.7247 pep primary_assembly:MPB_Lper_Kyuss_1697:2:45496163:45500320:1 gene:KYUSg_chr2.7247 transcript:KYUSt_chr2.7247 gene_biotype:protein_coding transcript_biotype:protein_coding MCRMRIVLAFLTMRKKCSSSMVLWIENEVARTSVDHYSTSCPNAEAIVREAVKKAIDSNRGTGAGLIRLFFHDCFVRGCDASVLLNTTGSDEATERLGRPNLTLRGFDVIDAAKSTLEELCPGVVSCSDILAFAGRDATYFLTNLTADFAMPAGRYDGRISLANETVPNLPAPFYGVQQLNDSFAAKGLSLEDMVTLSGAHSVGRSSCSSFSDRLTSNSSDMDPGYAAYLRKQCSGYGGMAAQDYKTPDDLDREYYRDVISHDVLLGSDAALMSSNETAKMVMDNASVLGLWESKFVAAMVKMGGVGAKTSADGEIRKKCWIIN >cds.KYUSt_chr7.1970 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11267263:11269326:-1 gene:KYUSg_chr7.1970 transcript:KYUSt_chr7.1970 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWGLVALLLLHALLCLANGVYSGRTSSYVRGEFPSTDIPLESDWFATPNGYNAPQQVHITQGDYDGKAVIISWVTASEPAPSEVFYSKEENRYDQKAVGEMTNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGTGDSAREFWFQTPPAIDADASYTFGIIGDLGQTFNSLSTLQHYEKSGGQSVLFVGDLSYADRYEHNDGIRWDSWGRLVERSTAYQPWIWNTGNHEIEYRPDLGETSTFKPYLHRYRTPYLASSSSSQMWGIKDWQLAAPLTAFRGIKDWQLD >cds.KYUSt_chr4.24061 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151493269:151495554:-1 gene:KYUSg_chr4.24061 transcript:KYUSt_chr4.24061 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRARALLLPLAAATLLVASTIFLFAAAGTSRWRPADTGLPVPATPAGFSPVPVTLNVASATKGQELSFLDENGRPDDPSSSSSSASATVTGRCDPRDAAVRVFMYDMPPEFHFGLLGWAPPSGEAGAVWPDVSAGAAPRYPGGLNQQHSVEYWLTLDLLAPSSCGAAVRVADHRDADVVFVPFFASLSYNRHSRAAPPEKVSRDRSLQEKLVRYLVARPEWKRSGGADHVVVAHHPNSLLHARLALFPAMFVLSDFGRYHPRVASLEKDLIAPYRHMAKTFVNDTTGFDDRPTLLYFRGAIYRKEGGNIRQELYNMLKDEKDVLFSFGSVQDHGVSKASQGMHSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDVLDYSKFSIFVRSSDAVKKGYLMKLIKGVDKRRWTRMWKRLKEVDKHFEYQFPSQKDDAVQMIWQALARKVPSIRLKAHRFRRSSRSERGSK >cds.KYUSt_chr7.31274 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194776297:194785598:1 gene:KYUSg_chr7.31274 transcript:KYUSt_chr7.31274 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTTLPGAWMVDAAEQLMAPWQQLRGLVIVPLLRASVLICLIMSVMILVEKVYMAVVIVAVRLVSRRRYRWEPVRDTSDDPELGGAACPIVLVQIPMYNESKVYHLSIGAVCGLSWPSDRLVIQVLDDSTDPVIKELVQQECQRWAKKGVNIKFEHRQNRRGYKAGALKEGMKHGYVRDCDFVAIFDADFQPGPDFLCRAIPFLIHNPNIALVQARWKFVNADECLMTRMQEMSLDYHFKVEQEVGSSTSAFFGFNGTAGVWRVSALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYIGDLMESETAVRIDDGDDVHVVGVGFGASASAIPGDGGNNKMPSLTDKDAITIKCMTSNMKELTTVQRDSSRSMCRGLYASVMEAIGFSLEALMVALGHLFENRAQGNGFVGIVDDHKVL >cds.KYUSt_chr1.7621 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46798011:46801390:1 gene:KYUSg_chr1.7621 transcript:KYUSt_chr1.7621 gene_biotype:protein_coding transcript_biotype:protein_coding MSMELDPTSSETREGRKGSQPVQDPADRIPDRTTRSQVRPTGYLTGPPVQTGPQTDDDRAQYCNAGTSSRSPSGPGPGLDRTLRPQPQVALWDLARKHGPVMYLRLGHVDTVVISSPAVAQEVLRDKDLIFASRPKMLATDIILDGMDLAYAPHSVYWRKLRKLCMTVLLGAHKVRQLAPLRDRETLALIRNVGTAGEGGEPVNLGRLLVPCSIAITWKATLGQLCGGELQEQFMSVVNVAVTEGSGFCAADLFPSLWFVDVVTGLRGRLRRARRQLDGVFEKIISEHEARQEEGKKTGDEDLLSAMLRMKDEADLEIPITAATIQAVTFDMLIGGTETTSSSAGWVMSELMKNPEAMAKAQAEVRRTLDGKSPQDHEGHINELSYTRLVIKESMRLHPALPLLIPRLCQETCNIGGFKVARGTKVIVNAWAVARSPGHWRDADQFRPERFEDSVADYKGLQFEYIPFGSGRRMCPGDTFGLAVLELMVVRLLYYFDWSLPHGMKPNELDMDMVVGATARRRNHLQLVVSPYKELPSEI >cds.KYUSt_chr1.30677 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185775885:185776343:-1 gene:KYUSg_chr1.30677 transcript:KYUSt_chr1.30677 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPVEEEPAAEKAEKAPAAKKPKAGKSLPAGKTAAKEGGEKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_chr4.11881 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72229797:72230202:1 gene:KYUSg_chr4.11881 transcript:KYUSt_chr4.11881 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHALLSNAAASTVNILWPSLVRCRRAPSAKARTASGGRGGLGRRCDMGWWLAAGAVVTPASAVSCCSLTLMAIRLELQGQWVVGRSRATGMCGGGSGPGGIGLP >cds.KYUSt_chr7.18064 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112074642:112076684:1 gene:KYUSg_chr7.18064 transcript:KYUSt_chr7.18064 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLQRLWQLLVAVSALLTAAAAQETRVPPLVPALFVFGDSLVDNGNNNNLASLAKANYFPYGIDFAAGPTGRFCNGYTIVDELAELLGLPLVPAYSEASRSVEQLLQGVNYASAAAGILDDSGGNFVGRIPFNQQIQNFESTISQLAGATAGGAATAGSIVSRSILFVGLGSNDYLNNYIMPNYDTRRHYTPQQFADLLVTQYASQLTRLYKAGARRFVVAGVGSMGCIPTILARSVEGRCSEEVDQLVAPFNAGVRGMLDGLNAGLPGATFTYLDNYRLFTLMLANPASYGFDVVDRGCCGIGRNGGQMTCLPFMPPCADRERYLFWDAYHPTAAVNVIIARQAFDGGADVVSPVNVRRLAQL >cds.KYUSt_chr1.38403 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234627491:234628661:1 gene:KYUSg_chr1.38403 transcript:KYUSt_chr1.38403 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIYLRVAQNNSVMKLAAFNGIREYINKQWYWQVPTALQITGLVSQHVKSGWKKCIHDVASYKRFKNLRGERAHGRHPQLQLQWSLERPFDQSVFLWHMATDLCFHNHGTGSTASRGQEECINGIRVISNYMMYLLSIPPHMLMLGTRPGILDLAIYELDIILKGSNVSLHNEEALAQEIMNTVKSTAPVPTHEVMVHTAKVEQPVTIRERTYTITHIPEACRLAEELMKLGEEARWTVIRGVWVEMLCYSASRCRGYLHAKSLEPTDKEDINYGDDENDDDDEEEEEKEEEEENEKKEKKREGASTSQVQGQADQPVEMCPV >cds.KYUSt_chr4.5506 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31893716:31894630:-1 gene:KYUSg_chr4.5506 transcript:KYUSt_chr4.5506 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPRLPEGATALEIPSDRPAFYNSFKAFGVPAAATAARLAIPLSELQTSFPETMCLKTLGVRAPSTAVAVQVRVLTPPFDRRTSSRPQSISVYMPTPTPEGVYFAKCFAYAYITPATAPCRADPGAFIRLVFRTLALDLPQTFELFPAGHGADATMRFRTPDDREAAMRRQPFELDGATVMLVREGETSNVKRVSYDYMAHVALRRYPVEQRTEEHIATNCNQFGFLREVHPACFAAPDLATVHVVLQLEHPREIPHQVRIGYFDGSKNVVPVEVVAIWDRAHSYDSDDEYVRLFPAPVAAA >cds.KYUSt_chr5.42418 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267430807:267434290:1 gene:KYUSg_chr5.42418 transcript:KYUSt_chr5.42418 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAMLRWALAAAALAVLLAAAPAAGFYLPGVAPTDFAKGDELMVKVNKLTSIKTQLPYTYYSLPFCKPNTIVDSSENLGEVLRGDRIENSPYAFQMREPKMCQIVCKLTVTEKEAKELKEKIEDEYRVNMVLDNLPLVVPVQRQDKNTIAYQGGYHVGVKGQYSGSKEEKHFIHNHLAFLVKYHKDEESELSRIVGFEVKPFSIKHQFDGKWNDANTRLSTCDPHDSKFVINSETPQEVEVGKDIIFTYDVRFEESEVKWASRWDTYLLMSDDQIHWFSIVNSLMIVLFLSGMVAMIMMRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPVNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYSSSRLYKMFKGAEWKQITLRTAFLFPGIAFVIFFVLNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAMEPPVKTNKIPRQIPEQAWYMNPLFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITVVLCYFQLCSEDYNWWWRSYLTSGSSALYLFLYAGFYFYTKLQISKLVSGILYFGYMLLASFSFFVLTGTIGFCACFWFTRLIYSSVKID >cds.KYUSt_chr3.42226 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266837252:266839943:-1 gene:KYUSg_chr3.42226 transcript:KYUSt_chr3.42226 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSRVQKELTECNRDSDVSGVSIALHDGGSSITHLTGTIAGPLDTPYQGGIFRIDIRLPGGYPFEPPKMQFITKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSAPAPDDPQDAVVAQQYLRDKSTFVNTARYWTEAYAKSDSTGMEEKVQKLVEMGFPEDMVRSALKSVNGDENMALEKLCSG >cds.KYUSt_chr6.7804 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47962538:47972926:1 gene:KYUSg_chr6.7804 transcript:KYUSt_chr6.7804 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFFRKLGSGDGASSSPAPPPSRKGTTGGGVGAVHHPAPEQQRQQQPPSVVSSWLDSVPTRPPPPTPPTPAESASASASPGGDERRQSGERRRPQRQPQQQEEEEGMDRRQAQPQEGEAERERRRSREEDAVEERVIRESSEAEERKREREKEEDDLEEFQLQLVLEMSARDNPEEMEIEVAKQMSLGFCRPSSSPAEVLAARYWNFNALGYDDIISDGFYDLYITGNGPSSINMPSLSDLRAEPLSHNSVNWEAVLVHRGEDPEFMKLEQKALIIALELRQTTPEHVGTVLIHELANLVAKHMGGIIFDPENMSVKYQNMIRSLRTHTESVVVPLGQLKTGLARHRALLFKVLADSLDVPCRLLKGRQYTGSDDGALNIVKFDDGREFIVDLVTDPGTIIPSDGAVLSTEFEDSSFSDNHQLSKADATNQLGSSVSGVSNSACDSFEYELLDRRSASSNVGPSDTDGAATSQTSNQQNTLSSSFEVLSVNTYPSNQQSNNEYRSTDEVVASKNKEKSIGANNSLSSSPSSPEVGSGAGFRRMKVKDISEYMINAAKENPQLAQKIHEVLLENGVVAPPDLFSEDSMEEPKDLIVCDTTLFQSKDEMKRRMNEVGSREYADRGHGPLPAHHLGHELQSKIVPNRAPLDSLKPIEGLGIYPPLDIRGSPSPYFSNYEPSAPPQESSSQISKQLPVTAAAVATAAVVASSMVVAAAKSNSDMKFDVPVAAAATVTAAAVVATTAAVSKQYEHLDPGNKLFGLPGTSERNESIEKAADNFWDKQHDEIGHGQDNPLDQEKDSSEVPREAERTSDKSSGTESAKSESALDQVSDFEMQLEEIAIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRAEVRIMLRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDEKRRLRMALDVARGMNYLHNCSPVVVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNNTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLQQPWEGMNAMQVVGAVGFQSRRLDIPDNVDPAVAEIITRCWQTDPRARPSFAEIMAALKPLLKTMPANQAPRQRVQQTDGVKKERDVGASPV >cds.KYUSt_chr3.38569 pep primary_assembly:MPB_Lper_Kyuss_1697:3:242896315:242897556:-1 gene:KYUSg_chr3.38569 transcript:KYUSt_chr3.38569 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGYCRGWPPPCPPVRCRTARRCPTPPPFETQVLKDNPTLQCQLMTCVPWMNGHPMLAAIVRNYRM >cds.KYUSt_chr2.6955 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43422595:43423419:-1 gene:KYUSg_chr2.6955 transcript:KYUSt_chr2.6955 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGIRYPAGVVLTLPVLFIHHDKELWGADADEFKPERFAEGISKASVDTPAFFPFGWGPRICIGQNFALLEAKMGIAMILQRFSFELSPSYRHAPFPIGLLEPQHGIAFNLGKHTDNDDEAGESPEDKNFGDATEQDPVASASKAVDTDTPTMPAVEPINHAGPNK >cds.KYUSt_contig_988.112 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:724482:727455:1 gene:KYUSg_contig_988.112 transcript:KYUSt_contig_988.112 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLILAHLCCVRTAVQSSLLSRRWRDLWTGLTDLTFRGLKPSRIQALFRRFDSPPQVSTLDIDISSGHNTADANSLLGAAAQLSPRQLFLTFRYSCDHYVGYRYYNYIDLPCFHGTSSIEIDSPHFDVRPLPTGEFTALESLSVAGEIVNLGSFLNRCPRLRVLSLSYRRNRHTTPITLAPVIEFPMLEKLSLSGMIANLDILLNQCPRLRVLNVSLRNMRLSSIKEALTVLEKAGQRGLMLPILGIEIPWRDEDITPRRFAYLLRIVARISPRELVFTDSLLGCHSEYDKKIKVNVPSSEFSRLETLSLSRLCRIADIGTSVARCPCLRVLKASTTSGKIMVHSSSLQKLDLNTDCDTEFHNINIVTPVLKELRMAFRADGDIAVSILAPVLENVSWQRSYTGPALLFGFWRLGSLRIQTIQRSNDVKRKGMPSLMQLLPPFHVMCLHLSVHKQMKQLDTELNFADEVEKLPVTNFSVLEINCEPAWHVYGSLMLRLLGMSPILASTKKLKVVLRKWPMLRSTGHRLICFMGTEVFDTTGLPLMPTASVETGPPCRYCTHILCSCTSSCRFHFIWIVRSSSLEHPLHMKNRDVEVHYALLKLPSSPAGVKQRCCGRSGDPPDPLRSPAALP >cds.KYUSt_chr2.12539 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79562314:79570695:-1 gene:KYUSg_chr2.12539 transcript:KYUSt_chr2.12539 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIMKLFEDDEEDETMHSGADVEAFTAALNREVEGSASTSSSAAAAASSSSQPLDHADGTLPQESNSVVNHSHGQWQSSVKNDIRNQESQQQEQKQLYGKNEESSRPEAVSIGADNKQLHSSTQNEGDRLNVKQEPGNNAQHRTVGCSGTTESPGKCEQLFFVKSSFSQLEVQLRHLDHRWQILVKEDNFSKEVLPHDQHCKIQPGTQAPPSGAASKTPQKKSSAGQKKPLEAIGSSPPPSSKKQKGSGGFHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARKVVQLEEERLILQKEPLTKKLAEIMRKYNLKSIGSDVERCLSMCVEERLRGFMSSIIRLSKQRVDVEKSRHHIYPLSSDVRSHILRVNREAREQWDRKLAEDADRIRKQNDGDDSSVVSSEKDRAESRGTSKHAKAYKEEDDKMRTTAANAAVRVAAGGDDMLSKWQLLAEKNKQRGEGGDGSSGSLPGTMSPHRPSLKAGKGSREQQDNEKRGYFSMLGPGGVRRSTHMKVARSIKVKDVVAALEREHQMSKSSLLFRLHGRHPTEPAGK >cds.KYUSt_chr7.28558 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178172572:178174033:-1 gene:KYUSg_chr7.28558 transcript:KYUSt_chr7.28558 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLIALLAAALVAVQAGGQLGHAAPATAEVFWRTVLPHSPLPDAILRLLRPAADTSSFVSKAEERPPFDYQDYRRSSSGDGSSKRTGAAARAGDFDYDDYRGGGAADTPYGYSYSKQAPSTNQAEERRVAAADTPFGYDYKAPSTNQAGERLAGAAADTPFGYDYKAPSTAMGGGQAAEPTKTKVFFQEESVRVGERLPFHFPPAGTSAPALGFLPRRVADSVPFTAPALPGVLAAFGVAPGSTTASSMEATLRACETPTMAGESKFCATSLEALVERAMGVLGTRDVRPVTSTLPRDGAPLQTYTVRAVQRVEGGPVFVACHPEPFPYTVYRCHTTGPSRAYMLEMEGAVDGVTIATVCHTDTSMWNPEHISFRLLGTKPGGTPVCHLMPYGHIIWAKNVKRSTA >cds.KYUSt_chr3.37833 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237996431:237997434:1 gene:KYUSg_chr3.37833 transcript:KYUSt_chr3.37833 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSNKRTFKDQSALHDYSLNWEQRLHIALDAAQGLEYLHESCTPSIVHRDVKTPNILLDKNLVGIISDFGLSRAFNDARTHISTVAAGTVGYVDPEYHATFQVTVKTDVYSFGIVLLEIITGQPPVFMDPQTVHLPNWVRQKIAKGSIHDIVDKRLLDQYDANSLQGVVDLAMNCVENAAIGRPTMTEVVSRLKALLPAVSSENQTVSATPRPGSPMDTEVRRQFQMMIYGANNEGSSSQSGHTGGMSEMSILSGR >cds.KYUSt_chr6.30034 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190302111:190304665:-1 gene:KYUSg_chr6.30034 transcript:KYUSt_chr6.30034 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGGAFRGTSPSRRRAGTETPVPQILASRWRRLWMVSRTVAFSYRENKNWPFGISSIGCGGKLLPELPDGPERQEAGGRDEDTVSTQPTQPAVGFTISSGGDMADSYSGSQQPKGGHSTSAFRVENWTAHPAAPAEARRTPPPPSSEAKVPVPGG >cds.KYUSt_chr5.17668 pep primary_assembly:MPB_Lper_Kyuss_1697:5:114045960:114048509:-1 gene:KYUSg_chr5.17668 transcript:KYUSt_chr5.17668 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLCFVLPMDGDELLVASDDDVQRHPRQKLGSYVRGKVGRALSCLRGCGCHDSRSVTAGFEDMDGVYELGARKIKGASGPRVFSYSELYIGTSGFSADEVLGSGGFGRVYRAVLPSDGTTVAVKCVASVGVDRFDKSFLAELAAVARLRHRNLVRLRGWCVRDGEELLLVYDYMPNRSLDRLLFSPAAAPAAPLGWDRRRRIVAGLAAALLYLHEQLDTQIIHRDVKTSNVMLDSEYNARLGDFGLARWLEHAVEDAPPTKKLDLLPSTPSVRSTSSFSSSANYQFRLIDTSRIGGTIGYLPPESFQPRGGATAKSDVFSFGIVLLEVATGRRAVDLAYPDDQIFMLDWVRRLSDDGKLLNAGDRKLPQGGMFDMVRFIHLGLLCSMHDPRSRPTMKWVVENISGSSSGDLPPLPSFVAHPKYISLTSSSSDDSGTSTTIVGTNSTASPASSPMKPMYATAAGTTIYLTAEDGNKTSSGGGSGTDKSGNSQRSSLSPRPVVAVPNVDTPREISYKEIVAITNDFSESQVVAELDFGTGYEGFLDNGRGGRIHVLVKRLGMKTCPALRTRFANELCNLAKLRHRNLVQLRGWCTDHGEMLVVYDHSPGNLLSNHLLVRHSDSETLSWRHRYGIVRALASAVLYLHEEWDEQVIHRNITSAAVFLDPDRSPRLGSFALAEFLSRNEHSHHVVVPTVSGSARGIFGYMSPEYMESGEATTMADVYSFGVVVLEVVTGAMAVDVRSPEVLLVRKVQLCQEQDRDVEALADRRLDDMFDRQELVRLAKIGIACTRSDPAARPSMRKIVSILDGNDEVLRKFERRTESRVEWERKNATALSLVRRLQALGIH >cds.KYUSt_chr5.13214 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86216610:86217236:1 gene:KYUSg_chr5.13214 transcript:KYUSt_chr5.13214 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGNHRDDHLRNCCRRLLAVFLFLAFIVAVISLIVYLVLRPTHPRFYLQDASLRQLDLSNASSLLSTSLQVTVASRNPNDRVGVYYDRLDVYASYKYQQITVASSLPAVYQGHGDVDIWSPVLDGPNVPFAPYLASAISQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFATCPAFLVTTGGNGAPGASGFKFQTTTYCHVEV >cds.KYUSt_chr5.21114 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137522008:137522520:-1 gene:KYUSg_chr5.21114 transcript:KYUSt_chr5.21114 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDTPEPGPPPPPPPHPDKRQRRPNVRLAGSVALPSHLPHPRRVPVIPVSRPRHHNPSAKTPSPPKSSSPTTALDDLVLAAAFPRKPRVLEQQQEEVEVLDVAEWLWGLGMGRYAAAFQAHEVDGEVLPCLTMDDLRDMGIGAVGARRKLFCAIQRLRYPPPPPNPRR >cds.KYUSt_chr7.16438 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101883428:101884069:1 gene:KYUSg_chr7.16438 transcript:KYUSt_chr7.16438 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPTCKAHLSLSRHPFLACLIEAERGRKAINMARDIRASHEPTDDSASGGAALVLWDCGSSLYDSYELTAFKRQLDAAVLACRSISMPHLPAATATPAAGGRKRRAGRLPALLRRFFSKVLVRLRLPASVRGTRHHGRYRGYDGYGHGDYSATGSPWSGALTSIPEESGDSPETGLSPPIVAGPSALRRVQSERFIGSKTASTMVPFDVVL >cds.KYUSt_contig_686-1.904 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5515833:5516888:-1 gene:KYUSg_contig_686-1.904 transcript:KYUSt_contig_686-1.904 gene_biotype:protein_coding transcript_biotype:protein_coding MYATWPLSLLLSNPEAVLWPPPDGGNSGYLVVKDIDDDSDDEQVWCTGGVRSRSLVENLPFPQNRVFRVGYDDGDGENKAKDTVLFVPVLDQPLASNRYYPVIASGRRKGLVRACSREEDMTPGCFGMSVNDVEPRPFDPADIYQQIEIVQRRRGQFTAKAVAPDGIPYKLYGSKYWRAYASRPKNLYLGEARGINATLRSRQLTTPRAVVGRWYCPFYLVKEDGLSPAEQLDRAAFYEVTLEQRWEPIRDDAVSSRVLIGGSIEARQDVSSSSYGNGYVWFISPATWQRVGVSTAMWERMRWEEYMGGWVDEETGWVPGRSVLVERFAVKRRDGSVAMAFDFAHINKITP >cds.KYUSt_chr1.7424 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45507630:45508541:1 gene:KYUSg_chr1.7424 transcript:KYUSt_chr1.7424 gene_biotype:protein_coding transcript_biotype:protein_coding MRESTMVFLEVEMSWNVLISPDQLSPKGLLLRKSIIVRLLEDITNRKASKDHGYYIAVNELKAISEGKVRELTGDVLFPVKFTCITQKPMKGEILVGCVEKILKHGVFLKSGPMENIFLSYKTMGEYKYSGGDNPMFLKDYSKLEKNTIVRFKVLGHRWMEADRQFQLLVTLAGDFLGPL >cds.KYUSt_chr7.13591 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83863168:83870110:1 gene:KYUSg_chr7.13591 transcript:KYUSt_chr7.13591 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGEVLASVSSALAVLLLLLACVELGDAAAAVGVYRLIQYDLAGAPLGSRAAALNHHAAALPLPAAADLSRSALVAPLLDLPLSFLREYLAEKKHLGGLLILLPTNLGAKNGDVNGDDKGQPKSVLAELEKLLVHAEVPFPVYFAFHDDNMDDLLADIRKIASSGQPASASTGGYKLIVPSAEPKKVASPTISNIQGWLPGLKGEGDAEQLPTIAIVANYDTFGAAPALSVGSDSNGSGAVALLEISRIFSRLYSNPKTRGKFNLLFGLTSGGPYNYNGTSKWLRSFDQRVRESIDYAICLNSVGSWSSDLWMHVSKPPENPYIKQIFEDFSDVSKEMGISVGIKHKKINVSNSRVAWEHEQFSRFRVTALTLSELSTPPEFLESTGGLADTRESADVESVMRTVRLVSESLARHIYGLRGRNIDVFAEDSSLAISPHYIRSWLDLFSRTPRVAPFLQKNDPFIAALKKELSEHTADVHVQNDVLDGMFTFYDATKSTLNVYQVASVTFDLLFLLVLGSYLIVLFSFLVITTRDCIGAIDDTHVIAKVSRSISAAFRGRKYYTSQNVLAAADFDMRFTYVLAGWEGSAHDASILADSLPRPDGLQIPNGKFYIGDAGYACRHGILPPFRKTRYHLNEFSTKHRPLNARELFNPRHSNLRVTIERAFAALKNRFKVLDQKPFHMFDTQVKMVLTCCILHN >cds.KYUSt_chr7.11015 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67720404:67721006:1 gene:KYUSg_chr7.11015 transcript:KYUSt_chr7.11015 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARYNVRFGSAVIDTTVTSDTAAADEWVRSVRASNADGRGLIVGLDCEWKPNYHSWTTSKVAILQLCVGNTCLVLQMFYASRVPAAIRAFLGDPTVRCVGIGVGEDVAKLADDYGLVCAAPVDLEARCNRHLGIGGGLGRTRLGLKGYAREVLGLTMEKPRHVTMSNWEKRQLDVAQVQYACIDAYVSYKLGERLLDN >cds.KYUSt_chr3.44103 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278433134:278434369:-1 gene:KYUSg_chr3.44103 transcript:KYUSt_chr3.44103 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFRCLCWSSRRIRFSSLPRHDNQNIETCDQIVCLRVFHFDGAAMTTSLPEELHLEILKRLLPSPQVLARASAVCKEWHRVVNDPVFLHELYRARGGAPVTLGFFHNFDDLHRRFVHVEAAGPAKFAFDSIDHKKRKWQFFDCRHGRVLLHDNWDTLLVWQPMTGDHHLVSYEGPFSLGGRHTGVALTCECAADDGGDDRGTPCNSSHFRLAVVSNHMRTDCLRASVFSSITGKWTASPVLPLANQIRPEPCVIVGRTLYQPLLDYLVLEFDTDNRTLTTFERPNFGHVRLFKAHGGVLGLAGVLGFTLRVWVRDADAWVMRKTVDLSRILPSLSTPSQNTDYWFTLMPPVKIIGVADGGHDLFLLTAIGIFLFCVNSMELKMVHEASPNMKTVYPYGAFYVPPTTRTPT >cds.KYUSt_chr2.15935 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100309154:100309519:-1 gene:KYUSg_chr2.15935 transcript:KYUSt_chr2.15935 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVHQTAPTMPAAAACSDDDFMPQSFGCFGRSLSRASSSRRLEYRSLSGEGAEEMRRIAQERSARAKLRWKAVAQEIIARRRSSGGNGGPGARRRKAGQPAFSYDSKSYALNFDQGSAE >cds.KYUSt_chr5.16034 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103297617:103299644:-1 gene:KYUSg_chr5.16034 transcript:KYUSt_chr5.16034 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRDFAHSEPLHPPTRPSTLAPATSLPAAAAASTHRALLRGLSPSKPLPPLAVKLLHGRLLRLDLLADLSHLLLRALSSSGLHLHALSLHSLFPDPSHLTLPFALNSASRLPNPLPVGQQLHARSLKLPSHSNPHVLTSLLNLYAKCGYLDRAREVFDEMRCPSTVSWTALIAAYMNAGRDKEAVAAAREALATGMRPDSITAVRVLTACARAADLDAGEVAWRAAVREGIATRLFVATAAVNLYVKCGQIARAREVFDKMPEKDAVAWGAMVGGYASSGHPREALDLFFMMQTQGVRPDRYTVADALSACTRLGKLDLGRRAAGAVDWDEFLEDDPVLGAALLDLYVKCGSTADAWSVFQQMRKRDIAVWNKMILELGTTGHGKTAFALVGQMEKSGMKLNDTTFIGILCSCAHTGLVKDGWRYFHYMVELYHITPRIGHYGCMVDLLSCVGLLQEAHRLINDMPMKANAAVWGALLGGCKIHRDPDLAEHVLKQLILLEPRNYGNYLVLSNFYSNIDRWKDAKKVRLDVKDKGVKKVCAYSWVVFSGKVHEFRVGDKSHHLTGQIYKKLDELGMELKNMGYSPTTDVVLFDLEDQEKEHTLVPYSEKLAIAFGLLSTRPGEPIRITNNLRVCTDSHTAIKLISRIAHREILVQDNSRLHCFRDGCCSCNDW >cds.KYUSt_chr7.6753 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40729815:40733502:-1 gene:KYUSg_chr7.6753 transcript:KYUSt_chr7.6753 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRHPRSVLLLLLLLAPLVVAVASAPAFLEEDVVLGVVSAARVGGKGANASTRRPASSGRDVFSVFSGDGRRDDGGGGWKEEIAAMAGRPEMAAWLRRVRRRIHERPELAYEEVETSQLVREELDAMGVGFRYPLARTGVVATIGTGRPPVVALRADMDALPIQELVEWEHKSKNPGKMHACGHDAHVAMLLGAARILKAREHHLKGTVKLLFQPAEESGAGAKRMIDDGALEGVEAIFAVHVSHEHPTSVIGSRTGALLAGCGFFKALIRGGRDPVLTAASAVISLQSLVSREADPLDSQVVSVAVVNSDGGDHGTFALGGTFRAFSNASFYRLRRRIEEVITLQARVHGADAAVDFFEDQSFYPPTVNDPRMYGHVKSVATELLGEGRYRDVPPMMGAEDFAFYSQAVPAGFYYVGVRNETLGSVHTGHSPYFMIDEDVLPTGAAVHAAIAERYLAAAGTLSSSSSGPVEREL >cds.KYUSt_chr7.27559 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172105444:172108808:1 gene:KYUSg_chr7.27559 transcript:KYUSt_chr7.27559 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELKEALDADIQDRVMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKVERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >cds.KYUSt_chr7.14562 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89969652:89972422:-1 gene:KYUSg_chr7.14562 transcript:KYUSt_chr7.14562 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLLGAAKPDGGEARAHSSAAWIRRVIDTEEAWAQLQFAVPMVLTNMLYYTIPLVSVMFSGHLGDVQLAGATLGNTWATVSGYAFVVPDRGGKGDEKGSMVTAGFGGVWRGFNLLVLWRGPGWAPVEVVLLLPRWKWVDGSRVANLLNKHRLRRPLPIVGVVSLLSADRGGLGEWEAASNLQVLGDGCGKMKHMVLLVLLKIDSAVELLNIGQDLAMLFMSKGGPPHLAASVACAPSSFNLLEDL >cds.KYUSt_chr3.40984 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258701181:258703427:1 gene:KYUSg_chr3.40984 transcript:KYUSt_chr3.40984 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLNHVGLFLLSFTCLQLSAAAPARAGISREAEALVNWKASLVSADESLGSWSLANSTSLCSWTHISCDPAEHITGLDLSGTSLNGTLDRLDFSAFPQLQILVLSSNSLYGTIPAGIGNLTSLVDLHISDNPYLRGAIPHSIGQLKHLSRLVLSLVGLDGRLPEEIGNLTTLEELYLNSVPLTGPIPLTIGMLVKLRVLSMQQNNLTGNIPLEIGNMTELCKLYFSDNYLEGQLPGTISNLINLQDLDLSINQLGGHIVTKLGNSSSLDMVDISHNKFSGLFPPSICMGNVLRTVYAEYNRFTGIHHQTFQNCTSLQTVVFTANNIVADIRDIFGEHQRQLLMIAFSQNQLYGTLLTDEGEIFFCNYTGLELIDLSNNVLHGGLSKCFWDIPWLGFMDLSNNSFDGVVPLSRSFPYALEYLRLANNHFEGPFPLALKKCKNLTTLDLGGNSFSGTIPSWISKDLPGLRFFRLSSNMFDGIIPRQILQFSRLQLLDLSKNKLTGAIPDDFLNFTGMAYEQNDDSGYHKFPGKIQIVWKNVDYVYTTKIAGMVGIDLSGNVLSQEIPGGLTTLLVLRYLNLSGNHLSGCIPEDIGNLVLLESLDLSRNQLSGEIPPSFTGLKSMSALNLSSNKLYGMIPMGSQLQTLVDPSIYINNLGLCGFPLEDCVNSSPSKQNERSQSEDREALWLYCFVAAGFIFGFWLYWGMLSFYSETGRCTFYQYVDNMQENFTKKVHSCISWFQAKALNEV >cds.KYUSt_chr2.34304 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211881349:211885715:1 gene:KYUSg_chr2.34304 transcript:KYUSt_chr2.34304 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKNVEAKALQRLSGADKKKLRRTAKLRFPQASDEDIDAILPPKVEITVAKYPSRTLVYGIEGELPMIFDIDGRGHELFPTVYALWKVPDLLPAFTLKGGEVSHYILGGADLMFPGIRIPPEGLPSFQAGQPWSVKVPGNPAPIAVGTTTMSDTEALKAGLRGKALRIAHYYKDLLWASADGRYVPNEGFYDDMVVEDPNFASASQHDSPEDPADGKQDKADADASDNQAGDPSVDSETIEDVTAGVNELNLPEEKTTEEPTEEKEHQHLSTEEIDSLLDKCLLQAIHTNVKDKDLPMPGSTLWSNHILPCRPPGVTLDIKKSSHKKLSKWLQSKSSSGLITAKEDKHKKEVVLTGINRKHPDFMAFKPEKRVQEPVEQQDNAVAEGSGSNQLEVEETYKPSSHVNPIFLAVGADTGKYYSASEASDIVFRYVEKENLVKPADKAKVILDVTLCDALYKGAVKKGSAYPSEIHKKDLGSTFINRMQIHHRVARGNEVVVRKGAIHTVQIMTERRQGNKKMTRVSGLECFLLDADSLASELQKKFACSTTTAELPGKKGQYEVLVQGGVIENLAKHLVDHYGVPKRYIEVYDKTKK >cds.KYUSt_chr4.26022 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163640999:163643138:1 gene:KYUSg_chr4.26022 transcript:KYUSt_chr4.26022 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKSPAAAAAPAMPSRITRSMAAGKQAADAPPKKEEAEAVPVPPAAPAGQKGRKKAKKEEVVEEVEAVLSPPPAAKGKGKGRKNAKKEVAPAPAPAPAPAKEEEEEAEEEVDDSPFVEDGKRVVVEACTQCQQFKKRAVKVKEDLESAVPGVSVTINPEKPRRGCLEIREEGGEVFLSLRDMKRPFNPMRELDMDKVIHDIVKKIS >cds.KYUSt_chr7.22363 pep primary_assembly:MPB_Lper_Kyuss_1697:7:138527570:138535541:1 gene:KYUSg_chr7.22363 transcript:KYUSt_chr7.22363 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTIGAKYKTTVRDPGTIGILRMSEDKLTFTPNDPRSLMKLNVDFRTIKGHKFNKVDGSKPTPPLLNLSKDSDKGGGYMFEFDNVGSRDSCRDFVARVLGKHQGIVPPRPNAPPEKSVASTGPDQLSSAEMERRMKLLREDSELQKLHKKFVLGNILQESEFWATRKNLLDDEASKSSKQKPGFKSAMLADVRPSADGQTNKVTFSLTTEIIHQIFAEKPAVHRAFLDYVPKKLSEKDFWTKYCRAEYLLRTKNTLAAKAEAAEDEELAMFLKNDDILAKEAKLKIKRVDPTLDMEADAGDDYTHLADHGILRDGSRETVDADSELAGRTLSQDLNRHAAVVLEGRSTDVESTDTKTVAEALARSKKEPPSSSVSEDTSHERSLKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANALRPLGGSNEGRKARSCSLSTDDAFRHLMDQMSLIKDNKLNIPVLQSDVALKVLNELNEGISRSRRLNLKNPQDSLLGHLPHRTRDELMDHWTAIQELLRHFWSSYPITTTVLYNKVQRVKDAMTQIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKAGSKPNGF >cds.KYUSt_chr2.42602 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265386240:265389990:1 gene:KYUSg_chr2.42602 transcript:KYUSt_chr2.42602 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPAVSTVLAAVAVALLLAAAGAPTASAARAFFVFGDSLVDNGNNNYLVTTARADAPPYGIDYPTHMPTGRFSNGLNIPDIISEYLESEPALPYLSPYLRGDQLLVGANFASAGVGILNDTGVQFVNIIRIGQQLQNFEDYQRRLAGFIGEDAARQRVNQALVLITLGGNDFVNNYYLVPFSARSQQYEIHDYVPFIISEYKKVLARLYELGARRVIVTGTGMIGCVPAELALHSLDGSCAPDLTRAADLFNPQLEQMLTELNGEVGHDNVFIAANTNRASFDFLFNPQQYGFVTAKVACCGQGPYNGIGLCTPASNVCANRDVYAYWDAFHPTERANRIIVGNFMHGSTDHISPMNLSTILAMDSRN >cds.KYUSt_chr1.7060 pep primary_assembly:MPB_Lper_Kyuss_1697:1:43392864:43398132:-1 gene:KYUSg_chr1.7060 transcript:KYUSt_chr1.7060 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSPSADVEATSDTRMVDDSITVSMVEVETAGGARVMEVEVSTHGVCGIVAGVLYSLNGHYEEAVRRNDVRAIVLTAEQLKVAYTWVDAMTDILEDAGKPSVTAIDGLALGAGLEISMLSKPIKAEEAHELGLVDDVVSPNDLLNVARRWALDISESRRPWVRALYKSDKLESPEEAREILNFARVQAREQAANLQHPLVCIDVIEEGIISGPRAGLRKVPGITDLGLKPQKVSKVAVVGGGLMGSGIATALILSHYHVILKEVNEKYLSEGIDRIKGNLQSRVSKGKMTEEKYEKTLSLLTGVLDYEKFKNMDLVIEAISENVKLKQQIFAELERHCPSHCFLATNTSTIDLNLIGEKTNSQDRIVGAHFFTPAHIVPLLEIVRTPLTSPQAVLTMLNVGKNIKKTPIVVGNCTGFAVKRMFYPYTQAALFLVDRGMDVYKIDQACTKFGMPIGPFRLADLVGFGVAQTTGMQYLDNFPERVYKSMLIPLMIGDKRTGEASEKGFYKYDSNRKANPDPEITNYVVESRRMAGTTPDPELLKLDDGAIPEILFFPVINEACRVLGENIAVKASDLDIASIFGMGFPSYRGGIMYWADSIGARRIHTKLSEWEVKYGQFFKPCKYLAKRAAGGVSLSAPAMKTMNRAIGKL >cds.KYUSt_chr2.48373 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302485769:302491064:1 gene:KYUSg_chr2.48373 transcript:KYUSt_chr2.48373 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATVSSASGILAMLQEPAEELKLHALASLNSVVHLFYPEISTSIPTIESLYEDEEFDQRQLAALVVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYAHALLAKALDEYASFKTRASKATEEEENVDPRLETIVERMLEKCILDGKYQQAMGMAVECRRLDKLEEAIVQCDNIHGALSYCINLSHQYVSHREYRCEVLRCLVKIYQTLPHPDYLSICQCLMFLGEPETVANILDTLLSGSKDDALLAYQIAFDLVENENQAFLLNVKNRLDARTPAQSNPDSGSALPGDQTANLGTTSTEPAGDVQMGDDTTTANGNAHPVDPNEAVHADRLAKIKGILSGEKSIQLTLQFLYTHNRSDLLILKTIKQAVEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLASLGTADEEVYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVIGLAVFSQFWYWYPLLYFISLAFSPTAIIGLNSDLELPKFEFVSHAKPSLFEYPKPTTTQTTTSAVKLPTAILSTYAKAKSRAKKDAESKANLEKTTEADSKANQEKATDAESKTSGEKTPEDASGSTSAKAAKSQEKDGDAMQVDSTAEKKAPEPEPTFQILANPARVLPAQEKFIKFIEDSRYVPVRPAPSGFILLRDTQPTEPEELVLTDAPATVVSSTGNAAAAAGQPAAMAVDDEPQPPQPFEYNTL >cds.KYUSt_chr5.752 pep primary_assembly:MPB_Lper_Kyuss_1697:5:5139858:5143410:-1 gene:KYUSg_chr5.752 transcript:KYUSt_chr5.752 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEQPAGGWPAHGKDRLRSSRLEPWPAASEAGWLCVLVGLAMAGCAVARPASTSHIRLGLYPPDRLEAGESCQRRDWVGGPGSEVHADLISRKVRGTVEQQCHAADRPSWRGALRAEDGGEEEKESGTGNNRWDSGQETSAPELPVDILMHVFATLEIPDLVRAGSVCTSWFSAYATLRKLGKHKQSQTPCLLYTSESAAIRSRFLIGSSLGLLVTVDDRSEMHLVNPITGEQIALPSVTTMKHVKPICNDSGAVHKYEYTRDSAKQAFSTSIYALCALRESFYFKSLVFYDDTSSGRFIVVLIHEPFGQLSFARVGDDKWTWLPPHDDYQDCTYKDGLLYAVTKRAEIHAFDLSGPAVTMEIIRGVDVDLDLDCVYIVQAPWGGLLLVSRLIEIEDPDDEEADTEIPLPKYTVEIKLYKVDVGTMKLVETDCLPGYVLFLGHNHSLCLSAKEYPSLKGNHAYFTDDDEYITGRKSCRRDIGVVDLGSNSKKDLVPPQLWSNWPAPVWITPNITMMKLVSNK >cds.KYUSt_chr5.9099 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57733874:57734134:1 gene:KYUSg_chr5.9099 transcript:KYUSt_chr5.9099 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQGKASEVDGAVAGRGAPSELSGVDTAEDQRGGGGGGNDGGAIQKLMDDEKKKTTTTEEKDVKPLPHKQVPQPSDVYNPELERL >cds.KYUSt_chr1.17548 pep primary_assembly:MPB_Lper_Kyuss_1697:1:101964797:101967319:-1 gene:KYUSg_chr1.17548 transcript:KYUSt_chr1.17548 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGASPDRFLFPQVLRACAGAGAPRLAAAAHALAAKAGPALADDAVVGNAVVAMYAALGDVGAARAAFASLPEPDVVAWTALVGAHANAGELDHAFQLFQSMQATGVQPDVISWNTLVSGFARNGDIGAALDLFDEMRLRGVKPRVSSWNCIISGCVQNARYDEAFDVFLEMCETEMPDAVTVASILPACTGLMALGVGKQLHSYVVRCGIQLNVYIGSSLIGMYSECGESAYARSVFSAIDGERNVTVWNELIQSYMSDGRMDRACEAFNLMQQDGLKPDTVTYNIFIAAYARAGQKELASELLSAMINASLKPNVISVNALISGFYHFGLCADALEVFRYMQLLSTADPKYWTFLDNSCPIQPNSTTLTSVLSLLTDLKLDRLGKQVHCYALRSGLTSNVFVSSKLVDLYGKAGDMVSAANVFQGIRNKNVVTWNSLLAAYKYNKKPQVALKLFYEMLDCDLLPNLVTVQIALLSSGMTMALGYGRELHGYIHKNWPRGYPDTLASALIDMYGKCGKIEDARFVFERSAEKDVAVWNAMMCCYLLHRMPRDVKELFRTLEQSGIQPDPVTFIILLSACKQEGSMEEARDYFYSMEDLYGIKPTLKHYTCMVDIMGTAGLLEESLELIQKMPHELDACLWSTVLKACKLHSNLEVAAKAAKSLFELEPNNTSNYMLLSNIYANNGLWDSTESVRDAMTEQGLHVERQCSWLYLGTSVDSFEAGDLSHPAFENILSTWKDVASRMEQSGYAPQDNEPYCNVEVDPLSCHHTERIAVCYGLISMCGHEPIRILKNFRMCKECHSSIKFISRDKNREILISDGCTYHHFNDGSCSCGDMW >cds.KYUSt_chr4.50969 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315979154:315979800:-1 gene:KYUSg_chr4.50969 transcript:KYUSt_chr4.50969 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHTHKQFTQQIDLKFSTYRRRDGAATSVEQREPRAWDYLEKRRKKQKMKRNSRAVMKKKKKKKQKKKKKQKQKKTREIRSDAMNE >cds.KYUSt_chr4.3284 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18649555:18650211:-1 gene:KYUSg_chr4.3284 transcript:KYUSt_chr4.3284 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRDCCDCDYDDCCNCSWTAIIIWVVVGIVLTVVLIVLILAFAVIKPPKATADDALLTRFSLAPSPNASTPQLQLLSYNATVTISLRNPNMYYGISYSDLATVFSFNGTKFDEGGTVRAFDQGAKKTTAVRLTVGGVAKALPKLSAAGPAEFTKEKEAGHFQIEARLDGVMQYKGRSKKCPVAVICPLKLQLVDPDVAATAFQQTKCTILRAKTSGC >cds.KYUSt_chr1.7523 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46102935:46118205:1 gene:KYUSg_chr1.7523 transcript:KYUSt_chr1.7523 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGMAGVEDSEHAISPKAHMAASFESTTGTSRGQSKEEGQNEATFGNFAHYVYKDEGILHQTSCPDTPPQNGVAERKNRHILEVARSLMFTMNVPKFLWSEAIMIATYLINRMPSKILDRRVTSVGVPLTGGHLMDELNDQMDQPTAVLKAIQFDLMTSADMKDGAKLYPSVIFKALKSPRVTLSRKKIKRDPTVIDTISITAEVAYRVTNMLTNEGPHQALPKDYWGFIPHDHDQLPQPNVTKILLSPHQVCHMLKQLDPELINNNFPSSHHLLFLSSLRVTPNCHRVAEMSYRFSDGSRLAYLHSKKTDNESSIAMYGMKWMKDAVLSKRASCFSENADVPGTLTRNLMYHLRDTYVAYDGTVRSSYGQQITQFTYDTVEDMYTDGSLEGEIGAPVGSWAAGSISEAAYGALDHPVNILEDSPLISLQDVLKCQKGANSLDHFGFLFLSKNLKRYRYGPEYGSLEVKDHLEPVKFSDFVDTVMILYNVRGIQTRKESTWITHFHISQEIMKRKKMGLRSLIDELTEQYMRDRDQSTIVFPDVYISKGKGSAGNDECVNEQTCCITVITEDDSNSISQLDTIKKRVIPKLLSMLVKGFLEFKDVEIQCQQDNELVVKVGMSEHCKSGEFWANLQNACVAIFELIDWERSRPGSVYDISFSYGVDSAWNFFVESLRSTTDDIGRNIRRKHLLVVADSLSRPAQSFVSAAKQSSVDNLCGTVDAMAWGKEPFAGTSGPFEIMYSGKVHEPIASVNVYEFLQNPEVRDANSNGGTISEQHDFVSARVGLWDNIIDMRTCLQNMLQKYKLNEYFTELDKSDVIEALRFHPRGHEKIGAGIRDIKVFHYLVDLSARLGLLAALPGSRGACRTSLYADDTVIFFKPTLSDCDTIKQLIQMFGEATGLHTNILKSAATPIRCSEMDRQLIADQLLCPVLDFPITYLGVPLSIYKLRAQDLQPIIDTLHRKLSGWHANLLSKGDRLVLVKSVLSAVPIHTMLATNIPKPVSEAIVKCQRKFFWSAGRNDGGGGLCHRSERCLSSC >cds.KYUSt_contig_686-1.1111 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6875345:6881662:-1 gene:KYUSg_contig_686-1.1111 transcript:KYUSt_contig_686-1.1111 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHLLLLLPLLALAFSVAVAAAEGQGDSAAFIDGASRRYLRDQQGGQATSMSLDEVSAAVSVLLGFAPPAMLPSQSSAKLNKVLLPNPFDRPRAVFLMQIDESHASVDSFISEAGSVFKTKIEGAENAATGLTDKDELIVIRSDESLDVQSGSDSLDNELTDLAIWLEGSYQKTDGKLNIRLESGNSLTLLVSKNADLEFASSLISLLKTIKRGIQVHEDFSGGIVSPAELIVCHFTGIKALEGEYGSAEIVKQGAEVVRTALTKAFDLLQAAYNGKIVGLVISTNEASTSLASIIDAPSSLHISRRLAEASMTNATASASIAAIILVRLSLAWTTGIILLISTLIGACISEPVRIVHYALVVVRRLFWGAEKEAVNTADERTGEKESAAADYDDYNQQRADDDLHGSDDDLYGSYLHGSDDDDLSDYEGYDDEEDDLPPPCIRNAYDGDSSDVEDAYCFSRYLVELLSVRPHFPFTGTFACFNDRSVYYFSSPKGQQYGHVDSQGNMIIWAKGLAIEDDFQVRVEIPEDDNKIEVANFNFTVDPYACNRVITRTIPTEHLRKIDLTFVPLNQAIQANVFVCLHLIAAAAGGGGSSTGDTVYYVYGEITAHHQHYGGKNVMLFYREEGNKIKVIGGKLPLLRPWAAVPVYLDPLLVIKLSLHVATDPQHDPVSFQGDLAFDRGEYEKSICNVHHGEVKVRIFYG >cds.KYUSt_chr1.36303 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221374753:221376760:1 gene:KYUSg_chr1.36303 transcript:KYUSt_chr1.36303 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGTRGPEPRERGGAATAEKALLNRSARLERTKREREETAEETAMAEHKEESVMDKISEKFHGSSSSSDSDGEGKSSAAAAVKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKKISGGVLAGATSIWLLFEVLEYHLLTLFCHCLILTLGTLFLWSNASAFINKAPPNIPEVKITEDLAVNVARSLRYEINRGFASLRAIGQGRDLKKFLIVIAGLWILSALGSCCNFLTLFYIVFMVLYTVPVLYEKYEDKIDAFGEKAMVELKKYYAIFDEKCLSKIPKGPSKDKKH >cds.KYUSt_chr3.15357 pep primary_assembly:MPB_Lper_Kyuss_1697:3:93877149:93880430:-1 gene:KYUSg_chr3.15357 transcript:KYUSt_chr3.15357 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTDGAKSHARRDLLLKIQSDAQTCWEEGKVFQAEPGNKLPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFGFHCTGMPIKASADKLAREIQLYGNPPVFPAAEVDSSAEVTEDSQADQAAVAPDKFKSKKSKAAAKTGLQKFQWEIMRGFGLSDEEIAKFQDPSHWLTYFPPLAKEDLKAFGLGCDWRRSFITTDMNPYYDAFVRWQMRKLKKMGKIVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMKVIPPFPPKLKALEGKNVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINETDVFIVTARSALNLAYQHLSRVPEKPTCLVELAGNDLIGLPLRSPLSFNEIIYALPMLTILTDKGTGIVTSVPSDSPDDYMALQDLITKPALRTKFGVKDEWVLPFNVIPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGVMIVGEYDGRKVQEAKPLIKNKLLGEGSAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVKCLENMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHHLQNGNMYGQEISSIKPEEMTDEVWEYVFCDGPAPKSDISPALLSRMKQEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTALVPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLREAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRGGSPSTYADNVFANEINIAVKETEKSYNNFMFRDALKSGFYDLQLARDEYRLSCGSAGMNRELLGRFMEVQTRLITPICPHYAEHVWQKILKKEGFAIKAGWPVAGTPDPTLRSANKYLQDSIVLMRKLLQKQESGSKKPKKGAAPPPAESKLTVGLIYVNEHYDGWKEQCLRVLQSNFDSQARSFAPDEEINEALKNCFIDRETNFKQVQKLCMPFIRFKKDEARNVGPQALNLKLPFGEINVLEENLELIRRQLGLEHVEVLSAFDGAARAKAGRHAPVLDKNPPSPGEPVAIFMSKEEFGAQS >cds.KYUSt_chr5.39576 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250431281:250434860:1 gene:KYUSg_chr5.39576 transcript:KYUSt_chr5.39576 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAPNSSSAAAAAASAAAAASGNGVQGGAVGDRPEDASKQNLAQVTGSIQKTLGLLHQLNLNVSSFSSASQLPLLQRLNALVAELDTMQKLADGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKSLRKHLLEELEEAFPEDIEAYRQIRATSAAESKRLA >cds.KYUSt_chr6.30873 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195721913:195723265:-1 gene:KYUSg_chr6.30873 transcript:KYUSt_chr6.30873 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRRRHHHHVRSPATEPLDDDDLLCEILLRLPPQPSSLPRASAVCKRWRRLVSDHAFFHRFRLRHRRNSPILGFFDRFNALSFLPTLEAPNRVPPGRFSLEHGDDGSMSLGCRHGLFLAFLLKRHQVLVWDPITGDKHHIAVPAAFAREKTHGLVNGAVRRPAGEGQHFQVVLAVADNKQQALACVYSSATGLWGNIISTPLPYDDDDGDGIPPMVYTDDAVMAGDSLYWQLAGTSAILEFDLVKQSLAVIEVPMDTSGEDKSLKIMRADGGGLGLLLVSDSDSTAQLWKRETNCDGVASWGLAKTIELDKLLSLKPEEQGMLVILGFAEENNVVFLWTAIGVHMINLDSLKFKKLFKTNHFTYYHPFESVYTAVFGSDDCMVALNVMI >cds.KYUSt_chr7.24581 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153283522:153288127:1 gene:KYUSg_chr7.24581 transcript:KYUSt_chr7.24581 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEEDEAATATAAAWEKVEAKEEERIMVSVRVRPLNGRESGDTSDWECISPTTVMFRSTVPDRAMFPTAYTYDRVFGPNCSTRQVYEEGAKEVALSVVSGINASIFAYGQTSSGKTYTMTGITEYSVMDIYDYVEKHPEREFILKFSAIEIYNEAVRDLLSHDTAPLRLLDDPEKGTTVEKLTEETLRDKDHLKDLLAMCEAQREIGETALNEASSRSHQILRLTIESSVRQYLGRGKSSTLVSCVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVVRQLSKGRSGHIPYRDSKLTRILHSSLGGNARTAIVCTMSPAHTHIEQSRNTLLFATCAKEVVTNAKVNVVMSDKALLKHLQRELARLENELKVPEPASCTSHAEALREKDAQIKKLEKQLRELMEEKDTVQSQLNCLLKSDVDDHVDDRTAKRWDVHSRSSESLARNTSEEALSVSDTYGVSYPDQDHAVFDGSYVFSTDNDDSSFPNQTMDLTQQTRGRKPISPWRPSSNYSSDGTESYNMKEVAFRTVSEVSEEHCREVQCIDIHEHRRSPSQELDILLPEGTKLHTPEVEEISRDDVPQPDEVREVGSVTKKMEDHSNMYASKEERQDEIIPNAVEGLDKFQQYESDGFEDSLVKPYTFDSNISFELGKPYPQGYLTVKRCMMNSKESAIARSQSCRASFKVIPNSWFDDSETAGQTPPDEIFRCPPRRSDKVRRSLYQENEDCQNNDTLEDQHAVSGEVACDELVNDTSTSDEVVKDMSMNDEVDKELRTSDEVDEELSTSDEVDKESSASDAEQEVCINDIGCVTELEEKTEKHHEDQPEDCKAQQQIVRDDYTAVKTVKDVGIDAVPSPIESPSCWPVDFARRQQEIIELWHECNAPLVHRTYFFLLFKGDAADSVYMEVEHRRLSFILTSSCTIPAAHGELNSAIATSLKNLKRERDMLYKQMLKKLANGDKESIYSRWGIDLSSKQRRLQLSRLVWTRADDMEHVRESASLVARLIDLVEPGQALKEMFGLNFTLAPRTERRSFSFLGD >cds.KYUSt_chr3.45132 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284310537:284311532:-1 gene:KYUSg_chr3.45132 transcript:KYUSt_chr3.45132 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRSSRAAGARVLAFLTVSVLVSPTLSQTCPQLDGIVTTAVQTALRREIALAAGLLRIFFHDCLPNGCDASVYLKGSGSEQSMGPNRTLQPRALQLIEDIRAKVHAACGPTVSCADISTLATRAAVVFSGGPTYAVPRGVLDSLTPAPQNAVNGLPAPSTTSVSALARAFSDKGLRDLADLVALSGAHTVGRAACGFFSDRAANGDAFGNRLAANCTRNPNLRQNLDVVTPDLFDNGYYRALVASQGVFTSDMVLIRDASTAAIVRQFANSKDAFFTQFAKSMAKLATAPRPGGNVGEIRRSCFSRNARTAIETAVDVAGDEGFSASA >cds.KYUSt_chr1.1657 pep primary_assembly:MPB_Lper_Kyuss_1697:1:9336502:9340346:-1 gene:KYUSg_chr1.1657 transcript:KYUSt_chr1.1657 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLMAGRGGQQKPKTKKRAAEDTLGDNYTEKRVKTNCWERSANKEIEAIRAEGRAQSIWFQRIMAASDRVHQDVEDYLNTMRTKEEDAIRSSCWSRISRRWTVDEGGLQDHLAVGLKEPNIWPDSEETFTEGSEVGEQKTIWIEMAFFLVCACSFVFILLSSYVFQLLPDIRCRLPPGPRSLPVIGNLLDVANSLPHRSLAGLAQRYGPLMTLRLGTVVMIVASSATTAREVLQTHNATLAGRSPPDAWHAMGHAANSVFVLPPRHKWRALRRIGTERLLSPRRLDGDALRPLLRDAVLGMLRQVSDLAASGETVEVGRAAFATMADLQWRAMFSVGLDEATSREMHVFAREAVAFSLKPNVSDFFPALAAADLQSVRRVFTRHLARVYQLIDQQIDQRSHDREAAGGGGPRKDDLLDMMLDMEGMKTATSTTAW >cds.KYUSt_contig_1253.617 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3783467:3783892:1 gene:KYUSg_contig_1253.617 transcript:KYUSt_contig_1253.617 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLDPTSSWGASSSCPEPAAVLPAARGGAQSGASGGCSGESTSKPPARGAAAAAGGTWTAAMEALERRRTIIRPRMAGSDLREARAAAASAVALGGAAKQAAVASMGSRTEAAGRGASRPSPHMPRAGLGRGCRGDAGSG >cds.KYUSt_chr6.30357 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192265953:192266342:1 gene:KYUSg_chr6.30357 transcript:KYUSt_chr6.30357 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVDGGAGAYEDLLPVMAGRLGTAGLLEELRAGFRVLADPARGAITAESLRRSAGSVLGVASMTADEAEAMVREGDHDGDGALSEREFCVLMVRLSPGIMDDAEGWLEDAIADELLPPGSPPPAFAA >cds.KYUSt_chr5.36315 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229535982:229539573:-1 gene:KYUSg_chr5.36315 transcript:KYUSt_chr5.36315 gene_biotype:protein_coding transcript_biotype:protein_coding METGSSGGGRRPGDDAHGLNFGKKIYFEQDGAGGSGTSAGGGRRGRGAAPSAGPAGGGSAAAGGNASQQPRCQVEGCGVDLSGDKTYYCRHKVCSMHSKAPLVVVAGIEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGPLAARYGRLSGSFQEDPGRFRSFLLDFSYPRAPSSVGDPWPAIQPVDHRMPGTAHWQGSHEHHQPHRSAVAGYGDHHAYNGQGSSSGGGGAPSMIPGFELPSAECIAGAAADSICALSLLSTQPWDHSAHSATHNRSPAMSTTSAFQGSPVAPSVMASNYMTAASSSGGSWGSPRGHGGARNMQQHHHHLPNDTVMTEVHPHHPGSVHHHGQFGELELALQQGRAAPNPPHVDHGSGGAFSHSSNAMNWSL >cds.KYUSt_chr1.3931 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23965328:23968650:1 gene:KYUSg_chr1.3931 transcript:KYUSt_chr1.3931 gene_biotype:protein_coding transcript_biotype:protein_coding MLHWRREERRRCGHGTPMTSAWRGVAVGSKHVLALQIDGSSNRNIRAVPRLHHEDSSQLLFEVLEHLHSWCCQVEVLSHAAVGGFLTHCGWNSVLESVWAGVPMLCFPMVSEQPTNCRLVAEQPWRCLTLQVNIDPNNHFRNLNNHFRNRSNCFHCFRNPNNRFRCFRNPNNHFRNPNNRFRCFHNPNNRFRNPNNHSRNPNNHFHYFRNPNNRFRNPSNHFRNPNNRFRYFHNPNNRFHNRNNHFHNPNNHFRNLNNPFLNQNNHFRNHNNHSRCFPNPNNHFRCFPNHKNHFHNRNNHFRNPNNPFLNRNNHFRRNNNNFPSNNNHPCNNNRLSSQFYNKS >cds.KYUSt_chr5.27879 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176431571:176432206:1 gene:KYUSg_chr5.27879 transcript:KYUSt_chr5.27879 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAECWCWPLPAWLGSGATWFVLLNVVVGAIFVLSSRTQPQSPSPRRGGGGGITRRASSVLLQRLRSFSTFSYPSSGFGTAPDSPATSRRTEEAGTTTPRRSPLTPRAAAPKATAEPTTPAAKQEEDEEDANSMSMDDVYALVLAGRQQPPPTEEEAARSEVDAKAEEFIRGFKEDLRQQRLDSIFNYTQMLKNRAAGHRQPVPAAGTNH >cds.KYUSt_chr7.6946 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41940748:41941894:-1 gene:KYUSg_chr7.6946 transcript:KYUSt_chr7.6946 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGGQKVLLVHSSSNKPSGGGAGSPGMGRRRVWLVLFLALFACVSLASLLSSARDASSAVGGRRRAAQSASVGKVAVSSSAAAAKGKHLDAAVGPGLPGYVFDALVQYAAVGGNTSGSMPAADVRAIAAALKRRGAPCNLLVFGLGGETPLWRALNHGGRTVFLDENQWYVSHLEGRHPGLEAYDVAYTTTVREFPDLLEAARAARAAECRPVQNLLFSDCRLAINDLPNHLYDVAWDVILVDGPRGYTASSPGRMAAIFTAGVLARARKEEGAATDVLVHDYEREVERACSREFLCEENRVPATSTRSLAHFVIRGGSAVRRESFCGGAGATVSAA >cds.KYUSt_chr4.699 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3628800:3631126:1 gene:KYUSg_chr4.699 transcript:KYUSt_chr4.699 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRACVYGNIGAPLQGKSFRKAVSAVMDNLELFNSIIEQLTPNLVANNLLIICVMAYQQVVRMTQCEDTRGFPALLRELLNYLGFVWYPEYRVSEIPRGEHQHRYRAVVYVPADDYRKFPEHSCEATASSVEMAVQRAAYGMVIMLRSTYTCFDRSPYRYVPAGVRISQSRPFFSSWTPSPSSSGTHGSTWQLIPLLSRHTPPTRRVCSSLPVVLLQMLEGTFLTVGSFSQSTRITEGHFWTESRVLRLTLCTLRGSVFPWTSTVVVVAVPTGTVMTTSPWVLSGCVRVAIFVSMCGL >cds.KYUSt_chr5.20349 pep primary_assembly:MPB_Lper_Kyuss_1697:5:132237007:132239018:-1 gene:KYUSg_chr5.20349 transcript:KYUSt_chr5.20349 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSRACDEKDQTPQGRITLFLEKWVIIFTSLPAWRSSTLRLKHGASSSYQGAVGADAATVFTRMPVVEAAAVAGVVEAAVAAAGVAAFVAVAAVAAAEFDAAAAVVVVAAAAVAFAASAAVAAVAFAAAEVVGLAAAFAGAAVVVADAAVVVAGAAAVVAAAAVAASVAAE >cds.KYUSt_chr4.37938 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233958046:233958552:1 gene:KYUSg_chr4.37938 transcript:KYUSt_chr4.37938 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSMRALIEPVSVAELMMDHPRHFVVDARVLQHRKGGAGGGARKVAPLPADHVLGTGGLYVLLPATRGKVSADEARSKSMPGRLMRKMSSRRAATPMDQPAKHEAATAAAVAEMERREEPVPTETDGFEEHRPEFLSRELSCRGWKPSLNTIEERVMPKKVSHWLF >cds.KYUSt_chr1.35608 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217068022:217068548:1 gene:KYUSg_chr1.35608 transcript:KYUSt_chr1.35608 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWSLSRVLDCFAACAGTGCGCLCVRGMDEEEEPSMERKALVSSSSQVVRLRDVGKPIRTLGFLLEPKVHVGSSENTVELRVSMHCNGCAKKVQKHISKMEVLRIGVGCVQTSEFSLRFAW >cds.KYUSt_chr2.1665 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9860396:9862137:1 gene:KYUSg_chr2.1665 transcript:KYUSt_chr2.1665 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSESVNHGGGGGGGGANVFFLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVLTRYVLSCTDPPGDPFRVAAISDGFDAGGMASCPDHAESFSRMEAAGSETLRELLLSEARAGRPVRVLVYDPHLAWALPVARAAGVATAAFFSQPCAVNVIYGELWAGRMALPATDGRELVARGALSVELGPEDMPPFVAVPESHPVFTRTSIRQFEGLDEADDVLVNSFHDLEPKEAEYMELTWRAKMIGPTLPSFYLDDDRLPSNKSYGFNLFNCDAPCMDWLDKQEISSVVLVSYGTVSNYDATQLDELGNGLCDSGKPFIWVVRSNEAHKLSKGLKLKCEKTGLIVSWCPQLEVLAHRAIGCFVTHCGWNSTLEAVASGVPLVGIPHWADQPTISKYVESVWGMGVQARKSDIGFLRSGEIERCIREVMDGERNDKYKRNAAKLMQKAKKAMQEGGSSDMHIVAFAAKYLSI >cds.KYUSt_chr4.14564 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89933384:89935429:-1 gene:KYUSg_chr4.14564 transcript:KYUSt_chr4.14564 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRRLVRAHADTVTACLIGTPTPSQLWVNGTELPRRPPYPLQANQTARNFAAPAPRRRASSRRPAAYRQPKARMAACPAATTARVGAHGGPCRPWRRSQGAPNVLAASATPLPAGGRRPVRGTRARASELQQAPAATPATGTGVATHKVTVHDRERGVVHEFVVPQDQYILHTAEAQDITLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELREKGYALLCVGYPSSDVEVETQDEDEDRDDYALELAMGDE >cds.KYUSt_chr2.55123 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343712864:343716780:1 gene:KYUSg_chr2.55123 transcript:KYUSt_chr2.55123 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDDREEDEDAAESVERVFEGREVPGWREQVTARALVVSALLGFMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLTSWTTLLDKAGVPGVRPFTRQENTVVQTCVVACSGIAFSGGFGSYILAMSEKISEQSGEVRDEDSIKNPSLGWMIGFLFIISFLGLFSVVPLRKIMIVDYKLSYPSGTATAHLINSFHTPQGAKLAKRQVKTLGKFFAGSFTWGFFQWFYSAGEGCGFKAFPTLGLEAYKQKFFFDFSATYVGVGMICPYLVNISVLVGGVISWGIMWPYIEHKKGDWYPANLKPSSLRGMVGYRVFISIALILGDGLYNFLKVMTRTMTALVAQLRGPTLPISGGADDDLTPVETFDDRRRTEVFLKDQIPNTLAFGAYATIALISIITAPRIFHQLRWYHVACSYVIAPVLAFCNAYGCGLTDWSLATTYGKLAIFMIGAWAGSSNGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYKAFGDIGTPGSDYPSPNALVYRNMAILGVQGIGSLPKHCLELCIGFFVSSIVLNLARDISPPSVAQFIPLPMAMAIPFYLGPYFGIDMCIGSLVRFVWDRVDGPRAKAFAPPVASGLICGDGIWTLPQSVLALAGIKPPICMKFLARRTNVKVDAFIRSLPT >cds.KYUSt_chr2.4165 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25222371:25228716:-1 gene:KYUSg_chr2.4165 transcript:KYUSt_chr2.4165 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTSDLLRPVDPAQALDEAALLRYAAAHVRGFPAPAPALALTQFGHGQSNPTYCLRAASATETRRYVLRKKPAGAILQSAHAVEREFQVLKALGAYTDVPVPKVYCLCTDPSVIGTPFYIMEYLEGVLYLDSTLPGISPAKRKSIYFAAAKTLADVHKVDVSAVGLQKYGKRDNYCKRQVQRWERQYLQSTGDGKPARYQKMLDLIRWLKDNVPEEDSSTGFGTGLVHGDYRIDNLVFHPTEDRVIGVLDWELSTLGNQMSDVAYNCMTYIIDSTPTENISYRGFERPSIPDGIPQLEEYLSVYCSISARAWPAANWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERAKFAGKAGNVMVDCAWDYINRENVLQQPPATGMHVLKAPRQEFHSEQEGSALTNGQGRFVPNEKVMQLRKKIMKFMKDRIYPKEDELYKHAQSTSRWTIHPEEENLKVLAKQEGLWNLFIPLDSAARARELLLEDRSHISPGSSDDLLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCGAPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECAISRQGDFYVINGRKWWTSGAMDPRCKILILMGKTDFSAPRHKQQSMILVDINTPGVQIKRPLLVFGFDDAPHGHAEIIFDNVRVPATNMLLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMDMMVERALSRTAFGKRIAQHGSFQSDLAKCRIELEQTRLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGAAGVSSDTALSHLWATARTLRIADGPDEVHLGTIAKLELQRARL >cds.KYUSt_chr6.14611 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91639877:91641598:-1 gene:KYUSg_chr6.14611 transcript:KYUSt_chr6.14611 gene_biotype:protein_coding transcript_biotype:protein_coding MWHPGWCVVRCFADRVLDQHLIIQGHGQRQRAGARTATAAGGPANPAEEPPVSPEKTQEEVAAELKEVMRARKEAEVAGGGGGWWAGVTQEMSEIEWPAPGKVLGTTGVVLGIIAGSTAALLSVNAVLAELSDRVFAGRGLQDFF >cds.KYUSt_chr2.25831 pep primary_assembly:MPB_Lper_Kyuss_1697:2:157963853:157969776:1 gene:KYUSg_chr2.25831 transcript:KYUSt_chr2.25831 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDRIRAMEDGEERPLKVVFASPAEHFTDAAPIGNGSLGAMVWGGVASEKLQLNLDTLWTGVPGNYTDPKAPAALAAVRKFVDDGRFIDATSAASGLYGGPTEVYQPLGDMNLEFGTSSQGYSSYKRELDLHTATTLITFNIGEVQYTREHFCSNPHQVIVTRISANKSGHVSFTLSLNSKLNHRVHATNANEIIMEGTCPVQRHVLQQHEANDATGIGFAAVLSLQMGGAAAKSSVLNDQNLRIDNADWVLLLVTAASSFNGPLVNPSDSKLDPESEALRTLNMSRNVTFDQLKASHLKNYQGLFHRVSLRLSQAPAIEKTSLKEADEAIKTTAERVNSFRSNEDPSLVELLFQYGRYLLISCSRPGTQVSNLQGIWNQDLAPAWQSAPHLNINLQMNYWPTLPCNLSECQEPLIEFIASLAVNGAKTAKINYQASGWVSHHVSDIWAKSSAFNEDAKYAVWPMGGAWLCTHLWEHYKYLLDKDFLKNTAYPLLEGCALFLVDWLTDGPRGLLETNPSTSPEHAFTAPGTGGQQASVSYSTTMDISIIREIFMAVVTSAEVLRKTDTALVQEINKVLPRLPPITIAKDRTIMEWAQDFEDPEVHHRHLSHLFGLYPGHTITMQTNPEICEAIANSLRKRGEDGPGWSSTWKMALWARLLNSENAYRMILKLITLVPPGDDVQFEGGLYTNLWTAHPPFQIDANFGFTAAMSEMLLQSTLTELYLLPALPREKWPEGCVKGLRARGDITVNICWGKGELQEAVVWSKNRNNSVLLLHYGEQVAVVTVAAGNVYKFNASLHCVETWTLDKCAF >cds.KYUSt_chr4.22035 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138520472:138526689:-1 gene:KYUSg_chr4.22035 transcript:KYUSt_chr4.22035 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSAGGGGAAGGAPGLKTYFKTPEGRHKLQYEKTHSPSVLHYNHGAGGKTVSEMTVAYLKEKPVGQGSTPSTPSSGSGMRSAAARLLGTGNGSRTLSFAGNNGVSRAVSGSSRVGGGIGMSTGVSGSQAVVNYDGKGTYIIFNTADTLFISDLNSHDKDPVKSIHFSNSNPLCHAFDSEAKEGHDLIIGVWSGDVYSMSLRQQLQDPGKKPVASQHFINKEKDGTANSRCTCVAWVPERDGIFVVSNADGNLYVYDKSKDGNADWTFPTVKDQSQLMISHAKSNKSNPTARWHICQGAINAISFSPDGVYMATVGRDGYLRVFDFAKEQLIFGGKSYYGALLCCSWSADGKYLLSGGEDDLVQVWSMDDRKMVAWGEGHTSWVSSVAFDSYWSPPNSDEAVESVMYRFGSVGQDTQLLLWDLAMDEIAVPLRHPSSGSPTFSSGSPSAHWDSACPPPTGVLQPSPRMRDVPKLSPLVAHRVHADPLSGLEFTSESIVTICREGQIKIWARPVHSENSQRPNSSELLAGNAISKDKMITSPNKAGAVSSSFKQPSSVLYS >cds.KYUSt_chr5.5287 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33195846:33196438:1 gene:KYUSg_chr5.5287 transcript:KYUSt_chr5.5287 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYSGESAPLVSAALLRGRRAARAGSRLRRFLGSRRLLLGLKWPGHEKRTKAAAGATTVPGEETKDGISRLPAPVTIKKRECATKQSMCRENYIFVSPVAPQQPSHMSFAVAPRSCGARHRHDNQPDDHRCANSGVGMS >cds.KYUSt_chr5.7654 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48214328:48215262:1 gene:KYUSg_chr5.7654 transcript:KYUSt_chr5.7654 gene_biotype:protein_coding transcript_biotype:protein_coding MVESEAPNLSSFMFYGSPVQLSLGQSLQVKKLNMECVDGSDFLHYAITKLPYVVPNVEDLVLSSYGERLNTPMTAAKFLHLKHLVIYFDGDSSPGYDYFSLVSFLDASPALETFILGVQQDDMNFDSISVDALHMRQRPDHKHKSLKKVTILGFCSAKSMVELVCHILENATSLECIILDTIFDAEDNYILGRCSVTSDRNPGDCFPTTSQVMFEADRGLMAIERYIVGKVPSTVKLDVRGPCSRCHI >cds.KYUSt_chr7.28888 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179789882:179791766:1 gene:KYUSg_chr7.28888 transcript:KYUSt_chr7.28888 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRVSSSPIPVYAPPPPDYAGSNSAASHVWWDGAAAGSPNRSSPADWVNDVHPAGGYVNLLQTPASFSFPHQIPSRPDMPHNYNFVASSSQHTPLPVTQPSATKKIKKGASNKRTHSRSINLEDDDDGESSNKKRMTWTTKEDERLMGAWLSNSNDAISGNYKKNDQYWDAVTAEYNSNTSDSTRKRQTKQCMSRWHRVNKFVNDFHAIYIQLSQVYSSGQSESDLMDKVQIKYEKDHGPFLHKATWEACKKFPKWHAYNAQMHGSKKRDVADLGDVGVKSSPDDIPRPPMGVKKAKAERDGKCKNKQVSKDMEELNRYIEAQEEANKNRTAVLEVQKRISHDKVEASRLALEAAKENKEAKTKSKMLEQYTKLLTHDTTGMPDDMKAEHLKAISLMRETLWGKTHQS >cds.KYUSt_chr5.20295 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131947551:131955824:-1 gene:KYUSg_chr5.20295 transcript:KYUSt_chr5.20295 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRQLLHGAALSLLLFAAVAHAQQTARTDPGDAAALKAVFTKLGQALSAWDMSFDPCTGQAANSTNIDPPFNPGIKCGLCTGTGNTSVCRITRLRIYALDAVGPIPEELWNLTALTNLDLGQNYLTGPLSSLIGELTAIEYINLGINALSGPLPKELGSLTNLLSLSISSNNFSGSLPSELGNLAKLEELYIDSAGFTGPLPSSLSRLTNMKILWASDNDFTGLIPDYIGSWSNLIDLRFQGNSFQGPLPATLSNLGQLTNLRIGDIVNGSSSSLAFITNMTSLITLVLRNCRISDKLVSLDFSNFTRLGLLDLSFNNITGQIPQTLFNLSSLSFLFLGNNSLSGTLPSSIGSLLKNLDFSYNQLSGSIPSWAKNSQLNLVSNNFTADSSSNSVLPTGSMCLQRDTPCFLGSPQSSSFAVDSGSVRSITDSDGPIYEPDDASLGPASYYVTGAPTWGVSNVGRFMDASNGSYIIYSSQQFQNTLDSALFLNARMSPSSLRYFGIGLENGNYTVTLQFAEFDFPDAQSWKSRGRRVFDIYVQGERKEQNFDIKKAAGGKSYTAVRKQYTVPVTKNFLEIHLFWAGKGTCCIPNQGYYGPAISALSATPNFTPTVLNAGAKKKGSKASVIVGVIVGVAVLGLATLAGLFVCIQKRRKLSLEEEELYNIVGRSNVLTYSELRSATENFSSNNLLGQGGYGSVYKGKLIDGRFVAVKQLSEASHQGKKEFAAEIETISRVQHRNLVKLYGCCLEGNKPLLVYEYLENGSLDRALFGKGRAHLDWPTRFEICLGIGRGLAYLHEESSIRVVHRDIKASNILLDANFNPKISDFGLAKLYDDQETHVSTKVAGTFGYLAPEYAMRGRMTEKVDVFAFGVVVLETLAGRPNYYTKDEDKVYIFEWVWELYEHNRPLDMLDPRLEEFNSEEVLRAIKVALICTQGSPHQRPPMSRVVAMLMGDIEAPDGVTKPSYITEWEIKGGGDTSYMISGVSGQSSSAACGPSQILSSDIYDGR >cds.KYUSt_contig_60.108 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:599282:600136:-1 gene:KYUSg_contig_60.108 transcript:KYUSt_contig_60.108 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPWAQAPHLLQEFEFFLVVDFEATCEKDRRIYPQEIIEFPSVLVDGATGRIQSAFRRYVRPKYHPVLTPFCRDLTGISQEDVDGGVDLGEALLLHDRWLQAATAGARKGGSLAVVTWGDWDCRTMLESECVFKGIQKPAYFDRWVNLRVPFQAVLGGGGRFNLQEAVRAAGLQWEGRLHCGLDDARNTASLLVEIMRRGAKIAITGSLAPLPIHHKEEQQQQQPHTSLCGGAAGACYCGVASRGSVVAMPGPMQGRCYWGCGNWTPTMGAVCPYFLWSN >cds.KYUSt_chr3.35207 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221075468:221075887:1 gene:KYUSg_chr3.35207 transcript:KYUSt_chr3.35207 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAEKKPVEAEKSKPKAEKRVPGAKGEGGADKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_chr5.1367 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9428878:9431022:-1 gene:KYUSg_chr5.1367 transcript:KYUSt_chr5.1367 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRVTKYPSLLLLLQPRRLCTTAAAAGELDLASAAKERPLDDDLAEESRSRLVRDTCKLLELRGSWTPKLEAQLRHLLRVLSPPQVRAVLRARAQGDARAAFEFFRWADRQWRYRHAPEVFDEMLSLLSRTRLHDPARRVMRLMIRRRVRHGPRQFAHLMLSYSRAGKLRSAMRVLQLMQKDGCAPDISICNVAVNVLVVAGRVDKALEFADRMRRVEVEPDVVTYNCLIKGLCSARRVVEATEMISAMLQNGCPPDKITYYTVMGFLCKEKRVAEVRGLLDKMRNDAGLFPGQVTYNMLIHVFAKHGHADEALEFLRESEGKRFRVDEVGYSAVVHSFCLNGRMAEAKEIVGEMISKGCHPDVVTYSAVVDGFCRIGEIDQARKMMKHMYKNGCKPNIVTHTALLNGLCKAGKTSEAWELLNKSGEEWWTPSDITYSVVMHGFRREGKLKESCDVVAQMLQKGFFPTTVEINLLIHALCKEGKSAEAKDFMEQCQSKGCTINVVNFTTVIHGFSRQGDLESALSLLDDLYLSNRHPDVVTYTVVVNALGKKGRLKESTALVEKMLNRGIVPTLVTYRTVIHRYCEKGAVEELLILLDKMLLRRELKTVYNQVIEKLCALGKLDEAYSLLTKVLRTASQRDAQTCHILMESFLNRGLAIQSYNVACRMFRRNLIPDIKLCQKVDNQLTLEKQETAAGKLIVKFVERGLLKQEK >cds.KYUSt_chr5.23432 pep primary_assembly:MPB_Lper_Kyuss_1697:5:152590537:152595219:1 gene:KYUSg_chr5.23432 transcript:KYUSt_chr5.23432 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVSYQQSLQNDATYQRNYKHIRFVHETHDLQAAKKGRCSGKEVLHDLGFGTGGDQATDVLCLGSLDYNDALAKAIQFFEGQRSGRLPANQRTRWRGNSALADGRQENVNLTGGYYDAGDNVKFGFPMAFTVTLLSWSAIEYQGDVAAAGQLGNLRTAIQWGADFLLRAHTSPTTLYTQVGDGNADHECWERPEDMDTPRTLYKITADTPGSEAAGEAAAALAAAYLVFKDDSDKGFASRLLAASRSLFKFANNYRGSFQSSCPFYCSYSGFQDELLWSSAWLYRATKDAQYLDFLSDNQGSSNPVNEFSWDNKQAGAQMLATQEYLGGKTELARYKANLDSLVCALMPNSGNVQIQTTPGGLLFTRDSVNMQYTTTAALILSIYSKALKSSGYDGVRCSAATFSQDQIASFAASQVDYILGKNPMGMSYMVGFSDMFPKRIHHRGSSIPSIKVLSRTVPCKEGFSSWLPTSDPNPNIHVGAIVGGPDGNDQFSDSRGDSSHSEPATYINAAFVGACAAAMGQKHSVKLEEPVNDLTPTSSY >cds.KYUSt_chr4.8060 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48082565:48084464:1 gene:KYUSg_chr4.8060 transcript:KYUSt_chr4.8060 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGFDSEGREFSSATEMWAAEIGAATFAPASAEVGPPAAAAAPSNGEAGAGPGEGKRKEWYSKGISYWQGVEASTEGVLGGYGCVNDADVKGSDAFLRPLLAERFGAAKRHLVALDCGSGIGRVTKNLLLRHFNEVGLKPDGFFVLKENIA >cds.KYUSt_chr6.30968 pep primary_assembly:MPB_Lper_Kyuss_1697:6:196306701:196307850:-1 gene:KYUSg_chr6.30968 transcript:KYUSt_chr6.30968 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQSPCYTSPAAAPPLECDDLLSEILLRLPPQPSSLPLASLVCRRWRSIASDAGFSRRFRRHHRRNAPPLLGIFRQGTDYIAFQSTMEPPNCIPPGRSSMRAAGRPLLLPRMPPWPRANIPEDAASALLPSDDSMHFMSSPAVLAGDSLYWILIGKISRVLLEFDLDKQSLSVMHLPLDRFGFCQPWDITVMRAAGGGLGLLFMSGLTAQLWKSNTDSDGVASWVLGRTIELDKLLPLNLKREKRFLMITGFSESNNVVFLGSVVSLFTVQLDSLQFKKISEIRAGYWYHPFESIYAAGNSMHFTPRV >cds.KYUSt_chr6.697 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4464284:4469181:-1 gene:KYUSg_chr6.697 transcript:KYUSt_chr6.697 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWRGCHGSFLCREHIRLPRRGRDKLSPSALKAVGKLAVAFPVAIALPVAFPVAVAFPVAVASPLPSPSPSPSPSSRIRYNVSSLVQIMQDFDEIQKGYLREIDFGAILDLTSGTVPWSFVQWLADHVDIKKEGFSFQQKFIPISPESFGHVLGVPAIEMPTIKFFRDKIKKGQEKVEFIRCFMIVALSSFYCPTSSTKPSTAYLATLMDVENIKSYNLAKYFQEWNLWYIKKYQNSSSTLAVCNFYITVRYLDFLDFGSVQIQSSLPRISVWKGDMIKKYIKWDMDEDNFYGKLQDEGKEKFVAEQSYGNSASLDHMLNNGKDVVGLNCDAHTSRVHTDHDLSHKKDEAEDAVNSEFTTPHKLHAMFTGINNMGKENSANLIDNSFGRKSSSIQCGQRTHNYEAQLLSGRNINNELSATNSNPELSSESRIQSYSSHDYDGFENIGIDDFEDLK >cds.KYUSt_chr7.16990 pep primary_assembly:MPB_Lper_Kyuss_1697:7:105283594:105285156:-1 gene:KYUSg_chr7.16990 transcript:KYUSt_chr7.16990 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLANMALSTRAALLLTVCLACYVTIPSLAASGDFLQCLSANIPAQLVVTPSSRSFDSVLVSSIRNPMFFTPTTVRPLCIVMPTNASHVQAVVLCGRRHDVRVRVRSGGHDYEGLSYRSERPETFAVVDLANLRAVRINRRAATAWVDSGATLGELYYTIAKSAPGLAFPAGVCPTVGVGGHFSGGGMGMMMRKYGLPVDNVIDATLVDANGRLLDKKAMKRDLFWAIRGGGGNFGIVLSWKVKLVRVPPTVTFFNIRKSVDQGAVNAVAKWQTLAPALPDELSIRVIVGRDALFQSLYLGSCGELVRTMSRLFPELGMTSADCREMSWLQSTVYINSGDTRTPLETLLNRTTSLSIFSRNKSDYVKKAITKDLWEKIFPWFNKAGAGIILLEPHGGRVGSIADTATPYPHRSGVLYNIQYVAFWTGNGTDAPNWIKDMYDFMEPFVSKNPRGAYVNYRDLDIGENTVVGGVTSYESGKAWGEKYFGGNFKRLAITKGKVDAGDYFRNEQSVPPLVSRK >cds.KYUSt_chr5.27094 pep primary_assembly:MPB_Lper_Kyuss_1697:5:171586920:171589508:-1 gene:KYUSg_chr5.27094 transcript:KYUSt_chr5.27094 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSPSFRRHPLPFSIDLLRWLPSSTSSARLIAAAVHDPTIPASSHLHLLSLPDPASPLASLPLPSRPTALRCSPSVLAAATSSGSLHLLPSSFDTDSVISIPSGAGFHVGPVRGLDCGGEEWVTAGEDGRVHLVSDGGDGRVVARRVWDGKGMLGYETARWASSAEFATGGAGCGVQWWDRRKGDAVVAQCKGIWGRGVAAGIVHSIDIHSSRKHICVVGGSSGAIFAWDLRWPQQPIPLSGVGLHETAEPVCESEVWEVLFDNYTQSSDIISAASTRILPVMMCSEDGILAIVEQDERPLELLAEPCAINSFDIDPQNPSDVVCALEWESIGVLTRGRDAMAGE >cds.KYUSt_chr7.21060 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130490009:130499730:-1 gene:KYUSg_chr7.21060 transcript:KYUSt_chr7.21060 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLTVEPITKKREHNNGDEEILGTYNTLLSTDTTEWTDSTEAETAETDPSQNASSSSIIGELDVVDEDIFVVDLTVNALSSVTKGEVHAVDEARVETDISEVDLAATALSSAIMGDVDVMNEIGAVQVTVAADLSGKVSSSVTTAEEDMVDEVVTVQDTFEVTSSGNVSTSVKMCKVDTIDEAGTTHGTLEAISSGNVSTSTMVGEVNAVETGGDQDTFEPDLSGNASSNATYGEVDEARVEEQIFENDMLASISGNEKHMAVDSVGEATDKEETYQQQYPTLSSISMWSKAIDKTGVSLKPVLPLVRVQEQGKSNPNDHVQEGSIVAFSEHNQPTLTFHEKQQSTIAFDKQNKPIATFSKQDQYISALLEKNKSMAASDEQGRLIVDLPDQDQSIIGSHKQHKSVPDVPGQIQSIVSSSNQHQSIVAFRKQDQSIVSAPKQKHPIVPFHKHDLSILGLHKQNLSSVDTMGEGQTKQVHAIDRHDALLAKEVEATDGNYNPQKTNGDALHLKFDTENLSQEHQANRTEEALEMTTSKQVDDAHLFMTEHQIGVAEGQMIVTEDGLSVTKYGTRVGDQIEHLLSAKEFSWDEDEVGSIQDDERYEADEISISVEPDIQDSPQNVVDPKEVLKELADENYLIGNKLFIFPEVVKSDSVIDLYLNRDLTALANEPDVVIKGAFNGWRWMPFTEKLHKSELGGVWWSCKLCIPKEAYRLDFVFFNGRSVYENNGKDDFFIEIEGTMDEELFEDFLVKQKQRELEMVAVEEAERRTQTVEQRQKKEEMAAAEAVRAQVKAEIEMRKNKLHNMLSLAKTSADNLWYIEASTDTTGAIVRLYYNRNSTPLVHSTQIWMHGGYNNWTDGLSIVERLVKSNEKDGDWWYADVIQPENALVLDWVFADGPPGNARNYDNNGRQDFHAILPNISTEEGYWVQEEQNIYARLLQERREREEAIRRKAERSAKMKAEMKAKTMRRFLLSQKHIVYTEPLEIRAGTTVDVLYNPSNTVLNGKPESEEGGIYDNRDGMDYHIPISDSVETETYMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHTVEVILPKMFGVGCVYGRNDDRRFGFFCHSALEFILQNGSSPVSQTYSREVAGHGAIAPHREKFYGILNGIDPDIWDPYTDNCIPVPYTSENIIEGKTAAKRALQQKLGLQQTDVPIVGIISRLTAQKGIHLIKHAIYRTLESNGQVVLLGSAPDHRIQGDFCRLADALHGVYHGRVKLVLTYDEPLSHLIYAGSDFVLVPSIFEPCGLTQLVAMRYGSIPIVRKTGGLYDTIFDVDNDKDRARSLGLETNGFSFDGADSNGVDYALNRQAPHRSSIAALSSWFDARDWFHSLCKRVMEQDWSWNRPALDYIELYHSARKF >cds.KYUSt_chr6.7247 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44070499:44078243:1 gene:KYUSg_chr6.7247 transcript:KYUSt_chr6.7247 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPEHSPPTTTTTAGFSAGGGSEPSPPTNFSSLFPLFPLAAPAEVSQFLPNPSFSFDASSLNIPPAASSSLPPPLSASSDEEEAAPKPAPAKYDLVPSSSSDDERGSRRKDRKRRKRRRDQERYDGAAASRKPGVRAWAGSETKLVKDYYFDAKGDQDNLAFGSIYRMDIARYKPQSTLDARGLNRRFYNHGHASSHMDLDSDLDGLDSKVKVGGRYFSAKHAVLERNKGFKHLKVLKRDNAILPEDFIPVEASSVPAESTTAQHELEESWEDEILRRTKEFNKMTRESPHDEKIWLAFAQFQDKVASSQPQKAARLQTTERKISILEKALELNPDNEELLLCLLKSFGERDSTESLFGKWEKILMEHPDSCKLWKQYLILCQGEFSRFKVSDTRKSYTYAVEAISAACTKLCRQDSQNADLKAQPSLVQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQLEFSLFSPPLSLSTSSKQRLFEHFWNSGGARIGEDGALGWSMWLAKDEESRQNMVMQDNPQESEGGGWSGWFDLSRANAETDDVSNKAIELSAADGIDPEDPDVEDASAQDDVESLLKKLGIDVDAEFSSEVKDAKTWNRWSAMELSRDNEQWMPVHEKSGVGSSRSDDASPGEVNEQLSRVILFEDVTEFLFSLSSEEARFSLICQFIDFYGGKISRWTSSNSSSWLDRIMSLEMISNDILEDISTVSELVNKTQNSSHYRLEFLLGSMHDLSQRPGLVKFLQNAILLSLDVFPRNHILEEAVLVTTEMYTTQKDTLSAPAKPSRALAKSLLKKDRQDLLLCGIYGRIEARHGNIDQARKIFDMALLSTEGGTQDLVRKVPILYFWYAEMEISISASRNDSDSVHRAIYILSCLGSNIKYTSFGGPISRPLVLRARQGFKEQIKSLRPAFACGSLKEESVALICSASLFESMTSGYSSGLEVIEEAFPFSESNHTLEYEELWMYYINLLHKNLTKLRLSRVWPNILKGVQTYPYNPKSYASMLTLGCLYSVPNNLRLTLDKCSQRDPSIISLLFALSFELSKAESYNRIHGLFERALADDKLQKSVVLWRCYLAYEAEIACNASAARRVFFRAIHACP >cds.KYUSt_chr1.231 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1294318:1300506:1 gene:KYUSg_chr1.231 transcript:KYUSt_chr1.231 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLGSGPMLPLQHSIQYLEGILLKDKDPNYPVFTVKVPADLNFVQEDPADIFFIAFEDMKINRERPPHIAVADPYYMRDSQLEDGSRTRTKAVRYLQSFMLMNKEKNTILLPVFPEDKYCTLVILCLKWSLSQYFDSSNTTTKKDYKRIRGVLDEAILGYSKNGGTFDKKGEFVRPDTKKLGFKHVIDFPCIKQPADSMKEAFYVLHHLKGFVADAEMMSLPPSQRDPIKMAGEINDADLREDFHRIQVKLSEIILEDVYYYFLALILVNMGGFQESTRSGSEEWEDCKKQFSGNCYKGYPTRHEAAAKWRKHQSNKNKMKTFVVLSLLLTIVAARFYAYPLATVLRFASMKITWILRLCETVLNARFDGTKHPSELLNERGRRFKSNEIRIATVQELDKQCQCKITIDGIQPSENWWFRSCDECTWGMQPDGDSSKCTKEGCTNKSGVGAHLAPCGPSIPPPPAMEAPSKEVVEAKGVGAATPNDAMHGIDGQECCRALDGLVNPSALKKLFSGKLDECSDRRSAD >cds.KYUSt_chr3.13660 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82338511:82339247:1 gene:KYUSg_chr3.13660 transcript:KYUSt_chr3.13660 gene_biotype:protein_coding transcript_biotype:protein_coding TENGNLGAPLLPGQGCSFHSFKTNTYKLNYMESPSGIKLILLTHPRTGDQRDALKHIYSLYVEYVVKNPLYAPGSPI >cds.KYUSt_chr1.34085 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207258559:207265753:-1 gene:KYUSg_chr1.34085 transcript:KYUSt_chr1.34085 gene_biotype:protein_coding transcript_biotype:protein_coding MHANAHATEVATAVFLDSIFKRDHRINLAKPVVAATMTPGGSTILHAYIIPHLSSIPCSTMPLRLSANNVVDEYHSTDIRQHPAAAPKTMPQEINAMAVASLHRRMKEKPGRTPPSCPPVVGARGTAADATPHDFARFLFKKHTSWNNMPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDTHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEIKKEFQVMNAFVASVAYRHETTTSQPLVSAGSPSTSGKKRFSTVSAVFSHFHMLRHGRAASACAGGMFQKMMISVAFEINGHQYDKGYYLVDDIYPRWSTLVKIIANPVSEDKKVWFAQQQDACRKDVERAFGVLQARFAIVRYLALTWSKDHMWEIMTACVIFYNKIIEREREFLVFDTEPYERMGPLAVLITMCR >cds.KYUSt_chr7.12402 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76346648:76347685:1 gene:KYUSg_chr7.12402 transcript:KYUSt_chr7.12402 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKVDVRFIENARSRAARYAKRSRGLQKKASELSTLCGVPVALVCAPAPAAGAGAPFVWESEEGVLERYRAAAVPPDARARHTHRSYLEAELGKERAKLARARPGALPDWDPALNDMAPDEAREVLEAIDAALRAARDRMAALGLPADGRLALELVAAPDDDDASESDDAAVAPQYLALGYDGFEPQTMTCHGGSNDHGGQLEQFLMQPERGLVCVDGGGSSSSYVDPVDGTQAPDGYGDNAGYTWPDLTMCYPAHGSWNAPMPVGYYPYFADGALAPDHYSSAQDLTGGDYADTLPLEHTVGMDENFAYPHMDNIYAAHWQAQDFQRSDTGTGTGQAFHYLY >cds.KYUSt_chr4.44813 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277340057:277343477:1 gene:KYUSg_chr4.44813 transcript:KYUSt_chr4.44813 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDTVCRMVNQNQSEDEEMLEGVQSVKAAAKMCLIVVKLENDEIDAVAISKLNNIAHNLVLYFSGKGESQSATQEYRILKMVTILTILNWLSNMLEIVENVELGAVDIYNLNNVAHDLALYFSSKGEEMSIKKVSRQASEVIGYIWHSGIPEGLELRQCLPKFKESGEMTTGR >cds.KYUSt_chr2.41577 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258610516:258614429:-1 gene:KYUSg_chr2.41577 transcript:KYUSt_chr2.41577 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTSASASAAGSDVAPPTPEDSPPRRAPRHRRAQSEILLGAAALPDDLAFDAADLGVVDDEDEYEDDEEGPGGGGGGGAGSRMFQMFLEAGGRLGEPVDPAAPYPPPPPMPARPRHQHSISMDGSTSFASAAALGGRAAADAKKAISDAKLAELALVDPKRAKRIMANRQSAARSKERKMRYIAELERKVQCLQTEATTLSAQLSMLQRDTTGLTNENGDLKLRVQTMEQQVRLQDALNDRLRDEVQQLKIATGQVNNANSGKMGKFGMSSYGVDSESYQRSQMQSLVAAQQLQQLQIRSQHQQPQMHLQQQHLGTVRQQHQHQLRQEALPFPGDLKMKGIAMTSHVQNAGAFGGHARNEP >cds.KYUSt_chr7.9248 pep primary_assembly:MPB_Lper_Kyuss_1697:7:56247786:56248196:1 gene:KYUSg_chr7.9248 transcript:KYUSt_chr7.9248 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVREAAAALVGVGGGDQAAASSAAGHVAHAHTELWRTPTPYLFLGFALMMGLIALALLMLFCTRRKPSTGASRRGSDTVESESARGMVMAPLDREPKVVVIMAGDNMPSFIASARPFAFAAAVESDEQRKAGAA >cds.KYUSt_chr4.2810 pep primary_assembly:MPB_Lper_Kyuss_1697:4:15976650:15978455:-1 gene:KYUSg_chr4.2810 transcript:KYUSt_chr4.2810 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYPRKRSSLSSRPAHRFISSLAQGYDTSVGDQGVQLSRGQKQWISREGDPEGPQAAAPGRGVQRARRRVGAHRTEGLDRVTVGRTTVVVAHWLSTITVAHKVDEADREIVHTIISSNNKLFLDSSDLLLGLQASSSSTSRAKTRNETVLHGRRVILG >cds.KYUSt_chr6.3624 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20924946:20927341:-1 gene:KYUSg_chr6.3624 transcript:KYUSt_chr6.3624 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQIRTRPYEVLVLLPLLLLQHIHWVLGLTARDFIVAEQQLVVLANHELLGHVESLDLAADVGDAALRHLVDGVEDNPERPPGQASSRRCLKVDLTTGALPALQAPPLYPRKATMPEEEGDGAGGRRRRGVKEAAARREALERRRRRRKKRTWTVDRLPPPLLSGRRRSPAEEV >cds.KYUSt_chr1.39443 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241345612:241351073:1 gene:KYUSg_chr1.39443 transcript:KYUSt_chr1.39443 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMAATVEEQMMVKAIREECPWETLPKRIQAAVVTKEEWHRRVVDYCIRKRLPWTSCFSRKVCKEGEYYEDLMRYLRTNLALYPYHLADHICRVMRISPFKYYCDVLFEAMKNEQPYDSIPNFSAADALRITGVGRNEFIDIMNKCRSKKIMWKLSKSIAKELLPAQPIDLVIEPWWGVRFVNFTLEEFKKLSEEETLAIDKIYKEEVNSYVLFDPEVINGLYRRGGVYFDVPVYPEDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELAKTLQADLYQLQAAASFACRLGWAVKLLDPDSVLRDSSAPALPNNILCDDDEGSRTSINSEKSCELLNNDSDGPRKISGTAYVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGYSCIADLCDDLASLEGKKFEGVLQEFANHAFSLRCFLECLLSGGTSPNESTEEKYQDSSLQDDLSFPLSNKSSLQSTDSTGNGQDGDLRQQQDSTVDSDASSQSTNISKRKESTVNDFDSSQMVTQNDSNQTTELDGSTGNNPLLKTKRNYRVNILRCESLASLTQSTLERLLLRDYDIVVSMNPLPYSSVLPSTAGPVHFGPPCYSSMTPWMKLALYTAGGCGPVSTVFMKGQRLRMLPEPLTNCEKALIWSWDHSVVGGLGGQFEGNLVSGSLLLHYLNWMTKHSAVIVQPLSINDLDDSGNLVTMDVPLPLKNANGSVPSALAGADLPEEQMSNLISLLEDLSSKVELSTVGYLRVVRLHKVIESSDLSNKECYEWIPLSLEFGIPLFNPKLCEKICQRVVDSNMLQKNDLAEHYESMQNVRKRLRELCTEYHATGPTARLLNQRGGSKNSPRQLLNIVSGRWSPFHDPSSPPTQGGTSPREHVRLKLGRRPKCFTEVLSFDGSILRSHALTPVYEAATRSVNEDTPSPTAVKTDSEDSDTKDVVLPGVNLIFDGAELHPFDIGACLHARQPLSLIAEASAASLAIK >cds.KYUSt_chr4.23040 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145045466:145048146:1 gene:KYUSg_chr4.23040 transcript:KYUSt_chr4.23040 gene_biotype:protein_coding transcript_biotype:protein_coding MERRIIVLLSLLLTLLLSCHASAAGGTQARRRHTSPLAHRQRVITAEAAATAAATGVPAMVKYDTRYYTQRLDHFNAAPASYRTFQQRYLVNATYWGGKTAPIFVYAGNEGNVELFTNNTGFMWELAPSFRAMLVFIEHRYYGHSVPFGSEEAAFRNTSTAGYLTTTQAIADYATLVQSLKSNLSAHAAPVIVFGGSYGGMLSAWMRMKYPHVVIGAVASSAPILSFYGLAEPYAFYDIISNDFKSESQNCHDVLMNSWKELDKALSNEAGRAKLNSTFKMCRASSVDAIPNLLDTAIVYSAMTDYPTESGFLTPLPPYPVKAICRAIDHPSAGKDTFSRINDAMMVYYNYTGHADCLGDAEENDPYGMYDGWDWQACTEMILMSYGIRNGSVLPPEPFNFTELLDGCRASTGLPPRPYWITTEFGGFDIAHVLKRTASNIVFFNGLRDPWSSGGVLKSISKSIIALVEPKGSHHVDLRFSSKEDPDWLKQVRVKETRIIAHWLRQYYKDEAMGHK >cds.KYUSt_contig_973.489 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:3599390:3603904:-1 gene:KYUSg_contig_973.489 transcript:KYUSt_contig_973.489 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGDWQRGPVIGRGASATVSIATDRVTGDVLAVKSVGMALAGVLLREQSVLSALSSPYVVSCVGSGVSAASDGSGYELFLELAPGGSLADEIRRCGGRCEEPLIRSRVGDVLRGLAHVHGSGIAHCDVKARNVLVGADGRAKLADFGCARRTVEAEDLGNSNAAAISGTPMFLSPEAARGEAQGTAADIWAVGCTVIEMATGGAPWPGLSSPAAALHHVARSEDVPEAPAWLSGEGKDFLARCLVRDPAQRWTADQLLQHPFVASTETNPTSNSKAAPIERWVSPKSVLDQGFWFWEEDSSSTTVPADRVRALASTESPDWTWSGEEWIAVCGTTTTEKMDAETTEAAGGSNADDEHVPSSSRSDGAEPGCVGGSSSNVTHGGSSASNELWRIILEGYKPYNPERLTRREEVDNQLNSIALHMIQTSVGTNDLALVRKFTTAKEAWEGLSIRFTGSESMKRNKYSALRNQVGGFMRLPDEDHQEMYRRLITIADAFRNVGAQHINDFWIKDKYIDCMMPFEPIDVKSLLGRESYPSLTSQQVVHELQALKVAEKTLKILAIVLLE >cds.KYUSt_chr5.43445 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273726316:273729532:1 gene:KYUSg_chr5.43445 transcript:KYUSt_chr5.43445 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLTQSAGETNPRPVSADTNESVSIADTDETLLVAVLSHVHMSSHMDVKESVYSLEEMMIHVNVGQFIERWEAPRYIFSKQILMRWCDVPRLIEQNGEKFPKWRALCRFIAGLLCSEVCSTSLCLIDLRRMKSSKAVRLFCGGPEGVTSLPPPSRAPVPFGRLRRRSAGLGVWPACRLAPSAPSSMTHTAGMLRRRHVEKQPLCTPFTASSADAYDGKVSISQIFFLSLLIYLG >cds.KYUSt_chr4.23680 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149240713:149242791:-1 gene:KYUSg_chr4.23680 transcript:KYUSt_chr4.23680 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAAAGAACRRAVSYTLLGPPAESLRAAAAAATAAAPATGDQFVDLLDANFNKPPPTPPAKTRTENNSPTFSTSGDPCLDFFFHVVPGTPPESVSSLLADAWAKEPTTALRLACNLRGVGGTGKSDREGFYAAALWMHTCHPATLALNVRSVAEFGYLKDLPELLHRIIHGGVSTRTPGKQAAQKGGIVAGLHRSPSSGTPHRWKPSLPASTSDARVAASNRRDQEISAQAAVERHKKRADAAARAVERYARDPNYRLLHDMTADLFADLLAEDMKKLSEGNLDLSLASKWCPSVDTCYDYSTLLCEAIARRLFPKGSAPQLPEDLPDAHYAYRARELLRKEAYVPLRHALKLPEIFISAREWGKVVYTRVASIAMKNYKDLFVEHDHTRFSQYLADVKSGKVKIAAGGLLPHEILATAYIDNEVSELQWQRTVDDLLAFGELNNCLAVCDVSCSMYGEPMDVCVALGLLLSELCDEPWRHRVITFSNRPQLHHVEGETLLEKCQFIRQMDWNMNTDFQAVFDQLLQVAVAGNLPPERMVKKLFVFSDMEFDQASSRPWETDYEAITRKYSEAGYGEAVPQIVFWNLRYSHSVPVTAEEKGVALVSGFSKNMLKIFLGSEEEAIPDEEEAISDTPGEEEAKADISGKVAMPNIPRKEAIPNIPTPRDVMDKAISGPEYEKLVVFD >cds.KYUSt_chr2.52868 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329813990:329817488:-1 gene:KYUSg_chr2.52868 transcript:KYUSt_chr2.52868 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDAAHGHYPWLNFSLSNHCEMEDEERGAAAELAAIAGAAPPPKLEDFLGGGNGGNNGGGDQVVSGATTTEAAEMYDSELKFLAAGFLSGSGAGTTAPTGPPKVTQEEAEAKQLSLPSVAPPAPAEQKKAVESFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGATTTTNFPVADYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEIGRYNVESIISSNLPIGNMSGGTGRGSKALESSSSPDAVEAAAPPSLTFTALPMKYDPQQQQQDYLSFLALQHHQQGNLQGLGYGLYSSGVNLDFANANGGGTMAPHCYGNSGSFHDQQHQHQHEEQDQQDHQSQSLSMPFATPMAHFVGGSYESSVTPGSFGYYPNVAAFQTPIFGME >cds.KYUSt_chr3.42663 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269766072:269766591:-1 gene:KYUSg_chr3.42663 transcript:KYUSt_chr3.42663 gene_biotype:protein_coding transcript_biotype:protein_coding MWFPDAGAAAPGGGGFFGEVICVPWQAMGWPAVVWFWAPALSPAGGCGILVGRMGLASVGHDDGGACGAATFLEASLRLLHDPPFGKNSGGTSDPAGDRTRVASRVTHLLGPRSWSRHRLRDLRKTEVVVGILYGYINGMA >cds.KYUSt_chr2.11201 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71135540:71136392:1 gene:KYUSg_chr2.11201 transcript:KYUSt_chr2.11201 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQIEESLPEWRGQFLSYKELKRRVNAVPSPSLAAAATAEAEFLALLDAEVDKFNSFYLEQEEEFIIRQRELQERIQWAAAAKAAAPEVEHAAEIARLRREVVDFHGEMVLLLNYSSINYTGLAKILKKYDKRTGGVLRLPVIANVLQQPFFTTELISKLVKDCEAMMEAVFPLPPQQLVERKALAVAEQSIFRNTVAALLTMQEVRSGSSTYGHLSMPPLTPMADSDWLLQSFQPPSPLIPT >cds.KYUSt_chr1.3795 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23259046:23259495:1 gene:KYUSg_chr1.3795 transcript:KYUSt_chr1.3795 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRRSSSPDSNIEGGSGSGSAGDERKRKRMLSNRESARRSRARKQQRMEELIAEASRLQAENARVEAQIGAYTAELGKVDGENAVLRARHGELAGRLQALGGVLEIFQVAGAAVDIPEIPDDPLLRPWQSPFAPQLAATGADVFQF >cds.KYUSt_chr3.40326 pep primary_assembly:MPB_Lper_Kyuss_1697:3:253968980:253979625:1 gene:KYUSg_chr3.40326 transcript:KYUSt_chr3.40326 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVCHSSSLNLLGHINDDAKSTRQAKPQNSAGRCAADTSEGRLCDSPVVSTRNLGRLRTRTAASVSATAEVPDVSLSEDEDGKMLPPSRRSKRLQRKLTGKDDLIGTESEDVSVSREDESRKLVQQRRPKRLRKKLLSEYYSGEVTDNKDTWRSSPDDDDHLPPQRRSKRLRDRVGTRNYESDDEADSDTIGKSQKLVQQRRPKRLRKKLLSEYYNVEVSEDKDTWRGSSDDDDHLPPQRRSKRLQERVGTRNHESDDDADSDTIDNHRKAIPCRMSKRLQEKRKADHISDVPLDWLLVHDRLRAQSYIRKNSLPKEVFSDDERKPEWFEVDRAIACRRKFDPHGLCDILASFEDNEDFEGYEFLVKWKGLDYCDATWEPYSTEGVQSAISMLVERHRNTLKIADCISQMYTDEMIPENVHSGALYDYQLQGLQWIFDNFKIRRSVILADEMGLGKTVQVVSFLSHIVKGSFTTSPALVLAPKSILLQWKKEFGRWASDLNIVVYQGDKDSRKCIQDHEMYSSQGRTLFDALVTSYEFVQLDKAVLQKIKWSTIVIDEAHRLKKVDCNLASVLKRYSSEFRLLLTGTPLQNNILELFSLLHYIDPDEFSDAKADGLFLPIESGLDLTIDEKVARIHEILKPRMLRRMKSDVLKDSMPIKKWIEVPCALADSQRDLYINILEKNYSKLNSAIQSGRKLSLNNVLMELRKCCNHPYLFPGLEVHQHAGEDVFLSLVSASGKLQLLHKLLPKLKERGNRVLIFSQMTKMLDILEDFLFSLGYKYARIDGQTSLSARQEGIKEYNNVESETFIFLMSTRAGGLGIDLPGADRVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCSVEEKILQKSKQKLAIENMLMNSSKKPSAEELKSVLLHGAKTILEKKKINATSIHYDDEAIENLLKLDPSIGEKCSSDDNGYLGSIVSFAHGGEEDGPLSPKVEDLKVFKPATPKVELLGRGRRQRLAVKYSDELDIGDSDDMYAPEVSPDSSSSSSDDETEQEIPEVVSLKQEVAPDSSSSSSDDETEQEIPEVVSLKQEVAPDSSSSSSDDETEQEIPEVVSLKQEVAPDSSSSSSDDETEQEIPEVVSLKQEVAPDSSSSSSDDETEQEIPEVVSLKQEVAPVPDSQLTSSLSSSDGEKENVTH >cds.KYUSt_chr7.21637 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134217656:134218993:1 gene:KYUSg_chr7.21637 transcript:KYUSt_chr7.21637 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPEVVCVPQQHQDSVGATNVTTTKMPRPSEPNGNKKRKSADVNSDAEPSNKAARYVILPQETRGTPVGDCAQESHDNELQVEEKVAISALENLRLYASDSQSSNDLIGCEVSAHPTTAESRTLALPGRGCLKQTEDWSSVHAIRKVTTYCPAIDMSDARTIHAKLTDAPLEGSVASAVHPEEASLTKKSVSWAADAVVNLNQQCIPPVHPIKDLHTAQENETTTLPLITPILQKGSPTTIARRPVTRSMSPLKAASSTNTDVIPVTRSKSCLNPMFISRENANVKEGRYSPHTGNNNNDTTTPGSHGKFEVATSIQGKKTFGLLDDTTTKKLDLHITAYKDKQSLWLPGEAGSFDLGFDSPNKENDKGKEEVVTGLPVITSSNEDEFYGPAEDYEMLAAMVGEKYFPTSSCTLNVTSVEDTAKQKVTSEHVTSEGTSSKTPIP >cds.KYUSt_chr4.49527 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306715778:306721995:1 gene:KYUSg_chr4.49527 transcript:KYUSt_chr4.49527 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGEAVKSPLAGLRAAAIIKLNAAFLAFFFLLYMALLLHPNYSHILDRGAASLVRCTFRDACPTSTQHLTRKPGGRLVTAASKVAGTSTERIVNAGRAPTMFDELRGRLRMGLVNIGRDELLPLGVEGDVVAVDFDRVSDAFRWSDLYPEWIDEEEEDRVPSCPEIPMPDFARHDGQVDVVVAALPCNRTAKGWNRDVFRLQVHLVVAQVAARKGRRDRRGRVRVVLRSECDPMMDLFRCDEAVGRDGDWWMYSVDVPRLEEKLRLPVGSCNLALPLWGPTGIHEVFNASDLAAVDSGRKPQREAYATVLHSSDRYLCGAIVLAQSIRRSGSTRDMVLLHDHTVTKPALRALAAAGWIPRRIRRIRNPRAERGSYNEYNYSKFRLWQLTEYARVVFVDADILVLRNLDVLFRFPQISAVGNDGSLFNSGIMVIEPSRCTFDALVRGRRTIRSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANSTGERALKERLFAAEPAEVWSIHYLGLKPWRCYRDYDCNWNIGDQRVYASDEAHRRWWQVYDAMGEVMRGPCALSERRKIELAWDRHVAEEIGYADQHWKINITDSRKWE >cds.KYUSt_chr3.8624 pep primary_assembly:MPB_Lper_Kyuss_1697:3:50080120:50080326:-1 gene:KYUSg_chr3.8624 transcript:KYUSt_chr3.8624 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLDVGTDGRSVVTNPCRCLSADSSCDPESQWFKLVTSSRSIAAREMLLSQLPLKLQSSKSVTLMIT >cds.KYUSt_chr1.5832 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35955578:35956237:1 gene:KYUSg_chr1.5832 transcript:KYUSt_chr1.5832 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAARRHGLRPPRSRIQVAPFHVQQADPAPLQPNCCSHLPFTKPPRPCTDAASVSATPSTSKAWLFSTSSSATPLNATLQLSVSKLSSRRTHESVSATMQYVGSSPSSFASARSSLAVAVFRRLAKLFEHGRDLPRPAHIRLHHRRRRTPPATLRFAKNLSSRSSLTLRRQRVPAASCITDSLLPSTMSAFSSRYRWLFSMRLLPALLHRSAGKPLPC >cds.KYUSt_chr3.13231 pep primary_assembly:MPB_Lper_Kyuss_1697:3:79655784:79656974:-1 gene:KYUSg_chr3.13231 transcript:KYUSt_chr3.13231 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPYLALLLCLASLLQQASLIAANPPHEPPPDDSREKFAKWATKYAKTYPSHEEEEKRFGIFKDNTNQIGAFRAQTSTTVVVGGFGPQTITTVRVGMNRFGDLQADEFAQQFTGFNSTGFRPAEPSFIPKYTWKPCCVDWRSSGAVTGVKFQGSCLSCWAFASVAAIEGMNKIRTGELVSLSEQELVDCDSGSSGCGGGRVDTALALVAARGGITSEADYPYSGFNGKCDVDKLLFDHDASVKGFKAVPINDESQLELAVAQQPVTVYVDASTWQFQFYSGGIFRGPCSGDPAKVNHAITIVGYCEEFGEKFWLAKNSWSNDWGEQGYIKLAKDVAWPTGTCALASSPFYPTA >cds.KYUSt_chr5.30991 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196194563:196197962:-1 gene:KYUSg_chr5.30991 transcript:KYUSt_chr5.30991 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNSAAAAAVTTVTTNAAADAATDVRAKPKKRTRKSVPRESPSQRSSVHRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQVYLGAYDEEEAAARAYDLAALKYWGPDTILNFPLSVYEEELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGGGVDAAIAGRNPHPMLASLTAAQELPPTDLDGMVFPPELHQCMEDGMAAAAAAAAQFALPAMASTLGHTPTTSALSLLLQSPKFKEMIERTSAAESSTTTSSSSMSTPPRPPPQVAKDESASPQCSFPEDIQTFFGCDDGVGYTDVDGLFFGDLSAYASPAFQFELDL >cds.KYUSt_chr7.35683 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222919189:222919422:-1 gene:KYUSg_chr7.35683 transcript:KYUSt_chr7.35683 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSQFPADKLAELRMTAFARHMAAWEAEASGSSGKFAYDVEESVSKERGAVDDAEALDTRNCIDVIDVFTVNKEYV >cds.KYUSt_chr3.33712 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211673476:211675235:-1 gene:KYUSg_chr3.33712 transcript:KYUSt_chr3.33712 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTVPYYDLCLCLFLALLLYAVLRAVFSSGTKHPRLRLPPGPWQLPVIGSLHHLLRGLPHRTIRDLSLRHGPLMLLRVCERVAIVVSSAEAVREIYKGNEAVFSERLSSPGIDELSRHGQGVIFAPYGDHWRLLRRILMTELLSARRIGSFQRIREEEAARLVSSVQAKSSSSGGGLVNVGELLDEFMTDSAVRAIFGDRLPDRAAFMKIVKQGVSLASLFDLRDLFPSSRLVRLLPRGSGKAERHRQEMFKLMDNILKTHQERRASTDGDNEHDLVDVLLRIQKEGDIRVSLTDGVIRAVLIDVFGAALDTTSTTLQWAMAELVANPIVMHKVQLETRRVLAGEATIQESMLKDMHYLRATIKETLRLHPPAPFFPRLCLQDYKFHGYDVPRGAIVLTNVWAISRDPKYWDEPEMFMPERFEGNNDVDFRGMDFEFTPFGVGRRICPGIGFAHASIEIALASLLYHFDLDLPKGVEPGKMDMTEVFGVTLSRKANLFLHPIPYVPV >cds.KYUSt_chr4.20245 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127328240:127329878:1 gene:KYUSg_chr4.20245 transcript:KYUSt_chr4.20245 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAKKIMGWFPCVRNGVASAAFGHDDDDDDDDEEDRISALPDDLLRIIISLLPVKDAARTATLASRWRHLWRSTPLLLNDKDLLPSEVTRVLADHPGPFSTVEIIRCRFASHERALAEWARLLASKGVQDLVLANGIDQLTVDDRVRPPVDILRCASLQRLFLGFCTFPDTAGLPRGADIFPHLHDLVMCMITISDWDLDYILACCPVLNQFAFGHNTLPNLLHLRGKKQLQCVTLWNSTAEEVAVVDAPLLERLFLLVEPCGCDGSTVMLKIASAPTLRVLGYLEPRFHRLQIGENVIKPGTMPSPATVVPGIKILAFKVNFGVLQEVEMLVTFLRCFPNIETLHIESLTEPTGRNHAEFWQEITTVECIKSHVKKMVVHEYRGDQSELEFLKFIFTSAQELRTLYALADDETFTTLAKTTDMTSKLGTLSLLAWRRDCKVMLLGPNSRNELTIQKASDLTVDDPFHW >cds.KYUSt_chr7.29836 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185723973:185728462:1 gene:KYUSg_chr7.29836 transcript:KYUSt_chr7.29836 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPVSTLKAAAAVFPSRRRGNESRRPDGGSGAATRERDRARRIAPLFFHRFRDGNTFSFALRSLPLDLEGGGSYYSIPTLGDQRIDKLQYTIRVLLESAVRNCDEFQITKEDVEKIMGWEKTSMQLVEIPFKPSRVLLQTDMELQFERNKERFTFLKWGSSAFHNMLIIPPGSGIVHQVNLEYLGRVVFNKNGLFYPDSVLGTDSHTTMINGLGVLGWGVGGIDAEAAMLGQPMSMVLPGVVGFKLHGTLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGRGMEELALADRATIANMAPEYGATVGFFPVDHITLEYLKMTGREDETVSTIEIYLRECLWITMKPHDRVILKEYRQTGMHVCKIKLASRSKDDLFYLFQGYAVPKDLQDRVVKFDFHGKTAKLKHGSVVIAAITSCTNTKKPHSYDFSGLVAKKAYELGREVDINFEEEPIGTGKGDRPVFLRDI >cds.KYUSt_chr1.28814 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174285512:174290226:-1 gene:KYUSg_chr1.28814 transcript:KYUSt_chr1.28814 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAAAAAPPVHGLRSPPPPSVPASFSHHDVVVVGGSIVGLTAKMDAAAGCGLGSTDLAASIYFPNPPTELSQYLSFSLQAHPDTRWTELAAEDAYLREYFWCAFLAWEHKARQTIRGTQDFQPYEAAPDNEHEVEDEDEEDEKMEDMAPPATVKLEVLVPDDYDEETATTAALAASKADEDSK >cds.KYUSt_chr2.14295 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90485822:90486805:-1 gene:KYUSg_chr2.14295 transcript:KYUSt_chr2.14295 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRNGAVRLPQMNALEVLRETVRVLRADPHAFTSVLFLLLCPASGVLLLSAAALEGTLVLPLARRLLAAAAESGLPATHFLRQLAHHLAATLVAAVVSFPALLTLLLAARAAVAYAVAAVYAGKPLPAADLSLLARRAWPRLAATYGLSCAAVVACLAASLALLVTVCSTLKSLLYPPDVVVSAGLLTVLAFSVVYAHAIIISNLAGVIAVLEDVAGANALRRSVQLMRGQTHVGLLIFLLSTIGLAFVEGLFEHRVKTLSYGDGTSRLWEGPLLILMYSFVMLIDSMMSAVFYFTCRSSSLGILDEESVAELEMMVDGKSDEVR >cds.KYUSt_chr7.1785 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10209339:10209635:1 gene:KYUSg_chr7.1785 transcript:KYUSt_chr7.1785 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHGNPGGHGNPGGHHQPVPEHHEPRHQPVHHPHGHAVPVIIPVTDSYLPTTVGTPLLVQSRPPASTLVGLLTVRDFRVRIKALSTMLAMLLYDDA >cds.KYUSt_chr2.4154 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25156413:25158823:1 gene:KYUSg_chr2.4154 transcript:KYUSt_chr2.4154 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRANEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRILRRLLRKYREAKKIDKHMYHEMYLKVKGNMYKNKRVLMESIHKSKAEKAREKTLADQFEAKRAKSKASRVRKIARRDERLAQGPKDHAAPPAAAAPAPAPAAVAPKKAKK >cds.KYUSt_chr5.9198 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58332002:58337561:-1 gene:KYUSg_chr5.9198 transcript:KYUSt_chr5.9198 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAPPTLLAFVVLAGALGGGGDVVEAALLKAHFYRHSCPAAEAVVRDIVQARVAEDPAALPARLLRLFFHDCFVRGCDASLLIDSTGGSNGNTAEKDAAPNRSLGGFDVVDTAKAVLEAVCPGVVSCADIVALAARDAVSVQFGRDLWDVQLGRRDGVVSRASEALSDIPSPSDNFTALEATFASKGLDVKDLVILSGAHTIGVGHCNLFSSRLFSSTPTGAVTPATGAVTPATDPTLNAAYAAQLRGACRSPSNNATVVPMDPSSPARFDSHYYVNLKLGRGLFRSDAALLTDRRAVGMIHGLTKEGHFLKEFKNAVRKMGRVGVLTGDQGEIRRNCRVINS >cds.KYUSt_chr3.36577 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230005416:230005802:1 gene:KYUSg_chr3.36577 transcript:KYUSt_chr3.36577 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWRKTAMRSALVVLIVLVLSEVSTAQVHDCWNADVGYAMCASASSCRAECQKLGKIDGQCGLGYALWPICKCMAPHCV >cds.KYUSt_contig_1275.164 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000124.1:572204:574083:1 gene:KYUSg_contig_1275.164 transcript:KYUSt_contig_1275.164 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYTMRLGPAAAAATQQLSWGGDPPLPDRRSRFWQMDYASQQQQRIEVICPQPRRLSRPPFLMEPVTRASAKPNGTLQVYRADSASDILDLILSKNDPDVDTDPGSQVTFFCGSPPVRTNNPVVNDPQFGRNTPSFSPLGSSPFSKMLGGRAEFSGTVSAHSVDSHVT >cds.KYUSt_chr1.28483 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172039256:172039522:-1 gene:KYUSg_chr1.28483 transcript:KYUSt_chr1.28483 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAARTLSFLLLLLLVVQLAAAGRPPPAPRRILVDTDVDTDDLFAILYLLKQDRSEFDLEVPYHCYFLVLSILPSWLAFLGVGIV >cds.KYUSt_chr2.27129 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166344272:166344754:-1 gene:KYUSg_chr2.27129 transcript:KYUSt_chr2.27129 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRTSGFTVFFLLLACHGGEGMDEEYLKLMVHQRCSRERLELHLPWADLKRRPLFAAAIFGQEDDPASLGNPACQSVFFLCERNILSLDASAQASARPSGFVPGLDRGGRGCRLFVAGGEFGPDCVFAIFFRVKNRYVKDLVLISFSSSVLLVKLCPPP >cds.KYUSt_chr4.1096 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5656902:5658215:1 gene:KYUSg_chr4.1096 transcript:KYUSt_chr4.1096 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWNDGESSDDSLLSDFDYGHGLSPRKPIPETILDTEFTGYDDCDLRCNHDMPMYRLVCFEGENTGRRFLACGCKDEEMCDKVEWVDGPWPPPLQRSLVKLWAMHDEERDSRIHGNVEYATKNYQLTLQKKELEKKNMELHKQVGNALEYVSEITSHDLELEVAKREKAEQEVISLREEKKRLEHELAKRPKTDNECSTLKEEKKRLEYYVAELLKQSHAQKDKMKKIIEICGE >cds.KYUSt_chr4.27787 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174572391:174573957:-1 gene:KYUSg_chr4.27787 transcript:KYUSt_chr4.27787 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQAIYGKGSARMAPMEVSVEAGNGRESDWLDDDGRPRRSGTVWTASAHIITAVIGSGVLSLAWAIAQLGWAAGPAIMLLFAFVIYYTSTLLAQCYRSGDPENGKRNYTYMDAVRASLPGTKVKLCGVIQYANLVGVAIGYTIAASISMRAIRRADCFHYRHAKGQEGACRSSSNPYMIVFGVVQILFSQIPDFDQIWWLSIVAAVMSFTYSTIGLALGIAQTVANGGIKGSLTGLSVGPDVTSMQKVWRSLQAFGNIAFAYSYSIILIEIQDTVKAPPPSEAKVMKKATGISVVTTTVFYMLCGCMGYAAFGDEAPDNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVFCQPLFAFVEKWAAARWPDSAFIAKELRVGPFSLSLFRLTWRTAFVCLTTVVSMLLPFFGDVVGLLGALAFWPLTVYFPVEMYIVQRRVTRGSTRWVCLQMLSAACLVVSVAAAAGSIADVVGELKEYRPFSG >cds.KYUSt_chr5.40476 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255485435:255485995:-1 gene:KYUSg_chr5.40476 transcript:KYUSt_chr5.40476 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSFFGASPSSKKGKKTGKSAKSCSFGSTASSLSTSDESVATPRTVLPPSSSPSGSGSGSGTTKKPAVTLAVTRQELEVALRSVVSTEEELAAMLADAETTALALEGIAAAEAADEGELRDIFAVFDADGDGRISAEELRAVLASLGDDRCSVEDCRRMIGGVDTDGDGFVCFGEFTRMMMHLP >cds.KYUSt_chr3.44017 pep primary_assembly:MPB_Lper_Kyuss_1697:3:277803201:277805220:-1 gene:KYUSg_chr3.44017 transcript:KYUSt_chr3.44017 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLDDIPRPAKDFVVIHASQEMNQEAAALLTCEAYARFEQPPAHNANAILAQAICEVIGVLPEALTVTEHFPEPFLLRFIFPHNCADDVGRHDFFFREHKIFIRPWRLEDNAEQVVMQQHVQLCVENVPLYTWNEAVAQQVISRACSLDYIEDACKKKEYTKALCLWAWVENPGLVPRVRWVTLLGPSGWAERGRRGLQRRCIVHLDIIEDMAAEETPMLGKFEWRWGVVDGERLMRDRTERLLEGGDERRGRRDDDDDCDGRRRQPARGWRDTLRRSLSRHAQARDRDDRGSRVQDRQRDRSSHGGRRRGLGLLAPRPRLGQVMPTKACRALLETDRQSSCWWLGFWVGLGVAGPGHPSAGQVKDPLYLACGHQAPLKDGEDSFGDAGPAIFSNVRPAEPDDAQGQTQDCLLLDGGRPRLSPSSSGSAGARRALVLLAPASMVGLERPPGFEATPEPRTPPLLLVGSPVRTPVPNTAASHQPQMPSLFTRVQQPLLSPPMSSPPVRPANRRKTLSGVDIARAGGFSLRRTSERLKARRKATPVGKKAEEFVCVGLGIIKNGEVVTEQAMKEFARRFKGRVPEDVLGAMRALFKIGDDEDDEMEAALLGLGGADALDLEQAGLDADA >cds.KYUSt_chr4.39191 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241915550:241919350:-1 gene:KYUSg_chr4.39191 transcript:KYUSt_chr4.39191 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSTPVDASGEPIPTSSVLMAASKHIAVRCRPENVAFLNCKKKDPNPEKCLEKGRQVTRCVFNLLKELHQKCPKEMDAYAGCMYYYTNEFDFCRKEQEAFEGACPISE >cds.KYUSt_chr2.50294 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314461655:314461888:-1 gene:KYUSg_chr2.50294 transcript:KYUSt_chr2.50294 gene_biotype:protein_coding transcript_biotype:protein_coding MPALDACVLCAKPLARDSDVFMYRGDTPFCSEDCRHEQMRLDAVCERHSPRRLQLYSSGTTESQRRQRAPRKVSIAS >cds.KYUSt_chr4.48532 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300573330:300574450:1 gene:KYUSg_chr4.48532 transcript:KYUSt_chr4.48532 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIFLRIPADDPKTLVRAAAVCTTWQSILCDLIFTRQYRAFHGAPPMLGFLRNTHHERSWGKGDYVVSSFFSTASFRSPACHERRDWHVLDSRHGLVLFHTPKRDEDFVVCDLAPTTGGESRPAVSAPTSSGDRCDHTYCHYGPFLVVLLGSDEDQGITFASVYSSATGKWSDMISIEEQEDIEMAGHTAVVGDKVYFQCKNTETTVEYNIGEKELSLFDPPVDDEDVDLPRADLIGVDDGMLLFATVQGPRLYLWSMDAGPNGAEEWARRRVIELERVLPPPALYNMSLAGFAEGIGVIFLSTVAGLYTTELNSGRSKKVHGDKSVKRVVPYMSFYTKGTI >cds.KYUSt_chr1.39589 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242212652:242213497:-1 gene:KYUSg_chr1.39589 transcript:KYUSt_chr1.39589 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFKNVRFSDVPINPNLSFNFILAFAVDYTTGARPVPTNGVFNAFWDTANLSRTDVAAIKAAHPNVSVMLGIGGDSVQDIVKAFFTPTSIDSWVANAVASLSTLITQYGLDGIDVDYEHFSVGADTFVECIGRLLTQLKAKFPNITTSIAPFERDDVQQYYQALWRKYPRVIDYVNFQFYGYGDNTNVDYYVKFYNDQQANYPGSKLLASFKTGDVTGLLSPDQGISAAKELQRQNKLPGLFIFSADSSKISPYGFKYETMAQEIIANH >cds.KYUSt_chr7.32551 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202762434:202764797:1 gene:KYUSg_chr7.32551 transcript:KYUSt_chr7.32551 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVEIEASADDVPYEEDVLRDPFRLSGWLHYLSSLRAAPTAKRSAIYERALRALPGSYKLWHAYLTELADAARALPVTHRAHADVNAAFERALAAGMARMPRVWHMYAASLLDQRLLTRARRALDRALRSLPVTQHNRVWPLLLRLASLQGCPAETALAVHRRHLQFDPGHAEDLIAFLVSAGRWRDAAEHLASAINDDGFVSVKGTTKRQLLLDLCVLISQHPEEVAGMPVDAILRGGIRAFRDEAGALWTCLAGHYARTGLHGKARDVFEEGVATATNVKDFRMVFDAYLHFEHALAAAELSQSDTVGIQDFWLADRHSTDLTMARMERLLERRPELLNGVLLRQNPHDVQAWHERAKIFDKDPARHAATYVDAVRTVDPAKATGKPPHTLWLALAKMCEDRGRVECARDVFQRATQANFTATDHLAAVYCEWANMELRQQNPDRAMDIMRQATSEPSIEVTRRAAAGVDGEPVQMKLHRSLKLWIMYLDLTKTHGSLESTCAVYDMMHDLGLATPLLVLDHATLLEVHQRFEDAFRVYERGVNSFRHPHAEAIWEAYLTKFVRRHGRSRPERVRDLFEGAVLQAPPERKKALYLKYARFEEEFGLASRAMKVYQDAASAVPAGDRLGVYEVYVAWATELYGVLNARDVYCQAISGGGLPDKDATTMCVRFADLEIGLGEVARARALYVYAASFTGPEVHPEFWKRWNDFEVLHGDESTFREMLRVKRTAAASAGACVISSGGAAVAAMDDFPAAPKRLLRACAGQQLGGASIAQQCKRTRLV >cds.KYUSt_chr5.32337 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205185831:205186118:-1 gene:KYUSg_chr5.32337 transcript:KYUSt_chr5.32337 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGCCRDVLYGECRRNHAARMGRYAVDGCREFLAEGEEGTSGALRCAACGCHRNFHHRVVVPRCCCSDPDDAAASGRSRDCSTESTASSSTAS >cds.KYUSt_chr5.42228 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266088673:266091752:-1 gene:KYUSg_chr5.42228 transcript:KYUSt_chr5.42228 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGGAFQGHFPVLAACRNRDSCPPDLGFAMAAALEGCHPPCANENRGIFLPAQLPCCADECLVTEEEGKGQEETTVDRLAVVICRSKWR >cds.KYUSt_chr4.44936 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278195268:278196599:1 gene:KYUSg_chr4.44936 transcript:KYUSt_chr4.44936 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGGEGEHGCTAQEHPPATVRVDEDALPHCTPPLPAIAQEKRQRHPEASLPEGPLVEILARVPYSSLCRFRCVSKPWLALCSSRDIIKRSPQTLSGFFYYGSNALLSFRNLSGRGLPQVDSSLPFLRERYKCIFVKEFCSGLLLCKCWELCSMRGESHYVVCNPATEEWTVLPPVEFTAQDLSHAFHIKPVPMLYLGFDAAVPSRFVVFAPLTVGCHVSGKMAIFSSETGQWTYVQSKWSSGTAIDHACKRRVFLNGTLHLTTLDKSIVTVDMEGKVWREIKMPDGLPTASDIVSIGQSQGRLYVWQVDNTHDCQLYIWVLEDYGTGKWALKHTVNVLELFGRHRPEDADYYMMFAIHPDCNVVFLTNMKKETTVSYDLDKQKVHVICTEFMCGLPYIPCFAELPSAGH >cds.KYUSt_chr3.24751 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153631359:153635073:-1 gene:KYUSg_chr3.24751 transcript:KYUSt_chr3.24751 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVHLHLCPRLLRAFASSTSRPLVATHTRTSPLRRTGPARPLAARNRRGAGSSMAAAPPAEAEDFATAADLQFEAPLKIVLYPDPVLRARNKRINTFDANLRSLADEMFDVMYKTDGIGLSAPQVGVNVQLMVFNEAGVKGEGQEIVLVNPEVYKFSKRLVVDEEGCLSFPGIYANVLRPDTVKINAQDVSGAKIKVRLSQLSARVFQHEFDHLLGILFFDRMTVDVVESIRKQLKVLLQMFRQAELHIEEFVEQFVVHTAVILAMAVLHV >cds.KYUSt_chr3.30593 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191854809:191856858:1 gene:KYUSg_chr3.30593 transcript:KYUSt_chr3.30593 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVSDDDAAAAPRRARAGRRKVVRSLGQRALRLLARWWPILLLLPAVALLLFEASRLRASPSPAPPVSSLGRLDPTTRLVHGVREPCLKFLSPKSIENLVFHGGSGLDAVVKRIIYKSDDDDYDSYHPEANSTYLLQHAEATRFNLFTGFQTLAEREDSFKVNETVNVHCGFYSDNGGFRISDEDTRYMRACKVVVSTCAFGGGDDLYQPIGMVNSSIGKVCYVAFWDEVTLSTQEAEGKVTGDNGMIGRWRIIIVKNLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARSNVYDEGKAIVQKHKATPEEVEVQLTQYRRDGMPDDKRLHGLKG >cds.KYUSt_scaffold_869.2054 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:12719752:12733905:-1 gene:KYUSg_scaffold_869.2054 transcript:KYUSt_scaffold_869.2054 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDGGNSPNTVSSPDARPSSPLPATNSSPPQSARRAGGRRRRGSASPFASSPSLGGFETPPPPGRRTPSGAGASRQRQNWTGRFPPTPSTPMSTDDVPLSSEAGDEDTPETDGGGGVDATPVFVWGTNISVQDVNAAILRFLRHFRDPRDAGRVDPVMDEGKYMRAIHRILELEGGESLDVDAHDVFDHDPDLYGKMVRYPLEVLAIFDIVLMDLVARIEPLFEKHIQTRIYNLKSSICLRNLNPSDIEKMVSIKGMIIRCSSVIPELKEAVFRCLVCGFYSEPVMVDRGRVTEPHICQKEQCKASNSMTLVHNRCRFSDKQIIKLQETPDEIPEGGTPHTVSVVMHDKLVDAGKPGDRVEITGIYRAMSIRIGPSQRTVKSIFKTYIDCLHIKKTDKSRLHIEDSMDIDNTNASKSSEDHFVRDKIEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNALRLPSGANFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSESRYNPRLSVIDNIHLPPTLMSRFDLIYLILDKADEQTDRRLAKHIVSLHFENPEVVEHQVLDLPTLVAYISYARNIQPQLSDEAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSEEVGVGDVREAFRLLEVAMQQSATDHATGTIDMDLIMTGVSASERQRRDNLVAAVRNLMMEKMQIGGPSMRMTELLEEVRKQSSMEVHLHDDLIIQIKGTDQPGYCVDVDKVEVGIKTLAGPTPSAVDPTKMTSEQLHAHFTHLLDGHARDVDARIGDVDAKLTDALDKLDGLETAFNSKLDAKFQEILTRLPPPCDNVRRHARRVPRADVPAGTAPAAAAVPDAPFDEGYEDYEGDEEELVDENVLDGEEVEQPAPGRPRQLNRNARPPPRLVRDDDHVAKLKLNIPPFEGRYNPDAYLTWELEVEQRFACLKYPDHLRVSAATCEFKDFASIWWSEHCRARPANIPTTWVGLKLAMRTRSVPPHYQRDLLKKLSHLEQGKNYVEDYYQELQTGMIRCGVVEDNEAMLARFFGGLNKEIQHILDYKEYNTITRLFHLACKAEREVQDRQPPWRRANVSAGRTSSWSPRQSAPPSRGTAPAPTTSKYTAPASRAPPAATPPPSAGPPRSSSSMASTGKTRDIQCRKCLGFGHIERECRTKRVMLVREDGEYDSASDFDEDTLTLIAARDGANSDSEREMEVMEADTTDQYRSLVAQRVLSVQLSKSEHDQRHNLFQTRGVVKERAIRIIIDGGGCNNLASVDMVEKLSLSTRQRTHPYNIQWFESSRKLK >cds.KYUSt_chr4.19653 pep primary_assembly:MPB_Lper_Kyuss_1697:4:123643985:123645907:1 gene:KYUSg_chr4.19653 transcript:KYUSt_chr4.19653 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVPWVRHDVACSPYLSPAPTSGIKKFRARKKKKKMLLGSSFVSPLQLHLTPSNAGGAMAARPALLGRISAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYHRRERIKKKYQAHDPDNQFKVGDVVELLRSRPISKTKHFLAVPVPPRDTRRKAQLLPPLESDVHAEQIVSTDISEEFIAEHRRMLQFEKRASRDPERAELEACKRALLLAKDKGVERIRLETDCMGVVSKLKGEGLDRSAFGPLVEEMKELFLGFDQVLVGHVRRALISVAHSLAREGCSNKRSATWLDSPPDFIVRNLASDMPF >cds.KYUSt_chr1.11129 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68124586:68128692:-1 gene:KYUSg_chr1.11129 transcript:KYUSt_chr1.11129 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASAPLPYSMRDVDGGAYNNAKFRQRSRLKMATQALISKSSHYQCGKFTLGKFLSLLMVSGLVYLLVHKSSEGFVSGELHDKVQSRHASKDSPNIRTFWRKPPRLPPRLPPNEMYKNSSTLHQSPPSEWASRQKKVKEAFEHAWSGYRNHAMGYDELMPLSHRGVDGLGGLGATVVDSLDTAIIMGADDVVSEASKWIEDNLMKKINEKGQVNLFETTIRVLGGLLSAYHLSGGDQAGGGDSGIPVTPKKTNPDRLLEVSKDLADRLLLAFTSSPTAIPYSDVVLRDRSAHASPDGLSSTSEATTLQLEFSYLSRISGDPKYDLEAMKVLEHMRTLPTVEGLVPIYISPYSGQFSGENIRLGSRGDSYYEYLLKVWVQQENYRNTSLKYLFEMYTEAMRGVKHLLVRKTTPNGLVFVGELPHGRNGAFSPKMDHLVCFLPGTLALGATKGITKKKALESNLLTKEDIENLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGNEGGPDGGNKSSEYINDITIKPLDRHNLLRPETVESLFVLHRITEDPKYREWGWQIFKAFEKYTKVDSGGYTSLDDVTSLPPPRRDKMETFFLGETLKYLYLLFGESNILPLDKYVFNTEAHPLPVIRSAAQVSDTV >cds.KYUSt_chr2.42172 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262629805:262631829:1 gene:KYUSg_chr2.42172 transcript:KYUSt_chr2.42172 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLPPGGGVESRWSPFPLNRCGKELSFRFAQCVGGLLSVVVQEARVEVACVHPGVRVCSVKSGLYESRVDEDGRAASIVAGELYADEERRFLLFLVIPRAEETDGDATTLLKVTCAYRDAAAGGDINVTAEDTVVARPEHAADVARSVEVDREHVRVEATDDIAAARAAAERGAHQEAVEILENRRRAVALSDAARGGDAMIAALEMELRDMRRRVSSRQSYARSGRAYMLAGMSAHMQQRGSSSQLQLPSVIGFDSGRVTTSMAGTNQVSQQVAATLPYATPATLAMLLRSRKAREAAAESEQQQHKVQEGTEGSEPKVPEELNQ >cds.KYUSt_chr1.20936 pep primary_assembly:MPB_Lper_Kyuss_1697:1:123616650:123617645:1 gene:KYUSg_chr1.20936 transcript:KYUSt_chr1.20936 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHPAARNSRPQPKKQLQFGRSPGLGPQQETVAPVQQRVKKPHRFKPGTVALQQIRKYQKSTELLIPFAPFVRLVKEVTNFCSTKVYRWTPQALAALQEVNAETCHVPLDLMV >cds.KYUSt_chr2.4599 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28423197:28425884:1 gene:KYUSg_chr2.4599 transcript:KYUSt_chr2.4599 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVARKQQAVTANVDQVTGERIPKSFVFSRGKLPSTLRHLQQDLRKLMLPYTALKLKEKKRNNLKDFVNVASPLGVTHFLILSNPKSLPHLRFAKSPQGPTYTCEIKEYALAADIANSQKRPRCPPEIFKNSPLVVLSGFNGLGEPYKSFVTFFRHLVPAIDTDTVKLATCQRILLLQYDKETELIDFRHYSIKLQPIGVSRKIRKLMQNNQVPDLRDLKDVSDFVTKAGYGSESEPDDEAATVSLVSDVDKLNKASRKSAIRLQEIGPRMTMHLVKVESGLCSGDVLFPMSVGKEDAKKEEEEEEIEDAEDLMELEDGSDDDSGDEE >cds.KYUSt_chr4.2609 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14626431:14627420:-1 gene:KYUSg_chr4.2609 transcript:KYUSt_chr4.2609 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATKNKNQPPPPAPAPAPAAKFEWAEKAGSYVLRLTLPAGFNKDDFRVQVDGAGRLTVRGSRPATAAAGPGPGSLHKVFQLPSTANLDEIAGRFQAGVLTLTMPKRASPLAPAPTSIEEIKRKPDVGKETKANEEDALKKAAMDRKAQQQNQHEEEEKAKSRKQEQQKPALPPAMVKKEQEVKPKAPLVATVPPPVKKEEEMKPALPPAPKPEAATVVDKAKPTVAQESPAETVRRPGEEEQRAKAAADKQVKADREKKVLAAVSGWKERSMRELKGLTDMKWAEGLTDMKWADGVVEAARNNKEVVAVGIAAFSLGFLVSQKLFRK >cds.KYUSt_chr6.31263 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197863740:197868955:1 gene:KYUSg_chr6.31263 transcript:KYUSt_chr6.31263 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMGSQALPSSNIQSTQPGYPTVFYPPLSAGWSPQPMFPMGASVPVSSYYIVPMSQQAVQAGASRPETSHPLGAQVQSMSRVSLRPPQQVLNVQTSLPVMMGSQLSPSVAGKKLQQTAASPKVQMMKSAASAKRPAQKELSPKVQPQPQQFESVRSKFRESLSAALMTDSDQQDKKQAAENLQSDGPADQKKNVEVDEVQDLVSITSKDASTTNSEAGNADGEKDGIEKLGSGLVSDVITITDDDMQQQLNHLSSEGEALGQSMVVADELLQGHGLSWVSDFDIGISEPMTQPNLKRDRTCDIESGVTESLAESESKRMKSADEAAADKGSIIQKAERLAFRIEEELFKLFGGVNKKYKERGRSLLFNLKDKGNPELRLRVISGDIPPERLCSMTAEELASKELSEWRLAKAEEHEKMVVLPNTEVDIKRLVRKTHKGEFQVEIEEPDGISVEVELGGNVLSHVPSKPVEDESKTNDETSMDDKAGVEGKDKGSDGMSQDEDGGSGDNDSSGNVDYIENEKADLIDELMVDDLKDAENLPPIPSLDEFMLGLDSEPPFENLSVGTPQKDLSDSDEPSSTLESEKLPETEDKLPAEKKTESESDGPSLQVKSESKSESPKHEVGSNLVPDVPPDGQLIKSSPDRVESKEPAAANISNPVSTKNHVTTTVPMIRESIWEGAIQLTLSSLTNVVAIFKSGEKPSGKEWRSLIEIKGRVKLSAFQEFLEQLPKSRSRAIMVTELLWKEGSLESGRQHLSQTIDSYIADERVGLAEPADGLELYLCPPQGKTVEILSRHLPKEHLDGLVVAATSIIGIVVWRRPSVPRIPSHQRHEGSKRQSILGTPQVTGSTSVRRSSALQNSYGAPPGFPNQRHQHEPEEDVTDDVPPGFGPGVVARRDEDDLPEFNFVNSSNPAANVTTHAFKGRQHVPARPVEHIRELVQKYGKRSSIESRRWDDDDDDDIPEWNPIQQSRLTQTQQPLPPPPPLPPIQQIHPYQQQQYNHPNAMQPLQQQVPSNNPLLSQAYLQQLQQQQQQLQPSQTWQQQPNAWWPAQAQGVAAGSPAPVPQYVVTVPNSNSAQGAQGYSAANLGGMPWRPR >cds.KYUSt_chr7.30958 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192837769:192838971:1 gene:KYUSg_chr7.30958 transcript:KYUSt_chr7.30958 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLDGIAIPYWQYVFPAAVLTTCLVILAAVSLPGRAPLILPAVTSRTDGPGSSNRSSCNIFKGDWLPDHGAPSYTNETCPVIHGHYDCMRYGKPDLEFLRWRWQPDGCELPRFDAARFLGAMRGKSVAFVGDSLARNQMHSLVCLLSRAERPVPWTNGGYLYRYERHGFTVADFWSPFLVRAAETDPDGPARNGAGLWSLHLDEPDPGWAAHVSKFDVVVVSAGSWFYRPSVFYERGRVVGCSGCLAPKVTDLTLRYSLRAAFRSALRAAVGASSGTGTVVVRTVSPAHYENGTWNDNGDCVRTRPLRRGEWEMDVQQKEMHRIQVEEFAAAEAAAKGKGVRMLLMDATEAMAMRPDAHPGKYRLWQPDKFRVSRDCVHWCLPGAMDVCNDMLLHMLID >cds.KYUSt_chr1.36153 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220384371:220388342:1 gene:KYUSg_chr1.36153 transcript:KYUSt_chr1.36153 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQQRYKDMAGSKNKEFQFQHCFSILQHLPKWKLRDNEPKCKKEALLTMDDEAEDMSGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSKVKLAKVQARREDAKLKAELDMKMIAAKEAKTMKELLAEEREIMMMRTDGMDEDQLAWWNETKADIIARKKAARQARAQATSFLEAGGSVEVRVVLAPLTRFRSYGNLAQPHNVLYYAQRSAPGALLIGEATAVSETARGYTNVPGLWSQEQVESWKPVVDAVHTKGAVFFCQIWHTAPASPTDSQPSGQASVSSTDKQVTPQVSHERIVREFATPQRFETEEIPHIINDFRIAARNAIRAGFDGVEIHAGNGYLIDQKDGTNDRADVYGGSLENHCSFAAEVIAAVGAEVGVDRLGVRLSPFSDYVDCVDSDPEALALRVIDFMNGLGVLYCHVVEPRMYVNKNDGKLMIPHGLLPFRTAFKGTFMVSGGYDRGEGDKAIADGYADLVSYGRLFLANPDLPERFRKNTSLNKYDRSTFYTSDPVVGYTDYPFLDADIKEIVRQEPKQQQLCAKDYKGPKAVIKSCNPEATTGDGTLIVPCSLIA >cds.KYUSt_chr6.22038 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139267817:139269154:-1 gene:KYUSg_chr6.22038 transcript:KYUSt_chr6.22038 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPSAATTASQQPDQFAASILNQHQQNPSRQFSPQIPLSPAVSDPASAAAGGRSMDLQPRLQAPSPPQAAEGFGAMHRSGPASRAPAVGQPPRYAAAGATYGAQVSFPAGVAQAMLGAQGQPRTLQGQDNRARYGLQFQPPMMAQPGQRGVVQGTQFSAATAQSMGGIAGMNQMRANPYSAQAQQRFAQMRPQQLPAGSSQNGAMVQMLPTQQAQSSMASPLSPHQIQQRFAQQVRPQQLPPAGSSQNGPMVQMSPTQQMQSAMASPLPPNQLQRDQMMQLVQQLQQRGLNRQQIAQALQRLPHLNAQHLNQQQRQQQQQQPSPRMPAPASQPMTHSSGGTMAAGAANALHRGPPGGGGNVSQLLGKRKVQDLVAQVDPLCEVDPEVEDLILEIADDFISSAVAFACRLAKHRKSSVVEAKDVLLHLQKNCHLSVPGFSQERK >cds.KYUSt_chr6.6938 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42021773:42025291:1 gene:KYUSg_chr6.6938 transcript:KYUSt_chr6.6938 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRRPRPRQLARTNAMRNSSYSADAPADGEDDFLAYAAAGAGGGYASQTSFRISGGSGGAEEVAELFRMLGLSGPEDFAIPPAVYAAAMSHLPNAARRRASLDESPRSGPEASSSSSSSSPSETPETCSHAVVLATESPQSEITQVTARTPAVYAAAMPHLPNATRRRASLDESPRAGPEASSSSSSSSPSETPETCSHAVVLATESAQSEITQVTARTYQAPGAESQTRLVQQEVLETTSTSKVTPASKPGNAGEDKGNDKPAKAETLRKERRRELVAVETTREATGGGALAVVVAESTSADVEHWVSPSPHRRFRRTITSWIKGEHIGSGSFGSVYEAISDDGFFFAVKEVSLIDQGINAKQRIVQLEHEVSLLSRLEHDNIVQYYGTYKEDGKFYIFLELVTQGSLAALYQKYCLQDSQVSAYTRQILNGLNYLHQRNVLHRDIKCANLLVDANGLVKLADFGLAKEMSILSQARSSKGTVYWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWVCTSVNLLFGNILILNTPSLLVYKAINSYSRYQENKAN >cds.KYUSt_chr2.15017 pep primary_assembly:MPB_Lper_Kyuss_1697:2:94616062:94617748:1 gene:KYUSg_chr2.15017 transcript:KYUSt_chr2.15017 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPTPPRLAQQHHHRTVTTSSQDAMASDEEAFEEVDPTGRFGRYAAVLGHGSVKKVYRGFDQEEGIEVAWNRVRVRALADKDPAMVDRLHAEVRLLRSLHHDHIIGFHKVWLDRDSGVLNFITEVCNSGSLRDYRDRHRHVSVKALKKWARQILEGLDHLHTHEPCIIHRDLNCSNVFINGNTGQVKIGDLGLAAIVDNTHMAHTILGTPEFMAPELYTEAYTESVDIYSYGMCVLEMVTREMPYSECESVVQIYHSVTRGVPPAALRRLKDPELRGFIQRCIGQPRNRPSAADLLRDPFFNGIDDDTTGTLS >cds.KYUSt_chr2.25861 pep primary_assembly:MPB_Lper_Kyuss_1697:2:158246243:158249395:1 gene:KYUSg_chr2.25861 transcript:KYUSt_chr2.25861 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGTAHLLLRRSSFLLTPSPPLRRNPVLSSLFQVRAAMAADAASQFQKIQIQREDTTFDAYVVGKENAPGVVVLQEWWGVDYEVKNHAIHISQIGDGYRALIPDLYRGKVALEVAEAQHLMDGLDWQGAIKDIQASVKWLKENGSSKVGVTGYCMGGALAIASGVLVPEVDAVVAFYGTPSSELADPSKAQAPIQAHFGELDSFVGFADVTAAKSLEEKLKSAGVSHEVHIYPGCSHAFMNASPEALERRKGMGLNDENQGAIDLAWSRFSTWMGRFL >cds.KYUSt_chr4.10262 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62117420:62122642:1 gene:KYUSg_chr4.10262 transcript:KYUSt_chr4.10262 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAILRRRALPSSCLHDVYIRCLLSYSDLHSSVNSANLRFWRGCHNSGKIDLTDMTHPHLWYPNAREKKRKVFLHVGPTNSGKTHNALKRLEASSSGVYCGPLRLLAREVAKRLNEASVPCNLTTGQEREEIEGAKHNSVTVEMADVTTEYQCAVIDEIQMVGCRTRGFSFTRALLGLCSDELHVCGDPAAVPIIQRLLEATGDVITVQYYERLSPLVPLKTTLGSFSNIKEGDCMVTFSRHEIYKLKKKVEMAGKHLCSVVYGSLPPETRTKQATMFNDESSHLNVLVASDAIGMGLNLNISRIIFSTLKKFDGVCTRELTVPEIKQIAGIIFAS >cds.KYUSt_chr7.21306 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131956590:131961149:-1 gene:KYUSg_chr7.21306 transcript:KYUSt_chr7.21306 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAHAVEVPASAAKPVPRSTTIAGRRPPEEGASRSYEMEVQPFAKKRKEMEVQPDAVRDWSELPLDVLALVFAKLGAVEVLMGAGLVCHSWLEAAKVPSLWRYVDMEHHEVLRGKKKKARDVLCAMAKAAVDRSNGELEVFAGSEFVTDDLLKYIAERSSSLKGLFGLGGIHQGMRGQCLGHFWACFCYYFDGPGRTTAPQEWALDARPCSWILCEKAPQKEGFYELCLVDTWK >cds.KYUSt_chr4.42647 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264024442:264024773:1 gene:KYUSg_chr4.42647 transcript:KYUSt_chr4.42647 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSTAENDRFERALATYGGDTAGLWERVAAAVGGGKTADDVRRHYALLTEDLGDIERGRYGYPSANNASHRNNGSNRTNRAQT >cds.KYUSt_chr4.14670 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90524782:90527362:-1 gene:KYUSg_chr4.14670 transcript:KYUSt_chr4.14670 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAWALLLLLSAAGRCAGQALVPGVMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPATHAPTGRFCNGKLATDYTVDNLGLSSYPPAYLGEEAQTDNRSLLHGANFASGAAGYLDATAALYGAISLGQQLNYFKEYQSKVAAVAGSSRAAALTSGSIYVVSAGTSDYVQNYYVNAMLAAAYTPDQFADALMTPFTAFIESLYGLGARRIGVTSLPPMGCLPASVTLFGGGGSNGGCVERLNNDSLTFNTKLQAASDAVKKQRPDLKLVVFDIYNPLLNLVSDPNSAGFFEARRACCGTGTIETSVLCHQGAPGTCANATGYVFWDGFHPTDAANKVLADALLLQGLQLIS >cds.KYUSt_chr5.41462 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261612054:261612473:-1 gene:KYUSg_chr5.41462 transcript:KYUSt_chr5.41462 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKWQKMAAMGRKRVTRTTSTAKRAADECCTTSPVAVKGHCVVYTADGARFEVPLAYLGTAIIGELLGMSHEEFGFTSDGRITLPCDVAVMEYVMCLLRRNASEEVERALLSSVVKNCHQDRVLEPSMGIRSVAACSF >cds.KYUSt_chr7.1393 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7644850:7645233:1 gene:KYUSg_chr7.1393 transcript:KYUSt_chr7.1393 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAAATVPLPGRVAGSRRCVVARASATMAPAVLAGRTHYEVLGVGAAASRGEIKAAYRRLAREVHPDAVGGGGDERFIRLHAAYAALADPDQRARYDRDVGAAMFRRAAAAPGFRRRTWETDQCW >cds.KYUSt_chr2.37115 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229687413:229693070:-1 gene:KYUSg_chr2.37115 transcript:KYUSt_chr2.37115 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMADDPGRRRTRRLRAKQREREGVEGAPGHGKLEGDDEDDEPHLMVPSEWWGEPWSGDAMARWCSRWLFGSLSGDDEDDEPHPMVPSEWWGEPWSGDAMASKSELQEAMEELGKRMDAAEQKIQALREDLNRRFDQLVEMIRKGVSPSANDEESSKDGDDAQRRRKGGRLGAKTPQPHVVQRTTRRPTYAETSEGEEYVEDLEEPDPYAHPRVPNTTYARDTYKVKAEIPTFNGNVDI >cds.KYUSt_chr5.20863 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135460313:135466990:1 gene:KYUSg_chr5.20863 transcript:KYUSt_chr5.20863 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGGEPGVAGGVGARSAPSPRPPCAASRRAVAAYGDGDGDGDGGDLPEVFERLHADVHVDLVSAARCVGASSSPPPPRCAASPLALLPLHGHGVGELRVSLREVFEWLVDSGYVESVASRVGASSSSRLPRPRRAASPPALLPTHGHGGGGLRLRVSLREVFEWLVANGVEPVASCVGASSSSRPPRCAAYPPALLPSDGHGGGDLRLRVSLREVFEWLVVNGYGYVGVASAASGVAAGWPTLPPQCAAPPSAVDASGGHGGGDLRDPLRDFLERLVAEGYVHLASPAFLCPFRSCTINIGRLASYLMNLNAQHSNMLLINQKNTTEAYYPQGQSVFHQHHPRIQELNVDGTNFNVYEEADDLSDALALFIAKPKLFAQPSLLLPEIGLKSQIYATTDVMSAIREFDHATNPQFIDSCTSAPQFCLTAANMSRGSQFGLLHHEHGTSTDRWMTHEVLTCRQCEISAATIQYLFHWNSILEQKLEELSQHNIAAVQDRSISAHDIGPLKAEAVHDSVRNLKPISVRVPKLPPVQFPSCQVESPDLLALAVPPYMEQLLIIKYKLKNNGPPVKVCLYMGERCEWKVQLQWMAQRVGFIKSWSEFAARLGLHVDDAIVFTPKDDGFKVDVFRKETSCSSIFSCKRHREGPYVDPRR >cds.KYUSt_chr3.15480 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94797528:94798826:1 gene:KYUSg_chr3.15480 transcript:KYUSt_chr3.15480 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRSGKEPRKRVYVCPEKTCVHNNPARALGDLTGIKKHFCRKHGEKKWKCDKCAKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEETARITAANSGAAAAGQGYLFAGSAPAGMAVRPHSMMLPPPAASHLMKPAGGHMMGHAAGAVGDMLCEGAAARHGGLSLWGGENTLPSSMGMQHIGGLLASGGAGAPMPPQMYADLFAPTSAGAPQQFDMAQLSWMYGNSGGSGKLSSSNASELTTNSSREADSAPSVFSGQQHAKPAAPPADMSATALLQRAAQIGSVTSSNNATMPVMGAFEPAPKSAARDEERNKFFFGASQHNANVSGAMSELTAATGSMPYDLFSAARHAGLKDAVGREETRDFLGVGMQQALCSSSIHGWI >cds.KYUSt_chr7.34383 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214741123:214742091:-1 gene:KYUSg_chr7.34383 transcript:KYUSt_chr7.34383 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSDAACPSEVETELFPFIRVYKNGNIERLFGTRTVPASVDATTGVASKDVTIDPATGLSVRLYLPAAAAGGSAKKLPVLVYVHGGGFMVESAASPTYHRYLNALAARAGAIAVSVEYRRVPEHPLPAAYDDSLAALAWAVDACAAGGGGSEPWLAAHGDASRVFLAGDSAGGNIAHNVALRAAAEGAAIAGVMLLHPFFWDPSNTMSPELEVRIRREWAFTCARPDAEVNDPRICPTSAGAAPLLAAMPCGRVMVAVAENDFLAPKGRAYHAALLKSGWRGEAELVDTPGQDHVFHLLRPGTEAAAEMLGRVADFISRA >cds.KYUSt_chr6.1917 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11485080:11490998:1 gene:KYUSg_chr6.1917 transcript:KYUSt_chr6.1917 gene_biotype:protein_coding transcript_biotype:protein_coding MALWYGVWLRLVRSQAKGSIREKSGTVIAFCPNNNEVHIYKFIADKWEKLHVLSKHDQIVSGIDWGKSTNKIVTVSHDRNSYVWTQEGQDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCICYYEQENNWWISKIIRKKHESTVTSIAWHPNNIHLATTSTDGKCRVFSTIIKGVDTRGSQGSASADWKFGEQIAQLDLSTTWAFGVRWSPSGKTLAYAGHSSMIYFVEDVEASPAAQNLALRDLPLRDILFVSERMAIGVGFDCNPLIFAADETGLWSFVRYLDERKVTPSASKASQLSEALGKLYGQSRQGSSDTVEPSKPRGGAHENCITCIVPLRKGSETIIKRFSTSGLDGKIVVWDLENHVAITK >cds.KYUSt_chr1.6023 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37025353:37026809:1 gene:KYUSg_chr1.6023 transcript:KYUSt_chr1.6023 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSLVSQLGLKAAVLVVVCLLLHGGGSGVAAKELCVDYYDHTCPNAYKIVQGVLVEAHKSDPRVFASLIRLHFHDCFVLGCDGSLLLNTFPGFESEKDAVPNNNSARRYDVIDAAKASLERACPGVVSCADILALAAEISVQLSGGPGWGVLLGRLDGRTSSKAQAENLPGPFDSLKNLTDKFSAVNLDVTDLVALSGAHTFGRAKCLFVTNRLYNFSGTNQPDPTLNPAYRAFLSARCPRNGDGNSLRDLDPTTPNTFDKNYFTNLEANRGYLDSDQLLKSDPGALRTTAPIVDRFAASQDAFFKSFALSMIKMGNILPITDPSRGEIRKHCAFVN >cds.KYUSt_chr3.19746 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121515403:121521683:1 gene:KYUSg_chr3.19746 transcript:KYUSt_chr3.19746 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFYAVGFWIRETGQALDRLGCRLQGNYFFHEQISRHRTLMNIVDKGPHVHREAFVAPSASLTGDVQVGQGSSIWYGCVLRGDANNVQIGSGTNIQDNSVVHVAKSNLSGKVFPTIIGDNVTVGHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTDEEIAFIAESAAKYSTLAKEHAAENAKPLESIEFMKVLRKKFAHQDEEDDSMLGVTREASPELAPSSATPAQ >cds.KYUSt_chr2.14459 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91455667:91458659:1 gene:KYUSg_chr2.14459 transcript:KYUSt_chr2.14459 gene_biotype:protein_coding transcript_biotype:protein_coding MERYPSTPHTSLPSPPVPFLVGGSSGINNSGTQGSVVALQRRRRTRRGIGVERAIALMGRRWQRMPRQRRRRAWLRGGAGTSRWALVSVMGNEGDVVVEEKIRLDEIRSDYTMGDINNTHGGGAAGATFPVAMYVLFLSYLALLLVSCSDLMHVLSLMQFSPSGFAAALKPSPFTGSHFKRWQNKTLLWLTSMGVHRVAEELEYGMEFEYLFGVPDEIPDITRSSGMVLEGSRKVRKKPPRKVESRRDSTSMAGQP >cds.KYUSt_chr4.39492 pep primary_assembly:MPB_Lper_Kyuss_1697:4:243760298:243760637:1 gene:KYUSg_chr4.39492 transcript:KYUSt_chr4.39492 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGFLVVSATAGSRVLGLPTGSLRWGRRTDARCHRIWLKKMTPGFPGTDDVDLRWEPEEGDLLELL >cds.KYUSt_chr5.24157 pep primary_assembly:MPB_Lper_Kyuss_1697:5:157086120:157094281:-1 gene:KYUSg_chr5.24157 transcript:KYUSt_chr5.24157 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTPDNSLPRVFCNSWIRAIKVSIDALSSSVASASPGAAAKDFLGAIKRRDVVLRTSMVLLLNVQPLLVPSLNRVGKLDVEVVNEWANRNYNAAYIIGDIEARPLRRQKKMRFHSARLGLDAKEGLAKSDENGDVKNGVRREVVELRTVDKKRVHGEKDEWGRTGPRMRTPKLRSISECRKFAKVRPQIRSAGRGIAEGVLCYFDPYQRDTKKNPNGRVSRHPEVRWAQRIDKVYITVQLPDAKDAKVNLEPDGVFSFSATAGTDGNSYESKLDLNDKVNVEASKISVGVRSIFCIVEKAEAKWWNKLVRDDQKAPHFVKVDWDKWVDEDDDGADINVDGMDFANMGGMGGMPGMGGMPGMGGMAGMGGMGGMGGMGELANMMGGMGGMGMPHGMGGMGMDEFDDESDEEGEVSKPQEEGKADAAKKPEEVGARVDTEHVN >cds.KYUSt_chr3.46109 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290302717:290304304:1 gene:KYUSg_chr3.46109 transcript:KYUSt_chr3.46109 gene_biotype:protein_coding transcript_biotype:protein_coding MAETMLVQLVRCYNVLFVLVATILAKLKLRRGAGSLNLPPGPLTLPVIGDTHNLLGALPHQAMHRLAQQHGPVMLLRLGDVHTLVLSSAEAAREAMEVHGFALADRHEYPTAGELLGPTRVRSFRSVREEEAESLASRIFFTMSYMGPVPVRVDEVVKGMMNNVFTRIAVGVLAKAKTNSFYLRVLLGDRRPQWEAYLEELDRAAGLMSGLSLTDLFPASRLARALRGGSLREARRRIQSIADAMIREHKTAMEREDEAGDVGHDAPTEDILTTLLRLQSNGGVTLTNESVSGILSDIFSMGSEAETTIIWAMSELMRNPRIMAIAQSEVRRVLHGKTVAEANIDGQLHYLRMVIRETFRLHPPLPLLRPMMCTERRRIMGYDVLPGTTVFVNLWTIGRDEQNWTNASEFIPERFEGEKGVYSDSDFSFFPYDVGQRMFRGKMFVVANVEFALASLLYHFDWNLPDGRNPEELDMAEAYGITTHRRTQLLLNATNHFGML >cds.KYUSt_scaffold_2697.634 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4053726:4059958:-1 gene:KYUSg_scaffold_2697.634 transcript:KYUSt_scaffold_2697.634 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASRVVVLVRDAAGYGAALADALRPSPGLTRDSSPFELPLAKYGLKDEKASGELVSFSDSTGAPQVGSAKAKALQTLTLRYSFTVLLSSVTFFIIFCGSFRENNGFQKFEYMNSLQALCIMWIFILGTHIFLHGSNYMNMEVDHDDEHGELRRNMASYDEARRAAEKHGVLPRSNDVSDMFAGAPQVPGGWRHTSLILALCQIEITSPEVAPQLNIATPEYLLQLGSGNTAAARRHTLR >cds.KYUSt_chr3.36543 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229758991:229759808:1 gene:KYUSg_chr3.36543 transcript:KYUSt_chr3.36543 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAPDCPYELELTNTFSLDIVVQGFVLKEPTGRKSYVKGKTIRWNVNSHNFTMDVLMDGLSSELRVGRDQSITVWYFNMIMAQDVKLTQSDDFHLMFDMYRAERRLALVVVVVDNSCSETLDPMLDNDDYVPEATIPDNDVLLLGLQGCPLTPSKNGASYSTSPLKQSAQVVPSTSTIETDPFDIVEEYVGVDDEYMYDVHVDVSATCVDKGGDVQGLRTIFPLGRTANTCFFVGNVQLTARL >cds.KYUSt_chr5.43423 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273618552:273618935:1 gene:KYUSg_chr5.43423 transcript:KYUSt_chr5.43423 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWQLQLAAPCGNGQWAALGVLLALLLILVAWVLGQVLPLQHVVAALFGDGEGRAGVQGVVICERHQPSPPRQRKWTPLEYKAAASIVREEDDPEEFPGLRKAQLESFAATDEFAEAWSAADHRRV >cds.KYUSt_chr4.34232 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210077427:210082961:1 gene:KYUSg_chr4.34232 transcript:KYUSt_chr4.34232 gene_biotype:protein_coding transcript_biotype:protein_coding MISTPHHHTVVLLGFHGGSITSDARWSGERTSSSTPYVWPRVDTQLKALGSRLGSGMTWLEGFDRSLINTMAIKGNSGEYRARSPLAMVVAVLLCCFFYVLGAWQRSGYGKGDRIAVAVTRQTACADASAAGLSFETHHAGANLSTSGLGEPPPTFAPCAAALADHTPCHDQDRAMKFPRKNMVYRERHCPSDGERLRCLVPAPPGYVTPFPWPKSRDYVPYANAPYKSLTVEKAVQNWVQYEGAVFRFPGGGTQFPHGADKYIDQLANVVPFADGTVRTVLDTGCGVASLGAYLDSRGVIAMSFAPRDSHEAQVQFALERGVPAFIGVLGSVKLPFPSSSFDMAHCSRCLIPWSGNGGMYMMEVDRVLRPGGYWVLSGPPINWKANYRKWERTKEDLSGEQKRIEEYAEMLCWEKLTEMSEVGVWRKRTDTAACPARPAAVRTCDPANPDDVWYKNMETCITQSTAAAGGQLQPFPARLKAVPPRISSGAIPGFTVESYEEENRQWEKHVKAYRKVNYKLDTERYRNILDMNAGVGGFAAALFSPKSWVMNVVPTAAELSTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGVFSLYRDRCKMEDIMLEMDRILRPEGTVILRDDIDVLLQVQKLATGMRWKTMMANHEDSPHIPEKVLYAVKRYWTADGDNSSEENGSSSEGKGSDV >cds.KYUSt_chr2.30896 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190328406:190331140:1 gene:KYUSg_chr2.30896 transcript:KYUSt_chr2.30896 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYNKCAPILPSHVHKPESVDRVDDKKATGPQGKIRSINHLTAAVVGVEVAKEALCQAESLLNVAPEVGLRPRAASDIRTDLEVGLVAMAGAGCGDGGDWPFSAAEAYADSSALLAELGWAAGFMDDDGCAGELLPPLDLPPATPAGSVDGAVASSTSTDDGATPEAADADGKPAATTEAASKPAPGKTTKGQKRARQPRFAFMTKTEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSIVITTYEGQHCHHTVTFPRGASAATLAGQIAFSAHHHLLYNDLPPLHSSTAQNPLFCRPVLSSMLMPQHCNRQELQVASYSTQPSSIMSLPTSVPAVDKGLLDDMVPPAMRHG >cds.KYUSt_chr5.30190 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191480839:191482182:-1 gene:KYUSg_chr5.30190 transcript:KYUSt_chr5.30190 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFNFYICDEAIADIRKRKENIRMWSANVSDCRNDEFSDWPESLLAIGTFGNKQIEEVAQEQNSSEDGQSMQEAAKFTEEEVDKIQKEFAMILASKDQTKTYDSQDENVNEKQMDRLMNKKIIRSKSNESLTKKGKTLKPRSVAALLKLLVCKGGFATAVPDPRNSFPQSRMEKLLKAILQTKIHPQNPAPLVPRKHLDWKPDQNEIDELLEDALSDVDDDDDGAKWVKTDSDCSKGFGKLGVHGVMIYIEVWDKVSNEATDYDVMPLCF >cds.KYUSt_scaffold_2697.741 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4697786:4700023:1 gene:KYUSg_scaffold_2697.741 transcript:KYUSt_scaffold_2697.741 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFWCTFEMMKKARKSWSVATAHREAAGRTRRLGDGRRRGDDDGLREEDGGVGKRPSSSWRLLLREVDEDEEETTAVLFCYSDLASMKCSLSFPSRHLVPDPPSHFMRLITFPLPAAVRVSCRRRSLSIQDGLDSQYLYSPGGANLLEEIAND >cds.KYUSt_chr2.5540 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34290869:34291884:-1 gene:KYUSg_chr2.5540 transcript:KYUSt_chr2.5540 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLSVTKSGAAGRVSSCSTRKLSTSSGSGRLAGKVAIITGAASGIGKATAVEFVKNGAKVVITDIQDDLGHAVVAELGGSNTAFYARCDVTNEAQVAAAVDLALARHGRLDVMFNNAGIIGTTYAGGPIESMDLADFDRVMAVNLRGVAAGIKHAARAMVPRNHGCILCTASTAGVLGGAAPHAYSVSKTAIIGMVRSAAVELAARGVRVNAISPYGIATSMGTRGVREMLGLPPVGTDTDDEEVVRRVFEEDFNEMGGGVVLRAEDVARAAVFLASHDARYITGHNLMVDGGFSVGKPLNIPVR >cds.KYUSt_chr6.4037 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23364160:23368299:1 gene:KYUSg_chr6.4037 transcript:KYUSt_chr6.4037 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRKVEGEMALGRQRTVRFHEERGKPAMPIHQKQAASAATKLGVASPGKNKVFMAGDAQHHKIFDPSSDFILMWNRIFLCSCFLALFVDPLYFYVPKIVYGTAYSCVGTDRHLTVIITFLRSIADLLYVIHIIMKFRTAFVNRGSTMRVFGTGDLVTDPKEIAWKYLRSDFAVDVVAALPLPQIIVWYVIPAIKYSTSEHNNNMLVLIVLAQYLPRLYLIFPLTYEIVKATGVVAKTAWLGAVYNLLLYMIASHVLGALYYLLSVDRQTACWKMSCKNETRCDIRYLDCEVTPNQQWVSTTGVFSSCNASDTSIDFDYGMFLPALSNLAPAQGFLIKFFYSLWWGLQNLSCYGQTLSVSTYIGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITARVEEWRLKQRDTEEWMRHRQLPRELRERVRRFIQYKWLATRGVNEESILQVLPADLRRDIKRHLCLGLVRRVPFFSQMDDQLLDAICERLVSSLCTKGTYIVREGDPVIEMLFIIRGKLESSTTNGGRTGFFNSTTLKPGDFCGEELLGWALVPKPTASLPSSTRTVKALVEVEAFSLQAEDLKFVASQFRRLHSKKLQHTFRYYSHHWRTWGACFIQAAWRRYRRRKMAKDLSMRESFPSMRSDDSDGEEEDPLPKKNVSLKMMAGKIMAGNRKGLHAIKELPTLKKPDEPDFSAEPYD >cds.KYUSt_chr1.28722 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173657865:173659767:1 gene:KYUSg_chr1.28722 transcript:KYUSt_chr1.28722 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAPVPASPGSSSQRKRGSTESIGLYAVQCCECYKWRTIPTKDEFETIRENFTADPWFCSKRPDCTCKDPADIEYDSSRIWVIDKPNIPKPPPNTERLVIMRGDLTKTDIYYVLPNGKRAKGTGDVQKFLDANPEYKDSLSLESFSFTMPKIVEETVSNSSAWKTKKTKKQDKTNASSSKN >cds.KYUSt_chr4.15933 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98591743:98594748:1 gene:KYUSg_chr4.15933 transcript:KYUSt_chr4.15933 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSNGRDATTRESVWIDRPTSPLLDPDFARDEMDSWWREDFVALEKKLVEALGRKQQGQQPVPLPPCAFYDLNTNTRIPRGMLRTYVYFFSPRDLPSDGGETRPPGTTVTMDGGTWRFCDRGLVTATDGRIIGHKTTFDLYIKGSDTRSEWSMDEFNTLGPDDIDLCLRRVYRRDNRWKDEERRRQNSPWPVMRERLLLQLRTSFASAPALLEKKERELEVACSRFCSRIEMDSWWREDFTALDKKLVEALGRKQQGQQPAPLPPCAFYDLNVLAFHPQQLCDEYKNPAGDDAHVYFFSPRNPPSDGGETRPPGTTVDGGTWKICYSIPVTAPAPAGGIIGHKTTLVLYAEGSDTGSEWGMDEFNTLESADLCLRRVYKRDKRWKDEERRRQNSPWPVMRERLLLQLRTSFASAPALLEKKERELDVAWARYWNQ >cds.KYUSt_chr5.28646 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181313753:181318717:-1 gene:KYUSg_chr5.28646 transcript:KYUSt_chr5.28646 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPNAPHSASTAPPNTAVAAPAPVYNARINAAGPAPRHPVPVPFDGGFRPFAVPPTAPYPASFYQMRRPPVCGVVKSWNPVRNPDGTRTAFGFCEFDSAEGGLRARKLLNKLSIDGQELVLNVNEATELGENTTEQNAREAETKTMDGMVCLADNGNDSSRAIPDLTEMGAVVGGQMSSQGKTKRCHTEEYSDSEKDAMQKIRFMIEDRMKSKLQVREDGEVSEDGESSVQIREDGEVSGDGESSLQIKEDGELSEDGTSSLQIDAVSSMHIPMDCEPTVDHKRKVSEDGTSSLQIDAVSSMHIPMDWEPTVDHKRKRQHMESDGFHKSSDEETGIVSVPALVSDKQDSGAPGEKVGLQLQAPSKSGNEETLDAKQVLAAVPKTKEELFAYDVDWAIYDKHGLHEKMRPWISEKTTEIFGEEIPEFVEYVVASTKEHVEAPRMLEALASLMDHSAEKFVLWVWTKLIFEIKKAETES >cds.KYUSt_chr2.42310 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263550294:263551004:-1 gene:KYUSg_chr2.42310 transcript:KYUSt_chr2.42310 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVGTINLFVGLAGVSDPTEARPDRLHDPYASGQLLTATLPLSGKAFLGDKSATEDDADLAAAASVAGSIVAASLGDSITLVTHPSDFEDNTILPLFDSDSEFDSHMDIATPGGNNITSYAIFMDNLINSAEDVKYLHDRGIIEHWLGSDAEVAELFNRLCLEVDFDINDSYLSGLSDHVNRYYDYKWSTWVASLQHNYFTNPWAIVSAVAGVFLLLHTTLQTFYSAYSYYRPPT >cds.KYUSt_chr1.29934 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181289567:181292391:1 gene:KYUSg_chr1.29934 transcript:KYUSt_chr1.29934 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMTKFFDFFTGGDNIPWCDRDIIAGCEREVAEAATEEQKNDSMMRLSWALVHSRQTDDVNRGIGMIEASLDKSTSPLQTREKLYLLAVGHFRNGNYVRSRQLADRCLEIQPDWRQASSLKKAIEDKIAKDGVIGIGIATTAVGLIVGGIAAALARRN >cds.KYUSt_chr1.8106 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49797441:49798358:-1 gene:KYUSg_chr1.8106 transcript:KYUSt_chr1.8106 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAATMLLLLLVALLAAPTALAGGEKIPTLVFILAGQSNMGGRGGATVGGRWDGYVPPQCAPSPRTLRLSPALQWEEAREPLHAGVDVGNVLGVGPGMPFAHAVLRSARVPKGSVVGLVPCAQGGTPIANWSRGTDLYDRMVTRARAAVAGTGGRGRLAAMLWFQGETDTIRREDALAYAGRMEAMVRDVRRDLAMPDLLVIQVGIATGQGKFVDLVRKAQRAVRVPNLRYVDAKGLPIANDYTHLTTQAQVRLGAMLAEAYLATLH >cds.KYUSt_chr6.11036 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68460822:68463604:1 gene:KYUSg_chr6.11036 transcript:KYUSt_chr6.11036 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRPQSASTAGFAVVLLLYAISVCSLLPAVVAQSATFTRTVGGTDFTTFSFPSFESTLMKLPGNLTFSNNATVSSHALQITPDTMNDPDTFLVNRAGRIMFATPYVLWASNASNSSADGRRVASFSTVFNINLYRANASVKGEGLAFVVASGGGADPPPGSVGQYLGLTNVSTDGSAANEFAAVEFDSVKQPYDPDDNHVGLDVNGVQSKVAASLTPFGIQLAPALTNTSTDKGTYFVWVEYNGTSRHVWVYMAKTESKPATPVLNASLDLSTVLLNKTAYFGFSASTGVAYQLNCVSMWNMTVEILPGSAIPGKKEALSGWKLGLTIGVPSAVALALGLFLGLYIRNRRRRIGDDPNSLFHNTIDLTSMAGVPKEFDYKELRKGTNNFDEKMKLGEGGYGVVYRATVVGEHGQTVEVAVKQFSGANTKGQEDFLAELSIINLLRHRNLVKLLGWCHQNGVLLLVYDFMPNGSLDRHLFGGPESPILTWEQRYKIVAGVASALNYLHHEYDQRVIHRDLKPSNIMLDKDFNARLGDFGLARALESDKTSYTDLIGVPGTLGYIAPECFHTGRATRESDVFGLGAVILEIVSGRRVSYSNQVGCSQLLEGVWQLHGVGGGRVLEAVDRRLADGEFDEDDAERLLLLGLACSHPNPGERPRAKEIVQILTRAAPAPEVPAAKPAFMWPVQPVAAFAGEDGELPTSGVSTAMTSSSSYSYYASSAGWTTQNYLLSRDHDLITDRDASTV >cds.KYUSt_chr1.28145 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169761966:169764236:-1 gene:KYUSg_chr1.28145 transcript:KYUSt_chr1.28145 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQMYRSLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEDGDYEEEEEAEQE >cds.KYUSt_chr7.14337 pep primary_assembly:MPB_Lper_Kyuss_1697:7:88600036:88601787:-1 gene:KYUSg_chr7.14337 transcript:KYUSt_chr7.14337 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLPNGESRRDGRLGALWTAHNQPPQPFQSIAALSASLVVAVYKHGRSQEARGVVVSRVLIPAPNSNCVHHCKTRSRAHATDRQPILAAATMAARMGVVEWTRGPTIGRGSSATVSLAVDRLTGELLAVKSVGADRAAELRREQSILRSLSSPYVVRCLGSEVSASDDGSGGYDMLMEYAPGGSLADEIRRHSGRCDETLIRSRARDILLGLAHAHSAGVAHCDVKGRNVLIGADGRAMIADFGCARRVGSGIAGERAMGGGGTPAFMAPEAARGETQGPAVDIWALGCTIIEMATGAAPWQRFASTVATLHHVAFSGEAPELPRWLSEEGKDFLGRCLLQDAGKRWTAEQLLKHEFVAAAAASSYSFVPVIAEKELFVSPKSVLDQALWEEDDDDTTANTATVCPIDRVRALAAGAPDWTWDASWITVHSSGPTVHDDDEPAMSPEADTDGDSPVGGSSSAGRVADAGASNSQASSHADGDRHDDTSSCKCNGERSDDGDHVISSECTAILPITSNGFFSDMLLFVPAGCASLPFPLLLFVLSFVSPLRSAPLLEHPHSLPLPTPKSGEIFVRLEKDLV >cds.KYUSt_chr1.3494 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21203184:21207290:-1 gene:KYUSg_chr1.3494 transcript:KYUSt_chr1.3494 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAFAAHPATAAALQRHSVRPRQLFSAPIATATPTRRSRAAMLSVKNAVASQSPLTARAAPRDLSFPILVNSCTGKMGKAVAEAAVSAGLQLVPVSFSAVEVPDGKLNICDRDIHIHDLSESERILSSIVKDYPDMIVVDYTVPDAVNANAELYCKLGLPFVMGTTGGDRQLLNKTVQDANVYAVISPQMGKQVVAFLAAMEIMAEKFPGAFAGYKLEVMESHQATKLDVSGTAKAVISCFQKLGVSFDMNEVNLVRDPEEQMAIVGVPEEHLAGHAFHNYHLTSPDETVSFEFQHNVCGRSIYAEGTIDAAMFLRKKIQDGANKKLYDMIDVLSEGNMR >cds.KYUSt_chr4.28605 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179708158:179712220:-1 gene:KYUSg_chr4.28605 transcript:KYUSt_chr4.28605 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIGPARCYRQIKNKPYPKSRYCRGVPDPKIRIFDVGQKKRGVDEFPLCVHLASWEKENVTSEALEAARIACNKYMAKHAGKDAFHLRVRAHPYHVLRINKMLSCAGADRLQTGMRGAFGKPTGMCARVHIGQVLLSVRCRDVHAGHAQEALRRAKFKFPGRQRVIVSGKWGFTKFKRQEYLRLRSEGRIVADGVNAKVNILLGIYSLGGCGCFGSTPARPREATNADLPPRVLCWNHPSWSGEWRQGHLDNGNRVDGDKAMKTIPGLTFLGVLLSAV >cds.KYUSt_chr6.6452 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39038625:39040771:1 gene:KYUSg_chr6.6452 transcript:KYUSt_chr6.6452 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRTRSSLSEGGKGFEPVDVVRGLLIVYVLSASSTSWGRERLLHPGLKPKISIHNRPGKSLRPEPWPWLPRGPMSPLGFKEQEWFSDIDMFHHDQAPAAKRVRTTTEVPDLFSSPQLARNTGFYKTVGAR >cds.KYUSt_chr2.52710 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328849336:328850678:-1 gene:KYUSg_chr2.52710 transcript:KYUSt_chr2.52710 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLALAGIIVGGVVVLMAFRHITRCVEVNRERHAERERLQAIQTQSAAGHLPATHNVELESVNRFLDGILREKPARFTPENLREFTGGYAERVGSGGFGVVYRGRFPNGVLVAVKVLNGTLDRRAEEQFMAEVGTAGRTYHINLVRLYGFCFDATTKALVYEYLENGSLDRVLFNAAAGGAHVLAFDTLHGIVVGTAKGIRYLHEECQHRIIHYDIKPGNVLLAADYSPKVADFGLARLCNRDNSHLTMTGARGTPGYAAPELWLPLPVTHKCDVYSFGMLVFEILGRRRNYLEAAQSQHAAAAGPDTMISQEWYPKWVWQRFDQGRFDDVMAASGIRAEDREKAERMCKVALWCVQYQPELRPAMSSVVRMLEGEEEIARPVNPFTYMASLQMTSGSSSGGSTTATTSGDSANRSSA >cds.KYUSt_chr4.54477 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336548521:336551549:1 gene:KYUSg_chr4.54477 transcript:KYUSt_chr4.54477 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGAQARTIPLLLRHPAAPFRGSASVSFSCGGARRSWAASATAEGQDDGGRGYERVAMDTPGAYRLVDRTTGRSVIVWGGVDDGDEPSVPSPDVLSRSARGGGADTKGAAKGGSTGVGSFGRLKAQKVQALARRSSAQLKRDGTSSTRATTPPRSEYFADSDDEENSSGRRKSASDPARRARPNGNYRDERTRSAPSLNSVLRQYKGNDDDSGEEAAPGPKVWGKVADATAYRREDRRQKLPLDSGFFSRSSFKEIGCGDEILGALSSFGFPQPSHIQAMAYGPVLEGKSCIIADQSGSGKTLAYLCPIVQNLRKEEAMGVKSSPRNPRVIILTPTAELSSQVLQNCRSLSRSGVPFRSMVATGGFRQKTQLESLEQELDVLIATPGRFLYLLQEGFVQLNNLRCAVFDEVDILFSEEGFEQVLHQLITVAPVTTQYLFVTATLPLDIYNKVVETFPDCEVIMGPSVHRTSARLEEILVDCSGNDNDEKNPETAFSNKKTSLLKIIEESPVRKTIVFCNKIETCRKVENVLTRLDRKASQIKVLPFHAALDQAKRIANMKEFLKKQTDGSLFLVCTDRASRGIDFTNVNHVVLFDYPRDPSEYVRRVGRTARGASGDGKAFVFAVGKQVSLARRVMERNLKGQPLHDVPYF >cds.KYUSt_chr6.12259 pep primary_assembly:MPB_Lper_Kyuss_1697:6:76498587:76499678:-1 gene:KYUSg_chr6.12259 transcript:KYUSt_chr6.12259 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFPPPAPPYGGDPLAVTIPPSMPPPAPPSSSSLNLSPSLLIIAALLAFVFCASVSIHFLLRCLSRHPSPPAPSSLPRAHRVSATPSEAGAAEVVPPARPAAAGAEDVDEEKERLIASLPLFTMASALAVLPKSSPDCAVCLSPFVPDAELRLLPACRHAFHAACVDAWLRTTPSCPLCRAAISLPHPPLPAAYTAAQQEPLGSRSSLGSNSRSFRVEIGSVSNRRSSAADDRRTYSLGSFDYRVDEEVEAVVARIARPAAAAAAAKSASAAGPQGPGEALAEAAGSRGWLREYVDRLASSASSLSGRWSARWSQGHHSHRQEESWQWDPEAASGAAIPAPRAADEDEQGFMGLYRWIVGV >cds.KYUSt_chr2.19455 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122364488:122364790:-1 gene:KYUSg_chr2.19455 transcript:KYUSt_chr2.19455 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMRAAPMGYAKVDKVDAEEARHLKAQFLIHKALEKNAPTRRPAAAASSRGGGCRVVRASRICVRLKRLRIAVRSFRLRVCRGVLKHIRNLRRLGCSRS >cds.KYUSt_chr3.34378 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215656205:215657578:-1 gene:KYUSg_chr3.34378 transcript:KYUSt_chr3.34378 gene_biotype:protein_coding transcript_biotype:protein_coding MELCSVASIISLRSLAIQRKYLPKLLGFTSSSLKKFCKDVHQPLTETIVGTSPELPQDILMDIFSTLEIPDLIRAGAVCPSWHSACTSLLSLGLYKLAQTPCLLYTSESAGDSSAYLYSLSEKRSYKLTLPEPPIRTRCFIGSSHGWLVTADERSEMHLLNPITSQQIALPSVITIEQVKPIFDEYSHSAQAFVFPDASTGSYIVVLIHNPMRQLSFARVGDDKWTWLPPHEGYDDCTYKDGLLYAVTGTGELHAFDFSSGPVVTVEIIIRMRNIADEYPSLKANRAYFTDDSFLWTTGLKNNHRDMGILNLDDNTKEEFVSPQLCSNFPAPIWVTPDLRKMNAASRGD >cds.KYUSt_chr4.21643 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136285066:136289609:-1 gene:KYUSg_chr4.21643 transcript:KYUSt_chr4.21643 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGRSLAETPTWSVATVTTLMVAACFLLERALSRLAKWLRKTKRKAMLAALEKIREEMMLLGVISLLLSQTARWISEICVPSTLFTKRFYMCTESDFGDLLQQGDNTANHTHIGRILSGSPSADTCSEGHEPFVSYEGLEQLHRFLFILGFTHVLYSFVTVVLSMIKIYSWRKWETQACTLSREQLQPKRKIMRRQSTFVFHHASHPWSKSKILLWMLCFLRQFKGSIKKSDYMALRLGFITYHKLPTSYDFHKYMVRSMEDDYNGSVGISWPLWAYAIICIFVNVHGLNIYFWLSFAPVILVLLVGTELQHVVAQLALEVVEATATNVGTQLKLRDDLFWFGKPRVLWWLIQFISFQNAFEMATFLWFLWELSANSCFMKNQYMVVVRLVSGLLVQVWCSYSTLPLNVIISQMGSKFKKSLVSEGVRDSLHSWCKRIKDRRHNPLFSRNGTLTSRSVCSLDTTIYEETDHETNTVCTLSRTVSASSLDEELTVVTVDDDDIAHIEQDTSLHH >cds.KYUSt_chr7.32867 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204867465:204870042:-1 gene:KYUSg_chr7.32867 transcript:KYUSt_chr7.32867 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAPLLMILITFQVVALSATSSGLSISLPGCPDKCGGVSIPYPFGIGNGCAAASINRFFTITCNNTFHPPRPMISDPSASTEIIDISLERAEMRVYGPVTYNCFSSNTTVMDNYTGGISLVGMPFLPSTARNRFIVIGCNTMGIIGGYTHSTPDPYVAGCYSYCQGINSTSNGAPCNGKGCCETTIPTNLIDFGAIFIINQSSVWTFNPCFYAMLAEVGWYSFRQQDLVGRLGFIKERAKRGVPLVLDWAMRNGSCPKDGAKAPIGYACVSSNSYCVDAINGPGYMCNCSEGYEGNPYLPTGCQDIDECKLHKQNPKYTELYPCKNGVCRNIPGGYVCKCGIGKRSNGKNSGCRPVLRQAEQVVIGLSVSSVVVIALACMLAMKIQRRKHRKEKELYFKQNGGLKLYDEMRSRQVDTIHILTEKEVKRATENYRDDRVLGCGGQGMVYRGTLDDDKEVAIKKSKVINDNCKEDFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFISNGTLSEFLHGKDRNSVIPLDLRLQIATQSAEALAYIHSSTSRTILHGDVKSLNILLDDEYNAKVADFGASALKPINKDDFILFIQGTLGYLDPESFVSHHLTDKSDVYSFGVVLLELLTRKKALYIDNLGEQKALSHTFIVMFHQKKLHDILDDDIIGDEVMVVLEKLAELAMHCLNPIGDERPTMKEVAERLQTLRRIKMQKVSTANPMRMHYPDGESSTSDETKYQSTDTANLALDVDFAR >cds.KYUSt_chr2.19303 pep primary_assembly:MPB_Lper_Kyuss_1697:2:121398356:121404613:1 gene:KYUSg_chr2.19303 transcript:KYUSt_chr2.19303 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSKSATKSHPRSPTTAPPTPNPAAPTSSTSSSAAAPSKNAAMAELKSRVFSALAKLSDRDTHHIGVDELDRFIRAPPSPDAVPMLLHALASDAQGLASPARRESTRLLAMLCAAHPDAAAPHLHKVMAHLARRLKDPASDSSVRDACRDAAGQLAAVYLRPLAASAAGDAGNGTVALFVKPLFEVMGEQSKTVQSGAAACLAKTVEGAGPGPGVLGMFGKLGSRVFKLVAGQGVQAKGALLNVIGSLAQVGAISPQNMQQTLQSIRDCLENSDWATRKAAADTLCVLATHSGHLIGDGAAPTIAALEACRFDKVRPVRDSVTDAVQLWKQLTGEDANADGKNKEPTGSEAKLDSPSNNEKTKGSSIAEKAAVLLKKRPTLNDRELNPEFFQKLETRTTDDLAVEVVVPRKTLQSHLQSEDDPDEADGDPIGPANSNGSADDEGSLTQVRASSNFQSVRNKWAGQRGNRNKDAKARVSNAEDRCETSAKDTTPMTIPGDGPFINNKTNWLVIQKQLSQLERQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMARDISLSSGRRGGGSSPGRSSAKYNGFHEYSNSKFGRGGDGRMGYADRYFSADGMASGVRSPSWRPDSEQWDSYAYSGSRNGMNSRRGLDSFSSDSRVPRNGNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGSSRAAARVPIPELDGEALNDDNQGDGRGPVWESWTRAMDAVHVDDMDSAYAEVLSTGDTELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDIALSWLQQLADLVMENGFDYLGIPRDAKNDLLFGLHEATAIELPDDWEGAAPVQIMKQLASSWRIDLQQLLN >cds.KYUSt_chr1.735 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3929620:3930090:1 gene:KYUSg_chr1.735 transcript:KYUSt_chr1.735 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALASAMIVTAQNMEQDMVDAHNAVRTNVSVPPVSWDPTVAAYAEAWAEKRRADCLVEFSPQGRPYGENIVGANGTEWKGVDAVDFWVLEKQYYDHATNTCSAPPGESCDAYTQLVWRDTKFIGCAGVICDGDVGVFLICSYDPPGNVVGQSPY >cds.KYUSt_chr5.41237 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260281937:260286401:1 gene:KYUSg_chr5.41237 transcript:KYUSt_chr5.41237 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTPITPEVVADLEALEAKRKQLLAESENIVKLIASVFEDRMEAKKLYEQAVENGRLAEEEYKQTTEKSRDHRNDQSRTASTERRRNIRENPNPIPIPSDTPPRDKAKGEDVMYSGKDKYRNPSPPKNLYLPPRPPQRSPAGNARPHRPGGINIRDNVEPRISRNKEHALESLRSQNEERAPERRQPRREGGSCQDGEERERHATYIDDYFNAISFARGTPNMACHMFQLYLVGPARTWLSDLPENSIFCWFDLKIAFEAHFSCTYKRPYTALQGYRTDSPTHLEVPQIVSLSFIQLKKQGRTVRELKRRVLKLEFCLEESWSHVRKLHRIATFINLI >cds.KYUSt_chr2.37893 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234544580:234548577:1 gene:KYUSg_chr2.37893 transcript:KYUSt_chr2.37893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Serine/threonine-protein kinase SAPK7 [Source: Projected from Oryza sativa (Os04g0432000)] MERYELLKDIGAGNFGVARLMRHKETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEVVVTPTHLAICMEYAAGGELFDRICNAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGGYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCKNLLAAIFVANPAKRITMREIRNHPWFLKNLPRELTEAAQAMYYKRDNSAPTYSVQTVEEIMKIVQEAQKPPPSTTPVAGFGWVEEDEQEDGKKPEEEPEEDDEEDEYEKQLNEVRASGEFHIS >cds.KYUSt_chr6.19349 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121780666:121789980:1 gene:KYUSg_chr6.19349 transcript:KYUSt_chr6.19349 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCPEDCYEKGKENVPAMHDNRSLESVEDVVDGRVRGPVVAESGGVAASRGSANGGSAIWRRLDGVEVLGVPFYSSLVPVFYSIAQANSVLSRTWLINSRGVAKKIRNANCPVRQISELGTEACRECPNCKHIIDNSDVTVQWPGLPAGVKFDPSDLELLEHLEHKLGVGDSKPHMFIDEFIPTVENDEGICYSHPENLPGTRKDGSSAHFFHRVSNAYACGQRKRRRIIHVDQTTSDQHVRWHKTGKSKPVKHNGVTKGWKKILVLYKSPQRGAKPDKADWVMHQYHLGPEENEEVGDFVVSKILYQVKTKQVDKSETSNEESDAFAASVCPKTPKTKTPQPCRVNNSPSEIGQNDTILQDKEEDEEADQPAVSLADAKEPEWFTLAPLAGSNVDDPLRCHEDFNSFGQSGALDRPIFSQGNEIFDGLPDVHGSLPEDVLLYSPPCFGSWQWPEVQD >cds.KYUSt_chr5.16149 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103938571:103942695:-1 gene:KYUSg_chr5.16149 transcript:KYUSt_chr5.16149 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGETAPAAEEVKLSISGAALAALLHRCGAATGDCDGLLFGRAARPPAPPPSLSDYDDHAAELSAPPMSITVSGHSSLSQPSSLTSPLGRHHPLPPSSPPPLGFFSSRRRAPLRPSMRELSLACSLSKTLQPLAHPLVFILVSPSASRDLSTHSFDYRAYLLLGSRLVPASLTVVNVGPGFRDQYHTFAPQSPFPLLPPQAPQGGDGHSIGEQKAADAMVEGFGLGRLQELVGAAAGQAAEMDGMYAGMLRSLEKLAREVEKSNHRVLDQIQGHFGLWELLRFQALMHCAGSATKLVHFLLAVRNGSFGPETPEPQVVP >cds.KYUSt_chr1.39907 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244330646:244331924:1 gene:KYUSg_chr1.39907 transcript:KYUSt_chr1.39907 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRYRWIMLFPDGWPWLFFSGTMEAEKKPHSQPPTSWSALPLDLASMVLRLLPAYSDRARFAAVCPQWRAAARQLQPPALPLLVLPDGTFYSLPYDEPFRFPGCGFAGYESACGSWLVFPRDDGCFLVDPFSRATVTLPALSCVRLRPPNAVAKWSSLQPGARITQPCVTWMHMEDSNKPRIKKLILCSGRPWTPQPDSDLPTGGLVVGKLYAIDDDEELLVVNISEDNSTGDPQVSKIGRVIKEKAAPSWGQGVPGGNSRSHKKIYLVESRGALLMVRRKIWLRLPEPGVGGKAIAGQSEFEVFEADFEHSRWVRVSTVGDDQVLFLGRGCSRAVSVSQYGLLGNRIFFLDDDEECLKNYVYREANTSCSAYDMRLGDVSYPHPMISWKRGREMRLAAWLFPQE >cds.KYUSt_chr5.29324 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185787961:185788359:1 gene:KYUSg_chr5.29324 transcript:KYUSt_chr5.29324 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAPMLPLTTKAGQEPKRSTSHSAAMVIPLTSMAGQEYHNLAAILHRKKTCIHRFIEGDHVAPILATLRPEEQQQREERPYTMDSAGEIHGTAPSYRADAQTRQNAKTEKNLQDLLPSYSGAAPEPAPAS >cds.KYUSt_contig_1181.1299 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:8393738:8396603:-1 gene:KYUSg_contig_1181.1299 transcript:KYUSt_contig_1181.1299 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMLSSLRYLAGTAGPSGFGSRTTAEEVTDGAGDLSHITAIVTGATSGIGAETARVLAKRGARLVLPARNLKAAEDARARLLAELGPATNAGRVVVLPLELSSLGSARGVPPPVPARGRARLRPDGRVQATTPPPPLPPPLASSSDDEFDDDDSTDDLLDPVKDTKALAEAEKEAEEERASHAMFDAEMERRRVAAAAAA >cds.KYUSt_chr1.39876 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244080870:244081799:-1 gene:KYUSg_chr1.39876 transcript:KYUSt_chr1.39876 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPCEQHIDHWRYDQSSPQPYERGDLWRSVHTSSMYDPAMPYSTKQAANTKYAGQSDEDTRFPPEIQEAILIWHIATDIFLSCSPIITGPASKEDAEAIQRMSNYMMFLVALRPNMLPGLKLRSLYEKTCCALEEIWNVATVGYKPVSYTTTVKKEIAMWMLKNRDNLTDPNSAIWEGTQMISGTSITTVWEGTQLAWVLLLCLVPDGEEWRSKTTEQVTERLSYWIPGLYNPPAGSMAGMLEFILDAWVRLLIYTSIRCNRESHAKQLSRGGELTTLVWIITEHAENAVVKKHLPLLFPPKKEKKE >cds.KYUSt_chr1.35270 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215036255:215037340:1 gene:KYUSg_chr1.35270 transcript:KYUSt_chr1.35270 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSLIQDGKLWPSASAINDAGSSSGYHLLVVEGYSRTKDALNGCYIDSHYFRVGGYLWFLRYYPNGSSGNTGFISVSFILAQHVTMPVKAKYEFSFIDEAEKQGPSHIRKREAYDFHTKNRGMCSFEFIKTEALENSKHLKNDRFTIRWDVLIIEGGDAKGTTSPFITVPPPNMQRHFTDLLMAKEGTDVTFKVGTEAFAAHRCILAARSRVFKAELFGPMKEGSTATAEAITVDDMDARVFRAMLAFIYSDLEPELGKEDDEDVMWQHLLGAADRYDLQRLKLMCEDKLCRFIDVSTTTSILALAERHSCDGLKKACYDFLGAPGKLKAVAATDGFDHLITSCPSVMKELIAMLAP >cds.KYUSt_chr2.1345 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7977139:7977843:1 gene:KYUSg_chr2.1345 transcript:KYUSt_chr2.1345 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAASRQNKGAGARSPSRQGHVWRCRRAAGLVSSQIDGSGEGGLSGHGRQSTLPWSFGSRRAVVRAFFPSAGRGGEGGEGDDTIAFLVRVFRVVFLRCDCRVVKLPPAGHGGEGRRWLDVASMVGTYCSGSVDWRFCLVSTSSRLPDGLEKITSSHPSGWALLRCSKPNVAKVAAVTRWCMKTGGFRFRSLYLGGDDEDDGLDCDLGVRCVVFWVHIVFSFFVETFVIGFAID >cds.KYUSt_chr7.9671 pep primary_assembly:MPB_Lper_Kyuss_1697:7:59127517:59128086:-1 gene:KYUSg_chr7.9671 transcript:KYUSt_chr7.9671 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAHTDVAVVSSSFSPPVKEEEERYVQVGSRFFRMKNTGGAMTRPHFLDACFLCKKSISRDRDIFMYRGDAAFCSEECRQEQMCMDEALRAVARRHRVLQHLKPAAAEPVSSCAAPQEGAAAAMMRRRPTIANINAARTPVAAS >cds.KYUSt_chr5.40464 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255400755:255406800:1 gene:KYUSg_chr5.40464 transcript:KYUSt_chr5.40464 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPSPVDRVGGRVGAYACHRFRPAAERGSKRAATAGARALDFILGTGLRSQSYRAWRNSPRCAKTTFVSVYFSNLIRKNLELPGLEKFTALSQDHPHLHQSVQWQSHANLIQKRNRSSSVYSRCCGLPGAARLLLVLVVG >cds.KYUSt_chr3.3293 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18907047:18910009:1 gene:KYUSg_chr3.3293 transcript:KYUSt_chr3.3293 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSHAMSGEGDEEEAAALVASAEPPQTSMSSGVASIMVGLVFLALLIGFSRWINLDDNLFILGNISSINTGRPRNHSLSNYTTPFTCSNGTSTTCPATAAPPPWRWPAAASTTPSCPDYFRYIHDDLRPWRGAGITRDAMERARKYAYFRLVVVDGRAYVETYQVAFQMRDVFTQWGILQLMRRYPGRVPDLDIMFACDDPGQVRAAEFATPSDAPPVFRYCKDKSTLDIVFPDWSFWGWPEVGIRPWTPMLAEIERENKRVPWTQREPLAFWKGNPDRYRIRHDMMKCNVSNGKEWNARLFNQDWGKARQNGLKDSSIPKQCLYRYKIYIEGNAWSVSEKYILACDSPVLFVVTPFQDIMSRGLVAGKHYWPIDRNRMCESIKFAVDWGNQHPVEAQLIGEQGSRFAREEMSMDYVYDYMLHLLTEYAKLLRYKPTVPEKAVEICTYSLVCPADDQHRPCMMDSMERRAADSDPCTLPPPFTTAQAKEMADKEEELLRNIQKREKAHAARP >cds.KYUSt_chr6.2616 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15273824:15282061:1 gene:KYUSg_chr6.2616 transcript:KYUSt_chr6.2616 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLACCYNDPDMLIDPDTIYPTRADCPEAPKSRFKPQACNQFPPIPHLFPALLLLSPSGQQGLEYEKLKSKCREMDTTVGSGRVITMPVITEDGQPIEDPNSNGGARASSVGVEQQTSNSTLPKEVIQWKLLLHQIGLDVNRTDRTLVYYESQENLARLWDILSVYAWVDNDIGYCQGMSDLCSPISIILEHEADAFWCFERLMRRVRDNFKSTSTSIGVRSQLTTLSSIMKAVDPKLHEHLVPDAKEPEIQIGAPTDVKHVAHIGWDSASVTNPTWMNEFKAQPGASGSGGPEGAGAEQPGASSSAPLILRSPPLYFIPSSVPDEGHAASQHEIGIAVRVLGMHDGFACNVRVWAVHQVFLPERALRVRDHAVTNIKRRAGERDEPPTSMLDPASSWHVGVSLHAGAFPPSTEMMEYLAIAWAQALVLVLVGVWAVVLAPDIAGAWALALDLAVVAVLALALVAAWALVLAPAVIAVLGPRRRRGVGAGAGPVAAWALVLALAAGTETRGTRGGA >cds.KYUSt_chr6.21398 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135065870:135066124:-1 gene:KYUSg_chr6.21398 transcript:KYUSt_chr6.21398 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSSSTSGGAYYHEEYAASGCRRPQRVRPSDEDGLYYVGERDVDNKAGIYIAKFHRYQSEVVPQTPAPASFAAAQGLTTTS >cds.KYUSt_chr2.53785 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335670605:335673104:1 gene:KYUSg_chr2.53785 transcript:KYUSt_chr2.53785 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRNHPKFTTRALITPLAVSERESFSPTLSRWHPFPAAVAAATLHGCFGVVLKQYVAKAICLTDELVRVLHAAGRLEKALVQVVMEDVADSDDGGRSVVREVTPYDVASVVVGFLRTWMEERLRVAKECVVRAKDTESWIARSKNEPYAQSPVELKKLGKATMDEFFAIPVSARDDMVQDLADGLSAVFQLDYISFLASCGNKQSYLPPLPALTRRGTQRLYVRLNTLHYVLSHVQALDKSLSFFSSASGCGSPSAANRLLAAPSCHFDHARAAAQSAITHVAEVAAYRLIFFDSHQSFYDGLYAGGVTDARIRPALRTLKQNLSLLLAGGRAGDLRSLKRAFCTRGEGLVTEDVVESEAEVAEGVVALMGQTAERLVEELSITTMPTTCGGSPRAGQKLAMPTTTRRWNRTDPDTILRVLCPPRRRGRQPLLEARVPAAQEAPVTVASAAIAGRDPLFSPVYRSYMDVLLGRGLFNADVELWRKHRKMSRFAQGRFRVAMLPGPAHTEMENWCLRTQGKCDIAVVNSWLMAGHYTSVTSLCSGFSIVMLTSQILDSFVKLSSR >cds.KYUSt_chr1.8031 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49251395:49253093:-1 gene:KYUSg_chr1.8031 transcript:KYUSt_chr1.8031 gene_biotype:protein_coding transcript_biotype:protein_coding MMAARRSSSLPTAGAGPGSAPSFNTMTPCAACKLLRRRCAQECTFSPFFSPMEPHKFASVHKVFGASNVSKMLLEVHESQRSDAANSLVYEANLRLRDPVYGCMGAIITLQQQVHALEAELAGVRAEITKHRYRPSASAAVNVPPSSHASQLLAASAAGGQRQAESSLGVGVAAVAGPVASASSSTTVYAAASSSTDYSSITHENVPYFG >cds.KYUSt_chr6.3872 pep primary_assembly:MPB_Lper_Kyuss_1697:6:22270253:22271602:1 gene:KYUSg_chr6.3872 transcript:KYUSt_chr6.3872 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAEASPGSVLQNKISPSILFIVAVLAIVFFVCGLLHLLARHLLRLRRRRLAAREDAESVTAFQGQLQQLFHLHDAGVDQAFIDALPVFLYHSVLGGGKDPFDCAVCLCEFEADDQLRLLPKCSHAFHLECIDTWLLSHSTCPLCRRSLLAPGELSPTCSPVVMVLESESSRDMGESATHAAADGEPSSVAVRVPGAEEVVEVKLGKFMCVEGNANAAAAVAADGAGTSSDANAELGQRRCHSMGSYEYVVEEQAALRVAIKPPPKKKPPAFSRARRGGGAMSECGFGASKRLGEGSLRLPSFATTPAQKQQQQRPPDGMAAAKLAKDSFSVSKTWMVPPSSKKSDANASASSERRTVSFRWPVRSSKEDEGGVERKSGSEADWADDVEAGSCGGNSVVSSLAEERPSFARRTLLWVVGGRQQSNRVGSCAPDDQDQHLDSTKPQ >cds.KYUSt_chr1.38236 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233668429:233675125:-1 gene:KYUSg_chr1.38236 transcript:KYUSt_chr1.38236 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIFSSGSSWMDLQQGRSFASLGRCITAAISLSFFRVERRPLPPLALATGITGQRLMEFFNLQAQMPLRRLFSDDAVGSHLSSPSGSVPGGVEVACVVLICDGGGAGPDRFCSFLSEVLCAKYIAAPDMDVPATGRNSPNQTGGDEIHTEWLRELTSVPRNAAVLKDLISRTPTLWFLGERPATTILRPRSRRAGVKALHTARAMAIGPYHRGDRGLAFDEEKFVKILLLDSSFVLVFGLMFGRPGAAASFTMEHLVLHSALAQHADEIRLDLLMLENQNRIHVFASQGVQEHISWTAKNLQIEVGLLSKPEKEKPRGSSSVEGMVELLFCLLAGQKVDQVLWA >cds.KYUSt_chr2.1311 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7782543:7784147:1 gene:KYUSg_chr2.1311 transcript:KYUSt_chr2.1311 gene_biotype:protein_coding transcript_biotype:protein_coding MARELKVLGALDAAKTQWYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRIYYFDPSSATPGSLPPNVSAAVNGVAFCGTLTGQLFFGWLGDKMGRKKVYGMTLMIMVLCCVASGLSFGSSANGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIVSSAFKEQFDAPAYRDDRAGSTVPQADYAWRIVLMFGAVPALLTYYWRMKMPETARYTALVAKNTKQATSDMARVLNMELAAEEPEMKAEHGRREQFGLFSKEFARRHGHHLLGTTVCWFVLDIAFYSQNLFQKDIYTAVEWLPRADTMNALQEMFKISRAQTLVALCGTIPGYWFTVFLIDVVGRFAIQLGGFFFMTAFMLGLAVPYHHWTTPGNHVGFVVMFSFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSFGFLYAAQSTDPARTDAGYPPGIGVRNSLFVLAGCNVVGFLFTFLVPESKGKSLEELSGEHDEEDEPGTSSTTTNPQMT >cds.KYUSt_chr2.1687 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10036112:10036985:-1 gene:KYUSg_chr2.1687 transcript:KYUSt_chr2.1687 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPPREDPSSESQKCDKPTGNLTLKFWEESGPIDNIVSRVNMMSLREFKGEPSEVGFLEFFFRSARVLKTAIIVMANPSFTPFLKDVAFSKVRRSSRNMASKSCQVHVLGSNGPDGGEVWSFKTGANFSFQDPFSVAEVLGRC >cds.KYUSt_chr3.48406 pep primary_assembly:MPB_Lper_Kyuss_1697:3:302809389:302810174:-1 gene:KYUSg_chr3.48406 transcript:KYUSt_chr3.48406 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPLPLGFADFSITMDASLASLWPLAQDDAPHCYQHMESVEEMKQKLAAATAELQLATEGVRLKDQNIAALMELIRRTAQERDLLQEQHLLLLADELAAATSSSSDSDPAPGDDRSPLFKLPSTPEATALVDRPSALFEPSYVDITTAAAAAAGRLELLAAKRPLPHKGRLLQAVMEAGPLLQSLLVAGPLPRWRNPPPVQVSLTNAMISAPSGSGWSFSQRRREAS >cds.KYUSt_chr2.15230 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96068570:96068845:-1 gene:KYUSg_chr2.15230 transcript:KYUSt_chr2.15230 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAPAACPDGCIARRARCCLARAQRVAGSLLRRFLGLAPPTSQRALSASAAPAAAAEGEAAEAKRAKKKNLFDVVQFLPDWGVGYKVAKT >cds.KYUSt_chr1.37883 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231385321:231387873:1 gene:KYUSg_chr1.37883 transcript:KYUSt_chr1.37883 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGVNNPEEELVIRFFNDIYEMNQYLLELKSDCPVEMTDIEKRVYHMAVENMGRELIDGIRRNYIDPTSYDLLKPLSGKKPLFGKMMEMLDQFTMDDMHKKAVEKLMVYDPKRKVRVPSRFCSFHLAGFNLDEESTAQLGPPYEPSLSSPETGLTNYVTADAHYKLANYCTDVVSIRVVKAGPRYRYPVKVYGKVIARDEIDYKCVYLFNRERKDAQTITSEVSDKDEPDKEVQFSKGVIPYFCKADRKRIILQLPSFQSTVKLVLQHVDLPVAASIEVSVKQEHDGPFVHFNGKITAGTTRSYMQHTVLYDSSVPSSEGLLRENGALALNRNLVAVNGYVGDPALEEGEKLVLYVCFLDADHEIEDEDEEDTEPEDDDDEEDEEEDDDEDDEEEEEEEEEEDEEVYKNVVALKCPLREAVWEYGGRKLQVKVNWSAILDSPKDTDFFHRQACLPAGYSFDYRWGTVFD >cds.KYUSt_chr5.9234 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58557229:58560002:1 gene:KYUSg_chr5.9234 transcript:KYUSt_chr5.9234 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPETAAAVRGPRFAGAGRRGALLLALLFVAAAAFLPVAEPSCPRDNSVVKDINQMHQSNYGLEGLSHITVAGALAHGMKEVEVWLETVSAGKRTPIHRHSCEEVFVVLKGRGTLLLGSTSLPYPGTPQEIPFSQNSTFTVPVNDPHQVWNSDEHEDLQFLVIISRPPVKIFLYDDWSMPHTAAKLKFPFLWDEDCLAAPKDELFGADVSVQYVLLVYPSHMLRNNTTSEIVMKRALHIL >cds.KYUSt_chr2.15250 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96196381:96197485:1 gene:KYUSg_chr2.15250 transcript:KYUSt_chr2.15250 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALPEAVEPRKKSNFKYACTCAAFASMATIVLGYDVGVMSGASLYIQEDLRITDVKVQIMIGILSLYALVGSFAAARTSDWIGRRYTVVIAAATFFAGSLLMGFAVNYAMLMFGRFVTGIGVGYAIMVAPVYTAEVSPASARGLLTSFTEVFINFGILLGYVSNYAFARLPLHLSWRVMLGIGALPSALLALMVFGMPESPRWLVMKVRLAEARAVLEKTSDTPEEAVERLDQIKAAAGIPKDVDGDVVAVPKKDGSEEKRVWKELIFSPTPAMRRILVAALGIHFFQQATGSDSVVLYSPRVFKSAGITGDNHLLGMTCAVGVTKTLFI >cds.KYUSt_chr1.3075 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18541328:18543757:-1 gene:KYUSg_chr1.3075 transcript:KYUSt_chr1.3075 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMGQQHLGNATSVPSLLHQLENMWKSPRGTVLRIEALALLAIFLSFFLAVFGSCRRWSNNFLIQKGFMAANALFLSLGTYSIGLMQSSPVKSEMYPIWAVSLLALLCCVDSAAASGLDNRNQIWKMLYLLCLYFGYVLLMSITTISSDIGNIAICVLSAVTFIKGFHRSMALVLPSSMRNMIREFPRKSITKCSFGDPNEERELTVDDKLDVMIGYREEVKMGDIASMRCEGNNKILESKLNSCKDVCLSFSLSHLLHRRFLGLSSVKPFKASLAQPLVKNCKRALKVVEIELAFLHDILYTSNTFLHYYEAKSASIWAFASVIGICFVGAVIVIPGARTSRRASPDIIFVDTTIVDFVITGVVLVSLALLQVLQLLSCWTSNWARVAFVCDYARKDKRKRIFNIQEEVVLSWGMRLRASLLKINWYDKYYLWQNKLGQHAVIDETFWVRVHGCLSRCHQLFSCGFLRNFRGWICSCNAVQEAWCISWICCVLLNILVQRCFGLLGLQYISRELKEMLQGSCTGSTIDLHPDVKASIGDFVDNEIKSNEISSWASSKVENGQSGFPDFSFSFNPTDNPAEASDMIYVSCILIWHVATCYCELAQQCDHSNGGDHHVTMEKDHRRVATDLSKYCAYLVASAPRLLPGRSDAIKLFYSQVREDAIRVGKGFSYATARYKLQAMDTKGNEHGIKVHISGGVDFLGEFIYGLGAKLGKGLQSMDDVERWKVLADFWVKALVYAAPSDKVEEHMHHLSQGGELITHLWAMLYHAGIHRWQLNPPALNDINMGWDYIFRIRSWKDLKPSHMVR >cds.KYUSt_chr7.29215 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181786411:181790450:1 gene:KYUSg_chr7.29215 transcript:KYUSt_chr7.29215 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQASLEETLLPRSRPEWKEEESLVASEEVKRQLWLAGPLIAGNLMQNLVPMISVMLVGHLGELPLAGASMANSFATVTGFSLLIPKYPYLEVAGSSSKNYDTFCLNQTKTPAWTYDYNSKEAEQGDLEDRYVISLSQGNGMSFTEMLLSVEAPSTSQSYLQSNFNGMEEGMGSENKTFMITGRYASSQSTLLDVQEEEEAYEQPVFGDEDEELAEDETNIGNEDLPNYGSDSYYNWQFDDNENQNNDPVHNEQHIPEENNSFDKETHNNEDGEEAAMEMPEGVEQLTQEDIRIFLENESVVAALQASRKLSGIMLHT >cds.KYUSt_chr1.1366 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7440039:7441157:1 gene:KYUSg_chr1.1366 transcript:KYUSt_chr1.1366 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAFHPRILAPYPLPPACPPLSPASGLKVGYYADNDKCPRAEEIVREVVEKATAGEKAGLIRLFFHDCFVEGCDGSVLLSGTDTERTAVPNLSLRGFELIEEAKAKVEKHCIVSCADIVAFAGRDASYNLSYGRINYRVPAGRYDGKVSRANDTFQNLPPPFGDLALTTAIFAAKGLNQNEMVVLSGAHSIGRSACSSFPDRLPPAANSSTAMEPKLAGQLTATCSAGSSVNVPQDAVTPDRLDNQYYKNVMSRDVLFNSDASLTTSSQTEDLVEFYAGNLPFLGGKFLGPLAWYHDFEDAMVKMGYIGVKTSAEGEIRKTCASINMP >cds.KYUSt_chr7.5038 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30094831:30097709:1 gene:KYUSg_chr7.5038 transcript:KYUSt_chr7.5038 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSSARSAKNLRQRRHVNVHSSSYDLVFEDDAAHQKTDRRKVQKVDDRRSELPPRGDRDRNSRERTHSDEKGSRQAKEDDMARGRGARRQDDYPSYNRSGDRSSGRPDDRDSRHQRSSRNRDDDNQDYKRRSEAGRYDRDKPEGERRHRDEDDGRGKGDRHKRDDRDRRARSPDADRHRRDDSGHREASRHRERRHRDDR >cds.KYUSt_chr4.20323 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127811214:127812236:1 gene:KYUSg_chr4.20323 transcript:KYUSt_chr4.20323 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSTLLRSISTRLSTRLAPSPAVAPWPPVRSAYDRWLAAEIDELRADPLAPCTSAAWLGRTIGLAVAAQRRLVASATSAPASGIDRKTIDECVEDTAELLDACAGLRDRLDMIRSYASSMRIALHWLEGRGGCAATARRRAAAAFAECEAVERRCGAELAKCGSNLRKLGERALQAKQHSGGRSQCEESLAGARSASLLAVGALGVALAFRPRRSVPGIAHTGSGKGAEAAPWESALQEMQKHVKEVYERRRKEGVPCMAELEVAAADGRTVKCALATGGRHLPEAAASAARKRCDDLEEKVFILEESIGELHRELIGVRMVLLEWSQRARGHEVLRF >cds.KYUSt_chr2.7630 pep primary_assembly:MPB_Lper_Kyuss_1697:2:47849106:47850891:1 gene:KYUSg_chr2.7630 transcript:KYUSt_chr2.7630 gene_biotype:protein_coding transcript_biotype:protein_coding MLACAIIEVEPLDEILRRFEEVQSWISHMKEDGEHAHKEVPPIVILHFPEEISHGNPVKVAPTRDVTQNAPVLNQNRKTPSVSAVDKLGEEPRGMGNCEKGPPKKDDTTDDRDETGINKKKRIRVVKIKANKKVACCRHKVVKFSGSVSLVFAAESAVEMAAEDVNNGKNNIDSAATSSTQTGDEHKKKRYISDDSVASMHGEKLKTFSI >cds.KYUSt_chr6.26120 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165457603:165457914:-1 gene:KYUSg_chr6.26120 transcript:KYUSt_chr6.26120 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQQQRDRAAWDRVREWHRCAHLRLANLGIPRRAYGRDTLPAIPTGTCPYAYNAVLGSEVTTAADYVRIIKGGSCWSWWAPASRIAGPRGVRGYRRGSAGT >cds.KYUSt_chr1.31176 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189125511:189126988:-1 gene:KYUSg_chr1.31176 transcript:KYUSt_chr1.31176 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSHLQNPVASQMVNRVKRHFVVLPKTVKLQGEAGYGKRSSSVLAGRHALHFPDSTLSICVVVNIYAGALAFGVEYARWLEEHSKQLDELRAAVNAHAGDSDLQAIIDAIMARCHEIFRLKDAATKADAFQVLSGTWTTPVERCFLWLGGFRPSELLKLLASRLEPLTEKQLDSISVLQHSSQQAEDALSREMEALRQSVVETVASSGSSSSLCRTAGPSGDYTGQMAVAKLGALEILLRQVDFSCPWLYRKVMCVTYSDAAELLSGDSSVLQADDLRLRILQEVQRILTTRQCARALLAISDYFSRLRALSSLWIARPST >cds.KYUSt_chr5.29731 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188500699:188502761:-1 gene:KYUSg_chr5.29731 transcript:KYUSt_chr5.29731 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSGVLEALDHQRKDTKPTEMSESEKENSQRKVVIKEQKAGVASRYMLGISSNNGKFTSLNSSTDSEKSNGGSSVCDTNQKMGSSATKVKQEAKPQERPNIASPNNVKLASTKQEVNKDIRKNSGRPLGQNGSAVVKKQTPRDTKREPATEGSSPTKLYRSSPPTPLRTSPAKIRVPAKPNVTSNPVPSMPNIKRRVTETISWDSLPTSLIKSGKAVVRRKTIALIVAAEAQREATAAASLVKGLGIFAEMMNSAEEDPHGAVNKFFQINRLIIQQNVFWKDNSPDSGKESRTEKEKSSRKVSATQNKVAGSGATKNPDDAYTSGKLEWAREDGFKEIRRSWIDLKKESNTWFLNFLEDALESGFKFECRTINNTRERVRGQSKGGDGQIAVRLSQLKETSNWLDQLQSEADKSADSLVETIERLRQKAYSCLLGTVETAASALESRNSYC >cds.KYUSt_chr1.41957 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257247785:257253842:-1 gene:KYUSg_chr1.41957 transcript:KYUSt_chr1.41957 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K4-specific demethylase, JmjC domain-containing protein, Control of stem elongation, Control of transposon activity, Panicle developmen [Source: Projected from Oryza sativa (Os05g0196500)] MMGTECITATLSDDSEPSIPPGFGPLAALAFQGIQKDARPADSHPIPVQVLQSVKEHVESLESQPHSAQSRNDTHCSTSGSYTCRQSLRNRPPVDYSRFDVISDDDSDVEVAEKAVSSARRRQQLPKGVIRGCAGCSDCQKVIANWNPAGARRPVLDEAPVYHPTEEEFKDTLKYIESIRPTAEPYGICRIVPPSSWKPPCLLKEKSTWENSKFSTRVQKVDKLQNRTSSKKSRRGGMMKKRRKLSEPEENSNLNHSQMGVQQNPERFGFEPGPELTLQKFQKYADYFSEQYFRKDASTNLAPSVEDIEGEYWRIVESPTEEIEVIYGADLETGTFGSGFPKLPPEAKSDTEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYLHWGAPKMWYGVPGKDAVNLESAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVQAYRCVQREGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPVGQNAVELYREQARKITVSHDKLLLGAAREAIRAQWDILFLKRNTADNLRWKGVCGPDSTICKSLKARIETELTQRQNLCSPSQSRKMDAEFDSTDRECAFCYYDLHLSASGCPCCPEKYVCLLHAKQLCSCEWDKRFFLFRYDVNELSILADALGGKLSAVHRWGVSDLGLSLSSCKREKVNDSKTVRRSTDGPRRSYMSQASTVSLVPSVVCDEQKDKGNKMLSLASPETNNASPPVEQMKLGNVSPSKEPCVKNELSCPINNDTSRLQCNGGLGDQISQSLKGSRESSFQTGDCRPSLAEHCNRSPTMIHDGTNIKSSLESSNTSHRLIASDSNATLSHLDKDHTLITPETNASVTFEKGSSQACAVPSQQFDKTVSRAQSVSQEASGSVSASKTLIHPSVAKTPRGNFTSASAHHGNLISGNQQQPPHRSFTSASAHHGNLTSGYQQQTQHGSFTSGSAHHGNFTSGNQQQTPHGTSAHHGNITSGNQQQTPHGSFTSAGAHHGNLTQPALEMHSRNGGAQRGPRIANVVHRFKCSVEPLEIGIVLSGRMWSSSQAIFPKGFRSRVKYFSIVDPMQMAYYVSEILDAGLQGPLFMVTVENCPGEVFINVTPTKCWNMVRERLNMEIRRQLSMGRPNLPTLQPPGSIDGLEMFGLLSPTVVQAIEVQDRDRICTEYWRSRPHVVMDNREFQHTLPQGPANIALRGLFQRARPDELRALRGLLASNTNLDERSRQQATHMLDEEIAKQWR >cds.KYUSt_chr2.8774 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55205912:55206433:1 gene:KYUSg_chr2.8774 transcript:KYUSt_chr2.8774 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPLAEIPAEDSWYDSSEDEDDESGSDMEFGEFDLSGARNLQDQQTVAGYEDDDDDDDECGAQFSVRPFRGGALALKMGNLQLSGFEARSDGPELTDQHELTSYDMRHLVHLALEGGGSMEDDEAYQRALAGGTPVSRASRAAMVGQALQSTNHQQQRSKSPSKIFPMRTGY >cds.KYUSt_chr1.3475 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21016070:21017585:1 gene:KYUSg_chr1.3475 transcript:KYUSt_chr1.3475 gene_biotype:protein_coding transcript_biotype:protein_coding MIASTIPSKRKRIPKQFFEAPAVAPDSPGEAPPAAKKTGRVKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKVTTPPPSVPAVAPPAPPAPPPPTIDVDKVFDVESTTSYLDMLNDSAVNLDAGIGAFDGECNVEDFDDEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVEQGGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQQRYKDMAGSKNKEFQFQHCFSILQHLPKWKLRDNEPKCKKEALLTMDDEAEDMSGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKMLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKMIAAKEAKAMKELMAEEREIMMMRTDGMDEDQLAWWNETKADIIARKKAARQARAQGESPASGGAGGDGSLDA >cds.KYUSt_chr3.7781 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44640440:44640989:1 gene:KYUSg_chr3.7781 transcript:KYUSt_chr3.7781 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVALAMVLLCAVAAMAAAQSASNVRATYNYYNPRSINWDLYTASAYCSTWDGGRSLAWRSKYGWTAFCGPAGPRGQESCGKCLLVTNTATGAQITARIVDQCSNGGLDLDYDTVFSRIDTNGMGVQQGHLIVSYQFVNCGDNELLLQREEK >cds.KYUSt_contig_2767.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000487.1:45464:46822:-1 gene:KYUSg_contig_2767.9 transcript:KYUSt_contig_2767.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGAGSSDAAAAASLELQESGWEELRREARKLEGDLDVKLSSYARLAARSSSSSASSPTADRSSWKSTELEIQALLDKLQDVNDAMSRCAAPAAPSNASVSQKLARHRDILHEFTQEFRRTRGNLSSMREHADLLSSVRGDITESKATGGMSPRVHLLRERASIHGSINQIDEVIGQAQSTRSALSNQRALFGDVQGKVKQLGEKFPVVRGLLGTV >cds.KYUSt_chr7.38861 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241892042:241893703:-1 gene:KYUSg_chr7.38861 transcript:KYUSt_chr7.38861 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCRPLVSPPLPRALAAAAPVSLRRLPSAALSLRVVRCMAKERRVRMVAKQIQRELADMLTRDPVMQRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAMAGLKDKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILTILDKLKEEREQREGNDVEEDGEASDLAEEEDGDWDGDEPDEEDIIYVK >cds.KYUSt_chr5.21314 pep primary_assembly:MPB_Lper_Kyuss_1697:5:139023349:139027165:1 gene:KYUSg_chr5.21314 transcript:KYUSt_chr5.21314 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADLGAAEWERSKITNQDLNLLKKLGISKKPKAVCFPSEESYPTPPMGYRVSFVDHLIRGLSAPIHPFLRGLLFVYGLQLHHLTPNSILHISIFITLCEAFLGVQPNWALWKRIFFCRRNGSANVAYNIGGVVISVCSSVNYFDVKLPDSVQGWRKKWLYIREENHGCAEDNIPPFDGAEKILRRCSWDAEATEEERTSTEALMTRIHELQNTRGKELSGIQITAYFLRTRVQPLQARKNPFWNYAGDEDTDRLSTNLEVKDLDRLIRKISSLKKKDPIPSTYRVKPYSATNALPKNHPNLVSLPPLPEGGEVEERAVISDDNQDAPSFANEPAESRKSAGSDEKEDASEATASAQSPPPAVSPKNKRKRNDAEDSGTSKPEEAVPSRQKAAYDPYLETLISSDEEEEVPTADVAARTKKLAEVNERANTLAQKLEQSEEARKKAESDAVEARQEADKAKADDAGVEDLRKRLHDAETSLSNHIAAQIAREEAITKRIRTQNRRFIRPLKNLNSKIPTMILFLTPFRSLNFMGQKRVRALMKLFPYFFPKKEEPATFLALAKCFNPPEDLGLKMRHENMKVAVESTVALVADSQQTIDWARVGNTEQIEQTKCLPLPFGTAAIVLLAIIRSSREELKELRRQLQSVKKQSLMLMEQSRESSEREKIALQQAQAAIAEKDSAAAATSRENSMLQLLIDASLDMAETIGAFLDAATEDERVEARSSVLLRLAREHGSTFWGTPERTRQIVRFQDRALQIVPKCLEKMSKRKRNFGKYDDIVTPVAEDMMDELLRMDAEFFVKGSYAEHSTRAVNNERLTIDNILGNP >cds.KYUSt_chr1.31507 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191053480:191059317:-1 gene:KYUSg_chr1.31507 transcript:KYUSt_chr1.31507 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAEPFLGRPRRGGGGKVRLLRIIDVSKLLAGRGGEEELSYVVIIFSAPWRVYLYCFCGGGSTSKLLLSAGHGGEGEDGNDDAATTSLKRRIKCVPWEAIFLSRSKATLWPIQVPAMDSGDSTSVVRFFLKVAVAYYGCVEASGFVPASSHDGGVAALWLDGGEREGPDCFSSSFSEFLATTHDVDNNKMGYPAWRYHKYSISLGKYGRDMTASAGKADPVIGRDDEIDRVICILCRRNKNCVALVGAAGVGKTAIAEGLAQRIAAGTVPATLIGARVVEVDLGAMVAGTLYCGMFEERMKDVIRQAENADGKVILFIDEMHMLIGSGGSLIHQRSTDAANVLKPALARGRIRCVAATTFDEYIKYIENDPALERRFQKVHIEEPSMQATIAILRGLKKRYEEHHGLEIQDAALIAAAQLAARYITGRRFPDKAIDLIDEACATAAKRMMQIGKQEKEVNTVLTASPNAVNEANVGPDEVAQVVSRCTGIPVATLDQGEKDKLIHLAARLHERVVGQDEAVNKVVRAVLRSRTGLDHPGQPTGSFLFLGSTGVGKTELAKALAKQLFDSEKMLVRIDMSEYVGAESVWRLIGAPPGSSEHQDGGQLTEKVRRRPYSVILFDEVEKADPSVLNVFLQLLDDGMLTDGKGRLVDFKNTIIIMTSNLGSEHLLAGLSGESTMERARDLLMNQVHKHFKPELLNRLSVIVVFEPLSRDRLKEIVAIQMKNVTARVATKGISLCVSDAALDVILSESYNPMYGARPIRRWVQDNVVTTISEMLIRGEAGAGSTIYISATDDRKALKWRH >cds.KYUSt_chr1.15146 pep primary_assembly:MPB_Lper_Kyuss_1697:1:88101113:88103058:1 gene:KYUSg_chr1.15146 transcript:KYUSt_chr1.15146 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTGARSQDFSQRFWSSLSHALSELCVIILLHVAAAASYAATRLAHVTRLKAPCTLCSRVDHALNGKAWFSADLVCTAHRSEISSLAYCTSHSNLAHFDHLCKRCLPSCTTTDEVNSWSRSRSRRLCSCCSEPFKQTSQNTKKLCQTANHPTIPKIASEHVPADHSKEKDIEEVSESDGSLGTYEQSTKDNNASVNVGSTTKPAPSGSAVLSRIFVDRNSSIKNTFISRINLPSPRPSEIISARDNNSTTQQEVKAFLTQMSSVRGLDFSWTEGTTSSDNNVQNNESNGTGRRPSLERNYSVLDPSDANLVTGESEGDISFESLKRQIELNKKSMVVLYKELDEERSASAIAASQAMAMINKLHEEKAAMQMEALQYLRMMEEQADHDHAVIQNLHDLLTEREKVLLDMDSELDNCRRLLQHEQFGGGKFDDTMENTSGYDRNVSFDALNGSSLLVDVLHGSDFMTSTMSGFEEEKAYILQSLSRLEEKFRISTDRLASDDAKNNQEDRLSRDQTGDQSISVQESVENHKDECSCSPFDNDKISNIANLKDEISLLDTRVRALEDDHEFLKRVLSSLKGSTDGLQCVQEITSHLQELRRVAVQGRHVLS >cds.KYUSt_chr3.46204 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290799830:290800576:1 gene:KYUSg_chr3.46204 transcript:KYUSt_chr3.46204 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKASVNVFALLDGDDPGDKRLADFDQKQKDQPKKKPLSAAACDYKLKLMKPPSPSPRAAGSKAPMKSPTPAAAAGLRPAAAASRKNPTPVAAAGLRSTAPASMARPMNKQQTTTPAPPPPPARNTNLTRVLFTYPSARERIFKQRQERQAEFERRQQAQAAENGGGASGDDKKGAKNVAADAKTGKTNEVRVQGQYKDRAKQQPGAAVKKALAPLVSEEVAPAPVVLQAPPPPSIDDVAQFPSLK >cds.KYUSt_chr3.29912 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187165764:187167023:1 gene:KYUSg_chr3.29912 transcript:KYUSt_chr3.29912 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPAKTIKVPEGFDYELYNRNDINRILGPRSSCISFKDSACRCFGYMVSKKKYIFTIDDDCFVSISDQIAFACIVDSRCCVVCLIVGVALQVAKDPSGKDIDALAKHIQNLLCPSTPLFFNTLYDPYADGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPRERNGRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQAATLSKDCDTVQKCYLSLSHQVRDKLGKIDPYFAKLADAMVTWIEAWDTLNPKEGAQANGKPKGK >cds.KYUSt_chr4.40796 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251975377:251975673:1 gene:KYUSg_chr4.40796 transcript:KYUSt_chr4.40796 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSMDGNSSHGRDCRYSRRPRRFAGIAVYGITAAGLPATGFPRLAPAAAGFAPPANAIAGFAMADFTFAGFALTGLVVTGSDRLRHIQLCLRPANK >cds.KYUSt_chr7.39526 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245737188:245738574:-1 gene:KYUSg_chr7.39526 transcript:KYUSt_chr7.39526 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKGVRRREKNYRAAHGGDARLPPPPKQRELDALPSKLRRLIAIQNKQGGGGKAGAGVGVDASTGEVTFSLTLQLKLGCSGNHVPPLTVVVGGGCPGGTPGKQDADVTGKNKEGKDKKAKKQALETAADNKAAETRGEGGPVPDESVNADGSKGKRKRGKALDLRFKELDANVSITKKQKRKKHLDEKKKKRKCGKVETHVEFPGREKVKFGEVVEAPPKLLFPKRKSPLDASTERLRKEVVENYRNIKGWTSRPGLQLPTLA >cds.KYUSt_chr4.44120 pep primary_assembly:MPB_Lper_Kyuss_1697:4:273326571:273327071:-1 gene:KYUSg_chr4.44120 transcript:KYUSt_chr4.44120 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKMATQGMNIKDECKSWFTEMKWKKVHRFVVYKIDEKTRAVLVDKVGGPGEGYEQLVAALPKDDCRYAVFDFDFVSVDNCQKSKIFFIAWEANNKV >cds.KYUSt_chr3.38722 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243927163:243928636:1 gene:KYUSg_chr3.38722 transcript:KYUSt_chr3.38722 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLDGLLPADVRSHPHAYAEATGSRRNPSRHGPVGCMDSRFVMDAPAPEPFLVQEVKRELFFFNIVAIIIDAIPFWVVCYANLDTVNRYFSLTSFILGASMNFMLACHIIFALEPVEYMEALFVSMVGMCLGVACAFIYLLRKALLDVQRATYVRHWMCVLISSLVIGSSAVLYGIGLKLHTGDEGWGLAIYWLAIGAAGVVTLIQFHQMFFVIEEEKLDIQVQGYALVMSFLATVDAWFFVAYACFLGTFGSLFSIISILTGLFSATEFVVFLWRMQ >cds.KYUSt_chr3.42421 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268295277:268296852:-1 gene:KYUSg_chr3.42421 transcript:KYUSt_chr3.42421 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEVFNGLLQDGTIIAVKRRHSPPSQEFIQEVNYLSSIRHRNLVKLLGYCQDNGMQMLVYEYVPNGSVSTHLHGNGHAPTVRLEFKQRLSIAHGTAKGLSHLHSLTPPAVHMNFKTVNVLVDEDFTPKVADAGIRGLLDRLGSADPSSRICNDPFLDPRGREAMIFSIQSDVYSFGVFLVELISGRRAVADKSIIEWVQNFLESSDISAIADSRMASGFTSESMKELLRLASWCLNQMGEHRPSMSLVEAEIHRIREQEIRLTTIMPEATTTVTLGSQLFTASR >cds.KYUSt_chr1.4840 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29807953:29811545:-1 gene:KYUSg_chr1.4840 transcript:KYUSt_chr1.4840 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSEFGGRSTADIPENGHNPEVSHGADEHRRKQHHHEPAADVQREGHGGETEPVNEKRTGVPTATASRTARVHGVPAEEETENIRNQGEEETTTKAGRRSKSQRKQRDHRNAERRSIVRRGRMSSMCTRHTDGQGGRRSHPFSSTMSMSVAAVGQLSRSASRAIAKAANGFHLLRIEGYSETEMVLPGQRISSEDFTVGDYSWRVDCYPNGRDTSTKSNAMSVYLQLTDQAQRVLRARYKFSLLDRAGNAGYELPAETASFTSVSSMYNYQYRSPVVLAAVDEQGPGCGHEGFIGREELERRREDLIRDDRIVLRCDVGVTQIEGSCLATDELSDEEEDEQYDAPEYGEYGGYGGPPRQRRLRRRTDDDEYVKWCLTEEPRRSRGYRQVRHRRFGHPNWY >cds.KYUSt_chr4.8786 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52713290:52715415:-1 gene:KYUSg_chr4.8786 transcript:KYUSt_chr4.8786 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTLQSTWAKLSLAARARFPRTEEEERADSRWVADDEALRVAAEAAGKKAADAEMVEAAADGWHETTDGRCVDLHGGNDGDMYGKEILVIKATNKIYKTYCRLSLDVLSISPWMQSCNPG >cds.KYUSt_chr4.20919 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131591137:131591741:-1 gene:KYUSg_chr4.20919 transcript:KYUSt_chr4.20919 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGTTSAYRNRKRHLNGRPCNPKVKRRLFPPEVPSPDRRAAEMDRPLLKRQRRVVARAGGLVDAAADTMCLLVEMGWYSFPELNPLFLSLRRVVSDAMHACEAAVAPMDVDPVLYYDSPGGGDDDDGWTGCSSPPSFVESGAAFVPVDTVRSKRRAKANSKYYGPEWSKK >cds.KYUSt_chr7.14647 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90460605:90461135:-1 gene:KYUSg_chr7.14647 transcript:KYUSt_chr7.14647 gene_biotype:protein_coding transcript_biotype:protein_coding MMYCLPWSAERKKFPPTRSPRRKETASHDLHYQIPMFFHAIAPATPPPHAPVPDQHPRRPTASGSSSRTDARFDRIGEVGRGDGGRKRKAKATEVLEEQAHRHRRGSERDAGLHGRKSVVGRGAGETLLPVLHDAVFQDAATLPPTLPPVPNTVVFQDVADLPSRRCRVPGCCCPP >cds.KYUSt_chr4.33416 pep primary_assembly:MPB_Lper_Kyuss_1697:4:204913320:204917652:-1 gene:KYUSg_chr4.33416 transcript:KYUSt_chr4.33416 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRPVELDLMGTVGGAVPLGVLCWRCQRRPSSYSGATSAGTSYTVLGGYQNTRKAHIDRQAPRGILVLVKLAKVPVRCIGSCLVVQTPAPLACHLLVGRGTGGRRVRRGVETGKKIVFVVGEQTMTTRRPRQRPCQGPGLLPCRRLVVRRLLRLQPGEFGVGVILLLLPLLLVLGVAASAASVLLLLTRRGGRVTGRGARIAWRRREFPPMQKSGRLALALRVWRQRVVAHGVSVLEKKKKRKKKEAVRLELP >cds.KYUSt_chr6.1044 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6624112:6627097:-1 gene:KYUSg_chr6.1044 transcript:KYUSt_chr6.1044 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLVRENPFYRKLVANVPLGPTEGSTANPKDAFGLALTLLKLSIEVGKTRGVPGASQQFPNCAPEELGHGANEEHMISVLMFITQRASPIRWAMSLLDVAISGVHVSSSGRGDRLVPANMHRIASHSNLSPLLKISCDQEEGHWGDHAKLTNICRETGEENIKSEIKIPFNSIPEEANKHDVLESMLCDESVEPTFLELSLLQEITSNFSDDHEIGRSRSGVIYKGNLSNGSTVIVKRISISIAIGDQLFLCAVKSLMGVKHNNIVRFLGYCANAEGKVAMEGGESVIVMVRERLLCFEYLSNGNLKSLLTGISNYMLLIVYVHKSR >cds.KYUSt_chr7.10464 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64201930:64205008:-1 gene:KYUSg_chr7.10464 transcript:KYUSt_chr7.10464 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDGEPVSSPTATGSSGRELVAALMGNPGLCAASERLRAAPERQISSGPEGPRHVYVFQREYATVDPARVELVGTDEMTTCVGVAVRNNKTGMTSVSHMDFPKIVEGGFRQMLELLGDDNAPFDVHLIGGFDDASTKVVHSSGGKHNKQEGHSHPLCCKIVEVLHKFEQQFHLRSFCVLGNNTMTDSYGNARPIVGGFVMETSSGVVSPASFDMTSRCPDEIVRRIRVSVCSYDPSWKGKLLETYDTHADIFQIAPACWMPDWAELASSLNELSDSEILLQCSTSPAAEPPHFVETERRIWKYMMENPDWEDTFPKYKPRVFRWTDDGRWSRHS >cds.KYUSt_contig_990.499 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000093.1:2539526:2540211:-1 gene:KYUSg_contig_990.499 transcript:KYUSt_contig_990.499 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWVVAGSTLTLLRTAAVSALAASLLTARPPGPLHPRARGRRRPRALPRRGAPIRPPSLSRMVRNRTKPKSAALVTKLRSAHPGVAVEEEPIVRGELLRPGAYLDLVGSFTPAMRECDDEALRRGRVFIDFEAAMEEAGELVGAVQRGVLRREDVAGTLAELAAGTVAGRCSDDEITMFKSVGTAVVDLLAAQLAYENYIATNNA >cds.KYUSt_chr3.9796 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57574943:57576295:1 gene:KYUSg_chr3.9796 transcript:KYUSt_chr3.9796 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQWLPRDSGLKITALKKNSRKWWVSQLMNTEGKSWNVPLLQELFLEHDVQAIQSIQIPPQAQCDRIAWHYESNGVFTVKSAYRLAMKLKHQNRDNVSSSTNADGERSLWNCIWKSRVPPKVRVFAWRLATNTLPTKTNKLSRKLEVCDRCDICARDAEDAYHAVVRCTKANGLRYALRKHWKLPHEHTFRKTGKDWLLILLNQVDMDTGARILLMFWRAWHLRNNIVHDNGKETIERSISFLLSYATAHENLDVCADDKGKTPMWSSKENGDGASLGGSTGGWDAPPQGWIKLNTDASFISADQASGGGAVARDSNGVVLFAACSPIRNCSDAVDAEAKAALRGIDLAFSLGHTRVILELDCAGAVSALRSVDIDRSKQWATYDHAKSILKSLDDHRVLHTRRESNRVADALAKMARSAGSCIWWSQLPDIICDLVTQDQNSIVYPII >cds.KYUSt_chr4.47120 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291608609:291631684:1 gene:KYUSg_chr4.47120 transcript:KYUSt_chr4.47120 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSAAASAAALEAVQVLVASLADDSPRARDSALAALRDIAPLNPLLVLDCCATISRGGHRRFANMAGVFLVMASAVRALDRVDAEREFLRKIAKIATAEIVSSKDFNVDWQRAAATLLVAIGSHDPDLMMGEIFLYFSGPTSALPAMLQILADFASAEALQFTPRLKDVLLRVLPILGSVRDGQRPVFANAFKCWCQAAWLYIGDASSGLPFDDDVMSFMNSVFELLLKVWTGSRDLKVRLSSVEALGEMVGLVTRSQLKSALPRIIPAMLDLCKKDQEVAFTAAHSLHNLLNVSLLSESGPPLLEFEELNVVLITLLPLASFNNSKDERLYVSKGLKTYNELQHCFLVIGLAYPEDLCLFLLSKCRSKDEASIVGALGTIKHLLPRLLESWHTKQTLLVEIVKSLLEEQSLGIRMALAELIVVMASHCYLSGHPAELAVEFLVQHSAITDDDLNDLNTLKNEYFKDKRFEMKMSLAGLSDLRAVCEKGLLLLAITIPEMELVLWPFLLQLIIPKKYTGAVATVCKCITELCRHKLSQTNPLYTEFNASNEMPSPEDLFARLLVLLHNPLARGQLATQILTVLCYLGSLFPRNLSLFWEDEVPKMKAYISDPEDLKQDSTYQEIWDDMILNFFAESLDVVNDNVWVISLGDAFARQYDLYATSDGHSALLHRCLGMLLQKVDDRIYVREKIDWMCRHSSMSIPVNRLGLAQGIGLVAASHLDTVLEKLKNILENAGQSALQRLLSFFSFREKVEDVDDTYAALALMYGYAARYAPSTVIEARINALVGTNMLGRFLYVQHPTAKQAVITAIDLLGRAVISAAEMGISFPLKRRDQLLEYVLTLMGRDQSDDLVDFSIELLQTQSVALSACTTLVSIEPRLPLETRNRVMKATLGFFALPTEPSNIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSAEHQRRRGCIAVHEVLIKFRNLCSGGFGALGSYPTLTLKQIDQGGSRSLSSLPSAFVLPNRDSLSLGERVMAYLPRCADTDDEVRKVAIQIIALFFNISLSLPKQKAANDIDLESSYGALSSLEDIVSVIRRKASVDQIEVFHRVVSSVCILLSREELVVLLHSCTLATCDKVKQSADGSIQAIIVFIIRRGKDLREADVLRTIQSLLSSAITLTDKHSRQEVLNAISCLAENTNHIVVFDEVLFVAGRDICTKDISRIRGGWAIQDVFYAFSQHKVLATRFLEYILFILHKEPVATNDSEKGENNSESSADDSILQATMFALNAFLRGGGKIGKQAVEQSYPSVLSALILKLGGLHSLAELGRNELLRSLLIAFRSFCDCVGDVEMGKILARDGEQTEKEKMIDLVQEVACSSSVKRPKEVLPTCAILSKSLNRNQRAEREAAAAALSEFIRHSEKEPALLEQIVEELCQHVTDDSPTVRSLCLRGLVQIPESHMLKYIQQVLGVILALLEDSNESVQLTAVQCLLTVLNVSEQDAVEPILISLLVRLRNLQISMNTKMRSNAFAAYGALSSYGVGSQHHAFLEQIHATLPRLILHLHDKDLSVRLACRNTFQLLAPSMEVEGLSSLLNKQYFTSDRRSDYEDFIRDLTRQLCRLSPARVDSYLESAIQGAANSLSLFCVKVFAMLVGRMSQSPEAVVRASASSAMGLLIKRSNMLKPSSSQFDRVDPSQSSQHGDPHAKTSSEHQEENTVKPDGVPQGEQ >cds.KYUSt_chr2.39469 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244751625:244768448:-1 gene:KYUSg_chr2.39469 transcript:KYUSt_chr2.39469 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKAKLLEKDILVFKLVRDGFTMTLLRARRLQWSAAALLLLFLAAGAAGSAARRREVVTSPHGAVAADDGRCSRIGRDALRSGGNAVDAAVASSLCLGVVSPASSGVGGGAFMLVRLADGTAIVYDSRETAPLAATKDMYGGNETLKSRGALSIGVPGEILGLYEAWRQHGKLPWKSLVTPSAKLARAFMISPYLRMQMEATRAGILANAGIRAVYAPNGDILKVNDVCRNVALARTLEAVAVGGPDAFYRGPVADQLVKDVREAGGIMTREDLEKYQVKVRRPLTESVMGITVLSMPPPSAGGAGLMLVLNILAQYGIFGISGSLGIHRLIESFKHYMAVKMNLGDPDFVDVSEVVSDMLSPKFAAELKRTIYDNMTFAPKHYGGRWNILQDHGTSHLSIVDRERNAVSMTSTVNSYFGSLILSPSTGVLLNNEMDDFSMPANTTADSPPPAPNNFVAPLKRPLSSMCPTIILKDGKLKAAVGASGGSMIPAGTIEVLLNHFAKNMDPLSSVMAPRVYHQLIPNVVQYENWTTVTGDRFLLDATTRADLLKKGHVLKPLAGGTISQLVVHNVESGGDLTAVSDPRKGGVPAGY >cds.KYUSt_contig_1181.399 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2707543:2707887:1 gene:KYUSg_contig_1181.399 transcript:KYUSt_contig_1181.399 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSRPAPAPAPPQPSDASIALAVHAADLARAEEDHRFTNAYRVYHAWATASACVTAHDALFARQLAQVPEDRWAHDGDNIERPLDLDATKPLFRGRSLDNPPMFHGWDV >cds.KYUSt_chr6.16044 pep primary_assembly:MPB_Lper_Kyuss_1697:6:100933272:100933762:1 gene:KYUSg_chr6.16044 transcript:KYUSt_chr6.16044 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMRGDGGGEVRGGDGGGEGQMSVPVIEHAETMARGKAKSGGLSDRKIKCDHRLEYMYGWDGRLCRTFWSP >cds.KYUSt_chr2.54998 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342914495:342918865:1 gene:KYUSg_chr2.54998 transcript:KYUSt_chr2.54998 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAAALLHPALAAASPSPPRRAGLLVAGGNASVGIHPAGARRGAAVRARVAAPAVAAAEGSTRQDAPPAVEIPVTCYQILGVTEKAEKDEIVKSAIELKKSEIEDGYTEEALLVDVRDKLLFEQEYAGSKRAKVPPRSSLHIPWSWLPAALCVLQEVGEEKLVLDIGQAALRRPDSKPYAHDVLLAMALAECSIAKASFEKSKVSLGFEALARAQYLLRKKPSLEKMPLLEQIEESLEELAPACTLELLSLPRTPENSERRRGAIAALCELLGQGLDVESSCRVHDWPYFLSQAMEKLLATEIVDLLSWDSLATTRKNKKSLESQSQRVVVDFNCFYRAMLAHLAFGFATRQTELISKAKTICECLVASENTDLKFEESFCSYLLGEESGTTVFEKLQQLQSNGSSNSRNYGLSKKKDSSDKVTVNQSLELWLKDVALSRFADTRDCPSSLANFFGAPKRIISSSKQKLGATRVVFLSSQTSSNASPSNRTSGQQNPRLNSTSHLGEAVKQLAPTTLGGHSPIEKPANGLSTTSVPLKRNPGSHPVRTLESWGLTVDVIGKVAYTALLGFALFGTLKLLRFQSGYTKPASSTRESAATPSLNEASPSEGSYISSSVRKQFQKLSKMLWLTSRLHSTSEVSDPSPGSSDVSAVASVERMSLQEAEALVKQWQDIKSEALGPDYQIDMLPEILDGSMLSKWQDLALLAKDQSCYWRFVLLNLSVARAEILLDEAGDGEVAEIDAVLEEAAELVDDCQPKKPSYYSTYEVQYTLRRQEDRSWKICEAVVRDLT >cds.KYUSt_chr3.13994 pep primary_assembly:MPB_Lper_Kyuss_1697:3:84673524:84674174:-1 gene:KYUSg_chr3.13994 transcript:KYUSt_chr3.13994 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISNGMHIQMPVPDQQSSSREASPPPSVAGKPPVDKTLSSASDLLKLLPTGTVLAFQALAPSFSNHGVCHAANRYLVLALVGGCAISCVLLSFTDSLVGRDGGLYYGAATFWGFYPFNFTGTRAERDAVFKDLCRYRVTPMDFVHAIFSAVVFLAVAFADASIQSCLFPDAGPDLRELLVNLPLAAGFLASMVFMIFPTTRKSIGYTDMMPHSH >cds.KYUSt_chr7.23978 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149406787:149414144:-1 gene:KYUSg_chr7.23978 transcript:KYUSt_chr7.23978 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGQAPPPEYEDRISHLPDAVLGDIISLLPTKEGARTQILATRWHHLWRSSAPLNLDCDDISIRWKREILVDAVSSILSAHPGPGRRFCLDESFRPFRRSDAAAMTDSWLSSPALDNLQQLELRHYDSYPPPPLRATAFRFAQTLQAATFGSCILPSSPPQFPNLKLLTLESASISDCSMRNMIAECPTLECLLLHDIYCIPCVRINSQSLRSIGVREGLRLRPIQDRKNELKELIIDNAPSLEKLLNLNLAYVLHVTVVSAPKLETVGYVSDFHGVDTNRLVFGSTIIQGLQLDSMAARICSVKTLAINMGSARSLNNIIDMLRCFPCLEKLYIKFFLKKEILCRFGSCWLPKEPSLDIPLKLKKIVLDRYQGTYSSGCRGGYSEVNFAKFFVLNATQLESMIFRVDAQHYNEKFFARERMALQYEKRASRGAQYHFTTDRRCLREVDEFKHASDLDLTDPFLRRSSSGSFCRDTTTHQHMGEEETEAAVEEMEHRRIAIAGSSSALHWTRPTVRGAASAAAANLTVPCSDWRFEICMDISLTEPWLGEAGRLSRLSRGISMQLGIMACLSEWQDIKQK >cds.KYUSt_chr4.12469 pep primary_assembly:MPB_Lper_Kyuss_1697:4:76212563:76213258:1 gene:KYUSg_chr4.12469 transcript:KYUSt_chr4.12469 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPAPAPTPKKASTPTALSSQFRISDFDKLAVLGRGSGGTVYKVRHRETCALYALKVQHYGDPAAAAEAEILSRTASPFVVRCHSILPAAASSDIAMLLELVDGGSLDSIKSRQGAFPEAALAEVAAQALSGLAYLHARRIVHLDIKPANLLASTNGDIKVADFGIAKVLSRAGDQCTSYVGTTAYMSPERFDPEAHGGHYDPYAVDVWSLGVTILELFMGGTHVRRLLR >cds.KYUSt_chr4.27809 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174705293:174709370:1 gene:KYUSg_chr4.27809 transcript:KYUSt_chr4.27809 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSRLLLALAAVLLVAVTGEADSGGGQAWFDRVFSFGDSLTDTGNSAILPATAGGPFTNAPYGQTHFKRPGAGGRASNVVVDSIVELLKLPYLASRSVDGFRRRSLTDTRNAAILPATARSGQTRLKSTSNRASDGRLVIDFIVESLELPQPTPYLAGKTANDFLQGVNFAVGGATALDMAFLKSKGITSFVPISLSNQTTWFNGVLKLLNSTRNEQRKMMASSLFYIGEIGFNDYSFALMNNDTAGLAESLVPDIIRVIRSALIDVIDAGARRIVVAGMIPMGCEPELLALLPSGASGYYDTESGCIARFNRLAQLHNRALNRMLSKLRRAYRRTSIYYGDLYTPVTAIVSSPGEYGFGSEPLAACCGGGGGPYNFNFAFFCGTPLSTTCADPSKSVSWDGIHYTEAANKFVADTMFNGLLEEFDASTSMLEEFDPSTSTSSRK >cds.KYUSt_chr1.33421 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203198866:203201166:-1 gene:KYUSg_chr1.33421 transcript:KYUSt_chr1.33421 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRRSSILPSPDAAFNAARPPQTHASAVAAFKEELQGRCFRCFSHEHIVSQCKNQARCLHCLDAGHAARKCPTKHLPRVPLPPLPTPRRRQTPKPPVHSRLVFPPHHPNSLRQPAHSRIVFPDYAAPPHSPPHPSPTTPAPSMADTSCFVRRPLRTKVVLDHTEEMTVEEARLRGTALVFTVSGTRPALTPGQIGDGLMHDFPELPDGSFQVSLMHPGTFFTRFTEPSWFDLVAAKDSFRCRGTPILIRRWHRLTFATFRKYRYSVRLYLERLTPQAWSFDTVQRALPCCLIHSITEDTQAKSDLSFYVVEAWVDKLEDVPTETIIDIHEPRPCVDPLAHVPLPPGFSSPDAPIPGAGSPTTRCNADLWRSVPPRILSTTILVHLDSSMFIRPAPSSRGHWSRDDDDYYDGDDDSTRTDEEAYPWTFGVPDDVWHQRIEAASSGAAAGQHRPRPRNGGGRRRNLTPAGFDAVPLPDPARVSGLEGPINVTSLPQPDKGTEKGAASPTIADGGHRDGTVLTRPAPTAARPDQPTTRVLQVNEGTGATAVPPKQATLLPEDEVEDALQQLQLQDNSVLGLDCSPLPMLATPPAPQRVTERQDETTPTARDALATPVSIGPGPAPDMVTPLAAHHSTPLDGLLERVCSPLEPALLPPPAAAPPRLTRRRRPPASATRRSHRNLNRKKQLTGSGNSTMRLARKLIISKKGLAIAEPGEEEEQEAVDAYSTAFDEPIDDEKIEALSALAKSGHAKKNRHRSRAVGRSP >cds.KYUSt_chr4.8525 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51154354:51158625:-1 gene:KYUSg_chr4.8525 transcript:KYUSt_chr4.8525 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLEPRCVLEVWSRCCPYLVLVLGFGQAQVLKMNMTMKIYSKSFSLVTSSGLLGLTNGTPQASSHAVHRTPLRLWRSPGGGVRSFSASYVFGIIPPYSDLSGHGIVFFVGKDNFSGALPSQYLGFLNSSNNGNDTNHIFGVELDTIESKEFKDPNDNHVGIDVNNLESVAVHPAGYYDDKTGAFRDLLLISGKAMQVWVDYDSETTQINVFLAPLKNGAKPSTPLVSAKSNLSKVLVEPAYAGFSSSTGTVRSRHYLLGWSFAMDGPAPPIDIGSLPKLPPFGAKARSKVLEIVLPIASAAFVLGVVAVVILLVRRRYMYAEVREDWESDFGPHRFTYKDLFRATDGFKSKTLLGFGGFGRVHKGVLPKSKLEVAVKKVSHESRQGIKEFIAEVVTIGRLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYAGKDKPATLDWAQRFRIIKGVASGLLYIHEDFEQVIIHRDIKASNVLLDADMNGRLGDFGLARLYDHGEDPQTTHVVGTMGYLAPELARTGKASPLTDVFAFGAFILEVACGRRPVEQTMDDGRLMLVDWVLEHWQKESLLEVVDPRLGDNYDADEVLMALKLGLMCSHPLPGARPSMRQVMQYLEGDMPLPELTPTQMSFSMLALMQSEGFDSFVVSASGPSSATMITMGTISGLSGGR >cds.KYUSt_chr6.17299 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108935327:108936799:-1 gene:KYUSg_chr6.17299 transcript:KYUSt_chr6.17299 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLVLRRWASARRLPPPPSSQPPILPTPDLLPLATASPECPPARRRPSSVSHGALADAVPPSAPPTNFLVCRPGEAFLHFCLASLHSTVCSPVAPFSPLAGSCSIWITALSGCGRPPFFTVLNALNYHFTSRADRFSLLEREPGVFSTSVACASVAAAVLRRGRFDYAGISLLSHPTVDHARRHLQFRIPAADSTPLSTPPESTAPESALPNRPSLSFADVVRLGSADSTAASRPLPWPRASPQTPCRARTDAPGVTPSISSAPCAGNRCSPALGISSSITAPSLSAPQSIIKRKKLCFRCLSPKHPVRECRDPVCCLVCGGSGHRSGLRRDRCPNSMPGLLILASTPRAGSPSATPSPVHGASLASPLGSPPPRRIANGTAFPVTPCPNATPPPTPHTSPPVPPAPPSSTVSPLPSHGDPSEPPHAEDLAAPGPMMLPRAPRAPIGGAIRRGRRAAAACFHPLTALADEADSEDGPRRSRRIRGEC >cds.KYUSt_chr4.36787 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226216366:226216845:1 gene:KYUSg_chr4.36787 transcript:KYUSt_chr4.36787 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCARPAGFSGVVKCQRRARVRVSAVAAAPDRAPTAAKTTMYDVLAVGTSAGPEEIKAAYRRAALRWHPDTCPGGAERFMMAREAYEVLSDPERRRGYDIELRFCGGGGAGYSSAARRAGYADWEEQLAGLQWRAAEARGTWGYRMRRAAAQTSSSH >cds.KYUSt_chr6.3496 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20139158:20139409:-1 gene:KYUSg_chr6.3496 transcript:KYUSt_chr6.3496 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPTRRSHTRASGYLSLAKWTSSLSAPSPSSAPPCPTALILQVAVYWWCGDAAGHVSTTVSTPVERPLVCNPDVRVLVVLR >cds.KYUSt_chr6.19108 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120246090:120253412:1 gene:KYUSg_chr6.19108 transcript:KYUSt_chr6.19108 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHRWPSESAREAKPAEIVHQDAVSQLQDRLTLKIRTPLHHFSPTPPLRDEEVRRVALPFQAKKPTQALLMESPAFLHLAAFGSGHESTATRLMVCHRLKNDTALTSMSLVDPLPNPKLMVCHRLKNDTALTSMSLVDPLPNPKLMVCHRLKNDTALTSMSLRSPSKSKAHGLPPPQE >cds.KYUSt_chr7.37961 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236649011:236657381:-1 gene:KYUSg_chr7.37961 transcript:KYUSt_chr7.37961 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRGIGAKLSENREKVTSALLLGSFVALAVRSSEQQRELDDLEARKASIRAANSAMSSAMWAWREELFALAARPSPPITAARLRHIYGEEEPATKQQGGYLAAFPQRYASNSAKFRDFLFWFMLGSCGGAVLLQLIGWTPLIELKRIAGKDGVGARIVGKIEAYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLVEPTSGNLGLGLILIALSKGYRFVAVMPGLYSLDKQILLRYMGAELFLTDPALGFPGILEKVEQLKKELPNVHVLDQFSNPANPDAHIRWTGPEIWKDTAGKVDIFVAGSGSGGTVSGVGKYLKLQNPNVKIICVEPAESPVIAGGEPGKHKIQGIGPGFIPEILDTSVIDEAVSVTTEDAMANARRLAMEEGLLVGISSGANLAACLKVAAREENKGKMIVTMFPSGGERYMNSDLFATPFLEVFSEGPILYARDMAVWVQLRDPNVAFMEAHHQITSPRVHAGRLADLGHAARLRLPAFFVQVESDDLRAPVMMPYMDRLLITEYKLKNNGPREFAARLLLRIDDTIVFTPQDDGFKVDVFRKETSCSNIFACKRHHKRPYDEDIPTTLLPPSLPNEDEVAVKLKSNEVRIGPITRARAKLLKQQVNFFLNDTLIDENFILPKSCYLCMIRYEEETSIARGGEEQLDVKMDVKLDMELDMKISHGRAGEEWEASARGEDKV >cds.KYUSt_chr7.28463 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177585742:177586674:-1 gene:KYUSg_chr7.28463 transcript:KYUSt_chr7.28463 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGPVASFGLGDYLDRERGGVLACALFRSGGGGGEEEAECVGHGSGGGGGGRGEGEGEGRACAVRGTGAFDDNPPSWCHFYHNPPCVADMWGPAPHVSDTKGGYDKSNPQLGGGGGVIINFTLGTPTGCAPREAAQPLREAELDDLRWQLQDKHAEVDGLKEKLATATSRRNARLHPSKKHHHIAAATDGTPTSELFVACAEQARTATRGFAAHLLHLLRAAGLDPAAATRSITKIRVNSSPHLTRHVLEAHATAVLLGGFEHESFYLDGSLSSLLDPAAFRRERYAQYRDMRGMDPGELLGMLQGRS >cds.KYUSt_chr3.45161 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284519948:284520997:-1 gene:KYUSg_chr3.45161 transcript:KYUSt_chr3.45161 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPQSIAAGKAPSYAGPSLADLAGVFSTLPVAASTKPSSSSRAPAHRSRSRRVPPPSTTPDFSKIMLLGMDNMVMNTMAVPLCSSRSCSCSGCVPLSARRFCDEWVQVTYHGGQVVFGRNWAQVVYKYDLHIGDVVEFKLQAFTLKMVIYKADCSTTRLYTCPDHS >cds.KYUSt_chr5.2582 pep primary_assembly:MPB_Lper_Kyuss_1697:5:17290369:17296631:1 gene:KYUSg_chr5.2582 transcript:KYUSt_chr5.2582 gene_biotype:protein_coding transcript_biotype:protein_coding SNRYEIVVLLRADSPGRNFAGMQSQIVCHGCRSVLLYPRGAPSVCCAVCHAVTSAPPPGMEMAQLICVGCRTLLMYARNATTVRCSCCDTVNLARPAPPVSSIAHVNCGQCQTVLMYPYGASSVKCAICNFITNIGMNTIRPLPHTMPAPNGTSYIVPSTSAPSAQSQNVTVVVENPMTVDDKGKLVSNVVVGSNHPNLLNSMDIEHGKKPSTDASEPCIVQQVKHKLTGLHPTLLRASALLASTVAAVVMGLNKQSYTAVVAIVGTKPLKQTFTAQFKDTPAFVYFVIANAIASLYNLVVVLAVRRLVQGRVQRLVLLVHMTDMVIMVLLATGAATAASMAELGKNGNLHAHWNPLCNKFGSFCARGGVSIVSSFVGVALMLAINLLYAAANSPHGAVVAGGQ >cds.KYUSt_chr7.40301 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250241141:250242853:-1 gene:KYUSg_chr7.40301 transcript:KYUSt_chr7.40301 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGFPQMSQGNGSQSHGPPGTDGSSRQCARLKKVQIGAVAPVHHKKRVSKGAADRHEQMPKSKIPSISNKTAMASLQEFRLIYNSFKFLFQDQPTYRPDDYEALWVFRNRYSVKNYDGRRLVGRVPGVKVGDVFDSDAELHLTGLHRSRDSHVDYIVSKQEGRTKTYQAVSVVSSYGQHSHDQSNNNLDHLLLHVGSVAGQLGGIEELALKQTSTSVRVIYRFVADHQAGGSQELTTCYVYAGLYLVERKDHGIVDAFQLTRVPGQPRIDIQELAKERIAQPSSSNGTFMADMSSGLEENPVSAINLVSNEHPTSFLYISRIRYHDSNDRPDPPSGCDCVGPCSDSQDCVCAVKNGGKINFDDDGGIIDEKPLLYECGPSCKCPPTCHNRVSQHRIKFRLQVFKTDLMGWGVRSLDFIRGGSFVCEYLGELLDNKDAQKRENDEYLFVTGDNYFDVPRWEESRKTIPSLQNRRGGDEAKVFAVDALESGNLARFINHSCNPNLFTQNALYDHDDVRMPHIMLFACGDIRPLQPLSLDYNYAIGKVHDSQGNIKKKKCFCRSSGCKGRLY >cds.KYUSt_contig_2402.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000325.1:88492:91040:-1 gene:KYUSg_contig_2402.18 transcript:KYUSt_contig_2402.18 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDELRSLEATGIYRLAGSLAAFLDPVRLLSESCRRFRLIPSAYYSRSFGPSNQEGHAQGERTAPSPDRKKRKRKRQPRPRELNAVEQIAEARHQEARALLISAHKSLLEAKDLLKFLPGMVKGDECMQDVQTSSENNFVELGSSWRAPLCEMTLCFQKPRGQGEAGSFHVQRRSSTLFNKMISIEENDEAEGEFQNRLYILPKGSCFLMTDYKHVRHLIPDNSNNGYNLIVIDPPWENGCVRQKEAIEKLNQDQILSFCKLAK >cds.KYUSt_chr6.11178 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69338186:69339844:-1 gene:KYUSg_chr6.11178 transcript:KYUSt_chr6.11178 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPVHRSLLLYVAAAAGFSLCSVVYCAGAALPDPAPLDPGLFMPSATPSQPGAATIPAFPEQSNAAAGASSTCPLDPNPRLLPAVLSACDSDGALSSRLRCCPALAAWLFAAYAPTALAARPPRPASAAPVDMPVPPDDSEACAGATERALRSEGAALPRPPGANGTCDVAFCYCGVRLRRLTCGAQPTDAGQWVPAEAAARRLERNCARTGVPGCAKCLRALTTVKAGSSGAAASAKLQQAGASSERDCQLMGLIWLLQRNATRYGAAATAVIRALMAADEASAAGVQAAAGPAACSLPVDDMPLPAEYARRSEAAARPPAVCCIYGLILLAMLSVVYSL >cds.KYUSt_chr6.30050 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190470580:190471128:-1 gene:KYUSg_chr6.30050 transcript:KYUSt_chr6.30050 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDSRKRCVAAFLDEPSPAPPHLAKRGRFVPCAASMAPLPALAQFDPLDALRLVFPDADPRGLEACFAASGRDINAAVQAYRAQQASDALAQQLVSAAADGDDERCAGVLVEQMGAATDVDDAKNRATWMLELIRNATAERAAHQTTAEAARLREENAALSMRSTARGRRRDCARRTQR >cds.KYUSt_chr5.11652 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75503372:75505959:-1 gene:KYUSg_chr5.11652 transcript:KYUSt_chr5.11652 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIPFVAVSGAATAIPAVVAVASRKPLPSSVGLDKGSSYQRSTQGLDNGRSESPPRPLDAEEAMSMLKDAQTVQSAMYVPLLHHCIKTGSLSTAKALHAHMVKSGTNADMFVATSLVNVYMRCGASQDARSLFDEMPEKNVVTWTALITGYTLNSEPVLALHVFVEMLELGRYPSHYTLGGMLNACSAAHDIDVGKQVHGYAIKYETDTITSMGNSLCRLYTKSGDLESGMKAFKRIPDKNVITWTTMISACAEDENRIELGFRLFLDMLEEGVMPNEYTLTSVMSLCGAKLDMNLGKQVQAFCVKIGCEANLPVKNSTMYLYLRKGETDEAMRLFKEMEDSSIITWNAMIAGYAQIMDSAKDDLHARSRGFLALKLFRDLVRSATKPDLFTFSSILSVCSAMMALEQGEQIHAHTIKTGSLSDVVVNSALVNMYSKCGCIEYATKAFVEMPTRTLVTWTSMISGYSQHGRSQDALQLFEDMILAGAKPNEITFVSVLSACSYAGLVKEAERYFDMMQNVYHIEPLVDHYGCMVDMFVRLGRLDDAFSFIERTGFEPNEAIWSSLVAGCRSHGNMELAFYAADRLLELKPKVIETYVLLLNMYISTSRWRDVARVRKLIKHEDVGVLRDRSWITIRDKVHFFRAEDRTHPRATELYQLLENLLEKAQAVGYEPYQNAELSDSQDDDKPAAGSSLKHHSERLAVALGLLETPPGATVRVTKNITMCRDCHSSIKFFSLLANREIVVRDSKRLHKFKDGRCSCGDFGALL >cds.KYUSt_chr4.25902 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162913312:162914208:1 gene:KYUSg_chr4.25902 transcript:KYUSt_chr4.25902 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSHRRPASLLLLLAALSAATFLAAPAAATGKSGQVAVFWGRNKNEGTLREACDSGTYTIAIISFLDVFGHGTHHLDLSGHDVSRVGADIKHCQSKNILVFLSIGGFGRQYFMPSPRAAAAVADYLWNAFMLGRRKGVYRPFGDAYVDGIDFFIENGAPDNYDELARRLWNYNKAYRGRTPVQLTATPRCRFPDRKLERALATGLFTRIFVRFYDDPHCAANWQQEWDKWTAAFGTSAQIYFGLPASERKVGYVHPKNLYYGIIPVVQKAANYGGIMVWERFDDKRTGYSSYAIQWA >cds.KYUSt_chr6.30832 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195454318:195455247:1 gene:KYUSg_chr6.30832 transcript:KYUSt_chr6.30832 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRYEVEVIVGSARDLKNVNWRNGDLKPYAVLWVDSGAARVSTRVDLDNGESPSWDEKVLVPLPPSSRLQDAVLYIDVVHANAADGVKPLVGSARLPLRDVVDDAGVGGKASRNLRLKRPSGRPQGRLDVRVAVREPSRYYDGNQGGYPAPAGYSQPGGAYGSSRDMYGSAAPGGYGAGAGGYGAAYAAAPPAGYPAYGAAQPPQAAYGAAPAYGAAPPAQAGYGSAQPAYGASTTTAYVEPAKKKGMGMGAGLAVGAAAGVLGGLALAGGASYLEDKFEDHVAERVEEDQYGGGGGYDDYGGDDDY >cds.KYUSt_chr2.30279 pep primary_assembly:MPB_Lper_Kyuss_1697:2:186343421:186344047:-1 gene:KYUSg_chr2.30279 transcript:KYUSt_chr2.30279 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEKQYVRHPDIPMTSSKALLFCATPGCDHLYCHGGPFLLAFVGFDAGDGGARACLYSSETGAWSRPSYQQLYSYLDPQPPVLVGDELYLVCGDGETIMRYKFAGKGGLSLIEPPGVYEDGIVLMPLEDGGLGVAGLDGSTLYLWSLKMGPAGVAGWKTRGDIKLQMLPIGDPRSWRYLIGFAKGCTSDIVFVSTDAGVFTIDLKSE >cds.KYUSt_chr1.17726 pep primary_assembly:MPB_Lper_Kyuss_1697:1:103295464:103295820:1 gene:KYUSg_chr1.17726 transcript:KYUSt_chr1.17726 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSPAVPDPVLALGLRHPGALARRIAMARGVAVAPALRPWLLVDAVPLVVVVLIAAHVLALGYWIYRLATDGSKQPARSKKH >cds.KYUSt_chr7.21864 pep primary_assembly:MPB_Lper_Kyuss_1697:7:135532604:135533641:-1 gene:KYUSg_chr7.21864 transcript:KYUSt_chr7.21864 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPMHLCLAVLALAAVASGDRFSDQFDLVGSGGDVQVKDDGKTQDVQLIMNRGSGGAGFNSKNKFLYGEFSIQMKLIGGNSAGTVTSLYLTSGEGDGHDEIDIEFMGNSSGQPYVMNTNVWASGDGKKEHQFYLWFDPSADFHTYKIVWNPKNIIFQVDDVPVRTFKKYNDLAYPSSKPMAVHCTLWDGSYWATEKGAVKIDWNQAPFVVNYRGYSSHGCVNNGGSSACPAGSNAWMNRELDTKELGTVKWAQQKYMTYNYCDDGWRFPQGFPAECSRNPY >cds.KYUSt_contig_49.44 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000023.1:353815:357762:1 gene:KYUSg_contig_49.44 transcript:KYUSt_contig_49.44 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAPASATARVLLLSAALVFLRDHVTIQIPETAFASLRYDDVFGSRGHARGLLCAFSAAAASTLGFSATRSVPVVALHGVRGAAVIEIIEVEDSGDDHLSLQDSHDSDVSLDDRPIAPSIGSAHQAEIPNLATDDERRHLMASSLYTRKFHVYDDPGYVASAIPVIRGSHPQSEVNKKEDITSECDSPFLDPHDVLPVGQTESASNPVSGEKPVLCFTRKCRSLLTDQTMVHQREKEKTASLPGLSSSVWSDLEEECFLLGLHIFGKDLNLLSKFVGSKTVADMLSYYYGRFYNRDAYKRWSHCRKTRSTRCILGKSIFTGRRQQELISRLKSKLSKESHDSLVEVLKSFSDDLTSLEECVFTLKSTIGLETFVEVIAVGKGKDDLTGFVKDTSKASKGLSGSANMAKGVDCSTLATEDIIKFLTGDFRRSKAKSNDLFWEAVWPRLLARGWHSEQPKDVRTAKNCLVFIVPGIKKFSRKKLTKGTHYFDCTTDVLKKVATDPSLLELEIDSTDNGASAHKNAWTTSQDGPLNDDMELLVFTVIDTSLVQGERPFKVRALRSLPADVDISSGPAQYSDNMSSDSSSQEQYSGDNMSDDQEYHGRESARAKNIEMESVYGTTSLVNLLQSMETAPRSVSPVDGHSSDDQHSDISNSNGDEVDVACFSALGTKAGRRVYLSPKSRRFASSSNVHTSRHSFSFRNADDLERNKLKPLSASLKPTVVDLGGNFQTRTFENFSTKGKPCEEIADVAKSATNGISLNVANINEDKSSEGKFHTVDEASMETSLDNHNCLQKNEGVATSISNSEIVPDVLEAMGKHDLTVQPRHGTRTRAPTARALEAVALGLLGGTKRKGEAGSLTTRRPPQRARKNKD >cds.KYUSt_chr2.41415 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257592856:257593754:1 gene:KYUSg_chr2.41415 transcript:KYUSt_chr2.41415 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCGACEGAAATVVCCADEAALCARCDVQIHAANKLASKHQRLPLDVAPAANNKQQLPRCDVCQDKAAFVFCVEDRALFCGDCDDSIHLQGTLSANHQRYLATGIRVGFSSVCSAHADHHPPAPPSSNNNNKPPPAVVPRAVPKAPAPVAAAQEVPSSPFMSPSSWAVEDLLQFSDYESSDKKGPTSPLGFKELEWFADIDLFHHDQAPPAKRGRTTAEVPELFASLYPMSNAGFYKPAGARQSKRARVELPDDDEDYLIVPDLG >cds.KYUSt_chr2.41480 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258045966:258052439:1 gene:KYUSg_chr2.41480 transcript:KYUSt_chr2.41480 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLTASLGPSAPVGTPPLRHASDVSPSGRGGDTRKRPDECRVLTVITNVVIVPNVLNMVTYLHGTMHMGVSGSVTTAANFFGATSGFAMIGAFISDSYVTRSRTMLMFGPFMFLGYGLLALQAYLPSLHPPPCNIEAELNNCKEVHGRDAALLYIGLYMSAFGDGCIRSCLSSLGADQFDHEDPTESRQQSSFFNWYTFGISFGGFVGLILIVWLQKYKGWDIGFGLCALLILLGLLIVAAGFPFYRNQVPEGSPLTRVLQVLVVAFRNMKLEAPENLEEARKINPEAGTVSIDAYSQTNSLKFLNKACINRGKSGAWSVCSATKVEETKIVLRFLPIFISSVFGYISNIIIFTFTVQQGGMTNTRLGKIHVEPATLFAIPVIFQMLILAIYDKFIVRLLRRRTGYIGGITHLQRIGIGFAAMILSCVIAAVVEKKRKEAVEQMSIFWLTPQFLLLGMSDVTSFTGLLEFFNSEAPRGMKSIGTALFWCDLGLASLMATFLVDAVNRATRHGHQRGWLEGTTLNSSHLDWFYWVVAVVGLLGFFNYLYWAKKYVYRNNQRTTKPTVCIEPMGDQVSP >cds.KYUSt_chr4.22429 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140962371:140962880:1 gene:KYUSg_chr4.22429 transcript:KYUSt_chr4.22429 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVEKVFVAALLVLVIVSTGPGAVVSSATPVDAAGAPAGEAPAPLVARLHLAFSAMPADGGEGQQPGQGGGAGWMMECFGAVTELRSCTNEIVLFFINGESWLGQDCCFAIRTVTRHCWPSMLSSIGFTAEEADVLRGFCDAEVGGVQAAPPPPPAPLALAPAPAAHP >cds.KYUSt_chr1.22640 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133861170:133862003:-1 gene:KYUSg_chr1.22640 transcript:KYUSt_chr1.22640 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFTLGGGAAGKSKAAHARSASEPCHCQCHHVHTRLDAGIRSLGAWSASATCSGVNDGPSGLARVDAVLAVLGEFLALPQAAAALREDAAAYDRILDGSLALADAYGSFEPALLALKQSAAELRAGVRRGDGAMVAASLRARKRAEKELCHLAAAMGHASRHAFPSPADGEVVGVVAEVAAATASASEAIFLRCATMSPDVSAVAHTVSSNAWLTRLRVVPAAKKGVPLPLPETATVAAALEGLEGHIGEVESWSEKVFRSLLHTRVSLLNIHNTL >cds.KYUSt_chr2.15913 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100076005:100081627:1 gene:KYUSg_chr2.15913 transcript:KYUSt_chr2.15913 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVHCYSCIDITNKFPGNTDCVVKKSWKVEALKCLLSLVALYRTWNSQGVTEDNRLSTSLDEVSVYPIPAALYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPLQGWMMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMIFNLVAICVQDFDAVMNKGFFHGYSFITFLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAIVSVFLFGFHLSLAFFLGSTVVSVSVYLHSVGKPQPQK >cds.KYUSt_chr2.50300 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314518111:314518401:-1 gene:KYUSg_chr2.50300 transcript:KYUSt_chr2.50300 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVACSFFFDAEPVGESGMPAQDACALCAKSLARNNDIFMYRGDTPFCSEECRHQQMRLDAVCERHAARTLQRYSSATESHRDQRESRKVSIAS >cds.KYUSt_chr4.38386 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236764762:236768323:1 gene:KYUSg_chr4.38386 transcript:KYUSt_chr4.38386 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEDKKAPREAKVDRKLALGLGVKKKQLKKKKDRVLDGAVESEVAAGHGILKDKELVGSKKTLLMKQKKKTKHVKVTKRLTKAGNLVSVVEDEATPKLKKKSKRQLKERNSPVEAESPLESNDADTLRLKKKNKKVKEGKSSVEPDTLKLKKKKKKVKEGKSSVEPNGADDILHYENPDEESLSADVSQLADESEVMGIGEPEKAKKRKKNKKKKVKQSGEVNTTDMQVSIREDNLERHVEVDTADVVEVDTADVDEIASVDEDCSRGMKKWILEYRQKRPGLKVLQQQIDEFITAHEEQIEQEKKEREAAAAEDGWTVVVHHKGRKKTTDAETGTAVGSVSLVAMQEKMAQKKPMEVGPNFYRHQKREAHMTELAMLQSKFEQDKKRIQQLRAQRKFKPY >cds.KYUSt_chr5.3856 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24970958:24976578:-1 gene:KYUSg_chr5.3856 transcript:KYUSt_chr5.3856 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLANKYGVTPGTLDYVSLGPGRIVKVPPPPVPIAGATQRHRRLTAPSPLEAELLDTLHLHYLQALAMMDREMVSSHARGMVLAGYAYGLHDPVSNIVANSLWYDAVFPAASSSQPLVQPMFLSCKAIFRLARRSLDGLVAFMRTYAPSLSAEEAISYLSRSHGSLYQTAMLLDREGVKHAMSMDDAFRAAILDARLPSDAAREAQFWFMVNCAPPDAFLAKHGICGHPLAFGLSDLSSHSNASTVISDFVKSAASSLALTPPASSSSSLSRGAYRALRIKRHGFKMDQEFCLNIVNLAIAKLFSQFGETYQIHLLCGQTLLTLRSGSYYHVNFLASREGEPNQLFFAEVRASLKGVDDVTLCCPVALSGRTGGCFACEFKGMKLIHPVQEEFNGQLDHIKDRDPKMVELFKKDPTTGLNVPLFDDYFLFETDQHPEVTAFLETNYSGMSDDQIDLSLLWKKAVLQEQTTVLIVARMRIRIEE >cds.KYUSt_chr5.40575 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256149148:256149942:-1 gene:KYUSg_chr5.40575 transcript:KYUSt_chr5.40575 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDQVPPAYGYDDDYYYYDDDDLMEEDDQSVSDESVDGEKDYPYLNPPPYGYPDDGERPPFVLIEPNAYFANRDNATTASCKIRDLGGTFKVTFCTGHPPLVSYLCVHATAFDHTEFAVEPHIIATETNGSLILLRFVIGRDPCHMMSLARRQYFIYDASVPSLKHLPHPRFHIFTEHTVAIVRKCNKRSQGSRNDHHYSGGFILRPHGSTQEDHNCSNSNCDYVIAAHSGFSQQSSELCLYQSDTETWSMLPVVVNVKRII >cds.KYUSt_chr1.25606 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153742555:153743533:-1 gene:KYUSg_chr1.25606 transcript:KYUSt_chr1.25606 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEDSRPIRSSPEPSNPFPIPLPKKGDAFKTPLHSAPPPQSQESKITREKEKRERERSMATAVACADPALASLKKLLAEPSPTGAPVAYCALSPAAVRRLCSTQGKEARRSNDDDDDTKGEQEDADAAGRRRARDSDLVPSFFSHDVFDRFGAPTSLRRLLGLMEDAVAAPGLGGLSSTAQCGWSVAKEDDEAVYLKVPMPGFGKEHVKVSAEKNILVIKGEGEKDAWDGDKEDAAVPRFNRRIELHANAYKMDKIKAEMKNGVLMVTVPKLKKEERKDVFQIAVE >cds.KYUSt_chr4.1957 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10742278:10743515:1 gene:KYUSg_chr4.1957 transcript:KYUSt_chr4.1957 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLLRLAPLPPRPSSRAPSSPKPALLPPLARHRGRAAGAIRAAGDGLADQTTVYTGVYGPWSVDDADLREVLLYRAGLVTAAASFLAASSGAFLPAGNPAGDAVRQGADLLYAAGAAGLGLSLVLIHIYVTPIKRFLQALWAVGVLGSVGTYALAAQPLGEGLVRYVLDHPGAVWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPTLLLGHLSGLMDDGAKLGLLGVWMALFTVFAARKFQQPIKDDIGDKSVFMFNALPEEEKKALLQKLEAPTEQKFE >cds.KYUSt_chr2.2698 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16136842:16141030:1 gene:KYUSg_chr2.2698 transcript:KYUSt_chr2.2698 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDANLQDVENRVKIMLKLLGEEADSFGKRAEMYYKRRPEVISHVEDVYRAYRALVERYDHLSKELHKANHTIATACPDEVQYAMLEEADDNFPRAIMPINSRKIQKSTVEDILKRKREGTPGRLKGVHERPAPQMSKDKAEEEIGRLQKAILVMQTEKEFVKSSYESGVAKYWELEKEIADMQEEICHMQDEFDAHASIDDDEARALMTITALRSCQGMVAELVEKFEELIRSAKMESEKIASLREKFYAMSRIIDPSKEEVGSVNTTPSDRTYPITREILELQTMYEKIEDFFDNNSESSVEEMAYKVDELVDKIIDLELKLPKQSAQIKQLKEENENIENKLDDLEDEVALRDDPGDSREELKLVEDKLNRIRVIEGSIIEEEVLVSTAFSEVYNCITSISKAFGPEDLSGLSAMAGDSTAPSEDVCIEDVTKESTEMNGREIRDIEAPITGDTLGRHVPREDDDPEVVDGNSSHGTDGVYDSKNGAEENLLMENCLPQEEFIDKKSVQAGSHFNLIVSSVTENGLKTIYEGKTDSSSEEVDQSSSGGTNKSGDMENDGFENFAQGQNLEGEYSPTAASQIHLLPLESFNTLNNTNDPNEEGSLVEVAESSFGGDSRTLDLKSDGDENVPGNSLIQAEVFGDGDGELSKTSGEISLVGSTSFHEDGTVGKNSLPEADHSCSGDTIKNLDSCHAGESKSGEESSKQVGQIVPENIEGLNEHGRVESSEEGLRTSYGHMNAYPSEEREETSLCVQTRDSEEIREVHNLVSEVPTDSEGLASHISHSQLEQKNPNAEELPREKGIFSNHGSRNGHEKSTIVSEEDAPSWQEFLLDGLEGRDAILLADYTSVLRNYKETKKRLAELEKKNQEHLEDTKAVISELRTANSRKYVEIQSLRDRLDSSEMPSSKMGRNRTLDRVISVVKEADSSGTGAPEEASPFEMKFRTEIDGLVDENLRFLARYSMACHQVQDFNSKYQELQNEMENPENKKAGGEPDAAMEPGPAEKKLRELRTEVDVWFEQNALLDRDLQLKTESLCSLQEEIADALRSSTEADGARFTPYEAARFQGEVQNMQQSNNKIGSELQAALERMRELEGKVNETLRKLRESFELSSRRSSRLEADTSYQNQFKHFPSRTRVPLRNFLFGTKPKKKSLFACINPTYQRQFSDF >cds.KYUSt_chr5.577 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4265559:4266845:1 gene:KYUSg_chr5.577 transcript:KYUSt_chr5.577 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPWRHTSDGALLMRHTTCCSVLAATAASPASRARRRRLPASAAADSPLLRARRDDLLCCFVLGGLLRCSELSAAASSTAPCPHGGRGTSTRARTIMAITGTEGSVSDGGTNNDNIILNLKFDNGLYGWSRSEGDSGERHVLRGSRPTRWTASCS >cds.KYUSt_chr6.5580 pep primary_assembly:MPB_Lper_Kyuss_1697:6:33475927:33478277:1 gene:KYUSg_chr6.5580 transcript:KYUSt_chr6.5580 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALLGGYSSAEDDDPAAGAAADLSDSGGSSAEESGSDVDEASAPPKPAARPSRRVNPSPGDGDSSLPSALDVFAEISGPPDFLNRRVAQPEEVREALGVLDRRSKQGRKPPPPGAVVAAKPQLVAIRERVSSDMKNGANPQGAVVSAKPQLIADHERVSSDTKTGANPPGSVEGKRKIGASNPGPEDAAELLRMCLQCGIPKTYSHAQGMVCPVCNDKPMQTKEPEKKKTSAVKDKEKVKRMRGQSSHASWKSETEMALRQQFD >cds.KYUSt_contig_817-2.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000077.1:80465:84075:1 gene:KYUSg_contig_817-2.12 transcript:KYUSt_contig_817-2.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRYSLAPAPPLDDEFLLEEILLRLPPQPSSLPRASLVCKLWRSILSDTQFLKRFRKHHREPPLLGFFTGHIAAQPLFTAALDSPDRIPHTRFSVPQRNHPGEKWFFMGCRHGLAILINGNEPEVVVWDPLTGQQHRVPFPSGMDNRRGDSFQAAVLCADAEDEHVHGDCFFCPFKVILVCTGYKHAFGSLYNSKSGVWGNIASTATTDELSIRPSILIGNAVYWLFCGGDILAFDIERQTLGVIQKPPGSQTADCWSFQLLRTDDGTALCFANLSKLRIYIWVRKMNSYGIARWALQQKFIKLDVLFPQRMDNGYKKATMVGYDEESNVIVLGMYLGDFMLQPESMRFTRFSKRNSWDNKMHYPYTNFYTAGKLARYGPVGEGSSSGQSSRAPRLPVAESEEEDDLVPARSPTIFAGDYVHGSDEEEAVLAQAKAISDAEARQRFRRKEADAVRQVREYEAARREARVRRVKLEIVELDKDDA >cds.KYUSt_chr3.44509 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280915131:280918076:-1 gene:KYUSg_chr3.44509 transcript:KYUSt_chr3.44509 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTDRRARVDVEDLLVRVKNGAQPELGEVAREVAALAQQGRLGDDDDEDGLLVPALLARLAGTADAEARVLVMAALRRLAACAGGNTKERMASIEALSSIVRSLSRDVDERREALALLLDLSDIPQVRQRIGRIKGSIVMLVTLRNAHESGAHDDAEKLLHILSANPQNVLLMAEAGYFRPLIHYLKEGSDMNKILMATAISKMFLSEQMKSSLGEDGAIEPLVEMFKSGNLEPKYSALGALRNLSSSLQNAELLVNSGITRSLLQLLCSVTSVLMTLREPASAILATIAQSDSILLQRDAAPQMLSLLNLSCPVIQLHLLRALNSICGHTNAKRARAKIRKNGGLQLLLPFLREKNVGIKIAALNLMFNLSKDASQELTEQIRETHLDILVKIIASPTPANDEKAAAIAVLSNLPATDKNVTKFLTQANLLPLLISLLEANISTSSSPQKMWLFEGIAGVLTRFTVPWDKKLQSLAVGHGVVPCLVKLLSEGSVKAKSKSATSLAQLSQNSVALHKTKSPRWLCVPPSADSYCIVHNCQCTVRSTFCLVKAGAVNPLVQILEGEEREADGAVLEALATLVQDEIWENGSNVIAKASGVHALLRVAEAGELTSQDKALWILERIFRIEAHRERYGGIAQALLIDLAQKGDPVLKPMIGKILAHLELLQTQSSYF >cds.KYUSt_chr6.26843 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170123112:170128464:-1 gene:KYUSg_chr6.26843 transcript:KYUSt_chr6.26843 gene_biotype:protein_coding transcript_biotype:protein_coding MREQQQREDEVYERRRLTEMQMRLRRQEVEHRRRHEELEQQLRQEAADADRAAYLTFVTEKSAGIDDGGATLRASERAAVDRPRPQSRVAREKLHYESTGVVVENSLRNTCILTSSALVSTSDPERRFIYRLKIKVRLPNNQVVDGWIQHYDLPFSMVVVVTGYSPDLRTVCFSSSLQVQRNTHLLALKRCFKSGKLMQIHGVPSDDPSKSDSKGSMLSTCKITMDGSGGPLVDLDGNIVGINDYHDQEGTPYVQGNKIDECLRITTLCSRDEVQRHCWQNFTSAFKRHWEGSSNENGYSGESESKNQKQFLSSNPEPEEFTEDVPTPELNEDEPNEEGVEVQVTNPSTISPKAYNEHKRTLVPWPSDGFTKMVNALLDKDGYPLPAYADRGMRLEGHFEEEFGRDNLSEPARKIALKMSRSVVALASFSHDCKVIMSHFACTGVCIDFDGSTSNTRVLTSASLVRTSGDENKLFDGLKIKVCLASNECIEGNLENFSFYYNVAVISFPFRCNRTAMLVDAPHTEVLALGRVFKTGNSMATEGSVISKECKIGCKELKISTCKITKAGIGGPLLDLKGNVVGMNFYDTEGTPYLPSKIILKVLRRFDNAKRPVAAGMTKEPNSSWPVLKPYWYYPSESKIEPKGRVVRHILD >cds.KYUSt_chr3.29646 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185496181:185499519:1 gene:KYUSg_chr3.29646 transcript:KYUSt_chr3.29646 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKDGNPLKNYRIGKTLGIGSFGKVKIAEHIKTGHKVAIKILNRRKIKNMEMEEKVKREIKILRLFMHPHIIRLYEVIEGPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVQYCHRNMVVHRDLKPENLLLDNNCDVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSGPARDLIPRMLVVDPMKRITIREIREHPWFEAQLPRYLAVPPPDTAQQVKKIDEETLGKVISLGFDKNLLVESIHNRLQNEATVAYYLFLDNKNRTTAGYLGAEYQEAMESSFSTLTPSEPQSPAHGNRQQVYMESPVGLRPHFPAERKWALGLQSRAHPREVMTEVLKALQELNVYWKKIGHYNMKCRWSPGFPSQESMNHTNYNFNAEPIETDDLGDKLNLIKFEIQTLDETPD >cds.KYUSt_contig_6761.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001367.1:5670:6062:1 gene:KYUSg_contig_6761.1 transcript:KYUSt_contig_6761.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGTAVGDGQRARGQAAARVRVSRAAATGGVAQGGVAVRSRGAAGGLDSAPPSPKSLFLGASLPLRPLAAQPPLPPPPPLRLLRPRLAVVGVYSLRFRLTPAVERLYLSELQLHNESEGLAVSKLRCS >cds.KYUSt_chr1.18871 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110720142:110721467:-1 gene:KYUSg_chr1.18871 transcript:KYUSt_chr1.18871 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHSPPAPTGATYNALIRSLCRRADLARALRYLSLMLRSGCHPDAYTFNSLILGYCRAHRPTAARDLFQKMPLRGFPHDVVSYAAMIEGLCDMGRIDDALELFGEMEQPDIHHRHAVLVKGLCEAERGEEGLRMLQRTKQFGWRPDTRAYAAVVDLLCREQRVVEAEEMLEEMLVPSVVTCTAVVNAYCNKGRMSDAVRVFEKMKLGWWCKPNVWTYNELVWGFCKDGKVHSAMALLNRMRAHGVEPDIVTYNLLIRAQCVDGHIDSAFRLLRLMEGDGLAADEYTYNALVDALCKDGRIDQACSLFDSLEDKGIKRNLVTFNSLIYGLCKADQVDAAWSLLEKMVSAGFTPDTYTYSPFIENLCKRKGSKEGLSFIDKMLKESVKPTTVNYTIVIDKLFKERNYVLAKKIWGQMVSLGCDPDTVTYTTSMRAYCNRKTN >cds.KYUSt_chr5.4501 pep primary_assembly:MPB_Lper_Kyuss_1697:5:28739853:28741010:1 gene:KYUSg_chr5.4501 transcript:KYUSt_chr5.4501 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPGDASRRPLSSHSFMEYTPAMRLAADRLRSNALLISLSEERQSVTPHLIAKALERDLRIPWNQMVVSRHFPEPFLVRFDLGRHRDIALAAGSGSVCGVAMTFAPWSPTANGHQRVWRFYCRVAIERLPLMSWRKEAVQRVLGGSCKVDVLERASESLDNSQALYAWGWSWNPDLIPCTHDFGLLDDAPAVRVPPLPEGTPRVDGLQGPHFPILIHLDTTKEYPLSPERATSSAPKVERFCWVPGTQDKLVGAGRRRPSVHDRIMPRRRDDDDESDRDRDAGRRNRSRSGWRGGFLGCRSTEAAPAEPGRGGRHQGRRSRGPRGRQHGSRSPASDKAGGSRSRSRSRSRSRSPRRSDDAGPSCFRQPAPASTVVVAAEALQA >cds.KYUSt_chr3.2694 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15513313:15514177:1 gene:KYUSg_chr3.2694 transcript:KYUSt_chr3.2694 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERGGEEVTLLGHWGSPYVIRVRLALYLNGVPYTYVEEDLRSKSDLLLRSNPVHQSVPVLIHNGRPVCESQVILQYIDEVFVAGSSGGATSSAVSLLPADPHARAVARFWAAYVDDEIGAPWDKAFRAGTEEERAEWMGKVAAAVPVGYVDVVLGGVAPYVHAMEKVSGLRLFDGERTPLLAAWLERFGELEVARAVLPDVDRVVGYVRMIHAKNAAKGGESLQENSSLPCVAPLPCAASRQRILCRARLHGKEGNHGKTN >cds.KYUSt_chr1.39624 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242460806:242461750:-1 gene:KYUSg_chr1.39624 transcript:KYUSt_chr1.39624 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSVRAGVSVTPSPSSAIWPPGSHTWPPACTSPVAASYAAAARAHSRPFVPLDGQDLSAARHKYRRHARDRPLHPPALATGTKRKMELEAATRRFRLWFRGLRSLRRDLRSARWDGDDQAQLTKLVDGFVAHFSDYCAARAEADPVWTLAAPWATPVERGAAYWLAGWRPTTLVHILYTESSRRFEAQLPDLLLGVRSGNLGDLSPAQLAQIDELQRRTVAEEDELSREMGAVQEGHGAVGADGELDVDGLVGRVGAVLAGADALRLRTMKRAVEILEPAQAAELLVAVADMEIGFREFGLKHDGAGSGRAG >cds.KYUSt_chr3.16543 pep primary_assembly:MPB_Lper_Kyuss_1697:3:101424621:101429266:1 gene:KYUSg_chr3.16543 transcript:KYUSt_chr3.16543 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQKIVVANPIVEMDGDEMTRIIWKWIKDKLIFPFLDLDIKYYDLGLPNRDATGDKVTIESAEATLKYNVAIKCATVTPDEGRVKEFNLKAMWRSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDVIIRGPGKLKLVFDGVEEQIELDVFNFNGAGGVALSMYNTDESIRAFAESSMNVAYQKRWPLYLSTKNTILKKYDGRFKDIFQENYEKNWRGKFEKAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLVCPDGRTVEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSTGLAHRAKLDDNKRLLDFTQKLEAACVGTVESGKMTKDLALLIHGPT >cds.KYUSt_chr1.38050 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232317097:232317642:1 gene:KYUSg_chr1.38050 transcript:KYUSt_chr1.38050 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNKVRMLSAVLLALLCVATHVELACGGFGGGRGGGGFGGGRGGGSFGGRRGGGSFGGGSGGGSFGGGRGGGGFGGGRSGGGFGGGASGGKGGGFGKGGGSGKGVGGGKGGGSRGKGGSSTLPFIGGAGVGSAGAYLYYHHHHHHHDSHSAAAGPRGRGLNWRVFGAAVTLAAAALIWWR >cds.KYUSt_chr4.49574 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306948011:306949350:-1 gene:KYUSg_chr4.49574 transcript:KYUSt_chr4.49574 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAYSALAGEKMPLTVFDRVAIDIFIPTVLAYAAPAPSNEALKEGLLRAVALYPHLAGRLAVDHQGRRFIHLNNEGVLVVEATLPENIGVALLQIQLNRYKCGGVVIGIISHHHAADGHSVSTFLTTWASAVRDGKDFVAPSPFLDRAATAVPRSTPAPEFDHRSIEFMDAHDKKPVVPMSRIKNLAVHFTDDFVADLKARVGSRCSTFQCLLAHVWKKMTAARDLNPEEFTKVRVAVNCRGRADPPIPMDFSGNMVLWAFPKLQVRDVLSWSYGGVVGAIRDAVSCIDDEYVQSFVDFGNVADANGEELVATAADPGTMLCADMEVDSWLGFKFHQIDLGTGPPSAFLPPDLPVEGLMIFVPSCKAKGGVDLFLAVAEEHVEAFEQICYSLE >cds.KYUSt_scaffold_2697.321 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2089860:2090564:-1 gene:KYUSg_scaffold_2697.321 transcript:KYUSt_scaffold_2697.321 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPSPSPCADSRNPSPHPAGARPWPARRRCHDVFWLVLFLLHLLLFGGILALAGLNRFRIADRFNITPYLQHGHPNTTTSNNHTAAAPPAAGAPEPATPGPVVTVSKHKAQPSELTETYWKYYGAAGGVGAALAWAWLAAAAGKRDGGRVVMRAAVHSLTAYLAVVSVLCFWGGHLFWGVALAVGAALHFLYVMSVIDRYRANHQLQFGIAGFVDFDTFAAALNLDSSG >cds.KYUSt_chr4.43892 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272078569:272082378:-1 gene:KYUSg_chr4.43892 transcript:KYUSt_chr4.43892 gene_biotype:protein_coding transcript_biotype:protein_coding NEQSTPTNPPTAYPDWSQFQAYYNVPGTAPMTPPAYFHSTVAPNHQGHPYMWGPQMMPPYGTPPYAAMYAQGTPYQQAPMPPGSHPYSPYPVQAPNGTVQTPTPGAGGSETDKSNKNKRKTPLKRSKGSVGSLDVAIVKSKTPPAKPLASSSNEGSSQSESGSGSYSEGSSTNSKSGSQTKDGSEHGQANDASSKGVTAQGAAAEPTQASSGPVVVNPMMPYWPVPPPMAGPATGVNMGMDYWGAPTSVAVHGKAVAAPTSAPSSNSRDIVLSDPAIQDEREVKRQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSALKEELKQLQGKCDSLTSENTTLH >cds.KYUSt_contig_6451.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001307.1:104002:111486:1 gene:KYUSg_contig_6451.17 transcript:KYUSt_contig_6451.17 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPTGVQAMVDPTGVQAMVDPTGVQAMVDPTGVQAKVDPSGVQAKVDPRRSFLRRFTNSNNTEASSSEDSSNARDEIDQLLLDGFDMYPRSSLHSEEEEGSEDDSEDEDVETYKRLVNDGGQQLYPGCKKFSKLQFLVRLLNIKNVRGMTNAAFEDMLTLFREALPEGHSLPKKFHEAKQYIRGVGLAYDTYDETNFILSVIVPGRRSPGKDIDVYLQLVIDELQELWHHGVLVHDAHFGKKFRVYAALLWTISDWLGRGILSGESIVVCSHCLLGTCSRRLKHGHKACFLGHRRFLSRDHAFRRDEKSFDGTTDFRDPPVQPTGEEISAMTMDIQTAYGKLQKQKRSGRKKRKRGEGDEDLENKEEVHTVESTFKKRSIFFQLEYWKFLLVRHNLDSMHIEKNVFDNIVNTLLDVDKRSKDNANARMDMKRMKIREHLHIDETQEKPDLPDAVYYMESSKKKMFCGLVKNVRFPDNHASSMYNKVRLEENKFVGLKTHDCHILFEEILPLAVMKTLPEEVALPLVKLAKCFKVITSKIVSNKEIAIVEDQLPEILCELEKIFPPTFFDIMEHLVIHLPTEVRLAGPVQFRNMWSTEMFIGNMKNWSLLLKGTKLPEDIVLLANKPYMMVKKYNSYCINGCVFHTKEFAAGKSTQCDGVSNSSMTSSYSSSKDKNPLKGEVEYYGRIVEIVELNYSNQGSVVLFKCEWSKPAGVKNIANFGITQVNLKQLEFGSEPFIFASQAKQIYYVKDAVDDDWYSVVCPSIRDYFDMEPRIDRTNKSDTQEWKEKMIKVGEAVAAGSNGDNMLERFIHIAKATGYLPGNQQIVENKTIYEQLEEDDDDDEDDSDEEDDEEEDGAEDVQRENDGEGYDSDATTDPGTEEEKSHRGPTLLKGFWKHVNPNCKIDVEFNDNGQPCGPNTSQFSNFIGSLVKGKEISMAATSWSKVPRSEKMHLWETVKTFFNVEERHRYWVLKSAGKKWKDFKCYLKKKHYKSKLSIEENVANGCGQRLPEAQWDWLNEINNKLNANPELHGEEPNPNDLYSTLFPKAKKSTRYGLGMVVGGKGSENLAQALAALEESRKETRDLKLVVENMARKTDIIEGRLSQLMLVYQASRKIQDNQTTTTMRQVKREWKVTKQNVEKVISSEVTGCKENVRLSFFFHDLYCNHTIQMVQATTTHEDRRARKIKERKSVKEPNSSIPKVPRQEAIYTQEEIYSQEDVYSQEIEGNHSKTTYKQLEAKTASVNKIIRTVKMEKAQENRMKISEVKKRKNKGPVKVTKGMDVALTSPYSEAVVALGTVQNADTDEFIEVMINMVLKRTTRLPQAKGTMTLLGHAEARSVQWPRKNISTEEEDMDMTHASILSCMDKNLRIHSRSDGKVLTLEEQAANREMRRRAENHDSQTTKRRRTFTFKSKASIASPVQADELLDDV >cds.KYUSt_chr4.9788 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59217257:59218387:1 gene:KYUSg_chr4.9788 transcript:KYUSt_chr4.9788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-pore K+ channel family protein, K+ homeostasi [Source: Projected from Oryza sativa (Os03g0752300)] MSDSNIQRALLADNPNALQRKPSEGAKRFRRCRSAPRSETAEKPRENGSWLPAKELFKEMRPSFRMVGFLLFAYLLVGVVVFYLVMDQISGKRTNRVLDALYFCIVTMTSVGYGDLVPNSDATKLLASAFVFTGMGVIALFVSKAADYLVEKQEVLFYKALHMNMKSGEAKMLRRIEMNKTKYKFYTTALLLVTSIVVGTVFLWKIEKLSLVDSFYCVCATITTLGYGDKSFSSKLGRTFAVFWIITSTIILALFFMYLAEIYTERRQKMLAKWVLTRRMTTMDLEAADLDNDQRVGAAEFVVYKLKELGKINQEDISSFLEEFNKLDVDQSGTLSTYDLTMA >cds.KYUSt_chr6.28526 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180699082:180708110:-1 gene:KYUSg_chr6.28526 transcript:KYUSt_chr6.28526 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAVSGVVSRKVLPACGGLCYFCPSLRARSRQPVKRYKKILAEIFPATQEEPPNERRIGKLCDYVARNPHRVPNITEYLEQRCYKELRKEQYGFAKVVVLIYRRLLVSCKDQMPLLASSLLSIICTLLDHTRQDDMRIIGCETLFDFIVSQVDGTYQFNMEELVPRLCELAQVVKVEEKSNALRAAALQALSAMIWFMGELSHISSEFDNVVQVVLESYRPQKMHDDNNGPEAQGSGRTEVLKAEGRASSSPSSFTISRIPSWKSIVSDKGEIQLPVKDAKDPNFWSRICVHNMAKLSREATTFRRVLESLFRHFHNNNSWSSLNTLALSVLLDMQMLMENSGQNMNLMISILVKHLEHKSVSKQPEVQLSIVEVIASLAEQSRAQASAAMIGAISDLVRHMKKTLQVAVGSRELELVKWNDKLRKAVDDCIVQLSKKVGDAGPVLDMMSVMLENISRTPLIAIATTSAVYRTAQIISSIPNLSYKNKVFPEVLFHQLLLAMVHPDHETRVSAHRIFSVVLVPSSVSPFPHSESPDQLKKQEIQRTLSRAVSVFSSSAALFDKLRRDKTSFRENTQDGSRNKTLYGIGDETASPKNLSGSQSRRRSFRVPNLSIKRVPSSSLRSSVSLKESQNSSTESCNEMERAFLRLSSHQATLLLSSIWAQAISHKNSPQNYEAIAHTYSLLLLFSGSKMAIFEALAPSFQVAFSLMARSLQETDSLSPSRRRSLFTLATSMIIFSSRAFNVAPLIPICKSLLNERTVDPFLHLVHETKLQAVKDYSEDPSKTYGSPEDDANALKFLSAVNLTGSHSRQFMTSMIMNSLTDLPDMELESIRSQLLNDFCPDEMCPINAQFFEAPSKSPLSVSEDDFFHQEAPLIDMGNETLEEVYETMPTSKGMSVPTTDLLGIDELLETVGAGTSSQATRYSVSTAPDMPFMDMTSQCEALSMGKQQKMSAFMSFKHNWQAPIPESDPINHAEAAHIFDEQLRKMHQRIPPALKCKIQSLQKFHHPFVLNPLLHCCHEIPHHPTLAPQSSHQRSTIIVTLVVASATTTAAGGSDTI >cds.KYUSt_chr3.44408 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280183552:280186930:-1 gene:KYUSg_chr3.44408 transcript:KYUSt_chr3.44408 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTMVVMVVLLAMAAEAALPAVVVLERVLPRKGVQLADLKELDKARHVKMGVVNLSVEGTASPFARGLYYTRVGLGNPSKTYTFQIDTGSSIPWVACTGSSTKNISPELYNPDFSSTSSRISCSDDKCATVSASCQPSDSPSGLCGYNLTYADETKISGYFVSDVMYFETIMGNQRSANSSGSVVFGSAYNLNLESIAVNGQKLPIDSSLFATPKSQGTVLDSGTALTYLVHGAYGPFVSAGNDTVWCIGWQSNQVLQNTGGITLLGDIVLHDRLIVYDLGKERVGWKDYNCSSLNRTRFDVSGASSYYSGLTTIVVVAVVWLGGLLADHALS >cds.KYUSt_chr1.42792 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261874313:261876994:-1 gene:KYUSg_chr1.42792 transcript:KYUSt_chr1.42792 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPMAMLTILACSSWAAAAALLAAAFFTLSALPLVSTSRRFSSAAHSAFDMLGGFVFFARIFLAGAFGGILVDERTRRRVVVDKKTPPGTGAGRRGDWGISAGENGDMQANWREWGYVSKLEGKSTGFGFPVADYAGPKRLLNSARVTALKRTLREFPARISGEVIVPQAPMKREACGYEIVEGSGEHTPIAAVESKRGRQQFNKVGDLRVGDWDVEVFDSDGVVDADEVDSLMCKRARAF >cds.KYUSt_chr4.13922 pep primary_assembly:MPB_Lper_Kyuss_1697:4:85673877:85678138:1 gene:KYUSg_chr4.13922 transcript:KYUSt_chr4.13922 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVERRGSSAVESILWIDEKAKTKICSCGELPIAEFSPPNKFSDEQINALKCILSKLRCPHINAPEVLWGPPGSGKTEIAATMLHSLVEWKFRVLVCVPIDDASQIKEADLLIPLSMTTKHVFLLGDHLDLQATVKSEMMLTEQYVMHPSISLFVRSHFYEGKVEDAGNVHSHDYNKQLVDEKLPPYCFFDIMDVDELKVKGKSFVESSVIISLLQSLCKGLKSEIGKLSVAVLCLCSSRIDTIKNRLGTTYASHDRIDLEVNTLDNANESCQGKNGHGTEDPTMAKTFWVPFEIKRTLVEQERECLFKLESYERVDETLTAEEAEELVEAGSIMACNFRLTRNYFRLRPGDVYVYDSRFPYIHPISGLPVSHAAMVIGHGGQQQPPPAKGPVRHVHIQNSEGERFGDDGFGRVDRDTVRGLYRLTLPPLPPTAGAAPSLLER >cds.KYUSt_chr3.19618 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120722098:120729333:-1 gene:KYUSg_chr3.19618 transcript:KYUSt_chr3.19618 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHERAEEMQVNNEAPPGCLKPNISPYNPQDHRGAIEGFPENDEKKNDSSIALDKVWEASPLPNQGFGRPYYRQEFYAWPHLYSDYQMLRQPQPYGFENQFYQINRDHSFPIEKRVQFPFKMFPQGYPHDAQLQEFQYFVVIDFEATCDKENNPQPQEIIEFPSVLVNSATGQLEASFQTYVRPAYHQSLTEFCKELTGIQQIQVDRGVPLSEALLMHDKWLEDKGIKHKNFAVVTWSNWDCRVMLESECRLKRIRKPPYFNRWINLKVPFQEVYGGVRCNLKDAVQLAGLTWEGRAHCGLDDARNTARLLALLMHRGFKFSITNSLVWQPAPQSITCQPSPDRSPDPTLLQHKAKEMLGPHVQVNNNPYAGNIAGKERPMYCYCGVLSRWNIVRKPGPMQGRYFYGCGNWTVARRAICPYFAWAS >cds.KYUSt_chr1.37309 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228003882:228005090:1 gene:KYUSg_chr1.37309 transcript:KYUSt_chr1.37309 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDAVESRRWRCDPGDDHHPGEDHFDDLPDPLLLVIFNRIGDVKALGRCALVSRRFHALVPLVDSVLVRVDCVIPDDPASLSSAAPSSPTASARARNVFSQIARMVIGGIVKPIQALGQILSHANSAADFPPSSASLSSFRRSSSSPSSSLPPGGDVSHHSPSEVLRSFKELRTLHIELPAGELGMDDGVLLKWKADFGSTLGSCVILGAASASPSSTAKDGATTPPSTESDDLGSIPESFYTNGGLKLRVLWTISSLIAASARHYLLQPIISDHAMLESLDLTDADGQGVLTMDKCQLQELRVRPVSASGDSHRTLMPALSMRLWYAPHIELPGGTVLNGATLVAIKPSEEAMRDAVGTGAAGSAACGPWVSDAFEEPYRTAVRLLLKRRMYTLEMNSF >cds.KYUSt_chr5.35297 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223066079:223069666:1 gene:KYUSg_chr5.35297 transcript:KYUSt_chr5.35297 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQDMWDVVSSLFIMLNKETFVLFRIEFLVVLATLLFLVMFIMDVFRRDIHNTTMATIFSILDAVSDSIVIYLLGAMQTVTFKNQLFPVWALVLVSFRYNIDFISGYGVHDRNGRRFMEWRNVVKLLGSAFLTSRSSRFVIPLWLLLALQILRSGYRYSAYILAVISIWHGKSSEIVTEHMRAGSHTNNWKQEDCNPGNMEGYKYLVYGETKRRIKVKKPRYVLYIDTTQKRRSAPNRQKSSLVTLDKIWGCNRHLLCPANNQGNDPKDLCLAFALSRLLRCRLEDVPLQEEIFCINRKLVKSKILEEKNIDRAFRVIELQLSFVNDYFNTRYPMVFCNGFFSLLLNLLLSAVTFGVVCWLAVDIRKVYKPPQNDRARVVHGFNVDMIITWVFMFFMMFKEIWEMVSYLVSDWTRLLLVCRYAQRKEESIRNRRMESTISSFFRSRITKKQWHGHIDQYVFLESYDDRPRFWNVLHKISTGLTPKKEDGATLGSAIDLPECVKSAVLKKLCATLEKLSSPDTVHEPDNPNRNHGDLPKVITSLYEADSDRMKLYGWACFDLPTCSHVILVWHIATSLCEMKLAQVHGVDISKHGYMASFLSCVTSFCPSKPYLIDVDEKTKEKKKVNEKLPDKLQEMYITANSLSRYCAYLLVTKPDLIPDTFYIPKMVLEETVTRARDNILKDCDSLQSRYDELMKEAERATQDDDGDKEDILRLGAVLAKKLIDQGPEECWDILSGVWTDLLVHIAPTWNAEAHLHCLESRGEFITYIWALLWHCGIEKSKLWPVEDLYVKDAPGTPQDNSAPKNNVQLAPDMQQTCAGPREERGEGDIQKSEMQQVDPLESNGLTGMGQINEKNRRKHLAKSSLELGKDIRVATSEYYQGMLRADTVHRNGQGNAVRGMKNGGNTCYFNAVLQSLLALDKLRAMMLGPNALTGHIGQELQKLFIMTSDTNGAGGVLMPERLFLHMCSRMSDFRPGVIEDSNNMLGSLLAGLENEEPTMVQSLFRGHIVKHVSSNECEHTSVSTENLDLSLAIPLRKPASVEDCLDLYATGVIEAWHCTNCSAAGNASLNQEDTTVTNGQPEQSDNKIYGKDESSLPAERKTRTRNQNNGKLRVPVLDDKANQMEQSHVKQKEEEKVYRDATVQYRISNAAPILTIQLKRFNYSHPGRPDKLKERVSFQDTLDLTKFMDPGY >cds.KYUSt_chr7.3392 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20236092:20240464:1 gene:KYUSg_chr7.3392 transcript:KYUSt_chr7.3392 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLCLRPSSLRSAPAAAAAAATRRRASGQSLQPEAEAHDPASIWKPISSSLDAFYRQALSIVSVSLLAVESLSDISPLFLTGLLEAVVAAFFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYSPATGIAIVSVSAAMSFALGWVVGSPPLFWALFISFVLGTAYSVNLPYFRWKRFAVVAALCILAVRAVIVQLAFFLHIQTFVFRRPAVFSKPLIFATAFMTFFSVVIALFKDIPDIEGDRIFGIQSFSVRLGQNKVFWICVGLLEMAYGVAILMGATSSSLWSKSVTVAGHAILASILWSCARSVDMTSKAAITSFYMFIWKVIADISTHS >cds.KYUSt_chr3.617 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3271220:3274276:1 gene:KYUSg_chr3.617 transcript:KYUSt_chr3.617 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFSPFQRAADVVDLRGIQQHAGVVVVEEKFLQGFAKPAQIEEGGEGRLGFGRRVVLRLGELGLRLEVVLMFWEKRNELCNVMKEPFWNMAMAKKKDAVFCRVDVDKCKDITELYRVEALPTFLLIKDRNEKGRVVGAKMEELNTTIKAKIYTNIPI >cds.KYUSt_chr1.10545 pep primary_assembly:MPB_Lper_Kyuss_1697:1:64498686:64505033:1 gene:KYUSg_chr1.10545 transcript:KYUSt_chr1.10545 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRFGGPRRSPPRRSNYFGYRPRAGSDADRRDEQRRSEDERRRDEEWRREDENRRREEERRHANQERLRFEERRRLYERRRLDEERQREATRISERVARERALAERSRKDDELRARDRWAHRSEMVPSATSNLNSARSAEVSPVAPSLPSSNTAAADVHSSQLQNQHRSAVVADSSQSLVSLPEPVGSSVPPPVPASTTATNRRVEIPLRNLSCFYCNGDHHISVCQERDPWDYNAPFFGSEEFGSGFYSIPVPEEDNYPVEQLNYAHITVEKGEVNCRNIEHEFNVWAESMKINWRFFAKEVSATEFRTRFPSAKTIEELAHFGKLFMRTVPGAIISLEKWAGDIEPISIMQEAWFRIKGIPMKFRNKSTVYYAASLVGKPLALDKNYLRHFAYVRVKIGSQDLALVPNSRIGEIKKGFYEFQYSRELFDPSFNTGNKTAVPTDAQGEVGDQGTPKRQRMGMQDSDAGSQSAPPKVSGNHKVSHRQAAMHESSMPRKDTGKRKLFELELPCVPEKNLVSTPCAPVSSSPLSEVHKEVVGALASLPSQSSESSSSQRAADSYKQFLTSLARSNSDKAFTIQKEYKNLLDPIAENVNEENDPVDELVYYDSSDNSQDSDTPYLTQGQGILALAAPSLISERTAVVIPVDGPQPEPDSQEEPLSQVDNPTIDNDIPGAGNLSSSGGNQQQPAPRMSSRVGARGTHSSRIGSRAMENTEASNIPGTNLNTHNSFALLDDEEILARALEMGVCPTSFSLENVSYLKDLEIARHNMAAVQNSAVNSNDVDSNPILLLGLGEEQSESDRDVEEEAFTPVLSRRKRRNKKSACKIGRSGSQLTSGDVTLGAQSKSSAAQVKAITDSGIRLRDDVWTEREKLNILDCVELDKRFTLEEIKDVVDHMEKNKAAGPDEGFSDNWMQWIKKSVAGGTLSVKVNDKVGPYFTSHKGVRQGLHGEQDASDLRAGADGLLRLAEVAGVRSSGNDGRGDLLRLEDNRTGEDANDDVAA >cds.KYUSt_chr1.41757 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256033666:256036826:-1 gene:KYUSg_chr1.41757 transcript:KYUSt_chr1.41757 gene_biotype:protein_coding transcript_biotype:protein_coding MRLELLGAAPPWLVVLVAGARAASATGASRSPVLAADAHWLGGRDILHGSLGLLRLTESGFVGCVGGASSEWRRFSSPQVAWFPGQFVTLVGTTRFGVAPVGLVGPRGLSCWDASVHDGVSAKAPQGYGHCRPRRRLWMSLTLLEGGHDTRPATGCLPWGSVYSLTDLRSLREHNHRRRDYIQRASSSLQQRPAPRYAGEFEGQSPPVLPRAAATGIDSAVGEAPPSPREVDRRCHGGADRDFAGGRRPPRHLWPPPLTAFVKEGLLDTAAVTEFLTSARYGELLHIDHVFSIEDDDTLGAGGPCQRLSARRSRM >cds.KYUSt_chr3.33501 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210306687:210309000:1 gene:KYUSg_chr3.33501 transcript:KYUSt_chr3.33501 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAELHPSSWWLLWTSSAGDGRGRREKVPRGAGKTVASRSTRAPQPKAPYPPPLSRNASFRRWLSRTQVDGVQDFVRHQIVSNDPSGCQSPSIAIVQEGFRAWYLMGTICPAVGTICPVARPWMPRTSLSSGHWVYMMDSNTIKYDVKVISNTGGLEHLNMLLVEMCDFLFKTWAYGLYCMKKAV >cds.KYUSt_chr2.48316 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302091021:302093149:-1 gene:KYUSg_chr2.48316 transcript:KYUSt_chr2.48316 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETNEVHGAEVTILTSATMTVAPALPMQEHRLPLSNLDLLLPPIDVGVFFCYLHPAPTAAALKEALAKVLVTYYPLAGEVVSNADGEPEVLCSGRGVDFTEASADDAELRELRLGMVDEGVEKLVPAKKAGVICVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARGGSAALAPSFRRSLVAPRDPSPRTHSTDALIDRLFSPLSSAPPPPPSSVTATAVNRIYHISAADVKEMQARAGPTRTKLEAFTAHLWQLSSRAASERQSLCCMGMVVDGRGRMFPDGAMEAYFGNVLTITYGVIGAGDLRRRMALADVADDVHRWVREAATGEHFRGLIDWVEALRPKPAAARAYLGGTGGAEATACIVSSGMGFPVGEADFGTGVPAFASYHFPWPAGAAYVMPMPSARGDGDWVVYVHASPELVKVMEEKHSIFKALDNSYVFGGMGDR >cds.KYUSt_chr5.35875 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226598521:226606556:1 gene:KYUSg_chr5.35875 transcript:KYUSt_chr5.35875 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVDEFSGGWLSFLGIALSHLAGLSQPRFFDEPIKWYEQVIGRRVWIKIEGLQQRSGWSVIVEATRKTLFDALQDAAMLAVVTMRIHFPLEFRGTPFTVLPMEPGQRSKLDYPAIGGGAEAATFMGINYDDLATLQWQRFASLHRERWESDEAFEEKILQKLQSLEVAGKMLKGLPPTREIDQRIADILKMYNKVEDPLVAGDCGWSVSVSNKMQKAESLQVPSDQEEIPAASSPTVSVRVVVSMAGSKKQGGYQLGVRKMPNASERARNQASPFPVVRLYEHLSSDQKKSIEDMDLGSMLDIKCHVLHNPLISWLAPLYDSHSREFVIQGRGRIPLNADSIYRTLGLPRGDIPIVYAMDSVIEARLGPLLFPGHSSTPKITGVFTMLSQMNQLHDELCTGKPSGGCLLHVQLLYVDSLDISSLNLDLPDGRFVANIWSKKDVDTVLDADLKRDGSGYGNLELKLHLAINFNLFGGAASFEKWVETNTAPNCPKNRKDKVARLMGEFASGLTGLMSKLVQGLTEVDDDEVVDTAKFDKTLRSQMVASRSLDDGGAANTSSPIAQSTYDRPAKIVGKSPIRRLKNVPCNQSADATDVGGDVPCNQIADATDVGGDVLCNQSADATDVGGAITADPLNVVDSVSSPVFEQTNVVVEAPVVEQTHVTRSAAKAACAAKVPCQTDKVPVVNFSDNMSDGESYHSGNDSDYADEAVTACFVIQSRCHVDGGEHADIVLSECAAVPSQTTLSGVVTVVPGVSPQVVSSSVPMDIDGAVAEPSDADALVRFMETDITVSSADNRIVFQGLENLAETAAMHIDGVVDERDIDNGKQVLSETNEADLLRAKDASVDGAIIFVGMKSGEDLSSSPEVAVMNEDNGKGLSDGYDAVSETINDVVNDLKRSSSALDASEAKRSCVVREDRSVNPVQNVPSSASKKKPNVRGRRPAVSKSQVATRSSPRRPPRGTSSGVADNIAVKSKVYTLKDRLESSTKIHAAGTSPLVETGSQISAESGSDEVVFKDDVVPSKSTSETVPTGKESTHEDPVEVSCATGSQISAEGGSDVVVPSKSTSEIVPTGKESAHEDPVEVSFATGTQISAEGGSDVVVPSKSTSEIVPTGQESAHEEPLEVSFAPPDSGTSVTNQMADPVGDVDHHASASTGTTVDVARDVEQNATTSPQDQIVTVVKRARFVAVDGKLSLTPGIPIDLSAYHISVVNTSASEKSASPAEALGNADVHDSTISADVVKQSETANVSSIPPTVELQGLGYAWKVTCTDEQELLYQQVIKHNSYSEHSKIKESRFLMIDPMWVSTGDLASSVMPSGELSTTVAEIGIAVLQVDCPKKKIIFPWIVTVYLLERRFNSRILQKHFRMDDKYKLSHQNLNLGTEKKPCGHWYSLFLNFEKKRFEVLDSACGPDDESLINHSSDLVDAIKCMYRINYSSSSKQIDDYELVFIEAPKQNNKYVLFFI >cds.KYUSt_chr3.35550 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223253617:223255926:1 gene:KYUSg_chr3.35550 transcript:KYUSt_chr3.35550 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKHPDFAIAYSKIQDPVNIWFFVSTGSGPGRITWLADTEDKTGDDTAAVVAWLSTLPCDPSIPSKDASFNAEDDVPWFVVDFFVLVLVDVWLLNVPACAVDPLGGGADILGSDAAASDPMSTVVDPLADPAASGNLCATAPNPLGAAFDPFSVVDPVDAPVASCTFLAAAAAAEALRAIVDPLAATAASGIVGTDAASAPLLAVVDPLVDPAAFATLPASASVSVVGHMLLLLPWTVSGALFWAHAVADPWTVAAAVFTACAAAFPGALKGDLNGADVDFNVVAVGTFNVL >cds.KYUSt_chr2.39041 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242086285:242090056:1 gene:KYUSg_chr2.39041 transcript:KYUSt_chr2.39041 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSESSRNALLPSFLYAAPVAAGSTTTTSAAAGLGGRGLVAPSGAASTGPAVWAHSPSEPARKIEMYSPAFYAACTAGGIASCGLTHMTVTPLDLVKCNMQINPAKYKSISSGFGVLLKEQGAKGFFRGWVPTLIGYSGQGACKFGFYEFFKKYYSDIAGPENAAKYKTLIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLSDGLPKFVKAEGYAGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKYAIPAPKSECSKPLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAQGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVAPTPAAAGEQLKG >cds.KYUSt_scaffold_869.182 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1102424:1103608:-1 gene:KYUSg_scaffold_869.182 transcript:KYUSt_scaffold_869.182 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDADSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRNIGFISDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEDIGAGDQGIMFGYATDETPELMPLSHVLATKIGARLTEVRKNGTCAWVRPDGKTQVTVEYRNDGGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPAQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVASKLARRVIVQISYAIGVPEPLSVFVDTYGTGTIPDKDILKIVKENFDFRPGMISINLDLKKGGNRFIKTAAYGHFGREDPDFTWEVVKPLKFDKAAA >cds.KYUSt_chr6.26773 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169733085:169735252:1 gene:KYUSg_chr6.26773 transcript:KYUSt_chr6.26773 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSDSDGAPEELTAVQGVERHEEISKVEKDSAVRATKEEKDRRKRWAKRKTSSKPDKKKPLKVEDTDAKAEEEVEDEETHAMPGTLPKNVIEMLAAREKQTFASDSEEENVNQKVQKKKKKLKTSGPETILLKDVRSTQHVKNALDFLNHRKNQVPRSNAVLKNSHTAMRLFKANFMT >cds.KYUSt_chr6.3207 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18772818:18774730:1 gene:KYUSg_chr6.3207 transcript:KYUSt_chr6.3207 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEDESALHRDRLSNLPEDLLLNIIERLDVADAMRTSILSRRWKLIPTMLSKILIMVGSTDNMQERTCDVARANATVLGATRSLLESRSASPCTIHRLCLQFYLGKGSKLIGRTVAKTIAKHKVGFAEFTILTQKELERSSSADRFAYGLQFKLFLGTCPNAFIRLARLKLENLRLLESDIPCILKVCKRLEFLRLDNCDMGYRSLLAVEHPRLRELEIVSSEFERVDLSFLPELTTLTFSYWVSLHDPLSFGYVPLLHTVSISNTALSWHKMLKLSELLGKATVSNLHLGFESEKIWVKPEDRRKLSLVFSKLRFVNLAAISEECDLKWTLFVLQGAPSLEELCIKVCDCLRIWDEEERRKHAYSEKRKDAGTNWEASDFMHRKLSVLKIFGFQSEEKFMNYAKTVMEVAVNLKDIYLHEKPACEEKCAYSHRRGDRYPRTKRHKIWVRNNLDMDMHPLLRLHFRF >cds.KYUSt_chr1.7928 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48628638:48629512:1 gene:KYUSg_chr1.7928 transcript:KYUSt_chr1.7928 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPFPAAEKKNKLRKPYTITRPRERWTDEEHERFLHALYLFGRDWKMIEAFVSTKTSVQIRSHAQKHFLKAEKLGLGACLPPPLHPRRAALLRNQPPPLHLDTDMLVPNMDWANHRAPVVSPRPALRPAQVYRFVGDVFAASDAAVPVEAHLQRLQLHGVDPLVVDTIVLVLRNLEANLCA >cds.KYUSt_chr1.4241 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25759364:25760493:1 gene:KYUSg_chr1.4241 transcript:KYUSt_chr1.4241 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPWLAFCTAVLLAMAASSTVAVVAYPQSASLKVGFYKHTCPQAEDIVRDAVRRALARNPGFAPGLIRMHFHDCFVRGCDGSLLINSTPGNMAEKDSVANNPSMRGFEVIDDAKASLEAACPRTVSCADVLTFAARDSASLAGGINYKVPAGRRDGRMSLSDEVLNNNVPGPGDDVAELVASFRRKGLSADDMVTLSGAHTIGRSHCSSFTQRIHNFTGKVGTIDPSIEPYYAADLKRQCPISTDNINDPTIVPLDVVTPREFDNQYYKNVLARKVPLTSDQTLLTNRRTAAIVKSHAAKERAWKAKFAAAMVKMGKVHVLTGDEGEIREKCFVINHH >cds.KYUSt_chr4.49024 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303695653:303702180:1 gene:KYUSg_chr4.49024 transcript:KYUSt_chr4.49024 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFDSSFHPRPAIDPAADRQYPSWFILHRSVLFDNCDNATTAEAKMSTGHTIKFTFCLDPPPAVSHLFVHETDTNPLVVKVEVICNAKDLVLLRRNSELSLTPIPAILIALSSCAAILPLYDGHFLLADLTVEYAGEGEYNYHLHVFSSKTWVWGTRTLPVPTRRCRNDVLNEPDKVIELGGSVLGWVVFRAGIVVCDVLGHDPVHTRFIPLPKPDWGPKEEWKHSMSHFRDVSYWGDGFIKLLDMDLRFRYVTVDLNHNHRRPVIKMAKDFDHLDTILDSELLPPENVRTCPSVGTIIALPLRGKIGVAVQPFFAVCSRTAKISLPCAQIKTHGKDLGDGKDRHKRTAKIRFTVTMEEAARQRKVHGNGPTHRTAKTWCTAKALGIAVPHPLPCAQLGYTAKQPLPSVFEKRTAKKPLPSRKRKEKEQTLIQSQKGAMEKFIIKETKVTSDNHSVGTATLALDIIPYNNDADGQTEIENNVEVEEDDIDVNFSTSPDIFDPRSWNYLDSKQIDILAQRGPRRDLKIKKDWKSIRLLIKLLIDNLRKKRTIGVSETDKDPKTSSEALGLAKNELGDYEFIVEIVIWYDVLLNVNLVSKNLQTKDMLIDVAIEKVQGLMTFFEGYRKLATYRHWR >cds.KYUSt_contig_1170.55 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000113.1:361215:364306:1 gene:KYUSg_contig_1170.55 transcript:KYUSt_contig_1170.55 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKRGGGLKKKLKDLVADDDDEEEDQEHHGGGEDQEEEVGGEDQEEEGGGEDQEEEGDEEDLSEDEGLGNLVFDPDPSLEWCEPEDYHSFQYEDPTQRPPRGYSNILGGLLRWYFPGIVNFPTGGCDVAWRWAHYSLAEDPLGRGTAADLVVAKFWKYFKRAEGKENACDDVLHQLARKRVTGMHYEARIQCVRDWHADRFVHMSKEDARDTLMQPWQYLQNPPQYVGNDDRCFRAMVMWWTCPRYLKKHEEGKKKRAEMRGGSHIQGEHPHLSSLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQCTSYVSKFKQKYGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPAKVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLRAEMAEKEQAAQEHARNLERQAPRGASLCSWELSGCAWRRRCRAGWGVAARQREHGRQSEAHGNVSPHGKDNVRTAKIGRTATGRTHGKDIVHGKGAAARQHSVLTAKIFAVQFGQAHGNVAFAVGVVAVQKLPCVGARQSLCRAK >cds.KYUSt_chr4.49747 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308108647:308109012:-1 gene:KYUSg_chr4.49747 transcript:KYUSt_chr4.49747 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAVVAAIVAVLVVTAAAQGPMPAPRMAPLPAPPARSPATAPAPAPVATPPTAASPSPMASPPSPPMETPTEAPSAMTPSAVSVTPAGAPSDTPASSAVYTSTVSFVAVAGAVAAAMVF >cds.KYUSt_contig_319.1394 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:9315818:9316333:-1 gene:KYUSg_contig_319.1394 transcript:KYUSt_contig_319.1394 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTRLPSDAIYSPAPVHLLFSFKPPTPAHSPSQLSSAEQNPLPLHSRLSHLARTDAMAKWWLVASLLLCVAVATAAARGVPASDDCDTVAAEAGRDGAGLDEAKTVFGGSNDGGLFGGGVNGGPLGSGIAGFGPHGGFGAGAGPFGGFGGGFGAGGGGGGGGGGGGLP >cds.KYUSt_chr7.31646 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197121066:197126785:-1 gene:KYUSg_chr7.31646 transcript:KYUSt_chr7.31646 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPAAAAADAGAGADETRRLAREVARVLDDCRASLAVHPRKLRELAALRSPSASGGRFLPAFCTALTPLFQIPRRSPASDRVARFVAAFASASASASASASGEGGNGFLEGFLRFLLVGSAAAHRPARFRSCQIISEIIMQLPDDAEVSDEIWDEVIEGMKVRVQDKIHAIRVFAVRAVSRFAIDEDDGGIIDIFLEALEKEQNAEVRKMIILSLPASNATLEAIIESTMDVNESVRRAAYSVLSTKFPLQSLSIKQRTTLLHRGLSDRSVTVNDECLKMLKDEWLVKHCAGDVIALLRLLDVETYESVGESVMGVLLKDGAVRVQDGHTIRHYFTTNPEADAEQVSSIKLMDAEVALYWKVMCRHLQAEAQVKGSEAATTTGTEAAVYASEASDKNDLLEGVLPSTVAEYVDLVKAHLSAGPNYHFASRQLLLLGGMLDFSDSMNRKVAGSFLYELLIRPLEHEVDEDGNQIAIGDGVSLGGDREWAKAVSELAKKVHASVGEFEMVTAIVVEELARPCRERTADFMQWMHCLAVTGLLLENTSTLRSLEGKAIEPPKLLQSLLLPAAKQNHVDVQRAALRCLCLFGLLQNKPGTELVKQLRLSFINGPDLVSAMASKALIDLLTWHGPQVLDQAIGIEQDANDGMTQFALVDISDLNDDDLNVGVLSILFSGFHKDDWEFSLEGDNHDNVPTILGEGFAKVLLLSENYASISSDLHPVILAQLVRLYFLEGTKELGRLKQCLSVFFLHYPSLSEKHKRCVSSAFVPLMRAMWPGIYGNAGGSGPVVSKRRKYAGQAARFMVQMIQTPLFSAESTDQATMSPESLPSSPNPSVDFDISEEGLAIRIAVEVANCQEKKSAPGKAYAVALCKVAVLLRFRQSEQKAIKCMRGLLSTLAASTSSDKELVKELAQMASRLKSLDESPEEELPQDQADAIFEKLGLDGGIKLDANPVVPPTPAPRSVRAAPARRRARRAPSSSDDSEAEAEAEAESLNITSVSRVPATPSMTAARAQRASKTAALSKFTAAAPSDSEQDEQSDVTTDEDPSDEDSS >cds.KYUSt_chr5.22597 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147511691:147514339:1 gene:KYUSg_chr5.22597 transcript:KYUSt_chr5.22597 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDAADHWEAKPDGAVRPSWSRPAPVKRGWPPFAAYGRAEGGSGAPSFRGSGRGGDRFGWKREVASPPPPKRRAVYSTRRYRPVRGGEEGLRVGASPSHAGYAGEEGGLLPAVLEKKVVATNVGAAGWCHHGQKAMVGKVSHASAGNASSSEINWPGRFFGARSGQLGKKRLEFLPPGGGSDALVASNDDGSLAYYRQPATETVGATMNVDASLDGEGQELEEGEIADTMEISEGMIKHDASSRPMKGEELEEGEIAASPTMDILGVMNTDTSYAEGQELEEGEITPAMDTAEFMNEDTLNGVVDGQEFEEGEVTTTMNILESTNTFHGAVEQEVPELEEGEIAATMCITESANKESSDGTVKKIQELEEGVIVATMDVVESMNNQSLDGVVVAQELEESEIAGMDTVEDTNKDTFVGPVEDRELECEIVAKGHGQEPDDGEIAAKEHDQEPGDGKLAAKGHGQEPDDGKLATKSDRIVQQSVNVKPCGSTSGTMRSKIRLTPRKTVRPPVIVNDTMSQLVPLRRPLFTTTASVKKLKAEPAKANQTMPQLVPLRRPLFTTTATVKKFEAAETKPVPINLASASASARKDNRKFKYMFTTEEKLKGKRAVYLEDDDILKAVAVHEGKLELCLGVPSSVLSVSRHRKHGGGQKADPRRRAGMMCRRFEFLCRFLAQAVKQRSMELRRIDLAADQVIKKLPDYRKHGSIMGEVAGVEVGDEFLFRVELAIVGLHNPFRAGIDTTKDTDGEPIAVSIVASGGYLDEFSSSGDLVYTGSGGKAGGADQDGDQKLERGNLALRNCIKREIPVRVTHGFKSQDREEGSHSKGKEISKFIYDGLYHVVSCWQEGVPGSRVLKYRLRRIPGQPELPLNVAKWLRKSARS >cds.KYUSt_chr7.23591 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147105058:147111479:-1 gene:KYUSg_chr7.23591 transcript:KYUSt_chr7.23591 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPGTEPLEGKESNGAAVPDCNGAGAPAPPAKQQQPQQQLEGADALRYANILRSRNKFGDALQLYSAVLDKDGANVEALIGKGICLQAQSLLKQALECFTEAVKVDPGNACALTHCGMIYKDEGHLVEAAEAYQKARTADPSYKAAAEFLAIVLTDLGTSLKLAGNTEVGIQKYCEALEVDSHYAPAYYNLGVVYSEMMQFDVALTCYEKAALERPLYAEAYCNMGVIFKNRGELDAAIACYDRCLTISPNFEIAKNNMAIALTDMGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALTIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAILANPTYAEAYNNLGVLYRDAGSITLSVQAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYDAHREWGKRFMKLYAHYTSWDNPKVADRPLVIGYVSPDFFTHSVSYFVEAPLAHHDYANCKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKKVASLIREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPAIDYRITDSLADTPNTNQKHVEELVRLPESFLCYTPSPEAGPVCPTPAISNGFVTFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDNIRQKFLTTLEELGLEPLRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLTKIGLGRLVAKTEDEYVSLALDLASDVGALQELRMSLRELMIKSPVCDGESFTRGLESAYRTMWRRYCDGDSPALKRLELLADQPGSNKEDLDKMAVKLADPRVQRVNAIAEEDNQAPIKVNATPEEGGQPQIIMANCVSSPADSQALIATAQLDQPQIMMNGVSSPHSTSGRCEMNGHSIR >cds.KYUSt_chr5.39490 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249965672:249967014:-1 gene:KYUSg_chr5.39490 transcript:KYUSt_chr5.39490 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSILIIPALLLLAGAVSAWLPKEHDLAAFKDINKIRGVNLGGNDKFEAHWRTWINAASIQSAHDVGLNTIRIPIGYWSNVDIVDKASEPFADGNRMLPYLDAVVQKAADLGMYVIIDLHGAPRGQQEDVFTGQNNKPAGFFNDYDFDRAQKWMSWMTKRIHTNPAYATVGMIEVLNEPVSRHDKNGTRYPAPGEVPGLIQKYYPGALKAVRDAEASLGVPEGKKLHVQFMSLKWESGNPRHDSAVANDKLTAFDDHNYISFGFDAPQDKGNRVKLMKRACTDSRVVDEQTFAITGEWSMTSNVSPDDTDFFHKFFTAQQQLYEKPGMSGWVYWTWKTQLNDPRWTYSDATYRKLIPTDAAGLEMSVYQDVCSNYT >cds.KYUSt_contig_402.19 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:51314:51619:1 gene:KYUSg_contig_402.19 transcript:KYUSt_contig_402.19 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSHNFSKEVICQFYATVVFLENEGGFCTLNWMTKEFVMEATWQEFARGIGYDLPDNETNFFWIHLQPKPMAKEKMANLYIPGRMLCGSAYTIYSPSTTS >cds.KYUSt_chr4.48136 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298106207:298110273:1 gene:KYUSg_chr4.48136 transcript:KYUSt_chr4.48136 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVIPMLDGLQICQLQLTKSDGKAILLFQKNEGVDVCLFAKYVQEYGSASPSPNRRHVYLAYIDSVKYLRPEIKSTSGEALRTFVYLDYCKKQGFVFHYMEGTGFIDEI >cds.KYUSt_chr4.40179 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248051497:248054235:1 gene:KYUSg_chr4.40179 transcript:KYUSt_chr4.40179 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAPVISPKENLPPILTSTSEPPPLFDGTTRLYVAYHCPYAQRAWIARNYKGLQDTIKIVAIDLADRPAWYKEKVYPGNKVPSLEHNNQVKGESLDLVKYIESNFQGPALLPDDSEKKQFADELLVYTDEFSKAAYSSITSKGDVSDETVAALDKIEAALGKFTDGPFFLGQFSLVDIAYAPFIERFQIFFSGIKNYDITKGRPNLQKFVELDCHNTVGVEHHYKDEVHADNS >cds.KYUSt_chr6.10479 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64746555:64748121:1 gene:KYUSg_chr6.10479 transcript:KYUSt_chr6.10479 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGFLLLLFTAAVLAGLASASPFISDSVFQGSAGSTGRSLLQAKKSCPMNFEFQNYTIITSQCKGPKFPAKECCGSFKEFACPFNTYINDESNDCASTMFSYINLYGKYPPGLFAHECREGKEGLSCDGVPQREVVASSGQRQGRAFLLALITLVCVSLLFH >cds.KYUSt_chr1.34794 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211905233:211910693:1 gene:KYUSg_chr1.34794 transcript:KYUSt_chr1.34794 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLATPSPSSSSSPSFTLPTKPSPGGGSVSFSRASWEGTRKARMAAVRAEAVDTSISPRVSALRPSKTMAITDQASALRQAGVPVIGLAAGEPDFDTPAAIAESSSLQNSGRRHLPPPPSMPCLHNITQPLQLHPTPPRKPTTPMAFSSVATPSPSSSSSSSFTLPTKPSPGAGSVSFSRASWEGTRKARMAAVRAEAVDTSISPRVSALRPSKTMAITDQASALRQAGVPVIGLAAGEPDFDTPAAIAEAGMNAIRDGSTRYTPNAGTMELRKAICNKLQEENGLSYSPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPFWVSYPEMARLAGATPVILPTSISDNFLLKPESLASVITEKSRLLILCSPSNPTGSVYPRELLEEIADIVKKYPRLLVLSDEIYEHIIYHPAKHTSFAALPGMWDRTLTVNGFSKAFAMTGWRLGYLAAPKHFVSACGKIQSQYTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVRSFKELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKDSETLCMFLLEKAQVALVPGDAFGDDKGVRMSYAAALSTLQDAMEKIKEAMALVRPPVAV >cds.KYUSt_chr2.10239 pep primary_assembly:MPB_Lper_Kyuss_1697:2:64878454:64880705:1 gene:KYUSg_chr2.10239 transcript:KYUSt_chr2.10239 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGGATSAACILVLLALASAAGAATPAPAPAVDCTAAFAGLADCLDYVTPDTKSTRPSKTCCGEVKTAVNSPSTVDCLCTAMAAKTSPLPINMTRVLALPTACGESPTVFSKCKAPAPASGGAIASPPRTNAAARPAVSATILVVAATVAAPLFAFYYL >cds.KYUSt_chr4.20485 pep primary_assembly:MPB_Lper_Kyuss_1697:4:128962733:128963773:-1 gene:KYUSg_chr4.20485 transcript:KYUSt_chr4.20485 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTASPYGASMTMELHGHHNGQSQAAQGMPSSPPAAVSEESSGKKRSAGALVVAGSAGPAVKYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKELDDLHGDSCATRGYGHGHRVGRRLLGPAVPQHHHKSMGGLLVTTDHYGSGAYAAPRALPPPPPPPGHPHHQIIMPLSMIHTAESDEMDGSGGMGDALGSGGAGGSSSSQKRFRTKFSPEQKARMLDFAERVGWRLQKLDDAMVNQFCHEIGVKRRVLKVWMHNNKHSLAKRPLPTSPPPQQQQQPHAPMPVAMQSPPPMPMPVPMPMAVHPSQQQPGPSFHRDASSPQRLNPE >cds.KYUSt_chr4.18204 pep primary_assembly:MPB_Lper_Kyuss_1697:4:114339597:114342137:-1 gene:KYUSg_chr4.18204 transcript:KYUSt_chr4.18204 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSMDQAFVQAPEHRPKAVIAEAAGIPVIDLSPLAAGDQAGKDALAAEVGRASREWGFFVVVRHGVPDDTMARALEAQRAFFAMPAERKAAVRRDAVAPLGYYESEHTKNVRDWKEVFDFFPREPPPPPEQRAVADGELVFVNKWPQDLPGFREALEEYAKAMEQLALKLLELIARSLGLKPDRLHGFFKGDQTQTTFVRLNHYPPCPSPHLALGVTHHKDAGALTVLHQDDVGGLDVRRRPDGEWVRVRPVPGSLVVNVGDIVQVWSNDRYQSAEHRASVNSRKERFSLPYFFNPGSDAMIQPLEELVSDDSPPRYRAYNWGVFFRTKRNSNFKKFAVENLQIAHFKKDLDQAYLLFFVTDFRGLFPRLWPCFADFVSLGLPLYIDFCGLFTRLCSRFADFVYVGLPLYIDFCGLFTRFCPRFADFLYIGLPLYIDFCGLFMRLCLRFADFVYVGSPLYIDFCGIFPRLWPRFVDSVCVGLPLYIDFRGLFTWL >cds.KYUSt_chr2.25701 pep primary_assembly:MPB_Lper_Kyuss_1697:2:157290174:157292733:-1 gene:KYUSg_chr2.25701 transcript:KYUSt_chr2.25701 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVQVSALLLNLIAFGLAVAAEQRRSKATVTPDLVKEYDYCVYNSDIATGYGVGALLLLTAAQVLVMLASKCFCCGRGLKPGGSRACALMLFLFSWLTFLIAASCLLAGSVRNAYHTRYRGIFGGNPLSCETLRKGVFASGAAFTFFTAILSEFYYISYSRSRDAAGGAPYGGSSIGMGPYN >cds.KYUSt_chr7.23637 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147356260:147359039:-1 gene:KYUSg_chr7.23637 transcript:KYUSt_chr7.23637 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRLPPLLSSRAAPAATTRRTRAASSTLRVAAQLDDTTAATTSTSSAPAPPAGFTPPVPKRFEVKPGQSSNIAGAALALPFRLGTGVFVLGYGVSLVDADQIPPDQYALEFQGRKVKETSKVGQCPRPTKPIEIYEFEGCPFCRKVREMVSVLDLDVLYYPCPKGGPTFRPKVKEMGGKQQFPYMVDPNTGVAMYESDAIITYLAKTYGDGSVPIMLKLGLLTTITAGLAMSGRSGRGSSYSPAKLPAQPIEIWAYEGSPFCKIARETLVELELPHLLHSIARGSPKRQDFFKKYGLFQAPYIEDPNTGVKMFESASIVEYLRATYAA >cds.KYUSt_chr5.16243 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104608361:104609838:1 gene:KYUSg_chr5.16243 transcript:KYUSt_chr5.16243 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSLSQPETKSSSVSHLAIYALCIGGALGLVVIASIMYLLSRRKKDNTVIPWATGLSGQLSKAFVTGVPSLGRTELEAACENFSNVIGTESDCALYKGTLSSGVEIAVASSPVKSPEEWLAWSEEQFRNKISLLSKVNHKNFMNLLGYCACDDEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWPTRLRIIMGVTYCLEHMNQLDPPFTPRTLNSSSIYLTEDYAAKLSDTEFSMQTTDQDSIVYKFGILLLEIISGRLPFSEDHGLLVLWASSYLDGKRPLSAMPDPMLRASSSVPDEDLAALCDVVRLCINRDTEKRPSMNEVASMMKGVIRLSPEQTTPRNNPLWWAELQIISPEST >cds.KYUSt_chr2.38560 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239050934:239054493:-1 gene:KYUSg_chr2.38560 transcript:KYUSt_chr2.38560 gene_biotype:protein_coding transcript_biotype:protein_coding MQVASRNRSGRACYPSISPSRHELRRHGPHLPLPINVDAVRTNVPPQAKAAAFQPNGQAPISSTDKPLKPVVRANGIDEATFSTPRRLETDEIPSIIDDFRVAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEVVQAVVEEIGADKVGIRLSPFANYSDASDSNPEALGLYMAHALNKFGILYCHMVEPRMVKLGEKFETPHSLRPIRDVFKGTFIIAGGYGRDDGNKAIADGYADLVAYGRLFLSNPDLPRRFEIDAPLNKYIRETFYISDPVIGYTDYPFLPSNV >cds.KYUSt_chr2.4751 pep primary_assembly:MPB_Lper_Kyuss_1697:2:29435947:29438510:1 gene:KYUSg_chr2.4751 transcript:KYUSt_chr2.4751 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVKMEVDAEPEPPLLPQFTHGDYLDDEQLKLVLLQLGVNAGLAPGDFVEERDLDTVAGLVACSSQQDADKAAEWKHAAEEQGHVFVDLVSDEEPVKAKAASLPPGVTAERCWCGRVAKVKQVEDFSDKFGMKFFMCASYEHDPPRSSASSSTRPPSPPPLCKWFHWIDTEQPDWAREEIEEKQRRAWATFFEEERWEKVRANEKAERERQIQKLRAEQARNREVNQKRMDDEAARRFAEEEVRREAREAERKRLRERAAEAQAAEERRDKSGKWPRWTQGK >cds.KYUSt_chr4.14131 pep primary_assembly:MPB_Lper_Kyuss_1697:4:87010879:87011235:1 gene:KYUSg_chr4.14131 transcript:KYUSt_chr4.14131 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSRRVAASAALLLLLLLATELGTTTVVEARTCISQSHSFKGACLSSTNCASVCMTEGFPGGDCKTRRFQRKCFCVKNC >cds.KYUSt_contig_1467.40 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:319703:321456:-1 gene:KYUSg_contig_1467.40 transcript:KYUSt_contig_1467.40 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAIATTSRTLPIRFPPAAPRRRRVAAAAKRACSRPHKFSAPTVARLRQCSRHRLCAGRDGEGGDEAARGSRPSSAGGGGREPAGLAPYGLSVSPFSKVRALLPPDLIPARRDPTRPAPIRSRFCFPATRRVDSRDLMPTGADRAAVACHLQDAAMGLVMSAATGSGWTTGSGMEGPPTAGGAGRPEVSTLPWSLFTRSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDGGEDGDNIFPGL >cds.KYUSt_chr3.36358 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228715709:228726182:1 gene:KYUSg_chr3.36358 transcript:KYUSt_chr3.36358 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFSAVRRVFSSSDPEGKEAKTEKVDKPKSRKKWPFGKSKRFDPPTMPVSEVAPVAPSPLPLPLPPTEPPQPQSEEIKDIKPVETESEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTAVPTATPKSHVCSKEELAAVKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMTRVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKMDEDWDHSHQSKEQIEASLMMKQEAALRRERGLAYAFSHQWKNSGRTVTPTFTDQGNPNWGWSWMERWMSARPCDNQVVPNKDLKDSALTKNLSTSAARTFVPRALSIQRPATPSKSSRPPSRQSPSTPPSKDPWSAGKFRPSSPRDSWLYRDDDLRSITSIRSERPRRLSTGGASVQDDASLTSTPALPSYMQSTKSARAKSRYHMVFADKFEVPEYSPSLDEEGVCKAAFQLIFAFDEALSLGNKENVTVAQVIQNCEMESQGEKLHKLMMQSKINDTNEVMRRKVTEIEKIKIERNKTENEKSGPKRTPNNDMNRDPVFHDIDLLPNKAKEHPSAPSDALKKAPVKGMVLSKEQKKTDQFIKSLEAEGEVILEDTHLSAIQSRSSSSIPPSDPITVTIEEKLNATVKMDGGFSNFYIQGTLALQVLNVVDGFLQLQIEKQDVPGLTFKTHPNINKELFNGQQIVGATDPNRPFPSGQNETHLVRWRIEGLGESFLPLTVNCWPSVHGNTANVNIDYEASEMFDLDNVVISIPLPALREAPSVKHIDGEWKYDPRKSVLEWSVILIDQSNRRLLPFVHWGEVAQNIPSGFDWSLTNMK >cds.KYUSt_chr6.22900 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144760718:144767031:1 gene:KYUSg_chr6.22900 transcript:KYUSt_chr6.22900 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCGEDGRRPCAVFMAFGTYGDVFPIALSFLDNSVGSTAEHESFSRRKETIQMEHREECLASVEEVFGNDPSIHSDFIMINFFALEGWHLAELFQVKCIIAAPYFVPYSAPTSFESQFKQSFPLLYNYFQEAPSNTVCWTDISHWMWALFMETWGLWRNDCLHLSPIPYTDPVTDLPLWHVRAESPLLLYGFSKEIVERPGYWPSSAHVCGFWFLPMAWQFSCDKCSDLLCGNVTSPCEDILCANHAGLEHFLTGNSYSSLPIFIGLSSIGSMGFLRNPKAFLMVIKAVIEATGYRFILFTAGYQPLDSAIRSADSLVAESSELDAHHSPALSGDSTLLFNSRLFCFSGSIPYSWLFPKCAAAIHHAGSGSTAAALFAGIPQVPCPFLLDQFYWAERLHWLGVAPEPLKRQHLIPDTDDAASINKAADMLLGAIRSALSPEIKAQATRIANRLASEDGIGEALRILKEKVLPVHKVEPQRP >cds.KYUSt_chr3.4952 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28174814:28181632:-1 gene:KYUSg_chr3.4952 transcript:KYUSt_chr3.4952 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISTLVVVISITGGAGFSRVVDTELRGAELMDREAVKGRAAGEVKVQDRGMEAELRGPRYGYGANQRWSNNFNGGRGGYQNRFGATAHGGGARGGIDAELLKQTVHEVVAAVTAAQKKPVAEEGAHVNTTILSEAGAAARDAVVSVATHTVGQQQDVVAQQAGSDPKNTTSKGKEDEGQGPSKKKKEEKNGCFRSLEDPLYMLPEVWVRVSGLPSDVIADYLTLWGVGTLFGKTLDVDMAYTRKNKILRIKIGCLDSRLIPADTDMFIRRGFFKLNFEVENAQGSQEETMVEASNDNDGNDGNDDAKDGNGKNGDDNAMDMDPKKNEAGDTSSARGTGGSIGTNEGERMQEQIEHFDAIQIGSMSVQLSLKGSPSFDSELSNFFSPINSLCNVEKLGNIDKVCSGFNTVFMPGGSVLGSPPVRSRNQRAEVVSHGCSSVPASLMEQRGQSKAGLSVLATSGDAAGGTRSYAAHRDRSPFGQPATDARANGEGEKASCGLPQLAPCVLVGHSRVEAGSSVAGGFEQTVPGQSFSPQRIRQSCSEGPRLTAQNPGTQDHMIATDWPSLEIPGRSSVGANAVANGMGLSSYFFKPGYDEALELAQSNSEFKVSNDTHTCDTGLPKNIKEGVGNNVMRNVSMEHGVGLTSMGIASSPKPNGESLIPVPSCSLTLVENDTMSHFPSTEEVIAFGGIPKPSAELRSSSRLGGQSNADIPLLEKAMKNAQLQDDSLKTSKYSSPKYSIVNIPDVDIAKRAVRLGVSLGSSQSEINQSIRGIKMVEEERILTILKKNECEIKNREEGLETLVLSKVSTLCEDLCEDDDIPLDFDDQLEHLKPVAYDKVKWPFLQQTLRMKGFDPEWGRAVQQFVQGGSVGVKAKEEENQYRHIFGCDAGQLPFRYLGYSHGLSLGPALGSTVPGRIAGYHGYWMHTAPDGRSGYLVPGWLAAY >cds.KYUSt_chr3.17648 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108269870:108278528:1 gene:KYUSg_chr3.17648 transcript:KYUSt_chr3.17648 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDGDCGVRGKEEVRQERTRRWAAATPTPILVPVLSSSPALDVVFRRSDGSEHAPARMGRTGTVAVGGAWGERMEKVAAQRGSSGASAGEGRRQPAGLEISFSGADGKIKCSSCGTAGKEGRKIGLKVKAYKGLSVCKAPVLEASLFGSCGQLRRGAENQEDLIWSLGSFMVPIGQSIYPIFFSLTDMLLSAGSVPRYCSSAELVAFRHFISGDRCRLSGKQKSMCQLKRQREIGAGSSSFDEYWANEIVVGQAADEVVSVAARRAEAGGYSYGCARMWLSMGCPPAPGKGVNGSLVDGRRSYDRELDRFEDGLRQCIDDVIPDDPCGWGELGI >cds.KYUSt_chr3.10177 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60287961:60288482:-1 gene:KYUSg_chr3.10177 transcript:KYUSt_chr3.10177 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGRTDDGYDGEVSSTRKDCKTRVITNPAYCNDERSFFSTIDSSASTTAPVSEGDELLIRGIRSSSRLFFEPEATSSIVNKPSGAHTVAFGGARAVAIHSADPYGDFRQSMEEMVLSHGANGMDDWGWLEEMLGWYLRANGKKTHGLIVGAFVDLLVALTSSSSIKQSDKCY >cds.KYUSt_chr2.53355 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332909519:332911274:-1 gene:KYUSg_chr2.53355 transcript:KYUSt_chr2.53355 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGAGSGDSVIGASGEAGGLEGDHGEASVADPRDEGDRTAVSGWKRRNRVGKAQPERALNSARVSALEKTLREFPARNSGEVIVPEGRPRATNSHSTRCLCPALIRLLRSKDNGWYICEHRDRHTHDLCASFGERAHWLSHRHIDSYTKDLVKQLRENNVNLSKVYSIIGSFFGKMENIPFTKRALKTLCGKISSEQADDDMLTKEEFEAAWVHMLSTYALEKNPYLQQIYETREKWAKPYFTGIFCARMTST >cds.KYUSt_chr4.16891 pep primary_assembly:MPB_Lper_Kyuss_1697:4:105159377:105161225:-1 gene:KYUSg_chr4.16891 transcript:KYUSt_chr4.16891 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVQRVENSRSSKRCVVNGSSVREERMRMKRVDEKKQTRRVEVDHIVGAFWYLLSIERVSDCWRANCDEFPGCNTIYMYCGSTEENNDEFKEWTTVIKQVIYENCEPDGQNPFDYGIYSSAVTSEVIRSKDMTTKLLFCLWFGLANLSTLGQGLKTTIYTGESLFAISLATFGLILMAMLIGNIQTYLQSLTVRLEEMRVKRRDSEQWMHHRLLPQELRERVRRYDQYKWVNTRGVDEEALVQNLPKDLRRDIKRHLCLGLVHRVPLFDNMDERLLDAICERLKPALYTEHTYIIREGDPVDQMVFIIRGSLESITTDGGRSGFFNRSMLQESDFCGEELLTWALDPKSGVSLPSSTRTVMALSEVEAFALHAEELKFVAGQFRRMHSKQVQHTFRFYSQQWRTWAATYIQAAWRRHLKRKAAELRRKEEEEEGRSSSFKTTILVSRFAAKMHRQRSKRDEEVMIHVPVPKPREPDFDIDD >cds.KYUSt_chr6.11420 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70869431:70870779:1 gene:KYUSg_chr6.11420 transcript:KYUSt_chr6.11420 gene_biotype:protein_coding transcript_biotype:protein_coding MFLISWSFTVISLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLILCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSTIDGDYHELAEDAKTACHQLEAYIEYKRCEGVAEIIVAPSMSEGFRSIVQTMGLGNLKPNIVVVRYPEIWRRENLTEIPSTFVSIINDCIIANKAVVIVKGLDEWPNEFQRQYGTIDLYWIVRDGGLMLLLSQLLLTKETFESCKIQVFCIAEEDSDAEELKTDVKKFLYDLRMHAEVIVLTMKSWESHLESSSSGAQPDDSQEAYISAQGRISTYLSEMKETTEREGRPQMEHGKQLVVNEQKVDKFLYTMLKLNSMILRYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENIPRMLIVRGYRRDVVTFFT >cds.KYUSt_chr4.11854 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72052509:72056557:1 gene:KYUSg_chr4.11854 transcript:KYUSt_chr4.11854 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRARGLWAALLLLLLHLAAAALAQQGRLTSRADLAGLYALRGSLGLRARDWPRRADPCTTWSGVTCRGGRVVALTLAGLRRTRLGRLAPRFDVEGLRNLSRLEAFAAAGFPLPGPIPRWLGAGLAPTFQTLDLSACAVTGEIAAEALAGLANLTSLSLAGNLLSGPLPAAALAGLARLTTLNLSGNAFSGALPDAVWSLPQLRILDVSRTNLTGALPSPLVPSPALQAVDLSGNLFYGAVPDAFSQLFNRLDTANISGNYFDGNLTAAGNVSSAMNCFVGLAGQRTTEDCQQFYDTRGLPYAGSVPAPQPAPPAGTKSKKPKNLKYILIGAIGGGVLLLAVVAAIVFCVVCSGRRRSDQRESGSPSAPPPGVQRTARASAAAAAGGSQTAASPTNTAKVGDSFAYDQLADATSGFADERIIKHGHSGDLYYGQLQDGTTVVVKRITSRVTRKDAYMTELDLFGKGLHQRLVPFVGHCLDKEDEKVLVYRFVRNGDLSSSLHRKQGEEEEGMQSLDWIKRLKIATGVAEALCYLHHECTPPMVHRDVQASSILLDDKFEVRLGSLSEVCPQEGESHQNVITKLLRFSSTADQGSSGSPSATCSYDVYCFGKVLLELVTGRLGISASSDSKTSDWLDNTLRYINIYEKELMSKIIDPSLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGSSSARLRATSSRGSWNAAFFGSWRHSSSEIGPSRDDHMFKRSETIKSSGGSNGEHSSSRRRQSKEIFPEPSGSRDTED >cds.KYUSt_chr3.29906 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187126891:187129185:1 gene:KYUSg_chr3.29906 transcript:KYUSt_chr3.29906 gene_biotype:protein_coding transcript_biotype:protein_coding MCRMDHRARHGCSIGEQRWGNPRPSSSIRGQWQQASTVKRGRLDSAPGRFDWRAASREESRSSAAVVQAREDAASNTKPSRGDKVHPCATAPPHSAAPPLHRQLSSKPLGGGADPSRLSEDIVCCMRNIFISLSDSRREASRSRTNTNPSSLESQRSVPSPSGISAFWSLAEPSAISSWVQSPQVDLNQNNSLLASETVFDPYKAREKLSWADMGTYGAASEVSWMSAGKKQLDYAAESLRKFRLLIEQLAEVNPVHLNDDARLAFWINLYNALLMHAYLAYGVPRSDMKLFSLMQKAAYTIGGNSFSAAFIEYVIMKMKPPNHRPQMALLLALQKIKAPEEHKKFCISAPEPLLTFALSCGMYSSPLPLLRASDLLSRCCEPVAGSSPDHFPAAMLFPW >cds.KYUSt_chr2.45619 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284480842:284484433:-1 gene:KYUSg_chr2.45619 transcript:KYUSt_chr2.45619 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQYLLSRHASKRVMAPSHVIFCNVEQLHIYNRSWEATAKLISGQIKNNRGGDLIYHAILVDENTRFLRVLDLRQAIVASCWRQAHRNSEERIRLERSTPRTRRRGPHDAVAGASAARAKEMNFNDIWTREQEEMLAPPSPAVTTEQRRRARELEQRPHVAEQAERLRLE >cds.KYUSt_chr2.2437 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14704417:14719408:1 gene:KYUSg_chr2.2437 transcript:KYUSt_chr2.2437 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYSKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFHVGAGIPGVAPHYIPPPSTFNVLLGSYWPSAAAGQLISQPGQSGAAAGKVGSQPGLPGAAAGLPKRTVAQEKSAHSPTCWMLLPGNSAVSPAHRVLLPGSPDEGLDDDYMPEKEDGEGEDDGGWNWEQEEAEYTYGHLGEGELEDDADSQEPVEGELGYDQDRGENLRGPSDSEFFSILGMSVRRNIPDHIIRNGFVPLNKHDYFSCRHNWRLLLGHYRSFLPPSKDHRVLIGSFRRPYNYPRALRFQENLGQMQDRQAEVIRLMECVEVSIKRIYQLEWGEAYFLNPKDNILSVVYEFYCLVNSVANGLLTEAEIRTHDIYKPFIPKDMHVLQFCWKKVRGMYAEAGAIQMRALTYALGIPLRVETVDRRKSTLVVPPCPGGGGCPPSHRHIASALPGEIVNISQGLAVLITDSTIHAMVGDRFRRWDEFLDNLRDGVKLLSSFELIEYAMKQLQELKATTVSNGNVKEEEEEEEKEDL >cds.KYUSt_chr5.17555 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113324987:113330461:1 gene:KYUSg_chr5.17555 transcript:KYUSt_chr5.17555 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPASATASTEELSADLSAATISKKQLNKEARKAAKAAKADKAGKADKPQAEEADPFAANYGDVPVEEIQSKAVSGRAWTDVGDLDEAAAGRSVLIRGSAQLFRPVSKKMAFVVLRQCMSTVQCVLVASADAGVSTQMVRFATSLSKESIVDVEGVVSIPKEPLKATTQQVEIQVRKVYCINRAIPTLPINLEDAARSEAEFEKAEQTGEKLVRVLQDTRLNYRSIDLRTPANQAIFRIQSQVENKFREYLASKDFIGIHSPKLISGSSEGGAAVFKLQYNGQPACLAQSPQLYKQMAICGGFGRVFEVGPVFRAENSNTHRHLCEFMGLDAEMEIKEHYFEVCDIIDGLFVAIFKHLNENCKRELETINRQYPFEPLKYLEKTLKLTYAEGIQMLKEAGTEIDPMGDLNTESEKKLGRLVKEKYGTEFFILYRYPLAVRPFYTMPCYDDPAYSNSFDVFIRGEEIISGAQRVHTPELLRKRAIECGIDANTISSYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLTP >cds.KYUSt_chr7.38970 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242560580:242564104:-1 gene:KYUSg_chr7.38970 transcript:KYUSt_chr7.38970 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRKNAGKASSFLLVLISVGCFFATYNFLTMVGHGRGRDGPRKMLDHDGALSFASGSDPSKRFHVALTATDALYSQWQSRIMHYWYKEMRGRPGSDMGGFTRILHSGKPDGLMDEIPTLVVDPLPEGADKGYIVLNRPWAFVQWLQKADIEEDYILMAEPDHIFVKPLPNLARGDQPAAFPFFYIKPTDNEKVLRKFFPKEKGPVSNIDPIGNSPVIIQKAQLEKIAPTWMNVSLKMKEDAETDKAFGWVLEMYAYAVASALHGVRHNLRKDFMIQPPWDAKTDNTFIIHYTYGCDYSLKGELTYGKIGEWRFDKRSYLRSPPPRNLSLPPPGVPESVVTLVKMVNEATTGIIGWDEER >cds.KYUSt_chr3.4132 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23525694:23529940:1 gene:KYUSg_chr3.4132 transcript:KYUSt_chr3.4132 gene_biotype:protein_coding transcript_biotype:protein_coding MPGASGSILEVVVPYSRASMAHLLGKVKHTSTRIDRIPPPGHSQIRSFLDTRRTDHLMLQLPLQFTSKQPAEDMALAAFNRALKLSGPVVQSEILEQIDHCITVFIHGKKDVMIRPVYTNTAGVHQAALWESTMGQQFTEELPSTLELCSPGRQNCEVGKAIALKFREISIYSFG >cds.KYUSt_chr7.227 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1241964:1249176:1 gene:KYUSg_chr7.227 transcript:KYUSt_chr7.227 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLLSRVVMSHPWMYGNRCDPAFREGVKSFLLVAEANKSKQGFMCCPCLKGKNEKDYSCSRDIKSHLLRFGFMSSYNVWTKHGEEGVMMEDGDEEEDNDDPYRSMFSEYDDTAMEDNEEEGGEERALDDPVDDDLRRAISDARRDCGIDKERLQFDKILEDHHKLLYPSCEDGQRKLGCLRPNVVPPRVVFGPSCIRVVELELGDGPTVAPVGPALCPEFSEERVGVVDVVGELRPPLAHQLLVVLGDGDPALHLAVPATVLVVDEARRWVWRVFKKNTAGNFAEKLTFTPVPCAQQPQGTNLCGYYVCESIRMLTTEKHNNNRFNVDLMREKLQPQEHLLGITEEVAGLLMREVIYRCTTMIRSWSPLQCVENNDLFMEVFTRFGRSISFPLTPGRSSSKPRHIRSISLPACTTSSHPLLANLNAHIAAVRSLTHTSLTASLTQIHGLHSALADLLLLQDPQGALHRTTNVGGRLLDAFLLLADAHQGFQGALLDLKHAAVESSVALRRGDAARAASATRSQRRAEKELNRLAASISAVSSKCARLNHVGTEDTEMAGALIEAAAASGAASAAVFSAAASMSSSSPVTSSSCKKIASAFASFGNKATPETTELTLERLQVLEQCFNDCDHVCDKVFRSIVQTRVSLLNIMTPTI >cds.KYUSt_chr7.10987 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67556149:67563035:1 gene:KYUSg_chr7.10987 transcript:KYUSt_chr7.10987 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRRCCTSLPAGISAGKRLWDAGVRDLLILEATDRIGGRMHKHNFSGVNVEIGANWVEGVNGDKINPIWPMVNSTLKLRNFYSDFDGVVSSVYKENGGLYEEEYVQKKMDRGDEVEELGGKLAAEMDPSGRDDISILAMQRIFNHQPNGPATPVDMALDYFRYDYEFAEPPRATSLQNTEPTATFADFGEDAHFVADQRGFETLVYHIAGQYLSTDNAGNIVDPRLKLNKVVREISYSRRGVVVSTEDNSSYSADYLVSSISHPTHHSANYSIILLTFAMSIDLQAWKIIAIYRFDMAVYTKIFLKFPRKFWPTGEGKQFFVYASSRRGYYGMWQSFEEEYPGANVLLVTVTDQESRRIEQQPDNTTMAEAVAVLRRMFPDEDVPDATDIYVPRWWSNRFFKGSYSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSEHYNGYVHGAYLAGMDSADILMNSIFNNVEFKVRGKYHDQTAELINSFVSGGSAAIKVNDDVGKYFQTKKGLTQGDPLAPVIFNIVADMLDVLIERAKSDGQIEGVIPHLVDGSLSILQYADDTILFMDHDIDNARNLKLILSAFEHLSGLKINFHKSELFYFGEAQDHVADYAELFSYGQGQFPIRSFTGAQQCFVHGCHCSVWSTETSLRRCLHGWRIRRGIFSPNMGGSVTFG >cds.KYUSt_chr2.1952 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11719287:11723567:-1 gene:KYUSg_chr2.1952 transcript:KYUSt_chr2.1952 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMVPLAFAYPPPPPPGCPLGASPRQTLAVAPGSSLTPLATASTTILEATQANKSEIDRQSLLCFKSGINSYPLGILDSWSNDSLDFCSWKGVTCGTRVPHRVFSVNLASAQLSGQLSGCVGNLTFLSRMNLADNNLFRTIPEELGRLPNLHTLNLSGNNLEGNIPDSLGTSNSLSYVNLANNTFTGGIPLSLANCSSLSTLILSRNNLSGEIPSTLFDNKSKLNMVDLQVNSFIGVIPPFHRVNALRILRVTDNLLSGSVPPSIGNVSSLTSIFLGQNKLSGIIPETLGHVAKLLELDLSFNSLSGNVPLSLYNMTSLQNFSVGSNGLIGKIPSHIGYSLPKLQSLIMGSNNLEGNIPASLANMSDLQILDLSDNLLHGSVPSLGSLANLRRLVLGTNFLEAHNWSFLTSLANCTQLTKLSLEGNALNGSLPITVVNLSTRLEDLSLGSNQISGSIPAEISNLVSLTSLRMESNFLSGSIPSTIGRLQRLYILNLSKNKLSGQIPPSAGNITQLGKLYLDDNNLSGNIPGSLGQCKGLLELNLSTNSLDGSIPVNLFASPPLSLGVDFSYNKLTGEIPSEVGRLANLALLNVSNNLLFGAIPEALGSCATLLFLRMERNKLQGQIPQSFGKLLSIQQINLARNSLSGPVPEFFGDLTFLDKLDLSYNNFEGPIPSGGCFRDSSMVVLDGNKMLCARIPMLALPICDGTSKKHIPLLTIVIIITLLLAGLLLLYLVATIWKRREQCVTFPWCNKILNVLCFVANRKRKEVVAHQKKIEVHTCSNHKETLKKISYGDILKATNWFSSVHTISSTCTGSVYVGRFKSDRSLVAIKVFNLNEPGGYDSYFIECEVLRSTRHRNIMRPVTLCSTLDSQNHEFKALIFKFMVNGSLERWLHSKQHNGIPGRVLSFGQRICIASDVASALDYVHNQLTPPLIHCDLKPHNILLDDDMTARLSDFGSAKFLLPGLVIRKSLVDVGGTIGYIAPEYGMGCKISVGGDVYSFGVLLLELLTGKRPTDDLFIDGLTLRMFSESMFPDRVAEVLDPHMAHEEHQGCVEAWMQRYIVPLVALGLSCTVESPKDRPGMKDVCAKLSAMRDAFLELHDD >cds.KYUSt_chr4.44131 pep primary_assembly:MPB_Lper_Kyuss_1697:4:273385872:273389528:-1 gene:KYUSg_chr4.44131 transcript:KYUSt_chr4.44131 gene_biotype:protein_coding transcript_biotype:protein_coding MVLESTMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIVFIGSPVTYDKKMLEQIGKKLKKNNVALDVVDFGEADDEKPEKLEALIAACNSSDSSHIVHVPPGEHALSDVLISTPIFTGEEGGSGFAASAAAAAATGATGYDFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEESSGADNKEASSSNTDSVMADAEPASNASADDKGDQPKDDDDADLLQKALAMSMDEGASGAAAVADAAMAEAAADDQDLALALQMSVQDAEMPGQSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQAEQEENKDKSDKADKPEDGKN >cds.KYUSt_chr1.26557 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160105855:160106963:-1 gene:KYUSg_chr1.26557 transcript:KYUSt_chr1.26557 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRASLLAILGCLCFCSSVLAARELNDDLFMVAKHEDWMAKYGRVYKDAAEKAQRFEIFKTNAQFIQSFNAGGRKFWLSINQFADISNDEFRATKTNKGFIPNKMKVATRFRYENMSLDALPATVDWRTKGAVTPVKDQGQCGCCWAFSAVAATEGIVKISTGNLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTKESSYPYSAADGKCKSGSSSAATIKSYEDVPTNDEGALMKAVASQPVSVAVDGGDMTFQFYSGGVMTGTCGTDLDHGIAAIGYGTTSDGTKYWLLKNSWGTTWGENGFLRMEKDISDKKGMCGLAMEPSYPTA >cds.KYUSt_chr4.21258 pep primary_assembly:MPB_Lper_Kyuss_1697:4:133780733:133781086:1 gene:KYUSg_chr4.21258 transcript:KYUSt_chr4.21258 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPASKQQAGGDKAEAKQHNAVKEVKSGTPIFTYQLPLHSLSLNKVKNIEVDRLRLSFTTPRKPTLVPVDSDEESGDDQEYSYHICSAALHAIPRKNKSRSTKKRVSYKEYYKIFS >cds.KYUSt_chr2.47477 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296994097:296995188:-1 gene:KYUSg_chr2.47477 transcript:KYUSt_chr2.47477 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALARRAAPPFLRLRSTVFDDGHWMGRLDHKDWLAPNEVLKIFASIRDAGLITSVFKKACARRDYKPSEALYSLMIDRLAGARRFGDVEELLARARVEKFRFSDEFFYRLIKMYGNVANHPEKAMETLYAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEVYASAPRLGVALDTCCFNILVKGLCQFGKFNEAISLLDEMPKQECLPNAKTYSTLMHFLCQKGRVDEAFELFERMRKEEIEADTVVYNILISGLCKQGRVNVAFDQFKLMSSEGCHPNSGTYQVLLDGLVASRMFVEAKGLVGMMSAEGLRPSFSSYKLLIDGLCTVNCLDDAHHVLKQMVDHGFVPRMGTWRKLLTSVC >cds.KYUSt_chr2.33640 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207922882:207928943:1 gene:KYUSg_chr2.33640 transcript:KYUSt_chr2.33640 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAARSPTAEAPPAPERSRSAVGSGLRSLASAASGFLDRWSVVGTGISRLEKALGDQFPEGEHYFGLENFGNTCYCNSVLQALYYCIPFREQLLEYSANYKNPGEAEENLLTCLADLFTQISLSKKKTGVISPKRFVHRVRKENEAFRSHMHQDAHEFLNFLLNEIVDILEREFNTMKDSSETTSPDGGASNGEVNHLANGVQREPLVTWVHKDFQGILTNETKCLMCETVTAKDETFLDLSIDIEQNSSLTSCLKNFFSTETLNADDKFFCDKCCSLQEAQKRMKIKKAPQILVIHLKRFKFIEQLSRHKKLSYRVVYPMELKLSSASDDVDCEYALFAVVVHLGSGPNQGHYIDLTKSIEFFYKNVVYTSSGDDGSDASSDLLIVTTTFIHEHTEKHRHVHRGLTKAGNLKRNQEAGH >cds.KYUSt_chr5.1487 pep primary_assembly:MPB_Lper_Kyuss_1697:5:10082481:10084929:-1 gene:KYUSg_chr5.1487 transcript:KYUSt_chr5.1487 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMPTVAMLLVQIGFAGNNLLSKMALDNGASPYVLISCRSLIAALFLAPFAVYFERLVDSRSTPFFFRLLLSESLQRSIHLKFLPLLDILETRVRAVRRLRELLTTKFPPGTFPVKGTQDEHKMFDEMPGTQDEHKLDATLKLLIYSGQCRARAVSRARQLMECKSLASTHSRQNWEPSSKNWELA >cds.KYUSt_chr6.19240 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120987223:120987456:1 gene:KYUSg_chr6.19240 transcript:KYUSt_chr6.19240 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASRPRGADLDAGACEMQLVSRPRGADLDAGACEMQLARPCRSRPCGADLDVGASEMQLASGPSGADLDAGAHEM >cds.KYUSt_chr4.13677 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84309450:84311507:-1 gene:KYUSg_chr4.13677 transcript:KYUSt_chr4.13677 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTANTGDPLKHPGFVREEEEEAVGEDRYASDSDDDDVDRYVFLARQAAPAESSDDDDAIPGGRKRYLPEMVAHAPPAAKKARLGLAAPRGASEEESGSEDPMRSAERFRGGLDQGKKNKKRRRCGAEPQVYSAGRGKGSNGADSEVSQASQHRGVTEGQKTSVPAAAAEALPGAPRRFVCSLCGKCFGSHQALGGHVHLGHTKKARNAIAGDVTGISCRDEKLAMANGGGHGNEKALVAAAFLQDIDSISGDSNSSEEMFIDAANHGDHRGNGNIKGEQVTFATSHDDADGTGRKFAVGRCHELATGANSIVRQYRCEVCGKECHSGQALGGHMRKHRKQPPHNGAQEQRFPEVADGAGRGKEKTPVVTSSGESDGDGKKIITAAACHQDVDSSSGDSNTNDEMFIDAANHRADGNVKGKVTIATSHDDAHGNGNCTQRKVTGIGSVNGIVRKTHKCKVCGKECFSGKALGGHMRKHRKLPSHNGGEEQRSLEVAIGAGYGQGKTAVAGSPGESDDNGKKIISASACHEDVDSSSGDSNSNDEMFIDAANHRGNGHLEGEKVTVATSHHDADGNCKSNGTERKAVVGRCHELATGAIGNARKTLKYQCEMCGKEYLSGQALGGHMRKHRKLSPHDGRDERRSPELNGMSSQRAAVITGNKQQLSKTTNSQYGTPFDGSSIGWL >cds.KYUSt_chr7.13556 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83542872:83543639:-1 gene:KYUSg_chr7.13556 transcript:KYUSt_chr7.13556 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSASAPTTTSSSSPQRGGGRELQLQGPRPTPLRVHKDSHRIRKPTAQVRQPVIIYTVSPKVVHAHPAEFMSVVQRLTGASSSSSLPPPPPQQQPPFPFFPHQLSSSMLPPALPFPFHVQAAAATSAAAQQPQEGALLQLQHSPAARLAAMEQASAQPAARTGVHRGGLPPLPSILSPVPGSLPAIPPGFFSPPSGSAGGINLFGELISPAFPGGHGAAPPPMSSSLQYFPAAAAPSPSTPYYWDLFNNHPNHL >cds.KYUSt_chr2.46790 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292519263:292522679:-1 gene:KYUSg_chr2.46790 transcript:KYUSt_chr2.46790 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWLLLVLLLLRLEGRRSVGYAAFPNNLVAPDHALPRSLDAGEALLSGRGGEGERRSVAEKRSSVPPLAGHGGLKEVEQDLLFLDLGGGGSCRRSCSRGWLSWLHVLLTCRGGEVERCGEPATLQGQHRRLLPEWCYSEFFQAAGDLAVAIQGREDGNSTTSGEEAMDHPRRGCSKLLSCEVIRSPQDSGGPRQRILVGRGLLSSWPLLLSGDAWRTPAIGGRDTLGCDCFFFDLVRVFFTKLQALSSNNRVYMGQEEEALPPAAVAFSWEHDRGVLTIEGRGMPGSLKKAPATVFLSWENDPAAAKPTVKPRGVPENPSKAPAPARLLSVPPPPGRPAARGVSRAVRPEDDPFLAAYLACTKSTGRGDGGKKRTSGAPEPEKGQRRFSWGLGRLSCKRDDGAVVQSMVKVAKLPEVDPRDA >cds.KYUSt_chr2.19523 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122836888:122839996:1 gene:KYUSg_chr2.19523 transcript:KYUSt_chr2.19523 gene_biotype:protein_coding transcript_biotype:protein_coding MDYMSPERSLDGPCGDPGPLFGDQDGSLLDMDYHGEGITGHGSPQLNDGLLADAAYLSADSVPYMNDQMLGNTIMSASTSPASPLKQDQAHHVYMESDMQKDSAEQNFHNNGNFEAQTTSPGYAVHQKTGVVDAVLPRELHESGGNGTSNFQQETTHSDTYLGDSMLNENNSRDYQFSNSGDDDDEIPNSSAPLMENKDNEKLHETFHSEVNGAEDDQMNGGNSDPRDENSNENFNSAISPSYLDGMEQDLGTENGISTPGNQWDSPPERSAGLDKGTPSPARRVSLSAERSPQAHSSDKLDSPHHAQEDEEGIHQGTDHLQQAIVSILPRDLGHHLPTGRLDWDEDADEAIKACDETEWNGRIILVEKSKAPAL >cds.KYUSt_chr7.13836 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85476455:85476999:1 gene:KYUSg_chr7.13836 transcript:KYUSt_chr7.13836 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRAGYKRNSTEYYRAFAGKVAAAGGRRSDRVAANSSDPFKRGPCSLEDYNHNKATNQLNACAKKVVSYLEEQGHIVENFKGLDSNSFNRPAEDPREKCMFTHATFCGSSLKTDRTTGNKVLVKRFFFAELTGSEKGPEKVEIVVEITGPGAFSQIV >cds.KYUSt_chr6.15216 pep primary_assembly:MPB_Lper_Kyuss_1697:6:95427258:95428626:-1 gene:KYUSg_chr6.15216 transcript:KYUSt_chr6.15216 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHTLISSAPAFSSAPHASPSSSSSPTRSFSSAPAAVLVMSSSSSFAHHHGSLVEREGNMYALRSSLRPCEAAEEAAAAVVAGPAAWGDGFLVEDLLDLEELCEVEKEGGLLCEAPAPAAEEDEEQKCSDSHGSSVVSYELMPLLPPEMDLPAHDAEELEWVSRIMDDSLAELPPPPRLPAAPWRQREATVSTAAYPMRTPTICALSTEALVPVRSKKRSKRSRATTVWSLSGAQSISDSASSSTTSSSSSSASFFLMDSPAFYGSNLLDEPARSKKSKHGKPKKRGRKPKHHFPPQLLAASAPAQGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVGDLHSNSHRKVLEMRRKKDPVAVAALTVASF >cds.KYUSt_chr7.38871 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241961208:241964116:-1 gene:KYUSg_chr7.38871 transcript:KYUSt_chr7.38871 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSSSSPPAQPPPAAATDAPSPAPAPTPAPASLSPPQAAAAPPLTPAEPALTAAQKALRSKPSRSPEDPEKKIKKLKDVEISFPIVYGTISFWLGKKASEYNSHRWTVYIRHANNEDLSVIVKRAVFQLHPSFTNPTRVIEQPPFELSETGWGEFEIAITLYFHSDASEKRVDLFHQLKLYPEEEAGPQSTKKPVVVETYDEVVFTEPSEAFFLRVQNHPAATVPRLPPGITLSSPGPMEHMPHDKKRYDNKDHPLSQWYSNFSEADELLKLAAARQQVQAHIAKLRRQLMFAFVNYAQGFELMMDFTIFYVQFPANSMGTANFTIAVVMKMLEPDNNPLTECNECITVSLLKMLP >cds.KYUSt_chr1.3548 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21608225:21608733:-1 gene:KYUSg_chr1.3548 transcript:KYUSt_chr1.3548 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGEQRRKLYRVPGQSFGHNAVMLLFYFVTNWVFPAVIMKGMQPNAEDEAAAAEATSMASSSSPQGTDAVANGKVKKKRKKRRKAATEA >cds.KYUSt_chr5.14613 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94743721:94759207:-1 gene:KYUSg_chr5.14613 transcript:KYUSt_chr5.14613 gene_biotype:protein_coding transcript_biotype:protein_coding MISLIILTERQFNILSLRKDKMDMLSGKMKDAHETVELNEKLCANIQRNLGALSDILSSAGNNTEVRMYRALQNLEKALEEVLHLSKNCQEKPTVSHHLAADQMNKKPQDANQNTMNTRAVAGFGMKLHRPPPSMVISSSSPDTATKDEEKKGNEKCERKESGGEKLGGNKDVKKETATKRNKENEKGKKNKDIQNDIEKRRENEDLDKKIDDERRKKENVKGKKKKHNTPETAEDKTEDYSYAISTSSPDTATKDEEKKENGKCERKEYGEEKWGGNKDVEKETTTKRNKEIEKGKKNKDTQNNIEKRGENEDLDKKIDDERRKKENVKGKKKKHTTPENVEGITEDYNSVISTSSPDTATKDEEKKGNGKCERKEYVEEKWGGNKDVEKETAAKRNKEIEKGKKNKDTQNDIEKRGENEDLDKKIDNERRKKENVKGKKKKHTTPENVEGQKEDYSYVISTSSPDMATKDEEKKGNGKCDSKESGGEKWGGNKDVEKETAAKRNKEIEKGKKNKDTQNDTEKRGENEELDKKIDDGRRKKDNVKGKKKKHTTLENVEGKSEDYSSVISTSYPDMDTKDEEKKGNGKCERKESDGEKLGGNKDVEKETSAKTNKEIEKGNKNKETQKDIEKRGQNEDLDKKIDDERRKKEIVKGKKKKHTMPENVEGETEDYSSVDMDKPLVNNKQVISTSSPDTATNYKKKGENGKCERKEADQEKRRGKKVLEEKTGAERKKENHRQNSREKRRGNDDVAEKIYDEGKIENGKGEKENETRDNVKEKRDGPGLEDPYDSSRKEEKAWYYSCSSSYSDSQLEAMIKELSKKDKLQQDSDSISYKTLCISKNLSHGWHWVASDPPRWSASDAQVASGDLLVAVMRLACDPPRRSASDAQAASRDLLVAAMRLARDLCLPLSRVPLALQWSDTQAASGDLLVAAMRLGCDLCPLLSRPSRQLCCSNAVGSDAGVASPGWGMSVKQILGDGRGGQEFNGAGSWWHGLDDNFVSLVWKGCRLGRRVPERTVCTFFSDNPSKGKKLSWQQCSKIILCIAEGVAAIDTSLHPGGTIKIVPKCVSKISFLFTCETLTQSVQEGEVTCKKMERRFVPLESKRYGRFYVKTDVYSYGVLLLELITQQMYIKSSSSCKSLATWVLQHALENNYMKCIPPQFAKGPRINDIKRCICIALRCVAEDPAERPTMGDVDRWLRNKNQVAPWINKLDHNTKDTVTEGGMDTPATTTPMPTDPEGSTGPRLRGGERTTGPTNSMIEPNTVQGGNTARKSKKGAVRKSSKHQVSSGSV >cds.KYUSt_chr6.16171 pep primary_assembly:MPB_Lper_Kyuss_1697:6:101766298:101770388:-1 gene:KYUSg_chr6.16171 transcript:KYUSt_chr6.16171 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGGGADDEVAEASPARGPSPATLRHRQHAVGKGGGEADGELAGNGAEASSVEQVFADKAVPSWREQLTLRAFVVSALLAVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTSAFERMGLVRHPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFAMSDTIAKQATEARDAGNIKDPHLGWMIGFLFLVSFIGLFALVPMRKIMIVDYKLTYPSGTATAYLINSFHTPEGAKLAKKQVKTLGRYFMLSFFWGFFQWFFSGGDDCGFKNFPSLGLEAYNNRFFFDFSPTYVGVGMICPHIVNVSVLLGGILSWGVMWPLIAKKKGSWYPATDGDASLHGLQAYRVFISIALILGDGLYNFVKVLLRTIAGFIAMVQKNSRAMLPVSGNGSPTAEAMSFDDERRTELFLKDQIPTSVAYGGYAAVAAISIGTLPQIFPQLKWYYIFVAYIVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLANGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTAMGCVISPCVFWLFYKAFSDIGISGTEYPAPYAIVYRNMAILGVDGFASLPKNCLTLCYIFFAAAIVINLIRDLTPHKYSRFIPLPMAMAIPFFIGSYFAIDMFLGSLLLFVWERVNKAKADAFGPAVASGLICGDGIWTLPQSVLALAKVNPPICMKFLTRSDNVRVDKFLRG >cds.KYUSt_chr3.41642 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262750086:262751369:1 gene:KYUSg_chr3.41642 transcript:KYUSt_chr3.41642 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVSSSAIGGSDVPPPPEQQQMLDAVGLTMGVDTKLAMRRSINRDRISEHIESKKYLANLQGMLPDLATQLEEILFKVHGCKREYYNMVERLFEPELQLAIQLLSVQNPQNQELSRNIQTFPAIGTDFCAPGKHPKVMEAKEVPRELKFSCPVCMNELVDASSTICGHIFCENCIKASIQAQRKCPTCRKKLTLRGFHRLYLPATN >cds.KYUSt_chr2.13399 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84999241:85001496:1 gene:KYUSg_chr2.13399 transcript:KYUSt_chr2.13399 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGAKALTQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRTDGIYIINLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLYWLLARMVLQMRGTILPGRKWEIMVDLFFYRDPEEAKDLEEEDALVAPEYGAVAEYAAPTGDTWGAEWPGAAAPAPAVEGQAGAEWTAAAPVAESGWDAVTAPAPTGWEQGSAPAPAAAAPTPNWE >cds.KYUSt_chr4.45723 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283008076:283011373:-1 gene:KYUSg_chr4.45723 transcript:KYUSt_chr4.45723 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHKRLPEKLTRSEASKRSILRRRDGVHHFEHEGHHRNAFNKGTTPAGDTVTGTGQSHALLSPSHGTLLHQELELSAIQASHNTEIVLDLYTISWKSVQRRSRDRAPILPGKMEFQGQRDNPANRVDEHGNPFPLAGHDAMGGAHAAPGPGGQFQANREEHKTRGILHRSGSSSSSSSSSEDDGMGGRRKKGMKEKIKEKLPGGHKDNQQHMAAGTGTGAYGQHTAAGTDAYGQQGHAGMTGAGTGTGEKKGLMDKIKEKLPGQH >cds.KYUSt_chr2.1978 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11913485:11915068:-1 gene:KYUSg_chr2.1978 transcript:KYUSt_chr2.1978 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRPTAKCRSVYVPPPRAAAAADYAAGDKACPAYQRQTWDALRRSITGHVNKATAANIRHVLPELLAENLVRGRGLLCRALLRSQAACPAFTDVFAAIAAVVNSKIPDVGRLLLVRLVIRLSRSHATGDKTQLAAAARFVAHLVNQGVAHELLALELLEMLLAEPTDDGVEVAVGFVTECGAALSEACPRAVDAVFDRLRSILVDGGVDKRVGFLIEGVFAVRRARFRGHPPVRPELDLVEQEDQFTHQVEISLQLDPETHLDVFVASGTFLQDEAAYDDLKRSMLGDDGQDEDDNEYDESEDGDDDDEDTDVTIKDETETNLMGLRRTIYLTIMSSAGAEEAGHKLLSIVRPGHGQEVELCGMIVECCKQERAPKTRFYGELGQRLCGVGRAYQAGFEACFARCYAAAHRMGTDELRAAAGFFAQLLAADAVPWGGVLGGVRVTEEDTTSSSRILVKTLFQEMAEQLGVRVLGRRMNDGDDPVVRDALFPRDSAKNARFAIDFFTAIGLEGVTQPARKLLLSGS >cds.KYUSt_chr5.32407 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205611991:205612548:-1 gene:KYUSg_chr5.32407 transcript:KYUSt_chr5.32407 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQESSTQAAASGPAQCTTGCGFFGSPATKNMCSQCYLVHLKTVKATAAPVVDEKIKATVAAAAPVVEGKIKATEVAAATVVEGKINANEVTLALKTPANVHGSAAAEAPAAEAPAKKAAPTRCMSCNKKVRLLGFACRCGGTFCSMHRYADGHACSFDYKKADREKIAQQNPLVVASKLDKI >cds.KYUSt_chr7.29262 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182143265:182144208:1 gene:KYUSg_chr7.29262 transcript:KYUSt_chr7.29262 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGQPAMLCHDDGVPPFMDAGGLSFGYGYDHHYQPFLLEEECLLGSHAWELPTGDLGAEPGNASAFDGHDVGWKHHATGVSSPVSMLSFDDPSASAASASHKRPRALAQEKQGTDQESATDPKKQCGGDRKAAIKPRKTSASTSPPPKEPQSDAAKNRRERIGERLRALQELVPNGSKVDMVTMLDKAITYVKFMQLQLTVLETDAFWPAQGGEAPEISQVKAALDAIMLSSSQQNHQWI >cds.KYUSt_chr5.39392 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249282613:249285922:1 gene:KYUSg_chr5.39392 transcript:KYUSt_chr5.39392 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTARRSEPELVRPARPTPQETKALSDLDDQWSLRFYESIVGFFRSPPGESVRPGKVAKGIKAAVAGALVYYYPMAGRLRKIPGGNKLEVDCTGEGLMFVEAAADVRLEDLGQPLVPPYPCVEEFLADAGDTRDVVGKPLLFLQDDEEEDEEEDEAAEEQEEEETEDTAAQMKVEVEMKAQPASTFDNEDISSSDASKDIGSSEEVTSRKRHREDDEAGPLRKK >cds.KYUSt_chr5.7258 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45432231:45433451:1 gene:KYUSg_chr5.7258 transcript:KYUSt_chr5.7258 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSPAAASDAQYAQQFLNTALSQRGPSALPYAEDVKWLIRNHLVALAGAFPSLHPRAALFTHNDGRAAHLLQADGTIPITHAGAVYHLPAVLWLPDPYPRAPPLVFLSPTRDMLIKPHHPLVDRSGLVAGAPYLRSWVFPSSNLLDLARSLSHLFSLDPPLFSRPTPPNPLPAAPSSSSSSMNPAPSPRPPSDYASRPYSFPASPQLAARPPPTEDPAEVFRRNAIAKLVDTAYADAAALGAARAAEVVALSAVQAELRARGESVDGGVRRIAEEMEALERRLQDVTMATHVIEAWVAENRKDDGDTEAEGAIQPADVLSRQMLDCSAADRALEDAIYALDRGVQEGSVPFDGYLRSVRALAREQFFQRVLYTKVTREQQQQAQVARMAARAPPQYASYDHQG >cds.KYUSt_chr1.32406 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196757011:196759686:1 gene:KYUSg_chr1.32406 transcript:KYUSt_chr1.32406 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRRFLGLPAAVSGSLRRSLTTAGARIPWAMMRHRMCPVEAPSPQVRLVEPPRISEVYVPDHLVKTAPHPDPASDAVEARGGVVCAASGDGLLLLVFAQMRLMARIVARQGDAPLRLPPDGFDPDHVPNVTRFVLNPVTNQMSRLPPRVAKLNPDAGPLFDVHMGLVTQADRRHGPPDRFAVAELPEGDLMLRFLSEKGKWETVPVSPCQLSSARRMDIHFDYEVLAFRGRLWWVDPTWGAISVDPFSDRPELSFVELPRGSVLPPCAPTRERVTMEFPGYAPSDDDEDDGKVWWCLYRRVGVSEGRLRYVEVSTKEPYLLSSFALDDDGNGWTLEHRVALSKLWADGGYPWLPLKEGMTPQIALLDPLNASVVYLKVDKHIVVVDMNIKEVTASYLCKTNFDCIPCVLPPWLGSSRIPSAGKKDAEKNKTLANVLVRSDTP >cds.KYUSt_chr5.14420 pep primary_assembly:MPB_Lper_Kyuss_1697:5:93660311:93663111:1 gene:KYUSg_chr5.14420 transcript:KYUSt_chr5.14420 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRLSTSAAAALRRRRRGAKDDGLLPALRAEIAHELSSSPSSSPPSLHSQDINDFAAVSDAPRAQDVLLRRRGGGDTEEVLVSALLAPLRFEGDEPLPRDALMKVFVSKPGVDPLLRFDCRAVAGDGDAAAGYVITALSYHEFPGDGGDRKYEGPDFRDLDPKLQTALKEYLMARGVNSELANSLREHLLQKERVQYVGWLKNLEEMLTKNN >cds.KYUSt_chr4.10958 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66541547:66541843:1 gene:KYUSg_chr4.10958 transcript:KYUSt_chr4.10958 gene_biotype:protein_coding transcript_biotype:protein_coding MAARYVEMLDMGVRIAARFHSHCPQTARMYYKPPQSASSSSSSAAGASTDRKAAGFDHEAAAAAAFRPFAATSQAGFGAGAQSGFGFDTAQVLIYEVV >cds.KYUSt_chr7.12994 pep primary_assembly:MPB_Lper_Kyuss_1697:7:80155333:80155566:1 gene:KYUSg_chr7.12994 transcript:KYUSt_chr7.12994 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSRRHKPVAHLIAEPDNVLSVVEVDMYTRIAVEEDDAALLEEIIRQGCDRCVLQRQLHRAVKDDNIRLIREDCM >cds.KYUSt_chr5.41371 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261101492:261102358:-1 gene:KYUSg_chr5.41371 transcript:KYUSt_chr5.41371 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAKEGTAAAVPQMKLLVDKRSRRVLYAEARKDAVDFLVGLLRVPTGLAARVIAHAAAENADDALAVPGSLGTLYAGARALDDAFFVSATPDRDAILCPALPSAALKLLLRGDASSLLAPAPSPPPPPPPPPPPKRFFRCAGPYGTSCRGNPTSVTDVAGLPCPVCRQPMTVEMRWSPGDAHGKLAQAAAQEAAAMAKEATTDVGGGYVKEVVSYLVMDDLTVEPMSTISAIMLLKKFKVADCSALEELTVDLGHKEAVLLLKAALESTTALTDVFCGGVSIDRLE >cds.KYUSt_chr2.41003 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254835291:254835794:1 gene:KYUSg_chr2.41003 transcript:KYUSt_chr2.41003 gene_biotype:protein_coding transcript_biotype:protein_coding MREGAGLHPGMPVTARSVAKLLPAAPSDPPRPMDAMSCLAPHPASFLPNTSSQAYYTDAAIARALNSSILLLPPRRPPLLRWHQCAFRSKRADVPLPADPDLDVVTFLATRRHSGVVALVDAATGRTTTFTELRRAVAGAATALSAPPFSIAKPLRPYVEQVVGGRG >cds.KYUSt_chr4.53587 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331502612:331504197:1 gene:KYUSg_chr4.53587 transcript:KYUSt_chr4.53587 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAALSSRVLLPLGTLSPSRSDAMNSPAHCPATTASPPLRASRAPLVAMAQRGGRGRRLPSSAPEAEQPEEALARILRTEAAVSGVSRKAAAASGQQSTCLWPRAVLEALDSAVASCRWESALEIFELMRKQRWYEPKAQTYARLLMMLGRCRQPGQATALFNTMLSEERLAPRVDVYTALVSAYGNSGMLDEALAIVDRMKGAAAGCKPDEYTFSVLINCCCKSRRFDLIPAVLDEMAYLGLGCNVVIHNGIIDGYGKAGKLEEMENALSNMLEGGDNVPDIYTMNSILWAYGDRGRVDDMEKWYGEFQLMGVEPDTRTFNIMMKSYGKADMPEKMMSVLKYMKQRFFSPSAATFNIIIDCFGRAGNIEKMEYYFRLMKIQGMKPNPITYCSLVNGYSKAGLLDMIPGIVRQTENTDVVLDTPFFNCVISAYAKAGEVKIMEEMLQFMKDKNCKPGKVIYTTMIQAYIAHGMDEAAKLLELEETRFDNKLLVSPLDCF >cds.KYUSt_chr3.22207 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136986982:136987874:1 gene:KYUSg_chr3.22207 transcript:KYUSt_chr3.22207 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRVPDLLVKLSTKCLIELDAVRSPTSPLDLKLFTGLATKSPRSSFLDAGVASQNQKILLGDRVGLGLVDSLSDENPSPLGSRKVLLGSEMRITDSLTRKNSSTAPMQPGVVELKDENMSDGLNGSFMSLDDIVNSEDYTCVVSRGPNPRTTHIFGDRVFEFQGEQLMPGEGGCEDTLVSPLKGENTMSFCCFCSEKLKEKEDIYIYQGDKAFCSVECRENFMEEEIEGEPATATDHSDPSGPSFDDGRIFQLIQ >cds.KYUSt_chr2.34700 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214232365:214239028:-1 gene:KYUSg_chr2.34700 transcript:KYUSt_chr2.34700 gene_biotype:protein_coding transcript_biotype:protein_coding MISAAEEEAKWPHLHAAIRTSEMEEVARREAEEAEGWELYARARRARREEDEAARREEAGRRADEERLDEQRQRQEAGRRARQERRQRLGEEAPLRAPPQPRVAPGPPLAVGGGPVVSVAGVPGAVEPQQRLAARGSTPLALAHLQNKGEKKQVRRAKSTVLPSPSSMASVGGAAAAASALFFAFFLPLLAGASESDHKYPAEAPVTLWVNKVGPYNNPQETYNYYSLPFCHRSDNPVHKWGGLGEVLGGNELIDSQIDIKFKKNVDKDTICSMELDFEKAKQLSDAIENSYWFEFFIDDLPLWGFVGEADRNNDNKYFVFTHKTIFIKYNGNQIIHVNLTQESPKLVDAGKALDMTYSVKWEPTNVTFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLSGLVSMILMRTLRNDYAKYARDDEDLETLERDVNEESGWKLVHGDVFRPPRSLALLSALVGIGTQLSALILLVIILAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKSMIMTASLFPFMCFGIGLVLNTVAIFYGSLAAIPFGTMVVMFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVVLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYNVKTKMSGFFQTSFYFGYTLMFCLGLGTLCGKLFFCTDNMCMAKFWMVSIDTGCDFDAGAVGYLGSTLFVRRIYRNIKCD >cds.KYUSt_chr4.9665 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58341241:58343100:-1 gene:KYUSg_chr4.9665 transcript:KYUSt_chr4.9665 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICAKRVVVDGRHHMLGRLSSVIAKELLNGQRVVVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGEAALARLKVYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLMGDLSKEVGWNYHETIKELEEKRKEKAKVSYDRRKQLAKLRVKAEKAAEEKLGTQLEILAPIKY >cds.KYUSt_chr4.11525 pep primary_assembly:MPB_Lper_Kyuss_1697:4:70090638:70098069:-1 gene:KYUSg_chr4.11525 transcript:KYUSt_chr4.11525 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEGDKDKPGAGTEQEGRPSKAWGILIFGLVGAASATLAVAQVRRGVGWFYTQQRIRHMQSVFNRERNKFRNSYESWKENGPPGGYNYIPRDDWKKIDDNRKRTAAEIIMAKSNLVEKTKKDVLDIAAKEQTAEEEFKELGFVSVVTALYKRFAGHAVSLQRQVRLPRETTKMQRLSAPLRRRLSTGATSDHTLASSAELAYRLLRRHSSDPQKLASALSASGLDATSPRLLDAVLRRCGAASSLALDYFHWCSPSLPSAPLPSSLALLAKYFSRASAAPSPALLAPLPSHLLSSSILSPVLRRLPPPRALPFALSLLASRPGHDHPSLFLSLLESLSKTGHVAAAERLVEELHTRLPLSIRHYTALLYGWCRQGKLDEAKHVLARMKTADVAPDVVVFNTLLAGFVADGRFEDAFELTKEMDRQDCPPNAVSYTTLMQGLGSRGRVDEVMRVFVEMRRKGCAPDSVTFGTLVSAFCKAGRVAQGYEFLDAMSRDALRVDPAVYLGFFVAHERKEQLEECLELMERMRECKCPPDLSIYNVVIRMSCKLGETKQAVALWNEMENSGLSPGVDTFAIMVNGLVGQGSLVDACNYFKDMVGRGLFVAPQYGVLKDLLNALVRDEKLELAKDVWGCILTKGCELNVSAWTIWIHALYAKKHVKEACLYCLDMLEAGLMPQPDTFAKLMKGLKKLYNRQIAAEITEKVRLMAEERHVSFKMYKRRGVRDLEEKPKKKKRRGQKRSSGRQTVRDQSKEHADLSDSVDDEEFSS >cds.KYUSt_chr4.21611 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136045323:136046408:1 gene:KYUSg_chr4.21611 transcript:KYUSt_chr4.21611 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHCTISVAAVSEKRSHVVKIHGCSVLPEKGACAKSAPFSVGGLSWAIKYYPLGNFMPGCVSIYLVLDSSHVKELSVKIRFSLLDRDGKPVPSHSRKTTEHIFAGKGSSQDIYLKSISHEDLEGSVHPRDDCFSIRCDITVLKDANEGNKFVMVPPGNLHEQLGNLLKSMDGADATFIVGGERFSAHRSVLAARSSVFKAELFGDMKENSCDPIEISDMEADVFKSLLHFVYTDSLPELTHEGTHDGGATRGDVVTASHLLVAADLYNIERLKLICEEKLCNHIDSNMVATTLALAEQHSCNGLKEACFEFLALPSNLEAMVASDGFEHLKSSCPSVLKDLVVRVLPAELKAVKDIIMAI >cds.KYUSt_chr2.4203 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25554060:25557080:1 gene:KYUSg_chr2.4203 transcript:KYUSt_chr2.4203 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGFHPEPRKVTTMMHSRGTRHPEGVVVDGAEELDFRLKSPPHHTKKLEEEDRLSALTDDILISILGTVDLATAARTSVLSTRWRNLPWLLPELKLHVKDFLSAPCPGAAQQIDQAMAALSRATSSLLAQPRRKQIITKLCLKLYLMGNYSRDIGLLVSNAIDSEIVKVLELAILNEKAPEDSKNEVMLQQAWDVCGFFNMYPSVLRCLTRLHLHNVRLAEYDMNYLLFGWCKQLLHLSLDHCDTGDGSVWKINAPDSTLRVLEVYLPSLKRAEVLCLPKLERLRWQHWVYYEAPLCFGSVPSLKEMCLHCSVTLRHQEFSLSQPRLALGVGYADGSPRRSPSASRSLGTRHGAVGVVPAVGVRSLCRRRACTLGVAALGVSCRGAVAVNGAPVYADGTALGIGQAACSSVRDVALRGDASCPSTPTATLSAYAWAMQATWRAAGQRGEGLRRGSQQASMPRAMPSA >cds.KYUSt_chr6.17783 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111810933:111812132:-1 gene:KYUSg_chr6.17783 transcript:KYUSt_chr6.17783 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSVADLPPVSAIGFEGYEKRLEITFSEAPVFADPKGRGLRALSRAQIDSVLDLAKCTIVSELSNETFDSYVLSESSLFVYPYKAVIKTCGTTKLLLAIPRILELAEELSLPLAAVKYSRGTFIFPEAQPSPHKNFSDEVAFLNGYFGGLKSGGNAYVIGDPAKPGQKWHVYYATQQPEQPVVNLEMCMTGLDKKKASVFFKSSAEGHTSCAKEMTKLSGISDIVPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVMGLDPASMSYGELVKRVLRSFGPSEFSVAVTIFGGRSHAGTWGEKLAVGAYDSTNMVEQELPSGGLLIYQSFTAMGEISTGSPRSVLNCFVDDSLENGPKDIKMNAFLCWEEDAAQEIDERDGKKMRSA >cds.KYUSt_scaffold_3611.178 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:1017979:1022626:1 gene:KYUSg_scaffold_3611.178 transcript:KYUSt_scaffold_3611.178 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPQKPPLPHDHLPSTSFFLYRPSPPPLLPPPHPVGIHTHVLSKWRSTLKPTLGRWGSKRNESMAAGSSVVEDPTSQIRRGRARTHEIPIVMDDGSSKHAFKCIRTRLVVIRRKKLAMIGFMKKDVAELLSNGHDKHAFGRMDALIVEMNHAFCYDMIEEYCDFIGKQLNSLQKQRECPQESREAVSTLIFAAARFPDLPELCDLRHVFTGTYGSLEPFISHKFVRKLQCDLFTDEEKFQVMQSIAEEFSVGFDRKALEIKLWVAPETKDGFLEKDSVEKVELVMPLPIKQRHDGEHQGTGEHPLERKSEATSVGHKQKVEAEPKPKDVQAAQAVDNGLGQLNDNTGGKNSDKSHCRENLGKSVSPIETKRGATEKQVQKLRKKDTRPSEKELMEAIELDIDGLPKHESGSVKFPEADSNKIVPPIFKPKEAEKEHGVEKENYKGLGYQHRSPMPGRPDNRRQANLGCKTLGLQKLEPRSLNPSSGKTTNRTPPYAKTTVAKVKNCDEKEESNSLMHGRPQQLKDVEHTVQNGQSVLQRAANMRPPYVNPRFGMQVTDDSTKPTGSVFKKYNLTEQTDRPAESHVLRPVSVRRKKPQALGDAYDEAPEKVTSQTPSSLRRPASKHKVASDAYDENGHGVGNGRNVERTPSSRPSHSGRRNRALHMDDHDGSMLRPQAGEDESAIDFGNLLPRTTKGHHRVNSKNTAVHGGDLDEEERIMDKLLMHYSKKGLHMDETKTTAGTSRTADEAQTQCQENSSLHRPGRAVSLPPESVSTGEASQVPARSTSLRYQCPRGVHVHPKMPDFEELAARVIALRNA >cds.KYUSt_chr2.717 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4265644:4266660:1 gene:KYUSg_chr2.717 transcript:KYUSt_chr2.717 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSCRLPLLLLFASATAISCHGAATGSGHYSFPVFDGKSNTDGVVVVTNSSMLAPATFLFDAQIFPEFNESKGFVLLSRAVALWRAADDGTRDEASFSTSFTVDGSDTVAFVVLLDSFPPFNSKNRKPPRDRNGPALSTVAAVSSAANSLAAVEVGTVSSYGPRPPPGVGLNVTITPNSSSAARASQLAVRIEYKASVHRLSVYVGYADAGDSTPALLEAPLDLAGRLPAQDALVGFFAATVRDVVVGVSGWDLTVDALPDDDDGDAGPPLQDGDEQSARLSPSSRLAILLAVLGSVAAVCVAVVSVMLYRVVSRRRAVDMEVQKCYKDYFARTTH >cds.KYUSt_chr4.14399 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88759593:88764536:1 gene:KYUSg_chr4.14399 transcript:KYUSt_chr4.14399 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAEAEVRRDMWGQEYRTASADCAAALDDYYAQTMAFGRHRGRAVLRAAAADPSCALASALAAHFVAPRDRAKAAAFLAAAAASLDKGTGYERAVFRALSALVGEERDVEVSIERHFELLKEFPRDLMSLKRAQLICFYVGRPDTSLKFVQQVLPQNQDQNFIYGMLAFPLLELGRMDEAEVAARKGLAINKNDFWSQHNMCHVFQQECRFREATEFMESCSPSWTACSSFMFTHNWWHVAVCYLEGESPISKVLEVYDQNIMKELDRSDSEAAEVYLNALGLLLRLYVRGEIGPAKERLKTLLDELKNESIWHAEWLLDLLILWALSTMNESKAAENLLDSLRKRVSSMEAKRQKVMHKAIQLADAVSKYGKGEHKAVFDILGPDFDGLSYKMIGASDEQVDVFNEVWYTVLINAGEASTGINSMTHDIH >cds.KYUSt_chr4.50110 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310484942:310485382:-1 gene:KYUSg_chr4.50110 transcript:KYUSt_chr4.50110 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGGNEASPAIIHTSSIALLQERFRNLQRVKEMREGRELQRVHHHSGDATDPNRDGSPLAPAPLINHSLQAVANGDEQPRWFLHPDLVRPSRPLHGAAGYSGHGGAVQVSQPVAATAASPWGDVPRMQSSGYRGDVDVDTSLHL >cds.KYUSt_chr5.24006 pep primary_assembly:MPB_Lper_Kyuss_1697:5:156140579:156141167:-1 gene:KYUSg_chr5.24006 transcript:KYUSt_chr5.24006 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRRNYAHWALLMQVMLESPRNSRKWHVPIETLLNLDTLYVEELIGQLKADEEYHEHEGPEAKHAGNAEASSREAVDMAT >cds.KYUSt_chr7.18966 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117510566:117511038:1 gene:KYUSg_chr7.18966 transcript:KYUSt_chr7.18966 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCRSAAAAARSAAFRSRSRITNPFPATRSPVAAPRLRRSAVKVLAGAESLMPLHSAVAGARLRSCIAADSSCWSCLSQVVLMIDFLVSNA >cds.KYUSt_chr2.54673 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341084076:341090735:1 gene:KYUSg_chr2.54673 transcript:KYUSt_chr2.54673 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIASVRNATQAAKVFASSSAGRLARIEGLVAVSCILVGILVVANSRRRHDSRSLARLVVWAAFMFNFPVISYTIGLMQSSSILNELFVVWACFLVLLLGSADTMTAFSFNDSSQQTRSMMHQALHTIYLLFLIRTLLQRLGLRGKAYRSTCRSRGLITENQVVYQYMRDEPLHSPNIHLGINGIYNPATMEGYIYLVDGKEVKKVKSGEEIIHVAYHVAETTVGVEGIEPSVLETTVDVAGIEPAVPETVVDVAGIKPAMPEMTVDVAGIEPAVLETAANVAGIETVDVEIEPAGIETVDVGRIWKCKGKLLQCTSSNQGGASRRRDLCLSFALFRMLRLRFAPDHGSLKRNREFRFDISWLKNKDFLPQVEKIWKMPVNTMDPIDIMNIKLKRFKKHFKGWGSNLFGHIRKRKKELKEELAFLESKEEYDALSSEDFIRKTEVLIELQKIYADEELFWLQRSHERWLLEGDPWSPTLFNLVAEALTKMVLRAQENDMLVGLADDLIPKGVAVLQYADDTVLCIQHDPDKAINLKLLLYSFELMSGLKINFLKSEIFIIGGDNEIAGLYSDMFGCQVGTLPMKYLGVPISSVALKTSDWDYVDDKLVRKLDAWIGLQPEEIKEQMVQGSEKLMRMAAEFTKKIKTGSARLTAGVRSPVGKKKETKCRDFVIKGLLSDDDDLDRAFRVVEAELGFLFDFFYARYPSIKDTLAPDSVVYAMILATSIFTLFCPDLLKYRPTMGGTNIFVQGFNLDLLVTRLVIVWYIFLESYQFLSLFIFSDWHKVKMMCRYVRKESWHRAIVEIPLKVMCHFNITKYWKGSIGQYFILDNAHPHPVKSFLSWISLQALDASMMTSSIRLLPEARQAVLRQLKATGGTITDGRIWLYDTGVIDKDLDQTCFLGHTYARYIMTWHVATSICSYGLTMEDTSSQELVKNHAIATKLSGYCAYLLAFKPDLVPDITCTSLSIARGTLQNAREYLAECKSNKEMYDKLIELGRSYSKVYEVRFLHEGAMVAAHLIENDVTVTDEDRWRVLSVFWANMMLWIASSDRAVAHATRMATGGEFITLIWALLTHAHIVDKLQPPGGSPGLHMQLPSDAGSDNSSRSMYTTDDDIESPITGDKLQPHSGAPELHMEHPSDADSDDMYNSTDESTYSTDDDIEIDPPITMRGPRIRMASFDEYCIFANNMKLTRKQVGTLENLDPPGEHMKYYVYKMKRSSLTPKKCKMEFGVEFTNAYLKRYLRQPIEVHVECNICTEKGKIRMEMGRGSEINKATLTSGWAKAVRRYLIEEEDIYIFMFSPNSDDGLHLLIMHL >cds.KYUSt_chr2.9241 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58165707:58166054:-1 gene:KYUSg_chr2.9241 transcript:KYUSt_chr2.9241 gene_biotype:protein_coding transcript_biotype:protein_coding MTNWYRGSLLPPPIVVEGSVADPLESCLLDRKLFLGDHTNATTAWYDYPEHDEVSIQVTLFVAPPPRISCILAWCSHTYFTQQPMVVSTHDGLVLLSIAFSKKNSKSADFFMYHW >cds.KYUSt_chr5.37056 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234498738:234499956:-1 gene:KYUSg_chr5.37056 transcript:KYUSt_chr5.37056 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLAASSAALTIADHDPAMISRFDRWMTKHGRAYTDAGEKQRRFEVYRKNVELIEEFNSGSNSYTLTDTKFADLTNEEYRAKVTISEKESDSDDSDLPKEVDWRKKGAVVVVKNQGSCGSCWAFSAVAAIEGLNQIKNGKLVSLSEQELVDCDAEAVGCAGGFMSWAFEFVMDNHGLTTEASYPYLGVNGVCNKAKLNETTVSITGYKNVTVNSEADLLKAAAKQPVSVAVDAGGFVWQLYGGGVFGGPCTAQVNHGVTVVGYGETNSTGADKPPEKYWIVKNSWGAEWGEAGYIRLQRDAGVPTGLCGIALLASYPVM >cds.KYUSt_chr7.29640 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184461033:184467230:-1 gene:KYUSg_chr7.29640 transcript:KYUSt_chr7.29640 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVWEEVAVAVSTGGSGGDGGTVFSICVFTAVLCLCLVAGHLLEENKWVNESITALIIGCIVGAFIFLLSKGKNSRILRFDEQLFFNYVLPPIIFNAGFQVKKKQFFHNFLTIMSFGVLGVFISVSIVSAGCYWLFPKVGFGKLDVVDYLALGVIFSSTDTVCTLQVISQDETPRLYSLVFGEGVVNDATSVVLFNAIKNIDITRLKGGVVLKVIADFLYLFATSTILGATIGLSTAYVLRALYFGRHSTDREVALMALMAYLSYMLAEFLNLSGILTVFFCGIVMSHYAWHNVTESSRITTRHIFATLSFIAETFIFLYVGMDALDMDKWKITKAGFKTSLGIFGIIISLILLGRAAFVFPLSILSNFLTGNSEKAPITFNHQGEEATRGGHNTGSNSPKDDFILPFLSDEEASGSGSGFIQAKRSISMLLERPVHTVHIHWRKFDDRHAIHNGRATRFWVDWWHEKGPLKDQFPGLFVIATEPLATVATLFRGNQCRLTFRRELCLGERVELANIARLVEVVRLSETQDRISWSLEPNGKFSVRSLYKSLCQGILHKHYGIVWEIKVPLKVRIFLWQLSKRRLPSNDNIRKRKGPSNGTCALCLEVEDNNHIFFRCPLARFMWSAVRDLLGCPWNPSYFADIFRYMRVHIGQTRRVLWLACAALLWSLWNVRNKFTIESSFLANPVDGLYKMTVYLQAWKLLARRGDRQAVELVIGRIRALHASIRDSV >cds.KYUSt_chr1.34898 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212648994:212651393:1 gene:KYUSg_chr1.34898 transcript:KYUSt_chr1.34898 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPGGPMPPPQQFGLTETRPPLAAMQRPRFNIPGLNPAPANAAGKISSTYDLVESMRFLYVHVLKARDLPAASAAGSIDPFVEVKLGNYKGATPVHAANHSPAWHQVFAFSATHLQSHLLEVAVKAKDLAGGGDDLVGRVAFDLSEVPVRVPPDSPLAPQWYRLEGRRGEKLHRGELMLSVWLGTQADEAFPDAWHSDAHGAASPQAVASTRAKVYFSPKLVYLRVAAIAAQDLIPHDASSRAMNASVKLQLAGQVRRTRPGGPPGSPNPMWNEEFMFVASEPFDDPLFITVEDRVGPGRDEPLGRIILPLNAAMPRHDHYGKPVEPRWFSLARPGHDSSPDDRKPDHKFASKIQIRMSLDFGYHVLDESTYYSSDLQPSSKHARKPSIGILEVGVLGARNLIPIKAKDGRATDAYCVAKYGPKWVRTRTILNTLNPQWNEQYTWEVFDPCTVITVVVFDNSQIGAKNGDARDEHIGKVRIRLSTLETDRVYTHFYPLLALKPSGLKKTGELHLAVRFTCTAWVNMIAMYGRPLLPKMHYSQPISVMQLDYLRHQATQIVSARLSRAEPPLRREVVEYTLDVGSHMFSLRRSKANFYRITSLFYGFAAMAKWYDGIRSWRNPITTMLVHMLFLILICYPELILPTIFLYMFMIGLWNYRYRSRHPPHMDTKLSQAEFTHPDELDEEFDTFPTNRPADIVRLRYDRLRSVGGRVQTVVGDLATQGERAHALLSWRDPRATAIFIFLSLVVAIVLYVTPFQVLMVITMLYLLRHPRFRSRMPSVPFNFYRRLPAKSDLLL >cds.KYUSt_scaffold_3611.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:53769:54575:-1 gene:KYUSg_scaffold_3611.11 transcript:KYUSt_scaffold_3611.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRRRKKDAADQSLTCLDALRLLDISGKGNGPAGEATTPPPPPPSPLLQEVEMEAEPGTSKLPSPPPPPPQEVEVDMEPEIPKLPSPPPQEVEMEVEPDPSKHSSPPPPPQEAEVEAESDISKHIKAVLKAMLDMAALPDYDERYGSYLHDFFKAYIQAPRPLDPSWLPEPATTGLGPVKERYSYLASEVARVADGTAEQREMLSRGLLEQVDAAALAALDGEFHEATWEGKKAMLAKLESEVQYAKARIDAEAMIEMLHGGKASS >cds.KYUSt_chr1.40992 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251411972:251414578:-1 gene:KYUSg_chr1.40992 transcript:KYUSt_chr1.40992 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATAKPHLNPPLLRSPHLLPPARHLATRSRRLGSATTTAPPSVAVYAAEAAESTSSDPSSDPSAELRKLCAHGQLPQALALLESSPAPPDEDAYVALLHLCEWRRAAGAGMRACEHADAAHRSFGLRLGNAMLSMLVRFGESWHAWRVFAKMPERDVFSWNIMVGGYGKAGFLEQALDLYHRMLWAGVRPDVYTFPCVLRTCGGLPDWRMGKEVHAHVLRFGYGVEVDVLNALVTMYAKCGDVGAARKVFDGMALTDCISWNAMIAGHFENHECEAGLELFLDMLEDEVQPNLMTITSVTVASGLLADLDFAKEMHALAVKRGFAADFAFCNSLIQMYSSLGRMGEACTVFSRMDTRDAMSWTAMISGYEKNGFPDKALEVYALMEVNNVSPDDVTVASALAACASLGRLDVGIKLHEIATSRGFIRYIVVANALLEMYAKSKHIEKAIEVFKYMPDKDVISWTSMIAGFCFNHKCFEALFYFRHMLADVKPNSVTFIAALAACAATGSLRCGKEIHAHVLRRGVASEGYVPNALLDLYVKCGQTEYAWAQFSAHGERDVVSWNIMLAGFIAHGHGDIALSFFSEMLESGEHPDEVTFVALLCGCSRAGMVSQGWELFHSMTEKYSIVPNLKHYACMVDLLSRVGRLTEAYDFIARMPITPDAAVWGALLNGCRIHRHIELGELSAKFVLELEPNDAGYHVLLSDLYADAGRWADVARVRKTMREKGLEQDYGCSWVEVKGDIHAFLTDDETHPQIKEINAVLHGIYERMRASGFDPFDSHSLEDREVSKDDVLCGHSERLAVAFGLINTTPGTSISVTKNQYTCHSCHGILRMISKIVRREITVRDTKEFHHFRDGSCSCGGSG >cds.KYUSt_chr3.32349 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203230210:203231424:1 gene:KYUSg_chr3.32349 transcript:KYUSt_chr3.32349 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVQFLVRKFIDGLAEEGASELPFSTYFCDMRAELEKATISSSNADELRECMYELNNLLSQCRMLIDQTNTSSCFSLSKAWNSNKVKKRVVAVKRRVLQCVQHDPNGDAAALQEDSSTTGFSRWTTSWPEQSMIHGFDQQLSELEQKAFRNCSPGRLTGVGIVGMGGIGKTALAQLVFNSQQARGRYFPRIWVCLSRTACAAKDVRKEVLQSILMALGLEEEIVLSIDGGGTSLGDLESAVHEKLKGKRYLIVFDDVWKIDGWYADVVGPHNALPGSDQQSNCLALSLPKERGGVVVVTSRLEQAAEMMVGKSSVYRVQPLVDRESSWAIFMDALSKARPALPKERRAIDLTTINNMKEEILETCSGLPSMAKAMADIFADRLSSPASTSSQELSLSGGIVK >cds.KYUSt_chr2.42748 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266252980:266258478:1 gene:KYUSg_chr2.42748 transcript:KYUSt_chr2.42748 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATGVAAVLLASLAILAPSARGLNRGEFPPGFLFGAATSAYQVEGAYLEDGKGLNNWDVFTHAHSGDIADGRNGDVADDHYHRYMEDVEIMHNLGVNSYRFSISWARILPRGKLGGINSAGIAFYDHLIDALLQKGIEPFVTLHHFDLPYELETRYGSWLGAGIREEFDHYADVCFQAFGDRVKFWTTFNEPNLFTKFAYMLGAYPPERCSPPFGNCHSGNSQQEPYVAAHNILMSHAAAVDNYKKNYQAKQRGLIGIVISMKFYEPLTNNTEDIVAAQRALSFEIHWFLDPIFFGEYPKEMREMLSSNLPEFTPAEKMLLQNRVDFIGVNHYTAIYAKDCISSPCELNTYEGNALVFATGEKDGVRIGKPTALGGFYDVPEGMEQIVKYVNQRYENVTIYVTENGYSEYNNNNMEDMTNDTGRVNYLEGYLSCISSAIRGGAKVRGYFVWSLMDNFEWRFGFTVRFGLYHVDFVTQQRTPKKSAKWYRDFLTGSGPMGEVQTLRAYS >cds.KYUSt_chr2.42171 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262618408:262621781:1 gene:KYUSg_chr2.42171 transcript:KYUSt_chr2.42171 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCAICLGGIGAGGGQAIFTAECSHTFHFHCISASVAHGQQLCPLCNAHWRELPFVRPADPMPPVDVVQPPQQHRRLPIQPADPVIFDDDEQVGLAAGASADDRRPSGTSYNGAVVVKTHTDYPAVARDSARDNFAVLVHLKAPGTDAAGDAPAPRAPLDLVTVLDVSSSMHGRKLALLKQAMRFVIDILGPDDRLCIVSFSSRARRVTRLARMSDAGKALCARAVESLTARPGTNIAEGLRTAAKVLDERRYRNGGVSSVVLLSDGQDNFTPMRQAFGRGPPNYAALIPPYFARTDSAAGDRTAPIHTFGFGSDHDTAAMHVVAEASGGTFSFIENEAVIQDAFAQECGFSNGSGNYRCPSARALIRVLTLGYGQDGRAVHEQGIAHEFGPAAQRLGLLVESRRRKTSRGGRRHLVHVRARFGIRFPM >cds.KYUSt_chr5.13457 pep primary_assembly:MPB_Lper_Kyuss_1697:5:87536176:87540506:1 gene:KYUSg_chr5.13457 transcript:KYUSt_chr5.13457 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGALMSQDPGQTGVGGAGSFSSLRAYGRALSQTPRRLARRACAATAPEEEMSRVRARSGASMARSLRWPDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVIVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVFSNAAVARSFTAYMGTAVGVDAPSKWRIAVPGLPKGFNEVDLVAVGVILLVSVCICYSTKESSIVNMVLTAVHVAFILFIIVMGFWRGDVRNLTHPADPAHPGGFFPNGVGGVFSGAAMVYLSYIGYDAVSTMAEEVERPARDIPIGVSGSVVIVTVLYCLMAASMSMLLPYDAIDTEAPFSGAFRGSEGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLAKVHPKTATPVNASAFLGLFTAALALFTELDILLNLVCIGTLFVFYMVSNAVVYRRYVVGSHTTTVATSSDERPRCGAWPTLAFLLAFSLIALSFTLVWKLAPQEGRARVGLLVACGVAAVATVAAFQALVPQAHTPQLWGVPGMPWVPAASVFLNVFLLGSLDRPSYVRFGFFSAAAVLVYALYSVHASYDAEESAALEGAKVQDEDCKV >cds.KYUSt_chr2.10475 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66450158:66456401:1 gene:KYUSg_chr2.10475 transcript:KYUSt_chr2.10475 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWKVGEFEGKFKDEFAQSNNNVQEDGAGPLNISNKKLKHEITSENNPAANYSDLQKCNPEHTHSTSVGINSTDDHVRDCKTESSAFPLSTDDAVSETRYQTENWNNCQFALNSGSAVLDNDSAPQSDLNYGDNDLNFIDWPSIDNFEDVDTLFRRCDSTYGEQQLENTDGLSWIPSSDTIYSSDVAMQTGFDSSYSDYGMLDDLPAFHCAQDKSLPTTDEQFNGNYPFNEQKNVNVYGEQAYQGNAMELLSTDQISNGDGNFDTIGERYSSENSMQQFEDRKFSISSGSQLSSSQNLLKQKLHSDSTSPSNITSECYSDRNCQFSPSGSFAQRNLTVQQSGQPINDKEQLGQQTLSRRASYPCENYEVGKKGLGKRSMGDRQDTVGTSMVVDGSFVSSLSSDHSVEESSFRQLQGAVKQRRRSGGGGAASCNKVSLASTHLGGNVKRLVGVVSSSSFWSGCGDLRIVKELQRQFILLRLRNGCGLLDSFDDFPSATNNIKPTHGGAAAAAHCRHGLEVEDERLLKDLVVIFFFLRCFVLFVVSFNASVLFAKRKA >cds.KYUSt_chr6.9551 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58940523:58942257:-1 gene:KYUSg_chr6.9551 transcript:KYUSt_chr6.9551 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPRTSKRRKNAKSGDYIEGSQGNVESQATTVATPKGTISKRPKKRKLEELIDDKLEELSDDVVITSVNVVQKPKCSYRNLLTDSTILVKVKEEKLEENIAARKVTNPAIDRTSAEIACTLEKKLKTVASTAKKRKGASQKDTA >cds.KYUSt_chr7.17403 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107763643:107766324:-1 gene:KYUSg_chr7.17403 transcript:KYUSt_chr7.17403 gene_biotype:protein_coding transcript_biotype:protein_coding MATVINITADECECESFNSLVKAKMTYCFLRLGGGAGIAAALESHPERGICGDGADVRRRKEAYGENTYPKPKPKSFFSHVRDALSDVLLIALLVCAVVALGFGIKEHRLKDVLYDSLSIFITVFFISGVAAAISHAKAKLDEKLVRESADIGVTVVRASRRQEVSVFDVVVGDVVILKTGEAVPADGVFLKGHGLQVDESTITFDLSTVCIDAEINPFLASGVTVVAGHGSMVVTAVGTNTTWGELINTEKNADEPVPLRERLDVLTSTVGKIGVAVTVVTFTVRHFTGGTGKLALLDKGIPLPLAVSLMITFYMMQMAKDNLHSMSAFEGMASVTAICADKTGMLTLNQMVVTDFWVGTDQPRAATSIAGSVINLLRQGAGLNTTGSVYWPDNALPPEMMGSPTEKALLSWAVAYLDMDAATFKTTGRVVYVEAFDHAEIKDRTTGAVIAHWKGTAEVVLPKCSMYVDMEGKTHEIGVQQIKKLDKIIDDMAARGLQCIAFAYKQIADEKPTLLGLVGLKDPFRLDAKATIEACANAGVAVKMLTGDGFLAARAVATECGVISSNDPDNVLIEGRMLHTMPWAKQLEIVDKVRVIFGTRPVDKRLLVKLLKHKGQVVAVTASGSGTNDALAHMDADVVLSMSVKGTDVTKDTIILDDKLHTVVTAIRRGRCAYNYLQRFIQFHLTVNVVAILVNFVSTITTGHTPLTTALIMWVNLVMGTMSALAVAADKPAEALMGRPPIDRTAPLISRTMRLNLAAQAAFQTAVVLALQYRGRDIFGTDDKANGIMIFNMFVLFQLFNEFNVRGIEKRNVFAGVLEKKGMMFLVLVALTLVLQALTVEVLTRFAGTKRLGLGLWGVCLVIAAVSWPIGWTAKLIAGACSRATPTRTDGP >cds.KYUSt_chr1.33458 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203403529:203407331:1 gene:KYUSg_chr1.33458 transcript:KYUSt_chr1.33458 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQETCPSVKNILLLDSEGKRVAVKYYTDDWPQLSAKLAFEKSVFVKTQKASSGAEAEIVMFDGHIVVYKFIQDLHFYVTGGDEENELILASILQGFTDAVDHILRNNVDKRTALENLDLILLCLDEIIDGGIVLETEGSVIAEKVAAHGADGATSIAEQVDSSPGPDNSKRALCQITSHVM >cds.KYUSt_chr6.22549 pep primary_assembly:MPB_Lper_Kyuss_1697:6:142508496:142509656:-1 gene:KYUSg_chr6.22549 transcript:KYUSt_chr6.22549 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCATGLLPNGNFERGPRASELRGTQVVGTSSIPSWRTSGFVEYIPSGRKQGGMVLVVPEGAYAVRLGNEAFIAQRLRGAVPGARYSLTFSAARTCAQAEVLNVSASGQSGVLPMQTMYSSNGWDSYAWAWVASADEVEVVIHNPGVTEDPACGPLIDSVAIKTLTSPRRTNSKYARALCPCHRHGNFLRRVTLTPVACALLAENLVKNGDFEEGPYIIPGTTKWGVLIPSRMVDEHSPLPGWMVESLKAVKYIDSDHFAVPRGRRAVELLAGRESAIAQVIRTMPGKQYALSFSVGDASNACHGSLMVEAYAGRESAKVAYDSAGKGGAAKRAVLPFRAASSRTRVLFFSSFYSTRSDDMSSLCGPVIDDVAVVSVRARVPKRA >cds.KYUSt_chr3.48167 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301430447:301432611:-1 gene:KYUSg_chr3.48167 transcript:KYUSt_chr3.48167 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMAAPDAAAPAADIAATAHVHELEPAMAATLTPIRAILAAVRAYDGERVRVGGWVRTGRVQCGGTVAFLAVNDGSCHATLQLVVDAAQVPHPPLARLAATGTSVLVSGVLRIPTKRSKERIELGVDAVLHAGLVDDAAAYPLPKSRLRLDYLRDFLHLRPRTETMAAVARMRSQLTFATHSFFQENGFLCVHTPIVTTNDCEGAGEMFQVTTLFSQAQKADKELLKLKLSEISRNNDDDDDGSVGFDNDFFRRQAFLTVSGQLHAEPYACALSRVYTFGPTFRAENSHTSRHLAEFWMVEPEMAFANLQDIMNYAESYVKHLCQWLLTHCLEDMKFMVETHDKTAIQRLERVSTTPFERISYTQAIEMLVESEGNKKFQTKVEWGIDLASEHERYLTEVIFEKPVIVYNYPTGIKAFYMRLNDDQKTVAAMDVLVPKVGELIGGSQREERLDILKQRILDAGLQLESYEFYLDLRRYGTVEHSGFGLGFERMLLFATGLENIRDVIPFPRCPGKADL >cds.KYUSt_chr5.33666 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213716484:213719290:-1 gene:KYUSg_chr5.33666 transcript:KYUSt_chr5.33666 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSSPAQQNPFQTPAPSNPFQTPSSANPFQTPTSANPFQTPVSANPFQTPAQAQTPSPSPSPFQFNFQQPQQQQQQQQQQQLAASTAQPQQQQQLLTLYTTEGKPAGYSTKWEELHPESQKALLQIEDKIREYKDESERLDQCSRLHDSSISNVNFELDASRIAQELAGTATVIEREKASVQELMTVVNEMMWNTEFAIRSYMMLRPRFIKSASGSSPNQPVALAPTSDFYSGIPKRPSHFMVQTVNKFEKYLDECCKWINELEQLVQIETSKRSSSSVESLPKVMSNVHDYFIYVASKVENLHQYVVTMKTEYLHGQRRLAHNTNFSINDKPTTAIFATSYSNLLECRCSF >cds.KYUSt_chr5.34628 pep primary_assembly:MPB_Lper_Kyuss_1697:5:219531223:219532490:1 gene:KYUSg_chr5.34628 transcript:KYUSt_chr5.34628 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFPPDKQLQHELLLPPPHPTRDKQFTARVGAAEASRRRQQVGRKETVKDALSLWGRKVGEATRKAEDLSRNTWQHLRTAPSITEAAVGRIAQGTKVLAEGGHDRIFRQAFSAPPDEQLRKSYACYLSTSAGPVMGVMYLSTARVAFCSDTPLSYQPAAGDRAEWSYYKVAIPLHRLRAATPSASNLKPAEKFIQLVSVENHEFWLMGFVNYNSAVMHLQEALSGFHNLQA >cds.KYUSt_chr6.2685 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15697263:15698788:1 gene:KYUSg_chr6.2685 transcript:KYUSt_chr6.2685 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTAAVAVGWGLQAVGWIASPIMSEIFKKGSSFLDFDASEKLKELEPKVLLLQRVIEAVDNSPDRPRLEQLFKDLKTAFYEAEDILDDVEYHRLKNQIQADGDVPIRKRDFMKKLCCGIPSSSSKDQEIGMSRCQLKNSFEKIEKVINDACEILERLNLPPVTDYNWRQLVAANSRSAVTTATPPLEVIGRDEDRDKIIAMLHDMESDGHESTNIVVCYSVIGIHGIAGSGKSTLAQYICDREKNDGHFDLIIWIHVSQQFDLHAIFTEVLEGATGKQFSEFKNRNTLRETVVKELRGKRILLVLDDVWYNIRDAGHQGELEQVLSLLKVAKTGSKILVTSRSKDALVALGAVGERCIPISDLNDDVFLQMFMHYALRASRCSGIWA >cds.KYUSt_chr3.6516 pep primary_assembly:MPB_Lper_Kyuss_1697:3:37460786:37461736:1 gene:KYUSg_chr3.6516 transcript:KYUSt_chr3.6516 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRAARNGDASSGEANKERKGLWSPEEDERLYTRITRHGVSTWSSVAQLAGLRRSGKSCRLRWMNYLRPDLKKEPISKREEETIISLQKSLGNRWSVIAARMPGRTDNEIKNYWNSRIRKRQQKTSAGGDDGGSCVKRGDLPAADAKSFELAPAEEKKPFISGGTTAVAPPVPARFPLFACQLLGGGGGDAIAAAGTNQSTTTHENGASSESEVSVGGKDAAEDQYYYSAGDGADMDMVHLLAFDDLLEYQAGDLLMDTWDQSEFYCTNSGSSAD >cds.KYUSt_chr6.12559 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78486681:78487676:1 gene:KYUSg_chr6.12559 transcript:KYUSt_chr6.12559 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDISRKPIDRTYKSCRSRALVLLIVVATNTASISILLFSDAGLSAIGNRFSGHNRCIPFKNPGNLSLRDLNVTEYALAASHAELVHLHGRLAIANALVETLLGDKANASNMAAAGDEEKQVAADSLWQRELTGELKLAVGPHKLPLGSTPNMRTEELFPTLGQACSRFPDELQRYMNYKPGGECPSDELFAQRLMLKGCEPLPRRRCRPRSPEGYVEPTPLPASLWAIPPDTSILWDAYTCKNYSCLVNRGKTTGTHHYDCKDCFNLINSREKRRWTRDDGALSYSIDAVLATKPNGTVRIGLDIGGGSGTFAARIECRNVESPWSPRP >cds.KYUSt_chr4.49195 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304651668:304654956:-1 gene:KYUSg_chr4.49195 transcript:KYUSt_chr4.49195 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSLVPAIDYFARREFLAAGLRPHSVTLPYPDDGGDGKSSSTCTVHYWASPGEPKLPPLLLIHGFGPRATWQWRCQVGPLSRQFHIIVPDLLGFGGSSWDSPTSPPPSEATQAAALSALLDSVEGLKGKHVAVAGTSYGGFVAYWLARAAGAGRVGPVVIASSDVLKTAADDRGFLKRAGEGWSGVHELLLPSQPAAMRRLMQMAVYRPPPPMMTPDFVLRDFIQKLFTDKREQLIHLFKGITVGTDKFQVTPLSQEVLIVWGEHDQLFPIEKAFAIQRALDGKARVEIIKKTGHAPQLEDPASFNKIVLDFLLASDKPADPSINGSSL >cds.KYUSt_chr3.32109 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201800548:201807454:-1 gene:KYUSg_chr3.32109 transcript:KYUSt_chr3.32109 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKLLAVLALLATASLGAVLLSSTRGAGVPWGGATSSSILTTLPFSPMDVLPLLPRRVSMAALRALRGASDIFPVFVGAATAVPAADAAAGSGVVGWKGACFYENEAWLEFNNDSGTAYGGGTVHIKTSQAHSWTCMDLYVFATPYRVTWDYYFLGREHTLEITEWESKAEYEYVKHNGVSIFLMPSGTIGTLRALWDVFPLFTNTGWGENSNLAFLKKHMGANFEERPQPWVSELNVDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVTTDDSNPQIALLPLRPDLRAKFNETAAWNYAKQMNGKPYGYHNLIFSWIDTISDNYPPPLDAHVVASVMTVWNKLQPDYAANMWTEALNKRLGTEGLDLPEIIVEAEKRGITFDKLLTVPEKDDWVYNDGQSASCVAYVLMMYKEAGLFDPLSSSIEVTEFTIKDAYVLNFFEANTTRLPAWCNKDDTVKLPFCQIKGRYRMELPGYNTMEPYAHMNERCASLPPDYLRTKDC >cds.KYUSt_chr3.32877 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206503518:206507244:1 gene:KYUSg_chr3.32877 transcript:KYUSt_chr3.32877 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDERRRCHIVAVPFPGRGHVNAMMNLCRLLAARGAEVTFVVTEEWLGLILSSSAAAAPLPAGIRLRAIPNVIPSEHGRAADHTGFLDAVATEMEAPFERLLDRLEEEEGPPVAALVADSYVPWVVGVGNLRGVPVCSLFPMSASFFYAYHHFDCIQACLADERAPAAGATAEKSEQRLDQCVPDLASSSITLSDLKPLIHNERTVKHVLTVVSSIRNAQCLLFTTMYELEASIIDSLRSALPCPVLPIGPCVPYMTLEDQHSKSNGELTSRGDCFTWLDSQPVNSVLYVSLGSFLSVSASQLDEIALGLAASKVRFLWILREQPAGVRELVGDTNRGMTLAWCDQLKVLCHPSIGGFLTHCGMNSTLEAVFAGVPMLTLPLFFDQPIDSRLIVEEWKTGLELRDWTDKDRLIDSEEIAKAIKKLMASDEADTKAIRRRALEWKEDSVRAVQKGGSSYNNLSSLMEMVCSSQCMELDQQCSESSA >cds.KYUSt_chr2.30956 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190794593:190801075:-1 gene:KYUSg_chr2.30956 transcript:KYUSt_chr2.30956 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNLFGDQSEDDEEEEPLEIVDEDDQQPPQQQQRHHHDLDQEDDDDEEDDGRSHAHAHDPYHSEEGEGEAEHGGEGEAEGEGESEGQIGMEEESEGEAHPADLDQGESDVEKVQSSPERELSDGVMQADARGMESEDEGYERQAVASRRRPAVASESEGSGDNYYIDQAHQDEEAPQRKPRQGKPNFCLSPMEEEGEDEVVRDVFGDSDEDEPAPYRVPDEIDEDLRRSPMEDEEQYEKDLQPEDVVGDDNMRYESDDNLELKTKEKPVGPPLNLVVPLQQPPAQPDKMNVIKVSNIMGIDPRPFDPKTYEEEDVYVTDESGTKKKIRLEDNIVRWRNVRNADGTTSVESNARFVKWKDGTMQLLIGNEVLDISVHEANHDQSHLFLRSGKGVLQSQGRLLQKLRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWFDAKDPERVKHEKEKAEGQNIRAHSLLQRKREKVSRKYTQPSRRRQLSPGFLEDALDEDEENDNHYSSRRMASRGRFEDDLEAEAQAERRIINAKKSNLSRGAPRKPSFPPSRPPRRQEYSESEREEESEYETEGEDIEHSPTAGREDMLDEEDEYEEDVEEEAAMSDEEIQGSGPVISHVIGIRLVEDCW >cds.KYUSt_contig_319.842 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:5430206:5430916:1 gene:KYUSg_contig_319.842 transcript:KYUSt_contig_319.842 gene_biotype:protein_coding transcript_biotype:protein_coding MKRANPGNRLLHRSTILAPSQWLPHLHIDSHFVQPVIRLVRENPFNRKLVPKVPQSPKKRRTSDLEHASCLAHLVLQKAEDIRKTRWVPRVAEQFPNCAPHEFSQRAGEENVVIILRLPTQGARARRGTPTPPNVIIRWEALPGKLPKEDPHLHGDPNPPQVAEIFARGALAKLKIRPVNAFPHAQLSTKGDVPTLFPENGLH >cds.KYUSt_chr5.1980 pep primary_assembly:MPB_Lper_Kyuss_1697:5:13530771:13537830:-1 gene:KYUSg_chr5.1980 transcript:KYUSt_chr5.1980 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLAAFAVFFSLQHEGDFSFREAWYHLSDDGYPIKHDADRLPPPLVADLNGDGRPEVLLPTHDATIQVLQPPPAHHTATTLDDFREARVMAEISLLPANVRVASGRRPVAMAVGTVDRSYQAAHVHKQVLVVVTSGWVVMCFDHNLKKLWEANLQDDFPHAARHREVAISVTNYTLKHGDAGLVIVGGRMEMQHHSADLFDDFMNSEHSREEHRRSASEKQASEGTNVDVRHFALYAFSGRTGSLRWSRKNENIQSQPSDASVMIPQHNYKLDVHALNSRHPGEYECREFRESVLGAMPHHWDRREDTSLHLAQFKKHKRKQLKKTQGKNVLNNVHKPSEHNPPGKDDTTRLTKAIGKAADLAGSAKGKKSLNRLYIPTITNHTQVWWVPNVVVAHEKEGIEAIHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGANGAEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNHYNLFHHGDFSRSFGRTFDPSGLEVATPILLQRDDGHKHRRGSHGDIIFLTSRGEVTSYSPGLLGHDAMWRWQLSTGATWSNLPSPSGMMENIVVPTLKAFSLWAYDPKQVIIAGGDQEAVVISPSGSLLASIELPAPPTHALILEDFSGDGLTDVILVTSGGVYGFVQTRQPGALFFSTLVGCLIVVIGVIFVSLHLNSPNGGKPRSSSGYR >cds.KYUSt_chr1.33429 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203260900:203262356:-1 gene:KYUSg_chr1.33429 transcript:KYUSt_chr1.33429 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPFPAQGHVMPLMEFSHRLLDHGVEVDFVNTDINHDRVLKAMAAKTGAVPDGIHMVSFPDGMGPDGDRTDIPMLANGLPAAMLGPLEEMIRSKKIKWVIADVSMNWVLELADTAGVRVALFSTFSAAVLALRLHVPKLIEDGILDECGTVTRNETIQLSPTMPPVQATENPWARLGNSPDKMRVFIKNVLKSNPAIRLATTVICNSFEEIESGALDLLPNALPVGPLEAPPASSASAAGHFWPEDATCLAWLDAQTSGSVIYVAFGSFTVFDAARFEELADGLELTGRPFLWAVRPNFTDGVVGEGWLDAFKRRVEGKGLVVGWAPQQRVLSHPSIACFLSHCGWNSTMEGLRHGVPFLCWPYFADQFCNQSYVCNVWGTGVKLLADQRGVVTKEEINTKVAQLLCDDKIKASVAMWKDAACASIAEGGSSHVNLLKLVNLLRAQ >cds.KYUSt_chr4.7327 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43543234:43547382:1 gene:KYUSg_chr4.7327 transcript:KYUSt_chr4.7327 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDVGGGGDASPQHAGDGAVEAERGGAGALAPRDIASSPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLQDQSGRGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVTSTMDYSRPHSLEVPDSDIGYHFGTLLDSQEGADVIFSVAGQKFHAHKLVLAARSSFFRSEFFDPESDEEKNESDTSDEIREIVIDDMEPKVFEAVLHFMYRDNLVSDEELSASSSDCSIFDTLAGKLLAAADKYELPRLRVLCESYLCKQISVNSVATTLALADQYHAMELKSVCLKFAAENLSAVIRTEGFSYLKDNCPSLQSEILRTVAGCEEECSSGGKSQSVWGQISDGGDTSGRRVRPRV >cds.KYUSt_chr4.48456 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300043841:300045980:-1 gene:KYUSg_chr4.48456 transcript:KYUSt_chr4.48456 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMSVDSSGSGGLDAQIEQLMQCRPLPEQEVKALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVSLLVALKVRHPHRITILRGNHESRQITQVYGFYDECLRK >cds.KYUSt_chr2.22000 pep primary_assembly:MPB_Lper_Kyuss_1697:2:136177071:136178271:-1 gene:KYUSg_chr2.22000 transcript:KYUSt_chr2.22000 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGIAVRAAIFGILWVLLGKRVWFFPNINAEETTFRELLRFWPDKDEGERPKWTSRLFYATVTVLVILLLRHHAPDEAARARYQKKVSNIIDDVLEWSPKLALSGMMDKHAEDNATETGSYSHAPTTTEEKTIAAAEGVDADETQDSGVDADETQDRADHADDMRTRSSEA >cds.KYUSt_chr5.34088 pep primary_assembly:MPB_Lper_Kyuss_1697:5:216411833:216412771:1 gene:KYUSg_chr5.34088 transcript:KYUSt_chr5.34088 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHLVMALLRSGGWRVRITDIGADAALEPVENEGLLGAALRDGRAVYVCADDREFQSKRDVGGSVARRRPGQLAARLAGPDTGAASIV >cds.KYUSt_chr2.48140 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300992443:300997449:1 gene:KYUSg_chr2.48140 transcript:KYUSt_chr2.48140 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSAAGSMRKAPSMEWRWVSAGEEEEEGEEEARPGGPAPVGRGGSFESEDENDDGDDDDDEQQEEARQKLIRTGPSVDWFDVEGNEVSVAQPLEDYEVSLCFCPLVPFLTYSMLVAMFRVSRHVHDGCCLRLTFCGGSFAEFDLGRTVFLALQTLAVVFGDIGISPLYTFDVMFNKYPILGEEDVLGALSLVLYTLILMPLVKYVLVVLWANDDGEGGIFAMYSLICRNAKVSLIPSQVQAQAEKRMSSFRLKLPTAELERSIKVKEKLESSLLMKKLLLGLVLFGTAMFISNGVITPAMSVLSAVSGLKVGIPNASQDVVVMISIALLVILYSLQRYATSKIGFVVGPCLLIWFCCLGGIGICNLSRYGPAAFKAFNPLYAIYYFGKNPFQAWLSLGGCLLCATGSEAIFANLCYFPVRFVQSMFVLLVLPCLVLAYLGQAAFLIASQKSSEHIFFSSIPSGAFWPVFLLANLAALIASRTMTIAIFQCLKQSISLGCFPRLKIVHTSRKFMAKIYIPVVNWLLLASCLGFIVLFRSTYDVGNAYAIAELGVMIMATLYVTIIMLLIWETHIIKVISFLTTFLFLELIFLSSALSSVGDGGWALLVYASGLLMIMFIWNYGSKLKYDSEVRQKLSKDLMRKLGPNLGTMRAPGLGLVYSEIVKGVPATFGHFLTALPAIHSIIVFVCIRNVPVPVVPQSERFLFQRVCSRSYHMFRCIARYGYKDKKQEHHNTFERLLIEGLEKFIQREAVELSLQSEDDIDSDDEPTTPTRIITAANGSLYSLDVPLMVDFAPTNEAIPESPSCSTPQDPVLGYTENLELELAFIKQAKQTGAVYLIDNPIVKARKDSWFFKKLTINYFFAFLRNNCRRAVVSMSIPHSNLLQVRLTSYV >cds.KYUSt_chr2.42227 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262985020:262991271:-1 gene:KYUSg_chr2.42227 transcript:KYUSt_chr2.42227 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAALLHQPPASLSSPTSSRAACCRLRPPPLLQRRTLPSSARARISPRCAYAGAGGSASAGAGDSPATALRRVLETPGAHQAPACYDALSARLVERAGFRACFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAVSIPVVGDADNGYGNCINVKRTVKGFINAGFAGIILEDQVSPKACGHTQGRKVVSREEAILHIKAAVDARKESGSDIVIVARTDSRQALSLDEALWRARAFADAGADVLFIDALASREEMKAFCAISPGVPKMANMLEGGGKTPILSPLELEEIGYKIVAYPLSLIGVSMRAMEDALVAIKGGRIPPPGSLPSFEEIKDTLGFNHYYEEEKRYVATPAQSSYGSGFYDYTSEASSSGDAKSSTEKSEEPIIDILPQLYDLGSTGARGPSSGMWARTLRLKITGRDGVQKIDARIPAGFLEGMTKVIPGLAGANIMERLRNAPIDSDNPQNGQILLDFEDGPSKSNRCPLFLPKRYYRSEFEFPTELVHVDTLRYYRSRYRSRYRLRYRNGVQTLLDPKRYPSGTRAVLP >cds.KYUSt_chr2.6283 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39171855:39172613:-1 gene:KYUSg_chr2.6283 transcript:KYUSt_chr2.6283 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALVNVYLAGTFGMGLYGLFISNASNDLPSGYDRAGSSLFGMLVLNERPKWMKITHLDELKTKVGHVIVMILLVKMFERSKMVKITTGLDLLSYSVCIFLSSASLYILHNLHRPEHEESVMPNL >cds.KYUSt_chr5.22763 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148728229:148728769:1 gene:KYUSg_chr5.22763 transcript:KYUSt_chr5.22763 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLRFLSYVLLGPGNSLRRHNKKRKQFNTVELKVRMDCDGCELKVRNALATMKGAQSVEINRKQQKVTVKGFVEPQRVLRRAQSTGKRAELWPYVPYTNPYMAPPAYDKRAPAGHVRKVDAVMPVTAGQEERLATLFSDDNPNACSVM >cds.KYUSt_chr4.54290 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335610797:335611750:-1 gene:KYUSg_chr4.54290 transcript:KYUSt_chr4.54290 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRTSYPGHGQPPTAPTSPDVPLLGRPLPPLNTTPRTHHSTAALSSNQQIQDKMASSSSVLLVVALFAVFLGSAHGIAKVPPGPNITAEYGDKWLDAKSTWYGKPTGAGPKDNGGACGYKNVDKAPFNGMTGCGNTPIFKDGRGCGSCFEIKCTKPESCSGEAVTVTITDDNEEPIAPYHFDLSGHAFGSMAKKGEEQKLRSAGELELQFRRVKCKYPDDTKPTFHVEKASNPNYLAILVKYVDGDGDVVAVDIKEKGKDKWTELKESWGAVWRIDTPDKLTGPFTVRYTTEGGTKSEFEDVIPEGWKADTSYSAK >cds.KYUSt_chr5.27182 pep primary_assembly:MPB_Lper_Kyuss_1697:5:171976472:171978532:1 gene:KYUSg_chr5.27182 transcript:KYUSt_chr5.27182 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKGKEGKGKEAAVEDTKWAAPSAAGAAPDLSSVWGQTMRFGSTVAAQAKKKRLYETDAQIRRRKKKNQKNRERQERKAAGLKINSPDDVPTFDVSDSDDRSEVEKYTSDLSSESDSSKVRTSLGLLILSPAAFLSCLSRFFLRRRICASVSYERFFFAGAATVEPNRVVWPQAELRSGAAPAADAEACLVGGSARNAYHTKYLGYYMKHDLVSCATLRKGVFAAAAAMMLINLVASLVYYWSYSKAANGGFVKHQNEVSMGMTDYGLDKGGSGP >cds.KYUSt_chr2.4883 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30159154:30166266:1 gene:KYUSg_chr2.4883 transcript:KYUSt_chr2.4883 gene_biotype:protein_coding transcript_biotype:protein_coding MRADVRALLRDEAVYEAAAAEAYPKYNKAHLVSLDLPDRSGDIIITTYGELDRNNYLDPRTAQVATVDHVKQTCTKLRPAADEELPSAYIEEFRNAIDVEVSKYVGEAYPKGVCAVYCTSGKDIEEPGADFGLAVVISAARRSPQNFCNGSWRSVWTLEFSYAFQLVEIKGKIQVGAHYFEEGNVQLDTDVDRKDSTLMQTPEDTALSVTNIIRHQESEYFSSLEESYLNLSDATFKPQGDYIELHQKRHGRRLDYEERKRKREARSVKRNSKDARNLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTSRQKVDDDVQEGAIPPYLLDRDQTQRAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEEEMFKVLRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGPMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >cds.KYUSt_chr2.9925 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62764127:62767495:-1 gene:KYUSg_chr2.9925 transcript:KYUSt_chr2.9925 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSFSSPPSFAGDNSGALTSATILRFPPNFVRQLSTKARRNCSNIGVAQIVAAAWSDRPALPSHCGGGSRARGVSSHAAAASAAASAAAAAEVGAIPNAKLAQPSALALAERAMLGSDASLAVHAGERLGRRIATDAITTPVVNTSAYWFSSSQELIDFKEGRHSSFEYGRYGNPTTEALEKKMSALEKAESTVFVASGMYASVAMLSTLVPAGGHIVTTTDLYRKTRIYMETELPKRGITMTVIRPADMDALQEALDNNNVSLFFTETPTNPFLRCIDIELVSKMCHSKGALLCIDSTFASPINQKALTLGADIVVHSATKYIAGHNDVIGGCISGRDELVSKVRIYHHVVGGVLNPNASYLILRGMKTLHLRVQCQNNTALRMAQFLEEHPKIARVYYPGLPSHPEHHIAKSQMTGFGGVVSFEVNGDFDSTRKFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQRDIYGIKDNLIRFSVGVEDFEDLKNDIGQALDKI >cds.KYUSt_chr6.6439 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38983866:38984642:-1 gene:KYUSg_chr6.6439 transcript:KYUSt_chr6.6439 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLERGAGGFQLPNSEQENSLFLRALISVVSGDTVVPTLHLEPSTPPFATAVAAPTAATTCVRCGADGCLGCESVAAAAATTGSSSEGEECSAASFVKDGGVGKRRARRGSKFRGVRQRPWGKWAAEIRDPHRAVRKWLGTFDTAAEAARAYDVAAFEFRGQRAKLNFPSTAAASSSVSASASASASSSSWAAVQPRPLHLPESHRENSGSNASSPAQVRHVPEQGRAPVGRDQEIWDGLQEIMMLDDGSFWPKAP >cds.KYUSt_chr6.27932 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177156806:177171510:1 gene:KYUSg_chr6.27932 transcript:KYUSt_chr6.27932 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSAVLVAEGSIKSIKLSLSTEAEICTYSINDCPVTHPSQLGNPFLGLPLESGKCESCGASENGKCEGHFGYIELPVPIYHPCHVSELRQLLTLVCLKCRRMKKAKGKQSNGKENVSVTACHYADCRALPALSVKETKTTDGAFRLELKAKKHMTERSWNFLDQYGFHYGGASHNRILLPEEALNILKKIPDETKKKLAARGYIAQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLLKKVLQKIEQIKKSRAGPPNFESHDVESSDLQLSIGQYIQLRGTTKGPQDAKRYAISTDSSHLSTKQWLDKMRTLFISKGSGFSSRSVLTGDPYIGVDVVGLPSEVAKRITFEEQVTDININRLQEVVDKGDCLTYRDGETTYAITVGSKGYTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFKVYIHDDHTVKINPLICSPLAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTNSHNGKINLQLANDSLLALKHMSSRTMLSKESANQLAMLLSLSLPAPAVVKSKPYWTISQILQSALPAELTCEGDRFLVKDSTVVKLDLAKESVQASFSDLVSSINCVKGPGDALKFLNALQPLLMEFLLLDGFSVSLQDFSVPKILLEEAQESIKTQSAVLEQSRSSKGQYVEMRVDNNLKDVKQQISDFVVKSSHLGLLIDPKSDPSVSKVVQQLGFVGLQLYREGKFYSSRLVEDCFSNFVNRHPPVGNEVQHPPEAYGLVQSSYFHGLNPYEELVHAISTRETIVRSSRGLTEPGTLFKSLMAILRDVVVCYDGTVRNICSNSIMQLKYREEDATDFPSDITPGEPVGVLAATAISNPAYKAVLDASQSNNTSWELMKEILQTKVNYKNDTKDRKVILFLNDCSCPKKFCKEKAAIAVQGCLKKVTLEDCATDICIEYQKQTSLDGISEATPAFVGHIHLQKAHLETINISTEDILHKCQEVSVKHGMKKGHLAHLFKKITFSTCDCSFTQKPIDGKVPCLQFSFSEDIPMLSESVEKAVNVLVDSICGVLLDNIIKGDPRIQEAKITWVGSDATSWVKHTKKASKGEPAVEIVVEKGEALQNGDAWRIAMDACIPVMNLIDTRRSIPYGIQQVRKLLGIACSFDQVVQRLSTTMKTVAKGILKDHLVLVANSMTCTGNLNGFNNAGYKATFRSLKVQVPFTESTLFTPMKCFEKAAEKCHSDSLGCVVSSCSWGKHAALGTGSSFEILWNENQLKSNKEYGDGLYDFLALVRTDQEKARYTFLDDVDYLIEDNALSPELDGMPTFDDCLEEQPTDQGNSSWNVPATVENESADWGGWGADKAKDKKTMPEEPAGLNTWADQSAKKDTDGGGRNWGKQPADQDSSWNVPAAVENESADWGGAEKAKAKRTMPEEPAGLNTWADQSAKNDTDGGGRNWGKQPADQDSSWNVPAAVENESADWGGWGSEKGKDKKSVPEEPAENNTRADQSSKKDSDGGGGNWGKQPNMPASPSVSAWGKKNSDGGDGTWEKQASSCKKNPHTDAHNDSWGSVAANTRTSTAEDVPWGSTQTSSAEHMDAQNDSWENVAAKAASLSDNAWNAAPVSQGNENSEAKEPDAWDGWGSAQAKDSSTDDLNKSDASNNSKGWKSDGWAAKESRRDQRDNLGRPPMRPVERPPRPRFELPADAKTILHEIEPIVLSVRKIFRESCDGVNLSLEDEKFIKEKILEHHPEKDKKLSSETDHIVVNKHHTFQESRCFYVVSSDGTQTDFSYIKCLDNYVRKSYAEEPAELVSQMYFQRRNRDRAPAEASQPTLAETSQATPQEAQLETPAPLAETASQEALASPSATQQETPAATPQETPAATETAPAATPQETLAATVNKWAEKPDSDSAWGAGASDDKWA >cds.KYUSt_chr2.44491 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276857622:276858854:-1 gene:KYUSg_chr2.44491 transcript:KYUSt_chr2.44491 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFSHVPPGFRFHPTDEELVDYYLRKKVALKRIDLDIIKDVDLYKIEPWDLQEQCKIGTEDQNDWFFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKHCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLESNENGAPHDEGWVVCKVFKKRVAAVQRMATADSPFWFSNDHMAFMAPHVDQSAAYHHGHQQSYHHPCKVELEYHHLLPQEPMSFQQLPQLESPRLTDLIGAVAANLQHEGQAPRQLQIEPVYASSAEWRDLDKFMASQLSHGASTPKESSSYSIPVQEFQVEGKHEEALDYVSTSGTCEGENDLWK >cds.KYUSt_chr2.26539 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162585944:162588189:1 gene:KYUSg_chr2.26539 transcript:KYUSt_chr2.26539 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLPELPQDILMDIFTQLEIPDLVRASSVCPSWCSAYTSLCNLGQYKQSQTPCLLYTCGTDSESDARLYNLAEKRSYKLTLPGPPIRSRYLIGSSNGWLVTVDDRSEMHLLNPITVEQIALPSVITLELVAPILDETGAVYKYNFWNRATRPPRTYALDELRMYLHRKAFVFYDTSAKRYIVVLIHNPEGQLSFAWLGDDKWTLLPTPKGIFHFHDCVYMDDLLYAVASRGEIFAFNLRDPIVTKKLIVDRAKNYICENIYIVQAPCGDLLQVWRLEDDTVYDEDVDDATHETHTGKIDIFKVDTTAEKLVKINSLDDHVLVLGHNQSLCLSAEEYPQLKANRVYFTDDHELYIFGWKNNRRDIGVFDLENNSREELVSPQLWSNWPPPIWVTAVRRPKDVADQSNFLPGLRKTWDLVTGYILLDLIFDNPD >cds.KYUSt_chr7.16453 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101949250:101949864:1 gene:KYUSg_chr7.16453 transcript:KYUSt_chr7.16453 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETAAAAAKRAEFEAGTAADPGNAKLWARFVTFELKDGGGGILAGRAVCERALAALSDSAIENFVYWSWSLAERRAGDVDGQRRVLERWVRRLPRGGGGFGKQGWNEYLAFEVRDGGVERVRAVGEGLLAAFPMDPTAYLLYVRALAALSLHVEAFAVAERGVKELSGWCRGHDERIWRFMAKYIRSLETKQSTAWDDSNFW >cds.KYUSt_chr2.12538 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79557731:79561036:-1 gene:KYUSg_chr2.12538 transcript:KYUSt_chr2.12538 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQALPRRDLQALCKRNGIRANMTNAAMADALAALPAVDGIQDYAKPPVAAQPTPVPKAAVPAVAAEEEEGEMQGISLPGGRGVALTAPEVIVVGDGEEEDEDLMPALGPGRRRASRRPRVEPVAVPATRRRAAASTAETAADAVPGRTTRSRSQRVAVPAPEPRWTPRRTVARKTNTEKEEEEEKGAQGNASDDCAVDSSEQADVQCEASEEIHPASEDAKPVPVISGEAAEQVDFTSGVAEANKVPTSGSAAKATEEKEPVAAPARTTRKRAAANMAEVATEAVPVRTTRARSQRVVVVSAPEEETPKARRMSRRAMARKTSTEQEEEEEGMQGDVSDDCVVDSSVQERHDDVHESRDSPVFQNDTASSEEIHHASEDTEMAAVKDVPLAMLSDEAATSGASEEKEVPTSGEKLESAAKAIEEEPVATPATTTRKRAAASMAKIATEAVPARTTRARSQRVVVSAPEEEAPRGRRMSRRAVARNTSAEQEEEEKGLQGDVADDCVADFTSGASEEKEVPTSGEKLQSAAKAIEEKGPVATPATTTRKRAAEAVPARTTRARSQRVVVSAPEEAPKARRMSRRAVARKTSTEQEEEEKETQGDISHDCVVVQELQADVQQSQDSPNFRNDTISSEEIHLSCEGTVMVPANEVPLAMLKSGDAAEEVDFTSGANKENEVPTRGEMLQSAANAIEEKEDMAGVEEEAVVATDEMSQRSATVEDRVIEVVTIDNLSQAAVTDDEGAVKESGFSCDITGLSVQEKVVVAANCMPQGLAKLDQSVEQVVTIENLSQATLTDDEGPIKESGFSCNLADVSVEEMSQRSAILDENLSQATVTEDVATIENLSQATVTEDVATIENLSQATVTEDVATIENLSQATVTDDEWVVKENAFASDLPAVFDTARDFSGHIASSLSAGMREIPTKSLSINSITESVAVERVKEVKECEALGSLSLRKLKMKVKEKVSVELEKKDKECKALGSLSLRKLKMELKKKVVVAQKNEVPLFMYSSSGGEKNTSEPMGVEREEEMKVGNESEALACLSQRKLKTKLNEKAAVTQENGVKEGKESKALDGLSLRKLKLKLKKTLNAQKVISESHPSM >cds.KYUSt_chr2.27146 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166440356:166442533:-1 gene:KYUSg_chr2.27146 transcript:KYUSt_chr2.27146 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPQVCRRCCGLLLFPRAAEAALTANRNGSWRRHCVLAAQRLSKSTSALPWESPSRETLLRMIDVGLKDGNLDEALRAFGNYKSLHGLPEPRVLNSVIVSLSYTSSRRWLQRAYDLVLSVYQRNGNLLNTSSLMRLALALSRDQTPVPASATLRVIMQSGKLPDVDMLRMVFLHMVKSQVGSYLAADVLAETCESFLSQISDRRQLKKLDPLKNNVTLFNMVLESCVNFKCMIKAQKIMELMSLVGVVADVNTMAIAARVCEMVGQRDELVTMKKSIDSLLPFFQHYQHFYDSLLSLQFSFNDMDAAAHLLIDLYRQRKSHAFNNNDVQKQGIIQIGSGNLKSGYRIMFDPAKLDKGFVLDTTKQCNLLVPVDGNLLPSEKALAKFIVGCVKAKKLGPLSSFFITLHEEDLKGVSASDVINACIQMGWLHEAHDILDALDSAKVPIEVGTYMSLLRAYEKEHKPEEFNGLLQQIQKIASTMAEFHTSPSFTIKDIANILKDEMPQTISSLLSTLVEETEHYDPGDYLTFQLNNSILFFCKANMMEDAMSTYKRMREQNIRPNLHTFCHILCGYSSLGMYREITILWGEIKRRLECGEISVDRDLLDCLVLDFLKGGYFSRVMEVTSYMSTHNIYCDKWKYRQVFLKLHKNLYGNLNSLHDKTEAQSKRIEDVRAFRSWAGIK >cds.KYUSt_chr3.47460 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297759024:297765514:1 gene:KYUSg_chr3.47460 transcript:KYUSt_chr3.47460 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAWAFFLLALFSFSSYVSRLFICGRNGEGGSFLCARSPEPELPSIASERYRTAYHFQPLKNWMNGPVYYNGIYHEFYQHNPGGTIGTDIVWGHSVSTDLVNWLRLEPAMVRDTPNDIKGCWTGSTTIINGDQPVIIYTGGDSQGRQVQNIALPKNRSDPYLREWIKGGNNPVLLPDGPGMNLIQFRDPTTGWIGPDGLWRIAVGAELYGYGAALLYKSEDFLSWTRVDHPLYTSNASAMWECPDFFPVLPGNNGGLDLSAAIPKGAKHVLKMSLDSSDKYMIGVYDLKLDAFVPDIVLDDRRLWLRIDYGSFYASKSFFDSKKGRRIIWGWSNETDSPADDVVKGWAGIHAIPRTIWLDSDGKQLLQWPIDEIESLRRNEINHQELELKKGDLFEIKGIDTLQADVEVDFELTSIDSADPFDPSWLLDVEKHCRESGASVQGGIGPFGLVVLASDNMEEHTVVHFRVYKSHQSYMVLMCSDLRRSSLRSELYTPAYGGFFEVDLERESKISLRTLIDRSAVESFGGGGRVCITSRVYPVALADNDTIHMYAFNNGSTTVRVPQLRAWSMMTAQVNLASKQSLLIPQATEHPHTSYLPPRAPSVGMAQAWAFFLLALFSFSSYVSRIFLCSRNGEGSFLCARQPEAPSIVSDRYRTAYHFQPPKNWMNDPNGPMYYNGIYHLFFQHNPNGPQWGDIVWGHSVSTDLVNWIILEPAIEPDTPGDIRGCWSGSATILFGGQPVIMYTGGDVENHQVQNIALPKNRSDLYLREWTKAGNNPVLQPVGPGMNPGEFRDPTTGWIGPDGLWRIAIGAEVNGYSAALLYKSEDFLNWSRVDHPLYSSSASTMWECLDFFAVIPGSNGGLDLSAAIPKGAKHVLKVSEDQCDE >cds.KYUSt_chr5.27205 pep primary_assembly:MPB_Lper_Kyuss_1697:5:172182296:172182805:-1 gene:KYUSg_chr5.27205 transcript:KYUSt_chr5.27205 gene_biotype:protein_coding transcript_biotype:protein_coding MYNETAKHRQTLDTANAHKTQKLDGRHNTNKLKCYENSEYLLILSLYEDDRRASGRRQLTRQGIVSSSPAQFILMDDNLPDLKEEHPRCTEEERMRRMSEWRCKELVHLEAVPVPAAHRANDELVHGSSFIGVVLVSFTNETIVCLHKGQDMEPGTPRIDVELLVVEHK >cds.KYUSt_contig_1158.143 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:889708:890626:1 gene:KYUSg_contig_1158.143 transcript:KYUSt_contig_1158.143 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKPGDWSCRSCQYLNFCKRDACQRCGEAKLGTERADYAAMGGSWEVKPGDWYCGCCGVNNYASRGNCFKCGAAKTDSAAVAQNWGFNAAGQAGWKSGDWICPRVDCNVQNYANRTECFRCNAPKSYYGKLILPIQPNLHATFTHDSDKLVI >cds.KYUSt_chr2.47056 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294389318:294395562:1 gene:KYUSg_chr2.47056 transcript:KYUSt_chr2.47056 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHAPAYTPEAASASATGGAGERQSLPLLQGKMKRDPEGYETELRQLHRHFESSVFLFRQQAALSSSSASSSGGGGETAKELGDLALFLAHVAPFYPNDLADLPDQIGGLLDTNARALPSGLRVHLVQALILLVNRKIVDLEDTMELFMELQVIGDRAVKKLAFSHIVHSIRRMNQKHKDEAKNRKLQNILFTLVQGEEESRAKRAFTILCDLHRRRVWFDDRTANAICNACFHPSSRIMTAAISFLLGYENGEQEDDSDASSSEDEANINPNIILSKEDVYKANHKGTSASKKKKKAKLERVVRSMKRQQRKSTEETGSNYYSPLTYLKDPQGFAEKLFSRLQKCNERFEVRMTMLKVISRTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHEMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRIPLLMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPINPTARPKAFGETTIATDVPGAELLAEDISLEGSDDESDAFDSDDDEVLPCANDIQQSLKVSSNKLETHEDHKGEEEVSGEQDDTEEVDEDDSDDNMDDIEDDSDMDGGTDVSDEDDDEELNDDSENEDSDQAEDSDEEDKSKSSSSKVQKRKLSDYIGQLDAADASLRTLKRLAGAKKAETSMDSTDEAGRIFSDEDFKLIRERKAKQDARQALVQHGLSKGDTRSATFKLPSSDQLSMKRVDPSQLEAYIKRKMSKEERLGMVKAGREDRGKYQARAAIKQKKTGGSSNKQKQHQKKMPLAAKRAKAARSRQEKKTKAKHSGKQFRGRKAWK >cds.KYUSt_chr5.19355 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125570162:125574661:1 gene:KYUSg_chr5.19355 transcript:KYUSt_chr5.19355 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPGDGHFPPPPSPPLPARQRRAALEEALEEEARQRREAQQAADLAAFSALPPQLRRPRRQQRGESERCDTVAAFDASTGQEARRRRYREEMEQRWADERRRQRDERQALFRERRESIERRRRESIELQQQEAPMEEEEAEAEETEVEDDDDDEFDWSVDDGPHPDETADQQRALVESFESEKKLQDDARAREEAHIRRAIELSLQAAQQGTAEDARRERHRLATAERKERRRAQEELRRRGGDDRAGPSNAPPGDLTDSCNAMKLEDRVKEHAILLANRSFCFVRLGQGEDAVSDATKCTRFRPQWPKGYYRQGAAYMLLKDYEKACEAFEDGLKLDRTNVDIKNALSVHASGNKVELCGGVKADDLHAVVSSEQEGNMEKPSEVEEVQGEVEGFHGEVEFFHGEVDGVHGEVEAIDDEVEVTQGQMEELEDEFERVYATIQDLFQKIDRATNVGAGDSRISARARYICNTNLAM >cds.KYUSt_chr2.49417 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309262982:309263626:1 gene:KYUSg_chr2.49417 transcript:KYUSt_chr2.49417 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTTMPAHVPISHDRTDWTAKREDAQPLAFDVAERTARGFAGHWFKAPCVLRFEAPCVLTNTLEYTDKDGKEQCFSAHFLCRPAGQGKSMLVVRFGSTATSPLLKVMPKWYMHQNAGKVFEQDMGFLSSQNEVLMREKVPTKELYLNLRSSDTWVAEYRRWMDRAGHGMPYYFGHSTLKAPALPAVVEQAPGGPWRGSPRRSQPRAAPTTTP >cds.KYUSt_chr2.51016 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319067546:319067902:1 gene:KYUSg_chr2.51016 transcript:KYUSt_chr2.51016 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATGMEAATGGADAAASTSEREAGGAEGMETATSSDGMEAGTGGVDAAAFTSRREAGGAVGVMETAVSTGGGASPPTRLIRSPWRVWRSPSSVLAVFTAPRGPASRRHYYCSGIVT >cds.KYUSt_chr1.6771 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41606359:41610187:-1 gene:KYUSg_chr1.6771 transcript:KYUSt_chr1.6771 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPPRRAATCGCGYLAAFLTLLLVASLQIQYHHLKVDLGRSDFDAATATQRRREGGRRGGGSAGRWSRKTGAEGLPRGIVETSSDMYLRPLWDSAGAATKTSAKSKNDRYKALLAMAVGIEQMQNVDTMARKFLNESYTVMLFHYDGNVDGWRGLEWSDKAIHVLAPNQTKWWFAKRFLHPSVVAIYDFIFLWDEDLGVEKFDPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMTKVHRRVYDNRPSMNCSDDSKGPPCTGWVEGMAPVFSRAAWKCVWHLIQNDLIHGWGLDMKLGYCAQIRRKSSAELEKFKERWDKAVREDDEWLDPFEA >cds.KYUSt_chr7.1432 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7868395:7874675:-1 gene:KYUSg_chr7.1432 transcript:KYUSt_chr7.1432 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPPGRFPISDAAVADLAASMRDDLLNAAYNGDLRRLKRLVRVIDDGVGRPRDVVEAATSDAGLGALLVAVRNGHLEAMLNNEIVPMTRRTTLFYAAHSEHAAVVKYLLDHGADPDKADEGGISPLHCAAGTGNCKILELLLAKGAHVDPIADDIGTPLLLATKLRLVGAMKTLLDHNADAVNVSVECVKLLVEAGAVITSDCLTAVSLESTMGDGRSTECLNFLLEAFAKCHSSNDLKSFGSIAVERKNYFSASAFYTKVCDAAESDVPVLQELLEHLCNFIDINNLEHQRLGRHRELKDGGALVTGQTRVGELAVQADAVGRCGVEKVPLHAMDPLLLPSCTERDRYLERHPFGHEMAIDLDPSDATLFSNRSLCLLRQGNGHTALLDAIESRELRPDWPKACYRHGAALMSLEDYGGACEALLDGLKLDPKNAEMERALRYPSYPFCVGNVLF >cds.KYUSt_chr3.6440 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36959206:36962161:1 gene:KYUSg_chr3.6440 transcript:KYUSt_chr3.6440 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSKATADMVGDVAVDGLKPSSRFTLKGKDLAVDGRPTLLDVPANIRLTPASTLVPTADVAGGCFLGFDAPAPDSRHVVPIGKLVDTRFMSIFRFKVWWTTHWVGTAGRDVENETQMMVLDRTADRPYVLLLPIVDGAFRASLQSAGEDDDNVALCLESGSSVVQGSVFRSAVYLHAGDDPFDLVRHAARVVRAHLGTFRLLEEKTPPPIVDKFGWCTWDAFYLKVHPEGVWEGVRLLAEGGCPPGLVLIDDGWQSICHDEDDPLDGAEGMNRTAAGEQMPCRLIKFQENHKFRDYKGGLGLGGFVREMKAAFPTVEQVYVWHALCGYWGGLRPGAPGLPPAKVVAPKLSPGLKRTMEDLAVDKIVNNGVGLVDPERAAELYEGLHAHLQASGIDGVKVDVIHLLEMLSEEYGGRVELAKAYFRGLTESVRRHFGGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGSFIHPDWDMFQSTHACAAFHAASRAVSGGPVYVSDSVGSHDFALLRRLALPDGTVLRCEHHALPTRDCLFLDPLHDGQTMLKIWNLNKFSGVLGAFNCQGGGWSPEARRNKCASQCSVPVTARAGPADIEWKQGKTHPVPDVENATQFAVYFVESKKLELLLPDETVEITLQPFNYELLVVAPVSVLRLASGGGAGFAPIGLANMLNSGGAVQSLESSPNGGEVTVEVAVKGAGEMVAYSSARPRLCKVDGEDAEFVYEDGVVTVAVPWTGSSSKLARVEYIY >cds.KYUSt_chr4.46679 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288572280:288576439:1 gene:KYUSg_chr4.46679 transcript:KYUSt_chr4.46679 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALSKTLGRRLRGSGHRLLPSRPCTSHAVQPPPPPAAAPPSPGLRSYALPKCLQSICGIKPPPRSNQIRFQRAGAGTEASAWSKLFLFAPGAITFGLGTWQLFRRQEKKEMLDYRTRRLEMEPVPWSETVSTAALRDPAELEFRKVVCEGDFDEEKSVFIGPRSRSISGVTENGYYVITPLKPRPTEPGSLQLPILVNRGWVPRGWREKIIRDHQDFGETLDVKEADEKTDEKGTWWKFWSKKPEVSPEIEKPVKPPVRVIGVIRGSENPSIFVPPNEPMNGQWFYVDIPMIARACGLPENTVYIEDVNEDISATNPYPLPKDVNGLIHHSVMPDDHLKYTFTWYTLSAAVTYMASKRIKPKKMRL >cds.KYUSt_chr4.18724 pep primary_assembly:MPB_Lper_Kyuss_1697:4:117471967:117474267:-1 gene:KYUSg_chr4.18724 transcript:KYUSt_chr4.18724 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLLLLLVLALAAAVAAADTETEPRATYIVHMAKSAMPAEYADHGEWYGASLRSVSTAKMLYSYDTVAHGFSARLTAQEASDMAGMDGVLAVNPETRYELHTTRTPEFLGLAGSENLFPQSGTAGDVVVGVLDTGVWPESKSYDDAGLAEVPSSWKGTCMTGTDFNSSACNKKLIGARFFNRGYEAAMGPMDPSRESRSPRDDDGHGTHTSSTAAGSAVAGANLFGFASGTARGMAPRARVAVYKVCWLGGCFSSDILAGMDAAVADGCGVLSLSLGGGSSDYARDSVAIGAFSAMEQNVLVSCSAGNAGPGSSTLSNVAPWITTVGAGTLDRDFPAYVVLGDGRNYTGVSLYAGKALPTTPISIVYAGNASNSTSGNLCMPGTLSPEKVSGKIVLCDRGINARVQKGFVVRDAGGAGMVLANTAANGQELVADAHLLPAAGVGEKEGALIKSYIASDAKPTATIVVAGTQVDVRPSPLVAAFSSRGPNMVTPEILKPDIIAPGVNILAAWTGKEGPTGQAADTRRVSFNIISGTSMSCPHVSGLAALLRSAHPEWSPAAVRSALMTTAYSTYTAGATGPILDAATDKAATPFDYGAGHVDPTRAVEPGLVYDIGTGEYVEFLCALKYTPNMIAALSRSKSYACAANKTYSVSDLNYPSFSVAYSTANGETGDSGSTTVTHTRTLTNVGAAGTYKVEASVSMAGVSVEVKPTELEFTAVGEKKRFTVSFTAAKSQPSGTVGFGRLVWSDGGKHSVASPIAVTWT >cds.KYUSt_chr2.7707 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48370968:48371600:-1 gene:KYUSg_chr2.7707 transcript:KYUSt_chr2.7707 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVTILIGNKTDLKHAREVSTAEGKALAEAQGLFYMETSALDSSNVTAAFQTVVKEIYSILSRKVFQSLEQKKGELQSLSNGKAVVLQSDTPNETNSSGRFQDLMTREALWHAKHSGDISARSNDADMVSEKLSWPSSKDLVGRGWVGCMKSVLSIGGNLSV >cds.KYUSt_chr4.37664 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232180300:232182719:-1 gene:KYUSg_chr4.37664 transcript:KYUSt_chr4.37664 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGKFNRSNPAVKRILQEVKEMQSNPSPDFMALPLEVPIPIPLPPTFSPSFIRSGPLVSEQEDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFETQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTNPGGALGSLDYKKEDRRALAIKARETPPKFGSPERQRVIDEIHEQMLSKAPAVPQAPAVPQLLTNGSDEETNKLTPPDVSGEHAGNAAEGVNTSGSSSGSATDLPKPDSESEVAENIIQPQSDVIPRDSSPRVVVAQQNPVVTIQKPKHDRLLTLAAFGLTLAIMALVIKKFFKINGLAGYIEGKF >cds.KYUSt_chr7.14881 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92104617:92105517:-1 gene:KYUSg_chr7.14881 transcript:KYUSt_chr7.14881 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRRLQRADGPATVLAIGTANPSKCVSQEEYPDYYFRVTKSEHLMDLKQKLKTMCQRTDTEKRFFHHTEELLDAHPHFLDRRQPSLDDRMEIAAAAAPELAASAAAKAIAKWGRPATDITHLILSTSSCAGSPGADLRLAALLGLRPSVIRTMLQLNGCAAGSASLRLAKDIAENNRGARVLVACVELTIVAFRGPEEDYPHTLIGQASLGDGAGAVIVGADAGVLYPAERPLFEMVSASQTTSRKINNYSSYAQIYFFHMQCEIK >cds.KYUSt_chr1.28291 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170933560:170935894:-1 gene:KYUSg_chr1.28291 transcript:KYUSt_chr1.28291 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEVDRERGGDRTKRKQGGFRTMPFILGEHRAALQFVCMSISSSPGWLVVTVTGDWRVLITCMYVDPAAVNEVCDMFATAGFNANLITYLTHELHLPLVEASNTLTNINGTSSLTPILGALAADSFAGRFWTIIAGSVFYQLGMLGLIVSVLVPSLRPGPCSPPATPCRRANGLQFAVLYFSLLLTSLGSGGIRPCVVSFGADQFDQHKEQRAEAGGAEAEAGRQRQYFNLYFFTMGIAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSILVFVIGYPLYVRLKPAGSPFTRLVQVVVAAYKKRAAPLPEDSGLLYQDKRLDALISTNGRLLHTNHLTFFDRAAIVTPADTTGSSKLNLWRLSTVHRVEELKSLVRMLPIWSASILLATAGSHNNSFAIVQARTMNRHVTQHLQIPPATMFIFTTLAMLATLAVYDRTLVPIARRFTGLPSGITYFQRMAAGLAISILGVASAALVEAKRRGSAAEHGLLDTPTTVVPMSVFWLVPQYAIHGLAEGFSTVAQMEFLYDQAPESMRSTAAALFWLSSSLGSYMGTALVTAVQSATRGRSDWLQDNINRGRLDAYYWLVTCLMLLNLAYYLICFRFYTMKPLELAEDGDHEESLSCPLSTKMAAAAPYNIEHRA >cds.KYUSt_contig_2197.94 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:555980:566651:1 gene:KYUSg_contig_2197.94 transcript:KYUSt_contig_2197.94 gene_biotype:protein_coding transcript_biotype:protein_coding MYLICFEQGKVERGWIRMLDNPPVITNNDCIFCENDLVTDKNVELIEMQDAEVAGIQHRGVFWHPLITTYTPVLDKDEVPVSGVPDALLMPFMMQEWYDAWFMLGDGESLLKVILKASSWQMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr2.8164 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51382567:51388784:1 gene:KYUSg_chr2.8164 transcript:KYUSt_chr2.8164 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTRKQDFTVQVEDGRPGKDGRPAVGPVFRNILAKDGFPPLEPDMRTSWDVFRTAAGKYPNNRMLGWRPFKDGVPGPYLWKSYKEVYEEVLQIGSALQHLGVQPGSRVGIYGANCPQWLVAMQACNGYSIICVPLYDTLGAGAIDYIIDHAEIDVVFIQDKKIKEILSPNCISATRLKALVAFTRADDEQIKDAEQIGMEVYSWNDFLKAGKDKPAKPCPPQPHDTCTIMYTSGTSGQPKGVMLSHESHGMYVKGVDLFMDKIDDKMSTDDVFLSFLPLAHILDRMVEEYFFHKGASVGYYHGDLNALRDDIMELKPTLLVGVPRVYEKIYEGILKALADLRPHRRLIFDALYNRKLASMKAGYTHKTASPFADMLAFRKVKARLGGRIRLLISGGAPLSTEIEEFLRVTSCAHFVQGYGLTETLGPSTAGYPDDMSLVGTVGVPTIYTELRLEEVPEMGYDPLGVPARGEILIRGNTVFTGYYKNPELTNEVMADGWFHTGDIGEMTPDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYVFPQIIEDIWVFGDSYRSNLVAVVNPHEENTMKWAASNRYKGSFDEICKLGSLKEYILTELATAAQKNKLRGFEYIKGVVLDPVPFDMERDLVTATVKKRRNYMLKYYQPEIDKVYMEDQKDANKAK >cds.KYUSt_contig_1948.125 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:776553:779708:-1 gene:KYUSg_contig_1948.125 transcript:KYUSt_contig_1948.125 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVTSHGAFQGENPLDYALPLVILQICLVIVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSTKFLNTVFPARSMTVLDTLANIGLLFFLFLVGLELDLNAIRRTGKKALAISLSGIAVPFVIGIGTSFAFRASIPGLEDSPQAPFLVFMGVALSITAFPVLARILTELKLLTTDLGRMAMSAAAVDDVTAWILLALAIALSGTRSPIISLWVLLTAVGFVVAVFVLLRPVLVWISRRSPDGEPVKEVYIVATLAIVLASSFVTDVIGIHALFGAFIVGIVVPKDGQFASVLIEKVEELISGLFLPLYFVSSGLKTNVATISGAKSWGLLVLVILNACVGKIGGAVATCLLVKIPFREAITIGFLMNTKGLVELVVLNIGRDRKVLNDEAFAIMVLMALFTTFITTPIVMAIYKPARPSSAAPYKRRTIDGDDGDLRVLACFHGYRNIPTLLNLVELSRGTGGRHRLVVYAMHLIELSERSSAISMVHRTRRNAMPFFSSSKTSSTTEVAFEAFQQLSTVRVRPMTAISDLETIHRDIIDSASNKRAAIVIVPYHKTLQHDGTFHSLGSAYHAVNKRVLREAPCSVAILVDRGLGGHSQVAAQNVAFSVAMLFFGGPDDREALAYAARMAEHPGITVTVSRFLPNRPAVAEEDAALDEAAVEAFKARVAGAGAEDDGSVRFEEREARSREEVVEAIVLLSKCNVFVVGRMPPTAPLAEHADELGPVGSYLASPEFKTSASVLVIKRYDPATNPKSRRFDPKARPPVATDEDTIDEEVGGGSAAVVPVPVPWSPSPSELA >cds.KYUSt_chr5.33870 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215194507:215195907:1 gene:KYUSg_chr5.33870 transcript:KYUSt_chr5.33870 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGPTPVVRSPSTRTATASRYITSSSLPLQPCPPASFTPRNAAAVSFHIQTQREGKAASFAKAIHQTTTMVDVDHRMAGLAPAAHAAGLRRLSTRAAAGPSSASASPRHGLYSFTAVASSVLSHLRSSGVTILPGLSDAELARAEAEMGFTFPPDLRAVLALGLPSGPGFPDWRSRAGLRAAFDLPVAAASLQIARGALWPRCWGPRPADPDRARRLARSAIRRAPLLVPLFDRCYLPCRPFLAGNPVFFVTDDRVLCCGLDVVHFFTRESSFLPMDISSPLVANPTSGSGTPCTRRSLDAACGGQAPRWIEFWSDAASDRRRRDSSSSEASTASTSSSYSSSSPPRRSTPRWVDTYLDRLGSVLKQGGWRDREVDEMVEVAASGMFDGEDAPPAADAEVVLDTLLLRTDRCSDSLRRAGWSSEDVSDALGLDLRRCKEPHRNAVRVPPEIAAKVRRLARAVARS >cds.KYUSt_chr3.33369 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209511866:209514248:-1 gene:KYUSg_chr3.33369 transcript:KYUSt_chr3.33369 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPICASPQSSLTALAPSCARPGLGADDAAVGPTPDTPLPPPENTVAGASRPPDDRRRRLPFPGCRPTSAADREGGLLCPRCSSTPIQHPHAAPSHGRGRGSRVACLSSPKEEDCLCDKYFEFVVFINEDPFGKKKLSDAFVKFLAGEPAAVTLRKACCDFCGWTAEVLFDGESKMYLHNG >cds.KYUSt_chr4.44608 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276303433:276308385:-1 gene:KYUSg_chr4.44608 transcript:KYUSt_chr4.44608 gene_biotype:protein_coding transcript_biotype:protein_coding MERSWFGWRKAKKGGGGGGGSKEDKRTKVVVDGSGIRDLVEDREAFGMFADTKFDQLDADGDGRLSARELEPAVADIGAALGLPAQGSSPNADHIYSEAMSELTHGHGNQEGVTRAEFQEVLSDILLGMAAGLKKDPIVILRIDGEDLRDFVSSPRYNPAAAAIFSQVGSEDATLRECLLAAVQQLRVDHGMPPATDPWIADNIVEPALKQLPADQLEQPASREVFFEQLKKLLGDVTERLQEQPVIVAHTENTFDGSGVRRLLSNKFELDKLLDSVWRNVPKEHEKKASKEQLRVALDKMADAASLPPHGAVNQVDAVVNEALKKANADDRKEVDEAEFKKLLIEVLGAVMLQLNSQPIFFSTSTVVHEPLSTSSNLLSSPAVSAPPSE >cds.KYUSt_chr4.38762 pep primary_assembly:MPB_Lper_Kyuss_1697:4:239289472:239290797:-1 gene:KYUSg_chr4.38762 transcript:KYUSt_chr4.38762 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFAHTTSRATTHLNHQGHGVLPGLPPPPRHDPFSSDIRACAILQRHVTSTGSGTGTGSMCTGSGTGSINPSTGTGSIASDTGSIASDIGSIASGSITPGTGSIASDTGSITSGSIAPDTGSIASDTGSIASGSIAPSTGSITSGSTTSDTGSIAPDNGSIASGSIAPSTGSIAPDTRSIAPDTRSIASDTRSIASGSIAPDTDSITPSTGSISSDTGSITTSTDSISPATVIIATSTGSISPATGIIANSTDSISTATGSISTATGSITTSTCSISPATGSISTSTCSISSATGNIATSTGNISTATATDSISTSTCSIATSTGSISTATSSITTSTCSISPATGSISTSTCSISSATGNIATSTGSISTATATDSISTSTCSIATSTGSISPATSSITAPTGSISTATGRSHRAVHADRCSFLWSVHRRQPRKDGHGR >cds.KYUSt_chr3.46348 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291626884:291637674:1 gene:KYUSg_chr3.46348 transcript:KYUSt_chr3.46348 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDPTPRGGGGGGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSIDAGASTVSVTVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTITSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENDPKPCAAVKGTQVMVENLFYNMVARRKTLQNSNDDYPKIVDFISRFAVHHINVNFSCRKHGSNRADVHSASTSSRIDAIRNVYGASVVRDLMEIEVSDENAVDEIFRMDGFISNANYVAKKTTMILFINDRLVDCTSLKRAIEFVYSAILPQASKPFVYMSINLPPEHVDVNIHPTKKEVSLLNQERIIEMIKDAIEEKLMNCNTTRIFQTQAVNTSALTQASTQKEKGTEVSTPTGAKSQKIPSQMVRTDPRDPSGRLHTYWHGQSSNLEKKSDLVSVRNVVRSRRNPKDAGDLSSRHELLTEIDTNLHLGLLDIVKNCTYVGVADEVFALIQHNTRLYLVNVVNVSKELMYQQALCRFGNFNAIQLSEPAPLRELMMMALKDDESISDENDKEKLEIAEVNTEILKENAEMINEYFSIHIDQSGNLTRLPVVLDQYTPDMDRLPEFALTLGNDVTWDVERDCFRTAAAAIGNFYALHPPILPNPSGNGIQLYKKNKDCMASGERDNDSTNTDEDEIDQELLAEAEAAWAQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVSACINMQVATCGLPPPPPSAGTASLLAAVADRRPSPPPVWLARASLACKPWRRTLGDPGFLRRYRKFHQTPPMLSFLRSIERRYVPSSSFRRSLYATGASSSRPSHAASTTSAPAQCHAMPPPPFPSKDAYYLHLLRSCSTPRHAAAVHAHIARAHPSPSLFLRNTLLAAYCRLGPLPARRLLDEMPRVNAVSFNLLIDAYSRAGQPDAALSTFERARRAAGVKADRFTYTAALTACSRAGRLREGKAVHALAVLEGLAGDVFVSNSLVSMYARCGGDMREARRVFDAAEERDDVSWNSLLSGYVRAGARDEVVLEVFALMRRCDMGLNSFALGSVIKCCSGSGDSVRGIAAAVHGCVVKAGLDSDMFLLSAMVDMYAKRGALAESVALFKSVPDPNVVVFNAMIAGLCRDEDAVGEGVVREALSLYSEVRSRGTEPTEFTFPSIIRVCNLAGDLEFGKQIHGQVLKHCFQGDDFVGSALIDLYFNSGCMEDGFRCFRSVLKQDVVTWTAMISGCVQNELFERALTLFHELLGAGLKPDPFTISSVMNACASLAVARTGEQIQCFASKSGFGRFTALGNSCIHMYARSGDVDAAIRRFQEMELHDVVSWSAIISSHAQHGCAREALQFFNEMVDAKVVPNEITFLAVLTACSHGGLVDEGLRYYEIMKKEYGLSPTTKHCTCVVDLLGRAGRLADAEAFIRDSIFHDEPIIWRSLLASCRIHRDMERGQLVADRIMELEPASSASYVNLYNMYLDAGELSLASKIRDLMKERGVKKEPGLSWIELKSGVHSFVAGDKSHPESNAVYTKLAEMLSKIDKLTTNDTISIVSDEITGKEQNWMNCHSEKLAVALGMIHLPQSAPIRVMKNLRVCRDCHLTMKLISKSESREIILRDAIRFHHFRDGSCSCGDYW >cds.KYUSt_chr3.48298 pep primary_assembly:MPB_Lper_Kyuss_1697:3:302167066:302170176:-1 gene:KYUSg_chr3.48298 transcript:KYUSt_chr3.48298 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAGYGILPVLTLLLVLPSARPVEDSICDTSSRLAPSSSFPANRDLLAAALPANASSTPTGFATLSAGTASPAYAMALCRGDANASSCAACVAIAFHVAVENCPNMTGATMYEDNCVLRFANRQFLDFLNAQQWQVDEISSLTRTADDIVPAVAVARFSAAATAILAAVSSRALAADSSNSTAKKYFATGEVAFDPRIYALAQCVPLLTPAQCSGCFGQLLKQIKVKLSKSKPIWVASRAQWCDLRYSVPPLYQGQAMLQLQAPPPTSPETPGAAETKKKTNAAGISVGIVCSLVLISILSVLVFIRSRTRTKTTEHDNPFKKISSAQCVIFDLPALQEATENFSQRNKLGEGGFGAVYKGTLPDGQDIAVKKLLGTAGHGLHQLHNEVLLLAQLQHKNLVRLHGFYSSRDDTLLVYEYIKNGSLDNFLSDTREGHILNWEQQYNIILGIAKGILYLHEDSSMRIIHRDLKPNNILLDDDMEPKIADFGLARLLGEGHTHTMTSGVAGTPGYMAPEYVYQQRVSPKIDIFSYGVLVLQIVTRRRECWSDDINNVNLLTEVWNHWRKGSIIEMMDHTLDEHTQNHQLRYLHIGLMCVQADPGDRPEISTVIFMLTRDNMELQPPEEPAFFFGSLKDLHSLPRATANSNFMLEEDISLNGVTITDPYPR >cds.KYUSt_chr5.11274 pep primary_assembly:MPB_Lper_Kyuss_1697:5:73229402:73230883:1 gene:KYUSg_chr5.11274 transcript:KYUSt_chr5.11274 gene_biotype:protein_coding transcript_biotype:protein_coding MHWSKICHYPLEYDAYTRYCKNVVQRHIQVAASNTQLENPIVLVDNHPMCRRNTRAERVLPNGQVWVSELLLLCGATKLLAEANSLLKFQDSFLLSQANTRLPSLPHLLSSLLKPYSSSSSDGVGSEMTELSDEEDDYDQLPPFRLLKKSEFEKLTKEQRTAYLDELDYRETSYLKQQWKEGIRRQKLAEAQNSDVSPAVADDYEESTSPEVVHMSDMEIPLSFDSDYPAYRYRHLITNDQLFRPVLDPQGWDHDIGFDGINFESCHDYKKNISASIAGQMRKDKEDMYIQSECSVSYADQSGYSLMGGMDMQTASKDLVCTVHGDAKFRNLAWNTTGGGISVTKFGMRYFSGAKLEDSVIIGKRVQLVANAGRMVGGGQVADGGGLEVTVRGKDYPVREGSTTIAATVLSFEKETVLSANLQSVFRVGRGSKLSVGANINSRNLGQLCIKTSTSDHAEVALVAAISLVQFLLRRRSPPTDKDEQRFDSDLDE >cds.KYUSt_chr2.31413 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193899305:193900514:1 gene:KYUSg_chr2.31413 transcript:KYUSt_chr2.31413 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMADEKVMGAPASGGGGEDAGELSPAEARVRPLETLLRAAPLGLCVAAMAVMLRDTQTNEYGTVSYSDLGGFKYLVYANGLCAAYSLVSAFYTAVPRPATLSRSWIVFLLDQVFTYLILAAGAASAELLYLAYNGDKEVTWSEACGVFGGFCRQAKTSVAITFGSVLCYIVLSLISSYRLFSAYDAPVPSHGNKGVEIAAFPR >cds.KYUSt_chr3.18837 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115802420:115807631:1 gene:KYUSg_chr3.18837 transcript:KYUSt_chr3.18837 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVELVRRLCIRFPPRTPPPQRSRPPRCVVRTRLRLPGSPLSLRCRALDASKPAAVQGEQCEEDDDEEPYFSVTSSRLSEVDYLGESTKGDLNVRRRHLDALGGNGKSTLHGPIEEIAWKEAREAETLLSDLGIADPLTVRNSPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVMAWEGRAYDYGMANLKGMGFPVDDLEFDPDLVIRGLIMDKVKGNLVKADRFGYIKRVMHGTRMLPTRAVSEIYGRELVDLRKENTWEFLNTLFSVSEAVMFMQMVDRLDQGLVPAELGPLDYKGLYDAVSKALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTNKMMNHAFNRFLPNDMGWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLLRPCFKANSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIFTDVSQSKVHLRWRTALICRELEDEFNALIQSHTQKEKLVTLIEQKEIVGDLFNQLRLALQRRTNSRPAQTLAATCMNDQELTESMQKLLIVMQRLDEKIAPLLESDGELFNKRYNEHYCKVPMRIF >cds.KYUSt_chr1.42143 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258113069:258116667:-1 gene:KYUSg_chr1.42143 transcript:KYUSt_chr1.42143 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSQLAFSLHDGSLDVGGAVLLSGVPSNVTLSSFEFDKSCCDAPPHLLDQATAAAGRGAFLGFTAPDATDRAPCRLGQLLNRKFLSVFRFKTWWSTMRAGERGRDVQPETQWLLLDAPELGPGGCVFVLPLVQGSFRSAIFPTYGAGDEDDGVVLCAESGSPAVTGSDFRRIAYVHAGTDPYVVMREAYLAARVHLGTFKLIEEKALPPIAERFGWCTWDAFYLTVDPVGVWQGVSEFADAGVPARFIVIDDGWQSVNRDDDPVHADARGLVLGGDQMTARLHRFDECERFRRYREGDLLRSPPEVFYDKTLPKTIVRKACEIEGIVKAKKKAGLQGGAIDLSGFDAKLQLLQGELEQLLAKSAHALDNLREAGSGDNGGDVGLKAFLKDMRQKFPGLDDVYVWQALCGAWGGVRPGATSLDTVIEPARLSPGLAGTMEDLAVDRIVEGGIGLVQPHHAGKLYDAMHSYLAGAGITGVKVDVFNTLEYLCADHGGRVELAKAYYAGLSDSIAANFSGTGIIASMQQCNDFFFLGTRQVSMARAGDDFWFDDPNGDPMGVYWLQGVHMVNCSYNSLWMGQFVRPDWDMFQSDHVCAAFHAASRAVSGSPIYVSDSLGGHDFALLKTLVFPDGTLPLCLHYALPTRDCLFKNPLFDEETVLKMWNLNKFGGVIGAFNCQGAGWDPAERRIRGYAHCYKAISGTVQPSDVEWGQREDTAAMANAAEYAVYKHHSGELDLMTRESDPIDFALQASSYEIFTFAPVMLLAGGGKFSPVGVVDMLNCGGTIVDVEGHGDVRVRVKGAGKLVVYSSVRPVKSLVDGCEVEFEWGSGGKLEVSVAWKQDKEGVSDVVFCY >cds.KYUSt_chr4.9639 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58192121:58192351:1 gene:KYUSg_chr4.9639 transcript:KYUSt_chr4.9639 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPPAGRQPKESVELTLRMSHTLTLPMALCDPGADSAALVGDLSSAAPGPASNAIAGPTPATVPLFPSGLRQP >cds.KYUSt_chr7.30806 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191765615:191778631:1 gene:KYUSg_chr7.30806 transcript:KYUSt_chr7.30806 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLLPHGYAHRAVTLATKPSLPPAPAMLHFGTSQRYACAFTPYPPLRPCQTCGVTVRSSSARQMSSGTGSRLALQLKSSTFLTTGLPGYHGFVTSRAADIRGVALNATGICTSSRLFSTACGKRLRFLVRNKSSFSSPNAIRRDGSERRHSTLAACSPVADEASTSTSKSRESDAATKKGTVRRKKSAGTKKEVSAEMEEKKVPTKKKPRAAKTAAKATEEASVNQEEKKAAASKSKKGVGTSKEKKTATKPKRSSKAKESAAAIAAADAKNRTKTSADGSGSETKPLVPLYPPTAKSVVVVESATKAKVIQKYLGDMYEVLPSYGHVRDLAGRSRSVRPDDDFSMVWEVPTAAWTHLKSIKVALKGAENLILASDPDREGEAISWHIKEMLAQQDVLDGRVTVARVVFHEITENAIKNALMSPRHIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEVEQFNPQEYWTVDTDFKTQCSDSSKGLCLTSRIKHLNSKKLDQLSISSREEAQAIEKRIYSCHFEVRGVKRSNSHKNPPMPYITSSLQQDAANKLHFGAGHTMKVAQKLYEGINLSSEQATGLITYLRTDGYHISNEAAQDIRSLVKERYGEQYASEDIRKYLKKVKNAQEAHEAIRPTSIRRLPSSLVGILNEDCLKLYTLIWRRTMACQMEASRTELIQVDIGNPEGDMTFHSSASRLDFKGYQAVYEDTEASGSSENPEGEVAHQDNFEALSKLQVKDLVSPVNVHLGQHFTKPPFRYSEGALIKKMEELGIGRPSTYASIMRVLQDRKYVTIKSRVLHPEFRGRMVSAFLSHLFSEIADYSFTANMETELDNVSAGSTEWKGLLKDYWERFNKYCGDASQWDVRKVERMLEEKFSSILFPDLASDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARTLSDEDDDNEASEETQPRSFEPRLLGLIPDTSEQVFLKQGPYGYYIQVGEDRKGSSQKRAPLSEVKDVDSITIEDAIELLQYPKNLGNHPDDEHPVLMTHSKAGFSVRHRRTLAPVPKSEDPKKMTLKRALKLLTELTTVHVSLRIKFRLDQQ >cds.KYUSt_chr3.46108 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290297918:290299387:1 gene:KYUSg_chr3.46108 transcript:KYUSt_chr3.46108 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSIVLYTWMVRGHLHPMTQLAHRLAGLGVPVTVAVADVPSTRDSPDTIARLAASYPSVSFHLLPSPAAATRSAGTADPDADPFIALIADLRATNPALLTFLRSIASVTALVADFFCPYGFDAAAELGVPAYLFCASGASVLAACLHIPTMMRSASASFGDMGHDLLHLPGVHPIPASHLPEALLDPNGSQYEAFLCLLEQLPRANGFLSNTFEWLEPRAVKAIRDGTACGCVRPGVPAPALFCVGPLVGEERGRDAEKHECLRWLDSQPARSVVFLCFGSASSVPAEQLQQIAVGLEKSGHPFLWAVRAPVAPDADCTKRFEGRGEAAAAEALLPDGFLDRTRGRGMVVSSWAPQVEVLRHPATGAFVTHCGWNSALEAVLAGVPMVCWPMYAEQRMNKVFIVEEMRLGVAMDEYDEGTVTADEVEAKVRLVMESEQGKEIRERMATAREMAAHALEIGGSSTAALIDFMDHLPSRFYPNSVIGSEF >cds.KYUSt_chr7.25621 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159900166:159904298:1 gene:KYUSg_chr7.25621 transcript:KYUSt_chr7.25621 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPELQRFLEIRKAHFEAQAHSKARVHVLQIIRLSTPEPFLPYNASRSRGLNPYLLSLLRLDLIPLSASRVFTPPPMAMAARAVLLSRLSPLQAAASRLLLRPLAAAATLLPPPASPAPAAARGSVRCFATQPASSSLRDTSPNWSNRPPKETILLDGCDFEHWLVVMEPPPGDASNPEMMRDEIIDGFIKTLAQVVGRYSWNHFSRVFIMFAVLEA >cds.KYUSt_chr1.23714 pep primary_assembly:MPB_Lper_Kyuss_1697:1:141267199:141276356:-1 gene:KYUSg_chr1.23714 transcript:KYUSt_chr1.23714 gene_biotype:protein_coding transcript_biotype:protein_coding SNVDPNNVSLASLVAQEEYVDVNFIKSNNFNNNAYRNNSCNNYRPYPSANSNGYGNFYGNSYNNNRSVPPGLEAMLKEFISTQTAFNKSVEEKLDKIDILASKVDRLASDVDLLKLKEMPNKDIDNKIVTTANAIQVRINENIRLMAELHARWEREENEKLAKENNVAKVWTITTTSNDNASHVATPSTINGKIICVGNVSTPSAKRAKLPETAKTAETVCDKTAEIFQNVGDNGSIAVPRWHRNPWCCAALHSAAINLQRASWLLLGFVAKPETVADGSVNLMVWHCTIPGKQGTDWEGGYFPLTLNFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDLPNPADPAQTDGYHLFIQDPSEYKRRVRLQAKQYPALV >cds.KYUSt_chr5.12243 pep primary_assembly:MPB_Lper_Kyuss_1697:5:79857485:79858930:-1 gene:KYUSg_chr5.12243 transcript:KYUSt_chr5.12243 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKNIIQEESANFSSCPLISNYQGSSENLLLDMGIQHPFPAFTSPLDAAPSASIPHMDWNPDTMLDNLTFIEAKIGQVKNVIRSMVGNGGQLWTEPGQQQQQQQVNADLTCLIVQLISTAGSLLPSLKNSSFLSHPPAGQMVNLAGTSSNSNPNAAVSEDHKEELGSPDYEEFFTGLTDGAVEGIDIENVVVKDHDVKDGNEGGEAGMDGDSLPPGSYELLQLEKDEILAPHTHFCAICGKGFKRDANLRMHMRGHGDEYKSPAALAKPPRDASMDQDTVVKRYSCPFAGCKRNKLHKSFLPLKTILCVKNHYKRSHCEKSHTCSRCHAKKFSIMADLKTHEKHCGQVKWLCSCGTTFSRKDKLFAHVGLFKGHTPLLPVDEPEAADKVAHAGGHQEPAKVEISMGSSFMWGNSSGNGGEPLGVSGLDGCSDDFLCTSNFGSFGFGLGQCHGFPEDQSEGLFQMLPSDHYQSGEKNGES >cds.KYUSt_chr7.31992 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199200292:199201474:1 gene:KYUSg_chr7.31992 transcript:KYUSt_chr7.31992 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEASLMEANREREKRILKGTKNSLSSPTRSDDSTTILEVLFTTKSSLVTVVSWLRFSYSVWVPDPEEDEDPEAKEEEDDPEVEEDDPEAEDEDPEAEDEDPEAEDEELDASIIDGGVGWTRRWCGRACVEEELAGGAEAILAGGAAVKYSGGTDRRNWLEE >cds.KYUSt_chr5.7809 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49206556:49209911:1 gene:KYUSg_chr5.7809 transcript:KYUSt_chr5.7809 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLAGVMTSVISKLTALLGEEYAKLKGVHREVEFMKDELSSMNALLQRLADVDCDLDVQTKEWSSQVREMSYDIEDCIDDFMKSLGQTEMAKSAGLVQSVVQQLKALRVRHQISSQIQGLKARVEDASKRRMRYKLDERTFEPSILRAIDPRLPSLYAEQDGLVGIDQPRDELIKCLMEGVGSSVQKLKVISIAGPGGLGKTTLANEVYRKLEGQFQCRAFVSLSQQPDVSKILRNIFSQVCQQELPGTDIWDEGKLIDAIREVLKNKSIVGYAEKMHSLSKFRVLRVLDVENGEDMESNCFEHLWKLFHLQYLRLNVRSISSLPEQLGELQRLKTLDMGWTKIRKIPKSIVQMEHLTCLRVRNLELPEGIGNLHALQELSDIKVNRDSLASSLLELGSLSKLRILGLCWCLVDVDSNKETLADNLVSSLRRLGRLNLRSLNIQSNYRYPRIDFLLDSWFPSPHLLQMFQMGMFYFFPRVPAWVASLDNLTYLDININPVQEEALEILGGLPALLVVWLTSRSAAPEQRLVVSSNMFISLKEFYFTCWSNGAGLMFEAGAMPRLEKLRVPFDAGSGLDFGIQHLSSLRNLSVEIICMGATVREVEALEEAIRNTADLLPNCPTLEIRTWDDEHLMKEEQGKAEEEIQTSG >cds.KYUSt_chr7.15913 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98803521:98803721:-1 gene:KYUSg_chr7.15913 transcript:KYUSt_chr7.15913 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYPAHVGGPWPLRMCARLPVADGKPAHATDSEAVGAGITDLEAKRLVPDGRDNNITFDEFHHFH >cds.KYUSt_chr4.34611 pep primary_assembly:MPB_Lper_Kyuss_1697:4:212629206:212630649:-1 gene:KYUSg_chr4.34611 transcript:KYUSt_chr4.34611 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNADVTMTCPPPSQGKLITVLSIDGGGIRGLIPSTILGCLESKLQELDGPDARIADYFDVIAGTSTGALVTSMLAAPGEDKRPLFAAKEINKFYLDNGPRIFPQKKSYGFLTPVTTLFGAMRGPKYDGKFLHDKIKSLTNDVTVADTVTNIIVPTFDIKFLQPVIFNTYEAKADPLKNAHLSDICISTSAAPTFFPAHFFKTQDPSGKVPDREYHLIDGGVAANNPTMAAMSMITKEVLCRNPDFNHGKPAEYGNYLIISIGTGSAKQAEKYTAPECAKWGILKWLIDGNFNPLIDIFAHASADMVDIHAAVLFKALRVEKNYLRIQDDSLVGPTASVDVATKKNMEALIEIGENLLKKKVSRVNIDTGMYEVVEDEGTNEEALARFARKLSQERKLRQATLNSY >cds.KYUSt_chr5.33274 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211147040:211147612:-1 gene:KYUSg_chr5.33274 transcript:KYUSt_chr5.33274 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGEKPETTSAGAGDGGGGVTSMEPVEKLETVNATAGDGVISKEPVEKPETTGAGGGVTGAEPVEKPPTTSAGAGVGPPPPRLDCIKCFDALWFCYSPFHQMQYYYRYGDFDNCFGKWGDLVDCLSLKTKRKAEVEEILVAREKAKPHIWTFRTVDEARENWWRMHRHQVMMSKPSDSSAPPPESGGIS >cds.KYUSt_contig_7536.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001584.1:88010:98951:1 gene:KYUSg_contig_7536.15 transcript:KYUSt_contig_7536.15 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRPTSDRTIFARPLSSPSTLQSCYTSFCGNQALRKKNPFTILLIHPSSAEPQLLHSVACIPFSSGLLGVGGFRFSESFHECVEAAGSPRVTMSQSFDINVLLKEARGRWLKPSEVYYILSSHEKLQITHQPPNKPPSGSLFLYNRRVNRFFRRDGYAWRRKKDGRTVGEAHERLKVGNIDALSCYYAHGEQNPSFQRRCFWMLEPAYDHIVLVQYLEVAEGRYYSSLSNGSAASLSILSYPSDIYGNHGSTSDFSEGSESRQSSVTEVSSYSGLIGKPELGQTSLERSSEFYMTYSNDSTNKSGFDQALKSITEQLSLGDDDDYIYVNQVTNTEAAERQGNQTSNSPGDDKANQIRPEGTQNGGGRGIPPSWENVLKFNSGLPESSTYQSGAYYQQSSEYQPPGGLDGTDLQMQLSAAKRFLLGPEDSIDSPSYNIIPRDEGINGIDTFSAHDSSLQSYLNSDWTRTSPVALQSNLYHSEISESLLDHGQFETSSGEDKRINLTPKGKFNIREISPEWALCYEITKVIITGDFLCDPSNSSWAVMFGDSEMPAEIVQPGVLRCHTPLHSSGKLTLCITTGNREVCSEIKDFEFRAKSTASSFTDLAPPSRSMKSTEELSLLAKFARILLSDDASSTVSGGDPQAGQSPKLKMNEEHWQQLIDELDVGCENSPSVVDWIMEELLKSKLQHWLSLKLQRNDGTYCSMSKHEQGMIHLISALGYEWALSSVLSVGVGINLRDAKGWTALHWAAYFGREKMVAALLAAGASAPAVADPTAQDPVGKTAAFLASEQGHMGLAGYLSEVSLTSYLASLTIKENDITKGSAAVEAERAVESISQRSALLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFMKRQHKNARLKDEYGMTQEDIDELAAASRLYNQHHVSSGQFFDKAAVSIQKKYKGWKGRKNFLNMRRNVVKIQAHVRGHQVRKKYKFVSTVSVLEKVILRWRRKGHGLRGFRAEQSAMTETEEGEEEDDDDFYDDDAVKIFRRQKVDESVQEAVSRVLSMVDSPEARMQYRRMLEEVRQATVSSS >cds.KYUSt_chr2.22977 pep primary_assembly:MPB_Lper_Kyuss_1697:2:140632214:140638981:1 gene:KYUSg_chr2.22977 transcript:KYUSt_chr2.22977 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRVRLLTGPLGSVLLAASGHGPRQLARNRPVDLTQIEGSPSLLELARMAPVLARWSRDLILQSGDEQELHMDKMDGKNQSDHKFTDGVKGDDRQGEVKGTDTCHTRSDGEEERREASREGHEEWDRFVVGEDMQLLAMRGGDEMLGREERECKGNHLACGRCLRELPGNQCHRCVEPRVGFEQDPAMDTVVSAATVECTHSSCGILVAYHQVHNHKIACPHAPCARTDPGCDFAAPPTALVAHFAAAHSIPVHKLPPYNTTKVFQVLVPVPGSPCLRIIAEGEDGAVFVMIIGVLGSNSTTIMSTLCVRAAACVWPLYTTNMWANGPASCLLAHARSRADTVNVSLEASSYALPGDVAFDELTSFLSVPPMYLVLGGPSKLLRFYIRIEKENN >cds.KYUSt_chr6.16965 pep primary_assembly:MPB_Lper_Kyuss_1697:6:106779458:106780048:1 gene:KYUSg_chr6.16965 transcript:KYUSt_chr6.16965 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPNPESPTAPGGSGGAGGSGGGGGTPQSTTPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHMPSCAFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPESNPFAARAVRLFLREVREHQARARGVSYEKKKRKKPAPGGDAGTSGSGSSHQPPPPPPPAAGAVC >cds.KYUSt_chr6.7948 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48829215:48836827:-1 gene:KYUSg_chr6.7948 transcript:KYUSt_chr6.7948 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRSLVVRTKRLGQLGCLAATERRGSGRRRPLLLRAVVVVTRQKITSQTRTNPSPPSSATMLATASPRLHAAARRLSLAAAPAGALPALRLPRSRPVRYVSLRLRASASAATPSAKEDLGFEEMAARTTRRYYMLGGKGGVGKTSCAASLAVRFANSGHPTLVVSTDPAHSLSDSFAQDLTGGALAPVEGTDSPLFALEINPEKSREEFRTINQKNGGSGVKDFMDGMGLGILADQLGELKLGELLDTPPPGLDEAIAISKVIQFLEAPEYSMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRSKIASATSAIKSVFGQEVQQQDAANKLEQLRERMVKVRELFRDTESTEFIIVTIPTVMAISESGRLHSSLQKESVPVRRLIVNQVLPPSSSDCKFCAIKRKDQARALDMIKNDPELMELHVMQAPLVDMEIRGVPALKFLGDIVWKVRQRWQTRRTRSTAEQDARSRLKQMWNREAEEDTRGQVPDATSDRRSSSSASWYRSKPPEQLLHVLVPLETT >cds.KYUSt_chr6.33885 pep primary_assembly:MPB_Lper_Kyuss_1697:6:212355767:212357471:1 gene:KYUSg_chr6.33885 transcript:KYUSt_chr6.33885 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKFSRGVPLVIEFAIRKGTCPAAGQQPPKDYACLSSNSNCANATSGEGYICKCAEHYDGNPYVPNGCQDIDECKLPEKYQCSNGGTCENRLNGYDCPCGPGMTNKGGKCSEIFPTVAKAVVGAVAGLLVLALLSFIIILRKERRKTKEFYRKNGGPTLEKAKMIKIYKKEDLKHILMSSNVIGKGGFGEVFKGFVDKVEVAVKKPITGNLLESEQFANEVIIQSQVIHRNIVRLLGCCLEVDTPMLVYEFISKGSMDDILHGEGNKEPLNLDVRLRIAAESAHGLAYMHSQAHIKILHGDVKPANILLDENFAPKISDFGISRLIARDKEHAATVIGDRTYMDPVYLQTGLLTEKSDVYSFGVLILELISRKKATYSDNNSLVSSFLDAHKKGEKATELFDKDIAATENLEVLDNLTEIAVECLNLDVDQRPSMTEVAERLLILNRSRKL >cds.KYUSt_contig_4188.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000826.1:14553:16361:1 gene:KYUSg_contig_4188.4 transcript:KYUSt_contig_4188.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIMGLWVQDSAALAIQELLKLAGCQSLPNEDNGKDSSSCEMSKRGQKLWGRFSSYVKEIIAPCLTSRFHLPNVSDAALLGPIYRPTMSFRRWIYYWIRKLTSHATGSRYGIFSACRGIVRHDMPTALYLLPYLVLNAVCYGTPEARQSITDEILSVLNAAASESSGATVHGITGGQSEVCVQAIFTLLDNLGQWVDDLKQEIALSQSSHAMAGKHAGKLKNDGQDQLLVQCSNVAELLAAIPKVTLAKTSFRCQAHARALAYFESHVREMSGSSNPAAEYSGTFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSSLQDQLIINEKTGNWAEVLTLCEHALQMEPDSVQRHCDVLNCSLNMCHLQAMIAHVDGLVCRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLPEADKGLVCSSSENSASFDIGLAKIFKAMMNKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLCELEDFNSLLGDESFLDKSFTADDPKFLKLTTDWDNRLRCTQSSLWAREPLLAFRRMVYNLSHMNSQVGNCWLQYAKLCRSAGHYETAHRAILGSRRIGCSLTFTWRRQSTSGIYGNLIVL >cds.KYUSt_chr1.22097 pep primary_assembly:MPB_Lper_Kyuss_1697:1:130624361:130629666:-1 gene:KYUSg_chr1.22097 transcript:KYUSt_chr1.22097 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWGAATARWFSAGREAMSYDVVIVGAGPAGLAAAIRLKQLCRTADTDLSVCVLEKGAEVGAHVLSGNVFEPRALDELIPNWRQQDAPIRVPVSSDTFWMLTKNKAWTLPSPFDNRGNYVISLSQLVRWMSLKAEELGVEVYPGFAASEILYDQNQMVNGVATNDVGIAKDGTKRETFQPGVELRGRITLLAEGCRGSLSEKIITNHKLRETGQGQHQTYALGIKEVWEIEEGKHRPGSVVHTVGWPLDMKTYGGSFLYHLDDRQLAIGLVVALNYRNPFLSPYDEFQKFKQHPAIRALLEGGTVLQYGARTLNEGGFQSIPNPVFPGGAIIGCSAGFLNVPKIKGSHTAMKSGMLAAEATFKTLVEGTSMDLYWENLKKSWIWEELYRSRNYRPAFEYGFIPGMALSAVEHYIFNGKLPFTLKHGKPDHEATDMANLHSPIQYPKPDGQVSFDVPSSLYRSNTNHEHNQPPHLRLKDPSIPESVNLPQYAGPESRYCPARVYEYVSDENGDPKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >cds.KYUSt_chr5.37287 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235800397:235806225:1 gene:KYUSg_chr5.37287 transcript:KYUSt_chr5.37287 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDEALKELAAASSRPPQRRGISYSQPLSRGDAASARRAALRNHSLDDEHILPASHSLSYTHHDPSAGVPSAAAGTGGGGSGYHPPLPPQQMQHHHHPSASYSSGPSSRRSVGGASDGSMTLERAMSEYGGGHGTLPEFVGAGGGKGIFRVPLRAAMHPLRPPPLEVRPHPLRETQAGSFLRTLAAEPQRRQLWAGAESGIRVWALDEVFAGWGAGARRGDEESAPFREGVPAPPALCVAMDRANGLLWTGHKDGRIRSWRMDLDTAATAPAPPPPGSGESVGGSSHGGSNSAPVFREALTWQAYGRTPVLSMAVTSYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKYILADHSRSKVWTVTSMTFALWDARTRELLKVFGMDGQVESARLETPVMPEQPMEEVEVKVKPSKKDKSQGGSLNFFQKSRNALMGAADAVRRVATKGTFVEDNRRTGAVAQADDGAIWSGCTNGSIIQWDGNGNRMQEFQHHTSSVQCIKALGDRVWVGYASGTVQVMDVEGNLLAGWTGHSCPVIRMALGGSYIYTLAHHGGIRGWPLTSPGPLDDVLRTELANRELSYTRMEKINIMVGSWNVAQGKATAEALRLWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWVRKSLKPYVGDVEAAAVPCGLGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVNRRNADFDHIYRTMAFNKPHGSTGSATSVQLHRTVNVNGNQVDEVRPDLADADMVVFLGDFNYRLYGISYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGLIKFPPTYKFQKGAPGLGGYDSGEKKRIPAWCDRVLYRDSRAVSVAECSLECPVVASITSYVAVMEVIESDHKPVRCTFSVDIARVDELTRRQEYGEIIESNEEVKAMLEESCFVPDTTVSTAEIILENQDNIVFQITNNDETSKAAFEILCDGQSTKKEDGTKSEILPRASFGFPLWLEVQPAVGLIKPGETMEITVHHEDFYTQEEFVDGIPQNWWCEDTRDKEAVLTVNITGSTSTETKTHKIDVRHRCPVTSAPPPTINPPVSVAPPSNVISEAPSKRSSKKSQTKSQQQDYAQFGGSEVHDLCRMRCP >cds.KYUSt_chr6.23390 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147688474:147689676:1 gene:KYUSg_chr6.23390 transcript:KYUSt_chr6.23390 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYNDDGAADKGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPLPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLWRWERELTSYDGPPPPPPRNNAAGRRRWWSAPGRTLEAVLDHIEGGNFPVLTMPPPSRASASRRRGNVWQPRRMAASSSSSGSVPRSSLAPVKREEATSPSTPVRVKKEPASLPPTRGRSSGALVIRDQPSQPGRKRKAAKKEDAAAATNAAANRLVEEEARRAEEAAVMEAIARSLTDLVPADNALPEDAALAWSRRDWEREEAEQQRRLMDLAAARRLAARAAPTAADDAARFHRPATPPSGVVVPVIDLESSDDEWYKPSPGWGDAGQDSSRQAAPPKVEDDGSDDGGDDYTVFSRRLGM >cds.KYUSt_chr3.34548 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216746824:216748968:-1 gene:KYUSg_chr3.34548 transcript:KYUSt_chr3.34548 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAQPDHEQPQPQPHHETLPIHRLLELIKSDPDPAAALAHLERLVATWPAYTPPQPLLFHLLRRLATASPSRLPRLLGLLPNLRHRPRFSESAALVVLSAFSRALMPDAALAAFRRLPAFLGCNPGVRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIILRSLCSRGDLDRALTLFDSLRRHHVLSPDRVTYSTLMSGLVKHNRVDNALYLLDEMPSYQVQPDAVCYNAVLGGCFKSRESEKAMRVWEQLVRDPGASPNLATYNVMLDGLCKLGKFKEVGEVWERMVANNHQGDMVTHGILIHGLCQSGDVDGAARVYSEMIKTGLVPDSAIYNSLIKGFCQAGRVDAAWKFWDSASVSGIRNVSTYNIMLKGLFDGGMVDEARDLWERLKKDTSFSPDMVTFGTMIHGLCEKGFVNKALQILDEAQISGKELDGFSYSSMIRGLCKDGRLDDAVRLYEKISMDGCKLSSHIYNALISGFCQASKFTDAVRIYNEMTDSGCPPTIITYNTLIDCLCKAEKYQEASSFTRKMIEKGCTLDVKTYGSLIRGLFRDKKIDAALALWNQILDKGIRADVMMHNILIHGLCSAGKVDEASRLLSEMKEKNNCRPNLVTYNTLMYGFYETGCFDKAASIWTAIIKNGMVPDIISYNTRIKGLCSCHRTPEGVQLLEELVARGIIPTVITWNILVRAVIKYGPIQI >cds.KYUSt_chr2.49052 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307006339:307008444:1 gene:KYUSg_chr2.49052 transcript:KYUSt_chr2.49052 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGSKGRIAGNLFARVLAGKAPSPRRAVHASAYDKNLDDQVRPAFVPDDVIGGPNTPDKYWGPHPTTGVFRPAALDGKFVPGAPPTATATASGSVLDQKVWYRPLEDVEKPPPTA >cds.KYUSt_chr2.9117 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57286609:57287001:-1 gene:KYUSg_chr2.9117 transcript:KYUSt_chr2.9117 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALPVGSRRVPALVSAGDAAAATPLLDTLFHLDGSADTRLHLDGCRPATSLDASPPPPARRPCKSPLTSTSALLLGPGGGVARDFLTGYVRTSTVADGALRGEWRCCHSNDVVLLWHDGAAAIVLAW >cds.KYUSt_chr4.34502 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211845667:211848074:-1 gene:KYUSg_chr4.34502 transcript:KYUSt_chr4.34502 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPLPGGGVDGSEDYSPATTVVTFDRPLPLLRAPVPSSAPGDPPVLAFRDAASWRAAWEAAEASLFSQCEMQKAVCLDVDVLVAHKVSGSFGREKCSSGWAFDIAKLACGVSE >cds.KYUSt_chr6.27174 pep primary_assembly:MPB_Lper_Kyuss_1697:6:172376376:172377950:1 gene:KYUSg_chr6.27174 transcript:KYUSt_chr6.27174 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRLGRLLNHATPHCLSMHTPTPHLLAQTTNTRDAMGYVSFLKTVARLVVNNFLAVVAVAVASTVVRKAWPICPDEIVNRMRALPPAHVFILLILAAVLVKMRRMRRGRDVYLVEYGCFRPKACYRTPFATCLEHAHLMPYLVDEESVAFAMRLLERSGLGEETCVPDAYHYMPPDRSLRASREEAELVIFAAVDDVFAKTAPVINPADIDVLVVNCSIFTPVPVFADMVVNRYKLRADVKIVNLSGMGCSAGLVSVGLAKNVLHTSPPGTRVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSADHARFRLRRVVRTVTAARDADYRCVFQEEDDNGNTGIRLSKDLATTAGYALKNNIAAFGPLVLPAWEQLLVALTIIKRKLLSGRAKVRLYRPDFRTAFEHICIHAGGRGVIDEVQHGLGLSDDDVEASRMTLHRFGNTSSSSVLYELAYLEAKGRMGMGDRVWMISFGAGFDCNSVAWECIKPASDADGPWAECISRYPVQLPEIDKDM >cds.KYUSt_chr2.34028 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210341580:210342023:-1 gene:KYUSg_chr2.34028 transcript:KYUSt_chr2.34028 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNASRGLASYNEVHNRAVRVLSIYGGTLGVLTDSPLWERYLAAGPNAADPRWQRWVQRRAHALECADQASRKYRSVAAYDLATVDAFAVARRFPENSPSFSAWIRAADKFALRALSEAREAMVRERFMYDAVGRELLVSLAIANP >cds.KYUSt_chr6.24588 pep primary_assembly:MPB_Lper_Kyuss_1697:6:155349699:155350583:1 gene:KYUSg_chr6.24588 transcript:KYUSt_chr6.24588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat shock transcription factor 29 (Fragment) [Source: Projected from Oryza sativa (Os02g0232000)] MVDDPSTDGVIAWGRGSNSFVVADPFVFSQTLLPAHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHVSFLRGQTHLLRQIVRRSGSSGKRKDELGAAGTAEDDEDGSSATMVAMEVVRLRQEQKAIEDRVAVMWRRVQETERRPKQMLAFLLKVVGDPDVLRRLVGNTATGSGGSPRGHDGGRDEAGAEVKRPRLLLDQQQQIGRKTPVDGGAGNGLFYDVSHDAYVPEPSVDFTGFYTGGDGFSDVQLDGGDPPQYAFPVDSSSGY >cds.KYUSt_scaffold_3611.231 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:1244058:1264653:-1 gene:KYUSg_scaffold_3611.231 transcript:KYUSt_scaffold_3611.231 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRAAAIRRAAVAAYSTAAARPETGLYGFDVLRTAKGFRRFVDEAIQRSDELVAHIAQLPPSAEIVRAMDEISNTVCSVIDSAELCRNTHPDREFVEEGDKASMRIYEHLQYLNTNTTLYNAILKAESEGVLLTDETRKAANNLRVDFEKGGIHLPKDKLERVNQLNLAIAQLGRKFNENIMTKPGFVDIYPASRIPRSIQHNFKAVSRSRPMGIEEQSNPMNTTRQKGLRILADSGTLSSALRWVSDEEVRRQVFIAGNSEPRENIAVLDELIDARDEFAKTMGCKSYAEFAIRPNMAASADVVMAFLNDLSDIVRHKADEEFNTIRDFKRRKCNQKSVDLEPWDEDYYIGMMKSSAHSVDPSVVASYFPLSQCIKGLNVLVESLFGATFNQIPMGDGESWHPDVIKLSLHHPDQGDLGFMYLDLYSRKGKYPGCAHFAIRGGRRLSDSEYQLPIIALVCNFSSSRGLTARLNHWDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFEFYAWDYRVLRTFALDETTGDPIPENLVKALNASRNMFPATELQRQGTTAISMLDVLPQLYGMKYAKTTHCPAAQVILSLEILVAE >cds.KYUSt_chr1.24736 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148132878:148134897:1 gene:KYUSg_chr1.24736 transcript:KYUSt_chr1.24736 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASRITTRALSTFAAAAAAKLPEAAVAAAGAEAVTLPSSAQQPQQVLEFEDTGRLFTGEPSTALVRTLAALQLMSAGPLVDVGLAALRSPAVAASPVVQAAARATAYKHFCAGETAEEAAARVQRLWRGGMGGILDYGIEDAEDGAACDRNVAGFLAAVDVAAALPPGSASVCIKITALCPIALLEKTSDLLRWQHKNPSMHLPWKQHSFPILSDSSPLYLTPSEPAALTADEERELQLAHDRLLAVGARCAEHDIPLLVDAEYASVQPAIDYFTFVGALACNGGGRPIVHGTVQAYLRDARDRLEAMTRAAEEERVHLGVKVVRGAYLTREARLAEALGVPSPIHATIQDTHDCYNGCAAFLLERVRRGSASLMLATHNVESGQLAAARAQELGIGKGDRNLQFAQLMGMADGLSLGLRNAGFQVSKYLPYGPVEHIIPYLIRRAEENRGLLSASAFDRQLLRKELVRRFKNAVMGRE >cds.KYUSt_chr2.5681 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35119845:35121383:-1 gene:KYUSg_chr2.5681 transcript:KYUSt_chr2.5681 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSELHTAEGLKALEAHLAGKTYISGDGISKDDIKVFAAVPTKPGAEFPNAARWYDTIAAATAARFPGKAGGVSASSASAASAPAAAEAMDVDDDDLDLFGDETEEDKAAAAARVAAKPAKKKESGKSSVLMDIKPWDDETDMKKLEESVRSVQMDGLTWGASKLVAVGYGIKKLQIMMTIIDDLVSVDTLVEEVLQEPPHNEYIQSCDIVAFNKI >cds.KYUSt_chr3.6007 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34252279:34267223:-1 gene:KYUSg_chr3.6007 transcript:KYUSt_chr3.6007 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDTGSCDTGDTGDPTTTAVTTAATGTSASSVHASGQLLVHKAALISISNDTIVRKSGFLRIAGTRVWVFDHNWRQIGPDVCLDSINGGSSRWINGMWVKIGPEMQVDQVSRRDFRREPPCVRVCDVVRLGGEQGLARSMAASALSGPQPSTVHDLPLSALIPPRINPRSRSTGAIVFVPQLLAPRSQSPTPKPPQNWSGSITGDRRSFVQVVQSPPPMDRRYGGPRRTPPRRSPPRNYFANRPRHGSEADRRDEQRRWEEERRREAEWRLEDERRGEEDRRAADQERLRSEERRRIEERRRLDEDRQREASRVSERLARERALADKRRIEDEARVRDRWAHRAEMLPGESSHGEGCMIGISLTSSSLSSINLGYPLKGNLLLFYKPLLLEAQHCLQERRGNVDIKHFSGAVAGEESEEFGSGFYSIPVPVMENQPVEQLNYAHITVEKGEVNSRNIEHEFNVWAESMKINWRFFTKEVSPTEFRTRFPSAKAIDELAHFGKLFMKTVPGAIISLEKWVGDIQPVSRMEEAWFRVKGIPMNFRCNSTVFYAASLVGKPLALDKNFLRNFSYVGVKIGSQDLSLVPNTRVGEMEGGFYELQYTRELCEPIPTPGTRIMVANTNEDGEGDHGTPKRQRTGRNDSDAGSQSAPPKITNNSTRNNVSTRQTAAAIYVASGRDNGKRKLFERDIQDKDDNVVNVESSIPISLQDKSGTNVVLSEVHKYVTKAFAPSVLSPGQASSSASAPSSSYAQFLHTLIKSGSDKAFTIQKQYRKELGPILEAVNEEEAFEEQVDYDSTESDSAATSVRYINPGQGVMALAAPTLQDRMAVDSPVIDSQVEVDGTQEDPLSQVDNPTAVEPNTDTGDNVSLHQADGGPQPSRMSSRIISQDSHSIKIADKAVKIAAARDVSEKLDDRDREILSRRFTEQEVKDVVDLMEKNKAAGPDGFPIEFYHACWDIIKEDIMAVFHDLHAHKIDLASINYGVITLIPKGDDADRIQKFRPICLLQVLFKIITKTLTVRVTPVMEKLLSNHQTAFIKGRYITDGVMLLQEVLRESKFRKQQGVVLKIDFEKAYDKVNWSFLFDCCHQKGFSNSWLEWIKKVVTNGTLSVKLNDNVGPYFASCKGVRQGDPFAPFLFNMAANSLSKMISLAQQNGLIKGLADNLVEKGISLLQYADDTILLIQDDAEQAVNLKLILYIFESMSGLKINFEKSEVMMILEDEMSMRLLHKSTIEQIDRPIRSFFWVGSADKRKYHFIKWNWICKPKKKGGLHGEKDAEDLRLGADGLLKLANTQNVAAGAQNRPPPAQRPLMIREARNADVDEDDMEEDDHDRLEKNFCVHCPDLDFHRSEPRVVATDADLVLLRVPIANEAHRSEQSWEYLLYRLRAHQLELLPSPYPMTFPDSATALLSREHGASYAVAAISNWCPQYESSRRESIIRWYFRLHVYRSTDSNKGWITTPVSLKELLRDKLVPLPCDVEGDMLGNWGRLLEQCEHNYIRDVAISRHKDTIKYMEMEIWPPRDLDTPPTDSYLEWVRMRPKSTSPGGWKTTTWSMPIPVCSLTDWQPGCEVDVKDIATDTGDPDRCTNNLLSSLSGRRDAALTLQKLPMAYMWNLCRLSSLEQTLSGLYNLNNLNNLF >cds.KYUSt_chr1.35110 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213938904:213939726:-1 gene:KYUSg_chr1.35110 transcript:KYUSt_chr1.35110 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFRCPPRPLPYDDPQFIHQMEKHLLVAGHEKASTQFQKSIQLEENNNSDISSAQKAHGSSLKAQSQGQKIVGTQVDVPSEDDCPICLEEYDYENPKIELQCNHNFHLGCIYEWMERSQSCPLCAKVMLFNEEQ >cds.KYUSt_chr3.37662 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236936665:236939556:1 gene:KYUSg_chr3.37662 transcript:KYUSt_chr3.37662 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAADWLPSATVTASGRPVLSAGEIERHLLPLADLEPEENPRLAPLRGCLLALTSHRLIFLHEPSLSARALPLASIVHPYPPHRKNSHNPLRSIFSSSSSSSHHPRIRLQISLPPSRSEVVAVVVTCKTDVDVFYGRLVEAIRARAWEVAAAAVPASGASVAEGAPAREDLAIRMPVVGVSGILRKEQETWESAGQNLQDAFQDLNALMSKAKEMMELAEKMRQKLLTNQSNSGDEEMGSKQDMQDLLLSVGIVSPVTKETAGALYHQQLSRQLADFVRVPVERAGGMMALVDVYCLFNRARGTELISPEDLLQACSLWDKFDVPVMLRKFDSGVKVIQTKTHSDEEVFARISSLAQKPDALQKGISPTDAAFTLGIAPALAKEHLLNAENKGLLCRDVSPDGFRFYINLFNEIDPQNIYL >cds.KYUSt_chr6.389 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2403957:2405577:-1 gene:KYUSg_chr6.389 transcript:KYUSt_chr6.389 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPTAGVVSAMIASTIPSKRKRIPKQFFEPPAAAADSAGEAPPAAKKAGRVKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKVTTPPPSVPAVEPPAPPAPPPPTVDVDKVFDVESTTSYLDMLNESAVNLDVGFGAFDGECNVEDFDDDEEDEGDEEVVEVDPAAAGSSSTPKPRMANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQARYKDMAGSKNKEFQFHHCFSIFQHLPKWKLRDNDPKCKKEALLTMDDEAEDMSGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKNEVKLAKVQARREDAKFKAELDMKMIAAKEAKAMKELLAEEREIMMMRTDDMDEDQLAWWNETKADIIARKKAARQARAQGESPASGGAGGDGSIDG >cds.KYUSt_chr5.12679 pep primary_assembly:MPB_Lper_Kyuss_1697:5:82690249:82703528:-1 gene:KYUSg_chr5.12679 transcript:KYUSt_chr5.12679 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLAVFRYGAKCKRYTGQRNMESVRHALRIVYEGSGNSIELAEVSAKCDLLPAPLAFTARSISIRTGRIAGAKASSIVDFSFEGLTIIVDLIAGASISMSAGRPLPPPRRTSAVPGDTIGLAIVLYSAVRGDIIGRAICVPHFAIARSSKVLPSSSILLFMEMPLCHSTLDVDEAVLNTKITLCTVHECSESYTDELPSPVPELESAARAAHAHGPTSRSETQGWTRRPRHGKMPDEREIAPDRVSALEKAFRGFADRGADAVVLPSVGLTFDLIGEAYDFYNLYSWEAGFGIRYGKSRNNVKGTRSMQELLCVCAGKPKQQNTTSSRCQCPTLVRLLRTDDQGWFICEYRGTHNHRLLNTCAEKLHFPSHRHIDKYTRDLVAQLRANNVNLSKVYSIIGTFFGRLENVPFTKRCLRTLCGEISQEQADDDVKKTMEMFSELKAKDSEFTYQVRVDDESRIRTLIWTNGRSKLQYHHFGDVVTFDTTYKTNLYDMPFGLFVGVNNHFQSVLYAGVLMRDETVESFEWVFREFVRLMGGKKPITILTDQARAMEIAISTVLPEATHRWCKWHVLRKAKESLGTHYSKKSNFRTDFHNLVDEMLTVEEFETGWEEIVERYGLASNTFLIQAYEVRNKWAKPYFSGKFCAKQTSMQRSESANHMLKSYVPPACPMNVFVKQYGKLQYDREQEEGFQEKRTRLGGAVIKSNLPIERHASKVYMRAMFEMFGRVLFVAGSYEVEEIEPKRKYVASHINPDAMKYWYKSRFAIDVHDDCSYFTCECGRFEHMGMVCCHILKVMIFLRIRTIPSRHILKRWTVDARDILPDHIKHYQKDMGPPEASTFRHSAMYITALELVHMGDSNPDSFECVMTGLCELKSKAASLCGVKDGKSMLEKSKEASASMTASLDSRQSRLSTKKTTVSLDSVVGKISYGSSEAWDDDLEDSADSKTMVVRVLDGDVVVIAKLVKRNLMLEFEAEAMSDAAAPACSSTASSEDSSCYNNLVDSGGEATSRSSKRMKM >cds.KYUSt_chr6.15662 pep primary_assembly:MPB_Lper_Kyuss_1697:6:98430786:98438230:-1 gene:KYUSg_chr6.15662 transcript:KYUSt_chr6.15662 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLATMAKRDEKKRLEKEAVAAIYVNLTKEVIEVQKMDVEAKKADTDAKTRAEDRRIMLADLSKMDDGTRAWRVLTMDLSSSLTSTSDADTSALNALLDAFSCAFSLEDIANAYCRAKGDVNRAGDFLTDLQLSMPQDNEVDPSVESNLPQISNAVEGNCMDNSKQTGTLSCIEKAAEESYVENSSQARPREKLQKSTASFGTVSSMVGKGSARAYTIPAKRASEKEKPLKVELPEYMRDDLKTDESDSAPRRETLDNRDVEEFLFSMLGEGFKLNMEVIRQVLGSCGYDIKKSMEELISFSANDLGKKPVNEEVQIEDMAVKSSFSTGSSLGSQSTSRPQITPGELLESMFTAPERCEEEPKGRRYELGANRRRVLDQKPVLKPLEDISPSSTDLPVKIILGSKEPVVGDEDDYENYRKAAKQHLDMMKQYYYKAADAFRAGNKTETDYLLKEGKNYYRMARLSEEKSSGEITKSKQELKNVLCLDLRSQDAANVANLLRLHLRQLANIPSFENLRVIIGVDDGTFKMGQRRRKVEKFLEKKSVQWTEDEANPGTLLIPINQVKE >cds.KYUSt_chr2.46531 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290841190:290841547:-1 gene:KYUSg_chr2.46531 transcript:KYUSt_chr2.46531 gene_biotype:protein_coding transcript_biotype:protein_coding MALACASQVRRLLLSRAGAPARFFHLQPYQAKVGAVVFLNGVGKGVDTHAAKVEEAVGGDLLKTRELPLKKADAPWKNVPRRRSKRSFVSSLD >cds.KYUSt_chr3.28599 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178402751:178403419:1 gene:KYUSg_chr3.28599 transcript:KYUSt_chr3.28599 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRSGMLQVLLVVAVALAVAPGVLSDPPPLQDFCVADLKAATAVDGFPCKPASTVVDDDFFSAAMVSGPSTDNPFGVNSTRATVSAFPGLNTLGLSITRTDLAPGGINPPHSHPRGSELVLVLKGEVMVGFTTATNQLFSKVVRQNELYVVPRGLQHYQLNVGKGDAVFMAMFDAQSPGLVVPTLGLFATKPAMPMEVLTKTFLMGEDEVSAMKSKFVGF >cds.KYUSt_chr7.25080 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156524296:156528535:1 gene:KYUSg_chr7.25080 transcript:KYUSt_chr7.25080 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLAADAKSMHEEEAGCSGDMRSSQARAYCGQSGIRERRKTAASGVRRTNLRRRRDAPGDADDEEGRAYGRRNRDRREARRPKLEEGEAGDAARRCGPVEQKDETRWANWEFHVGFDMRAGTVISLASIHDAEAGARRRVLYRGFVSEVFVPYMDPAEEWYYRTFLDAGEYGLGLSAFPLQPGADCPANAAYLDGYYAGQDGKPVENKNIICVFERYAGDVAWRHTESAFPDRLITEVRPDVSLVVRMVVSSGNYDYILDWEFKTSGSIKFVLSLTGLLEVKGTDYTHADQIREDAHGTLVAENTLAVYHDHYVTYHLDLDVDGTNNSFVKNIITTRRNTAGTPRKSYWTMRREVAETEGDAQVDVNAAPADLLIVNPNKRTRMGNEVGYRVVPGGATAASVLDDDDYPQRRASYSKKQVWVTPYNRNEKWAPGLYADQSTGDDGLAAWSGRDRGIRNEDIVLWYTVGIHHIPYQDDFPVMPTVSGGFELRPANFFERNPLLKTRYPMKNDQPPFLNCSCAGNSS >cds.KYUSt_chr1.8256 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50853135:50854952:-1 gene:KYUSg_chr1.8256 transcript:KYUSt_chr1.8256 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRSRRWSGMASPPPPPSHSSCTPVDVYRMPPRATFFATNRPPWISQLAEANFYTPCVEDRVCTTCGGAAFCGHCCADHHRGHDTAPAPAPAENGHAAVEEYRRDAFCTACRVAFSSDLCPHHKFECAVGEDHVIIPIVEHLSWYCARCIGVERWFPVIFDGVQTFRDEDDNFLVPLFWKGPPIPETPSWFSSLICQDFCLTCATDRVCNTCDGARFCDHCCGKHHQGHDTAAATDANDKEPPAAAHRRDSFCIPCRVAFCSDLCAHHHAEGHEVIPIDLHQDCWYCARCTGTEPWFLGAVCGATTYNDEHGNVLLPLHLNRPKPNIPMPAFMLQDDEEDCPWWVRKLVAANFNKTCARDRVCKTCGGVPFCDHCCGEHHGDHHTASARPARRMNGLAVSKEAHRRDSFCIDCGVGFCSNLCAHHAGHEVIPIDAYGDRHFVRSTGSETWFIPSTFDGIEIFEDKDGNLMVPLERKRSILPEPGLRYRYDTTPPIAPHQMDEAMEVSVGN >cds.KYUSt_chr4.55096 pep primary_assembly:MPB_Lper_Kyuss_1697:4:340121394:340124768:-1 gene:KYUSg_chr4.55096 transcript:KYUSt_chr4.55096 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPRLAGAAVVALLFLAFLLPAEAVPFVVLHGIGDQCANRGVAKFTKLLADWSAADGYCLEIGSGTLDSWVMPLEQQADIICKKVKEMKELSGGYNIVGLSQGNLIGRAVVEYCDDGPPVKNFISLAGPHAGTASVPLCGSGIFCVIVDALIKLEIYSDYAQAHLAPSGYLKIPTDMEDYLKGCRFLPKLNNEIPGERNATYKERFSSLENLVLIMFESDIVLIPRETSWFGYYPDGAFDPVLPPQKTKLYEEDWIGLKTLDDTGRVKFVSVPGGHLGISDSDMMKHIVPYLMDETSTSASLAATWYAIKEALGLTGSNTGVLLQSST >cds.KYUSt_chr4.4580 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26252165:26253318:1 gene:KYUSg_chr4.4580 transcript:KYUSt_chr4.4580 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGRPEARSRCRRRSHQDGHPTRPKELLPKPTPPDFATARSGAAPSDRLASGEESIEIERATGQAKKTDSCKRNKSKGDGERKKPGAVVGHGGGDGDDEQVSPTKEAKREWPCCDNCGGCTKSIPPQCQCMDAAPSGCHPACRQCVRSALSVDPPVYQCMDRIKNFCQRRCNAVAAH >cds.KYUSt_chr6.19017 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119732451:119734735:1 gene:KYUSg_chr6.19017 transcript:KYUSt_chr6.19017 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTATLRWRVMAASAALRVALVAYGEWQDAHLEVRYTDVDYLVFSDAAASVAAGGSPFARATYRYSPLLAFLLLPNSLLHPAWGKLIFSAADLLVGLFIDTILRLRGVPEKTRIWSVVAWLFNPFTFTIGTRGNCEPIVCAAVLWVLICLMKGRVLQAAFWYGLIVHFRIYPIIYAVPFVIVLGKNYAGPAGRPILTQWSSKQQLQSDKPSENVEEPTSLLASLWIFLSSLITRNTILFGLFSGSMFFAWTGVFFYLYGWDFLNEALLYHLTRTDPRHNFSIYFYHIYLHHQQGFSSIQKLASFLPQLIVQLALILRFSRDLPFCLLLQTVAFVAFNKVMTAQYFVWFFCLLPLILPWTRMKLRWKGLACMLVWMGSQLHWLMWAYLLEFKGRNVFVQLWVAGLVFLAANTFVMIMVIRHHKYTQLFSVSAKSGSKVAAKKE >cds.KYUSt_chr1.40061 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245385957:245387621:-1 gene:KYUSg_chr1.40061 transcript:KYUSt_chr1.40061 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYQQFRHISAPGWQLGWSWAKKEVIWSMVGAMATEQGVCSKFKSSPPHCCKKEPNIVDLLPGTPFNQQIANCCKAGVIRTFNQDPANAASSFQISVGLAGNTNRTVKVPKKFTLKAPGPGYTCGRAIVGMPTKFYSSDGRRATQALNDTAMFWGRKFYNDVLKQAGPLGNVQSEVLMRKDSETFTLDKGWAFPRRVYFNGDNCVMPSPDSYP >cds.KYUSt_chr4.23328 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146897988:146899532:1 gene:KYUSg_chr4.23328 transcript:KYUSt_chr4.23328 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLRAPFCLILLLLVSLGAAQARSTVLLDIEKEARDYGQISKEELASSKIPVHVKRGSPVCSACQNFTTDALSYLSQKESQDKMMEVLHDACAQTFTLEKKCAELVDYYASLLFAKIAEIKPDEFCEQYGLCNSLVSGVRGESTCAFCHRFVDEIETKLKDPDAELEILELLLKECNKLQGHEQQCKRLVLQYLPLILVNGEKFLEKNDICTIVQACDAGKNTLVGSFSEGALLSDA >cds.KYUSt_chr2.12236 pep primary_assembly:MPB_Lper_Kyuss_1697:2:77489055:77489593:1 gene:KYUSg_chr2.12236 transcript:KYUSt_chr2.12236 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEYAPNGTLVEHLHGDQLMEFRPSDIHELYVAARRRSSGRSTRPPSVYLTDDLVAKIADEASSTTKEMASLQSPPMSNKESVVYSYGMVLLEIMSGRFTASDGGLLEGWAASFLRGERQLREVMTRPKQERASPGR >cds.KYUSt_chr2.40647 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252534076:252536502:-1 gene:KYUSg_chr2.40647 transcript:KYUSt_chr2.40647 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGAFSTASLSARQPLEPSPATILEILENKLAALTGEAEKLIRENQRLASSHVVLRQDIVETEKEMQMIRSHLGDVQVETDMHIRDLVERIRLMEADIQAGDAVKKELQQVHMEAKRLVSERQRFTSEIEILTKEIQNFPVDNSNLPELVAELDGLRKEHHNLSKGLLKQQHISKGLLKQQPISKGLLKQQPISKGLLKQPHISKEPTKRRRISKEPTKLRHISKEIPKLQDISKETPKLWHTSMGHISKEPHRLEHISKEPHKLEHISKEPRKLEDMLTQPLMTPMLTRCMLMHMRAILAIQLQGMHSLVIPARTPHLSIQQPVARLLMAQTRTVRLLARGILLRRFRQAVELLTRDRHHQLPNPYPATYDPTSGAQR >cds.KYUSt_chr1.11401 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69872725:69881493:-1 gene:KYUSg_chr1.11401 transcript:KYUSt_chr1.11401 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPRRDFPAFPFAPYQIQSEFMSFLYAALSSGPRALALLESPTGTGKTLSIICSALQWLLDHRDAAAEGHPDRADGSTAAATGGGEDEEPDWMRDFTPLLPKKESTKKTKPRPARRQEPRKAAGAEKPGEDDGEDEFLLDEYEIDDEEGTRRQAGKRAHCGGGCSSESEDDGDEEEEEEVTPKVFFTSRTHSQLSQFVGELKKTEFSGKLRTVCLGSRKNLCINKDVQKLGSVNRINERCLELLTNKRSSKIKVEGDNRKGSRAKTSCGCPMLAKRSLQKQFRSEVSDHGALDIEDLAQIGKKIGTCPYYGARDMVRSADLVVLPYQSLLLKSARESLGINLKNSVVIIDEAHNLADSLTSMYNSKITSSQLRAVLSHLEAYLDRFQNVLGAGNRRYIQTLTVLTRSFLRVLTRNEDCSSTVTSMTINKFLFSLDIDNINIVKLCQYLKESNIIHKVSGYANKLFITQDGVNDLNHLQQHDEGSSISRFQALANFLRSLLNCNDDGRIIVARQKPGGQPEDAYIKFVMLCAEKTFSEVTEDAHAVIMAGGTLQPIEETRLRLFPSLLPSDIKFFSCNHIVPAESILPIAVTRGPSGMTFDFSYGSRSSPTMIEELGRFLCNIVAIVPEGIVMFFASYEYIKQVYDAWTASGIISKISKKKYVFREPRNSVDVEVILNKYKGAIQSCSKNSGDAVNGALLLAVVGGKISEGINFSDGMGRCVLMVGLPYPSPDDMELMETIKHIGNYTSRDDKSFISNDECKLEPGFGILRKCNRSGQEYYENLCMKAVNQSIGIDKLIPCQLAPSVGIRGVKDLISMARSRSSTSSTASNAMDRGKQIATGPADFVPHPPSRLDAYAYLEEPMEMMFGRFHFRVEKEGAYRLEIPISSGLSAVDSDFSNSTPSIESGEEETSSPRFISTRASEKLANIFSEMSFESSADSYISDDSSSVDSFNFIDKSTTVGKVFTNLYDGVTKPSRDLNTKYHQIYAIGEPSRDQEETSEAFDDLGNPYVDPSDLRRGLGSKYVGPTPRVRVQLPQAAWDRAARAMDGSEPMATTATPEELQAYQYRLARAARELEKQTAALNRRREAASASSRRRAELSRQSGTSGDSHREARNRARSRLQNIPEGEREHLVQNLDMSFMSIDTRGNIIPKTPEAGYMATQAFILASRPPPGDPREALYNMAMAGVGAMGTAFASKPPEGTARQNSPRPAAAAAVPEGTSGARDTTAQARVDRARQSRREHRHSPELNDEDMCGLPCFTRRVRKTRVPSGFKLPDNFKKFDGLQDPEDWLVDYLETVKLTGGTRATAMQSIQVHLSGAARSWIKKLPPGSIDSWDSFEDVFVKNFRSTCKKPESLEELRACRQKPDESMRKYIQRWNIIKNSAENISDERAIDAFVAGIRRGDFVEDLGRTNPKTVSALMEIANRWADGEDAVHNKRHRSPEEDRGRNYQNRRRFPR >cds.KYUSt_chr6.3623 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20918154:20920796:1 gene:KYUSg_chr6.3623 transcript:KYUSt_chr6.3623 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRTPATRSHLLLAARTFLRTGRNLSTNPPPPQTPTTVTAELLRLLSAAPAWTPDLVQAVSSTLSTASVPDVVVPVLRSLRNPSLAGPFFLLASSASSPQPLPPDAYNAVLPFLSYDPAALEKVLEEMSLLGYGLPNPACAALVATLVRSRRLEDAFRAIGAMRRLKFRPAFSAYTVLIGALADARQPERALELLRQMQEVGYEVGVPLFTTLVRALAREGRMDVALTLVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDVAWKFFHELRAQGLRPDDVSYTSMIWVLCKAGRLGEAEELFGQMEVERDVPCAYAYNTMIMGYGSAARFDDAYRLLEQLRERGCIPSVVSFNSILTCLGKKRKVDEALSLLDIMKKDAKPNTSTYNIVIDMLCMAGRVNEAYKIRDEMELAGLFPNLLTVNIMVDRLCKAKQLEEAHKIFESASQRGCNPDSVTYCSLMDGLGKKGKIDEAYRLFEKMLDAGHNANPVVYTSLIRNFFMHGRKEDGHKIFKEMVRRGCHPDLTLINTYMDCVFKVGEVERGRAIFEDIKSYGFLPDVRSYSILIHGLTKAGQARETSNIFQAMTQQGFALDARAYNAVIDGLCKSGKVDKAYEVLEEMKLKHVSPTVATYGSIVDGLAKIDRLDEAYMLFEEAKSKGIELNVVLYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNFYTWNCLIDALVKAEEINEALICFQSMKEMKCQPNTYTYSILINGLCRVQKYNKAFVFWQDMQKQGLTPNVVTYTTMISGLAKVGNITDACSLFERFKTNGGVPDSACFNALIEGMSNANRATEAYHVFEEARQRGCRVNVTTCISLLDALNKSECLEQAAVVGAVLSEIAKSQHASRSL >cds.KYUSt_chr1.23016 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136569377:136571282:1 gene:KYUSg_chr1.23016 transcript:KYUSt_chr1.23016 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAGDEPGSGGTTALPIGEESSGRLLAGEKTDPKEAAQSVGDSRGKRRKVEGVSAAEGGKRMLTAEEVALAARPLPPEEIPYPKSGEHTDVVAWINANDALYNKDNLGDEGSLFNILVLGRGKVRVKKSVASAASMESMDGRDMIADVARSVVNVSATEPDGKVLYTTGIIIEYDEIGGGGVGGRRWRRPEEVVVAMRRRPEEVEAGGGGRRRWTTPERRLRLLEVAGGGHAEEAMRRRPCGGGGMRRRPEEAMRRRPEEVDGAAVGGVRRRWRRRKREGRGESEESEEEVDGLHYI >cds.KYUSt_chr7.13081 pep primary_assembly:MPB_Lper_Kyuss_1697:7:80799182:80801115:1 gene:KYUSg_chr7.13081 transcript:KYUSt_chr7.13081 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGSHGGFGGAVAAFAPGDGSAVVRMICAAVFFSGDPAGGTGGVGAAGLALFLVAVLGFLASEDEDLSEWYACGGGSTGEVRSLTSQGENPRMLAAAVLAVLFVGAWCAAPVEFTVEKGSDEKNLALSIKYNKEGDAMAEVELKEHGSNEWLALKKNGDGVWEIKSDKPLKGPFNFRFVSEKGMRNVFDDVVPADFKVGTTYKPEE >cds.KYUSt_chr7.10182 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62345909:62348312:1 gene:KYUSg_chr7.10182 transcript:KYUSt_chr7.10182 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTENRLAALLLEEARRLRAEAEKEGVHAYLQKPNVRHRPNSRFLTATVLGVQQANRVVEVNEMWRARGKELELESKMETRTSGGVDSRSQKRKSSRDQSFSSKNEQDRPYARSCSSRDPRSSSYSDREDSTSLDREDGLGDDEIEDFLHSRVKRGRGAIGSRMDEPGPYLTAPSSRQDELASPDARVKEELKHRVYGPEKPLFLRSKSSDEEPSTSKRKHREKKNNSSTRERKEEKRRHKHHHHKHRSHS >cds.KYUSt_chr4.39904 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246394226:246397146:-1 gene:KYUSg_chr4.39904 transcript:KYUSt_chr4.39904 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYPVVSAEYQEAVEKARQKLRALIAEKNCAPLMLRLAWHSAGTFDVSSKTGGPFGTMKKPAEQAHAANAGLDIAVRMLEPIKEEIPAISYADLYQDKPQPPPEGRLPDATKGSDHLRQVFGKQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTKNPLKFDNTYFTELLSGDKEGLLQLPSDKTLLTDPVFRPLVEKYAADEKAFFEDYKEAHLRLSELGYAEA >cds.KYUSt_chr3.28894 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180385463:180387082:-1 gene:KYUSg_chr3.28894 transcript:KYUSt_chr3.28894 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCHIGTGTGWCKCSGALDHLANTNHSLSLPLPAWRESTSYTRKRGTQRLKASPDPFPPLTTSRCSLPNLLLTDAGARAHMDASQGVLLSSALAGAKASWPELLGSAHWDGLLDPLDHTLRRLVLLCGDLCQVTYDSFNSDSHSKYCGTCRFSRATLLARTQFPAAADVSVAANLYATAATSLPAGFMVHSLSREAWSKESNWIGYVAVSSDAAAAATGQRVIYVALRGTIRNLEWIDVLKPDLVAPDVILPADDPARGHARLMKGWYVIYTSTDERSPFSKYSARDQLLAAVRELVARYKGESLSVVCTGHSLGASLATLCAFDMVVNGVSKVGDAYIPVTAIVFGSPQVGNPEFKKRFDELPNLRALHVRNKPDLIPLYPSNLLGYANVGDLLSVNSKKSPHLKPDTTNVGDYHNLQGILHTVAGWNGEDGEFKLQVSRSVALVNKSSAFLKDDNLVPESWWVEKNKGMVLGPTGEWELEQPAEENLPVPPVVTGKVIADDVAATTSSKEPKIPEEGKKKTKGIKFLPACFKGVIN >cds.KYUSt_chr5.4905 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31041481:31042047:1 gene:KYUSg_chr5.4905 transcript:KYUSt_chr5.4905 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRNLIQIINACSNMDFRALVLQYMPNGSLDTLLHHSHLRERQIGFHERVGVMLDVSMALEYLHHGYHEVVLHCDLKPSNVLFDEDMIAHVADFGIARLLQGNDSSTTASNMPVSIGYMSPEYGSYGKASRKSDVFSYGIMLLEVFTGRKL >cds.KYUSt_chr4.9077 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54598846:54600744:-1 gene:KYUSg_chr4.9077 transcript:KYUSt_chr4.9077 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYTDLLDNPISRIRNSSAYLLLMGLYTDLLDNPISRIRNSSAYLLLECNCDNNRLRNQAYQPCIPMCQVHRLRLHLDKESRTSSTLRLPRSSASTSHTPTRNKGNYNEVLLSSSFAGDQNERDAPLPLLVHTPRIDESIPKATGKSPLTAPRGVTPWTNGSAAKHHAIFFNIFEIQIRRTGSGWGEPHRADASIRERRGSRRLTTELRRAPQTKESRTCTKHFTGATTISMAPPAANPTDPTLYTTAKRGSPALPPPERPAEGERSGETAVARWIGGEISEIALCYCAGEGDVLGSSG >cds.KYUSt_chr6.32757 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206419459:206420045:-1 gene:KYUSg_chr6.32757 transcript:KYUSt_chr6.32757 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGDAYGAKEPIFCYYKIALIHLLLVSATCSTTLAAAAPVRSFRRNLASSSASKRPPADPRNGADDKTGYKGMFVKVNMDGVPIGRKVDLKAQGDYGNLSVAVDRLFRDLLAAQRDQRSCAEGNQPSITGLLDGSGVYRLVYEDDEGDQMLVGDVPWE >cds.KYUSt_chr4.52563 pep primary_assembly:MPB_Lper_Kyuss_1697:4:326096432:326097715:1 gene:KYUSg_chr4.52563 transcript:KYUSt_chr4.52563 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEMVESTLVAPSEETPRQALWLSNFDVTAAMTHTALVYYYPAPTTGGEGFFSSDRLMAALAKALVLFYPLAGQLGRDEDGRLQIDCHGEGALYVVARADCTGEDLFSNYVPSPKVRRVFVPVAPSGDPPCRMAMFQVTLLKCGGVVLGTAIHHALMDGIGAFHFIQTWSGLARGLAVAEACPSPPSHDRALLRGRSPPHADFHHSAYSSAYLSGLPRPCITLRYSISPKLLADLKSQCAPGVSTYGAVTAHLWRCMCIARGLASGSDTHLRVTVNVRHRLCPPVPRYFSGNAILRDLVTVKVADVLSKPLGYMTDAIKKSLEDVDDAYVRSMIDYLGLESDKGSLQAAPWQLLPESDLWVTAWLGLPVYDADFGWGAPRLVAPAQMFGTGMAYVMQHADRDKGFVVFLALEPQYVPCFEDVFYNN >cds.KYUSt_chr1.3861 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23615389:23618151:1 gene:KYUSg_chr1.3861 transcript:KYUSt_chr1.3861 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLRLCLRLHARHSSSTSPPTRCWEPAAAFAAATERARNGKLTPADAHHLFDELLQQGTPVQARPLNNLLAALARAPASCSCSNGPALAVALFSRMSQGACRRVARPTACTYSILMDCCCRAHRPGLALAFFGRLLKMSLKADVRVINNLLKGLCRAKRADDALVVLLHRMPELGCTPDVVTYNSVIDGYFKEGQVGKACNLFHEMARQGIAPNVVTYNLVIHGYFKEGQVGKACNLFHEMAQQGVVPNVGTYNLVIHGYFKEGQVGKACNLFHEMAQQGIAPNVVTYSLVIHGYFKEGQVGKACNLFHEMAQQGVAPNVVTYSLVIDGYFKEGQVGKACNLFHEMAQQGIAPNVVTYNLVINALCKAKAMDKAEYFLRQMVVNGVEPDTVTYNSLIHGYSTSANWKEALRVFKEMTTRSVTADVYTYNVFMAYLCKHGRSKEAAGFFDSMAMKGLKPNIVSYNNLLHGYATEGCLVDMNNLFNSMSRDGILPDCHVFNTLINGYSKAGLMDEAMLILKEMQKQGVTPDVVSYGTIIHAFCKMGKLDNAMEKFNQMIDIGVQPNIAVYGFLIQGFCTHGDLVKAKELIAEMKNKGMRPPGLAFFNSIMHNLCNEGRVMEAQGILDLIVHTGERPDVVTFNTLIGGYCLVCKMEDAMKVFDAMVSYSLEPCSVTYGILINGYCKNRRIDDGLTLFQEMLRKGVKPTTFNYNVILDGLFLAGRIVDAKEKFREMAESGLNVCIDTYCIVLGGLCRNNCSDEAITLFQKLRTANMKLDNIIIVNIMIGAFYRVQRNQEAKDLFAAIPANGLVADIGTYSVMMKNLIKEGSVEEADNLFSSMEKSGCAANSYLLNCIIRSLLEKGDVVRAGNYMSKVDGKNCSLEAKTVSLLIALFSTKGEYRKNINLLPTKYQFLEGAVAGP >cds.KYUSt_chr5.2336 pep primary_assembly:MPB_Lper_Kyuss_1697:5:15755535:15759012:-1 gene:KYUSg_chr5.2336 transcript:KYUSt_chr5.2336 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVLVHHRNYQEPASRSQDAYHLNPASPPRLSWEHCCSGLDPNPRNAVGAAASSQQPRQTCKRAVFSSPPTNTRGMLALFEEDPRRASHRDTTREAGALPASQDHSHVVSAEIHKEELRPELKFPRRRLQGGLGLYFLICLITKLDILMHGGLPTTMHRRLRSTDNREVFVDKKGPPYPMTGQQWLATQMWAALLEVEDKVQEIGA >cds.KYUSt_chr2.1729 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10293982:10296621:-1 gene:KYUSg_chr2.1729 transcript:KYUSt_chr2.1729 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHNYAANEWFGFRLELIGTLVLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYYAISISCMLENDMVSVERVNQYSTLPCEAAWAVADCLPSTNWLRQGDIDIKDLEVRYRSNTPLILKGITISISSGEKIGVVGRTGSGKSTLIQVLFRLVEPAKGQIIIDGVDICTLGLHDLRSRFGVIPQEPALFEGTIRSNIDPTGQYSEGEIWQALERCQLKDTVVAKPEKLDALVSDMGENWSMGQRQLLCFGRIILKQCRILFMDEATASVDSQTDATIQRIIREEFREHTVISIAHRVPTVMDSDRVLVLDAGLVIEFDAPSKLMGGPSVFGAMVQEYASHSSGKQATDG >cds.KYUSt_chr4.41214 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254796624:254798013:1 gene:KYUSg_chr4.41214 transcript:KYUSt_chr4.41214 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVCCEVPAVAEAEGKKKGAAECDAGSAAARRRRMEIRRLRLAAERDGAAEETSRKRRKVVVEVEEEASTDEETSVEVEPARYGVTSVCGRRRDMEDAVSIQPDLLPGHHFFGVFDGHGCSHVATSCGERMHEIVAEEARTSSASNDAEQWKGVMERSFARMDAEAVDSRASSAGAGPAPTCRCELQLPKCDHVGSTAVVAVLGPRHLVVSNCGDSRAVLCRSGAAVPLSCDHKPDRPDELARIQAAGGRVIFWDGARVFGVLAMSRAIGDSYLKPYVIPDPEVRVVERRDGEDEFLILASDGLWDVVSNEVACNVVRACVRGAGRRRRRGEGRASPTSNLSPTQSSGSGSGSGSSSGEEAGNECGGGSGSGAGSESDEEVGEVDRACAEASILLTKLAIARQSSDNVSVVVVNLRRRRPRF >cds.KYUSt_chr3.43531 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274694462:274702205:1 gene:KYUSg_chr3.43531 transcript:KYUSt_chr3.43531 gene_biotype:protein_coding transcript_biotype:protein_coding FHVGAGIPGVAPHYISPPSTFNVLLGSSWFHVGAGIPGVAPHYISPPSTFNVLLGSSCLEGTRTAGQLCSIIVDLRSQRGGEGPCGSPPGAASPAREVEDWLEPSWKRRSGRARSSRGQGVCAARDMPRRSAREEASLIRFMKRTAGLCSRCLAPKHRAADCKNDIRCLTCNLSGHQERDCHLRRKNCNSHKRPPVQRTAPASTVSSPLAPGARSWAEVAAPSLPSAEPSASGDPLVHAFGTTQAAAIRSELNEIVRGAMAPLLAEAAALHDWNAKATRLLMQMGNLVKTPSDPNPDVTSLRASKDICDGICNGLASGMGCDHESASMEGQLQQFVPSAAGAASLCELEHVDTSQTYL >cds.KYUSt_chr6.30522 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193391727:193394462:-1 gene:KYUSg_chr6.30522 transcript:KYUSt_chr6.30522 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELKKLIGAPYYIECSSKTQLNVKGVFDAAIKVVLAPPKAKKKKKAQRGACSIL >cds.KYUSt_chr4.6688 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39365253:39369971:-1 gene:KYUSg_chr4.6688 transcript:KYUSt_chr4.6688 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLPSHCRVVFSGGSRHPTDLLGGAPRAAASRRPRPIAVACRAATRAKEAPSAGPPPQALAKEAHKYFDHAVISVRAGDGGHGAVLNMPPGPSTDAAPKSRGGKVDKSKGKKGSGKKVSYKRNYDGSVSLPLGGHGGDVVLYADEAEESLLGFHSKPRHCAKRGGNVGANGAMTSRMHNGSAGETLRIPVPVGTVVRRKKGSVLADLAHPGDEVLVARGGQGGISLIDAPDYKRGKAMALSPNVMRDVTDKVLTHGQPGEEISLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPTLGALQFNSGATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAAADDPVNDYKIVREELRMYNPKYLERPYVVVLNKIDLPKAQDRLSSLAFEISSLGSEECHDKNINKEISNENFSGHHVSAGNDKELGDYPRPQAVIGASVLRHIGIDEMLKEIRIALAKCSNGMLLQP >cds.KYUSt_chr6.14276 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89238826:89244976:1 gene:KYUSg_chr6.14276 transcript:KYUSt_chr6.14276 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDGGEVLFLGSVGEVTVTLGHDGLSFQPLHPEPSSSCWTSIILQPKLESQIKFADVYAVELLSEGPVCGPWNPRIAIQGKTNNEMHRFAVHVVTRSIKQPSPWVPCEYLFGHKDPEICKNWVEILSACTNSEQDRPKNLLVFVHPLCGKGRGRKNWEVVAPLFDRAKVNTKVITTERAGHAYDTLASLSDKELKKFDGVVAVGGDGLFNEILNGLLSSRHKTSYPPTPEGFGYVGSTETHQGYRNDGLSNSMPTSDAVNVMLPGGSNKSDDHEPLLSTAQSPGLDISSLNPNTEPSSGDQVPSVSFPNDWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRMSLDIAQVVRWKSSPSAAILPTVRYAASFAGYGFYGEVIRESENFRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTDSLTASAENVSSGVKLLQPRQKRPRKTICQTNCSVCKETSTSGQSSEGENPDSSQTICENPKWVWSKGRFLSVGAAVISCRNERAPDGLVAEAHLSDGFLHLLLIRDCPLPLYLWHLTQFTKKGSDPLTFKFVEHHKTKAFTFISSHDESVWNLDGELFQASEVSVQACRGLVNLFASGPEV >cds.KYUSt_chr7.30411 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189349122:189350528:-1 gene:KYUSg_chr7.30411 transcript:KYUSt_chr7.30411 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGDLPLPHVVLLPSAGMGHLVPFSRLVVALSPSCDVSLAAVLPTVSSAESAHLDALFAAFPAVRRLDFPLAPFDASEFPASADPFFLRFEAMRRSAPLLLGSILAGAAASALVTDIALASVVIPVAKDLHLPCYVLFTASAAMLSLCVHFPAYLDANAGGPVGDVDIPGVYRIPKASVPQALHDPNHLFTRQFVANGRVLARSDGVLVNSFDALEPEPIAALQDGSVATGFPPVFSVGPLAPVSFPSGEPAKNQPPYMRWLEAQPARSVVYVSFGSRKAISRDQLRELAAGLEASGHRFLWVVKSTVVDRDDDADLSEMLGEGFLERVQGRAMVTKGWVEQEEVLKQESVGLFVSHCGWNSVTEAAAGGLPVLAWPRFGDQRVNAGVVARSGLGVWVDRWSWEGDEGMVSREEIAEKVNALMADEAARKKVVGLRDSAAKAVADGGTSYRNLAEFVQRCRDESVS >cds.KYUSt_chr1.27320 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164672534:164673135:1 gene:KYUSg_chr1.27320 transcript:KYUSt_chr1.27320 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGTATPGLVVTATDPIRSFLSSAAASPDLAADLRDLASALSSAPAVPYRSIRAIWCADSSPGRPPLRQLLQGAQFVLSSPKPREKSDELKARLEKLREMQERREYAELVKDVAPKEDTTEPFSSYKDQLGFGTNHHFPALVANSSLQSSEDLVKTNKGFHLPPKVEVHC >cds.KYUSt_chr3.36815 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231529810:231530463:1 gene:KYUSg_chr3.36815 transcript:KYUSt_chr3.36815 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTVGRSSVLPPLPRRQELRVAALAAPAGGILAAVAVIDGEEVPGQAAGRAVEAVGRRGSGDHHPEREARTRDGGLGRGAGCPHWEEGDGLDCCSALDEVDAQVDLVGASMERRSSAESGSARPRASGSRRAPVLREVGTPATSVLMEVGTRRPSAVPVLQLVHSGEPLPAPCTASREKERMEASLAESQYKAREREIERGTKERLRSALLCRID >cds.KYUSt_chr7.8020 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48478242:48478766:1 gene:KYUSg_chr7.8020 transcript:KYUSt_chr7.8020 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIVATTQAKVVFMVFLLGSTFSAVPVSPADNNCSWDLYRRFVLARSHLVLIAFSAGLLLFMVWTGLRTAEAYAARAAEFACAKYFDADHEGLGAVASRWTRFWLRGKLLAAVAFSFAASVLAVIAFEDGLLYRTGCHDDHAGYGFGSPLGVLVIVLFALAHGFFAWVAVTQN >cds.KYUSt_chr5.6252 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38606844:38607790:1 gene:KYUSg_chr5.6252 transcript:KYUSt_chr5.6252 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLPLLLLLPLLLLLLAASTAYSQSPAAAPSSSHSSAVAQSPTAASPTTSATPGPSSKNPISLSPASPPSHSSTKLTAPAAAPIQATAPPTLPPPTPSPVSSPPPTFPAPATAPAASPPAPAPITAAPTLPPPVAAPALSPPTLAPAPALPTSPPALAPAPVVVAAPAPAPTKKPKTKKHVAPAGSPLSAASSPSTGGLAPGPGPSAADMSGDAAGDYKATGVAVLLLVLVSLTLGPALA >cds.KYUSt_chr3.48221 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301670350:301678748:1 gene:KYUSg_chr3.48221 transcript:KYUSt_chr3.48221 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSETSEPPESPSPYAADFGSGDGAAPPGPDDGPGLDDGDDDDGPEPPPAAVPFKRLFACADRLDWALMAAGAAAAAAHGVALVVYLHLFGKAINTLHGRHSHELFHSIKQHALYFLYIAIGVFFAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFLGGLVIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASIAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGRANGGEIVVALFAIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSTVNQDGRTLSSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHAHTFISSLEKGYETQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDVLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHEELLNLDGLYAELLKCEEAAKLPKRTPMRNYKEPSSFQIERDSSASHSFQESSSPIMSKSPSLQKTHGFLAFRNSDANRSRESPNIQSPPSEQMAEIRLPMVASERAPSIKRQDSFELKLPDLPKIDVPLHRQSSNTSDPESPISPLLISDPKNERSHSKTFSRTLDMFDNFHADVSKKHQTKTPSFWKLAELSLTEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYRIGVRDVHDEVNKYCLFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDDEENSADILSMRLANDATFVRAAFSNRLSIFIQDTSAIFVALLLGMLLEWRVALVALATLPILVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGSILTKSFVHGMGIGFAFGFSQFLLFACNALLLWYTAVAVQDGHLTLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRFPKIDPDDASGLKPPNVYGSIEFRSVDFCYPTRPEMMVLSNFSLKVNGGQTIAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNVRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPIVLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLVQMNGLYIKLMQPHFTKGFRQRRLI >cds.KYUSt_chr1.12254 pep primary_assembly:MPB_Lper_Kyuss_1697:1:75690885:75693363:1 gene:KYUSg_chr1.12254 transcript:KYUSt_chr1.12254 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVYCLDAGYKKDHIRVQLVRSHGLVVVRGERAVAGNRWSRFRLEFRVPDGCDVRGIHAKFEGGVVRVTMPGIKTGPAAAVGGKLPEPAAGVRDDRGGDKKEDKNVQKQPEEDRVVKDGGRLDHGAGVVGGMEALAAPASGRSYSYLPERRMLLTTVVGAVLVLFSLGIYVRLRNIHPTDAMGKKSWPFRKTKNNHEASDSQSSKKSRVGWYKCPPMGGKPVSAMARMLACLEETGTSTPGACRSLPCHARAESAVTSCTTIEPSCRAGHLGDLDYFDREIVAKEYANDNDDNEDDDNVAVETECETSSNGHVQGGDSDHDNGGPAWDPEIQPLDISEEVIAMALANSKLAMWDMLAIQLRECRESALPHGRPATTPTTPTHSNDREPTPAPSTVWDPWPPSPQHPALLTAWPQLPQAPIPPPPSAYQLPWRMPEFIDLVNDDEQ >cds.KYUSt_chr4.41837 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258692168:258695073:1 gene:KYUSg_chr4.41837 transcript:KYUSt_chr4.41837 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDKAGCPTATLPLDRFLASLAANVEQLGKRWEIAVCDRRAKEIRRKAEMAPAVELHTPLFYATCALGGVLSTGLTHLAVTPLDLVKCNMQVDPNKYRDISSGFGVLLQEQGLGGFFKGWMATLVGYSSQGACKFGFYEYFKKCYSDIAGPENADRLKTVIYLAASASAEVIADIALCPMEAVKVRIQTQPGFARCLTDGLPKLVQSEGAFGLYKGLLPLWGRQIPYTMMKFACFETIVEMVYKHAVPKPKDECSKPLQLAVSFAGGYIAGVLCAAVSHPADNLVSFLNNAKGATVADAITTLGLWGLFTRGLPLRIIMVGTLTGAQWATYDAFKVFVGLPTSGGVSSSYHATSNLRQVDHEKQN >cds.KYUSt_chr6.2934 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17237464:17238087:-1 gene:KYUSg_chr6.2934 transcript:KYUSt_chr6.2934 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLAPPNSTGRSDRKRGFGEAIEEEDAAPTTLPLFDDGSSSSNSGGSIIKNSKKPALVGWPPVSSARSRVSSSGRHVKVRKEGAAIGRKVDLSLHGSYGDLLATLARMFPADQAGCVGTSDEETIADGGRGGGADGLVVTYEDADGDWMLVGDVPWEDFARSVKRLKILL >cds.KYUSt_chr7.5991 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35906814:35907992:-1 gene:KYUSg_chr7.5991 transcript:KYUSt_chr7.5991 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRPLNDDLIAEILLRLPPDEPEHLFRAALVCKPWLRVICDRGFRRSYRAFHGAPPLLGLLHKLMVMQGPPPDRFASTTSIPEFPHPGSDGRRTRPLDCRHGRVLVHMLERDSSQGYLVWDPVTGDRHDLPEPDVDWLIYSAAVLCATDGCDHLDCHGGPFRVVFAATHDREDIIVASVYSSETGACSVPVRLDNTCKIYAQHMREGRVHGHMAPYYIPYLQPRRGTLVGDAVYFTVRHDNAIVKYDLGKDRLSMIDSPQPEESDIALMAMENASLGFACIQDSRLYTWLRKVDTEEAAEWVQYRVIELEKTVPVVNPDDEPLVVGFAEGVDVIFVSSGVGLFTIKLNSGQVKKVDESGVYFSVLPYMSFYTPGMMLCLTVLSIVLPFC >cds.KYUSt_chr2.2082 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12556742:12563386:1 gene:KYUSg_chr2.2082 transcript:KYUSt_chr2.2082 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRAAHSLASRSLLLSARALHAAAASPAAGGSRWGNNNPPPPSPAPSSRALQGGIAGAVSFSLTFATVAAAEVQAKERLPADLLPHNVVLYQYQACPFCNKVRAFLDYHDIPYKVVEVNPLSKKEIKWSEYKKVPILTVDGENLVDSSDIINKLQRKISPDVESTSEEETKWRRWVDEHLVHVLSPNIYRTTSEALESFDYIANNGNFSFTERFAVKYAGAAAMYFVSKKLKKKYNITDERASLYDAANTWTEALNGRNFLGGAKPNLADLAVFGVLRPIRYLRSGKDMVEHTQIGDWYQRMEDAVGEPSRIEEGQE >cds.KYUSt_chr2.14738 pep primary_assembly:MPB_Lper_Kyuss_1697:2:92888145:92890976:-1 gene:KYUSg_chr2.14738 transcript:KYUSt_chr2.14738 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASESNNAGLTDYLLRNELTDRRVDFQLVQIVLCPVILLAGDVVDYIVFRAVCSGWRACTSDARDPTLRKSDLLPRGWVALCDGDAVRPDDTCEITLFHTRTARRLHVHLPELRNHRIVGFTQGLLILVNKRTIAIRVLHPFTRVVVDLPSLVPVFHDAVSNRNSVLGMHAAVCSASATSIAVVVWFPSTAVVLGAEVGRPTWEVLHRGLFLRCVLPFQGRLYATMGCSREIMQLYPRSPHPVLARVPDDFGDPRQCKYFLVECRGQVLLAVHHSTARPCGADPFHQNAYKLFALDIDHGKLIPVSCLGGHALFLNGDRCLSVLASDLPSVSCNSIYHTLRGRPVVVHSVSTGFSEQLAVSCQIHDGKDRIRPSVRPFTIVDHLLTYCHPHEWTKGLMFHEYHSIPESFEELAKYIKAKNSELHIPRIARKKPRHRTTHCPMNNEDWLENEPSLDSFTHLDGGLLQGLGGSLNSTTALPPPPLLMLAIAGTYFTQFDTSPTNARN >cds.KYUSt_chr1.21866 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129105925:129107959:-1 gene:KYUSg_chr1.21866 transcript:KYUSt_chr1.21866 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCDLLDVDPPEIQFPFELDKQISCPLKMTNKIDRTVAFKVKTTSPKKYCVRPNNGVVLPRSTCEVVVTMQAQAVAPPDLQCKDKFLVQSVVVGDGLSAKDVTPQMFMKEEGNLVEEVRMKVAYVMPHESQSEIAEESDGPQPILVPVQRTLDNGRSASELSSGSVSLRSAQLGTEVGSPTGAVGKTEELLMPTGRAAETRTYAGPGARSLDLRALIGKLTEEKDSALEQNKKLQYELVSINSALY >cds.KYUSt_chr6.12471 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77953008:77955799:-1 gene:KYUSg_chr6.12471 transcript:KYUSt_chr6.12471 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLKSSEGSHVAYGTDSRKDIFFWGDVLGSVLDHGDASKANVSLPRLLNSTQILEVQNVACGEEHAAIVTKQGEVFSWDKESGDRLGHKVGVGVSHPKIIDSLAFTPVKTIAFGAKHTCALAVSGELYEWGEGAHSLCLGDDQCHRSQWFPHKLYDPLDGISVLKISCGQWHTAIISSSGQLFTYGDGTFGVLGHGDTKSVARPKEVESLKGVRAKAVACGPWHTAAIVEILGNVKSNAPSGKLFTWGDAERGKLGHADDKMKLVPTCVKPLTDVDFAQVSCAKTLTVALTITGVVFTIGSKQHGKLGINHQTDDASICTVEGPLKTEFVREISAGSSHVAVLTMNGRVYTWGKGTEGQLGLGDYADRSISGKDQSVCRSCGLSFSFTRKKHHCYNCGSMFCNSCSSNKRMPESVPSKYTSSFPQAASNLKAESDSAEKILLREVKQLQAQVTTLAEQCRHRSLKVQSYKRKVDETWLTVRNEAAKSKTAKDIIKVLTNQRNALSKKISAGQQSYNSETIPSRITSGVKAELPDPPDETPVTVKSKPSGIRDHHEQLGRECIHSKNTATVNDSTVRRNGRKEPNNSYIYASETVATVHPIDSYGTVEQISRGVYVTIVTSPGGNKGLKRIRFSRKHFVEKEAQKWWEANKSRLFAKYSSMEQLTE >cds.KYUSt_chr4.4048 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23126214:23130306:-1 gene:KYUSg_chr4.4048 transcript:KYUSt_chr4.4048 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDVASYGESIGPVDYGCVRRYRHRRLLTFLWLHGFRDALRGLLNETDALMSVEHLSRLVQQGLWDDAVTYVSRFLRPVTHPQSDEAQVLIHFLRHHRAFAGMVAGQKNRDIKYFNYKYNSRASLDWDRVRHKASLIVQDLAYKAPELKDLALFPAGSMMPHDVLPIGFRYRRRRYVKEQDLPGSKTLTKIFLKIKKRLPSSTRSHELNTGLTDKTRKWLVDILDESLQAGSLELQSSGKEGVPGATVSHTMLNTLTNLTRNSVAGTPSLANADAHVAPVLQTMSGTLTVPAKISGISSVKNSVSQSVNLTSHAENSGISAVINAGPAKN >cds.KYUSt_chr4.9779 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59116828:59118224:1 gene:KYUSg_chr4.9779 transcript:KYUSt_chr4.9779 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTIILAVLAVSMAGAAPHVLAARELLDAVAVDAAMVSRHEQWMAEHGRTYLDGEEKARRLEVFRANAKLIDSFNAAGENSHRLATNRFADLTDEEFRAARTGLQRPPAAVARAGSGGFRYKNFSLADAAGSVDWRAMGAVTGVKDQGSCGCCWAFSAVAAVEGLTKIRTGRLVSLSEQELVDCDVNGVDEGCDGGLMDNAFQYMARRGGLAAESSYPYRATDGSCRRPGSSAASIQGYEDVPENNEAAMAAAVAHQPVSVGINGGDYVFRFYDSGVLGGSECGTELNHAVTAVGYGTASDGSKYWLMKNSWGASWGESGYVRIRRGVRGEGVCGLAKLASYPV >cds.KYUSt_chr2.53712 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335087862:335089456:-1 gene:KYUSg_chr2.53712 transcript:KYUSt_chr2.53712 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIIQLDFNYRPDVSMPLRRTPDVGSSSSSSPESSGDNRGRGEQPVEEEDGTRSHGYGITGVWDFPTDVLVEILLRLPPTSLCRARLVCRLWRDVVSDCTTEMQSRAKALLWNPNTCVAYVVDDLSTGTCRELWRRRDGGNDGDVQLVGTCNGLLCLCDDKEIGGVITLVNPATGETLPLPPLPCAGRFIGLHHRNMWHSAYSFACHPTSGRYKVVHVPCIFGHVCEFVGMRVLTLGQMSWREVPMACGLWRRRDADGAAGIVSEGSASRGHTSCTASMC >cds.KYUSt_chr2.25640 pep primary_assembly:MPB_Lper_Kyuss_1697:2:156917179:156920698:1 gene:KYUSg_chr2.25640 transcript:KYUSt_chr2.25640 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTDSGTKKNASFRPEDPAAISGGTRRGGSMAGEKSPRAFSMDELPGHLIGEVLTSGRLAAADLARLELTCRALRPLAEHAASRLCAARTAFAVMGPADRGELLARCGGSWKKVLRFLQSVQQSSDTVETSSGSMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTQCVAFSQVSFPSLARVANISAFHNHAAFVTESGEVFTCGDNSSSCCGHGDVGRTIFRPTQIEALKGISCKQVATGLSFTVILTRNGEVYTCGSNTHGQLGHGDTIDRAAPKIVELFKGTTPVVQVAAGASYTFAVTDDGTVHSFGSCTNFCLGHGDQHNELLPRAIQSFKRRNIHVVRVSAGDEHAVALDALGHVYTWGRGYCGALGHGDENDKTSPELIGSLKGQVAVQVCARKRKTFVLTDEGSVIAFGWMGFGSLGFPDRGSSDKVMQPRVLDSLRGHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGQEYIRECLKPTEIMFHKNSIEDIAIAAPIG >cds.KYUSt_chr4.10901 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66206404:66209001:1 gene:KYUSg_chr4.10901 transcript:KYUSt_chr4.10901 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLASSPFLPSLATPNHKRISLRLPARRLPVAASSAAPKGAAAAEAARERRRFLERYGLNPDDYEEDTEPDPREERRRERRMRKSGRGEEGAAVAPARPVERRETHKMLQVLAGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGSPASLRPGLWLDLYSGTGSVGIEAMSRGCSEAHFVEMDPWVISEVLKPNLDCTGFLGVSHIHMLRVENFLDNAEKSKGRYPSFDYISVTPPYVEVNYSTLLDQLSRSPLVGEDCFILVEYPLKTDMPESCGDLIKIADRRFGRTNLLIYGPTWSEKKKGQVLR >cds.KYUSt_chr1.34224 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208202672:208209773:-1 gene:KYUSg_chr1.34224 transcript:KYUSt_chr1.34224 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEGGEDRRLGARSKRRELQPAAAAYFGELEEALIHGTYTAAGVDPVMIEGDVHTKSAAGYLAARPPTLEIFPSWPMSHLQQPYSANSQSVGSTDSSSAQNTMSQAELVSPVSMRTDSGQPQEVLMVTIDDYNYNQGLGPAPAAAPSFQQHAGGAQDKRKHGSTRKDGTSLDPKTERRLAQNREAARKSRLRKKAYVQQLETGRIRLQQIEQELQRGRSQGLLTGGCSAPGEMSSGAVMFDMEYARWLDEDSKYMTGIQGALQAQVLDGNLGTIVEECIRHYDELFHLRAVLARSDVFHLMTGMWATQSERCFLWMAGFRPSEILKMLIPQLDPLTEQQLVGMCNLQQSSEQAEEALAQGLQQLHQSLADAVGAGPLNDGADVANYTSLMALALDRLDNLESFYRQSELIHYSDISSHPFHTLFRRNFIMTENVSSTGAEFQAIHQSQQNQFSGL >cds.KYUSt_chr4.2213 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12156099:12157724:1 gene:KYUSg_chr4.2213 transcript:KYUSt_chr4.2213 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFTLFYPFLALIKSFLVSQESPRRHVIAPCRTSRNVPRIAETEATPAEPSAAVQGATAPLVQALKSTAAHEVSCFHFPGHNRGNAAPSSLSNLIGMGAFTHDLPELPELDDLFYPKGVILDAQNRAAQQFGSSKTWFLVNGSTCGIQAAVMATCSPGDYLVIPRNCHISVISAMVLSGAVPKYIVPEYNSGWDIAGGVTPSQVDQALKELQKDGKKIGAVLVTSPTYHGICSNVRGIVDACHPLHIPVIVDEAHGAHFRFHDSFPSTAIEQGADLAVQSTHKVLSSLTQSSMLHMAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDAARAQLSENLKSFDEPVAMAFETREQLRLIPGISVLDLSIFVSDFPAIDPLRITVSATDLHLSGYEADDILAEEHQIVSELVGTKAVTFAVNLGTRQQDVEKLVQSTKHVSEKHSSAKESRFRKCRSPLDKFSVKLTPREAFFTNKKRVCIEDSIGEICGELICPYPPGIPVLIPGEVVTQESLSYLIHVRDQGMVISGAADAKLNSIMVCNL >cds.KYUSt_chr6.30785 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195144985:195145572:1 gene:KYUSg_chr6.30785 transcript:KYUSt_chr6.30785 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLATLWFIVFLSIGLANAGRVARYSSSQGTGSGGGGGGGEVNGGGSGSGSGGGYGQSSRSGAHASSGGGGEGGGGSQYDGTGSGSGSGAGSGSGGYSEDMYEGDVAGSSNSGGTGGGGGGGQATGDHYGSSGYGSGSGSGSGSSDATNKYYEENTYANAYSNGGGGGSGHGTNGGSGSGSGTGSGFGNANP >cds.KYUSt_chr4.20921 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131603036:131603728:1 gene:KYUSg_chr4.20921 transcript:KYUSt_chr4.20921 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPTRASFVTPRSFFNWGRGAKEADTPPPPQFQYHDVERPFPMSLVAKTHLRGRELSCCYRATVDGFGATDFHRRCDFKGPCIVVGYTAGAGSVRFGGFSPEGYRSTDDYYDSLDAFLFYWPAADDDEAGPVVLPKVGGSGAALFDYARGGPQFGADGLLIGPPLTAVMGVFTGPDASVGVGDLRRARSRLGLSYARRADGKESLFGDDSKADLDEVLVFCSPQIASMY >cds.KYUSt_chr3.27383 pep primary_assembly:MPB_Lper_Kyuss_1697:3:170948485:170948874:1 gene:KYUSg_chr3.27383 transcript:KYUSt_chr3.27383 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLNVLTSQPGALGDSAKLPPLKRCFHIFCTIKLAMRLLGARAGRCVGGTAEGRGRSSYKPRGKVFNRFPHDMQSDLPHGMAVEAPGV >cds.KYUSt_chr3.4770 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27086254:27091651:1 gene:KYUSg_chr3.4770 transcript:KYUSt_chr3.4770 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSYRLAAAVTAPSTSEFLVVRQLPPPSPPSTAPGEEEYRRYVDSDLYDLPSAPLRRLAADEEPVPPGVAVGGVEDGRLDLSRFDVPAALDQILNQLGLTSAMCGEWRLLKYIEEPEFGPDAGVNTVLVVGSLESKPEVLQDSCKWMSKEGGWELLSGVKPGGTRIGPYVYIGFPKSELSSNCIAASALASQEYPPGLMLIPMKSRTLSPFRTTNLVVVQANGGACGSKRSDFFACGDALLIDPGCCSQVHTELADLVNSLPKKLVVLVTHHHNDHIDGLSVVQRCNPDAVLLTHQNTMKRIGKGNWSSGYTAVTGGENICIGDQELQVVFAPGHTDGHMGVLHVNTNALIVGDHCVGHGSATLDSRAGGNMKDYFQTTYKFLDMSPHVLVPMHGRVNLWPKHMLCGYLRNRRAREASMLQSIENGAQTLFDIVSRTYCDVDRKLWIPASFNVRLHVEHLNSQHKLPK >cds.KYUSt_chr4.2977 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17086844:17090956:1 gene:KYUSg_chr4.2977 transcript:KYUSt_chr4.2977 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLQLKRKESDAGMDEEEAAAGGDDNPSAAEELRDLWRMAAPITALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPLCAQAYGSRNYDLLTLSLQRAVLLLAIASLPIALLWLRVGPILVALGQDPAISASAAAYAAWALPDLAAGTVLQPLRVYLRSQGITKPMAACSAIAVAIHVPLNILLVFGMGYGVRGVAAAQALTNTNMVLFLLAYVRWARACEDTWRGFARPAAVASGLAGLVRLAVPSCIGVCLEWWWYEVVTVLAGYLPNPTAAVGAAGVLIQTTSLMYTVPMALAACVSTRVGNELGAGKPRRARMAATVALWCAAGVGLVHVAWTVAFSAQWVALFTRDPSVLALASAAMPVLGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVLLAFGPGSRAGFGGLWYGLLSAQAACVALVLVAVVWRTDWRVEAMRARRLTGTGTGGGAEMIATATEPVEEETKRLVAGNGREVDVADV >cds.KYUSt_chr1.38745 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236749182:236752449:1 gene:KYUSg_chr1.38745 transcript:KYUSt_chr1.38745 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGPIFSVSNGGDDDHGMNTSEIHDDEVEDNLYDATRSLDWSLSPMQQLSTLQDKPPTTKRLCAIFRQVYGDGPHALPGGPGIRSLPGIMVGDRYDCKHLLASDVDGDDAVLSSSNLNLADDALDRPSKYTPPSPKPGPSPHPVLGCRPPHLRYSGDSVLVLQQRSPPSSSPTGPAPPRDYRRQQAEAA >cds.KYUSt_chr2.33947 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209851128:209856047:1 gene:KYUSg_chr2.33947 transcript:KYUSt_chr2.33947 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMMCTRSDIAHALTSIYQSDPLMEHWTTVKNILKYLKRTKNMFHCYGGDQELNVTSYTDASWNTDPDDSKSQSGYVFILNGAAVSWMSAKQSVVMKSSTGSKYIASSEASSQAIWMNMFIIELGVVPNALDSMTIYCDNIGAIANAQEPRSHKKLKHIKLRFHVIREYVEDGDIKICKVHTDLNVADPLTKALPRAKHDLHQNAMGVRGGPRGPGAPRTGGPAKPVSIDVPAIPLDELNKMTNNFSDKALIGEGSYGRVYNGTLSDGRPAVIKKLDPSASQESDSEFSAQLAMVSKLKNEYFVELMGYCMEEGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWAQRVKIAYGAARGLEYLHEKVQPSIVHRDIRSSNVLIFDEFSSKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNSDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKAIQPLLNQKPAGPAAEAPKP >cds.KYUSt_chr4.7706 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45990254:45991378:-1 gene:KYUSg_chr4.7706 transcript:KYUSt_chr4.7706 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAAAGNVAAPGGRGPSAKRGRTGVTSGGTEVAPWGQPPQPGQRQLGAASRICRVKATGGKDRHSKVYTSKGIRDRRVRLSVPTAIQFYDLQDRLGCDQPSKAVEWLINAASAAIDDLPPLDPAAFAAMPDVQQPAGPRAGKQQQQQGSRSLCSSTSETSKGSDLSLSRSDGRDKEVTVASASPAQSAASFTELLTGAGAADPRQPSWQPVSAAASNCVGVAHPGKAGAQVVPTTYPGFRFGNAPPVGMVPAQPFNFNFATSAQMTHFSLDQDALAPAPAPATAGDYSLDFSMSSGFLGASSNNRRTLLSNSQSHFSSQQQLQDLGDGPSPPFLYDENAAAVAADSSHLTATAALQLWNGFRHSTMKEKTKN >cds.KYUSt_chr3.6887 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39580260:39581174:-1 gene:KYUSg_chr3.6887 transcript:KYUSt_chr3.6887 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAERAVANYPGVASTPPPPATWSVTACLTYRGGLEIRAAAENILPGWGQGGERLSFLVRLPRRLRLAVTSQCGRASEPEKKPRTLKLLHFLRNRLPRAPRLPSVLRRKKPPRSADKPGSHTPASPSLLDRALMRPATTRALCVVGALAVAAASVAALRIMAGFMMPSASCGSWRCFLAKKFVRFLGPPLFEWLSKISFRFLDPPALCEWLGHPKLSLKWLFNK >cds.KYUSt_chr1.21260 pep primary_assembly:MPB_Lper_Kyuss_1697:1:125431029:125431430:-1 gene:KYUSg_chr1.21260 transcript:KYUSt_chr1.21260 gene_biotype:protein_coding transcript_biotype:protein_coding MELMSCPNGISMAPPETKSWMETSLMLGMASHITSSIKGDAGKAAGTHLLATIWKPEKTVKASMMPTAHRVQTEDDLGTARLAAHYVPGPA >cds.KYUSt_chr3.18286 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112396189:112398800:1 gene:KYUSg_chr3.18286 transcript:KYUSt_chr3.18286 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGIAPKPAAPLVAAQPKKPGHMLVLGTGFVGRYVSERLLAQGWQVSGTCTSAAKKMELEKLGMNASVFDATRSNLASLHAMQDATHLLISIPPIPGVGDPLLSSHTDLQRTLTDGNLQWLCYLSSTGVYGDCGGAWVDEDRAVNPNKESSKLRYAAEEGWLDLVDELDLSAFVLRLGGIYGPGRSALDTIAKRKSLSRTQKLRESKQYTARIHVADIYQAILASMGVRSARKIYNVVDDDPAPRAEVFAFAQSLIEKIYPDLATDSAGPDSQDRIIAGEKRVSNARLKEELGVRLVHPSYRSGLQSILDSWLAESTTSSKM >cds.KYUSt_chr3.34276 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214966895:214972423:-1 gene:KYUSg_chr3.34276 transcript:KYUSt_chr3.34276 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGALPPSRRARPGPWPPAPPPQPQPQPLSWAKRTGFQARVSGESASAPSSAHAPLPRPADLESGPPARPTSAAPPPPAANAKPQPPPHHRQPQPPPAPPARARRRDSDGGRPNGQPLPQLQEEGEEEGAPQRAAHVKYELRDTPGILPVAVFGFQHYISMLGSIILVPLVMVPAMGGSADDTAAVVSTVLLVSGITTLLHTLFGTRLPLVQGPSFVYLAPALAIINSPEFFGLNDNNFKHIMKHLQGAIIIGGSFQVLLGYTGLMSILLRLINPVVVSPTVAAVGLSFFSYGFTKVGSCIEMGVLQFLMVIIFALYLRKIKLFGYRVFLIYAVPLGLGITWAIAFILTASGVYSFKGCDANIPASNNVSAFCRTHVLRMKSCRVDTSHALRASPWFRFPYPLQWGTPVFNWKMGLVMCLVSVIASVDSVGSYHASSLFVATRPPTAGIVSRGIGVEGVSTVLAGLWGTGVGSATITENVHTIAVTKMGSRKAVGFGAIVLIVLSIVGKVGAFIASIPDVMVAALLCFMWAMLCALGLSNLRYGATGSSRNCIVVGLALFLSLSIPSYFQQYGLHPNANSSVPTYFQPYNVASHGPIHTGFGGVNYVLNTLLSLNMVIAFLVAVILDNTVPGSHHERGLYVWSEAEEATRESSFMEDYELPFKIGRAFKWAKCMGL >cds.KYUSt_chr3.41134 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259596227:259596915:-1 gene:KYUSg_chr3.41134 transcript:KYUSt_chr3.41134 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSRALLMVAVAVAAVWCGEAGSAPTAAAEAAHDVLTAHGLPRGLLPAGIAAFSHDPATGRFEASLDAPCTAKAEVDLRYNATVSGEISYGRISDLSGVSAQDLFLWFAVLSIRVDVPSSGVIYFDVGVVFKHFPLSFFEAPPPCVPTSFILLAPHKLGGDEGSVDGGTALQ >cds.KYUSt_chr1.16768 pep primary_assembly:MPB_Lper_Kyuss_1697:1:97491734:97495759:1 gene:KYUSg_chr1.16768 transcript:KYUSt_chr1.16768 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPEVAPAGILLLFLPLLVLLASAGAANAAIESETSALLAWKANLTDAAALSNWTKASSPCSWYGVTCDAAATRVESLSLRGLGIGGTLDAFDAAALPALAKLDLNGNNFVGPIPASLSRLRSLASLDLGSNGFDGPIPPQLGDLSGLVELRLYNNNLADAIPHQITRLPRIKHFDLGSNFLNMTDYSRFSPMPTVTFMSLYLNYLGGSFPDFVLQSGNVTYLDLSQNLFSGQIPASLPEKLPNLMHLNLSINTFSGRIPASLSKLGKLQDLRIGTNNLTGGIPDFLGSMSQLRVLEVGSNQLGGPIPSVLGRLRMLQRLDIKSAGLNSTIPPELGNLSNLTFMDASGNQLTGVLPAAFAGMRKMQEFGISSNKLSGHIPPALFTNWPELISFQVQNNSFNGMVPPELGNPTKLKILYLFSNNLTGSIPAELGELVNLIELDLSVNQLTGQIPSSFGNLTQLTRLALFFNQLTGSIPPEIGNMTALQVLDVNTNQLEGELPTSITVLRNLNYLALFDNHFSGTLPPDLGKGLSFTDASFANNSFSGELPQSLCDGLALQNFTANLNNFSGTLPPCLKNCTDLYRVRLEGNHFTGDISEAFGVHHNLNYLDISGNKLTGRLSSDWGECTNLTSLEMDGNRLSGSIPAAFGNMTSLQNLNLAANNFTGGVPSELGHLGLLFSLNLSHNAISGSIPASLGNNSKLRSVDLSGNLLMGTIPVGIGKLGDLLFLDMSKNMLSGKIPDELGNLAQLQILLDLSSNSLSGVIPSNLVKLRTLQKLNLSHNDLSGSIPPGFSDMRSLETVDFSYNRLTGEIPSIQNTSADAYIGNSGLCGNVQGIASCDHSSSSASSGHHKKIVIASVVSVVGVVLLAAIAACLILIYRRRPREPKVLEANSNDAFESMIWEKEGKFTFFDIVNATDNFNEIFCIGKGGFGTVYRAELASGQVVAVKRFHVAETGDISDVNKKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYEYLERGSLAKTLYGEDGKKKLDWDVRMKVIQGVAHALAYLHHDCNPPIVHRDITLNNILLESDFEPRLCDFGTAKLLGSASTNWTSVAGSYGYMAPEFAYTMRVTEKCDVYSFGVVALEVIMGKHPGDLLTSLPAISSSQEDDLLLKDILDQRLDPPTEQLAEEVVFVVRIALACTRVNPESRPSMRSVAQEISAHTQAYLSEAFRLITISKLTDYLK >cds.KYUSt_chr1.38356 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234300385:234300666:-1 gene:KYUSg_chr1.38356 transcript:KYUSt_chr1.38356 gene_biotype:protein_coding transcript_biotype:protein_coding MDGISGSVGRGRNEKKVATACDIEALRKCLEENKGDHTKCETHLSILGREADSHQRLLVELAASCDGGEPLGGGRAWLVRQGQVPFLLGSKRD >cds.KYUSt_chr7.14512 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89709625:89711589:-1 gene:KYUSg_chr7.14512 transcript:KYUSt_chr7.14512 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVELKVGMSCQGCVGAVKRVLGKMEGVESFDVDIEQQKVTVKGNVTPDAVLQTVSKTGKKTEFWEAAPANNEPVASAVSS >cds.KYUSt_chr4.49833 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308682892:308688130:-1 gene:KYUSg_chr4.49833 transcript:KYUSt_chr4.49833 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASLEELHCAAALEKPPSAPPPAEGDELWRWLRRCAGPAAEGELRWLRRCVGAAGKGFAIGAGLKGGLALFSVLVRLRSRRRSPTRSRKAGAMTNEEAVVLALKETLRYGFFLGTFAGTYVSVDECIAALWGRKRTARWRSLLSGLIAGPSMLLTGPGTQHTSLAIYILMRAAVLAARCGIKSRRFGKICKPLTWSHGDVFLMCLSSAQILSAYILSQDSLPSSYKSFLNKHGGKDQSILQGLKEVVQHTAFSNLGGIEKHYKSVGVDIKLDPNMKVPCSIVHGNQSCTGHFISFLLQAYGRAVPVYIPVYLVPALVVHRQDLMKRPYTIMGKSLLGTARSSLFLSMYCASAWAWTCFLFRTFEKCNTPLVVLGTIKRADVVVFSMATSIIMHCYAQEREVFRSKYLNVLDWVFGVPLPSDDEENKKHSTSIDGIKKGIFSIG >cds.KYUSt_chr5.3587 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23260480:23272955:-1 gene:KYUSg_chr5.3587 transcript:KYUSt_chr5.3587 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQALCSSQADGLSSLGGQAHGERAAEPMDKVSGSGEESSSSSAMESFVKNLQCFMVRSKVLQSLGYPLPPPLVFEHNTRCFACTGLLITGKDCPLVLTSASLLRTDDAEGEIDENLKIEVFLPRNHTVEGILELYHEYYNIAVVRLRSDLTVAICPQDILNVTESRNTKSVGIGGPLIGLDGSFIGMNFYDESGTTPFLPRKEILTVLRQGFNLLERSDVLECGSLDIDAASEDSCVGDFWSLEATSAGIILTVACRPLKPVSSGVFSTSIGGLLLAFPAQPASHSPNKQALRAAGGATRSRHTLLLRQHPAVPATIAAPSPLVASSTACNAPSNVPNPAPGAEPLPEDAPRQTPPRLGEPDPVGPPTPTPKEKITGTPPSEEDSGLPCGVPDTTPPLPPVSPPDDGRIV >cds.KYUSt_chr1.18086 pep primary_assembly:MPB_Lper_Kyuss_1697:1:105565514:105567569:1 gene:KYUSg_chr1.18086 transcript:KYUSt_chr1.18086 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGLGTMRAVLAILQWWGFNVTVIITNKWIFQKLDFKFPLTVSCVHFICSSIGAYIAIHVLKAKPLIQVEPEDRWRRIFPMSFVFCMNIVLGNISLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFEWRIWASLVPIVGGILLTSMTELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAMLLEGGGVIDWFYTHDSIVSALVIILGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISPMNAIGCAITLVGCTFYGYVRHLISQQQAAAPGSPRTNSPRSRVEMLPLVGEKQDKV >cds.KYUSt_chr3.44272 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279440769:279441509:1 gene:KYUSg_chr3.44272 transcript:KYUSt_chr3.44272 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGWLEVRAEWLSFQLSCLCPSPHLVLSSIDFIPARGRLARVMEEQKNLVLFAGGEEETEKEKEKEKVGFDFEPSEDELVLHFLRPQLRGFAPRVPGAVVEADPCAATPWELLARHGLLQRGHGYFFAARRRGNAVVRRTPAGGGGSWMHSGNREHGRSVTALGVVARWSRTRYGFYVLGGAEGRRSTGWVMTEYEITDPLCYRRADEGAEDEYWVLCHVRRSCRTNAGKPSSRRRSLLQLAAS >cds.KYUSt_contig_1467.312 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1821882:1822946:-1 gene:KYUSg_contig_1467.312 transcript:KYUSt_contig_1467.312 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTIPLLTPYKMGQFALSHRVVLAPLTRQRAYGGVPQPHAAVYYAQRASPGGFLISEGTRIAAAARQEEEHESSSSFKDVPGIWAHEHVEAWRPVVDAVHAKGAVFFCQLWHVAADVVQQRQQQDAPNVVDGFRRAARNAIDAGFDGVEILGANGYFVDNDGQGVNGIESRCRFAMEVVDAVAREVGGHRVGVRLDQFNATADEHALALHVVSRLSDRGVLYCHMIEPRVDGRRRVSRRLLPFREAFGGTFIASGGYGRDEGDAAVGEGYADLVAYGRLFLANPDLPRRFELWARRSTSATRPPSTAPVPLILPSATLITRSWTDRGRHW >cds.KYUSt_contig_60.68 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:355971:356750:1 gene:KYUSg_contig_60.68 transcript:KYUSt_contig_60.68 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGARTSRRTPCARQRVHRLPPRLRLFYCGFGAASAAAGYATFLLWLVVLFYLIGDTASEYFCASLEGLSATLRLLPAVAGVTLLPLGNGTPDVFASAMSFAVGKGGDGGCVGLNNVLGGVLFVSTGGGRGRHARCRVGRRSRRGAARVRDLCFLAGVLATGMITVSASSPSTQPSHPRPDSLSVLCVLDLYRFF >cds.KYUSt_chr6.6102 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36656647:36659129:1 gene:KYUSg_chr6.6102 transcript:KYUSt_chr6.6102 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQTSPSNSSSSAARACKMDAPRLHELPLLAALLACALLASAASAQPATPLQQQQQGMSGDSATETDQDMRVSTTMIALLAAVIAVFVLIAASIIYLRHCTGYSHAYAARTSDRAAPAADVSLSTFLARRLHRRTRGLDAEVVEAFPTMRYAEAKALRVGSKKAVPLECAVCLSEFEDDERLRLLPRCSHAFHPDCIGEWLARHVTCPVCRCNLDPSKDTGSDDEPTTNPPPPAPQEASSASSEIHAVPQRHQEQEHGAGMTPAAVVIDVLTDEEAEQRRKEAMELQRIGTQRRAMRSRPKKAAGKVLARSHSTGHSVAVVRLDRDLERFTLRLPEHLRREMIAAGQQQSSCRGQRSGGEGSSSRGGRAGKWPSFLRYTRTLSGRFFSGPRRTDSSDGGEVSSSSSSRIRGKRVASVDFADGSAVGGSKLGSVAVDVDKATSRQMDPCALFLLEDSSSDDESDLEKLLDDDLEQTSVILAEATDVRSRKRKGSTMGRLCIPRNRALSHTLLTRDYFAEVPTYPPHLFRRRYRMRRSLFNKIVAMCEDNTCYFKRKRNAAGLLGFSAHQKIYAVMRTFAYGIPADYADEYLRIGEDTMLESVQRFCKVMMHVYGPIYLRAPNDEDTARLMAENEQWGWPGI >cds.KYUSt_chr1.41002 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251452862:251457584:1 gene:KYUSg_chr1.41002 transcript:KYUSt_chr1.41002 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPSLLRTAAQHLRHRRDYSSSAAAQPDRKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINTPALVKGFMADDQLAEALQGADVVIIPAGVPRKPGMTRDDLFKINAAIVKGLCTAIAKHCPNALVNMISNPVNSTVPIAAEVFKKAGTFDEKKLFGVTTLDVVRAKTFYAGKANVPVTGVNVPVVGGHAGVTILPLFSQATPASNALSHEDLKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFGDACLKGLNGVPDIVECSFVQSTVTELPFFASKVRLGKNGVEEVIGLGELSAFEKEGLESLKGELLSSIEKGIKFAQES >cds.KYUSt_chr7.10896 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66960646:66961029:-1 gene:KYUSg_chr7.10896 transcript:KYUSt_chr7.10896 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVQVAAQVIGEYTVAALRRTVPPAVPGVVFLSGGQSEEEATANLDAMNKLSVLKPWTLTFSFGRALQQSTLKKWAGKKENVADAQATFLARCKGNSEATLGKYAGAAAGGDAAASESLHVSGYKY >cds.KYUSt_chr2.54345 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339077708:339079149:-1 gene:KYUSg_chr2.54345 transcript:KYUSt_chr2.54345 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIAVLMRVLVLVAALLLVMDAVAVEGRKMLGGRGDDGGEVVHAAFDEEQQEAANAVEEADDDSDLDNWSDGPDPDEQAAEQEAILASYESMKKTEDNPRSRKEANKEQWRRVVDAFIQQAQIEESTPRLFAKEQ >cds.KYUSt_chr1.40323 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246956087:246958672:1 gene:KYUSg_chr1.40323 transcript:KYUSt_chr1.40323 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAASHISGGSESSTMPLLEQLAQVFGKLKSHTEASLQLQSGIQWEDVKVHFVNLEKSYKSKCEELEEKQKALEEKKAQDCRLIAEKEANLSAKERASVNKLQELRDAAVSALAEVRQKYNVELAEILDAKGSKDKKVRTSTNDTNASRASEEQTSSANGLAKPSEPSPVEVKPRPALKQLCEQMDIKGLLKHLSENWKKLSGLRDELSVALKCAADPARFVLDSLEGFFPDQLPGDKHHSIQGQRRSCIVLMEAIAHSLGMKETGGNHPWSSEIIEQAKAIAEEWKIKIAEVDLDASDGYSLEAQAFLQLLTTFNVDLAIDKDVLCKIVVAVSRRKQTAELCRSLGLVERMPGIIEELVKKHRQIDAVQFIQAFGLSESFPPAPLLKAYVDELKDSLKNNKDANVTPSVDDLKTRELLALRAIIKCVEEYKLQKDCPLGPLQKRISELKSKGEKRPAADSGRSYVKKPRVSGISAPRRPPSSAGSGPRRPAVPVATWQQRAPPPQVPAYPDRYGVAADRYVHYAAAAPPAPTAYDAAAHYAAYGGGEQYGAPKPYQYNPGSIAAAAAASYNAGQYKVAYGGPGALPSAAGGYAAYGGAAGQQPGSSSSYLGYGGAGYRPSQ >cds.KYUSt_chr6.14500 pep primary_assembly:MPB_Lper_Kyuss_1697:6:90971175:90973570:1 gene:KYUSg_chr6.14500 transcript:KYUSt_chr6.14500 gene_biotype:protein_coding transcript_biotype:protein_coding MCMPRDAYLALRRYPFDTMCYSSEETSARPSGSVGAGIRRPVENIFGPDFRRRGRPDHFALVRWSGDRNSSCQAHACDDYGTRGIVIDVLAEAPFGPAGSPDQGCGKKFKSAAEEGSFVAQYVEHHKPSIISFLVQFQGVFGPSFQLYDAEAAPADDTVVALRARINQEALQGDRVVAARGGFVLRANRIHPGNYTVSCPTDVPRLYTFVATPLPPVPRLPDQYTDNTYGQFGIVLEAGPPGGMALFKLDLDQDRVVARTCEGVSLGSRQCVHAHVSIYSHDHWNRYFSEPIRSRGRVLFHRHPSCILAPNSLFMVYVVGSIIRFDLTEHTFHVLQMPALLSADLRSDYQYTVGEHSEGGITLAHFMQGHLYTWALKPNAGALNWHLVSVIDIVQAFIIQFGVGFWVNFLPGMISNDPEIEIEFHSVQPRALSLDGSLVMFAVAFTNGLFVLDSATRSITPLPQIDPIAPVGHVYTLTEPWPPRFV >cds.KYUSt_contig_686-1.676 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4020217:4022981:-1 gene:KYUSg_contig_686-1.676 transcript:KYUSt_contig_686-1.676 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMASTSLFIPASFAPATAAAPARRSFSSHRSSPSTVPSVRLRRASSSLVVSASASPPRSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVRCPPAADAPLDWDEAFYDILQNRIGGGKPKMRWYFGENGWPSSKIFETPPSSDSDKEKLVDIIQVEPRPGVLRLMDEVRGAERFTGLDCFLAGDDVKQKKPDPSIYVTAAKDFKDAIATYPDLSNARLEDLKLLLQESLVTG >cds.KYUSt_chr1.5438 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33531795:33533111:-1 gene:KYUSg_chr1.5438 transcript:KYUSt_chr1.5438 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQEASQIESWFRSPALTNVQEIDIHFQVLDYTREPEKGYPLPASVFLCASSLVVARIGSCYFPKEIAPSVSFPLLKLLKLYRVSIAEDVFHGLLSGCHVLESLDLASIGDVGCFRISSPTLMTIRLCACFSSKGEVVIEDAPCLERLLLPCPGRGGDTIRVIKAPKLEILGLLSPRISEIQIANLLLKSLTPANLKNTISTVKILALQFSVPDLNAVIDVLRCFPCLETLYVTLREYLKLPLKDGCEYDPLNPVKCLETHLKKLVLESYKGDDQDIGFARFFVLNAKVLKEIKLRVHEKVNKEWVSDQYRLLEVGTRASEDAQLKFIRSGYMCFNGHDLSTADPFSFDGVDATSDEKYLSSPLSSV >cds.KYUSt_chr1.26423 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159233534:159242735:-1 gene:KYUSg_chr1.26423 transcript:KYUSt_chr1.26423 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASASSYKLQLAVAALVGASAAAASAYYLHCRAVARLGGDIVRSSAANAKTGRQQRRRQRDAGSKPPPPRRAAPGSSSLPDLSSIYAAGGVARGYPVGEEYDDDDEGGVGPYRDDALVAAAAVCCMQIPQGLPRLQVGPEGNKQIVRSSSSRRVGLIRPNSPKSPVASASTFESIEGSDEDVASKVNGKLDNGHPNTNGNLEGEHKGNAITENGAATPLPAKGMPRPHSISNDLHGVQPDPVAADILRKEPEQESFIKLLTAPHEIPSPDEIEVYKILQKCLELRDCYLFREEIAPWEKEVINDPCTPKPNPNPFTFVPEPKSEHGFQMVDGVVQVYADKDCTERIYPVADATTFFTDLHYVLRVTAAGNTRTVCHNRLNLLEHKFKFHLMLNAEREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTMQVFSDLNASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLVQIPRLYNVYKQMGIVTSFQNLLDNIFLPLFEVTIDPSSHPQLHVLLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMNTIKFRPHAGEAGDVDHLAATFLLCHSISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPVFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSACDLCEIARNSVYQSGFSHALKTHWIGRNYYKRGPSGNDIHRTNVPTIRIEFRDLIWRDEMQLVYLDNVILPDEVDQ >cds.KYUSt_chr6.30007 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190148869:190150593:1 gene:KYUSg_chr6.30007 transcript:KYUSt_chr6.30007 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSPPVVVAFSPLAFEAKSIKLVSRPRFDCALTGPISPLRIPACSQVEALCTSEPQPPRSLPSSAAGAAPTPVPGRAAPLQRAVPLYESAVQNRSALITGTVSAAGDDHHALQALRRYATSHLSLFPPCSLRLTMRLADDVSLVVVVVDTNPFFWAGAALPFADFFSHLIHFVNSLLLLNHLNHVVVIAAGVSSCAYVFDSSNAGASGAADVAATLDKASRKVEEFIKQDARETASNGTVAAGGAASLFSGALSIALCCIL >cds.KYUSt_contig_662.19 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:126069:129712:1 gene:KYUSg_contig_662.19 transcript:KYUSt_contig_662.19 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAALLALLAVLAAGMAFAAHPRPVAVVPSSASTDSTSATTAHRCKLADAVVSSWASTDSASATTDHRPLLAEAVASSWASTDSASATTAHRSKIADAVASSWASTDSASGTTANRRKLAPCSPSRDGASNEPSSSADEGPAKRASDRPWERRNPRCLWLARHCRGALEEGKISRPSREAAVAGPLPSERVVAPGLVLACGNSVPETQVLHNSVNGEIAFNGSGPRVAQQPLLHRHFASEAGLDELKDGRGYRASAQAADPGILPEQSVCTVPDSDPSSVEDLEVMETKATKRLGLANLAPCNKATATPSILLAFPKEASAQLINSLEEPWLHVKSEEMIHHRGREHDLDVALLLRTATTRVLVNGCAGQAFLHGRGLRHGDPLSPLLFVLVMDVLSAMFRAAESAGILHSLATAGVKHRVSLYANDVVVFARPEARELYDVRAILECFGHASGLHVNFAKSAAAPIRCSPEVLQTVAPALSCQVVALMPSRAPTFF >cds.KYUSt_chr6.13630 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85390225:85394444:-1 gene:KYUSg_chr6.13630 transcript:KYUSt_chr6.13630 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGASVGDALSAGCGRTSVSGSLEAAGKVSTWRRRRTRESKLPPRRAHSPSPSQARGKEKRRRLARTSALLLLLRGRHPELPPSQGVWNLVRQPESSATDPRSESYGILHKEDPACFSRDQEGHTLTSCCAESSADLSLQTSLSTPKSIHEELSSVKKMLMLTSFSNMVGVSSAWRYEYLVNKLGNRHLLQCQDICPMQTEKIGTYAASGNMDMNEARDDTYQCQTRNMPADQCTSSNEEANITHACQSFVHDANTKVDVPGEYSNSLACSSQQMEICKEGRTISEQHVPCTCEHIQLQDSTCTLAGVAVVPPNADQYAYGEYMSQQNSVDKCSPELESSFQPRFHGAITANKHAVAGAVAGTVALIRVSCNQEGFGRMFAKGWHYFVFYAYESLKQILLNASPANNAKLDSGQTLFCGGFAGSTAALITTPFDVVKTRVQLQALSPVRKYEGVRHALTQIFQQEGLRGLYRGLTPRLVMYVSQGALFFTSYEFLKTIMFPEQELPAMNV >cds.KYUSt_chr2.38441 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238288196:238296199:1 gene:KYUSg_chr2.38441 transcript:KYUSt_chr2.38441 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSRITGCLTTVQCLAVYVKDPPSIYVVLDPGSLWAFTDLTPGIDLFGSGYLCRWFGSGYLRRAVDMKPHEVSISVVSSIMDIPPAEWDACAVDSAEPEKLNPFLTHAFLSSLEESGSAVKETGWLPLHIVARDGNEDIVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILLRDTSYRDQVFDALVKALKNLATKLNASSLHITFPSEGEFSKLKDRGLLQRIGLQYHWRNRNYKSFDDFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSSHWDTFYKFYRNTTDNHWGRAYLTREFFHLLGEKMGDKVMLIVAEHDDKLVAGALNLIGGDTLYGRLWGCLPDVHFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLEPGFATAIGNFLVHETAQVKHVINVLRDSGPYKEDIMKEFAAQQDDEISAKIQAKRDMRYCLPHCAFCTRIQYRGFFLKSLISRRPRTNARSYNSLLSCGMSLECTAAPSPHGEEGAVRPSVQELAQAQDGVDQQDELEEEREVVREPST >cds.KYUSt_chr2.45426 pep primary_assembly:MPB_Lper_Kyuss_1697:2:283274854:283276589:-1 gene:KYUSg_chr2.45426 transcript:KYUSt_chr2.45426 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVRVLVLAATAASLLPLSACQAATTCEGTRRGHHQYRQPVGVRRIVVDAAGGGDFLSIQKAVDSVPVNNSVRVIMQINAGTYIEKVVVPASKPYITFEGAGRDATVVEWHDRAGDRGADGQLLRTYNTASVTVLSNFFTAKNISFKNTSPAPMPGMEGWQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFIFGNGRSLYKDCELHSTAQRFGSVAAHGRHGPCERTGFAFVNCRVTGTGMLYVGRAMGQYSRIVYAYTYFDNVIAPRGWDDWDHASNKSMTAFFGMYKNWGPGADAVHGVPWARELDYFTARPFLGKSFVNGFHWLSPDA >cds.KYUSt_chr6.33273 pep primary_assembly:MPB_Lper_Kyuss_1697:6:209140920:209141791:1 gene:KYUSg_chr6.33273 transcript:KYUSt_chr6.33273 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVITVDLQCCRCRTKIKNVLECLREDYCIEKIEFEDKANKVIVRGKFDAEKLCKKIWCKACNAVKAIIIVDVWPPPPQKTCPTKQPKTCPTKTRPPDPEPPKQTYKFMPYPYPVPYPIPMQCSWNCPPQQCRCCPATKPPPLPPEPKPSECSQSHHHGGCGCGCGCKPATPAPCYCSNQGGCSCRNYSNWPPPTWWPPQQPFFPPPWSTDCFDL >cds.KYUSt_chr5.8067 pep primary_assembly:MPB_Lper_Kyuss_1697:5:50969579:50973385:1 gene:KYUSg_chr5.8067 transcript:KYUSt_chr5.8067 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLSLPAGSPVTVTVSPRGGKAAAAGGSGSPGDGVVRRSSGITSPVSRHSIGSSTATLQVSPVRRSGAGGSRYASRDAAADGSAEFVHYTVHIPPTPDRTTTAAASTEDAPAAAQRSYVSATIFTGGLNCATRGHVLTPAGAADGGAGAAASANLSCKMRGCDMPASLRPPCDCGFMICHECHADCAAGSGNCPGCKEPYSAGSDTDDSAVDEEEDDDEAVSSSEERDRMPMTSVAKRFSLVHSVKKMPMASTNGKPAEFDHARWLFETKGTYGYGNALWPKNGHGAAGATTGFVGIDEPPNFGGRCRRPLTRKTSVSQAILSPYRMLIAIRLVALGFFLSWRIRHPNPDAMWLWALSVTCEVWFAFSWLLDSLPKLCPVNRACDLDVLADRFERPTARNPKGRSDLPGVDVFVSTADPDKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLTFEALAETASFARTWVPFCRKHAVEPRCPEAYFGQKRDFLRNKVRLDFVRERRKVKREYDEFKVRVNSLTEAIRRRSDAYNAGEELRARRRQQEDAVAAGDALGTAPLAETGAVKATWMSDGSQWHGTWLTGAKDHSRGDHAGIIQAMLAPPTSEPVLGGEPAESGALIDTTGVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSAALREGMCYMLDRGGDRICYVQFPQRFEGIDPNDRYANHNLVFFDVAMRAMDGLQGPMYVGTGCIFRRTALYGFSPPRATEHHGWLGRKKIKLFLRKKPSMGKKTDRESEHESMLPPMEDDDHNQLSDIESSTLMPKRFGSSGMFVSSIPVAEYQGRLLQDMPGVHQGRPAGALAVPREPLDAATVGEAISVISCFYEQKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAMFATRRMKLLQRIAYFNVGMYPFTSMFLIVYCVLPAVSLFTGKFIVQHLDATFLVFLLVITITLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGGAEDGEEETFAELYEVRWSFLMVPPVTIMMLNAVAMAVATARTVYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLICMIVSLLWVYISPPAGAREGIGGFSFP >cds.KYUSt_chr6.8577 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52744754:52745500:1 gene:KYUSg_chr6.8577 transcript:KYUSt_chr6.8577 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLASITLCPAAAAASPSSAASAPRAASYACAAARGLPSLRLAPARPRRGDLVRARAAANPAAENYASALCELASESGTLDETVADLEKLEKIFSEEAVAEFFDNPTVLRTEKAELIDEIVKSSDLQPHVVNFINVVVDNSRAELVPQIVKEFETAYNALTGTEVATVTSVVQLESEDLAQIAAHVQKITGAANVRIKTRIDPDLIAGFTVQYGSDNSNFIDMSVRKQIAEITSELEMPSVTLDV >cds.KYUSt_chr3.45479 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286633138:286635389:-1 gene:KYUSg_chr3.45479 transcript:KYUSt_chr3.45479 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVKPILRVAAVCGSLRKASYNRGLLRAAAEVCEESIPGLRLEHVGISDLPLLNTDLETADGGFPPAVEAFRDQIRSADCFLFGSPEHNYSIATPLKNALDWASRGKNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELFVQAFQQPPKFDSDGNLIDADIRERIKQVLLSLQAFTLRVQKKD >cds.KYUSt_chr5.13560 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88150265:88152923:-1 gene:KYUSg_chr5.13560 transcript:KYUSt_chr5.13560 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVATAAPEWATKEPCLMGIDEAGRGPVLGPMVYGCMYCARSYQNTLATLSFADSKTLKEEQREELFESLKTNSSIGWEVDVICPKVLSAKMLKRTKINLNEISHNSAMGLVKKALDMGVLLAEVYVDTVGDPEKYRVKLAEKFPGIKFVVAKKADSLYPVVSGASIVAKVTRDRAVRNWAFDETAQNMHMNTGSGYPGDPNTIQWLKDHKHPVFGFPTLVRFSWGTCTPYFKNGVEVTWESDELDEDEASGGSAKRQVKLSSLGFTGFKRKSEEIESSGKARCKFFQARKLELVRKFQ >cds.KYUSt_chr3.29926 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187248527:187248925:-1 gene:KYUSg_chr3.29926 transcript:KYUSt_chr3.29926 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPSAPSISSGPFPYSKRKKAKGRQRNRVDGDLGPCQSGEKEGDLGGPILQTEGDSSVDMVNKAEETHCLIGPNPAVVPVHEDEEEARRAWGWGWLPLASLLFPRPDPDPARVGVPLDTLQLQRFDDRFD >cds.KYUSt_chr1.20452 pep primary_assembly:MPB_Lper_Kyuss_1697:1:120552173:120552442:-1 gene:KYUSg_chr1.20452 transcript:KYUSt_chr1.20452 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGFSYAQIHVRQEMVRRKISEEAAKMITDNSKAGKEDNSKNKNVAGEKEKKKIKKKNCDSWTTGRVHPCVSSTAAAAGQVTKGGRR >cds.KYUSt_chr3.37606 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236621971:236625392:1 gene:KYUSg_chr3.37606 transcript:KYUSt_chr3.37606 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLQGRSVETMAAIAVAVVAVAVGGAFLLRRSKKPKGCLDPENFKEFKLVEKTQISHNVAKFRFALPTPTSALGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGNFQLVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFKYQVGQVRAFGMIAGGSGITPMFQVARAILENPNDKTKVHLIYANVTTEDILLKEELDSMAEEYPDRFKIYYVLNQPPEVWNGGVGFVSQDMVKTHCPAPADDIQVLRCGPPPMNKAMAAHLEELGYTKEMQFQF >cds.KYUSt_chr2.50872 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318120931:318121287:1 gene:KYUSg_chr2.50872 transcript:KYUSt_chr2.50872 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQAFGSPQILPATAVTFAAAKKIQKYISKNTSPMATIVFQGTVIGGPTPPSPRMASFSSRGPNVLAPEILKPDITAPGVEILAAWTGASSPSGLEWDTRRVQYNISRARLLLGPGC >cds.KYUSt_chr2.9128 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57359413:57359703:-1 gene:KYUSg_chr2.9128 transcript:KYUSt_chr2.9128 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQALSLLSRRSKRWAKPAGAGIEVRVARRHAYMSAHHAVAGRRYGRCPGDPNAGPSLLDACPDPASKTSAASAVSPMRSRTTPRGRLPDLQIPA >cds.KYUSt_chr5.31733 pep primary_assembly:MPB_Lper_Kyuss_1697:5:201138224:201139379:-1 gene:KYUSg_chr5.31733 transcript:KYUSt_chr5.31733 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLMLVSYIQENGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMQAEGHEGGASEGGDGGSVAKAAAPKGQWERRLQTDIHTARQALRDALSVEPSPSAAKALAVPALPTPPGSVTTYASSADNIARLLEGWMRRGGSSKGPEASGSTTSTTATTHQQPQCSWDAAASPSASHSGGGTVAAQTPEGSSQTSNLASTGAPPAFSMIESWLLDDGMGHGEGGLMDDVVPLGDPSEFF >cds.KYUSt_chr1.42693 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261233895:261234953:1 gene:KYUSg_chr1.42693 transcript:KYUSt_chr1.42693 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPVAPLAMPPSSMPPRQPFTQTFPTTCFHLPSPPTCPNPLTNQLLNHNLAPMLALPFNPVRAPPVPYICCASEASPFITSLAAGDYTPVLAQNDDVLNFNSVIAAPGSQDVLHDGLAVAGLGGAAFGKQGNPMSAGSLSMLGQAGPFLFSVPDKENTEATGPTAGGPGSDPPITAVAQAAAADRKGKAPMGHGPARRTGVQTLAPKCHPGATVVISDSESLPDLNEPAPPSPAKSKKAPMITYRRRSPRIQKLQDGMRMDSTERASLRKAAVAGDSDTSVSSATSRRRKTRRIPDITDVAPLPITSSPPEMTQKTLMDLAGCCGITMEMVDVALKDHEAEVSSGKTISHG >cds.KYUSt_chr4.9042 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54376652:54377476:-1 gene:KYUSg_chr4.9042 transcript:KYUSt_chr4.9042 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAMEALHALIPAAAEHHHHHHEEASAALSLSSGEESGHVLQGWAKKKRSRRHRSEEENLALCLLMLSRSGGGGNHRVQAPQPSLSVPVEFRCSVCGKSFGSYQALGGHKTSHRVKQPAPPADATAPLAPPVVATAVVAPAEPATSSTAASSDGTTTGRVHRCSICQKEFPTGQALGGHKRKHYDGGVGATATASSNTELLAVAAAAESEVGSTGANAGSSAAAARAAFDLNIPAVPEFVWRPCAKGNKMMWEDEEEVQSPLAFKKPRLLTA >cds.KYUSt_chr1.21452 pep primary_assembly:MPB_Lper_Kyuss_1697:1:126521752:126522771:1 gene:KYUSg_chr1.21452 transcript:KYUSt_chr1.21452 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPCSGFPASTVPPSRRWKLCALPQQPSRTSRTLLCCVNAKVLLWYHLWLIHIKRCRCPDTTLRLHIIISKRSDKYHLFQCSSAPSIFSAATEYVAPQVFGEMPTPLSEYENYCCGSPHKIQSSCVQGGSNNPYEHDLDGQPHHYGFDNLKRQTVIRADGVEHSFYGLPENYLLDRATLGPLFDAYDDDMDGWSTELVDVFLGTPEQHKSDQFANCFCEALNAFSKDTPERISHAYDVNTKGRTDESVHVFVSPPEQQPYEGEQQESVQLPKCFSKASEIVFQFQQPPMSATATDQMQFQASKSMPNYDVYEKEDSTCINIISGEITRCRHSARVDNM >cds.KYUSt_chr2.13778 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87318577:87321547:1 gene:KYUSg_chr2.13778 transcript:KYUSt_chr2.13778 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASRLGSVLRRTSASSNSSLLQAVRCMSSSKLFVGGLSYNTDDPSLRDAFSGYGDVLEARVIMDRESGRSKGFGFVTYTSSEAAAAAISAMDGKDLQGRVVKVDYANDRAGGIRGGGYGTGNYGGSGYGTGGYGSTGHGSGGGGYGGNGGGYGSGGHGSGGAYGSAGYDGYSGAAGGGEYSSIPNNASAGGYGSGGSYSIPSNSDGSTGSYGGAGGGGEYSSIHNNAAASGYGSGGSYNNASNLSGSTGSYGGAGGVGEYSSMHSNVAANGYGSGGNYSNASNSAGSTGSYGGAGRGGEYSSIHNNAAPGGYGSGGGYNSASNLAGNTGSYGGTGGGGEHSSIHNNAAPGGYGSGGSYNSASNSARNTGSYGGAGGYNSPNTYGTSDYNNGGGSNAVFSGSTGGYNSPNTYGTSDYNSGGGGKAVFSGSTGGSSSGNSGFVGGNFTGNVSSTGSFARNVTDTSSPHGTSYARGFGGGETTGATDKVQYSPNSNSYAGGFGGGETIGANKVQYNGQDELLGDDFFESEERQASRSA >cds.KYUSt_chr3.46821 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294223469:294224565:1 gene:KYUSg_chr3.46821 transcript:KYUSt_chr3.46821 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLVLDIITEVPITTYEIIILLWEFSAHLVARILFLVMTSGKQSVSKTRRRHRMMMKHPSVWGMDDYNCKVDKFLENELPDDPILGLWGISGVGKTRLLLHIGACYDDADSPFRHIIAFKDGAVRDMQHYLAAFLNMDWNTMSSSQEHCRANIIAERLKHDSFLLLLDDVQDGDLDLASIGLPMPLGHNQKVILTSKSQAVCARMGCNRANTVEMKCLGEEDAWNLFQYKTGVEITEANAEIHEFAKLMVSACGGLPGAICSVGTAVAGVTCCELHPDDWWYAYERFKANELPGCSGLVT >cds.KYUSt_chr6.14277 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89247222:89248121:1 gene:KYUSg_chr6.14277 transcript:KYUSt_chr6.14277 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAPAPAQTSRTKPIPAALVPAALVLAVVVAVLSLLPSVAQAVWELPHFLLLGLVVTYGVFAQQKNNGDAAAKDDGAPRAWSSRYRPDDPLVVVAPDHAAGDDGEDGASVRPFSLPVRRLKPVVEESEAGGATDTDGGIGEETDSSASTAGFWAGTPPTAPSPPSVLDAFDSTPPSTVSKGFPGYDSPSPNDQSSCNEDEEEEEEEEEGTDWDEDADGSEEMTAPSSFPGDFVACRNRRYDGAGDGDGESVDEELVELATQAAPACGDEVDRKADEFIAKFREQIRLQRSRPRVT >cds.KYUSt_chr4.20836 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131096711:131098552:1 gene:KYUSg_chr4.20836 transcript:KYUSt_chr4.20836 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPTRSSCQKLCRQLGRHLEKDETLERMQRVLTHSYASLKGHAPKTCLLYFGLFPSDRLVRRKSLMRRWLAEGFVLTESSSCENFDMLLDRNIIEPIDVGSNNEVKTCRTYGMMREFILHMSTSHNFNTLFCNGAVGRKYVRRLSLHPNTPRIGTSLDIDLSLVRSLTVFGEAGDANFDLHEYQLLRVLGLEECTDLGDDHLRHICNLLLLKYLGLGSAFTSLPKGIEKLKLLETLDLKRTKVEVLPIEVILLPSLIHLIGKFKISDKVKITSELQNFLLSGQCNLETLTGFVTDRSQGFPQILSHMNKLRKVKIWCERTASSTNLSDLELAIQKFIHDEKEETHESRSLSLHFDEHCSEDILNSLKEPCYLTSLKITSKLLELPQFVKALRDLRELCLSSTKLTAGLLAALTELRSLKYLKLIADHLEEFIIKDRAFPRLLRLCFVLQCPTLPKIEEGALPYLMSLQLICKDLVGLADMKIEHLRCLKEVTLDQKVTPETREAWEKAAKEHPNRPKVLLFKTIDPTESEHTDHSVDSEPEEHEIVEASVDLEEPEQVVDSQMLVNKGSGSYAMTKKRKYSAVQSSSNGEASSSFNDMGTARCLLVPIDPGL >cds.KYUSt_chr7.33421 pep primary_assembly:MPB_Lper_Kyuss_1697:7:208620592:208623479:1 gene:KYUSg_chr7.33421 transcript:KYUSt_chr7.33421 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSGRNDKRHFGILLIGIALPRNAQPPILLLLRRRRLRRHYAMLHLRKLISPFLSTSHLPALSQTSPILPLYRLLATTASIPPEPFPVEDYLVTACGLTRPKASKKLSHFRSPSRIDAVLSFLSALGISRSGIASVVAADPQLLCADVENNLAKRVVELTDLGLTRPQIARLIPLARVSFRTSSLASNLGFWLPVFGSFENILKVIKANSGLLATDLEKVAKPNLALLQQCGINVREDYSAYIIRVLTRHPVHVQNALLNIEKLGVPRNSPMFRYALMTFAAQSKERLAKKMGILEMLGWSEDDVLIAVRKMPGILAMSEERLRKNVNFLISDAGLEIPYIARRPVLVMYSHEGRVLPRHSLLKILNARGLLDAKTDFYTIAAMTEKKFLDKFVHPYKDSIPGLAVSFASTCAGKIPNGVDT >cds.KYUSt_contig_534.55 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:498036:500704:1 gene:KYUSg_contig_534.55 transcript:KYUSt_contig_534.55 gene_biotype:protein_coding transcript_biotype:protein_coding MQALRRGLAPPDWAAADHCSWRGVTCAGGAVIAIELPRRGLRGDFSAAAGLRALARLDLSFNEIAGAVPAAVGALAGLELLDLSMNRLSGPIPAALGGAVALKFLNLSNNALSGAIPDDLGSLKGLQELQISGNNLTGSIPSWLAGLPGLRVLSAYENKLSGPIPPGLGLSSKLQVLNLHSNALEGSIPSSLFDLGNLQVLILTVNRLNGTIPDTIGRCLGLSNVRIGNNRLAGAIPASIGDAASLTYFEADSNELSGGIPAQFARCANLTLLNLAYNRLAGEVPDMLGELRNLQELIISGNGLSGEFPRSILRCRNLSKLDLSYNSFRGGLPDTICNGSRLQFLVLDHNDFSGSIPHGIGGCSRLLELQLANNNLSGEIPAEMGKVKSLQIALNLSSNHLLGPLPHELGGLDKLVALDLSSNDISGEIPGDMRGMMSLILVNLSNNRLRGAIPAFGPFQKSSGSSFSGNAKLCGDPLNVDCGPIYGSNYASDHRKISYRVALLVVGSCVFIFSVVSMVVTLFMWRERQEKESDAKKAEAGEVVVEAPQVMASRVFIESLQQAIDFQSCVKATFKDANELRSGTFSTTYKAVMPSGMVVCVKKLKSVDRAVIHHQTKMIRELERLAHINHPNLVRPIGYVIYEDVALLLQYHMPNGTLLQLLHDANSCDGENHKPDWPKLLSIAINVAEGLAFLHQIATIHLDISSGNVFLDSHYNALLGEVEISKLLDPSKGTASISAVAGTFGYIPPEYAYSMQVTMPGNVYSFGVLLLEILTSKMPVDEDFGEGVDLVKWVHSAPERGETPEQIMDPRMSTVSFAWQRQMLAVLKVAMLCTERAPAKRPKMKKAVEMLKEAKNS >cds.KYUSt_chr1.18167 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106047628:106051593:-1 gene:KYUSg_chr1.18167 transcript:KYUSt_chr1.18167 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGRGPRRDLVMTASRMAASSALSASSTFTFAGLRFRSPEVSCSRLGRRRPCAVDGSRTTMPPPPRVRWLGGEAGSFFTGAKDGTDLEIDLAAEPDDEELAAMRRGCQLFPRRWLVDALDGGGIVSTGELPPSITSPAQIQLPQTSPCSNANALGAGFHIDIVDSDLWPSSFGFSSEPVLGDECPDSFQEHEEEVHDSDDEIDDMRNRKKLFYKLDRGSKEFEENNLPLRRRWKREKPNAKNPSESNKVEPSKPPPLKVPKLKVKPVERKDDMVEVKRDRVPTFNQMTGPYHYPFCLDIHVSKGSVRACFVHRVTSRVVSVAHSISKDMKFDLGSRKGIKACVAVGALLAKRAIEDDIHNAIYTPRKGDKIEGKIEVVLRGIIENGVDVKVKLKQRKPTKNAPAVQRDESL >cds.KYUSt_chr7.27854 pep primary_assembly:MPB_Lper_Kyuss_1697:7:173847895:173849574:-1 gene:KYUSg_chr7.27854 transcript:KYUSt_chr7.27854 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNLPVHPPPPPPPTMNSSGLLRYRSAPSTLLGDVCEEFLPRAASPDATADNNVFSRFLADHQIRDSKPPTPPPAHFTDDAAAMASQHQQQMMFHSQHQQQQMAPGVDGLYRTVSSGGMDVPPAAVAAGSSLLRQSSSPAGFLNHLNMDNGYESMLRAGMSAGFRNGAAAAGVDSSGSRLKGQLSFSSRQGSLMSQISEMGSEDLGGSSPEASGGGRGYIPGYPMGAGWEESSLVSENMSSAVKRQRESAEPAQNGLSHQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKELQDQVKAFLASKV >cds.KYUSt_chr2.40629 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252400345:252401922:-1 gene:KYUSg_chr2.40629 transcript:KYUSt_chr2.40629 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSPAAVAAAARAAPTPAAALALFRSALSADLTLSPLAVLPHLTAATPSLPHLLLSASITGRPYTTSLSLYSRLKSLSFPIPTATLHPLLSSLPPKSAFALFADIFRLRLPLSTTTFNIMLRHLCSDAKPVRALQLLRQMPHANAVTYNTVIAGFCARGRVQAGLEVMREMRERGGLAPDKYTYATVISGWCKVGKVEEAAKVFDEMLTGEEVKPTAVMYNTLIGAYCDKGNLEVALQYREDMVARGVSMTVSTYNQFVHALFMEGRAAEAYELVEEMRGKGLSPDVFTYNILINGYCKEGKEKKALKMFEDMAQKGIHATVVTYTSLIYALSKKGMVKETDMLFDEAVRRGIRPDLVMYNALINSHCTGGDMVRAFEIMGQMEKKRIAPDDVTYNTLMRGLCLLGRLDEARELIEKMTKRGIKPDLVSYNTLISGYSMKGDIKDAVKVRDEMINKGFNPTLLTYNAMIRGLCKNGQGDDAEELVKEMVGNGITPDDSTYISLIEGLTTEDERLAAGEAVKA >cds.KYUSt_chr1.6441 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39679685:39681380:-1 gene:KYUSg_chr1.6441 transcript:KYUSt_chr1.6441 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGSDAAPISTHPTEEEVTVERTPEEEEARLRYLEFVQQAAAQAVVLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVYDRYHAVPLDLLKFLDRKVDESVQELDRRVPPVVKEVPTYARSAAAEVHKTGLVGTATGLAKSAIARAEPKARDLYTRYEPVAERKAAEAWAALNRLPLVPSVTRAVLPTAAQLSAKYNSAVLDGAKRGNSVATYLPLVPTERIARVFSYPPTDAAATSAPEMQPIPTQ >cds.KYUSt_chr1.40703 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249628853:249629371:-1 gene:KYUSg_chr1.40703 transcript:KYUSt_chr1.40703 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRHDGEPGRGPPYQRHPWRGEPRRVVVLAVLAALIQSAERVVCRRVKLERAVRHARVVASGTTPAERAEPKAAGASPDCPAARAPARGAAGQRTSHWPLAAAGALDDRILRPPTSFGRYGLAVNAKSQHGARAPPPRLDVVASATSRRGVVGHRGSSALLESCRGPRPG >cds.KYUSt_chr2.43193 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268818649:268819722:-1 gene:KYUSg_chr2.43193 transcript:KYUSt_chr2.43193 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEELRRHYEIGEEIGRGRFGVVHRCASRATGALYAVKSVDRSRLADDLDRGLAELEPKLARLAAAGNPGVVQVHAVYEDDAWTHMVMDLCTGPDLLDWVRLRHGAPVPEPEAAAVAAQLAEALALCHRRGVAHRDVKPDNVLLDVPAAGDGPVRARLADFGSAAWVGGGESARGLVGTPHYVAPEVIAGGEYGEKADVWSAGVVLYVLLTGGAFPFSGETASDVFAAVLRGSLRFPPRLFSGVSPMAKDLLRRMICRDVSRRFSAEQVLKHPWILSGGGARDEVVEPT >cds.KYUSt_chr3.9312 pep primary_assembly:MPB_Lper_Kyuss_1697:3:54579238:54589610:-1 gene:KYUSg_chr3.9312 transcript:KYUSt_chr3.9312 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPRLRLLPLLLAVALGLGTAAPAPDASDRADPDPYSILTWHDYSPPSPPPPPPAPAAPAATCADDLHGKGDFSTTCEVSSEVTLRGDIYIAGSGSLVLNSGAALTCERPGCVVSANLSGEVRIGRGVRVVAGKVSLSAANITIADTVVLNATGLAGDPPDRTSGVPTGTHGDGGGHGGRGASCYVKDGQTQEDSWGGDAYAWSDLEHPFSYGSKGGSTSVEKDYGGGGGGILWLFATDLLMNGTMLADGGDSSEKGGGGSGGSIFIKAETMHGSGKISASGGNGLAGGGGGRVSINVFSRHDDTQTLVHGGRSSGCPDNAGAAGTLYDAVPKSLDVSNNNMSTQTDTLLLEFPNQPLWTNVNIRNKAKVAVPLLWSRVQVQGQLSLKSGATLSFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSRMSINGGGAVVGSSLLDASNLIVLKESSVIHSTANLGVRGQGLLNLSGDGDMIEAPRLILSLFYSIRVGPGSILRGPLINGSNGDVSPKLNCEDESCPVEIIYPPEDCNLNSSLSFTLQVCRVEDIDVWGLVQGTVVHFNRARSVTVHTSGTISATGLGCKSGIGRGRLSSSGLSGGGGHGGKGGSGFVNGSRAEGGTTYGNADLPCELGSGSGNDTTGSSTAGGGIIVLGSWEYSLPSLTVYGTLESDGGSSIDAVTNASIGPGGGSGGTVLLFVRSLTLAESSVLSSAGGFGRAGSGGGGGGRIHFHWSNIPTGDEYIPVAAIEGSILASGGMSNGPGFPGENGTITGRACPKGLYGTFCKECPLGTYKNVTGSSKSLCFECPSSELPNRAVYTSVRGGAAETPCPYICVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLILLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHTPPEQITEIVYEDAFNRFVDEINTLAAYQWWEGSVYSILCILAYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMSLIFGGDGSYMAPFSLHSDSVLTSLLSQAVPSSIWHRLVAGLNAQLRLVRHGNLKVTFLPVLNWLETHANPALAVNGVRVDLAWFQATTLGYCQLGLVVYAVEGEPVTAELDGSPRIKIEQHSIVQDMLADAQLSHSRVKDALMRKRITGGILDSNTLRTLKDRRDLLYPFSLILHNTKPVGHQISA >cds.KYUSt_chr2.51937 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324265338:324268570:1 gene:KYUSg_chr2.51937 transcript:KYUSt_chr2.51937 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRLAACAAVAVHLCLLLSPSAALRWLSDPKPESAATAGHDSYRTAYHFQPTENWQNGPMYYKGVYHFFYQHNPYRATWGNGNLSWGHSVSVDLINWSALENAMDPDSSFDINGCWSGSATFLADGTPVFLYTGIDADNNQVQNVAFPKNASDPLLREWVKPSYNPVIALPDDVVHDNFRDPSTAWLGRDGLWRVAVSAGLKDGTGSTLVYRSKDFRQWERNAEPLYSSDNSGMVECPDLFPVAEPGDQNGLDYTPSNGAAVSYVLKQSVMVTLSDYYVLGRYDDAVDTFSPVEADNDCRTWHRFDYGHVYASKSFYDAGKKRRVLWSWANESDPEADYLARGWAGV >cds.KYUSt_chr7.28214 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176184392:176185192:-1 gene:KYUSg_chr7.28214 transcript:KYUSt_chr7.28214 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTLISLGDGFEIDGGCELCDVCNGTPSGFCFLDDVCWCTVREYGLVHGPPFIVGAQADQYIAKAKLPKGYLPPALRNSRKQSIWLYASESKAMVADIENPDGGDYVAAAAKVDANAMTGRRYPDEAIWLTCAFVCCYALALLLYVEYEAVKVDPTTITLFIRANLCLLLLVCFAFILFYLIWHYCFGTGPFKEEEA >cds.KYUSt_chr4.42191 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260993458:260995551:1 gene:KYUSg_chr4.42191 transcript:KYUSt_chr4.42191 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVPGPYSGVSTLALVARVSALGVGVVYGSVKLGILKLIGLGLVICDRNCVFKLAASEDIEGIMSPELALWPLMIVADNGFQHIVLATDFLSMVQRITCPVQGRSVVGAVVCDIKASATGSSLCSFKQFGRKVNVAPHVFSS >cds.KYUSt_chr7.18582 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115131818:115134770:1 gene:KYUSg_chr7.18582 transcript:KYUSt_chr7.18582 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNALLGSILLILLSSVAATSSSNSGNAETDALMELKAALDPSGRAPALASWARGGYPCGRGDYFEGVSCDALGRVSVVSLQGRGLSGSVSPAVAMLPGLTGLYLHYNELRGAIPRELGDLPELSELYLGVNKLSGSIPVELGRLRRLQVLQLGYNQLSGSIPTQLGELNKLTVLALQSNQLTGAIPASLGDLPDLTRLDLSSNRLFGSIPSKLAGIPQLATLDLRNNTLSGSVPSGLKKLHQGFRFDNNLELCGSHFDSLKACPDGDDSTDDQMPHKPEPTSIKPQQIPQTTSLNKNCDNGTCSRASTLSSGAVLAGTIIIVAGVAACGLSVFSWHRRQKQKVGSSVEYLEGRLSRDQQKETCQKSGSASSLINVEYSSGWDTSSEGSQQGVRLSPEGSPSVRFNLEEVECATQYFSDINLLGKSTFAATHRGIMRDGSVVAVKSINKSSCKSEEADFLKGLRALTSLRHENLVGLRGFCRSRARGECFLVYEFMSNGSLSRYLDIKDGSAETMVLEWATRVSIIKGIAKGIDYLHSSKPSKPPVVHQNISADKVLVDHLFVPRLSGSGVHKLLADDVVFSTLKGSAAMGYLAPEYTTTGRFTDKSDVYAFGVVVFQVLTGKKAVSQHLLRSPVGGQESGGGAKLDDVMDPRLGGRFSRPEAAKLVGIALLCTSEAPGQRPAMAAVLQQLGASQ >cds.KYUSt_chr5.6234 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38495133:38498724:-1 gene:KYUSg_chr5.6234 transcript:KYUSt_chr5.6234 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPTRRTIHQSPPSMLVDGTLPAAAAMEARASTGPREQGDADAAGARVHPLRLPRRPRKLELRRPSPHKLMPDDHDSFCITSTFFCSDKAVLIKQLKQTCLLLLLLPSPDKGIQVSVKSSANSLSTSPSSCLDSGYTAPQRCLQIPSGELQSTTHSARLLVVVGRDLLLLLVIRSVTAYCFREGHDLVVERMSSTGSTQQCRPDIRFRSL >cds.KYUSt_chr3.46545 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292758322:292761300:1 gene:KYUSg_chr3.46545 transcript:KYUSt_chr3.46545 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSHDAFAAAASRARRRATGHTSSSSASRRARRDPAAVCRERAALIRAAADRRFALAAAHAAYFRSLAAVGGALRRFAAAALLPADPADHASPVLTLPPSPAKPVSTLPPSPSGSSSTVSPLSHSLSDSSSDDEDLHALDHSRRSRNRNAPPQQHRHYMRNSATVPNVVYADPYAEYAEAQTGYGAYPYGPYGDRRPPPTPPPPEPAASAWDFFDPFTPYDQFLEDYSRGNGGGISWNLPASSPNYAEVRRMEGIPELEDEAELEAKPAAAASTTSTVEDENVKGKRPVPAANAGSKGEPSEVKLQRKRSSSPNPKGRPSEVKKGPSGANGEPSEARLQSRESSGPKGGPSEVKTQSKGLSGANGEAEKPVSAPRNASSKSKKGSSSDTASLKGMGSGDIGSDNSTAKKKGVAFDKDVSTVDAYGEGGSHGKPAHPAMTVSSESFSPLHSGDRDVMEAMEEIKGRFEEAADCGDDVSRLLEVGKVPHRATPKVLRYFSSTVSSSHCLPRRQRNSRLPSTASSASGRRNPDLNLSSTLEKLCLWEKKLYQEVKDEEKLRVVYEKKYRRLKSLDERGAQPEAIDPIRLSVRDLRQRISINIRTAKAFSSKIEKIRDEELYPQLVNLIQGLRRMWKAVLECHERQLSAIRDSRIHLLKARTISQSSAAAVATLELERELSKWYRCFNKWISTQRAYVEALNGWLRKWFPEVQEELDAPDGAPPFSPGKLGARPIFIISNDWFRAIELVPKNDTLKSIDYFSKLVHEFRRSQEDEHRQRRRADHASRDYKRKREVLQRELGVSSSTDMVAAMEHAPPGHDDRVVELQKMRKRRDDERARHDEVMKHAHFAAEATLPVGFLPLMEQMVSFFQSNLQVYMRIRINGAHLGSSATHLG >cds.KYUSt_chr2.1321 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7832054:7834604:-1 gene:KYUSg_chr2.1321 transcript:KYUSt_chr2.1321 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKSVATWFRSNPRRIIQYLNEHHQSQEYIPYGFLATFSPTPNCEAWQAPYTRSKEEMVISVGSHRRSIRDAVLGGRRELYKPLRCASFYDGLAAALSEATGSVSLFGTDGGKKARNVLILMSDTGGGHRASAEALRDAFRLEYGDAYQVFVRDLGKEYGGWPLNDMERSYKFMIRHVRLWKVAFHGTAPRWVHGMYLAALAYFYANEVVAGIMKYKPDVIISVHPLMQHIPLWVLKWQSFQAKIPFFTVITDLNTCHPTWFHQGVTRCYCPSAEVAKRALIRGLQPSQIRVYGLPIRPSFCRAVLDKEELREELDMHPELPAVLLMGGGEGMGPVEETAMALGEELYDHRRRRPVGQIVVVCGRNQALWSTLQCLTWKVPVKIRRFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPVILNDFIPGQEVGNVPYVVDNGAGVFCNDPREAARQVARWFSTEAEDLKTYSHNALKLAQPEAVFDIIKDIHKLQQQPAALTRVPYSLTSSFSYHI >cds.KYUSt_chr7.41122 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254759317:254764782:-1 gene:KYUSg_chr7.41122 transcript:KYUSt_chr7.41122 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRGLFLPVLFALLLLAGPGRAAADDASRSYRGNAASPGCSNKFQLVKVKNWVNGSEGTTLVGLTAAFGASLPATVNEARKAFSVLTDPLDCCSNVTTKLTNSIAVATRGGCPFTAKAENAQAAGAAGLLVINDSEELYAMVCNENDTSINVTIPVLMIPQSAGKNLKDLLDQGARVELQLYSPIRPVVELSAGFLLIMAVGTIVCSSLWSEFVACEQVDEHYNQLTRQEGPNSGTNHRQEKEIFEISAKGAAVFIVVASVFLLLLFYLMSSWVTWVLIVFFCIGGIEGMHSCLVTIFSRIFKEWGQKTVEVPFLGEVLTLSVGILPFCTVFAILWVVYRRSSFAWIGQDILVASALLSAAFVYDIFWVFISPLIFHESVMIAVASGDSSGEAIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRPFPYLSCALPNGRARSTSVAIPSSMYIRAYCCAWLDKR >cds.KYUSt_chr4.15972 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98873855:98876158:1 gene:KYUSg_chr4.15972 transcript:KYUSt_chr4.15972 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRATIPLLFLLASGLLFAAAVGTSSEEESRRGEHSLRECHQRCERDRPRYQRAQCVQECRDQQQQWEHEQSHGHGHRGDREHEREQEQGRGDREQEQEQGRGRRGDREHEQSGHGRRGDREHEREQKQGRGRRGDREQEQEQGRGRHGDREQEQEQGRGRRGDREQEQERGRGHHGDREQEQEQGRGRRGDREREREGNQEQDSRRPYVFGPRSFRSIVRSDQGFIEALRPFNEESRLLRGIKNYRVAIMEVNPRSFVEPGYTDADGIGYVAQGEGVLTVIENGEKRSYTVRQGDVVAAPAGSIMHLANTDGRRKLIIVKILNTISVPGQFQYFLGESLVSSLSKRVQRAAFKASEERLEKLFGRKGKKQGFIVRASPEQVRELRHHASEGGQSHHWPFGGDSRSTYNLLEQRPTIANRHGRLYEVDAHSFRALADQDVRVSFANITAGSMTAPYYNTQSVKISVVLEGEGEVEIVCPHLSQDSERHQQGQSERKHQQRGHGSESESESEQQQQERYETIRARVSRGSALVVPPGHPAVAISSSRANSNLQIVCFEINAQKNERVWLAGKNNVLGKLDRPAKELTFGAPAREVDEVLEAQQDEGFFAGPEQQQGQGEEEWRHRGRGEEAVESFLRMATGAF >cds.KYUSt_chr1.35012 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213325267:213328316:1 gene:KYUSg_chr1.35012 transcript:KYUSt_chr1.35012 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQSGDEWEGVRLFYRRYGRGATKVLLVVGLAGTHDSWGLQIKGLTGSVEPTDEDSSAAAAAPRTDEEAGTGAAAADDDGEGPGIEVCAFDNRGVGRSSILPHKSSYSTAIMARDALAVMDHLGWKKAHVFGHSMGAMISCKLAAIAPHRICSLGLLNVTGGGLECLPKVDGQMLSLAFRFLRAKTPEERAHVDLETHYTKEYLDEKLESCTRRAILYKEYVKNISASGMQSSCGFEGQVNACWTHKLTTKELDTIRGAGFLVSVIHGRSDIIAQLCHAKRLADRLLPAARMVDLQGAHLVSHERPEEVNNAMMDLIKATKSAMKPHEWSSQPENTSETGALISARSVTLTIRKDEAGNAAVAVYNFLAKFQLSFLYLIGLIVMGFEHMRNIVRVMKPVRVAAIES >cds.KYUSt_chr5.42161 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265722296:265727637:1 gene:KYUSg_chr5.42161 transcript:KYUSt_chr5.42161 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRQDLQRAGGVEVVVMFFPEAEGWLLRDWDGGASVSIRHWLHTSVFVPSPLHASISMPSLPPPPLSSCRYGYNSLGRGGHHEILRTLAGFMLGAMANWNHWMEYFGYATCADLGHLACPHDAVYVQSQDRWKLLCSICTVAYGVCIQCSHPTCRVAYHLLCARAPDLCVELEDDDNANIHLMLLAEDEDPCIRILLYCKKHRQPCSGSSGRCGLIIWLFKDSTSKIPITADKSTLPRSSDEAIQPSPQSKHSENTPAKDIIEETDQDSEENTNSTQQAKRGKISIKPLSQLKKAKQTTEDKELFPKKDMIFFSSKEMAISIIRCPMARSLWQAMEKVWPLPDIGTLTNTSSEWLLHLLEGRSETVRAMIIMILWRIWHCRNEFPNADVAKGKTVVSWSRDNKLKTTPGVSKTAVAIEETTRGACEDECGWVFLSN >cds.KYUSt_chr4.37075 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228007880:228015462:-1 gene:KYUSg_chr4.37075 transcript:KYUSt_chr4.37075 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASAREEDAAAAAEAADVEDGAGDSSSAARGFPPYGGGGNHVRRACSVGVVGGGGGPGSPPGSPGRSLSPRMFVPQTPVPPLVRPADVTPVFNEILMKEQEEEFDGPPQKEIPALIVWTLGGKSVSVEGSWDNWKSRKPMQKSGKDHSLLLILPSGVYRYRFVVDGERRCFPDLPCETDAMGNAVNLLDVHDFVPESVESVSEFEAPPSPESSYSFQSPEEKDFAKEPPALPSQLHLGVLNSQHSEEVCARPQHIVLNHLFIEKGWGAHPLVALGVTHSKEQQHRGLSSCKSTLEKRGRLFNHMEIPDDVLDIR >cds.KYUSt_chr1.30918 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187265054:187266621:-1 gene:KYUSg_chr1.30918 transcript:KYUSt_chr1.30918 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPAAIGVGRRRLRAGGNRGGLHIGEAARGGAALPQPPPLLIEPKPESSEEDPDLRAALIISAAEEEAKWPHLHAAIRTSEMEEAARRGRTKLDISVVYAHNWQVPFAVSLVQSHLLAPGGAMSTAQSPAIAVTAAAVEKSKHWAPHGAALTACLVSINLLMILLIFFYFWRFFSGKRGPLAPGGGNDEEASSSTADSSPATSPRGSRRLSDPGQLPVSVYDSSSDDPAGGKAECAVCIVEFRDGDLARLLPRCGHRFHAACVDAWLRLHSTCPLCRADVVPPSPAAAEPKNDDPKDDGGAECPV >cds.KYUSt_chr6.13234 pep primary_assembly:MPB_Lper_Kyuss_1697:6:82701149:82702315:-1 gene:KYUSg_chr6.13234 transcript:KYUSt_chr6.13234 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKADAAPGGGDDLAAMREQCRSMEEAITFRRDAQLALVGSLQRLVPDLVPTLDRSLRLVAAFNARPFAPTPNPNAADPQNPSSNLKPHHRRAAPDPARSTRRKTSPGSSPASSAPAPGGLDAVRTMVAVFLLELVPFAETDAAVLARRLQADTSSATDAERAALADLATELGGSVPAAVALALRRIAEDSGGVQIEEAMIGGKQMMMVWAIDRTKLLKELPESTTLLPPQPPPAPQPTSSETDTSTAIVPRPPPQQQQQQQQQQQDMWAHSMPPMFPRPRGMPMPGMQRMMPGLMRPFMAPSGVISMGPASGPMPTQQNQRTEEEDLKDLEVLLSKKTYKEKQNTKTGEELLDLIHRPTAKETAVAAKVCFHSTSSSGYVLLVCVV >cds.KYUSt_chr7.2552 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14963838:14965339:1 gene:KYUSg_chr7.2552 transcript:KYUSt_chr7.2552 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSRPEEVVALVKLRVAAGQIKRQIPPEEHWAFCYDMLQKVSRSFALVIQQLGPELRNAADRASSVQIREEEDSHRGMDLGKKKRRMPTIWCGSLPVASLLCLYFSVKSHS >cds.KYUSt_contig_686-1.1062 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6528433:6529053:1 gene:KYUSg_contig_686-1.1062 transcript:KYUSt_contig_686-1.1062 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPMDGEGWKFPAAPDVLVEILTRLPPSCRRLLRLVCRTWRDVVDQRTPEMRSRAAILVQTERSSYVVDNLSSGRRRELWTGRCAGDRYESMCVVGTCNGLLCLCDTKEPGGAITLANPATGEMLLLPLLPFSGQYYRPFSWGDGGIATSRHEAYCFAYLPATGKYRVVHVPCYPDPGGEFEAVQVFTLGKKSWRNVPTPGMS >cds.KYUSt_chr1.8673 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53179881:53182517:-1 gene:KYUSg_chr1.8673 transcript:KYUSt_chr1.8673 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRGVLLAVLLTVRMVVFSEAKTYVPTESIVVNCGSDKDGQDAEGRKWVSDKDSKWLADGGKASIMATADVPDPSLPSPVPYMSARVFTKETAYTFPVGETDRHWVRLHFYPAAYHGLPVEQFFFSVSTSTGITLVRNFSVYITAKALSQAYIVREFTLPPIASGSLSLTFTPTAMKNASYAFVNGIEIVSMPNIFTDQAPLVGMDSQSVDASAGSMQTMYRLNVGGAYVAATNDSGLSREWFDDTPYVYGSATGVTFEANKTLPIKYQSPEAEYAAPVSVYDTVRKMSADSTMNKNNNLTWIFEVDANFTYLVRLHFCALTTDKVNQVVFNIFINNKTATTPMISGDSGAADVMAWAGGKGVPVFKDYAIYMPDTAGEKLLWIALKPFTGGTAEFNDASLNGLEIFKMSDSSGNLAGPNPDPSKMLLEAELDGPAGHFKEKPSNIGALIGGAAGGAAAFGIIAAICFVAYQTKKNKALSSSPSHSSGWLPVYGGNSQTNASKSSGGKSVALDPNITAMCRHFSFKEIKTATKNFDESLVIGVGGFGKVYKGVVDGDCKVAIKRSNPSSEQGVLEFQTEIEMLSKLRHKHLVSLIGCCEDDGEMILVYDYMAHGTLREHLYKSGKPPLLWKQRLEIVIGAARGLHYLHTGAKYTIIHRDVKTTNILVDEKWVAKVSDFGLSKTGPMTNNQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPREQVSLADHALSCQRKGTLEDIVDPLLKGKVAPDCMKKFAETAEKCLADHGVDRPSMGDVLWNLEFALQMQDTFENGGKPEGEDGFESTSLAPPSMAESLAANAAALSLISEDMDEEDIANSVVFSQLAHPTGR >cds.KYUSt_chr4.25914 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162979926:162981071:-1 gene:KYUSg_chr4.25914 transcript:KYUSt_chr4.25914 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLTDDILTDIISRVPYKSTCCCKCVATRWRDLFSHRDHRKKLPQSLAGFFYEGYNRDRFPKIARYFTNVSGEGEPLVDPSLSFLPGYNSLDILDSCNGLLLCRRWHFTNPQLMDYVVCNPATEKWVVVPATDWSSKVEVARLGFEPAVSSHFHVFEFIDQQAWCIDESEFHGCVGRIEAVATYSSNTGVWRHQVVENNQFAIPTHSKGVFFNSIMHLAAFDDMVVTFDVQGNLLWTIGTPPSPPNCDDSPINDVFVSQGQLHFANNSGSESGRYNLSVWVLEDYDSKWSLKHTVSHWQLFGTNYLPLDHNVIAFHPECNMIFIVYGHENTLMSYEMDCRKRHFLRQLGRDCKSEYFSTDVKTPFIPYVPLFTESLADGS >cds.KYUSt_contig_195.174 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1099206:1101983:-1 gene:KYUSg_contig_195.174 transcript:KYUSt_contig_195.174 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEEASASMAEEDEEEEDAGSVALGPQEGEDDSLRRWKEQLLGDVDTTKLGESAEPEVTVLNLTILAPGRPDLLLSIPFADDSGRAFTLKDGSPYSFRFSFTVANNIVSGLKYSHTVWKAGVRVENQKVMLGTFGPRQEPYTYDAEEDTTPSGIFARGSYSAKLKFVDDDGKVYLDMSMPDTGFCCTYPIVSDILASVDRVLPAKVRTRTRRYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSSSRGCRRRWRLPCRAGTVVPGYRGGWRRPPRRRDRDRRLGPAGRRRHRQKEWPSPATVKKEPTSPPPTERAQQRRARHPRPALLAATRRKRKTAKNRAAAAAANRLAKEEAKRAEDAAVAEAIAGRPKDPVPADNTLPIDAAVEWSERDPGSARRRSSSGGCWIRPPRDNSPPAPPHRRRPRGTPRPGR >cds.KYUSt_contig_988.403 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2382215:2382547:-1 gene:KYUSg_contig_988.403 transcript:KYUSt_contig_988.403 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPLVRYTSSSSSLAGGGLVEPSQVTPLLGGAVAASRLSSSLAVGGLVEPSLRHAPSSLLIGDGSRTANEQTHPGGAVAASRLLLLAHRRRLLDVTACGGAASQGKRER >cds.KYUSt_chr6.30075 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190626779:190627960:1 gene:KYUSg_chr6.30075 transcript:KYUSt_chr6.30075 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGVSLVGTDGMLSPSTASPIDAGAGSGYHLLAVEGYSRNRDALPNGEHVRCRTFLVGGHRWYLKYYPNGYDSSCAGYISVSLALEEADGGYAPAARPVKAQVAFSFIDQTRLQVTAKIRGTRIKDFSLNPEHCYAEAVRRDILERSKHLKDDSFTIRCDVLVFAEEGARRATFTAALPPDMQSHFSDLLLTEEGADVMFEVGGGGDKFPAHQCMLAARSDVFKALLVGDKNNRPSAPGTVVKIDDIQAKVFSGLLAFIYTDAFPDWHMGSLEKDRAAEGETESGEDGREVSDEYVMWLLHLFEAADRYELPRLKSICEEMLVSRYIRVTTVADIVVVAEKIGSGWMKEVCLEFIRAHTSLHAVFSVDGFEQMIRECTPSGLKELISKFAA >cds.KYUSt_chr7.24619 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153492666:153499867:-1 gene:KYUSg_chr7.24619 transcript:KYUSt_chr7.24619 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSNVLFAMPLSSLVLERTTRKRRQVSKERRWHGRMAWVEAPNDGGDLIVSLTSSTIFGRSVEAGGRDEGPPAIQHLLLLLVLLVPEGAVLAAAGFVADPAPVAPARRLVRLLPGGVREIGRGGFGFEVGAARRGFLVVVLAQLGSGIEPAARAEVWPFLLGVYDLNSSEEERKTMKIKKRNEYEKIRRKCHQILNCHKGFGLKIINEINNEGCSGGAPYLGGVSSSVSVSAKEFNCLGSEANSPDNADCAPAECMDDDASELTYVDQCMVESESSDSGSSDEDDHGQMSVCGDIEENCAPEPKFVRSTSSKSDFFRSNKTPEDFATWQRIIRLDAIRANTDWALYSHNQAEISKEKALQRALSVGLKDYDHLEPYMIYHAARLVALLEAYALYDPEIGYCQGMSDLLSPIIAVMEEDHEAFWCFVGFMKKARHNFRLDEVGIKRQLKTVSQIIRRKDSHLYKHLQKLQAEDCFFVYRMVLVLFRRELTFEQTLCLWEVMWADQAAIRAGIGRSTWGKIRLHAPPTDDLLLYAIAACVLQRRKLIIESYSSMDEILRECQSMAGQLDVWRLLDDAHDLVVNLHNKI >cds.KYUSt_chr6.21506 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135764542:135766048:-1 gene:KYUSg_chr6.21506 transcript:KYUSt_chr6.21506 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNSAGEPAPLVRRQKARIPGAAEKSLSQQAHQPAPVRIIAADGSLKELPASPRVTVSDVLGGDAVCSFFVCNSDDLYFNEPPPALAAGELLQPGQIYFLLPAAVLGRPLSSADMAALAVRASAALATKRPQRRAGKNQKVRVVPMQEREPEAGEDVLFNQKVNERTLGELVLSISPAKKRDEKLAERSRLKRALSIIQEMAE >cds.KYUSt_chr3.26733 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166694497:166696617:-1 gene:KYUSg_chr3.26733 transcript:KYUSt_chr3.26733 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLPPDARCAFDQTRRRAEDLQDKRLVRTFVNVYGQPQPQEPCYPREAVMAAVEECMRKQAEGLLHSLEGIGGRLSQLELYCYKLERSIGELRSDVMDYHSDATVNFRCIDKNIRQVQKAVQVLQDKHEPAAADMAQQQLAKLQIAHEFPARANEAAPPLSMLAAARETASDHHATQFPNPEVSFLPMHQVNATQSPAMPMTQGGSGYILQQLVPVSLHDQQHPGQAAVYYMQSQSHVKSAENKSSESFVQVMQPHLQNPEPRVAVDLPQQSSQTTEMCAPLQQHHMLQMPVQHHESQTWRSVSQQQQQQQYSVQQVTQQIVQQKTSSPHAQSAPQVTLMYPPYCSQKPANATAEALPRSLVVQSPYSSPQQKHHEVAPSFYGQGNTVLLPTAERSIQHQQAQQVQPHRQAAEHNVQHQQPQQLQPHSQGSYPPQPSKPSHCSVASYAVQGGGRAYSAAYENPSECPATVVAVLPQHPATAPMAFHHLGSQVMHKNPYGSMFETATVVGYPQDVVGNLTHPAVTAALQPVDSNATMVNKLNAGSNVTSPRDWSA >cds.KYUSt_chr6.15843 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99710277:99713430:1 gene:KYUSg_chr6.15843 transcript:KYUSt_chr6.15843 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQLPPMLSVPEKKTAAAELFRDRHFFNSPFFADLRDARACLSVPSPQTQPPSSSRALLLRYHRLLYSARDDPCAFDDNLAFTWHDAFRPNLKHTSALLRFEKAAVVFNVGAASSRIAAAVDRAAEGGVKAACGEFQRAAGAFRAVGEMMEGQEGTVDISPEASAMLERLMLAQAQECCFERALAAGTSPAACSKVARQAALYYEEAYAALVIPPLQNHFDRSWSSHIQLKAAQFNAEACYRYAMELHEKTEIGEEIARLQVGINAVADAKRTARGTPAPLYDSVTRLEQEMSRSLERAVNENNRIYLMRIPAAKTLSPLPAASLVRSASTSEVLDAKTETGIQSS >cds.KYUSt_chr4.53767 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332658516:332663323:-1 gene:KYUSg_chr4.53767 transcript:KYUSt_chr4.53767 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSVVTGALKPVLEKLATLLGDKYKRFKGVRKDIKSLTHELTAMDAFLMKMSEEEDPDPQDKVWINEVRELSYVMEDCIDDFMLSVAEKDTKPEGFIEKMNHALGKLGNMKARRRIGNEIHDLKKQITEVGERNMRYKTREASSKTMNATVDRRALAVFEDASKLVGIDESRAEIVKLLTEGPSTREQAKLVSIVGPGGMGKTTLANQVYQHLKEKFECRAFLSVSRNPDKMNIFRTILSEVTGTDYAKTEAGISELPKQIGRLGCLEMLDIRCNGVEELPASIVNLGKLSHLLADGYIKFPDGIAKMQALETLKAITVYIQGFDFLLGLGQLKNLRNLVLQEIDVDSYSDTDMVECGRVMVSSQCKLGNQNLRSLNLRNGSSLLQEPLCIVTLEKLITKSSAVTRVPEWVSSLRNLQQLRLEVEVVKQDDLCILGALPALLILYLEVVTKSNERLRISGEIGFRFLRPLKQGDQNHQAPNPPPPTLTLRPQPSAAAAGGSAAGAKSLGAWRRRGALPRRRVEIGGRIPASSMLGGADARGMGDGGGSPPPVGCPLADRSARVGWAALQSPSSRRLPAALRHGLVVGGGQTLGLRHDIHPRLSLVRGGDLGHLPRHEGARAAALGLTEEVASSSPERVGLRLVVVDF >cds.KYUSt_chr4.46976 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290663129:290668998:1 gene:KYUSg_chr4.46976 transcript:KYUSt_chr4.46976 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGGRYNAGGGGGHRGGGGGGGAGGRNFATNRDGGGARNLVWQWEEGAGGGGSSAGASSERWEAAAAAAAGQGARKDKAVAIQGGGARMAPQQQLQQRTGKTPMPGDASCLKCGSKAHFTARYPTIRCERCGNPSSRDLEKEFTEYLGSGWRCTARPIYSTQYTMRFPSAKEVDKPLFYGNRMQMKTFDAMLNLSPWSAAVGTSGMLHKALVRDRNIPPEKRNDKHDAYAGSLVGVTLEVDQATLHKPDICRIMLGCRDIDNLPDSAEGFLGDFFYIFSYEIESVVFKGPPVVRNVVTVTNTYTPPSPKCVRTKNYSAMSDASADGYASTDQSVGTGYGRTRGHVLETVSEHESEEESKYDSKLLIDSIARENRDKHAKMDKEEPVVVNTGVDTSIAVEKGLVNVMAPVTPVVEKESISPDNGRPSKQLIPSYVAAVMGPTWLAAPVVTEVGMNEAIGGEENAAYFVQSPSPSSAEKEDAPPVEKMVPPVGDMRDNLRHPIGKMGSVVEQAAALSQKRNLEGTSSSLPSFNSFDVLSNNEIMERAALMGIDIPSDNFEKIDVVRELEKARCNLSNKNDNISSSSFVVHHDHGRQTPLSLMWLDSDEIDEPFTVVVDIWKQPVSNLDPIDVLNIKLKRVKKYFKGWGSNLFGHVKKRKVELKINLDSLEQEEEKMELTPEEVVTKTQIMVDLYNIHVEEESYWHQRSVWARRWRKNQAGSRSNAPAGVRASLQGVFNGSGDFLWKTGTADDNQWRRVKSS >cds.KYUSt_chr2.55151 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343897167:343903481:1 gene:KYUSg_chr2.55151 transcript:KYUSt_chr2.55151 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTARRGHPALVNGDGGYDREEEDEEGYGEEAEAEPEEEPRLKYQRLGGSVPAILSTDAAAAIAVTDRAVLLGTHDGTLHILDFQGNEVPSKQIAAHTATINDISFEDGEYIGSCSDDGTVVISNLFTDDKLKFEYHRPMKAIALDPDYSRNYKRFATGGLAGQVLVLTKKTWGGGYNKKVLREGEGPIHSMKWRADLLAWANDAGVKVHDMKTDRGIAFIERPKGIPRPEVLLPHLVWQDDTVLVIGWGTSVKIAAIRTESQGLNGMQRSISAVSSDKYVDIVGSFQTGYHISGIAPFGDLLVMLAYIPEEDDKDKKISTSAPLRQGTAQRPEIHLVSWKNDVVTTDALPIHGYEHYKAKDYTLAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDTEDHIAWLLQHGWHEKALAAVEEGQGRTELLNEVGSRYLDHLIIERKYAEAAQLCPKILRGSPSAWERWVFHFAHLRQLSVLIPYIPIDNPQLSDTAYEVALVALTTNATFHELLLTTIKSWPPALYSASPVISAIEPQLSSSSMTDPLKEALAELYVINSQYEKALSLYAELLKPEVFEFIEKHNLHDAIHDKVVNLMILDSKRAIHLLIQHRDIIPPFEVVEQLLHTSKNCDKKYLLHQYLHALFETDIHAGKDYHDMQLELYADYEPRMLIPFLRTSQHYRLDKAYEIFSRRELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVTEQHDDELWEELIKQCLQKPEMVGMLLEHTVGNLDPLYIVNLVPDGLEIPKRIVLIFWLNTTKRLGAESAWQPWTRRHKEQPEPTKDISIIVFYCCHAYHQSCLEGGLDSMKSTARDSDEDSEDDDGSPSAESKMRCVLCTTAAA >cds.KYUSt_chr3.29638 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185432413:185433846:1 gene:KYUSg_chr3.29638 transcript:KYUSt_chr3.29638 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQMSMSTAKATMPASIAVFLCILSMAAAAAMDPAERETLFLVMDAVSSDRDWRSETPDPCGTPWPGLECKPAPAGNSNSTTNAAAAAPLHVTRLDFGVDPNPSCKDAAVFPPEAFALPHLQSLFFVGCFKNPAAPTALLLPPPAYLSSSGLQQLSIRANPSLSGTMPPQLASLRSLQVLTVSQNGLIRGEIPRGIGALSSLVHLDLSYNSLSGPVPPQISDLTGLVGLDLSYNSLSGPIPTRIGQLRQLQKLDLSSNNLTGAVPATVANLTSLTFLALSSNGLTGRFPPGISGLKNLQYLIMDNNPMDVPLSPELGGLARLQELRLAGSGYSGPIPAAFGQLASLTTLSLENNNLTGAIPAGLSRLRRMYHLNLSNNGLGGAVPFDGAFLRRLGRNLDLSGNPGLCLDGRDVVRDVGIGVGACRGGDGSLARDSVSSATGGVLTGYRDSFRSSLLYPACVVFISCMIFFPLMDDE >cds.KYUSt_chr1.11747 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72470139:72471932:1 gene:KYUSg_chr1.11747 transcript:KYUSt_chr1.11747 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEIEEEGTGGSWTQEDKSLCASVLGSDALAYLTKGGGAISEGLVAASVLVGLQNKLQDLVEADSQSLCWNYAIFWQLSRTKSGDLVLGWGDGSCREPHDNEMNSTVHSGVHDASSVTRQRMRKRVLERLHTAFGGADEEDYAPGIDQVTDTELFFLASMYFAFPRHVGGPGKVFATGAPLWIPNNPHKVSPSNYCYRGFLASAAGFRTIVLLPFETGVLELGSMQNVAESAEALEIIRSVFLGASSKKAATENHQGNGSAQVSPGLAKIFGKDLNLSRPLSNTAAGPSKLDERSWDMQKHCGGESLLLPNVRKGLQNFTWSQARGLNSHNQKFGNGILVVKNEAAHRSNGAPHSPGLSPFQVQKPLQILTQPPSQPQTPTHIDFSVGSSSKSGILTSQSALYDGENGSVDGFKEQGGHATDERQPRKRGRKPANGRVEALNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITHITDLQKKLKEMETEREMFYESGAVDQRVRTPRPEIDIQVVRDEVLVRVMSPMDNYPIKNVFQAFEEAEVKVGESKIASNNGTVVHSFVIKSPGSEQQTREKLIAAMSRAMRPT >cds.KYUSt_chr5.34233 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217121282:217129846:1 gene:KYUSg_chr5.34233 transcript:KYUSt_chr5.34233 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKPGMSALVTGGGSGIGKGLCIALAQKGLFVTIVDFSEENGGQVASLVQKEASQFHGDSKVPSAISIKCDVTDADALAAAFEKHVHMYGGLDVCINCAGFISKSLVYDDTSNGINTWRRSINVNLVAVVDGTRIATQIMRAQKKPGAILNIGSVAGLYPMHYEPIYSGTKGGVVMFTRSLAPLKRHGIRVNVLCPEFVETNMGGQVSRVLIDALGGFLKMEDVVTGAFELIEDVSKAGACLWISKRRGMVYWPTSEEEKSYLVYSSKSKKTLTKNVFPSIQTPEFFKKIVAHTLSHNFRNATRIDRVRLRLPMKPHSALVKIIYAGVNASDVNFTSGRYFSGNAKEASAHLPFDVGFEAVGIVASVGDSVKHIKVGTAVALMTFGGYAEFTLVPAKNLLPVPRPDPEVVAMLTSGLTASISLEKSGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGASKAALLASLGVDRVINYQHEKIKDVLKKEFPRGADIIYESVGGDMFDLCLNALAVHGRLIVIGMISQYQADDGWTPRNYNGLCEKILGKSQTVAGFFLIQYADLWQKHLDKLFDLYASRKLKVSLDPKKFIGVASVADAVEYLHSGKSVGKVVVCIDPAYSQTLAKL >cds.KYUSt_chr2.25092 pep primary_assembly:MPB_Lper_Kyuss_1697:2:153386546:153388072:-1 gene:KYUSg_chr2.25092 transcript:KYUSt_chr2.25092 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARVKALHDEVNSLLTTLDLGTPLDGLLPHADVLCVIRYKEHQGHQEEDTPWSKGGEEQLDKKMDTKMDMELDRKSPEERKEEKTDGRSRTRSDRLPDRITRSTARSDRPPDRTARSATGICARDIRAPSRGLGASSGRRPVPGPVWERTALLFHCPAIGAPDGDDRALSWAVTTLEASDKRAASLIILVAWEIWRERNRRVFCNKELTVSGLSHLIADEAYSWVLAGARHLVRRE >cds.KYUSt_chr5.78 pep primary_assembly:MPB_Lper_Kyuss_1697:5:550471:554099:-1 gene:KYUSg_chr5.78 transcript:KYUSt_chr5.78 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAGAGTADAARADDEARALLPASAPVAGHDEEDEDLEERAFEASEKVIVSLSSDDADADLEAQLASSSGSPPFSWRKLWLYTGPGFLMSIAFLDPGNLEGDLQAGAAAGDTLLWLLMWATAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGYLPLWAGVVITALDCFIFLSLENYGVRKLEAVFAVLIATMAVSFAWMFTDTKPNGKDLLVGILVPKLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPKKEYQVREALRYYSIESTVALAISFMINLFVTTVFAKGFYGTKEAGSVGLENAGQYLQEKFGGGFLPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLKLKKWLRALITRSFAIVPTIVVALFFDSSDALDVLNAWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGHKMQAVTWTVAALLITINGYLLLDFFSSEIRGPLYGSVLCLAVLAYAAFVLYLILRGTEISNKIIVAIRKRLS >cds.KYUSt_chr5.22706 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148261547:148269092:-1 gene:KYUSg_chr5.22706 transcript:KYUSt_chr5.22706 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRTVNPPRSEDGILLPWYPPQGPRNLASSLVRLLYWGGGVGGSGQERSLAGSGGHGVGDVSDAIFLLGSEVEVAVFPEAKCGRFQLVLWLGGGRNDSATLDLPPLRKASSFSKMQHEAYDYIIVGGGTAGCPLAATLSKKYKVLLLERGGSPYGNRNISYLENFHICLADVSPTSPSQGFISTDGVINARAKVLGGGTCINAGFYSRANQRFVQDAGWDEELVNQSYPWIEERIVYWPNIAPWQAALRDGLLEAGVSPYNGYTYDHLFGTKVGGTIFDEAGYRHTAADLLAAGNPNNLRVLLHASVNKIVFMMKKGHLKPSAIGVQFKDENGEQHQAFLSEKRGSEIIVSAGAIGSPQLLLISGIGPRPELKKHNISVVLHNEHVGKGMSDNPLSSVFIPTESPPKQSLIETVGITDGGVFIEASSGFGQTDDSIHCHHGIMSAEIGQLSTIPPKQRSLEAVHKYLHNKNSLPKEVFHGGFILSKIDGPLSNGDLVLVDTDANSNPNVTFNYFKHPQDLRRCVYGIKTIERIVHTNTFSNFTPRGSGYPMEKLLNMSVAANINLIPKHTNDSTSLEQFCRDTVVTIWHYHGGCHVGKVVDQQYKVIGTSGLRVIDGSTLSRSPGTNPQATIMMIGRYMGVKILRERLGRAAGV >cds.KYUSt_chr6.22350 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141234861:141236203:1 gene:KYUSg_chr6.22350 transcript:KYUSt_chr6.22350 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAATTMVTGNKGGSLAMDRKSSWSFPMLPEVAFPWSSQADCKSLDFPRRALFASIGLSASLGAPPGAREHGGKARPAVGADDLDLASAEEEPKTKKTKKKLQLVPRLRKVRVKIGNPHLRRLVSGALAGALSRTFVAPLETIRTHLMVGSCGADTMGGVFRWIMQTEGWPGLFRGNAVNHFTYDTAKKYLTPEAGEPPKSPIPIPLVAGALAGVASTLCTYPMELVKTRLTIEKDVYDNLLHAFVKIVRDEGPGELYRGLEPSVIGVVPYAAANFYAYETLRDMYRRVSGKEEVGNVPTLLIGSAAGAIASTATFPLEVARKQMQVGAVGGRQVYKNVLHAMYCILKKEGAAGLYRGLGPSCIKLMPAAGISFMCYEACKKILVDDKEDAAKAEEEEEDDA >cds.KYUSt_chr7.7679 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46347054:46348774:1 gene:KYUSg_chr7.7679 transcript:KYUSt_chr7.7679 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNGKHYYTMCQTMFEIDTKYVPIMPIGRGSYGTVCSSVNQETNEKVAIKKINNVFNNRIDALRTLREMKLLRHLRHENVISLKDVMMPLRRRSFKDVYLVSELMDTDLDKIIMSSQPLSNEHCQYFLFQLLRGLTCLHSAGILHRDLKPGNLLINGNADLKICDFGLARTDNSEGQLMTEYVVTRPYRAPELLLGCDNYGTAIDVWSVGCIFAELLGRKTIFPGADCLSQLKLIVNVLGTMNDGDLEFIDSLRGRNYIKSLPYTPGTPLYSMYPQAHPLAIDLLQKMLIFDPSKRISVIEALEHPYMSALYDPSANPTAQASVDLDIDENLSTDMIREMLWQEMLQYHRRPSKWRIFNK >cds.KYUSt_chr7.11230 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69060640:69061383:-1 gene:KYUSg_chr7.11230 transcript:KYUSt_chr7.11230 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNMAPRRLWHVVRAVLFMLRKGMSKRRKLSMDLQLILQRSKIAGKALGKLITAHGHHDDQPAAQKAPPRRPDPSLAVYNPHGAGREVEFSCSNTPSYPPIKLIPTKRGRRRNNRRTHRGANGAEPGWYNYDAADIAKVFEVLNDEQLLNEVVGDGAGAAALAVLATPSPALWSSFGRSPAPVKQLRITDSPFPLRDDAADQEDGLVDQEADEFIKKFYDQLRKQQSLAVATPEYGYARPATGVAY >cds.KYUSt_chr5.43369 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273290304:273290609:-1 gene:KYUSg_chr5.43369 transcript:KYUSt_chr5.43369 gene_biotype:protein_coding transcript_biotype:protein_coding MQANRPAGVLLLAALLLSASASPAARAYGDRVLISNAAASMASTTRRLEDEVAPELSWSAGLHVGQSAYDKDRQVCVRDCAAQCQGCSYTRPCTYEEKCRH >cds.KYUSt_chr7.17122 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106080982:106082411:-1 gene:KYUSg_chr7.17122 transcript:KYUSt_chr7.17122 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSTIAAMGERRVPASARVGARSWSGALSVEIIGCLEVWRKRMSCGGTDSTSSSSPPLAFSPEKYCLSFFALRVDLRARLPPLAFSLSAGAAGRREDGLGGLAPLVNSRVGSSCGLPRWFVWLYAETWSGSPELGRIRWLLVVFLFSAVLWWAGPEAEKVVTAGISINKAAFCCYLPMWSGYAGKLLPAGCGSEGKKWTSVSCCASPRWRSLFLLHLGANHVVAMAAATISGRKGDPFRRQASASSTSMVEAPSKVSRWSAVPSRRQVAPEIELPPWIYVLTATLVRAYYRE >cds.KYUSt_chr3.47867 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299921886:299929050:-1 gene:KYUSg_chr3.47867 transcript:KYUSt_chr3.47867 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGRPRYGWGKEDMGHPSVEAMASASTVETVMGMKQDLWKFIASPVATAKVIHNPFEGSDDRDRRNCTSCLPVLRALSVPMAELAATMVVGPLLSIVKDKASSYLLDKYKVMEGMEEQHRILKRKLLAILDVIADAEEAASHREGVKAWLEEVKRVAYEANAIFDDFKYEALRREAKKNGHCRELGFDAVKLFLSHNRVAFRVKMGKKLCRVVQAIEVLVTEMNAFGFKYQQQVPASKQWRQTDHVMFDPKKIISRSRDQDTRNIVDTLLGQASNADLTVVPIVGVGGLGKTTLAQLIYNEPEIQKHFELLIWVCVSETFDVDSLAKSIAEFHPPKTKDEAAPKKSPLDILQDALRGHRYLLVLDDVWNREPDKWEKLKSCLTHGAKGSAVLTTTRDERVAKIMGTVKAYNLAALGDNFIKEIIEMKAFSLQKEEERPSVLVNMVGQIVKRCRGSPLAAVSLGSVLRTKTSKEEWKAVLSRSSICTEESGILPILKLSYNDLSSQMKQCFAFCAVFPKDYEIDVDKLIQLWMAHGFIEDQKEVSPETIGKRIFNELASRSFFVDVKQEKVPMNKYYPEMGSFSKHTCKIHDLMHDVALSTMEKECALMPEQPSQIEWLPDTARHLLLSCEKPETVLNDSLLTRSPAFQTLLCDNGMQEPLQHLSKYSSLMALQIYTNRRSFPLKSKHLHHLRYLDLSRSWIIAFPEDISILYNLQTLNVSGCKELSELPRQIKYMTALRHLYTHGCPKMRSMPVGLGKLLSLQTLTCFVAGPSGSGCSDVGELQQLNLGGQLELLQLENVTEEAAKAANIGKKEELRELKFKWSAGCEDDARVLEGLKPHDGLQAVTIQSYGGTAFPTWMSMLRTVVEIHLSGCSKLQWLFSCDTSFSFPYLEEFTLQGLQSLERWWELSHQEQGKEVIFPLLEKLSIIHCPKLTTLPEATLLGESYGIMARSAFPELKELCLDDLGSFERWEAIEGNQSGYIIFPRLEKLEISSCRVLTAFPEARPGGDYGMARSAFPALKVLSLNYLQNFESSDAVDGSQKEDAMFPQLQELYIVGCVKMKVSSGKQKVSPKLAVLHTEGSEEEMFRLVARHMTSLTNLKLKSSGETETTLSVADHSLKLVVDAIEKGNHNDLPLKDMELWGFKSAGAAELCAHFVQLQHLDFTRCDALVHWPEKEFQSLVSLRSLIIYYCKKLIGYAPQAPAAEPSTTPEPSSELLPRLESLKIFGCASMVEVLKLPASLRVLTIIDCNKLTSIYSRRLQQGQSTSSILQGPSPVYSEVSSSSASARTTSLAGVPYLLPSLVLLRINDCKTLSSLPNGPQAYSSLQRLVITECPSLKRLPACLQQRLSSIPDKDLDARFQDPSLFNPQSWRNAIRRA >cds.KYUSt_chr7.34844 pep primary_assembly:MPB_Lper_Kyuss_1697:7:217708219:217711233:-1 gene:KYUSg_chr7.34844 transcript:KYUSt_chr7.34844 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRKQAPLAMPSAFGNSPAVSIRSVPGSSPVFSVDLAVYWGDALRTELHVSDEDAGLGNFVIAKVTNPLATTTESSRPNDFEEIPQALAEKKAKRSGALKGIHISYAPSSPPRDHFTQETLDVATRFIGLEAENKQLRPNYEFEHSRVNAMADKLKAAEEALEEVKASLAAAEKRLEDEKSTRKTREGYIRQLSTFLFLRTDHPTMLLDEEKVDPTLDALKLLEGNNIYGRNVINNFRKVVARLHGHVFPTEKLAED >cds.KYUSt_chr2.36435 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224875333:224876028:1 gene:KYUSg_chr2.36435 transcript:KYUSt_chr2.36435 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGDDFALLRDPAPQPSAQPAPPQAFCFVDAAAAAGSGVAPAQEEGNHSSDRGKAANHSKRRRDRAEEFSDGGEYCSYISGGGGRKGRGGSSDYRKDREEWTDGAISSLLDAYTDRFEQLNRGNLRGRDWEDVAAAVTDGQGKAGGGKSVEQCKNKIDNLKKRYKVECQRISGSSSVSHWPWYKQMEHIIGNSSSPGTPKPAAASNDEKPRQQQQHASKRWLISTSSLL >cds.KYUSt_chr1.3036 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18223997:18224794:1 gene:KYUSg_chr1.3036 transcript:KYUSt_chr1.3036 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSAGWISVHNDYMHLADYTRAHARVEDMDRRRVNFRTCTKIYANASTPTSSPLATTTSANDLHYIELNYNDPNNYAKLYDNAKIYVEFYVANDIAKVYINMIFIDILVNTAVAAYDTKTPPPPQNRCHRRATTKNPPPPQTRCRRRTTTKPAAVAEPLPPPRYDKNPPPPQNRCRHAQAKTLRPKTRNFLRLRRIRLHHIRRIRHRPHLDHGYIMISYLDINIKKTSTTTQELQLTASALSLAPRHSRCDCGREEKEPEGGRQ >cds.KYUSt_chr5.32510 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206266549:206267660:1 gene:KYUSg_chr5.32510 transcript:KYUSt_chr5.32510 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKNELVLVAKLHHKNLVRLVGFSLEAGERLLVYEYMSNKSLDTILFDPEEKQRLEWATRYMIIEGVARGLQYLHEDSQKKIVHRDLKASNVLLDAELSPKIGDFGLARLFAQDQTREVTGRIVGTFGYMSPEYVMRGQYSTKSDVYSFGILVIEIVTGRRNNGSYLPEENEDLISIVWNHWAEGAIAEMVDSSLERNYPVAEVLKCVHIGFLCLQQNPADRPTMSEIMVMLNSDATTSLPAAARPTFFLNGSSDLSKNATSPLYTNTFTSGR >cds.KYUSt_contig_1253.260 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1749312:1750391:-1 gene:KYUSg_contig_1253.260 transcript:KYUSt_contig_1253.260 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAEEWFILYCVPKVSAEEETESTNMSFTFNEPPLPSHVTVADNALIRTGFFNYPYIAAADRCGVLLLCGYSKIGFTYYLCDPLIRKTLGIIPHGGDLTCRFSVGLISDRSDRYFMVAELNPLSLAECGRVTLLCTLDMCGWVEKESECSNILVKREWCGDGVLSHKGFLWWFDLSYCILACDPFADKPQFHQIMFPSVPDALPFYPSPIYGDVHRCLKLSKGRLRYVQIHGTSLEKLQVSTWTLSSSDPSNAKWTNRLDVPFEKIWDDRSYREKGLPPQVVPAVVLVHPMNASVVYFFLERHMFSVDLMEKKVVDCKEFSIQAPPHKICSSRLVHAWRLPVGFTKPSGTISFPFRL >cds.KYUSt_chr4.27064 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170017926:170022442:1 gene:KYUSg_chr4.27064 transcript:KYUSt_chr4.27064 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPPPGSGYPYGAPAAGGGYGAPPTDPYGQKPPKEGKNSASDPYHGAPPPQQPYGGAGGYGAPAPYGQKPPKEGKTSASDPYHGAPPQQQQPYGGYGAPEPYGQKPPKEGKTSAGSDPYHGSSPPYGGGYGAPAPPYGQKPPKEGKASSGADPYHGGPPPQQPYGGGYGAPPAGQQPYGAPPPSSYGGGYGSPFAALVPSAFPPGTDPNIVACFQAADRDGSGMIDDKELQSALSGYSGFSLRTVHLLMYLFTNTNVRKIGKSSLPPYRSLSLFRPLTRAQGVHFCVLQSSELEGEMLHQYSFKLPYFFGIFDRFDRDRSGKIDAPELRDALLDLGYSVSPTVLDLLVSKFDKTGGKNKAVEYDNFIECCLTVKGLTEKFKEKDMAYSGSATFGYEAFMLTVLPFLIA >cds.KYUSt_chr7.28813 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179426157:179427620:-1 gene:KYUSg_chr7.28813 transcript:KYUSt_chr7.28813 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFFCLARCRFTRLMVAMQLVMGVLVICISTASLHRFYTTDHLLPGLDDSARCSKLHTAGADGYASFDMRALSDRVDDVLVQLAELQDKLEATALKVGKKAKKAKAHKQQQENMTMPEFRRFLEEEVIHPLYSAHISLRLIRIPRPDPDGEDDAALEVDPLVNFFMAEETRKYVTTKGNREGRPSVYGTNRTYGSIGHACVLMRQELDEYMSYDVGAYCPDDWDLGQRLMLGGCDPLPRRRCLTRASKLFNRTLPISESLWTLPDDGNVRWTRYHCRSYKCLSARNPRPGYTRCVGCFDMDREKQRWMVNTTRNASSTSASLVDFSIDEVLAVKPGEVRIGLDVSVGTGTFAARMRERGVTVVSAALNLGAPFAETVALRGLVPLYATMSQRLPFFDNTMDLVHTAGFFEGWVDLQLLDFVLFDWDRVLRPGGLLWVDRFACARRDLDDYMYMFLQFRYKKHRWVVSFKSKEEVYLSALLEKPPRS >cds.KYUSt_chr5.12920 pep primary_assembly:MPB_Lper_Kyuss_1697:5:84282078:84284744:-1 gene:KYUSg_chr5.12920 transcript:KYUSt_chr5.12920 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVKDGFGSLSRRGFEVRLLGHRRGKSHSAVHELHDPAPVIQSSCWANLPPELLRDVIERLEATVIAEGGKFLLLAKRYSRPTCTEYTIFMNADNTSRSSNMYIGKLRSNLLGTKFVIYDTQPPCNIPNVQQSGKTSRRFYSRKVSPKAPSSTYSIAQVSYELNVLGTRGPRRMNCVMHSIPASSIEAGGSVPSQPDSVLARSVDESFGSISFLKSSIRDRSIRFSSTRFSDISIGSSRNGAQSLGDNEESKDLPLILRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAATQPSSAGAPTPSQPAPAQPSEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >cds.KYUSt_chr1.6598 pep primary_assembly:MPB_Lper_Kyuss_1697:1:40597492:40597824:1 gene:KYUSg_chr1.6598 transcript:KYUSt_chr1.6598 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVPRQAGAARRCHVCRTATSGGYHRNHDMEKLLESIRVPCSNAAYGCAAKPVYYDRDTHLRFCKHAPRHCTIEACGFVGSTLSALLDHFFAVHVSMSPLREIFRKVQV >cds.KYUSt_contig_60.290 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:1699909:1703160:-1 gene:KYUSg_contig_60.290 transcript:KYUSt_contig_60.290 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGKAKPASGGAYTINLDNFSKRLKVFYDHWNGNKSDLWASSDAIAIATPPSSDDLRYLKSSALNVWLLGYEFPETIIVFMQKQIHFLCSQKKANLIGTLKNAAHEAVGADIVLHVKGKNGDGIDLMDDILSAACAQSESDTPVVGHIAKEAPEGKLLETWAEKLSGGSVQLTDVTNGFSELFSVKDATEIICVKKAAYLTSSVMKNFVVPTMEKVIDEERKVSHSSLMDDTEKIILDPLKAKVKLKAENIDICYPPVFQSGGKFDLRPGAASNDDYLYYDPASIIICAIGSRYSNYCSNVARTFLIDATPTQSKAYETLLKAQEAALAACKPGNQMCAVYQAAVAVFEKNAPELLSHLTKSAGTGMGLEFRESGLNLNPKNDRVIKEGMIFNVCLGLNNVQAETNNEKTKQFSLLLADTALVNDKTVEILTNCSKAVKDVAYSFNEDEEDVPKPKQPKFEPNGLEAVPSKATLRSDNQEMSKEEVRRQHQAELARQKNEETARRLAGGGSGSGDGRGPAKASNELVAYKNVNDVPYSRELVIQVDQRNEAILLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDNTLKSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQQASNKSKQMRLNDVWIRPVFGGRGRKLTGTLEAHVNGFRYATSRADERVDIMYRNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTVGGSRRSALDPDEIEEEQRERDRKNRINMEFQNYVNKVNDHWSQPQFKGLDLEFDVPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVTLGEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSSSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFVDDGGWEFLNMEGSDSETEDTEESDQGYEPSDAEPVSESEDDDSGSASLVESDDDEEEDSDVDSEEEKGKTWDELEREASNADREHGGESDSEDERRRRKVKTFSKSGPPPQRGIGSSSKQRPPERGSGSKSRPPPGRGSSNGGPSKRPKFR >cds.KYUSt_chr5.16280 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104771877:104773246:1 gene:KYUSg_chr5.16280 transcript:KYUSt_chr5.16280 gene_biotype:protein_coding transcript_biotype:protein_coding METNKGSYGSSAASASNDDSTVEEEGSGHQQEDTLRGNSSSSSSVRSYVRSKNPRLRWTPELHHCFIRAIHRLGGQDRATPKLLLQLMNVRGLSIGHVKSHLQMYRSKKIGDSGQVIGHVPLPRVFNHRQMGAGTILPRFGTTTQPPWSSCHGPYWVHSRPFLGSKPCNSSAAEADAAFLLTQAQHVARASSTISELMIQHYPSRDDQHAMNHQHKRVKEGTVRNNDDIHAPLDLDLSLGIATPRSKMKKEKRSGCSWVKEDADKNVSHEEEMDEISISLSLFSPGDALRKMNSSSTVIDVSVDIKREEISMLQ >cds.KYUSt_chr4.27487 pep primary_assembly:MPB_Lper_Kyuss_1697:4:172658335:172663564:1 gene:KYUSg_chr4.27487 transcript:KYUSt_chr4.27487 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAATLSQGLRASFRTGRTRPAEWRAAQLKSLVRMIEEKEDDISNALHADLAKPRMESYLHEISLAKGACIFALKGLKNWMKPDKVPAAITTFPSTATIVPEPLGVVLIISAWNYPFLLSIEPVIGAIAAGNAVVLKPSEIAPATSSLFAKLLPEYVDGSCIKVVEGGINETTSLLEQKWDKIFYTGNGHVARVVMAAAAKHLTPVALELGGKCPVIVDSNVALHVAVKRIAVGKWGCNNGQACIAPDYIITTKAFVTELVDSLKRVLVRFYGEDPLQSEDLSRIVNANHFNRVTKLIEDKKVADKIVLGGQIDEKQLKIAPTVLVDVPLDTQLMIGEIFGPLLPIVTVEKIEESIDYINAGTKPLAAYLFTKNKKLQENFIANVSAGGMLVNDVALHLTNPHLPFGGVGDSGIGSYHGKFSFDCFSHKKAVLVRGFGGEANARYPPYTVEKQKILRGLINGSFFALILALLGFPREKR >cds.KYUSt_chr5.39507 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250039619:250042759:1 gene:KYUSg_chr5.39507 transcript:KYUSt_chr5.39507 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIAGLQNAAATRDKFRLVGENVAKVRTDVMQEQLATFRSQLEEFARKHKSDIRKNSVFRQQFHEMCAKVGVDPLASNKGVWAELLGIGDFYYELGVQIVDICIATRSLNGGLIDLLELRKLLCQKRKTDLASLSADDCLRAISKLKVLGSGFEVFSVGKKKLVRSVPTELNKDHNGILELAQARGYVTVEQVEKEFSWSTGRAIDALETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDTAGGSEGKS >cds.KYUSt_contig_786.289 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1622509:1623339:1 gene:KYUSg_contig_786.289 transcript:KYUSt_contig_786.289 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDWCGPTINTIITPCYNPLAKHVSYCFMAGSKVRDHRIEIEALKLKQVAVQQKIRDDEHTLEAVPTDQARLWLESANRVVSEEEANHLLFEQRYRLCGCFYPNFLENYKISKRADEQQKQVKSIMSNAPGDNNITRAPDPRRVESMLVDPAPMPQSREVILEDALRFIMSNDPNEGKIGMWGPDKDDNTNLLKHINNSFLEQSLFDFVIFVPSPSDCSVTNIQSEIISRLGMKQDGNEATRATRIHGQLENKNFLLIVDDLRQNRGLGVVPFQA >cds.KYUSt_chr1.36379 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221954675:221962861:1 gene:KYUSg_chr1.36379 transcript:KYUSt_chr1.36379 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGLPLLLLLVFAGVVLPVHGQADNLGFVSIDCGLPVSASGYLDNATKLSFVSDAGFIDAGTNYNMSAEYITPSMGRSWHTVRSFAGASARNCYTLRSLVSGLKYLIRATFMYGNYDGVNRVPVFDLHVGVNYWTTVNITDADTPVMVEIITLIAGDRPAQVCLVNTGSGTPFISGLGLRPLKDSLYPMANATQGLVLLSRSNLGTGDSKVIRFPDDPHDRFWFPESKPTEWSEIATPLKVQHYDNDNFDVPSAVMQTAVTPINASKPIEYSWDAEPSAKNPAPGYMCILHFSELQLLPPGAARQFYVNINGILALDNGFTPDYLYSDPVHSTRAFDGFHQYTVSLNATPNSTLPPVLNAIEIFSVLPTTGVPTAAQDVSAITAIRGKYQVKKNWMGDPCVPKTFAWNGLGCSYAVSSPPSVTGLNLSSSGLTGNMSASFASLKGLQYLDLSRNNLTGSIPGILSQLSSLTFLDLTANQLSGSIPSGLLKRMQDGSLTLRFGNNPDLCSNGESCQPPNKKKTSQVAIYVVVPIVAVLIIVLLLVLLICILKKKKGRAARNSVRPQNEASIALSRTRGSNGHSLVCLENRRFTYAELEAFTDGFRREIGRGGFGKVYDGFLEDGTQVAVKLRSESSDQGVQEFLAEAQTLAKIHHKNLVSLIGYCKDREYMALVYEYMSEGALHEHLRGKENSMRCLTWGQRLHIALESAQGLEYLHKGCNPPLIHRDVKTSNILLNANLEAKIADFGLLKAFDSGGDTHVSTARVVGTRGYLAPEYLATYQLTSKSDVFSFGVVLLEIVTGQSHLLNDPEQTSIIQWVQQRLARGNIESVVDARMSSDYDVNGVWKVADTALKCTAQSPEHRPNMTDVVAQLQECIDLEAARCDANGRFYSAGSGGNPNRCSGYNTDISSEVSQRSIAFEMERLGRMPTMSNGPDVSSPLHPLALLCPSAPKNPPSPIAMSSSSSSSASSDLSSQSFSSLSSSTSSAFSDSSSSREPTPEWGSLAAHDILVASEWDKEEHDSSIWSEDDKSLTDGEDDHQFLVEGEEEAESEDDRFSWDDFTSSEEEAEEDDDDSLEGYPPAKRLRVWWDDDSDDEEEEDEAPVEGYGSSDEEPISSCAGGSDDDDEGSNGP >cds.KYUSt_chr3.42876 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270913972:270915745:1 gene:KYUSg_chr3.42876 transcript:KYUSt_chr3.42876 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCYSAYASSRKLHGRLGNLSFVLPIEQDAANNPAGTDNSCKTGSNNPDAGGTEEEELVTKTTAAEFARRYVLGKELGRGEFGVTRRCRDAATGETLACKTGDGGENGGAAEEEREGDAGVATVVVIVAVIIVAGIGVAVLQRRRVSTRGLGLRVAQAGATVGHDALESPAAPPQPTAGLIEVRRRRAALLVPGKRPLTPIDEEAFPSRAVVGMPLGIPPLLWRELEVVVVRDGHLARHT >cds.KYUSt_chr7.21676 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134425610:134427034:-1 gene:KYUSg_chr7.21676 transcript:KYUSt_chr7.21676 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQTFVRLSVGQLGLRLPGASSRKACHCEIRLRGFPVQTAPVPLINSSEFNLDPHTNVAVFSLDEPGLKALSTPGCFRAPEPPYVEIAVYVGRRGGGGYFAGMRRRLIGSVRVEIGPEWRDGKPLLLHHGWTGVGKGELHVKVKAEADPRYVFQFEDEVALNPQVVQLHGRARQPIFSCKFNRDVRRASQPDHLGGQYWSTSGSGEEKEMAPGRRRERKGWKVAIHNLSGSAVAAAFMATPFVPATGSDTVARSNPGAWLIVRANTTGSSEIWQPWGRLEAWRECAHGGKEKDAVCLRLHLLPERRDACVLVSETPLSCDKGGEFFIDMDRQSVPSDDAATAETSYCAASMGESCAGGGFVMSCRVQGEAASISSPPLVQLAARHVTCMEDAAMFLALAAAVDLSVKACRPFRSKLAAAAKKKKKQPAGSSSPDDPLELDT >cds.KYUSt_chr5.39519 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250133083:250135332:1 gene:KYUSg_chr5.39519 transcript:KYUSt_chr5.39519 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMSLSASAAAPPSTLPLPRRRSPPASRVPFRSLRSLPRLLSSARPLLPPTAAAMATDGAAPAAASKKNLLIFDAEEDLAVSLAKYAAELSAKFAAQRGAFTVVVSGGSLIKALRKLTEPPYLETVDWSKWHIFWVDERVVPKDHDDSNYKLAYDGFLSKVPIPTGQVYAINDALSAEGAADDYETILRQLVKNGVLAMSTATGFPRFDAMLLSMGPDGHLASLFPGHPLVNEKQKWVTHITDSPKPPPERITFTFPVINSSAYFAMVVTGAAKAGAVQKAVSDKKTSDLLPIEMVVLQDGEFTWFTDKEAVSMLQN >cds.KYUSt_chr5.17188 pep primary_assembly:MPB_Lper_Kyuss_1697:5:110955740:110958750:1 gene:KYUSg_chr5.17188 transcript:KYUSt_chr5.17188 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTNTSTSRRWRSSLASGLRAALACTIVGVVSVYAPPALQRHLTFPAFSYVVTVIIVTDATVGTALRAAASALHATVMGAVPSVVALWLAHRMGVAESVWVTSAVVALSTFAVALPESPGPVAKRIALGQIIIIYVAKFRRGEHPSHELVLEHPANVVLCTALGVAAALLAVLLPCPRFATREVEDKSRAYMETAAERVRVLVDAFLLTANHDDHDMDGQEGEAAVCHARQRRWCMAACMSQAHRLASASAALLRRISSVKGDLQWERVPGVLRRWIPQPPVADHGRIEMPIKGMEIALTSTTTATGTNTMICSSSWLEQMTDQIRLAMLTTHHHSCGSSSAMTKKMTKSASMLTARTMTTLPERHEELSPFLFLFSMHLLHRGTLHLSSSHPDQTTTATSCKVTPAAASAAQESDDDDNDYLSDEDEEVQGGGSESEGEEEPSKTSALETTKKQGEGKKKSILSVLRRGLEWERVVTAAKCAVSLGLAVLLGLIFNNDHGFWSGLIVATTMTAGRDSTWAVAIARAHGTAIGSVYGVLGCLLSQQPHLMELRFLALLPWIVLSTFLKRSRAYGPAGGIAAALSGIIIVGRRYDEVPMTFTITRLVETFIGLSCTVATDLVFQRKARPTARARAQLHRSIAALRECVDGLASTSSANQQLKMLLEQVALLKKYAAEAASEPNFLWLAPFPASCYDKVQCSLTRMAQLIGLYQHGRAIIVGTTGSSQVLGSNMKRFHSLLSTSLEALLEDNEADLEAGKGIFCQDMVVVKSFLGHAREALSQQQHGQEEEQLAAVCLGSIGFCMGEMMKEAQQLESHMLDLSLQPSC >cds.KYUSt_contig_2594.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000404.1:87444:87812:-1 gene:KYUSg_contig_2594.3 transcript:KYUSt_contig_2594.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLESYWLGKAGFVDTVQSKVREHGQRFRRKTLMSTKIPSGSSPGSAARETALTWWLHSRTQAAERTPGGSGKERFPRRIFSMLTGYLTEFADTSSLLSVLAWKTGRNKGRTAAGGADEEED >cds.KYUSt_chr2.25752 pep primary_assembly:MPB_Lper_Kyuss_1697:2:157503401:157511946:1 gene:KYUSg_chr2.25752 transcript:KYUSt_chr2.25752 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSWSTRLLLSPCRPIPSPSRRRRPSLSATFRAPAPGRRQCLRLSRPPHAYISAPAPGPDAYQSPSLDAAEAAADVAAAISTTDAVTWAGVWALLSQHKARLAISLAALLACTTCTLSMPLFSGRFFETLIGRGSEPLLRLLSKIAVLYTLEPIFTIIFVINMTVIWEKVMGRLRSQIFRRILIQKMVFFDRHKVGELTGLLTSDLGSLKGVVSDNISRDRGLRAFTEITGTLCILFTLSTELAPVLGLLIVSVSVIVAIFKRSTVPTFKSYGIVQAHISDCVSETFSAIRTVRSFGGEKRQISMFDNLALAFQNSGTKLGVLKAANESLTRVVVYVSLMALYILGGSKVSAGKLSIGTMASFIGYTFTLTFAVQGAVNTLGDLRGTFASIERINSILSTKDIDDSLAYGLAKELDNQDSNGAVHEKGTVNKHYMSALKSSSSCSNLAWSGDIHLEDVHFSYPLRSDVDVLNGLDLKIECGKVTALVGSSGAGKSTVVQLLARYYEPTRGRITVAGEDIRVFDKREWSRVVSLVNQDPVLFSASVGENIAYGLPDDVVSKDEIIKAAKAANAHEFIISLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNAPILILDEATSALDTTSERLVQEALDLLMKDRTSLVIAHRLSTVQNAHQIAVCSDGKIIELGTHAELIAEGGSYASLVGTQRLAFE >cds.KYUSt_contig_815.33 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:201934:202344:1 gene:KYUSg_contig_815.33 transcript:KYUSt_contig_815.33 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRNAGAGRQWTLGTSSFTLHVLSTREGFHSSVLFDTIASCCWDPSRHKTLNWEKMKIILFDEPNAYQKKGSSKSWCGQRGGSGRDALNI >cds.KYUSt_chr6.25801 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163520825:163536965:-1 gene:KYUSg_chr6.25801 transcript:KYUSt_chr6.25801 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSGRKKEVKRVTWNSREGVASPTYDRDSTAAKPLVLQSPAVVKSIGGAAAALLRGVISPEQPRMPGAGAGVQAPEASPGRYVRRHDEVAPDDDGCDDVFGVQVRGPGDDPFDIPAKRAPVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEHIRRKIRAHAQVIRAALLFKEAGEKQNGDRELQEILPRGFGIGEEQLTSLTRDHNYSTLQEYGGVKGLLNLLKTNSEKGIHGDEADLSSRANAFGANRYPRKKGRSFWVFLWEACQDLTLVILIVAAAISLALGIATEGIKEGWYDGASIAFAVFLVIFVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIQVSIFDLVVGDVVALKIGDQVPADGVLISGHSLAIDESSMTGESKIVFKDQKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLVVAALVLVVLFARYFTGHTTNPDGTVQFVKGQTSVKSIIFGSIKILTVAVTIIVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVLSIVGGKELQPQASTEKLSPTVTSFVLEGIAQNTSGSVFEPEDGSTVEITGSPTEKAILSWGVELHMKFAAERSKSAIIHVSPFNSEKKRGGVAVTGRDSDVHVHWKGAAEIVLALCTNWLDVDGSIHEMTPDKANQFKKYIEDMAEQSLRCVAFAYRNLDPKDIPSEEQRINWQLPDNDLTLIGIVGMKDPCRPGVRDAVELCTNSGVKVRMVTGDNLQTARAIALECGILTDPQASTPVIIEGRVFRAYSDAEREAVADKISVMGRSSPNDKLLLVKALKKNGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRTPVGRREPLVTNIMWRNLFIQAVYQVAVLLTLNFGGRNLLHLTQDTFEHSNKVKNSFIFNTFVLCQVFNEFNSRKPEELNIFEGVSRNHLFLGVVSVTVVLQVIIIEFLGKFTSTVRLSWELWLVSIGIAFVSWPLAFVGKFIPVPQTPLKDLILKCLPKRKKQADEGPTPPV >cds.KYUSt_chr3.2565 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14712136:14714110:-1 gene:KYUSg_chr3.2565 transcript:KYUSt_chr3.2565 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLHPIPSVIPQSVHMAESEAAELSCRRRRRSSPAAFTSLPDDEGMLREILLRLPPQPSSLPRASAVCKRWRRLVTDPKFIARFRAHHRKRPLLGVFQHGDQDMVFTPILDPPDRIPPRRFNLRICDGRGYLGAQLLGCRHGRVLAIDQVRAEVVLFDPITGEQRRLPVPLPPGFQIRTVRYLNGAVVCAAGDQGHVHGSCRSSPFKVVLVFMDSPDGRPRASVYSSETGMWGDLISAEAPSPVSCGGSASILIGNVLYWPLSFMMDDILEFDLDRQRLDVIKGPPGMDATQRHQIIQAEDGALGVALFTGINIQMFQRKVNCQGVATWLLWKIVHSHHSLGILPNIKRKRGWLGKFLGYDEYTNAMFLFAVDSVYMVQLKSLHSKKLYETSYSNAKPCHAFSSFYTPGTAITGDGAEMLHDS >cds.KYUSt_chr6.28748 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182135228:182138553:-1 gene:KYUSg_chr6.28748 transcript:KYUSt_chr6.28748 gene_biotype:protein_coding transcript_biotype:protein_coding MATETALTAKVDGSAVAGLALAAAGARHMFGVVGIPVTSLASRAAAAGVRFIAFRNEQSAGYAAAAYGFLTGTPGLLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCAQPEVGRGDFQELDQIAATKPFAKLAVKASTISDIPRLVFQALAATVAGRPGGCYLDIPSDVLHQTLTQSEADALIAAAAAAASGSSPPNHKSLDEAIVKAAELLHRAERPLVVFGKGAAYARAEEAIQKLVDTTGIPFLPTPMGKGVVPDAHPLSATAARSLAIGQCDVALVIGARLNWLLHFGEPPKWSKDVKFILVDVDEDEIELRKPHLGLVGDAKRVVELINREIKDQPFCLARSHPWVAAITKKAKDNVVKMEAQLAKDVVPFNFMTPLRIIRDAILAEGSPAPVVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGYCIAAAVAEPKRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRSPEELIGPHKDDPAPTSFVPAAGYHKMMEAFGGKGYLAETPDELKSALSESFRARKPAVINVIIDPYAGAESGRMQHKN >cds.KYUSt_chr3.20896 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128040647:128042064:1 gene:KYUSg_chr3.20896 transcript:KYUSt_chr3.20896 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQIGFEDVAHSSSHGRSPPTEKYGGETRPASFASCAILFLSVVEDLERSRVASGTTQQQGRRSLPDRDGGAGVEDDEDGGATAVELGRGRGNGGCTAAGHDKEERVWPGEKIRCARNSKTILESPNVDFKESNSTRIPKNV >cds.KYUSt_chr2.14818 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93404130:93408131:1 gene:KYUSg_chr2.14818 transcript:KYUSt_chr2.14818 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAALLPLVLLLLVQASAGGADCHFPAVFNFGDSNSDTGGLSAAFGAAPPPNGMTFFGSPAGRYCDGRLVVDFIAENLGIPYLSAYLNSIGSNFSQGANFATAGSTISRQNTSLFLSGFSPISLDVQSWEFEQFINRSQYVYNNKGGIYRELLPKAEYFSQALYTFDIGQNDITAGYFANRSTEQVIASIPELMERLTSIIQSIHWLGGKYFWIHSTGPIGCLPYALIRRPDIAARKDNVGCSVTYNKVAQLFNQKLKETVARLRKTYPDAAFTYVDVYTAKYKLISEAKKLGFDDPLLTCCGHDAGPYNYDPKVGCGGKVLVNGTWVVLGKPCDDPSRRVSWDGVHFTEAANKFVFDQIAGGALSDPPVPLRQACRSKGQ >cds.KYUSt_chr7.23843 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148511097:148512249:1 gene:KYUSg_chr7.23843 transcript:KYUSt_chr7.23843 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPSWMMLERYVFRRDDPESFPGDEAAPFTASSCTSQGDPFRVAFLIAAPPAISRLYVHNFLCWVNYDRGGIHFCDVFEEMPKLVYLRLPITNRPRDTSARPFFDTKRSVCVTEAGLKFVDVSCEDGELSGPMELGTGFTITCHTLKISESGDMKWDKDFSITSKDLWACNSSDLLPRSALMYPLVSMDRPHLVHFLLADQSWDGIYNVSTVSIDDESRDDEIDKVFSVSIDMTAKAVVSILPYIEEISVAKMLTWSTSGPTFSSPFSPLSSPTS >cds.KYUSt_chr3.41475 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261637810:261642904:1 gene:KYUSg_chr3.41475 transcript:KYUSt_chr3.41475 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGRYLGEVVLHQLLVAEEAIPLDVVPAEHGAAPPIQQLRRSSRSLTARRSMVASKSSSWSSSSRHQPFLKSAQGEIPAWLGGLSSLKSIMLMKNNFTGIIPPSLNNLSALQETALPFNQLEGSIPEGLGKITSLKLIELGANHLSGIIPTTFFNLSSLITFSVAQNKLHGTLPYDFGNQLPNLKNLLLDSNSFTGSLPASIVNATEMYELDLGSNNFTGRLPPEIGMLCPGILVLGTNQLMAATAQDWEFMTFLTNCTDLRVLDLRRNMFGGALPSSVANLSTQLQLLWLGYNEISGRIPFGICNLVGLRFLPSSLGNLTRLLGEGMDLYKCVLLLNNMTTTVAVKVFDLQQSGSSKSFEAECEALKINIAVDIADGLDYLHNNCEPPIVHCDLKPSNILLNEDLVAHIGDFSLAKILHESSIEQQISSRSSIGIRGTIGYVAPGMAPTHEMFREGLTLQKHVENAFPGMLMQIVDPVLLPIEEANASSVQDGSNQMEHGSNAIFSVMQVGLSCSKHAPTERMCMRDATAAIHRIRDRHVKITQNEEALITAHNARPFAETSYAAETSRPAP >cds.KYUSt_chr5.4678 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29795176:29796786:1 gene:KYUSg_chr5.4678 transcript:KYUSt_chr5.4678 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPKLLALPPDPWTSAPCSVMIARVGSGFEQLIYSKYCRSESRLDVTGKEGGHDSTCWNMLAFSNLADILWLNMQNCPPLPLDHLQKLTPMKCLRIFDSNNFLSPMDVESPAIYQLRIGTLEIRDCSASEKELSKLLSYLPYLSQLDINRCTKITRVGVVEQQKTTHKMGFGHTGLQPQETRGEEEVATVAAGGEALVLLPPQLQELRIQNCPQLTLLSSSLNDGGQGGGLRGLCSLHKLDISGCPKFLSSYSFSCFPFPTSLQTLSLNGIEGTVMLESLSDLTSLMNLAIYNCGDLRGEGIWALAQCRLAVLRIRKTPKFFASYEPQNVPRSCKIEAITTDDHTGFLAAPICSLLSSSLTKLHLEADGNDEVERFSKEQEDALQLLSSLQELTFRCFLKLQCLPLGLHRISSLKTLRIEFCRAFRSLPKDGLPCSLQDLRIWECPALKSLPKDGLPSSLQDLLIEDCRSLKSLPKDGLPSSLQSLKIWYCPALKSLPGDSLPSSLRKLDIRHGNSEELMWQCRKLKGTIPIIED >cds.KYUSt_chr4.53347 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330300745:330302014:1 gene:KYUSg_chr4.53347 transcript:KYUSt_chr4.53347 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRINDALDRHLRSERSSPSTSRAAKLPSSNPPSKEQLDSDSEEDNSASEGDDDTFWINWFCNLRGNEFFAEVDDDYIQDDFNMCGLSTQVPYYDHALDLILDLEPVQGDVFAEEQNELIVAAAETLYGLVHARYILTTKGLAAMRVSLIPHMNVSVVGQVQELRLWKVPSCVLRWPALFASGAVRCPEVQYRQDILPKMRRSLLPKIETPSKYPFKHLTYISTRSSGLGKNGNSDGAIIAIFSITLTTTFQLRLMAMKLIASRMSGCLSHEWGAKAF >cds.KYUSt_contig_3853.43 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000751.1:198210:202436:1 gene:KYUSg_contig_3853.43 transcript:KYUSt_contig_3853.43 gene_biotype:protein_coding transcript_biotype:protein_coding MVASNPLSSPNGRCLGGSYSALWIPIDMYLEDCLDGSIAATNSIETLSGLVKALQAVNRSTWHDAFMALWIASVRLVQREREPIEGPVPHLETRLCMLLSIATLAVADIIEEADSCLGETDLSSHWKEKAASGDLRKELMLSLQALGDCESLLVPPPCIISAANQAASKAAMVVSGINIGSSYMESTNETGNMRHLIVESCISRNLLDTSVYYWPGYINSHINSMSHTNPSQLAGWSSFMKGAPLTQSLVTMLVSSPASSLAELEKLFEVAVNGSDEDKVSAATVLCGATLLRGWNFQEHTVRLVVKLLSHAGSVDYSGRESQLIKHGAMLNVILTGISPVDYAPIFSFHGLVPELAAALMAICEVFGCLSPSVSWLLGTGEEISAHTVFSNAFILLLRLWKFNHPPLEYCIMGDGAPVGSQLTPEYLLLLRNSQVLSASSLSKSRSSQKKLPVTSSPSSKNPIFMDSFPKLKLWYRQHQACLASTLSGLAHGTPVHNIVDSLLNMMFRKANKLSTPIASLSESSSISNSSGPGGDDAHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLADFLPASIATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLATGGSTLGRLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMAIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVVQLLRSCFTSILGMSSTSLCCCGGVASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILSLLMLSVKDIAGTTVSRHQSDKLKRTKYVMRHGQISLAAAMTQVKVAASLGATLVWLSGGTTLVQSLFQEILPSWFLSVQDLDQGGSSGGTVYKLGGHALAYFAVYSGMLAWGIDPTSVSRRRERVMRSHLEFLASALDGKISLGCDLSLWRAYVSGFLELVVECAPCLLHEVDLKVLKQLSAGLRQWKEDELAVAVLRRGGPEAMGVAAELILGCEW >cds.KYUSt_chr4.2803 pep primary_assembly:MPB_Lper_Kyuss_1697:4:15929337:15931938:-1 gene:KYUSg_chr4.2803 transcript:KYUSt_chr4.2803 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRTAVLSTRWRHLPWLLPELSIDVKDFLSVPCPDPIQANDMEKAMVCLTNATRGFLADGQRESTICSLNLKLYLINTSLCEVGALVGDAIDSGLLKDFDLAVLDETEPHNCGDRDMLQRAKEIDGFFSAYPSMLHCLTRLSLHNLGFDKLDMHHVLFDCCKQLTHLSLSHCDTGVWSVFKIDAPNSKLRVLELVKCRFQRLEVWDHPCDGDDESRELRSFSKRRAPHWEMEFNDSKNWLLKELEFVGFRSLEQQFTFIRSMLERSPNLQKIILKEPSRVASCRFRCPCGRFVFSLSSSSFMCPFGRFVFSLSSSSFMCPFGRFVFSLSSSSSLSCASRFLSFGSFPGEVQQLQFCLTLMTLGLTDGVLASPDYLRLAPSLLCEIRPEHLSVAAAGNKQQISVEIDWPYEYKQENSVEIDWPYEYKQELPFGQAHAWFNQWSA >cds.KYUSt_chr2.13741 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87097813:87100843:-1 gene:KYUSg_chr2.13741 transcript:KYUSt_chr2.13741 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGRGVYNGKGFAGWRVFTLRLTDLWASLGWSRSDPLVGSVRPRIFLLRSRRLFLPGVRMMSLISHNAILKRHMEKIGVYHDSCQGIGSPIALDAEVGKEARREHHNEDRKQKRRGKGNHNKDWKKKKVKAFEAKQNKEAEGDGPLLFMDRKPAWVDDEEESTEVDILKVARLRKLRKEADEHVISGKEYEARLRGHHTKLNPFTGWEDMHRKAPLPGLSDDESNEDGVVDSILQNNDELVVKGSTKLLPGMLDFSRLVDANAQDPSSGPINSVQFHRNVQLMLAAGLDKHLRFFQIDGKRNPNIQSIFIEDCPVHKARHVFYLMALSSYFATGSSSGIVNVYKRDEFLGRKSKQLKTIENLTAEIGQMKFNNDAQILAISSRNERNGMRLVHVPSFTVFQNWPGPRFSLQYPRCFRLQWVSAVRLWLASSRSLDTSDLLQRFYGSV >cds.KYUSt_chr3.15570 pep primary_assembly:MPB_Lper_Kyuss_1697:3:95420485:95428538:1 gene:KYUSg_chr3.15570 transcript:KYUSt_chr3.15570 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKNHKERKSSPSLTAAATIAEAPILQQEAKVTKKRLPLDPTQAQSQGTKAPRASKPLSAATFLEAPTQPLASRTPMLEEAAVAVMSERKICKEQKVVALPLSQNTTLEEPVLAMTMMKKKKHKERKSSPSLTVATIAEAPILQQEAKVTKKRLPHDPMHAQSQGTKASHAAKPLSAATFLEAPTQPPASRTPMLEEAAVAVMSESKICKDQKVVALPLSENTTLEEPVLAATMMKKKKHKGRKSSPSLTDAAAAEAPILQQEAEVTKKPKRRKEEGPSTYSPLQLHPGLTQSEGTKVSHALKPLPAATFFKDPAQYTAPRAPMLEKAAAVMSKRKICKEQRVAAVCLAQNTTLEEQRDDTMAVKEKKESNHMKHTLSSISASAASETTIWEQKVKVTKKQKQRKQQEPSGKSPLSLHPGQTHSAQSQGGKAPPEQEGEADARKGSSIKKSNGKMPCVRVLSNRKLIKEASKRQPALPEGFVPFSDFVSNCTEQNPDESLPYRAFFDQFRYNPVRGDHKPPTSKASKTNNSVVCKSKKKDSGPGSQEKLHPQVKENPKKMGTTKQRQPPPLLTPAEKCSDIYRRVPLDQLVPPPRSPHNLLQEKYASDPWKVIVICMLLNLTQGKQVKNIIEGFFECYPDAHSAINADPEKMAGYLECLGLQHVKTTRIQKFSKEYVEKEWTYITELSGVGKYAADAYAIFCAGRAIEVAPKDHKLVDYWKYVCFKLPLMQFILNLVTRESYEEDIEASDSEYISESEEESYESDFIDDGDLEVVDKKYDLDSDDHDVCSFPGHRQQAFELQRHSEKKKHPADNSASSNSSEDEFFSSRSEIMQELRMSALLNKIYVAEVNGSAIPECEVEKHKKSKKSL >cds.KYUSt_chr4.49961 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309518677:309519681:-1 gene:KYUSg_chr4.49961 transcript:KYUSt_chr4.49961 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSSTGTAWPSLLLLSALAAVLAHVDGATGGSVAAPAPAVDCSDALISLVGCLSYVQEGSTVATPEKSCCSGLKDVVKKEVACLCQAFQGGQDYGVALNMTKALQLPAACKVKTPPFSKCHKVLYTASCFSETIAVVRTCTVFRGTLLRGIAVVFDGTFSNITGRNWKRLQRSSSVPISFRCIHLPRFGKRPPSRSNCCCDSARVSWARL >cds.KYUSt_chr3.15301 pep primary_assembly:MPB_Lper_Kyuss_1697:3:93482262:93486136:1 gene:KYUSg_chr3.15301 transcript:KYUSt_chr3.15301 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGKLRHRRQGRDGQGAEAIAMKSSSSMSEPSTRCVIGGNMEGVTGELRHRPVANMEASHRQGAAPAANMRHRVCHCGTLTVKALEVRLSARPMATAAIGVQDGIGGHLRGREVMEERTVTRCSRLQNVLEKNRRISLTTNRIVITLVRIPSTIRNLDMASIIASEDQATNDHTRGFIWGLEVEPPGRLWRPQRDHREAPDYRQ >cds.KYUSt_chr2.50858 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318041841:318044393:-1 gene:KYUSg_chr2.50858 transcript:KYUSt_chr2.50858 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVAAATSRAVEPHRNASAEPSSQAPSSSSSRAAEAEAGPVSHDGCAEEDVLHLDSPWVAAAEADSRLEEAAAAAGLRLCAENEAEADEIMDNLQRQDDEVGSNFIKLPCEHLFCVKCMETLCRMHVKDGTFFQLVCPDTKCNVSIPPYLLKRLLGKEEFERWDKLTLEKALDSMSDVVQCPRLLEHPVVFNFIFAGIGQDGHTGDGGGHD >cds.KYUSt_chr3.49340 pep primary_assembly:MPB_Lper_Kyuss_1697:3:308352204:308353302:-1 gene:KYUSg_chr3.49340 transcript:KYUSt_chr3.49340 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVGGSLSSPPQLFYACSWSARSSRRWSLPAHVSNLDGVGDIARVAVVEHRRVEGIAVAWRALAGGAAGTRLQEGGHRQGRATVYLGALDENLLAALHVGVSKAAHGGDLAKHPRVHVSGRKLGIIKHLDDYGSSALTKVMLPIEEAAKKRAVVPTCASDGKNHLAVLVPDPSVSPPLQNSEEVAALVHAVATLTLVVAANWALPCRQNARQRAKSARQRLCRAAVHGKDCTATTRPAKMPLPCVAGKSHGNAFAERRSFAVRCGSFAVRVSLPCARRLCHEDRCRVLYLPARQRGLAKFKKMMLLAVLRMSSMA >cds.KYUSt_chr7.6199 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37245288:37246889:1 gene:KYUSg_chr7.6199 transcript:KYUSt_chr7.6199 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFGRGRTDGRTEAAQASPVPADLYPRNKKGMITWTNMVQKQSRPLATRLYQHIIGSPDRFRRLVWRRKLCVASGGAVVPDGTDDIEEPEVPEMDDDMPDAPAAETVLPHPSSHPRLSEIGEINEITLVYL >cds.KYUSt_chr2.5906 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36721445:36725131:-1 gene:KYUSg_chr2.5906 transcript:KYUSt_chr2.5906 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVSSNSFGKRPLKRLVPASVYQVERSFGKDSCKKFSKTFSSPFNLNGKLKALFSSFLTNEVIDDIVQIIHTNNIGKPKDFEAWSHSLVCDVLSCLQNSQHAPEPSCSNNSIGNLFQFKFPHGMQNNTYCPGNNHLTTRNLKPANNNVNDKQTEVRNEDIPEKETISKPPMVDSFEANNGKPVRILNEGNGCVVDVNVPVQPDGAPNVHSPIVPIKLSDVFNQQVSQNDFVNLASISPEVKIIGECNFKNKYEAMSKETDEAYNKLKKIATTPIEEHNNYISHHSDNNIFKHSASNTIPSSSRSQQNIVPRRYVAPCKRYTDPFVPFVTNASRFPCLPSERRYYSAIYTIGKDPEMKSDEAVRYEKAYCSFQSLATLEPFGHIDNYLILCYCRKLFHDKHPSISRKHFFFPYVGETILRYNGNNGDIVQTAFEGANSAFCLWRSDQMQFPIVIANHWFLFAVCLKAKVFAFCDSLYDEGDPFHITIRQPLIQNFIALWNILVTPHMSYQIDFTEFQTRYPPVPKQDNR >cds.KYUSt_chr6.2719 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15868258:15873895:1 gene:KYUSg_chr6.2719 transcript:KYUSt_chr6.2719 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIADVIAVEARASSTDCDWTELPADLLVRIFGTMKIPDLFSCGGVCRSWRRGHLEARRFWLCSPQESPCLVYSAADRDDGMATLHHLSTDRLYHVALPEPAFRSRYVMGSAHGWLITADERSNLILVNPVTGAQVVMPPPETMSNVELRYDEDGNLNGYEILYVDTVPHDVPESNRYGVSLEQGRFCFYITVAMSFDPSNTGNCIVVRIHIPNDLLSYARVGDINWTWVDAHEECKDYVDVCYNSRDGLFYALQGCGDVHTIDLHGPSARVNIVYIHEGNYRTDSKYIAQAPWGDCFQIWRWDRYLEDEEVVQEEDDEDNDVDETMEEIVDQIHPAAIRAEGSKQPINGFIVFGFCYGKFGESLKLILTIFAKLNLGIRYVQGMNEVLAPLYYVFKNDPDQSDAYCDWTELPADLLVRIFGTMQIPDLFSCGGVCRSWRRGHLEARRFRLCSPHQSPCLIYSAGDRDNVTATLHHLSTDRLYHVALPDPAFRSRYVMGSAHGWLITADERSNLILVNPVTGAQVVMPPPETMSNVELRYDEDGNLNGYEILYVDTVPHDVPESNR >cds.KYUSt_chr2.7076 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44315556:44318663:-1 gene:KYUSg_chr2.7076 transcript:KYUSt_chr2.7076 gene_biotype:protein_coding transcript_biotype:protein_coding MATEARELPPKQELLRDDGEDYEDDGEEEEGEEGWDDWESDGEGGGGGLLCLFCSSRFDSEGSLFAHCGSEHRFDFQKVVRELGLDFYGCIKLINFVRSKVAENKCWSCEVSQLEGKVPWAEDSYLKPFMEDDSLLHSLSIFDDNEEEDFGVPVERGECSGGNGRLAGPQGNGRNTINSACYDVGARFQKAVTIECTGGDKNGPLLEEQTDRQLKITRASVTAKEIKTVDDNYFGSYSSFGIHREMLGDKVRTDAYRDALLGNPSLLNGATVLDVGCGTGILSLFAAKAGASKVVAVDGSAKMSSVATQVAKINGLLYDENTKVEQNRSPHVISVVHTKAEELNHKIQIPPNGFDVLVSEWMGYCLLYESMLSSVIYARDHFLKPGGAILPDTATILGAGFGRGGTSLPFWENVYGFDMSCIGKEVTGSSARFPLVDILPSQDIVTDTAVLHSFDLASMKESDMDFTSSLELGLSGSDAAVPGVTWCYGIVLWFDTGFTDRFCKDKPVVLSTSPFSTPTHWSQTIFTFEEPIAMTHDESVDDSSASVGTAECPAVTVRSRISIVRASEHRSIDISIETTGISSNGRKHSWPAQIFNL >cds.KYUSt_chr4.4532 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25947127:25964718:1 gene:KYUSg_chr4.4532 transcript:KYUSt_chr4.4532 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVTADHDKFRDTVKPWYSLFGMSAADVYKEFPETMWDVFGDSLETLRNKVALVLFALRIDCLVHCGRRQVRNNTFLESLVLPSLKTGIALETAYAVVHLCLEKRCQPSKLTLEELARIDFPCERAHVLLHDKEKVFYKFTRQDLDSCKKLIKWCCKLRIVSLATWRSASDRLLAALERGYCQAKWLCPRLNVGPCLAVPWGQKKPQHVHFSTSRRSNVGAPMSQLTDKSRVSSDDNIKPWFKLLGMTLSDLDDGFPETLWDAVGADSPETLKKKDRQNRHLIWSKDTDVDPRFAESIVFDKTLYKYAILGCRASADTIVKKGMISASQRDEIVLSLARIEDDIELGMFQWVDGADVHTNIVQALADKLGDLPKDLAVDSIYDSCVMILDMWCKNHIEHIMTKIKRLQVALVLLALRNDGLVLPGNREDRTLIGSLVLPILKALDKDVSGLRHTFGRLYLCDAFILHRNSDICSYPEIDTTTKRYTIAYYARTISYDIPNDIAQFLEKFVPLKDLLSFKPHKDATHGTILEKLLPDGPKRGRGHSALSKCLNIAYRRDHGLLQDCEIEDAKSYIFSSVEAVLQMLSVSIKFAEKMSFDLVKMQTRLPSGYGDVVEFAHVLTSKGIALETAYVLVHICLEKRLQPSELTLDELQRIGFPCEHVHDLLQDKGSVFRDFSCRDMSKEMIKWYEDGGSIARGEEKQLGMVMDEKIPHGRAREEREACTKEGEEEVEAGAKLGDTGLHASQPGAADPHAG >cds.KYUSt_scaffold_2697.755 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4807195:4808614:-1 gene:KYUSg_scaffold_2697.755 transcript:KYUSt_scaffold_2697.755 gene_biotype:protein_coding transcript_biotype:protein_coding MPPELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKYGNMQERVVRHFWKYFKRAEGKEIACDVILHELCREDKEMEDKEVEKDKEVEDKEVAKVDVACMNFVV >cds.KYUSt_chr2.2908 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17183704:17193983:-1 gene:KYUSg_chr2.2908 transcript:KYUSt_chr2.2908 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRRNGGGPGGGAGGAGEVAQLDAQIALHYGVPYAASVMGFDPVQRLLAVGTLDGRIKIFGGDNIEGLLISPKSVPYKYLQFIQNQGLLVAVSNENEIQVWNLEFRQLFHFSQWDVNITAFAVIEETFFMYLGDENGLLSVLKYDVDDGKLLKMPYNVTIHSLSEAAGVSLLDTQPIVGILPQPDTLGTRVLIAFERGLLVLWDISEDRAIAVRGYGDLHMKGQVSGAQTDASEHQVDDVDETEEEREICSLCWASRGGSTVAVGYITGDILLWDMTVSSRQGKQTDVSSNVVKLQLASGSRRLPVIVLHWSAGSAKDTTKGGHLFVYGGDDMGSEEVLTVLSLESSNGLESVRCASRGDLKLDGSFADMILIPDTGVPDKIRASALFVLTNPGQLNFYDGSALFSGRKSEEVYAQPETRKFPVAVPTIDPNITVTDLYSLTGTGHPSISLKKFCARQSVAPPISGNMKWPLTGGVPSEMSLKEDRAIERIYVAGYQDGSVRIWDATFPILVPMFVLDAKVSDIILDGANASVSSLAFCSLTLTFAVGTTSGLVRMYKLHEHTGDSSFHFVSESKQEVHAVHHGRGFHCHVAFMASNSPVRSLRFTGSGEVLAVGYQNGQVGMFDASQLSMMFCVDCASGTNSPVVSLSIYGVVSSAAEVDQSQKEIAKSGKNSTDVLLSLTKDARLTVIDSTSDVASDEEQTQSSGDKSPPQSQTGKEQKDLDQKQAQGTETNQKKASQHSHSGDSDSLLLVCFEDEALLFSLTSLIQGSNKHLHKIKLAKHCCWSAIFKNKDDKACGLILAYQTGIIELRSLPDLEILAESSLMHLLRWSYKTGMDKSMSSLNGQIAMVNGSEFAIISLIASENDFRIPESLPCLHDKVLAAAAEAASSISTDQKRKQNPAGVLGGIIKGFKGKADENANLRRSFNAQTHGELLGSIFLKESSAEASIPSPDDPIEELTIDDIDIDDDDVPLSPPPASSSTSHVHKKTTVEDERAKLFEGSSAADKPRMRSTQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISLRTQELQDGAENFASLAQELAKNMENKKWWKL >cds.KYUSt_chr6.15093 pep primary_assembly:MPB_Lper_Kyuss_1697:6:94569834:94570964:-1 gene:KYUSg_chr6.15093 transcript:KYUSt_chr6.15093 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNTRSSAFLVSHSRSGLTVELEMMEVVVVVSILVLIHSLCQQRSLGLPSCRHALLRLHYVVTSDMCNLEHLLKNKFVAEDEVWRSQRKVASPEFHFAEFRTLTAELVHRRLLPVLGDITVLKCGGVRACSIAVYEFYCHERTPESVNLESQVGGVHELIVEDSWKRQVGGG >cds.KYUSt_chr4.9791 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59226425:59228536:-1 gene:KYUSg_chr4.9791 transcript:KYUSt_chr4.9791 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSNNRAASSSARSKRSERVVAQTPVDARLHAEFEGSRRHFDYSSSVNRSRSGGSTSTVAVSAYLQTMQRGRYIQPFGCLLAIHPDTFSLLAYSENAAEMLDLTPHAVPTIDQRDALALGADARALFRSQSAVALHKAAVFGEVNLLNPILVHARTSGKPFYAILHRIDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVIAECRRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCAATPVKLIQDDNLPQPISLCGSSMRAPHGCHAQYMANMGSIASLVMSITINEDEEEDGDTGNDQQQQQPKGRKLWGLVVCHHTSPRFVPFPLRYACEFLLQVFAIQLNKEVELASQAKERHILRTQTLLCDMLLRDAPVGIFNQSPNVMDLVKCDGAALCYQNQLLVLGSTPSEGEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALREVVCGMAAIKISSKGFILWFRSHTAKEIKWGGAKHEPGDADDNGRKMHPRSSFRAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANDNNVRTIVEAPSDDMKKIQGLLELRVVTNEMVRLIETATAPILAVDIAGNINGWNNKVAEITGLPTMEAIGMALVNVVEGDFVEVVKQILNSALQGCRYVLEFIFLCFRD >cds.KYUSt_chr6.12897 pep primary_assembly:MPB_Lper_Kyuss_1697:6:80444122:80444889:-1 gene:KYUSg_chr6.12897 transcript:KYUSt_chr6.12897 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPSAASPPAPAPQPQEVQAAAAGPATPPVSPSSPPVQLGVDTVGAATATALVAAATSPGEPSPRSSGKHPFYRGIRCRNGKWVSEIREPRKTRRIWLGTYPNAEMAAAAYDVAARALRGADALLNFPGASASRPAPASASPDDIRAAAAAAAAAVLLEDRPPHGDLEQAPEAAATAPPPAMQEGATDDVPQQEMSTGNNEDHFMDEEAIFEMPQMLRNMAAGMMMTPPRLSPTVSDEFWPESGESLWSYHDP >cds.KYUSt_chr2.2533 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15299106:15299549:-1 gene:KYUSg_chr2.2533 transcript:KYUSt_chr2.2533 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGAGDRSGEAREEAAREARAEAAVGIRLPRATYQQRGGISPPTSSSMAPPSWIRLPRATLILILLALHLSLSVAAQFEGFDSDELPHAAAADLTSPDDDDKGLDLDVDLPPPPPISVSVSSPSHPVTTTTAPDESAPSDPSAADA >cds.KYUSt_chr2.17129 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107912071:107917326:1 gene:KYUSg_chr2.17129 transcript:KYUSt_chr2.17129 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSILESVEEVQDLSVLWIIKLFLLDCCGYHWVRRRHGVGEDQGEGVGQQSQVLTAFVHAEVVAARGEEGGTLLKFCHGRVSSELCAAAGNFARKEKIRPAAGAPPGRPAPQPAGPVPEPADRVSVTGGSGHRAGRTGCRAGASGADRVGYCAAQRRGRLPGRSTGPQAGWSGSHAGQTGLWAGWAGVQDGQTGLTADRFGVQSCGTTGVQTCSVAMLLLFVRRGREGSLLFKKDDIGVQKGAYSGVFGLFGSMACRNLFYPHALGTTLLEEGENDMDIQAWPRRRHRSRASVLLPVPIVAYVAVVPQAGTGKTTGRSWPWLCRGQSGQMQRRSSTACRNRSASARYPPPSNNIWSSLPQVDADAVESHRITTRERSRILGQASPEAAAVRAVWILGAERNPESRREHPPR >cds.KYUSt_chr3.4195 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23902375:23905917:1 gene:KYUSg_chr3.4195 transcript:KYUSt_chr3.4195 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTRQNGIRFFADDRSHYDLFGKRRPGDEGFRKAWQENVDEEDCLWTASEDEDEEEENDTKMEREMKKVKKQAKENANLVDGDDSDELRSICPESDEDDMNLWSGSEEDDDNDIPTEPHPNERSDPYIDKVFEFDEAPKYRTISELLKAEKEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNIQFFKDIQARMRDPNFKFSPELKLKPKSKLVPKKKWQKAQSRKRKNDKR >cds.KYUSt_chr1.10798 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66113785:66120837:1 gene:KYUSg_chr1.10798 transcript:KYUSt_chr1.10798 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPAAGAAVGTHHPLQYEPPLGPAAYSPRGGDSSSAWELRPWSPVPAESLAPERAAAARPPRHECYWWPASFSSNAPPGGDSSSAWDKGERPRSPLPADPFAEYYDKLAGYFVEAARRLPLAQIPYLAHCIRLKGLAIGFADPVTNILLTTIDAFAHTEKYMIYPVDRTAIELTKHKITFADGARSSWVALNRFMVCYFRYLTGTQADLLLHKAGYDLRVAIEAVELHIAGPNYSELLQDSARTKTAFEDAAYPRCSAPNLLRLMTSSYSRSMVEPILEDLRRGGELTADCVYKLCTLLRCPWSSPPPRPPPPIPGTFRDRSGGATLIFSFGDDSFVTTRISKDGVATATVSSSSPTYAGCLEDTTNLVSMFPIHSGRLVSHTETLESPEFLPFLKSQLLDMIHGVYLKAIAMLPARALREGHLLHSLVTAGHCYGPLDPVSNIVVNTIWYDATFPLSIASKVGAMDILDARSMHRIESRSIDGLVAYLRRSPSIDEQHAVTILCRNRLDNPILDLSNMRDVALAAKHPQPAAFGEFLEHVSIQELYNQYCLSRVQGNPDSAFEVLKTALLQETSQTTRVASRLKRCAHHMDASAALERSFFMSQQDHFRRVLETLLIGYGYTNPLVDFDTECAIWSQKKVLVSKFLATANMNVGSWEVPLYNLGVICGVTRQRNYSCTDVYHANFLASSDGGSSWKLFFAEFWNQPDERIEESKRPFCCPIPDYHEYPGYNKKGGWNDSVLRVLQTVASGVNEQSVSPTDAADHAL >cds.KYUSt_chr6.7621 pep primary_assembly:MPB_Lper_Kyuss_1697:6:46523875:46536913:-1 gene:KYUSg_chr6.7621 transcript:KYUSt_chr6.7621 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNYHASILGHQCGHQLVHVSMSLAMARLGELLLAAAAGERGSPSRLQDGLQRLWRLRPPQQTEARDDSRGPEAREGCGRRTSQSGWWVTATLAERTVTRGDCVRRRRFPVSPRQRRFPVPPARWRHGEGRADGVLGKGYKSPLDLFVHASAVVGFGSNMPRHLHHLLRQPDPADGFPPKQHPLQNNNRGAPPTCTFESNWTLQHSFSTAKNSNDTLDYIMSFEQRFATLRSIGNCVNECELSLLLKDKTAPICYVWCDPSPWMHITQMDNKIGFIAGGVLSKVHPIGLYNVETWKATGMDLDGVEFVWLSDLISRNADEYWPLAMDIARKSNPSAIKSWLRVYSTINTRHIFASICLHTHTPLTSKTDMPCNLLEHPERWAVDDPNWAIFMEDDEEDIISKISDGFCPPESAEGNPCLDYIKYIVLPRFGKFEVVQMGDNGGSKTFVNMEEFTSDYESGVLHPSDVKQALAKAINMMLEEGKAQVRLGLHMPDLGRRGCGCCAAWVSLGAGDNRCGSAWFELEVVRGLWILVQDGGGRWTSLLSLAVVSVDRDFAATEAWWRWDATWMVLSQFVKHGAAVAAV >cds.KYUSt_chr7.14050 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86834255:86838667:1 gene:KYUSg_chr7.14050 transcript:KYUSt_chr7.14050 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSSHSCLAFHQTSSSARRFLGTGLATRQTKLVRPRKSAILCVGTGRASNPGDSGKLGVSHSFNVSDVNAALQGTPQKVGEVRRVVIPGLPEGPDSSQISTGLWEWKPKLTVYYEKSGTKNSKAPAVLFLPGFGVGTFHYEKQLMDLGRDYKVWTMDFLGQGMSLPCEDPAPKDEGEQEEGSYWGFGQDSQPWADELVYSVDLWHNQVQHFIEEVIGEPVYIVGNSLGGFVALYLAASSPHLVKGVTLLNATPFWGFLPNPSRSPHLSKIFPWAGTFPLPSSVRKLTETVWQKISDPRSIQKILRQVYADHSTNVDKVFSRIIETTEHPAAAASFASIMFAPMGQISFQEALSRCRSQGIPISLMYGKEDPWVGPFWGTRVKQQVPEAPYYQISPAGHCPHDEVPEVINYLLRGWLKNVESEGLIDLPFLDDSSDEEHGVSRELEFRWIEDLMCEGS >cds.KYUSt_chr5.28486 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180421163:180427593:-1 gene:KYUSg_chr5.28486 transcript:KYUSt_chr5.28486 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSGQGAEDLAQGAEDLAQGAEDLAVTAAVEDNDEVGGMAATRPAAIARSSGAAGMQRRNGGPTAPQGGARGRRRGAASRPAVCEWCSSEARGAEEEQRRPRRPGGENPNCRRCRSFPLRLPSSSLPEAPPGLARDAGDGVGGDLGLWLPARRIDGGAPRRVRHGWGGRSVLPPALMADLVPLWAREDSAGDPLGGGSGSQGGGPDLVVRAGFCGRVGGAEPAGAGLGSGPPRWSPTPDLKVVCASGVAVLFSSIPNCFVSDAFELRLAVVLLSVCGMVSVCLDLLPRTSLLVCSQAQGSASIGRRYGALRARARRQGAFFGDGAYEGMYYSLYPVEVV >cds.KYUSt_chr5.41897 pep primary_assembly:MPB_Lper_Kyuss_1697:5:264211382:264216616:-1 gene:KYUSg_chr5.41897 transcript:KYUSt_chr5.41897 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCAAGAGRGGWCGHKVGREVGGGGAGATWGWRWLTATQGGGSGGEEKNAFTMAADPGTRNDRAEPPPSQENGFDASSYAEHWGRNPSASEENGFDASSYALHWGKNPNPSPSEENGFDASSYAEHWGRNPSASEENGFDASSYAEHWGRNPSASGSLVPGAQTRHWHIKVKTGMLFLKKILHVGTILPEGTMFARADMPKPDSSVSTPLEPKYFATIVSRFKIPYNSLKAKQVAETLRSCVKPIDREEPHVCTSSRKAMSRFATTSLGSNLTQAAFTRIHGHESPTTRYVVAQIAQLSNHTVACHPMDFPYEVFYCHRPKEVQALRVQLNDMKNGMTRVTATVMCHMNTSNWDKEYFELLGGERGEPVCHYMPQNYIMFY >cds.KYUSt_chr3.19613 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120698663:120703873:1 gene:KYUSg_chr3.19613 transcript:KYUSt_chr3.19613 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRSGDNTPRARRALAAMRALGFSKKPTLTVLKRLLKVYGNNWALIEDESYGVLAEAMLDHQQATGDGGSEPEPVQEHEGINADDDDMAGGDPSGSLGPPSPPPPTTAREMVVSPRSQASASMMALGKRRHRETIDADPQLDDAVLLREPKPVPVDTEVQPGLNGRRSRNASSSSEKLFRDLPPQQPDQNPEQIPGARDRTIQRCGNREMTTSSVEPTNSALNNGTRSGVGNGKEAPCLDIEVASSAMGEVKMSLKCSVNPSKFRMPALEAVFKLVESKCLRSYKVLPPDFSIRSLMTEICQCVVERNTQSDGAVIGSRSEDGRNRKQMAAEKLLVSNGSESGPVNSTPSQQRHLVLSTLRTSHDVMDISKGEEKIRISIVNDFGKEKCPPSFCYIPRNLVFQNALVSMSLAKIGGEDCCADCFGDCLSSPEPCACARQTGGEYAYTVEGLVRPAFIDECVSMNRFPGEHQKVFCETCPLERSRNKASPKPCRSHLVRKFIKECWSKCGCNMQCGNRVVQRGITCNLQVFFTGKGTGWGLRTVDKLPKGAFVCEYAGEILTNTELHERVVENMQNDRFINHRCCDANLVVVPVEVETPDHHYYHDYGIDFDAEKQKPVKPFECLCGSRYCRGRKHHPRKKSATAAGAD >cds.KYUSt_chr3.21 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155213:157456:-1 gene:KYUSg_chr3.21 transcript:KYUSt_chr3.21 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRSVALLCALLLAAFCTSSAASDAPFLVAHKKVALTRPKPGVERLAVSLDLYNQGSATAYDVTINDDSWPKEVFELVSGEVSKTLERLEPGSTASHAFVLETKAQGRFQGSPAVIKYRVPTKAVLQMLLYIYTLEPDAKNTVHVQKLVAKYGALVSVVSFVLAFIYLVASPSKSGGAKAGKKRR >cds.KYUSt_chr7.34681 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216521375:216522382:1 gene:KYUSg_chr7.34681 transcript:KYUSt_chr7.34681 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGATGARPRQRRRWRLYWCYVCRRALRTVSSPTSDVFCPRCLGRFLHEIELPTPVHSPIEQLFQPPPPLPTYFQPPPPLPTYEGAPRHWVIYRGEDDDGDTPRPRRRRRVPSPPPFPGTRGGLDGADAGPPATGFNPAEFFTGPNLNALIEGLTQNDRPGPAPAPASAIDSLPTVRISPAHMADGSQCPVCKEEFELGETARELPCKHAYHSDCIVPWLRLHNSCPVCRQELPLPTGESPDGSGGSDGDGGAERREPPGQLMAGWGPLAWLLMLGDPGVGGWEHGAERRGSREGEDGADDGGGNASSGLSGPTIMRSFVLVAACFFFVSFFV >cds.KYUSt_chr2.33856 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209210358:209212061:1 gene:KYUSg_chr2.33856 transcript:KYUSt_chr2.33856 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNNFPAVWAAVGPGVSGAVFGAAWLFWVDAVVCSAAAVPFLHYLPGLFASLAALMFNCVKREDINDNYYSPYDDSEWRPRAPTRRQATAPAEHHHTSPPETPPPAGLETHAAQPLSAVPRQRLQGEHDARTPSPPNQEILGFHPGAEEGGKGMYLSVASKEEDGVRNVADAVAATAGQRSLPARAPDPATPTHHPDENVKMPFRWVLRGAGRRLQNRLGGRRGDHRDASNLHRLHAAPATEEAVISTGQRPPPSGTAPPSPCRGRRLRSPAPTGESAGRHSPGPTPLRQEQIGAEVAYRAPAPAPASPS >cds.KYUSt_chr4.522 pep primary_assembly:MPB_Lper_Kyuss_1697:4:2770244:2772108:1 gene:KYUSg_chr4.522 transcript:KYUSt_chr4.522 gene_biotype:protein_coding transcript_biotype:protein_coding MIGADVACHIFRALLGEDRWLELAVGGERRIGPLLLREGVAALVDQRLQTRAGGNQWHGQPSRADVPAATLDSTKRAITGKKSPEKKKGKNIRWRLVAAPGSYDAGRQDSAASARSVSVATAAGLVSAATATAVASATALDLVVVVAPSTGTAVVMAVVVTATAARRLALDALPRQ >cds.KYUSt_chr4.8588 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51570112:51572898:1 gene:KYUSg_chr4.8588 transcript:KYUSt_chr4.8588 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWTGLWGGRAGGDAYRGTPVVVKMENPNWSISEISPEDDDEDFLVGAGATGARRKGGRGKNAKQITWVLLLKAHRAAGCLASLASAAVALGAAARRRVADGRTDADAGVESPVLRSRFYAFIRAFLVLSMLLLAVELAAHVNGWNLAASALALPIIGVESLYGSWLRLRAAYLAPLLQFLTDACVVLFLIQSADRLIQCLGCFYINLKRIKPKLKSSPLPDAEDPDAGYYPMVLVQIPMCNEKEVSVAALLSSCSPQMPLIFTPILQYFNHLVVAQVYQQSIAAVCNLDWPRSNFLVQVLDDSDDPTTQSLIRDEVAKWQQNGARILYRHRVLRDGYKAGNLKSAMGCSYVKDYEFVAIFDADFQPNPDFLKRSVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKSKISVWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLIALAVPPKELRHHQRTGSAPNLDAMLKDQSDSPKKDGRKKKKHNRIYKKELALSLLLLTAAARSLLTKQGIHFYFLLFQGISFLLVGLDLIGEQVE >cds.KYUSt_chr3.47276 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296599706:296600443:-1 gene:KYUSg_chr3.47276 transcript:KYUSt_chr3.47276 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKADGEHSGGGSGQDDDRCEPKEGAVVVPANRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADIAESIAHFSRRRQRGVCVLSGAGTVADVALRQPAAPGAVVALRGRFEILSLTGTFLPGPSPPGSTGLTVYLAGGQGQVVGGSVVGALTAAGPVMVIASTFANATYERLPLDEVEEDHHQDAAGRGGVHAPMMSDPSAAMPMFGVPANIGGGPLGHAAADGMPWPQGHARPPY >cds.KYUSt_chr3.47981 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300458505:300466395:-1 gene:KYUSg_chr3.47981 transcript:KYUSt_chr3.47981 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSGGCNGRMGRAFRQLIRLNLSGTLAPELGQLSHMKIMDFMWNKIGGSIPAEVGNITSLELLLLNGNLLTGPLPESIGFLPNLNRIQIDQNHISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYLPSELSQLPKLLIIQLDNNNFSGSSIPSSYGNITTLLKLSLRNCSLEGPAPDVSGIPQLGYLDISWNQLTGPIPSGQLASNITTIDLSHNRLNGSIPESFSGLPNLQRLSLDNNQLDGSVPSDVWRNISFNGNRSLILDFHNNLLTNLSNPLTPPANVTILLSGNPICTSPNQLNISQYCGSPSPVVPGGGSLDNSTLCSPCSTDLPFESILKSPNPCSCGIPLYVDYRLKSPGFWDFIPYEAQFQQYLSSGLFLSSYQLEVAIFEWEEGPRLKMKLKLFPNNTGFFNSSEVLRLRDMFTGWLIADSDIFGPYELIDFIPGWYENVLPRRSKSSLSTGAVVGIVIAAFAAAAVLSSIITLFILRRRSTRFSKKRTAKRIPMKIDGVKDFSFEELSKCTSDFSDSTLIGQGGYGKVYKGVLADGTVAAIKRAQEGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEYMPNGTLRDNLSAKAKEGLSFAMRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVAKVADFGLSRLAPLPETEGTAPGYVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNLVREVVAANQSGMILSVVDMRMGSCPGECVERFAALGLRCCRDETDARPSMVEVVRELETIWQMTPEMDGVPSESVAMDPSHASSSTATSSGARMVSGGNDQYMSSSDVSGSNLISGVMPSINPR >cds.KYUSt_chr7.17709 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109660950:109662632:1 gene:KYUSg_chr7.17709 transcript:KYUSt_chr7.17709 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPSPEQPARNWSELPLEPLHSVFSKLGVVDLLMGAGLVCHSWLEEAKQPDLWRSVHTRHHHKVLDMSAGDLREMLKAAVDRSAGGLEVFVGDWFLTDNLLKYIGDRSPSLKVLDLVECINISYEGLAEVIPRFPLLDGIVLSGYKELGYKYMGRDVYQIIGKTCTQLRRLELHHGSREQALGIAALEGLRDLALVGGAIDDGDLAVIVDSCPQLERLHVTGCFKIVGNTVLRAKCARIKELRLQTYRY >cds.KYUSt_chr5.38806 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245687160:245687894:-1 gene:KYUSg_chr5.38806 transcript:KYUSt_chr5.38806 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGLIPFVYATIKRKRRSMARAADSYAYLATGGTVARGHEHARGAYQSQSCHFTVRPSPDDEVGFPYDFHGPARLPPPKMDVLSRELLSRELQLATVGAPARGPESARFDGGGYQSQSCRFAVRPSPLNEPVVSREGGASRGPLGAQPEGLSRHLLLPASRPDGLATGIAREQEHARSDGNKSQSCRFAVHHSAAYERGVSHDGVASPPSEDLSGKLLLPAGRTERVLSRSLRFSSMRVFAW >cds.KYUSt_chr6.21584 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136136463:136141520:-1 gene:KYUSg_chr6.21584 transcript:KYUSt_chr6.21584 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWPRDSAASCRAGGGMCGQPCPTSPCPATPPRGSGTTCSSSATVTTSCALTLHSASTDHFAHQCRWLRHVASRGIRVLDITLGTAYHFELPDCVFNCATLEEITVSALAVVVAPKTICLPRMKKLHLRFMQLSDPSVADKLTSGCPALEELDLSRCSLGVFRVSSDTVKTLSVTACDYLEIHVSAPSIASLKLSVAGRVKLDAMPSLLHAWVNVCGDGLNPHAADRHDFLDALCNAQHLELLRFGSLLKDMMDKPATEGPTFSKMKSLYLGEWLVVDFYRPLAYFFNHAPNLAMLSLDQWKLYNNGKISGPAYFRWKSTEKLNLPSVLSSDLEILRLRISKDNDAGEFSTLRRMLKEKTKPKEMEAGDCGLSDTIALNCREINGDHEGGNVSAHAGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVMEETGSNITTDQLKEYVWKTLKSGKVVPGYGHGVLRNTDPRYSCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLVEARYYTVLFGVSRSMGIGSQLIWDRSLGLPLERQKSVTMEWLENHCKKAAA >cds.KYUSt_chr3.10632 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63110938:63112701:1 gene:KYUSg_chr3.10632 transcript:KYUSt_chr3.10632 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPAAVAPPASDPSAADTPPPEEVEANTDGYTIKPQSLVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPLAEHLRYGVINLDKPSNPSSHEVVAWIKRILRVDKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVGIVRFHAAVPDTARVVRALESLTGAVFQRPPLISAVKRQLRVRTIYESKVLHHDPERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDAMWALDNYKDESYIRRVVMPLEKILTSYKRLVVKDSSVNAICYGAKLMIPGLLRFENDIDVGEEVVLMTTKGEAIAIGIAEMPTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPMALKKKKMIAEGLLDKHGKPTEKAPAEWLRNVVLPTGGDAVIASIAAAAPEPEEVKVEHDDVPSVDVKEKKKKKKKKKSKAAEEVAVSEGRKRKIDGDDVSASTSAKKIKVEEAADAVEGEKSEKKKKKKDKGEPGSAGLEAVKEEKVDLSDEEKSEKKKKKKKSKQGSDVVDQDSAPDGAAEVEKSDKKKEKKKKKKNRDTEEAQ >cds.KYUSt_chr3.42158 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266396713:266398803:1 gene:KYUSg_chr3.42158 transcript:KYUSt_chr3.42158 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSSDAETELQRGFKTLAVSRPDPAAAVYEVRLNRPAQRNALSPEAFAEIPRAMSLLDRLPAARAVVLAAAGPHFCAGIELGAPGSPLASSPGTDPAAAAEGLRRAILDLQAAFTAVELCRKPVVAAVHGACVGAGVELVAACDIRYCSKDATFVLKEVDMAIVADLGALQRLPRIVGYGNAAELALTGRRITALEAKQMGLVSRVFDSKQELDAGVAKIAKEISEKSALTVMGTKAVLLRSRDITVEQGLEHVATWNAGMLKSNDLMEAIKAFVEKRKPVFSKL >cds.KYUSt_chr5.19178 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124155943:124157199:1 gene:KYUSg_chr5.19178 transcript:KYUSt_chr5.19178 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRKTRAKTKTPPAVSPPPPETPQAAVAGISVLSEDDLRDILRRLSLADLLRAALACHRWRRVAAGCLPRAAPLLGYFFQPVKASLPAPIRETKGAHYDAVFTPLDASSPRLSLDFAPDASRYELLDCHEGLLVLEPTASGPKAIHRRLLVLDPATHRRALLPPPPRDTVPDDRRWRSSRYYIGSALLSRAHPSKLCFEAVCFAIDGRHPRVWLASFDDGQCRWRSLPLAEQVVVDFDPYWFDSFCVHAAGKMYWHICNSDRVLALDAATLQFSYLQAPAGLPGICCKYRVGETPDGRLCVVTVEDQVLRLWVRGEIRSSDDGWILEREMNLRKVYDTVPGLPRDMRARVASIWVTDIDAGHKDRLFIQMLGYGRYSFDLNTGKLEPLPTKNGKEYGHPIFAYFLAWPPALLAAEY >cds.KYUSt_chr7.16266 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100762762:100764072:1 gene:KYUSg_chr7.16266 transcript:KYUSt_chr7.16266 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGGALSVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLGSSARRIGMRKTLVFYVGRAPHGKKTDWIMHEYRLDEDNVEIQEDGWVVCRVFTKKSYTRGMNPAEMAAFDEDELLHPFPVSDSNTGEMQPSMDQKHIMNNSHLMQQHSSQQQHYGFPSFDASMQLPQLMSAEHEQPPLPSFMPAVAMSSLEVECSQNLMKLTSGGGNGMLQHHHGGGSGDPHLAAPTDWSILDKLLASHQNLDQLFQGKVTAAAAASMAPYQQQLLMEQLGGSSTSPMQRIPLQYLGCEAADLLRFSK >cds.KYUSt_chr4.5769 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33666736:33667550:1 gene:KYUSg_chr4.5769 transcript:KYUSt_chr4.5769 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPAVTGTRNVINAAADAGDVRRVVMTSSIGAVYMDPSRSLDEEADETCWSDLEFCKNTKNWYCYAKTVAEQAAWELAKQRNLDLVVVNPSLVLGPLLQASVNASTWHIVKYLDGSVQTYANAAQAYAHVRDVADAHARVYEAPAASGRYLCAGRTLHRAEVCRILSKFFPEYPIPTICKDETGEMKKGCRFSSRRIRELGVGFTTASQCLYETVTSLQDKGLLPVATPICLHDHFS >cds.KYUSt_chr4.26521 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166526073:166532338:1 gene:KYUSg_chr4.26521 transcript:KYUSt_chr4.26521 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNHFLFTPFQFRLYKFIYTTVVPNPVSDHPPVAASGQSSAGSSPFGQQASSSNPFGTQQQAAFGQANAATNNPFAPRPFGSPTPAFGGTSSPFGGGTSAFGQPSAPAFGAAATGAFGQHPAPAFGATATGAFGQQPAPAFGSTSTGAFGQQQTPAFGSTSTGAFGQQQTPAFGSTSTGAFGQQPTPVFGSTSTGAFGQQPTPVFGSTSTGAFGQQQTPAFGSTSTGVFGQPTTPAFGSTATGAFGQPATPAFGSPSPSPFGSSTSAFGAPPAPAFGATTSNPGTGGFSGVSKPMNMAPFGQQTSSQSSMVMQPTLVSNPFGTLPAMPQMSIGNGGSSPSIQYGISSLPVAEKPHLSRTLSMVVPRHLSQRRIKLLPRKYNAASDGKVPFFADDEESSVTPKADAFFIPRENPRSLIIRQTDQWPSRSAVDRQPIPRDSAHLDKDKDAFVGRERNKAAMSPARSGQAGNGHDASSEPEAFVRHVNGASIEKMMPKLSQADYFTEPSLEELAAKERSEPGYCRQVRDFVVGRQGYGSIKFLGETDVRGLDLESVVEFNNREVVVYKEDSEKPPVGEGLNKAALVTLLNIKCMNRKTGEPCTEGPRLDKFKETLVKTAKEQGAEFVSFDGAKGEWKFRVKHFSSYGFGES >cds.KYUSt_chr3.48839 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305202529:305203440:-1 gene:KYUSg_chr3.48839 transcript:KYUSt_chr3.48839 gene_biotype:protein_coding transcript_biotype:protein_coding MPERGSPSRSTKSSPLGCQRHGSGTEAGKHKGPGPLPRPCTRQPEDHLAGLVRIDRTGALPPSRARPAPGASTPRTSRRTTAPPTKHGAPGDSPRPAPPPPREGKERPRRRRRPGLCPATPAGGGEGGTRRRRVGGGGGARVSPCRSRERAGRNGTFFLPHVRLPYPRSAPPQPPQRCLNRQRLLPRTPPRCWPTTPRRRPRKWASTLQEFPDKPRIEPLDADDPLLTQMEPVLTQMASSQCVQFPCTQITKTDMVCFKLCKRDTTEIKLRPPTRGRSLTTPPRPGSHLSTASSTMSSTTFPR >cds.KYUSt_chr6.11650 pep primary_assembly:MPB_Lper_Kyuss_1697:6:72455837:72461796:1 gene:KYUSg_chr6.11650 transcript:KYUSt_chr6.11650 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLESGALQAPRRGRGPDATRALVFQITTAVSSYRRLLNSLGTPKDTLTLRDQLSKDKRISDMKLAKDFAATMEEFRKLQNIAIQREVSYKPAVPQNAQPSYATNDGSADFGKMSEQHALLAEPNRQEVLQLDNEVVFNEAIIEERELAIQEIQQQIGEVHEAFKDLATLVHAQGVIIGMFYLTFCAVMVFGFLANAHIFGCRGSRHQHRKFCRSDQRSEDGNWQSVQDSEIKLILAMHDFGDICGCAAYRDNSFGKLRHEMFEAYWTKHYMQD >cds.KYUSt_chr6.22104 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139627596:139628174:-1 gene:KYUSg_chr6.22104 transcript:KYUSt_chr6.22104 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTVARRLCSSRSSSTSRLSVVSARLYSAEPAKDTGAKRYKYPEVYDPYGPMPPPSEKVVGLADRIAALPPEEIKQIAPALLLRLNQPAPQAISGQGFSVGSQGGAGAGAGKSEEKKAEKTVFDVKLEKFEAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIAKIKTAGGVAVME >cds.KYUSt_chr5.31014 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196387311:196391377:-1 gene:KYUSg_chr5.31014 transcript:KYUSt_chr5.31014 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGLVAGSHNRNELVLIRGHEDHKPLRALSGQVCEICGDEVGLTVDGVLFVACNECGFPVCRPCYEYERREGTQNCPQCRTRYRRIKGSPRVSGDEDEEDIDDIEHEFNIDDDNNKKQQQLQLHGASHITEAMLHGKMSYGRASEDGGDGNHTPMIPPIITGNRSMPVSGEFPMSAGHGHSEFSSSLHKRIHPYPPSEPGSAKWDERKEVSWKERMDDWKSKHGAADPDDMDADVPLNDEARQPLSRKVSIASSKVNPYRMVIILRLFVLCVFLRYRILNPVPEAIPLWLTSIVCEIWFAVSWILDQFPKWYPIDRETYLDRLSLRYEREGEPSRLSPVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLSFESMSETAEFARKWVPFCKKFSIEPRAPEFYFSRKVDYLKDKVQATFVQERRAMKREYEEFKVRINALVSKAQKVPDEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGHDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIRESMCFLMDPQVGRKVCYVQFPQRFDGIDAHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPSGPKRPKMVTCDCCPCFGRKKRKNALDGLPEGVADGGMDGDKEMMMSQMNFEKRFGQSAAFVTSTFMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSIYCMPKLAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKGGNLKWLERFAYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPPISTFASLFFISLFISIFATGILELRWSGVSIEEWWRNEQFWVIGGVSAHLFAVIQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLVINIIGVIAGISDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVIIWSVLLASIFSLLWVRIDPFTVKAKGPDVKQCGINC >cds.KYUSt_chr7.39067 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243142742:243151910:1 gene:KYUSg_chr7.39067 transcript:KYUSt_chr7.39067 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSFSLSTGGPFARFRQPRPLSVAAEHTNAYHGAVLVLLNLFLAPIPLCFGFPLVTVVVPADLAAGSSTVAPSMRHRLQMVRASETHRTRLALLPGTPPNAGAHHAIARWSPPAPRGDLPSNAFRAFPQFKLHQRGLHVIIGPHPSAARAGKPLGEKPSQIQIWTVLLLAPWIFQTQPGALVSDPFYENPLQVLTHAQSSQRLVSLPWPRWCPFGPEGEFFLTVGPEPRVAQSGGFSDLRRPNLQETRVPETSHPPAAFHVAVAPKFQPHQLRIEKAVPVPDHLRPSQPSHTAAAATISSPPRLRDTQVRRSRRRDFSLATTVPDCALIVLAVPSRGRVRVDHREMERGRDGRNDPFGAGGQFAGFGAGGFGPLGGPMPSLFGGRDPFDDPFFTQPFGGRMGGPGMFGPMGGPGMFGAFGAGDGFLEQAPPPRSSNPGRPVITEIDDDEEEDGEHGARHDSYVQEPDDGIDGMQGGQVQLRRDPNRANGGGGQPQSRTFTYQSSSVTYGGINGAYYTASKTRRSGRDGITVEESKEADTTTKEATHRISRGIHDKGHSVTKKLKSDGKVDSTQILHNLNEDELPGFEESWRGNAGHQLPGWNQNAGISNGDNSGSRHVDGGRQPAQSWALPGMQQLQDPRRRDNGRPKSSRIIPIS >cds.KYUSt_chr3.34571 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216857128:216859152:1 gene:KYUSg_chr3.34571 transcript:KYUSt_chr3.34571 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQMDPPAPPARRSNVTSCDLHPDEAFTGFCAACLRERLAGLEASAAAASAPGRKSTSAIRSLFARPFVAGAGSSAAGAATEPPDLRRCKSFSCGRGGDVLSAAAAAAAAAARGDEPQRHSCDVRGRATLWALFHQDDRDRVRDGTAFGSFPVSSSAAAAVALNTAVPLPVPPQHPPPRVLEDFSEEDIPVVMEYSEDEITEVVEEPVLLPAADTSSGEIIETEPNVRAMKEHMDLESSDPAKPAPALKEIAGSFWVAASVFSKKWQKWRRKQKLKKDAAVSKAAAAAMPPPEKPSKPSFLRRRRLRGEAGSEHALGRRSCDTDPRFSLDAGRMSVDDAGFNWDEPRASWDGYLFGAGAGGALGRAPPPLTRLPPILSAMEDAPGGPVVERSDGQIPVEDDADPEPPGGSFQTRDYYLDSSSRRRRSLERTSSVRRPSFEVPELQKPAANGNESPPPIGGGPEFYHFHHAEDLLDRGFSSNSLVEDISASLEAALSGGASCKKPPRWRKAWSLWGFIHRRAAGRRAGGGGPSDIADRSFSEPWPDLRVRGGAGGGSGMQRCGSNLSARSSFSSNSGGLGSSRRSYVDVHGNVRRRGEELHPPSHGLERNRSARHSPGRGSVDNGMLRFYLTPMRSGGGGARRGGGGGGVPGKAAAGRNQLTSQSFARSVLRLY >cds.KYUSt_chr4.7143 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42298035:42299778:-1 gene:KYUSg_chr4.7143 transcript:KYUSt_chr4.7143 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTPAFRQSLGSPARNELVLLLTEIAGTQLSDGPDIISWALEPSGKFSVKSLYRKLCQGTSRKHFSDIWKIAVPMKIRIFIWQLLRKRLPSNDNIRREKGPIVGRCALCSDWEDTTHIFFLRPLARFMWSAVWKPVARRQDREALELAIGRLRSLHANIRDRSAMEQEAAARAPEHVGRVTLRPFDLADVDAMTSWASDPVVLPTASTTWCPNPNPSRDALLAFLRDTVLPHPCFRAVCLSGAVVGAVSVTPTDDRCRGEVGIALSRSHWGKGAAGAVALRRAASAAFVDLEGVERVEALVDADDAPARRVLEEAGFRIEAVLRSYRTVEGRLTDVAVYSVISTDPLLD >cds.KYUSt_chr4.52278 pep primary_assembly:MPB_Lper_Kyuss_1697:4:324357041:324357337:-1 gene:KYUSg_chr4.52278 transcript:KYUSt_chr4.52278 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGLTPAGQATNALQMYESMQIPAAQVQPDGRPNALGLVQSVQAPLIPFPAGLDRRNRYERSRATNQRPSPSRVKDSPPSRHRRSRLDRSIIERIQ >cds.KYUSt_chr2.6941 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43356455:43357156:-1 gene:KYUSg_chr2.6941 transcript:KYUSt_chr2.6941 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGDVKLLGLRLSPFVARVRMALDAKGVAYEYVEEDLAAKTDLLLASNPVHRKVPVLIHAGKPVCESRVILEYVDEAWPSSPRNLLPVGALERAAARFWAAYVDGELFPAWGRVMMAATEEERAGRAAEAAEMVAHLEEAFARCSNGGAFFGGDAVGYVDLVLGSNLFWFEALRRMFGVRLIDERRTPLLAAWAERFGEAAAANGVVTDTLVGMAVEHAKKLRAAAMARN >cds.KYUSt_chr7.35438 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221369754:221372190:-1 gene:KYUSg_chr7.35438 transcript:KYUSt_chr7.35438 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGLVDEVARGLRRVLGLHLFNFDMIRGRKAAGGGGQYFIIDINYFSGFDKLPGYEVALTDFFDEMIRSPHTGSGRFYRRRNASKLFCGGAGGVSDGDHVDVLNVEGLNEDQLEEATVGWVSVWQQKVELSIANSPWRGSAAHIEGAARTIIRFLNTQWSASDRIKAEKKKEPQAPQPW >cds.KYUSt_chr2.6875 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42919382:42920443:-1 gene:KYUSg_chr2.6875 transcript:KYUSt_chr2.6875 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGNGGEKMGAAKKKGQGLAALPWTVRFQVFALSAACDLSQRRDGTVNRSLFSLVDRQTHASSRPDARGVRSADVVVDASRGLWARVFSPSAEQAGTAPLPVVVYFHGGGFSLLAASSRPLDAMCRRFCRELGAVIVSVNYRLAPEHRYPAAYDDGEDVLRYLSTTGLPADISVPVDLSRCFLAGDSAGGNIAHHVAHRWTTSPPSNTHVRLAGIVLLQPYFGGEERTDAELRLEGVAPIVNMRRSDWAWRAFLPEGADRNHTAAHVTGEAGPEPELPEAFPPAMVVVGGLDSLQDWQRRYAAMLQRKGKAVQVLELPDAIHSFYIFPELPDVGKLDKDFKTFIETNTPDH >cds.KYUSt_chr4.38602 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238096135:238099846:-1 gene:KYUSg_chr4.38602 transcript:KYUSt_chr4.38602 gene_biotype:protein_coding transcript_biotype:protein_coding MTREDRPQQRWRRLLPTSCATTGTAARHMSLYWPHFSLASANNLSTAVQIARRRAAHLLKNPDAKIISLGIGDTTEPIPEVITNAMAERAHALSTVEGYSGYGAEQGEKKLRAAIAATYYADLGIEETDIFVSDGAKCDISRLQVLFGSNVTVAVQDPSYPAYVDSSVIMGQTDLYQQDVQKYGNIQYMKCSPENGFFPDLSNVARTDIIFFCSPNNPTGAAASREQLTQLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVALETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTSFNGASTLSQAGGLGCLSPEGLKAMQDVVGFYKENTKIIVDTFTELGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKANVVTTPGSGFGPGGEGFVRVSAFGHRENIIEAARRLKQLYK >cds.KYUSt_chr3.28175 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175819852:175820316:-1 gene:KYUSg_chr3.28175 transcript:KYUSt_chr3.28175 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPVLAEMIRDLPFLLDEPDLVRRLLHHTHARIDHYAAAIDEAAARLDTLRQMDRLLRQTLRTADEEIGGAAEAVEARLRAFLRQRRNFTQVLAFLLFVRALAFARTRSRLVPAVLLAAASAAAFPGLSTLVRLSVLTLGFLFASDQQPTGGV >cds.KYUSt_chr2.38576 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239141851:239143068:-1 gene:KYUSg_chr2.38576 transcript:KYUSt_chr2.38576 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSSSNGVPPGFRFHPTDEELLLYYLKKKIGFEKFDLEVIREVDLNKIEPWDLQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKSDWIMHEYRLEEIDEAQGGTSEDGWVVCRVFKKKCFFKIAGGGGGEGSSSQSAEAGGGGHMTVSSPMGGHDHASMSSHYMHGMHPQYHHQQHASSFYYSQMQPPETAYSHHVQVQDLLTNHRPAADASGGAGYDFSGLPVEHHHGLEVGSSDGVAADGLAEGRDQTNGTASDQQWQAIDGFGNGGSAATVQQMSAMNPGQRGGEMDLWGYGR >cds.KYUSt_chr4.37293 pep primary_assembly:MPB_Lper_Kyuss_1697:4:229615270:229619471:-1 gene:KYUSg_chr4.37293 transcript:KYUSt_chr4.37293 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRGSIAFFTSYRPPVPLDIFCCPVPASSKLDELHLTDGLSYNYNGQTVPPEALKTIVKRLELAPQAAIEADIDSGRLTGLIFVSERQHNLETLQIALRSNDDGEVKVFTLADIYGTELFGGARLEDSGCIAGGYELDGQTSDHYLVYVSTKEPVQERRSPWNVVYKTNLGTGETERLTPPGTFDLSPSVSPSGKRVAVASYQGKKWDGEIKDLPTSIYVMSIETPSLDRERVIENGGWPTWGSETVIFFHRLTGENWGVFRYDLSTGETIRVTPEAFDAMTPAAIDETRVAVATIRQKSVFFDVRVEAQYRHIEVFDMSSPEKSLQITHYTKPKGDHYNPFVMDSGKYIGYHRCQSDHLQHGDDVPRQFNKLLSPHEDVGLFRVAGAFPAFSKDGSKLAFVDNEFKSVWLADSDGMRVVFETDGPNGIFSPVWNQKKDILYVCMGPSFKPNETLEIYAIPHVSSGARERRLLTKGKFNNAFPSTNPEGTKLVFRSTKHGGDKKYKSLYIMDAEVGEDAGEAERLTEGDWTDTHCQWSPNKDWIVFSSNRDRPADAPERDHGLDPGYFAVYLMNASDRSVVRVLRSGYDLSGHVNHPVFSPDGRSIAVTADLAAVSADPISLPIFFNSVRPYGDIFTVDIDPDDMEKNQDVENFSRVTHSKYENSTPAWTVFSTDDPHAQWNLLVMEDNYTPSCPYAHHDGEITSGSKYIRHLQTDELAVGIWRSAAPLKCKVFCWLARRWRLQTNARRFRHHLSTSATCLSCPEDEDIDHLLLLCPRAQEVWHFFHNNFGSRGVAHFTDIWLARDHSYEEATINTAIAWTIWKRRNALAFNGIVEDLSLASRRCIEDVRLWAYRCNTPSSADALNFWCNSYDPP >cds.KYUSt_chr6.21536 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135913873:135914339:1 gene:KYUSg_chr6.21536 transcript:KYUSt_chr6.21536 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSGQADLEEKVANLGDELARKNLMIFELKRTMEEEKKNSELIRKEKLRVETDLAVFDQVVENLEHELQAMGEEKSRFIWAVLLVVIPVLAVM >cds.KYUSt_chr5.7355 pep primary_assembly:MPB_Lper_Kyuss_1697:5:46185687:46186034:1 gene:KYUSg_chr5.7355 transcript:KYUSt_chr5.7355 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEATKNSIGPAAVRLGVSEPAASAIASAAAGVSAAVAAQVVWTPVDVVSQRLMVHSARRPLDVGLLLQGVDLLRHHQAVVARVVQIDDERRRGPDRRRAPAWTRSRTSAVWT >cds.KYUSt_chr2.18592 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117058498:117061811:1 gene:KYUSg_chr2.18592 transcript:KYUSt_chr2.18592 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWQLALQCVGDRRSLVKSTSRSPAYRYFNPIRSPATTHLPTMAGTHRCFVLVSLAVVLLAPRATAYPWQVCGTTANFTANSTYQANLGVLAAALPKNISSSPDLFATAVVGSAPDQVSALALCRGDANATACSSCLATAFQDVQNMCAYDKDAAIYYDPCALYYSSNVSFLSSSVDSVTSTTSRVNNQNVTSDHASFNRQVAALVNATADYAAYNSTRRYAAGEADLGAGEFPRVYSWAQCTPDLTPAQCRGCLADIISKLPRRFTDRIGGRTLGVRCSYRYEINPFLNSPVMVRLAAPPSSEAPAPAVVPTGSNSAAAAGRDSINSAEPENIETVESMLIDISTLRAATGSFAESNKLGEGGFGSVYKGTLPDGYQIAVKRLSKSSTQGIGELMNELALVAKLQHKNLVRLVGVCLEQDERLLVYEFVPNRSLDQILFDAEKREQLDWGKRYKIINGIARGLQYLHEDSQLKVIHRDLKASNVLLDTNMNPKISDFGLAKLFGSDQTQGVTSRVVGTYGYMAPEYALRGNYSVKSDVFSFGVMVLEIVTGKKNNDSYLSQQSEDLLTLVWEHWTAGSICELIDPGMGDAFSRSDALRCIHIGLLCAQGDPASRPVMSSVIMMLGSDTVSLQAPPKPVFYGRQNGGRSSESVLQSLVL >cds.KYUSt_chr1.37955 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231715563:231716809:-1 gene:KYUSg_chr1.37955 transcript:KYUSt_chr1.37955 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLLAAVAASCAHAQLHEKFYSESCPSVEDVVRKEMVRALSLAPSLAAPLLRMHFHDCFGCDGSVLLDSANKTAEKDALPNQTLRGFDFVERVKAAVEKACPDTVSCADVLTLIARDAVWLSKGPFWEVPLGRRDGSVSISNETDALPPPTANITVLTQLFAAKNLDIKDLVVLSAAHTIGTSHCFSFSDRLYNFTGRVNPSDIDPTLEPFYMAKLKSKCASLNDNTTLVEMDPGSFKTFDLDYFKLVSKRRGLFHSDGTLLTDAFTRAYILRHATGAFKDEFFADFAVSMVKMGNTDVLTGSQGEIRKKCSVVNH >cds.KYUSt_chr2.25187 pep primary_assembly:MPB_Lper_Kyuss_1697:2:154020086:154020643:-1 gene:KYUSg_chr2.25187 transcript:KYUSt_chr2.25187 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGYCFLYFRMFLAMAAEKEKSGGARLSSSVGHCSGSAHLCRRGGGRMDGVVESAAPREDLAAAFDGVHQQRRSFAGVIHGRRGRSVLRCCGRRSLFNLLAGVPIWRPFCNSVMAFIVAPASSGFVPDDVADGRGMECIFFSGGVGLDCVFNTLLEVLHVKVDGLVVFIFSVEVIRVKCNPTE >cds.KYUSt_chr4.18379 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115570764:115573566:-1 gene:KYUSg_chr4.18379 transcript:KYUSt_chr4.18379 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLATDVRLSIAHQTRFALRLASAISSNPKFAATNAVYSPLSLHVGLSLVAAGAGGATREQLIATLGSGKEGEEAEGLHALAEQVVQLVLADASSAGGPRVAFANGIFVDASLALKASFQELAVRTYKADVLSADFQTKPVEAAGQVNSWVEKATKGLIKDILPEGSVDNTTRLVLGNALYLKGDWHEKFDASKTKDDMFHLLDGSSVQTPYISTTNKQYILSTDNLKILKLPYHQGGDKRQFSMYILLPKARDGFGSLAKRLSTEPSLIESLLPTKKVEVGQFKLPKFKISFGFDASDLLKGLGLQLPFSPGADLSEMVDPPVAHNLYISSIHHKSFVEVNEEGTEAAAATSTQVSFRSLPPKIDFIADHPFIFLIREDINGVVLFVGHVVNPILSS >cds.KYUSt_chr4.53477 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330952654:330954505:1 gene:KYUSg_chr4.53477 transcript:KYUSt_chr4.53477 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSTARAAAAALVKNTGVAEADVVPGGCSRRGAAASGGGLVLTAADEQEHGFGGEIVPDLNAQPTVEDPLLGETSSVRKRKFEEFDDSEDSGHSYISCEVESGDAVSHNSDASSDNEVSKYNVLSYEKKVEEKIWAEGISAYMKPDGRYYCKFHPSKQVPRDGMREGLVGHVKDVHPKDLRDKANHAALRKVLEYYGQD >cds.KYUSt_chr6.28473 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180419339:180421979:-1 gene:KYUSg_chr6.28473 transcript:KYUSt_chr6.28473 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGDEELESLLRNFHRFSQGYKDAIMEAQALRVNYSSESKKRKALESHIADLKRGRRIELDILCCADNERLRKLYTETLFKFTNQEYKQEIEQLKHDSERNSNALESKLSCALAQRATDEAMIGQLKSDLGAHKAHIDMLGGSIHALDFAACSLMMENTSFAPGADKNDIQDLNDVIMVEQEEKNDVQRKLKSAENELRIMKMKQAEQQRDSVAVQHVEALKQKVMKLRKENESLKRRLASSELDCS >cds.KYUSt_chr5.11142 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72213433:72219807:-1 gene:KYUSg_chr5.11142 transcript:KYUSt_chr5.11142 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPKGPGREKAKGNAHARLPPPVAAPTALRPASVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVAKEDGAEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRISGAYEDALFVHLVMELCAGGELFDRIVAKGHYSERAAAQLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAENSPLKATDFGLSVFCKPGDKFSDVVGSPYYVAPEVLMKSYGPEADVWSAGVILYILLCGVPPFWAESESGIFKQILRGKLDLETEPWPSISDSAKDLVRKMLTRDPKKRQSAHEVLCHPWIVDDSVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYEELKDGLKRVGSDLMEPEIQALMDAADIDNSGSIDYGEFLAATLHVNKLEREENLVSAFSFFDKDGSGFITIDELSQACDKFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGGSGGSAGPRTGVAGRRTMRNSLHVNLGDIFKTGENQLEPEASHQDRKKKKKDMASATLLKSSFLPKKAEWGAARQVAAPRVSMVVRAGAYDDELVKTAKTIASPGRGILAMDESNATCGKRLDSIGLENTEANRQAFRTLLVSVPGLGNHISGAILFEETLYQSTVDGKKIVDILVEQGIVPGIKVDKGLVPLTGSNDESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIERTFEVAQKVWAETFYYMAQNNVIFEGILLKPSMVTPGAECKDRATPEQVADYTLKLLSRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQEALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYSY >cds.KYUSt_chr6.27382 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173878174:173881251:-1 gene:KYUSg_chr6.27382 transcript:KYUSt_chr6.27382 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLFTRAQLKGKVLLQRRNVLDLNHSSGAPLSHDTWDEFTTKGVTCQLISSTVADQNDASRGLVGEEERVEHWVMHLPPMTHSDTTYDVTFDWDVKKQGLPGAVIVRNYHATQFLLKTITIDHVPGHHGPIVFIANSWVYNTDKYHYDRVFFTNDTCLPSNMPVLLQPYREEELQILRGDHPKQKHDRAYQDHDRVYRYDLYNDLGDPDNKSPRPTLGGPDSPYPYPRRGRTGRKPMKSDPTCESRNVVPVLQQFYVPRDECFNHVKKADFTSYLLKAISAGILPLARELFDTAVPREFDAFEDMYKLYEGGIKIPDVPVMDLMFKVFPPLKSICPSGGSYLLKMPMPEVIKNDKLAWRTDEEFAREMLAGLNPHIITRLQEFPPRSKLDGYGDQTSTIKVEHIQPYLGKLTVDKAITDGRLFILDHHDNFIPQLLKINSLENTFVYATRTLLILQDDDTLKPIAIELSRPRLDEIGTKVVGADSKVYTPPSSAGSESDNKVQDTIWQLAKAYAAVNDSAWHGLISHWLHTHAVIEPFIIATNRQLSVTHPIYKLLQPHYRDTMMINALARQVLISAGGFFEMTVCPGEYALRISSDVYKNWNFTEQALPVDLMKRGVAKHDSTSPCGVSLLIKDYPYAVDGLAVWSAIENWADEYVKIYYPSANVVQDDAELQAWWKEVREVGHGDIKDQPWWPKMTTVQELVRSCATIIWIASALHAAVNFGQYSYAGYLPNRPTVSRRPMPEPGTKEYQEVETNPDMAFIHTITSQLQSIIGVSVIEVLSNHSSDEVYLGQRDEPQWTSDDRAKKVFDKFSKSLIDIEKSIISRNKDENLKNRNGPAQFPYMLLYPNTSDIDGESATGITAKGIPNSISI >cds.KYUSt_chr5.22790 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148907963:148910539:-1 gene:KYUSg_chr5.22790 transcript:KYUSt_chr5.22790 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLPPFPSLLIKSHHLPPQLPSRPRHGRHQEPIMALAQAPPFTLSLQETGSAHIPHRARPFQEPRPHQPSSSARREPRFVSETKLISFHSSAGRLGDARTVFDGMSHRDLLTWSAMIGAYATRGMFDEVLALSVSMIREGVLPDRFLITRILQACAYAEDLELGSALHSMAIRRGFMGSVKDAPVGNSVLVMYVKCGELGRARGVFEKMRRRDLGTWNSMIFGCCRSCEWEEARRLLEDMRHQGTEPGVVTWNTLISSYARSGDLDVAVELLEQMEESGVEPDVVTWTSLVSGFVHSDRGDEALHCFIRMRLAGVEPNGMTIACAISACASLKLLGQGSELHCYAIKIGSVNNVLSGNSLVDMYAKCGEIVAASRIFSEIPEKDIFSWNSMVAGYAQAGYCGKAYELFCKMEDYGIRRNVITWNTMISGYIRNGDDERAFELFQTMEGYGIKRDTASWNILIAGSVHNGYFDRALTIFRQMQSAGMKPDYITILSIIPAFANLVAAWKVREIHACIFHHNLEMDGKIANALINAYSKSGDLAAACSVFDRHPSRNIISWNSIIVAHLIHGSPTQVLDHFFEMKQHGVLPDHTTLTAVIKAYGMEGMVSEGREIFLNMDKVYNVTPDLDHYAAMVELLGRSGRLQEAYEIIDGMPLTPNFTVWDALLTSAIIHGNVRLAQLAATEMSVIEPNDPRIQMVLSNLQDLAGKSFDVPKVTVHNKGRMLDEVESCSVEIRNMVYFFSTGDNVASEHLVAELKLMMIHMGLSMSDIGNGTLEVEEEMEEVVGFHCEKLAIALAISDSPCFRSIRIIKTARMCNHCHTFAKLVSEKYGRQILIKDPRCLHKFENGNCSCEDYW >cds.KYUSt_chr4.25643 pep primary_assembly:MPB_Lper_Kyuss_1697:4:161133893:161134496:-1 gene:KYUSg_chr4.25643 transcript:KYUSt_chr4.25643 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIQARCQAGPVEARADTLRVRTSSAATHSKSKYAPVASQRTPRSRCVAPLEVVPDVFFGSAHANGRSLSVGLRRAAGDALSQSYGTEDHGATRDMMLLKLHQMGIETYKKKVDCLTQLRKAIVNAKAKLVATCSAICDILIHVRQVQLDKKACVEN >cds.KYUSt_chr3.9133 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53244849:53248268:-1 gene:KYUSg_chr3.9133 transcript:KYUSt_chr3.9133 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIAIRSASTSVAQRSSGGGFLSWLTGAPSNALLPPDFALPGVTIPEPLPDLVKPSETKITALSNGVKIASETSPGSSCSVGVYVNSGSVYEAPETLGATQLLKKLAFATTRNRSQLRVVREICAIGGNAKASANRELTSYSYGALKTYMPEMVEVLVDCVRNPALLDWEVKEEIAKLKAELAQASTNPESFLLDALHSAGYSGALANPLIASEASISRLNTDVLEEFLAENYTSPRIVLAASGVDHDELVSIAEPLLSDIPNATGTVKPKSVYVGGEYRRAADSSTLLGGGGLFSWGRPGKGLHSRLNHLVNEFDQIKSISAFKDVHSTTGIFGIHTSTAAAFAPKAIDLAARELTSLATPGQVDQTQLDRAKAAAKSAILASLESKASATEDMGRQVLAFGERKPVEHLLKIVDGVTLKDVSTLAEKIISSPLTMASHGNVLNVPAYETVRGKFSSK >cds.KYUSt_chr2.7742 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48533785:48535365:-1 gene:KYUSg_chr2.7742 transcript:KYUSt_chr2.7742 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDLRLLPRRRQRDEEDRLTALPDDMLLHILGRLDTRCALGAGTLSRRCAHVLRELPVLDLKVTDALPPRYRGILALRREATGPFFLPESRQRLIDIAARYERRAMRAMAASVKGLLLTHTHRRRRMQRLSLEVFAHSSSAACINRLILYAVDSWGVRDLELVATPPTGPFGRSPPVYRLPRGRISRKPGASRLQSLKLANCLPPPLQGFTALAKLVLQDLPETTPAAVYEGVVTACPQLQVLHLLSCSLERGTSRAVFDAPMSEIRELVIDGHIMAVELRSLPKLESLATLRASVFLRSATAAPRLANVSFAFSVGQLEGHQLAGLCCMKRNHIVNMLTGFFKHAVSMTDLVLRFTGPDMWIAPSKNPFSLMSNLRRLLVADVPSSWDVSWPHLLIEAAPLLESLYVHVPRCGEDDEHTCQEIIEPSASSTRWRHRHLKELVVIGFQRTDTQTQLLRLVRFTVQVSMVLRRVALYKHGHVEEKGACNCNWEVVSHHSTWSNQEKLTVLDGICSSTASLEVEFD >cds.KYUSt_contig_1989.67 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:383028:387509:-1 gene:KYUSg_contig_1989.67 transcript:KYUSt_contig_1989.67 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLFVVLLFPLILALAAHVSRADDNGSPAGHGKDGSNGVGPVKNPHCTKPGRGPDPHENDGPSNNGRGPPEKECDDGESSPSPSDGSAEPSPDQDTSSPSTPPLPAPSAPPGPPTGKNGWVKVHNFHDTLYWKIGHSAVLRVKLVKKKDISLVDVLYVSMQPAGIGSNYFLVIKAADEYKKVGKYNMLVWGVPGSTTEPWKVTMSSAAPTAAAPIASAPPAAAVAPAPSAALAAPAAAPASPFLASRPLAMWWPAPGAAPPGALAGPTSSSAAAGLGAEGDPPPIINGGPASSTTAPMPSYGGHPPSPAYGAPTAPIYGAQSAPAYGWSAPTYGAPPLQSYAAYGAASTAPYGAPAAPIAPYGAYPSSSYDAATPPYGASSALTVNTRIFKSECLLCHTSQSQEYCCCEA >cds.KYUSt_chr6.30857 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195604892:195606130:-1 gene:KYUSg_chr6.30857 transcript:KYUSt_chr6.30857 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARTMWVNGPIIVGAGPAGLSVAAQLHTHGVPSVVLERDDCIASLWQRRTYDRLRLHLPKRFCELPGMPFPASYPEYPTKHQFISYLESYVAAFDIRPRFRQSVSSARFDHAAGLWRVQASSTEDENETTTEYIGRWLVVATGENAERVLPEFPGAGSFKGPVTHVSEYMSGEPYRGSRVLVVGCGNSGMEVCLDLCDHGARPAMVVRDAVHVLPREMLGVATFSVAAFLLRFLPLRLVDRLLVLLAGLFLGNDLPMLGLKRPTTGGPLELKNSSGRTPVLDIGALEKIRAGEIAVVPGVKRFMAGGAEMVDGSFVAADAVVLATGYHSNVPQWLKGSDFFSGEGYPKAGFPEGWKGESGLYSVGFTRRGLSGVALDAIRVAGDIAVAYNQKNNTSSSCTGCPVLQEPVP >cds.KYUSt_chr4.443 pep primary_assembly:MPB_Lper_Kyuss_1697:4:2404309:2404869:1 gene:KYUSg_chr4.443 transcript:KYUSt_chr4.443 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGHIPEELTKLVNLRYLDLACNNISGIIPKSVVNWKEMIVMKGDDTLNGAFSFVHEVYPGLIDITTYTENFTVVTKGQERLYTGKVIYMVNLDLSYNNFSGNIPEEISTLVKLKNLNLSWNTFSGKIPENIGFLAQVESLDLSHNELSGEIHASLSALTSLSRLNLSYNNLTGLGFRNSHNNKQ >cds.KYUSt_chr5.475 pep primary_assembly:MPB_Lper_Kyuss_1697:5:3409577:3410140:1 gene:KYUSg_chr5.475 transcript:KYUSt_chr5.475 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAALSSTLVLLLVAFTASRVGAATFYITNGCASTVFPGAIPVARGVGGTDLKPGETWALKVDAGASGRIWPRTGCSFDPVTGQGGCDTGDCGGVLRCVLPGKPPATLAEFTIGEDGGAPDIYGISVVDGFSIPMEFSCSPGGIADGVVRCMDAGCPDANHHPGDGKYRTCQGDSEYQIVLCPST >cds.KYUSt_chr3.7807 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44806652:44807358:1 gene:KYUSg_chr3.7807 transcript:KYUSt_chr3.7807 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGAWCFPTSAIKVELVTVIEPFSSRFHSHATRPLVVLLHNHCLGYLFHRENGDVLLQATPTFSAPSMLPHSYLALAYPHEFLLPRLPGESTLAVGGNERCKDPKVAKRSSSNPLVISKQVSSYEIVLRYQYSWIIFLHFVKLSAELTILEC >cds.KYUSt_chr3.20924 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128217932:128220757:-1 gene:KYUSg_chr3.20924 transcript:KYUSt_chr3.20924 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYHLLLLLLVLVPLASSSADREVLLALKEAVTNDPAAVLSAWSSDSDSDSDHCHWRGVTCHPSSSAVAAIDLPAASLSGALPALLPPHLLRLDLSLNNFSGPVPAAFLASPTLRALNLSSNRLSGPLTFPPSNSSPPCPALVHLRLAANFLVGEIPAAVAQCRALRLLDLSRNVLEGAVPRALGRLAALRVLDVSRNSLTDRIPHELAGCRDLAVLVLTDPAASASPGDQPEFNAFVGLLPPEVATIPTLQVLWAPRANLDGRLPSYRNSSCSLRAVNLGQNYIAGPVPPWLGECGDLTFLDLSSNSLEGSMPAQLNIGCMKYLNVSRNLLSGPFLSSMDGMCSSRLIDDDVLVYHYYQGLVGNALIGNPFGSMLGDITHAAVHDFSNNGFAGALPSIDLHLGENYSYGLLLNSNTFNGTLSGGFFGFCKGASGIAVNLSSNQLSGSLDMVASCTALQAFEAGENKFSGSISHSIGDLHLLRSLILSGNNLTGEITGQFANLAALEVLMLDHNRLSGSIPPSFSELAQLSVFDVSFNNLSGDIPYLRHSADCTFFVGNPLLSSCLGPNPNASVPLSPSNNRQKWTQRLGGHMTRSKILMVIVVAAATAIVSFILAIVLFFVYERRKRAKIVSFRRKAVVTFADAPPELNFDNLIRATSNFSIQNLIGTGGFGATYKAELAPGYLVAVKRLAMGRFQGLQQFDAEIATLGRIRHRNLVTLIGYHIGASDTFLIYNYLSGGNLESFIHEMGSKRVSWAEVYTIATDVAQVLAFLHCACTPRIIHRDIKPSNILLDEDLNAYLSDFGLARLIEVTQTHATTDVAGTFGYVAPEYATTCRVSDKSDVYSFGVVLLELMSGKRSLDPSFSQFGDGFTIVTWGRMLMQEDRTSEFFSPGLWDTAPKYRLTEMLKIAASCTSESLAIRPSMRQVAARLKQLRNEQ >cds.KYUSt_chr1.6355 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39186119:39186370:-1 gene:KYUSg_chr1.6355 transcript:KYUSt_chr1.6355 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNSDLCVLWHHVPIDLLCACRINATPRRLVRLHGAARMQEWSGPLESHLLPHLCFSFDLDSPADSSVIVPTERQYERRIGA >cds.KYUSt_chr2.11044 pep primary_assembly:MPB_Lper_Kyuss_1697:2:70121026:70127498:-1 gene:KYUSg_chr2.11044 transcript:KYUSt_chr2.11044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 (EC 2.4.1.13) (Sucrose-UDP glucosyltransferase 2) [Source: Projected from Oryza sativa (Os03g0401300)] MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQPHQIIAEYNTAIPEAEREKLKDGAFEDVLRAAQEAIVISPWVALAIRPRPGVWEYVRVNVSELAVEELSVPEYLQFKEQLVEGSNKDFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHVKESMYPLLNFLRAHNYKGMPMMMNDRVRSLSALQGALRKAEEHLSGLPADTPYSDFHHRFQELGLEKGWGDCAKRAQETLHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLDITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEISGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGMYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESQRRLTSLHPEIEELLYSDVDNDEHKFVLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNAELYRYICDTKGAFVQPAFYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVNGVSGYHIDPYQGDKASALLVEFFEKCQGDHSHWTKISLGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSSNQRERDRERAAARKPNSKNGGDGLTPEQRRERDKKALEEKAAKKAAQASGSSAGSSTDTKNKDAKK >cds.KYUSt_chr4.6579 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38673256:38677087:-1 gene:KYUSg_chr4.6579 transcript:KYUSt_chr4.6579 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTDAMIAPVHAPVPGNGTATNSINPSHWNSSTGAAFRRARSKRRSIIRVAEALLCERKVASFFRSLSKTFRVEATLTFVLVKGGQDVEVAPLSSESVMLNLAVPTPLNEHSGTAKESTHVVLGDAGSKHHSTTPVPAAIQELDKTLVMLDDKEQAQEQGKNELPSVSEILYFTDIIERFLAKCTTSLFSTTAAWSHLELD >cds.KYUSt_chr7.32535 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202643613:202650087:1 gene:KYUSg_chr7.32535 transcript:KYUSt_chr7.32535 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPAAALALREERRWRRTRDRSSSAEKHEREAATAQRVEEVGDRPAGRLLVGPGKRQPHDWIPSNERACGASSDSEPCRGGGTEALAGDAEGEGEEGMASWGGTKQKCASCGKTVYPVEELAADGRAYHRPCFRCHHCKSTLQFSNYSSIEGVLYCKPHYDQILKSTGSLDKSFEGVTRAAKSEKSNGQKGQQNSRFSSMFVGTQDKCVVCSKTVYPLEKVDLNGGSYHKSCFRCTHGGCTLSPSNHITHEGKLYCKTHHSQLFMVKGNFSQFEENTANAKVAVEKEAETVEGTKKPGQADELGEKPSENELMADKPSQDDVAAEKQVESGIDVPKPSESTVQKSAESETVTESDSKGQVVNKKPLESSAEKPAQSSVVDIKPSGSSTAVRKPWQRSLPTDKPLVSIATAEKPSPSDAASEKPSSSNGINVKPLDSSTVVKKSWKRSVATENPQQSMLPSDKPASGSTDDVKPSESGKTVKKPWQRTVAAENQTQKSGPIEKPSPTSDTKPLDSSSTIKRPWGRRVASEKSLPSSEVDVKPVETSTVVTVLHQQSETTEEPPETKKADDVKPSEDTAAVEKKPWQFNLGTEKQPQSSAVDAKKTESIGVVKRAWQRNTSFEKPSQSSAAATTTSQSNVTITMPAPSNMAVKKSWQRSTTPKNITGSGMTTNKALQTKAVIEKPSQERSQEKPLQEKTPQIAATTEKPSQESSQEKAPEISAVIEKPSQESSQEMPLQKKGPSKEQFQSEETVEKQLQTEGTADATQQRDLIIKKIPEPESDATSDKPTKEPSEHEGAASGENLSMSQSKRTIEQTLESQDVAPEEATEQILEAKNDAGIEQPLESESIAPAEVPVEQPLQCQKDAATAQPLECQRIADDNDQSEPNSDAIAKESLQPEFDTPAVKSSEPQRDAVVDQSVEKLPELQSGTSVEEPAVHRSDTSSKNPSESKSDADAVESSGQPSEPQNVASVSKPSETSDATAEEPSEIDGAPLKLTKEPLEPQEDESVEKPPQENESIEKPLETAIGVVADNPSESSLATETLPESTPKISTAAEEPAQGGISDESPTQSISLETLPESTVPAEDSVDVREASVETSDDSSALEKPLEDKDDSAKPLEDSITPKKPSEQGNASIELAEDNVPLEKPSEEDEGIAMLSYVGVDVEKPLVEEVDTVKPSEDIMALEKLVTDKQPKEDEEIAGPSNNKVDLEKPSAEEADTVKPSEDSMAVEKPVIGKPSKEDEGSAEPLNSTVDLEKPSEEEVDAVKPSENSTALEKPVTDKPSEEDKVSAEPSNDSMDLEKPLEEEVDTVKASENSTALEKPVTDKPSEEDKVSAEPSNDSVDLDKPLEEEDGTVKPSGDSKVHEKSATDKSSGEAEEGTGSKNPSHSDAVIEAPPQNDTDSSTDKPSPSTE >cds.KYUSt_chr3.31080 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195019029:195019316:-1 gene:KYUSg_chr3.31080 transcript:KYUSt_chr3.31080 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKILNPNAASENHPNHGATTAGIPANHQTQDYCHESGDVGRDEVDGDGFEHLLEQLQEPPLYCSKKRWGWKGQDPPKQNPAMDAAPGCGINKS >cds.KYUSt_chr1.26246 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158107489:158107851:-1 gene:KYUSg_chr1.26246 transcript:KYUSt_chr1.26246 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGYSGSSGSAPHGSVPPYTTTASAAATVAMAVSLSTGVRSPQPARPHLPMAAALHIWSTMAANSSLPHSRARPWSPPFTLGARALPVRTTSTSRPSSADLMIHWIEGQALSLPLPLV >cds.KYUSt_chr6.8787 pep primary_assembly:MPB_Lper_Kyuss_1697:6:53995550:54006630:-1 gene:KYUSg_chr6.8787 transcript:KYUSt_chr6.8787 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPPDPDPDRDPGQDDPAEEMYPVQLVRDRDKLKYIIREPAAGTRFLAYLYLIIEQLLNEHTDYSVKTLVGAMELECEKKCRNEAVSIFYWKKHFSTIKLHSGMLYVLNPVASSLVEEFIWQKLTAITDLSCKDKDSFFDSHFRPCDENTVDTLKPLFHGDNDGESSNSPELNAQHAVTFHRNDIGKSRNSSELNVQEAGLVEVLIQYGRNFLSQDLTAIADSVEKNKRLVSELDWHKISSRMQTIAFDHLEVYRICVQRKEELKTSVDALRQVKDSILLLVAAFMQKAEICEQLELDIVLPLVDVIMSEYFQTAHGDMPYAFQMVLASIARKCKCIEQIFRPAFYHLENNIKAGSLAGPLLNKNMSIIFNTIVSMYSTARKDHSITSQEILRSIGCAIYKLVEAFLEKAEGFDDLETKFVIPLVEDALDGFMKTASEIIPSEFLSLSKMVINKCNEANKIVSTLFNSSDEEIFYVEHSDYCLALLEEACLHRSKVFTKFTDPELNCIIQFTRWAMMKQTELNITKTSASCLLEMLKAFEERGDQSAFYKEHMLGFVDDAVILLCRSPGSDIKTICFDILAHLFTVVDNVSEPLWDSNYFPTHYNGMFAREQAANIIQSRLHTPYSEATKFVDGLFEARGDFSGFREKANNLLSQLKRPHQISESVPASTSYEYPLSSSCPELLAATLEKNYGERFENFLKKYKQDGCHSPHYEGVVCAMYMTGQIKFIVDFEHIISHDVSLASDMLVAFSRFQHKMKVVVSKYVSDMKRKLDDAFSGSMPHLSSQSLCGEVAVFVVHMPESIESFSEFIKLPGNEVVMDQTIFQGPNSVVIQKKTDIGRLALCSYIQEICQKHADGDSWDGQLSLEDFKLVAWLRKAKDNPVLLKLYNHGKDKAVILENLERLEKSLPAGSDEELDAVVDRLRRLVMIDSKVLLESDSQPDAAQPDAAIDDEKPSEVVVFYDERKSVSQPGDEFYNATLLSLVFYVRHGVTHIGDLDNAGKSTWIRKLIELELLLSHVLGEEMSHLTADLVLNINMLSDEALEM >cds.KYUSt_contig_686-1.845 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5179626:5180489:-1 gene:KYUSg_contig_686-1.845 transcript:KYUSt_contig_686-1.845 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASPYLLLRLAADEIFQTLEPSSSSTPTPTPTTTSSSSCYDAFVPVFRPDPTPASPALSVSAADRVRSQFLSVERDLFHDALVAPRNDGLGFPEDDDDHDDDGADSSASIPWDCLQFDEESEPDLPLYPPAPADEFDWEEVAPAPAPGPAAEPEWEVLGATPPADADEGFVYTSHRDAHDLLVAGGEALFLTSKPPASTSAIHALPSAVVTINEHGEECAVCKDGVAAGERVKRMPCSHRYHEDCIVPWLQVRNSCPLCRFELPTDDPKYEAWKAGRGRGPAVPA >cds.KYUSt_chr4.47994 pep primary_assembly:MPB_Lper_Kyuss_1697:4:297213306:297215585:1 gene:KYUSg_chr4.47994 transcript:KYUSt_chr4.47994 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLPGRVKEEWPPSPEEEDLAPRPMEGLHETGPPPFLTKTFDLVADPATDGVVSWGRAGNSFVVWDPHAFAAVLLPRFFKHNNFASFVRQLNTYHNLHSTTERSTRALERLTQTDGNLQMKVSLGARDSFYFFLRMELLKMIKRRRPLSYLPSSQQQALGSCLEVGQFGFEEEIEMLKRDKNALLTEVVKLRQEQQSTKADMRAMEERLHLVEQKQLQVMGFLARAMQNPDFFLQLIQQKDILKDLEDAYSKKRRRSIDIVPFLGPGEASQSEQLESTFLFDEKEFSELNQPGYSELENLAMNIQGMRKGMRDDRGGRDQVSGETELTDDFWEELLSEGMRDEAAMPQLERRPRYVDT >cds.KYUSt_chr2.44142 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274519458:274525725:-1 gene:KYUSg_chr2.44142 transcript:KYUSt_chr2.44142 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDHSGEAPDGAGKEEGEVAARSRSRSHSRSKSLEDEEERPRGSRDRGHRGKSRRREEEEEEGSESSGEDSGERRKRRRKEKERRRRRRRRSRRSRSESSGSSEPESESSYSGSRAESESEPDSEEDRRRRRRRRRREKEEEERRRRRKDKEKKRRKEKEREKDRKKKKKKEKKDLGKKTAVTNSWGKYGIIREVDMWNKRPEFTAWLLEVKQVNLEALSNWEEKQMFKEFMEDHNTATFPSKKYYDLDAYHRRMMEKEQKNGLKNAAVVALRQRHKQASRVAEGGYQAVAQAMKQQSLLREEMMLQYKLGNFEAAAAIQKRLDPDAPPQ >cds.KYUSt_chr5.2189 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14929405:14930274:1 gene:KYUSg_chr5.2189 transcript:KYUSt_chr5.2189 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLFLLAVLHALVSWQATAYDPSPLQDFCVADMKSPVQVNGFPCKDPMAVNPDDFFNAAMLDQPRDTMKSKVGSNVTNINVINFPGLNTLGISLARIDYGPLGVNTPHIHPRATELLTVLEGTLYLGFVTSNPNRLFSKVVKKGDVFVFPKAMIHFQMNLAHDKPAAALSSLSSQNPGVISIANAVFGSKPPISDDVLARAFQVEKDLINWLQSQFWENNNY >cds.KYUSt_chr2.36873 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227805197:227813051:1 gene:KYUSg_chr2.36873 transcript:KYUSt_chr2.36873 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPSLAYFPSDAALLDSSGLPWGVAVTPFSPVDERGSPPVTGEEGHLIPRCSSCFGYFSTHCSLHRWSWTCPICSEDNDLSADAAARYARDGSHDPPELRSAFVDLLLPDEEGDAAAVTTPVYVAAVDLSSSEEFLELVKSALLAALEALSPGSLFGILTYSSKIGLYDVQGPIPIVKNVFIPPDSDGTILVDLKDVMPFHSFLAPVDTCKDSIAQALETLKPVSSWEMAATASQVQDHASHHTRGFGVALDALVNYLSVEYETTFELARIFVFLSGPPNYGPGQLDAPGSHGDHNTGKVVDSDHTQLPEQTIFYKNLAASAVQAGVCVDLFAITNEYTDLNSLKVLSVESGGSLFLYSNTDESTVPQDIYKMLSRPYAFGCVLRLRTSSEFKIADSYGHFFPDPQYMHVQHINCCDSFASYTYEFEFEKGSQFSRKSRPPILQIAFKYTTIVHHGDTSDVSNSGSRSKFSLQRRLRVRTIQYNTTANIWDLYDFVDPDVVLTILVHQVILASLNDVVEARLWLHDWLAIFIAQYNKAYKSVRPADTGVSDIDVDFTNCSQLQPLARLVFAFLVSPLLQVQDQHIHPDYQTYLQCLFRFQITLSLL >cds.KYUSt_chr4.53883 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333371627:333372958:-1 gene:KYUSg_chr4.53883 transcript:KYUSt_chr4.53883 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVALALSMAAEVVEFSDSDLATEESAWALYEVWIARHRVPTVGSIVKLALRDSAEKMRRFDIFKKNLRLVREFHERDPSYKLAINKFGDMTGEEFSDAYGGCERLRVVADDGGGKGQGGFAVSAVDDANLPEAVDWRQTGYDLRPAAVTAIKDQGPYCRSCWAFTAVATVEGLFSIRRKILTTLSEQQLVDCDKLDRGCVDGLAGTALSYITNSGTGLASGASYPYTGRNASCRKAFFSPFVKLSGHVWVKPFSEIELRKAVAAQPVAVSIGLEDPSDAFKNYHGGIFQGPCSTLGGHAMTLIGYGTESGNDYWILKNSWGEGWGEHGYMRLRRDANELGTPGTCGILLRPVYPLMH >cds.KYUSt_chr1.38806 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237050997:237051515:1 gene:KYUSg_chr1.38806 transcript:KYUSt_chr1.38806 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLLVLNLIMYIIVIGFASWNLNHFINGTTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRAWHGGSLANTAASALVAWAITALAFGLACKEIHIGGYRGWRLRVLEAFVIILMFTQLLYVMMLHMGLFGNQFGAGSGGYGAGDHGYGDHHNKGMGTAAAATRV >cds.KYUSt_chr6.31323 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198167571:198170146:-1 gene:KYUSg_chr6.31323 transcript:KYUSt_chr6.31323 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCANCTTGATTFKTSGSVTTSNSTTGKLSTGSSGFMASSTGSVGTNGGFDEGGFLEGQILEAPNLRTFTFLELRTATKNFRPDSVLGEGGFGRVYKGWVDEKTMNPAKSGTGMVVAVKKLNSESLQGYEEWQSEINFLGRLSHPNLVKLLGYCWEDKELLLVYEFMAKGSLENHLFRRGCAPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMLSGQRALDPNRPNGQLSLVDWAKPYLADRRKLARLMDPRFEGQYNSKQALQSAQLTLNCLAGEPRSRPSMKEVLETLEQIEAMKSRTREARGGSGTSSRDRTHGHSAVHQRSSPRGGSDGRRGSRASNGHATKAR >cds.KYUSt_contig_1307.37 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000126.1:270394:271592:1 gene:KYUSg_contig_1307.37 transcript:KYUSt_contig_1307.37 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFGRVQDAPVGYEKNPEAIELARFAVSEHNTKANTALEFERVVKTRGQLVAGTLHYFTIEVNQAGAKKLYEAKVWVKEWESFKELQEFKPAN >cds.KYUSt_chr7.26941 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168397788:168399209:-1 gene:KYUSg_chr7.26941 transcript:KYUSt_chr7.26941 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSDLGRLQLIEPSRFVTFSFPSPLLHDASNPYGDGDGDHAEYLRVAVLDAPLPAAPSPPTPRTAAMLVPAGRHRDWIFSTRAGQVHLLLSSQSQCPFSRLILVGPELSAPSPPVVSCAAARPDPDPAHARLLPLLLSLCPRAAFGGNRIPDVPLFSFHDDLLRLVPVHAVAGPVVGEMLVEDVAVDCAPAPAELRRRLRFKRTPCLVQTQVRLARPSSAAADDDSSSLLEALDEGLGRSLQPQVGGQLLQPYLQAMVAGLAVIAPSAEEIVRSGAMPRCLCAGLGGGALPMSIRMGLGFDVLGVEADCVVLDVARNYFGLVEDEFLRVRVGDAIQVIQDFARREEPDTTNFGAVMVDLDSSDAMCGVSAPPLEMAHGSILAAARRILHQHGVLVLNVIPPAADGSFYRGLIDVLRQVFSELYEIDVGNGENFVLVATVSPTETTSTVESGQFLTELRKLAGDFLEHLRKI >cds.KYUSt_chr5.13085 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85302317:85304553:-1 gene:KYUSg_chr5.13085 transcript:KYUSt_chr5.13085 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSIPIEIDADAICLENVGGDEHEAQENEDVPIIFDAENGGQVAFDSQEQGNEEHSVRNDEDRENNSAVPSREDFTEELRMRVAYSEEQAYRLYCDYGHSMGFSVRKGKQYYFTGTKVIRTKDYYCSKEGLKDDEQLTEANFNKPETRTNCKAMVRFRVDSEGQWRVIQIIPEHNHELVPPEEIHLLRSVRTLSVPKPGALNAMVNAEIQAMHDSLHVNDDGTECHSQVSIQSCTLLEPEDAEGLVGYLKRRTIEPGMFYWDVQVDQEGQMINFFWRDGRSRVDYDCFGDVVVFDTAYRTNKYNMICAPFVGVNHHMQNVMFGCAFMLDESLTSYEWLLKSFLDSMGGCPPKTIFTDQNDTISKAIEVVLPETHHCLCQWHIEKNLQSHSDTLNASGTFHSMFMRCMKDCESEAEFEEAWAIMLHEYNLEDHQWLTDLFEQRHKWCTALHKDAFDGGIQSLDRNLSSHNVLSSIDDESVSPANFVLEFDKLVGSWRTNESVEDIQCNQISPECTVKHNSILQQAAEVYTHKVYKSLETEFIEGCRGTSYQEMQCSETLYSCKRFETMGILCSHALNALGLKNLDKIPERYVLKRWTKYARKGTYLFPCDEFPEQDCAEAALAYRNRAMLFVYDLLMKSKGHQDTRKLILDVLENGEKSLENVCELKRMHIHPSGKDKDGIEQHCLK >cds.KYUSt_chr3.32106 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201783940:201785112:1 gene:KYUSg_chr3.32106 transcript:KYUSt_chr3.32106 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLFLRRRLSTATATPPTPASILNPSSPTTALTSREKTRLAISLLKSSPPPPPDQILSICRAAALSPKTHLDRVALSLATSRLSSSPDSVRDLTSSLLIPHHTSHAIVLFGQAGLLPDAISTFQSSPSTKSLNALLFACLVSGNHAEAARIFQTFPDAHNVKPDTETFNAIIKSFAESGTTRSFYSVFDEMCKKGVKPVATTFTRAIAGFYKEERFDDVEKVIGLMKKHGCGESLQVYNARVQGLCKLGRNDEAKALMNEMVKKGTKPSWVTYNHLVYGYCKVGNLEEVKQLYKEMGQKRLVGDSSLYFTVIFHLCKSGDFDTALGIYNEIAPRNWVPCFSTMKMLVNGLAGSSRIDEAKGIIQKMKEKFPDKDEPWKEVEEALPQ >cds.KYUSt_chr3.43771 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276222484:276228862:1 gene:KYUSg_chr3.43771 transcript:KYUSt_chr3.43771 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAIRTTNNMDGDGKNDNIGCGDDGERGYLVSWKGEGRGGNTTKMADGQENDKNIEIWKVKKLIKALDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDEGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPSTSQPNVSGLILAGSADFKTELGQSDMFDQRLATKILNVVDVSYGGENGFNQAIELSAEVLSNVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTMAALEMGAVETLIVWENLDINRYSLKNSATGETIVKHFNKAQEADQGNFKDKATSAELEVVDKTLLLEWFAENYRQFGCTLEFITNKSQEGSQFCRGFGGIGGILRYQVEVNAYEDASDEEYDEDF >cds.KYUSt_chr3.12657 pep primary_assembly:MPB_Lper_Kyuss_1697:3:75766732:75768340:1 gene:KYUSg_chr3.12657 transcript:KYUSt_chr3.12657 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLCAVSPLLLPLLLVANLGSTWALTPSSLTGLSARRYDSIFSFGDSFADTGNNPVVFAENGVPDPVTRAPYGSTFFGRPTGRNSNGRLIIDFIGKTYCTRSSIITSLNELSREIDVMWSTALIAAQRLGLPLVPPSLAHNGSFNCGANFAVGGATALDAAFFHGGKFPLNTSLGVQLEWFESLKPSLCHTTQECKDFFGRSLFFVGEFGVNDYHFSFLAKSVQEVMAFVPDVIGTISTAIERLIKHGATSFVVPGTIPSGCVPPILAMFADKDPAAYNSTTGCLEDYNKLGMHHNLLLQEALEKLRGKHPNTTIIYADLYGPIMEMVESPRKFGFEEDVLTICCGGPGTLFCGDEGSYLCEKPSARLFWDGVHLTEAAYRYIADDWLVSIVSPTIESSYQSVR >cds.KYUSt_chr3.36766 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231212990:231219458:1 gene:KYUSg_chr3.36766 transcript:KYUSt_chr3.36766 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSGAVVAAGAAPVRQVVSRVDKATSHLLMGPDWAVNLEICDCINADVWQTKDVVKAVKKRLQNKDPKVQYYALTLLETMMKNCGEYVQFEVAEQHVLNEMVKIIQKKNDMQVRDKVLLLLDSWQEAFGGPSAKYRQYHLAYLEVKSTGVVFPRRPIDAPPILTPPATHNSQNYGSPRYAAGSLNEQMSSDVDTLSLGDLNNIRNVTELLSDMVYALNPSDQEAVKDEIITDLVSQCRSNQQKLMQFISSTGNEQLLKQGLEINDRLQNVLSKYDAIAAGAHLAVEGPARETVESPREEPIAKPSPPHIVEKDFPNEEEDEFAQLAQRKNKSVISSDETSSSTSTAELALVPIDLPSSESPPSVASNALVPLDPAPINSSYQTKEQDLIDLLSLTLCTPTDETSTDSSAPSQNGRQQPSVADGQENPTGVPQYPSTNHPYPVNQGYTPHISNYAAPWAQTGPYPSQSPAYASGYPAPPWATPPTVNSNPFQPAAYQEQLSSTYAPPLASYTSPSASQPTQQYNPVGSPTNNGLTMPQAPVNGNQQSRDSSPAASKPYYILDNLFGDLIDLKSSSGGNKMGTSLGSSNGGQPMIGGKK >cds.KYUSt_chr1.41380 pep primary_assembly:MPB_Lper_Kyuss_1697:1:253795413:253800074:-1 gene:KYUSg_chr1.41380 transcript:KYUSt_chr1.41380 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLSKIVNDQAKTEQRAAVGAPEKMLAMKRWRRLFREQAAELDVVRYGGVKHFIAGIPEPPATDESDDNSDLPMAADVDGSVNQVMGTDQLTNLSSGADAKLVIQFEEVTAASMGKRKTEDVSESCKHVCASSVGDMGVCEGSIVHVIEEAGVGVGELQERVDESGGVEKAQVEQEKEATGLGAAGKLTGAAVVARRPWTFDNKQEGRRNVRVRLDQAVASTDWSNLLPNNQDLISKTQSAFIPGRMITDNAIIAFECIHALQKGSNIAGKFCAYKLDLMKAYDRVDWIFLENALRKIGFADQWIHWIMTCVRTVKFSVRFNGKLLKSFIPSRGLRQGDPLSPYLFLFVAEGQKCSDEDGKDVASVLNVQNTSFEDKYLGLPVPEGRILALELKEKGDVPGISSKPAGERAMWDLIWKANVPPKVRVFGWKLVNDSLGVQDLRLYEEWNLPDDSFFRYTGPDWALILLSQGSREIEVLMNSQTLVLGVQFFETTREMLSFLHWEKSTMQCKNAEIAEAIAGLLALQSVIPNYSGPVLVGNDCATLMSEVKMVEKSKSSIANTVDEIKNLLRLLRFCFLKSSQILQ >cds.KYUSt_chr5.3215 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20770780:20778088:-1 gene:KYUSg_chr5.3215 transcript:KYUSt_chr5.3215 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLRLHSQLRRRRHGASPALPRLLSSSSASAPDPPKVDKVLVANRGEIACRVMRTARRLGIPTVAVYSDADRAALHVRAADQAVRLGPAPARQSYLNAAAIVDAALRTGAKAIHPGYGFLSESADFAQLCESEGLTFIGPPPSAIRDMGDKSASKRIMGAAGVPLVPGYHGADQDIELLKLEADKIGYPVLIKPTHGGGGKGMRIVQGPDDFVDSVLSAQREAAASFGIDTLLIEKYITQPRHIEVQVFGDKHGNAIHLYERDCSLQRRHQKIIEEAPAPNVTTEFRAHIGKAAVSAAKAVGYYSAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGERLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYQPVPSSTTVRVETGVEQGDTVSMHYDPMIAKLVVWGESRNAALVKLKNSLSNFQIAGLPTNIAFLQELAGHSAFERGLVDTHFIERYKNDLLNSSAKASGEAHDAAARGAMLAAACICRKDHIISEESLRDKTLSVWYSRPPFRMHHSAKRLMEFEFDKELEGSSDELLKLLITYRSDGSYFIETEDGSSPGLDIKVDDKGEQDFRVDIGGVQTDVTLAFYSKDNSKHIHIWHGKHHHHYRQTIRTEELLADSSQPSHVSEGRSHPKGSVLAPMAGLVVKVLLEDGAQVDDNQPVMVIEAMKMEHVVKAPRAGYIEGLKATPGQQVFDSSVLFTVKERRGKHLHGRGSAPSASAKSVFLGCANPSWSPLRRRMRSSKRGARRTTASRRRSAATALASSSTSMGDDEAGSSSRPRGRRDDTGQGCSSYLSQPKEEDPDDCYDYAMAMYRRLGLGRGNGGSSS >cds.KYUSt_chr5.9958 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63591407:63593640:-1 gene:KYUSg_chr5.9958 transcript:KYUSt_chr5.9958 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLRRIENNVSRQVTFSKRRSGLLKKAHEIAVLCDADVAVIVFSAKGRLYEYSAHTSMERILERYERFSLSKGNMVEEFTDLEGSMNYDHIKLTSRIEALQKSRRNLMGEQLDSLTAREVQQLEQQIGNALRNIRLKKNHLLTNSIGELQNKANLTIY >cds.KYUSt_chr2.44913 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279689828:279692291:-1 gene:KYUSg_chr2.44913 transcript:KYUSt_chr2.44913 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQIQICAGSREGIESWGPDPSDPLARISKREPEPHSPVHLISSPPAVTGRSIASAAMLLHLLTPSSVAPARPSPRRPCAAVRCASSSAASPSSSAAAAVAAAGQQVANVHSYGTVDFERRPALRWSSLYRRVAVGNGGRPVGRILGDWDEGERRLDKWELCRIAKELRKFRRFNLALQVYDWMTERRDRFPLSASDMAIQLDLVAKVRGVPDAEEYFEKLPDPLKDKRTYGSLLNVYAQAKMKEKTENTFELMRKKGFATDTLPFNVLMNFYVDIEEPEKASTVTDEMKKRNVAFDVCTYNIWIRSCAAMKDADGMERVFNEMIADESVVSNWTTYTTLASMHIKFENFEKAEECLKEAEKRTTGRDKKCFHFLITLYSHLQKKEEVYRIWNRYKATFHTIHNLGYQEVLSALVRLGDIEGAELLYEEWASKSSSYDPKTMNILLAWYSREGFVVKAEQTLNRFVEKGGNPKPNTWEILSTAYLMNNQLSEALSSMEKAAAVTSASKWRPRLTNVETLLAYFKDKNDTESANRLMSVLESRGCAEIEEYKSLINTYAFAAA >cds.KYUSt_chr6.2470 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14398676:14400133:-1 gene:KYUSg_chr6.2470 transcript:KYUSt_chr6.2470 gene_biotype:protein_coding transcript_biotype:protein_coding METPAACEIERLPKDLLVHVISLTSPGDAFRATAVSRAFHAAADSDTVWSRFLPSDLPRFAKKELPRTPPSTKKGLFRRLSDEPMLLPHKFMRMQLDKATGAKCFTLSASALQIPPYARRGNWTRVGSDFDYNKRGKRFLQAIVLGYIQGLDIRAKIQRKMFSQNTTYVAYMVFKLPDRFYGLDFPFQDALFGVVGSESVRQVCLQGYIEDGDRADEPPRKHILPSCYPTRCDMIPPVDDVHFPRKKTNGWMEVELGEFHNEEGDGEISISLIQTSSRKSSLIVWGIELRSKQQSPT >cds.KYUSt_chr5.38840 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245873171:245873531:1 gene:KYUSg_chr5.38840 transcript:KYUSt_chr5.38840 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQYSETVQPHSSNIREALLSSYTSGHLDWTEAEMVRRAMSEHGVEKSPGCSWIHVKGAVKVFVSSGGHLDPSDYSMWYHSLVG >cds.KYUSt_scaffold_3611.168 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:962414:965440:-1 gene:KYUSg_scaffold_3611.168 transcript:KYUSt_scaffold_3611.168 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCLISSHLTAPHRARKERSGPAKLWKDDGHVERPRPRPRPVPLLMSPKQEAAADKNQLPPPADGIEYVLLEESEGTILSGCGGGGAAAAAARRPSCTRLCQYKNFKEDHKKTLVATYLVGWGIDI >cds.KYUSt_chr1.19184 pep primary_assembly:MPB_Lper_Kyuss_1697:1:112621102:112637542:-1 gene:KYUSg_chr1.19184 transcript:KYUSt_chr1.19184 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPEKSPATAAAAADYATLRELYRPHIESFDYFLDEGLDKMLLSIRPMEITDPSSNATLRNILRASLNFFSFVPKIRISAFLVKRSCYSKLCHLRGADSRKLIYHGEEATEMGGYFISGGMERLIRILIIQKRNYPMSMVRSSFVKRGEGYTDKAVVIRCVQLDQSSSVTVKLYYLQNGSARLGFWFGGREVLLPVGIVLKALVDTSDWEIGASLTCCYSDKHGNGKGVVGTELIGERTQIILDEVRALSLITRKQCLVHIGKYFRSVMDGFEKDDYETVAEAVIKDYILVHLQNDNHAKFNLLIFMLQKLYALVDQTASPDNPDALQFQEALLPGYLITVFLKDRIQDWMRKSKRVILEELTKNKSFELSNSMEIRKILSKHTTFVGRAIESLIKVGRANSQSMLDLPQRDGMTIQAERLNFHRYISHFRSVHRGAAFAKMRTTTVRKLLPESWGFLCPVHTPDGEPCGLLNHMTSTCRVSSCYNSEGAIKDFQKIKDKLLSELVRGGMTPLLPKIEHAGPPEILHVHLDGCIVGSIASAKIDEIVNYLRRLKLLSHPATPEDLEVGYVPLSLGGAYPGLYLFTSPARFVRPVKNLVSLPGGEPSIELIGPFEQAFMEIQCQDGGNGGRGTLFPATHEEIHPTAILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFSGQALKFRTDVKAFHLQTPQTPIVRTATYKKYHMDEFPSGTNAIVAVLSYTGYDMEDAMILNKSAVDRGMFRGDIYQTECIDLSSKRRENVPEVFAKSRLSRDTSDVIDSDGLPRVGEAVVPNEQYYSVYNTLTGAIRPVRLKGTEPATIDYVAVNGANSKGFLEKVNIRLRRKRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSEHTGMRPDLIINPHAFPSRMTIAMLIESIAAKAGSLHGKFIDATPFASSVKKEGENSSKYDSIVDELGPMLASYGFNYHGVEALYSGLFGTYMNCEIFIGPVYYQRLRHMVSDKFQVRTTGRVDQVTRQPIGGRKHGGGIRFGEMERDALLAHGASYLLHDRLHTCSDYHIADVCSLCGSLLTATVIKSETQKKSKRNMLGVNTLKPPKNFACQACQTNKGMETVAMPYVFSPDATGALGFTSYQKCSTAIRMLSYGMVADIFDEYLRMGESTCLEAMYRFCRAVIAVFGEYYCREPTVEDTRRLLSINESRGFPGMIGSIDCMHWE >cds.KYUSt_chr5.6366 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39416376:39417312:1 gene:KYUSg_chr5.6366 transcript:KYUSt_chr5.6366 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTGSMENWLQDYPALNTVPSAADHLKMIEHLHQIRAGRVVEELDITQLQPSDLPRCFPLSSKYVLGTRAKEWKAQHGLWKEKACSFSAVLGGSHSMSVGAKRTMEFYQNGTATDWVMDQYFGLVDMLAGFLVEGFLMEDKMTLCHVYQQKRKGPLNYTVYSPPRQDLLGNFVPEDYGEAVLSSLS >cds.KYUSt_chr2.46929 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293506365:293509901:-1 gene:KYUSg_chr2.46929 transcript:KYUSt_chr2.46929 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCSSPSPVSVRKPPRPPTSWSRSWGDPPDREGKPNKTSPLVHSAEEPMPTTPGAMALPLLGSPFSPSPATRPSCSSRKTCFPAARMNLRCSMEEKVPPRNTAISNHLLSCLAASLVLVAPPSQAIPADTFAQPSLCQVAVVGAIDKGAVPLKFDGPSDDGGSGMMMMKGMTAKNFDPARYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDEKSRAIQVETFCVHGSPDGYITGIRGRVQCLSAEDMASAETDLEREEMIRSKCFLRFPTLPFIPKLPYDVIATDYDNYAVVSGAKDASFIQIYSRTPNPGPEFIEKYKSYAANFGYDPSKIKDTPQDCEVSSDQLAQMMSMPGMNEALTNQFPDLKLKSSVAFDPFTSVTQTLKKLVELYFKRVIIDMVHDWTSADNDHGESMVLPETTSRCITGSVTAAHNFEVASYPLLEGMGVGKYVSSSNFSAGGHDWCIRFYLDGATEHYAGNASVYLCYLGQANHVRAKFTLTMLEKQGKVQVTDKGRQEHEFSRVHPDRGFPKFVEKSRLLGSSSLLDDDGYLTIRCVLTMIKPPRTELRKDLVVVPSVELPGHLARALRDGTEADVTFRVGGRAFDAHRTILAARSPVFQAMLFGPMMEKDTRSVEVVNVEPGIFEMLLHFIYTDSLPPCSDGGEEDHSSAAMQHLLVAADRYGMNRLKLMCQDRLCKSIDVETVTTTYVLANQHDCEHLRNACLEFISSSTEVTDAVVESEGFKHVLASWSLLEKRSGNKVAQK >cds.KYUSt_chr1.38163 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233122788:233123375:1 gene:KYUSg_chr1.38163 transcript:KYUSt_chr1.38163 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQRVKQERGSHGSASAGGRPEAQPQPHAHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTYDTPVDAALAYDRAALALRGPKARTNFGTGHGQHPYPFPHLPLQPGAGLLPPRPPTAPGMFGGGLDVARPSPWHCVYFPARRVSSTVLDFLAQPLLPVKMEDAAPALPSTVLELRTGPTVPAFDLNEPPSLLYGS >cds.KYUSt_scaffold_3611.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:111420:112862:1 gene:KYUSg_scaffold_3611.21 transcript:KYUSt_scaffold_3611.21 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVTVPGSSVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKVINVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYVFTIDDDCFVAKDPSGKDINALQQHIENLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQMVKPRERNSRYVDAVLTIPKGTLFPMCGMNLAFDRQLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLSLGVKTGLPYLWHSKASNPFVNLKKEYKGIFWQEDIIPFFQSATLSKECDTVQKCYISLSEQVREKLGKIDPYFVKLADAMVTWIEAWDELNPSAAADAENGKAVAK >cds.KYUSt_chr6.23445 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148110332:148111893:-1 gene:KYUSg_chr6.23445 transcript:KYUSt_chr6.23445 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTTASHRAQLECPSDKIPNNGMTPDAIKDKNQTHETTPKLLLFTPAPLLVQLRPAIPAERASESPVLARLHESLLLELARSPTRHGSLQRHDQQGAILVTVYLFYDLGGRRQIRNRI >cds.KYUSt_chr3.38677 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243706560:243722029:-1 gene:KYUSg_chr3.38677 transcript:KYUSt_chr3.38677 gene_biotype:protein_coding transcript_biotype:protein_coding MVARPSALDGAELCVAGQDGSNLAVTANLTAPTVVVTGRRPADPRSAAAPEHVLHCDRLELQRLCMPKFSNSTRPLSSRHKDSDAIFMKIGDASLSNDHLKCLTCDDGFLPDDVINAYIYCMRAYDHLLNRVDDKIFLPINISKTHWYLAVINAKKRLIQVLDSLGPGMGRTDLTFMIFAAGGPPATFRGWRRPPLNHAVKAHLTRQLLYPGKPRDAALFLANRGDRVLWNARGKLRVEHLECSRRWLASSARHVIHPDPPVSRSGYRFRGVTSWYQSLRFAIFAAGGPPATFRGWRRPPLNHAVKAHLTRQLLYPGKPRDAALFLANRGDRVLWNARGKLRVEHLECSRRWLASSARHVIHPDPPVSRSG >cds.KYUSt_contig_1658.161 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1002218:1003893:-1 gene:KYUSg_contig_1658.161 transcript:KYUSt_contig_1658.161 gene_biotype:protein_coding transcript_biotype:protein_coding MREPRRHRGINACRWCPARALSRSTCCSLAGQHGAEEEAAMGTGGRTRGARAPKTADPTKGFKAVSLDESNFELQRPYDEASGSRYSFDGTVRKLWVLSSDMPHTRQSHTSPRTKIRMAGYDYNSGVWQFEGHGYVPAGTSGVSIMQVFGGSETATTLMLHVIDGALRYYDRQLVEDNIYNRWFKLNVVHDVEASMLTVFIDGKEKLHVSGRGGELHYFKFGVYAHNHDSSFMESRWKGVRILNKD >cds.KYUSt_chr6.4067 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23570182:23575483:-1 gene:KYUSg_chr6.4067 transcript:KYUSt_chr6.4067 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRQYTAGASSSSFPLAVCFFPLLVAALLGLHVATGQGVPAAAPVPVSVGVILDFSSAVSLRRRTGIEMAVEDYYAAHPGSATRVELHFRDSAGDVVGATSAAVDLINNAQVQAIIGPQTSAQAEFVAHLGSRAHVPVLSYSATSPSLSPVQTPYFVRTAANDSFQAAPVAAVLAAFGWRAVAVVHEDSPYGAGILPALADALQSSGVGGAAIVNRTSVRSDASNDALDALLARLMAKPTRVFVVHATPDLAARLFQRARAAEMMSDGYVWLATDGVGSFVDRFGPDEVDAMQGVVSLRPHVEMTDRVKNFSARFRARFRRDNPGSDEDLIADSTAMRLWAYDTAWAIAVAAEAAGAVTGPAFQAPQRRPSTANTTDLDRIGVSVTGAALLRALLSTTFDGMAGKFKLVDGQLQVAAYEVVNIIGKGARTVGFWTPESGLSPELNGGGGGKTPHLKHILWPGETLSAPKGWTMSQNGRVLRVAVPVKGGFKQFVDASPNSTSDNITGYCIDVFNQVMENLSYPVLYQYEPYNESSESYEKLVNLVRDGKVDIAVGDVTITASRLEDVDFTMPFTESGWSMVVAARQDPAASMWIFLQPLTASLWLASLAFFCFTGFVVWVIEHRVNPEFRGTPTQQFGLIFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPKVADVTELQRRGQYIGYQEGTFIEPFLRKMGFDERKMKKYSTAEHYAEALSKGSAKGGVDAVFDEVPYLKLFLSQYCDGYMMQGPVYKTDGFGFVFPRGSPMVGDVSRGIMKLAEGDETARIEKKWFGDLGTCRSGPDVGSSNLSFQSFGGLFLITGVVSTLMLLLYLVIFAYREREELRAAEAEAAATASGSGSVSLRRMKAWMQHYDRKDLKSPTFKTWNEESVRNGGDFATTPFARTPRRGDASGTPRAEHAATGGTSPLSVYISSEMNAGSSPEGTPASEISESFDQRMEGSANSVEMGRPTASQLQ >cds.KYUSt_contig_1253.1115 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:7014954:7015400:-1 gene:KYUSg_contig_1253.1115 transcript:KYUSt_contig_1253.1115 gene_biotype:protein_coding transcript_biotype:protein_coding MILAAIEALNEKSGSNKSAISKHIEGKYGDMPPTHGSLLTAHLARMKESGELIFLKNNYFRADAPDAPPKRGRGRPPKDPNAPPKTPTTSPRPRGRPPKAKDPEAEADKPPKKPKTAPAPAPAADGSTPAKRGRGRPPKVRPAEPTAA >cds.KYUSt_chr1.16390 pep primary_assembly:MPB_Lper_Kyuss_1697:1:95171529:95176397:1 gene:KYUSg_chr1.16390 transcript:KYUSt_chr1.16390 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIFTSQFVCKGQLFQPNLLVRAIDFIADNEVEYAEYRNLTTLKEEGIPGTPSLVLDDVFRSVHMIDTHQAGREGGKKRTAQLCDSAYDGISSPIAAHILDFCDDGSGGGDLFAAVNASSDVFAASSEDASSSSTTATPPLCSHGGDNMSSSGAATAAANAFSPLQSLDSTLSALLEDDQPPGPDAELLLPIDYAFGADETQREQQQFSQMVLSAAAAAEHHRPALQTQMSSTASDLMQLASGYTDECFAAALAGEYMGLDDTALCQQQQPGGAMLPSALGDAATQGCYAATQGGFFGGSGCAGTVMSMMLGMEEIGEYQRMMEGAGALVDADASAQMAFPAASEMQMGSGSTGQLPASASAAGAESSSLEDTSFKAARLSVEERKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEYCESSRAIGSQNHDEYDQMIGVKGEDMLDPEALAHITGMSSYMYNHTVESWI >cds.KYUSt_chr5.33647 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213538639:213539966:-1 gene:KYUSg_chr5.33647 transcript:KYUSt_chr5.33647 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSPATTGFQNVCREIHGACDEPRCLSRLLAHRSPSERQQIKADYHTMFGEDLVARLQKTLAANQDNELCSLLYLWMLDPAERDAIMARDAIESAMTDYRVLVEIFTRRKQEQLFFTKQAYLPRFKKNLEHDLVTEPSHPYQRLLVALATSHKSHHDEASQHIAKCDARRLYDAKNASGTGLVDEATVLEMFSKRSIPQLRMAFCSYKHIYGHDFTKTLKKNLCGEFEESLRVVVKCIYSPSKYYCKLLQRSMQPPRTNKRLVTRAILGSDDVGVDEIKLAFKNNFGRNLEDFIHESLPQSDYRDFLWMWQGGQ >cds.KYUSt_scaffold_869.792 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:4921689:4928486:1 gene:KYUSg_scaffold_869.792 transcript:KYUSt_scaffold_869.792 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKTQAKDLFEMAHLELALHFSMASFKLMNSSSWLILNLHFILHHVDVLKHAFGQIFPHQKNRNGRGKHRIGILLTLFLLQYHVSSDGIENVDTDSDAIYPDPFDQLPKTSLWDRLGRVSMMDIESSNFSWSSLSSLHHTKHTSTSTEPTEDDTNRSFEVTVNSGGVVFIALFRTSEIDEVPSKEAAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHSSSCEWPQIKDAVENARHAAVAIGDELQEMICTEMQEALELSRCLFLMNYVHGSPLLESTRPFDSREFAEKTAEALGRILILDLILRNEDRLRCRPLGWRGNYANLLVADKDAYANLDSLDDVRDSAIIRYKPEIIKSPQRQKQRRAVSISGSIGSDISDLVLDDTYDPIEPEISSLRIVAIDSGVPRRPPAGKRAKDQENYPRLVELTLNNWDYSSNLLFEVSIGKLGTPGPEEFDMSSDYNHHSPLPESDMLAVVNSFRGGFRSALRDLQRFHIFLLTLYQKLDTLMKIFFNLMHKCSNESDKEEAGLSESPLCSVEAHTDISDAEIPRHMRRPSRTLSRDSFDMSSPACRESFMMKNFKANGDASRGLRLTMKLREFNKYAKVDSELSKEIEQWNDMLRIDVVKLCQDNNFNTGFFEGIDNSIAVDAYELKVRLEHLLERISLISDAASTERPSQITDFMYIGGALAARSTYTLQHLGVTHVLCLCANEIGQSESQKPCLFDYRNFSINDDENADISDVFQDASDFIDFVEHLHGKVLVHCFEGKSRSATVVLAYLMLRKNYTLLEAWNMLKKVHRRAQPNDGFARVLLDLDKRLHGRISMEWQHKRPAMKVCPICGKNAGLSSSSLKLHLQKSHRKISSGSVDSAMSLEIQKAVEAMMKAG >cds.KYUSt_chr2.6509 pep primary_assembly:MPB_Lper_Kyuss_1697:2:40589340:40590713:-1 gene:KYUSg_chr2.6509 transcript:KYUSt_chr2.6509 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGGPASKAPTADPPEMGLNRFVRFVALIERLGNALGTLAFTWATVVLLGGYSKDLRLDDDFWYATAIVFLEATRLRFPRIITLVRRALGSRQVF >cds.KYUSt_chr4.33983 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208586776:208588209:-1 gene:KYUSg_chr4.33983 transcript:KYUSt_chr4.33983 gene_biotype:protein_coding transcript_biotype:protein_coding MATRARAAPGASLWSELPPEIIGAVLCRIPSAVDRVSFRSVCRSWLAAARCYPPTVPPLPLILCPGFALASPSFTDGDALVTAAHRLPLLGTPLERDPYFGDCVGSFQDWLVCTRLYWRTPPCPWTGADGECFLVNPFSSETIHLPRPCAAHSCGKIQSSVPLCNGEGEVICTIHAPEYAMALVKVVLSAPPGTGPSSCTDKNLGSTCIAAAISRRNGEYKLAFCRPEMQSWCICEGNCIKSCVDIEFYQGKLYIVDISSGDLFAFEFEAPTDRSFPVVSRAEHCLIEKLPLMDGSDRRKYNIVQSHGKLLLVARYFTGSWDQFTGVRVYELDFSSDPWRWVEMKGLGGDSILISSSCSNSFPASRTRYHQIDHDRIYFLDPFCPNFSHQVPGNYSYRSQVYSIRDGRIYPFLIDTGPMKGVPGHPVWFCPSQ >cds.KYUSt_contig_1253.37 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:198349:202555:1 gene:KYUSg_contig_1253.37 transcript:KYUSt_contig_1253.37 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSRLLFLWRPPAHLLRRRPLNPSTKPLSTRAKALASGHSLILPGDDRSPPSFPSRRAAHLPPPDYGCGGSSGTIAAIVTSLGGGPAAVGIVRLSGTDAVAVVARVFRPARKEPELWKPRSHFVEYGFALDGDGSVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPGEFTLRAFLNGRLDLAQAENVSRLISAKSAAAADSALAGIQGGFSTLVKSLRSRCIELLTEIEARLDFEDEMPPLDPMVLLNKINSMRQEVQDALDTANYDKLLQSGLQIAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVTIHGIPVTLLDTAGIRQTDDIVEKIGVERSEAAALGADMVIMTISAVDGWTEDDTKLIEHVMLDKKSPGSAIPMVLVINKVDCSPFVAGEQFEKFSGIFRKHVHTCAVTGKGISELEKAVIEIRGLEPIPSGGRRWTVNQRQLEQLMRTQEAFTRLESSISEQLPLDFWTIDLREAALALATISGEDISEEVLSNIFSKFCIGK >cds.KYUSt_chr2.32329 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199315537:199317192:1 gene:KYUSg_chr2.32329 transcript:KYUSt_chr2.32329 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSPFTPRSPCRSPFTPRSPCRSPMAPRSPLPPRRTLPATVVDDTVDAAAVLLDKWHPEGSSSGRSLFLNSTTPDEADSFLRAAKDLHRAMLFYASGLTTKDLHGGGHGLIEAQELLDTAMRRLQLELKILLSSLPNVLHFQQDDDADDDDEIQSPDAVRETCDHLRAVAEAMLAVGYGTECVSVFKAHRRASVAAALQCLQVFSPSLQPATINKLTWDQIDPKMKSWLAGARKAFASVFVGERELCDRVFAGDNASVGDAVFSAIAEDHATSILAFAEAAVAKAKRAPERLFRMLDVHDALTETIIPAIVAAFGDGSELKARAVTLAVTKVADAARGMVASFEAAIEKEPAKATVPGGELHPLTRYVMNYLVFLADYENALAQIYSAEQFNDTSSSVGSGSGGTVGSSSTLGSGSGGTVGSSSTLGSGGGGTAGSSSLVLSASTTLRTLSLWSNPIGWLVSVLKLKLDAIAANYREAALSYLFLANNTHYMAKKVGGGTKLEAVLGEDWAETQTAKARGYMEVYVRRRAVRRPDVTLTQQLALARQRN >cds.KYUSt_chr4.49037 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303777384:303777824:-1 gene:KYUSg_chr4.49037 transcript:KYUSt_chr4.49037 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLLASASRASAALSGIMPPWLTAIFSVAASICIVTLAVFLCGRSSHSVDHDGIPKKKPAAAKAAKPVAAKAAKPAAAKAVKPAKASRAASFGTTDVSGAAYLAGVTAGLGSSGCGGGGGGGGGCGGGGGGGGGCGGGGGGGGC >cds.KYUSt_chr7.22248 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137715940:137723716:1 gene:KYUSg_chr7.22248 transcript:KYUSt_chr7.22248 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAGTGYYCSQTSWALRRLGGAALPSAAAAAPRRRTFSVSAAAGFDNQNREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAVPPYERPALTKGYLFPPEKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVEAFDGKTQTLKTSSGKILKYGSLIISTGCAAARLPEKIGGNLPGVHYIRDVADADSLVSSLGNAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYEQNGVKFVKGALIDKLDAGSDGRVSSAVLKDGSVVEADTVIVGIGAKPAVSPFEAVGVNNEVGGIEVDSMFRTSIPSIFAIGDVAAFPLKMYNRIARVEHVDHARKSAHHCIETLLTSQAKAYDYLPYFYSRVFEYEGSSRKIWWQFYGDNVGETVEVGNFDPKIATFWIDSDSRLKGVFLESGTSEEFALLPKLARSQPIVDKAKLKSASSVEDALEIASSSL >cds.KYUSt_chr3.32255 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202620419:202620774:1 gene:KYUSg_chr3.32255 transcript:KYUSt_chr3.32255 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQKRPLPPPASDDDSHGHVAVRRAATSRGGGGASHGSSSDGEPAARRSRAATRETHYLDPDAEGRRRGDGSGKESESSMSAGDEDE >cds.KYUSt_chr2.23695 pep primary_assembly:MPB_Lper_Kyuss_1697:2:144584034:144589429:1 gene:KYUSg_chr2.23695 transcript:KYUSt_chr2.23695 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLRYVSLEYAYLSSPSPAALDSANTAAFSQKPSLQQMEILNTRPARSGGSRRCRRNPWHLLKKISKKKSSEACEKLQQSSDVRDGNEVTGFLRHLMASPSWIWNVSYMAVQDLDNGVRNLKDGEIHIWSASKWITLFDDQGIPIIGKFMQPDIDEFKVRSVIEFSAFHAFIDHCIYPTPDVIDETELDTTIDSSAIDSAALDLKGKSKASDPISAEINPKVPFPSADQTHPSKMWRITYSTHKDLDRGRMKAYDGSLSRSVKDDWITLLDAKGKLIGCRYMESKDNFSVGAKLYFPMHVVRMGQSLNKTSNDTQECMAHASSTGSAPKAVVDSKEYQDSP >cds.KYUSt_chr7.39834 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247460835:247462319:-1 gene:KYUSg_chr7.39834 transcript:KYUSt_chr7.39834 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVAAVEPFTLLKCLMPQDDGLGDNNGSADVKPIKAHRRESDPAAALAAARHEFGEHGGVNMSIEASITFTVMEPDTMRRLFAGELGPERDDLYIYSRHFNPTVLALGRQIAVLEGTEAAYCTASGMSAISSVLMQLVGAGGHVVASHCLYGGTHALLSSFLPRTSGVSTTFVDTDDEAAVRAAVRPGETKVVYVETMSNPTLAVADIPMLARVAHEAGAKLVVDNTFTPLVVSPARLGADVVVHSVSKFISGGADIIAGAICGPASLVNLMMDLQDGALMLLGPTMNAKVASELAGRLPHLPLRMQEHSRRAGEFATRMRRLGLRVTYPGLPDHPHHDRLRSMGNPGYGAGGMLCVDMGTEARANRLMHHLQNSTHFGLMAVSLGYYETLMSCSGNSTSSEIAPDDRARAGISPGLIRVSVGYNGTLEQRWAQFERALALVQQEIPDAAAGKPCQAV >cds.KYUSt_chr3.46352 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291675835:291679444:1 gene:KYUSg_chr3.46352 transcript:KYUSt_chr3.46352 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEAADGPQEKSQTKRPLHLLHTIIPLSFLPGQNPRPRPTPNNYPARPLAAAAATADDGEAPPRPLRLAVLLGLLLPLRVLRLRLVPLALPLPLPLLLLPVPEPLPSRRQAQVRPPHLLPGSAPFAAVDLIYGAVFGDAARGSQRVVCCGFSMHSAAVLASVASSSPGVRKGRSPSPAPKKGSPSRKGRSPSPPPKKASPPRKSSPAPESVVLHVDHLSRNVNEAHLKEIFGNFGEVVNVELSMDRIVNLPRGYGYVEYKKRADAEKALLYMDGAQIDGNVVKLRFTLTPRQKPSSPTKPLPPPPKRDAPQNDKGAASVEKDAQQRPRERGVVAAHHHADAPLGLLGAHLGLLEDVYGRRCLPMFILHYSILVTTPKENEKPPKKATTTTSTSS >cds.KYUSt_chr1.42060 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257718827:257719378:-1 gene:KYUSg_chr1.42060 transcript:KYUSt_chr1.42060 gene_biotype:protein_coding transcript_biotype:protein_coding MHEASMAALRASSSERPGGKTDKALCGGACGRGVGVVPLLLARDFVAANNGILLLFFVILLHHRRERSGVVVLIATEKPRSTRRIPFEVAEQVPHGQVNVVRWVDKEVVAEGPLRQAISCRLSWPYFGTGGMGPPRGAWLALPIQGPSHIDREGDADARLLQRQRFGLTVVLRLPPWPSLAHG >cds.KYUSt_chr4.8965 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53838630:53840744:1 gene:KYUSg_chr4.8965 transcript:KYUSt_chr4.8965 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRQAVHGDNAARIRSPPEPHGRSMPPPRKFKSVPETISTDAGEGGDFRVGSLIGTGSFGEIYHGTNLETKEHVAIKLESLKARFPQLIYELKIYRKLAGETGIPNVKWFGIQDDFSVLVMDLLGPNLEELFDSCDRKLSLKTVLMLADQMIDRVQYVHTKSFVHRDIKPQNFLMGTGKSANLVHIIDFGIANKYMERSKHKMQHIPYRNAKICEYQQPSYDRLLTTYTMCLPWQGIEAGNHRQTHEMIKDMKIATSPEELCLGHPTEFASYLNYCRSLGFEDKPDYMYLKKMFRDLFIRQGYQYDYVYDWMIPRHYDRDYRY >cds.KYUSt_chr1.34475 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209873726:209874217:1 gene:KYUSg_chr1.34475 transcript:KYUSt_chr1.34475 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAVGREGAERLERDERIPKFASRWEIAGVRCPARPLLASCRRGPGQQDILRTRHLRLPKPKVSVDMSVAFPDLSQACSGDNLSILSALGHTDAYSGTRVLLTAADTGNVVSKGSHSVCSNMKKSQPKPSPAHKIKM >cds.KYUSt_contig_662.471 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:2578263:2581428:-1 gene:KYUSg_contig_662.471 transcript:KYUSt_contig_662.471 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGGDGGDDDEDDDDGDGDDVQLDDGDDGVDFPLREGISPADLSPPESSFLSGVLRPAEATVTLREVLPLFLFAQYTLTITISITGKPNLKWNYVAGYESAK >cds.KYUSt_chr4.8456 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50628313:50630751:-1 gene:KYUSg_chr4.8456 transcript:KYUSt_chr4.8456 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGMINANPVVHERPERAAHHAHAAAAALDALDVFDTVRDIKDPEHPYSLEQLSVLSQESVSVDEKLGHIQITFTPTVQHCSMATVIGLCLRLKLMQNFPSHFKIDIKVAPGSLANEESGVVYYDVLLSPVTLWF >cds.KYUSt_chr4.44754 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276990585:277000723:-1 gene:KYUSg_chr4.44754 transcript:KYUSt_chr4.44754 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAEDEAIGPDVASAGLHISERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLIERYNAAAGEGTALCGIFSELHRAWATVDNSFYVWRFDKWDGQCQEHHADEQAICAVGLARAKPGIFVEAIQYILVLATPVELILVGVCCSASADGTDPYAELSLQPLPEYVISTDGVTMTCITCTDKGQIFLSGRDGHIYELQYTTGSGWRKRCRKVCLTTGLGSLLSRWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKLQLFDLGASGDGPLKKITEEKNLVDPRDAPYGGRRPNAPRAARSPKPSIVCISPLSAMESKWLHAVAVLSDGKRLFISTSGGSSSSVGLNTGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFATASRSSRALRETVSALPVEGRMLCASDVFPLPDAAFIMQSLYADVECLSAFSKPSEKTSIKLWAKGDLPTQHILPRRRIVVFNTMGLMEVVFNRPVDILRKLFDGNTLRSQIEEFFNRFGAGEAAAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQLNGTTALSNTRTQAGGFSMGQVVQEAEPLFSGAYEGLCLCSSRLLYPVWELPIMVVRGLIGSNDHGDGVVVCRLSTGAMKVLESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKTGPTIGAGVRINGMSPYRIRDADTADQAALNKKPRSLYTSAELAAMEVRAIECLRRLLRRSGEALVLLQLICQHNVARLVQTLGNDLRRKLVQLTFHQLVCSEDGDQLAMRLISSLMEYYIGPEGRGTVEDISTKLREGCPSYFNESDYKYYSAVENLEKASITDNNEERDVLAREAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQRAQALDSNAGVINGQIDARHHDSITAQRVQCYEIVMNALRTLKGAGRSGKPGPMIALDPASRSKCIKQIIQLSVQWPDTAFHEHLYRTLIELGLDNELLEYGGSDLVAFLQSAGRKHQEEVRGAPPRLDDLGAPISTTQTKYLELLARYYVLKGEHIAAARMLLILAERQCSNAEEAPALDQRYQYLSNAVLQAKSAGITADSSRNPIDSSTVDLLEGKLAVLQFQMQIKQELESMALRLESVPSSSESPSDPFPRDNIVADLESAKDAKDKAKELSLNLKSITQLYNDYAVPFNLWEVCLEMLNFANYSGDADSKIAREIWARLLDQTLTRGGVAEACSVVKRVGSKLDPADGACLPLDIICLHLEKAALDRLSSGEELIGDEDVARALLGACKGVAEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLVILREWGMSVIAHKLGTTTAGASFFLDGTFSLNQTGSSKQGVRDKIISLANRYMTEVRRLNLPQNQTDNVYRGFRDLEEKLLSPY >cds.KYUSt_chr4.22086 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138866318:138867712:-1 gene:KYUSg_chr4.22086 transcript:KYUSt_chr4.22086 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRATVPTRDQRRSAVGRSGGLAALSAGLSRVLADKHPDSNIVFSPLSIYTALALVAAGARGPTLEEILRFLGARSREELDEFVGATRDALQDRSGSGGPRFAFACGVWSDLSCSLKPGFREAVVDGAYKVEASTVDFRGDAEGSRRLINEWAARATNGLIDSVLSEGSVTPHTRVVLGNAVYFKGKWEEPFSKGDTEKAPFRRLRGGAVDVPFMHNGKQQFVAVHAGFKVLKLRYKMLDAFLTRDTKKPAPFFPRRAPLVNPDGYIRAPPTSRHESNSSPSTASINNNGSSNNTQNIISNSTQFSMCIFLPDADDGLRSLIDAIASRPGFLHEHMPRRKIKVRDFRVPRFKLSFHESVVDNLKELGLQLPFSPKADLSDMTEDDESGFRQGLNNVIHKAVIEVNEEGTEAAAVTMVCINPTASATPQPWVDFVADHPFAYFIVEEETGAVVFAGHVLDPSKV >cds.KYUSt_chr3.42374 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267864767:267867142:-1 gene:KYUSg_chr3.42374 transcript:KYUSt_chr3.42374 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTTGLISIANGIPCPGWISEDDFFLFGGRRMEEDGEAEREAIAGRMRGGDYTGARTLLLQTLQTNPRLDGAVEMLAALEVLCAANRPPSPLTANRPPSPLNWYRVLQALPGDDAARIEARYRTLLDQLEPVRDSLPGADMALGLVSDAYEVLSDPEKRARFDSANANTSSVGGSVKGLVIGAPSNAHFDLRMHAEGAALFSGIDEPAPSGANPEVDRLNSFYREYVQPADRPCAEVGNGSDIASSSKTKSTDSFFLGDEDELLLPEENHVDKKQKSVCEKDVCCESPSQVDLDDCFADPSDAEQQDDHHCFDQHYDYHNFEDDRSIEHFTSGQIWAAYDWEKLPRRYALINKILTDKMQLYVSWFKPCPQSHEENKWLTAGLPFVCGTFVVDERQISLSCPSMFSHEISGDYLNQHLEVYPRNGEVWAIYSDWDIGWCSDPEMRRKSAFSVVEILTSYSTDSGCTVAPLVRVDGCRSVFQRYRSGREQLLQFSSENLLMFSHRIPSFRFTHEVGTALELEHSAVPKNLQHVNTLAPLSPLSGLHNDTNGFHEASVAQFSYPSTSIPQQQGMMNYNNKLSAEDFVEGQIWAVYDARDRMPRSYVRIIRVVSQAAVFVLNLEPHPMLNEEIRWVEDGLPVACGVFRAGTETSYKDMSDFSHPVQCDWSSRRSFYRIFPKKGEIWAMYKNWEITLDSTDIDNCEPRMVEILSDYTDENGVNACSLTRVKGCLSFFQRVLLEDFHLTRWISRSEMLSFSHLVPAFVVIEIKERDIPQGSWHLEPSALPLRTIH >cds.KYUSt_chr3.19810 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121970544:121971531:-1 gene:KYUSg_chr3.19810 transcript:KYUSt_chr3.19810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitinase 10 [Source: Projected from Oryza sativa (Os01g0287600)] MAPYHSRSSARDLRSVLAVAVFLTAGACAGVVEARYGAGQCSPVAAIVSEELYSSLFLHKDDAACPAKGFYTYASFIRATRKFPKFGGAGDLVTRKREIAAFLAQISHETTGGWATAPDGPYSWGLCFKEEISPQSSYCDATDKQWPCYPGKSYHGRGPIQLSWNFNYGPAGQALGFDGLRNPEQVANCSDTAFQTALWFWMTPRQPKPSCHQVMVGEYCPSAADATANRTAGFGLVTNIVNGGLECNITNDARVNSRIGFYRRYCQVLGVDVGSNLDCAHQLPYS >cds.KYUSt_chr5.41791 pep primary_assembly:MPB_Lper_Kyuss_1697:5:263566418:263569699:1 gene:KYUSg_chr5.41791 transcript:KYUSt_chr5.41791 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPPAPATADDWRHALARVVPAITVIHVTMPRAFDTEIAGAGAATGFVVDKARGIILTNRHVVSPGPVVAEAMFSNREEIPVYPLYRDPVHDFGFFRYNPNAIQFLEYDEIPLAPEAASVGLDIRVVGNDSGEKVSILAGTLARLDREAPVYAKDGYNDFNTFYMQAASGTKGGSSGSPVIDCQGRAVALNTGGRASSAIAFFLPLECVVRALNLIRHHWVAFGSKPESVYIPRGTLQVTFEHKGFEETRRIGLRNDTEKMVRLDSPAGVTGMLVVHSVVPDGPAHKLLQPGDVLVRMNGELVTQFLTLETFLDGSIGSEIGLQIERSGITLTIKLKVEDLHSITPNHFLEVGGAVIHPLSYQQARNFGFKCGLVYVAEAG >cds.KYUSt_chr3.42165 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266433635:266436591:-1 gene:KYUSg_chr3.42165 transcript:KYUSt_chr3.42165 gene_biotype:protein_coding transcript_biotype:protein_coding MNGANAGAVPGGAGGEAPLVYQAWKGNNVFFLQGRFIFGPDARSLFVTMFLIIAPVSIFCVFVAKGLMNDFSYGLGLPVMVAAVVFTAYDMSLLLLTSARDPGIIPRNAHPPEPEGFEGNAEVGANQTPPLRLPRVKDVVVNGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIIKIRNAEQITIWKAMAKTPASIALVVYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKEIFFTPIPVSRNNFGARVPQEQGLRPRSTNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAAEIGDLGGLGNLLEDKDGRFRNASPDLSRDALAVGGLDEQGSSAMNPPRTSWGVEAGR >cds.KYUSt_chr2.28515 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175025130:175025708:1 gene:KYUSg_chr2.28515 transcript:KYUSt_chr2.28515 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVLLVAAAAIAATFLLAPASAEVFTVGDAAGWTLKYPATWTHGKTFVVGDSLTFMYPSDKHNVMEVTGADFKACNVTGNALGTWNSGSDTVPLAKAGRRWFVCGVGNHCAQGMKFLVVTADSTAQSPAAPPSSSASFISGAVSQAIAAACAVAAAAFMF >cds.KYUSt_chr4.5653 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32802871:32805442:-1 gene:KYUSg_chr4.5653 transcript:KYUSt_chr4.5653 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGFSISLILINLASIMERADENLLPAVYKEVSAAFDAGPTDLGYLTFIMNFLKSIASPLAGVLALQYDRPTILAIGTVFWAISTGAVGVSQYFQQVAFWRGVNGVGLAIVIPSLQSFIADSYKDGTRGAGFGLLALIGSIGGIGGSILATIMAGRDYWGLPGWRFAFIVVAFVSLLIGLLVYFYTVDPRKVSPSNFGDEDSHERSRLVGNTSIFPPQSIWKDSWITARSVMKVRTFQIIVLQGIVGSLPWTAVVFFTMWFELIGFDNKSSAGLNSLFAIGCASGSFLGGVIADRLSRRYPDSGRIMCAQFSAFMGIPFSWILLTLIPQSVDYWYSYAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGMVTEKIYGYNSKTVNLENGSVAGAYALSRGLLTMMIVPFGMCFLFYTPLYFVFKRDRDNARLAASAKELELM >cds.KYUSt_chr2.51348 pep primary_assembly:MPB_Lper_Kyuss_1697:2:321055359:321055982:-1 gene:KYUSg_chr2.51348 transcript:KYUSt_chr2.51348 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVLKLDLHDDKHKQKALKLVSGLHGIDQITVDMKDQKMTVIGTVDPVDVVAKLRSKLFPTAHILSVGPAKEEKKDYKKDAAAGGDKKDDKKDGAGANKTQQVMPVYPHHWYPPPPQPRYVVHSAEDDPNSCVIC >cds.KYUSt_chr3.43090 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272368637:272370285:-1 gene:KYUSg_chr3.43090 transcript:KYUSt_chr3.43090 gene_biotype:protein_coding transcript_biotype:protein_coding MELESLPLLGFLVSLIFLLLFKHVVSICTCTAPGKPRLPPGPWKLPLLGSLHHVLLSRYSNLPHRALRELSGIHGPLMLLRFGVVPTLVVSSADAAREVLKTHDTAFASRHLSPTLAVFSRGGQDILFSPYGDLWRQLRRVCVLELLSTRRVQSLRHIREDETAGLVRSLADECARTGGVGAVVVDIAERMSHVINNVVVRSAIGSRCPRRDEFVREVDESVKLTAGFNLADLFPSSTLAHWLSGGLRKAERCNQQMCDIMKDIILERTTTAGAHGRSDGEEDILSVLLRVQSDGGVQCALTTEIITTVILEIFAAGSGTSSTTLEWAMSELVMNPHLLRRAQAEVRGAFKGQSKITEGDVGKLSYLHLVIRETLRLHAPVPFLLPRQCRERCEVMGYDIPEGTKVLVNTWAMCRDGAYWEDAEEFKPQRFEASTAMDFKGGDFEFIPFGAGRRMCPGVALGLANIELVLASLLYHFDWELPSGDELDMSESFGITIRRKSKLVLRATQRVFH >cds.KYUSt_chr6.7405 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45008287:45008574:-1 gene:KYUSg_chr6.7405 transcript:KYUSt_chr6.7405 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRSDDDIAEDYQEDNEEDEYEGAEEAEEEDEEPEEDEEEDEEELDDDDEEEDVEPDNDDEHNEHDDEEEKPKIIQNKRANDIDDEEGQSKKKK >cds.KYUSt_chr3.31453 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197573085:197573684:-1 gene:KYUSg_chr3.31453 transcript:KYUSt_chr3.31453 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVRISRHADIQALQKRSDQKLVFVDLVSLESVGIASDSCALLVNLIQRRDWQCPNLLERRYYCGQMDLLLPMAGVALELHKIKDDLYPLVSEEAKLEGGFLKDLRLLKNSGVTLVRLAKEAKEIVEEDDDEDEEDEEDVVRLLGQVKDLGKEVKDTADLVLKGTHNVAWLRAHLPSVLDQVDLLLSTPIRFSDPDEE >cds.KYUSt_chr4.10228 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61884109:61887827:1 gene:KYUSg_chr4.10228 transcript:KYUSt_chr4.10228 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAVEEAEVSGAAEFAPALVAAHPFGHSVAVAVGPDLRVFDLQGGCAVSLTDDSGGPAHSDAIRAITFGAGGDLFASAGDDKLVKVWKTRSWRCIRTITSEKRVSAVAFSNDGLYVAFADKFGVIWLVTLGEDDEGQVSADSKPVSIFGHYCSIITSMKFSPDGRFIATADRDFKIRVTSFPKNPMKGATEIQSFCLGHTEFVSCIAFTRISGGSCFLLSGGGDSTVRLWDHINGCLLDTYDVRDNVGELSEPNESEDSNLAIGNICLTNNNSMVAVAIQSLNGLMLLACDLVAKKLSFLKVIRTEKSYIPTSLASRCWEGLLWTVMGASNMPNQSASQLLTRVRIIPLIQKDVEASAGHDFAALDDAEVPHGEKLLLALQGSLDSSKQEEVLAAVLAALKVSMHKMLVKKNYSEERREQRKRGRNDKKIKN >cds.KYUSt_chr5.4540 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29036717:29041928:1 gene:KYUSg_chr5.4540 transcript:KYUSt_chr5.4540 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSLKEVIAAKSIEEARNTVSIRKTKNGDDNVELHYNVAAGCGRLSERDTVNSVDPMTTRKDIGGSQHAVSQHGRGLAMDSESFAMQHLLPFRRTSPVWARIEAMEISNEMPQRPNLNEFQQHGPEVREGMTLGLMLSFATLAKSIYRLDVHQDDIGGVFKEKNQALSLLEENGFDVRAPVSRLEALLRT >cds.KYUSt_contig_2278.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:120865:124514:1 gene:KYUSg_contig_2278.10 transcript:KYUSt_contig_2278.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSRSDDERRYPKHGGGGGGGNDAGAGGRAAAASSSAGARGRDERPMAAPRVPAGADKGRAKGNAGTKELSVLRDANGNALAAQTFTFRQLTAATRNFRDDCFIGEGGFGRVYQGRLDGGQVVAIKQLNRDGNQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPEKEPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLCDDFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDGTRTHAEQNLVSWARPLFSDRRKLPKMADPGLQGRYPTRGLYQALAVASMCIQSEAASRPVIADVVTALSYLASQTYDPNAAHASKKAGGDQRNRVGDSGRALLKNDEVGSSGHKSDKDDSPREQPPPGILSDRERMVAEAKMWGANVREKNRAAANAQGSQDSPTGTG >cds.KYUSt_chr2.38703 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239868757:239869697:1 gene:KYUSg_chr2.38703 transcript:KYUSt_chr2.38703 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAGRRVEVANLLSLGDDLIGVLLDRKDGESLAQAGEGALMLRSACRSDSGDLELQVKVFVVNTALSGELDNLDLQRASIEERKDAVKKKEKDLLKAQ >cds.KYUSt_chr3.11767 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70118737:70119368:-1 gene:KYUSg_chr3.11767 transcript:KYUSt_chr3.11767 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGPCDGQRKAMRAPSRNKGTSSRGPKWSSKEDECLAGAWKVVSMDLLTCVNRNSDTHWARVKVPLNECKPIDSDFNTMRMDNNESSMSHRWDIIQQVCNRCHGIRTQVSNQADSGTSIADQDNGDVEVKFINIFERIETYEKWAEWRAKLAKGQVRLIRPNCGGIGGLKGALH >cds.KYUSt_chr4.34098 pep primary_assembly:MPB_Lper_Kyuss_1697:4:209123611:209125038:-1 gene:KYUSg_chr4.34098 transcript:KYUSt_chr4.34098 gene_biotype:protein_coding transcript_biotype:protein_coding MVEANGVCVGTAESRRPPHVAMLVTPGMGHLIPLAELAKRLAARHGVTATLITFASTASATQRAFLASLPPTISALSLPPVDLSDLAPDDAIETLMSEECVRLVPALTAVLSELRETTRLVAFVADLFGADSFDAAVAAGVPRRYLFFPTNLLGLTLIMHLPELDATVPGEFRDLAEPIRLPGCVPIPGKDILAPLQDKSNPSYRWMVHHGKRYLDADAILVNSFDAVEPDAIAALRVPEPGRPPVYNIGPLIQTNAASPAPRAACLDWLDRQPPKSVIFVSFGSGGSLPREQMHELALGLELSGQRFLWVVRSPSDEGALNANYYDAESKKDPLAYLPDGFVERTKDVGLLVPSWAPQIKVLAHQATGGFLVHCGWNSVLEGLVHGVPMVAWPLFAEQRQNAVMLADGIGAAIRMPETKKKDEIANTVREIMVGKGKGAEVRAKVLELQKAAEEGLAEGGAATVALDEVVRRWI >cds.KYUSt_chr1.19483 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114402634:114405681:1 gene:KYUSg_chr1.19483 transcript:KYUSt_chr1.19483 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRRAVAQRFAAAPQATYGMRRFTQERPAFRPTMPPDAGFLPLADRIRDHLGVSFPRINLDGLVPPAAPPAPAPAPAREEARADVGSLTVAEARKVLRATQMEAARARVRASREGAVPYADFLSLCCDAAGADAGPSVARALDESGSVIVLGKTVFLRPEMVVKAIEKVIPMPRAPAIAENDPAREELKAMEAVKADIDRRATLQVRRELWGGLAALALQTAGFMRLTFWELSWDVMEPICFFVTSTYFMAGYAFFLRTKREPSFEGFFQSRFLVKQKRLMKARDFDLRRYSELRRACGLPSLQAQSSPCEFAQDSNCHSYCQCH >cds.KYUSt_chr3.47514 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298019160:298021232:-1 gene:KYUSg_chr3.47514 transcript:KYUSt_chr3.47514 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELFRLNSVAEASPAPPAPPAAAVQLAAAALAHRRSDPSNRGRMARARARPPPGPATATQRPRSRDAPATAVLAPAPSSSCDEAAESTLLPAAASSAPAEQALQPRCCPSAASSVEFVLVSPMPELAALQPTTKLASSSTALTAPVAASLEDNTRLTRKICSAPEPLLAGMASPLPRLRHRSVMAAAPPTGPRPPSGLLCDPAVLVEGLGSLSLSPVASGDPASDALLAPSLLCAASLGSDVADDDEELAQRSPLVSTKSIVSSSVCVIADVLHDEEALAVPCERLSDVVVDALGEEEDGVQVGRGGRPGREPSSLHRKEGLERSLAFKRWARGRCFRCHERDHQVSACRAPFMCIRCRQPGHRERFCRARFPAARSCSPDTRTRSPDAHAPCQRSHSPSAQPRRTSESQSWAEVVCSSSSPATSPPVPPPRCCEEFNVNANLDSRFQCQFALLRMELAQLVADRVEEASRPLREEVTSLKLLLARVGNCLEPTEACSSGGQELATMQDSVPLDSAMQKSFVVDEEHLYSSFSPCGSPCQSPQLVVPAPSMSKGIDGILDPMLQITPELHELCEDSSVVLPLVLGSLKALAVAMTPSPPQSEPCQSLASLDCGGVLAASSDALFAKELCGLLASLEAASPGYGKDIDCILAGKASENMIRKVEKSLKKVSIRGKRRKRAIARKASAAD >cds.KYUSt_chr5.31926 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202456952:202457389:-1 gene:KYUSg_chr5.31926 transcript:KYUSt_chr5.31926 gene_biotype:protein_coding transcript_biotype:protein_coding MVERQPLQPCVVATNQGRPQDLRRISTAPLSLYPMDERRPLHPRVTATSHPHGSSYLHQAFMLTRRLYSLAGVGSHLYAPSGLVPGGVAVDSGELRRGEQRAGPDCFFWFYLEVLNAICKGWRVIFLFLFALICKMYYTADDMKP >cds.KYUSt_chr7.9619 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58867033:58867599:-1 gene:KYUSg_chr7.9619 transcript:KYUSt_chr7.9619 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLLASPLPLLAHGSASKPVLLRTRHRRSHVPSAAPDGNSGETSTAASEPATDTQPKPPSNSSPSSVKNRLAARNQARRAPEMVYLPPVEVRMMRGKTKPKASEAAAPRREKQRKKKGWDEMSLPEKAVELYVGEKGALFWLNKFAYASIFIMAGAWILFRFVGPLTGLYQLDAPPLAPTDVLRGS >cds.KYUSt_chr4.23621 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148755007:148760294:1 gene:KYUSg_chr4.23621 transcript:KYUSt_chr4.23621 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDHFVLVHGEGHGGWCWFKLRWLLEGSGYRVTCIDLAGGGVDPTDPNTVRTFEQYDKPLLDLISTLPEGEKVILMGHGIGGLSVIHAMHEFVDRVKQAIFVAAAMLPFGLQTDEDKKDGLPSLPDNEIKSTFGAGADDPPTTVALRLEFQRDRLSQQSPEEDSILTSMLMRPWPVSAIGTASFEGDDERLNRVKRVFIKTERDHMLDPQQQDSMIKKWPPSEVLVIDTDHSPFFSAPEQLFNLIVKSL >cds.KYUSt_chr6.7719 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47386872:47387393:-1 gene:KYUSg_chr6.7719 transcript:KYUSt_chr6.7719 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPDHGGGADEAEAAFFARRSRRCCCFPCWPSTSSSLSHQRIGGGAAAAAEEESWWQGGVDALLKVREWSELAAGPRWKTFIRRFGRNGNGPRPHGNFGRKLNYDALSYALNFDEGPGATPEPGDHAAFRDFSSRFAAPPASAKSSMDLGGRDAPSLFATPPSNADGADRRS >cds.KYUSt_chr6.4051 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23447852:23448803:1 gene:KYUSg_chr6.4051 transcript:KYUSt_chr6.4051 gene_biotype:protein_coding transcript_biotype:protein_coding MRPELWLDFPFLPDDADSDDFDMTDAQQDHALAVESVAPELADLRIELCPGHISEGCFWKIYFVLLHPKLTKEAADLLSTPQCFLHNSNASTQTLFQYDIKNIYWGNVL >cds.KYUSt_chr2.48161 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301199886:301202069:1 gene:KYUSg_chr2.48161 transcript:KYUSt_chr2.48161 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTPPAGRGLRLEEEERPSMERVFEGEPVPSRSETITLRSVAVSIALGCMLSIVAMKLALTSGFAPSLAIPAGLLGSFLPRVWIRLLDSCESSQLRFTRQENTVIQTCVVACTSIAFSGGFGTYILAMSSSAAEGSIGNDANNVEEPNVGRMVVFLLLTSFAGMFAIMPFRNSLIIHHRLTFPSGTATAHLINTMHTPQGAKQNSKQVAMLLKTFCGIVAWSIFQWFFAGGQNCGFRTIPTFGIMAYRLGFSFNFSMTNVGVGMFCPYKITISMLAGSLISWGLIWPYIETKAGDWYPKGLDNDNISGINGYRVFIGISMILADGLLHMLSILIRTLYTVSKQEDNPQPMQNAGGQPFQCLNDVLDRTTHSFDDRRRMQVFLRDRIPSMAPIMGYVFMSVISTVVIPHLYTQLRYHHVAFAYIIAPLFAFCNAYGNGITDLNIATTYGKIAMLIFSSWVGLKDGGVVAGLTACAIIASNVSTASDLMQDYRTGYITLTSPHTISISQIAGTALGCVINPVIFWVYYKVFNAGAHDDSNSIGPYAKVYRGIAMLGMTENGLPTHTMLLCKVFFALALSVSVLREVAACRGWRVRRFIPSTIAMAVAFFAPPTITIAMVMGSAVIYLWGRSDRNDMQMMSPAVACGLICGDGFGSLVSLMLTLLKATPPICVMFLSREVNVSLDAFLAAKATSS >cds.KYUSt_chr4.43952 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272418372:272421791:-1 gene:KYUSg_chr4.43952 transcript:KYUSt_chr4.43952 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGVGRCILVGLHMDAAGKDVLQWALDKAARSGDRVVAVHIYRKSGDLCKTNALTLIRTLDEYLAEYEAICSEKDIVLVGRVTPGSSIQKVLVKEAKLCAATAVVIGANKKYSFGGSTCLAKYCARKLPATTSVVAIQSGKAIFVREAPKPPLGAEPKPVLRTLLHPSVGMEPKVIIPNPNRSARSVDFDALSCGHCAAPPPAKPCDDKAAAVVEQRLGWPLLRRTASGVALPKQGEQESRKQSVVHWVMSLPRRPSPSASPEPPLEGLAADLRRLLAGVPSRCRWFRYEELYDSTNHFSPGKLSHKQTPLPNSNPPWHVRRRSIYRKHQTISVAAENLVGKGAHSRVYRGSLASGQQVAIKLCRASAEASKDFLREVDIITKLQHQRIVPLVGVCVQGRNLVSVYRYLPRGSLEDNLHGKKSKSKPTLPWEKRYRAAVGVAEALSYVHSGSSRPVIHRDVKSSNILLTDDFEPQLSDFGLAIWAPSGLSSLTHSDVVGTFGYLAPEYFMYGKVTDKVDVYAFGVVLLELLTGRKPISGDGSPKGHQSLVMWATPILNGGDISDLLDPSLDVKHDEAEVRRMALAASLCLGRSARLRPRISQVLSMLRGEEDTATIAAAEPDCVVDDETYPAANVTSHLGLALLDVEDAESISSTEHSNLSPLEEYLRERCSRSSSFD >cds.KYUSt_chr7.34074 pep primary_assembly:MPB_Lper_Kyuss_1697:7:212859950:212860417:-1 gene:KYUSg_chr7.34074 transcript:KYUSt_chr7.34074 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGKLGKAKEADAARCRRHPSHRHAAGVCPFCLSDRLSRLSAAAKAANDASASSSASSPRSSGGESVASAPPPCRETRRARLGMLMQQEEAAAGDRHGGQEKAPVDAEEKKPAKRSNFWARLQQGRSWYRRDGCSLAHSKAAGHKTAKRAPLF >cds.KYUSt_chr2.34284 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211793604:211797710:1 gene:KYUSg_chr2.34284 transcript:KYUSt_chr2.34284 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQSPRPLPHRRAPEREGSHNYDIERMDGAGEGEFWQNASSDALLRYDDRQGSAREPMLRKRSLNTTSQIAVVGANVCPIESLDYEVVENDFFKQDWRSRKKKQIFQYIVMKWTLVLLIGLFTGLIGFFNNLAVENIAGLKLLHTSELMLNERYVAAFFVYAGSSLVLAASAAALCAYVAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFILGKEGPMVHTGACIANLLGQGGSRKYNLTWNWLRYFKNDRDRRDLITCGCAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRAFFTTAVVAVVLRALIEFCRSGKCGLFGQGGLIMFDLSSTVAAYSASDLIAIILLGIIGGIFGGIFNFLLDKILRVYSIINERGAPSKILLTVTISIITSLCCFGLPLLAECTQCPVDAVEQCPTVGRSGNYKNFQCPPGYYNGLASLFFNTNDDAIRNLFSNGTELEFHMYSLIIFFIAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGSISNLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLQMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPFMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVANIVIALRITGHNGFPVVDEPPLTETPELVGLVIRSHLLVLLKSKMFMKEPDKTSGSFVMNKFGAFDFAKAGSGKGLKIEELYFTDEEMQMYVDLHAIANTSPYTVVETMSLAKAAILFRELGLRHLLVVPKTPDVSPSSLAFSRYFEMHSLTC >cds.KYUSt_chr4.15995 pep primary_assembly:MPB_Lper_Kyuss_1697:4:99020755:99024230:-1 gene:KYUSg_chr4.15995 transcript:KYUSt_chr4.15995 gene_biotype:protein_coding transcript_biotype:protein_coding MRDETPTKNRLSWSKTIVRKWFNIKTKAKDFHSDYGVEEVGMQWRTSFSERDVCKAKKSRTERLPRKSFDRDCRVGNGIDRAYITNTQDYRVFAGTWNVGGRSPSSHLNLEDWLHTSPAADIYVIGFQEIVPLNAGNVLLNEDNGPAKKWVSLVRNTLNNLDLQGSVAYNYHTPSPVPNPIAELNVDFERSSRRRNSSFFHRRSFQSFSRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMRCGGSSDDENMDEESPGTTFFSPMPCGYGAPLSTDDNNRRLINPKYCLVASKQMVGVFLMVWVRSDIRDHVKNLKVSCVGRGLMGYLGNKDDLRRSAQF >cds.KYUSt_chr3.4938 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28048240:28049004:-1 gene:KYUSg_chr3.4938 transcript:KYUSt_chr3.4938 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDSDDDDENRDADFVILEQPHVEHVHDNQVNQRQVGLEAGLEHVLATQTQASVTSVEANQRNGAGPSTNKPRKRKSNPKMKPKSTGDALVGVIDRFVNIKEKEVNNEAAQQFTISKCIAALRTLEGFDPAKKPKAFVVFKSVDNREIFFSSVDDNDGSALAWLNGEMAMHYRNGRIRRRPAALGVATPGPRHRLRRRQPSGPRTCQIRFRGIASHPGDHARAKYGLVPTNYVVSQTVSYSFALKAIELRT >cds.KYUSt_chr1.19108 pep primary_assembly:MPB_Lper_Kyuss_1697:1:112228737:112229351:1 gene:KYUSg_chr1.19108 transcript:KYUSt_chr1.19108 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDTKLADAENPAATKFSDDSDVDFAGRANWLRAAVLGANDGLVSTASLMLGVSAVKHDVRAMVVSGFAGLLAGACSMAIGEYVSVCSQRDVELAQLDRDGKRGGDEERALPSPVQAAVASALAFSVGALLPLLAAGFIVGYRLRVAVVVAVATMALAAFGYVGAVLGRVPVARSCARVVVGGLAAMGVTFGLMRLFRELAE >cds.KYUSt_chr6.31141 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197369237:197372861:-1 gene:KYUSg_chr6.31141 transcript:KYUSt_chr6.31141 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAVADDEDDYEEYIPVSKRRATDAVRFRLAAPLPPPPPLSTGDKTSLLVTHAQSKHSAPELTATERLIQQEKELLEDLSSTAKPLVPVGQAARGITYTSPLRTGWKPPLRLRRMPRAEADELRRRWHILVEGDDGLVPPPARDFRRDLRLPDPVLRVLRDKGIVRPTPIQVQGLPVALSGRDMIGIAFTGSGKTLVFVLPLVMLALQEETLMPVVPGEGPFGMVICPSRELAKQTYDVIETFLAPLRQAGFPEIRPMLCIGGVDMRTQLDVLNKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVIDHLKSQRQTLLFSATMPEKIQNFAKSALVKPVTVNVGRAGAANLDVIQEVEYVKEEARIIYLLECLQKTPPPVLIFCENKTDVDYIHEYLLLKGVEAVAIHGGKDQEERQNAIEFFKSGKKDVLVGTDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGVATTFINRNQTETTLLDLKHLLKEAKQRIPPVLAELVDPLADAEAIAQESGVKGCQTCGGLGHRIADCPKRERQNSMAMAASRRDQYGGGGYRGEM >cds.KYUSt_chr3.34164 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214279686:214281197:-1 gene:KYUSg_chr3.34164 transcript:KYUSt_chr3.34164 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNGVKVSDEEVTSHQRDQSTGGAEGGDCEDQTAPLARQSSILALTLDELQNSLCEPGRNFGSMNMDEFMNNIWNAEEFQAATGACAAPEMEVAAVAGAGGMAGAGDAGGSGLCRQGSFALPQPLCQKTVEEVWAEINKEAPAHAAHAHPQQALPPPPVQPPVGNGVGVAVNDRQGTLGEMTLEQFLVKAGVVRGSLGGAQAPPMPVGMVHGPMNPMQQGQQPGPMMYQVAPVNAMYPGMGDGMGFVPNGYAGMAVVPPPPPSQGGVGIVSPGSSDGRSAMTQADMMNCMGSGAMMVENGPRKRCAPEDRPGEKTVERRHRRMIKNRESAARSRARKQAYTVELEAELNHLKEENARLKAEETKILLAKKQMLLEKMMEQSRENAKAKKGGTRPRRSGSCIW >cds.KYUSt_chr2.39628 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245825005:245826092:-1 gene:KYUSg_chr2.39628 transcript:KYUSt_chr2.39628 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYVTEWSNATADSQQHPSKKKKKKSSIGRESKETTEHDGMDRNMTGLLIGCVGAAMTLLAYQQTVVTSTQCMGGGLLVLVGALCIKEGFFSF >cds.KYUSt_chr3.39317 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247662342:247662647:1 gene:KYUSg_chr3.39317 transcript:KYUSt_chr3.39317 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDYDVNGVWKVTEIALKCTAQASTQRPAMADVVAQAAECIDLEAGRAHGLHTGGNSGEDSSWNYNAYTSAQSADMSNDTTFETELRMPTVVTGPGPAAR >cds.KYUSt_chr4.25445 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159859774:159862290:-1 gene:KYUSg_chr4.25445 transcript:KYUSt_chr4.25445 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRRPVLQYASSDDDDDHANAAPAPAPRRPAAPEEEEWNGGDPGQKADADDDDEDGPVAVPVGDPVEVLGDEKQYAAFQYEGNVYKLEDSAMFSPEEKDQKPYVGIIKEINESDGSLKVTAQWFYRPEEALKEGGGDPRELFYSFHLDDVGAESVMHTCVVHFIPQHKQVPSKKEHPGFIVQQVYDHKEDKFHQLTDTDYDDDNQLEIDLLVFKTMDRIGELLDRDPQDIPLDKSDNLPTRGRKKGPLKPKDVPRDAPAGRTEQLIREDTAGNDNLKNHATPFRYKAATGNKHRDHWLEKYVKSILALPHSRNDNENSYAPKEVVSIVASLERSAYEAFHPEFEKYNQKMRSLLFNIEV >cds.KYUSt_chr7.40669 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252396470:252406143:-1 gene:KYUSg_chr7.40669 transcript:KYUSt_chr7.40669 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAGPSRAFQLRLNPLTGDSEWLVVDEEVDGEGAAPPLKTPAAQRQLLAATSYLDMLNDAARNRAYRRAIEAAVTDPAARVLDIGAGTGLLSMMSARALGNVRSEASGSVSACESYLPMGKLLRKVLRTNGMENKVKVFHKRSDELEVGVELDSPADILVSEILDSELLGEGLIPTLQQAHDMLLVKNPKTVPYRATTYGQLVDSTFLWKMHDLHNNEANAADGVWLTPDGMENIVSVKLQQHAMQCDPLQDEIRLLSEPFKVFEFDFSERPDSHRETKITIKTTGDGCVHAIISWWVLQLDSAGSIFYSTAPRWARQSSIEDLPKCVNDTNDWCDHWKHCVWFTQGKGAPVMKDQIVSLRASHNLTSISYQLLNTNDEACNRSLKGDQLALLPERVALYGDRYWRSALITVVKNALRDRSSQTCIVADDSIFLALLVSSLSPSSKVIAMFPGLRDKGAAYLQAVADANNFSMDQIQVIGRRVASVTADDLKHKKINLLMGEPFYYGGEGMLPWQNLRFWNARTLLDPMLSKDALIVPCKGILKLCAMSLPDLWRSRCSLKDVEGFDHSVVNETLGACGDLPGEQQGPCLPYYVWQCGHTKKLSKVYSLMDFNFFEPIHSCFGKTKIEFADAGTCHGFALWIDWVLDEKNSTVLSTGPDSRYWKQGVQLLSKPVEVNPADSAVHVEASFDPASGDLTFSSLFS >cds.KYUSt_contig_1181.326 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2230319:2230999:-1 gene:KYUSg_contig_1181.326 transcript:KYUSt_contig_1181.326 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTLRHSEHFQWPSMRLAYAFWICLVAWTVYVWEISRGCEQAPSQGGRDMVRGAFFDIVCVSHDYHTAFIKQSPVSYDNYPDQASYFDTICRDIIYYYGGYHIDSSKANDVYIPWDPGKLVPYYHPKLRLGDKPDFKERGMLGTYLYTTDTGNWVGLLGWHMGLVQHRPIGDTSYIYWEGCNAQAIQVGSGETAAAPSSHLGSSFPSPFMLFILYQIAIRILAVC >cds.KYUSt_chr5.846 pep primary_assembly:MPB_Lper_Kyuss_1697:5:5814200:5815492:-1 gene:KYUSg_chr5.846 transcript:KYUSt_chr5.846 gene_biotype:protein_coding transcript_biotype:protein_coding MTITEKRAKHVDFTLPYMATDIAMVVPLLDQRSSKLTWFFFLKPVCYDLWLVSGALFFLISFVVWAIEHSHNRDFGAEVELTPSNQGKLTPNQAGTKGKLTLSNQVGTSLYFGFSTLVFAHRENLKSNLSRIVVVVWVFVVLILQSSYTATLTSMLTVPQVELAIADYSALLRGTEKVGIMNNSFTGQALKQSGFPQDRIVRYPNARSFLDALLNGSIGAIVNETPYFQMFLTTYSDNFSMTDQRNMTGGFGFAFPKGSPYVTDLSQAILKLTESNEIARIQRKWFGDPGNGDSPFRSRSLSLYTFRGLFLITGLISLACFVFQLMFNFRQTIDQLIFKFRQHIVQMIFKFRQPIQEIMLPPSSPLEEHSVIDVAGSPDSAPHTSEWSGTLEMAIPPADEIEPAASDQTAEVAPARQPDSSEENHREASN >cds.KYUSt_chr6.32027 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202326906:202329794:1 gene:KYUSg_chr6.32027 transcript:KYUSt_chr6.32027 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAAADAASPPPAAAPAPRRLSSPLPRRAPPSPSPSSASRKPSRKSLGPDTTDEAALDNPDLGPFLLKQARDAMVSGEGGGAARALEFAERAARALERRGDGAELELAMSLHVAAAIHCGLGRHADAVPVLERAVAVVTPPAPAPAAEGEAEAVPQAEEEEEVRKGEEWALAAFSGWMQLGDTHAMLGRMDESIACYGKGFQIQMAALGDRDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDVEVATIDVAIGNTYLALARFDESVFSYQKALTVLKSARGDDHPSVASVFVRLADLYHRTGKLRESKSYCENALRVYAKPAPGAAPDEVGGGLMEIAAIYEALGELDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMIGRYADSRNSFESAVSKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKRRLAELLKEAGRSRNRKQKSLDNLFGNSTQRGAKKDSSGGRRWSNFGFRS >cds.KYUSt_chr1.35922 pep primary_assembly:MPB_Lper_Kyuss_1697:1:218891055:218891719:-1 gene:KYUSg_chr1.35922 transcript:KYUSt_chr1.35922 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIHGAGVAAVAVLLLVAMLPSHASTTMDEPAVYKHAAPAPSPTSPAKAQPVIMVQGVIFCKTCKLPGYNRDIDASPLPNATAKLVCYGGGGKSGGAYRVLNMTSTPTDKTGYFLFMVYDVAMFSRESCRVYLRTSPTARCDAPSLPADASLGIVLEQSEKKKNVYSSKSVLMYAPRKGRKCPRHY >cds.KYUSt_chr4.27943 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175581544:175587978:-1 gene:KYUSg_chr4.27943 transcript:KYUSt_chr4.27943 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVACAERATSDMLIGPDWAVNIELCDIINMDPGQSKDALKLLKKRLGNKNSKVQILALYVLETLSKNCGDIVYQQIIERDILSEMVKIVKKKPDLNVREKILSLIDTWQVAFGGPSGKYPQYHTAYQELRTAGVDFPPREENTVPLFTPPQSQPLRQPHLYPPGQSYEDVAIQASLQSSAPAASALSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYHARVMDLVSDTGDESLLFQALGLNDELQRVLQRHDDIAKGVPPNIPVPVAGNINQGAAPRPAGVSFSPLLNVHHEDDEPEDEFSVLSRRSARDGVAAQGNLSVPRNERPYPSPLLPPPPSTKRPVYTESSSVDYLSGDSYKSEKVPDEFVNPTAPANISAPSYSKKEMDQPPNYDSVPDDFINPTAAPSFSMPSRPTNESNSSSVNKLESLPDDDFINPTALPGFSSSSTNEDLPKAPWEAQAPVSLPPPPARYGQRQQFFEQQHGFPGGSNGDGYDELMTQTGSLSLNQRNTENEKIASVSTTSRQPKPEDSLFKDLVDFAKKNPSSPSKPTNSRRTR >cds.KYUSt_chr3.8864 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51688727:51690261:1 gene:KYUSg_chr3.8864 transcript:KYUSt_chr3.8864 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTHNPITYLIILYLTSFCIQTLNTMNSALMLVSYHSPSFNLKQRPPSRHQQISANWGTRQQCSPKPIRAILGSNATGGLSNAYRGGNALPSSPLTDVIQEFYSSINDKDMTRLKKLLAPDCVVEHTSYYKPLDVKNTHTYFTRLMAAMRKNVKFAIDEVCQGVEPTVAVMWHLAKNVSTHAAFLQNPEALVQPIVKLYKFCVEPFVVYLLAYYIHFWSFMAQGLTMVLGILYNILKRFV >cds.KYUSt_chr2.8496 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53429855:53432117:1 gene:KYUSg_chr2.8496 transcript:KYUSt_chr2.8496 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEERQDRHPPATNKEEELPAGVACDYCSEARAAVYCRADSARLCLPCDRLVHAANAVCSRHARAPLCAACLSAGAVFRSSAGFLCSNCDFGRARDAGGPLGSDRCTVQPYTGRPTAHDLAALLGVPDFEKPKAARADEGWWDIWDEPHVFSMEDLIVPTTSVHGFQPLVTPSSPKIACCKLKNQSSPDGKANEEVIKQLRELAEADGGGGQIAPREEAEQAPHQLPSWTPSQYIDENGNFAVENNHEVTTMPTPGYENGGWNNGNYHALNDACKTEYEHEQAPVSSAEACLSSFVQMSELCPSMSNGSVMDDSHQANPSSGMPVQAFPKRGTFDVVAGPERDIVISRYKEKRRTRRFDKQVRYESRKARADSRLRIKGRFAKANQI >cds.KYUSt_chr4.40077 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247493557:247501170:-1 gene:KYUSg_chr4.40077 transcript:KYUSt_chr4.40077 gene_biotype:protein_coding transcript_biotype:protein_coding MVSACQAGTDRPSSNGAIRRTGSGAAQSGHALSANGGKEWRGGQAEEEDLPNTHSAPPGARQLDEQKHHQGPVIRWERFLPVKTLRVLLVENDDCTRQIVGALLRKCGYEVISAENGLHAWQHLEDLRNRIDLVLTEVGMPCLSGIGLLSKITSHSICKGIPVIMMSKNDSMSTVFKCLSKGAVDFLVKPIRKNELKNLWQHIWRRCHSSSGSESGIHTQKCSKPKTGDKYENNSSSSHDDDDDDDDDDDDEDDDFSVGPNARDGSDNGSGTQSSWTKRAMEIDSPQPMSPNHLTDSPDSTCAQVIHPKSEIDDSMGKYLEIGAPGSSSRGNQSSPNEMSVNPTEKQHETRMSQNMSENKIVTENDGIHTLKPTSQTADLISSIAKNTESKHAAKTTDDCSSKMPHGNDMKTDSLIDMPSQELGLRISKTTRSGTEIHDERNIVKRSDLSAFTRYQTSMGSDQAGARFRGSCSPQDNSSDAVKTNSTCKMESNSDVAQIKQGSNGSSNNIDMGSSTKNATKPSVDREKVVSPSAVKSNQHTSAFHPVQHQTSPEKVVGNDKADEETANAVQLGQSREVQQGSVQHHHHVHYYLQVMAQQQPSIGRGSTARCGASNVSDVPIECHAANYSVNRSISGSHNESNVQNGTSATPNIARPNMESGAIIKNGAEGGNGSGNGPSGSGNDVRQNQLSQREAAVNKFRQKRKDRNFEKKVRYQSRKKLAEQRPRVRGQFVRQSGQEDQAGQAADR >cds.KYUSt_chr5.37363 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236231452:236231874:-1 gene:KYUSg_chr5.37363 transcript:KYUSt_chr5.37363 gene_biotype:protein_coding transcript_biotype:protein_coding DCNSMRRTRRGSSRVAAVWGGSGGRCGDRHEEQQFGFVASAGSSSSVRRPPAFLHASCCNSCAPPAGKRHGCAALVVPDSGAPGQPVEFYVSARHHQVSPSVGCCRRGDEDSGVLFGDTSSGPRQLPTSMATKEKKPRLE >cds.KYUSt_chr3.4955 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28204284:28205161:-1 gene:KYUSg_chr3.4955 transcript:KYUSt_chr3.4955 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCRRLPPQRAAAAAGGVGCVGGEPGGGSIVANIMEALRWLCGAGEVSSSSLSQLRQGWVFVSAVATYRSCCQQPHFRAPVADHLPSSNHTGRGDAGCEGGYREAVSVANTIGSILKCSVEPLRWLRID >cds.KYUSt_chr2.55122 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343702129:343704024:1 gene:KYUSg_chr2.55122 transcript:KYUSt_chr2.55122 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLAGHLNLAQFPGAIECISSLLDTSSYGDQEALHLPFLIGRSKQRNRRSWIHRFLAQENKDGNLKVPESPFGIMFGFSLEQIHKIYGALENDGSPVKHSENGEGIDEDLVLPGLRILEKLAHDRHNCKLICNTKDLLSKAVAPVSSDNLVQDINSNAGWTKVVDGSLKVVSRLMGSPGSTKEEMHSLIAGAANNLEAILDMDMMSNSSIVDLKTTAIEVLTHLVLHHPPSSATVVRRDKLIKGAVHIFITKDWMKDYLKHEQKKIDSPTSSHMEKKMRQAKESASRLKEKAGEALASLSSNSEAFMSFTGSEDFAHRLTELLDSSIRTIKCEISATYTVEVEINTRCRISAAVILKNMRNYVKEPTLCKVLLELLLTQPEASSTPCCWERVTSCIPSGRNDIENPSDCGQYESHTQQCRERRLQAELLSLVAGIRASSNVNFAETLVSQPQPVALEEFVLRVKNMVEENMYATPACLAIQKLTCEMVIELIQHDRNAEVIEKHNIVGTLSRASKMMARLERNMLFAGVDRDCHGVALRPLSSDLAKQAEDLLTQRKQLLGINGGVPAGIP >cds.KYUSt_chr3.41177 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259890190:259890543:1 gene:KYUSg_chr3.41177 transcript:KYUSt_chr3.41177 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKQTTMTSTAAMALLVAALLAIVAMAPAAATSPSPRYKMCNVDLVGMKGACEKYCARGSREARPSYGCCNAVRGADFPCLCRLKRAIGSMGNIDAGRAMQIPSMCAIPGAPRSC >cds.KYUSt_chr4.37901 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233739649:233740483:1 gene:KYUSg_chr4.37901 transcript:KYUSt_chr4.37901 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDYTAKLSDFGLAKEGPKGDDTHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVMLLELLTGRRSVDKNRRGREQNLVDWARPYLRRADDRLYRIMDPGMESQYSTRAARAAAAVAHSACRACQGAPAHARRRGGARAPTRAR >cds.KYUSt_chr7.34527 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215562840:215564044:-1 gene:KYUSg_chr7.34527 transcript:KYUSt_chr7.34527 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRARPLSLSSTVRSGSLFPSPGRGGPGRTTPPVFRVALPSCRPATPNRRAPPALLLPALWLAAHLRPRPALSGCGRAAHPTARCCSSPPPEACSPDHARPRRRRPGLLRLLAPPPDAGAPGSRDASAVPWRGGALEKVVLQPIREISGAVQLPGSKSLSNRILLLSALFEGTTVVDNLLNSEDVHYMLEALDALGLSVEADKVAKRAVVVGCGGRFPIEKDAKEEVKLFLGNAGTAMRPLTAAVVAAGGNATYVSVMFLMEYQE >cds.KYUSt_chr4.7954 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47408683:47409920:1 gene:KYUSg_chr4.7954 transcript:KYUSt_chr4.7954 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHSGSATEKIMNNIMDTIADKLPKQKSDNIMSNIMDTISDKLPKQKSGHFDQASVSDKVNKMFGRQRSLHGVLGGGKSADVLLWRNKKISSSVLGLATAIWVFFEWLDYHFLTIISFVLVLGMVVQFVWSNFSSKLNGSSKVPRVEIPDELFVNIAVGIGAQVNKFLGFLQDVSCERNLKHFVVAIVGLWAASVAGSWFNFLTVIYIGFVCAHTLPVLYEKYEDQVDEFLYSILGLLRDQYQKLDSGVLSRIPKGNKKTE >cds.KYUSt_chr4.45085 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279221303:279223312:1 gene:KYUSg_chr4.45085 transcript:KYUSt_chr4.45085 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPICPSSILSWPHRPASLPKNPSSSSPTAAHVSVQDPPPLSDPTHNTRFLWVNPNSPRAAELARARAGSARRARLASAAAALAACHPSDAPVAAALDAAFPDTPSEQDAVIVLNTAAANHVPALRWFLRHSAVRNRTILYNVVFKALRKGRRWRETEALWDEMTADVAGARPDNATFSTIISSARACGPRGSALEWFEKMPDAGCAPDTLTYSAVIDACGRAGDAATALRLYDRARGEGCRLDPVICATVIKVHSTSGNFDGALNVFEEMKAVGVRPNLVVYNTVLDAMGRAMRPWVVKTVHREMVGQQVRPNRATYCCLLQAYARARYGEDAMAVYRVMKDEAMDVDVVMYNMLLSMCADIGYAEEAEEIFRDMKASVDSRFRPDSWTYSSMVTLYSCTGNVLGAEGILKEMKEAGFKPNIFILTSLIRCYGKAGCTDDVVRSFGMLEDLKITPDDRFCGCLLTVAADTPVEDLGKVVDCIDRSNAQLGAVVKLLVDRKASTESFKEAATGVLSAARGVVKMPYCNCLMDLCVNLGQMEKACALLDAALQLDIYSNVQTRTQVQWSLHLRGLSVGAALTTLHVWMNDLYTTLQNGEELPPLLGIHTGEGKNMYSGKGLVSVFESHLKELEAPFHAAPDKAGWFLTTSVAAKHWLEAKKSSELVAV >cds.KYUSt_chr7.17764 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110017502:110019208:-1 gene:KYUSg_chr7.17764 transcript:KYUSt_chr7.17764 gene_biotype:protein_coding transcript_biotype:protein_coding MEREREKMESGKSELRMAMEELCLLSSRDAEDHQQEQEQEQQQQRSSTMDLLCVSKQLLHVLDEIGPTLLVLRQDIQQNIQVALELIPDREIFIQLLMGNCQDPEDFAGDVMIFISIVQPLLEEINTILVKHKLDRLKST >cds.KYUSt_chr3.48479 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303304164:303305211:1 gene:KYUSg_chr3.48479 transcript:KYUSt_chr3.48479 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAEAPANKEATPKKTPALNERILSSISRRSVAAHPWHDLEIGPDAPTIFNCVIEIPKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCDDSDPIDVLVIMQEPVVPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYRHFNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPSEDAYEAIQHS >cds.KYUSt_chr4.5079 pep primary_assembly:MPB_Lper_Kyuss_1697:4:29156603:29163547:-1 gene:KYUSg_chr4.5079 transcript:KYUSt_chr4.5079 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPADSGTSSSSVLNSADGGGFRFGLLGSPDDDDWSGDQPPVSAYVTRQLFPSTAPGHAAAGMMMHPDPAPAPAPAWQPRRAEELGVGQRVAVPKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHSAARAYDRAAIKFRGLDADINFNLSDYEEDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEVEAARAYDRAAIRFNGREAVTNFDTSSYNGDPPPDTETEAIVDADALDLDLRMSQPHAPESKRGNIMAGLQLPFPESSSAMVNQPMSSSSQWAVHQHSTAVPPQQHQRLYPSACPGFFPNLQVGKLQGNGSNRPTRVERSTTTDASDRTTCKAFPWPTWVLASVALMAHTSNFLPQTLVHHQHNQRRRLHIGLPLPSVARGPHSFSVAREPHSFAVVASFPPIFSNIADHTRSWSCLPIGNPYDKSYYLPDDIYHSWSTFVKTFYNPEDDKCNRFAKEQESTRKDVERAFGMLQSCLALSKNLEHGEDVGGGHCRGAPSTIGAVCGNPVGTGLGIGSFGHVVSSFIIIADGIGVPFVTKFEFTPHDESSRPTFSDLQGGLGMTFGSVHYNIKLRASFDCPNQSPLARRRHRRRQQQGQPQPLRTRHLID >cds.KYUSt_chr5.42462 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267624872:267626239:1 gene:KYUSg_chr5.42462 transcript:KYUSt_chr5.42462 gene_biotype:protein_coding transcript_biotype:protein_coding MMWAASARPKIGHRATSDVVVRLRTPEGRDEWLYCHSAVLAAGSGYFADRLSDAWPTCQILDSRYCVEVRCPDLDLSSHVNALRLLYAAEPVSRFGVRGALGVLRAAAHLGCPRIAAACADYLESAPWDEADEEEILAAVPCLPGGAQYDRVLARLRPADPAPATAIFLSAFRHATAAPSAASRELKSAAQEQLEYMLTEDDDAPLLAFDDTDAGNSVKSQVKDCVAGLLSRFSDFTSYALSKKMEPPCCSGESELQQELYSFVSDISWVCQVLGKLEMMKCIAAYWVGASPDVVMAVEASCPGSVCLKTRVKVIEISAKVLEAVAFGNVVLPAEKRCLAVKVWIWFAGITKHLVDRANRGDDSESHNGEDGNHGDAETAAKIGLDSEVWQGLESAITAIVMTLPSNTQAEILSGWLQSEHAAFLDLTEAFDAWCYRSKVARRRLSFLNNINPTP >cds.KYUSt_chr2.37570 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232594564:232595348:1 gene:KYUSg_chr2.37570 transcript:KYUSt_chr2.37570 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDELKLLGSWLSPFATRVKLALTLKGLSYTEVEEDLCNKSELLLRSNPVHKKVPVLIHNGTPVCESMIIMQYIDEAFAGAGPYLLPSDPYERAVARFWAAYIDEKLVIPWVRSFRGTTEEEKSEGLKQAFAAVENLEGALRDCSKGKGGFFGGDSVGLVDVTLGSLLTWAHAAEVMSRTKIFDPARTPLLAAWMERFDELDVAKAVLPDVSRMVEFKTKQARGLAAASK >cds.KYUSt_contig_352.35 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000036.1:300272:300949:-1 gene:KYUSg_contig_352.35 transcript:KYUSt_contig_352.35 gene_biotype:protein_coding transcript_biotype:protein_coding MELQNATGLGWDPVTKTMDADDEWWKTHLLYRPEHAKFRNGPPANLEQQDVMFKKAHVTGESAAIPGQELGEDKDVPILLDDDGEATKKTTLGKRKACVGEKEKESPFFKAYNTALSSIVSKVDVGSSSSKDDSVPTMKEFLAMVRECGVSEGTDLMFTAAKLAVKREHRELLAAFETPGGRFDYLERTHNELNK >cds.KYUSt_chr2.52886 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329965539:329966108:-1 gene:KYUSg_chr2.52886 transcript:KYUSt_chr2.52886 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGNGAGPSSSSFERHTKRRPPAPDSERRKLLRLSSVQEEDVLAAGVVPPVTVVLDGRCICHRVHLSTHTGYRSLAAALRRMFVDDDDADAAQAAGGEGLQLDLSNAVPGHVVAYEDMEDDLLLAGDLNWKDFVRVAKRIRIIPAKPSNRRIKQ >cds.KYUSt_chr4.9508 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57367817:57368767:-1 gene:KYUSg_chr4.9508 transcript:KYUSt_chr4.9508 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSPPHVVEDLRPFLQLFSDGSVIRFPDPFPPPSPPPDQPVVEWKDVVYDASHMLKLRMYKPADASSGKKLPVLVYFHGGGYILGSFEKPNFHACCLRLAGELPAVVLSVDYRLAPEHRLPTAFDDAETSLRWVRGQAAAGENADPWLAESADFGRAFLCGDSAGGNIVHHMAVRAGLGSLSLDPVRVAGCAMLCLMFGGVPEYDKLWRLALPLGSTAKSQDHPLANPFAPGSPALDGVALPPVLVLVAGRDKQRGATVDYVARLKAMGKPVELVEFEEQGHAFFYYEPYGDASNGVVRAVKRFILADDGTVSN >cds.KYUSt_chr2.5347 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33174425:33178013:-1 gene:KYUSg_chr2.5347 transcript:KYUSt_chr2.5347 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGVLASQLARQLGGALSTSDHASVVSINLFVALLCACIVLGHLLEENRWLNESITALIIGLCTGVVILLTTQGKSSHVLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTISLAAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSVVLFNALQNFDLNHIDTMVILKFLGNFLYLFVSSTFLGVFSGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWKFASDSPGKSIGVSSILLGLVLVGRAAFVFPLSFLSNLTKKTPAEKISWRQQVVIWWAGLMRGAVSIALAYNKFTRAGHTQLHGNAIMITSTITVVLFSTMLFGMMTKPLIRFLLPASSSAISEPSSPKSLHSPLLTSLIGSDLETAIPMVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGFVPYTPGSPTDPNVPIERLTSI >cds.KYUSt_chr7.32220 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200688100:200693520:1 gene:KYUSg_chr7.32220 transcript:KYUSt_chr7.32220 gene_biotype:protein_coding transcript_biotype:protein_coding MCVADLLPDTSVAYSAEDRRDGASIGISAGSGGECAWRKREAPASECESWSAKTKMGKQKMALLHQGLTLLDNGSRHVHGDGCFKPMRKAETPASDSQSAKTKKGQEMQQQSSSRHDEELASVAGKQFVALDNPYGDVGYGPIREQASQVSDGDGPKKNARINGTALKRAVELEKKLPTEGPSFIKVMKHSQVVKGFWLGMPASFCRDHLLNHDHIIELEDEERKTYETNYKAYKTGLSGGWKGFVKQKHLKVDDAVVFQLVRQTTFKVYILRENEFTATDGALGLLSLDTSMDNKKQKESSNKHANSKEAVDGGVRFPCSDIDDFASVKSFVDFKIIIGNGLLVLPDHLRMTYYHLCQARKAFLHRNLLKTISPEFTTRMIVETVNIAEGIRASSPASLEDLAEWKKILESFEAKGMDIALMRKRVDDLLGLLGAPSQISVVPEGYEAVKLEQARAAKELRAIESTVSTLKDSLNVFDVNMEEIVAASTRMKEQAMRKLATAPW >cds.KYUSt_chr3.5311 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30171261:30171779:1 gene:KYUSg_chr3.5311 transcript:KYUSt_chr3.5311 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCWFLVFVWVRWLPLMLVGAQEQPREGCSDLAKRCGNLHNVSDPFWIVDSKTGKPCGDPGFEVFCDKNTPSLLSNGPFGFTILNINYEERSLRAIDREKMRLVQASNICGMLPSWNTYVRSNRPLRISPANLDLTLYNCTSRAATSARQNGALEETRLRCGNENEAALR >cds.KYUSt_chr2.42791 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266479354:266480838:1 gene:KYUSg_chr2.42791 transcript:KYUSt_chr2.42791 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTCAADLAPLLGPAAINATEYLCNRFADTTSAVDTTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKHFFGLKDIPETGFDYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGPLLFKSGVIDFAGSGVVHMVGGIAGFWGAFVEGPRIGRFDHAGRSVALKGHSASLVVLGTFLLWFGWYGFNPGSFFTILKSYGPAGSINGQWSAVGRTAVTTTLAGSVAALTTLFGKRLQTGHWNVVDVCNGLLGGFAAITSGCSVVDPWAAVICGFVSAWVLMGLNALAARLKYDDPLEAAQLHGGCGAWGIIFTALFAKKQYVEQIYAPGRPYGLFMGGGGRLLGAHVVQILVIAGFVSCTMGPLFYGLMKLGLLRISAEDETAGMDMTRHGGFAYVYHDDDDHDKSVGGFMLRSAQTRVEPAAAANSQV >cds.KYUSt_chr4.50998 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316124328:316129572:-1 gene:KYUSg_chr4.50998 transcript:KYUSt_chr4.50998 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRKPPPPPPPPPPPPAPPAETPSPQRKRKKKGRPSLLDLQRRSLLLQAQSPEPAAASSSPPRNPSDDDDDAPGASGRRRQKRLKSVLSGATEVQTLLLLPPVAIPKFPEDEEEQAVAVAVKKKDAAKATGKGAAASDAGPTGTPLPDKKLLLFILDRLQKKDTYGVYSEPVDPEELPDYHELIEHPMDFATIREKLLNDSYTILEQFENDVFLLTSNAMSYNSDDTVYHRQARSIEALAKKDFENLRQASDSEEEQPKTAPRRGRPPKYLKKPAEKAEDEVSPDLSNVKTNKSADNTETRKRWSSERTRNTNISMRDSSILQHNTFSSFSGKKIEKTGAYSGPSKYGKKTTYLDDDNRSTYDQQYSHYSPLFSALDCERKQLLPIGLQQQHAYARSLARFAAKLGPVGWDIAAKGIRRVLPPGTKFGPGWVVDGEPPQNSQWSRVPESTDPSTESSIPCGITPKSDDPRRSSELSPNADSLGEEHLAKSQSVASTSASFDRSSTFASKVPVYENGVTVPCDSVGNTGPTPPLQQQSYSQEIPSNMNGIAPAVPNTIGQYAGQGLFGAGMQMTHAQVLGMFSGVNGRANGFLHGHPLASEGVKATQNGVVGKAATSPLQDAVHDPKGSFPQNENSPASPSQNAGGSPPRGKIANPKHPDLVLQL >cds.KYUSt_chr5.640 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4602447:4614004:-1 gene:KYUSg_chr5.640 transcript:KYUSt_chr5.640 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLVLPLEVPLEVPQWGPNLTGSKAVPERYKSGTAVTSYGALAVHVGGTTAPGTTELLRIGAPRAVGVGICGALIWGWMAAAEAALEGSEPVDLSLHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLSFPAKFKDFKIQNIVASCDVKFPIRLEGLAYSHGAFSSNKCPLGFSPLEQQTEWREIDNIINERQGSCGMENEDSNTVWLSGYLEDCLSRPASYPVSPEQAAGKPGLPHPSSSNPRRKKRSLASVIRESDEEHYVTVFVEPPLLLDQKDWLAESELILPKKEKDQELVQQQEHEEEYKLNMTAPMQFQQEQVVRRCSICLSNLAPQWQGSMPLCSACSLRLKAGNGFISMERCGQEIYEEQDQGRGQDKRRIKKTTHFGDDDEPPQEKRKIKKTAAPVDEEFQQAKRKFKKATYVNEELPPEEPVKRCTHCMSYKTPQWRTGPLGPKSLCNACGVRYKSGRLLPEYRPANSPTFVSFMHSNSHKKVMQMRKTVDDM >cds.KYUSt_chr6.30152 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191144644:191144880:-1 gene:KYUSg_chr6.30152 transcript:KYUSt_chr6.30152 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAMGGAGDAARKSSWPEVVGWNFLAAGLKIKKDRPDIIRFELHDVGNTVPPGHDDDRVRLFLTPGTNFVGITPFVG >cds.KYUSt_chr4.9505 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57338804:57339476:1 gene:KYUSg_chr4.9505 transcript:KYUSt_chr4.9505 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASSPTEWSDHKQKYRSREPGTPHEHNDRCQKVRAHFTSIAKVEIGLLLALARRKLAQMGASVAAPSSHRCCQLIPLRIVPLLGDSLRCARGAVDTGGLIQAEFPKVFLFGMATLGFQVEGMATGGGREPSI >cds.KYUSt_chr1.19938 pep primary_assembly:MPB_Lper_Kyuss_1697:1:117572568:117580324:-1 gene:KYUSg_chr1.19938 transcript:KYUSt_chr1.19938 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLHPFADIAADGTPRLDVANGEEIVRVQRASALALGGRAPEPPGTVFITTRRVIWVSDTERNKGYAVDFVAVSLHAVSRDPEAYPSPCIYTQSDEENGWVHDDGGDDDMCDGSDAEEFSDDTPIGQIDEHGITRSVVELEINDQRFGDAEEAEGERSHRNGR >cds.KYUSt_chr5.6735 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41733007:41733513:1 gene:KYUSg_chr5.6735 transcript:KYUSt_chr5.6735 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPEHADAGQAAAGHVPGGEAAGRPAVRPTSSTRDEERDSFFTRNDAVIRAAPNHRVNGSRDDRSRARWLAGCRPLASPPRSGRRRPARGIPAVGGAAEQPSPFIPCLAAPLRPRPLRARREIPPLRAALMRRREARPRRKRSPPTNARQGVAPRSQVSFRPSLQL >cds.KYUSt_chr4.10024 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60630674:60632075:-1 gene:KYUSg_chr4.10024 transcript:KYUSt_chr4.10024 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVIARGSAIRQDAVNKAKSFDEKHQLRTNATARISSFDRRVGLSEKLNTGISVVNEKVKTVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRDLRLLLKLAVLVLAWGNSIGNPE >cds.KYUSt_contig_7403.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001507.1:32399:33296:-1 gene:KYUSg_contig_7403.10 transcript:KYUSt_contig_7403.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHHIKSSSLFHPKNQNRRSLPLTSLEEAELPKPAWTLSLSILKEDGGDLMFVPFGSSRDKIVSADQGSIVLVHDICQNRLSCSPGSRMGGMLTPSPSPLGTTCISSTGALACLTGFSPIDPASKPSSMVYLQPMSVVFQGGTGTLFHCPLTWRRLECEHSYASKIVSSTVVRDSIWVSTRGDDIGTYSFDKDSHVGRDDQFLCASDLSVAAAGGAVPTVCRVWKEDIATNPQNWELLRSDLVRVDNGRFCMGSFMSMMTTPFSVRILLC >cds.KYUSt_chr7.39791 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247281779:247282827:1 gene:KYUSg_chr7.39791 transcript:KYUSt_chr7.39791 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPMLACCKLYVSEGRSAAALRAVEQAARRHHPAVVLVNTFVDDAYNRVGYTLVSRLTQDAASPTPLRRAVFGVVGAALEAIDLGSHAGAHPRLGAVDHVCFHPLAGASLRDVAALAADVGADVGDKLQVPTFLYGAAHREGRTLAAIRRQLGYFTSPRDAQWRGPLLAGGTDTTPLPVAPDAGPDTPSAAKGVLVLGATAWVDNYNVPVRTADVDAVRRIARRVSERGGGLRSVQAMGLAHGDGGAEVACNLLDPRSVGAGQVQDMVERLAREQGLDAGEGYFTDFSEEKIVQMFLEKSAQAKVSSSYGFDTSHSTDIHR >cds.KYUSt_chr2.53744 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335290785:335291663:1 gene:KYUSg_chr2.53744 transcript:KYUSt_chr2.53744 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRRRQRELRHRAVLEGEALVPVPPKVTMFKLTKMVNESVVHILTKWRVVAPALPKNLFIRVVVQKDATEFQSMYLGTCDALLPLMGSRFLELGLNRTHCNEMTWIQSVPYIYLGSTATVEDILNRTTSDGFRKATSDYVRQPIPKDGWVKIFDFLAQPNAGIMIVDPYGGKISAFPKSATPFPHCGGVLLNIQYMNFWSATADGWAQIKWLKDFYAFVSSNPRQAYVNYMDLDLGQNVVVGNITSYESAKVWGEKYYKDNFKRLAFAKGKVDPNDYFRNEQSIPTLVARK >cds.KYUSt_chr3.38980 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245670298:245671188:1 gene:KYUSg_chr3.38980 transcript:KYUSt_chr3.38980 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLKDSATVKAEPQDSALSIDIPVLSLDELIEKTDDFGATALIGEGSYGRVYYAVLDNGTKMAVKKLDSTENETTSEFLTQVSLVSRLKHENFVDILGYCMERNLRIVAYEFATMGSLHDILHGRKGVQGAQPGPALDWMQRMKIAVDAAKGLEYLHEKVQPSIVHRDVRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPE >cds.KYUSt_chr3.22607 pep primary_assembly:MPB_Lper_Kyuss_1697:3:139789165:139790529:1 gene:KYUSg_chr3.22607 transcript:KYUSt_chr3.22607 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDDPPPAKRPRTDCTGTSSNDGEPPPAKRPRTDCTGTSPIDSLPGDLLREIFLRVDPLPDLVRAASACRGWRDAVVSFPPFRRHFIKRHQAPLLGFFFDPPSRDVPSIPSFAPARWNDPMLAAALLRGDFLLTSLQRRPLLVEPSWFVLDARGGYLLLIKWDEGSEGLLALLNPLEQRQRFFDVDDIRIFDDGDRLSSRHFLRGGLILDEENPDRFGIFCVASQGEFRLRAAIFSSARADAMPMDGGWILSSWLEVEHPVDPAIDGGTWLWPDSGMRAGRFIYWPYMDGRHVLVLDPTHPATPRLFVERITFPDGLDRAEFGRYIIGETRNGMMSIAYTDGFTVVLMAPLEDGWAAGTWTPVDRFDLTDELVTMLGQLPAEDELELVAMRGTILYYTTSQMYHPSHNSCWFASLCLETGQGEWLFARAYDAFVQPYNHLLWPRFLKATPKG >cds.KYUSt_chr2.13406 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85051789:85061866:-1 gene:KYUSg_chr2.13406 transcript:KYUSt_chr2.13406 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNVPLDYALFQLSPRRSRCELVVSGSGRTEKIASGSVKPFFTHLRAAEEQAAAQPPQPAIRLQLDRRAAWFSKGTLERFVRFVSTPEVLEMANTFEAETSQLEGARKIYAAQGVSSGGDAEASAAADITKKELLRAIDVRLSALKQDLVTSCARASSAGFNHDSVSELLLFADHFGANRLSEACNRYMSLCQRRPDINPQHASQAASSHWKSFEDGNLRDSCSSDMSIDEPQADHGGSSNKSVSGASVPHIDRLSNSQQSVEVPPGSSTAQHSKSTLQQAVDKQENETEAPPAPAKELSRRLSVQDRISMFENKQKEQTPTSGNSNSAGTAKVVPVKGEHRRVPSVASMDKLVRRFSSVSDMSIDLSQNDTGGCNDRSENGTPAGTPTSASQEANSSKVRADKDASGAKHPVTSQSWPFQKDGDTPKDSTTTSACSSSTFNTTSPYSLSAAVAEVPKKLTKSCLEDDMAITSSTESESSFDKEQRVNQGQGDARISEHVASNVSGRTRLITSPRTAEEGLPKHYDTLTSPSSEEHARIVDKEITSVAHEVPVTSEQVGQKGNRGSRLRSKEIHAEAVVVGKNDRSSRTIGKVSSSVDPKSKATSNSRTNFRGSSGRDGGPSTEIEGHDASLRRKSLPRKVENVRRKAAVGPEILPPSDYSGRQGTNLSRQSSNAEQELSLLGGKIKPVNDGSAVTLEQTRVARPGKGNHDRHDELQMKANELEKLFAAHKLTTTRRGKSTDPQVDDTPRVSEPKPIKVLPEKIDRNQTVTDSMTNNFDANELLKMVDKEGYNINSTPDKLAMLSLEESRGKFYDQYMQKRDAKLKEDWKMQKEEKEAILKAMHDSLERSKAEMRTKFSRSADLPDSAYVSRAQKIPPLQSAIRNKDQGVDSFFVEDEMNSDYLSGDGSSRSADSRKHFSNKVAYTQKTSIAPVHNHKHSSRTIRSSYANRKNPPDNPLAQSVPNFSDFRNESTKQSAGHNRATARAQPKSFSRSKSKIEESKSIMNEHQSRGSQSMRKNLNGSELRDTSSVNKDIYNWAPSGTTSSTHKSGAPKSFLRKGNGAHPIVGITGFRAPMFANVNDDDDDFPDQQEDSPDEAKDEEYESIEETLRESDFPADSESETPKQSHDFGNSDDPGSENGDVSFPREAANTKFNAFAGNMHDLPGELPAPWSSRLPHLSPYANDTSDGDAFVDSPTGSPSPWNSHSLDQITDADVSRMRKKWGSAQMPFSASNASQQPRKDVTKGFKKLLKFGRKNRGADGLVNDWVSASTASECDDDMEDGRDLAIGSSDDFRKSRMGYLSSYDGFVENEVLTEQGSRSFFSLPAFRSKGGDARLRQEKKTQNSSHLPFLLRRSAARRPPSTVRSSEREARTRQEATMIYRNWSLLSSTVVIWGSVATVGLAGIFLFGGKVTSPASAITPRFPACVAGSALPLRYLD >cds.KYUSt_contig_6451.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001307.1:92831:94851:-1 gene:KYUSg_contig_6451.14 transcript:KYUSt_contig_6451.14 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKSDCDCQSLGVFRGPLVSGSHDFDEHCMFGNDMYLTKGQVDFLNEHCNHFPTEEFEYYVYRMTKSAVIKNKCKLDIGKKFTAKYLKRFIDDAPGNAVTLSLEYTDSNARFKVTMKMAKGKAKNAIIATGWSKAMNTYEIKEGAICIFEFYVDMKVTGRTPTGHRRTDDKAGLPDGGGSTARLLHGAMATTNWVHPSMARPVVQALSPLAHTGCPQILGLSLPPLVSVEFFCSSENHGPKTHFTMR >cds.KYUSt_chr2.13979 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88448586:88448936:1 gene:KYUSg_chr2.13979 transcript:KYUSt_chr2.13979 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSTGALVFLLALLLSCVAMSSAARNLQEVEASDPPKEEEPSSAGSMPFPHLTVPELPPMPELPVPELPPLLQNFKMPLFPEMHLPSFPGMPWKPAVHMPTIPGFNFPDPTAKP >cds.KYUSt_chr2.8686 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54551265:54553143:-1 gene:KYUSg_chr2.8686 transcript:KYUSt_chr2.8686 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARNLVLRHLRVAAAPASAASIRPAAALQGRRWMSSEDAKGSFLDKDEVTERTIKVVKDFPKIEDPSKVKPDARFKDDLGLDSLDAVEVVMALEEEFCFEIPDDEADKIDSIKVAVDFIASHPKAK >cds.KYUSt_chr3.48732 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304586242:304589666:1 gene:KYUSg_chr3.48732 transcript:KYUSt_chr3.48732 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVPETLTLSPGCVNRCGNVSIPYPFGIGKDGGKDCFRKGFEIFCINNSVPIMAGNNDTSPDILVLNLSLSPHPVAQVLRPVSWQCFDVPGNVTGSYFVGLGLKADGVYRISSDLNELVVLGCNTYVEIKGGVRGRFSYMYYSACVAFANDAGGPIDGACTGLGCCSVGVPSGVTDNVLSFETGGSWTHADQGFCPCDYAFIVDKGYYSFKRADILHTDGNGSLSTTSMPMSLDWAIRDNGSLPWTCAAAASAPEYACKSVHSECVDSKNGPGYICNCTAGYEGNAYVVNGCTDIDECARPADYSCRGKCKNFDGHFKCDCGTGYKSNDPYKESCTPIIPLPAQISIGIIGGILVLAFIAFIIIVRRERHMRHELYRKNGGPTLEKASIIKLFKKDDLTPILKPSNTIGKGGFGEVYKGLVDGAWVAVKKPVRGNQMESDQFTNEIIIQSKVIHKNIVRLIGCCLEVDTPMLVYEFISQGSMDDILHGGEKKPLSLDARMNIAAEAAQGLAYMHSQANTVILHGDVKPANILLDDKFVPKISDFGISRLIARDNEHTALVIGDMTYMDPVYLQTGLLTAKSDVYSFGVVILELISRKKATHPSNTSLVNSFIENHKKGNKSTELFDPEIAVGADVGILDSLTDLAMKCLDLDVDQRPTMTQVAEQLVAFIRSRQM >cds.KYUSt_chr7.11296 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69444842:69445081:-1 gene:KYUSg_chr7.11296 transcript:KYUSt_chr7.11296 gene_biotype:protein_coding transcript_biotype:protein_coding MVHALGRAVWIDEALRWVTASASARELIGDFDRLCHVSLLVDALLCSPYPEFQNAFTASRAPGIGVESHRSSQQAYRLE >cds.KYUSt_chr1.4595 pep primary_assembly:MPB_Lper_Kyuss_1697:1:28165576:28166675:-1 gene:KYUSg_chr1.4595 transcript:KYUSt_chr1.4595 gene_biotype:protein_coding transcript_biotype:protein_coding MESINTEQQTPTGEDGSVSPPEPPPTSVVMDPTLLMAASMGHCEALKTLLNWGDAPVWPKAPQIIVQVPVDGDALDLSITNRSLDTQHQASTGVVNEGGGDQPTAPSAESLFEGVTPLGDTALHVLAKSAYSSRENFLDSVFVVYNKARHLLDKPNKLGDTPLHCAARSGSVKMVYCLLELAKGEGGDIDRVKSFLRKQNMRGETALHSAIRQRKIDIVILLLMEDSQLARIPSEGMSPLYLAVMLQEFYIAIILHDKDNQLSYSGPDGQNVLHVSVLKSRGTLLCLEEGFTFMQYFL >cds.KYUSt_chr1.18985 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111496417:111500909:-1 gene:KYUSg_chr1.18985 transcript:KYUSt_chr1.18985 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAGAASGTIKIWDTEEAKVVRTFTGHRSNCASLDFHPFGEFFASGSSDTNMKIWDMRKKRCIHTYQGHTQRIDALRFTPDGRWIVSGGADSSVKIWDLTAGKLLHDFRLHDGPVNCLDFHPHEFLLATGSADKTIKFWDLETFELIGSSEPEGNVVRSMTFNSDGKTLFCGLHESLKVLSWEPVICHDVVDVGWSTLADLSVHEGKLLGCSYNQSCIGIWVVDLTKIEPYAADNAESVDMPIQASTSISSMLGKLSVGSANGTPSNTLRKRPMSSSKEIHVASSPAVTRRLSKEPETSDLRLTRTGSAPLLPPRGRFNQDSTDDLKRLTANVCSSVDLSSSARMSNDGSQTAASPTHRPRSNVFAYSSKGSSFVPVVFPRHSSKVDAGPNLTESTTTDLRTVDRENLLKGHLVTDHGKEVRQCVFPSKCSTSKRNHVTETSGDGDINYFGPLCTEGVESNEVSDWYDVSDLEETKSEAGRNPEFKNVNRTAVFVGTGRLRGSYERSQNAPTLEGLRRHSSFAGEQSVLVNDEDVIADLMENHQEFIHGMTPRLAKLEVTADIFSVLMENTTKYITLDICASTLPLACNLLESSYDRHLNIALGMIIKLVRSFGATVSSNMSAAPPVGVDIEAGQRLECFGELKRVNARLISLTRREGKVGRSARELGLFLQDIFRLPSSV >cds.KYUSt_chr1.40994 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251428222:251429805:1 gene:KYUSg_chr1.40994 transcript:KYUSt_chr1.40994 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAQTTTTTQPFAPAPARAPARLPDFTQSVKLKYVKLGYHYLITHGAYLAVLPLPGFVAAHLSTFTLHDLADLWLHLQYNLVSVLACSALLVATCTAYLLTRPRPVYLVDFACYKPNDARRCSRARFMDCTEKLGTFTDDNVEFQRRIVERSGLGEDTYLPEAVLNLPPNPSMANARREAETVMFGALDQLFAKTGVRPKDIGILVVNCSLFNPTPSLASMVVNHYKLRGNILSYNLGGMGCSAGLIAVDLAKDLLRVHPNTYAVVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRRAARRRSKYQLVHTVRTHKGADDSAFGCVYQQQDEDGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPVSEQLLFFATLAAKKLFNAKIKPYIPDFKLAFEHFCIHAGGRGVLDKMESSLQLSEWHMEPSRMTLNRFGNTSSSSLWYELAYAEAKGRIKKGDRTWQIAFGSGFKCNSAVWRALRSVNPARESDMAGNPWADEIHRFPVPVPKVSAIDPTTTS >cds.KYUSt_chr3.2426 pep primary_assembly:MPB_Lper_Kyuss_1697:3:13887555:13889029:-1 gene:KYUSg_chr3.2426 transcript:KYUSt_chr3.2426 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQACEARGSRWLPACAGAASGKWRRSLAWAAGGGVGQRSLRAGVWVEASGSGHCTGREAVTAVGHHPEKDAVGAHQRLDEERWTSAVSSSAEFEEATGALTTRGGPLRIQIDLAGAIDGEVDLCGVRQRGYRGGHGRLVHALGARGGHRRLDERVLRCGVRADISGADGGGGGKRTGVGVSTGLPDTGDGGALGSRLPSGPTAYMHHQLSSLT >cds.KYUSt_chr2.10807 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68675950:68681231:-1 gene:KYUSg_chr2.10807 transcript:KYUSt_chr2.10807 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDNVFIGLRLKLPLLARNTAPDPFRPEILDINRAVFLRQEDDESVIESRGNSYEEQRRRRVKDNKRKLDELGLHNLSAAVRQATAEPMPTKLAKPRNPVMDVPTRRSGRIANIAEQPDYNPEKAQRVRYPAPEYATKEQRAYGIAKAQELKDQLGSDYPAFIKPLSHGYAAKSDSLKIPMNFREHLPVRHEMMVLVDEMNNEFHLLYSFKKHGPDQYNHRINQWKGFAADHQLADGDCLVFQLIESTKFKVSRMRHEWEKISEQDQSFGRFIYLERVLTTKMTTDGVSFQYCQRRR >cds.KYUSt_chr2.26475 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162197584:162200000:1 gene:KYUSg_chr2.26475 transcript:KYUSt_chr2.26475 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACTRWVWQRCLHTTGYDSETWGLASSGEFEPVPRLCRFILAVYEDDLEDPQWAPPGGYGIEPRWVVRRRTYEHTQGHAPTYLLYVDHRHSDVVLAVRGMDMAKESDYGVLLDNRIGQRRFDGGYVHNGLLNAAEWVFDAECDVLRDLLHRNPGYTLTFAGHSLGSGVVAMLALVAVQNRERLGGVERNRIRCFAMAPPRCMSLNLAVRYADVINAVILQDDFLPRTDIPLEDIIKSLFCLPCLLCGNCLIDTCIPESVMLRDPRRLYAPGRLYHIVERKPFRCGRYPPAVRTAVPVDGRFEHIVLSCNAISDHAIIWIEREAQRAIDLMLESERTMIAPENQRMDAETTLTRDHGEEHQAALRRAATLGIADVNLPSPYGTFNENMTLEPDEGSPVLSESGRRRTVWNEWIARIFEKDESGQMVPRR >cds.KYUSt_scaffold_2697.416 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2721863:2722195:1 gene:KYUSg_scaffold_2697.416 transcript:KYUSt_scaffold_2697.416 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASLSAAVAVDRRVQQQQAAATPRRGLVVARATKVDGQEPSAKLAVESSTDGRRAVVFAAAAAAVSAFGRVAFAESDVKKGSPEAKKKYATVCVTMPTAKVCHN >cds.KYUSt_chr3.23002 pep primary_assembly:MPB_Lper_Kyuss_1697:3:142390248:142392247:-1 gene:KYUSg_chr3.23002 transcript:KYUSt_chr3.23002 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRTGPACGVVTKVRHRGSGAVFALKTAYYSDPNSEEEAEVLRRVGRSPHLVRCHAIFRGADDEPAMLLELMDAGSLGRVLRHRDHHGLPEPALAEVAARCLAGLAHLHYACRVAHLDLKPDNLLADGRGNVRIGDFSVSRILSRVPGDRLRVSVAVGSTPYLSPERFAPNARAGPRGACAADVWSLGVTVLELFSGRCPILPGAQRTSWEMLKEAICCGKPPTVPEIATASASLRGFVDACLQKDPKRRATVAQLLAHPFVAGRDVESSRRSLREIIVETMYEQGAKDREERA >cds.KYUSt_chr3.3156 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18164449:18164904:1 gene:KYUSg_chr3.3156 transcript:KYUSt_chr3.3156 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLSLRTMRPAAGLGLRHGLPPCHALSPQSRRPRAVATVRCGAFQRDHYGGALVDEGMTVLRRKIREARMAETNYEAPPGWASWEKRYYPAYVSDVSALAGTLQLMLMGTRPGVAVAVAALVLGGVPVSAAVALHLLGQAAGTVLQHVS >cds.KYUSt_chr3.31321 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196817157:196818938:1 gene:KYUSg_chr3.31321 transcript:KYUSt_chr3.31321 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQRKMSNGSGMDSQHRSPEYSSLLLAGPRAGPLKQAHARLVVTGHGRSLPLITKLATLAVAAGAAPYAHLVATSHPAPDAFLFSSLTRAAARGRLPRAALAFYRRLLSAALPFSSFTFTAAAKACADVSALRTGKAIHAHSLLLGFGSDRFVLTSLVVLYSKCGQLAVARSLFDAIRDRSVVAWNAMVSGYEQNGLAERGIEVYKEMQVAEAVPDSMTFVATLSACAQAGALDLGREVERHIVSERMDMSVFLGSALVNMYARCGVVDKAREWFDMLQERNVVTWTSMIAGYGMHGHGHEAIKLFRLMRREGPPPNHVTFIAVLSACAHAGLVMEGRDAFACMRRVYGLVPRVEHYCSMVDMFGRAGLLDEAMQFVHDYIPGEPGPEVWTAILGACKMHKNFNLGVEVAERLIALEPENPSYHVLLSNIYALSGKMHHVEKVRNTMIKRRLKKQIGFSLIELGGTSHLFRMGEKSHRQTREIYHYLEELIHRITAAGYMPETESVLHELEEEEREGALRYHSEKLAVAYGLMMSSGSTTPIRVIKNLRICGDCHLAIKFMSAVENREIVVRDKHRFHHFKDGKCSCLEYW >cds.KYUSt_chr6.11747 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73079732:73085680:1 gene:KYUSg_chr6.11747 transcript:KYUSt_chr6.11747 gene_biotype:protein_coding transcript_biotype:protein_coding MDALASWSGDAKPRQRMRQGARRETEKVSGGRKQWRIKPETATQNRRRARVLNMPEWSADTRRARAQCLCGTMSDMRELGTAAMAPWHANSGRDGDDVSEAKKKGMGGTEKEAVARREVDGEPAVAGVDGDIGVLTGRGNRVVGRSERKMLACIACSTKDGGEDGGRVAATPHGRDASKSLTSQLKDMVLKFSGSGKHYKATGSPSFRGNRFHRSSRLAAYPGVVDDSGFTSDGAGEAYSYMRTTSSTAARTAPSSTWDMPKINHGFQTHARNPSTSWIPSIGEADEEEDDDDDETVVLEEDRVPREWTAQVEPGVHITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFRQQGLSTPSSSVDDAMQSESFYSRAGSTRESPVVMLPPSATARTIGRTTSYKAASTARATCYPSTAVPDPSDHVWAHHFNMLNSAAGAGASSMPSSAGAPGPYDPSRATTSSLDEASVSVSNASDLEATEWVEQDEPGVHITIRELGDGTRELRRVRF >cds.KYUSt_chr2.5553 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34413274:34414294:-1 gene:KYUSg_chr2.5553 transcript:KYUSt_chr2.5553 gene_biotype:protein_coding transcript_biotype:protein_coding MFQAMRLLLRLAGKVAIITGAASGIGKATAAEFVRNGAKVVIADVQDDLGHAVAAELGGPDTACYARCDVTDEAQVAAAVDLAVARHGRLDVMFNNAGITGGNYAGGPIESMDMADFDRVMAVNLRGVAAGIKHAARAMVPRSQGCILCTASTAGVLGGSGPYAYSVSKTAVVGMVRTAAAELAARGVRVNAISPYAIATSMGTRSVRDMLGLPSVGAGDEDEEAVRRVFDEDFNEMGGGIVLRAEDVARAAVFLASDDAKYITGHNLMVDGGFSVGKPLNVPSI >cds.KYUSt_chr3.38178 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240293674:240294846:-1 gene:KYUSg_chr3.38178 transcript:KYUSt_chr3.38178 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAPGSNAVTSSLPSHEGAKVIQPVVKMCGITSAKDAEIALEAGAKLIGMILWPNSKRSVALPEAKEISRVAQSYGAESVGVFVDDDEETILRVSDSCHLNLVQLHGDESRSLLHVLSRDKRIIYVLNADDDGKLINAPPHEECVLDWFLVDSAKGGSGKGFNWEKFQMPSVRCKNGWLLAGGLHPDNVCEAVSVLKPDGLDVSSGICTADGIRKDPERISSFMSNVKSLSRS >cds.KYUSt_chr1.24923 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149237830:149244040:-1 gene:KYUSg_chr1.24923 transcript:KYUSt_chr1.24923 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLPETAAEAGKQGATLTDAWDYKGRPAARASTGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGSAAAANTVTNFIGTSFMLCLLGGFIADTYLGRYLTIAVFCAVQSAGVMVLTISTVAPTLRPAPCGDMTGQSAGCVPANGTQLGVLYLGLYMTALGTGGLKSSVSGFGSDQFDDSDDGERKKMTRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRYGYGICAVGILAGLGVFLSGTRKYRFKKLVGSPLTQVAAVTAAAWSKRALPLPSDPSMLYDVDDAAAAGADLKGKLKLPHSKECRFLDHAAVIDRESPVAKSKWMLRTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFAVEQASVMDRAIGGSGFLIPAGSLTVFLIGSILLTVPLYDRLVAPIARRITGNPQGLSPLQRVFAGLFLSIAGMAAAALIERHRLTSSARGDMLTVFLLMPQFLLVGAGEAFTYMGQLAFFLRECPKGMKTMSTGLFLSTCALGFFFSTLIVTIVHKVTGHGTRGGGWLANNINDGRLDYFYWLLAVMSAINLCFFTVAARGYVYKDNRLADAGIELADEEAMVVGH >cds.KYUSt_chr3.33141 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208021111:208022704:-1 gene:KYUSg_chr3.33141 transcript:KYUSt_chr3.33141 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTNFSWDSPQHRNSIIAAVPHAPWLSFHMAENFWLLLAALNKVWLSQKVSFMDEATAIVAASKAIHDTEKALGPVGEDGIEEEEEEEEEEYNDYEKKYSDDEEEEKNKGKGKVANGVVHKKSIEHIVIQEGPEVAAVES >cds.KYUSt_chr6.7150 pep primary_assembly:MPB_Lper_Kyuss_1697:6:43358379:43358906:-1 gene:KYUSg_chr6.7150 transcript:KYUSt_chr6.7150 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRFHARRPLFIAELPRLAAFTTLTVLNIPQPRARPSGEQTMANDGAANNGFGRRSLHQWEGRLLHMAGYPAPPDLRAQGLWRLSAGGVPIPPPPMTRAALKLEIDAVLVTLSDEQRADPRFFPDNYDSWSDFFWHRYEHELAAYDGSPPPARNNAAGRRRWWSAPNRTVASC >cds.KYUSt_chr4.51369 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318690052:318693349:1 gene:KYUSg_chr4.51369 transcript:KYUSt_chr4.51369 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRTTRVGRYELGKTIGEGSFAKVKIARDTRDGSTCAIKVLDRNHVLRHKMVEQIKREIATMKLIRHPNVVQLHEVMASKTKIYMVLDFVDGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSYGALKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDPNLMTLYKMITRANVSCPPWLSTGARNLIKRIIDPNPRTRITIAEILEDEWFKKDYKPPQFEQNEDVSLEDVDAAFNSSEEHLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGLVKRETSFASQRTPQEIVSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHSV >cds.KYUSt_chr1.8432 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51883756:51884380:-1 gene:KYUSg_chr1.8432 transcript:KYUSt_chr1.8432 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLGSSTFAMLGSFSHWTAGEAWDGEFTEPKSRSVEVASDEAGGKRKLMCLDDSKAAPASGSHPHGGDCGEKGNVVCKLEVEAEAGDGEEEQVGDYDPLGPAIVGGAVDAQLEEGESSGIWTEPIWYYEEDDEVSSAKRVRLD >cds.KYUSt_chr4.44614 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276334192:276334917:-1 gene:KYUSg_chr4.44614 transcript:KYUSt_chr4.44614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold domain containing protein [Source: Projected from Oryza sativa (Os03g0251350)] MDNHPLPYTTQPTATGAVPGAPVPGVPGTPPVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVMFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSAALGFASAGGVGAGGQAAGLPYYYPPMGQPAAPMMPAWHVPAWDPAWQQGGADVDQGAGSFGEEGQGFTAGHGGSAGFPPGPPSSE >cds.KYUSt_chr1.41805 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256334632:256336222:-1 gene:KYUSg_chr1.41805 transcript:KYUSt_chr1.41805 gene_biotype:protein_coding transcript_biotype:protein_coding MERDTVDQAVEGHRPRQPSSLEDDAYRAGLSEKEIERRRKIGAANKGKAPWTKGRKLSIEHRQLIKQRTIEALSDPKVKKKMLGHRQLHRQASKDKISAGLRKVWERRIVSVKSRQMILRIWSNSIAEAAKEGGHMQDEMDWDSYDKIKSEMISVFLWNKEKGRIIKKLKRAVKKIVAKKLQAAEKMEMQTRRAKKAKPPEKLVLQKPDAQPRRVLASTRSKLKERLTKWHGRKKELEIMISSRVRKGGGPRKPAAVRRRPVERRAEVDLVKEPVVPSGRLLKELHSPCKDGLPCADT >cds.KYUSt_chr5.16600 pep primary_assembly:MPB_Lper_Kyuss_1697:5:106760751:106763646:1 gene:KYUSg_chr5.16600 transcript:KYUSt_chr5.16600 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHTILWESDEDGLTLSVSNEAPERNIIWLPVQEATADSHWQYFIEPWRGRRTQEFAHWKYHMLGKFGCRISLDHQNTDSLPKNLYRLSSALLLEIDAAGFQPDLHHCNNLQ >cds.KYUSt_chr7.35898 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224294496:224298689:-1 gene:KYUSg_chr7.35898 transcript:KYUSt_chr7.35898 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDAMMMEPRKGLMGRERERDQFPVGMRVLAVDDDRVCLKVLEGLLRRCQYHVTTTNQAITALKLLRENKDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVLKGITHGACDYLLKPVRIEELRNVWQHVVRRKFSNRETNNLDFSKEYSKHPTTDSYHGLSQVASGSSDQGGSRASKKRKEPHREEEDEDEGDDNDNDAQDNDEPSGSKKPRVVWSVELHRKFVAAVNHLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLRRLSVVASQQASIAAVFGGRDPFLHMGAFEGLPSYQPFASSAGIPSFNPHGLLSGVGTAAFGLQDLAPSKAIQCASSNGAVSHCIGDTNKFHIANLQENQQANLAQGLTAPLGQPQLQQKWIHQETSDLSSFFSGSALANTMSGTLQRVTSSSLPPVELLECTQIKVGAHSSIRIPPASSGLLERSVGLSPNLQDSSISQQCAIPIDDRFSVNKLPLHIPFVDVSTTKLDASFVAPEQDMDQKGKFPERVTVLPSESLIAADRSKCGTRSSGSTMLLLSDTERHSKYLKFGVASNSRHGIDEMVNGSFNYNGGASVPEQNDVYDFGNSKLHGGFNSSSCNFDGLLNSIIKVENDDVPFADNDLGCELFPLGACI >cds.KYUSt_chr2.13134 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83277181:83279436:-1 gene:KYUSg_chr2.13134 transcript:KYUSt_chr2.13134 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSHSVMFSGNGEVDACVGNYISWARHAQLILSSHGYIDLLLPNEEVDIQSDVSAKQVNDKVLVWMLGSMEPTVREQVETMASVSEVWTALERQFAGKSNKMQATRVMHELTHLKQDSKSITEYAGEMKKLYRDLHYYHPFEPVDKRDLAIHHKWFESFVSKIFLDGLDQKFNLRRQLIFSKPEWPSLEDVVSNVMEEETRLADGNEDTRNVLDARAAMLQSMRTPGKRVSADNNRWFCDYCKKTGHIKDNCYKLHGFPPGWQKGRSQQGGVVGGKWKHANHAASTGEVPVVDLQALEEFKSKLKISEGSSSSQGSSKADSSFFVTSQGVGDRETTWDWDHA >cds.KYUSt_chr4.53620 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331713155:331713775:-1 gene:KYUSg_chr4.53620 transcript:KYUSt_chr4.53620 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPSALASQVTAATALLLVLLLIDGCVAGTQKVGGLDAWAVPPASRPDVYLRWGKSAHVRLGDSLMFLYPPGHDDVVQVTARAAARCSVSAPLLRLADGNSVFNLTAPGRVYYTSTLPGHCRKGQRLSLDVPTANGTYLPPSADDLAALALLAKLPPAAAPTKALPTLASLDDDDSGAAPPVARASVLAAGVAALCFAVLVYKET >cds.KYUSt_chr5.11798 pep primary_assembly:MPB_Lper_Kyuss_1697:5:76534813:76537391:-1 gene:KYUSg_chr5.11798 transcript:KYUSt_chr5.11798 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGFLASKNGFVVSIGNIYVYVSSKTFPVPAYRKLARSFHPDVNKDPGAEQKFKDISNAYEVLSDDEKRAIYDKYGEAGLKGSGMGTGDYSNPFDLFESLFEGFGGMGGMGGGRAARNRPMQGDDESYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGTGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFATPCKTCGGDGRVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDSVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGVVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELANLNKAEPANSRR >cds.KYUSt_chr2.50854 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318025780:318028720:-1 gene:KYUSg_chr2.50854 transcript:KYUSt_chr2.50854 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVAATTSRAVEPHRNPSPEPSSQAPSSSSSRAAEAEARPVSHDGGAEEDVLHLDSPWVAAAEADSRLEEAAMAAAAAGLRLCAENEADEIRDNLQRQDDEVGSNFIKLPCQHLFCVKCMETLCRMHVKDGTFFQLVCPDTKCNVSIPPYLLKRLLGEEEFERWDKLTLEKALDSMSDVVQCPRCAISCLEDEDSNAQCPKCCFVFCSVCKDPRHPGKLCLTLEEKLQRQQASGKMATRGMVEDMISVKLLYSNARSCPKCQMTISKTDGCNKVVCSSCGQAFCFRCGKAIIAGYAHFSGRCDLFHHKEKDTTDWGKLLEQLETRNRDDDKYIFCWSCQASYCTLCKKQVQFAGEQSEHWGSQDCVKIKF >cds.KYUSt_chr5.34701 pep primary_assembly:MPB_Lper_Kyuss_1697:5:219894045:219895946:1 gene:KYUSg_chr5.34701 transcript:KYUSt_chr5.34701 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGVKVFGMWASPMVIRVEWALQLKGVTYEYIDEDLGNKSDALLRHNPLTKKVPVLLHDGKPIAESAIIVEYIDEVWKDGYPIMPADPYERAQARFWAKYADEKCNAAIYPVFTATGEAQSKVVHEAQQCLKTLEKALEGKKFFGGDAFGYLDVIVGWYAHWLPIIEEVSGASVVTEEELPLMKAWFDRILAVDVVKETLPPRDKLLALNKARRKNDLDHYLKVVGVKLFWSTWQVVLATVASHTAPQRQAIDLPAGPSSSPSHQRLQLTRATPTAAAGMKWIPSISLRWAWVRAFCVIGFDMKRRQPVEVCFPPDALAHGGLDCLVAFSSFSD >cds.KYUSt_chr4.10286 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62331745:62332614:-1 gene:KYUSg_chr4.10286 transcript:KYUSt_chr4.10286 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRPPPKGPQWGGPADTEAGFEARPLYPMMLESPQLRWAFVRKVYTILSIQMLLTIAVASVVVFVRPVALFFVSSPGGFGLYIFLIILPFIVLCPLYYYYQRHPVNLLLLGLFTVAISFAVGLTCAFTKGEVILESAILTAVVVVSLTAYTFWAARRGHDFNFLGPFLFAAIMILMVFALIQLFFPLGRISLMVYGGLAALIFCGYIIYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRAADS >cds.KYUSt_chr4.33586 pep primary_assembly:MPB_Lper_Kyuss_1697:4:205994670:206000558:-1 gene:KYUSg_chr4.33586 transcript:KYUSt_chr4.33586 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAKPNPPPPPAAATAQPSTKPASSSSSSAADPNTKRTLPAAADAAHSTPSHPNHNTNGPPNPSPLLPSPHLQPPQQQQPLPPSRPLLTVAAVDAAMAVLGPPPQYGLESLDRRTVALSDGTVRTYFALPLEPPPQLRQQLPPIFPLPHLGPPGPGPGPNRWIPPLMHAAAPPGPPTKRKWEGQSNGGGPGESSGRQQQQKPVAKQVKVEPTSFLNMVRMINENTEVKNSYLANGKNYKCAVCNRDSVDMHALLNHSYNTKNPESRADHLGLHKAICVLMGWNYSVDPVHKKAYQTLSNADAEANRGDLILWPPTIIVENTYKSRNNGQKEAMSNNEMESKLREMGFGGVTVKPLVGKDGAMSVRFASNLAGLKEAVRLADLFEAEGHGRLQWDQWVQTRGIPSSYVEGGNPMFVKVDEKGQQTWVLYGYLGTASDLDVLDTESKQNVVIKSRKEIDLSD >cds.KYUSt_chr2.13256 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83972871:83974738:-1 gene:KYUSg_chr2.13256 transcript:KYUSt_chr2.13256 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGSKAASASSAAFAVLHAENAEAEASATASEAELLVKEREVEDLKEGGAQGLVAAADLWKSEAEAAVVAEGVAGAATPVLADDVDQKAEAEEGVAVRGPADAGKGKEEVVVREAEGGEGSRKRKRKEDEAVPAPAVPAPVPAPVPAPVPAPVPAPEVPAPAFPGQYEQLEDSDGSLEYDSQDSCESVDSRNIASFWTKLLKKLDAGDLPFKKRGMYFCPWHKVKPRDGILGSLRQHCEELAHTGSSKQIRAEHQGLLMVLANEDA >cds.KYUSt_chr2.40890 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253987868:253991218:1 gene:KYUSg_chr2.40890 transcript:KYUSt_chr2.40890 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMRRHSVDVPLSRTLVQLKRVRSLRDPSTNSLSKYASPSDNMIWETASSNGATMELSRSAHHHLIEEDVDLEAEATMGSERSFRAPNARTASYRKSSVVKIRGLNPPRNKQGHRVRVDGHRKSVDSNHSNHSSLRQLANNIVTNVPEEKEEEEVNSYEQAHLALPEKTDEEVEMHSKFRNRSSAAMSRVGSPCMSASEARSVGSRRSTLGHGTEDTRMRSNDVVGSNFSGCGISYCWSGASKYRDLYSDSDGPEQPLLSPEGTEAAFQDNVPYTETPRCLSQKFRPRSFSELIGLNAVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCLSLEEQRPCGFCKECVILFSGRSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIVDECHLLQEDAWSAIVKSLDEPYRHTVYIMITSDLDSLPRTSVTHCQKFHFPKIKVADIVYRLEKICIDEGLEFDHDGLYFISAKSNGSLRDAEIMLDQLSLLGKRVTISLVHELVGLVSDDELIELLDLALSSDTTNTVRRARELMSSSIDPLQLVSQLANLIMDILSGRCQSAVTEVSKSFLGRYALAEVGIRKLRHALKVLSETEKQLRTSRNKATWVTVALLQFGTNESDLVAETNDIHAHSATGYTDDWVSKVYADYDATNVKFSEVAIAEVGFSHPDHLSRAEKMQSLIEGLLQHVLGFNVEIRFKLVPCTVRKDPRLKRHSFSLLGCSGRKQELSDSSVTEEDEAVRHGARETPLKGYSSSQQRSPFIVQRVDSKPKVHGSEDDARSTLTSNRSMTDDLTRTCRSETNCSKGASEQGRFDSIQEPDLQPNCFSRTLKLQKRFFSSDAAHTICFRIQPHNKMSFLPKKEFDTYFCAYEPYEQCPRSNSRATYGSRDEDL >cds.KYUSt_chr1.36567 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223126524:223133386:1 gene:KYUSg_chr1.36567 transcript:KYUSt_chr1.36567 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDGDSEGLRADARRAEVIKWLGALIPEHRLPSDSSDEELRELLGDGTVLCRLADTLIPGALEGLPGGYASSEQRSGNVKKFLSVVADMGLPGFSVKDLEEGSMSSVVDCLLVLRDNLSPGLVNDNSGDVLKTPSRKKWRVPETDVSLVAGGAAQGKTPTREDRGNGLPYPKSQQKTPAFNGQKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLTVVNGILDESIERRKGEIPHMAINLLHVVKEEKSKIEERRKFSEQNVVRLVKEKENAENMIAGLKEEMEEMNRLYEQQLGQFEVKTKEMEDQLTSKVKEFELHVVQSNRKIEEIETASKLKSQLWNKKENIFQNYMNSQQLNVKSLTISSRSIKNDLNVFQMKWKDEMSNLGSNLKCLVDAAENYHKVLAENQKLFNEMQELKGNIRVYCRVRPFLPGQDKKSTTIDYIGESGDLLISNPSKQGKDGHRMFKFNKVFSSFASQGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDMKELLEQVSSLKDTISRKDMEIEQLQLMKDNAKPPNLFIDKPSKFNSNQASLVTLNQRITLSDHLSYAEVNADAGHTSPIGIAPMRLDEADYEENVSGDEMTMYLIASIRIINCFPSFYI >cds.KYUSt_chr1.3477 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21020786:21022601:-1 gene:KYUSg_chr1.3477 transcript:KYUSt_chr1.3477 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPSAAVLAAAALAARKSGAAVQGRLTKRSLLSEAAKAPSPAPSSVASAALAGGSSGQRPTAVQLLPAGMSDPAVLVEGLGSLSLSPVASDGPAEVPRADEALKASSLLRVASLDSDEDDDDEELVPQSPLAGSVHVVEVVAVPCGGLHASSDTLGNDNDWVLVGRGSHPCREPSSLLRKEGLERSLAFKRWARGRCFRCLERDHQCQLSLLRMELIQLVATRVEEATRPLREEVASLKLLLAHVGDSLEPTEACSSGGHELATVQVSLALGSVEEKSSVVEEEYLYSCFSPRGSPGQSLQHVVSVASESEGIDETLAPVLQITPERHELLGDSPAVLPLALCSFETLEVAMTPPPPQLEPCHPLSSLDCEAVLAPSSEALFAKELCGLLASLEAASPGYGKDIDCVLAGKASEDMFRRVQKSLKRVSIRSIRRKRAG >cds.KYUSt_chr4.18602 pep primary_assembly:MPB_Lper_Kyuss_1697:4:116668605:116669700:1 gene:KYUSg_chr4.18602 transcript:KYUSt_chr4.18602 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNASLPPGVRAERCWCGRLAKVKEVVDFSDKFGMKYFMCASYDHDPPRQTSSSSSRPASPPPLCMWFDWIDQEQPDWARREVEEKQRRAWAMFYEEERFEKAIANDKAERERQIQKLRAEQARNREVNQKRMDDEAARRSICIIPVDDDDVAAVALDRKATTGDEGDEVDANLGRTDFHHPLYVSHLLAMESEICMEIPDSGESNWHLC >cds.KYUSt_chr1.825 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4400116:4403995:1 gene:KYUSg_chr1.825 transcript:KYUSt_chr1.825 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKKVLDDVKPYMMMVLLQIGYAGMYIVSVASLKQGMSHLVLVTYRNIVATAVMTPFALIFERFRGLRPKMTMPIFVKVCSLTLLEPVIDQNLYYLGNKFTSASFSSALVNILTTVTFIMAIILRMEKLRFRSLHSQAKVAGTICTVTGTVLMIMYHGPVVQFPWAHHADPSAAAAAQSSATWLTGTVMIIACCVAWAGFFVLQSNTLNSYPAPLTLTSIICAMGAVVNAAVTLVAERRNMGACWVIGLDTRLFTVVYSGIVCSGVAFYLQGLVTKTRGPVFVTAFQPLCMLITAIMGSILLKEETTLGSFCGHSVIGAAIIVLGLYSLIWGKSNDILDSKTAAENLALPLTSVVANGNSSNGGANGGRHIRAKAASGIGDTITIGRVMYYGNIPFMPAIKTWVPAAVNPTAYTVIPFGEFHIFIGWTGQEAGFDSNNNLPAPSAEIKMQAGSGSTLSAEAAEF >cds.KYUSt_chr5.18865 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121992476:121999770:1 gene:KYUSg_chr5.18865 transcript:KYUSt_chr5.18865 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDALFEVAPAAGIDPVPVRLVVREPRYAGGRHPALRPFSAAECRQGSRLVSLSQRASTAISWTTWSGVSYKCGAGRRRIGFRQLKHSNAAPREDAIIAYLEKLSGHDAQDLVYVVTFLSALQFMQLLAVMEQACTELRGLDAQRTGILRLIETGSGTQRPKQAEINWGTKEKDTGAKEKRINRVFTSLCHILTGGGNILFAGTSSQQQPKLQRHRSHSYGVGYIPVSPDTSNLQIEIEYGPEEKEFGAAGKSYSN >cds.KYUSt_chr2.4322 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26581285:26587556:1 gene:KYUSg_chr2.4322 transcript:KYUSt_chr2.4322 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVAKFKSRLTLHLPENRAPDLCQSDLISPIGRLSLSPSARVKEASKVQVTSAIQKLVSKPSEGSKEAPIRVGDTTPKTILKADDSPEVVISGTSTFKDRQKQLADEADAVYNKKVHAKSSHVNNTLKTGGANLQVQAEVVEVVDVDKLIPKRTPKVNGFVTLQPKNSLVFPLPENSRFPVSDDDIMHFCAIVELAYTDRVQKNYALEYHGVHCSFISLGQTLMPDGHIDNFLIPCFCRKLFEDNHPSKSGRHYFFSYIGESILELSNPMHENMVRTSFLGAASASKGKRLDFSDRLFFPICHLEHWFTFVVDFKFKLFAFLDSFYGPTSDYQIAVRAPLIKKFTTLWYKIFNTDEPNFKKFQVMTPNMPKQGNAHDCGVFQMKALEVFDPTKDLRKEFSKADIIHMRIQYANRLFFHNGNKADRSLVTNYYVKQAGEIDNLTVSLGQSTLVVLCRFHVGAGIPGVAPHYIPLPSTFNVLLGSSWFDKPWFLSEGKLAAVRIIPSSWGSPTNV >cds.KYUSt_chr5.22588 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147414959:147427819:1 gene:KYUSg_chr5.22588 transcript:KYUSt_chr5.22588 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPEQFRGQSRLPRFAEPLRYDLLLRPDLAACTFSGSAAVAVAVSAPTRFLVLNAAELSDVAPTEVVQFEEDEILVIGFGQELPIGEGVVTMDFTGTLNDQMRGFYRSKYDYNGESRNMAVTQFEAADARRCFPCWDEPAFKAKFKLTLEVPTELVALSNMPVVKETVHGPLKTVCYEESPLMSTYLVAIVVGLFDYIESSTLEGTKVRVYTQVGKTSQGKFALDVGVKSLDLYKDYFATPYPLPKLDMIAIPDFSAGAMENYGLVTYRDSALLYDEQLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVESIFPEWNNWTQFLDETTSGLRLDALAESHPIEVEVNHASEIDAIFDSISYDKGASVIRMLQSYLGADRFQKALASYIKKYAYSNAKTEDLWAVLEEETGEPVKDLMTTWTKQQGYPVIFAKLNGQYLELEQAQFLSDGSSGPGTWIVPMTSCCGSYDVNKKFLLKGKADKIHIKDFAASETADRGTCQNSWIKLNIDQTGFYRVKYDDELAAGLENAVKANKLSLMDMIGIVEDSYALSVACKQTLTSLLRLLNAYRHESDYTVLSHVTSVCLGVNKISTDATPDLSRDIKQLLIKLLLLAAKRVGWDPKDGESHLDVMLRSLLLLALVKLGHDETIAEGIRRFHIFLEDRKSPLLPPDNRKAAYLAVMRNVSTSSRAGYDSLLKIYRETSEAQEKSRILGSLSSCPDKDIVVEALNFMLTDEVRNQDAFYVLGGISLEGREVAWAWLQENWDHVVKTWPSSSLISDFVNSTISPFTSEERAAEVSEFFCTRVKPSFERALKQSLERVRISARWIESIKSEPNLAQTVHQLLLEEISIPYLRGISQCDCWLSRRLYGWRDCKKPLKNANAGLADHLYSLGIQHGHSLATGGFAAFYIFVHHDLVTSVIVSSPTTLSTLTTVECIGTSPSSPLRISPPL >cds.KYUSt_chr6.7455 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45342029:45343916:-1 gene:KYUSg_chr6.7455 transcript:KYUSt_chr6.7455 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLQMLHMFQAYRGDHKGANFKFLHVFLRIETCEKWKKTRLTLAKTKDDVYKPDSRCPGVRGQARAIQGWRKAMGPPGERLQASIDACIADARAHGEQRQVKADERWKLHFQKNDVKIELLKSTATATKKNTDLQFLLGENTAARVRQPANLFSRSLARSPPEAMEDALMISPELRDVLAKVAAFLLVQGLVYLILTNSSDVFSKNKILRSLSFRTMRSMSVRRLLAPLSDVPVGTDDLGSEPPSPSYFSRSWSSRRGGMRED >cds.KYUSt_chr6.9102 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56276406:56276789:1 gene:KYUSg_chr6.9102 transcript:KYUSt_chr6.9102 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGTKAAAALPPPSPAKVSKPTTLLDKHEVEWITRELERLLVKESGGGGADHRHSHHHRRKMAKVKVVSPVRSRKPDAQAKKGGFLSELLGRHAASICCDSAGAGAVVSGRPRRGRAGFREVDKV >cds.KYUSt_chr4.47734 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295489563:295493522:1 gene:KYUSg_chr4.47734 transcript:KYUSt_chr4.47734 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAAKPEPEKKSWADVEEEEEAKAKAEAEADLAAAAAPSSSSAVTEPEVEAQAKQIEALSLTVPDDEGGSGAEGPPLLDDSDDSQIQAVTSGGTVYESATTFEDVNLTPELLKGLHEEMGFSRPSKIQAITLPMILTPPYKDLVAQAHNGSGKTTCFVLGMLSRIDPNRKVPQAICICPTRELAQQNKSVLMRMGKFTGITCACAIPPAQKDYVPISRMAPVTDQIVIGTSGTLMKWIGNKKLSTRDIKILVFDEADHMLAEEGFKADSDRIMKDLKRNQAGCQVLLFSATFNDKVKDFVTGVIKDGNQIFVKKEDLTLEKVKQYKVRVPDEAAKIEVIRDKILEFGQKVGQVIIFVRTRISTKNVHNALTKEDYVCSSIQGSLDQSEREKVIQEFKDGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFNTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLSGGTDDVVMTKIENYFQHKVPEIPNWKSEDNFETALKDAGLLE >cds.KYUSt_chr1.39967 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244689174:244689509:1 gene:KYUSg_chr1.39967 transcript:KYUSt_chr1.39967 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFFSSILQLGFKSRLMEAPSRPSPLLLYGLTPPRHGHGTATGEKKGNMTTSSSVVMLRWNARLVLDTDMELLLGDLGIHRLIVAQADGASEAGGGACTDMRGALPGAR >cds.KYUSt_chr5.28052 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177490433:177494727:-1 gene:KYUSg_chr5.28052 transcript:KYUSt_chr5.28052 gene_biotype:protein_coding transcript_biotype:protein_coding MATITEERAGFLLDIFNPDSAAVEPYQRLHSDPSSFGRPGVSLNDDDLVKDKLLIDCGEDQDCVLDGIVALGKFDALHIGHRELAMHASKSGNPFLLSFVGMAEVLGWEYRPPIVAHCDRKRVLSSWATYCRNLVPLEYQVEFSKVRYLTPHQFVEKLSADLRIKGVVAGENYRFGYKASGDAAELVKLCEEFGLSAFIVRSVMDTAKRSYNGASPAVNSSDKGQVSSSRVRHALSVGDIEYVSKLLGRKHRLVLTVSEYSLKERKNIIVPKSCMLNMPPADGFYENCELFNGGYLGLCRVVINTETIDIEMKDESSLPPNPFQEDQLLGIEFG >cds.KYUSt_chr3.6011 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34302788:34308339:-1 gene:KYUSg_chr3.6011 transcript:KYUSt_chr3.6011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLEQQPLPLLLRPVLLHGAGAAAHLLLALAVAGHLVFAAGSHRSKEPAAAAARRAGFQWCRLAVRTTWALAASDVFLAAYTLVSWYLDMTGGGGWGPPDAVADQADAVSRAVAWLLLATYLQLQYRSRGEERFPAPLRLWWALFLLLSVLVVAVHAVAGLQYKIPVPALSWARDAFSVLAGAVLLVAGFSAEKGAVGGSASEEPLLNDASDTVESSMFTSAGFLSLLTFSWMWPLLAIGHRKTLDLDDVPDLDHGDTVAGLLPSFKDNLEALTSGGQKVTAFKLTRALVRTVWWHIAVTALYALIYSLAIYVGPYFIDSLVKYLNGDERYAGKGKLLVFTFIAAKVFECFSQRHWYFRIQQAGIRARSALVSLVYQKGLSLSSISRQSCSSGEMINIINVDAGRVDLFSWYIHDIWLMPLQIAMALFILYSTLGVASVAALGATVIVMLANVPPMKMQEKFQQELMDCKDVRMKATSEILRNMRILKLQGWEIKFLSKIIDLRKTEESWLKKYLYTSTVATFVFWGAPTFIAMITFGACMLLGVPLDSGKVLSALATFRVLQEPIYNLPDTISMIIQTKVSLDRIASFLCLEELPMDTVQRLPSGNSDVAIEVSNGCFSWDASAEVPTLKDLNFQTRQGMRVAVCGTVGSGKSSLLSCILGEMPKLSGEVKTCGTIAYVSQLAWIQSGKIQDNILFGKDMDSEKYDSVLESCSLKKDLEILPFGDKTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGALGSKTVVYVTHQIEFLPAADLILVMKGGRIAQAGKYDEILCSGEELMELVGAHQDALTALDVIDVANGVSDPFSSSGAASLSRSLSSAEEKDKQNDKEDVSKVQSGQLVQEEERIASNYWMALASPVSKDVEPPVSMSTLIYVFVALAGASSLCILIRALFLVTAAYKTATLLFDKMHMSIFRAPMSFFDSTPSGRILNRVAWQVFVVFVPLTGACFWYQRYYIDTSRELQRLVGVCKAPIIQHFAESITGSATIRSFGKENQFVSTNSHLIDAFSRPKFYNAAAKEWLCFRLFALSCLTFAFSLIFLISLPAGLIDPEIHIFAIVRYAPQLPFVLKGLTFTFPGAMKTGIVGRTGSGKSTLIQALFRIVDPTFGRILVDDVDVCTIGLHDLRSRLSIIPQDPTMFEGTIRSNLDPLGEYNDNQIWGALDNCQLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILKRTKILVLDEATASVDTATDNLIQKTLRENFSEATVITIAHRITSVLDSDMVLLLDNGVAVECGTPAKLLEGKSSLFSKLVAEYTMRATHT >cds.KYUSt_chr3.28363 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176942858:176943897:1 gene:KYUSg_chr3.28363 transcript:KYUSt_chr3.28363 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGAKKRAKLKKKQQGGHPAGSDGGAHANNNKEGSNNSSHGDAASDGNHLPIPLNIPHVDASEDSMESSEEMVTPRAGADEEEKKGAASEVPVEVVEADVTAEEVMVDALPPEAAEREQEGKGDLGAEAHAVVQEPEPEAKSEEVVVQETAKVHPAHEPETTAEEVVVRETATASVVQKPEAKGEVSRSREADGAQTTEVARGPAVAVAAAQHRAKWWDCCGVFDAVAGSER >cds.KYUSt_chr3.19462 pep primary_assembly:MPB_Lper_Kyuss_1697:3:119672844:119673560:-1 gene:KYUSg_chr3.19462 transcript:KYUSt_chr3.19462 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDTTWPHLPAHAPAPALWPCPAWFSPAAAAFENDALASALAHASASASPSSPTPSSSTTTTTTSSASELSSVPYDVPPAHAQPATCRPVPAPRQCTGRVSKRKPRPSRRPPTTYITADPADFRRMVQKITGFNVPGAAERAYPAAAPRRPEPLAFVLPTLDTSACFMLDQPPFPPPPQQQRWEDNTSSGAATGMAAAAGDGADEPSLLLMHELEAMMSAPGAASGFPTLESWGII >cds.KYUSt_chr4.54348 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335928703:335929069:-1 gene:KYUSg_chr4.54348 transcript:KYUSt_chr4.54348 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGVSYVTAAEVVSMVSDPHVAIIDVRDEERICDAHIAGSHHYASDGFAERLPEIAEATRGKQTLVFHCALSQAGPLLKNYYSPATLDLTSLRR >cds.KYUSt_chr5.40892 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258159946:258160940:-1 gene:KYUSg_chr5.40892 transcript:KYUSt_chr5.40892 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVVTAGGAADLASEKQPYCDPPPSPFLDTSELSGWSLYRAAIAEFMATLMFLYVSLATVIGYKSQSADACTGVGYLGVAWSFGATIMVLVYCTGGVSGGHINPAVTFALLLCRKLSLVRAALYVAAQCLGAICGAAVVKEVTGRSYEALGGGANAVADGFSVRGALVAEVAGTFVLVYVVLSATDPKRTARDSFIPVLVPLPIGFAVFVVHLATIPITGTGINPARSLGAAVMYNQRKAWKDHWIFWVGPLIGATGAALYHRYVMRGEATKALLSSFRSTAPALPWREQS >cds.KYUSt_chr1.1453 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7894027:7894992:1 gene:KYUSg_chr1.1453 transcript:KYUSt_chr1.1453 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPPPSEAPAPSSESNAAETIVPPHAKRLDWTVAEEEKLIATTFNSTSDPACHRTSKQLKDHWNAYNKEVSLFNGYYIQEEGLLQSGADDDMVMKAAMERYANDKRVTHPFRKHHWWQAVRNEAKWKGQHGPGSGTKSTSKRSRLGLSGEYSSSEATTEEERPTGRDRAKAAIGPRPRYGLVKAKLFKQWNKMKDRSTDDMIEAEKRKHAKAIKMCEKELGLEDEEDEEQQEEEEEEEE >cds.KYUSt_chr6.26668 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169047819:169048064:-1 gene:KYUSg_chr6.26668 transcript:KYUSt_chr6.26668 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLAVALLLLVVLAYCDGRELNGKEQTLAAARGAGAGAAGVGEAKVLGLPDLPLVGTATGTSTITGPLVVLPGIPAIGP >cds.KYUSt_chr3.36979 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232658580:232660678:-1 gene:KYUSg_chr3.36979 transcript:KYUSt_chr3.36979 gene_biotype:protein_coding transcript_biotype:protein_coding MVRADPLSFQLWMRPNAGLILYVASLSQTVKRRNGGRNKHGRGHVKLVRCNNCAKAVPKDKAIKRYWVKNVVEPAAMRDLKEACLFEGYVLPKLYDKLHWCIGCAIHRREIKVRSRKDRKNRAPPPRHFRPRIQFAFCFSMLPIAFVACLLKPIDLLRSYIGIMLRPKLSLWRNGLWVTLLVLVVVVGVLVVLALVSVPVVLAVVSVVVVRAVASVLVVRVVVSVLVVRVAASVPVLQLPSLAPDLASWNAPLLLTSLALTVEL >cds.KYUSt_chr2.31312 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193286247:193290866:-1 gene:KYUSg_chr2.31312 transcript:KYUSt_chr2.31312 gene_biotype:protein_coding transcript_biotype:protein_coding MATRERAARLGTPRLLKENDAQYGKRQRTAGGSARPPLQNAPAPPPAPAEAPIEFAGRDDVDALLNEKMKGKNKMDYKGKSEQMIEYIKKLRACIKWLLDREDANLAEIGKLTGLIDAAEKHHTEAVAQLESKIQESVAIKEELQRQYASLEDNLKKVEAEKMDLIRSYGDEKEARVAAESSRNEISEELNRVKLDQKRLNDQIKMLQDTNKRLQEYNTSLQQYNCNLQADATKNAETIAKLQKEKNTMVETMNGLKDHANSVKLQLDLAKSSQSEALRQKNDLLKEVDTLRCELQQVREDRDHKAAEISSLLSDLSAHKELTGKTSMEIENILTRCGALEETCSTQSERIRTLEIQLASANEKLKRSDLTTMETMTEYENQKRILEDLQLRLTEAEQKIVDGENLRKKLHNTILELKGNIRVFCRVRPLLSNESGAVSYPKTGENLGRGVELLHNTQAYSFAFDKVFDHSASQEDVFTEISQLVQSALDGYKVCIFAYGQTGSGKTHTMMGNPEIHDLKGLIPRSLEQIFQTSQCLISQGWKYKMQASMLEIYNETIRDLLATNRTSVQDGAASKYNIKHDANGNTQVSELTVVDVRSINEVSSLLKRAAQSRSVGKTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLADVIFSIAKKDEHIPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEASSTSESICSLRFAARVNSCEIGIPRRQTQVRSLSQG >cds.KYUSt_contig_2887.108 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:529863:531053:1 gene:KYUSg_contig_2887.108 transcript:KYUSt_contig_2887.108 gene_biotype:protein_coding transcript_biotype:protein_coding MLARFGCPSSCKPASSRNYCSKAPADAMSTRRCRSAVNSRSMVAGRREEEEEWRRYLTPERFEVLAHLEPWAEAHMLPLLKPADEAWQPSDLLPDAAALGADGFHQACLDLRARAEGVPDAQLVCLVGNMVTEEALPTYQSMSNRFEATRDATGADGTAWARWIRGWSAEENRHGDVLSRYMSLSGRLDMRQVERTVHRLIASGMAMHAPASSYHGFVYVAFQERATSISHGNMARQVGAHGDASLARICGAIAADEKRHEAAYTRVVAKLFELDPDATVRAMAYMMRRRITMPAALMEDGRDTDLFAHYAAAAQQAGVYTTSDYRGILEHLIRQWRVEELAAGLSSEGRRARDYVSGLPEKIRRMEEKAHDRVRKEPTPVTFSWIFNSPVNVVLP >cds.KYUSt_chr2.29168 pep primary_assembly:MPB_Lper_Kyuss_1697:2:179375293:179376349:1 gene:KYUSg_chr2.29168 transcript:KYUSt_chr2.29168 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKSKVELGGEDALQPTLSLKGKQAEVLPLPYNIVITPSQIRDLMLPPPQLTNCVSEPDTKVSPSFTGFFTEFQSQRELELAATRLPKIVSEPEINEIGSIFKQKKKIFKLAGDNGITEAFKLGGEVEIKLVEKDEMDELLKNFGEGQFKENEVTRTRYLSFYR >cds.KYUSt_chr7.18048 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111978970:111982872:1 gene:KYUSg_chr7.18048 transcript:KYUSt_chr7.18048 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNRASPLFPLDLIQPTKVHSSRYPAHESPVKSFTHLIVILSGSFLAETKAREEKRLRGRTMARKRKELLSSAPWRTGEAEEDDEAARMSREGKVSVTSNPGETATMNVPRSKRPDLDLAVDDFEEDDIDPELRYSFQRNSRTKRELLMHRDPLDWGVRMVPDGFADHQWFTEQVSVMDISLS >cds.KYUSt_chr7.26546 pep primary_assembly:MPB_Lper_Kyuss_1697:7:165752878:165753826:1 gene:KYUSg_chr7.26546 transcript:KYUSt_chr7.26546 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPGSLVWELVKKNNCFLIKQFGNSNIKVEYYFSDENLPTDEFIGHRFCYRKSGKDDSSQDPMTQPVSSPLSRQSLSTLTGFFLKNQEFRKMAKAVKNQSLVWHMELKDQVVLKV >cds.KYUSt_chr2.3707 pep primary_assembly:MPB_Lper_Kyuss_1697:2:22428048:22428344:-1 gene:KYUSg_chr2.3707 transcript:KYUSt_chr2.3707 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHLCARPWRPRLAWVCYGCGVEWGMVNSVMGTSAESERHDRLLSYAADEASTDDAVLEQRERSAGRGAPYPASESCIAAVVDGRISSGGRKRRWQ >cds.KYUSt_chr2.35136 pep primary_assembly:MPB_Lper_Kyuss_1697:2:216702679:216703881:-1 gene:KYUSg_chr2.35136 transcript:KYUSt_chr2.35136 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLPPGTLMPGAPLDIEPSFESRQQPFQLAQPQLQLHHGMLPSPAIPVQDFVANAVKISLSDDEDIDDGHDYGWKEACQSLWRRVKWTSDMVKLLVSAVAYIDEEVDINCGGSSSRKRNHAMLKKKGKWKLVSRSMNERGFAVSPQQCEDKFNDLNKRYKRLTEILGRGTTCQIVENPQLLEGVKLSGKLREEAKKHLSSKHLHYEEMCSYHNRNRQCLLEDPTLQKSLRLGLRSQDDHGKNNSFGYDDNDDYMTFSDDDYEEDDEFNDVMEATAEYHHHISHGTKKLRIDQGETQSGSHLSEIAAIDVDKVCEGSGTSSGEKKLAQGIQIEKQRLKIKNDMLKMDERHFKWLGFSEEKDRELAKTRLEHEKMRLENERLELELNLKEIEMGIKPKNI >cds.KYUSt_chr3.49202 pep primary_assembly:MPB_Lper_Kyuss_1697:3:307586077:307591093:-1 gene:KYUSg_chr3.49202 transcript:KYUSt_chr3.49202 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPSPTAPPPSYWCYSCDRFVWPAADDEGNPAGVVCPACHGGFLEEMDAPPPPRRAPAYLRRRNADPVTAAAAAAPLHRSRRVPPSGDRASPYNPVIVLRRSAASPPPPADDAAAATSSFELFYDDGAGSGLRPLPDSMSDFLMGSGFERLLDQLAQIEAGGPLGAARPYDNPPASKAAVESMPTVLVAARHVGADSHDCAVCKDAFQLGAQAREMPCGHMYHHDCILPWLALRNSCPVCRHELPTELAPSESDQGSNTGAEAGSEEETTVGLTIWRLPGGGFAVGRFAGGGRRAGDRDLPVVYTERSSLVLEVRVELCFHPWVEEPQHKLDIARCNRQGVGARVVPKTERPVAKGSTRGTARCNPTETLRQLKDTMCCWVDHSHYRLGGHQHQPVAVREVVRHFRGEGTHGVHEGSCMVDLLPLVDVGSGYAAGLPVVVFASVVIVVDIDGFDLRGNEKVGTVLIVTVEAKVHMGDLSMQRFDQSSILETAFRKEEDHRGGITHYRIPRTCSTIALFPTLDQQSDVRSNLK >cds.KYUSt_chr5.42193 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265914854:265915799:-1 gene:KYUSg_chr5.42193 transcript:KYUSt_chr5.42193 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDYGSPSPSPPPPDGRTYHQKQARMSDLLLQLRRRANDGPADRHREQQQQQVQVINSDHLQLISPEADGTTRRTLVVICKKPRRPETPYQRPEHHERWSNPRRGRSPAPQEDDEDEGGTKVVCLTKMLSPGDRLEDDRFYWDFRKDVTEEARKFGHLVKVVIPRPSREAAPVVAGVGKVFVEYVHLDDAAWCRGRLDGMCYNGREMTAEFFPRAKFAAGDYV >cds.KYUSt_chr3.7240 pep primary_assembly:MPB_Lper_Kyuss_1697:3:41900820:41903529:-1 gene:KYUSg_chr3.7240 transcript:KYUSt_chr3.7240 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCPQAFFNLQSVSKRRLNSFVGVSSRLHAPSGSVPGGVEVGSGELYGGGSGARLDGVFSFQSKIYIAMSPWSGFKGDEGNPDSSLQIDDLAGDSELGYESYADHDAFLDLPR >cds.KYUSt_chr3.46198 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290741139:290748089:1 gene:KYUSg_chr3.46198 transcript:KYUSt_chr3.46198 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLQPYDPTRGLISCFTDDGLADDNISVATAETLRGLLVDIYEEAFRRLPVEDMPEGVDTAKLVDRGGLCLGLLDPVTNIVLNTISLLPEGFETTNPSTPANSGRSRPNRWSSHRRESTRDEWDAVAGRSSRCLLEFMRLYFGMLSEEQACRYLVWARADLAMAVLLVEHELYAARPTPPDPRSGRTRNSLRRAAKHANHPCPDQLVSLATAWLPLERLWILAPILRQEGRGNKLTVHDVKTVLQVLRHQEHISAMTTLPPSPTLEEGTTSCADLGDGRIAYITIVQRAGDHIASLRRPQDMDSMLSSYSTDAAPPGTMTNLPRDPPCLFVRSLEAADADVCPYVRSLEMSLYGAIHGFYLRALAMLPSHAARQHVRGVLLAGHCYGPMDPVSNILLSAIWYDVNFPLPDADRRTQPYDILDTLAILRAVSRSLHGLIALLHATSGQKLPLHEILKYLSYAQCDLSVMLQPHLLQDGSSPNPFVAAATAAEHPQASSVAAFFASLAPTKLDKLRSLMTSATANNTALSRESLTQIHTILKEETPAMMIQRPISQRRKLSNTALRIVATKREAYHHQQSFLCPTIEQLLREYARSHPFEPKYELDFICGVALAEQRYHVNFMATSKSTFRNSLFFAEFRGPYITIAKTPFCCPLPQPYDMGCCYYGRESARKIVYPDHSIDYLSSGITHGGLDDTENTLDTDFLYFDSERDAELPKPYDPTRGLISCFTDDGLAADGSISAATAETLRGLLVDLYQEAFRRLPVEGMRADGVKTAKLVDRGGLCLGLLDPVTNIVLNTVSLLPDGFETTNPSTPANSGRSRRERTRDRWDDVASRSSRCLLEFMRAYFGLLTEEQAGRYLVWARADLAMAVLLVEHDLYAARPAPPDPRSGRTWSSLRLAATHVKHPLPGKLVSLATAWLPPESLQMLAPVLRHQDLTVHDVKTVLQVLRHPDDVSAMKTLPPLPTLEEGTTSCTDLGDGRIAYTTIVQRAGDHIASLRRPQDMDPAHPAPPGTMAKLPPGSPCLFVRSLEAADAEACPYVRSLEMSLYGAIHGFYLSALAMLPRHKARKHVRGVLLAGHCYGPMDPVSNILLSAIWYDANFPLPDADRRTQPHDILDTLAILRAVSRSLHGLVALLHATSGQKLPLHDILKYLSYAQCDISVMLQPHLNQDGSSPNPFVDAATAAEHPQASSMAAFFASLAPAKLDRLRSLMTSATTNNTALSRDSLTQIRTILKEETPATRPLSQRRNLSKTALGILASKRQAYDRQQSLIRGSIEKLLREYARGHPSEPKYKLDFICGSALADHPRYGKFYHVNFMATTKSASGNTLFFAQFMAYHDYPKTSICCPLPQAYDTGRCYYGRESARKLAYPDHSVDYFSSIDITDGGLDNTEDTLDTDFLYFDSERDVELAKVLQRMAKQDEARQRSER >cds.KYUSt_chr2.38129 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236058996:236061129:-1 gene:KYUSg_chr2.38129 transcript:KYUSt_chr2.38129 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVRRTLEPTASPEDISGSTPYDSFVISGVRLDAAEHGRVLFSFVVTPRHANSQGYLLSGVTATLADQLGSAAIFTSVVGLSEVGLSELGLSGLGLSGVSLEISVSYLDTATVGDEIEVEVKLLRAGKSVGVVSVDFRKKKTGKLIAQARHTKYLAVSSKL >cds.KYUSt_chr4.46607 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288111849:288112967:-1 gene:KYUSg_chr4.46607 transcript:KYUSt_chr4.46607 gene_biotype:protein_coding transcript_biotype:protein_coding MAACKRPAAVLDAGHATTTQGSSAACKRSRHSVRSSDEYEVVARLGKGGFGVVVQARHRVTGKTVAIKYAAELEQEASFLEACSGNPYVVGFEGLMRDHATGGHCLAMEYVAAPSLHAFLWERRHQPPLPEPKVRAFMWKLLTGTKMMHDRHVVHRDIKPANILVGQDGELVKMCDLGLALHMSDSPPYSQVGTVPYMAPEMLLHKPDYDALVDTWSLGCVMAEMLTGKTLFHDDDDDDSHQDKFDDTTHIVQLWSIFRVLGMPDDRTWPEFKSLPLTAKFQQLLPGGLNHNRLRDMFPEERLSEQGFQVLQGLLTCNPDKRLTAAKALKHPWFTAPRPSVAAAKAEALPLRRKKTPGFLVALAAALKAQQV >cds.KYUSt_chr5.42987 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270960901:270961423:1 gene:KYUSg_chr5.42987 transcript:KYUSt_chr5.42987 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSLLTALLAGFILLLVAPPPWSGVAATDHVIGDSIWSIPTSNDHYRVWASNRTFFAGDNLVFRFDTGMYNVMQVGRGEYDACTAEDPYQIFDTSPAVYHIEFPDVRYFISSIANYCSLGVKIWLPSEKRP >cds.KYUSt_chr3.2620 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15032930:15035814:1 gene:KYUSg_chr3.2620 transcript:KYUSt_chr3.2620 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLFIIGIIEAFFVLEVVAYIDPYAYVSPTFWRIVRSKSTEDFESAPYVLTLLNSLLWLYYGLTKPDGLLVATVNGFGAVMETIYVLLFLVYAADPTTRVKTVKLVATLDIGFFGFVFATTTFAIAGLDMKIMIIGLICACLNVFMYGSPLAAVRTVIASKSVEYMPFFLSFFLFLNGGVWATYALLDRDVFLGIPNGIGFFLGGIQLVIYAVYKNYRVKSQTSDEAGDDGWQATSFLSSDARV >cds.KYUSt_contig_2197.135 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:772095:773923:-1 gene:KYUSg_contig_2197.135 transcript:KYUSt_contig_2197.135 gene_biotype:protein_coding transcript_biotype:protein_coding MELCLEALMLSRAIQVGRSGLERLYRQDIVQKECWDENQKLALKATLCQELRRQKKQQTGRPRAQQVERREYCRVWANNRIPDYWIWFHYISLVKYPYEAVMHNEFGADPGKCFTRGAHMFEGTPMAKLPLETQLNVLNTMGVNFNSTSCITTGADILAKQAVDQLGKWGCLWVAVAWGFLFRVFFYLTLVLGSKNKRR >cds.KYUSt_chr7.16746 pep primary_assembly:MPB_Lper_Kyuss_1697:7:103763579:103764448:1 gene:KYUSg_chr7.16746 transcript:KYUSt_chr7.16746 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIQLGKSTSTYASAKEVALALISISPLHSSILCRRVPAPLRSHLPQGRRSRVGLFHRCCRRRRGSGRLQSLLALQVYGNTSRQPPPLITHRRDTVPLMCQCLNPRSLHRRSSRASQSPTTLLRGLAIVGLLARHFDAVAAEGS >cds.KYUSt_chr6.11319 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70096248:70097863:-1 gene:KYUSg_chr6.11319 transcript:KYUSt_chr6.11319 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSATKQVIRALEPALLNAPATRSLHASPGSKKIVGVFYKGGEYAGQNPNFVGCVENALGIRGWLESQGHQYIVTDDKDGPNCELEKHIADAHVLITTPFHPAYVSADRIRRGKNLELLLTAGIGSDHVDLPAAAAAGLTVAEVTGSNTVSVAEDQLMRILVLMRNFIPGHQQAINGEWDLAGVAHRAYDLEGKTVGTVGAGRIGKLLLQRLKPFGCTLLYHDRLRMDGKLEGELGATFEEELDAMLPKCDVVVLNMPLTEKTKGMFNKEKIAKMKKGVIIVNNARGAIMDTQAVADACKSGHIAGYGGDVWYPQPAPKDHPWRYMPNNAMTPHISGTTIDGQLRYAAGVKDMLERYFKGQDFPEPNYIVKEGKLASQYQ >cds.KYUSt_chr3.46128 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290418757:290419974:-1 gene:KYUSg_chr3.46128 transcript:KYUSt_chr3.46128 gene_biotype:protein_coding transcript_biotype:protein_coding MADWASLPPELVQDIAYSVLSTTGGVDTHMDMRAVCPSWRSAIAKPSPHAAFADHRFRPRHWIMVDLKSRKHDPDARLFLHVPTGRFRRLPLPVIRDHLVLGACDGLIVHEFTDRERPDMTGIRVLNPFTGGMLHFAVSLWESADELRTAVSGGPRSTLVVWRDYDWRWRTLLYADPTSDDFREEEKTGLSWVHSMVTFKGNIYYAGSDEGVFQFVAPAEQGDHEPVVIAKMLPDVDIYLEGDRPYARCFLVESAGELLVVRHWGQALKVFRVDVEHKLLEEVKSLGSRTLFLGGERCLSVDADIFPSVDADCVYMSNWVEMPEWRGRYTHVYNLRDGTMEILYTEPMLRANIHPRPSSPRANFCHQRGIFTRAYNHRLPPLSLTQVLLDYCNDNTCFYHFNDWW >cds.KYUSt_chr3.32925 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206864794:206867130:-1 gene:KYUSg_chr3.32925 transcript:KYUSt_chr3.32925 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRKLFAVVLLCCLIAVVASMPAEHVELAQDGEAISTYLVHVAYSHSPRATRNTARLTRAYTSFLRDTLPTGMNAPAPSILYSYAHAMTGFAARLTARQAAHLEAQPSVLAVIPDRLYELHTTLSSSFLGLTPSSPLMMESNSATDVVIGVIDTGIYPKDRASFAADPSMTPPPRTFRGGCVSTPDFNATEYCNNKLVGAKFFKKGQVAMASRSPLDVEGHGTHCASIAAGAPVPNANLFGFGQGTAKGTASGARIASYNVCGGRCTSIDIVAGINEAIADRVDVLSISISVSFTRLLHDPMMTASFRAVRESIFVSTPAGNYGPRKATVRNLAPWVCTVGASTMNREFRATVVLGNRKIYTGYSLYSGRDPYGKMKPLVYSGDAGSDWCEAGKLEPSKVKGKIVLCAAGGAAQGLAVKQAGGVGAIIASSAANGEYTRADAHLLPAASVTNADYLEILKYSRTPNPVARIFYFRTFTGFVNPPSPRVASFSSRGPNRVAPEILKPDIIAPGVQILAAWTGQVSPSKLDIDERRVEFNIISGTSMATPQMSGIAALLKAARPKWSPAAIKSAMMTTAYNVDNHGVIITDMSTGKAAGPFEIGAGHVDPNRALDPGLVYDADEDDYISFLCALGYTPNIVAIFTGGPVVEDICSKRQAIAVGDHNYPAFSVAFKSYDEKVTQRRVVRNVGSNVNAVYTLSYRALPIGWSAIVSPSKLVFDAAHQNLEYTVTFSLIMSAASKSSQTEAHSALVWTDGKHKVVSPIVLTWPTTTAAMAVM >cds.KYUSt_chr3.32993 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207215696:207222669:-1 gene:KYUSg_chr3.32993 transcript:KYUSt_chr3.32993 gene_biotype:protein_coding transcript_biotype:protein_coding MLECSQYRGGRAVIMATSTGPPPASELVWGVAGGVRVRDAEIERKVALELAGKCDIADFDSQSIGADAAEWWTPAFEFRIDAPLRSTLHLPQGSSARSRMNIAPMMQQREAWTSVQMDSFLDMGGAIASASRAAAASTDNGRLERVVEEDEDVAKTHGLLDGTMKRSS >cds.KYUSt_chr6.27939 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177234124:177238608:1 gene:KYUSg_chr6.27939 transcript:KYUSt_chr6.27939 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSIFSRKGASGFSWASTADQVTQGLTAIVTGNAPPLPHAFLFPLLPSLSTIRFVRTSGASSGIGAETARVLAARGAHVVMAARNIAAADSVRQTVLAATPAATLDVMELDLSSMASVRKFAADFNAKGLPLNILVNNAGVLATSFTLSKDGIEMQFATNHVGHFLLTHLLLETMKKTSRESNVEGRIVNVSSVGHKFTYQEGIRFDKINNEAEYSASGAYGQSKLANILHANELARRFKGAATTCYVALHPGAKGVSGKYFCDSNVYEASEKAKDVELAKKLWDLSVELTT >cds.KYUSt_chr4.46380 pep primary_assembly:MPB_Lper_Kyuss_1697:4:286823813:286837209:-1 gene:KYUSg_chr4.46380 transcript:KYUSt_chr4.46380 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWGLLAPVGRRVSVETLAGKRLAVDASIWMVQFMRAMRDDKGDMIRDAHILGFLRRICKLLFLRARPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLISHLKASRLEELAAQIKSDRAKHDAKGKQAESSRGEETEIAIGDQNRNDDGENSRGTVAPINQEKLDELLAASLAAEDEADFIGKGEHNSASVTVQEGTGIDEDENDDDEEMIFPMTTGDLDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIEEVQRGAAGKDVGGIQTSKIASEANREFIFSSSFTGDKQTLAQRGVDEHIIDSAKSKREIGSAVFKSSPSSTSRSINSHNSEPLTDFGPDVETYRDERGRMRVSRVRAMGIRMTRDIQRNLDFIKEHEQAKVMGHTNLSKGSTSNEEPPDFPEHLFENDRLQSSIGLTEDLAETIGVDDNTSSLVGGSDDICEGSDHGSKETIEISFADDQIGAKDNDDELFLHLVSGTSSSFFADDDRLAKNAEESDNSEGIWEEGVIEEEILPKKFGEKDYQSSVPDNCSADDEMEWEEGGFDVPDVPSSSEYNQFKLPRGDIEEEALIQEAIRRSLEDSEKQEFENGVPKDLQTSDVPEPSEAPGTTYYNSEASFCKETIKELGVESNAGEDGVMHDPEVLEADGQENKNQAQRESNDGQTGTNKYYLPGSLPPYNVSTSTSAARQSPSSKDNDTIISAPTTHERPKDDSDEVIKHNTSNSHKSECNINDPYIGETSKAPRKELLMDELVANTAIQKENVVQEDINITKLSENYDNNIISENNLEEEISFLRQEQVDLGNERRKFESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEINNLVDGVVTDDSDVFLFGARNVYKNIFDERKYVETYLMKDIESELGLTREQLIRMALLLGSDYTEGISGIGIVNAIEVVNAFPEEDGLQKFREWIESPDPAILGKLDMETSGSSKRRKPGANESCEKGNSLQPECVEGPDDNQSSNEKQHVKEVFMSKHRNVSKNWHIPSTFPSETVISAYISPQVDDSTERFSWGRPDLSLLRKLCWERFGWNKEKADELLLPVLKEYNKHETQLRMEAFYSFNERFAKIRSKRVQKAIKGITGKTFSETDELNEDSPSTSSASKKKEAGPSKPRGKRNTSAGPRQMGSHEDDKIGDPNSFADADADELVKEHRSASKKKSAVPSGRSRGRGRKRMNVGQETSRNQEDSEIKGSTLSPDEDSHKRHTDNYKSEGTTVRRSNRKRKQVTYMEDDHEADDNDVPLHQVDNNDPSQTSTDGDMAGRDTQFNLLRQDTGELNSDQMHEDPHIAEDINEDPLGFELGEDQTESAPKEYLFTGGGFCMEEEDEQGTEVDRSGGETVDGTSDACEDIGVVSDGGDGLTDSLQGLSSMEVNSIVDWRKLYFDKYIFSGKIVGRYYDSQGNPTKYLKGVEMKAKRGAQLLEKQKSEEDKIPNCNSKWSQAEGGEVSMVRSRNRLSKAGEEARRHSPDGKGEPAVRLFPGSGAGQAGASGVRRL >cds.KYUSt_chr6.10428 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64331133:64332521:-1 gene:KYUSg_chr6.10428 transcript:KYUSt_chr6.10428 gene_biotype:protein_coding transcript_biotype:protein_coding MHATLTLLLRHKHPDTGYAGSDTAAIHRHRHRRAGSGMARSLLGDAVLFCAGATVATVLLLTLASPFSPPPAAHVADEPSPSSSARGGGRTFYDDPEVTYTMDRPITRWDQKRAEWLRAHPELANADERVLMVSGSQPTPCRAPAGDHLLTRLLKNKADYCRLNGLQLLYNTALLRPSMDRYWAKIPLVRAAMVAHPDAEWVWWVDSDAVLTDMDFRLPLPRYAGRNLVVHGWPSLVYGSGHDRPPTWTGLNAGVFLIRNCQWSLDFMAAWAAMGPDSPDYQRWGAVLTATFKDKVFNESDDQSALVYMLMHNDSPWRDKVFLEDSFYFEGYWLEIVPRLGNITARYEEMERRAPELRRGHAVREHAERAAARNAALEAAGLGRSGVEGWRRPFITHFTGCQPCSGDRNRDYAGDSCDDGMRRALNFADDQVLRSYGFRHADPLSDDVRPLPFDYPAAAARQ >cds.KYUSt_chr7.544 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3015566:3020787:-1 gene:KYUSg_chr7.544 transcript:KYUSt_chr7.544 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKGAAKAETKLAVKSKGAAPKGRKTKPAKDPNKPKRAPSAFFVFMDEFRKEFKEKNPKNKSVAAVGKAAGERWKSLSESDKAPYVAKANKLKGDYNKAIAAYNKGESAAAATKKAAPAAAKDEDDDEEESDKSKSEINDDDDDEGSDEQAGEIDNLTVKLGQSILVVLCRFHVGAGIPGVAPHYTPPPSTFNVLLDSYWFDNLGFFLRENSLLYASHLPLGVPNGRVLYRHKHRAGVGDDHQEDVAEAKDVGEHRDAQAAELGPSLPEAAARARGRPCRRRPTRGFTRWFPPASAAGMDGGRVAT >cds.KYUSt_scaffold_1259.74 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:543189:544839:1 gene:KYUSg_scaffold_1259.74 transcript:KYUSt_scaffold_1259.74 gene_biotype:protein_coding transcript_biotype:protein_coding MRITWVTDDNSVPSVVDYGTKASTYTSSSHGESTSYSYLMYSSGKIHHVVIGPLEDNTIYYYRCGGQGSEFQLKTPPSQFPLSLAVVGDLGQTSWTTSTLNHIKECEYDMLLLPGDLSYADFMQHLWDSFGTLVQPLASTRPWMVTQGNHEKESIPFFKSGFQSYNARWKMPYEESGSTSNLYYSFEVAGVHAIMLGSYTDYDESSDQYAWLKADLVNIDRKRTPWLIVLFHVPWYNSNFAHQGEGDSMMSAMEPLLYAAHVDIMIAGHVHAYERSGRVYKGGLDPCGAVHITIGDGGNREGLAHRYHNPKPYWSVFREASFGHGELKIVNSTHAHWTWHRNDDEEPVKTDDVWINSLYGSQCIQDSSPEFRKILMSP >cds.KYUSt_contig_1537.104 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:627935:633972:-1 gene:KYUSg_contig_1537.104 transcript:KYUSt_contig_1537.104 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRVKPARAPPVGVVHGVEGLPEAVDLEGERRNGLWFKSCQTGQENARSYSGRSTRYESASASASRNSTYFAAAMAAATSSSSALFLLASSLRNCRSSFNKSGASSSSPASGAPLALVLPLLQASFAQRRRSQSARHSSNLGPLIGFIGGSSLYQRPPRAKSMSLSGTYAAPSYLQAARRLPAADFLHCRRHASSTVSGERDRFDPLAGEALLRRRESMQVAAGGMRRGRATNCSPEQEEEAAAHGGARVAKAAAVQQELGVNKLGLGSGPERIEKCGNRRLFIGRAAHGWRDLEATPWRLQAGHAPTPRETGDDTPKQRRPLGRRQSLDGADRDYRVRGSEEVRQGRARRWAAATPTTLLVPVLSSSSALDVSFRRSDGREHTSGGGWMLVSSQAPTTSQDEMAWPEPAGRALPGWKRMRVRRTRRGRKGADGQHPE >cds.KYUSt_chr3.2733 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15780428:15781105:1 gene:KYUSg_chr3.2733 transcript:KYUSt_chr3.2733 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFTMRARMALELRGVAYSLIEERFEPRKSDRLLAANPVYGKIPVLLLPDGRAICESAVIAHYVHEAHWPAATTTLHLLPDDPYERAMHRFWTAYVDGTFWPALDAASLAPTPEARAKAAAEARAALLLLEEAFAARSNGGAFFSGGAAPGLLDIALGCFLPALRACERLSGAAMLDEATAPLLGKWSRSFVAVDAAKAVLPETDEVVGFTKFLQAKFGVKLPN >cds.KYUSt_chr1.32655 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198315370:198315576:-1 gene:KYUSg_chr1.32655 transcript:KYUSt_chr1.32655 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYCQHSNSGIGSNYGTSTKEKRPPLKRGQLKRQIVRTISNLVAPRSEGTDAAEKRAAGRTSFGSYN >cds.KYUSt_chr1.6493 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39989635:39995207:1 gene:KYUSg_chr1.6493 transcript:KYUSt_chr1.6493 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAELLSLCLVLAAVAWTEGQQPRAVKVGALFNYDSTIGRAAQLAIELAVDDVNADRSVLARTKLDLIMADTNCSGFVGTVQALQLMEKNVVAVVGPQSSVIGHVISHFVNELHVPLLSFAATDPTLSASEYSYFLRSTVSDYFQMRAIASIASYYQWKEVTAIFVDDDYGRGGVSALGDALATKRARISYKAVIPPDANKDVISDILFKVNMMESRVLVVHVNPDTGLRIFSIANELQMMSGGYVWIVTDWLAAVLDSSKSGYPKNMSYMQGLIALRQHIPDSAAKKKFISKWNTAARKRKIASGLNSYGFYAYDSVWIVAHAIDKFLNSGQKINFSADTRLHDSDTSIITLSTLKIFDGGEHLLQQLLLTNFIGLTGLVQFDSDRNLVHPAYEILNIGGSVPGLIGYWSNYSGLSVAAPETLYQKPPNMSSSAQQLSTVVWSGGSTTKPRGWVFPNNGQPLRIGVPNKPSFKEFVASGKGPDNVTGYCIDIFNAAVKLLPYPVPSKFISIGDGIHNPKYDDIINMVANNTIDVAVGDFAIIKNRTRIAEFTQPYIESGMVIVAPVKQSTSSAWAFFKPFTLEMWCVTGALFVFVGIVVWILEHRTNEEFRGTPQQQVLTIFWFAFSTMFFAHRENTVSGLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLVTGVTGLDNLIASTVPIGHPAGKFIRNYLIEELNIHESRLVPLNTIQDYADALNRGPKAGGVAAVIDEMPCVELFLSYHCNFRIVGQEFTKEGWGFAFQRDSPLAADMSTAILQLSETGQLQRIHDEWLTRPSCSSDDSGLGPSRLDLGSFWGLFLLCAMICLFSLGAFFVKISCQYSRYSSSVAAGESSEASPTSPAVSEVHPTKPKPRRLDSFKDLMHFVDKKEEDGRPIGWANSVPALGLREEGPWPRYSSSILSFENPCAILEAIFLSDEGFRSHAAVHSESPVSARADQGDVLHSCPALAERWIGLSAADRFCAGEEVMAVGSPGVKKGFDVEDLLRKLHMSDVEKEGVFLAKEDRSDLPVVKWMAVGKLLSRKGFSAESLKRAMFAAWNIAQEVTFRAIEKNLFLIQAQCLGTGSASWRKVPGFSETVR >cds.KYUSt_chr1.40524 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248355356:248357382:-1 gene:KYUSg_chr1.40524 transcript:KYUSt_chr1.40524 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPLDPVSRLPIYDVYPAEAALGSLQGRDCVSSNTGPTAAAGVTVLGTSWRGRVWAILQADWMARMALSPVPADSAESTGHGNPQSPGWTKSRVLNLQGEDSVSSNAGLVAAGVAVLGNLVDRNGVGNGVAWLAWRTIRVLIFPFCVSGCIIESVGM >cds.KYUSt_chr7.39190 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243863967:243864624:-1 gene:KYUSg_chr7.39190 transcript:KYUSt_chr7.39190 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGRETVMGIGVKLGDGGAGWCDAIMKMSRCHGGGKRYVTSGTASADQWCGRMKLLREARWCGLGAEKASSTTRRAEYKELHENHPSESVVRLDACACVAGSIGSWSDSRNRASQ >cds.KYUSt_chr3.39019 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245860467:245862021:-1 gene:KYUSg_chr3.39019 transcript:KYUSt_chr3.39019 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMVASVGGTVLGSTRCLTEEDLKELQGCLDLGFGFAYNEIPGQELCYSMTRSSLEQQRLPGHLDWSPQQRWRPSRTRRSSDLNPSSAKHVLVICENPEEVKARLKYRAQTVACTLKLCS >cds.KYUSt_chr2.28467 pep primary_assembly:MPB_Lper_Kyuss_1697:2:174766035:174767237:-1 gene:KYUSg_chr2.28467 transcript:KYUSt_chr2.28467 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQLADAMKDQAAFSMRLLRGLGLRGDQNVAFSPVSFHAVLSLLAAGATGAIRDQIVSFLGPAGAEAHAALMSSFGQALRPSCEEGRRSPKIRCATGVWVDSSLRLKPAFAAMAASRFNAEARAVSFGSSPEQARSEINEWFEGSTGGQWKELLPEGSISGATMVVLANALYFRGYWYDPFDPTLTEDGDFYVSPGHTVRTPFMAGNYLHEYMCIACHPGFKALRMIYAGSHHDRLLSMCIYLPDDRDGLPELVHALSSDPAALLAVPTKRVPVGKLRIPKFEVSLRLEASQLLRDLGLDLPFRLNPAGQSFSEMLEDSKTPMAVSSVIHHCSVSVNEEGTVATADTNMEIIGFGPPSDEVIVDFVADHPFLFFIIREEGDNTSAIVYAGQVVNPLLD >cds.KYUSt_chr7.26960 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168478712:168483744:-1 gene:KYUSg_chr7.26960 transcript:KYUSt_chr7.26960 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAPDPTTEPVSRHHPSDSSPPPSLTAFTSVGASPEPEWEDHVPAAESLDDVAADSEDEAEWAAAAAAAAAELEDEAKWEDAAAAESEDDADTGEHDSPLPLLPSRAELFPRLEAACEARRLQDQEDRLLKRPPPPAGGGDPDDRPRKSRHWRRFSYLRDNPGCAVGLDKSQFSFKIPMRLQDYVRRCRRQSKRHRQSKPLEPNEVCRKEAREEENANKEGEEDANKEEENAKKEEEEEVGDAEKNKDRQMQNHVYKPGPEVIDNAKNGDDSSSDNDDSNDSINSQCSGVIPSPHEVEK >cds.KYUSt_chr7.21992 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136260547:136263885:1 gene:KYUSg_chr7.21992 transcript:KYUSt_chr7.21992 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGKAKSGSAGAYTINLENFSKRLKVFYDHWNVNNSDLWGSSDAIAIATPPSSDDLRYLKSSALDVWLLGYEFPETIIVFTHKQIHFLCSQKKANLIGTLKNAANEAVGADIALHVKGKNGDGIDLMDDILQAVCAQSKSDTPVVGHLAKEAPEGKLLETWAEKLSGESVQLIDVTNGFSELFAVKDATEIICVKKAAYLTSSVMKNFVVPNMEKVIDEERKVSHSSLMDDTEKIILDPLKAKVKLKAENIDICYPPVFQSGGKFDLKPGASSNDDYLYYDSASVIICAIGSRYSNYCSNVARTFLIDATLTQSKAYETLLKAQEAALAACKPGNQMCAVYQAAVAVFEKNAPELLPNLTKSAGTGMGLEFRESGLNLNAKNDRLIKEGMVFNVCLGLSNVQAETNNEKTKQFSLLLADTALVSDKTVEILTNCSKAVKDVAYSFNEDEEDTPKPKRPKVEPNGLEAVPSKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGDGSGSGNGRGPSKASNELVAYKNVNDVPYSRELVIQVDQRNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDNNLKSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQQASTKLKQMRLNDVWIRPASGGRGRKLTGTLEAHVNGFRYSNSRADERVDIMYGNVKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTVGGSRRSALDPDEIEEEQRERDRKNRINMEFQNYVNKVNDHWSQPQFKGLDLEFDIPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVTLGEIEIVNLERVGFGTKNFDMAIVLKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPRKFVDDGGWEFLNMEGSDSEVEESEESDQGYEPSDAEPESESEEDDSDSASLVESDDDEEEDSEVDSEEEKGKTWDELEREATNADRDHGAESDSEEERRRRKVKTFSKPRPSSERGNLTSISKPRPPERGNAGSSSKPRPPERGNASSSKPRPPPERSSGMKSRPPPDRGSSKGGPLKKPKFR >cds.KYUSt_chr3.41960 pep primary_assembly:MPB_Lper_Kyuss_1697:3:264868923:264876187:1 gene:KYUSg_chr3.41960 transcript:KYUSt_chr3.41960 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAAAAAAAAAAGWLVSPLLQCLSDRATSCAEDLTRYLPGGAGDAHADLARLSDCLLRLHASAYAVERARRRPFDPSLAAWLRRLKDAADDADDLLDDITHDRLAESLAAPRPKLRRILDYSRSLCRRLFFSDDPLTRLPSVLERLEAASAANNGVVHLIIQLSAATSPQPGSPLARKFSSAIPDDSTLFGRGRELASLLERLVGCNGPAQQGNQSAIPVVAIVGDGGIGKTTLAQMAFNHLNIQVHFEVRMWVCMSSCMDVMELTRKILQQATTEEKDYGGVVSFTKLQDLLISAVAGKRFLLILDDVWDDNWTKLCENMDWWRTLLAPLQNGQQESRIVVTTRMKRAADMLGARIPPITLLGLGAEEHWLLLKKFALGCEKSCEYPQLQEIGRKIALKLRGSPLAAKVTGRMLSDTQSTREWISILEADIHGDIISTLLASYYHLPPPLQCCFAYCSIFPKNWKFEPKKLVRMWISQGFIQMENGRSMEDIGREYFKQLLSRSFFHTLKRGSKMLYVMHDLIHDLAQMVSDGDCMRIEGDMSKSVLPTVRHLSVSSKSLGQIMNQYDLKRLRTLIVFRDPLMPPSPIPNGFLAGIKNVRTLDFSGCDISELPEAIGLLTHLRYMALPDTIKILPESVSRLLHLQTFDIPKKCQFDRFPEGMHQLASLRHLSIDSKYISMIRGIGSLVNLQGSVEFHVKKEKGQTLEELKDMNNLHGVLSIQNLENVQCREEACKAQLAKKRYVQILKLEWSFANTGFGPTMDADVLDCLQPHPNLEELHITRYEGVPSPRWLEMKTLFHLKSLYLANCRRWMLLPSLGHLPFLRVLHLKEMSSVIEIGLTFYGGSMAFPSLNDLEFDDMPNLVCWKGGTSNSFFPSLQKLKISDCPKLVEVPLLSHTIKRLAVERSQRISHLKLSPYCSFGSSKFTLEISSASILSEGFLHQQHLEATEVLNIRGCWGSVTAENFQVLRSLRKLRLCQCDMDGARLNSCLQHLTDLAFLEIIECQNIMSFVLPVGSRYSTTLQHLCFEGCQNISSLATLESFTFLKSLIIERCPRVTAESLPSELKRMISLNKLSISHCSGFESLPSSIPQSLEFLHLVGCDPRLTEALLERQGPEWDKSTHSRTPGGTIVYINHIAIYIRDGGRHAVTYEELPDELKKRYDEIKVTLEPTSSALFGEPVPMASDGRGSHRRCTRWDRPLAPSEERTGGLRQEINYLVAHSLHRHSENLVNTLERVALRVIQEIMMHQYSPSGPALGTHQGELPLRVPCTAAICVGGTEVSATPAFVVYKIGGDPSDYRFLPEAPKEIPHGYACTYVPDCGNRALTNQATTSGDSGENREERRRRA >cds.KYUSt_chr2.41449 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257794661:257802903:-1 gene:KYUSg_chr2.41449 transcript:KYUSt_chr2.41449 gene_biotype:protein_coding transcript_biotype:protein_coding MERHATTRCVHLHAFLLLVLVHTSLAVRCPPDQAFALLRLKHSFQQPLLPSWRARTDCCRWQGVSCDATSGRVAALDLGDQGLQSRGGLPRALFQLTSLRRVDLSGNDFGGATLPASGFEQLAELTHLNLSSTGLAGQIPAGISNLTKLVSLDLSSNNGLSGAIPEFFAELRSLAILQLSNNVFNGTFPRGIFQLKGLRVLDLSGNSDLSGELPGLPPGSSLEVLDLSVTNFYGRIPSSISNLKLLKTLDMSVGRLQSLSTLRLQDCGISGAIPSSILNLTRLSELDLSQNNLTGGIPMYRKGAFLNLETLELCCNSLSGQIPSFLFSLPRLKFISLMSNNFEGPIQEFSYPSPSLASIYLNYNQLNGSVPKSFFSLTSLQTLQLSRNGLSGTVQLTSFWRLTNISNLILSANTLTVVVDDEDYFNSSPSAIVPPINSLGLACCNMTKVPSILKYVLVKDLDLSCNQIGGSVPKWIWAGRNENVDVFKFNLSRNKFTGMELSLANASVYFLDLSFNSLPGPIPVPISPQFLDYSNNQFSSIPQDLMPRLSSSFYLNMANNTLHGGIPPMICDSRNLELLDLSYNHFSGHVPSCLVDGYLTILNLGHNHFQGTLPDDIQRGCASQTIDLNGNQLEGLLPRSLSNCHHLEVFDVGGNNFADSFPDWLGNLTKLRVLVLRSNKLFGPVGTVPTNSHQNRTHFQSLQIIDLASNSFSGSLHSQWFEELKAMMDIRENDDGRQALEDNLSGKFYRDTVVVTYKGIARKFTRILTAFTVIDFSANAFTGSIPESMGELASLRGLNMSHNAFTGTIPPKLGHLTQLESLDLSSNQLHGAIPEVLASLNSLAWLNVSSNQLEGAIPQRGQFLTFTIDSFQGNAGLCGEPLSKQCNSGVPSSEHDKNSDDRVDTIVLYLVAGSGFHVGAGIPGVAPHYIPPPSTFNVLLGSSWTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNDRNSPPGLEAMLKEFISTQTVFNKSVEEKLGKIDILASKVDSLVC >cds.KYUSt_chr5.23005 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150146921:150147394:-1 gene:KYUSg_chr5.23005 transcript:KYUSt_chr5.23005 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVIGATPALTFTSTGRLGNALQPDQNHTTRTSRPADIRVATRGRGELGRGHGNAPTTSEPPAPSLPPPLLCNGCPRWKIEGVARGNGSHALSLRLARIAMGLLLPVNTDGCVICRGIVGIVGPKSGFPRFLQRAVLRLAEVGDVPWDTTATALI >cds.KYUSt_chr5.19477 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126337661:126337879:-1 gene:KYUSg_chr5.19477 transcript:KYUSt_chr5.19477 gene_biotype:protein_coding transcript_biotype:protein_coding MEARDVVEPSSSAHGPPARPLPIDAMVEHREYGRTPRSGGKVDGWRPRSSTSTLGSTDLATSVVALRLGCGF >cds.KYUSt_chr3.8420 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48721230:48724466:-1 gene:KYUSg_chr3.8420 transcript:KYUSt_chr3.8420 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRLNIIKISCNTFHGFYEKMLDALTMYKHQNGDKDLPFMHCFTKLKDYKKWDTVRLTLNDKNRVGEDGLVAAISAFVRHPIGNKKAKTEKNAAPTLAGIDASIKKMVSSFSIENNEVVNRDATMWKAMLEKQDVKIGLEREKVEVAKMESQADVMKAMNEATRLSLAKITQESKILMVDMATMDPLARLWHKIYRERIGKEIDPAAATMGAHPYLPAGLDLPGYVPLRLSQLEIVGPYLGASLFVLAAVWLLSGRCGRLSKADRLLMCWWAFTGLTHLLIEGPFVFTPDFFTKSNPNFFDEVWKEYSKGDSRYVARDTATVTVEAITVVLEGPASLLAVYAIASRKSYSYILQFTVCLGQLYGCIVYFATAYLDGFNFWASPFYFWAYFIGANSSWVVIPLLIARRSWKKICVAIHQAEKVKTK >cds.KYUSt_chr5.1663 pep primary_assembly:MPB_Lper_Kyuss_1697:5:11331655:11336341:1 gene:KYUSg_chr5.1663 transcript:KYUSt_chr5.1663 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLTGGRPEFLLLGTRTETSVGEADRLDLVQAGLVGYRQPDALIPTCDAPKPRKTVYTLLEGRRDMEQRDEPEVLAHGFDMVQVATHRHFCTDFKAMVETKRVTILSETKEVEQDCGSVNRMEVVWVETVHRNSDLVLLSDDAQMLRHAGRKEGEAAHPGVVDDEKGAAKELPEMKKEEVAEDHS >cds.KYUSt_chr6.2673 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15634434:15639215:1 gene:KYUSg_chr6.2673 transcript:KYUSt_chr6.2673 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNRFSLLHAMPEVDAVEVDDVEAAGMEAHVVVQVAEEAIVDYPSRPCSPEVAILIFSGHGAESGSRWRELGVGLSDRALHVSPSSGRRHAFSVIFHRGVLSAEPRRGCLFKFAYSYVAWETSANPLADSAALAGLHARVEPIREDVDLAVPVGVGPLEAEATRRKKKMGVRYADGAPRCRHDAVTGQDVAPKPHHCYLAAAAQGGLDVLHKFLEHYVRRSSVFLYSVTAVVFKVLLLF >cds.KYUSt_chr4.26581 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166871340:166873305:-1 gene:KYUSg_chr4.26581 transcript:KYUSt_chr4.26581 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVRAAAADAVVTFLWVFCASTIGASTAAVTTYLSLHEGIQYAIFVTVSILALLLFAFNLLCEALGGACFNPTDVAAFYAAGLTSPSLFSIALRLPAQAAGAVGGALAISELMPEQYKHMLGVPSLKVDPHTGAVAEGVLTFVITYTVLWVVVKGPRNPIVKTAMLSLCSVCLILTGAAYTGPSMNPANAFGWAYVNDRHNTWEQLYVYWIGPFIGAILAAWTFKAVFLPPPPKPKAKKA >cds.KYUSt_chr4.49711 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307776862:307777992:-1 gene:KYUSg_chr4.49711 transcript:KYUSt_chr4.49711 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAAKTPSASPLRATVATAASPARYRPSPLVIFSACLVLLGAGGPLLLRVYFVHGGQRLWLSALLQISGWPLLLPPLCVSLFRGRRHGIANLLLPLRLVGAAAVLGAFYAISCYVYAMGSQALPLSTSSLLLATQLAFTAIFAFLFVGLRFTPFSANAVLLLTIGPAVLGVGPGSGKPAGEPSKTYWVGFCEAIAAAALAGLVLPLVEVSMERYGRRSGPAARVPPPYSTVMQMQAVMGAAGTMVCLLGMAIKSDFSALRGEAAAFGLGETNYYLVLVRDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSEILAVMFLHEKFDGPKGIALVLSLWGFASYLYGEKAQKKLEAQKSEQQVARKTGDLELAAP >cds.KYUSt_chr7.31792 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197935486:197938497:-1 gene:KYUSg_chr7.31792 transcript:KYUSt_chr7.31792 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLTPRQPTKAYGGEGGAYYEWSPAELPMLGVASIGAAKLSLAAGGMSLPSYSDSAKVAYVLQGKGTCGIVLPESMSKKVVPVKEGDALALPFGVLTWWDNTPESATELVVLFLGDTSKGHKTGQFTNFQLTGSSGIFTGFSTEFVGRAWDLKEDDAAKLVSTQPASGIVKLTAGQKVPEAIPEDRKDMALNCLEAKLDVDIPNGGRVVVLNTANLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGPDGKRVLETRIEGGSLFIVPRFHVVSKIADASGMEWFSIITTPNPIFSHLAGKTSVWKAISPEVLEAAFNTTPEMEKLFRSKRLDSEIFFAPN >cds.KYUSt_contig_686-1.1289 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8050455:8066049:1 gene:KYUSg_contig_686-1.1289 transcript:KYUSt_contig_686-1.1289 gene_biotype:protein_coding transcript_biotype:protein_coding MDANANWRPTQGSDPAAAAAAAAGVDPNAPAGGDWRTQLQPEARSRIVNKIMETLKKHLPVSVPEGLNELQKIAVRFEEKIYTAATNQSDYLRKISLKMLSMETKTQQGPGNAQVIPNQNNPAPGLPQGSNQTQTSAIPLMSQQQGRQPNTSSSVQASSLTSIGQNMPGVNQTSTMQNVSVMPQNTMNNGLMQGSSQDIYAAQRQMAGRQQQQSQQLMYQQQQQMLMKQKLQQNSLMQPHIQQQQSLLQPTQQSSQQPIMQMPSGLHQSTVPQTQPMAMQSATQSGIQQNQLNSVQSVQSLLQQPQQSVGRQQQQGQPSMHQQSSIQSQQPNIPLQQQQQQLIGQQSNLQQNQLIGQQNAAVEMQQQQQQQRLPVQSNNLLNVQQTQQMLNQQSMPLHQPQQLGSQTNMSSLQQQQQNQQQQLLGTVNNVSNMQRMHMLQTKAQQPQQQQHAQQPSMGLMQPQSQHNQLQQSQQHLMAQFQSQPNQLPQQLGISMQQRLQTSGGMLLQQNNMDQPKQFIQAQRGLQEVSSSTSADSTAQTGHAGAGDWQEETYQMIKNLKDKYAAELSELFNKISQKLQQVDNTIPPLKPSEQYDRMKSFKVMLERILQVLNVSKNGVQPGLSDKVPQYEKQIINILNSQRRKPLQPQVQQQFQSPAGQAPNSNISQQQQPSQSLQQHDSHTNPQASLSSMSTGLQSSSAAGIQHVPAPPATNFSVPTQQNGSNFSSLQHGSMSGTLQQGSTGQMQGTMNTQLQTSSMLSHNSMSTMQPNANSMQANASSLQQMKQQQQDHQMMQNQQMKRQMFQQYHQKQMLQQQLPVQQQLQKQHPVQMQIPQLHAGNDVNELKVRQGTAMKPGMYQQHLGQRSNYYHQQLKQGAFPISSPQSLQASSPQISHHSPQVDHHNSLQSQVKTGTPLHSANSPFVPSPSPSVAPSPIPVDSDKPLSGISSLTNIGQAGHQQTSLAPHTQTIAVNTPGISASPLLAEFTSADGSLANMPTQAPIKSSAAERPLDRLLKALRTTQRPSLNAAVSDIRSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITNDGSGASKKMKRDTSAMPLNVSSAGSVNDSFKQIFGVDTPDLQSTATSRVKWQKIEVNYALMEEIQEINQQLIDTELRVCEDDADSSAATSEWAEGTVIKCTYTAVAVSPSLKSMFASAQMSPIMPLRLLVPAGYPKCSPVLLDKFPDEQRNSDDLSSKAKSKFGTLLRGLAEPMSLQEIARTWDACARKVIEEYAQKTGGGSFSSSYGCWESCVGA >cds.KYUSt_chr2.13611 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86263462:86265629:1 gene:KYUSg_chr2.13611 transcript:KYUSt_chr2.13611 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTLGIAPLLDAYFRRRFAAAGLVQETVPLDGGATTVHCWRFPPGAAASEDLPVLVLLHGFGPPATWQWFRQVGALSRRFRLVVPNLLFFGGSRTSHKERSEARQAEAVAGLIRAVVPAGARVSVVGTSYGGFVAYHVARLLGADAVERVVIASSDLLKGDADDRALLRRGGADRVEDVMLPRTPEKMRRLMELAYHRPRRFAPGFVLRDLIQYLYTNNIEEKKELIKAITLGDKDKFQLTPLPQQVLVLWGENDQIFPIEKAFQVTRQLGATARLEILKNTGHMPQEEDAKRFNEALLNFLLPAPISSL >cds.KYUSt_chr5.42880 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270235678:270237610:1 gene:KYUSg_chr5.42880 transcript:KYUSt_chr5.42880 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLFRPPPPEGDSDPHEILSDTTDDPKESKILCHDPTLKLQIPVTRPPSLLPPEFRRRLHEIVARSPNPTNSIAQIWSRFENLLAPAIPSRTPISTVSRPPQTGGSAPKPLAAVPATPMVSESPPPHLLTAPISVSITLHPTKAIPVVIEDPTVDGSELRQPTVRGAITGDFLAAFAPADWCQGIDSGDYSPLLPLPPDPGGCTINHEACSDILHQPAPHNFIAIAIPRGTMAPVDNVAKKVSSSTGAAENRTGDAANNSTIINPGGSGAAGAGPSCRRAGKAPVVDDRTEEERKPLISYMRNVWMIRGSLESLQLADRRFVLEFSLEGDFEHVTKGGPWRHQGDAVLVRELKDKEDPNTVQFDSMPIWVQFTKIPFYLLSKQLARNLARNLGEFVCIDNNARGDICDKILRARVYIPIARALQRWITLEDEISNEEVMVTVLYERLPSYCHCCGVIGHQEEACDLPQVLRKHRYSKDLGVPPTHFHDARKWWLAESAGENGRALRMDVPWRNVAALGPRRPLAPTTPLALVARVAKEVEKLSVTDDNKHAGESHAGNKDCHNAKHSAPPPTAPVETKAAIDTNKNDNTTASNTMVSTEPEAVGSVPRKH >cds.KYUSt_chr4.23349 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147040308:147040641:-1 gene:KYUSg_chr4.23349 transcript:KYUSt_chr4.23349 gene_biotype:protein_coding transcript_biotype:protein_coding MSARFRPPPYPCPIIDDLWSLAWLIPNSPKRCLHALHGDHVRDLEASTPTLASTPRTSDAYVESPAARKGGRDGV >cds.KYUSt_chr2.35736 pep primary_assembly:MPB_Lper_Kyuss_1697:2:220880664:220884250:1 gene:KYUSg_chr2.35736 transcript:KYUSt_chr2.35736 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQPHKYKDQSLELVPSNLTIRNDGPSMNEEDKHWSMITHGGDGSKHLRIEDDATIMEQRCLDEFYKFPHHKIHPRVIIGAASPYLMGQAHTVELKVAMSCGGCSGAVKRVLTKMQGVETFDIDMEQQKVTVKGNVTPEDVFQTVSKTGKKTSFWEAEATPVQAAAPAAEAPAVAAATEAPAAVAATEAPAAAAATEAPPANTDA >cds.KYUSt_chr2.34226 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211470914:211473564:-1 gene:KYUSg_chr2.34226 transcript:KYUSt_chr2.34226 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCSKEQVVEEEPAVPPNRLQKAPSQSLKQLITVTAKEESAVVVAPVVHRVIGRSQSTLKGTSLIKAKPQPAVALPVPAPVAEKKAPVVVIASLNKSYSTAGPMHHRRATVDAAARNPVDADEEDADADADPDLHGVPQGFSGEHVIAGWPSWLTSVAGEVVHGWLPRRADTFERLDKIGQGTYSNVYKARDLQSGKIVALKRVRFVNMDPESVRFMAREIHILRRLDHPNVIRLEGIVTSRLSHSLYLVFEYMEHDLAGLAATPGLRFTEPQVKCFMKQILDGLHHCHGRGVLHRDIKGSNLLIDDNGVLKLADFGLATFFDPAKARQLTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPIMPGQTEIEQLHKIFKLCGSPSEDYWAKAKLPDVTLFKPQRPYRRRIAETFRDFPPTALDLLDTLLAIEPSYRGTAASALDSEFFRTKPLACDPSSLPKYPPSKEYDAKLRGKEAMRQNAAAAMGGKGSLSVKPERNEPTKAVPAQDPMGADHQRRQMRNNPKSSSHHYTTLEDSVPGFRMEPPAAAGLPSTMQSAGQFGSTWYRKDGPRGAIPRTTSSSRLSGNPAHLTSQRSYAPSRGTDLHPSSSATRNTNSKYNRLDVAEPANALDRPAPTNSNNKKDMGMGMGMRDGPSAVSTDQPDPSATSLQQRDSSDMNSQLSQFAQGQGYARNPRRMNYSGPLVPPGGNMDEMLKEHERQIQQAVRKARVDKERTTNRPNQY >cds.KYUSt_chr1.29771 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180242993:180249704:1 gene:KYUSg_chr1.29771 transcript:KYUSt_chr1.29771 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGANQAQAGPAGPKQARKAPLPSCSRPAEAPPPPIHRRTISAARELRRRAERRRSAQPMWAPNWARSGPSRSRPRRTPSLLRLQVAAPPFLLPPDARVSRRRAGPPSAEVHRAAKGPRAPPRRGKLILYPVLKTLIVINLDLVIDGLMLYRYLVRRFLDSLMAPTDEKNDHSMPCAYGTGVICLHCMMRGHVWKFAALDLSTSLAEQQSGWQSCWSQRIGVGQLTSGRSCGNDRAAGRGRQSDRVTWTRGIGAAAAQLWRLSSFSAKSYSQVFIVLIKSRAHWMSASRLSPDKCVLEEDKFYRILGPNLDVLNGTFLHYLHGVESLIKARAPWISCSRESAIPVLHRKLTPSLEE >cds.KYUSt_contig_786.540 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:3039675:3045822:-1 gene:KYUSg_contig_786.540 transcript:KYUSt_contig_786.540 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHAQGLVHSDLDHSDLVRSDDAHQIKGQFILHMELMTVAIKCPSLLLKRNLMVTIFHTSIPGNPEEAVEHLTKAILLNLKNHIWYKEVGHNISNAWRASRVQNVATLWTREEAVEHLAKAFLLNLKNHVCAWSLNNGSLYGDLKPMCMIDLGLLSQCCFTKQVFKVNKQIHGKPCSEDKCQATGDATLHRLGLAPAATEILLSSAAAGANGRQAVDRRSSSRCSPAGSALKRVDLHNSSSMADPSSVLPPWHIRVIRTTPGAPMNETAASNSLVGRR >cds.KYUSt_chr3.26082 pep primary_assembly:MPB_Lper_Kyuss_1697:3:162184968:162186119:1 gene:KYUSg_chr3.26082 transcript:KYUSt_chr3.26082 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWWTCPQYLKKHEEGKAKRAEMRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQCTSYVSKFKQKYGEEANPEAEDFDPEVGVLAGEGLKHGRLWFGDGCVDPARVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEQRAQMEQQILQYQQQQTQMMQQMQQQQQMMQQQQAQMSWLMSQTALSSPPGSLPPPPGSLPPPPYSLPWMPPPPTHTPGTPITVNNMNIIRSMNLGESSCAQPATCTCSSVQYANANANVHSINLIDNYSQGNGDEAGGSGGGQ >cds.KYUSt_chr4.27415 pep primary_assembly:MPB_Lper_Kyuss_1697:4:172163816:172173878:1 gene:KYUSg_chr4.27415 transcript:KYUSt_chr4.27415 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPVLPGDWEFAVFADWSFRRADIVYGSIASYREACNLAEVDDPESAMSTVARLLEQLHGSMTSVAEKEVSTKRLLELAKAKKEARILIGSHSQAVPLFVSILRSGTSSAKVNAAALLSALCKEEDLRVKVLLGGCIPPLLSLLKSESSEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVVPTLWDLLNPRSRQDRVVEGFVTGALRNLCGDKDGYWKATLEAGGVEIITSLLSSKNTASQSNAASLLARLISAFGDSIPKIIAAGAIKALLQLLKRDDDIAVRESAADALEALSSKSTTAKKAVVDAGGLPVLIGAVVAPSKEGMRGDTCHSLQSHAVCALSNICGGTTSLLLYLGEICKSPQSVPLADSLGALAYTLMVYNGSDGKSFDPVEIESILVALLKSNDIKLVLDRILEALASLYGNACFSGRLDHSNGKKVLVGLVTMASDDAQEYLVHALTSLCCDGLGLWEALGKREGVQLLISLLGLSSEQHQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQMAKEEAAHIICNLCCHSDDIRACVESAGAVLALIWLLKSDSPRGQEASVKALKKLIRSADSATINQLLALLLNDSISSKAHVITVLGHVLLLAPQRELVQNGAPANKGLRSLVLILDSSNEESQEYAATVLADIFSMRQDICDSLATDEIVQPCIKLLTSGNQVIATQSARALGALSCSANTISKNKMSCIAEGDVQPLIEMAKTSSIDAAEAAIAALANILSDSLIAKGALDDNIVQALIRVLKEGSLEGKISASRSLHHLLNQFLLTEVFPDYSLCCFVIHALLVCLSGIGLENVTSLDPLDVLALMAMTMESGHFKPPLWTAFLECPESLEPLVRCISVGLPPIQDKSIQIITRLCQKKSSLLGEHINRSQGCIGSLACRVMESTNMEIRIGSAIILISALKDNREHSVEVIEASGHLRSLISAVIDMLKQYSTSTSLDIEVWKPYADKSLFNCEQDDVPDSGKVLEETVAHLLSLICSFHPRSKLTVMDLGGVDIVSEKLASHIANRQEQDDDSESVWACALLLATLFQDSVVVQSSAITRVVPSLASLLRSDKIIDKYFAAQSLASLVGTGSRSIQLAIANSGAVAGAVAMIGQIESDMPNLVTMAEEFKLAANPSQIILGSLFELEDVRTGATARRSIPLLVDILKPMADKPGAPLVALHLLTQLAEGSETNKVAMAEAGVVDALTMYLSLSPQDSTETTIINLLGILYRNPDLLYHESTLSTLNQLVAVLRLGSRNSRLSAARTLQNLFESESIRDTEVARQAIQPLLDMLESGTEIEQQAALGALIKLSAGIISKASAMFDVEGNTIESLYKILSFSSSLELKKDAAQLCYVLFENSNIRASPIATECLQPLISLMSSGSSLVVEPAVCALSRLLDEEHNSEIAATSDVVDLLVSFVPGTNYQLSEASIAALIKLGKDRPNCKLDMVKAGVIEHALDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKSTPSQIIEPLISFLESPSQAIQQLGTEVLSHLLEQEHFQQDITTKHAVVPLVQLAGIGILSLQQTAVKALENISQSWPKAVADAGGIFELSKVIVQDDPQPSQALWESAALVLCNVLRYSSDNYVNVSMAVLVRLLNSTMESTVTIALGALLVQEKSNSRCAVAMAEAGAVRALMELLKSHRCEESAARLLEALINNARVRGTKVAKHSIAPLSQYLLDPQSKNQAAKFLVTLALGDIFQHEALARASDSVSACRALVSILEDQPTEDMTMVAICALQSLVMHSRTNRRAVAEAGGILVVQELLLSPNVDIAGQAALLIQYLFSNHTLQEYVSNELIRTLTG >cds.KYUSt_chr6.24797 pep primary_assembly:MPB_Lper_Kyuss_1697:6:156869074:156875833:1 gene:KYUSg_chr6.24797 transcript:KYUSt_chr6.24797 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSPLPRWAPTPSPSRPLWRWGGGTPDARTGGSGEAAGAGRSLARMFPWKRRPAVAGFDGVEVTPTQSAGTDVVDDPGVFLTWEDVCVTVAGGAYGAQPVSILSGISGHAGPGEVLAIMGPSGCGKTTLLDTLAGRIGPGVNEKGLILINGRREKLAFGTSAYVTQDNVLMSTMSVREAIYYSAQLQLPGTMPAAEKRAHADGVIQEMGLGDAMDTRIGGRMTKGISGGQRKRLTICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHIARVAAREGMTVVAAVHQPSDDVFDLFHGLCLLASGRTVFFGAASHATQFFTQSGFPCPQLRNPSDHFLRTINKDFDEEPVENSKAREKTAIEAIDILTDAYQSPAYSGKTMNRIAEMKGIVFRRERLSGHYGVAEFVMSNTLSATPYLAVIAVIPGAMMYYLTGLTKGADHFAYFVINLCMCTLLVESMMMIIAVIVPDFLMGIIVGAGVQGVMMLNGGFFRLPKDLPKPVWKYPCYYISFHKYAVQGFYKNEFIGLTFPSDQLVEKNATISGHQVLQEKLQVEMGYSKWVNIAILCGMIVVYRMMFFGTKLSLDIITQYGIWIVYVSLDGVSDKAVVAAYLTYSSYCLNLRGASSRFSHCSSLAPEATKASDARLLLSRLLPGRRP >cds.KYUSt_chr3.42115 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266005265:266011460:-1 gene:KYUSg_chr3.42115 transcript:KYUSt_chr3.42115 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKLDRFAALGKDGLSLGIEEDRTAAAAMGFIDESKDQQHLENSIPLSPQWLYAKPSDAKISLPHGSLLDPAEKDVRMLEGAGDKKERRRNAFDADSSLRWLEEERETSLLGRRDRKKEVDRDMENRKNDRRSDNVSARDNNDSRAPPTSERWNDGSTRNSGSEPRRDGKWSLRWGPDDKEKDPRPEKKVDAEKDETHAEKQAFPGRLLPESDSRDKWRPRHRQETQTGGTGTATYRAAPGFGLEKGRVKESNAGFAPGRGRANPNSVPPFSRPSSAGPIGAPPVYGKRAATAASFRYPRGKLLDIYRQQKVVQSFDDGRRMLEEVPSITLSTSVKPLAFVTPDNKEEAILEDIRKGRVISSEATNATALQKERKKELEACGIDDNKDKGAPAFSGLSHEGSGALISEKDAFYNEGMFAGGITGQLKNRTEENAPSNPHGLSGIREDTKFDEANSSADIDLSTKLSDDSNTQFNVNVDHPTGQASYTDTKSSGQDSYPEELTLYYLDPQGGVQGPFMGTDIVSWYEDGYFGLELPVRLSQAPDEAPFRPLSELMPYLGHKPQAVPPVTSVGSVESSDSVHNNFEDALPTSGSFGKSDETSKGDSENYGVNPKRGDQEAPAQSRASWFPSAEPEKVEANLDIRQQRIPETVSHDAEEVLYTGKPNSSMGQSRQDFDNDRADFQLTSLDSRSGVGEANLPKHDAPRENELSPLGLLWSELEGMHPKQPLSSNVVGVNERRNPKPTGPKDIPPANIRHGPLSRMNEAPVARDGWPANLGRMDSMNDANISGLIPQVEPELGHLNFEEQMLLTQIRREQLQQEQMMARNNLEFPGQFAGHGFDSLHHHRQSMNPPAPEVDHLLRVQFELEHQQRRQQQQLQQEQHRQQLQQEQHRQQLQQEQHRQQLQQEQHQRQLQQRQAQLLQQQQQQQQQLILEQMLQQQLQSSNFGQANIDQVLLREQLLNDLHHQPHHFQREHDAAIEQIIQAKYGHGIHREQHNDMLDVLSRSNQRQVLPMEQQILLGLHHDQLQTQQLANAIRQHVGREEERHLSGVWPMDDPGQFIRPGTSPNQASRLGQFDLLQSLQRSSSVEHHDHLERNLSLQERLHRGAQGIHSLERSGSLPGGAPLPNPDLVALARHHGLSQLETHGDLYSAGQMPMHASGVHPQQHRLQEQLSGSHMGRLERNWSDANGQLQNSLMEASRINQLQIEAEKQRRKVEMNLPIDNPHAWASLMSNERNPETDLSDMIHQKLVLQAQQSRGFPDVPVAASFGHRSHFAKMGHLGQDGPTSLDSLPNNIEMNRKLGVRSSSATMLDIQRGEYPDVMGGSLSTNQLVGNANDVARRKRQGSSANLAVEDTDFSEAVNNWADTGISKGSSHSLLKRTTNQHIATSQAASTDLPSAVRSKKAGHISSASSDEHKLESGVTSGANAVDAPASANKETFGNQDASGPSFSEMLKSTKKPPLQYDASESTDGGPGGKGAKKKAKKGKQIDPSLLGFKVHSNRILMGEIHRPDD >cds.KYUSt_chr7.8911 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54197938:54199870:-1 gene:KYUSg_chr7.8911 transcript:KYUSt_chr7.8911 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEAAAGGAIAAGPAPPALLCFDLKPFLAALTVLTLLTAAWQLRPYHSLLASPFSACPDLPAVNSTSLPSRLVSLHAKPATSSSNASSQPDPNRREFRAVGSAAALFVQMGAYRGGPYTFAVVGLASKPTHVYGKPWFRCEWLPNTPPNASTSPTPAANTYHMLPDWGYGRVYTVVVVNCTFTTPPNADNAGGTLVLNAYYGPSAARYERIEALEEAPGAYDEAAFRPPHRYDYLYCGSSLYGGLSAARVREWMAYHARFFGPRSHFVFHDAGGVGPAVRAVLEPWVRAGRATLQDVRAQAEYDGWYHNQFLVVNDCLHRHRYAAKWTFFFDVDEYIFLPDGRTLEGVLAELEPYTQFTIEQNPMSSRLCARDPKNDYSSQWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVLGEVCREFVSVPPKGGLTWSEKTPWYYDDSMKQLADAVREFEKKAIGNVRV >cds.KYUSt_chr6.1116 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7070516:7071586:1 gene:KYUSg_chr6.1116 transcript:KYUSt_chr6.1116 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGAGGKPSRSAIVADTESGHHLLTIHGYSRTKGLFTGECVRSSPFTICGHRWSIDYYPNGIRSAVEHYISLSLILDEDVAEAVKAQFDFRLASDVEEPEQVAALASAPVRRFSSRGVSSYTSFIRRNELEKSKYLVNDLFTIRCDIAVVHNYRALTDAVAFVSVPPCDLRRHLGELLETEKGADVVFEVGGETVAAHRCVLAARSSVFSAELFGPMVEGNAAGVVVRIEDMDAEVFKALLHFVYTGSLPEARKEDEDVTYQHLLVAADRYGMERLKLICVEKLCQYINVGSAAIILALAEQHHCEGLKKACFDFLAAPANLRAVVATDGFQHLSKSCPSLMVEVITMSCALLE >cds.KYUSt_chr4.28356 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178048718:178048987:1 gene:KYUSg_chr4.28356 transcript:KYUSt_chr4.28356 gene_biotype:protein_coding transcript_biotype:protein_coding MMEARLAAITASLSESRALLASSAQRLRVKEKEEQVATTASSVTTTMPRFVQDRVQEKPETKRLLSSPISAHQVRVVGALHPDAVFPSA >cds.KYUSt_chr2.2943 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17346264:17347746:1 gene:KYUSg_chr2.2943 transcript:KYUSt_chr2.2943 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRRKSPFAAAAPAEAAKQDEEEEAPARRHRAPLSLAGLLVSIFLVATFLYNEQVAVVRPPAAADADAAGGDFPLAGAVRARSAPDLRLLQDDHHRRVNSRSQPEQEDHEEEHHDAQQQEQQEQVGREAEEQRTAEPARLVVDAPARADKRAAVAAMTAAPPSNSSIESGAASVVAEPPACNVYQGWWTYDAEGTQAPLYREPECEFLTEQVTCMRNGRRDDSYQRWRWQPSSCDLPRFDARALLERLRNKRLMFVGDSLNRNQWESMVCLVQSAIPSREHKSLAKFVGPNGSLNVFTATEYNATVEFYWAPFLVQSNSDDPQVHSVVDRIIAWRSIVKHAKHWRSADYLVFNTYIWWLNTFEMKVLKNPRVSSRYTLVDRPVAYREVLKTWAKWVDRHIDPKRTKVFFMGMSPNHHM >cds.KYUSt_chr7.3797 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22610092:22610685:1 gene:KYUSg_chr7.3797 transcript:KYUSt_chr7.3797 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVDGMRCALCGAGADVHCAADAAFLCAPCDDDVHGANFLASRHRRTRVSAPNKGRHDDDDASPTSCLSTADSATPPQRGRVAKPRTTRRARGEAVLEVWAKRMGVLAPGAARRVAAAAARALQDAPRMPLRVAMAAALWREVAAHGSREVTGDALWRLEACARVPARLVLAVASSLARAAALDTEEGWGECECA >cds.KYUSt_chr7.22110 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136908509:136919040:-1 gene:KYUSg_chr7.22110 transcript:KYUSt_chr7.22110 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVRYGIVGVGMMGREHLQNLAHLAGEVEREQSVRVRVTCLADPHPESLLLGLRLAAELGLPAPQTFSGHRELLDSGLCDAVVVSSPNMTHCEILMDIIGCARPHHVLVEKPLCTTVQDCKKVIEAAKQRPDILVQVGLEYRYMPPVAKLIDIVKSGTLGHVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLLRLFADANPIRVMASGAIDVNHKDEIYDGKVPDIIDNAYVIVEFDNGCRGMLDLCMFAEGSKNEQEISVVGDIGKGEAFVPQSIVRVGKRAGGRDGVETVKAEDDRIKYQGLHHGSSYLEHLNFFFAIRGQGACCPTISLDDGLLSVAIGVAGQLSIEKGRFVTIDESKTARFTRRVRHVKHQEGYHRVSLLCYMMKDNTGDHADWVLGTTWAGRPRCLFGHGEIPAEKGCYSKLGSSCLSSGYLAGAAVQPIFAVRRCTAKISLPCAASRPHGNDNTHGKVWEQRTAMKLSTAKKDLAHGKAISHGKVWEQRTTMIGGRQRPYKPRGKETMHGKGLGTAVARPFAVQTD >cds.KYUSt_chr4.13257 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81593008:81599194:1 gene:KYUSg_chr4.13257 transcript:KYUSt_chr4.13257 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHARHRPPCRAMAAQHHRQAERKPGTDKKRALPESDVVDDRAGDALYDMKQKLNATETQLTDWNQNQVNPCTWNSVICDSGNNVVQVTLASMGFTGVLSPRIGELDHLNVLSLPGNKITGGIPEQLGNLSSLTSLDLEDNLLVGEIPASLGHLTKLQLLILSQNSLNGSIPDTLATISSLTDIRLAYNSLSGQIPAQLFEVARYNFSGNNLTCGANFVNPCASCTSYQGSSRGSKIGIVLGTVGGVIGLLTIGALFIICNGRRKGHLHEVFVDVSGEDDRRIAFGQLKRFAWRELQLATDNFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLVGFCTTQTERLLVYPFMQNLSVAYRLREFKPEEPILDWNARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEGFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLEVVTGQRAIDFSRLEDEDDVLLLDHVRKLQREGRLDDIVDRNLNNNFDRQEVEMMMQIALLCTQGSPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQQRFDWGEDSIYNQDAIELSTGR >cds.KYUSt_chr7.39712 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246887209:246888367:1 gene:KYUSg_chr7.39712 transcript:KYUSt_chr7.39712 gene_biotype:protein_coding transcript_biotype:protein_coding MLGANLKARKSAAVVELGSLSMKKNNHPPPVPPPAAGKAAVLPAVGKVAAEEVWEVRPGGMLVQKRVAGDDEAVLEDVKPVRTIRVKAKRSGVTHEIYISQEATFGELRKLVAERAGAHPEDHKVLYKGKEQDPKAFLDMAGVKDRSKIAVVDDPEARARRLIEERRQGHLQKAGKAVAAVAAEVDKIAPKVSVLEASVRKGEKVAEKDVATVTEMLMNELLKLDAVDAGGDVKAQRRVQVKRVQKYVETLDAVMAKNATIQRKSGAKKQVEQPAPPARQQQQLPQSQRHRQHPQPQLPQQQQAQTTRWEMFDLLSSLPTTSPASSTTTSSSSASSVGPPPPTNKLDWML >cds.KYUSt_chr2.23917 pep primary_assembly:MPB_Lper_Kyuss_1697:2:145887653:145889370:-1 gene:KYUSg_chr2.23917 transcript:KYUSt_chr2.23917 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVAVRAFTSACFTWSALERAGWATGANALENNGENPCRANFISYLHPILTMLKPTSPTRRAPRQQPRVAACRNPLPPLAGIPRRRPTAFRAAARWPSAPPPDGLPRRLRRSFAQPTDSLSAAARGASLSAATLLSADPVFPRPLAPSFGPATPSPQLAPVTASCAILQATRQLLPSGSWAGST >cds.KYUSt_chr6.10456 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64564161:64566423:1 gene:KYUSg_chr6.10456 transcript:KYUSt_chr6.10456 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGDGCDGAVDAMLQCQKVSDFLIAASYLSIPLELLYFASCADLAPLKWLLLQLAAFAVLGGSIHLLAVLSHHHPHSSGLLLASTAAKLLAALVSAATALSLLTFIPRLIRAKLREALLRAKARQLDRDLGLIRRRVEATSRVVRMLTRNIRASPLDPHAILHTTLLHIADALALHVCAVWVPGPGPDGDDQVLQLVHQVSLRDKGAVQLGSQALIPADDPDVVHVMSSEAAKVLGPGSALATASPRGSVAAIRMPMLKVSNFDGGRTPEPSSYAILVLVLPDTDGSRGWSGQDLEIVEVVADQVAVALSHAAVLEEWQSLRDRLAEQHGDLLYAKHEAATATEAIHSAQSAMCGAMQRQMHSVAGLLSMLRHAAATENMRPEQRLVVDAIARTSALSLALANDADAETLTMSRVPFGLHALVRESMAVARCLSGCSGVVFSHQSENPLPEWVVGDETRVFHLLLHMVGTLLSRRPCDGAAPGCLSFSVKRRSVSDEERYGRDWTPMRSSTGCTVRVEFQFRMETPTVRPASSEMGFSFGMCNKIVQMMNGSMRSSGSDGARITVVLQFHLPQPGVHRRTSAPSIPRFDGLRVLLADSDGVSRAVTRMLLEKLGCQVMPVPSGAHCLSLLESAGSYFQLVLLDLDTGATAAEDVFEVALRIRELRSSCWLLVVAALAFGGGDDGGGVRETCQRAGINGVVQKPITLTALGAQLTGVLQNN >cds.KYUSt_chr4.35954 pep primary_assembly:MPB_Lper_Kyuss_1697:4:221035612:221037256:1 gene:KYUSg_chr4.35954 transcript:KYUSt_chr4.35954 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSDPAMLPPGFRFHPTDEELILHYLRNRAADSPCPVSIIADVDIYKFDPWALPSKATYGDREWYFFTPRDRKYPNGVRPNRAAGSGYWKATGTDKPIRSSATNESVGVKKALVFYKGRPPKGIKTNWIMHEYRLATADAHAANTYRPMRFRNASMRLDDWVLCRIYKKTSQVSPMPVPPLSDHELDEPSGAYPMSSAGMLVQAGTSSYPLQGTAAGTQRMPKIPSISELLNDYSLAQLFNDGGHGEMPRHDQHGAALLGHPIMNQFHLNSSMSQFAQMDSSAPTSTAGEGAAGKRKRSSEDCGHNGSTSQPDKKPNGSCFGGATFQIGSGNALQGSVGTGHHTLLPF >cds.KYUSt_chr6.29314 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185726144:185727900:1 gene:KYUSg_chr6.29314 transcript:KYUSt_chr6.29314 gene_biotype:protein_coding transcript_biotype:protein_coding MKASMRSRQEPRRVSNGVIIAAMLLSLCVLSIVKARYCSTPFGPGKPEDQLQEQMNSSIRMETDDERVPAVNTAQEEEEEDVEEDTGPATKEAAPAEVAANTGSGAGKKDNKSASKKKKKPTCFMTSKRSERCEVAGDIRVVGNASTIYISGLDREWTTKPYARYHDPVAMSHVREFTLKPLPSGAAAPACTKNHSVPGFVFSNRGFSGNLYHDYTDVLFPLFLSTRKFKGDVQFLLSDLKPWWVAKFRPLFRQLSKYDVVDVNNDLETHCFPRIVVGSDFHKDMGIIPSKAAGHVSTADFKRVLRNAFGLERAAASRGGATGDGKPRLLIISRKNSRRFLNEREMAAAAAEEGFEVRIAEPDQHTDMATFARLVNSADAMIGVHGAGLTNMVFLPAGAVLVQVVPFGGLEWLTRVTFKEPAADMEVRYMDYNVQLEESSLLDQYPRGHQVLVDPYAVHKQGWDALKAAYLDKQNVRLDLDRFRATLREVLAALPPAPTSTTPAT >cds.KYUSt_contig_946.156 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000087.1:1119049:1125361:1 gene:KYUSg_contig_946.156 transcript:KYUSt_contig_946.156 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGDEPVEATGGGAGETVVVVTESVQEEYTTDGSLDFDGNPALKHRTGGWRGCRSILGTDFCYCLAFYGISSNLVTYLTGVLGQSNVAAARNLSTWQATCFVMPLAGAVIADSYWGKYRTMVVSCSVGVAGMIMTALSAYLPLLVKNGASFTSSNMVSVQEFVMFLGLYMIAIGLGGLRPCLMSFGADQFDDGDPLERLHKGSFFNWYVFNTNCASLISRTAIVWVQDHYGWALGLTIPVAVLAVGLFCFVAASRTYRFQPTRGSPLTRVCQVVVAAVRNSGIDPPADSSLLYELPEYDSDMKGVQRIDHTTDLRSVSSMSELSDNSLFNNVLDSSCISVVSYLIRFFDKAAIVAVSDKEAAAPAPCSPWRLCVVTQVEELKILVRMLPLWATIVFFYAASAQVSSTFLEQGMSMDATVGTVHVPPASMSTFEVLTVIVLVPLYDWAFVPLARRLTGRGKGVSDLRRIGAGLTMPVLAMAAAAVVETVRLRAAPRKKISELWQAPQYALVGVGGVLTTIGQFDFFYSQAPPAMKTVCTALGFLVVAAGDYLSSFLLTVVQWATTTSGRPGWIPDNLNEGHLDRFFWMMAGLGCLNLMAFVSCAASWAGEPLRRQWPLLFEASRRKNKTVADALDDDHWLTDLRGRVSPQLLLDFVALRQVVRGCNIDPQVEDIFRWKSASGVYSASSAYALQFDGSAKSPLRHIWPAWAPPKCKFFMWLLLQRRVFTADRLLRFRMPNQYFCALCRRNLETPAHLFAECPWSREVWERSAFSLSYPAIRAPDADDLALSWAVSKLAGTDRRAASLTILVAWELWRERNRRVFCNKELSVSGLVHLIADEANSWVLAGARHLVRRE >cds.KYUSt_chr3.35395 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222266620:222273690:1 gene:KYUSg_chr3.35395 transcript:KYUSt_chr3.35395 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRRRTRSTTGVGRTREEEVGGGGPGGRRGGGEDQEEEGDEEDLSEDEGLGNLVFDPDPSLEWCEPEDYQYVPALERLRPRDRKPYRRGITQLPSLKSWRYRHVVLVPYGRRAEGKENACDDVLHQLARKRVTGMHYEARIQCVRDWHADRFVHMSKEDARDTLMQPWQYLQNPPQYVGNDDRCFRAMVMWWTCPQYLKKHEEGKAKRAEMRGGSHIQGSIPISLHLRRRTGAKPNVFAVLKKMKQRKTLDPETGSVWVNPQSETRCTSYVSKFKQKYGEEANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEQRAQMEQQILQYQQQQTQMMQQMQQQQQMMQQQQTQMSWLMSQTALSSPPGSLPPPPGSLPPPPYSLPWMPPPPTHTPGTPITVNNMNIIRSMNLVCLVNNVQSSSYDNAKKMTIWLKAIFPSDKLKIMGCGGDGGDDDEDDGDDDGDDVQLDDGDDGVDFPLWEGISPADFSLPKSSFLSGVLRPAEAAVTLRDYPLELRTSATSKGINKIVRVRSETTGGREDEARFVSRSSSHKMEYICVEEVLASHKRLDGHTTKEGLTFFLERAPRRCSPFSTKAPVEAVIPS >cds.KYUSt_chr4.27066 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170024621:170027571:-1 gene:KYUSg_chr4.27066 transcript:KYUSt_chr4.27066 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTEDAAFPNQVEPEECLEFDDHEEEVEEEEVEYEEIEEEVEEVEEEVEEDDDILEEVEESEEEEDPEEREFDDSDSKLEVVHQQVEAEDGNDREKHAELLSLPPHGSEVYVGGISTGASSEDLKKLCEPVGEVVEVRIMQGKPGKMDYAFVTFRTKNLALKAIEKLDNSTFKGNRINVSSSQAKNKLFVGNVPRSWAHDDLKKAVEEVGPGVLKVDLIKDKRTDGNKGYGFVEYHNNACAEYSKQKMSTEDFKLDTNSPTVSWADVKNVDSTSAGSTSQGKSVYVKNLPMDVTPKQLKKLFEHHGEIIKVVLPPSKDGHDNRYGFVHFKDHHMAMKAVKNTEKYELDGQLLECSLAKPPADRKDEAVSVSNAKGGPLLHAPLGYGIMPRPDAYGAPPAYGAPPAYGAQPLLYAPGAPPGAAMVPMLLPDGRLVYVVQQHAVQQHYASPPPQVRQHQHFSSHSSHARQSGHHGGGSGGGSGGSSRYGSGTKRPRGDDNSSSSRNNGRQRR >cds.KYUSt_chr3.6933 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39967886:39969177:-1 gene:KYUSg_chr3.6933 transcript:KYUSt_chr3.6933 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRTGNGVFGSLFGYGAGPHQRAGTPSSQLWPEHLLDIPATKLLHAAPAGGNASTFFLPDVPLLPSWCAAACVALPLPLLLSSSGVTAYGGRGCKCGEPSLGGGSRRRQGSLLPDGKIRRGWPAAAAGGTHGAAALPHLPTTLVGRRAPRPMAPPGCSDCRRRWSAAALGILRGWPLRREAATGAAPSVRRRARPLLSREVVATTFVAYADLRLLPSTGLALSGPDACLNIADSARRMPLVAAAGSFGFGIAREVKPPSPSPWSRGVLI >cds.KYUSt_chr2.47031 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294146486:294148093:1 gene:KYUSg_chr2.47031 transcript:KYUSt_chr2.47031 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGATGGMLSPPSPPPPELSMLARAVQRLVARNDALDGDEGTGSGMTAFEGAGAPRISLAQYLERVHRYAGLEPECYVVAYAYVDRAAHRRPAAAVASRNVHRLLLACLLVASKVLDDFHHDNAFFARVGGVSNAEMNKLELELLDVLDFEVMLSRRLYDLYHAHLHDKDDTRHSSRDAAGVDELVEAVVSIKIEERSADGDDDEDNRSRLANGAVQYDWNQGPAAGSGGAGVRRHSSSQAPARYSFSGQTSD >cds.KYUSt_chr3.39788 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250712693:250717451:1 gene:KYUSg_chr3.39788 transcript:KYUSt_chr3.39788 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLSKDDFRVLTACEMGMRNHEIVPVELVDRIAGLKHGGTYKVLRNLLKNKLVHHDCKKYDGYRLTYLGYDFLAIKTLVNRGVFSSVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLAHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVPGYPLVQISKLQNPDDVFDRILGLVIRLAEHGLIHCDFNEFNIMIDDDETVTMIDFPQMVSVSHRNAQMYFDRDIGCIYKFFNKRFNLTEKGGHDGSETDDDDSGRPSFLSIQKSSGALDKELAASGFTKKEQVEIEKFIDENAEENDSNSDDDDHDVDSTSERESEGGDDVSVKINSLKIADQDCASVPDLVEMHSNAPVTLSDEHATSTSPSGENKSANPTTDSTGDAKGSAESGGDDEESSAEGTEDEDDALLTKQLNKQRKRAMATALGRRRPLTSRNTYKDKGKGTMNSKIQKQACQW >cds.KYUSt_chr3.11401 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67885611:67885952:-1 gene:KYUSg_chr3.11401 transcript:KYUSt_chr3.11401 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSADDKNVTNFRRKYMEWEERDLTGQQHGWEHDEVEAGGRQQILGASIPGLARPPASHPLGLYHGRRQNPPWRRLGHPIRPSPRRVELASAHGSQASGLCRESVEARATSN >cds.KYUSt_scaffold_869.433 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:2766528:2768973:1 gene:KYUSg_scaffold_869.433 transcript:KYUSt_scaffold_869.433 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHAMLDLDLVAEEKPRSGRRPRKPLPSAASRRTGLRRCPLLFVCASASMVRKGPAPPRPASSSAPRAATARTCPWSAPYPAILAATSQPPPSPRSPRPPPSRTKPMNTAWRGSTTSIVAGGPMMARDHRASSPAHTRDAKIGHGVGTLLPARRLILDVCCKGNGKQTQVNCHASTPVPDVTSSPTSETKAIVGAMSLIPVGRRSREVPPGTPSKRSRHPVLLCRRLSGNTVEGLMAVGGDPEQPPAKRDAAAGEDDGRRWSSFSTDRF >cds.KYUSt_chr7.22291 pep primary_assembly:MPB_Lper_Kyuss_1697:7:138091028:138108374:-1 gene:KYUSg_chr7.22291 transcript:KYUSt_chr7.22291 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSTPTSGGGGARPWRTALLTLRDESVASPSPPALLALLRRLLLSPASPSLVASAAVLSPHEVVPALSLSSHMFRLVRLGLTLRVQVGSDVVFLAEKAATVPPCAGADDVLRGVCHLIHDVMCKTNTEIDSSGWLALLKFLHELVKCSVEGACVKGLSDRTAALNTLSECMQILRFSSKDLGRSSSLTENSHVLRVLILIISCLQAELNMTDKSNGPGTSSYIPGSTSNKNSNTWDMKISAFSMVEDILCKIASTISEDLWLSVVELLRKVMDFVTARNLIIESSIMSRFYTSFLRCLHLVLSEPKGSLSGHVAGFVANLQMFLVYGLRSALPSAIPPKENKTEFKSRTSERGRYKPPHLRKKDGRGNDSVDDRSSDSESSRYDLGSSDSDLSDTDGHAKNGDRFRSSKARLTAILCIQDICRADPKSLTSLWPLLLPENDVLQQRKYRATLMTCLIFDPVTKVRVEAASTIAAMLEGQALVLTQVAEYKESSKPGSFTTLSCSLGQILMQLHTGVMYLIQRETQATLLAALFRVLIFLISATPYARMPKELLPTVITAMCSRLLDRQSNKNEHYTLMVNVLSCLEAAFAKVPPSSDVFGVLIDGCAGPSHTQQKSSVVLRSVVHNYPSCANIIWEEVRDIVLDLLQTESFEDQKCDANFGPPKEELSIKGRCLVAGMKVIDECLRVSSGFKGADDLKECRLLDIQQISDCTVNKNIKSAPHFEIEAPGPSQNCNLDITLGTSRWIEVIETHLPRGLSHASAMVRTASLTCFAGMTSDVFFSLPVNKKDYVTSSSVHAALSDTVATVRSAACRAIGIISCFSQILLSPSLPGEFIEAIEFNTRNSSTPVRITASWALANLCSSIRFRALELQADPSAGVLDKSTISLLVEIALRLTKDGEKVKSNAVRALGYLSRFIRFNHHSDAVDEPRNSDFCGDHVWLERMVQALMSCVTTGNVKVQWNVCHALSNLFMNDTLRLSDMQWASSVYSILLLLLRDSNNYKIRMHAAVALAVPVTRLDYGSSFPDVIQGLEHVLESFYSNNSSSSNFKHRDNLEKQLTFTALHLLGFVSPKDDQSLKDFLIKKSSFLEDWLKSLCSLFNSAEDQPLAIFPIPAIACTAVRESEASETPSVEILHREAGDKVLGSVSARSLVVVRLLHRLFGCFIDRSDYTMGDINNSHGGGAAAAGATFPVAMYVISLSYLALLLVPCSDLMHVLSLMCMVKQFSPSGFAAALKPSPFTGSHFKRWQSKTLLWLTSMGVHRVAEGTPRGPLTPDEDKAFGDATVIFVGAVLSVLGDKLVDAYLHIRNGKELWDALGR >cds.KYUSt_contig_1181.233 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1489983:1494238:-1 gene:KYUSg_contig_1181.233 transcript:KYUSt_contig_1181.233 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEPRQFGRLEPVSPPVRGASSGIRRRADSPVRGCGFPALISPPRKARVYDDASDDDEEEQEDWRELYGSQLQFEVEPSVRDLRDEGTADAWIERNPSLIRLTGKHPLNCEPPLARLMHHGFITPAPLHYVRNHGAVPRGDWSTWTVEVTGLVKRPARFTMDELANEFPALEVAATLVCAGNRRKEQNMVQQTVGFNWGAAGVSTSVWRGVRLRDLLRRCGMMGVKGQALNVCFEGAEDLPGGGGSKYGTSITREWALDPSRDIMLAYMQNGELLLPDHGFPVRAIIPGCIGGRMVKWVKRIIVTAAESDNYYHFKDNRVLPSHVDAELANAEAWWYKPEHIINELNTNSVITTPGHDEILPINAFTTQRAYTMKGYAYSGGGKKITRVEVTLDGGETWLVCTLDIPEKPNKYGRYWCWCFWSVEIEVLDLLGAKEVAVRAWDQTHNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFLQAALDKQFTMSEVRKHGSKDSAWIVVHGHVYDCTAFLKDHPGGADSILINAGSDCTEEFDAIHSDKAKALLDTYRIGELITTGTGYNSDNSVHGGSNLSHLAPIREATKALAAPIALSSPREKVPCRLIDKKELSHDVRLFRFALPSSDQVLGLSVGKHIFVCATIEGKLCMRAYTPTSMVDEIGHFELLIKVYFKDEHPKFPNGGLMTQHLESLQIGSYIDVKGPLGHVEYTGRGNFVINGKQRQARRLAMICGGSGITPMYQVIQAVLRDQPEDETEMHLVYANRTEDDILLRDELDRWAAEYPDRLKVWYVIDQVKRPEDGWKFSVGFVTEDILRAHVPEGGDDTLALVCGPPPMIKFAISPNLEKMKYDMANSFISF >cds.KYUSt_chr7.27211 pep primary_assembly:MPB_Lper_Kyuss_1697:7:170073537:170074957:-1 gene:KYUSg_chr7.27211 transcript:KYUSt_chr7.27211 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFPREKEVMRELVSAVRYCHSRGVFHRDIKPENLLLDAAGDLKVAHFGLGAVADGGSSPLRHTMCGTPAYVSPEILSKQGYGPAKVDIWSCGVVLFVLAAGYLPFNDGSLINMYRKIYAGRFRCPNWFSPELRHLLRCILNPNPATRIGTDGIMDHPWFRHGADDADAELARVKSGHDEEAWFKTEFKEDVGRDMTAFDILAFSPGSDLSGLFGAGPGTERVFVGESAAAVLARVEDAGKKGGHIVRREGKKRAGPVYVEAESGIVAKVTVFKIADAVSLVEVVKGHGPEASAFWKDWLQPAVKPPAAAV >cds.KYUSt_chr1.37637 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229808040:229811922:-1 gene:KYUSg_chr1.37637 transcript:KYUSt_chr1.37637 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRVGVRDCLNRLEEDYDNNEGAEIIGYEEPDLSGVAASKQMAVTLKLRMLAVAAATAVVASSLVGMASAQAPAPAPATSGASVAAPAVAVASLTALVFGYFF >cds.KYUSt_chr3.32375 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203392394:203393062:1 gene:KYUSg_chr3.32375 transcript:KYUSt_chr3.32375 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAGVAQIAGRPVLQPAGNRVAATEVARPLKKSLQKSLSMPASYDNAAAAPAAAAPKNTGAGDFARAAAAAPYLLPPTPAKAAGARAVPSVGAEKSRKAPKKAGAVLPVVTFAALEAFEVAGPAGSIAAAQREHVTQAQAQRKSRIAHYGRTASFSRVEGRVGATATATATAAAPGAAPVVATLEEKRCSFITAYSDPVYVAYHDEEWGLPVHDDE >cds.KYUSt_chr4.7659 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45736159:45737159:1 gene:KYUSg_chr4.7659 transcript:KYUSt_chr4.7659 gene_biotype:protein_coding transcript_biotype:protein_coding MQARAEMLEILKTLEAELGEKEFFGGEHGFRFVDAAFAPATAWFYTFESYGEFSVAEVAPRLAAWAARCGERESVAKSLYSPEKVYDFIGGLRKKLGIDTSTSLHFPNPRAQPSLLPRASCAAAAWSLGEWSPSSPALDGDLSCANLLPSFPVLGNARCRLRDVTPPPPRSPMLSKICLLLAAASSPFREPHDSASSLRTPHGDDGRQAAVVDGSHRADALPGAMLCSHQPLTFLGLHRTGKSPSCHMPPFPLPY >cds.KYUSt_chr6.336 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2131630:2132403:-1 gene:KYUSg_chr6.336 transcript:KYUSt_chr6.336 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAPAAAADSAGEAPPAAKKTGRVKTKAAGPRGVAPAKVRTKAISRIGLAPPPASKVTTPPPSVPAIALPAPPAPPPTTIDVDKVFDVESTTSYLDMLNDSAVNLDAGIGAFDGECNVEEIDEEEDKGDEEEVVEVDPAAAGSSSTPEEREIMMMRMDGMDEDQLAWWKETKADIIARKMAARQARDQGESPASGGSGGDGSLDG >cds.KYUSt_chr7.20954 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129804667:129805899:1 gene:KYUSg_chr7.20954 transcript:KYUSt_chr7.20954 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCWTWNAAAAADMLSRFCKVVCGVSPSSTTAMATNDIHDEHGKLRSNGLISPLLSPELLRLHPSVVDFSLNTDNDDAAAVLPCLVFGSEDGYQAFSLDEGCMRDDVVMRLARGRRYVPSPYGGKVFATDMCGRYPCRLIDPFTGEVTPLPDLPIPLSEETPMPVARDEPEPPRFRLSTEDGFAWDWSPRGVMVARGDTAFFCEAGGGEWAPVHRSRHGSPMTINYRAGFFFVFDLGTLRTAVIDSETLDRSTEIDPPPRLGDITWALLVASTDDVLLLVRRRPRNRYCDSDGSFFQAYRAPHREQLPDMPIKWEPVTDIGDRAVFMDHAHGFTVRAGEGAMRNCVYRVRAVELQEEERSRRDDAALEVVVSPLSDLRKIKVVEGSEVLRRCKVQPTWGGGYWIMCKHG >cds.KYUSt_chr4.9453 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57019402:57026508:1 gene:KYUSg_chr4.9453 transcript:KYUSt_chr4.9453 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPIVPQYLIRSYLVLFLSLGHGVIEPSEPSLPLQSPPSSLASEEFRPLARLSSSAPLHWKSPSNLLMNAYVTLPDKVFGPSCQTNLVYEGGAKDVAMSALTGINATIFAYGQTSSGKTYTMRGVTESAVSDIYRHMENTPEREFVIKISAMEIYNEIVKDLLQPDSGSLRLLDDPEKGPIVEKLDEQIVRDKEHLRHLIGICEEQRQVGETALNDTSSRSHQIIRLTVESRLREVSGCVKSFVASLNFVDLAGSERAAQTHAIGARLKEGCHINRSLLTLTKVIRKLSSEKRSGHIPYRDSKLTRILQLSLCGNARTAIICTMSPVLTHVEQSRNTLFFATCAKEVTNTAKVNMVISDKQLVKHLQTEVARLEAELRIPDRAGSSEILIMEKDEKIRQMEIKMEELKKERDNACSQLEELRKKMGDNQQGWNSFDSSQKARKCLTFSGSLQPSKMIKIRNSIRQSSTAPLTLKHEIRKLEQLQQQLEVEANRAIEVLHKQVECHKHGNQGVAETIAKLQAEIREMQSVRPENRDVEMITDEGNGSDLKDEISRLHMQDNDIAKLEAKLENVQRSIDKLVMSLPNVGQLSCPPFTEWPLARIISTSLPVTGGSYVFFGGHSERPRRRKCGAYLGQGLQLNTLDRLSPEGI >cds.KYUSt_chr7.39745 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247083373:247085149:-1 gene:KYUSg_chr7.39745 transcript:KYUSt_chr7.39745 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRARARPRLRPAAGTPHPIPPVAPAAAVIAAAPAGSGPACPSVASTVDSVLQALRASMQAPCAAAPAASAGAVSRSPRPRHRFGMAATPPTGSHPLDGLLCDPAVLVEGLGSLSLLSVASGGPAKVPLPAGGAMPALGSLWVASLDSDEDDDDEELAPRLPLASSEGVVSGSVRDTADVRHDDEAPAEPCINISAAVGAPGDEDDWVKVGRGGRPSREPSTSPRKEGLERSLAFKRWARGRCFWCLERDHKSCTFSGRKCSLFAAPLSARTAMSYIGIPELGQGRVPLVVSCNIAAKALSKPRPVAASLQPVVSTASKSEGIDETLAPVLQITPERHELRGDSPVVLPLALCSFETLEVATTPPPPQLEPCQSVASLDCGAVLAPSSEALFAKELCGLLASLEAASPGYGKDIDCVLAGKASEDLIRRVEKSLKKVSIRSIRRKRAS >cds.KYUSt_chr3.15006 pep primary_assembly:MPB_Lper_Kyuss_1697:3:91389284:91394608:1 gene:KYUSg_chr3.15006 transcript:KYUSt_chr3.15006 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLVIGGTGNIGRHLVMGSLNAGHPTTVLVRPATVSSDSDKAKFLNSLKARGASVVYGDMNDHGNLVEAIKQHGEVVISAVGHGRPEELDGQLKILEAIKKAGTVKRFVPSEFGCDVEHAERTLEPAKSMIKSKLRVREAIRAAGIPHTIVCSYWAIGLLLSALANSGGDGPLSTGVIFGDENSRAIFVDERDMSSLVIRAVEDPRTLDKVMYVRPPTNICSFAKLVHMVEKKTGRTLKRHYVSEQEIAKKIQEAPFPLNFQLAMVHSTLVHAGACEGAIDPAVGVEATRLYPDMYLHIGWEKFARYHHLEAGFVLMFSYLGEGDMSVKVFGETRCCRHYHGDIAEEDND >cds.KYUSt_chr6.1294 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8093509:8096319:1 gene:KYUSg_chr6.1294 transcript:KYUSt_chr6.1294 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAAEFLPPGKEQPRARMKEKGSKPHAQAAAAAAVPHPRAQPGEEHVSALVKAIAGFAKRETEAVVNPKLGTSFVSLGEAYEFYNIYSWESGFGIRYGESSLDSQGSKCMQKFVCACEGKPTKYNNSPLSCECTASIRLLRSRDSGWYVCEHQVGHNHTLSRACKEKLSWRSHTSINKNTRDLIRQLRENNVPVIAEIGAENPHFDDFRNTMETFTRIRVKDPLFNYTFQIDNDCRVTTLLWTSIQNSTHYHSFGDVITFDTTYRSNMYEIPFGLFVGVNNNFETILLGGVLMTDEKVDSFKWVFSQFFQLMGGKQPQTILTDRCGAIEDAIREVLPSTTHRWCKWQVLGMAKDFLGSHYTKESMFRVEFHRILNDMLTTDEFERAWEMLLQKYGLENHPFLMQIYEVRHKWVKAYFSDTFCAKQTSTQKCESARHLLKEYVPWDCSMELFVKQYEKLRSDQDLEDDFEEKSTSINEVVLRTNLPIEKHASEVYTRAVYELFVQTIYESEPYVVEAVIPNLKYKAWCPNSETREKWSRVEYEVNVREEGEAFMCVCKQFEHTGMVCCHAVKVMVHLGVHEIPRSHIVPRWTVEPLRCWMHRAHPQKDTCWRCRRFALYDKGIKIMRRASRDDRSFEIAMKHMDRMQEIVSQMPDV >cds.KYUSt_chr1.34329 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209093509:209094447:-1 gene:KYUSg_chr1.34329 transcript:KYUSt_chr1.34329 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGVNYKNLGRPEPVKTERFLPTIHAAKHAERVVELHVAREDDERQLLPLPYLLLGLQQLTAESSTATARAAVSAAGLPGSPQGTWLPRRGRRRDRGGRAQRCVVRVSTCSAARRGESTPSPAWQGQVPVRVGEAGEAAPQRSAARVSACSAVRATSDSGKALVRVGEAREAAPAQRGTRLGLQHIEGDEGLVEAPVYISGAEETLEQAAVKRGWGIRGLTAQRLLHLRVVEQLRERRQHAHDLRGDHRDGGHELRWRAGVVPVARSRSTPFLRYSSARYPVSSSTSTGSNGTRNSRGNTACGSTCCCWPP >cds.KYUSt_chr3.45644 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287532748:287535470:-1 gene:KYUSg_chr3.45644 transcript:KYUSt_chr3.45644 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVGWSVTCEYQTVSAASDGVKAWEILKAKSFKIDLVLTEVKLPLMSGFLLLSTIMEHDACKNIPGINILLLLPWDAIVSYSKRGAATQFCGYAVDFLDKVSGTIKIVPIASNKVSVSVIWFFILFKQFSILFFIL >cds.KYUSt_chr2.55602 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346850386:346852109:-1 gene:KYUSg_chr2.55602 transcript:KYUSt_chr2.55602 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAASLLSVALVSLAILVSVLLGRRSTPDSKKTRWPPGPRRLPLIGNLLHLLTSEPPVALRDLARKHGPVMYLRLGQVDTVVISSPAAAQEVLRDTNLAFASRPSFVATEIVCYGNLDVAFAPYGAYWRTLRKICTVELLSARKVRQFAAIRDDETLALVRAVQRAAAGGGGEPVDLGRLLMSCTNSITAKATFGEACDADLQEQFLSAIAVVLELSSGLCVGDLFPSLWFVDVVTGLRRRLWRARRQLDAVFDEIIGRCEARREGKKTAAADDLLSVMLRVKDEGELEFPIGTTNIKAVVVDLFTAGTDTTSSTAEWIMSELIRNPEAMAKAQMEIRQALEHTSAQDHEGCMDMLPYTRMVIMEALRLHPPVPLLLPRVCRETCDIGGFEVASGSRVMVNAWAMARSPMHWGEDAEEFRPERFEDGGAQDYNGTQYEYLPFGSGRRMCPGGNFGLATLELITVRLLYYFDWSLPAGVGPDELDMEMIVTGTARRRSSLHLVPSQYKIPVMEIQPCSL >cds.KYUSt_chr1.4402 pep primary_assembly:MPB_Lper_Kyuss_1697:1:27083573:27085075:-1 gene:KYUSg_chr1.4402 transcript:KYUSt_chr1.4402 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMNGNGGGSRLVVTELSHIKELVKQLDVHLGGSPDLCKDLAAQIFTVTEKSISMIKSGHFDFDGRKRSATGAGAGLDSPPLSATPSPLSGVSDMPFKTNKKRKMDKGKRQIRVSSAGGGADAPEDDGFSWRKYGQKDILGAQHPRAYYRCTHQKTQGCAATKQVQRADEDPTLYDVIYNGDHTCVHKATAVPVATAKSQPEIALSLLQSLKVETEGFTPRAQQGWSATTPFSFSSPAVSGLTPSTPENCFWQGVSTPTSLEPSPATSGSNHLSMRVQCELDTMVSAFMAATSMPPPAMEDTPFSLDGIDLDFDISCFFASDC >cds.KYUSt_chr5.20983 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136456422:136458966:-1 gene:KYUSg_chr5.20983 transcript:KYUSt_chr5.20983 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCAGPRRSFAKNGFFSNISGSIWRNRSAGSTSPSQPTTTSRSVPLVQPTASTAPPEVKPPEPTHTAPPAPVVISEPARPPPQPQPAPAEKEPVHSNPPPPPSPTQEQQQPSTSQPAQPQAPRQQSRPKKPSGHIKRVSSAGLQVQSVLRRKTDNLKDKYSLGRKLGQGQFGTTYLCVDKATGLEYACKSIAKRKLITDEDVEDVRREIQIMHHLAGHPSIISIRGAYEDAVAVHVVMELCAGGELFDRIVRRGHYTERQAAELARVIVAVVESCHSLGVMHRDLKPENFLFVGNEEDAALKTIDFGLSMFFRPGEMFTDVVGSPYYVAPEVLKKNYSQEADVWSAGVIVYILLCGVPPFWAETEQGIFDQVLHGTLDFDSDPWPSVSEGAKDLLRKVLVRDPKKRLTAHQVLCHPWLQMSGEAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINYEELKAGLERVGANMKESEISQLMQAADIDNSGTIDYGEFIAATLHLNKVEKEDHLYAAFQYFDKDGSGYITADELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYNEFVAMMQKSTAGFGKKGHHNLSFGLRDALNIKSNS >cds.KYUSt_chr3.3317 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19010725:19013016:1 gene:KYUSg_chr3.3317 transcript:KYUSt_chr3.3317 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVTTILLLLLTPSLVAADVFCDNVKAVAAILSKNASSSPVHFASTTYGQAPDVVSALALCGGDILDGSTCGVCITNWFDQALSVPQCAKVDSNYRDCIIAYGGAAANILAAPSNATGGSGDNTPPFENWNIRNVSGGNVSLIAGLTRELLAATAEKAAGTVPSRYATGVMNMARVSTTYPSVYSQARCTPDLPADECSACLRRLLGMVNSTMSLRMGGQMGVTRCYFRYDAFQFYAGQPLLSLPASAAAPTPTKRRSILWGQLPEGLEVAVKRLASHSGQGFIEFQNELQLIAKLQHMNLVRLLGYCSREEEKILVYEYLPNKSLDYFIFAQPNLCNGIANRHGNYGKMESG >cds.KYUSt_chr1.24260 pep primary_assembly:MPB_Lper_Kyuss_1697:1:144843662:144845012:-1 gene:KYUSg_chr1.24260 transcript:KYUSt_chr1.24260 gene_biotype:protein_coding transcript_biotype:protein_coding MEETWEALVVRIEQHRSPEAKGGVHGCWGGRNPRDLTLPWQRGSGHAVGGGSGVWGEAEVQVLRAHEAKGDAADAHLHHELKASSPWHAAGKEFVPIARRQALYHKFQHADGKGLAPPRAAGPPNGSSPLPLAPLVLYPETSIEACNKSMFLPVLVAIFLKLDGGWEKEEKLNVVTGEKELIHQGDQDGSGG >cds.KYUSt_chr3.40164 pep primary_assembly:MPB_Lper_Kyuss_1697:3:253143693:253144573:1 gene:KYUSg_chr3.40164 transcript:KYUSt_chr3.40164 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGAAAAAAAARAVSPPLHAPSLSGRRGAGRPSTVSVRSAGGLMDFVGGDLVKPDLGRWLDDVEEHKALAIYPPHEGGYEGRYLNRLRYQGYYFLDMSARGLGDPESTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLVVWVLEAKVLSKAELQFLAMLPDLRPKVRVIAECGNWRKFTWKPLKQISGLEPDPDAEE >cds.KYUSt_chr6.1650 pep primary_assembly:MPB_Lper_Kyuss_1697:6:10073036:10074971:-1 gene:KYUSg_chr6.1650 transcript:KYUSt_chr6.1650 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGSEEAEEEAPAWVELEPIGSDEQLDRALAAAQQRAAPIVLLWILFYCVDVNAVPQKLVNRAGVTTWSDSQKQGEVIGGHKSWLVIDDVRKMIEQEE >cds.KYUSt_chr6.11905 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74053011:74054849:1 gene:KYUSg_chr6.11905 transcript:KYUSt_chr6.11905 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLVLPHSQHQVATPRTAAAPAPSTRALEQAPCCTTVSARGGLDEVRKAHARHVKLGLDRSPRHARPLLAACALGDWPGSMEYAAAIFATLDDPEAFDYNTLMRGHVAGGRDPAAALRLYVDMLHNGVDPDTYTFPFVLKACAQLAASRQGRQLQGHATKLGFSRHDEHVQNSLISLYGKCGEAELARRAFEQMEAGARTAASWSALLAAYTRAGLWAECLEAFGAMARDGWRPDESSMVSALSACAHLGAYDVGRSVHCALLRNTARLNTFMETSMVDMYAKCGCIEKATAVFDGMDGERNVWTYSAMVSGLALHGDGRKALQVFDAMASEGHRPDEAVYVGVLNACSRSGLLDEGLRCFDRMRLEHIVAPNAQHYGCMVDLMARAGRLEEARALIGSMPTGPTDSAWRSLLNACRIHGDIEHAERALRELARLGGAANAGDYIIVADMHASAKNWDAAAALRVEAVDRGLAQAQGFSAVEVHGRMHRFVSQDRSHPRTADIYEMLHQMEWQLRFEGYKPDTTDVALDVDDEEKRRAVAAHSQKLAMAFGLLSTPEGTPVRVVTNLRMSKECHAYSSLISDIFGRDVVVRDRNRFHRFRRGACSCGNYW >cds.KYUSt_chr1.29226 pep primary_assembly:MPB_Lper_Kyuss_1697:1:176813309:176820727:-1 gene:KYUSg_chr1.29226 transcript:KYUSt_chr1.29226 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQFPAMRSYPDTLGTALSDQGPGTADSSASEAGSYSARVDATRRRAGAWGRQARRAGDGRVRVVLAASIISKSGKALVSRQYVDMSRIRIEGLLAAFPKLVGTGKQHTYLETESVRYVYQPIEGLYLLLITNKQSRPSAAAAAPGKGLGMKLGKSQKTNQFIESLKAEGEVILEDAQPSSVLRSSPLLPSDPITVTIEEKLNVTVKRDGGVNNFDVQGTLALQVINDADGFIQLQIENQDVSGLSFKTHPNINKELFNSQQIVGAKDPNRPFPSGQNETPLVKWRIQGMNESSLPLSVNCWPSVSGNETYVNIEYEASEMFDLNNVVITIPLPALREAPTVKQIDGEWKYDPRNSVLEWSILLIDQSNRRSLESIL >cds.KYUSt_chr5.12999 pep primary_assembly:MPB_Lper_Kyuss_1697:5:84767691:84773685:1 gene:KYUSg_chr5.12999 transcript:KYUSt_chr5.12999 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVSSAKRKQVRHPLDYPCVSRLRHRRLLAFLRWRYGSTFKAFVHETGVFFSIEHLERLVFLGQWDDAIKYVSRFVPSLDKLGSEGTVFSNFLQVQKALHSIAAGEPYGTLMAMQYERNLKKYPNSDPGTVKLTRVLLTMLRCENLRTSIDWSCMRFKAAEIVKDLITKTPKFNDLLRLPNCRDKPHTILPIGSCSHQRHHMKERGRTPSSDIANFYLQKKRSLPSSSHCEGNSVVSGLSCEESTWLADITVESLLAGLGRVVQQGHSFKGSCNEAAADDGKGRATRALHADTCATNLPLLSPFLFYQILHSSLQITAGGEVSLGHMNYDVGITSVGARMDEQNDYNIQALSFTFFTDQYNHMRKNEVGWILGLDYSNKEAEVPWVKLLECASQGGTWSDELYRDGSDV >cds.KYUSt_chr4.28243 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177479301:177480938:-1 gene:KYUSg_chr4.28243 transcript:KYUSt_chr4.28243 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYHSSLPFSPPSSSCHGVLSLPPRTAASFACLCRVPPQDDHDAELLGALQSNGNGILLREHHPTASPQALDPIPGELGDGRRRSSQFRARDYARRIMSLPMAERVKVLDLLQRDDAALTVSDYNDIISALARGGDYDSAVALFRSLQDPNGSVAPDAHSFAIAVQCFCRKGAPDEAKETLDEMLARGHLPSVAAFSAVVGCLCKRGRVTRAMEVFDAMRAVGSEPTIRTYNSLIGGLCYVGRLEEARDLLGKLKDSPKQTADIYTFTIVLDGFCKVGRTEDAMAIFEDAIRVGLSPTIFTFNALLNGHCKEGNLLKAYDLLMEMCDSETCPPDKISYTIVLPALLRAGEISAAWKTFKRMEHAGFEADGRALDTLARGLCRQCAADISVLKDAKEVFGKVVSAGHEPVSYTYCLMAQALARGGEVDAAVAVLDDMVRKGYALRKRAYTDVVRALCDRSRTRDAVRVLATVIAKDFVPGRNAFDALLGELSRQERWPDAMAVYAAAVKRGVVVSLKRNVKEALVVDHEEEPAWESPAQLGVPQ >cds.KYUSt_chr5.30116 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191036575:191045101:1 gene:KYUSg_chr5.30116 transcript:KYUSt_chr5.30116 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGAYGRPDDGASRVSDSLWLGVAAAPAGCCGLLSLAVSERNGGLGQVYLGSWAFEAVLWAVFGSTLAPESLVLRRTTLLHQQPSRGLHLLLSSMKTLFHSANSESSESPSCLGLGCGIRGISAQGTNASVKDDTNLLLVIKEAECRLCCCHADPTDNIRGATRTSVLDMAVRSWNPFSCCVRGGAVADEYDDDSASARRIGRRGKGSPRSSSRMSFRSLSSSGTLSPEDLSLTMSGSNLHAFTYAELRVATGNFSRANYLGSGGFGPVYKGVVDDKLRPGLAAQAVAVKYLDLECGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEDKHRMLVYEFMSAGSLENHLFKSINGSLPWMTRMKIAVGAAKGLAFLHDADPPVIYRDFKASNILLDSDYNTKLSDFGLAKDGPEGDETHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGLRSVDRARRPREQNLVDWARPYLKRSDRLYKVMDPALECQYSCKGAEVAALVAYKCLSQNPKSRPTMREVVKALEPVISMDDFFPVGPFVFTVIVEEDKVVDMKVEVKKHQHHRQNHEDRHRQKYPDSAIHTGIVLHGRDGLITGYTGAQRRQQRSLSYRRERGA >cds.KYUSt_chr2.32728 pep primary_assembly:MPB_Lper_Kyuss_1697:2:201870651:201874404:-1 gene:KYUSg_chr2.32728 transcript:KYUSt_chr2.32728 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAEAAELTGPLLAGGSGDAPAGEEVVPPWREQLTVRGIVVSAILGVLFCLITHKLNLTVGIIPSLNVAAGLLGYFLVRTWTAALARFGIVSKPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQKTYELIGTDYPGNRAEDVKNPSLSWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTTGAELAEKQVSCLGKYLSISFIWNLFKWFFSGVGDSCGFDNFPSLGLAAFKNTFYFDFSPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYITTKAGEWYPADLGSNDFKGLYGYKVFISVSVILGDGIYNLIKIIYATIKEIINARAKQGRLPLVRVQDDDGSSKVSSEEKLLNDVFVKDSIPPWLAGSGYVGLAAISTATVPMLFPQLKWYLVLSAYVVAPLLAFCNSYGTGLTDWNLASTYGKIGLFIFASWVGQHGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWTAFDIGNPDGMFKAPYAVIFREMSILGVEGFSALPQHCLAICTFFFFAAIAINLLRDVTPKSVSKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAVASGLICGDGIWSVPSAILSIMRVDPPMCMYFKPSVAYGLI >cds.KYUSt_chr3.9696 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56957591:56958310:-1 gene:KYUSg_chr3.9696 transcript:KYUSt_chr3.9696 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALREAIDEVLVTLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPGVSTADREAQQRRARHPRPALLAATRAEEEDGEE >cds.KYUSt_chr5.21889 pep primary_assembly:MPB_Lper_Kyuss_1697:5:142948756:142951149:-1 gene:KYUSg_chr5.21889 transcript:KYUSt_chr5.21889 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIKMPVSRRRKAKGEQGSNPNRAGGETPVKKVKRGACVLCDDGGDLIWMRPCIPARTASISSISVLLVDCWGLQTWHQDLRKHEIQPDLGTPMRDHIFFPDGRNPVASKRARGTPQEHDILEKDEQLDRPSSSKPSQSPQPAEIGHHRVKPIDSFAPKHLFLRPQPGSCGWLDE >cds.KYUSt_chr2.50762 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317322873:317329292:-1 gene:KYUSg_chr2.50762 transcript:KYUSt_chr2.50762 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMGPKKNYRCDRSLQQFYTGGPFAVGTAAPGGDAEAEAEAFLACACGSDLRVVSAADASAIGDPIDGDSEAVTSLALSPDSRLLFAAGHSRLVRVWDLASRTCIRSWKGHDGPVMAMACHASGGLLATAGADKKVCVWDVDGGFCTHFFRGHTGVVTTIMFHKDPKRLLLFSGSDDGDVRVWSLESKKCIAVLKAHLSTVTSLALSEDGQTLLSAGRDKVVTVWDLRKYSSKKTITAYEMIEGVSFIGPGSGFLACLGVEPANLKEKANGYCLTVGERGVVRIWCLESAVCVFEQKSSDVTINSENEESRRGFTATVMLPDDQGLLCVTADQEFLFYSCTRTDEGTFQLNLYKRLIGYNDEILDLKFVGEEEQYLAVATNLEQVRVYDVASMSCSYVLAGHTEIVVCLDTCVSASGKKLVVTGSKDNTVKLWDMEKRSCIGTGKGHLGAIGCVAFSKKSKNFFVSGSSDRTIKVWTWDDALIDANGEVPLKAKAGVAAHDKDINSLSVSPDDGLVCSGSEDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPVERCVITSSGDRTIKIWHVPDGSCLKTFEGHTSSVLRASFLSRGTQFVSCGSDGLVKLWTIKTNECIATYDKHDGKVWALAIGRKTEMLATGGTDSDLNLWHDCTLEDKQEDFLKKEEEVLRGQELENAVSDSDYTKAIQLAFELRRPRRLLELFSQLCRKADPEDPIEKALHGLPKEGLRVLLEYIREWNTKPKFCHVAQFVLFRVLRSFPPTDIVEIKGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLTRMSVVDPDVVDAGTTRDVTNDLSVENGEILPEEPAQESPEKPGKKRKSSKKGSNVVSVDTDVVDVGTARDVTNDSSVGNREILPEEPAQESPEKPGKKRKSSKSSKKGLKKAAAKPIGIRQDSGM >cds.KYUSt_chr5.14574 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94495380:94499304:1 gene:KYUSg_chr5.14574 transcript:KYUSt_chr5.14574 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHMVSFSLCYLVQAAAVAPKRDSLYLECHLDLFQDTMQQNIEDELKELKNKASMRVRCISKEYLCTLAEQTKKIEAELARKDAKRLRHGACKKAESDREVMVDTRSKDSEIFDAAPRTEANAFKKV >cds.KYUSt_chr6.3757 pep primary_assembly:MPB_Lper_Kyuss_1697:6:21652411:21654723:1 gene:KYUSg_chr6.3757 transcript:KYUSt_chr6.3757 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLKQDYTKDERRMKVVEMGGAQGILEVLEGAKDDKTRKEALKALVALSKSDKAAEFLDKAGAYAIVTSTPNSPEYDEIENCKTSILKAFDQLR >cds.KYUSt_chr4.19139 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120288835:120290184:-1 gene:KYUSg_chr4.19139 transcript:KYUSt_chr4.19139 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEPAPGGDVKLFNRWTFEDVQVNDISLNDYLAVTATKHYTFLPHSAGRYSAKRFRKAQCPIIERLTNSLMMHGRNNGKKIMAVRIIKHTMEIIHLLTDSNPIQIIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >cds.KYUSt_chr7.33357 pep primary_assembly:MPB_Lper_Kyuss_1697:7:208184947:208186399:1 gene:KYUSg_chr7.33357 transcript:KYUSt_chr7.33357 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPVDMLKHAKERVYTPTLAYAVRRRDPELIRPAAHTPRETKRLSDIDNQEDLRTHVSLALFYRGAGGQNGVDPAGLIRRALGEALVPYYPLAGRLREVEGQKLVVDCNGEGVLFVEADGDVRLVELEAVGLRPPFPCWDQLLFDVEGSSGVIDCPLLHIQVTRLLCGSFVFALRFNHVICDGIGIAQFMNAIAELTRGLPSPTIAPVWSRELLNARDPPMPSFTHREFDLLLQQPPPAGDMVIRSFTFGASDLDAIKKSLPPLLRDTATTFEALAAFLGRARAAALELPPGGNAPLMIIVNIRGVAGTNLPVGYYGNACVPSTVLVDPAVLLGGSLGDAVALVRRAKATVTSEYARSIIDEMVLLGRRFLCPASMFVLSDARHLGFARVDFGWGEPVYAGPADTAFGVSSFITGKDRDGDGTVVVPVVLPWMAMDRFATEVERLLNPVKPQLF >cds.KYUSt_chr2.55114 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343659314:343660086:1 gene:KYUSg_chr2.55114 transcript:KYUSt_chr2.55114 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDIVDGETGRSVPRDGATMGEIVLRGGCVTMGYFKDEDATRAAIRDDGWFYTGDVGVTGAEPNGLTLMHRHQAPPLGRDAPGRVPGDPGPVQERDHQRRREHQQRRGGVGAVRAPGGERGGGGGAADEFWGETPCAFVGLKDGAVASVAEVIAWSRERMAGYMVPKTVVFRAELPKTSTSKIQKYVLRNLAKEMRPTRRGVSSSKM >cds.KYUSt_chr7.34684 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216539050:216539781:-1 gene:KYUSg_chr7.34684 transcript:KYUSt_chr7.34684 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTTTSNGSVGAKQQQQHLGGHGNGGKMRTYKGVRMRSWGAWVSEIRAPGQKTRIWLGSHSTAEAAARAYDAALLCLKGASAAAELNFPVRFPFDLPPAAMSPKSIQRVAAAAAVGSASAPIDFTCAGSASPSASSDITADCSSSSDITPDCSSSNASAVSSPETTASSDTADLDGYDMGGGLPDYSTLAEIDAFFQSPKCMEYAMMDPCSSFFAPAPMEMDEGCGWEEEGHIALWSFSSLN >cds.KYUSt_scaffold_2697.871 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:5474541:5478200:-1 gene:KYUSg_scaffold_2697.871 transcript:KYUSt_scaffold_2697.871 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSGSLAPDLLGKIRCVWWWRRRGKELRRSEMAALDLPPWISVNKPKVCSPSSISMFTLFVLLPLAGRGGEGWGRWTEDGGTMEMSRGSLSLVFGAAPVDGRAAVMSSPQGGGWIRMLLLPSPPTISTARCKNAPLTSSTSTWPAVEIQGHSMAYPIAGDGIRRINLLCEVLTEVNVLPRVLINIASIICHYQHIRDRNLFRSRLLTFSIRAIYARTCIHSSVCYSVVDLRPVHGLRDLVGARAAAAATTPATATVVPTTTATSSAATAAVVASTAAAAAVVAATASTAAAAVVATASASAAAVVTSTSPTAVVALHASLGLFLLSLYMNKNVSMDAS >cds.KYUSt_chr6.27095 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171863487:171865155:-1 gene:KYUSg_chr6.27095 transcript:KYUSt_chr6.27095 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIARGCAVCKDVFAPGQRVVRLPCKHYFHGDCICPWLAIRSTCPMCRYQLPTDDAESPQAPQLGALVVPVALHGEAQQSGDRDGGDGSQATTGGGDMDVASGHGST >cds.KYUSt_chr7.296 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1705690:1707396:-1 gene:KYUSg_chr7.296 transcript:KYUSt_chr7.296 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDDPDARGHAGEREDRALLPPARGGGGADGDGDGDAAGAGAPQPGCLGFLVGWRRRGSRISSTTGAKDLQEQTGEFPRQQVLKELPRQQVLKELPLQGAVAEIPAELPKHQRVTTDGTKTEGEAELKELPTDVDCLIISKLMSWTDRCSLENVSHSWRSSTRQCINPPLPLIANADFTISNCFANGLRSAPLPMNSRVPHPPGHEVTCLGSLGNWAVFAYDEWNPEGDLALPHSLCYLIHPMKGNSFILPSPCSSDKEAFSGSLQIVDGNGQFTFYQPVKTSSLRLKKVVLSQSSSPADSNIVGLATQRGEQHIVFSTPGMSSWYICSAEFVTVGSDIEFLNDKVIILGNNQESIFQIDFGPNMSFFPVLCEVRMCMALELPEIDNTHRQLNLVRSTGGGLLLVSRYFTLNWEELTAVRVFQLDPSNWTWQEIESLGAFSILISLSSSQSITAPGQSDPKADHIYFLDQFCPNFLPGENDNFSYRSQVYGLKDGTVSELLIGRRRGNHRPGFPMWFFPAE >cds.KYUSt_chr1.40087 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245561839:245562135:1 gene:KYUSg_chr1.40087 transcript:KYUSt_chr1.40087 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQHLYRSEKIPEARGDGGEMPLKPTMVVAANSMRDGRRPREVKAAGGVMPLAAGRGVMPRGGDSAMLSRSGAGGVIPLGADGDGERPYKETAVRYR >cds.KYUSt_chr4.3552 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20230895:20231221:-1 gene:KYUSg_chr4.3552 transcript:KYUSt_chr4.3552 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRTTKVMSDVEEQTRSWTKKERLRMVVEGKRMVEKLIAMEKVRRVLAINPKPLIIHEDEDDPLSIASMKHCEFGVDKIREFQDNIRRDLDTKGYVLGWATDEEDE >cds.KYUSt_chr2.53560 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334117269:334121595:-1 gene:KYUSg_chr2.53560 transcript:KYUSt_chr2.53560 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSHRRISPAPRRRFTAVSSLSSAPAKTAVAPGPPPSSILSIRDSLLSGERSAADITSEYLSRLRRTEPSVRSFIHVADAAAERDAEELDRRIASGGKDAVGPLAGVLVGVKDNLCTVDMPSTGGSRILDGFQPAYDATAVRRLRDAGAIVVGKTNLDEFGMGSTTEGSAYQVTKNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVFDTATMLSVIAGHDKMDSTSSSHDVPDYRSDLVSLNLLESKPLNNLRIGIIQETLGEGVDTGVISSIKAAASHLEQLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGKQVSADELNELYGDSRASGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKKSFEEALKRYDVLISPAAPSAAYKIGEKTNDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGSAGLPVGLQMIGSPFSEGNLLRVGHIFEQTLQDVSFVPPMLADL >cds.KYUSt_chr1.1454 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7896937:7903361:1 gene:KYUSg_chr1.1454 transcript:KYUSt_chr1.1454 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAPVAPGSGRVGRPRAPRVGLGAAGAAAAAGEGPSCLYVGPIETASQERLEALYRQARDSYYSGEPLIVDDMFDKVELKLRVYGSPSVVKYPRCSLKRQSAYADAEEDNSLIMALSSIWMLLLLFGTSALLLPTFHTLSRAFGDAFGTRFLLYGAKSLDGITRANDMVLFGLGYLVGYPIASASVGALQGLLTNNVVALKGSCPNCGEQVFAFVKTDKSVKAPHKAECHVCECPLEYRTKIENCHKKILIFKVYGVLGDLLRSGSLRLLSISAGRSSLALPALHSEWCSYVCIRLHLKQKGPQFSHIRLRTMDDGTRQLRVRFSGVGHEDQGGGQTRTMPSQQQGALFGRDQLSKGEEYDAAYAATVAAVAYAIAAMEEEKVPSQERKPVPERVASRKKQVPVHVPAAAAPPLDLPPPRRGESMKRPVDGSKISRWFSGKEHLVEDGDDDQRANVSVRRPVKMPEQKKPEGVAPSGQNVVGKVVDSVPSLERDPRKRSRKFEQEQANQRPAVPEVLNPRASFPRERKESRRYEQETANQMAPPAGRPSGPAYSSDAERMAAAWEKERMTRIKMKYNETMQTIAEWEDEKKAKARRQKEPREGDSESKRAKALQEYNEEMKRINKVATASRLTAEEKKRTAEGKVRQKAAKIRSTGKLPRSCGCF >cds.KYUSt_chr5.37233 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235436279:235436647:1 gene:KYUSg_chr5.37233 transcript:KYUSt_chr5.37233 gene_biotype:protein_coding transcript_biotype:protein_coding MHECFRSLAVAATARLQMCVTAQAEFRSTCPTDLLPAATATVRTLRPVACMHEFRQQAPDEQRQGKRTGEMAANKAFYSWWSSACRLHVHGSIADDDDSILSDRLPDSRSTADGDGAHVSAG >cds.KYUSt_chr5.29620 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187856530:187858392:1 gene:KYUSg_chr5.29620 transcript:KYUSt_chr5.29620 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPPLRLLLSSRRRPLAPRHCLLSASAATAATPTPSSDRTAQLAFAVHGSAAAKNFPHAIRLTKSLVQASSPSARPGAAAFAALASTSSCPAPALGVLVIALSQMALHDEALSVFRRLPVLPALPACNAVLDVLVKAHKFDRVWKLFDEMLRRGMTPSVVTYNTLINACRHEGTVTKAWEVWNQMVARRIDPNVVTYSTMICALCEEGCIGEAERLFFAMKETGMQPNHYTYNALMSSHCKIDGANRALALYQELLKSGLVPNAVIFTTLIDGFFQAGRISDAKNTFLDMHRYGVAPTVPVYNSLIDGAFRSGDAQEALTVYQDMTRLGLQPDEFTCSIVVRGLCDGGQVQVAARFLEILQQSGTYLNAAAYNTLIDEYCKDGNLEEALATCTKMSEVGIVPNVVTYTSLIDGHSKKGKMEVAMAIYTEMIAKGVEPNVLTYTALIHGHAKDGDIDAAFRLQKEMTGKGISPNSITVSVLVDGLCRENRVQDAVTIVLKYSGQKKHGDIRSCFSNSTTEEHHSIPNSVTYMTLIYGLYLDGQYHESGKFFSCMRESGMVPDSFTYSLLIRGQCMFGYVLNAMMLYADMVKVGVKPMRHATVCPEIWSRDSPNGLKTQT >cds.KYUSt_chr2.158 pep primary_assembly:MPB_Lper_Kyuss_1697:2:957472:964806:1 gene:KYUSg_chr2.158 transcript:KYUSt_chr2.158 gene_biotype:protein_coding transcript_biotype:protein_coding MKETFDPHFTLHMEEAFDPPAAKPPETQNTTSSCMINNVMVDVLPERDAISSQRQCTRPADIPAHPHAISSQHPWDAMKSQDDTDFLRHAHRTLSLLIVGLPRGAHCLDETASFSSRWCFKNGGRGPSLPRGLVYGDEIPSLSSRSCNGNGVISSSGPWSYGAETPSLSSRQGYGDEIPSLSNQKFNGISRSSQWHHSAEIPSLSSRQIYGDEIPSLSTQKSNGILYSRQWQQYDADIPSFSSRQGYDEDISVLSPHWRFQDRIPLYSGQRCHDAEARRLSSYQQGASRGSGNPRGYQQGSSRGSRHLGDNFGHGLVSNQQVRVTTTRHTSTRPRVANRVVSNTNYCRNSKKENPSRNSEDIRDQVCGPRASKLNSASTPSTKKDILSPLVRRDQFNRSDFSVQYEHAKFFMIKSYSEDDIHKGIKYNVWASTPNGNNKLDAAFHDAQALTKEKGTKCPVFLLFSVNTSGQFVGMAEMLGPVDFKTMDFWQQNKWSGFFPVVWHIIKDIPNRLFKHITLENNDNRPVTFSRDTQEIGLPQGLEVLKIFKTYRHGTSILDDFDFYEEKENTRCAQKGINADSLHEARVSYFGTDDLKSMALTRNASADDDDLGIGGGTVTCALCWSFKNIDMRRHIVACLLRIRTTSRRCIHDGGVEGMVDVERCSIGDGMRHLFDNFCDEGHVLNVLLSEDIPLPLLVSINCNSWTRSSASANTGRGRVVFIVKYNRIRVLSVRVIY >cds.KYUSt_chr6.1998 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11887639:11889114:1 gene:KYUSg_chr6.1998 transcript:KYUSt_chr6.1998 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVPVVVPRMKLGSQGLEVSAQGLGCMGMSCAYGERRPEPEMIALLHRAVAAGVTFLDTSDVYGPHTNEILVGKGLQGGVREKVELATKFGSALADGQWEVRGDPPFVRAACEASLARLGVDCIDLYYQHRIDNNVPVEITMGELKKLVEEGKVKYVGLSEASASTIRRAHAVHPITAIQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFLSSGSKLVDTLREDDFRKILPRFQPENMVKNAAIFEHVSEMAARKGCTPSQLALSWVHHQGNDVCPIPGTTKIENFNDNVIALSLRITPEEMAELESYAAMESIHGDRYASSLYTWKDSETPPLSSWKAT >cds.KYUSt_chr6.11758 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73171195:73172056:1 gene:KYUSg_chr6.11758 transcript:KYUSt_chr6.11758 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDGHGVVLGVEKAPSTSREGRGVMLRRQGDGGAIPLKPTTVRRRTPCDVEVDDARCRRKQEKLQPWEGGEMPMRSCDATRCRDAQCLVLRAAAAWEN >cds.KYUSt_chr4.54311 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335713271:335717682:1 gene:KYUSg_chr4.54311 transcript:KYUSt_chr4.54311 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRARWVRQIDKGGGLGVRADPFGFLRSSPPFPQTKPNARPPTKNIRHASPPRRRRRRHPPLPAAAVSSPPPPALAPFPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSAKNHAIALPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTEKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDIQVQQEHKDERSLDELLCFINGDGGSGGGKGGKSKKKNKRRKDAKNPPKADLEPVNKGEAACAVPCNVGIGNIPRAPCQTSDVQDDNEYPFEDGDLDDGLDPAMQEELDRIDMHLSKILTEKPRNKEKYKRGPPGLPLRLREATRYAGMADRHSSKKPWTGRRPQAVGGSTLLGTRAPPSCTADDEAILDRHTRTFEIYTSRPCRPTATGAGKSHSRAPPSLEREEDSRSAAELHGSRTNGGGNHRHQKKPLRRRQTLHSAAS >cds.KYUSt_chr3.21175 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129822173:129823030:-1 gene:KYUSg_chr3.21175 transcript:KYUSt_chr3.21175 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSPPPPRELPADAIREILLRIPPDEPESLVRASLVRKSWRRVLTDPTFCRHYRDFHRTPPMLGYLCNDNDHYFNISSRFLSTAAFCPRQRPGGGWSAISARHGRALFQDTTTRSNDLFLWVWDPVTDWKFRLPVFPRRPEGFSAAVLCGKAGCDHRGCHGGDPFFVAIVGTNDDGVTSARVYSTEAGAWSDMTSIDHVSPEYGMRSSVLVGDSLYFLYEPSTKILRYDLAGRQLSVIHRPKACGINGCYCNIVLMATEDGALGFAGVHGSTFSMWSMSTDAK >cds.KYUSt_chr2.37649 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233037458:233039159:-1 gene:KYUSg_chr2.37649 transcript:KYUSt_chr2.37649 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGPRRPALPASSFARRPVPLWLKGRCCRCLATGHRAVVCCDPFRCSRCLENGHRARDCRNAWRPLSWLACPVASLPRKENAPRRPQIEVSLPSNVPHRRSWASVDSAPVGSLASEDMQSSLEKQAKFFEEAVRPLHETIDSLHSWMLAIGGFLERAEAALGRLSRTPADPVVLPDDGKVGTSGAGLHGCFSPRARASSVITAPVMQIMPELVELCGGVLTPPSVKEVRPVLHESSDVYSPPCQALGFEKCGVDGDAVQNGWSLDAAAKMLTVTKQKPQTNQKLDASKLQRLTECVFHLEH >cds.KYUSt_chr1.11300 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69244426:69246214:-1 gene:KYUSg_chr1.11300 transcript:KYUSt_chr1.11300 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGQEPSPASARPHVLLLCSPCMGHLIPFAELARRLVADHGLAATLLFAAATDTPSEQYASVAASVPDDVNLVALPAPPALPPTAPVRERATHAAVSSVPHVREIARSLTSTAPVAAIVVDMVSVPARDVATELGVPCYMFFTSPWMLLSLFLHLPEIDAGLVGEYRDATEPIRLPGCVPIHAHNLPGSMLVDRGSDAFDSFLSMAKDVSRVDGILVNTFSALEPVVGDGTDGVTELPVHAVGPLVWTRPAGVDTDHSRVIRWLDQQPHGSVVYLSFGSGGTLTLRQTTELALALEMTQCRFVWVVKRPDEDTASGAFFGTRRGEEDDDELGFLPRGFIGRTSEVGLVLPSWAPQTAILAHASVGCFVTHCGWNSSLESILNGVPMVAWPLYAEQKMNAAMLEVQAGVAVRVHADADGFFTKEEIAGVIRRVMDEEEGATMRRRVGEFRHKAEQALTEGGSSALALAHVTGSKEATMKKHVCELPVVRRRA >cds.KYUSt_chr4.2116 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11570701:11573854:-1 gene:KYUSg_chr4.2116 transcript:KYUSt_chr4.2116 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGGSTSISPLVSFTLGAAMATVCVLFFMSASPGNRLVDIAAWSRNNGTAAAQLEHLRSVADDTAAASDAARNVTAVAAPAPAPAKAASEYGDLEEVLARAAMADRTVIMTQINAAWTKPGSLLDLFFESFRNGEGGVARLLDHLVIVTMDPAAYAQCQLVHPHCYFLRTTGVDYRSEKFFMSKDYLEMMWGRNKFQQTIVELGYNFLFTDVDVMWFRDPFKHISMGADIAISSDVFIGDPYSLGNFPNGGFLYVRSCPKTIEFYKHWQAGRYRFFGKHEQDVFNLIKHEMVDRLGIAIQFLDTKYVSGFCQLSKDLNKICTLHANCCVGLGAKLHDLRNVLDVWRNYTAAPVAEKRAGKFQWKVPGICIH >cds.KYUSt_chr2.19503 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122725683:122727680:1 gene:KYUSg_chr2.19503 transcript:KYUSt_chr2.19503 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKVRRGAVGCWLDPLPPARRQPCQWRRRAPVRVLSDLEVEVLLPPAIWVGRPPVKDHAGQEEEEAGDHLLAPLERGGERLGAVCSTANLRRSRTNPPEEPAGAGEGRTQLLMAKARKPTAAERFLGFSARPGSATVAPFPDDDLPDLVESDIWYSQPSDSPTAAAVAADREEEQSAGGAPRRVGGLSRAFADGRQVATSAPVEVPAWPSRFAELAPADPVAPCEQEDADGWVPPHVYLARRQARASVVEGVGRTLKGRDASRVRDAVWSRTGFPG >cds.KYUSt_chr2.8279 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52051791:52053290:1 gene:KYUSg_chr2.8279 transcript:KYUSt_chr2.8279 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAFLALSVLALASLAASQAPAAGPAAPDCSSALTSLAGCLTYIQPGSPQSKPPKECCAGVKAATATPASVKCLCDALHTDYGVPLNMTRAAALPAACGASAAAFSTCNIKLPGAPGAAPTEAPSPSSGSTPATGSPSPAKSAATRSPISVATLVLAALVAPLSYYYL >cds.KYUSt_chr1.31078 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188367514:188370917:-1 gene:KYUSg_chr1.31078 transcript:KYUSt_chr1.31078 gene_biotype:protein_coding transcript_biotype:protein_coding MASARSPRRQPFCEIYMVSHPSSVYPVTPTSVANVFGNWLHGIDSRSSTDVHVFSVCGYLFIEWRTETYLRRWIGRGFGSIKDSGTLCLFLLEKVKLVPGDAFGDDKGVRISYVAALSTIQDAMEKIKAATALLKAGVAV >cds.KYUSt_chr7.7131 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42911119:42911556:-1 gene:KYUSg_chr7.7131 transcript:KYUSt_chr7.7131 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVVVEAAHGTLFSASLTPAILLSVSNPEVSVPSLPGSLAVRSERKLSQEEDEVQPRCYGASEE >cds.KYUSt_chr4.5788 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33816254:33818533:1 gene:KYUSg_chr4.5788 transcript:KYUSt_chr4.5788 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVLPDVLVVEILSRLPLKSFCRFKCVCKSWLAFSSDPHYRQKLPRIPAGLLYRKLEHGTAIHLARLPSSDRDIDTTLSFLPCYEHPLELKGCSNGLLLCHNGEHMHAEIPNAIVCNPATAEWMPLPYTQPGSAVSYNYLKLGFDPLWSQHFYVFNFQWTPAPNGGYNAEVKVFFSEDSTWSSCLWETEHAFWGDSVFVNGVLYVEHLWAHELLALDAPDTCSQLLNGRTIQLPGFPNGPDEGFCCQDGCLCLSSGVLCYAQQELDGSMMRIWSLEGSDRWVVKHRLSMNDVFGREMLLCTNSEGMWYFDYDILAVDLERELVIGFDHIADKVFSFSTSTGELSEVLIDSEPWLGYYYVPYYNKFPTSVLQRAQVPTITLPDDLVVEILSRVPLKSFCRFKCVCKSWLAFSSDPHYRQKLPRTPAGLLYKKRELGTAIHLARLPSSDRDIDTTLSFLPCDPLELNGCSNGLLLSYSGGSTDAEISNAIVCNPATEEWMKLPYTQPGPTVTHSSLRLCFDPLWSQNFYVFNFQESYLPDDEYKTEVKVFFSENSTWSSCLWESDDPCWCGSLFVNGVMYMQNILRHDLLALDAPDTCTQLLNERTIRLPGFPSGPDQGFGCLNGCLRHSCGVLCYAQQELDGSMVRIWSLVGSDGWVVKHRLSINNVLGRDIVLRTNTYGSWYFEYDILAFDLERELVILVERIADNVHSFSPRRGNVLQFSISTGKLSETWNDSEPCWGYYYVPYYRKFPASVLQRA >cds.KYUSt_scaffold_2697.262 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1740024:1744244:1 gene:KYUSg_scaffold_2697.262 transcript:KYUSt_scaffold_2697.262 gene_biotype:protein_coding transcript_biotype:protein_coding MILSASILGISAVPPTNSLSSYEIGLGFMIPLVALFFGLGFPSASAPAPSTAATTLTATRPAVGALIRNEMIEAAATGAPHLVEAGNMRSSPERMAVADITGGKISTLITVPSLPIPHQATTIRSHVGAAAMTPSGFLHGDVNRSPPIPVWSFSSSSASSSSSRSIIAIPTTMRKPPLPPTRPQSCNRPSPVIFIFTEPNFRTGGLKRKGRPRQTSPCATPDKSTSPSPKQTPSSSPATMAQSGGGGGGVLDLERHFAFYGAYHSNPVNVFIHALFVWPIFLTALLLLHLAAPSLHAAAVGAAVYGAFYVSLDRRSGAVAAVLCFLCWAASAALAARLGYSVGCKKRAPALLDNLVQAFLMAPYFVLLEILHKFSGYEPYPGFHANVQKLIDAKRKEWEDKKAKKRT >cds.KYUSt_chr1.42148 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258144868:258147224:1 gene:KYUSg_chr1.42148 transcript:KYUSt_chr1.42148 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRCSGAGRMLLRRAPGLLRQAALVSEQGVLHRQPIRALAPSSNRYISNYSGGLLDFEKKRLATDLMKERSPDNEIENLDELLNLMSFKYACSDQHKIQAGAARNAIQDKKLELVSLLLRPDSGDEYKNKMKELVDVARRHRESLVLECITSTNRGVPGCCTRCSLACSPSSSSAPPGRPSCLTTAAVRRCELTRAAEEGFLARRSGINGRGDQGLGAVWSECEDDRLEGCEGDVVGRRDGVLRGEVVVDGDDDGVDRDGEGRHLGYVAFLLLMVALFYLLGDTASETSAPRSRDSSPRCASCPPWPASRSSHSATVHRMSSPASSPSPQLACSEARSSCPPGVAEVVTVAAGSRGGAIVKLRRFVRELCFLFFALCFLVDVLATGTITVVASFVSL >cds.KYUSt_chr5.10775 pep primary_assembly:MPB_Lper_Kyuss_1697:5:69610166:69617386:-1 gene:KYUSg_chr5.10775 transcript:KYUSt_chr5.10775 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSTSPAGRSRHRNASPRRGDHRKPRSSPSPSPSPSTDGDADRRGRRSRASPPDSDRHHRDPKTSDDNKMVKSGKVDDRPSRPARVSIGVEENDRRTRRSEDDRRRRRTRASDDERDDRRSKRDRSRHHRDSRRRFRRSPNSDSGSSPNARHSPHRRDESSRRRAEDRGREERRMSTEKKEPTPPLPPPPPLPEMIPGRTGGVYIPPFRRAQMLRDVEDKASPEYQRLTWDALKKSINGLVNKVNGTNIKNLVPELLAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRIMVQLKRAYKRNDKPQLLAATKFIAHLVNQVVVHEVVALELLAVLLENPTDDSVEVAVGFVKECGAILQDLTPQGLHAMFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQCTHDMSFETELDPETNLNVFRVNPNFVEDEKAYESLKKSILGDEMEEDEEGSDDASHDENEEESDDDDDEEQMEIRDKTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELNIMLLECCSQERTYLRYYGLLGQRFCMINKVFQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQDSFESVFPRDHPKNTRFSINFFTSIGLGGITESLREYLKNMPRFIMQQQKPASSELESGGESSDSGSSSELESSSDESDRKRSKRRKRS >cds.KYUSt_chr7.35329 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220697471:220699192:1 gene:KYUSg_chr7.35329 transcript:KYUSt_chr7.35329 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQTQTLVKGVRSRFVTRSPPRASSSARDHHLFAVAARVLRLEASSSSSTTYLWNRLLGLFSRNGPPALARRLFDAMPERDTVSYNTHIGRLSRSGSAAEGARAYSRMLREDGGVRPDGTTLSVLLALPCAGASHGLVRQVHSHAVRLGLCSNAFVGTALVRAYEQRGDTGATTAVFQEVVEPDAVCWNVMTDACTRRGSLPRAVEMLSRMRRAGGVTDGFTLASFLKACCSREDNISLGLQLHTWACKIGVDSETAICNALITMYLKCGAETNSAAQVFQRTSEPNIITWTSMISGYVQNGLAVEAVSFYKEMVKAGERENDYCFTSVLSAFGALASLEHGKMAHSRVMKSVFCSDTIVGNALLDMYFKCGSSSDAGLVFHTMQVHDVVSWTTMIVGFGRHGEARKAVLCFREMVHDGFRPDGVTFLAVLSACSQGDLVDEGLAVFRSMVEDHHVKPQRKHCACLVDLLGHAGRLKEAETLITAMGLEMDSLAWESLLGACGLHGEVELGKRSAGKVMELEPWNYGPYVLLSNMYAEQCQWREKEVLRERLDGSNVRKGAGHSWFSVSEAS >cds.KYUSt_contig_319.46 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:389542:390168:-1 gene:KYUSg_contig_319.46 transcript:KYUSt_contig_319.46 gene_biotype:protein_coding transcript_biotype:protein_coding MRHELASHSNGSRPSSLLPLSPRSLTSLFSPDAPTSPTSMLHESKNQPRSSPRNPPNGHSHGAGLAAVLVTGEAEREHRSSGRVLLGMRLRVQLPPMKGLGGIGCDLPSSPIEFGVKNRDSQLALLSPVQRSPLSSAAARAARMEVEELAEEDYTCVIARGPNPKMTHIFDDRVVESCAGDDCCQLSHACYGWKKGIGARQPCSHLAA >cds.KYUSt_chr2.3139 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18422543:18427337:1 gene:KYUSg_chr2.3139 transcript:KYUSt_chr2.3139 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPPDIPPVAAGEMSLLGTAIGAAKAAQLPQVVSDAFHSSDTVLTEICELSDSADNIHCKEKLSKYEPLDDAAISKWLLSIIGTHSAHNIDVIVDTVHELAPSTNWLHVMENLDHEDLNIPDESGFNLLMAVYARASKEPFPLHAVCGSLWKNTDAQISFLKHAVMAPPDKFSFSHCSRQLEYLDLGSLSRGNQAWYCLDLLEVLCRLADAGHIVSVRLMIEDPLGHCPELLLLGLGHVKTEHNLLQKEVLSSVFSTVLKDTAKSNVVKYLWRVNPCLTLGGFVEAHSDRKCLLRIADLCQQLQILSAVLDSAPFPFNIKLAAAASRKDQDLVGKWLSEKSEVYKSLFLEEEQIRDMTLKYLLGIIEYHIGRLVRDQRFCKGFIFKEERKLFELKGQRYEYPHGKPDLSEEKFGPIVRKLKTLSKSNHESLKCMKEGLLACFNSDPLLSEVKAIIMENTAIFKKAAPVISQYHDFIDRVNTEISWLNRAAKKAEHVYGLLSGRQPVLAELESRIYELKRRVTVLSKECPESLYSRCQALDTVAKLWEDVDLLMKELVMFRQAAEDLCLISKNLRSLLSEKNMYAHRFHITEQHIKRESHQLHGALLDLQLKVEQAEESYVEKMAGLSEGFDRYRISEFEKLEPPYINESIDKLEDGTVLIGTFGITKGYFSLGPDDVYDAPEDSEFEIGPSGKIPTHAVVIVGYGVTLAGFPYYVFQNWYGPAWGRDGFGMVTARSIRLMYAAEL >cds.KYUSt_chr2.13547 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85893706:85895506:-1 gene:KYUSg_chr2.13547 transcript:KYUSt_chr2.13547 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGLFGTVAVTTVVWLAVHVALNSPIQPVPSPPPPAARYTPSSLLHGLKLGEGRLIQGPEDVYVDAAAGGTVYTATRDGWLQRMHPNGSWEQWRFVGGTGLLGIAPSADGSMLVCDAHKGLLRVEEDRVTILASTVEGSTIRFPEAAVEASDGTVYFSDATTRFGIDQWFLSYIESRRTGRLLKYNPRTGKTSVALDNLAFANGVALSRDETFVIVCESARYRCLKLWLKGDKTGQAETFVGDLPGSPDNIRRAPDGTFWIAIIKLRSPWLDLVNRWTLIKRVVAAFPPLLDRVKATAKGAMVAQVSEDGEIIRLLDDSQGKVINFITSVTEFHGDLYFGSFSTNFVGKLSLAKVPQVQEAASS >cds.KYUSt_chr6.3613 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20847378:20854699:1 gene:KYUSg_chr6.3613 transcript:KYUSt_chr6.3613 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYSKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFDKPWFLSEGKLAACIDRLLTTTMVQTMAMLIDQHSDPGIQITTENLAKLNHREATDMVDKNDNESVYTPNAIKFCNVGCRLSVCDNMNNVHHHGEEANVDAELCGRVCARFCNKIAVGTSVAA >cds.KYUSt_chr7.9668 pep primary_assembly:MPB_Lper_Kyuss_1697:7:59116639:59119983:1 gene:KYUSg_chr7.9668 transcript:KYUSt_chr7.9668 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPTPFFPTTVVPPPPCGCGAPPYAPCSFPPAAAYPSPPFPGGYYTSPFPFFYNNPPSFPYTHPASMYTPTFPSPAGFSPNWPPPAPYPIPYTNTSSPPNFPLTSDLPPAGFDHHPVPAAPDTAPTTPNRDHRPIQSHTSSKTSSSPAHHTSSPPKEAGEYPARASRPHAAVRTPRRRRSRSAMRSATTLPHHPDEDLAPLRATPLHQDHLVHGDGLAPACAAPLLPDRQDGHGPLQFVQEGPNHGDQASANSERQATDSTSAPRLPPLVGLLADVFERTPEVTFSAPSRSSNTCAAANSPEIPLGSRFGKSFDVPTLACPVSATSAPTLQSATADTALQLFDEMPQQYDFQNLNRKSLLLADGTERSYYALPDNYALERVVPESVFAVDNDNPDGCSNEFVQWLTSTPEQRSYAEKQQDIEHILKCVSRAPETEQTLACMNYLTDMQQQHKFEKASVHVQQLSDPQLKEWDSGASLPLVSRKTQHSALALQRATALAGSEVTRFPRCKGLPFWIPNNIYVSWETGNDLASWPNQPVSSAICIWDPGIGISSPLNHWLPSLTAAAEKPLSSLQTPEPATWLSLVNNASYAWDPASCGRTPLSPKSYRKMQAKKLTLLQTVAAAGVFSAVSCWYGFMFGRESARRELGGIIDDLRSSSTTPSAASPDSHTPSKP >cds.KYUSt_chr3.32311 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202992304:202995894:1 gene:KYUSg_chr3.32311 transcript:KYUSt_chr3.32311 gene_biotype:protein_coding transcript_biotype:protein_coding MMALHEALRNVCLNSDWTYSVFWTIRPRPRCRGGNGCKIGDDNGSLMLMWEDGFCRPRVAECLEDMDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNIANYWQSSFDALPTEWTDQFASGIQTIAVIQAGHGLLQLGSCKIHAEVDLRRTTVHAQIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRGTSPAPSFPIKQPPPSRAPPQLFNWPGQPQLTHSGASPLFPPGPAAFHPSARSPMPLYPGNKDDSHMYHLPPSHHGKPPHMDEHQHQHMAPGEAPDGELKWPNGLSFFTALTGRSDDAKLLFEGGGGGGGGGADEHGKPAGQDAQTGHGGAENVEEYLSLESNSNKARRIDSSQSAKFKRSFTLPARMSSSTSTSPSVSASTGPAPPPQQQQQQGMEYRVPHEGSVYSDLMETFLE >cds.KYUSt_chr5.16960 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109226585:109226980:-1 gene:KYUSg_chr5.16960 transcript:KYUSt_chr5.16960 gene_biotype:protein_coding transcript_biotype:protein_coding MARRREGAHLHTRAGGLHLHGAPPVCPPPPPCRPRLHGAAWAERPHSTGAAGPLFPWPGDGDRKGLAKPSAVGPLTSMARRRDHAHQGHPVSIRAAGKGIASTVRPFALSHGPASGLVAISSRCIFFGASV >cds.KYUSt_chr5.14531 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94243265:94245727:-1 gene:KYUSg_chr5.14531 transcript:KYUSt_chr5.14531 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFHDGHHVWLRSRALGTYLHADTDGQGVTLRDSRASLNAAWAVQHLHHGYELYLLLHGAAYGRYLAASNARPPLEIPGFRGCRVAQRGYDSLEVGPIMWQAFGVGVLPGDDHVQLRDVDGRYLRANGKHLPWNHGASVDEFDHLSDMRHWVVEPIPARDGMPALPGQIRPSFLQNLSKFVFGRVVAAADRRSTRLIRFVRADDDGFYPEEEERWAEIPLTGRSVQHLRNQLALGVASFDIIMCVRAGRYGRLTPLVVDLPSGRNGDIIEIVVYMVGTPAANGLRHPDVDAK >cds.KYUSt_chr1.5904 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36383432:36388973:-1 gene:KYUSg_chr1.5904 transcript:KYUSt_chr1.5904 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLGALALKYTGMSLSVSDYDSIVAMNIFVALLCGCIVFGHLLEGNRWVNESTTALVMGLITGGVILLVTNGVNSRILVFSEDIFFIYLLPPIIFNAGFQVKKKQFFRNFATITLFGAIGTLISFVIISLGAMGLFSKLDVDPLQLGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQNIDLDHFDAFVLLQLIGKFLYLLFTSTVLGIAVGLLSAYTIKKLCFARHSTDREVAIMMLMAYLSYMLSMLLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFIAELFLFLYVGMDALDIEKWRLASSSPKKPIALSAIILGLVMLGRAAFVFPLSFISNLSKKEARLKISLRQQVIIWWAGLMRGAVSIALAYNKFTTSGHTAVRVNAIMITSTVIVVLFSTMVFGLLTKPLINLLIPPRPSNTADGSSQSFLDPLLSSLLGSDLDIGQYPPQTNLELLLTIQTRSVHRVWRKFDDRFMRPMFGGRGFVPFVPGSPVERSTHGPGLGSLGTVTEAEADDHS >cds.KYUSt_chr3.33218 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208489679:208497110:-1 gene:KYUSg_chr3.33218 transcript:KYUSt_chr3.33218 gene_biotype:protein_coding transcript_biotype:protein_coding MKGADEMACSREREEARSTHLACAGLHERDIFDLFLPEFDKPWVIHLRENLLLFYKPLLLEAQHCLQERRGNVDINHALWWRTKAARSSHALRGEDDSNQKKEGSEEVNKAPAAAEATAVQVCPNLGGMQHHSEAASGCYATTIRRSSSHSSSALFPDGQPHSILPEFNESKVGIDPNALFSPMTVPGHRGQGLDLNRNYTESSGMRHGSSSGRGHVTDGTGLPRSPLSDNDILNIFLPANVIGAKILSDMIHRGHNLQPVIGNDEDEDEYGDKEDGENTLEDECLIDAWKLVSFCPITGANQNGGKYYKRIFDQFKELKNFGDCTTIHVIHNEPFMSQ >cds.KYUSt_contig_1181.400 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2708731:2709362:1 gene:KYUSg_contig_1181.400 transcript:KYUSt_contig_1181.400 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASKLAVASWLVWANVRRYPWLLAQVFDPADASALGERRRRGSALVVYSCSGNIGAADPPWSPARARQGRAQRRGLCQRVGGEIRQLPWTPDEVHPIDDSSIFLRPEQTLGVVRDVLSAMPRLCD >cds.KYUSt_chr3.34410 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215868942:215870596:1 gene:KYUSg_chr3.34410 transcript:KYUSt_chr3.34410 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGEAAATTPSDGFFLLLCPRCCGVVSDAKVEQRGFVQEYVQTVVCTGDSWKMVHLVVGSWLKADGSGSLLRHRRAWVPVLKFDGVSRDMLPWSDSFNGNGLSYGKLHWRSEKLMISDGAASSSERAFTLEMSFL >cds.KYUSt_contig_786.66 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:384662:385051:1 gene:KYUSg_contig_786.66 transcript:KYUSt_contig_786.66 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVVFRCLVVISVELPPAPVDGAGGEDGGGRPGAGATAPLHFDEGDYLDDEQFKKLLPQLSVDAGLAPSDFVVERELDTVVGLVERSSQQDVDKVEECAYASSRTACSLTSSMTRSEPTRILHGNGLR >cds.KYUSt_chr3.39937 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251703718:251708080:-1 gene:KYUSg_chr3.39937 transcript:KYUSt_chr3.39937 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPTLESFQFRRNDVLRYVELLKRAWRNEKAAPEILHFDSALVSRAREQIQLLEETLDDFTDNGVDDLVVSLYQMDLDRSLFLLRSYLRLRLQKIEKYMTYISKSDDLLSRLSQQEQRFAKSCKENMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMVPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVEAGRIDLI >cds.KYUSt_chr2.8157 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51331283:51338735:1 gene:KYUSg_chr2.8157 transcript:KYUSt_chr2.8157 gene_biotype:protein_coding transcript_biotype:protein_coding MCRWRDQKPHPVKVIERRKSSSYSSPADYEYYVHYTEFNRRLDEWVKLEQLDLDTVEADVDEKIDDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGKYEIDTWYFSPFPPEYNDSAKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRCGTLSMFEVDGKKNKIYGQNLCYLAKLFLDHKTLYYDVDLFLFYILCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLVSYRGYWTRVLLEILKKHKSNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQG >cds.KYUSt_chr3.42628 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269545671:269546261:-1 gene:KYUSg_chr3.42628 transcript:KYUSt_chr3.42628 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPHPAAASPSPCSSSDGHRSQQAYTVWMKSLVFNGNGCTVYTADGEVAFRIDNYGCKGGREVFFMDRAGNTLIRIRRKGFGMFRMWEVCHVAGEEEEATPWFSVRRAKKGGAAVTMHGGAGACYRVDGCCARKSDYRVISGGGAVVAEVARKQTAAGVVLGDDVLTLMVEPEVHHLLVLGLVVVCGLMNRSL >cds.KYUSt_chr2.19409 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122032871:122034470:-1 gene:KYUSg_chr2.19409 transcript:KYUSt_chr2.19409 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMDPSPSSGSTVAYGGKAADAYRKALSTAASAAAYAVLARSMARELLPDELRAAARWGASVFRARLGWAGAKERRTLVVRSQTGGGSGSEENLLFDAARTYLSSRLDPRDMRRLGLTLCKSRDDTGRRSWRKRLFMEPDDSTIDVFDGVQFTWTSVEKSNGGGGEKKKTNDGESGTGGEREFMLMLSFDAEHTELAMERYVPFVMTAAEETELRERSLKICLNEGRSWFGLNHHHPATFDTLAMDPTLKQSIVADLDLFANRRDHYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANHLRYNIYDLDLSHVESTMLRWLLPNMHDRSILVIEDIDCCCDAMSRAVDGKGSKTPAGTGEDNSNDSSESLALPPLPKRNTEVTLSALLNFIDGLWSTSCEERIIVFTTNYKDRLDPALLRPGRMDMHIYMGFCCWEAFKTLARNYFVVDDHPLCPEIQDLLAVVQVTPAEVSEMLLRTSDADVALQGLTDFLKDKKQGQTKKA >cds.KYUSt_chr1.25607 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153747654:153748932:1 gene:KYUSg_chr1.25607 transcript:KYUSt_chr1.25607 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPSHSSDMPVLRPEILLDKNCRIGSCQNSTSAKTKNREGLSVEEAWRFCPSPSPTAATWTSSSTERGQASRLSTFSRDPYPTTFQPSNFAVLPICNDDDDDSQHFAVVLPDIQLFPFESPERIRYTLHVYRSDDGKAWRATQVVPDESTETLDAILDPLMEATSAVYAGSGLIGWIDLWRGILLCNVLDEKPTVRFVALPVPQPGETPTDLEYEADFNPRRHRHVTVYNGVMKFVDLKFHAEAAFNVKRRDDQGWMATVWTRLITSDVWHEGVTFDTSDISVPNDSGFLHLLPEILVDEKELTWKNFSSGTLTLSLRDDNTVYILVVKMNSYPATTLLLTVNTGSLTVESCAQCFGEMLQFFQPSYVPSNLSSYFDETISVLS >cds.KYUSt_contig_319.1341 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8943630:8946577:1 gene:KYUSg_contig_319.1341 transcript:KYUSt_contig_319.1341 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMATATLFLAAVVLILAVRDGQCAQLCMDSTFPRAVNGSLSFCGYSGTACCNATDDAAVQKQFAAMNISGTPCGDLVKSILCARCNPYAGELFTVEKTPRTVPLLCNSTGAASRVSGVAAATGYCAEVWDTCKDVSIPGSPFQPPKGGATAPKLTEVWQSEGDFCGALGGEPICFDGEAAAFNTTRVVPPVNGMCLERVSNGSYINMAAHPDGSNRVFLSTLAGKVFLATVPPHGSGKQLELDVANPFLDITDEVHFDNEFGLLGMAFHPDFAKNGRFFVSYSCDKTQSASCSGRCACNSDIGCDPSKLGADNGAQPCQYQSVIAEYTANSSAGSPSTATSANPTEAKRIMTLGLPFTTHHGGQILFGPADGYMYFMMGDGGSVGDPWNFAQNKGTMLGKIVRIDVNDMPSGNSTPGWGNYGIPKDNPFSVDPEFAPEVFALGFKNPWRCSFDSGKPSNLFCADVGTSLAEEVDLVVKGGNYGWRVFEGQQPYPALSTPGGNTSADSINAISPVMGYTHNSVNNNVGSASITGGYVYRSMTDPCLNGRYIYSDLYAQAMWSGLETPENSGVFNQTPLTFACSKTSPIPCEVAAKSPLPSLGYIFSFGEDNAKDLYLLNSKGVYRVVDPSSCNYACPIKSSANTGSPPPAASPSSAFRARSPAMATMLLGGALLVLLSLGF >cds.KYUSt_chr6.28329 pep primary_assembly:MPB_Lper_Kyuss_1697:6:179543400:179548216:1 gene:KYUSg_chr6.28329 transcript:KYUSt_chr6.28329 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPTAACLELSGRFRDVTTSCGCASRAPGIRLIKAHGSRVYMESPSRIQVCLTTIQCLAVYVNDPPSSIRRTGSGFLTGLHGSGFLLSPGSHPSFFLPFPDDILQTLTPAMAPAAAAAAAAKSDDEDDYEEYIPVSKRRAMEADRLRHQRLSKPAAPSSAGSPASLPPPPPQPTTTPAAAPDAAAKPSLLVTSTQLKRAAPEVTATEQLILQEKEMIESLEDRKSLMSVRELAKGITYTEPLRTGWKPPLRLRRMPRAKADELRRKWHILVEGDEIPPPAREFRDLRFPEPVLRMLREKGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEMLMPIVPGEGPFGMIICPSRELAKQTYDVIEMFLTPLKQAGFPEIRPLLCIGGIDMRTQLEVVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPKKIQNFAKSALVMPVIVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLIFCENKVDVDYIHEYLLLKGVEAVAIHGGKDQEERQNAIEFFKNGTKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELVDPLEDAEAIAKESGFKGCAFCGGLGHRLADCPKLEHQKSVAIAGSRRDYYGGGGYRGEI >cds.KYUSt_contig_1989.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:53091:55425:-1 gene:KYUSg_contig_1989.11 transcript:KYUSt_contig_1989.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHLALLSCLLVLVLSLDKFLLHYLKRRWHSGGSIIPRFPTATSPFSFRSQTRHMAAAGRWSETAMLVIDMQKDFVDPAMASPMLVAGGEAVVPAVAEAVAVARERGIFVVWVVREHDPSGRDVELFRRHLYSGGKGPTVKGLKGAELADGLVIKEGDYKLVKTRFSAFFATHLDSVLKTLGMKNLVIVGVQTPNCIRQTVFDAVELDYEKVTVLIDATAAARPDIHLCEYSCFMLSLLYYSSN >cds.KYUSt_chr6.1303 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8172586:8173959:-1 gene:KYUSg_chr6.1303 transcript:KYUSt_chr6.1303 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIYLILNKLSEDVHYPPNLSSPFPYAGLGFPSYPAAVPVGYMIPQVPDNNDVNYGPNGYACGGGSLVRLLDHLLILRPKNHIPSVLLMNTSVQL >cds.KYUSt_chr4.38136 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235055547:235056026:-1 gene:KYUSg_chr4.38136 transcript:KYUSt_chr4.38136 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVRSPPRLVLLPYAICGMLLTPAPVLLLPRLCAVRLGADCVEPSDDAIHPIPRGVAALHPAAAASRTATSPGTTTFRASSSTTSGSHGASPTRPRASRPRRTPSPARVEVQQVLADVRVMAACPQRAARAYPVRVGQGWQHPHPGRSRRAGKLSV >cds.KYUSt_chr6.13597 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85172603:85178008:-1 gene:KYUSg_chr6.13597 transcript:KYUSt_chr6.13597 gene_biotype:protein_coding transcript_biotype:protein_coding MYPALSSSEAAMDSDGDEHETMQSARCRLSSSLGDEWCEQRSSALEYERSAEDDGELYERSEEVFIAVTGDVYDGMGTLLWVMRNLANCGSRVVIAHVHSPTRAIHKLRAKADHASMRPEEVHRYRNLVRERAEKNMDEYVLTAKRARRGLKIDKVMIEMDDVADGLVKLIALYGITKLVMGAAADQHYSKEMKTPKSKTALKLMETVAPLCKIWFTCNGNLICTREMNGNFPAMSRSPVQSNTTPPSVCSISSQMRSMALKNEANSKGYNSGSMFESAKTDWDLFFRPDCDREEEEQILDEQPYVKHQEVYTKANLCKEETNEESNKRRKAELDLLSALQRVKDSEDSYLHEASQRKEIEKTLAKQRLEIDEMKRRRYALHDELQDSKKQRLMLEQHITQIEFAAKDYVKEITDFFTEESCLETKKRRKLETDLLFALQRARKMETLYQNEKGQRVDNDEKITRQRMEIEETKRQRDELYYELKDLKEQRVKLKPNDVSEESNRRRSAESDLLSALQKFKDLERLCLLEVRKREAMEEALAIQKEEIQETKGQLEDKHDKHMSGIKSTIKVYEEKLEKSKQFIQELQANYDKLLDERDTAVTVAEEQRQRNKHGASVTAKALNIDFSLYELQQATKGFDTTLKISESGIGSVYKGFLRNTNVAVKFLHPENLQGQQEFHEEVAFLNTVRHPNVMLLIGACQEALGLVYEFLPNGSLEDRLLCRKNMPPLTWQVRTRIIGEICSSVSFIHSHKPHPIVHGDLKPDNILLDANFVSKLRIYRLLKNFKTTKNTKGTSSDMDTKFLSTGELTPDFDVYSFGIIILRLLTGRSPQNITETVQEAIEKGHLHSIVDASAGSWPFLQASQLAHLGLRCANLSGKRRPDLVGEVWGVIEPLMKAASLNAGRPSFAASSDDTHTPASFICAILQEVMSDPHIAADGFTYEAEAIRGWLDSGHNTSPMTNLKLEHCKLTPNRALRAAILEWQQQQQQHGR >cds.KYUSt_chr6.5745 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34530447:34534127:1 gene:KYUSg_chr6.5745 transcript:KYUSt_chr6.5745 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLGSKAAHFVSDLTTVILNPVSEREPSSHLPEAEEEEENSEDEQDSEQNSDIPDGPDTSSFRAFMISFLSPSSSFNASMEIIPEQSEDIGYPTLTPVGKASKGKSGLISRGKHSIGKIINKAARMSGFKQSAEPKIGREVATHAEPVAPVLELEEPKEVSSLNNLPVMSEPSVLLSEMMRSILYSSLPILSQGRSWVLLYSTWRHGISLSTLYRRSKLCPGYSLLVVGDKKGAVFGGLVEAPLQPSSTKKYQGTNNCFVFTSLHSNPAIYRPTGANKYFTVCSTDYLALGGGSHFALYLDSDLLSGSSSNSETFDNQCLSHSADFAVKEVELWGFVYPSKYEEMLTLCRTEKPGICRF >cds.KYUSt_chr3.7704 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44291477:44294224:-1 gene:KYUSg_chr3.7704 transcript:KYUSt_chr3.7704 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIAMSFLCSLHAILMITVVSASDEAVLLAFKVQLSNGGSLASWNSSTNFCSWEGVTCSHSRPTRVVALSLNGSGLAGALSPALGNLTFLRKLDLSYNWLHGEIPASLGHLHRLQRLDLYDNSFSGTLPVNLSSCISMTTMGLHDNKLGGPIPAELGEKLVSLAALWLSNNSFTGLIPQSLGNLSYLQDLQLNNNQLVGSIPAGLGSIRRMRRFSVFNNNLCGTIPPSLYNWSSIESFDVGKNMLYGSIPDDIGNKFPKMYGFGLGGNQFTGTIPSSISNLSSLTVLGLSGNKFSGYVPTTLGRLGALQSLSLSDNKLEVNDKKGWEFITSLANCSQLKNLALGGYSFGGQLPASITNLSTTLQQLTIADNRVSGSIPTDIGNLVGLNRLQITNTFISGEIPESIGKLSNMIELGLYNNSLSGLIPASLGNLTQLNRLYAYYGNLEGPIPASLGDLKNLFVLDLSTNYKLNGSIPREILKLPDLSWYLDLSYNSFSGPLPDEVGTLANLNQLILSGNQLSGKIPDSIQKCVVLEWLLLDNNSFEGSIPQSFKNLKGLNKLNLTMNKFSGNIPESLGSIKNLQELYLAHNKLSGSIPAVLKNLTSLSKLDVSFNNLQGEVPDGGVFRNITYTSVAGNINLCGGTPKLHLALCSTSSWNKKKRKMSKPLVVSLATTGATLSSFSVILLVWILRKKLKQSKKTIVEDSVAEDHYERIPYHALLRGTNGFSDENLLGRGSYGAVFKCILANEERTLAVKVFNLGQSRYSNSFEAECEAMRRIRHRYLIKIITSCSSVNHQGQEFKAMVFEFMPNGNLDGWIHSKYQESSANNTLSLVQRLEIAVNILDAVEYLHNYCQPLVIHCDLKPSNILLADDMSARVGDFGISRIIQENTSGGMHNSYSSMGIRGSIGYVAPGD >cds.KYUSt_chr3.22677 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140169422:140174493:1 gene:KYUSg_chr3.22677 transcript:KYUSt_chr3.22677 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKYKTALDRGRKHVVFDVGDLVWLHLRKDRFPELRKSKLMSRDADLKPYFGEEDELSSRTTSIQEGGHDEDIPSIDATDVPTATQIQGPITRARAKQLNYRVLSFLGAILHIYENMMLPKSDVFVTLRNDGPSMDEKDKHWSMITHGEDGSKHMDPCALFLLEDSSSDDDSDLEELLDDDMDQTVVILAAKEILDVRPKKRKGSTMGRSCIPRNRVLGHDFLMRDYVAERESAVVPSVSTMASGRQRSRRRRRGGNQAPATGIGSFSDDILLEIFVRLPSLATLVRAALTCRAWRSAVASSPSFRRRFRALRPAPLLGVFSYLHASAPLPVFAPTYCRDRDVLAAVRSSDFFLTSLLDGSWHVDCCHDGYLVLANTQSAQLAMVNPLARYSPDYIVLPDMGAGTPEILFLGMFLLSSEEDPMSFRVLWVCYDACRVRAAVFSSDTWDWRVHPWEGVPDRTLPHDGDKYWLRSGNLVDGTVYWLCENMEYLLTLDTETMEFSVSELPPCLKGRPHCSVIIGGTKGGAPRIVYDTGNDIIQVLMPGGDEKGIDGWELDRVYHKDDPGMFYMVASKDGFVYLASVEMLYSLCLETLHLEKLAPRTFGANYISSSYFMAWPPSLVGNYGRFAVLEDDPCNA >cds.KYUSt_chr2.47595 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297744924:297751599:1 gene:KYUSg_chr2.47595 transcript:KYUSt_chr2.47595 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRRRGPIPATVSMLDFVFPESQVLVSPSCSLMYHRQLVFAARDQLPSDSPQLCYLLRSTAADEKPAEALCFVCMLLCLFLLNFHSIVSLLGSIPIPHDLQEYERMILPFQQTSVFRMSPSEAAALNAVFAKLGKKALSTWNISGDPCTGAATDNTNIDNNPPFNPAIKCERCTGTGNTSVCRITRLKIYALDAIGPIPEELWNLTALTNLDLGQNYLTGPLSSLIGGLTAMQYMSLGINALSGPVPKELGNLTNLVSLSISSNNFSGSLPSELGNLPKLEQLYIDSAGLTGPLPSSLSRLTNMKTLWASDNDFTGLIPDYIGSWTNLTDLRFQGNSFQGPLPATLSNLGQLTSLRIGDIVNGSSSSLAFITNMTSLTTLVLRNCRISDRLVSIDFSNFTKLSLLDLSFNNITGQVPQTLFNLSSLNFLFLGNNSLSGSLPSSIGSSLKNLDFSYNQLSGSVPSWARNSQLNLVSNNFTADSSSNSVLPTGWTCLQRDTPCFLGSPQSSSFAVDSGSVRSISGSDGSLYEPDDASLGPASYYVTGAPTWGVSNVGRFMDASNGSYIIYSSQQFQNTLDTELFRNARMSPSSLRYFGIGLENGNYTVTLQFAEFDFPDTQSWKSRGRRVFDIYVQGERKEQNFDIKKAAGGKSYTAVRKQYTVPVTKNFLEIHLFWAGKGTCCIPDQGYYGPAISALSATPNFTPTVRSAAAKKKGSKTGVIVGVVVGVAVLGLAALAGIIFWIQKRRKLSLEQEELYSIVGRPNVLSYSELRSATENFSSNNLLGQGGYGSVYKGKLTDGRFVAVKQLSEASRQGKKEFATEIETISRVQHRNLVKLYGCCLEGNKPLLVYEYLENGSLDRALFGKGSAHLDWPTRFEICLGVARGLAYLHEESAIRVVHRDIKASNILLDANLNPKISDFGLAKLYDDQETHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVVLETLAGRPNYYTKDEDKVYIFEWVWELYEDNRPLDMLDPRLEEFNSEEVLRAIKVALVCTQGSPHQRPPMSRVVAMLTGDVEAPDVVTKPSYITEWQIKGGGDTSYMSSDVSGQSSSAPRAPDSARGSSQIMSSALYEGR >cds.KYUSt_chr2.13004 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82416811:82419054:1 gene:KYUSg_chr2.13004 transcript:KYUSt_chr2.13004 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVFGGVVFIYHVVVDDSQQRGAAEYRRRARIAGRGQDGGVVWCFGDINGRPGTVNTLISLGDGFAIDGGCELCDVCNGTPSGFCFLDDVCWCTVREYGLVHGPPFIVESVGDGVVEVAVGDTVVPVFSAQCGDCADCLSDRSNICSGLPIRPGGMPRDGTSRFSFASTGEPIHHFISVSSFSEYTVVDVAHLVKIEPGGIPHEMACLLSCCVSTGVGAAWKVAAVEPGSSVAVFGLGAVGLAVTQGCRMRGAKRIIGIDLNPEKFEIGKKLGITDFIDPNGTDGRTVSEVIKEMTGGGADYCFECIGSTPVMAEAFKSSRKGWGKTIVLGVSNDVAPISIPSHDILRGRSVVGSLFGGLKPKTDIPVLAQMYLDKELELEEFVTHEMGFNEINTALELLTQGKCLRCIIWMDGAREKENVVKVKPKENGRACEAA >cds.KYUSt_chr2.33129 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204534873:204537173:-1 gene:KYUSg_chr2.33129 transcript:KYUSt_chr2.33129 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVSTTFDFDVQSMYCHLPSLADLERPFSEEELRAALLTMRTDASPGPDGFSPGFFKTFWPLVKGDMKDLLDAFHSGTTRLQPLNQAYMALLPKREDVVTADGFRPISLQGTALKILCKVLTRRIQPLIPSLVSIDQSGFIRGRNICDNFAYAAELVQCCYKRRVPTIVLKLDFRKAFDSVDWAALDHILRARGFGDKWCGWITAILESGRTAVLLNGVPGRWFDCKQGLRQGDPLSPYLFIIVADVLQQLIAGDAGDERLRHPLVDDLPCPVIQYADDTLLILRATTDQLLRAKSLLDTFSRATGLQINFQKSAFVPISVPTVQAADLAALVGCTPAEFPQTYLGLPLTASKLRVQDLQHLVVKVEKRAPGWKSSLLNLGSRLTLTDAVLSALPSFAMSVIPLPVTTLDRMNRPRRGMLWKGKAACSGGDCQVAWEDVCRSRAEGGLGVRHLQCQNTCLLLKFIHKLLRGDDTPWARWVRRWYGANGIAEPPSPLDTPSWRSFKRVFAVHRGITNVRVGNGASTSFWFDNWHAAGPLYARVPELLTHCTEPSITVAAALERAPLEIPLQTRVSAAAQAQLAALVMSLQHASLSDEPDTRLLPGGAAFSTAGAYSIMKTSGVVLPLADHNWSNFAPLKVKVFFWIARHGNTRTRALLHRHGILPSARCPFCDDDEDLVHLFFRCPRLAPWFAGLGAHAAASAADLDEGCELLAAAHHGFIPTVRHTMVLLTLWIVWKCRNRMVFDAQLLRPRQMFSLLATHCEL >cds.KYUSt_chr6.15800 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99449320:99454943:1 gene:KYUSg_chr6.15800 transcript:KYUSt_chr6.15800 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDEVVGDEEDSEELFYESLDRILSSSASSTSASASDDDAPRRSSSRRAAGYDAAALDLWTSQPAPIQERRHRLLHLMGLAGDPALARSAPTASSSHDSRSDPPATLSDAATPEEEDPSCLIRNLDDGTQFAVREEAGLREVGTGRQLTVEEFELFIGRSPLVQELMRRQSAITNSSSAPNSAPNSNSQSGASTPMERSSSGSSNGGARSRRRGSGWLRTIRCVAAYDASSDKGGRRSSSATDDSQEGAARHGPDRVKVRPYGKSGKDFGGLFMNQDIHGHRGSIWTIKFSPDGRYLATAGEDCVIHVWEVLQSGRMSQLEHNGTCNPLVTMVCDDEGEGSHSEKKALNSGRSASSDQLRVPAHVFALSEKPVITFAGHSDDVLDLCWSKSQYLLSSSMDKTVRLWHMSSTYCLKAFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPKREIVDWVDLHEMITAACYTPDGQGALIGSHKGSCHVYDTSDNMLHYKKQIDLQLKKKKSSQKKITGFQFVPGSSSKVIITSADSRIRVADGFELLHKFKGFRNTSSQISACSAANGRYIISASEDSNVYIWRYSDDSKPSRKKNIISVTNTHERFRCESVTVAVAWPCTSARMTWRANSSKQDDLDCVSSNGHILDSGPAKEDSISAVQQQINNSNHNGDRAYATWPEELMTKTKQSPKSNTSLPDEVDQALSESAWGLVIVTAGRDGRIRTFQNFGFPSITSI >cds.KYUSt_chr5.12608 pep primary_assembly:MPB_Lper_Kyuss_1697:5:82317019:82326523:1 gene:KYUSg_chr5.12608 transcript:KYUSt_chr5.12608 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRELQALCKRHGLAAGGTNADLVARLAATLPGSGEAVGVVVGKGCLKRPAGGDSDAAKRVSFDVEDAETGASGGARRGSQAASSPAVPRKRGRPKAGEAPPPAAARGNGGGRRLTRSQPGGDSADESDSGEADGPARRSETRKNPAKAEQEEDAAGRKHQLKRKTRENDVEDVDASLQAGVSRRSTRSGSILVEPDALLSPVEKKRGRRKAADAKEKTSVAEQPTEALPTVRTLRSGAVVVAPVPPVVSEGKKGKREVQEEEPAVEKTAEVEMSPLVKKRGRRKAADAKEKTSVAEQPTEALPSVRTLRSGVVVVAPVPPIVSESKKSKREVPEEEPAAEKAAQVEISRRTTRSGTVAAPLTSPKVIAKKRGRKADDLHSDAGLDKKTENKRQSSRLGSSIHHPASAEEEATLEQAAAPIKGLPPRITRGTMLSGAKDGVEKPDEHVGLKKSKLRKGSATVATSGGEVPFSDGSGKASATDMQIEEPGPVRRFTRKSVVPSSLEPETNGTHEDVEKKQTVTKPAGRLTRRSVAPVILEKESKGLTKKIVPEDVEKKQAVTKPVARSTRRSVAPVILVKESKGLSKEIVPELHAKRPTRKSVVPAMLEKQPTVKQPVRRLTRKSAVPALPEKDRKVVPDMLNNDKEDRTEMQSDTAGHLEKQLAVKEPVMKSASNSVVPDVTEKENEGLNEELKSEVSARTPACKSAVPNAVTKNNKDHNEFVRTEELSVKTRSAHTIQVALQNDESLQRTTRRSSKLVISPPQSKPTASKGRPAKRRRTSSLQEDMPAEEQEEDQIAYGAHTKDVIEVKTTTDLEIGVLPSAAEKSDSRDPQLNTELESMIAEEPGAHKDDVIEVAASTNLGIGVLPFTDEKSDLQDPQLNSELEGLVVVEPGAHTDDVIEVATFTDLEIEVLPFAAEKSDLRESQLNSELEGIAAVEPVLSRDEDDTNVLESELEGTAGTSTDLEIGVLPFAAEKSVLRDSQLNSELVGMAAVEPGLSRDEDDTDVLESELEGTAGTTEKPPSNLPIPDSKHVGALSEEAVHSIESDAGRVFSIQLPKRFGFVCPVRSARGCGSCSEDLICKEEEKKHYIPSSDINVPHEISPANEPAENASGVSGDVFCISQSTRISDEANSDSSRSESADALDNRIASSNTEAVQQDNKEEWNLHNGVTHGIHGSGMSEAAQVEASGSGKMLLPDVETSAFQDAQINHKQEGNESEEHSFSCSKDESGKNDMSQLANEYVLDSIQEKELELSHDLSAVKSPKVSTTCQDDELVIGSGIGQTSGCIVQGNNIAMNAPGTVEQGDDLNPSATALLSNRENTPASKIDAPEVSSDNLFVVDSSAPAVQSVSGRLREAGLAVDNPDNKICMEQVKQQVLEGTLENTALGSATPESNQQRGLFEEAELHSLTEERCSASVTPEGKHDCGLPDEVEPHSSKNERWSTGVEQSPFSLQHLFSQESIEEPYENVAVAVHAKNEVDKSKDIHVEYGVGKTPVQEAVSDHDSSVDFGAVSKSEDCAHTSEGLTMSCHEQEQMTCQLDSLEAANCMKEFTNPEEVSYDEENKKPVHSTYIISSCEPIHANELVKHACSLGDGPLSSSPIASTDGTDVHPRSNPNQIESTDFLDEPKGSYTTDALQQGLKEQCDEPKENQVTLLSTSLLASTGDTDVHPSSNPNQFESTDFLDEPKGRSSTEALQQGLKEQSDEPKEDDRIGAETAKDLESEVSSLPTEGASNMLAFSFMQESSRDLVLPSAKNEDDSHTCHVEPQVEKMDSSEPDSSKGSDMHGDLVLPSAENKDDAVTCHVDPQVEKIVPSEHDSHQGSHEHSTLDEGIRGCKLSSQLEHEKDEQLILPLLAAETSALADEQANSKLEAGAFEKCNFSSEDTSQVEHEKDEQTLAPLLPAETSDLSDVQANPKLEAGKFEKCNFSSEGTSQLEHEKDEQTLAPLLAAETSVLSDVQANHELKAGEFEKSNFSREDTSGKIGIEFQLHKDSHMDPIQEKDLPNDLSAPKSSEQSTIIQNAKTLALSDEQPNPELEGTEFKELKSKEDSHVDPMEEKDHPNDLHAPKSPEQTTVVQDAKTLAMLDEQPDPELEAGEFEEHNLTSGDAGAIFGTAYVKADLLQLHEDYHPIQGKKLPDELPAPKSPVQSTVGQDAGTSTWLDGQPNPELEAGQFEECNFSSEDTSGQDAGTSTWFDRQPNRELEAGEFEECNFTSEDTGGIFVTGYDGSSLFELHDPIQGKELPSYPPAPESHKQSIIGQDEKTFGSDTSPLLYEELDLELEGEDYKDGKNEYNERNDDQATAAMLSTGMTKPTLTDGLESGMARVSTAGTPALTDEQLNSKLEDDKEQNLCFDTDTSNFSDAGLMENRNLFSLPEDVHTEACYERELPIGTPTAKSAGASAVCPYGSVPGSVGTCQTSISTKLQRFKISSAVKGSYITEQGENLRPISLHGNTENVPAANPDHPAELDTDWLDEDSSRQGGNDGL >cds.KYUSt_chr4.31584 pep primary_assembly:MPB_Lper_Kyuss_1697:4:196320142:196324076:1 gene:KYUSg_chr4.31584 transcript:KYUSt_chr4.31584 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLHVRLRLRLGALVMALVLAASGGWWGGAEASIHTYGREPFREVGNAFLLSGGSEGIVADGSDPASPASSFIKFINVTFWRTAESAESHAKMAHSTGLVQAILFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRRPSSDDPDWPVVVDTHFSANHLSVKLEDEEVRITKTGMYNLFFISCDPKLRGLAMSGKTVWRNPGGYLPGRMAPLMTFYVFMSLAYLLVMVVWFSQYIRFWRDILPIQNWITLVIALGLFEMTLWYFEYLNFNSSGVRPVGITTWVVTVGAIRKTISRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASELLDIAENVGTINDISGKAKLFLVLPDAFLDAFLILWIFTSLSRTLEKLQARRSSVKLDIYRKFTNALAVSVIASVVWIGYEVYFKATDPFSERWQSAWIITAFWDVLAFVLLVVLCYLWAPSQSSQRYAYSGEAADDDDEEAQSLTKGSDGDVGMVKIDKDRNAGVGSAFSLDDDEAEEDKRE >cds.KYUSt_chr7.811 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4402772:4404071:1 gene:KYUSg_chr7.811 transcript:KYUSt_chr7.811 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTQSTNQALLDAQLNLLDNTFGYIKSMALKAALDLRIADTIDHHGGAATLPQIVDRVTLHPSKIPSLRRLMCVLTVSGVFGVRPADGSSELLYALTPTSRLLVGPRNLVSITAMSLSPHFIASYLELGTWFQQELPEPCIFKLAHREPLWKLAEHDATFNALINDGMVADTSFIMDIAIKESGEVFQGITSLIDVAGGLGAAAQAMSKAFPHVEYTVLDLDHVIAKAPTGTNVKYITGDMFESIPPANAVFLKWILHDWGHDDCVKILRNCKKAIPPRDAGGKVIIMDIVIGAGQSNVKHREVQALFDVYMMIINGIERDELECWPSPKTPCTSDVDEDDPARRDLHTHSKQAKQYANSSNPSTIS >cds.KYUSt_chr5.5317 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33313151:33313657:-1 gene:KYUSg_chr5.5317 transcript:KYUSt_chr5.5317 gene_biotype:protein_coding transcript_biotype:protein_coding MMADSIAPLRLLSPTPTVDGDDAPGASVAVVDVEAVAPRLPSPTAATPPTSPRTRARHRHTALGYTLSPPSGGHQEPGHAWVREWRRPGTQLPGGSSDEDERGWSTNRRRPAGSRLPAVEPLVPAVST >cds.KYUSt_chr1.38780 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236942509:236948584:1 gene:KYUSg_chr1.38780 transcript:KYUSt_chr1.38780 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADEENGSKKMAKGGKAPFHDLFRNADAMDVVLMLVGTLGALASGMSQVIMAIIFGQVVDAFGGATPGTILPRVNKVVLEFVYLAFGALPVCFLRKYDRCILTGERQAARIRSLYLKSILRQDMAFFNTEMKSGQVVSGISADTILIQDATGEKVGKFLQLLTTFLGSFVLAFIKGWLLTLVMLSTILPLIVAAGLISKTLSQISTMGLASYRDAGDIVEQTLGSIRTVVSFNSENKAMALYNNLINNAYKGMVKEGTVQGFGMGFISLINFSSFGLIVWYGSKLTLSRGYSGADIMIILLSVFIGARSLGDATPCIVAFEEGRGAAYRLFKTINRKPEIDYNDSTGAVLEDLKGDIELRGVFFSYPSRPEQLIFSGFSMHVSSGTTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGMNIKSFKLDWIRGKIGLVSQEPLLFMTSIKENITYGKEDAPLEEIKRAAELANAAGFIENLPNGYETTVGQRGAQLSGGQKQRIAVARAILKNPKILLLDEATSALDLESERIVQEALNKIMTGRTTVVVAHRLSTVRNAHCISVVSEGKIVEQGHHDKLVTDPAGAYSQLIQLQEAHQETSYQLNAGSGPLSKRSQSLRRSISRSSAGSNHHSSILTVSLPGPTAVLQYDGADGETQNKNTDGKVSKKGSIGRLINLAKPETTVLLFGSLAAAIDGTVYPMMGLVFGSAAKTFYELPAEKRKKDSVFWGLLCVGLGVIGMISKLANSCLFAIAGGKLTKHIRALTFQTIVYQEAAWFDHPANSSGALGGRLCIDALNVRRLVGDNIALMVHCTATLLCGIIIAMSADWKLSLVILVVIPLMGLQGYAQVKFLEGFSQDAKAMYEEASQIATEAVGSIRTVSSFCAEKRVMKKYIQKYQGSKNQGIRTGIVGGLGFGFSNMMLYLTAALCYYVGAKFVSQGDSTFGDVFKALFALVLTVVGAAQTSAMASDSTKAKDSATSIFTILDRKSQIDSSSEEGSTMEHVNGDIDFMHISFIYPSRPDIQILSDFNLSIPSRKTVALVGQSGSGKSTVISLLERFYDPDSGVILLDGVEIKTLKLSWLRDQMGLVSQEPALFNDTIRANIAYGKHDEATEEEIVAAAKAANAHEFISSMPQGYNTTVGERGTQLSGGQKQCVAIARAILKDPRILLLDEATSALDVESERIVQDALDRMRVGRTTVIVAHRLSTIQGADIIAVLKDGTIVEQGRHDALMGIAGGAYASLIELHPKAT >cds.KYUSt_chr3.48515 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303476594:303479037:1 gene:KYUSg_chr3.48515 transcript:KYUSt_chr3.48515 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAERELESSQVATDFMGDLACDSETVLMEGGGVSFVCEDSPVLLEALPPAGQTVCSCGKPHHSQDGTHEQCMNGDSVETEMDIPVSPSPGGKRGEGGDGNSQSHVVSEYGEPKESSAYEPSPSFPPIVRLVSAMKGGRERSGEASPTEVRRVKWAPDVYDPPVTSVDHSVKSHQQRPRSRKNEKNKQKLKKKKRKSRGKNSGLHDAASNLPALQDPGLSSPDDFGDLEPEVVDSDAVGQDFVHGSSATHEMLDDATSSH >cds.KYUSt_chr6.3677 pep primary_assembly:MPB_Lper_Kyuss_1697:6:21212128:21213088:1 gene:KYUSg_chr6.3677 transcript:KYUSt_chr6.3677 gene_biotype:protein_coding transcript_biotype:protein_coding WQQSLAGSNILAASGHHSGLWRRAELESWDDNQKLGHVMFQDDGSTARLSGDSLSIPEYADASDEQGSSEDESGLSDDSDQEDETIHQGVGLSESKILTGVQSETVIFAKWEQHTRGIASKMMAKMGYREGMGLGISGQGMVDPIPVKVLPPKQSLDHALAPTAGEDGGSKVRDKKRSRGGKRKRDKKFAELARAAKAEEAERSVFSFMNSQLVNQDAAQGSAGKARKESPGQQGNGQPKKEDNRRSLLAYDDEVKELKSQVGKLEEMVQRNRKDKVVHEAASRKLEQTRKALADAEATHASATNAVTRKEKEKKWLKF >cds.KYUSt_chr5.35480 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224181227:224187000:-1 gene:KYUSg_chr5.35480 transcript:KYUSt_chr5.35480 gene_biotype:protein_coding transcript_biotype:protein_coding METESERRLFATETLGGRAVYRLHAVTVFAGILLVLYYRATHVPAAGEGRVAWLGMLAAELWYSAYWVITQSVRWNPVQRRPFRERLAARYGERLPCVDIFVCTADPQSEPPSLVISTILSLMAYDYPAEKLSVYLSDDGGSVLTFYAMWEASSFAKHWIPFCKRYNIDPRSPAAYFSESDGRQDLCTPKEWSLIKDMYDEMTERIDTAVMSDKIPEEIKANHKGFCEWNPEITSRNHQPIVQILIDGKDQNAVDNEGNVLPTLVYMAREKRPQHHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNKDTIRDALCFFLDEEMGHKIGFVQHPQSYNNMTKNDTYGNSMLVISKVELRGFDSVGGPLYIGTGCFHRREILCGRRFTEDYKEDWYRGINDKIQENIYDIEEKAKLLATCTYEQDTQWGDEIGLKYGCPVEDITSPWIAPFLYIFFVKNMYSLYEALSCGETLKGWWNGQRMWLVKRISSYLYGVIDTLRKLLGLSQMTFAVSSKVSDEDVSKRYEQEIMEFGSPTPEYVIIATIALLNLVCLVGGLRHIMTGGWNVLFNVLPAQIFLCEMLVITNIPFYEAMFLRKDKGRIPFSVTLASIGFVVLVCLIEILVPIV >cds.KYUSt_chr3.7267 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42042407:42047211:-1 gene:KYUSg_chr3.7267 transcript:KYUSt_chr3.7267 gene_biotype:protein_coding transcript_biotype:protein_coding MKALVSGQPALLCPRPATRPRSTSRAAAAAVSWSLSSSSPTTRRRASLSLSLETSKPTAIVQVPSDLARRRAAIPCPPRALSQPQDAAAADDDAASSLAAKANDALFGLHPGSVGIELILLALPAVLGQAIDPMAQLMETAYIGKLGALELASAGIGVAIFNILSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELPSVSSALVLAAGIGIIEALALFLGSGLFLKLMGVSHASPMYESARLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVLYIGLGNLSAVALLPLLIYGFQLGITGAAISTVASQYIIAILLIWSLSKKAVLLPPRMDQLEFSGYLKSGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADSLAVSAQALIASSYAILDYKRVQKIAMFALKTGAFSGLALAAGLYISFGNIARLFTNDPEVLMVVKSCALFVCASQPINALAFIFDGLHYGVSDFDYVAQATIVVGIVSSLVLLYAPSVFGLAGVWAGLTTLMGLRMVAGIVR >cds.KYUSt_chr6.23167 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146440592:146447048:1 gene:KYUSg_chr6.23167 transcript:KYUSt_chr6.23167 gene_biotype:protein_coding transcript_biotype:protein_coding MASGALPPPSPTVAVAVRPGGSASRRAARWAAASLLQDDGAGRAAAIAFVHVIPPLSFVPSPTGERVPVARVGRDAAKAYTHDRHARVQEALLPFRRLCGGRVETVVVEGDDVAEALLRYAAESGVRCLVLGSVSFRWFQRVLRIPDVPTDVLKNTQNSCNVFVVCKRTIIVKVSGYPQASKSSTNLSIQSISHEAFAQKQMGLLFENVDAESRSVSCSQARCSHSAFSDDSTRSESGALVQVGNGSAKTKGRDLIKNYSSHSSLKEGPYAASNSSDECQSINEVEKLRKELKETVVMYDKACEDLVHAKKKIQVLSTECSEDARKVEHALHKEETLKQVAADEKAKHLVAIQEVEQAKRSFTREAYSKHKAKMVGGIVSIDKEKVVDAILSTSKNCRRYSKHEIELATDNFSEARKIGEGGYGTVYRCTLDHIEVAVKTIQQDSTDKIDEFLREVEILSKLHHPNLVMLIGFCPEIGCLVYEYMSNGSLEDQLLDNKRRQPLHWFLRFRIIFEVSCGLAFLHGRKPEPIVHRDLKPANILLDKNYVGKIGDAGFAKLISDVVPEWQTEYTDTIIAGTLYYMDPEYQTTGTVRLKSDLFALGVIILQILTGKHPNGLIVSAEKAIERGSLAHILDKSQTDWPLAETEMLAKLGLRCTALKCRDRPDLESEVLPKLEEILHRISSNISIRNPDSCVPRHFICPLTQVKLDGFSDVVQSAWAVIDGDPDPFRQLNAKLKRTARSLMSWSDKKVGDVKLKLMTTWEVVLRLDVAMESRTLSPDERRLQAHLKRAYLGLASLERTMACQRAKIAWLREGDANMAFFHQHAAYRVKRTLSIAYRSRAQSSQTMLPWRKLLLRTLRVS >cds.KYUSt_chr1.39858 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243974840:243975673:1 gene:KYUSg_chr1.39858 transcript:KYUSt_chr1.39858 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRILLVAFLLATVSAMAAAQKAVAPAKAKPAPAAPAADAPGAATADGKPPTDPIAILKKAGGFTKFCDLLEQTRVDTQLKAQLTDSYNGLTIFAPTDDAFDSLKAGTLNGLSSQDQIQMMLYCVLPRFYSLSMLGNIKDKVNTQASGHTGPYKYAIKPSGNNVNVSTGVKGNNMLLNSVVSKDFPLSIYSVDKVPLPYELFGPQPPTPAPAPAPAPTKSKPKKKKKSAGIAEAPEAEDADSTADDTKNAASSLTGRWVAALGVLAAAVLGGAF >cds.KYUSt_chr2.27735 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170387686:170397696:-1 gene:KYUSg_chr2.27735 transcript:KYUSt_chr2.27735 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQRSNPRKRPPPAPTPPEPPPSASASASAPAPNLSAVDAAAALLAEAGCTLLVPPHLPPSLPSPPAFVARLTRDLAAGPAAARLLAGLAAFADSPTRLRQLLLPSSPGSPTSLARALLSVPALQPGLLGLLLDKLPEHFDDDALDGAPLQEDVGRLIIKQFRWLDLLVDADAFVAKLVEVLSVAPPRLKKEIIGSIPEIVGDRSHPAVVSALENLLQEDSQVVVAVLDTLSDLNLNAHLQEQAVTVAISCIRTIHADQMPHLLRFLLLAATPVNAGRIISQIREQLKFVGVVDPRAARSKKLKGKASATSTDGAILHALRSGLRFKNMLCEAFLKELKSVDHPKDHKVIDVWLIMLIYANGGALQKSAEKILKSKILQGYIRETLFNQCIRGNTELVKDHFMSYLSVSDYLLACKEEKAREFATYLFTALFEEFDDTFSRQELIGSLITHIGSGVSYEVSSALDIMISLTSNHSEELIPIASHITGILDYLESFHEDNLRKVYEIFCNLALAAGFNSGSGGSSIANELLMVVRKQVSNPDMKYKRMGIIGALRIVSAIADANPAVNYSSSQQPNGEEALGLLKMAVNSCKFVTMPLILLYDELAALFESTVLHSAITEWVGEHVAEFDTLFLADLDDGQLSEKYLCESIEGELWMNLDGNISPICVNILPLVSTSPQKSQACLQILASQFLLLTTIERKGSEGSLGGINALLGCPLHLPSAKILDESRWASLSELEKKTVCHSLYFAINWIRELLNAFSTQVAARVDNVSQRVRDETAVKLLKRLRNLILLESLLNTILKIYPLSLPELRYLGEYAGSASTSKFNHGRKMEEESMEGPSSNKRKKSRKDKAASDKLNSDEKLKQSTILDAFKRAGVIITQETNKASSQPFPSRMMSKDAENEANNSGELGHVDLIAAPVQLDMQRFKFRTLNVSCLSLINYSEAQDSTYSYHESELPLYLYLLRDLHNKLDHLNPQSKPFFSTSQAKSTSAHCQKSIGKLLSKIQPLFSSLRKHLDEAILMIKDRSDSCPDNWSSSSDSAGNPHIPYVMVSKSSIATSVFKEVLGCYRKLLGISDLLNQANMSVLKELLQTFQPSENFDDDLSEFCPPLVPSNVDYLYIGAYKMFEAIMDPVCLFSHILASDVLITMQSILNSIVALLEKSVESNGKNMHVGCSKEIIPFLRKHLALSAHKLLTSDFPSEERENGSQSKGDLIPRILQIYLRNSESTSNPLHDLSLVLTQVPSSKTKSTTQEMSHGFPTLCSSTLHSWYRVLHEENTGNFNKMIKQALKTRSQSVVAVENVLDEISKSVTVFVSLIFMCKKHEKVAMHGMAVKYGGRFIDAFLKAFNFLETQFGQHGDPIVQMIKDLQQATRIIQTICAEAKGNKRTMITSKIPGAKRSMERFVFRVKALLAHCSTEESCSIANLKHKDLHGHVVSSQVYGDVDDDLNDAEQEQMDTDPETPADENDDNMMEEDVAEDAEEATPLDD >cds.KYUSt_chr4.25807 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162345716:162348451:1 gene:KYUSg_chr4.25807 transcript:KYUSt_chr4.25807 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRHLLLLLLATTLIVPRASSHDDGGAGKAVYTKVCDESRFEAAGLVMSRYPYCNASIPYADRVHDLIGWMTVEEKVSNLGDWADGAPRIGLPPYMWWSEALHGLSSTGPTTKFDDPKKPRLHSGRAAVFNGTVFANVINSAASFNETLWMSIGQAISTEARAMYNLGKSGLTYWSPNINVVRDPRWGRALETPGEDPYVVGRYAVNFVRGMQDVPGHAGAGVDPMSRPLKTAACCKHYAAYDVDDWYGHNRFKFDARVEERDMVETFQRPFEMCVRDGDVSSVMCSYNRVNGIPACADARLLSGTIRRDWGLHGYIVSDCDAVRVMALNATWLGYTAVEASAAVLKAGLDLDCGMSWIVQDGKPVMDYLTSYGMEAIRMGKMREADIDNALTNLYMTLMRLGYFDGMSKYETLNEKDICTDQHKSLALDGARQGMVLLKNNDNLLPLNADKLGAVTIRGPHAEAPEKVMDGDYTGPPCRYVTPLEGISKDVKIAHAANVTIYFGGINMHIEREGNDREDILLPKNQTEEILRVAEASPNPIVLVILCGGGVDISFAHGNPKIGAILWAGYPGGEGGQAIADVIFGRYNPGGRLPLTWFKNKYIHQIPMTSMALRPRPDHGYPGRTYKFYDGPEVLYPFGHGLSYTKFRYELTDAAKGATVKISAAGRHCKRLSYKAGTLHATPSCPAIDVASHACEETVAFNVSVVNHGDADGVHAVLVYTIPPRDVAGAPIKQVVAFQRVFVKAGSAATVGFKLNVCKAFGIVEKTAYTVVPSGISAIHLENGDSSSPSSVSFPVKISFYA >cds.KYUSt_chr3.8533 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49413571:49414371:1 gene:KYUSg_chr3.8533 transcript:KYUSt_chr3.8533 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGPIPLSRAEPTPLPHHISPDQRKTATAPISESRVLLFYSTFFRSKKRKRASQKRKGKRRERRGTGSAAAAAAAAAAMDLDALPDRHLLSLEQAVMLTDTDRAPRRVVVLSVEVRHAQPMLDIISSGIEKYNWITVDGNLGRLHQVSRLHLKLVIVNDVIIPLLVSDPTHQAASRLLLKMGWAVRHIARNVDFPHGDLYRAASSTGNLLRRHGAHIHKGTRQIDWLTGNIPVLRARIQELITMTVLIPADDEEAGGQEGGAGHE >cds.KYUSt_chr5.42997 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271048017:271048464:-1 gene:KYUSg_chr5.42997 transcript:KYUSt_chr5.42997 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKQRKLKTDSGQMFDRRWRRLQATKLSDSKTKVVALRLSFPTVRRTQAGVACAGEEMCRKTDEARKQVGRSGHAAAHPGGVDDEEETAGEVGVEATVAGVREQDGSGGEDVEQTLASPVP >cds.KYUSt_chr2.26480 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162211867:162214725:-1 gene:KYUSg_chr2.26480 transcript:KYUSt_chr2.26480 gene_biotype:protein_coding transcript_biotype:protein_coding MLHINPVVEDPLRSYLDVGPDLEPYIVGKEVENDCNSLIQLLQREYTTTSCPLFAVIGTIGVGKTTLARKVYHRATAMFEIRLWVHVSKDLTQLTMWSNGRYTRADTPEQQAFLRTCLEGKKFLLIIDDVWGENVWDGLLEIQAQHGAPGSRVLITTRDERVARRMGAVQHYRVKSLSEDDGWWLLHTMAFPNESTGNMQDVGRRIVQKCNGLPMAIRRIGCYLRDVDPREDDWERVYLSDFCGISRRIWSTINMSYMELSYRLKRCFLYCALYPEGFVIEQQCITRQWIAEGFIVTPQKSTQPQSTTVEEEAEKCYDELLARGLLLPENDSGGAVRSKMPHLFRSFALLQSQDENFSGNPQDIGDVFKPCRLSITNASAEAIRYGIKKLKSLRTILLFESPLNDNDIFQKFTHLRVLDLGNTHIECVTGSLGRMTHLRYLSFANTQVREIPGSIENLRMLQFLILKNCVHLNSLPQSAGRLINLRSLDISGAGLKRVPFRFSKMRELNCLQGFLPRSAGAENRSGWEFKELSSLTKLTSLQILKLERTLIVEDARQSALEGKCHLKVLELSCSTDDRIMEISRAENIKNVFEGLKPGPSIVSVKLVNYNGHGFPSWLSPFALPLLQRLTLDGCLYCQFFPSLGQMENLKFLAITGSNLSSTIGPEFRGTPDNGVAFPKLEQLLISRMSNLESWWGLEEGDMPSLINLRLDGCSKLDSLPYWLEHCTSLTSLQIDHADNLEEIVNLPALKQLRVQRNRLLTRISNLERLEDLQVLHCLRLEVVQGVPLLRNVHSDERNSTELPHWLRPQEPFMLRRLEIVGTEELLDRCSSASGPYWSVIQNADHVYANLPDGALYFSFNKSTSYFHRSARSLAQSLLYISPSFTMPAVPQSDDVILMGEPESRNMQIGQSTSPSWVRTQLFTVLLFVVTILMYLILLAHNSSSTFISFGTS >cds.KYUSt_chr3.6256 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35826225:35836810:1 gene:KYUSg_chr3.6256 transcript:KYUSt_chr3.6256 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGGGSAGRVGDWDVDLGERWDWRAIPRMLSSACIFICSGGCFGCCQDAVRHVGDLSRSLMAHAHNPTVGEEFWSTTTIEVDPADLRVSTVHPSSWDLDQHGVGSSHNTHESANHGFSLWKQTRDEWTDHVRQQPVVKQIQEPVLRRWLISSWTSGNKRGFMTSVNAFRKNNIGLGLMRVFGPSWLAQCQTLSTEVQVLELSEKMEMSWTLEPSCQSPPSPSAKCAEANADMLQLSSYRYSGLSQMPKCQLLFSAVFGFRNLSKEIFSELDEINAQHLRIPRSFQNTREPPEESPGGPTHVGGAARAWAAPPYCVTASSAVRLRLFAYLKVPDLKLRYGQATVRETFQSRHYREAKIWGTGVSVPARRRDGEVPPEGFSIDTAAISTAIFINAAVSHEEGVVLHRG >cds.KYUSt_chr2.44438 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276455539:276456656:1 gene:KYUSg_chr2.44438 transcript:KYUSt_chr2.44438 gene_biotype:protein_coding transcript_biotype:protein_coding MARLDHENVAKMVGYCKESDPFSRMLVFEYPANGTLYEHLHDGEGCQLSWPRRVKIALSIARVLRHLHTELQPPFAVAALTSSSVYLTEEFSPKIIDFERWRALVAKPFLSSGVIVNGGPLNSVVDARQMRFMDVQANTFAFGVILLELISGRASLSKDTDDLVDWARKHLDQPEEFSKLVDPKLKNVNDDNLGIICNAVNLCIDAEPSRRPSMNMIAAILEEGVDMSTATALRASSLAWATAELAMS >cds.KYUSt_chr4.17351 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108505626:108510308:-1 gene:KYUSg_chr4.17351 transcript:KYUSt_chr4.17351 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSIICKYSASVLVPCSLVHLLQHLKRLREDLWCGLKKEPFSGRYLYVGGDDDMTGKGELLQGGWFSKDPKLTTIWKDMASLEVETKANNYMTTSFDTRLAEPTPTANMETYTTDDALAAMGFGKFQALVLAYAGMGWVAEAMELMLLSFVGPLVVLSALSWRWLLALTALPCFLLLPFFGITPESPRYLCVQNRMSDATLVLERIARTNQADLPPGTLRYQRDSEVDHSALTSEIDHLLPVREECADDNAISSKSGSAVALRSLLSRKLCRSTLLLWFAFYASSFAYYGLVLLTSQLSDANSSCASGLGYVNSEEDANLYKDTFITSFAEFPGLVVSAVLVDWFGRKATMWCLLFACCGFLGPLVFHQTELWTTALLFGARGCAIGSFTVLCLYAPEVYPTSVRSTGVGIATAIGRIGGIVCPLVAVGMLRSCHQMEAIVVFEVVLCLAAIACMLFPVETKGRHMN >cds.KYUSt_chr1.35136 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214219540:214222028:-1 gene:KYUSg_chr1.35136 transcript:KYUSt_chr1.35136 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDEVEASEMVAGDEEEEVAGVDSVGMKRKEAVLGAFVSEKQTSRKRRPIRCDSTDQVASSAAMATASEVDGVGCITVPRWRRNPWCCAALHSFTNNLHVAFISYWFDNLGFLLRENLLLYASHLPLGVPNGHVLHALSAA >cds.KYUSt_chr7.24900 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155244749:155247380:-1 gene:KYUSg_chr7.24900 transcript:KYUSt_chr7.24900 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTDSVPTNDTGEHGTSFDGSTVGVMPVLPAAAAGDGTLDAAEAVDCTAEVVTALSTTSATMTSTLLWASVIPTKLCPENDDCEHGTSLTVMLMPNSQSGVPVMLSTASTTEVPACIPWESTKPWLEPVPPHPPDLWSLFLGAWSFSRPPDSLGCTGAFVIVSVHLLSEAKLATIVFPRESRIRAVSADVQKWLLLTGIIREWSCMIGSVKKIAPAVTYYGRDELDLVNQCDSVVMIVELRPWIYSIVMNFFELACLQNGQENSDLLQLLGTLSSSVSCGMAKDQCNARAKWSFSGLLLRVHEWAPTIQNVQHACVTSALSLHERYTNENVLRDVDEIIEANLMVVSYWAASVGAWSVFCTILKSTEANTATNTPDRVIMYMHEVPVIIDSEYWSQISKTIRLVWSSKLMKLARGSEQGSNSSVSSPILLSVWQHVNYTQWSWDPGGSMFAVCRSQHWWPNLERLRCPEELEWSFVLSARAFWIWLVAWDVDNLEFSAYGGQATFQGWTIAIRVTFSNHIQTSQDYYIAFVNQIPVSYNYFLHQTYYCDTVCINMIYYCEVYHGDSNMTKELHMPWDPSKSVLWYNPKLRLGDKPSFKEGGMLGPLSGHPLVGLSTWAHHEGLGPYHSQMWMDTYNYPGDVRNRHPSWIRRNGGGSFLPPRLFLPIPIHAVHLVSRLSTKFL >cds.KYUSt_chr5.26146 pep primary_assembly:MPB_Lper_Kyuss_1697:5:165741528:165742763:1 gene:KYUSg_chr5.26146 transcript:KYUSt_chr5.26146 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLCATDDTGWPLHAAAHMPPMPTDATSVARLASANRDPWSLRACLSLLVPELLTMPRPRLYSTRQHPLRCLLPLRPRASTGEALPPNVANAGCGGWRTPWTHWSALTREVEQLPAPAERRWERHAKPDAASCPFFILGYPGPVQGTTHSGGCGSSWCYGVVVTMRLGC >cds.KYUSt_chr4.19706 pep primary_assembly:MPB_Lper_Kyuss_1697:4:123981158:123987644:-1 gene:KYUSg_chr4.19706 transcript:KYUSt_chr4.19706 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMDGKWYGNVHDELDLTIPSDDKDSFWDSVSIDDDDDDVEDPTDEDYTCASSSRNKRKWRASKHSHRHSRDQIQQLEATFLECPHPDENMRLALAEKLGLTVHQVKFWFQNRRTAKKNQHGKENNKMLREENEALQAEHEAINAALLKKICPTCGGPMVPRKVSPEIQRLLQENVKLQEEMLHVREVLEASRKAKKPMPGSYSQLAADLDINDSASSTSVMNAALPPPQHHAHMHPMGQGCVRDHSGTRASVLPTALIWRLLDARDEFRMMVKKDGIMWLPTLDGDVLDYPRYHRTTFPGILGQCPPGFGANGTRDSGLVKGTGPELVHILTDVTRFCVAFPGLVGSVTSKNILPTNANHGQVQLMNVNLTVLSPRMPICNVMFARQCVLIDTKTWAIVDVSVNDMYGQGSTSGASTGINGGVPMACRMLPSGCLIHDLNNGHCTVTWIANVELDSTVVPGLYLPLLRSGHALGARRWLTSLQRHCQYLAILRLNPTRYVGKTSCAPKAKNNVLELARKMTARFCATLCGAGPGGQPWSSVEEWRGKCAGGIGRTESFEVAVRVATLHTAANNAQGLQAGLVVSAATTVWLPGIPANHVYVYLCDGSRRADWDTFFNGVPVQQEDYFAPIQFPPHYAVSVLRPEAADGATHKKVMLQQMRGDQSCKLLAYAPIDEQALKQVMRGGSHAAVSLLPSGFVVLPDGRADERIHPGDNKRPFGSATTRYRNNDGCIVSVLFQRFLGGPAPQENITKYIIDQLGTLVSHSVVKIKEAVHARVVVTVTA >cds.KYUSt_chr5.37928 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239643555:239644121:1 gene:KYUSg_chr5.37928 transcript:KYUSt_chr5.37928 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKSVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKSRIVPRHLLLAIRNDQELGKLLAGVTIAHGGVLPNIHSVLLPKKAAEKAEKAEATKSPKKKKAAAGKTPKKGAAATEE >cds.KYUSt_chr2.14057 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88935744:88938099:-1 gene:KYUSg_chr2.14057 transcript:KYUSt_chr2.14057 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDDCPPLAVELPPQANFPPAPASSSNSVSAPVGVTVITGYLGAGKSTLVNYILGAQHGKRIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCVCCTVKHSLVQALEQLVQSKERMDHILLETTGLADPAPLVSILWLDDQLESSIRLDSIITVIDAKNFRQQIDEHTNSSSFPEAFHQIAFADVVILNKIDLVKDDLEDLERKIHDVNALVTVVRSVRCQVDLNTIFDRQAYGVKNSSQLQELLEYSKSVPPNSRHDSSISTLCISEQDPVDLAKVPSVLE >cds.KYUSt_chr2.34938 pep primary_assembly:MPB_Lper_Kyuss_1697:2:215373308:215382223:-1 gene:KYUSg_chr2.34938 transcript:KYUSt_chr2.34938 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGAEAAGGMTAPPPPPLPQNSDTPVGDATTTPPLLTDTADPVTPASTPTPPPHQTAAAETAGQPEPHQPPIQTEASGATSPQTQRQTRIGEVAGTPQLTPPPPPTPTQKSDTAVDDVTTPTPLQTETADPDTPGSTPTPPPQNQTAAAEASGAASPPTQRQTGTGEVAGSSLLTQTLSQEKTVAAGAENHLPAPPPPTQEQGDSAKDGNESKDSAMENPRQAEEEKSGPSRWRRVRSPVLLLLSLFRLRHGNQDQQNKQPTITPPPTEDDSAGDVPHKPATEKEEGEGSKRRRHEEEASVSASGRKEAPPREPHQTKRRKSLQRTERVLPPEGVVGSQADANPSPMKRKFQNACRLVKNTMSWYRRHRDPENVEDPDEEAAVEKEKLAEAKPSTSPPEEAETKSKQEDQMDHSTKQPSPPAQEGQSSPIPKKMPQWAEEGLELTLEESCMMLLESEFGNRLNDMQQQCLLTLSVFPVGSKVKNHAVTYWWSTLFKLPPEKGVEKADEIFSMLSGGGFLEPIKNPCSEVIHGCRVNPLVHWMVKRMSREKGLADLDNCGNPADVQPKSKVLCLTRGNRDQMQKLRDDDDPQARIRPSPTKAPPQGKVEAGAPREDQQDKTKHEAEDLQATILLFRYRRKKVILNISAHVYRLPKPLLIKLADQLEVLQLGRWGNYDDETYMEVGALESLSSIGKLKNLRYLSLRGLSRLTDLPSELRQLRRLAILDARGCQNLVSVPSATVQKLKGLTHLDLTECFMLEHIGRGVSALSELRVFKGFVFGVGKRRRDACRLQHLAKLKKLRKLNINVTTDANVEKDEMAQLGKLASLESLTVTWGEWPSVLLDDCKKDQMDELRKRWTSLRLPPGLEKLDVRCYPDPELPVKRWLHVNGRTNLKKLYVRGGEVEELDIPIDNNIETLRLRYLNKFRMNWTTELLPKLNGNLKRIKRVEVVDKDLKVMRNQTKGKGEDKLKNENEKKKVNEEVERDLVLDKDLSRKIKRRMKIPESTIDENGVWVKDPKEEDAAADTTPQDDPRKAEAAAHTTPQDGPRKQEAAAETTPQDDPRVPQAAADNTAPKDDPREYAAADGTAPKDGPRKEEAAPGAAAKAENGDDGKVQTEVKGKQSSLIKGPRGENEQAAQEDRGDTSEKKKVDGGDGVKEEKDNIRTVKDKEHGIIKRDAEEHEPTVVENRMQERGEKELKEGVEKRASPTLDVAQTAAPWSGGKIKDTVIDNFTHKISEVKEEPDDRVRGAKGQDEPPTKEDKGDAGEIRKFDGGDADEPQAGEDEGHKGDSKVFDMVEEQPTVGQDGGGGVTSAADVTSSLAPQPPEPEDEENPAKLIAPAKITARTVTPPAFPPAATSVAPAAAVQAAAEPKEHGITGHGDGSTSTGTAGTE >cds.KYUSt_chr7.8728 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52884102:52886170:1 gene:KYUSg_chr7.8728 transcript:KYUSt_chr7.8728 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGWLGNKKEREGFIKMVLWVFGYGSLIWNPGFDFDDKILGFITGYKRTFNLACIDHRGTPKDPARTCTLESQEGAICWIILQWGIAYCVNGGLEKERKAMQYLERRECEYDQKISVDFYKDGNSLEPAVTGVLVFVSTPDPVGNKYYLGPAPLEDMAMQIATASGPNGNNRDYLFSMEKALSNISHEDDSIIELADEVRKVLSRLNEKKITGPDIPLKSQTPLVHLSPLLEGTVVDSRY >cds.KYUSt_chr4.19979 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125620959:125624335:1 gene:KYUSg_chr4.19979 transcript:KYUSt_chr4.19979 gene_biotype:protein_coding transcript_biotype:protein_coding MKCALRGFNNTAEHTSSHGSFRVSSSFLHGKVHVNGRVVNKAGTQVSDKSVIEIKAEIPKYVCRAGHKLEAAIKEFGVDCDGKVALDSGLSTGGFTDCLLQHGASHVYGVDVGYGQVAEKIRVHEHVSVIERTNLRHLDKLPQLVDLVTLDLSFISILVVMPAVVKVMKTDSTLITLIKPQFEARRSQVGKGGIVRDPLVHKEVLDRIISGIEKFGFRNEGWIESPLKGAEGNIEFLACFERISMPEAGAEAVTQADAQVEAEAVTQVDAQTEAEAVTQADAQAEAEAEKK >cds.KYUSt_chr4.38225 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235703147:235704221:-1 gene:KYUSg_chr4.38225 transcript:KYUSt_chr4.38225 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGIPRSLQSLLRGGVLLTDNISNAHGGGKVFEEMPCLKMKVKIIQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGACNHAFHLHCILKWVNSQTSTPLCPMCRREWQFKG >cds.KYUSt_chr3.19923 pep primary_assembly:MPB_Lper_Kyuss_1697:3:122824446:122827209:-1 gene:KYUSg_chr3.19923 transcript:KYUSt_chr3.19923 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNAHSPASRVYNPEPTSCVGEAGRISYTIILCSSVAVINSPCAAAAGTSEQGEICSYFMLNLWIIVAAVQIRNELLDHRDWGFEYPLVWLSLEIGNHLFLPRSKKMAEVETFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFVSDDVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPFSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYHNDNGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVSNGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDNEILNIVKENFDFRPGMIIINLDLKRGGNGRYLKTAAYGHFGRDGPDFTWEVVKPLKWESPSA >cds.KYUSt_chr1.7904 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48541391:48541801:1 gene:KYUSg_chr1.7904 transcript:KYUSt_chr1.7904 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr5.18588 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120191766:120192251:1 gene:KYUSg_chr5.18588 transcript:KYUSt_chr5.18588 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDWITARYLLSSILGRNPLVVDHVDDESFPVDHPRTTAETPAPARKPPPAVRAPPGVAGTVCAVCTDEIAVADAVVRLPCAHWYHAGCIAPWLGIRSTCPLCRAEMPTGEEDDDAGVEGGAVGREKRRHEAAGTSVAGAAVRRDASYGLLAAAGGVLSG >cds.KYUSt_chr5.42299 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266650078:266652927:1 gene:KYUSg_chr5.42299 transcript:KYUSt_chr5.42299 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIEQKIMSVTATLPPIVFLLIVGASLEKRNHKACLQDGEATRAVKKAGPCLPEDIWIHIHSLLPLQDAARAACVSRTFLHSWRCRPNLTLSPETLNLKKNGNGEGGEISDDVSGYLARNINSILGEHWGIGLKALKFELLDCPDISYRDLNSWLCFALKSQVEELSLWLHSAFTEVYNFPWSRLSEGCGNSIRSLHLSCCVFRPVAGLGCLRNLSILELYGVCITGDELGWLLSTSLALEELHLMDCSEIICLEIPCLLDRLSHLVVSECKNLERDTPVVPGEFIYLKHLNFSFVAWSSGFCPDYDYLSLVSLLDACPALETFTLSVSQDGMDHVPVSGDPSCLRQMTGHHHNNLKDVSILGFCSAKSMVELTCHILENAPSLERLVLDPSATDVRGCSDESVSCLPISRRMVMESRRAVSAVQNYIVGRVPSTVELTIVEPCTRCHGAVA >cds.KYUSt_chr4.17330 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108403210:108404079:1 gene:KYUSg_chr4.17330 transcript:KYUSt_chr4.17330 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAGSIVAAPAWGEMETDCLAHVFRRLSLEDLAEAAPLVCRGWRRAAADPSLWRALDLRHDHVARFMPWSPLAAAFARRYGVARFTFAGFLRLCLARAGGSLSHLELPPLLSSPAAAELELVAANCPKLHQFALPPLLSSADEARLPELIPRWRHLQHLELESKPSSFPAVVAQLGTHCPGFSGLKTSGSIKPEDAASMAASLPGLRSLCLDSSYLPKQELLAILAGCKHLVDFSARNCVGFSEKDEEVIGRGARIERFEIGGSRFVDDEIMAGDDEFCGSSYVDVM >cds.KYUSt_chr5.27075 pep primary_assembly:MPB_Lper_Kyuss_1697:5:171445276:171449072:1 gene:KYUSg_chr5.27075 transcript:KYUSt_chr5.27075 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSRIRRRQTQAQAEEAQGAGQDLLMSLPPEMLDNILRRLPFDKLVRTCCLNPAWHRRWESIPNLDIWFSAGSNAVPDARVLWRCAAPVRSFTARVRMPHFYRAARWLQALARKRVEKLVLKFDNPWFSRSAGVLGPALFACRELTHLELCGYCHLPRAPHGFGGFPNLVTLLLSHVAFPFSGGAAQLEHLISTAVDLTELSLNDVKTSHFDDAAPAQRCAIRAPKLRVLKLIMFFDNGCRLSEEFPLLEEAIISIDDLFWTPDYINTFRRIRNAKRLLIETDSIQINENPLQGISWKFQNLRVGHLSANFGKLPSIMSIFSLLRSAPHIEELHIEVEITKRADENDEDFANGEIDEPESDDAIDEDIIKAEISDDLFANLKHVSLDGIKCLPNDIWFMKFVLSKTRLLESFIVTFGYRQISKSYLDACTELAMCQKASPQAKLMLGESILARSQSSAPLLSKASMSITPVGSTLAGGAAKVLLLACERELCNDGAGACSIKEESVLTVLKILCSSTAFTATSSLNKFWLICSSPILSCEPLPLLNRFGIKVWLPLDSQW >cds.KYUSt_chr2.31331 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193415807:193423227:-1 gene:KYUSg_chr2.31331 transcript:KYUSt_chr2.31331 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLLFTHCGLHLLAFTLPTLLWRDQLVSEEEKLKKHMKPDFLDMVPWTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKSTTNEAQNDDLLYDYFNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIQTVVGGSMHTLPRGNLLVIGGDLAYPNPSSFTYERRFFGPFEYAMQPPCWYKAEHIAVDKPEIPPGISKMKEYDGPQCFIIPGNHDWFDGLNTFMRYICHKSWLGGWFLPQKKSYFALQLPKGWWIFGLDLALHGDIDVYQFKFFAEICRDKVGENDSVIIVTHEPNWLLDWYWKETTGKNVSHLVQDYLNGRCKLRMAGDLHHFMRHSATRSDKPSFVQHLLVNGCGGAFLHPTHVFKNFERFSGTTYECKAAYPSYNESSGIALGNILKFRKKNWQFDIIGGFIYFILVFSMFPQCNLVHILNEETWSGRLLSFSNTIWSALLYIFEHSYVSSVGSLTLLMASYSFVPSKLTRKKRAIIGGLHVLAHLTAALLLMLLMELGIEVCIRNHLLATSGYHPLYDWYRSMESEHFPDPTGLRARLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLVMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIQKDGDLEIFTLAVDKVPKDWKLDPKWEAEERGPHQLSHQRRYPSKWRSASSPDPVRPVVLRLQQLVDEMESRLAAVRALRTGHHTVEDEPHRFYV >cds.KYUSt_chr3.8024 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46177654:46179009:-1 gene:KYUSg_chr3.8024 transcript:KYUSt_chr3.8024 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSTGLRSQIHASEEFAVGMARRRSLRLHPQIQPSEEGAGVTPRRSPRLHPQIQPSEDCAGLTPRRSRRRRATSPAEPAGLPDDDDMLREILIRLPPEPSSLPRASAVCRRWRGLVTDPKFHRQFLAHHRKPPHLGVILWFRNQGIVFNSILDPPDRIPPARFSLGRYSSRGDDCSLLDCRHGLVLISDWARHEVAVCDPFTGEQRCVAVPSEMRIGYLEGAVLCAAGDHGHVHGSCRFNSCKLVLMSGGNRTPCIFCVYSLETGVWGNLISTESSWDLFDGIPGNLAVPATLVGDCLYWLLGYDILEFDFDKENLAVFMGPPCPPIIFHGNHQIIQAADGAVGYAALSYPRLEMWQRNIDCHGIATWAPWKTVELNTILQLPYLHLGKGVQLLGYDGDDAVFLWHASSVYLVQLEPMQARKLNGISCPIMTRYHLFKSFFLPGDSHS >cds.KYUSt_chr7.4936 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29619898:29620371:1 gene:KYUSg_chr7.4936 transcript:KYUSt_chr7.4936 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKEFPLSLSAGGSSAPTAGGVEYSLQPCNHADESAHRMNKAPTAGLDGCAPKRRGEEASEEEPRRAVDSQLTVLEGEVGEDSDTEEFMAGISASYAANVQKMIANNPFIWTSYGVRHPGVELPNPCTSRSDGQNDAAKEDEELVGAVVTPPASG >cds.KYUSt_chr7.7660 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46246775:46249877:-1 gene:KYUSg_chr7.7660 transcript:KYUSt_chr7.7660 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSSFLSVLLICLLSVLLLRLSTLLDPGAAVPRVKRSAPLPLRFRHDGAFKILQVADMHFGNGAATRCRDVAPEVGGALCSDLNTTRFLRRLIETERPDLIAFTGDNIFGGSATDAAESLLRAISPAMEYNVPWAAILGNHDQESTMTREELMTFMSLMDYSVSQVNPAGFLVHGFGNYHVGIHGTFGSEFVNTSLLNLYFLDSGDREVVDGIKTYGWIKESQLSWLSATSRELQQNLHSPALAFFHIPIPEVRELWYTDFKGQYQEGVACSLVNSGALGTLVSMGDVKGVFLGHDHLNDFCGNLNGIQFCYGGGFGYHAYGRSGWPRRARVIHTELKKRQGSWAAVESIQTWKLLDDEKLSKIDEQVLWRHDDDDSDHSLYL >cds.KYUSt_chr1.18183 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106110820:106112139:-1 gene:KYUSg_chr1.18183 transcript:KYUSt_chr1.18183 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRRRHAHPSTAAVSAHLLRRFSALPDLDPSPASASASASVPAPTLAAPAAPRASIIDLQLAVRAATDPDRLHALVSSALSSPDYHRLHTSRPLFSLAAARLARFHRPDLTASLLDQLLASAPPTPGILARALSLFPAPDDAIRAFSSSPPAARSDVSLSALLSALLRAGRLDELKSTFKSAESALGVAPGCASHNVLLHALVKASEHAAARKLLNEMAKKKFKHRPAPDIISYNTVLAGFSAKDDAEEFEKLLKEISENKLEPNITTYNCRVQWLAKKEETFRGEELLDVMESKGVLPNYVTYHALVQGYCKEGNVGAAMRVFKKMKVMKRREGRSDLRVSVHALTYMVLFRSLVESERLDDALWICNSCFAMKAAPPFDAVKGLVDGLVKAGRSADAKHVVAKMNFLVNGDAKATWEKVAGKLSIEEGAPSSNP >cds.KYUSt_chr2.10106 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63964201:63965592:1 gene:KYUSg_chr2.10106 transcript:KYUSt_chr2.10106 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLVLTVLLLAHAVVYLAWTSLARRRQSRCYLLDYVCHKPSDDRKVNTEVAGEVVQRNSRLGISEYRFLLRVITRSGIGEETYCPVNILEQREDTPTHQDSVDEMDAFLDATIAGLFAKSGFEPRDVDVLVVNVSMFSPAPSLAARIVHRYGMREDVAAYNLSGMGCSAGLVSLDLARNALRTRRNATALVVSTESIAPNWYSGIDKSMMLANCLFRSGGSAVLLTNDPALRGRAKMELSCLVRSNIAANDDAHLCAQQREDEEGRVGITLSKNLPKAAVRAFSVNLRTLAPLILPVSELARFSAQLMWKKILRRGSKQQRKGGEGGPKINFKTGVDHFCLHPGGTAVIEAVKRSLGLDDGDVEAARMTLHRWGNTSASSLWYVLSYMEAKGRLRRGDRVLMVTFGSGFKCNSCMWEVKGDMTDKGAWADCIADYPPESTANPYMDKYGWLNDVEGDTLIF >cds.KYUSt_chr2.23156 pep primary_assembly:MPB_Lper_Kyuss_1697:2:141536066:141536431:-1 gene:KYUSg_chr2.23156 transcript:KYUSt_chr2.23156 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIAAGVHPSLPRSPLVRDRLAAPISLPWQPPGVRHGPPGSQPHAYPSSLVCAARSQHEALGAPSLQQRGLLLFQGDGDMRGYSFQVVRRRVQGIRFLLTGSVNLLDDVHNSKLPDADLE >cds.KYUSt_chr4.16358 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101649038:101653833:1 gene:KYUSg_chr4.16358 transcript:KYUSt_chr4.16358 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAAAAAAVPGAATTTTWSTSGDAARPAASTQSPSRRTVPGLLVFFSLAALLILSSGDDAAAYEAATSERPLKDVSLEHPELTFALSSIGGKFCERIRISGIPRWQLQSYANQIHVGLNVSHSMPVKLHWKIGICFHRNVSMGLCECETGDWQVLQDGMWNAVKSPYGSKYVDVKLADKKSTAFSLSIQEELQKWRLACLAIGFVLLFISPIVSKWAPFYYSSSMALGILLVVLIVLFQGMKLLPMGKKSVLYLTIYGSVLGVGSYAVHYFSTLVASILENFGLSEEMHNPVSIFLVVAVVLTGAGFGYWMVRRFIISKDGSVDAGIAQFVKWAMRVVAIFFITQSTLDPILASAALAACWWICSVLTANRVRKPMTWKQKQSMISSPPRFTQVSPNSRQVQFLSPSSRAGPPPSTLTRRVAPNQDEDYYSTFHNIEPRKYSKREWKDFTEESTRNELMKHTATPEFAQWAADNAHRVRVERDDASEDETIESSSSSSKETEEVDKASGLFRWL >cds.KYUSt_chr4.41352 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255739519:255740433:-1 gene:KYUSg_chr4.41352 transcript:KYUSt_chr4.41352 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQPRLIVHPCHGKNHVDQSPPLRRSSTSPTPVVPDVQSTLTEQALLLRSELHACLTRVESFLVRAGTSLDMLPIVNEASPPAEVIAGSAEVGEDNLYGSFSPRASSSPLPQPHVSFACEGEDTIGVKAPVTLLMPELQELCGKLTPPLSVMHLEVDSLAASTMASTKPSVEPSLDDACESVDTIGVKPPVMLFMPELQQLCGKSAPPLSVVHLEVDSLAASTMACATPSVEPSQLLVSDALFAKEIFDLLVSLEAASPGSAKEIACLLSEKPTGNKVKKVMEYLRRKSKKKGATRKASAAA >cds.KYUSt_chr2.38686 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239797800:239799176:-1 gene:KYUSg_chr2.38686 transcript:KYUSt_chr2.38686 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSRFRRRSTTTRVLLSCISVCLAFHAVRAQSSTFSSTVDGKEFTSFSFPTFSRSLMQLPGNLTFSGNSSVTQNTLQITPDSNTASGPDNFLVNQTGSVFLASPFVLWASNSSSAADGRYVASFSTVFRINLYRANATNKGEGLAFVIASSNAAGPPPGSHGGYLGLTNASTDGNSTNGFAAVELDTVKQPYDPDDNHVGLDVNGVRSTDAASLTPFGIQLAPVDTTVNDGFYMVWVDYNGTSRHVWVYVAKNGSKPDTAVLNAPLDLSTVLLGKKAYFGFSASTGVKYQFNCVLMWNMTVERLHDGSTASKSISGWNWKLGAAVGAPCAVALAVGLLVVGMYIVRRNKLVGGDRCTAIDLRSIPGVPKEFDFKELKKGTNNFDEKMKLGQGGYGVVYRGTVLGEHGRSMEVAVKQFSGADTKGREDFLAELSIINRLRHRNLVKLIGKNCFFPRIS >cds.KYUSt_chr6.21909 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138230842:138231153:-1 gene:KYUSg_chr6.21909 transcript:KYUSt_chr6.21909 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr1.36304 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221380392:221380628:-1 gene:KYUSg_chr1.36304 transcript:KYUSt_chr1.36304 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRAPQRLVARRQETAVVKRYTEMGIAAALSRPWDYPTACCELAELLRHGYADLPKAAQALVAGDVLIAFRLLPE >cds.KYUSt_chr7.3125 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18533231:18541173:-1 gene:KYUSg_chr7.3125 transcript:KYUSt_chr7.3125 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPDLFPLPISLSSVLPVLTGPFSAADPRRRRLSDELPATAPPPSGQRRRDEANAPNLASWGALLRPHSPCRLAGGHRRPALASPVEHLACSCARSASVAATSSPGPYLSSNAAQIHPGEKTPQIQIQFFCRIVPAFFKVLTRGPLPRQQVPSSQRLVNLPWPRWSVTRASFCLGSTLAPESLVLRRTTLLHQQPSRGLHLLLVSMSSLFPRNPAQLEDPRQHSLHVTVPSQQPGLTLSPSRTDPLVPAPLLTSPVAVAVAVADEPADQ >cds.KYUSt_chr5.20821 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135210855:135211922:1 gene:KYUSg_chr5.20821 transcript:KYUSt_chr5.20821 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVCCDSATSAVVVGAEAEARARARAGRRRRAGDSAARWKIASKAPEGGEEATRKRRAAGGEVVAAKRHGFASVVGRRREMEDAVSVREAFAAAPAAEDKESAQPRDFYGVFDGHGCSHVADACRDRMHELVAADLPTTTSADPSPWAAAMERSFARMDAEVTTAAARAATASPSCRCEANKCDHVGSTAVVAVVEDHHIILANCGDSRAVLCRDGGKPVPLSSDHKPDRPDELARIEAAGGRVIFWEGARVLGVLAMSRAIGDGYLKPFVNAIPEVTVTERSDGDECLILASDGLWDVVSNETACEVAAACLRRGRDRWCAEAAAMLTKLALTKNSSDNISVVVVDLRRRNHS >cds.KYUSt_chr7.9921 pep primary_assembly:MPB_Lper_Kyuss_1697:7:60743197:60755671:1 gene:KYUSg_chr7.9921 transcript:KYUSt_chr7.9921 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVQHGLAVVTVVSEQREGDGLGLHSAASCGTVAAVGDEVVGFRACAGQWGCPGGSRVLPTRDQALVALVEDLQNGLSVSLPYVEVVLVRPSQARSIASFMLQTKLSIKVDYPYLHYDPILVIQHLTPKAPQSPDFLTVCLKICLIVTYRFPHDLPQDLPTVGVSDLAEHWLRKHLERKGRACWALKKQDIRDGTPKRGRQVSRIKKDSSKRKERRKVCGFGSMEMLNLTRSRFQFYVPRDECFNHVKKADFTSYLLKEISADILPLATRLGGDERRPKVGGPTQGGRRGGGSSSCGRGRACGGGPSASHQPKMGHIARSLMPQRFYVRNTDDLQMLVVPPWFQDAL >cds.KYUSt_chr3.35041 pep primary_assembly:MPB_Lper_Kyuss_1697:3:219781609:219783558:-1 gene:KYUSg_chr3.35041 transcript:KYUSt_chr3.35041 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRGRAAASTCPFLALAVLLALPGLTAGITRHYTFNVRMTKVTRLCGSKSIPTVNGQFPGPKLVAREGDRLVVKVHNHINYNVSFHWHGLRQLRNGWADGPSYITQCPIQPGRSYTYDFTVAGQRGTLWWHAHFSWLRVHLYGPLLILPSRSQGYPFQSPHKEVPIMFGEWFKADTETVINQALQTGAGPNVSDAYTFNGLPGPTYSCSSKDTYKLKVQPGRTYMLRLINAALNNELFFAIANHTLTVVEADANYVKPFTATTLVISPGQTMNVLLTASTNPASQAFAMAVAPYTNTQGTFDNTTATAVLEYYAPTRPTSARTLPLPALPRYNDTNAVANFSGNFRSLATAQYPARVPRAVDRHVLFTVGLGTDPCPPNRICQGPNGTKFAASINNNSFVRPSTALLEAHYRRRYAGVLMANFPTTPPHPFNYTGTAPNNTFVAHGTRVVPLAFNTSVELVMQGTAIQGAESHPLHMHGFNFFVVAQGFGNYDPVNDPTKYNLVDPVERNTVSVPTAGWVAVRFLADNPGNL >cds.KYUSt_chr2.4622 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28542512:28544287:1 gene:KYUSg_chr2.4622 transcript:KYUSt_chr2.4622 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSWLLLAAVVVSAWTTTAVARHHHGFETIFDAQEADRVDKLPGQPADVGFRHFSGYVTVNETHGRALFYWLFEATHDVAKKPLVLWLNGGPGCSSVGYGAMMELGPFLIQKGKPELALNPHSWNKEANMLFLESPAGVGFSYTNTTADLGQFGDNLTAHDAYSFVVNWLDKFPQFKGHDLYIAGESYAGHYVPQLAEKIVHMNKKAAHKINIKGILIGNPAIDSSSDDRGLADYAWDHAVISDEVYGSIKSNCKFPDDGEESDPCNSAWNDFFNAMDDIDLYSLYTPACTNAMINSTSTSTRHHRRGFMPALGKKARLSVPYYNAYDPCGDYHVIDYLNRPDVQTALHANVSGAIPYRWEPCSDALTNWTDAPASTLPAIGGLVKAGLRVWVFSGDTDDRVPVTSTRLALRKLGLATSKPWREWFTSDQVGGYTLAYDGGLTFVTVRGAGHMVPMITPVPARQLFAHFLSGKELSPKAIVA >cds.KYUSt_chr3.32964 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207055031:207056491:-1 gene:KYUSg_chr3.32964 transcript:KYUSt_chr3.32964 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRCALRLLKLLCDSARDRRHVGAKAVLQKAWVKISNIPLDKRIEETAFYAGSLVGVSLDLDAATLHKPEYVRVLIGCRDVELIPDSAEGCLGDNFYDFFYEIDKIIVGGPPKNSTTITVGASSGAPSPKRARFEQRRTDTEESSEIQGGGSQTDSVRHGRTCDTISENLVATTEDTCTEDQDSEEESVEDRELLIETMTKEHEAAMMAGAPSPPNSWLVPCPILQNERVSSPTISVSSLLPSFNQRLPADAWPPLPSITEVSDGENSPLIGSAYMVQSPETPPEENSDADFTVPDVVFTRFSARIQPHANENIMEKVSNVAKKRNLEGNDAPSNPSCNNSFSALSNNELMLRACKMGVRIPDNDFAAFDILRDLETARNDLSSKNDNVKTPASTLFIEDNTGEATPLSLDWVETSDNEEPFTVVESRKRKSARKKSVVAIRGLIPEVKKRTLVHLITNLLMLLAGLSGTESNLLVPNERGFLEQ >cds.KYUSt_chr1.19694 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115754176:115754995:-1 gene:KYUSg_chr1.19694 transcript:KYUSt_chr1.19694 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRPRYSSHGQSRLFHDAYFPIGGAAPIEPRKEMVAPPEEQPRPEAAARDRDAAAAAALVAADTAGFSAAKDDKPGAARRPATTGLRLDFKDGGSEQHAACTSSFDDQYTNEMDRLIQEHAERLRRALADTRRRHSRSLLCTAEALAARRVRDKEADASRAARRGAELEEQLARLRAGAAPATSIAAAEKGRKLREPRRPQPPAASFLACRGT >cds.KYUSt_chr7.8197 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49600170:49602482:1 gene:KYUSg_chr7.8197 transcript:KYUSt_chr7.8197 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMNKLKHDVVPPTGSPAITSTEVQQSHLQPNMSSKHCFLQCTPDLMDPVKMETTKASCESKPLVKTEDHLDFPAAKSIGKFILEAGFEPGVLHAPSFKKMIAVLHNVSEVAMPTYESILQEQLRETENRERELRQVWQGSGCSVILDSRKGQCGKSFISVLVYCSKGMMFLRSMDVSAIIEDVDLLTAMLFRVVDDVGAQNIVQIVTNDVSPYMQTARHYVLKYHDHAFFFVLCADHCINFLLEKIAASKDVCEVLRKAKAITRFIYSRALPVELKGRYIKEEILSSSRLKFVAVFITLERLVSARVNLVNMLNSPAWDSSVWAASSDLFRHISGIVKADDAFWRAAADIVKVTNPLLSVLYKLESDICPMGILYDAMDGAKENIKRNLGDKHGEYWDLVDRIWDVYLHSPLHAAGHMLNPRIFYSDRFRHDTEISSGITTCIIQLGQVHYNPRKAAAQLEVYQQRLGAFDSDPANQQVMGIPQVEWWSIHGARTPDLQTVAKRILSQTCFGATRYNIDWSLSEKLHVGRWNRTLAEHKRFCQMEYVHYNLVLARATPQVHGPSVVQHGRLTMVLDDWIAAPK >cds.KYUSt_chr1.32025 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194234247:194237763:1 gene:KYUSg_chr1.32025 transcript:KYUSt_chr1.32025 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLASSRRLLQAALAPGRAHSSLSAAAVATAPENGVAAPKMPPFDYTPPPYDGPRLAEIARKRAEFLSPSLFHFYDRPLNIVDGKLQYLFDEDGRRYLDAFGGIATVCCGHCHPDVIEAIVNQAKKIQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELALMIARLYTGSHDIISLRNGYHGNAAATMGATAQCNWKFNIVQTGVHHALNPDPYRGVFGSDGEKYAKDIQETIDYGTTGRVAGFISEAIQGVGGIVELAPGYLPAAYKMVREAGGLCIADEVQAGVARIGSHFWGFEGQGVIPDIVTMAKGIGNGMPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAAGHAVLKVLEKEKLQENAFVVGSYLKEQLNILKEKHDIIGDVRGRGLLLGVELVTDHQKKTPAKAEVAQVMNHMKDMGVLVGKGGFFGNVFRVTPPLCFTKEDSDFFIEVMDIALSKL >cds.KYUSt_chr5.40522 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255828575:255829966:1 gene:KYUSg_chr5.40522 transcript:KYUSt_chr5.40522 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVLDPPAAYGYLDDDDVLMAEEDEEDHQSVSDEAVDEKEEYPYLNPPAYGYPDDGERPPFVLMEPYAYFADRDNATTASCAMRDLGGTLKVTFCIAHPPLVSYMCVHATALDHTEFAVEPHIIATETDGGLVLLRLVIGTDPSDIMVHSEREYFMYDASVPSLQHLPHPDYQHQFNDHSVAIVRKCKKGSQVTSKEEHDCSNCDYVLAAQSRGFGYTKSSALIMYHSDTETWSNVPVVLYSYPKHRTYKTLTIGGDKGTVAWVDLWRKIMLCDVLDNRPTLHTLDLPPPISLTSYLGSGNPQSVRDIAVVGGFIKYVELQYRRLEGDMSSTFYTWKVAVWSINTVSYTSDDWHMDYLLNSTEMPESSLPKLRVDEGTAQPTLSTLNIGLPKLSLQDDGIVYLLAKIDYRDNTHIAWVLVVDMRNQTVQKVGEFNSMRTLGLQEGYCASRISKYLKGASGN >cds.KYUSt_chr6.30383 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192424634:192426924:1 gene:KYUSg_chr6.30383 transcript:KYUSt_chr6.30383 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGEGATYDPSQHPHVPSCNAKEIERHSLSWGQGGEDSSILVLVPVRAHRLHTPEAEVAVAVAMSPYSHRRHLNKVTRGKAVRPHRSSRGVAPERTLAADVPLAEGRRNSIRVTVEARMGMVLDRWAV >cds.KYUSt_chr7.10206 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62466735:62468380:-1 gene:KYUSg_chr7.10206 transcript:KYUSt_chr7.10206 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEGSKPNHDGFPRGKRVTTMAATRETKALVNPGGEPLRSMAEKEATTLATTPSTAACPEDEIVEDADTIQLDDGGNLRCRSFGADNRRLPGRSGSTLNPRHGE >cds.KYUSt_chr3.40711 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256939657:256943780:-1 gene:KYUSg_chr3.40711 transcript:KYUSt_chr3.40711 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDIVLACYTLWNVWKERGRRIFEGKDMNVAVLVQHIREEVKQVVGSLNPSFFVGFYSFPPSINGKAGLLRFGSKKPRHCDDPAIILKYELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEDNRRALRELLFCTPGALQYISGVILFEETLYQSTKAGKPFVEVLKEANVLPGIKVDKGTVELAGTNGETTTQGFDDLGKRCAKYYEAGARFAKWRAVLKIGTTEPSQLSIDQNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAYVTEIVLAACYKALNDQHVLLEGTLLKPNMVTPGSDAKKVAPEVIAEYTVRTLQRTVPPAVPAIVFLSGGQSEEEATKNLNAMNKLQTKKPWSLSFSFGRALQQSTLKAWGGKVENVENAQKAFLVRCKANSEATLGTYQGDATLGEGASESLHVKDYKY >cds.KYUSt_contig_1158.322 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:1967778:1970911:1 gene:KYUSg_contig_1158.322 transcript:KYUSt_contig_1158.322 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGGEDEFPAGVTGADAEVGALVWVRRRNGSWWPGRILGQDELPENCVVPPRSAGTPIKLLGRPDGSIDWYNLEKSRRVKAFRCGEYEECIEKAKLLARQQKRTYNEGKYVRREDAIMHALEIERSRFPDEDDMDETDTYSAKSKNKRSSYVETDMYGIEETSAQGSSQASLFKLPQNISSSSTRYASSSKKKRKSSKKRQDDTGKGFRRMRDLIGSSTVPKQKSSAGSFSNGYQDLPLFESGPSFGYDLYSTDGINRSNQSHSLTKRKRSNIGQAYENSRHKDRQRPLSKLCEDSPLTVPSYWDPSRQSSVQYPGHKLSNVFESNRGGSALSENVNCSHSSGASSVETLADALYTNRSDDDEFLDAPLTREEAVTAEGHLHTYGSCAAVKDEILKRSTHTTDYSKERIPSLHDNTSSKNRNIQTTPVSCNINKNLLVQQYEKTIKCKEQDEDVTGLEARAGSASLCKPTDLGNNTKFVLVPPDGGAGIMGQQYAESGPEHDESSETLSSQSYSEKVKAASPRCSVAKPTKSVRTDYKLYDVELAAEGTYKGHRAPLVSLMSQWNRKPVLGFPLPVVVLDDSCPVESRDNHHLARSNLNHLIKSEVAEPRQQRSSHASRSKHSGRKKISEHDMDKSWRPHTKKSEAEHDVDKSWRPHTKKSEASPRKMRRLSSFASSRRESARRNTLVRKIGGSTIACIPVRLVFSRINEALSFPVRSGT >cds.KYUSt_chr5.10435 pep primary_assembly:MPB_Lper_Kyuss_1697:5:66920784:66926441:-1 gene:KYUSg_chr5.10435 transcript:KYUSt_chr5.10435 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDNRHKKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDRPLENVQFPTTIEELEGKRRVDVEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTRRSKLMLPPPQISDHELEEIAKMGNAGDPALAEELGEGSTATRTLLASYSQTPRLGMTPLRTPQRTPGGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEIQTPNPMATPLASPGPGVTPRISMTPSRDGNSFGLTPKGTPFRDELRINEEVDMQDSAQLELRRQAELRRGLRSGFASIPQPKNEYQLVMPPITEEKEEAEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEILRQSLIKGGENRSTFVPPTSLEQADELINEELLRLLEHDNAKYPLDEQTQREKKKGSKRQANGPAPVPEIEGFDEHELKEASSMVEEEIQYLRVAMGHENESFEDFIKSHDACQEDLMFFPTNNSYGLASVAGNADKISALQHEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQARAAKLGSQIQDTFKQMNTAATELECFQELQKQEQMAGAYRVRNLVEEVDKQKALERTLQSRYGDFLSGYQSIQEQLGEHRRQLKLQEEAMEAERLANEAIEAEKRAKEEAIEAEKRAKEEAIEAEKRAKEEAIEAEKRAKEEEAAVQSHAAEEENESKSHSVDEESAQMTRVIDEEPAGSKDVNGDQMDMDNSDKELVGPIPPIPDTQVDSDEASAQQSASSAQTGDNVTTADGACDKVDSSKSDVQENANSSMDVDTGSQEEGKNVLVTGVTSTDVGNTVVSSDQAASNEENNAVPE >cds.KYUSt_chr7.28837 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179553590:179553943:-1 gene:KYUSg_chr7.28837 transcript:KYUSt_chr7.28837 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCLSLAVLCLLAPCTTVNTSPRSRVLFVDPARPGPHPTRRAVISFLLALYDGAEASDVRTTAFVNLRGLPARDLVVGEVVELRVGDKVLGNMRFVRRRPAACRKPARSALVDLGT >cds.KYUSt_chr7.38218 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238288525:238289937:-1 gene:KYUSg_chr7.38218 transcript:KYUSt_chr7.38218 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRVRVLDVTHVQPDQTANQPAGDDAMIKLSPFDTFFLALRPIQRLFFYDDGDGDGTSLPPFPSLASSLRASLGATLAVFTPLAGKLTAYSDDHDVAIDCSPGAAHPGVRFVEAEYSGDAADMRRLVRDVEHDTEAFLQLVPELEVGRLPAPVLAVQVTRPAAGGGAVAVGVTMHHAAGDGQSLWQFMRAWSTASREGSLAGAAAPTFDRAGLLRHPKARDAARNLVRFSAPELPKVNMFPEPDWTRQSRRTYLVTAGQIQSLKRRIVQSQAAAKNGDGEPELPTTYVAIASLLWTSIARAKSLDNAADEEEYFLFPADCRRRLQPPLEPGFFGNCIKVRYARATTGDLVCRDGGNDDDGLARAAAAFWRAIREHVEEEDPLGDADRWVEVIRGVPRERIAKQGSSHRFMAYEVDFGWGQPSRVELVSVSSAEMTTLLGAPGGAVQVSVALHPDHVDGFEASFLAQVSA >cds.KYUSt_chr5.39636 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250759555:250760418:1 gene:KYUSg_chr5.39636 transcript:KYUSt_chr5.39636 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEDFLDVLLRLREEGTAGLELTDDSIKSLVKDMIFAGTETTSITLEWAMAELIQNPRAMAKLQDEVARVSNGNPTTEEDDLHRMEYLKAVLKESLRLHPPAPLLVPHESTAAAVVQGYEIPAKTALFINAWAIGRDPAAWGDAAKEFQPERFLDGGGVASIDLRGNDYQLLPFGAGRRVCPAISFALPALEIALASLVGHFDWELPIGTRLDMSEAPGLTTPPLAPLRLLPKCKTLV >cds.KYUSt_contig_786.93 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:569636:569857:1 gene:KYUSg_contig_786.93 transcript:KYUSt_contig_786.93 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFLYRAIVLHFADGGGRSSTGDSSFLRGDSPSATRYYVPLADDSGPLQFVAAEVPVFTSPASRDAQLR >cds.KYUSt_chr6.418 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2573659:2575106:-1 gene:KYUSg_chr6.418 transcript:KYUSt_chr6.418 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPRLLPRAPTRLLHAPLLNYGQTRSRLRRLGATNADKAAQAATPASGKPPHSLPPDPSVPNLPICADSTRAPARAVCTVSLTSSLFSFQVGNAFMMQYYNILHQPPELVFRFYQEASHIGCSATAGTDLDTVTTMEG >cds.KYUSt_chr3.31841 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199988433:199989721:1 gene:KYUSg_chr3.31841 transcript:KYUSt_chr3.31841 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRPINFGEVIFLACSPSASALMLLVFSACSPSTVTLMLANAPAAPYKPFAPLPRCTFSPVPSKTAIQNFSSTGALASPPKLLQHQRPRHGPPRTRRLRLPLASSHRGARPLECALPRPAGHALSGGWVLSHGSLPVPPVPTGSARAIAIYDHFWGQLMSEERNDPRWSPDNDHAWTAFFERQCEDRLASYDGNGDPPANNNASGHRRWWRATGRTLAWLQLAPSRGNDQWVARRQSSSSSRSSSSCSSARYSPYNTPAPHFLATVKDEPEEAPTARRRRHGGGDIVIRERRQPSPPHQREWMPPPEYKVAASIVKAEDDPEEFLRLRLAQLESFQATDEFAEAWSAANHRRTEEEHHRRLGLVVNLDDDDTGQSSRPRRRRGDVGQGCSYLPQPKEEPSDEEDDSAPT >cds.KYUSt_chr3.21406 pep primary_assembly:MPB_Lper_Kyuss_1697:3:131296357:131298345:-1 gene:KYUSg_chr3.21406 transcript:KYUSt_chr3.21406 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSATAGVLFGGVDGRPYEDRGDLCPKDGSMSDGSCSVVAIAYREDFREAMYYFCALRRHSPHALRLTAKANHVNPGKAATTLEDCPSLFSPSVRIRTRFYWKFVELQLFQGDFTGRLSVPVLSFCPQSYSILLEIRRTSIVSR >cds.KYUSt_chr7.35843 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223911276:223914979:-1 gene:KYUSg_chr7.35843 transcript:KYUSt_chr7.35843 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLDAVVVLAALFWLWLPLFARNCRQILEDSQVEKLEAEVPELRKTFADKQEQERVPMGVPVCYAFIEWLWIGSAILPAFMKVIC >cds.KYUSt_chr4.12366 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75528529:75529662:-1 gene:KYUSg_chr4.12366 transcript:KYUSt_chr4.12366 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSSLPSDLVVRIGDCLLASNDVDCYMDLRVVCTSWRSSTDDPKNSSELRFRPRRWIIIDEVFQSDSRLMVNTVSGRVIRKDLPLLRRFYVVATTHGGFFVLAEKKPPHAACVLNPFTGHLIQFMAPLLCLKDLSAAVIGSAPSIVLLSDSDRLCLRYEADPDSECFTCHEGRNYLEFYHMNRLAFHGGVCVKGPVGPFPVGVANKISDWTRMFPELFPSMSLADCVFITKFAGQVFMILNLQYKMTVYKLDAGSEVLERVNSIGNLAIFIGPRRCFAVNAEKVPSIGSSWIYYVKSTEYLLDIYKYDLKEDKEERICEAIYSLKEPGRSIPEDIQQLWHSVKQGTSLCTATLPFTLIQLLSSYTTNVRPSELEG >cds.KYUSt_chr6.26251 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166358679:166360502:-1 gene:KYUSg_chr6.26251 transcript:KYUSt_chr6.26251 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNGSLAWFCGGVLVDELYSLDLDALNDLQPIYGLIILYKWRPPEKDERPVIKDSVPNLFFANQIINNACATQGIVSVLLNSSGITLSDDLRKLKEFAKDLPPELKGLAIVNCESIRMTSNSFARSEDPEEQKSSSKDDDVYHFISYVPVDGVVYELDGLKEGPTSLGKCPGGIGEMGWLKMVQPVIQEHIDKFSQNEIRFSVMAITKNRKDMYMVELKELQRKRENLLSQMGDPSANRQRPSVERSLAEVAAQIEAVSEKIIMEEEKSKKWKTENIRRKHNYVPFLFNFLKILEEKQQLKPLIEKAKRNSHSRNPK >cds.KYUSt_chr5.15558 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100491122:100491862:1 gene:KYUSg_chr5.15558 transcript:KYUSt_chr5.15558 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNCFRAEVVRIFIRRPQAEPNPAGGYQGRRMALKAKIISFPTILGAIPPRSPSPSANSRYFTSATALAIPLLRRPAMKTRQYVAPRTLAPDVLTAKPAPAKCGHPSSRSGHGDDQRRVGVRHPAAYNGEPQPPLEETKLKAKRVAAAAKKEAKLMERAAAMAAPHTPTHPFVTAAWSGSQGSVSSPSPSTMSPLPLFHEAQSNPPHMSRFSPSCHDFDPLGGFNPNTFAAPNTFAAGDLHMLAG >cds.KYUSt_chr2.51489 pep primary_assembly:MPB_Lper_Kyuss_1697:2:321746626:321749216:-1 gene:KYUSg_chr2.51489 transcript:KYUSt_chr2.51489 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVGAANVVLMGNNLTLSFNDVEASFAPGVKGSGVNGVVYAAEPLNACSALANKAVKGPPSPFALVIRGGCTFDKKVKNVQDAGFKAAIVYDNENSGFLVSSRQHYLGESGPSLSTMAPQTLQQQQSQLCHDGDSETSLNLAGAQSFWQSSYLRHCGDSDASLSAMASSGQSMPGC >cds.KYUSt_chr5.22386 pep primary_assembly:MPB_Lper_Kyuss_1697:5:146063768:146073456:1 gene:KYUSg_chr5.22386 transcript:KYUSt_chr5.22386 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGAGVTALPVAVVLLAVVATVAMHAAAGDGGGKMASHGEGTNLKASSAPVPAGGSAGQFRGQARLPRFAAPRRYELHLRPDLVAFTFSGSVAIAVAVSASTRFLVLNAADLSVDRASIRFQALAPTEVVFFKEDDVVVLGFAKPLPLGEGVLKMDFNGTLNDEMRGFYRSQYQYKGKARNMAVTQFEPVDARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPIANTLVAGPIKTVRYQESPLMSTYLVAIVVGLFEYVEGVTSQGTRIRVYTQIGKSSQGKFALDVGVKSLDLYKDYFGTPYPLPKLDMVAIPDFAAGAMENYGLVTFRDVALLFDDKSSSASSKQDFLDSTTNALKLDSLEESHPIEVEIHHASEVNQIFDAITYDKGASLIRMLQSYLGADRFQKALASYIKKYAYSNAKTEDLWAVLEKETGEPVKDLMSTWTKQKGYPVINAKLKGINMELDQGLSTHPPQPAYPETDSSAGEVRDPQAFEP >cds.KYUSt_chr2.44463 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276646548:276647327:-1 gene:KYUSg_chr2.44463 transcript:KYUSt_chr2.44463 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNVVEAIDTEEAPEIAVLQSDQDVVEVKNEPSGQLSAFKLPMWLLGPSVLLVTGIVPTLWLPLPSVFLGPNIAGLLSLVGLDCIFNMGAMLFFLMADACGRPENNSFDLTRKIPTTYRLWNLVASVIGFAAPLALLFASSRGTLQPQLAFIPFLVLLGPYLLLLSVQMLTEMLTWHWKSPVWLVAPVVYEGYRVLQLMRGLQLANEITAPGWMVQSLRGLVSWWVLVLGIQLMRVAWFAGLNFASRPSYGSSDDTNK >cds.KYUSt_chr1.10009 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61306845:61308012:1 gene:KYUSg_chr1.10009 transcript:KYUSt_chr1.10009 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPSVSRATARSAGEEAFADAATEEGSESQISALLFDMSNQVQDSLQNMLKMTSEIEQCGGEIEAEIEQAKEGVADKCRALEEEKERFQKVALAALNILSGGI >cds.KYUSt_chr6.29858 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189180622:189182704:1 gene:KYUSg_chr6.29858 transcript:KYUSt_chr6.29858 gene_biotype:protein_coding transcript_biotype:protein_coding VPNWRLPTIRSGDGSGGMLSMQRRTLRTCFHVCAVTGDQSTSNAFSAKFPSDYTELIMQAKEATESAFKDGKQLLEIEFPTAGLQSVPGDGEGGIEMTGSMLLIREFCDRFVPAEKATRTRIFFPEANEVTFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMADRVQPNDETFLVAYPYFNVNEMLVVEELYKEAVLSTERKMIIFNGELDRIRSGYYPPFFYPKLAELSKTFLPKLETVYYIHNFKGSKGGALF >cds.KYUSt_chr4.41258 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255237836:255238908:-1 gene:KYUSg_chr4.41258 transcript:KYUSt_chr4.41258 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIWMEQPCGRAASAVELRRGPWTVEEDALLAGYVGAHGEGRWNELAVAAGLRRTGKSCRLRWLNYLRPDVRRGDFTPREQLLILELHFRWGNRWSKIAGEMPGRTDNEIKNYWRTRVQKHAKQLNCDVDSKQFHDVMRHVWMPRLLERIQATSTPTPSLEHGEPLPMYRQSVASNSPLAACSGTGMCHSSSSWAGSSASLEVQFPSNQLVMNAGGAAGWPGSEQCGSGSEDIFDQSWLELLARACDDGAESVLFPDFELGDTATDAKNGCCSSCAAVHRSLAFLVRHPAKKSFSSADSHWGTSGTASVYAIRCIAARREGVWRVCDLD >cds.KYUSt_contig_319.1098 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:7298751:7305870:1 gene:KYUSg_contig_319.1098 transcript:KYUSt_contig_319.1098 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVLSRSASGLRRSPMAAPLSTAAAGWLSEAASSPPRVRLLIGGEFVESRATEYVDVTNPATQDVVSRIPLTTADEFKAAVDAARTAFPGWRNTPVTTRQRIMFKYQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGSLQMGEYVSNVSHGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEAIKAVSFVGSNTAGMHIYSRASAKGKRVQCNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSEAWEDELVKRANSLVVNSGAANDADLGPVISRQAKERICKLVQSGIDTGARIVLDGREIVVPHFEDGNFVGPTVLADVKSDMDCYKEEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGVSARKFQSDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKESSPQRVSLSMPTSQK >cds.KYUSt_chr2.5123 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31749744:31752005:1 gene:KYUSg_chr2.5123 transcript:KYUSt_chr2.5123 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRLQIPCRTRAAATLSSVAAHHLLDGIPRPNSNINRSSTPPPSLHPGHPVSCSPFAFTAAVASSGPAALPALHALAVASGLDAFAFVSNSLAARYAKTGSFPSAARVFHTARARDASSYNTILSALPDPAEKLTFLSWMLRSGEVRPDAVTFTVALSLAAGRGEDGLGLARQLHALASRAGLAADLFVGNALVTAYSRGGLLDAARRAFEELPARDLVSWNAMICGFAQDGDSPAEVVRVFLRLLKDGAARPDRISVCSVIPACGGEGKLQLGRQVHCFAVKLGVHGHVSVGNVLVAMYYKCGAPGCGRKLLESMDERDVISWTTVISMDAEKDAITLFNSMRRDGVSPNEVTFVALISALPAGCPARDGQMIHAVCLMTGLSDKVAASNSLITMYAKLRRMEDARIVFDLMPCRDIIAWNALISGYAQNEQCTDALEVFSSMVRCLRPSETTFASVISAVTAVETVSMTYGEAYHCQTLKLGLGASEYVSGTLIDMYAKRGSLEQSRKAFEGAAHRSLIVWTAMISANAKHGSYDAVMRLFDDMVRSGVAPDGVVLLSVLTACRYSGAVDTGKQIFDSMVAEYRIELWPEHYACVVDMLGRAGRLEEAEELMLKMPSGPSISALQSLLGACRIHGNTSIAERVANILTETEPAESGAYVLLSNIYAEKGDWRGVAKVRRQMRERGVTKEIGFSWVDFGAGTSESMHLHKFSSDDTTHPLAEEICRVAEELGWEMKLMKNHLCMEKASVF >cds.KYUSt_chr4.21784 pep primary_assembly:MPB_Lper_Kyuss_1697:4:137113553:137120056:1 gene:KYUSg_chr4.21784 transcript:KYUSt_chr4.21784 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMAATDEPCRCHIVAVPYPGRGHVNAMLSLSRLLAARGAEVTFVVTEEWLGLILSSSAAAPLPAGVRLRAIPNVIPSEHGRGADHAGFLDAVATEMEAPFERLLDRTPGRGRPAGRCARGRHLLGVGRRRRQPEGRPEKPEQRLEQCISGLASSSITLSDLKPLIHNERTLQHVLTAVSRIKNVQCLLFTTMYELETSVISSLRSALPCPVFPIGPCVPYTTLEDQHSKSSRELTSPGDCFTWLDSQPVNSVLYVSLGSFLSVSASQLDEIAVGLAASEVRFLWILREQTPRVRELVGGIDRGMILAWCDQLKVLCHPSVGGFLTHCGMNSTLESVFAGVPMLALPLFFDQPVDSRLIVEEWKIGLELRDWTDKDRLIPSEEIARAIKKLMDSDEADMEAIRRCALEWKECSVRAVKEDGSSYNNLSSLMEILAVGSEVGSKSFAMRNMMKGLVKAKLFKQWNKMKDRSTADMDEAEKRKHAKAIKMVEQELGLEEEEEEEEEQEQEEEE >cds.KYUSt_chr3.27286 pep primary_assembly:MPB_Lper_Kyuss_1697:3:170408286:170412224:1 gene:KYUSg_chr3.27286 transcript:KYUSt_chr3.27286 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNTQATESSAPSFPFVVVLPEKPHIACGPSPICTPPLSQSSLAQIEAPPRKLVMRVCHKEVKKLPPPLLVDGGGQRHRALRDAGVKKSPQVWINLASKGKLLPDTVDHSTLGDLSIVIVTETSSDSKFMDAIDSLVLQADATELEELGAFVLTEHGDLDMEQENEVLELAIAKGTGRGASV >cds.KYUSt_chr2.4451 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27529806:27535193:-1 gene:KYUSg_chr2.4451 transcript:KYUSt_chr2.4451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Sucrose synthase (EC 2.4.1.13) [Source: Projected from Oryza sativa (Os03g0340500)] MAAPKLDRNPSIRERVEDTLHAHRNELVALLSKYVSKGKGILQPHRILDTLDEVQVPGGSVFAEGPFLDILRSSQEAIVLPPFVAIAVRPRPGVWEFVRVNVNELNVEQLGVSEYLRFKEELVDGQHEDPYVLELDFEPFTALIPRPNRSSSIGNGVQFLNRHLSSVLFRNRDCLEPLLDFLREHRHKGHVMMLNDRIQSVGRLQSVLTKAEEHLSKLPADTPYSEFSNKFQEWGLEKGWGDTAEHVLEMMHLLLDVLQAPDPSTLENFLGRIPMIFNVVIVSPHGYFGQANVLGMPDTGGQIVYILDQVRALENEMVLRLKKQGLDVSPKILIVTRLIPDSKGTSCNQRLERITGTKHTFILRVPFKNENGILKKWISRFDVWPYLEKFAEDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADIIAMNNADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEKDRRLTAYHGSIESLLYDPEQNDVHIGHLDDRSKPILFSMARLDRVKNITGLVEAYSKNAKLREHVNLVVVAGFFDVKNSKDREEIEEIEKMHELIKTYDLFGQFRWISAQTNRAQNGELYRYIADTHGAFVQPALYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGVSGFHIDPYHPDQAATLMADFFEQCKQDPNHWVKISDRGLQRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRELAKRVPLAVDEAH >cds.KYUSt_chr4.24774 pep primary_assembly:MPB_Lper_Kyuss_1697:4:155911475:155914070:1 gene:KYUSg_chr4.24774 transcript:KYUSt_chr4.24774 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLFIGSQIHSCDRHCRLFVYMGARLTVTTDDKEVTETKAKARKPGFELTKPSTGQELQQDTTPHTHTTAGKIHGGVGLRTRRHPGDDYLDKDHNIPAALPSTWTSTNDEKSAGSTCRGWGRQPSTHAYGLEITAAAQADIAQSCNLQLRQPTKTSPGGASKKVTAQQRVAAAQSTRFGLSSGRWGEASKLVLRPCLQVQLHSGRPRPAGSTFLGPLSIARTGTTTASAGDTTTTTTTACARDTTSLRARTDARAGAAGIMQRLHPRSHPGMRVELLCLCDSELQLDMQCATVRRVQGEG >cds.KYUSt_scaffold_6468.570 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2742775:2744005:1 gene:KYUSg_scaffold_6468.570 transcript:KYUSt_scaffold_6468.570 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLGFQALRVLAIEDCTFMKDYAFHLENLGRLHQLRYLGLRETPISKLPKEIGELRFLQTIDLWECKNVEALPQSIILLRQLKCLRAGGAACKPISVPDGMGNLTSMEELWLRYVDKCPNFVQELGKLTELRNLNICIELPESWMCKTFVESLGNLQKIQVLSLHALNAKLSWEGYVPPPQLWHLTLTTAHDLVTLGEFPELLRLERCGSDNDIPVVMGGNAFHKLRSCNMTAPLRFLPGAMPSLERLEFTVHVQPLKEANFDFDFGSLENLPCLREVSVFICCYPDKAEADKVEAAVRHAVHNHPNHPILHLMKFNHVAVILTLTAAALLFTEIMLMSMNEQVS >cds.KYUSt_chr6.1043 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6614334:6615817:-1 gene:KYUSg_chr6.1043 transcript:KYUSt_chr6.1043 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRDKSSQRFSHVWSDSNPDKIAHTLDQCLYLNNLSDSLLGQIVLKVALNCKNGKSCIMHVVSKIDGIRSLAYDSGNNTLTVQGCVDVMVIVAVLRKRKHGVHVITVGDAKMEEETRTHSTRYCPACLSSYIAAADGQEASCTIM >cds.KYUSt_chr7.22718 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140939637:140945499:-1 gene:KYUSg_chr7.22718 transcript:KYUSt_chr7.22718 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFARRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTMTDEERADPRHHPDNYTRWNSYFLPAVGGGSRRPTTARRLRCAQQRRGPPTVVGRAGRTLEAVIEHIEGGNSPVLTMPPLSASRASASRRRGSVRQPRRMAASSSSSGSASRSSLAPVKREEATSPSTPVRVKKEPASPPVTERAQRRRPRAPASSRCGRPARAKAPCTSSWSSSTASSRAAAAVTRTIVEVVQLCHQHGVIHRDLKPDNLLFANKVIDFGFGLSTFKPADIAETEQGVAQAILRGNINFKREPWPHVSDSAKDFVRQMLQPDHKIRLTAKQVLEHTWLQNAKKAPNVPVGYIVKSRMNSFQGWWLICEQLFDGEEKLFIDLHALLTDLLHSFLSYSALLRESRISGDLDWLRKFAHGKETSLDVSACSWLPLDRGTLSNFTGDSSM >cds.KYUSt_chr1.10327 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63334568:63335875:1 gene:KYUSg_chr1.10327 transcript:KYUSt_chr1.10327 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRHFHPLAMLRVDAVAVAVVLLLLLSSPSPTSQLSFSATFGPWINGASPPPSPPSPALTQSNGGQEYAALQALKAAISDDPHGALSSWQGSNVCSYKGVYCSAPPPGAEAAGAVVAGIDLNHASLKGTLPAAVSLLAHLTFIHLNSNRLGGAVPDTLGDLQYLTELDLSNNLFSGPFPASTLLIPSLVYLDLRFNGFSGELPEEVFAKNLDAVFLNNNQFEGSIPETLWSSPATVITLANNRLTGPVPTSYSYGGAGGRLREVLFLNNRLTGCVPEALGFLPCIEVLDLSSNLLSGHLPSTLSCLSGIEVLNIAHNQLTGELPELVCELRRITNLSVAFNFFSGISQGCDALAGRSVFDFAGNCVPGRGMQRPQPECDGAPGDAGLSCLRIPGSRPVACAEAAVSIGVGATFGGALPFGLSGGAGVGVTVTVP >cds.KYUSt_chr4.7753 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46193166:46193723:-1 gene:KYUSg_chr4.7753 transcript:KYUSt_chr4.7753 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVGAAMATTAAHADQPHVVFFPLPAQGHLTPALQLAKLLHHCLGFQITFIHTEHNRRRLLRSRGPGALAGVPGFRFAVVPDGLQLPSSEPDALEEMVAMLFSLETFVPHFRDLVSELPPVTHVVSDIEQILRSSKEMGLRSVALCTISACGFMAFQQCQQLVDRGIIPLKGKIKTLSEPTKVL >cds.KYUSt_chr3.911 pep primary_assembly:MPB_Lper_Kyuss_1697:3:4806528:4811856:1 gene:KYUSg_chr3.911 transcript:KYUSt_chr3.911 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVHRPPRQTDFARFPASTPIVIDNGASTFRIGWAGEAEPRLAFRNIVQRPRHRSSGETVTVVGDADPALMKYFDCTRTSIRSPFDDDVVFQFEYMEYILDYGFDRLGATSEVDHPILMTECECNPSFSRARMSELLFETYGVPSVAFGIDDAFSYKYNQKLGNCGEDGLAISCEFGTCHVVPFLKGQPVLGACCRTNVGGSHITDFLRQLLSLKYPYHAASVSWDKAEELKKEHCYVAHDYMSELQIFKNNKEEAEEKTRYWQLPWVPPPKDEPPSEEELARKAAIKEKSGQRLRDMAAAKRSQKIEGLEKKLSELEYIMEQLDDSDEIEETAILSRTGYLSQQEVKSNILKVTQSLRKAKGESNGNEENADASGADKYPLVSVPDEMLTPEQLKEKKKQILLKTTTEGRIRAKQRRAEEAALREKQEEERRTENPELYFDELRARYLELSEKVDQRKRQKVNGNNNNSSGAVGRGERLNAARKERMRLLTTAAFDRGKGEDTFGMRDEDWLVYNKMSKENEEDNDGDDDESELARIASKIQEVDPTFVNQHEAAEPAADPHKVRPLTAEDFKIAIGTERFRCPEVLFQPGMIGVDQAGIDEMVSISLRRLAEDESVKERLCQSILVTGGSSLFPGMIPRLEAGIRQFRPYLAPLKLVRASDPILDAWRGAAAFAASREFARQTFTLEDYREHGENLFHRYNIVYTL >cds.KYUSt_chr7.35707 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223038552:223040652:1 gene:KYUSg_chr7.35707 transcript:KYUSt_chr7.35707 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKTAEQIAADVAVLVAENYDEPNLNQRMVANPGFPNRVGELIVNDWVIRDGPSLDAAVVGRAQGLHTAAGMKQVDWFFCDNILFTDTWYNGSSIQFIGKYLEPTGSKGEWAIIGGTGEFACAQGVASYEVIQRNGSHVVMDLHIRALCLTFPQPNPVIPVDKTELLGGNGGDAFDISEQPKRLDSVTIRSGDVIDSIAFSYIDQAGNKKIAGPWGGNGGFSNTILLAPTEIVNKVFGTTGDFNNNTVVTSLTIVTNVNTYGPFGKEQGTPFSIPKENDKIVLGLFGRAGQVVDAIGAYVGPPAAN >cds.KYUSt_contig_2549.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000380.1:163921:164561:1 gene:KYUSg_contig_2549.21 transcript:KYUSt_contig_2549.21 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAASSNARPALNPPPMSSTRMGGHAEGDGRVQHPAPPLWRRCTVHVRVGRATADMEEDERGCVGWHRHAASLPARTIPTHRTSFPSHLRRSASVPSVMPTMASQSRDRRRAEKVYGAGRHLRCACFVDMIRGEAAVDGGYGARCLPSLLRVS >cds.KYUSt_chr5.37801 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238787825:238789410:-1 gene:KYUSg_chr5.37801 transcript:KYUSt_chr5.37801 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMLLNGGDFKDGHLAYMPQGTSVSSSELAFPVNADAYVSRYIKFIQHLSVRSFRYAGSGYIGFSDCEWLPFFTENVCVYWESDIVPHQVQFLHQVRYHASYAWDPGTMLLQYRSIWLLLLTEEKEKGGTIISGHGGTPVADVTPQTSELVDKKPEQFSACSTDWWCMEFRERPRGGFLPLAGFEEQQLHSKLYLQVALSGQYQICTYELHLEVRSTSGV >cds.KYUSt_chr6.21032 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132720332:132725273:-1 gene:KYUSg_chr6.21032 transcript:KYUSt_chr6.21032 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHSALCAVFQCSTWHACEKWLTKPHTEHRRNSCRLVSGRPPAQCVEHNRRPLPLLPPPSSISVGRRTFSAPSVRDTNHSNKNRLAVCPSPVVAPIVQRATHALAVLQAVPHLAERVDVAERGRGRAEHGARVEGVVILRAAAAVELDDGPRVGRMAVPTNGRRHLASRRTRGPPPASMRRGIWEELMRWGRMEDDGERESSEETERRWGGGHENSGDGGQPHKQRKRLEAPKSQFGSRYHQSSVGLTIPEAHVTELGWGHRCQIELIEERAVLLDGLPSVATDARWSQPVGTVEFSTAGFRGRSEPVWLARRDVEWWHGHGHSNLFRGIGQEWSSGEESGDSTSW >cds.KYUSt_chr5.8702 pep primary_assembly:MPB_Lper_Kyuss_1697:5:55099524:55102115:-1 gene:KYUSg_chr5.8702 transcript:KYUSt_chr5.8702 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGYDDGRKRRFEGGARRQEGGGRGEGAWREGGGARHDGNNNNYGGRFDGGGGRQEGGYRYQDPGGMRQEGGSRFNGEDLRGTERGDDRWGPPPPWWEEQQKREEALRARELQAQGQGARGFGEWAGTGGQGDRSGAPPRLHHQQHPQAKAKGKKVMGQGAGAGPGQPKGKNKASAAKAGAPVAGECFKCGREGHYQSECTFEPLCVVCSGEGHASANCPSKGNSLRLQSMGHAISGGGFFNIDVEPLQGAGSLGEVSTAVIRFDSSPLSEVQLSDELKHLVDEMWDWQVCRLSDSEFSVVFPSRETMRLSTGSGKLHLPLSKKDTAIREAFLSPKPSLVLPATWVRLTGVPEDLLSKERMRAAFVMVGRMVDLDELSVLKRDREPIRARFQCRYPDRIKGSVQVFLNGEGYTVGVQAEAPPRGGAGGSGGAPPPPPRRDTEDDLSDEYSTDDEWKHGRRKKNQEPEQDKSGQQQSKGGATGLPGAKTCDKEMGSQSAPPASRGLEALDLPIFQYGSNLGRDGLEWTKSQEDTTFAGEKGLEQPREEGELSLFSADDSRLSTETESLVIDPLHLPAVDSPQEGPPTKLARRDLSLGEKELDQVALKGRELVMEVQGAKTTRVAGQNLQKEALAAMPLAQGRRSQAVPYSRRGAAAAATPSTATRKSARNGGGKSGTSTLVKAQRLAAEKNLEGKCKESGTSAEVLGFLSDSHLSEVILDSGMVFRPSAGTQAEAISIIRAKETVQAALAATARRLELEAEARLAQAQSEAMGASVGVAGDIDPPPSGEVLAANGDTTATADPWAGSPGVDPALGRETSAGTPHKSPAQPRDGEAQGAKPRKRRGRKSTLTVRKGSSKRKVAP >cds.KYUSt_chr2.6940 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43352439:43355756:1 gene:KYUSg_chr2.6940 transcript:KYUSt_chr2.6940 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTVGKWIRGTGQAMDRLGSTMQGGLRVEEQVSRHRTIMSIFEKEPRIDKDVFVAPSAAVIGDVEIGHGSSIWYGSVLRGDVNGIRIGSGSNIQDNTLVHVAKTNISGNVLPTIIGSNVTVGHSAILHACTIEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEIAFISQSATNYFNLAQVHAAENDKSFDEIELEKKLRKKFAHKDEEYDSMLGVVREIPPQLILPDNILPDKAKASAVAH >cds.KYUSt_chr6.27430 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174188863:174193210:1 gene:KYUSg_chr6.27430 transcript:KYUSt_chr6.27430 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARSAAAVGCSKEQQRIYADWFALADPDGDGRVTGADAIKFFAMSGLSRADLKQVWAICDSKRQGFLGFGEFAAAMQLVSLAQAGNEVTQDSLKREDLTSFDPPVMKGLDELLSRSMAIVNAVRPEKNGTSQVQAPATNNWFSSKSAKKMQTPLTAVTSVIDGLKRLYIEKLKPLEVACRFNDFASPLLTNSDFDAKPTVMLLGQYSTGKTTFIKHLLKTSYPGAHVGPEPTTDRFIVVMSGPDERTVPGNTIAVQADMPFNGLNTFGGAFLSKFECSQMPHPLLEHITLVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGNEDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPVNESAVGPIGKDLFEKEQEDLLADLVDIPKKACDRRVNEFVKRARAAKIHALIMGHLKKEMPAMMGKAKAQQRLIDNLQDEFAKVQREYHLPAGDFPDVEHFKEVLATYSIDKFEKIKPKMVQAVDDMLAYDIPELLKNFSNPYQ >cds.KYUSt_chr3.31840 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199982950:199987797:1 gene:KYUSg_chr3.31840 transcript:KYUSt_chr3.31840 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGISFSRKCAVVVLLIPSVLAISCLSATMARTDSISMNESISDGQNLVSDKNHFVLGFFSPGASSNRYIGIWYNSVPNGTVVWVANRNNPLQDKSGILKFDNGGNLTLVDGKGNSFIVASGMGMGDLEAAILDSGNFVLRTNHSNIVWESFASPTDTWLPGMNISVGNFLTSWKNYDDPATGDYTFGPGVAGDHTFGPGVANASRLIIRWNGNNFWTSARWTGDTNSLIPDLKSIETIPVFFQCDNLTCMYTPNPSDTMTKIVLDRTGALSLTQFDPDAKSWTLLWRQPASCDVSNLCGVYGVCNNSMLSVSVSAKESVSLSLCQCPQGFALQDKSNARKGCSRKTPLQCNGDGFIDMPGMQLPDCRQKLLVVENGDCESACMNDCSCMAYTHSPSDGCSLCRGNLTNLQSGNDGNGVGTLHLRLAASELESSGRSGIFSVKSDVFSYGVLLLEIVSGLRNAGSHGYGNSLNLLGMGAVERRQMEAAADRPSMAEVISMITNENATLPDPKQPGFLSMLLPIEADVPEGTYSLNDLSITVLNGRTFPAQTPAPDPKYQALLFS >cds.KYUSt_chr2.42184 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262701335:262706245:1 gene:KYUSg_chr2.42184 transcript:KYUSt_chr2.42184 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVVIIVPIVALDFLNHHVLVWYWVAIGEGIQDRRNTFHAAVAAKKAEKLFLKVRIDGVPYINWVAPDRRGGAPWGAPTTSFVNWLGSFQSGMEGLDQPDLLSVQKNPCAICLGGIGAGGGQAIFTAECSHTFHFHCISASVAHGQQLCPLCNAHWRELPFVRPADPMPPVDVVQPPQQHRRLPIQPADPVIFDDDEQVGLAAGASADDRRPSGTSYNGAVVVKTHTDYKAVARDSARDNFAVLVHLKAPGTDAAGDGPAPRAPLDLVIVVDVSSSMHGRKLALLKQAMRFVIDILGPDDRLCIVSFSSRARRVTRLARMSDAGKALCARAVESLTARPGTNIAEGLRTAAMVLDERRYRNGGVFSVVLLAASIVAGELYADEERRFLLFLVIPRAEETDGDATTLLKVTCAYRDAAAGEDINVTAEDTVVARPEHAADVARSVEVEREHVRVEATEDIAAARAGAERGAHQEAVEILENRRRAVALSDAARGGDAMIAALEMELRDMSRRVSSRQSYARSGRAYMLAGMSAHMQQRGSSSQLQLPSVIGFDSGRVTTSMAGTNQVSQQVAATLPYVTPATLAMLLRSRKAREAAAESEQQQHKVQEGTEGSEPKVPEELNQ >cds.KYUSt_chr5.42650 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268678902:268681697:1 gene:KYUSg_chr5.42650 transcript:KYUSt_chr5.42650 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQAFCSVDVLVEPSSGRECRRGSSSQPYARSVAAVAALAAASQFPNCKDEVGLDDTHEVKLLALKALDANVDELVDIGTQRQIDCPEGGAGVEAAALAPSTSATCVARRRLLSKPSPRRRGGMRWSRGHVFGNHVFPKNFQNGSLVGGGQLDPTKPLSEQEDLMVWMRTAALPRFRKLYGRVEADLGAGELLAVEVRNNYNSYSFAGKKAVVLSTAGVLGGRNAFLGRAYVVTGVACFALALLLTLLCLVFPM >cds.KYUSt_chr7.20117 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124644847:124650141:-1 gene:KYUSg_chr7.20117 transcript:KYUSt_chr7.20117 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIVACPPLQVSHAGPYLEQHALAYSSAKLAHPDEQKALDTCTGMACTNNSTAAAQQDRQPIALPQFIIMECNKEEASRAKDVAERRLQEADFPGAKKMVLKAHRLFPGLENISQMLAVCEVHCSASVKINGETDWYGILQVEPTADDMVLKKQYRKLALLLHPDKNKFAGAEAAFKLIGEAHMTLTDQVKRHSHDNKRKPVFATSIPVPKKRGRASKKTDPAHKRDNKENSCVPDIKKTPQQQAGKSAEFPSFWTICLTCGKKYQYPCSFLMKFVLCQICSRRFLAFDLSKKSVPAQVDAEYPSSGFGTQPQKFPPSQQADASNQQHNPHQQNQSFPGWQNPITGHPTHPTHQQSKNVPNHQTPTADQQRQSHRFPPSTGSENVISSEASGDPNKKGATYSNLPAKAGICNSTKVPRASFKEENGAGRAESLFVYSDKLSPINMQKKGIQSQHVPGQQTPIVNQQDQSQKPTFNAGLDNTVSSQGAGNPNSKGTADNNVRANARSCNSMKSPRAAPNEENDSIRTESPLASSDKVSPANMGKRAREVATESRYPVSMHGKKDQSMECVRNVAGAVKTGQNPKRSSQQENISNEDGSGGCREGIDNLHDSPVAKRIRKGYSSCNADRTGGSINEDGNVHNTQRRSIPVTKKTPNKSGEVVNGLYDNEKQGASKKEEMPNSERNGSVRSVGDANGGVSYPDPEFYDFEENRRADQFKADQIWAVYDDNDSMPRYYARIKQVYSPNFMLSFSWLEFDPVNDAEKAWSSKELPVACGSFRIGKTTFTEDRNMFSHVVAWTKGRKRNSYEIHPVKGDVWALLKGCEFNWSSDSSDHKHCSYDIVEIKSDFTVGTGIYVTPLMKVKGFVSLFVRPDKEEPYLIPGGDKLRFSHNIPFHRLSGADRQHIPNGALELDPASLPSNLEEASSPVDLGRNVFSTQKGNIGGNVSSTGNSLKCEMPVGKTKQGLDSAATQG >cds.KYUSt_chr5.6607 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41016295:41019649:-1 gene:KYUSg_chr5.6607 transcript:KYUSt_chr5.6607 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEPARDEQQREARRKRRQRRRARRKAGCIPSSSAESGSDEHVGGNQEEADSFSGQLPERIGKKGKSPVSILVEEKDLDSYRRDWESSWGGKFGLFRDYTCVRAMLFTCKPTPPHAGVKTCLQVFSIRVTEINDGLEWPLEVYGLVATRDSVDHNRNIIFRRGRDACQIINEQDSFLPLPGPSRAVVFTDPVDVEIQLKVKGRTEPEDKDLISNVLVYRHDFSSDSSGSAGNLVRSSCSSQYCALELTSALIAGAVEATIINAEVIQGQWPKNLGIRVISGTTSIDEDFILLDARDGRFRVDLADGLIRLSRNIVCVEQDGGLKLSVEAYKRNGDIYEGSAVTVLTPKRFSASLGICKLNFCTVRFTVAWSCLATVSDLMAYGI >cds.KYUSt_chr2.21164 pep primary_assembly:MPB_Lper_Kyuss_1697:2:132867128:132867967:-1 gene:KYUSg_chr2.21164 transcript:KYUSt_chr2.21164 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLVVVLILLVSTAPIVRPTPHSDNLQDACNKTLFPKVCIQSLTTNPETRTADACRLAELSVYVAKEVGTTVAAFAHHELSGVKEDILFKCLDSCSDDIEETVAHLSALTRELTDAKFLEVKSWLSATLGGTSTCEESCKDAPISDIKNAVVTKSLEFEKLLRVTLDLITEASGSMSADVAVPPTAWDASAPGGSYGATAPESSFGATAPESSEGASAPGAEEPSTDSAPAPSTEAPSADVPSSSAPSAEAPESGEAGAPSPSPSTAAGAPEADSTA >cds.KYUSt_chr3.23408 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144754620:144756347:-1 gene:KYUSg_chr3.23408 transcript:KYUSt_chr3.23408 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQGPAVKHTPIKFSSCRGVAFEIKPSQVSPFALDAAGAAEQPPNDSNGGRWVWFPQPMNREHSSATLRSALSRGTSSHFCDLDDSDDEDADDDESVAADEIHDDEEMALATAAPDVSNVRSRSRRSLSSSAKPVRAAAAKGHSRLGVILLDQGLFTVYKRLFMLCVVLNAAGLAAAATGHFPYAKGHAALFAMGNILALTMCRSEAVLRAVFWLTVALLGRPWVPVAIKTGVTAILQSLGGIHSGCGVSSLAWLVYALVRAIKDSHVTPREIVGVSSAILALLALSCLAAFPLVRHLHHNVFERTHRFAGWTALALLWVFVVLSAGYDSSTRSYSGLTVASLVKRQELWLTAVITFLTILPWFAVRRVPVTVTAPSTHASIITFQGGVKAGLLGRISRSPLSEWHAFGIISDDRDTHSMLAGAVGDFTRGLVSDPPSHLWVRKVHFAGLPYLISMYRRVTMVATGSGICVFLSFLMQPSSAEVSLVWVAKGIDANYGEGMSAMVANSKILAGRVIVHDTATMGRPNVSELAVGAARRWNADAVIVTSNPEGSRDVVSGCKKAGIPAFGPIWDS >cds.KYUSt_chr3.10005 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58950841:58951263:1 gene:KYUSg_chr3.10005 transcript:KYUSt_chr3.10005 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADGQRSQRQASCSCAPSMSRRYVRDGFDLDDDDDYYFDGQFDKAAASSYGRASSAASSRGCGTKLRGLWRKIVREKKRILLCTTGCVPSAAPREPYDAYSYAQNFDDGAAWVEPENLSRSFSARFAVPSRVLQRVAV >cds.KYUSt_chr1.24539 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146666720:146667169:-1 gene:KYUSg_chr1.24539 transcript:KYUSt_chr1.24539 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAESVAVKFWATGYSSNKGPLSCAKRKFLWVVHLLSLAGRGGEGGWGDATVQGVAAWHRRGTSAAPESLHALVSGVLQQRTEAGGYHRPASASHGRKAILLVLYFPETKKSEGEIYRSRHDARPSGSSPAPVSVLWRSNSTTTSIR >cds.KYUSt_chr4.47660 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295032684:295035640:-1 gene:KYUSg_chr4.47660 transcript:KYUSt_chr4.47660 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISRTTAAPRIQAPGRSPLLPARRTASAAAAGTSSAAPWTAVRAQPARLLAAASPLRHDGLSAAPPADEVATAGVGPPWKLLGTLLPKASTAALFLLLTLVTSALHSSVPHPAYASAQPAIKSGGFLSLELLSSGWAGFFAGCLHTLSGPDHLVALAPLSIGRSTLESGLVGALWGCGHDAGQIMFGLLFLLLKDRLHIEVLRIWGTRVVGLTLLMIGATGIREASEVQESGLILEGVDSTMSGGEPLQQAPAAPRKKKVGFTTFATGVVHGLQPDALLMVLPALALPSRFAGAAYLGMFLVGTVFSMGSYTAFVGSCSEALKDRVPKITEKLTWAASLLAVCMGLALLVGQFFGFTLY >cds.KYUSt_chr2.33571 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207357306:207358082:1 gene:KYUSg_chr2.33571 transcript:KYUSt_chr2.33571 gene_biotype:protein_coding transcript_biotype:protein_coding MNISSYFFSSSSSSSSSSDKKSSSSKRRQQAAQQPDSNPTRYLGVRRRPWGRYAAEIRDPATKDRHWLGTFDTAEEAAVAYDRAARSLRGVRARTNFAYPDLPPGSSITPYLSPDLTSGDNNVQLLQPFYADPTPLPAHQQAAGGGAHHVGSEYMYGGAADMSSLMDDIAMPDDLPMIDGGGDMDYSMYDTSSNGANAGGGWCDASELGAYSSAPGGGHGVYFEEGYVHSPLFSPMPAVDDAGADGFQLGGSSSSYYY >cds.KYUSt_chr4.798 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4200939:4202189:-1 gene:KYUSg_chr4.798 transcript:KYUSt_chr4.798 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWSDGESSPERLPDFTAMDYAPETCADLSFSGVAVGAERCRVHDLEPIRCVAFEGTNTGRRFHMCCIQDKWVDAEEWPEALKNSLGRLWSMYHEASDKRIEERLENAKLVQELVEDRDKFKKNYYSLMDDVAKFMKDKEKRVMEENLKKMNDEKEAIFDLDRPALEAEVIKLNSELFDFKEEKKQWESMTKHWESMEKLRKEKWEKKEEAWKEEKKKLEYTLFDLFKVNSANKEKLLQIKGIIGEI >cds.KYUSt_chr5.23019 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150202966:150204171:-1 gene:KYUSg_chr5.23019 transcript:KYUSt_chr5.23019 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWAYDEYGDAGVLKLDEAVSVPPVGEDQVLVRVAAAALNPVDSKRRMGKFKATDSPLPTVPGYDMAGVVVKVGSQVKNLKEGDEVYGHISEKVLEGPKQYGSLAEYTAVEEKLVAVKPKNIDFAQAAGLPLAIETAHEGLERAGFSAGKSILVLGGAGGVGSLVIQLAKQVFGASKVAATASTPKLELLKSLGADVAIDYTKENFEELPEKYDVVFDAVGQGDKAVKVVKEGGSVVVLTGAVAPPGFRFVVTSDGSVLTKLNPYLESGKVKPIVDPKGPFPFSQVVEAFSYLETGRATGKVVISPIP >cds.KYUSt_chr2.16783 pep primary_assembly:MPB_Lper_Kyuss_1697:2:105553279:105554017:-1 gene:KYUSg_chr2.16783 transcript:KYUSt_chr2.16783 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHLTLCPAAATHGPPLRRGVSDASGACNLSSLRLTPARHRRGDLASPGAASRDLEVRSTTGGSSVARFGGQEHHWDWQTGGGVTCWRRLGPALAGRILELLVKLLYILPVAELELELADDVADLLMFASCQYRGCAPEAVKEQELKASVLAQ >cds.KYUSt_chr2.15691 pep primary_assembly:MPB_Lper_Kyuss_1697:2:98795971:98802764:1 gene:KYUSg_chr2.15691 transcript:KYUSt_chr2.15691 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPRQQAPVERSSRTDEKGTGGAVASWCTVPAYNPFAVSATPAGEVDGGLGEARRRGLSEPEKVRVSEYRLNELNKIHADALCRCAPAMPPRSVAAPLHPLHPPLPGTISRRVPVAIAAAHPGTRRPDPIGSRREVKGEGTMPLFSYAMVLARLIVAIRLTPFGDLIHKAYIAESGSGGVDGLDKSVNKFPEHYACQSSTFFCSVLDLADIDSQRSSLILVAVIEYIAAALSCHVVHCAMGFAW >cds.KYUSt_chr3.41033 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258975831:258978877:1 gene:KYUSg_chr3.41033 transcript:KYUSt_chr3.41033 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGILGRSSMPRSNEGMRLIFSAVIGVMLGYLFGISFPTVNITKLHFPSSIVSYIEDRNSGITTQTLLNHAWTSANSHKKNNTDTKSDEIPKIYVTSNPKGAERLPPGIVVSETDLYPRRLWGEPSEDLTIQPRYLVTFTVGIGQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFDWSKRAIHVSVSKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVQHFNAEAYIKLVRKHGLEISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCSDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQSVPSLGNQGKSENGKAPWEGVSKIF >cds.KYUSt_contig_7534.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001582.1:81639:86034:-1 gene:KYUSg_contig_7534.14 transcript:KYUSt_contig_7534.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRQRRRPANHWHKHFPRGDDATPRRTTNLTAGRSRSHRDEQPAATGRPPPAEEEAGERGRHPAHPRRTAAVSRRRRRHIWEEPELHPPPRCFGPPGVRMGSPGVAEPGRRGSPSQAAATPAAGRASDPEQARAGPQVSNEDLREDSAYAFNSYNWISFGTWEFDARRRAGYLGDLDYFDREIAAEEDANNNDDAAAKAECETSADGHVQGGDNDHDDGGPAWDPEIQPLDISEEEAIAIALANIELAMWDVLVIQLRESALA >cds.KYUSt_chr4.45202 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279944081:279946853:1 gene:KYUSg_chr4.45202 transcript:KYUSt_chr4.45202 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTSINPLFRAAYLAKDGSRDVTLVIPWLSLKDQELVYPNKIVFDSPLEQEGYVRRWIEERVDFRPSFSIKFYPAKFSIEMRSILPVGDITECIPDEMADIAVLEEPEHLNWYHHGRRWKNKFRRVVGVIHTNYLAYVRREKNGQVLACCLRYMNTWVSRIYCHKIIRLSGATQDFPKSVVCNVHGVNPKFLEVGKLKLKQLQNGEVAFTKGAYYIGKMVWSKGYRELLDLLSKYQSRLGGLEVDLYGSGEDSDEVRESAERLSLAVKVHPGRDHADPLFHDYKVFINPSTTDVVCTTSAEALAMGKIVICANHPSNEFFKQFPNCRIYNNDEEFVQLTLDALAEQPAPLTDTHMYDLSWEAATDRFIEAAEINLPQGEPRIHQGSKTYFPAFLRTRKVKQNLEDASVYLHQALSGLEVTRCAFGAVPKTLQPDEQLRKDLGLASPPKKKKLKLKLMT >cds.KYUSt_chr4.49710 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307774721:307776337:1 gene:KYUSg_chr4.49710 transcript:KYUSt_chr4.49710 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYGSRFAPPAAQIKLYHHHAVLLSAKILACEFPIREGLTSRPAASSSSREISLQIDPENADLDDHILGLRGKLSKLKGVAKEINSEAKSQNDFISQLQMTLTEAQAGVKNNMRRINKKIIQNG >cds.KYUSt_chr4.51602 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320247888:320250607:-1 gene:KYUSg_chr4.51602 transcript:KYUSt_chr4.51602 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPRPALIRPRASRSHSQLGAVSLASDNSASTASSRRRRDFVFVVNPSGANGRTGKQWTQLLPQLRTRLADQCNICECITSGPSDAIDVTREAIKDGADAVIAVGGDGTLHEVVNGFFWKGSPVRALDRGPDHLTALGLIPLGTGSDFARTFGWSNDPRQAIDRIVRGVKSKLDIGMMEGPSGDPHFFVNVADIHLSAKAGYFASMYKRFGNLCYVIGALRGFWGHNNRDMRIKVNGGEWQTVDKVTALCVGNAKYFGGGMKITPTADPFSGDLEVVILQNFKWYDFLLKLLRLYGGTHLTVNGVSSMRVQSIEVAEVVANGDIFVQSDGEHFGFLPTKFSVLPGAVDFFC >cds.KYUSt_chr6.1079 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6856167:6858646:1 gene:KYUSg_chr6.1079 transcript:KYUSt_chr6.1079 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRINPTKKPLLHLPRPFSSSSSSNPPFPPPPPPHSDDPDASPRSPPPNSGEPPQRPSLFSDLRVRLRSSPAPPPPRRIPTTPPRPTGQPAASLDDIRRSLETFRAESRNPGGAPSTPPLFSSGGGGTPSFQDLLRSSGPPAARPPNADGAKPVPFDFTALREGLRKIDPRQQQKQPPKEFLSATSNGIFAKERAGAEADDPDAAVMLYKTYTYEALGKELQELRPPGAGKDGKDWFSLQELQGRIAKLAAKDRLGGPFDALKQSMRNIVKTDTQKKAIRNMGGMFPIANLPGNPIPEYLSQPPQEELLERYFHPDHMSGEEKMKLELQKVRDEFKMSENDCGSARVQIAQLTLKIKHLSSVLHKKDKHSRKGLQDMVQRRKKYLKYLRRTDWDSYCMVLSKLGLRDIPEYKAPDYKKTQPTKAQSKKSKSKRKRKMKA >cds.KYUSt_chr2.1662 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9852578:9853755:1 gene:KYUSg_chr2.1662 transcript:KYUSt_chr2.1662 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDTTVQLTGGGGRVLLLPFPGMQGHANPMLQLGRRLAYHGLRPTLVLTRHVLSTAAPKDCPFPVAAISDGSTPAGSPLAPTPRSTCAGWRPPGHVFQKLFCLVQTCRDK >cds.KYUSt_chr7.30946 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192737176:192738514:1 gene:KYUSg_chr7.30946 transcript:KYUSt_chr7.30946 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLQLVNPTAVSLPAVVIVLFIVTTPYRRSFFDAYGPAGFVSIPSGSRLPPAANTAPAVARVPHSCDIFRGEWVPDDGGALPYYTNLSCPVIQEHQNCMKYGRPDLGFLRWRWRPAGCELPRFDAAGFLDVMRDRSMAFVGDSLARNHMQSLICLLTKVEYPKDISTTQNQEFRTLHYASHNFTVSVFWSPFLVKANLSDADHGSGRLWNLYLDEPDEAWLPFVSGSDYVVISAANWFTRPSLFYESGRVVACHYCLVPGVPDLTLRHSLRVAFRTALRAVTSLPGFNGTAIVRTVSPTSHFEGGEWDKGGDCRRTRPFASNGTSVAGLDLDFYTAQVEELGAAREAATRTGSGARLMLMDTTAAMVLRPDGHPSRYGHWAHENVTLYNDCVHWCLPGPIDVWNEMLLQMLLA >cds.KYUSt_chr3.12970 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77852701:77855816:-1 gene:KYUSg_chr3.12970 transcript:KYUSt_chr3.12970 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRLHRSLAGGDDEEGQEDDLLGDTEDLCSLSPLQRLYYFAACLATGLVLMMLSLIVFVRPIKFAIMFTFGNIMAVGSTVFVMGLNKQLRMMFDPVRVYATAIYGGCVVFALIFALLIHDKLLTLIAIICEICALFWYSLSYIPFARRIVSDLMVKLCDTEL >cds.KYUSt_chr2.29656 pep primary_assembly:MPB_Lper_Kyuss_1697:2:182488826:182490978:1 gene:KYUSg_chr2.29656 transcript:KYUSt_chr2.29656 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSRRTTIVLVLLCFYSAPRAATSLSFSLNFSDPSVPDRGASINFSKDASISPSRLELTKNTRDANIQYSTGRASYVGKVPLWNSASGEMASFTTTFSFQITPDKDSLPATGDGMAFFLGHFPSEIPPNSNGGSLGLLPAFTNGTGDNRRGIMTATVKYDNVSNLLAVDLVIDDALYQVNTTVDLRRHLPEEVAVGFSAATGLVAELHQILSWSFSSTLSLESIKEAPPPAELPLPTSSNSHKKTLVIILVAVLVPLLLLLVCAAAVAWQRHKKTRSSGANEGHSTDSDSEEQCVDRADLERGVAAGGPRRYTYRELAAATSSFAEEEKLGRGGFGSVYQGHLLTDVRGDQGRRPVAVKMLSAESSAQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRKGLLLVYELVPESSLDKHLYSSDRFLTWPQRYKIILGLGSALRYLHGEWEQCIVHGDIKPSNIMLDSSLGTKLGDFGLARLVDHGTGLWQTTKAVLGTAGYIDPEFVNTRRPSTESDVYSFGVVLLEIVSGRRPVIETPEISFTLLRWVWSLYGRSAILDAADERLRGDEADELWMERVLVVGLWCAHPERRERPSVAQAMHVLQSEESRLPALPLHMYRTVQDPASSGPYEAFSIDSSGGARSSSINTGVTTLSSDSSSTALLRDSRDVTR >cds.KYUSt_chr5.15492 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100064238:100066999:-1 gene:KYUSg_chr5.15492 transcript:KYUSt_chr5.15492 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAAVSDPLLDLWDHMMSPNRTHLFCFYPSKITMSGIWAGDNPLDFSLPLLLFQMLLITFTTRAATFLISPLGLPRYISEILGGFVLGPSVLGRLPHFTDIVFPTRSIFVLDSMALLGLIYYTFTIGVEIELPTITRAGHRSFWFAGASALPPFLIGATVGYMALSTDDTRKQKDSVLNSLSFPIFLGASFSSTAFSVLARNIAELKLAGTDVGQLTLSASLINDTLAWSGLTVATALSDARSGGVLPSVWTLASGVFIFAAGFLVVRPALVRLAKRASEGEAVGEMRECAVLVGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVELVEKVEDFVVGTLLPLFFAMSGLRTDTAKVTSMNAAVLLMIAAIAAAVLKVAAAVGVAAGFGMPLHDGTSIGLLLNTKGVIELVILNIARNKKIMSDQSFTVLVFMSALITALVSPLLAMVVKPARRLVFYKRRTVAWAQPDAELRVLSCVHVPRDAPAAITLIDIVSSSSRSPVAVHALHLIEFAGRSSALLLINASAPASSSSEISAHGRSHVEMQFKHIAHAFKAYEENHVVGGVSVRTMAAVSRYESMHEDVTAAAEDQHSALIVLPFHKHRTVDGGMEVSHPAIQELNVSVQSCSPCTVGILVDRGLGMPAGGSGGVYRVAALFFGGRDDREVVALATRMARNQAVDLTVLRFVQKGRAGSYTASEFDALKERKADDGCFREFLDRANAAGGGGVEYCERGVFNANEMVAEIRNVEEAGKDLFVVGKTPGGSGLTAGMADWSECPELGPIGDLLASRDFQTTASVLVVQSYGRAVAASAAGSMASSEFNVGEAVVVQPEAAMRQGQPPRRPRQQAELTIG >cds.KYUSt_chr4.45407 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281073135:281073644:1 gene:KYUSg_chr4.45407 transcript:KYUSt_chr4.45407 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKRPAQCDCAVAATVALLLLLHLQGCVAQGLTRGSFPKGFVFGTASAAYQLVTRGHRRAHTARLMASNAMSSKRVRSRTVGGRGPYLPQTITMRWESQLEAKWGQKGL >cds.KYUSt_contig_1181.1141 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:7439409:7445630:-1 gene:KYUSg_contig_1181.1141 transcript:KYUSt_contig_1181.1141 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAGFNATAAATIAPAAAAGVAHSAWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLEGGAGRGDNDGSAADGAKPALSNLPPPIWEEKILVIMAGDVKPTYLATPMSSRASSFGDRSSKGEEEEKKVEEVAMASIKDAGNGEHSESQRERDDHRIPEMYFSQAKAADSQKQQINLRADNLKLSGMKERCRLKWVSQLIDPATHTWDEAIVRQYCLPHDAEAILQLKLPHRQSEDFVAWHYESSGVFTVRSAYKLGMQPKSQDLSRGQSSHEANGERSIWNLVWKTPVPQKVRIFAWRLATDSLAVTGSLHRRIPRILPVCPVCGADTEDAHHAMVRCTLARALRESMRQVWTLPKEEDFRFTGQDWFLMLLAAANKDMRVKMLLLLWRTWHHRNNVVHGDGKASIEASKSFLQSYLHSIQPGAPEPDHRGKAAVLPLKAITSDQVTEAPSDWQAPESGWIKINVDAGWNDAHSAGGAGMVVRDSAGRIILSAWKTLPPCASAEEAEILACLEGIRYLAAHPGRPGVLETDCARIVSVLGAKETDRSANWFLLLEASTLMGMIPQIKEIFSELDEEETKRGASSGLIFHEAFQKSEEETKRGDDAATT >cds.KYUSt_chr4.53642 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331896584:331897528:-1 gene:KYUSg_chr4.53642 transcript:KYUSt_chr4.53642 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYMGQIETSEIIVRRTQMEVYLGWALVWVWVWLALLATRSWWRSTANHGLRLPPGPWTLPIIGSLHHLAGKLPHCALRDLARRHGPVMLLRLGEVPTLVISSPAAAREVLKTQDPAFASRSLTVTMRVVTCDGRDIIFSPYGEYWRQLRKVAVTELLTMRRVRSFRAIREEEVATMLHHVERAAAAGTPMDMRTRLSAIVSDTTFRAVMGDRCKQRDVFLRELDSLVSLTSGLNPVDLWPSSWIARLLSGDLRRAKKNHAVVFQIIRDIVQEHLKGGGDEAEDLVDVLLKVHKDGGVDMLGVEAVIFVHIDN >cds.KYUSt_contig_1781.43 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:305675:308055:1 gene:KYUSg_contig_1781.43 transcript:KYUSt_contig_1781.43 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAAQLPLPASQPRRRDPPHPQKALAAILRSRFIACLRAKDAETARQAAHAAVRGGVSVLEIVMSTPGVLETVEDLRRSYPSLTFGVGTVLNADDAKKAITAGAQFLMSPGTVMEILRDLEESEVLYIPGVLTPTEVISARDAGAEVVKVYPVSVMGGEMYMSALKKPFPLLPMVASQGITIGSIKSYMEAGASAVVLSDAIFDKQLIGERNFIGISALANQATLQASKSGR >cds.KYUSt_chr2.47554 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297526487:297531503:-1 gene:KYUSg_chr2.47554 transcript:KYUSt_chr2.47554 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGTHEEGHVMHVASNVVGEGTNVEPNVVEGGATVAPNMVDEATYAAANGVEKEIKIEGFAWADVPEIGLTTAGPPMAEEEEKEHFMTFGCDPHGDEPAGANEEWRYFKKVDDPVHDERPVTNSEIKVQKRKRTRPTVEFDPECVPDDEASMIGDYFGPHTTHDPENPIIKENDTFGDKEEFMQIMRTYAIKNEYETKVEHSDTERYMARHDALMEKIASDCPKAIPFLDKHHNLIWSRSQFSKVRKVDYVNNNILECFNNWIKDYKDLPVDYLMDKIRIMILENMYTRGEIANRLEGLILPSVLHELNMKSRGLHYDIQKSGPMAAEISGTTKEGKTWRYAVDLEKRECGCGQWEVSGKPCPHAIYLIGKVRQLKTEDFVHDYYSVERFKMAYQIQINPMNDRSEWPKVDVDFMLYAAIILLEKPMSCSLNAAGDAVEVQPGEGVEVSKVLDHSMALTILDAIQRCFAGWFYHVLPCDSSNMKWFAKNKIQRRGMPKHPAPSANQPCHSLPIPTGLGARRGPVFEHLDYSFPTLHPWPQLWSGRQRARNAPGPPKQLPVLGNLLQLGSRPHRYFQAVARKYGPVVQVQLGRVRMVVVASPEAAKEVLRTNDLHCCSRPNSPGKCVLVSLKRYNLTLIYFSMIRT >cds.KYUSt_chr7.17368 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107552699:107560105:-1 gene:KYUSg_chr7.17368 transcript:KYUSt_chr7.17368 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCKCFIVLGLPYLLLLLIVSSYLPHATSLSFNYNFSDPAVLAGADLKYMNDSVRAFNRIDLTNQSRRWSTGRVAHGQAVRLWDDSTGEIASFTSNFVFAIKPANSTYPEANVVRVCRFLNNTFAIQRADGMAFFVGPYPPTMPTGAFAGHLGLFNDRDNPFFPPTVGVEFDTFRNPEWDPSNTTCHIGVNVNSITSTQYTALPDQSLNGIMAASVRYDAKAATLSATLRFIDQPGQSTYTVSANVNLRGAGLQQDVAVGFSAAIGDYIQQHQILSWSFESTIADAISTVTLMECLPRPRRSSRHAIVLAATLSVGTLLVLLFVLVCAYTQRRRIRANKTPRDAAREESPEQFTLALLKAATGNFAVENKLGEGGFGQVFKGILPNGQVIAVKRLSQSSAQGFHELKNELLLAAKLLHRNIVRLHGVCLEEREKLVVYEYLPNRSLDTILFDNRRPRRYGLDWEKRYTIICGIARGLMYLHEDSNLRVIHRDLKPNNVLLDENMHPKISDFGLARAFMGDQSKDVTKRAAGTLGYMSPEYAYNGHVSTKSDMYSFGVIVLEIVTGRRNSSPCQDANTNNLLSDVWEKWRAGKAAEIADVSLGDHYPRSEMLNCVHIGLLSVQKKPEMRPKASEVMLMLSTQSMSRPTPSRPAFYSGHSTSGAVSCVSSGNVSKNGVTMSELEPR >cds.KYUSt_chr1.42316 pep primary_assembly:MPB_Lper_Kyuss_1697:1:259057210:259061246:1 gene:KYUSg_chr1.42316 transcript:KYUSt_chr1.42316 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLEPKPKSTIHPSVADDMAAHGKPSPKPPGAPAPPPPPPPPSVAEAKKGFMRRMFPFLLAVNLFVGAYVVVRTYYKDSPSSKGAAEADPASTADKPAPEPAAAVPAKAFPPIPEEEQRRVYMWMLEEKRKVRPRDKAEKRRLDDEKALLKEIIRAESLPKLPVTSLRDSMVMTLYDAGKDLVSKTEVNTRAIVELGTMDAVFSLDSGGAIILQLRFLLSDEDRKRIQEMRNSVVKRKQQELLGNGHELYFQEQAEEISDIASKGDHLMLRKSMKLDDLKERDASSVVSVALPNEHSEEISDIASKGDQLTLRKSLSLDDLKDSYVPSVASLGSRAIGSNDLVLEGPIDIDSKKGQGKESRLSSAVKKMITAFESSSPQAPHSIPRIKSESSLEGVSVSSETSTNSSIKPHTSGASLSMQRDLSAGTSGKVTITSADTVSSSRSGKQVMFGKKKPATSRQTGVSSTSESRRRSSSRRERTAKTSMGDNDLIRSAEKHRSRSIGAYLPEQQQTDRLAAASSITWIHPHVCITTASRQLRNLVDLEHLNSVRNIEPNAREETKENKSGDDMRDAAFSARQSPVLNGWLINQGVRAVIVVIACGAVFFNNR >cds.KYUSt_chr5.35118 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222033345:222040713:1 gene:KYUSg_chr5.35118 transcript:KYUSt_chr5.35118 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRGRSQRRHFKEERENVWKDNPKRPPAAAGGGGEGSEGGWQPFATENPAFEDYYKGQQIVPEEEWDTFMSMLRKPLPAAFRINASSQFYQDIQSQLQNDFRKSLETEVSDEHEKEAIRPLPWYPGNLAWHLNFSRMQLRRNQALESFHEFLKQENEVGNITRQEAVSMVPPLFLKVQPDHHILDMCAAPGSKTFQLLEMIHQSTKPGMLPNAMVVANDLDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCSLAKFCPETCVDESKPQRLEFDCVLCDVPCSGDGTVRKAPDMWRTWNIGMGNGLHRLQVEIAMRGIALLKVGGRMVYSTCSLNPVENEAVVGEILRRCGDSVELLDVSNELPELVRRPGLSTWKVRDRGSWVGTYEDVLHYRKKVISPSMFPSGKGATDSCTVGGSDVVNIDVVDAEMNESGDMVEGKQETKIATNGSNNGDNANTEEIKQDESESGKVPRRSDEKSDSTSIRTEHSSLPLHRCMRIVPHDQNSGGFFIAVLQKLSPLNESQVVEAIKGEHGILKDGALNRLNGQGSDKVLSEETSINQQGVDDSHVLNEQKNKDMDNEISEDRSSEEAKVIVAEVQNDQASKRDKRKNQNQTRWRGVDPVIFFKDEATIRSIVSYYGIKDSFKLEGHLVTRNPDTSHVKRIYYVSKSVQEVLELNVKVGERLKITSLGLKIFERQSTKEDSPCTFRLSSEGLPLLLPYITKQILYASAIDFQHLLQYRIIKFPDFVDAKFGEEASALLRGCCVVILREGHQDVESIGMDPNAIAIVCWKGKTNLCVMLTPMDGKELLDRISFRFGLKIPKVDDGKPSLKSGGSDEQPDGGNETVDPECVPESKASDMEISDVKEAE >cds.KYUSt_chr6.3689 pep primary_assembly:MPB_Lper_Kyuss_1697:6:21290826:21292835:-1 gene:KYUSg_chr6.3689 transcript:KYUSt_chr6.3689 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLPPATLSRPSLLSISPAVAPRRKNSAATPARGVRWQGVVGIDSSRCRRRRQGRCRAAVVEGETGTQEDGVLFPKEVAADGAAKYDWQEEWYPLYLTKEVPDDAALPLTVYDRQLVLYRDADGVLRCHEDRCPHRLAKLSEGQLVDGKLECLYHGWQFDGQGKCVKIPQVSLPCISCNFHPIVSWSTSEKKTMNRVCMQLPDGAKIPRNACARNYEVRDSQGVVWVWMSPSSPPDAKKLPFFEPYSRPGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQPLAFDVAERTARGFAGHWWRAGSPHLRNALRFEAPCVLTNTLEYTDKDGKEQCFSAHFLCRPAGQGKSMLVVRFGSTATSPLLKVVPKWYLHQNAGKVFEQDMGFLSSQNEVLMREKVPTKELYLNLRSSDTWVAEYRRWMDRAGHGMPYYFGHSTLKTPALPAVVEQAPAGAVAGISASFPAKGGLGTPHAPNPTNRYFRHVVHCKGCRGTVNKYTALKNAFVVLAAVAAAAAVLAATRQWKAVLLASAAVLAAASYACGSVVSLITTNFIRTHRRL >cds.KYUSt_chr7.23802 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148215607:148216030:1 gene:KYUSg_chr7.23802 transcript:KYUSt_chr7.23802 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGTGFTITSYTLKISERGDMEWHPDFSVTSKDLWACNSFDLLPRCCALMYPLSRDDEIDKVFSVSIDHMSTKAVVSILPYIEGISVAKMLIWSTSGPTFSSPFSPLEFPKFLNESL >cds.KYUSt_chr4.13643 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84051897:84054944:1 gene:KYUSg_chr4.13643 transcript:KYUSt_chr4.13643 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSSGSFFGGLRARELSGGGGSSARASAARLHYLSDLASDPGGRGCGVISVDHDGDAAIPFAVSFCKAALVSRLLAVADEDGYVSLYDTRRRLPSRSSSLDKSAETRLSDWVAHNNAIFDVCWIKEGSQLLTASGDQTVKIWSLENKKCLGVLSGHTGSVKSLSCHSSNPELIVSGSRDGSFALWDLRCDPKAPNSHGEACLRSSAVVKEAHSPVRKSRTRSRAKGASTSITSVLYLKDDISIATSGAADNVVKLWDTRNLKAPVSNKTSQSTAQPSKEGVTHGISCLSQDSYGAYIAASCMDNRIYLYSALHMDKGPIKCYTGSKIESFFVKSAISPDGTHILGGSSDGNIYLWQVDQPELGPIVLKGHEGEATSVDWCALEVGKIVSSSDDTTVRVWNTKKIDCTNISSPTVIRKRVTAPKIECPRSASHEQATTSRDVAACNSAGGEFPTSSHSPLRPRVLEFGTPESAKKRAYSLFQEEALETRNSPGGQTDSPSSVLNPPPSLKRKTIRDYFASSTS >cds.KYUSt_chr1.37794 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230732370:230735242:1 gene:KYUSg_chr1.37794 transcript:KYUSt_chr1.37794 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVYYSMYGHVGKLAEEIKKGASSVEGVEVKVWQVPEILSEEVLGKMGAPPKTDVPIISPQELAEADGILFGFPTRFGMMASQMKAFFDATGGLWREQSLAGKPAGVFFSTGTQGGGQETTPLTAVTQLTHHGMVFVPVGYTFGAKMFDMEKVQGGSPYGAGTFAGDGSRWPSEMELEHAFHQGKYFAGIAKKLKGSAA >cds.KYUSt_chr4.9097 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54698724:54700821:1 gene:KYUSg_chr4.9097 transcript:KYUSt_chr4.9097 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAPTDEEEAPMEEEEAEAEEETEVEDDDDEFEWSDDDGPHPDETVDQQRALVESFESEKKLQDVARAREEAQIHRAVELSLQAAQQGRAGDDALLEQRRLAAALTHGEAARAAGAAAEGRRRRGRAVERTAGRSVGLESNGRKMMAGQRYCSSLPCKKQVRESGALPIPSHVVLPNPLVSLLSPTRSAAIHPWSSSQRRDAGRKPRTPLEEAKAAARNIPSRHHDERKRSPTGVAVASTNGEAPYTRGGIPIRPRWDGRLQARVGGQTRGRRLQWPVSAGTTDLSDRRPHPLLPPPEGWR >cds.KYUSt_chr1.29564 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179003269:179006618:1 gene:KYUSg_chr1.29564 transcript:KYUSt_chr1.29564 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSCRDVSPQLSSLPHRGTAANTSHAKISFRRAQSLCRPLATIKRSQLPSAATRDDAAVASLVGQLEPNVDQDEEEEDLYLPDDAPSLHRRGARRHDDELASRWREIHGCDDWAGLLDPMDPLLRSELIRYGELAQACYDAFDYDLSSRYCGSCKYPRRAFFDRLGMPEAARGYAVTRYLYATSNFRFPSFSFSDKRSRADAKVWSQRATWIGYVAVSTDEESARLGRRDVVIAWRGTITRLEWVSDLMDFLRPVADEGIPCPDREVKVESGFVDLYTDKDPSCRFCKYSAREQVLLEVRKLVGHYTALGEEVSVSVTGHSLGSSLAVLSAYDIAESGANLVSGDGRRAAVCVYSFSGPRVGNGRFRERFEGELGVKALRVVNVHDNVPRMPGLFLNEGVPEMVRRVAEGLRMPWCYSHVGVELALDHKRSPFLKDTLDPGCSHNLEAHLHLLDGYHGSGERFVLASGRDPALVNKASDFLKDHHCVPPFWRQDENKGMVRAPDGRWIQPDRRGHLDDDHHHDGHGGNDDDKQRKHHGHFRLFG >cds.KYUSt_chr4.27831 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174862347:174867120:-1 gene:KYUSg_chr4.27831 transcript:KYUSt_chr4.27831 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSAGFGGMSSDNAKGLALAVSSSAFIGASFIVKKMGLRRAADSGVRAGYGGYSYLVEPLWWIGMISMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHSILQEKLHTFGILGCALCVVGSITIALHAPQERDIDSVKEVWDLATEPAFLSYAAIVVVAALVLIYFVVPQHGQTNIMVYIGVCSLLGSLTVMSVKALGIALKLTFSGVNQLYYPQTWAFALIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMNDSTGPTLSTRRPKHASQNAFAIEILPLKYQDVADDETLPLSLPKADNRYLMEDLPLRYKDLNMA >cds.KYUSt_chr3.31292 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196625430:196629652:-1 gene:KYUSg_chr3.31292 transcript:KYUSt_chr3.31292 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSADRRLSTLQRHLLPSSPPTSPATATSADSLQPSPTMASSSSSVFAAVPQGPEDPILGVTVAFNKDPSPVKVNLGVGAYRTEEGKPLVLNVVKRAEALLLHNQSRIKEYLPITGLADFNKLSAKLIFGADSPAIQDNRVATVQCLSGTGSLRVGGEFLARHYHERTIYIPNPTWGNHPKIFTLAGLTVRTYRYYDPATRGLDFQGLLEDLSSAPSGAIILLHACAHNPTGVDPTVDQWEQIRQLMRSKALLPFFDSAYQGFASGSLDKDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSSDVAVKVESQLKLVIRPMYSNPPLHGASIVATILNDRGMFDEWTKELKGMADRIISMRQQLFDALKTRGTPGDWSHIIKQIGMFTFTGLNSDQVAFMRQEFHIYMTSDGRISMAGLSSRTVPHLADAIDAAVTKLK >cds.KYUSt_chr1.11486 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70483587:70486004:-1 gene:KYUSg_chr1.11486 transcript:KYUSt_chr1.11486 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDLDAHQGNGHEKDFANDGRVYILDMYNAGIYPFDHAAKWHIDQKVELVSGTKTDDYLDQLDKALKISPEGVVIRDEKVFRTDILFRSFGSVPWDQAYSDPGGAAIAIVAYHLRESFLQPASCSKHLNGMQHFS >cds.KYUSt_chr6.22173 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140096297:140097770:-1 gene:KYUSg_chr6.22173 transcript:KYUSt_chr6.22173 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTSSKVAPRTSSNARPLHRHSLLLLFLRPLRAHLASTSSSSSSSVRRKKIMVVTPRGRPGTSLGHRRPMLLLLLFCCLLAFFPCRASAFHSFVGTYGVNYGQIADNLPKPPEVVRLLQMAKIKNVRIFDSNHEILDAFRNSGLNLAIAIPNGLVKDISANPAKAMDWVNENVQPYYPSTRIVAIIVGNEILGGADSGLAEALIGAVVNVHDALRALRLSRTIEVNTPHSEAVFGSSYPPSNGTFRPDLMVYLKPLLDFFSQTGAPFYVNAYPFLAYMSDPAHIDVKYALMEPNAGILDPKTNLHYDNMFEAQLDATYSALEVAGYPDMEVRVSETGWASEGDASETAATLENARTYNFNLRKRLFLRKGTPYRPKRVVKAFIFALFNEDLKTGPSSERHFGLFKPDGSVSLDIGFKGLRSSSSSLLTEHRARGWILRYSAALLPCTFVFLALAA >cds.KYUSt_chr7.10691 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65762966:65764412:-1 gene:KYUSg_chr7.10691 transcript:KYUSt_chr7.10691 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRNVAIRVFFLLLVVSAAFAKDKEEKKEDKKEDKKDEKTEGAASEADGTYDITKLGAKDDGKTDCTKEVEEAWASACGGTGKQTIVIPKGDFLTGPLNFTGPCKGDSVTIKLEGNLLASNDLAKYKSNWIEIMRVKNLAITGKGTLDGQGKAVWTKNSCAKSYDCKILPNSLVLDFCDDALIEGITILNSKFFHLNIYECKGVTVKDVIVSAPGDSPNTDGIHMGDSSKVTIMDTKIGVGDDCISIGPGSKEVNISGVTCGPGHGISVGSLGRYKDEKDVTDVTVKNCVLKGSTNGLRIKSYEDAKSPVVASNFHYENVQMDDVGYPIIIDQKYCPNKICTSKGDSARVTVKDVTFTNITGTSSTPEAVSLLCSDKKPCEGVTMNDVKIEYTGKNNKTMAVCTNAKVTAKGVDKANSCDA >cds.KYUSt_chr3.38110 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239766526:239769685:1 gene:KYUSg_chr3.38110 transcript:KYUSt_chr3.38110 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTGLCCALLLVALLPLSANASSKLYIVYMGDKKHDDPSVVTASHHDALTSVLGSKDEALKSIVYSYKHGFSGFAAMLTESQAEALAKFPEVITVKRNTFHKLHTTRSWDFLGLDYQPPQQSGLLQKAKYGEDVIIGVVDTGIWPESRSFNDRGYGPVPARWKGKCQAGEQFNTTSCNRKIIGARSYHRGISAESLKSDYNSPRDINGHGTHVASTIAGVEVQGVSVGGLASGVARGGAPRARLAIYKACWEGDGCPDATVLAAIDHAIHDGVDVLSLSLGGFGPEYSGTLHAVQRGISLVFAGGNDGPVPQTVTNTVPWVTTVAASTIDRAFPTVISLGNNEKLVGQSLMHNASLISSDFKDLIYARSCSAEFVASSNVTGKIVLCSAPAQAKFRPPRIAFGDALNQTLMAGAKGLIFAQYTTNLMDSLAGIERIMPVVLVDFEIAQRLISYFDTAGSPVVKFSPTMTVVGDGVLSPRVASFSSRGPSLAFPGILKPDITAPGVSILAARRDSYVLYSGTSMACPHVSAVTALIKSVHPDWSPAMIKSAIVTTDAVPRKLADPFDFGGGHINPDRAADPGLVYNVDAKEYNNFFNCTVGLLDGCDSYQLNLNLPSIAVPNLKNQVTTWRTITNVGPVEATYRAVVEAPAGVAVSVEPSVISFTAGSSRSATFKLTFTVKQRVQGGYTFGSLTWSDQSTHSVRIPIAIRTVIQDFVADTS >cds.KYUSt_chr7.31037 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193320546:193323722:1 gene:KYUSg_chr7.31037 transcript:KYUSt_chr7.31037 gene_biotype:protein_coding transcript_biotype:protein_coding MEACKVSAALLLAAILLLGPASAAASSSYYPAKVVGGLLTSTATAVVKKLWSLSLKSTTSTRTAAGRSMVRYEGGYAVETVFDGSKLGIEPYAVEVTPAGELLVLDSMNSNVYRVQLPLSRYSRPKIFTGSPEGLSGHVDGRLREARLNHPKGFTVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSMRGGHIDGPSDDAKFSTDFEIRYISSSCSLLVIDRGNQAIREIPLQPDDCEYQDEAGFPLGLALLFAAVFFGYMLALLQRRVLGMVSTTEEPQIPQRPTNAGVPPYQPYQSYQHPFKPSLRPPLIPNEDEAGKQDTEEGFFTSIGKFMGGAKSSVADIFSRKRRPTRQHHHQQQRRANPWPVQESYAIPNDETPPPLDTRAPTPRKNYTFMTTEPEKVHHVRHGQPYLSSWDAGVPQQQLEHQVYHHQQQQHRQQLEQQAYHQQQLQNRQLQNRQLPEQQAYPQQQHRRQPEQQMYHLHQHRQYSAGPQTFYEQSCETTNEVVFGAVQEVDSKRRMVEIKAVNYGDTFYEQYGMRYRNNYIGYNSNNNY >cds.KYUSt_chr1.32081 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194604315:194613043:-1 gene:KYUSg_chr1.32081 transcript:KYUSt_chr1.32081 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNAAPFKPLLPSPSSRLRAKPLTSGAVAATSPRRSVSASASSRRDFLLLVPSLAAASAILRPLPSAAADEVASPPPPPADPPAPTPPPPPPEPKQPDESPMSRVYDATVIGEPQAVGKEARPRVWDKLAAAKVVYLGEAELVPDPDDRVLELEIVKKLAGRCKEAQRGLALALEAFPCDLQQQLDQFMTGRIDGSTLKLYTVHWPAERWQEYEPLLNFCRDNGIKLVACGTPLQVKRTVQAEGISGLSKAERKAYAPPAGSGFISGFTSISGRSLIDKITSTRDSSFGPDSYLSAQARVVDDYTMSQIIMKELKDRGPSGMLIVVTGASHLIYGPRGIGVPARISKKIAKKEQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGLDLGVVSPEILQNFFDLEKYPVMTELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVITDTVRGSVVDFFTVWLPAPTISVLSYTDNDSGQSLDFVKGLLGSLPDNAFQKNAFGQNWNTNQRVAAVIIGGLKLAGVGFVSSVGAGVSSDLLYAVRGVLKPSVSAQTGRKRAPILKSAAVYGCFLGTSANLRYQIIAGLLEHRLGESLATRYNQPFLAGLLSFVARTVNSYLGTQQWVDLARYTGLQKIKEPLPSEEAGYRHVDTAAQYGIEKEVGKGLKAAMQNGIGRKDLFVTSKLWCTNLTPERVRPALENTLKDLQLDYIDLYLIHWPFRLKDGAHQPPEAGEVLEFDMEGVWKEMENLVKDGLVKNIGVCNYTVTKLDRLLRCAKIAPAVCQMEMHPGWKNDKIFEACKKRGIHVTAYSPLGSSEKNLAHDPVVEKVANKLNKTPGQVLIKWALQRGTSVIPKSSKDERIKENIQVFGWEIPEEDFQVLCGIKDEKRVLTGEELFVNKTHGPYKSASEVWDHEN >cds.KYUSt_chr7.2696 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15923654:15926983:-1 gene:KYUSg_chr7.2696 transcript:KYUSt_chr7.2696 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGTSLRSQARALLRWKSSVTYSSKHQLGTWRDDGMYPCNWTGITCGDTRSRGGTTAKVIRGISLGGAGLVGQLDALRFQSLPYLVNLDLSNNFHLSGAIPPGISSLSMLSSLNFSGDQLGAQIPESICNLARLTHIDLSFNNLTGQIPPALANLSRLVFLYMNGNRLSGNIPWHLGQLQNIRKMELSYNILSGQIPSSLTNLTNLYYLGLCGNRLSGPIPEALGQVQNLQELYLHKNNLDGTIPPSLGNLTMLKVMYIYINQLTGPIRVELARISSLTELDFSENRLTGSIPSSVAGNLTLMTFFSVWSNLLTGSIPHEFGKLVNLEKLYLTENFIAGSVPPSLGNISSLRQIAINSNILSGELPTEFENLANLELLHVYENQLSGSIPQSFGRLVRMTDMRLFMNQLSGPIPSVLSNLTNLVIIALNDNYLIGRLPDLCQSKKLQIFRVFKNSLDGPVPKGLRDCSSLTSLEISHNQIEGDISEAFGVYPHLIDINLSSNRFAGQLSPKWGSCQNLTSISFANNMIEGNIPSELGELENLGRLLLHSNRLTGEIPPEIGNLMSMYWMNLRNNQLFGPIPKQIGQLSNLEIIYLSDNLLSGKIPKEIGNCVKLQLLQMDNNNLSGNLPVNLGHLTSLQSMLDLSMNSLSGPIPPELSKLVLLMFVNFSHNQFSGAIPVSLASMQSLSVFDVSYNFLEGSVPKGFHNASAEWFLHNNGLCGDLVGLSPCDFPLVDHRQKHQNFILLAGLPMFVTTIAIAVGVIACFVCRKEVSKQSDDMNKRDVFSVWNFDGRMAFEDIINATENFDEKHCIGEGSYGRVYKAELQDEQVVAVKKLHAGNDEAHDEERFQHEIEMLTKIRQRSIVKLYGYCSHPGYRFLVCQFIAKGNLASILGNEEQAVQFHWQRRITLIRDVAQAITYLHHDVQPPIIHRDITSRNILLDVDYKAFVSDFGIARMLKPDSSNWSALAGTYGYIAPEFSYTSVVTEKCDVYSFGVVVLEVLMGKHPGDVQNFLSSLGDQFLLEEILDKQLPQPETDEAKDVKRSISVAFDCLLLSPKERPSMLKVYRDLVI >cds.KYUSt_chr7.14637 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90407872:90409266:1 gene:KYUSg_chr7.14637 transcript:KYUSt_chr7.14637 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLIPGQSISHLTPMMEFAEVCLRRGLAVTVVVPDPTLTAPAFRSAICRYASRLPSLAVHSLPPPPPPAHPHSLGAVHPFIRMQAAFRSQAPGLRDFLRSLPAVHALVADMFAVDALDVAAELGVPGHLFYCTGANNLAVFLQLPSFCSGSAGDLKDLGDAPVSFPGVRTMPASHLVDGVLDSGTELYAAVLDVFGRMSAARGILVNTFEALEGSVMAAIRESRCLPEHANPSVYCVGPLIADGEMGEERHPCLPWLDAQPERSVVFICFGSRCTVSLEQISEMAKGLEKSGHRFLWVLRTPPAFAAAAGEPDAALSLLPEGFLERTADRGLVVTASWVPQVGVLRHASTGAFVTHCGWNSTLEAVVTGMPMVCWPLEAEQWMNKVYIVEEMKVGIEVRGYKPGELVTADNVDATVRLIMDMESEGRRTVMERALAVKESAAAAWKEGGSSCAAFTEFVKQME >cds.KYUSt_chr1.32938 pep primary_assembly:MPB_Lper_Kyuss_1697:1:199967575:199969416:1 gene:KYUSg_chr1.32938 transcript:KYUSt_chr1.32938 gene_biotype:protein_coding transcript_biotype:protein_coding MASVETPQEQYATKPAAAGRSYWRWHKDDFFPEPSFASWGAYRSALAATPSRLRDRFTGRSTDANELGALRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPAIVLSYVVSGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDVAAFIAAANLILESIIGTAAVARSWTSYLASLINKPSSALRIHTSLAEGYNELDPVAVVVIAVTATMAILSAKGTSRINWVASAVHVVVILFVIVFGFIHAKPSNLTPFMPHGVPGVFHAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSVITVIYCLMALVLSMMQPYTAIDRSAAYSVAFSNVGMHWAQYVVALGALKGMTTVMLVGALGQARYTTHIARSHIIPPVFALVHPKTGTPVNANILIAICSACIAFFSSLDVLSSLLSISTLFIFMMMATALLVRRYYVRGVTTRTHATRFVVFLLVIIASSAGIAASWGAAPDRWEGYVVLVPAWVLGTLGIQLMVPTARAPKVWGVPLVPWLPSLSIATNLFLMGSLGSVAFVRFGVCTAIMLIYYVLVGLHATYDVAHDEDEGIDYSEDNTAESAGEKATVKTADVEKASAGDGER >cds.KYUSt_chr5.4381 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27911490:27916162:1 gene:KYUSg_chr5.4381 transcript:KYUSt_chr5.4381 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAPREDIVMEDEEQRPPLARALLHRSATNNISQVAMVGSKACPIESLDYEIIENDLFDQNWRTRAKADQVRYVVLKWTFCFAIGILTGVVGFLINLAVENVAGLKHSAVSTLMESSSYWTAFFVFAGTNLALLLFATAITASISPAAGGSGIPEVKAYLNGVDAPNIFSLKTLAVKVIGNIAAVSSSLHVGKAGPMVHTGACIAAIFGQGGSRKYGLTWRWLRYFKNDRDRRDLVTIGAGAGVTAAFRAPVGGVLFALESLCSWWRSALLWRSFFTTAVVAVVLRLFIDLCGTGSCGMFGKGGLVMYDVSTLFDDLMTYHLKDIPTVILIGVIGALLGALYNFLMKNVLRIYNVINERGRVHQLLLAAAVSILTSCCLFGLPWFAPCRPCPTEPGAPNGSCGSLNRFRQFHCPPDHYNDLASLFLNINDDAIRNLYTTGTNDVYHPGSMIAFFIASYIFGILSYGVVAPSGLFVPIILTGATYGRLVAMLLGNHSDLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLIMLVLLISKTVADSFNSSIYDLILQLKGLPHLDGHAEPYTRQLAVGDVVTGPLRSFNGVEKVGHVMHILRTTRHHAFPVIDEPPFATAPVLYGLVLRAHLLVLLKKREFLPVQERYPKEYNIEARFEAQDFDKRGSGKQDTIDDVELSAEEMEMYVDLHPFTNASPYTVVETMSLAKALILFREVGLRHLLVVPKACDVSHVLLYLFTWKLGLPPIDRGLTSKIKLQRSPVVGILTRHDFMPEHILGLHPVLLGSRWKRLRWQKGAVTKYFRSLIVSLANTG >cds.KYUSt_contig_1861.117 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:542108:542883:-1 gene:KYUSg_contig_1861.117 transcript:KYUSt_contig_1861.117 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSSCILLFIAILAVISWQAVASDPSPLQDFCVADNSSRVLVNGFVCKDPKVVTVEDFFLAAKLDMPRDTKMSKVGSNVTLINVMKIPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNTDNKLFSKVLNKGDVFVFPQGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPMISDDVLAKAFQVEKKTVDWLQAQFWADNHN >cds.KYUSt_chr2.31245 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192823468:192824979:1 gene:KYUSg_chr2.31245 transcript:KYUSt_chr2.31245 gene_biotype:protein_coding transcript_biotype:protein_coding MEESISSSSRSRTAGASFFYRDVHCCYPSVDGPRAAAEASEDSGWTAYFYDDEDGDRYLQLQASQEEPAAPALPAPRKKKKANRHGHGHGDRASASTVSTASKAKARKKKEVPKEEKKRPRWESADEDPLQDTASSMPANATPQLQLQAERSSTDAQVFFVRGYLFSVWRTEIYLRRSAHGWRIRRGILFPYMGGSIVYG >cds.KYUSt_chr4.17910 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112237928:112241033:-1 gene:KYUSg_chr4.17910 transcript:KYUSt_chr4.17910 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDECYDYYDEDEEGLLVDEDDVGLLEDEEALPEFRADHWAITRKSLSTAQQQELSMVMTLVNLEPHNARALLMHHRWKMDRITDFLERRGRDGLFKEAGIMVPPEENNTAFPFGTAADKGAHKRSIIATCNVCFDDVSQLSDVSTMDCGHCFCNDCWTEHFLVSLDSGRKHIHCMEVKCPAICDDATVRRLLGLKYPAAAKRFDGFVLESYLENNASVKWCPSAPHCGRAIRVDATDASDWCCEVECPCGVSFCFNCAAPAHSPVPCSMWDKWDAKFRGESENLKWIQVNTKSCPGCLKPIEKNGGCNHVSCPCGTYLCYACGGKLDASHNCNRYDEKTVASYDAIRRQMLRFTHYCDRFNVHAASRKAEQEGTLWPSILKRILQLESAPNVRPLNRDASWLARAYHALLASRLVLSRSYAFAYYMFGDEVRTRPADRASLAMGKELFENQQEQLERNAERLSKVLATEAPTVLEEDQVVRTMQETVNLAKIVDSHCREMYTCIQDELLPLLLETMNIAPYRPDGPDKAKDLPA >cds.KYUSt_contig_1948.45 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:279487:281481:-1 gene:KYUSg_contig_1948.45 transcript:KYUSt_contig_1948.45 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLVKAQARVRARQVRNALEGQVTQKKASENVHDDQAHEIEERWCGSIGSVEEMQAKALKRQEAAAKRERAMAYALTHQRQAAGSRKLKAATVEGLEVDENQWGRNWVERWMAVRPWENRLLDSSAKENVPTGDDKQAEDNEAQDVKKPVGKVPISSIHSNGSSQKKGAKHKKSSSDASGSSSEQSAAVVPATSLGSSKLKPKTPVETSEEVSSQPSNLQAPRSTSNPKERPAAQVNTPAKKRLSLPNKATASRGVGKGPASSSEKTRSIGSKNTAEGASKSDPKQRRSPASATSKRVQAQA >cds.KYUSt_chr5.20039 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130167245:130175086:-1 gene:KYUSg_chr5.20039 transcript:KYUSt_chr5.20039 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKASAASAKSVPQQQTSSGSRRSNVATQDLAKCASPGSAMSTKTVRELENSAARTKGKAPNTSKRTDGEGCEAGLSYFVDMPSVEDDLGDDPAVRFKMRFSVRRFEASMKCLTPKQVGFVVKYGYEPILELKHKVVFPMVIIDWVMDKMVPELAMFRHEHKRINFDKNMMQQFVGIPAGGTIAKLSSKDSVIVDKVNLLKAKYMGTGNKIFMRTLIDQLKGDEDEESFMRTFMMVLLGTILCPSTSDTVDWKFLYSLTDLATMTSVDWAALSLQVLLNEVANFKKKLLNFPNGIPSTAIYVGGCLPFLAVVYMDFLELNACSIQHNIDYGVPRLFHVDNADFKLLIQFDRIKCSGRVCFGAIDFREKSCTPYGNLQFEEFLLQKIIGSIIKSNKEKIAADVTKLLETSFHLMSAEIFSAISEHCQPPQVETPLKSPADDTHFKAQSTDTHSQPPTSETHFQPPTGSASNIVSSPRQEYDASDHVHFMDKVEVAVVKSSNCNDIVSEQDKVPADSNVTGDKMNCDAGTSSPQADPQCDTAINGNESVLDKDKDDDAGTSSPQADPQCDIAINDNEAVLDKDKDDDASSYNEPSDVVADAQADQPSVLDMKTHNEPVLDEVDTRDAETVHSLDPKQPPCPTIPSVADTLLDAGTASILQEDFQSDVVHSVDPEKSPPANLVMPNQDAVVIVSSAANFSHPQYDESGTSRESLMKAKTLLDDATASPKHLHFEDSAPLSSSLNAESHSDTIKSAAKDCDESDVNLGIDIAMSYASEDPKSPLSNDHTPLCTSSDEVKSDDVLKTGPSTIDKRKRKKRYAKRPCNSEERLNLIVDHSAETFYNKNIDGHTVTYERFYQSLKPRGEIANEVMDAFVQLFNNRNVKVKDDKSSLRKISFSPFFASKLNVPPEKFDAKSVTRELGKMNKKFNLAKCDLLHFPVVSDNHWTITSINLLFKKINFLDSLQDTDKEKKAIMASNIVTNFHNACTVSKCFSFTLDNYVPEIPLNLPQQTTTYDCGVFSFLYMEHWEGQEFKMFESALISNVRKVVAHALVSSEMNDMTTELECFKKQRLS >cds.KYUSt_chr2.19306 pep primary_assembly:MPB_Lper_Kyuss_1697:2:121410309:121413095:-1 gene:KYUSg_chr2.19306 transcript:KYUSt_chr2.19306 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSKVCDSHSTSLVLSNSNNRTVQPRAHYSTISRHLLSPSLRRHIDNANNQESVNSTLSVGERSIIMEECHDAMQISADLKCPLCRGSVSGWIPAGEARKYLDEKLRTCSHDSCKFVGTYEQLREHARTAHLLVKPAHVDISRKRSWDRLEREQEFGDVISAIRSQNPDAIIVGDYVIETRDTMSPDQDSGEESGDEWWSPVGDPIESPDNRHGAPRRWLDGRLGSPSNWADERRAFARFLPQPRLPFSNRRSSPADWQGMRRPSTRSLLREGFTNRQSRNSTSHRRYRRIFDRHYTGHGRADGPVSAFLMEDSSSSDDSDLEELLDDDDVEQTAVILAAKEILDVRPKKRKGSTMGRLCIPQNPALGYNLLMRDYFTEVPTYSLHLFRRRYRMRRSLFNKIVDACESNTRYFKRMRNATGLI >cds.KYUSt_chr2.7966 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49922706:49923470:1 gene:KYUSg_chr2.7966 transcript:KYUSt_chr2.7966 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLMLLLSFAFLLSAAGTATATPCHRDDLRALRGFAENLGGGGALSLRAAWSGASCCDWEGVGCDGASGRVTALWLPRSGLTGPIPSWICQLHHLRYLDLSGNALVGEVPKNLQVQLKGITNMPLHVMRNRRSLDEQPNTISGSNNTVRSGSKNVLAGNDNTVISGDNNSVSGSNNTVVSGNDNTVTGSNHVVSGTNHIVTDNNNNVSGNDNNVSGSFHTVSGGHNTVSGSNNTVSGSNHVVSGSNKVVTDA >cds.KYUSt_chr5.16055 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103434658:103436634:-1 gene:KYUSg_chr5.16055 transcript:KYUSt_chr5.16055 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQPGAFVSAAAAAAESTRRVLLSGLSPSNPLPPLTVRLLHGRLLRLDILADLSQFLLRALSSSGLHLHALALHFLFPDPPHLTLPFALKSASRLPNPLPVGEQLHARSLKLPSHSNPHVLTSLLSLYAKCGLLDHARQVFDEMRCPSTVSWTALIAAYMNAGRDREAVAAARDAFTSGMRPDSFTAVRVLTACARVVDLDTGEAVWRAAEQEGIATSVFVATAAVDLYVKCGEMAKAREVFDKMPEKDAVAWGAMVGGYASNGHPREALELFFAMQAQGVRPDCYTVAGALSACTRLGALDLGRQAVGAVNGDEFLDNPILGTALINMYTKCGSTGEAWVVFQRMRKKDVIVWNTMVLGLGMTGHGKTAFALVGQMEKSAVKLNDNTFIGILCSCAHTGLVQDGRRYFRNMIQLYHIIPRIEHYGCMVDMLSRAGLLQEAHQLINDMPMQANAVVWGALLGGCKIHRDTKLAECVLKQLILLEPRNSGNYVMLSNIYSKSNRWEDAAKLRLDMKAHGVEKVRAYSWVELSGKVHEFCVGDKSHPLMDQIYKKLDELGMEMKNMGYKPTTDVVMFDVEDEEKEQTLVHHSEKLAIAFCLLSTPPGEVIRVTKNLRVCTDCHTAIKLISRIADREIIIRDNNRFHCFRDGSCSCNDYW >cds.KYUSt_chr4.12480 pep primary_assembly:MPB_Lper_Kyuss_1697:4:76333853:76334263:1 gene:KYUSg_chr4.12480 transcript:KYUSt_chr4.12480 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRRKVFAGPVVTLKVFEDNVLVREFLEEKGQDRVLVVDGGGSLRCAILGGNLAQLAQNNGWAGIVVNACIRDVDEIDGCDIGVRALGSHPMKSNKKGMGEKHVPVAIAGTRVCDGEWIYADADGILVSRTELIV >cds.KYUSt_chr1.39224 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239944205:239948584:1 gene:KYUSg_chr1.39224 transcript:KYUSt_chr1.39224 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARQRTRNADERRSPQADDGGGAAEEYDPWTAWLYKPRTISVLLVGACLLIWASGALDPEGAASHSSVTSIKRGVLAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALTFLLFQNRDDARQFMKHLSPDLGVELPERSYGVDCRLYVPENPKNKFINIYETLFDEFVVAHVLGWWGKAVMIRNQSLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWQPFMGPLRFIQVLFLCVVFMMVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSVAICIVELLICMKFGHGELSLFTC >cds.KYUSt_chr2.6344 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39546199:39548747:-1 gene:KYUSg_chr2.6344 transcript:KYUSt_chr2.6344 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMALLLVVLAVAGQVLLPPAQCQRLNPEIQDTGGLSRHGFPAGFVFGTAASAYQVEGMAKLGGRGPSIWDAFIAIPGTIAGNGTADVTVDEYHRYKEDVDIMKSMGFDAYRFSISWSRIFPEGAGKVNQEGVDYYNRLINYMLQQGITPYANLYHYDLPLTLHHQYLGWLSPKIVGAFADYADFCFKVFGDRVKNWFTFNEPRVVAALGYDNGLHAPGRCSKCAAGGDSRTEPYLVTHHLILSHAAAVQRYREKYQHQQKGRIGILLDFVWYEPLSNSTADQAAAQRARDFHLGWFLEPITHGRYPDSMVKIVERRLPAFSADESRMVKGSIDYVGINQYTSYYMKDPGAWNMTPVSYQDDWHVGFAYERNGVPIGSRANSDWLYIVPWGINKAVTYVKERYGNPTMILSENGMDQPGNVSLADGLRDTVRVRYYRDYISELKKAIDNGARVVGYFAWSLLDNFEWRLGYTARFGIVYVDYKTLKRYPKDSAFWFKNMLSEKKKS >cds.KYUSt_chr1.23515 pep primary_assembly:MPB_Lper_Kyuss_1697:1:139803724:139805842:-1 gene:KYUSg_chr1.23515 transcript:KYUSt_chr1.23515 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQDFAFGWEPWTAPALEDLLPQLSREEQLRVQDYLRQHQRVHKDFRISRHSEGERDFIIIRHVRYALHHYNAKHPGEEFDAVKPLMEASAFFRGQMWYHINFWARCRKTKKIKRFFAEVHYKAPFSSFVLPPPIPGAEKPLSSSNSVCSDLPPPVPGYEKPPSSSSSVCSDLPPPVPGAPSSSSSSVCSHLSHSVPAAPSCSSFFPVFIPIVEACTIIEEPLGRHWKSCAFCRGHLDILHPKGRKFVCGNDKDRAVQRIKPCRSPMGLKMPFTCHLGRDSNN >cds.KYUSt_chr3.31445 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197513964:197514224:-1 gene:KYUSg_chr3.31445 transcript:KYUSt_chr3.31445 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRPGSALTERPAGAGQGPADAWGSGCLGAAALSLCGWTRVSCLGFLALCDGVSGKSSACGADGANELRAYGVGALPSPHAIVT >cds.KYUSt_chr1.37729 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230336500:230339814:1 gene:KYUSg_chr1.37729 transcript:KYUSt_chr1.37729 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGSFRVRQYPRYFSGEDDGDHAAAGVRCPNCGKSFRVLKTSLKNGPQFCPYCTQPFSEKAPSGPGTIVDIEADVTDIE >cds.KYUSt_chr1.41599 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255066330:255070701:1 gene:KYUSg_chr1.41599 transcript:KYUSt_chr1.41599 gene_biotype:protein_coding transcript_biotype:protein_coding MQGATLVEDSMVVMVPPLVIRATVIDPGRFAAPSACAPPSSRGRRLALIVPVGHQDHAWSSTAYPAIGSLSTALGSLFLCHGMRKASIDRVVAMTISESIDVQVAGEPGCAPSPSPEALLIHVPSRHESTRGDTPCSVSLSVPASPSGLHLAQLGMPPLPPVNGEGAVPPSKTKLVHHPSLPQLLNQARHHSQPTLVVRDAGGEAPAVPRSDSTRERDRRFDHFKTFSGRLERQLSALRGQPQEPLPDAVDIERGHGAASKISEEDTDEEEDVPSADRYFAALEGPELETLRSTEVAVLPKDELWPFLLRFPISAFGMCLGVSSQAMLWKTLESEPSTAFLRVHPAANHVLWWISVALTVLVSITYLLKVVFYFEAVRREFHHPVRGNFFFAPWIACLFLVKGVPRPVDEIHHVVWYLLMAPILCLDLKIYGQWMSSGERRLSKVANPSNHLAVVGNFVGALLGARMGLRELPIFFFAVGLAHYTVLFVTLYQRLPTNVQLPKELHPVFFLFVAAPSVASMAWARISGEFNSGAKLAYFVAMFLYASLVVRVNLFRGFRFSLAWWAYTFPMTSVALATVLYASEVDNVGTRAMAVGLSGISAVTVTAVLATTVYHAFVRGDLFPNDVSIAITRRRPKFSKILAHLRLSSSDDKELVFSIPSFSSNSNLGAYSDDSSSNSKMSSSSDQSPAMANGHGRSAEP >cds.KYUSt_chr3.43891 pep primary_assembly:MPB_Lper_Kyuss_1697:3:277120143:277124249:1 gene:KYUSg_chr3.43891 transcript:KYUSt_chr3.43891 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYLKLLLLLALGGVTMHVPDADVLAPLGPGALRLHGHLSFHDVAAVARDFGNRCSLLPAAVLHPGSVSDIAAAVQRVFQLGERSPLTVAARGHGHSLLGQSQTAGGIVVKMESLGGNARMQVHNGDGATTPYVDAPGGELWINVLHETLKHGLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQISNVNQLEIVTGRGDVVTCSPEENSDLFYGALGGLGQFGIITRARIALQPAPKMVRWIRVLYSDFASFTEDQEALISAEKTFDYIEGFVTINRTGILNNWRTSFKPQDPVQASHFQSDGRVLYCLEMTKNFNDDEADIMEQEVGVLLSRLRYIQSTLFHTDVTYLEFLDRVHSSELKLRAQGLWEVPHPWLNLLIPRSTIHKFASEVFGKILKDSNNGPILLYPVNRAKWDNKTSVVIPDEEIFYLVGFLSSAPSLSGHGSVEHAVNLNNKIVDFCEKANVGMKQYLAPYTTQQQWKAHFGARNMHEHQGYGRIKNIAADHLVLSIECELVHVCQGPDKNWITLERNEGTCTDLTFNTDKKENKPD >cds.KYUSt_chr6.23241 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146786393:146788547:1 gene:KYUSg_chr6.23241 transcript:KYUSt_chr6.23241 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCHLNELELETTEIKDVLRCILHTIFFHRTLTLVRPKDVDCDLFEITYVQCGLAELEKEVDEKINQFIAWAEKHPNRKSQVCLSFFDEKNKHPGWFSNKTERIYWEQWFINLHVTSPKGQGKSRGSKGPTNSKGQALEEASSSSRRDALGLLIQEVLFQIINYANEKKDHIPPISDRIFNHEILIPSSSDSVFGWNADVLRRALSSGHSYSLN >cds.KYUSt_chr4.22838 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143639643:143644440:-1 gene:KYUSg_chr4.22838 transcript:KYUSt_chr4.22838 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGVDTRPAAAAGEGALSFISRSLREDLRLIRARAGELETFLSAPVPEPDLFARLRRAYTTSSSSPGSTRLDLSAIGKAFEAEVGRGWGARAAGWKWEEEEEDAGVWDPIRAVKSRLRDLDRKRQDQASDVLHKVKLSLKSMSFAPEASEEVPPLDLNELLAYFLKQSGPLFDQLGIKRDVCDKLVESLCSKRKDHSAYDLLSTSEHSSFRNDNVCDDLDLRIASILQSTGHNYEGGFWDDGQKYDVADKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSSKQYVTLMVPWLCKSDQELVYPNNMTFSSPEDQETYIRDWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAVQAFFVKHINNLVARAYCHKILRLSGATQDLARSMICNVHGVNPKFLEVGERIAAERESGQQSMSKGAYFLGKMVWAKGYRELIDLLAKHKTDLDGFKLDVYGNGEDSVEVQSAAKKLDLNLNFHKGRDHADDSLHGYKVFVNPSISDVLCTATAEALAMGKFVVCADHPSNDFFRLFPNCLTYTTSEDFVAKVKEAMTRDPQPLTAEQRYNLSWEAATQRFMEHSELDKVLNNSTDSASTSGRANSVDGKMRKSASLPNMSDIVDGGLAFAHYCFTGNELLRLSTGAIPGTLNYNKQHSSDLHLLPPQVQNPVYGW >cds.KYUSt_chr4.26974 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169404213:169405250:-1 gene:KYUSg_chr4.26974 transcript:KYUSt_chr4.26974 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAMSRLVKATIAVVILAMLFMPAAMAATVASFDATQSQRIPLPDGSVRGPESVAFDGKGQGPYSSVSDGRVLKWNGDELGWTTYTSSPDYNSDACRFAKLRPATNPETLCGRPLGLQFHHKSGNLYVADAYKGLMRVGPGGGEATVLVSEIDGLPLRFTNGIDIDQITGQVYFTDSSMNYDRSQHELVTNTHDATGRLLVYDPQTGDVQVLQAGLAYPNGVAISYDRTHLVVASTGPCKLLRHWIEGSKAGTTEPFADLPGYPDNVRPDRKGGYWVALHGEKNEWPFGVDNHLLAVRVGRDGKIVEEMRGPKRVKPSEIMERADGKLYIGTMDGPYVGVATRT >cds.KYUSt_chr2.48964 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306387518:306388189:1 gene:KYUSg_chr2.48964 transcript:KYUSt_chr2.48964 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDCGGHKGGGSGCECHKRKLYRKCCGFLLAVILLALFVILVVYLVLRPHKPQFYLQDLAVLCLNVTPPASAYLFTTMQATVAARNPNDRVGVYYDSADVYAQYKGVAITVPTRLPVAYQGHRDQSVWSPYLRSMDNVMLSPELAIALAQDETAGYVLIDIRVDGWVRWKVGTWISGHYHLRANCPALIRVNEGKGSYGATTGGGTDYFRFQQAAACNVDV >cds.KYUSt_chr2.7728 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48469605:48469850:-1 gene:KYUSg_chr2.7728 transcript:KYUSt_chr2.7728 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLVPARRAAALLAELVQRIASAGAAAAECPAIVFSSQWGLPALSHSASGSASRRARLRAFYLERSLSAGSSSGAASPC >cds.KYUSt_chr2.35178 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217071999:217072634:-1 gene:KYUSg_chr2.35178 transcript:KYUSt_chr2.35178 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGYVYSRRVLLHGHRESYAVARQEAPAGDGAPVAGSTFDANVVMILAVLLCALICALGLNSIVRCALRCSSTRMTPAAAGSRELGSVARLAQAGVRRKALRAMPTLVYSAGQALGPSTAPACAICLADLEPGERVRVLPKCNHGFHVRCVDRWLMARSTCPTCRQPLFGEPQKASGCAEAGHQDDGGAAPVRSFFVPLPPEGLVTPYDF >cds.KYUSt_chr7.10692 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65766816:65774201:-1 gene:KYUSg_chr7.10692 transcript:KYUSt_chr7.10692 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVCPYCVNAVQPALVYGSLLCPGGLTVFAMVAHAGRQVFDVMDFGAIADGETDDSKAFLRTWTKACASPGRPSVVVPKGEYRLHPVVFRGPCKGYMELRLSGVLRAPDDLAAFRGSHEWINFANVDGLLVTGGGTFDGRGASSWHLNECPRNPNCTPLPVVSHLGRVRNVTITGVTSLDSKFFHVIIIGSQDVAIHRVTIRAPRDSPNTDGVHIQASSNVRITDTAVATGDDCVSVGPGSADITVSGVSCGPGHGISVGSLGRRPGEQDVRGLRVSNCTFAGTANGVRIKTWRGGVLPGSIVSGLVFEDIVMRKVRNPIIIDQEYCPYSSISCRHESAQLPSVVKISDVKFKNIRGVSATQVAVKLCCSGANPCHGLELRDIDLSYVKRSVATESRSQGDCNDAKTSRNRADVPAEGSGAHTILWLFLVPCVEVQALAAKTNGTVRVWLDIDGRDCIFTARMSEPAVTMTTNAVAPFGSFVASRGPVSIHVGPAHCPLLLGCDETSKAMLFTSLVHRSPFSSRSRKSWFRAATKASSL >cds.KYUSt_chr5.19445 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126146292:126146729:1 gene:KYUSg_chr5.19445 transcript:KYUSt_chr5.19445 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTSPARDRRHPRPPPPTAAVPSSLAVAHGAPPAIPTVVVLQAPYRPPDAPTLETNQAPPLTFFSHSLIGMHLLSPPPQPTVLQADVEDDGASLPCVLQDDAASSVSHRCCKGMRQRTAWWGKLQRLSAGAAKVGIGCCKGHS >cds.KYUSt_chr2.49115 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307459928:307466083:1 gene:KYUSg_chr2.49115 transcript:KYUSt_chr2.49115 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGGGADDNPFSNGGGRGGKQQHGFRPTEPVGFGSGGGATVDVPLDSMGDSKARELSSWESDLKRREGDIKRREESLKNAGVPMEDKNWPPFFPIIHHDIANEIPANVQKLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLMWYRPLYRAMRTNSAFSFGWFFLCYLIHIGFCIIAAIAPPIVFQGKSLTGILAAIDTFSEHLIIGIFYFVGFALFCLETLLSIGVLQKCSMHVLVTFRGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALRGIPLSYLMRFRPL >cds.KYUSt_chr2.2409 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14562903:14564858:-1 gene:KYUSg_chr2.2409 transcript:KYUSt_chr2.2409 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSLAWLQAHSPSRPRSWSQSNLRRRLPQALRRRRLPELEAVANQTCAAASPRLPMPSVDLICRRGRSTPPAPAAGMTPPSPCCTPSLTTHALPRDVALRDNIAFLGGPPHPCPSSAQHGFCSFSPANSGRRPSSRSHISKIYMSNSLLGSMAACQHVVVT >cds.KYUSt_chr7.6243 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37582179:37583096:1 gene:KYUSg_chr7.6243 transcript:KYUSt_chr7.6243 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr6.1844 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11064429:11065073:-1 gene:KYUSg_chr6.1844 transcript:KYUSt_chr6.1844 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKSGNAGGGGVEEAAVAVAREFVLRVAMHCSCNGCKGKVRAAVRDITLARGVEAADSSAAESSGEVRLLATADPERLRRRLHKATGKKVDLLLPKEPAPSKKQESADAAALQALLAQLQAPAPARQQYGGGHGTAAAWAANQQQQQHMGLGHGWNGGAGGYGAAYPWPPSSSYYTAAPSTSWGTYGYAPPAPAPVHGNVGHYGGTPAWLGY >cds.KYUSt_chr3.44963 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283241230:283242047:1 gene:KYUSg_chr3.44963 transcript:KYUSt_chr3.44963 gene_biotype:protein_coding transcript_biotype:protein_coding MPMMAEPVKLIGCFESSVVHRAEVALRLKGVPYELITEDLNNKSELLLRHNPVHQKVPVLLHGDRPAICESLIIVEYVDEAFQGPLLMPADPLARSAARFWASFMDKECGESMWMALWTDGEAQAAAARDTKTNLTLMEGQLPEGNRFFGGDAIGYLDIALGWIAHWMGVFEKISGARLLTEEEHPALCRWAREYTADETVRQCLPDRDRVIAALTPRKELYISIAKAMPAQR >cds.KYUSt_chr4.41498 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256738567:256749483:-1 gene:KYUSg_chr4.41498 transcript:KYUSt_chr4.41498 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATRPSNATLLARLGDGTARFELLEDPAPAPASPIWPQLHCFARIGPSLRGGWSAALNKVEHYGVQRVTGDGRCMFRALAKGMAKTRGIPLTPRDEVQDADDLRLAVKEVICDNQTERQKYEEAIIAITVDESLKRLEFLQLLICFDVVNLEMLMVTPGFVGTAKGSDGLISGVESQNSWFCLDCVGNQLLSTFRSVRFVALALNDHGVHDQRCVHHLPHLRLGPSERRVPGNSETLPKHSKSTLNNLAGAQDQIGEEETAATTATMFSGTQQKCKVCTKTVYPMDQLSTDGAVFHRACFKCHHCKSTLSFSSYSSFEGVPYCKPHFAQLFKETGSYNKSFQSQSPAKSATEKLTPELTRSPSKAAGMFSGTQDKCATCGKTAYPLEKVTVEEKSYHKSCFKCSHGGCALSPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCATVKRAEAQTALDAQAAQAAAADASS >cds.KYUSt_chr2.9072 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57049057:57052949:-1 gene:KYUSg_chr2.9072 transcript:KYUSt_chr2.9072 gene_biotype:protein_coding transcript_biotype:protein_coding MDERGSDDEGPVVPLVPWVWLWFGSSVLPCRARYQKRWEMVDMLTAIGDSHHEVEVTMQVMRSRPRCRYCLSFLKAMNTNPVQFDIGAPGSIQVTGDREIVITESKAEKAGRCSTYNLFFYKGRFSFHHPYVMRSLGYGRGVGAYSDYTFAALPSFRETLGEWVVEASGAAEMGRFTEKFIDIVSQTVKGMKALHDHGFHCPSLRPKHIAIKLENGCFIAQIWNFQPFSSDMGEPKGWRGLASLLLEAACNNGAGGDESVEIDDLCTKMRSGKLKGY >cds.KYUSt_chr5.6755 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41848774:41851070:1 gene:KYUSg_chr5.6755 transcript:KYUSt_chr5.6755 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPPPSPPSPSSSAPLPAADAPPPRETVAAAAARHAAVPLLEAVAIRATHRRTPPVGARMVLDEMHSLPGTRSPPSQVHGAVGVLAGLAQPHDGGPAAGRHAPHDGHLPPHVRRVRRPRSCAAPRVRGPRGDALRMVLHASDSPVAASRQRRVTPNSPRPSSLPSTYRRANSAAVVLATSSVGINPVMVVLETPPPRIPSRGILEIASGTLPERGFISRRTLHRHDRLRSDEFLVGAGIPGVAPHHISRPSTFNVLLGSY >cds.KYUSt_chr4.54333 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335828164:335831602:1 gene:KYUSg_chr4.54333 transcript:KYUSt_chr4.54333 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSRLHIPVPLPPAVASTALPPISSSTTTMEDLRAKRMACSGGVGGETSAASRIIAQWAARRRQAREQMVLDLDRRDRDSELLALARLHAVSTMLDASSFLRANDDDDGGERRALSPERALVRRIAREWTAPAPPQQSSPRGEGAQGEQWLGESERERVRSVRERVRRASVGDGEGDAHAEQRDRRQRDSDQPRLRERRNVVARMAMERQRELQGLSEHRSVSSFAHRGRIQSLLRGRFFHGGRPMHDDRLHAVATRELGQLRQRHAVTRLREENRFRTEDIANDHASNRSGSMGAENSTAGSEHRTSIDHVLHEGDHQLGNANVNHEIQMFQSTEDPSVNAGIALPNNNDGSHNDIDQEHMLSYDEYSESGSSEQGSEQSGSSSSSPSDNNSVRQEAGTHGQPDNLQWSREMSSSEEGEDGVSEDGDEEWNTINSQEASEPHWQSHQSFSAGTYNNWFGPPEDAVYGVELRELLSRRSVSNLLSSGFRESLDQLVQSYARRQELDERQTPTSGRALSEDHAGRGIDEPNRGDWNHRQAVHCPEFECDAIHVLREDLTGLQRGMTNMQQMLRACMEMQIDMQRSIKQEVSSALNRSIPIQGRADGMLGDPSRWMLARKGTCCVCCDNQIDSLLYRCGHMCMCSKCATELLHGVGKCPLCRAPIVEVVRAYCIM >cds.KYUSt_chr5.21108 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137491976:137493171:1 gene:KYUSg_chr5.21108 transcript:KYUSt_chr5.21108 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFLRPFPHAHGPAAVNVRLRRSQHHQPSSLLPCRGSNLKSMAQDPSHPHRQSKEHDTPPTTSQQELTQQLPPAPSPPPRDVQEPSTSSSSGSSSDTSSWLKLGIGQSPGSPSPSSSARRKRPRNDYEAGPSTSVQPTAPPPELGLSLFPAGSSSPSAAAAVASGVVVAAAPPPVHEAGTWFVLHAAQNQDGRITVSVVMSYLVNKLGLEDDSQNSDFTVLVGIGFEPLTGVSFVN >cds.KYUSt_chr6.28756 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182180342:182181561:-1 gene:KYUSg_chr6.28756 transcript:KYUSt_chr6.28756 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTEVKTRPDPKVEIQEKGEVFFFYRPKVGKEEAHSPDDVQRMYVVLRPESVPEGAVEEKQAPDSGKEGKKRKTRHGGDDQGDGGGGEEGGHGKEEVNVEERPLLRLIVMGKKSLPDPAKHGRPYWGYVDLVTTDVQDIKDALKGAEYETKTRGKRQLAAARALGEGVYRIVKHDAPGGRGGREHTHLVYKLELPLPSAAGSEEDGVGEPQEAMNVEPEASFLVQIKNPEQGGGGGGFGGLQGKRKAAFPEHLQGRFGSNRYAPADPPDLLNYEGCELLLISASDDVEEELGLELHTETENETERAGGGDGEGRAGAGCSDLVKMFGEVADVKPLLSGSWD >cds.KYUSt_chr2.27130 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166345869:166352856:1 gene:KYUSg_chr2.27130 transcript:KYUSt_chr2.27130 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRSLRRSLAPDTCHRLLLQARGFVTAECHRPVVLHKRGPDILHDPWFNRGTAFSMTERDRLGLRGLLPPSVVSSQQQIDRFMGDLKRLEQNARDGPSDTYQLAKWRILNRLHDRNETMYYKVLIDNIEEYAPIVYTPTVGAVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGMGISIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGEEYVEIIDEFMEAVFSRWPNVIVQFEDFQSKWAFRLLQRYRNTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVVNAASRTMARMLGNNDVAFESARSQFWIVDAHGLVTEERADIDPDARPFARRKSELTHQGLSEGASLLEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPEEAFSILGEKVVFSSGSPFDNVDLGDGKIGHSNQGNNMYLFPGIGLGTLLSGAQIVSDGMLQAAAERLASYMKEEEVLQGIIYPPTSRIREITQEVAAAVVREAVAENIAEGYRDMDARELARLSEEETVDYVKNNMWNPVYPTVVYKRD >cds.KYUSt_chr2.43459 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270497442:270499741:1 gene:KYUSg_chr2.43459 transcript:KYUSt_chr2.43459 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFTDLSCPAASADDLAPGSVDSQLWLACAGSMCTVPPVGAAVVYFPQGHAEQATAALDLSAALVPPLIPCRVAAVRFMADAHTDEVFAKIRLVPLRPGDAVVDVGPAAAHDDPRPSKPASFAKTLTQSDANNGGGFSVPRFCAETIFPALDYGDEPPVQNIFVRDVHGEEFKFRHIYRGTPRRHLLTTGWSNFVNQKKLLAGDSIVFLRSEAGEVHVGVRRAKRVFCTDDAHSGWDHYRGLTRGGNATSDAKGKVPAEDVVAAARLAAAGQPFEVVYYPRASTPEFCVRASAVSAALQVHWCAGMRFKMAFETEDSSRISWFMGTVAGVHAADPIRWKNSPWRQLQVSWDEPELLQNVKRVCPWLVELVSSMPNLHLPSFSPPRKKQRIPSYADFPLDGQLFHPPPPFPPNHPLAHDQLMHHHSFPVFPFPTNGGAPHLAGVQGARHPQFPPSFPDLRVTNLQQPSLPYSGTRRPAADHADPGISTVLTIGTSPVREDDAACILPKKAFDAAKAPPPPTLHLFGQAILTEEQMKSSGSCGSPNWEAEKVSEEGSGSGVIQGSPSNNNNTSSWRLGDSSSQSSSELGLEPGQCKVFVESDAVGRNLDLSALSSFEELYARMSDMFGIDGAELRSHVLYRGAAGEAKHVGDEPFRAFVKSARRLTILADAGSDNIGSS >cds.KYUSt_chr4.22979 pep primary_assembly:MPB_Lper_Kyuss_1697:4:144626886:144632963:-1 gene:KYUSg_chr4.22979 transcript:KYUSt_chr4.22979 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELLSRILLLLFGYAMPAFECFKTVEARPNDAHMLRFWCQYWIIVAMVIAVESFISWMPMYGEIKLAFFVYLWYPKTKGSDIVYDTFLRPMVMQYEPNIEQRLMHLRARSGHLLSFYMKNFADKGTTFFMDVLRYVVSDEPQASISEQRNKKSSGGTGWSPFATKRRPPSPPPQESIFGGGPVDLDAAAVAQVIRSSLAGAKPPRRQYSGKY >cds.KYUSt_chr7.31183 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194217976:194219553:1 gene:KYUSg_chr7.31183 transcript:KYUSt_chr7.31183 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGTKQNGTGAVVVDTAPPQRNFLDGEEFRRQGHQVIEFIAEYYGRIGDYPVNPRVTPGFLRNRLPADPPSRPEPDAFSSALEDIRDVILPGMTHWQSPRHLAHFPASSSTVGALGEALAAGINVVPFTWAASPAATELEMVVVDWLGKALHLPASLLFAGGGGGTLLGTSCEAILCAVVAARDQKLAEVGGKRIGDLVVYCSDQTHFAFRKAARIAGIQREHCREIATCHDDMFALSPTELQACIQADVDAGLVPLFLCATVGTTQTTAVDPIGELCAVASQHGVWVHVDAAYAGSALVCPEFGDVVEGVELVDSFSMNAHKWLLANNDCCAMWVKEPRALVAALGTEQEYILRDAASEGHEVVDYKDWTMTLTRRFRALKLWLVLRCYGTDGLREHIRSHVRMAEGLEAMVRADARFEVVTTRRFALVCFRLRPLQSMGGEKTANDINRALLEQVNAVSLGPYVSSAKVGGMYLLRCAVGSTLTEERHVKDAWRVVQDQASAILAKMERLYKDLLKLPYPSY >cds.KYUSt_chr5.13772 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89551523:89556832:1 gene:KYUSg_chr5.13772 transcript:KYUSt_chr5.13772 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNSMAAPLALLLLVLAASALSSLPTPCLAVTSPYVRPRARATLSVPAHADADGQTPQQVHVSAVGPDQMRVTWITDDDAPATVEYGTAPGQYPFSVTGSTTAYSYVLYHSGNIHNAVVGPLKPSTTYYYRCSSDPSREFSFRTAPSGLPFNFVIAGDLGQTEWTNSTLQHIAAADYDMLLLPGDLSYADLDQPRWDSYGRLVEPLASARPWMVTQGNHEVEKIPLIEHPFKAYNARWRMPYDAGASPSGSNLFYSFDVAGGAVHVIMLGSYTDYDTGSAQHRWLQGDLARIDRSKASFVVALVHAPWYNSNEAHQGEGDAMRDAMEAMLYGARVDAVFAGHVHAYERFTRVYGGKEDRCGPVYVTIGDGGNREGLAEKYIDPQPSTSVYREASFGHGRLQVANTTHALWTWHRNDDNEPVVTDQVWITSLASNPACNKK >cds.KYUSt_chr1.7625 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46820595:46825815:-1 gene:KYUSg_chr1.7625 transcript:KYUSt_chr1.7625 gene_biotype:protein_coding transcript_biotype:protein_coding MMTESRGQGSNLLFGEVAPESPTRSPPRLPSDSGSPPRRSMEETSESDSGLAPERSARGTKRKRVTEPRDGGSAGSGSDSSGSPFRRPKAPLMPICTKEDGTVLYGFTDDQDVMDKYHDDMQKYIKKRDRHKRMLTLAPSSVTERRTVKETESVLKCAESVLSLSAYLDGKMINKCTGIVVEVDAFKNSAIILTSAWLFCTKKPLDDWTKKEYATEAKCGTGGGVLDAGGKIVGMLFYKLPLVAFIPSSLILKCSTMWQHFRQLARPQLGLKLRTLAFLDIPRIELMSRKFSISSGLIVGEISAKCDAEKLGIRAGDIIFSCQKERVSSIVQLEHVLLGVGEKHLEKSNDLSSKVEVETMKMLRQKETLERKGPQQLHVKQSHENVDVISIHDFFVMVIRYLARRYLGFLLYTLKNGSLPLE >cds.KYUSt_chr6.4330 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25057429:25057761:-1 gene:KYUSg_chr6.4330 transcript:KYUSt_chr6.4330 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAGGAAATAGNRCYMELAALRSAADATTDGRRCYDRGVALLRRSAAVATWGGWRSYMELAALLRRSAADATSGGRRCYIELAALLRSSATNATTGGGCCYMEPEALL >cds.KYUSt_chr4.11071 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67236250:67236906:-1 gene:KYUSg_chr4.11071 transcript:KYUSt_chr4.11071 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNATGLGWDSETKTMDADNDWWQTHLQYRPEHVKFRYGPPANLTQLDVMFNKAHVTGESSAIPGEEEMGADKEAAIPVDDDDDASKKTPNFVKRLKFSGGEKEKESPFYRDYKTALTSLVSFGRGDAGGSSGMGDSVPTMKEFLSMVRECGIKECQDRYMITAFCNTAWTSIHFSSQPLLMVVL >cds.KYUSt_chr5.6793 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42060702:42061579:1 gene:KYUSg_chr5.6793 transcript:KYUSt_chr5.6793 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAATDEAHSKSAAKSDAIQSRGKKVMGKLAVKSNGTEKPKTNAVSDVTRGFAVMSVTEEIARVELLALYSYQNAFWIKNFPGVCDRPSVDAIRKQAVENWKCFNDSDKAPYIARAHVNKIRIAKANEFKKVVTKI >cds.KYUSt_chr2.54138 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337882452:337888321:1 gene:KYUSg_chr2.54138 transcript:KYUSt_chr2.54138 gene_biotype:protein_coding transcript_biotype:protein_coding MFACTDPVQATALGVFDEMPHPKTSAIIMPASRRAHLALTGPQHTVASLLIHLFCFSPLQGPLLLLPLPCCLREMAGFLGTVVDAAIGWMVQSILGSFFTGQMEAWTREVGLAEDVEKLKFEMRKVEMVLAAAEGRRIDNKPLARSLDDLKELIYDSEDVMDELDYHRIQQQIEQGNGSNPEGSHASSSAPSSAFELVYNATSQITSWASCDRKRKRENEGPAHSTIITFEVKDDISKRINIIVNHLCTIGDSVQRVLQLAIAHPIATPSQSQIIARNARMTTSVPIERKVYGRDAERDRIIELLINRGSNDLNVLPVVGIGGVGKTTLARYVYSDERVSGHFELQMWVCVSTDFSERRITLEILEHVCKDRREYENISNFNVLQEILLKYIGNQRFLLVLDDVWEDRDRRGWDELLAPLRRSQVTGCMILATTRRKSVAKLLGTMTEVELNGLDEQEFWLLFKAYAFGNENYEGHPSLQSVGKQIAKALKGCPLAAQSVGALLNTSVSYKHWRTVQDKWKSLQEDADDILPILKLSYDYLPVHLQRCFSYCSLFPEDYKFYGEKLVHAWISQNFVRCQDPTMRLEETGNQYLDRLVDLGFFQKVGSHYVMHDLMHELAVNVSLNECATIHGLKSKEIRPTVRHLSIITPAFKDKDVHGPNEKFDKVIQKVRSWHKLRTLMLFGESTENFLESLRTLCKEAKCLRLLSVMHADISSINNFVSPCHLRYVSVSGLHLKQFAGQPLTRCYHLQVLDVGIFRMFEVPTGMNNLIYLRHLIAHEKVHHAIACVSNITTLQELKFKVQNVGSFGIGQLESMNELVLLEISQLENVKTEEEARGSSLIDKEYLKTLSLSWEDSSMSLQPEAAKDVLEGLQPHQNLKTLKITGYGGTSPTWLSSTFSIISLEILHLENCREWRNLPILEMPFLRKLTLIKMLNVMEISVPSLEELILTDMPKLEKCIGSYGMELTSHLKVLMIKNCPQLNEFTLFQSYSSFDAEQKSWFPSLKKLSIYNCPQIIKWELLPLREMVALKKLELMDLHVSPDLEFCGGKRDFLRFTSLAVLVLDGCPKLVSSLVGHMGERKDDGSMEAGLLPPSLEDLQLPENLGSFVPEGLLYLKKLSLVNSPYLKYLQLHPCIALEELHILGCEHLAVLDGLQFLTSLRFLHMVMNPELSCAWEHKLQEQEQTGNRIQLLPPSLEKIVIEKLTDGVQSGLLTCLPTITRLAIKESPTLTSLQLGCCRALKELEIGNCISIASIEGLQFCRNLTSLKVFSSLGVGSFLELVPHQQGASEIWSGLEALEISDASVLSVPLCKQLTSLRCLQFGPQLGEQPEIMVSLTEEQERALQPLTSLQELEFSSCPNLLSLPANLHSLTSLKRLYIKDCKSITRLPDMGLPPSLRYLALLDCSEELGAHCRRAATDKLRVAIDYLFVVR >cds.KYUSt_chr1.40900 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250917326:250917547:-1 gene:KYUSg_chr1.40900 transcript:KYUSt_chr1.40900 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAAAMAALPDDVLREILVHVADAGALLRCAMASKRWCVLVCQASSTRQHRRSVSNKLQQESRRLLQLTKG >cds.KYUSt_chr7.13831 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85459950:85460507:-1 gene:KYUSg_chr7.13831 transcript:KYUSt_chr7.13831 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQGMLHESVKVEHASGPDPYDLHPEAFGNPELGKWDPDLQDGRAGLGASHAHCRVLVQLEGKVKVRLSFEEVLTWEGAFASTAGNVSAVELQQEKMVLAPTWDPEMKLKEKIGDRQKAWNAVLLEE >cds.KYUSt_chr3.30562 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191596914:191600403:-1 gene:KYUSg_chr3.30562 transcript:KYUSt_chr3.30562 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRAPAPAPGTPRFKLGKQSSMAPDRGGGGADNCAVPGAEGSGEAAGVRNFQLMYMAHEGNAEGIRDLLDAGADPNFRDSDGRTAMHISACEGHADVVELLLDRGAEPVVEDQWGSTPLADAMHYQNHDVIKILEKRDPKHKVAPMHVNSVLDVPEYEIDSKELEFTTGKGLSKGTFRKATWRGILVAVKKLDDDVLTDENKVQAFRDELDVLQLIRHPNVVQFLGAVTQTNPMMIVMEFMPKGDLRKHLNNKGALEPSYAVKLALDIARGMSYLHEHKPQAIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRRKVREEKPITSPGNACRYVAPEVLRKEEYDTKVDVFSFALILQEMIEGNLPFYNKKIDEIETAHSSKERPPFRAHPKNYAHGLKELIEQCWSENPADRPDFRVVIDRLSAIQNELAHRNRWKVRPLRCFLSFEGLRKKDRDEGSTTRSSRSSRSNF >cds.KYUSt_chr2.31811 pep primary_assembly:MPB_Lper_Kyuss_1697:2:196303459:196324629:1 gene:KYUSg_chr2.31811 transcript:KYUSt_chr2.31811 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAPPSAAGAAPWAAHGDGSPRAAAAASCASDHAAGRRGLAAMWLFWRARNRFSIEELRCVAAPFPPSPPPGLARTLCVKANVGHHKLILRHNTFARDSPYNPNKPSYPFSWYLTDQLQKVHVVYEANKDFVVEALRSIAELMIYGDQNDPTFFDFFMENQIMGEFARILRICKLSRVSLQLLQTMSIMIQNLRNEHSIYYIFSNEHINFLITYPFDFQIDEMLSYYISFLRAISGKLNKNTISLLVATENDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRVPLSDYFSDMVTHFQKQCIDLDKLVVRSAENAGSSAAVASIEDAVVQIEDALYYFSDVMSSGIPDLGNFITENILQHLVFRIVLPSLQRQRTDLWISVSTSLYLLCCILHIFKDKDMASTIAAALFHQPDCSDRKQETPNGCISEHDDGISEKQVSSTSVHDQSNGDKSTSPSSAHLQCLSDHPSASEFCQGNTLREHLLSYITGGDAFQALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDIAERRLFSSSSGVADDSICSDFDIYIRKLQSNYGLQCHHPRQMSSKVHRYQVLDALVALFCRSNIPADVRLVGGWLFRQLLPHGEEEFTAFHLKRLKDSHKDCSAKLLEESGGCWRDLLHPIVREAWKNCKRAIEASSPPKGSKSIISPLDPCSFGGDSTIAIAERTHEMVKGFVLQRQVILFCLGETFTDQPPVHSPVDPPVNKRASAAGFDGSVPKPGLEVNLVDAVPCRIAFERGKERHFCFLALSDGTTGWILLLEELPLKQERGIVRVSAPLAGSDPRIDEKHVKWLHLRIRPSTVPFLDPEKFKGKTKKYLVDGRWTLAFRDEQSCKAAEAMVVEEMKLQQDAVRKQLRPLLELGMPEDGLQHHQPSQETISDDDGSETD >cds.KYUSt_chr4.34945 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214692882:214699077:1 gene:KYUSg_chr4.34945 transcript:KYUSt_chr4.34945 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGETGDNDGGDVGGARGRSGQIVFEEPTADLEMLPSNMTEIYYPQSWSYLPPELGDLVLRRLPSHMDRVRFAAACSHWRFIRQQHSLPQPLPWLAFPDGTFLGLPHTKGIQETFNLPNSASYHSSCGDWLVFSREGTCFLMEPFSKVTLTLPSLSSFGPMDEPVGIINDPVIVNEEMHDVVFHKDTKISLCKVTMCSELLVAAIVDIGPLHTVAWCRPTSASWFVSELGLKRSIKDMIFYKGKLYVIDNLKDLLAIEVREDHDGGNIRISQIECVLEGSFPTIRLIEDGISYIGEYLIESNGTLLRVRRTFFGKVFDDDMISTTIKPVDAHFEIYGADFQLRRWVEVKGVEDDQALFLGKSYCRSLSVSRYKLKDGIDLSAPSEERTESLRREINYPVAHSLHRHSENLVNMLERVALRVIQEIMRHQYSPSGPALGTHQGELPLQSRPPLPFALAAPEVPASPAFVDLQDRW >cds.KYUSt_chr2.46668 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291817226:291823274:-1 gene:KYUSg_chr2.46668 transcript:KYUSt_chr2.46668 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRAQTPLCSRSRPVLVLRPATAGATQSIVKCSRFSRSRLVRCMVASSDYPKRNPRRSGTASRGYAPSPAAESSTEKIEQSRADGGDLGTSNGTLTSEATELASTPEEGSDEGLSENVSTSAELEGVNETEAEEAPDQKQPSALDSTSMDAESIDRELEEYRSKISAVVGSPLDSTQGQDQSVIGVHEQDELVISSSEQDISVDVVPEQSQSVVDVIEEDPMNQPSIVSEKDITEAEVEEIASKNLKAGELVISEDGVGASKDANEEPLVAGDELRITEDAEEHKPDMQEQVQEDVDPQALKRRLEELAYETYSIGNKCFVFPEVVKADSVIDIYLNRRMSALASQPDILIKGAFNGWRWNPFTEKLHKSELTGDWWCCKLYIPKQAYRLDFVFFNGDTVYENNNYNDFVLQIKSDIDEHSFEDFLLEEKQRELERLAAEEAERERQAEEERRKEEERAKVEADREQAKAEVEMMRNRLEHVLGSASRYADNLWYIEPNTYKGGDRLTLDFTPCIVTLPESALVLDWVFADGPPGNARKYDNNGKQDFHAAVAKSISDDLFWVEEENRIFERLQQDRKEREDAGRRRAEITARMKAQTKEKTMREFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGSPEVWFRCSFNRWTHPSGPLPPQKMVNAENGSHLQATVRVPLDAYMMDFVFSESEEGGTYDNRNGMDYHIPVSDSIGKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAIQDLGHKVEVIIPKYDCLNLSNVKDLHCRQSFTWSGTEIKVWFGKVEGISVYFLEPQNGSFPEVFCSFLMLVMH >cds.KYUSt_chr3.37084 pep primary_assembly:MPB_Lper_Kyuss_1697:3:233218628:233219641:-1 gene:KYUSg_chr3.37084 transcript:KYUSt_chr3.37084 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTPATVVLEMMTMGQQSAAHLRELLRAASPPTEAERGQALTAEILRCCDRVIAAMSGVAAGRKRKAITEHGAMPSKRRARGASAHREVRCGTTADGFVWRKYGQKDINGSKHPRFYYRCAYSGDGCGATRRVQQSQEGPAAFVIAYYGDHTCGGGAGGDPCQQEARATMPPTVVDFGSNAGGVVGVFDRYQNVEESPRPFIAAEQSWRHHSGETSRGRWSSSSSSSSEAELGTSPVQEFLEGSLGVGWECVVNYLGFTSDLPQPAMLQ >cds.KYUSt_chr6.25690 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162751848:162753117:1 gene:KYUSg_chr6.25690 transcript:KYUSt_chr6.25690 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSPGFLVAILVCACALSAQAARDLAEDRSIVARHEEWMAKYGRVYNDDAEKARRLEVFKANVAFIESVNSGTDKFWLEANQFADITEDEFKAKYTGYKAPAGGNKGKTTGFKYANVSLDALPTSVDWRTKGAVTPIKDQGQCGCCWAFSTVGSVEGIVKLSTGKLISLSEQELVDCDVDGMDQGCEGGLMDNAFEFIIDNGGLTTEGNYPYTGTDGSCNSNKESKSAASITGYEDVPANDEESLQKAVAAQPVSIALDGGDNLFRFYKGGILSGDCGTELDHGIVAVGYGVAGDGTKYWVMKNSWGTTWGEKGFIRMERDIADEQGLCGLAMQPSYPTA >cds.KYUSt_chr3.14209 pep primary_assembly:MPB_Lper_Kyuss_1697:3:86210484:86215614:1 gene:KYUSg_chr3.14209 transcript:KYUSt_chr3.14209 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWFTPAYFKKTQASKLGDAQGIPFFIDNIIRFHVGPGIPGVAPHYTPPPSTFNVLLGSYWFDKPWFLTEGKLVVNWQRYSDKNENEFPLESVGDYTWEYAFLNLSDYTVGQDNPTLSKNELNSIWYQSEDIFPASGVPEEQQHAFWVRASKRYYALAAKLEGWSCRCA >cds.KYUSt_chr4.42095 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260334793:260344548:-1 gene:KYUSg_chr4.42095 transcript:KYUSt_chr4.42095 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEADDDLLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSPDEVKKQYRKLSLLVHPDKCKHPQAQEAFGALSKAQQLLLDPQERGYILDQVTAAKEELRAKRKKELRKDTASKIKSQVDEGKYEDQFERSDEFHKQLIIKVREILTDKEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEKWEETRDKRVSSWRDFMKTGKKARKGELKPPRLKTEDPNKSYVQRPVKRGVVGGAEQIILKQNGHISPA >cds.KYUSt_chr4.1325 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7027447:7028445:-1 gene:KYUSg_chr4.1325 transcript:KYUSt_chr4.1325 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVAYQGNTSAATADWLNKGDNAWQLTASTVVGLMSVPGLVVLYGGVVKKKWAVNSAFMSLYAFAAVWICWVGWAYKMSFGEELLPFWGRPGPALDQAYLVGRAALPATAHYHADGTLETAMAEPYLPMATVVYFQCVFAAITPILVAGSLLGRMSFLAWVLFVPLWLTFSYTVGAFSVWGGGFLFQWGVIDYCGGYVIHIPAGVAGFTAAYWVGPRSREDRERFPPNNILFTLTGAGLVWMGWVGFNGGGPYAANVDASMAVLNTNICAAASLIVWTCLDVVFFHKPSVIGAVQAVITGLVCITPAAGTFIFPISIDHQLHGARCERVG >cds.KYUSt_chr4.43992 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272698099:272701420:-1 gene:KYUSg_chr4.43992 transcript:KYUSt_chr4.43992 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKQQQSLYPEVPQSHPDHNTPFNSKPAAATGAGAGDSLYPTVNPDELAENLFPADAAEDDAPAPPTVEETLVAVPGAQLHLVDPDRSLDLGAGTLSVVRLRQGDHAVAVLARLTPTKTSKWRGLLGLLGGSSSSNETVQWPLAGDVAVVKLDAGHYFFSLHVPHTDHPDDADDAESPDGETALSYGLTVAGKGQEKVLEELDAVLKEYTTFSVKEVDAGASGNEVMDTRAVSEITPEEAAGDKKEIMEEQSAAFWTTIAPNVDDYSSSVARLIAKGSGQLVRGIIWCGDITAGGIKCGEAVLTKGAGANGKPTQVKPSSLRRMKRARRITQMSNNVANSILSGVLKVSGFVANTLINSKPTRKFFKLMPGEVILASLDGFGKVCDAVEVSGKNVMQTSSVVTTSVVTHRYGDQAGEITQDYLHATGNALGVAWAVFKIRKAFDPKGQLKKSSLASSAAHAVAKQSISRQKKK >cds.KYUSt_chr1.37804 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230838759:230843508:1 gene:KYUSg_chr1.37804 transcript:KYUSt_chr1.37804 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPGGSSKGPTTPASKIQLTPMATLTPGGSSRAQEEKIFVTVRVRPLSKKELAMKDQKVSWECADSQTILYKGPPQDRAAPTSYTFDKVFGPACPTDLVYEDGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVSDIYRHIQNTPEREFIIKISAMEIYNEVVKDLLRPDSGPLRLLDDPEKGTIVEKLDEEIAKDSQHLRHLIGICEEQRHVGETALNDASSRSHQIIRLIVESRLREASGCVKSFVANLNFVDLAGSERAAQTNAIGARLKEGCHINRSLLTLTTVIRKLSSEKSGHVPYRDSKLTRILQLSLGGNARTAIICTMSPALTHVEQSRNTLFFATCAKEVTNTAKVNMVVSDKQLVKHLQTEVARLEAELRTPDRTSSSDILTVKIKQMEMEMKELRKQRDNAQLALEELQKKMGDNQPGWNPFDSPQKTRKCLTFSEPSNKIKIRSSVRQSSTAPFMLKHEIRKLEQLQQQLEVEANRAIAVLHKEVECHKHGNQDAAETVAKLQAEIREMQSVRSENRDVEMVTNEGNGSDLKDEITRLHLQDNDIAKLEAKLENVQRSIDKLVMSLPNVETTPNSNRSKKKKRMLLPLGISNINRPNLIRAPCSPHSSSRPLESEVENMAPEGDKVSYEGSEKATPTKSEDTGDLSSRDETPRYRRSSSVNMKRMQKMFQNAAEENVRSIRDYVTELKERVAKLQYQKQLLVCQVLELESNEGKPNDMDEDPAGNDISVQDSPESWDILFKEQMHHIIQLWDLCHVSIIHRTQFYLLFRGDLTDQIYIEVEVRRLTWLQQHFAENGDASPAVLGDDPNFSLASSMKALRNEREFLARRMGSRLTEEERDRLFIKWRVPLEVKQRKLQLVNKLWADPNDKAHIEESADIVARLVGFCEGGNISKEMFELNFALPASRKPWLTGWQPISNMIKEKTRQLVSTPLQ >cds.KYUSt_contig_1861.52 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:278257:280250:1 gene:KYUSg_contig_1861.52 transcript:KYUSt_contig_1861.52 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQTHTEGMAQRQRRRWRERQHLARLCDLVADSLLPYLEPEPPGTRRPELLREEERCVLLMLARVNKAIRGWDEEEEVVDDGDASDEPRISCLVSY >cds.KYUSt_chr1.41647 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255381590:255382780:-1 gene:KYUSg_chr1.41647 transcript:KYUSt_chr1.41647 gene_biotype:protein_coding transcript_biotype:protein_coding MELTHVDAKGSYTMAERVQRAMASTRQRMASIAAADVSAPVHWNTTQYIAEYLIGTPSQRAEALIDTGSDLIWTQCSTCLQGSCVKQGLPFYNASMSNTFHPVPCSDTLCLANQQHTCAKDGSCSFGAFYGAGDATGSIGTEVFTFENGSATLTFGCVDKLFITPGSLDGASGLIGLGRGPLSLVSQTGATKFSYCHTPYLRSNTTPGASSHLFVGDSASLSGGSPVMSMTFVEGPKDYPFYYVPLVGITVGQTRLPIPPTVFALKPSGDGGVLIDSGSPTTALVDGAYGPLTEELKRQLNGSLVPPPAGSGIGLCVAVAQEKAVPSMVLHFSGGADMVLPPENYWAPLDGSTSCMVMENSSGMSIIGNFQLQNVHLLYDLAKDELSFQTADCSSL >cds.KYUSt_chr4.45134 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279540987:279543898:-1 gene:KYUSg_chr4.45134 transcript:KYUSt_chr4.45134 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRKEYAEWADMAAILRRAWKRFINTVRVNGNRSLHLEITLKRASRRSLQNQSVGIKAWVRTTEYHRSSKLQAQSTVTFAGGAFRNSTLRLDHESRPPVGLPLHARETLGPRPPPATRSTAPPPSRAAALGAVVPNLEEEQCGIRLHHRWPGDAEARTKGEYRAARFRVCYAAPITARPKAVTRKRRAAPPDQGHAAATITGRPVRGRAATTIHGPGPSPPCGG >cds.KYUSt_chr1.11981 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74002441:74006633:1 gene:KYUSg_chr1.11981 transcript:KYUSt_chr1.11981 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAPIPTVSSVIMNTMIGRRSLVALVAVLTFLLRPAATAAASGHAADRIDRLPGQPPVDFDMYSGYITVEKSAGRSLFYLLQEAPEEAQPAPLVLWLNGGPGCSSIAYGASEELGAFRITPRGAGLFLNEYRWNKVANILFLDSPAGVGFSYTNTTSDLYTSGDNRTGRLSRCHCVVTCHCAPMASNGHYVPELSQLVHRNNKGIEKPIINFKGFMVGNAVIDDYHDYRGTFEFWWNHGLVSDETYRLLNRSCIHDSSVHPSPACGAAFNVSIEEQGNIDLYSIYTPTCNETATASRRRPRGRYPWMTGSYDPCTDRYSTAYYNRPDVQRALHANVTGALNYPWVTCSDPIYNNWRDAPRSMLPIYKELTEAGLRIWVFSGDTDAVVPLTATRYSIDAMGLATTNSWYPWYDVQEVGGWSQVYEGLTLVTVRGAGHEVPLHRPRQALIMFQHFLQGKPMPGHTKNETLA >cds.KYUSt_chr3.21407 pep primary_assembly:MPB_Lper_Kyuss_1697:3:131304967:131305523:1 gene:KYUSg_chr3.21407 transcript:KYUSt_chr3.21407 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPLCNTQSSICFPRIAIADSQFAANEEAEVKASDAETAAESDVREVEVDDVEEEEEETKELRRNEEEEAPTGDALRGRRPPPIAAAEILPEWSERDAIGEARASAMTGDVGVRSLSVPMFSFPLSYDDDDNLIHVSLDDLIGPAGPL >cds.KYUSt_chr7.35581 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222345707:222347002:-1 gene:KYUSg_chr7.35581 transcript:KYUSt_chr7.35581 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPPTAPSAGTTSLSPVPAAVLAAAALARRRSDPTVGGQMRRARRFNKSALAYPAASRPLPGELRDPAVVVEGLGSLSMPLLEKITQAPSLLVTEGRVSSDAQCTDDVRDGEKSQEKMECVDDVLFEEIASEKLEPLLGPSSMLAFGGLSAAATAKEGWVHADRGRRSSQGASPEPSRGGLERSLAFKRWARGRCFHCLEHGHKVSACRDYFRCIRCRRPGHRERFFRARSPAPRDRSPVTHALGQRSSSPSIQPCQPALTRSWAEVVGRSSLSVMVPPRSPSRCCKDSNANISLDSALHSQFALLRTELVQLVDARVEEVARPLRDEVTNLKLLLARVTESVEHADLFVSCESSEHESHVVVDDDAVAAVASKTGDEAIDDTAHVESNMVGEEECFFGCFSPRASPSPQPDVLVGSECEDIDVIMHVI >cds.KYUSt_chr1.11684 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72028553:72028768:1 gene:KYUSg_chr1.11684 transcript:KYUSt_chr1.11684 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIPPARPDGYSTSDGEDDEVLEPAAAAADGEEEEDEDDEELEGFTLDLKTGTIEDAFEDDEEEEEGHK >cds.KYUSt_chr5.41260 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260400263:260400847:-1 gene:KYUSg_chr5.41260 transcript:KYUSt_chr5.41260 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAHSWRMTRKSLGMARTTAATTELSASVAAEDEVSETSEAAADAAPRWPCPPEELRHSRLHLRPLPCFSAAVAGLSPTSVLIHFPDAPMDRFSAITELCEVGVTTKIDPAAAAALHPPDLEHRRVWTPFSTKLMCGRRRGSLGRASWPPQPRLLEAAAAPPPAVSYSALEHPVAASKHGSREERRQRSHTE >cds.KYUSt_chr2.50362 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314912021:314916260:1 gene:KYUSg_chr2.50362 transcript:KYUSt_chr2.50362 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRGIGLASRLLPTTSVWVCDRDFNYEGMRAYRDLTRSAIVLAVSIDCCCEVLLRLSCCRTTGIVICKERPRHEESWVEEGKGRTCHDLQGHVLHVTVSSVRNGEANEYAVELVDHIFGKDTPVVPEIAVEHLPMLSDKKESCLIGGIGVQYNGYLLHLFWVVATLP >cds.KYUSt_chr1.25871 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155685987:155686868:-1 gene:KYUSg_chr1.25871 transcript:KYUSt_chr1.25871 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSRLVVASVAGLLVAASLLVTNLFTSPLPFLPCLPVVTAPSGAGYEPSGLAALADAAVYYATTPNVPQQTRDEISLSLAVLRRRAPMRLLVFGLGYDSPLWHALNPGGVTVFLEEDPEWYRVVRTESPFLRAHLVQYRTQLDHADILLQSYKRFPSCVPGAGAEGAEPPVFVRGNDACPLALHNLPPEVYENEWDMLMVDAPKGYFPSAPGRMAAIWTAAAMARSRRGEGNTDVFLHDVDRRVENMYAEEFLCDRFRVGGTGRLWHFSIPPVSRRGNTTAAAGGGERPFC >cds.KYUSt_chr7.24756 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154342492:154343310:1 gene:KYUSg_chr7.24756 transcript:KYUSt_chr7.24756 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTNRDQSPFFHQCKVMKGRALMNSPTNKDCDDDSEDNGWLRFVKSATLEKDYVFEGHVTFLCAILVIHDSPEHQKTGGSPIPSDIGSDLGRLLDQEDGTDLSFIVDGEIFRVHRAVIAARSPVFRAELFGSMAEATMSSITLQDITPATFKAMLRFMYTDKLPGEDELVDYSVEMFQDILAAADRYALDGLKLMCAQKLWDYVTVDTVAAILASAETYNCPKLKKECFNFFAVENNFKKAVFTDGFAMLVQKFPSLTAELRERIVSCEII >cds.KYUSt_chr1.36800 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224564725:224566369:-1 gene:KYUSg_chr1.36800 transcript:KYUSt_chr1.36800 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDLQYSYHHCLLLAAILVLPLLLVKLRRHGSGRETNLPPGPWPLPLIGSMHLLIGVLPHLAMRNLARRLGAPLMLLRLGELRVVVASSAAAARAVMRTHDAALATRPLTATIRALTRRDGFGVVFAPQGEHWRQLRKLCVNEILSARRVRSFRGSREAEAAALVASIASLSSSSEPVNISSLLATYVTDTAVRAVAGDRMRHRDAFLECVDEGIKVSAGFTLADLFPSSRLARALSGMARRAELQGVKMSGIMDDVLEEHRARRSATGAGDEEEDIVDVLLRLQTDGGLHVPLETGTIRAVINDLLGAGSETSATTLHWAMAELMRDPKKLRRAQDEVRGAFAGDSRVREEALPELRYLQLVIKETLRLHPAVPLLVPRECQEPCRILGYDVPVGTMVLVNAWAIGRDVERWGKDAEEFRPERFEEAGNCAVDFKGTDFELVPFGAGRRICPGIALGVAVMELALASLLFHFDWELPGGAAPHELDMTEAFGITTRRKSDLWLHATVRVPLPSL >cds.KYUSt_chr5.36502 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230690751:230691380:-1 gene:KYUSg_chr5.36502 transcript:KYUSt_chr5.36502 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVVGLRGLAHVHLVSGAVGIIDQIVRAPGVASSLSVNILADAVICPSPLELVPHRGAVTTSFEPAAAARNLSPKLRSAARSGDATKLSAAGADVDVAPAPVPLPPRRRGRPSKTCSARALVAVQNTAGVKRSAHVREQGGHLFETVMAVIRLKAMKSGGGGDAPSASVPPPFHTDELISMARACQLPEEDVRDIASASEAPRAAP >cds.KYUSt_chr3.21910 pep primary_assembly:MPB_Lper_Kyuss_1697:3:134999527:135001864:-1 gene:KYUSg_chr3.21910 transcript:KYUSt_chr3.21910 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAGSRLAPCTPPTPTKTFDASSDPAVLIEGLGFLSLSPAASGGPISAGVCSVEDHDGEEFASPLASSKGTVSDSEQVIAEPCRGLSAAAAAFDDEEGWTQVGRGCRSSRAPLSSLCEGLDRSLAFKRWARGRCFRCLERGHQVSSCRGSFRCIRCRRPGHRERFCRARSPAARSRSPEARARSPVARAPCQRSRSPSAQPRRPSSPLSWAGVLGHSSLHPVVQPCCKDLVSSVESQFALLRMEVLQKFELLRSEVQDALAKLQVASVVPLPPEIQTGSVDEGFECCFGEFSPRALHTSSSALTTVVATEVVAPVVEILTELQDHCGKSSVVLPVELGHLEPLAVDIAPSPSPSESCELPSSVDSGGPSIRLPLFDREAMLASIDQAVFVKKLSGLLACLEAASPGSGEAIACLIAEEASTGRIKKVKKALRSIGKKGGAIGKAPATA >cds.KYUSt_chr1.25141 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150772691:150775057:1 gene:KYUSg_chr1.25141 transcript:KYUSt_chr1.25141 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRCSHGFSAPGLCAARHDDVFAFVAPLVASVAGLTGNAGTTKVNAIASGFIASNMTAELGEKIEKKILWTISLGRYGQPEEVPGLVDFPALNPAASYITGQAIHGEPPTATRRVQRHDHASRATSVIPWREEGPCLEVLGIGSVDLPRGAAVGMESVLVAEDQRRPADIGEELQ >cds.KYUSt_chr2.11530 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73340541:73341110:-1 gene:KYUSg_chr2.11530 transcript:KYUSt_chr2.11530 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRALATMAVFFLVALSMSHIASSLRPGLGVCRASGYLPGKSGHCERSNDPDCCEDGKRYPQYHCSPPVTSTTKAVLTLNSFEKGKDGGGPSECDKSYHSNKELVVALSTGWFKNMARCGHRIKITANGNSVYAKVVDECDSVYGCDDEHNYEPPCANNIVDASPAVWNALGLDQNVGMEDITWSED >cds.KYUSt_chr7.21337 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132210951:132218682:-1 gene:KYUSg_chr7.21337 transcript:KYUSt_chr7.21337 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAMLPAVKLSPASVAFSGTNLRSKSALVPSVSSLKPTKSAACSLRPLYLAPLNGPHTSELKPRRQQLDFQCTASAADDKESKAEVVPAPSEAAAKLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLFSWATRLVEAPNTDADFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFILGESFPMPVYLSLLPIIGGCGLAAATELNFNMIGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQTALKEVGPNVLWWIAAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFRTPVRPVNALGAAIAIFGTFLYSQVERRLNGGVVEASAALEKKKHHHQCMVAATPCLSSPSPPWPAERSSFGVLLPAPEAVGIRSTAGRCGDDDVAGLFLASTASQEPFHSVGRRRASAFPLASKAGQEKSLLAASSLQQEIHRLAFI >cds.KYUSt_chr3.366 pep primary_assembly:MPB_Lper_Kyuss_1697:3:2010094:2011745:-1 gene:KYUSg_chr3.366 transcript:KYUSt_chr3.366 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVLGRHKLVSELRLTTRARHDLVDVVALALLRPSARSPSVKLRFLPPDAHVLRRLHASAADVLDTTWFSPYLVIGTTRRLPERPCSSSARSSPPSTTSNRVASTTTGVSFSVLAPCFYLACTSLLQAAFILLLQQGLHLLELVHASSVVYPGLPFVLVTGSPSFSSPTTPG >cds.KYUSt_chr7.3429 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20450938:20451675:1 gene:KYUSg_chr7.3429 transcript:KYUSt_chr7.3429 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVLIVIMVFAVYAYFFWPVDMMKAPGDTGMLISREAFESIPRFYCFLLRNFGGGLAGSVFSGLPGSLICFVSSISRFVLTYFVYGGILRGFLLPVVRSVFSFFYDGLLCGIFVPAVCSLWSFGAGAAYFVYDGLIRGFLIPIVCSLLKLGGDVAGIIYAGLIRGIFVPVVSSFVSFYADVASLVYNRLVWGFLIPAVASVVSFGGSVASFMYGLLFHDWFIPFVLGLLVAPVMRFMFETLDDW >cds.KYUSt_contig_60.157 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:894793:895647:-1 gene:KYUSg_contig_60.157 transcript:KYUSt_contig_60.157 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPWAQAPHLLQEFEFFLVVDFEATCEKDRRIYPQEIIEFPSVLVDGATGRIQSAFRRYVRPKYHPVLTPFCRDLTGIKQEDVDGGVDLGEALLLHDRWLQAATAGARKGGSLAVVTWGDWDCRTMLESECVFKGIEKPAYFDRWVNLRVPFQAVIGGGGRFNLQEAVRAAGLQWEGRLHCGLDDARNTASLLVEIMRRGAKIAITGSLAPLPIQHREEEQQQQPHTRLCGGAAGACYCGVASRGSVVAMPGPMQGRCYWGCGNWTPTMGAVCPYFLWSN >cds.KYUSt_chr6.16474 pep primary_assembly:MPB_Lper_Kyuss_1697:6:103620844:103621065:1 gene:KYUSg_chr6.16474 transcript:KYUSt_chr6.16474 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRADKIRIQKWYNVYKDHITLADYEIHDGMGLELYYN >cds.KYUSt_chr7.37282 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232727594:232727854:1 gene:KYUSg_chr7.37282 transcript:KYUSt_chr7.37282 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKKPEVKLDKIFCCPFCNHAGSVDCEIDRKEWFAVVKCFVCKESYSTKAHALTEPIDVYSEWIDECEKANQDVDVRRRRDSYA >cds.KYUSt_chr6.11294 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69922885:69932440:1 gene:KYUSg_chr6.11294 transcript:KYUSt_chr6.11294 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDAAAADAEAAAWHPRSPEPHDLSDDSDYAAAASVSSSIHAAMRTDMADLGSEETARMDVVYEKERVTIHPTQYGSGRISGKLRLYLQLGSLFLSWEPNEGVNSFSTSSITAEIEKYRNLYTIQALPLSDVRFIRRHTPTFGLEYIIIVLSSGLAFPPFYFYNGGIRELFATLKQHVFIIRSDDDPNVFLVNDFEDPLQKSLSSLELPGVASVANAMSRQNSLSFTGSVSESRHGDGAKYGGPSSMSEYSSRQKHKSNDPGRDISFQVLEKFSLVTKFARETTSSLFRENHSSGSPAYGRQKQQHVLDNRASDKYKDQQLTPDNASLPTDSVESDELLLVWGKKRGSPLSVEEWRAFLDPEGRIMDSKALRKKIFYGGVDHALRKEVWKFLLGYHEYDSTQAEREYLAAMKREEYEAIKSQWKSISATQAKRFTKFRERKGLIDKDVVRTDRSVPYYEGDDNRNVVVLRDILLTYSFYNFDLGYCQGMSDFLAPILHVMEDESESFWCFASLMERLGGNFNRDQNGMHAQLLGLSKLVELLDPSLHNYFRQNDCLNYFFCFRWVLIQFKREFSFDQIMLLWEVLWTHYLSEHFHLYLCVGILKRYRLRIIGEEMDFDTLLKFINELSGQINLDRAIQDAEALCTIAGDEGAACIPPGTPPSVPIETDGGLYLQEDDVL >cds.KYUSt_chr4.2570 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14398846:14399202:-1 gene:KYUSg_chr4.2570 transcript:KYUSt_chr4.2570 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGHRTLLLLLAAALLAAVFAVAVAEEAKPTILTPVAQTPVGSFEGDKPGADDAMDDEDAAPVGAPIGTTMTEPKPELTTPPGGAGEGTPAASAASSLAALAGFGGAVIAAAGVLSF >cds.KYUSt_chr1.34588 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210578237:210580738:1 gene:KYUSg_chr1.34588 transcript:KYUSt_chr1.34588 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIAKLALLLATAGLSCGLFIVAHASCWPHERDALLTFKQGINDTHGVLASWQKLRHDCDCCRWRGVACSNETGHVTELDIGYTPLVGQLSPSLLSLEHLEYLNLNFTFLLGPNGLFPEFLFSFHNLRHLDISYTYFSGRMPAQLANLSKLEYLDLSGTFLSGTLPPQLGNLSNLRYLGLGFMQKIHTTDISWLTNLHLLEYVDMSAINLSTADVFSVANTISSLKALILINCSLPNANQSPTHLNLTKLELLDLSTNYLGHSIETCWFWNITSIKELGLSETNLYGPFPGALGDMTSLQRLEFDNNGNSATITVDLKNLCDLQVLWLDGGLAFGNITEFVSKLPQCSSSKFLSLFSDDNNMTGSLPDMEGHLNLNSLQYLSLSNNSITGVIPYGLRNLTSLKMLDLSFNQLTGQIPMLPRSLTELSISMNSLSGPLPLDFGGPNLTDLSLSSNYLTGRVPISICESKTLILLDLSNNLFEGEFSCCSPLPNMEFLLLSNNNLSGKFPSWLQNCSSLAFLDLGVNKFYGMLPAWIGELVNLRFLQLDHNMFYGDIPVNITNLKLLQHLSVASNNISGSVPSSLSKLIAMTLEHPPRLGSSWLEEDTSKDILSVVMKQQELKYGTSAVNEMVGIDLSLNHLTGGIPHEISSLNALLTLNLSWNHLSGKIPVKIGAMKSLESLDLSRNNLSGEIPTSLSDLTYLSSLDLSYNNLAGRIPTGRQLDTLYTERPSMYNGNTGLCGPPLEKSCPSNNGLEHGNQQDGSENGYDPLLFFYFGLASGFVLGLWVIFCALLFKRSWRIAYFRLFDKLYDNVYMFVVVSWGTITSKATVS >cds.KYUSt_chr2.46386 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289825518:289827899:1 gene:KYUSg_chr2.46386 transcript:KYUSt_chr2.46386 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRSHGRCSPPRLVHLIASALLFLCVATTPAASHLHGGPDTGVHKNFLIIVRRPYEYDTNVYKNVSSWHASLLAEVCDMAKEALENDPSSVTRLIYSYRNVVNGFSARLTTEELEEMSKKDWFAKAYPEKTYQLMTTHTPKMLGLMGEGRDGEGVWNTSNMGEGIIIGVLDDGIYAGHPSFDAAGMKPPPEKWNGRCDFNNTVCNNKLIGARSFFESAKWKWKGLDDPVLPINEGQHGTHTSSTAAGAFVPGANISGNAVGTAAGMAPRAHIAFYQVCFEQKGCDRDDILAAVDEAIEDGVDVLSLSLGGNPGADFSEDPVSLGGYTAALNGVFVSTAAGNIGPNPATLSNGAPWLLTVGASTTDRRFAATVKLGSDLELDGESLSEPKDYGKEMRPLARDVGDGQCTSESVLTAQNITGKIIICEAGGGLSTAKAKTVLRAGAFGMIVVAPEVFGPVIVPRPHVLPTVQVPYAVGQKIKSYLQSERSPTANLIFKGTLFDTPRSPMMAPFSSRGPNVKSRGILKPDIIGPGVNVLAGVPGVADMVLEPKAEMPKFDIKSGTSMSCPHLAGVAALLKNAHPTWSSAAIKSALMTTTETNDNTKKPIADVDGTQATYFATGAGHVNPKKAMDPGLVYNLSASDYIPYLCGLNYTDQQVNSIIHPEPPVNCEKLTKLQEKDLNYPSITVIVDNADTIVNASRAVTNVGVASSTYTVEVEVPKSVTVEVLPPELTFKELDEVLNYTVTVKASSVPDGAIEGQLKWVSSKHIVRSPILILPGTGEEDTAEAPAPSA >cds.KYUSt_chr5.82 pep primary_assembly:MPB_Lper_Kyuss_1697:5:577003:578654:-1 gene:KYUSg_chr5.82 transcript:KYUSt_chr5.82 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPRGPATSKKRKVSLPPPAATAGAPDLPNPRSTERPPAAGAGEDRISHLDDDNLRHIVSLLPIKDGARTQALASRWRNLWRTAPLNLDYREFPTVSPRIRIISDILSLHPGPGRCFRVDPFYLHVPEATVDAWLRSAALDNLQELYIGSLTEIEPLPASAFRFSATLLVATFRHCTLPDDIVQGLHFPLLKQLGLETVTISELALHNLIAANAPALECLLINKCVGLRRVRIISLSLTSIGVRPEQYNPLFEELVIENAPCLQRLLHLEFATGIRVTVLSAPKLETLGCLSDITLPTLGEVNSGITREFSNPDQDRLPKFVFGSTVIQGSRVDKLTTAVRTVKILAIRMGILSLDRVIELMSCFPSLEKLYIQAESEGENNVCHPKHKNFIRRFDIRVKTIALECYEGYKSEVDFAKFFVLNAKALEWMTFHIHPDLNREEFFSEQTRKLQLEKKASKAAQFQFRFTRCVRNVETIHDVRHLDLTDPFTC >cds.KYUSt_chr3.33666 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211396226:211396613:-1 gene:KYUSg_chr3.33666 transcript:KYUSt_chr3.33666 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPWGPSPRRGLRLEAMISKAPASVMGITLPPVDLCGHRQADPHVSSNVAPANVLLHRHQTSLRRKGDKRVAQSRGARRRCGVHANEITEAEGYWAWGFWAKAN >cds.KYUSt_chr1.9937 pep primary_assembly:MPB_Lper_Kyuss_1697:1:60874594:60875201:-1 gene:KYUSg_chr1.9937 transcript:KYUSt_chr1.9937 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIRRLWWPELSSCSLPVVEIPLPALLRWLEPKMAEAFSSAPPLNKLAGALLRPVLPRSGCHGGGRRWEAARSGVPGVSLEASSGEEHQQRRGCAAATSGQWGLFAPWSLMLQWIFFLQAREPRRIYFDLTAALHADLAPSGFVTGDDLGGRGNEGSPCKLYPPL >cds.KYUSt_chr2.11799 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75096081:75109215:1 gene:KYUSg_chr2.11799 transcript:KYUSt_chr2.11799 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTVPQEATEFNQRMGCMAGVFQIFDRRHGLLTARRRGGGDQGARGTAPPGPGHDHLGSSGNASVQKSSTSDITLDKTFSKSMTDNSCLSVESSKASSSSSACSSLSSRDSNKPVKQELIDISKEPSVERPTRNSPSLKLLKIEAGPRSANTGSGDIMQGSVSQDSHDLTVRTSAKEVTNELHKDSPRPLLISKLKNGTYVIGVDRSTSVPAYGRESSRPSRFSCDDRQLLRSVEAQGSKKPSARSRELPRLSLDSRKEYINPSSRPKNVAYTRTDDNLIDALKPQDSPSHRRASSVVAKLMGLEETPRAYEPARSPRTVHDAENDGQSQPHRMVSPDPTVSQLKNQSPVLKTKHFSRILPKAAPWRQQERGATGYNAEEKPPSASFYANIQTRLRDLNLSECNKDLRALRILGALHAEDTACQSETDGGSLPIHKAEAELTANSENFQSPIVIMKPARGITKPDTPPSEPKGFGKLQHEPSFTRKSENMDRKNIHSGNESLHSRGKEPVKDTISPRHSSSLSPRVVLKKADPERMPRLPVPLVSPSKKSNEAVSPRGRQRSKLVPAKNICSDDEVLRIPESKIRLAKQVDLGVIGYPNTMDPKSSFLRRNNSTSALNHEKTSTVQSRNKKKIHPLENIKSPVSVLDGSLYHDGSSPSLRRISDSFKDGETHTSDECLNLTSLPDTPSSKTSSEDKQIKPENMKALIQKLELLQFLSDETLKTNSLLSSVTPNKDQQYIYDIIYASGLLHNELSLNTIPCQLWAASYSINPELFLILEQAKPDTGKLHRMFIFDLANELITKKMDMNQTSRSAQFLPTKKLSGWQIFKDLCAEIDGLLSTASMIRCSEEEEDWSLLAADASSGMKDWKTFDSELLEIVLDIERSIFKDLIDEVISEGASGKVGSVFVNQYYNLLNGSPDQVHKFYKDASTIGWAGSDGVMEYATTMPEINKKIMSMDFSNYLTKIDNADSVLSINGSALVVVTGSFTSVSDDVCQRFTQTFLLAPQETGGYFVLNDILRFLSESNQEDGGSHKDESAALSDTTPAAVEEPLTTDPVVTVASGEFLNPTVDSTTVENNPTVNGTTVENNLTVKSPVKVITKEDAKKPPVAPSPPPAQKDVPKKHPVTASAAPPAQKDAVKKTYASIVKDNKEVPLAVKPKIAKPVSKPPTKVVQEKQGFSVFVRGLPSRSTVKMVGEDFKKFGAIKAGGIQVRNNKFDEFCFGFVEFESQQSMQAAIEASPIFIAEKEVIIEKKRTTTRVVNGVVMNGGRFQYARGAQNFRGQGGGYANDANYRRWENDDGYRHQNEFSGRGRGSPHGNGYHQNGNGFHQKGNEKYVRVNNSPKEAPVAARVNNGPKEAPAVARVNNGPKEAPAAARVNNGPKEAPAAARVNNGPKEAPAAARDNNGPKESAPVNNVPKQTPVAEK >cds.KYUSt_chr6.22223 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140399372:140399905:-1 gene:KYUSg_chr6.22223 transcript:KYUSt_chr6.22223 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNSTVELMTLWASTSPSLMAFCFSHVIIAVLLLGSRGCAADVNGRADERVMEGSEAETPDDAAAQIQGIPRDSVGHDDPVIVTVTAIGGPDCAPQVNGRADECSVEAGEVDAAADRTQTREETSGQDDVTIAADALPSEVKCGDDDEQGDELMMRAEEFIQRMNRVWRTESVCVG >cds.KYUSt_chr7.30284 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188605702:188609316:-1 gene:KYUSg_chr7.30284 transcript:KYUSt_chr7.30284 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRQSEVSIDVGSTADSQGPGNNLGEGRNDEFGMGNGSTRQVSINGSSRPSSHGSGTKDDFLLLWRLRKYLVLLGVLAVGVTYNAGLTPPGGFWTLNKDGHHAGDPILRDGYSKRYNAFFYCNATAFAASLVLIILLLSKSVTKKVIWLRSMQLTMIIDLFSLLGAYAAGSCRAVKSSIYIWILVFAVFLYIGIHILVPTKVIPEALTVKLLTALDRILTKLGVPDRQVISQRDVEEARKFILMLVTFAATITYQAGLNPPGGVWAENEHGSSIHLAVPSYKHHPATSVLRSNYLRRYNIFISFNSTSFVASLVIVILLLSPELSGHGIRTKAVIVCVVADLVCLIVAYAAGCCRDVATSFYVVFIIVIVLASFTILAATFVYGPVANLLKKVKSNCLWCMDVLGRELSLNNRWSNAEQGGPLASREDAATEANTPESQDHPADNQQVPDITEGENSEEHAPADNQQISDIEEAKSNSQHSSRNTQQSASVEDVVFNLECQSGDDPLCANMKEEDVSSSQHPSGNCQHSENTEDVLPNLEYGSTNCQQVANVEVTRSSREQEYPSPEPQDQPVDNQHVANMRQQSSTDDHNMSVLSVEQTMSLSHSSNGETSHHDKVEEGLSAPMEDSGNVGSAELGIPVENNNHQTEMGDSNPHLAHFENGHTNSIQEEPTQNADDQTEKHLKKTRTSLLLLAILAVSLAYQSGLNPPGGFWSRSEDHHSAADRILEDNYHRRFITFFYLNAGAFMVSIIIIMMLLNKMMSKMVMKRRVLPGMMIVVLLSLTGAFATGSCREAKKQYFILVSVCIVLAYVILHVLIAIRVIPRDWRSHLWPVPSQPGHDNTGDDTREKELDRRRSLLLTLAILAVTVTYQAGMNPPGGVWSDDKDVTGRPGNPILQDTHRKHYDVFYYSNSVSFVSSVVVTILLVNKESCEHGIKSYALRVCLVVGLLGLLIAYVAGSCRNIKQAIYLFVIAIAVLVSLFIQVLLSSTLGEPLAQFISFLQSFLSRRDVEQNMTSSGSPEASDSEKIVRKRHKYLMLLAILAASIAYQAGMNPPGGLWSDDERHMAGNPILHDINHQRYKIFFCFNSFAFMASIVVIMLLLSKSVRKNDVPLEVLHLITILNLLALMTAFAAGSCRHLTTSVYVYGLVVGALVYLVLLVVLLRGIAKYLKQRQRIGLCSWGHLDHASRTNTTAVPEPGLQV >cds.KYUSt_chr4.20003 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125748711:125755556:-1 gene:KYUSg_chr4.20003 transcript:KYUSt_chr4.20003 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNPRRAALLLAAGAAAAGAGGLLDRRDYATDVSVSVSTPLRHLLSAASTVLPSRNPLLAPWQGAFPILNSFASASDAPINAGNQGSGGISDDSRCGRGCLGRDSIANAAAAVGPAVVNISCMHEIHGWALEKSIGSGTIIDPDGTILTCAHVVADFQSTKAILRGKVSVTLQDGREFEGHVVNADRHSDIAVVKIKSKTPLPAARLGSSCNIRPGEHVVALGCPLSLQNTVTSGIVSCVDRKSSDLGLGGIRREYLQTECAINRGNSGGPLVNLDGEIIGVNVMKVWDADGLSFAVPIDSIVKIVEHFKRNGRVVRPWLGLKMLDLNPMVIAQLKERSSSFPDVRKGVLVPMVTPGCPAERAGFAPGDVVVEFDGKPVESIKEILVIFLFSSSYLRHYHQRIDEDIPTILLPPSLQDEDDPAVKLKSNEVRIGPMTQARAKLLKQQVNLFLSDTLIDENFILPKSYYLCMIRYEEGASIARGGEEQLDKKLDVKLVMKLDMKTSPGSAREEREACAREEYQVQAGARSGLTGRHGGAPGPRPRQPGATPDKPGADRISHLCQPGTI >cds.KYUSt_chr4.19476 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122424643:122427606:1 gene:KYUSg_chr4.19476 transcript:KYUSt_chr4.19476 gene_biotype:protein_coding transcript_biotype:protein_coding MFENFTEQALDVIALAKQDMRAMAGRHKDGQLVSLIAEAIVIVAVGYMLDEIYRRICGSTNGETVDTGSGGTKVSILEEYGTNLTRLALEGKLEPVVGRENEIKSVIGILGKMNKRNPCLIGEPGVGKTVIAEGLALRIATGDVPQAIEGKTVIALDLARLVGGTRYRGQFEERMKNLMEEIKQNGQIIIFIDEVHTVLRAGAVEGGAMDAANILKPALARREIQCIGATTFDEYRKHIEKDPALERRFHPVKVAEPTVDETIEILKGLRERYKIHHKVRLTDGALTDAALLSQRYISDRFQPDKAIDLLDEAGSHARLQHGKVSEQVKYLDNKRKKIIKEKKHALSCLQFKLAAELRGKELELLSMITSAGPVVSEADIQRVVSSWTGIPVEKVSADESDRLRSMEETLHRRVIGQDDAVTAVCRAIRRARAGLGSPRRPIGSFVFAGPTGVGKTELVKALASCYYGSEDAMVRLDMSEYSESFAAARLVGASPGYVGYQEGGQLTEAVRRRPHTVVLLDEIEKAHGDVHNVLLQVMEDGRLTDGKGRTVDFKNALIVMTSNAGSGLFVGNGEGGEVVTSVMVEEEMKRKHGFPPEFLNRLDEVIVFRQLGKPDVKKIVSIMLGEVVSRVRERGIRLQVTEGLKELVAEKGYDPSYGARPLRRAIVRLVEDKLADMMLAGDANEGDSLVVDTDSAGKVVVHRQHDEPRPHLQTLGPIAA >cds.KYUSt_chr7.1655 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9384769:9389278:-1 gene:KYUSg_chr7.1655 transcript:KYUSt_chr7.1655 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSAPPPPPVYESPSDRRRALRESVRVTRRGGQEGVRPGYAAISSASRPIKSPGQVTPDRKSPSLTNPPVATNQESDSLCAGLVVEMASQGRRKEAVDQSAGKDGKVAASGAWHRGGRHVAEDGIRAATNHAGLLMAPPAGWGDQGGLVERPSRPKPVGDPEVASLLRQRALLTADREVANHGNWDDGESEKNAGFRQGEETKIGGYVDMEDDVFLEFEEEEEVKKDPDEAPTWQLMARYMANFKPNTKAMFTRFVEEVWFLRTGIDYAEKGEALEVDVPESEIKKQEFLRVRVNLPYDRRLQTHITAGIKGKPEQTKVFKLMYERVPYYCSHCGFMGHKKDDCEKSRLGIPSLEYDAIELRCSPYKKFEYRSHSIPPAGHPKAKRGLSFSSFGSAESRKQFNRGSNYGTQRTSGNSAQSQPRSNSIDPEMPPLADDIVPGVIDADGQIVGQLVLSEEERRLAERIAELDMSPNQHERSGAESKGRNTSEPIIQFPDEDGRTMGVEGARVSVHVEMMAHVQAPSTYNETGPAADSVLGKRLADQEAQGELHDQQSDGHAEKNLGGTPKKGKLQDVWHGKAGQREVEVVYKRNKKTTQAGAKLAGKSRKRLEELMLKGADNSEIRKETDHMNELLYREEMLWLQRSRISWLKEGDRNMRFFHQKAVWRARRNKIKKLKNAEGVWKDTPSDMERMATSYFQELFTRDPSLNADDLINLFQDKVTADMNENLCKDFTDTEIGDALFQIGPLKAPGVDGFPARFYQRNWATLKEEVINAVKVFFVTGRMPEGVNDTAIVLIPKIEQPETLKDFRPISLCTVLYKWHLSIDTVDTIKARYEAATGMPADRQQITYQSRQVRNGSRLADWGATNCSMLYVDRMPWHHTEAACALSRVLMVKVYRRTTVRRVKELVEAAEGVPVATQRAYHNRGPATGYTDKDLEDGQTMEELGIMEWSNETVMNQPFVTIEYRLGAGAAAAAERRARVPRVLFRGSHAEYNKIVMQRVLAEREKPDSMPLVPPLMRSRVLNVYLGARDPLLP >cds.KYUSt_chr6.20857 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131528180:131529022:-1 gene:KYUSg_chr6.20857 transcript:KYUSt_chr6.20857 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKLVDDADLSIFGAIFEFNPPSSKEASAQDAPRFVIAFRGTITEKETISRDLSLDLHLVQNGLHKTSRFTIAMQAVQNVASVFPGSSIWLAGHSLGAGLAILTGRNMVKKGALLDSFLFNPPFAAAPIERISDERVKHGFRIARSFITAGLTIAMKGKAEGNNQRSIAEEPFNTLSSWTPYLFVNPGDHICSEYIGYFKHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIVNVSPSPDFKYAHGISQWWQPELNLQCNKYRYS >cds.KYUSt_chr1.799 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4272913:4277265:1 gene:KYUSg_chr1.799 transcript:KYUSt_chr1.799 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNEASPPPKLHTRLRLWEFADRYLFEPVDGLADLFLSVNRTNGSMNLVEELPPRGPTANPKVRIVFGVMGVLRLAAGSYCLVITDRDCVGSYMGHAVFKVTGLKALPCHTASSAEQKKTEKEFSELLDAAERSIGLYFSYETNLTLTLQRLYDLGDKFKELPLWRQAEPRFLWNGYLLEPLIENKLDQYLLPVIQGNFQNIHAEVRSEKVNVTMIARRCTRRIGTRCWRRGADPEGYAANFVESEQIMQSKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDVIRVEEAARVLERHFHDLQKKYGAVVAIDLVNSHGAEGRLYKRYEQSIEPILSEDIRFVHFDFHKICGHIHFERLSQLYDQIEDYLKKHKYFLLNEEGKKTEEQTGTVRTNCIDCLDRTNVTQVHTF >cds.KYUSt_chr5.17300 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111756828:111757247:1 gene:KYUSg_chr5.17300 transcript:KYUSt_chr5.17300 gene_biotype:protein_coding transcript_biotype:protein_coding MNFWQQRRSSPLEQKQDSVGVATNPDRWPRCGTSIMIMIINLTDELTEAKGTGQRQSLSAGRKERGADLADIQNELKL >cds.KYUSt_chr5.20209 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131355514:131360003:-1 gene:KYUSg_chr5.20209 transcript:KYUSt_chr5.20209 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGSAGRGTGLGGGDSLPRPPVFRTDAERRKWNRSEGVRKRSARRWTKWGLTPPGKLARYANNGEGLSSGGSSRAPRPPVADSSDDDELVPARSPTFSAGDYVHGSEEEDAVVAQTKAISEAEARARFRREEADAVRQVREYEAARREERVRRVKLEIVKLDAEEELSSSTPPSTPWSALTLRHHRRRRAHRLLGRILVRPPLAITPISICFGSPPAAGHLLYSTLLRLSFAPSILRSGPLHLSGDEEGEAMLAMRRKRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKAPSVRFHSRINMGCVNHETGAVDPKKFSVLANWQRDYTMETVLTQLKKDMGIAQNRKLVQPPEGTFF >cds.KYUSt_chr6.29904 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189395948:189405139:1 gene:KYUSg_chr6.29904 transcript:KYUSt_chr6.29904 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSSFAAAAAAVAAGPAASPLAARSRCGLHRPRSGPVAVKCQRIDPLKLRAVNGTSPYVPLSNKSLWTPMTMPVIGDTNIRKDDTRLRIFSGTANPSLAQEIASYMGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPSCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEEKNFPQLTILSVANLLGETIWRVHDDCS >cds.KYUSt_chr5.14007 pep primary_assembly:MPB_Lper_Kyuss_1697:5:90997901:90998502:1 gene:KYUSg_chr5.14007 transcript:KYUSt_chr5.14007 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFKHLCAARFKEGVVVDDIIRELTKLAVELDAVKFFGWGENMLDQEALTRGFTHVFVLTFAIAEDLAACLGHGKHCAFGATFMAAVDDAVVMDFPLVFVKPALPPC >cds.KYUSt_chr3.40500 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255439939:255440429:-1 gene:KYUSg_chr3.40500 transcript:KYUSt_chr3.40500 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTVTAVAQQLKDTAANSWRPGMEMPFSNCDSSDMMSKLEIMKRLAAYFSTLQEICSKSRFILSSQFIVVLAVKLQLSNEHVTSLAMDIFSATEKKGVSLIGIEKQITKRKIRQQGYNLRILRQV >cds.KYUSt_chr3.37365 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234852042:234854784:1 gene:KYUSg_chr3.37365 transcript:KYUSt_chr3.37365 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRQLLAGWEITLPDVFGPYELLNFTYADEFPTVISSGLIISKRPLNFGQRLHIALGAAKGILYLHTEADPPIFHRDVKTTNILLDSKFVAKVADFGLSKLAPVPDVEGTLSAHISTIVRGTPGYLDPEYILTHKLTQKSDVYSLGVVLLELLTGMKPIQFGKNIVREVKSAYSSGDIAGILDSRMSSCPPECATRFLLLALKCCQDETHARPYMAEIVRELDGIRSVLPEEDLFSVNSTITGSSVTLANSAPDSQAATTRELFNSLQASSSGQAYSGILSGTVSPR >cds.KYUSt_chr2.55039 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343198033:343198872:-1 gene:KYUSg_chr2.55039 transcript:KYUSt_chr2.55039 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPATSSLPCASPKLHGKDFAVCPYLCREPRPSAVQRLIAVRRPPSAVRPLVAVRHACTLPSVITAAHGKVLWLDKKKQKMTELAARIEGLSLPEEAHRREVGRGTRHVREGGVLRAAHGQGGGWPRRAVEVGPTTTATNRKSSGRPASWKSSGRHSRDGRGRGEGGCPARCGADEELQRGPDPCARRRGGLETRKSCSEERRRRTERRGDVLVAELRRGAAARNGAERRRAPGRAETWSGGAERGVQLQQAWRLRTHARKRCSSVSWERIRLVRRRG >cds.KYUSt_chr5.23391 pep primary_assembly:MPB_Lper_Kyuss_1697:5:152323403:152328938:1 gene:KYUSg_chr5.23391 transcript:KYUSt_chr5.23391 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPMSAMMLAMFATMASFYVAGRLWQDAQNRVYLIKELDRRTGQGRSAISVDDTLKVVACRQQGKRLASLEMELAAAKHDGFVGKYTSETNGTHSKKKPLIVIGIMTSFGRKNYRDAVRKSWLPTGSMLKKLEDEKGIVVRFIVGRSANRGDSFDREIDDENRSTNDFLILDDHIESDEELPKKTKSYFANAAETFDAEFYAKVNDDIYINVDTLSAMLETHWDKPRVYIGCMKSGEVFSEATHKWYEPDWWKFGDGKSYFRHASGEMFVISRAVAQFISINRSVLRTYAHDDVSVGSWMIGLAEQCVQLFDKQCFPEEEFCEERVRLKITLNDDT >cds.KYUSt_chr7.2976 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17611178:17611779:1 gene:KYUSg_chr7.2976 transcript:KYUSt_chr7.2976 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSTATSTSASLGSALTASRPASAAAATAPAEAPCCPYLAPIADQLGALQPYLGPIADRLRLAYVGSIAHGAGADALVKFPGGVAFTVAAMLEAPVEVAAKSSHSTRAAMRTGEEAAMLRFVLPACAVGREQATGPLLSKFRNPLHLSHVK >cds.KYUSt_chr3.701 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3640555:3642278:1 gene:KYUSg_chr3.701 transcript:KYUSt_chr3.701 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRLALARVIPRRCSSGWFPSSSTFQRGSARSSLPPRRQTLAMGSGSGCRCCSAHEHLRLHPQRPRPPPRLPLLLIHYYIGYLHDDDYVFLLYALCFPLLLHGCGFTAPQQQRWSPSYVYGMNSKCSIKVEIHDGTYNVIKNWNYIGLVEAIHNAEAKCWTVKVNQSFHCQQCCSLPADESEV >cds.KYUSt_chr4.49173 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304510643:304514395:1 gene:KYUSg_chr4.49173 transcript:KYUSt_chr4.49173 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLTNSFMHLLFSKRRNVFNKFKDEDGVFKVDISNDPRELLSLYNAAYLLTHGETELEEIILLARQHLESMKSDLQHPLAEQVKRALHLPLPRTLKRLEVLHYMSEYKDEPMHNSSILELAKLDFNLLQRLHLKELKDLSRWWEDISREVGLTYSRDRIVECYLWSYMAYYEQEYTRARMILAKIIAIMAMTDDTYDVHATLMECKQLNEAIQRWEESAISLLPEYLQKFYLKLISTFKEFEDELKPEEKYRVSFSTKAFQILSSNHLQEAEWFHKNHKPRFNDQVKVSSICSGAPWACVGLLVGMGETATKEALEWALGCTDAVRACAVVTRFMNDLAAFKQGKNKYDVHSSVECYISEHGVASDVAFAKIGSMIEDAWKTTNQARFEHPELLPAIQRVVNITTSMMFWYDDHKDAFTYSNGLEGTIRRLFVDPIPF >cds.KYUSt_chr2.4595 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28388217:28390801:1 gene:KYUSg_chr2.4595 transcript:KYUSt_chr2.4595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Photolyase/blue-light receptor PHR2 [Source: Projected from Oryza sativa (Os03g0343400)] MAAASDADPAARPRDDPNLPFASFSLSLSLRTPTAPATLASVPSTLNLPTQISTLAVCLHPSSSPRRSTRLNAAAASLLSPLTASSPSLSRSFPSGAPAAAGRRRTLVWFRADLRLHDHEPLHAAVGASSSLLPVFVFDPRDFGKSPSGFDRTGPYRASFLLDSVADLRRSLRARGGDLVVRVGRPEVVIPELARAAGAEAVYAHGEVSRDECRAEENVTKAIEKEGVEVKYFWGSTLYHLDDLPFRLDDMPSNYGGFREAVKGLEVRKVLDAPEEVKCVPMKNVLEPGDIPTLGELGLSAPPAMAQESKSSVGSTLIGGEAEALERLKKFAAEICMQPNKAAKDGSQNSIYGANFSCKISPWLATGCLSPRFMYEELKKHAVRTTPSGSTPKNGDGGSDAGTNWLMFELLWRDFFRFVTKKYSSAQKTSGVVPATGCTPTPAFS >cds.KYUSt_chr5.28701 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181763480:181781676:-1 gene:KYUSg_chr5.28701 transcript:KYUSt_chr5.28701 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAVTIPRQALRSGGPVAPLGRSRVFWKLHAILRCWALISSNSENISLLGFLKPKTAENRNWHFGISSIDTLETYQGFTATFPSIAGLYAYFDGFFIAFDFTFVGLHLAAASSLCPSSGHSADGEAEWEVRACGGWVRGDGRGHHAASHETQGGEEPRHGCLGVSLGNTSDEITVSANVLRQTELDRLTVVPNVSTGLEISVIDDDEEDDILDGQLPSAIIGNISEVDLEHSELSSVYDLKASARGSRGLGDLAKHSHIADGCRDYDLDFIAISETGRRNFAQSFLDRLSGGINFQWFSRPPRGRSGGILLGVRIDTMTVLASSDGEYHIKLDIQNKADSFTWSLVAVYGAAQDAFKADFLRELVIYRCTGMDQDLDGPNLGGKAEVEILTGMEDEVDSANNMAEQEESTGSVPGPSFSGRNLKRLRSKVWDDFTPIFVGAKVARAECMHCHQVYNVGSSGTSNLLKHQAKCSTRIQKRPMQEKIQVFPSTQKSTIAVRLRSGSTQKKLQFSVTSQKNFLGTADAALDKKGLALLDLPNTMNQNNQEVDHNLSQEQLATKEQKKLASRDTPIDKDQKTKLHEEPAVPEQDIPSDMNQKVPEVDQVKPHEELVRIFSVHGHPPSIRVHDRFTKFIACLNPMVKMPAEVGMYTYFRRLFDQEKTRLKERLAALRSRVCLSAYVWHYDLCSAFLCLSVHYIDDEWKKQENIITFRSIGTSCNAKQLSQAILSAIGNWGLRDKVFSITLDDTFLDDSVASDVKASLQECNLRSANRSSSMSADRSFFVTRYSTHLVNQVIQVGKDEIEKVMGKSTKYSKHTKGHIPSVVHYPNHRYAPSPEGWTNAKNICEALEDLHRYMDEIRNCRSPVDLFDKVWGVKKFLHRNADFYFWGDKTIFKELEKMQNKFKEDWKLCCLNICMPMIMDPSFRFSRIKSCLWFDAGNYHLMKRKFDDDIEDYIEEVHDILLDLFSEYSDQVEDTSCTSGAKTRKKAVVTGHDTLMYYYHTDEYPYSERPMAELDQYLQEPGLSTGESSVLQWWKEHDLTYPTIARMARDILAIPLISDYSVATRTARRAFCESGVGWIERLVCVQDWLRSDGMEDEVDSANDMAEQEESTGSVPGPSFASRNLKRLRSKVWDDFTPIFVGAKVARAECMHCHQVYNVGSSGTSNLLKHQVKCSTRTQERPMQEKIRVLPSAQKSTIAASSGLAQKKLLFSVASQKKCLGTADAALKKKGLALLGIPNGMNKKNQEVNPQEGLATNEQKKLTSGDTPIDKDQNTKSHEELAVPEQDIPTILEADQGKPHEELFRIFSEHGHPPLIRVHDRFTKFVACLDPMVKLPAEFDMYGYSWRLFDKEKAKLKEKFAALSSRVWLSVYVWHYDLHSAFLCLSVHYIDDEWDKRKNIIKFEAVDPSRSAEELSQSILYAIEDWGLCDKIFGIILGDTFMDDSVASDIKARLPKWNLRSANQSVSAPSNRSLFVVRYATHLVNQVIQVGRDELEKVMEKSTKCSKYTKGHIPSVVHYPNHRYALLPEGWTNAKNICKILEDLQRHMDEIHNCCNPADLFDKVWAVKKILHRDADFYFWGDKTVFNELEKMQKKFKEHWKLFCVHICMPMIMDPSYRLKRIKSHLWSDAGNYHPGKRRFDDDIEDYIEQAHDILLDLFFEYTDQVEDTSCTSGSKTSSRSIAKERDTLMDYYQFDKYPWFRERVNVPFSLVFHACMEEEVDSGTNMVEQEDNTGSLSRAQVSRTRPKRLRSKVWDDFTPIYVGGKIAKAECMHCHQVLNSNSSSGTSNLLKHQSTCSPRAKKKPMHPSKGSDPTQRKLSFFPTSQKKYLDTADARPEKKDLDLLDIPNDTNQKSHEVNQSVSHEELGAPEQNDIALPVLGADTKITGQQVEQNESHDELATAEHTSRALLDNHIDNNTKNQSHEELALPEQVIPTDTSRKNQEACQDGSDAELVRKLAIHGYLPSMMDHGGLRKSVGFLNPTVKIPSYADLMSTFLDVFDNEKAKLKEKFAALCSRVCLSVYVWHYDPISAFLCLSVHYIDDEWERHQKIITFRAMDNICNAEEMSDVILMVIRDWGLCGKVFSIILDDAIIDDSVASNVKAHLQKENSSCADRSLFVVRYGTHLLDQVIQVGLDELDKIMEKSANCPKHTMGPNSSAVHYPNYKYAPSQEDWGEARKMCETLEEFHQYMDTIQCLHAPDHLFDKIQDVKSDLRHGLKYYGDGSYSNMLKKMQQIFKKYWKFCCLHLCMPLVMDPSYSLKHVTNSRHCYKTDTDGYSDDVCDTLLRLFYEYSGHAEDPSCTSSNEDDLSSADCDHYGDQCSKVRPMTELNQYLHERRYCRGQMSVLQWWKEHKLTYPTIARMARDILAIPYRTDYEVATTTAKLAICSASETSTNDLID >cds.KYUSt_chr6.28673 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181720681:181733503:1 gene:KYUSg_chr6.28673 transcript:KYUSt_chr6.28673 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRPEEAGAPARRLVFAYYITGHGFGHATRALEVVRHLIGAGHDVHVVTAAPEFVFTTEIDSPSLHIRRVLLDCGAVQADALTVDRLASLEKYHQTAVVPREAILRTEVEWLTSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVAAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSEVRKELGIADDVKVVIFNFGGQPAGWKLKKEWLPDGWLCLVCGASDTQELPPNYVKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGIEMIRRDLLTGHWKPYLLRALTLKPCYDGQINGGEVAANILQDTAVGKKYITGKLSGARRLRDAIVLGYQLQRAPGRDVGIPEWYSLSEKEIGVRPAVAPGINGNAESSFEDFEILHGDMQGLTDTMAFLTSLSGLVGNDPRSPEKQSRERTAASVLFDLEEEIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAIQRTNPIKQKLWKHAQARQLANGAVPVVQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSQDPSQKWAAYVAGTILVLMTELGVRFTDSLSILVSSSVPEGKGVSSSASVEVATMSAIAAVYGLNIAPRDLAILCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMLKCAASDLLSQSFPSTTTQSCAASEEYEKYGAELLKSEASLQYLCNLPPHRYEAAYARDIPEVITGDEFLEKYGDHNDAVTVVDPKRSYSVKAPTRHPIYENFRVEAFKALLTAAKTDEQLSALGELMYQCHYSYNACGLGSDGTDRLVNLVQEIQHRKTSSQNGGPSLFGAKITGGGSGGSVCVIGKNCLKSSEEIFEIQKRYKAATGYLPIVFEGSSPGAGKFGYLKIRWRSTYAPEETNTNEKKKERFLNGLHDEMQIVQVNIPFADLEALVDSAIQMKGKLHQGNENRKRRMMNQSGPSNTPSNNNNNHTGNNNNHPNTAPRTGSNDVPIAPKDKSTVTCYECGVTGHYSNECPKKLMKTAPNTTAPAQQQRRVSTRRNPNNRSGRFYRMSATEAQEAPNAMQDASTEGYEEGEVFYEEEEKHFDHYTTQAKLLPIQDINMSNLY >cds.KYUSt_chr1.6122 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37610523:37617943:-1 gene:KYUSg_chr1.6122 transcript:KYUSt_chr1.6122 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRDPLPWKPGVVTAHGRGDRQQTPASSCTEPASWPMTASPIVDGEDLHWAAIALSLDSAPTQLIRGDTALWLMGDASTSPASCRQIAGHLAIERSSALRREEQCGNSTRLCHPRIINFCRQSSDLVDGSAERAELGFRHPPPLRRTPRSDGTVLHLPFGGEAPDLTAASAAMTTHERKTVDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEEYISSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPPLSEVGFTCFRDLVYQEIKGKVKSAVISLIDQEREGEQIDRALLKNILDIFVGIGLGNMECYENDFEDFLLKDTADYYSIKAQTWIVEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVEDLSRMFRLFSRITRGLEPVSLIFKQHVTNEGTALVKQAEDAASNKKPEKKDIVGLQEQVFVRKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSTSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFISTHSELNPGIDLAVTVLTTGFWPTYKSFDINLPAEMVRCVEVFKEFYQTRTKHRKLTWIYSLGICHITAKFEAKTIELIVTTYQAALLLLFNGADKLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILSKEPSNRTISPNDVFEFNSKFTDKMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQTLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKENPNVYRYLA >cds.KYUSt_chr7.29356 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182685690:182690083:-1 gene:KYUSg_chr7.29356 transcript:KYUSt_chr7.29356 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEILIMTKQPSQSQEENARSHGTTAQEHDTQQDQELQHGYNAQQGVGTTSSRSSHQRKITLIPLVFLIYFEVAGGPYGSEKAVRAAGPLFTLLGFLIFPFAWGVPESLVTAELASAFPGNGGFVLWADHAFGPLAGSLIGTWKYLSIVINIAAYPALVADYVGSVAPAIAEPGRARTGTVVGMTLFLSFMNYAGLSIVGWGAVTLGIVSLAPFALMTAMSVPKLRPRRWASQVKGRKDWRMFFNTLFWNLNYWDSASTMAGEVDRPERTFPRALAVAVVLIAVSYLLPLMAATGATDAPPDAWVNGYLADAAGIIGGRWLKYWTGAGAVISSIGMFEAQMSSGAFQLLGMADLGLLPAVFARRAARTGTPWVAIVASTAVAIAVSFLGFDDVIATANFLYSLGTLLEFASFLWLRAKHPELKRPYRVPLPLPALVAMCAVPSAFLAYVCVVAGWRVFVMAAGLTALGVGWHGVMRVCRAKKLLRFSNAVAADYREADARDTV >cds.KYUSt_chr1.7592 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46612949:46613739:1 gene:KYUSg_chr1.7592 transcript:KYUSt_chr1.7592 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVRDVLQHRDRNIVFARARSNCQTITEEHPYLELTGPSRAVLICFDPGNIEIVLKAKGATESEDRDLSFLVLPLSTRVYCPYDKDYTSKHSTLKLTFRHVSKAVEATISVRLVGGSSWPDGFQGVLTASTRRDVEVHLLAFGDDKLPLVADDGTIKLSRRVVSVRRCDGELKVSILVAHYDKDEQVAIRDDIVFTPKGYGTSCGVLNVGARKMLVTVSWCA >cds.KYUSt_chr2.2120 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12857544:12858462:-1 gene:KYUSg_chr2.2120 transcript:KYUSt_chr2.2120 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLPLLLLAVAANAGLLATPSQALTQDGLHLLDAKRALTVPDGTLTDRNPTAATPCARTGVTCDAAGAVTALSVANTNLAGPFPASLCRIPRLASLDLGANYLGPEAAVAGCNALTHLDLSVNSFVGPLPAALAGLPAPSWWCCLSAVATVCEWEGGGGGGPDSRPVDRGKGLRRRGRGPSSGGREEQEVAATASWGRTAARRPGGAAGGGGGVVEVAARRPGGAARRQRRRRGRGGGGGVWGRSACGEVVRMRGGSLPCGEALPCAVDAFAVR >cds.KYUSt_chr1.19715 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115876818:115877623:1 gene:KYUSg_chr1.19715 transcript:KYUSt_chr1.19715 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMGYLHEKVLVFHIHDRKIARLHSTSFGIFHLHSSSSSGARLREVAGAKGGRSPARRREPMSSTGWPAGSSQRGVRDSTGTRLRGVRDSAGTRLRGARDSAGVEAARGAGLRRHQARN >cds.KYUSt_chr5.8247 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52174112:52177024:1 gene:KYUSg_chr5.8247 transcript:KYUSt_chr5.8247 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDVATNDNRSTDDAEEEVNDHPIEEVRNTVRVTDDPSEPCLTFRTWLLGMSSCILLAFVNEFFMYRSNQLAIGTVVVQIVTLPIGRFMASALPEKVMRVPVFGWKFSLNPGPFSLKEHCLITIFAGAGASGVYAMNIIAIVKVFYKRQINPYAAMLLAQTTQLLGYGWAGLFRTYLVDSAYMWWPLNLVQVTLFRAMHEEEKRPKGQLTRLQFFIIVMVCSFAYYLIPSYLFPAASTMSVLCWFFKDSVMAQQIGSGLKGLGVGSFGLDWNTVAGFVGNPLASPAFAIFNIMFGFAINNYIAVPFLYWTNTYNAKRFPIISSHVFDASGKRYDTNRILDPESFTLNLKEYNSYSRINLSVLFALNYGFGFAGLMSTLSHVALYHGKEIFDLWRKAASEKGREQDVHTRIMKRNYKTVPQWWFHLMLVLVLALSVFTCEGFGGQLQLPWWGLLLACAIALTFTLPIGIITATTNMQPGLNIITELIIGYLYPGKPLANVVFKTYGYISMGQALTFVSDFKLGHYMKIPPISMFFAQLAGTVTASTVHFATAWWLLTTVKNVCDVDTLPEGSPWTCPGDDVFYNASIIWGVVGPLRMFGRLGNYWQMNYFFLVGLLAPVPVWLLCRWYPRNAFFKNISIPLIFAGAGGLLPARSVNFIMWGFIGILFNYFIYRRHKAWWMRHNYVLAAGLDAGVAFMGVLTFISLGYFDIYGVQWWGGVADDHCPLASCPTAPGVVAKGCPVVSSA >cds.KYUSt_chr2.44883 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279523200:279527228:1 gene:KYUSg_chr2.44883 transcript:KYUSt_chr2.44883 gene_biotype:protein_coding transcript_biotype:protein_coding MITADCYRISTVLLGLMEVYMVVMEDDPVVSYKASRKNEMRGVEAQKYKEMATSKHDVFLESFLPTGSYKKLYSYTHLLNGFAVHAKSEKAARILSGAKGVRLIQEDIKMAKMTTYTPKYIGASGVWPQLGGAENSGDGIVIAMIDTGIDPKNPSFGSFSDQAKSPPPNFKGMCRSGDRFPPESCNGKIVGARWFARAGQATGEFNATLHYASPYDPDGHGSHTASTAAGNFHTSVISRGYNFGYASGMAPGARLAIYKAAYPFGGYMSDVIAAVDQAVEDGVDVISLSMAPTSVSSGPASFLNLLETQLLLATKAGVSVIQAAGNGGPDVSSVVSFSPWITSVAASTTDRKYNKSIITGSGLALSCGGLSPPTPGETMYPLALADDVSIANTTDGSNGCQDPKVFIRSLVQGKVIICMIVSSNYYQLDTFAGMIDTIQKIGAAGVVITDRYTGDIDYDYQPIFPTTLPSAMVLNGVDMMNLMEYYGNNTARDNDGGVITFGATVRILEGRRASYSGERPEVADYSSRGPNIENAAMELADVLKPNVMAPGHHIWGAWSPTSNALPEIQGENFAILSGTSMSTPHVAGVVALIKQRHPRWSPAMIMSAIMTTADVTDRSGRPLMARRDVGAMVAATPFDMGAGAINVARALDPGLVLDVTYREYLQFLCAVPGVDDAAVRRAVGASCPSTRARWCTDLNTPSVTVASLVGSRRVERRVYSVGGENETYMAYVRAPDGVAVRVSPDEFTIAPGEAAVLRIVLNTTAPGNAFSFGEVVLRGDKKHSVRIPLAVYPAAVLSP >cds.KYUSt_chr2.43254 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269091095:269091799:-1 gene:KYUSg_chr2.43254 transcript:KYUSt_chr2.43254 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVHFIDCAHQLMFWSSGAEQWPNIVPAEAAVWKVFGSDKYGPRLTLLEATMRTDDVGSPFAKLVKHGSAALINAYTRTGFPFGFWEVKALLLEALVV >cds.KYUSt_chr7.30246 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188326622:188328892:-1 gene:KYUSg_chr7.30246 transcript:KYUSt_chr7.30246 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQAMDLLRTVASAVLAVLLVALSTHARRCRHPALRFFVWGASVAFIPLASFTISALLQQREADRDQYSQYCKDHVCQGELPGGKDSLDVQSMWTLLLWAVIIVIIKGNADTAAASAATVSVSPSTGDVGVDGQKVRTPVELLAMYAWLAYLIASCMPEANWLKFRGKSIFVVFFLLGFAKVVLKLVAFFLASNSYAVGKNARLVSGYMAQLVEEGAVEGHGYVPPYIVMGESKAHVEETPEGYRVKRAALDDKLGALVTLDRVWSQSDHSDGLLGKRLELRDLCLSFSLFKSLRRRLSGYPLAEEGSSDALDFVLRGMDTSGDKGAADADRVFRVLVDELSFAGDFYYSPLPLCSFSGWCAALNYLLSVLIIAGAIGVGYVYHDSRVIAFRRESPDKPAVTGTQKAYYVITLFLLLATMLTETCEIIAGVCSNWTKMALLGSYIKRGSPAQCTGAALAAVLRLKAARRWSNKIGQNSVLEPRRFGRRSGLFSDKLYGRAGLMRSVAVSPAVKDAVLRSFKRRYGGLDKGSTAALKVGGLSGKFGSAWPAPASGFQKSWALDGNGSSTTEHILACHIGTRLFEIKYSHAACPTPAAANMTAACHLSYYCAYLVAAAPGLLPDCPAWAEKRYKEVAKDVRAALGKDMAGASESTAQGYERLLNELSESSRDTVLRHGAELGSRLVDAYVEDEAAAWRFLADFWSEMVLFVAPSKSVKGHVEAMGRGGEFVTLVWALLLHAGVTDRDETPHSCSIP >cds.KYUSt_chr6.9171 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56544055:56548872:1 gene:KYUSg_chr6.9171 transcript:KYUSt_chr6.9171 gene_biotype:protein_coding transcript_biotype:protein_coding MVYISWLAHSSLPSSKFQSSANMLMVKAANPKLILFHIYASCCFLLCYQAVAGAAATPFSFSFDFSNGSTYRSHDLLFEGSASLNGGLVDLTCNNSPGQSMRNCTGRMSYNHPVPFYDAAAREVASFSTQFSFEIKAFNNRTGDGMAFFLSSYPSKLPPSSAGGNLGLHAGDGMNARGADRIVAVEFDTFRNRLFDPSPNHIGIDINTVKASVNTTTLPNFSLNGSMTATITFNGTTRMLLASLHFDGNPSLDPVQVSTQLPDPVTDLLPSEVAVGFSAATGDRVELHQIISWSFNSTLVHKVESKAECASLELIDYFFICREEVFDRLGQQRVKLFLVYELVPNGTLEDHLHSQERILPWEKRYKIVKGIGSALRYLHHECNKTILHRDIKPGNVLLDYDFNAKLADFGLSRIIASKTNTTLVTTAVGTVRYMDPERMKHGKLKFNRKYDVYSFGIVLLEIACTNKSREQVRELYRRRAAEPEVMEDAADSRLCGVFDRIEMERVISLGLMCSHQNGKHRPYMVDAMKFLEDGIELPAITEIEGTCASEPV >cds.KYUSt_contig_662.319 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1804893:1806544:1 gene:KYUSg_contig_662.319 transcript:KYUSt_contig_662.319 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDNPDTVTDRGLFGGSSKKEEEDKEDGGGGFIEKVKDFIHDIGEKIEEAVGFGKPSADVSGIHVPHIGLHRADLVIDVLIKNPNPVPIPLVDIDYLIDSDGRKLVAGLIPDAGTIHAHGEETVKIPISISFDDIKETYGDIKPGSIIPYLVRVVLLVDVPVFGRIKIPLQKDGKIPVPYKPDVDVDKIKFHHFSFEETTATIHLSLENKNDFDLGLNLLQYEMWLGDDSVVNAELTDSTKIDKQGITKMQIPFKFRPKDLGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPIDKVGGTTKLKKDDDDDDDEI >cds.KYUSt_chr5.21060 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137129262:137134814:1 gene:KYUSg_chr5.21060 transcript:KYUSt_chr5.21060 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSAASSSQPDFDYLFKLLLIGDSGVGKSSLLLRFTADSFEDLSPTIGSFLVSFPTLPPHLSGLLVDLFFPGVDFKVKMVNIAGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKQSSACSAKLPLTWLMAWKLQQV >cds.KYUSt_chr6.3029 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17765917:17767922:1 gene:KYUSg_chr6.3029 transcript:KYUSt_chr6.3029 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAFAAVTSIELPDRLSHHHRLIDANAGTVMPLPPSTKPEPAPSLPSTSALSGLQSFPRSHHQAAFDLAAKAPVASLPVINMVYQYARFTKAEGATAAIPSLSTDALYRWHLPHPGARSGSSDDRSQTVVVLLGWLGSKQKHLKRYAECYNVRGKAEKNVEMLSEHLADWVREEDGKKIVFHTFSNTGWLCYGVILENLQRQDPSAVHKIKGSIVDSAPVAVPDSQVWALGFSAAIMKKHSVATKGAISNDTRSDVLVVESQRDLKPAATEAVLLSALEKFFDVVLNYPAINRRLSGVMEILSSNQPNCPQLYIYSSADRVIPAKSVESFVESQRKAGCKVRSCDFVSSPHVDHYRSNPGLYTSELTKFLEDCVLTRRADESSSSLP >cds.KYUSt_chr4.22275 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139928037:139930478:1 gene:KYUSg_chr4.22275 transcript:KYUSt_chr4.22275 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGLLRRSFSSTSTSPPPRSWSPHAAFAAATERVRAGTVCQEEAHNLFDALLQQAIPVPVRSLNGFLAALARAPSYDDRRSGPALAVTLFNRVCRQEAGLRVAPPTVHTYGILMDCCCRAHRPDLGLAFFGRILRIGLKTNQIIVNTFLKCLCDAKHTQEAVNMLMLHRMSELGCVPDVISYNTVLKRLCEDRRSQQALDLLLMLAREGGGCSPDVVSYSTVIHGFFREGDIGKACNLFHEMVQRGVVPSVVTYSSVIDALCKARAMDKAELILWQMAHNGVRPDNVTYNCMIHGYSTAGRWKEAAKMFKEMTSLGLVPDIITCSSFMASLCKHGRSKEAAEIFDSMTAKGHKPNITSYSILLHGYGSEGLLLDMINLFSSMKNNGIVPNCHVFNILIGAYAKCGMMDQAMLIFAEMRGQGVCPDVVTYSTFIAALCRMGRLTDVMDKFSEMIAVGIQPNNVVYHSLIQGCCMHGDLVKAKELVSQMMNKGIPRPNIVFFNSVINSLCKEGRVVDAQDIFDLVIHIGERPDVFTFNSLIDGYGLVGKMDTALGILDAMVSAGVEPDVVTYNTLVNCYFKNGMVDEGLAIFTEMLSKRVKPTTVTYGSILDGLFRAGRTDDAKKRFHEMIQSGITVSVSIYNIILGGLCRNNCASEAITLFHKLGEMNVKFDIKTVNIMIDAMYKVRRREEAKKLFAAISNSGLVPNAYTYSIVIKNLLKEGSLEEAGNIFSSMERIGCAPSSCLINDIIRMLLENGEIAKAGDYLSKVDGKSISLEASTTSLMLSLFSTNGKYREDMKLLPSKYQIFDGLG >cds.KYUSt_chr4.24670 pep primary_assembly:MPB_Lper_Kyuss_1697:4:155213228:155224633:-1 gene:KYUSg_chr4.24670 transcript:KYUSt_chr4.24670 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRFPSANDDEASGSRRRPPALRPAGGNRGGLHIGEAAAAARHCRNRRLSLLEPKPESSEEDPDLRAAAAHLGGGGGREMAAPPCGHSHLRDGGGGPAGDKITIYNGADLLQMYTLLEQEKCAGWFTFVIVAACRWLWFWYPIALGATSLAPTGSIAQSTGFWAWQSDYISPNHDAGKYTFYDLKSEVITISVLFVPIRISFIGTASDGHKRSILLYRFGSAKGGIDEEEAYLDAKERFEFVAEPTAASSKDCVDTHEYLAPEPTVASNKDCVGTQLQENQ >cds.KYUSt_chr4.39756 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245497474:245502891:-1 gene:KYUSg_chr4.39756 transcript:KYUSt_chr4.39756 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTRGGGHSDALKNYNLGRTLGIGTFGKVKIAEHKHTGHKVAIKILNRRQMRTMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIFVVMEYCKYGELFDCIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDDNIPNLFKKIKGGIYILPSHLSALARDLIPRMLVVDPMKRITIPEIREHPWFQYRLPRYLAVPPPDTAQQAKMIDEDTLQDVINLGYDKDHVCESLCNRLQNEATVAYYLLLDNRFRATSGYLGAEHQSADRSYNLLASLESASSSSRQYLPGSSGSHGSGLRPYYPVERKWALGLQSRAHPREIMIEVLKSLQELNVCWKKNGHYNMKCRWCPGFPQVSDMLDANHSFIDDSTIMDNGDVNGRLPAVLKFEIQLYKTRDDKYLLDMQRITGPQLLFLDFCCKPCCEAPDDGGYKIVVVHVGG >cds.KYUSt_chr2.46457 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290428120:290428605:1 gene:KYUSg_chr2.46457 transcript:KYUSt_chr2.46457 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAPLNQEEPTLPSPGKRFSNQLAAAVRSINWTYAIFWSVSTSRPGALTWKDGFYNGEIKTRKITNSTDLTADQLVLERSEQLRELYESLLSGECDHRAKRPAASLSPEDLGDAEWYYTVCMTYAFRPGQGFV >cds.KYUSt_chr4.23138 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145644489:145650067:-1 gene:KYUSg_chr4.23138 transcript:KYUSt_chr4.23138 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAALTLSSSPAAVRRSPSRLTVASLHLRRVTTPAYHLCGAQGPAAFRALASPFPGCRRRRRGSGMVVRAEMFGQLTTGLESAWNKLRGVDRLTKENIAEPMRDIRRALLEADVSVPVARSFIESVTEKAVGTDVIPGVEPEQQLVKVVNDELVQLMGGEVSDLVYAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKKGKSCMLVAADVYRPAAIDQLTILGKKVGVPVYSEGTEAKPSAIAKNGLKEAKSKKTDVVIVDTAGRLQVDKAMMNELKEVKKAVKPTEVLLVVDAMTGQEAAALVGAFNGEVGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERVEDLEPFYPDRMAQRILGMGDVLSFVEQAQQVMRQDDAEELQKKIMSAKFNFNDFLKQTKAIAQMGSFSRILGMIPGMNKVTPAQIREAEKNVKFMESMINVMSADERERPELLAESRERRRRVAKDSGKTEQQVSQLVSQLFQMRARMQKMMAGMQGKDTPDMENLMESIKSEEQAAAGAGQPRRKYGNLRRRDLDRMRGYRR >cds.KYUSt_chr1.41237 pep primary_assembly:MPB_Lper_Kyuss_1697:1:252989335:252990557:1 gene:KYUSg_chr1.41237 transcript:KYUSt_chr1.41237 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPFVISLAFKARAAAAADATPLHAFAVRSGAVTSPPRWPTPTPRPAASRSRSVFDEMPRRNVVSWTTLISSYVQTGRPKEAIQAFVAMLRGEAASDSASPNEYTFAAEGLAEEAFALFTEMRRHHCPSPNEFTLASLLSVCATSATLDARRQLHALAVASGLEHHEMIRSALADMYGKSVFSNRTKDDVVSWTAMVVGHAEHGHSKRALELFEEMRRVGQKPDHVAAFIGVLSACCHAGEVELGLRYLIAVSKRYGLEPAKEHYGCVVDLLGRAGRIVLFGHLCLGHVQLEGQRKPGRKLQRGRWRGGRTMGCRSLCGHGESVSQQGIMA >cds.KYUSt_chr5.42217 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266020417:266021544:-1 gene:KYUSg_chr5.42217 transcript:KYUSt_chr5.42217 gene_biotype:protein_coding transcript_biotype:protein_coding MIESVGFSIAFDYLYYRVKNAHDRAQLVPIEHAPEVERMISLHNNEKKINLYVFREKPNVDIATPGSQSVDESGSNRKKRVYTVSVRDASIEDQDSNETEDTNFSSMNDPDTPKDKGRGVLKGLKASKNRHVNGAGKLNIAFSDKLDGTVGMDNRSFKDDIVIIMKRRLPIIGVRRWADIHPDIH >cds.KYUSt_chr7.9316 pep primary_assembly:MPB_Lper_Kyuss_1697:7:56621845:56623978:1 gene:KYUSg_chr7.9316 transcript:KYUSt_chr7.9316 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGNASEDQSKEIRDETTPLLPVKAEEDGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGLIPGLLMIVFVALLTEASIDMLVRCSHQGKITSYGWLMGEAYGQWGRIALQASVVINNIGVMIVYMIIIGDVLSGTTSDGIHHRGLLEGWFGAHMWNSRAVVLLVTTLLVFAPLVSFKRLDSLSYTSALSVALAVIFVVITAGIAIIKLIDGTVAMPKLFPEIDDLSSIWKLFTAVPVLVTAYICHYNVHSIDNELEDRTQTQPIVRTSLALCSSVYIATSFFAYLLFGDGTLDDVLANFDSDLGIPFSSVFNDVVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISHDNKRFALITISLLVVIYTAAIFIPSIWDAFQFTGATAAVLIGFIFPAMVILRDPYGIATKRDKILAVTMIVLAVLSNSVALYSDAMNIFRKKEVA >cds.KYUSt_chr4.42794 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265083969:265089733:1 gene:KYUSg_chr4.42794 transcript:KYUSt_chr4.42794 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAPGVSRKLKKVLETRTDSPDLLASLGALSTFYVHNTPQARRNLKSSVEHRSLAINRHFLDASLPAQKSLDRVEGEVNALSDSWKKIEEALSSCSASTGDIISTTERLQQELEVITQRQEIVSCFLQDYQLSNEEIHALREEDIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQVECKKLGDTDNPEVSELLRKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELIAALLDPEAISDSGPANRRHSVREGDSSKGESDITSVLDRIFEGACRPFKVRVEQVLQSQPNLIVSYKLSNTLEFYGYTISELLGVDTALCNTIWSLRDATQRTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLELISTYNSMMVSASGKGLNFDPVISAILDPIIQMCEQAAEAQKSKGALARRGRTSSDTSGNKRDSISVDAILSKNLSTSVLSGESSSKVYLINCLSAIEEPLMGQEVATSYVNNLRSMIGTHVRALAEKEADSILTKCGLSSKMSYIKNYSSMESKDDARPLADVVETSPQMLLECLKAFYGLVTGTEGSLPEFEQLQVPRLRSDACYGLARALAEAYELIYKAVMDPKNCYPDPRSLVKHSPEQIRTILEI >cds.KYUSt_chr7.40322 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250382020:250383168:-1 gene:KYUSg_chr7.40322 transcript:KYUSt_chr7.40322 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPPAAAPLRARETTGSAASTRDAVSAKRRVELEAVVAGQGGARGGRWETTCCSISSPSASVRSSPRPSTHVQMCSSLRLKARILDGASGSQLLESGGRGGEKGAARDAVPAAEQDGFGEDTKEEGIGRVGIGLLLSLGEAFSLVPTTATTRSFSASGVPHPLRLPPEIERLLSYGILRSHGRTMAFLL >cds.KYUSt_chr5.33109 pep primary_assembly:MPB_Lper_Kyuss_1697:5:209963646:209964557:-1 gene:KYUSg_chr5.33109 transcript:KYUSt_chr5.33109 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPRPYTPRRLTEVAVAAAEADALISLPTGVLDDILTRVGLRDAVRTSALSRAWRRRWEALPSLDLDFPRPKGGKGASKGLRAVDSVLLRCPARVRRFYAYLDQLHAGRLDDWLIVLSRRAVEVFNLISVDGLIVLPSSIFSCGLLTVLRLYGCALPLLPAGFEGFPELRNLALINVRFQANGEYHQLEEIIATSPSLEKLLLWDVEIAGDFTEWVIQAPNLRDLNIRSAGDLGWNIGELPSLHSADIDIRDYLPGRDFAKFLAGFASVTKLVLCTLHSPVHANALNLAYLINIDNCAHFL >cds.KYUSt_chr3.43925 pep primary_assembly:MPB_Lper_Kyuss_1697:3:277333962:277339889:-1 gene:KYUSg_chr3.43925 transcript:KYUSt_chr3.43925 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGFGVAAGCAAATCAIAAVLVARRAAARARWRRAVALLREFEEGCATPPARLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDALPNGDEEGVYYAIDLGGTSFRVLRVEVGAGSEIVNQKVEIQAIPEELMGTSEDLFNFVASTLKNFIEREDGKDEQKALGFTFSFPVRQNSVSSGSLIRWTKGFSVGDTVGKDVARCLDEALARCGLNIRVTALVNDTVGTLALGHYYDEDTVAAVIIGAGTNACYVERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDISLDDETQNRNDQGFEKMISGMYLGEIARLVLHRMAQESDVFGDDADSLSTPFILSTPFLAAMREDDSPDLSEVRRILQEHLKIQSAPLKTRRLVVKICDIVTHRAARLAAAGIVGILKKLGRDGSAGVASSSGRTRDQPKRTVVAIEGSLYQAYPVFKEYLDEALVEILGEEVARTVTLRVTEDGSGTGAALLAAVHSSNRQQGGGSI >cds.KYUSt_chr2.50380 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315081874:315082926:1 gene:KYUSg_chr2.50380 transcript:KYUSt_chr2.50380 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFPSPPPPYTDAADPAAPPSDSAANTSLLTSLLIIAGLLAFVVVACVSIHALLRYLSRSSPSSTLPRTHRGQAHAGAREDNREEGEEKRRRRLIESLPRFTMASALAALPKSSPDCAVCLSPFTLEAELRLLPACRHAFHAACVDAWLRTSPTCPLCRATVALPHPSISAILAAEQPPPPPPEPPRISRDRFRVEMGSVSSRGSPVSVGSGGGDSRTYSLGSFEYHIEEEVEAVVSRMVRAVARAPDTIKEEKPAAERSPSPSPPGEAVAEAAGSPTRSWLREYMDRLASSASSLAFPGLWSSRSSQRNEEPWLWDTEAAATPPPPPPGYDEEETAFMVLYRWIAAV >cds.KYUSt_chr7.32472 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202269615:202271288:-1 gene:KYUSg_chr7.32472 transcript:KYUSt_chr7.32472 gene_biotype:protein_coding transcript_biotype:protein_coding MATARSRWRPFTASSPSPPSSASSSPSSSFTATMDPPPEFLCPISGTLMADPVIVPPGRTIERACIQACAALAFYPPAVADLPSSPLVLIPNVALRSAILNWCERLGLPHPSPLSLDTAGDIVRRLMPQSRSQSQYGPPRQEQSRSQSQYGPPSQEQRSSQFQYDPPSQEERPQSNYGRPPQPASVRTRNRSVSGDDFPQEPKQRSGSVEEEIMAVLGATDTSPTEQREAMASLRQATRETREMRLQLCTPRLLAALRPMLLSGDAGIQANAAAAMVNLSLEAENKVRIVRSGAVSPLVDVLRVGHPEARDHAAGAIYSLAVEDENRAAIGVLGAIPPLLELFASGGAGHRARREAGMALYHVSLAGMNRSKIARTPGVVRTLLATAEARGRGSGEADADAAALRKLSLMILANLAGCPEGRAALMDGGAVAAVVGVMLSGAAAPGSSEEEYCISALYGMSKGSLRFRGLARAAGVEEALAPVTECDGGGVGRDMARRTLRAMRGEDDEMPFTASGILGSQWGDASIVSEGLVSLRRPPHQRGGNHGGTSGSNTTQF >cds.KYUSt_chr5.8080 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51044267:51046923:-1 gene:KYUSg_chr5.8080 transcript:KYUSt_chr5.8080 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVPDFPANVAVDRRWELEPAALKFSSPEKAAAAAVAKVWKERPADSTRWTSRFLGGIELDDEWDSNTMNKDKQTADAEEQMAKDKERWLDDMEEEEDYMQEPEVGVESDEECELNERRD >cds.KYUSt_chr5.29895 pep primary_assembly:MPB_Lper_Kyuss_1697:5:189601848:189602726:-1 gene:KYUSg_chr5.29895 transcript:KYUSt_chr5.29895 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQQTRVKGAGGGNRFAAACGLLRQYQYMMGQGSGRGAAMTTMGLMPGADGVEAAHVTPEERIKNTMELLLFPQRPGTLMDNSHQRILPERAQLTIFYDGRTFVLDDFPADKADQLMQLAGSFAAPAASDDELVCPSMPAQHFLEDMPRKASLQRFLEKRKSRIAAADPYLVAPARAAKETAKPVAVGDGGAPWLSVNSGLNLN >cds.KYUSt_chr2.50404 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315230957:315232209:1 gene:KYUSg_chr2.50404 transcript:KYUSt_chr2.50404 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGEIRLQIAGTGGEEAAIDTSPATAAPPPISKRLQWWALVVVNIVFVLAGQSVATLLGRIYYDQGGGSLWMATLVQSCGTPIAIPLLLYFGRRRPKSTRVARPPLLKIAAIYAGLGILLAGDNLMYSYALLYLPLSTYSLICATQLSFNAVFSYFINKEKFTALILNSVVLLTFSAALVGVNNGSDGTNSSVPAGKFMAGFALALSASAVFSLILSLNQLTFDKVLKSDTFYDVMEMQFWSNTAAALVSVAGLFISGEWSTLGGEMHGYKKGAVSYGMTLAWTAISWQLTTMGMMGLVAAVSSLFSNVISTVGMPLAPVIAVIFLKDKMDGVKVLAMLIGLWGFASYIYQHFLDDAKIKKILAESSADDDEHQTVKLATE >cds.KYUSt_chr4.54373 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336028130:336028819:1 gene:KYUSg_chr4.54373 transcript:KYUSt_chr4.54373 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRVSQRGSSPGGAFARFPRVPKYGGPKNPRHIRGITRKLPPKRSHLLPHLLPHSRESPRDGRERRRRGGLFPGRRPSPPETSASHPLPHSRELFLPLFPKLRNPSLRPPTNAAVPRLPVLRSEVLELRLVGLVRILEGIEPEGQESITRSSSSSPPAMRLLRSISSVDAFRSSPSVPGAPRRPPGTAEAIPVEPRVSELPPDEDLLRLPLSASMASLPAFELNYATI >cds.KYUSt_chr5.38747 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245305536:245314217:1 gene:KYUSg_chr5.38747 transcript:KYUSt_chr5.38747 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDLEWERSKISNQDMNLLKKLGFTKKENALRFPKEESYPYASKREGGKRHQESGRTGCLAHARELDAVRRPAGRAPTWRLSHRLVHVSPMPLREPTRSAEIRHRRQYLPPDLRADPAFVVDSNSWRTWPKTEEDLRRRAGFLSDRDFLFDHPSLPHRRRRQPPAPPQDYNDDGNDALAYHNEEAKDGSDDYIACIFHEWWAAMAEGRKFEYPTTMTDDEIARLDVLVSEVDRPMQPSLPRYGTGIMPPGLTEEERPYDGTGARGLGPPTAIAASTSAIKSVGGSSSTAGMGYSTSTTAGVGCSTSTTGVGCSTSSTTGGTKPIANPAETIRRALSRALVHYYPISGRLAAGAADGDEVVVVYTGEGQGVLFVGASASCAVDDEAMSAAALLSDLYAHYPDELCPSHTDPLLLMQVTEFSCGGFTVGVTWNHIFADGTGIGQLLQAIGELARGMPLPSVAPVRWDESVMAARPRGLTVADVEILTDEDDMAFLDLTIPPSLIGRVKAEAGSERCTVFEAVVAVLWQCRARAVSIPEGHAATSIMFVSNARGLVGAAEGYYGNCYISESSVQATSVHVANSDTKDVVKLIKATKQKLQGAMTKDDDGVNSAQQQQRLSAYNTLGVSSWRNIGLDAADFGGGRPSRVVLYTRQEIVPFCVLCPPCKGKDGVNVLSRMVKKEHVDAFLHELEALTSTGCQSGE >cds.KYUSt_chr3.43526 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274658015:274660813:-1 gene:KYUSg_chr3.43526 transcript:KYUSt_chr3.43526 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAKPSHNPRTNYVFHRVILSSLVLVLSCSCTVANSDRQPPMISKIAKDPVTSLYTISIKADQSPLVVDLAGSLVWSTCPPSAAAHSTVQCESATCAIAKEQSPPRCRYVDGGRFWENGQPGSTECACTVHPLNPVTGECSTGDLTSLAMSANTTNGTMELRPEESFAVLGACAPSRLLTSLPVGATGVAGLSRGPLSLPSQLTAQRGFGNKFALFSQCKIQARCLHCLDAGHAARKCPTKHLPRVPLPPLPTPRRRQTPKPPVHSRLVFPPHHPNSLRQPAHSRIVFPDYAAPPHSPPHPSPTTPAPSMADTSCFVRRPLRTKVVLDHTEEMTVEEARLRGTALVFTVSGTRPALTPGQIGDGLMHDFPELPDGSFQVSLMHPGTFFTRFTEPSWFDLVAAKDSFRCRGTPILIRRWHRLTFATFRKYRYSVRLYLERLTPQAWSFDTVQRALPCCLIHSITEDTQAKSDLSFYVVEAWVDKLEDVPTETIIDIHEPRPCVDPLAHVPLPPGFSSPDAPIPGAGSPTTRCNADLWRSVPPRILSTTILVHLDSSMFIRPAPSSRGHWSRDDDDYYDGDDDSTRTDEEAYPWTFGVPDDVWHQRIEAASSGAAAGQHRPRPRNEGGRRRNLTPAGFDAVPLPDPARVSGPEGPINVTSLPQPDKGTEKGAASPTIADGGHQDGTVLTRPAPTAARPDQPTTRVLQVNEGTGATAVPPKQATLLPEDEVEDALQQLQLQDNSVLGLDCSPLPMLATPPAPQRVTERQDETTPTARDALATPVSIGPGPAPDMVTPLAAHHSTPLDGLLERVCSPLEPALLPPPAAAPPRLTRRRRPPASATRRSHRNLNRKKQLTGSGNSTMRLARKLIISKKGLAIAEPGEEEEQEAVDAYSTAFDEPIDDEKIEALSALAKSGHAKKNRHRSRAVGRSP >cds.KYUSt_chr6.14518 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91063395:91066654:-1 gene:KYUSg_chr6.14518 transcript:KYUSt_chr6.14518 gene_biotype:protein_coding transcript_biotype:protein_coding MDADMGENFAYYWETQRYLESEELVDSIFVGATEDAVSYYDSSSPDGSHSSSTPTGAAIAGAGMGMGMGMEMGGTGANKNILMERDRRRKLNEKLYALRSVVPNITKVSFDRRALIQPALPESRRTNARGRQTILTSNAALLLQMDKASIIKDAIEYIQVLQAEERQMAAEVSALESSAAGAEEEDYDGGLFAELEQVSQAEQQQRKKVKRALSVSSMNDALLAAAAEAASPPVEVLELRVSEVSEKVLVVSVTCTKQRDAMAKVCRALEELRLRVITANITSVSGFLMHTLFVEVDDMDRFQVKEIVEAALAQLDAPGSPPVSSMSY >cds.KYUSt_chr2.36442 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224943380:224948796:1 gene:KYUSg_chr2.36442 transcript:KYUSt_chr2.36442 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEKPSGGTSPLRQRAETETPVPQILASRWRRLWKFKMRYMQIRSSGSYVNHGESTSATPRRLRRAAEAIAGPTCPLTDLVSYLRKRGAARRPWWYTGESTPAYSNNSLRARANRAAAPRPATAPKSGPGGRRSRESAVVEHEEELGSVEREHAAALKHTRQGEAPIEEVWGALVAGEDLAVHDILLHVFGGWSLERAGGALEFAEMAQERGHAGRALMLVLTSSVQEGAAAVESIEEDAGLFSLHALRQPQPRRDLLHPGW >cds.KYUSt_chr2.1454 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8717610:8718758:1 gene:KYUSg_chr2.1454 transcript:KYUSt_chr2.1454 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSGRRLATLEEAARATVPRCRTRARSDLFAGLPEEILLDILLRLPPKSVLRCRAVCRLWRRIASDPAFLLDHHRRQPELPLMNCGGRLRALHRQARRIHPVFRFPEAFPFGFTADASCDGLLISGQHICNPTTRQWAPLSPNPKHGVENILGLYRHQPSGEYRVLYWRYPNNLYNMDCLIEYRVLAVGTDDPRIIDCSATPVETELISRGGPAIYGAAVLLNANLHLHWRKSFGVPYHRILVFDTVAETFRHMRPPAVNPRHVMHLFDMGGTLAASTSKDGMTGMSIFMLQDHDVWAFRYRITLPVVDIRRFQERGDWWAKVVNKEGDVLVTCYEHLLLFDKVGNLIGNSKFDDDLPVVLPFRLKESLVQHTFFQKTEN >cds.KYUSt_chr4.21735 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136834244:136835901:1 gene:KYUSg_chr4.21735 transcript:KYUSt_chr4.21735 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVLGNEVDLSLAPLVQFQLCTPRKIGPPGLADRRLEGSVVIQPLCTVLTGNEAAQTVQIYTSRVVDTVHPAMCIPRRKRREEMQAAGGASKGGANECELLRLPNRQVDRSFEETGDISS >cds.KYUSt_chr7.36123 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225767320:225769190:-1 gene:KYUSg_chr7.36123 transcript:KYUSt_chr7.36123 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGAGGGPDWNGLLKWSLAHGGDGTTPSRALSEEDRKWFMEAMQANTIDVVGRMKEIAQVMKTPDDVLQSQGVTTENIEDMLDELQEHVESIDMANDVCRVRVAGRIRPKNADELAQGADFDNIVELQPEIIVTPWLRGEIPVA >cds.KYUSt_scaffold_1854.589 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:3098018:3102210:-1 gene:KYUSg_scaffold_1854.589 transcript:KYUSt_scaffold_1854.589 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDESGGDDGGGRTSSASDLRKPFLHTGSWYRMSAAGGGGVMDRLESSAYALRDSSVSAVLCTLIVALGPIQFGFTCGYSSPTQDAIIADLGLSLSEFSIFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFANDSSFLFMGRLLEGFGVGVISYVVPVYIAEIAPQNMRGALGAVNQLSVTIGILLAYTLGMFVPWRILAVLGILPCSILIPGLFFIPESPRWLAKMGKMEDFESSLQVLRGFETDISAEVNEIKRSVQSSRRRTTIQFADIKQKRYSVPLMIGIGLLVLQQLSGVNGIFFYAASIFKAAGIENFNLATCGLGAVQVVATGVTTWLTDKAGRRLLLIISTTGMTITLLIVSVSFFVKDNITEGSHLYSVMSMLSLAGLVAFVIAFSLGLGAIPWIIMSEILPVNIKSLAGSVATLANWMTAWLVTMTASLMLSWSNGGTFAIYAAVCMGSLLFVCLWVPETKGRTLEEIAFSFR >cds.KYUSt_chr3.39116 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246483534:246487330:-1 gene:KYUSg_chr3.39116 transcript:KYUSt_chr3.39116 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCGAAMVMPRRNTKFIRVNGLDSSRKWQQTFFYVKNADSEDFINLPPYVKGTPEKHNWTYNPGNSHAETNRIYTLICEMNESGLPSPDDLVRTFITRRISPLQRCTHKICQMSGCQDPSRMTTFELEKPEVRAQVKAIVQTSMEPDWDWTWSLTAVPTRRLRFDRQLTENASIVFPADQTDVDNEDPDTAATAPDLNVDNAIVGADTDPNAQVLENAAPLAAGCGKVKKSSTRKRTGTGTGATAAPPSKRPKPTGSKPPRRDKNIAPRNHLRPPGTTMEQHGMASILREGGLASNPRAWRRREEGKQEAYLEQGDVGMSMAAQAWSRRCQAATKPWLCRAPQLWRTTSKL >cds.KYUSt_chr2.55262 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344517771:344522922:-1 gene:KYUSg_chr2.55262 transcript:KYUSt_chr2.55262 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHHQGITATAASVYSNHHHMLSFQSSSDAVSMGGGGGAAGMGFVAPRSMSAAAMYHSPTNNNTNNNTGAFGNTTTSRSSSSGGSTTASKYKFVTGSPSEWSDRELAILKEGLVSIAVIVVSQAVELHLVSFNHKHLGKDRRKKPDGFSTGKKLRDMKSVQASFGSNIVMHAVAAVVDSATQQLLEQNNQLLNQIAANIDTLKTEENAGLFLRTNNNIRTILTRMSETAGIMGHMPPLPTFVHEDKLDSLLQVDRLES >cds.KYUSt_chr4.4901 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28052466:28053233:1 gene:KYUSg_chr4.4901 transcript:KYUSt_chr4.4901 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPAGRSTTHLRHRLRMYSHNLYISAPPASLPNPTNHSFVSVDLSSKRTTEKSVVENNTPIQASSRLTNTSDSSSMGAGMKRAREEEHASLALSLTTDSASSTTSADSSGAAPMAARKRARRGRMIATSGEGDFVCKTCGRAFTSFQALGGHRTSHLRGRNGLELGVGVARAIREKKRNEEQQHECHICGLGFEMGQALDRRTHEAAPRREMALTLSGAGSDANDQWLILRPDKEEVVRHAAHRQPVLLELFV >cds.KYUSt_chr2.3978 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24036565:24037395:1 gene:KYUSg_chr2.3978 transcript:KYUSt_chr2.3978 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSDCNPCSGMLALFRKRPRAQQLSQPPKPTLLRRAFGRMTTNRRRRRHRASSFSSVRAVFWPLMSMGSDIDRGEAGDRPPRSSSADSGGTAVRAPSPSLDTPAATTTAARVLAIQAQLGEAAASSAPVKPTTTAGGAVRALPATPDAPAAQTATAARVLALQARLGSAAVFAAPTKPSGTAMHRLSDVAAACGDGDVEEACRGFERQLMEMLVEEAKVGDLMDVEELLGCWEDLKAPVFVRLVGRFYGDLCMDLFAGLDDDVSSESSDDSTV >cds.KYUSt_chr2.21289 pep primary_assembly:MPB_Lper_Kyuss_1697:2:133471167:133471679:1 gene:KYUSg_chr2.21289 transcript:KYUSt_chr2.21289 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRPAILRHIRLLPTTVAPLAAAAAAGQPHAQALWLTRPMSSHDAHLTRDEVVDRVLDVLKSHPKVDPSKVTPDAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDLEADKIDSMQLAIEYIVNHPMAG >cds.KYUSt_chr6.19047 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119835446:119836096:1 gene:KYUSg_chr6.19047 transcript:KYUSt_chr6.19047 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDLALTHAEVEVLSASIYPCQPGFICPPGWRVRAGGVPVSPGPQAAAQRAAITHHYYVELTPEQRMDPCWDPGNATTWDAFLANGRDMELARYEGDGPPPVDNNEAGLRLWWGSRTLEGVMNHILAGEYPLLRYPHFQPPKNGSDGGDFITRTPYPPPQLGSRRRDQSGRRCQSTPWSPTISGARWPEVFPGPTRGHPNRHYRRRWRLADPGG >cds.KYUSt_chr4.8280 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49538951:49539289:-1 gene:KYUSg_chr4.8280 transcript:KYUSt_chr4.8280 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGPQKPPGGQRVIPCDVEPAPRACSGLHMLALATILVLWGLKFLPATHFCHPAGPGRNWIPLNTSRHPAVDCLLPAAAFPRACLAVCRSSISFQCRMCRIRLEVWISSLG >cds.KYUSt_chr2.35560 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219590809:219594477:-1 gene:KYUSg_chr2.35560 transcript:KYUSt_chr2.35560 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGRKVAVAAVQFACTDTVSDNVATAERLIREAHKKGANIVLIQELFEGHYFCQAQRLDFFRRAKPYKENPTIMRMQKLAKELDIVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYEEKFYFNPGDTGFKAFKTKYATIGVGICWDQWFPETARAMVLQGAEILFYPTAIGSEPQDTNLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGNSTIKFYGNSFIAGPTGEIIKLANDKDEEVLVAEFDLDEIKSTRHGWGIFRDRRPDLYKVLLTLDGQKSS >cds.KYUSt_chr2.13652 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86522800:86524849:-1 gene:KYUSg_chr2.13652 transcript:KYUSt_chr2.13652 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLHGPAAAVPSPLSLRAPPAKTPFLPPAVRPGPPPRRAAAAGAFSAAAVGPIAASLLDGPVLLWAGRLCVYYALIHVGLAGSPRNPFLSHEIRGEDGAGDSDLGFSKWADKLRGGAPGKKEGDKGKLVSKWKPTTKGTLKRMYRVPSNEEGRRLLKEIALVLSEDDHFVDASTHKGCQIRRESAHGESVCCYNVRALFDELPGPHLVLEITPFPVGALTDNDYRKAERLEMVLRLSASL >cds.KYUSt_chr2.5726 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35506355:35508152:-1 gene:KYUSg_chr2.5726 transcript:KYUSt_chr2.5726 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYWSAAQSWETAFLPLLPSRRDRLTRLAHAATPCAAPGPRRRRSRAAVCRSSSPLASAPSWPPRAPLPRPSPPLHPGRHVPLFLAPRLHSKIEELLFFATRLRSILAASACASSPKSAIEGDMKLRVVVMDGEAARRGAPPRRSSVSSEYTLDDSE >cds.KYUSt_contig_554.54 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:520336:522956:1 gene:KYUSg_contig_554.54 transcript:KYUSt_contig_554.54 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAASAAPLPAAPASNPAAAGRSPPSFLPLRARRLRSPVVLATGRRRTFRAEAIRTQRERAGQETEVSAVEDSFPVREEASSPPQGAATADDPLSPTNDDDSWAVRFEQSFNIFLTDSIILILDALYRDRDYARFFVLETIARVPYFAFISVLHLYETFGWWRRADYIKVHFAESMNEFHHLLIMEELGGNSVWVDRFLARFSAFFYYFMTVAMYMLSPRMAYHFSECVERHAYSTYDKFLKLNGEELKKLPAPEAAVNYYMNEDLYLFDEFQTSRVPCSRRPKVDNLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSMPRSIESDAECIVPENDCEGIMDCVKKSLASED >cds.KYUSt_chr2.42604 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265394398:265396803:-1 gene:KYUSg_chr2.42604 transcript:KYUSt_chr2.42604 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIAAAISVTAAAAASSHGGGRRHRRGSVAVRCSSAADERQALFSRIAPVYDQLNDVLSLGQHRTWKRICVSWSMAKRGDRVLDLCCGSGDLAFLLSQKVMAVDFSRQQLQTAASRQEQRWKSCYKNIKWIEGDALDLPFPDRYFDAVTVGYGLRNVVDKPKAMREICRVLQPGSRASILDFNKSSSFFTASLQSWAIDNVVVPLASSYGLTEEYKYLKSSISEYLTGEELEKLAKEAGFSSAKHYELGGGLMGDLVATR >cds.KYUSt_chr2.3871 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23390613:23390998:1 gene:KYUSg_chr2.3871 transcript:KYUSt_chr2.3871 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASSTTLSRFLSARRIQPADVTALATWGVAAGTAAFYLVQIRSAMSACLLFGLDPRRAGSVFRLPDLTFDGVI >cds.KYUSt_chr1.41648 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255387215:255388369:1 gene:KYUSg_chr1.41648 transcript:KYUSt_chr1.41648 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSVFTVLVLCSSATLVTCSATGFRMELTHVDAKGSYTVAERVQRAMASTRQRMVSLVAADVSAPVHWNTSQYIAEYLIGTPPQRAEALIDTGSDLIWTQCSTCLQGSCVEQGLPFYNASMSNTFHPVPCNDTLCVANQEHTCAKDGSCTFGAFYGAGDARGSIGTEVFAFENGTATLTFGCVKSLLISPGSLDGSSGLIGLGRGPLSLVSQTGATKFSYCHTPYLRSNATAGASSHLFVGDSASLSGGSPVMSMSFLEGPKDYPFYYVPLVGITVGQTRLSIPPSVFALKQNGEGGVFVDSGSPTTALVHGAYGPLREELARQLNGSLVPPPARSVGWTCVWRWHRRRRCRPWCSTSAAERTWCCHRRTTGHRWTIPRHAW >cds.KYUSt_chr1.28945 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175127874:175141536:-1 gene:KYUSg_chr1.28945 transcript:KYUSt_chr1.28945 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASSSAPPPNKLAGALLRPVLPRSGRRGGGRRWETTRSGVPGVSLEASSGEEHQQRRGDAAATSGQRGLFAPWSLVLQRIFFLQAREPRRIYFDLTVALHADFTPSGFVPGDDLGGRGNEGYDLSSLLAFDPESIEPATSKASAESGPSATRDQLQHLKALLSSSIETLFNNPDKVKSILENIQPHLPVTLQVKLWPVVTLSAYRSRVTLARQRISLRHAQLPLKADIADKCQRLNEKKAALDAKTDTSVSTAKLETLHKELEDLEERVRATKQLIQDKEALIAHSHDEAEGLRAELKTDLAEIRALNKQLVIGQDEDDEAEIAEVDRLRANALHALEAFLQ >cds.KYUSt_chr3.30851 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193528411:193528635:-1 gene:KYUSg_chr3.30851 transcript:KYUSt_chr3.30851 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGTSNNGFGRRSLHQWEGRILHMAGYPAPPDLRASGGWRLSVGGIPIPPPPMGCAALDAAIDDVLVTLSDE >cds.KYUSt_chr2.43886 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273220333:273221359:1 gene:KYUSg_chr2.43886 transcript:KYUSt_chr2.43886 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTRFPTSRADGAGPEPLLPGASRAVLSEFVATAVFVFAAEGSVYGLWKMFTDTGTPGGLLVVAVAHALALAAAVSLAIDASGGHVNPAVTFGLLVGRRISFARAVLYWAAQLLGAVLAAALLRLVSGGVRPMGFTLGHHIHERHALLLEVVMTFGLMYTVYATAVDRSRGGNGNVSAIAPIAIGFVLGANILAGGPFDGAAMNPARAFGPALVGWSWRHHWVYWVGPLIGAGLAGALYESVVAEQPEPAAAAATQLPLPAEDY >cds.KYUSt_chr1.33658 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204475578:204477159:1 gene:KYUSg_chr1.33658 transcript:KYUSt_chr1.33658 gene_biotype:protein_coding transcript_biotype:protein_coding MARHFVLNTGAKIPSVGLGTWQAEPGVVGDAIYLAVKAGYRHIDCAQIYGNEKEVHWPIRLKKGSRFGPENVIPSDIPATWAAMESLYDSGKARAIGVSNFSTTKLEDLLAIARVPPAANQVECHPVWQQAKLRDLCSSKGIHLSAYSPLGSPAMFKAGNVLQHPVVVSTAEKLGKTPAQVALRWGIQTGYSVLPKSTNEERIRANLDIYDWSIPSDLFASFSEIEQASLGRNQHQQHMLKTAAAVLTRCQWYHA >cds.KYUSt_chr6.20883 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131684096:131690431:1 gene:KYUSg_chr6.20883 transcript:KYUSt_chr6.20883 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARRRWMIVDGRGGTEVRYGNLIKIVIREFRQGRLRRSPMIQRVKFVHVYTLSLLCPDFMVCNFLKILILEQESIDDPATQADSISHATLLTIRGDKASTRASFPWKLLRSLSLLCPDFMVCNFLKILILEQESIDDPATQADSISHATLLTIRGDKASTRASFPWKLLRRFLDGEGLTQLFFPFSSFGKQDVRQK >cds.KYUSt_chr5.40123 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253445641:253448467:-1 gene:KYUSg_chr5.40123 transcript:KYUSt_chr5.40123 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLASMSSAQPHHGCQTHCGVVEIPYPFGIGIGCAIEQGFEINCSRTADGTERPFINEWEVLSISASSGQSRVLMYIPTYCYNSSTGEMDSYLWDFNLAWPYRFSDSQNKFISIGCNTIGYIYNTGESTRYATGCASVCGSPEDLTNGSCVGVGCCQNTVPKGLTSYYVYFYDVDYVNSSNSWHFNKCSYAMVVEAETFAFNSEYITTTRFNDTYKGQQPVVLDWAIVDETWVVIGLSCGIGVLFIVAISTLLVRRWKRGVKNRVRKVNFLRNKGLILEQLISSDESATHSSKIFSLDELEKATDNFDCTRILGRGGHGTVYKGILSDQRVVAVKKSKMVDQTEIDQFVNELAILSQINHRNVVKLFGCCLESEVPLLVYEFISNGTLSELLHGDHENARSMLTWEDRIRIASEAASALAYLHSAASLPIFHRDVKSANILLTDNFTAKVADFGASRSISIDETRVVTTVQGTFGYLDPEYYHTGQLTEKSDVYGFGVIIVELLTRKKPIFLTSRGEKQNLCHYFVQRLQNNTAIEIIDCQIMEEGNERQIIEMASLASACLRLRGEERPTMKEVELRLQLLRGKIVLKKNYELEGENEAVPLLPSYYSSTSSGTRHGEFFSAANLSSLSVTRCYTMEQELVSWTDLPR >cds.KYUSt_chr7.8144 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49260121:49260618:1 gene:KYUSg_chr7.8144 transcript:KYUSt_chr7.8144 gene_biotype:protein_coding transcript_biotype:protein_coding MTALQDQAGGAAKVQRRSCKGTPVYLQIPTTDAAKGGGGAAKGRRRSCKSPPPMLQRAAAELQGPPAELQVPTTGAAKGRRRSFKGQAWLLQGPPAELQVPTTGAAKVRRRSFKGQAWLLQRPATRAAKAKHGCCQRGQQCYRRQGWCDQPAPAVMPVVLPVVLP >cds.KYUSt_chr2.8333 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52495077:52497141:-1 gene:KYUSg_chr2.8333 transcript:KYUSt_chr2.8333 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELDEARRGFGKMGFGCKHYRRRCRIRAPCCNDVFNCRHCHNESTIAQLCTNCGVCMGEYFCRACKFLDDDVDKEQYHCKDCGICRVGGKEKFFHCQKCGSCYSVTLRDKHRCIEDSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFTCPMCSMSIFDMEKFLSALDAEIEANFLRTDYMGKGWIVCNDCRDTTRVYPGMAGQRKCCHCQSYNTCRVAPPVLPA >cds.KYUSt_chr7.31165 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194074662:194075408:1 gene:KYUSg_chr7.31165 transcript:KYUSt_chr7.31165 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRSHNNCSPSPPSTTPSLAHWLAAGPVARSSSSALLCPTYNAADPANRSQVHNSLTCNLPKHREIEEKKQATAAPMARISFLLLALLLLAVAFPAVSSLRFTPHTLRLYPAMTSQCTNVRPLTARALHANAMQEVMGGGGGRGGGGNLKPWRKLTLPVSVFLYLIIRKPVSWEGLSLTDVSPSRRAECSSKCSSRCSGTQYKKACLTYCNKCCAVCLCVPPGLYGNKAACPCYNNWKTKEGGPKCP >cds.KYUSt_chr7.13920 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85930574:85931476:-1 gene:KYUSg_chr7.13920 transcript:KYUSt_chr7.13920 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPSDDHVAAVAARLPPVRYYVPYEEEEEELVQDPDSPQSPSQVCHSPLKIVNRGQSDYWANLEILNDDKNVMDDDNEPAQLEKEPAPAARWSPEDKELYCPVDVNDDPESMRKLWELQSKRYAVHALRHYNADQYNGGVKYELVEATGSQGIVLDSGHIIGHVTFTARATAGHDPTVPQQADPRPFFAEVHAPDLAPTCMISMDDGEERGEDDDLCQFCGANLKHPKVHPDLHRRRKRSISRHTWSATNTTGKHYVAVRPVARQRGLFARQRICRASAHGNVCTATPSTAKKTLPCA >cds.KYUSt_chr4.51046 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316392799:316393383:-1 gene:KYUSg_chr4.51046 transcript:KYUSt_chr4.51046 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCFLLVAAVAAAAFASARGSTAQAAAAAPPPATTIGATCQAAHDADSRVSVTFCRTRLRDAPASNTRFLADAAAAKGVSNANDARREIATAIERHDKGNEHEADPIYRSVLVLCEDFYGMIRERYDAARQAIAEHMYSDVEKELSSVPSTGRSCDDKLATTRGGSGASPFLQYCEDNTQIALLTIAITSLIK >cds.KYUSt_chr1.28914 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174961345:174964616:-1 gene:KYUSg_chr1.28914 transcript:KYUSt_chr1.28914 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAASLPSSPPRSHAPPFGRSVPLVSPRRGAGAARRTLPISAHGGSEGDAGRILDPLATPFQILGLDASAACSAAQLKAAFRARVKEFHPDVCKDTENADLVMRRVLEAYEILSGNQGMMVERNNIDPFDEPECEAYDIFVNELLCIGTGCPYSCVKRAPHAFAYADDIGTARAKSQGHGDNYLVQLAVGQCPRNCIYYVTPCQRAILEDVLS >cds.KYUSt_chr7.30944 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192725245:192726447:1 gene:KYUSg_chr7.30944 transcript:KYUSt_chr7.30944 gene_biotype:protein_coding transcript_biotype:protein_coding MASILPRLLLRRRRTLQPAAAGLRSLRFVASPPLDGPIAISSPRLGALLERSRAAAGFATAARRHSPARHGSTAVTLDTDGGFARFSAGDEPRTTQPQGEKKQKMSKKSKVNQLKWFRLKAKKKMKSPNPEVRIRYKLDKAKRKEEWLIEKLRKYEAPCRGPAPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKRHETMKVVCKPCRPGQVYEYAEELARLSKGTVIDIKPDNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSKFIEQLEKELEDYQKHVELFKKREGAIAEEISNEDTDGDDLTSSSDTE >cds.KYUSt_chr6.4802 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28240634:28241255:1 gene:KYUSg_chr6.4802 transcript:KYUSt_chr6.4802 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGRRFTELRAKVVAAVADCCQRGRMVLLPNGTAGTMYGQYKSCKGPSLTLQAASAAAANDDCWSCKLVPVLIYPMSPEL >cds.KYUSt_chr3.26478 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164933865:164936270:-1 gene:KYUSg_chr3.26478 transcript:KYUSt_chr3.26478 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLFLSLLSSLFFQFCSCESPWQIMTTGSYMTPEDHDRIFLLSPDSTFSCGFHRVGTNAFNFAIWYTTVKTVVWTANPYSTVNGYSSPVNLYGSRISLNKDGNLVLTDTNGSTVWESKTSSGKHTIVSLLDTGNLVINDSDNKIVWQSFDSPTDTLLPWQNLKKDTRLVSGYHYLYFDNDNVLRLLYDGPEITSIYWPSPDYDALANGRNRYNSTRVAFLDDMGNFVSSDGLNIVASDSGPGIKRRITIDKDGNFRMYSFDASTGSWVVTGQAVIQMCYVHGLCGKNGLCDYSGGLKCRCPPEHVMVDPTDWNKGCKPTFTISSKQPHEDFTFVKQPHADFYGFDLGSNKSISFEACWNICLSSSSCISFTYKGGDGWCYTKDILYNGQVYPYFPGDNYMKVPKSFNSSASSISKQENLTCRPNGSEIMLGSANMYGIKKDNIKWIYFYVFAAILGALELLVIVTGWCLFFGKSNMPKSMEDGYKMITNQFRRFTYRELREATGKFKEEIGRGGAGIVYRGVLEDKRIVAVKKLTNVRQGEEEFWAEVTLIGRINHINLVRMMGFCSEGKNRLLVYEYVENQSLDKYLFGDRTTESLLGWSQRYKIALGTARGLAYLHHECLEWIVHCDVKPENILLTRDFDAKIADFGLAKLAKRDSASFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGTRVSSGVTVDETQVDFPDFIQEAKQILASESIADLVDAKLKGHFDQEQAIAMVRIALSCLGDRSKRPTMDKILQALMSLDDPLRYRASANESVLICQ >cds.KYUSt_contig_824.148 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:915667:917088:1 gene:KYUSg_contig_824.148 transcript:KYUSt_contig_824.148 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLTTSSAAAFGLAAGGAHQFVRPLPRRTQLRARAAWAAGGGVRTAELPQPTFYELLGISAEGSSFEDVRAAYRRMARKYHPDVSPPDAAKEHTRRFIQVQQAYETLSDPSRRSSYDQALARGVCRLAFDPASRRHASNSAFYHQEMEEKSGWRTSWEGQIAELKKRSTGKDSEENLSWGARMRRRRDEQM >cds.KYUSt_chr4.18339 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115364247:115366286:1 gene:KYUSg_chr4.18339 transcript:KYUSt_chr4.18339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os03g0610900)] MDRAALTVGPGMDMPIMHDGDRYELVKDIGSGNFGVARLMRNRADGQLVAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICTAGRFSEDEARFFFQQLLSGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILSVQYSIPDYVHISTECRDLISKIFVGNPATRITIPEIRNHPWFLKNLPADLVDDSTMSNQYEEPEQPMQSMDEIMQILAEATIPAAGSRINQFLNDGLDLDDDMDDLDSDADLDVESSGEIVYAM >cds.KYUSt_chr6.23803 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150433015:150434256:-1 gene:KYUSg_chr6.23803 transcript:KYUSt_chr6.23803 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAASPSRRRRSGLCSQTRRCGIVSTTNTSTFDRRQSVSIDQDRVVINTGDDGAPVSAAKLRAIAEAAADRVEMHDIIGRQRDNWNHLLLHSTNSLTLAASLMAALAPASTSVVALKVSAGVLLATAAVVMAAVNKIQPSQLAEEQRNATRLWRELERDLSATLAASPAASRNCDVHAAMERLLALDAAYPLPLLPIVLEKFPKDVEPARWWTRKKSEGRHSPCTKPCCNGRHGARQASMAGDNGWSRELEDEMRGIVRVLRAKDEQEYLSFGKLALTLNRGLAVAGPALAGTAAIAAAFIGSSDTGASWASDVTILGAALAAAVNTVEHGGQVGMMFELCRNVAGFYRKIQDDIEDVLGEADVERRENGEVFETKVALRLGRSPSDLRQFREMASPSFRDEDIKNFAGKLF >cds.KYUSt_chr7.24757 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154344657:154346434:-1 gene:KYUSg_chr7.24757 transcript:KYUSt_chr7.24757 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPTPSTAASLRPPLPPPPACFDYRSASLADTRAAAAAMSPALAGLVESGALVRVPRRRFGPVPAWRPPDFAEPEEVWILGTSHLSAESTQDVERVLRAVRPDNVVSPHCRAGIMYAPDNASGEPLLKSNMFSLGGTKFFGAVNRSINLGGQSALALRLLLAVFSSKISSGANRPFGEEFRAARRVSEDIDAQLVLGDRPIEITLERALKSLSWDEKTKLVIALFRGITSTTDTPQDEKAAVSPYELYEKLSSSYPSLVQPLIHERDMTVFILYLNLFSFASQYLAWSLKRSKAVNKSKTVVGVIGKGHMNGVVYALMSDQGELRFRDLVGRDSSETWASSIVKGLVRDTVIGVALWALYELVQAAL >cds.KYUSt_contig_2008.295 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:1672933:1674270:-1 gene:KYUSg_contig_2008.295 transcript:KYUSt_contig_2008.295 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLAATHTAEEVGIQRMRRRRGGRRSGVSVSAASRILPKTRAAATQHRDVIRARPRWPELGGDAKAEPLRRRRGREAAYVLLRGASGHGGDNALRGDHLYANFAGMQGGALTNIGLGVEQSLPSY >cds.KYUSt_chr2.699 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4165041:4165640:-1 gene:KYUSg_chr2.699 transcript:KYUSt_chr2.699 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRRRNPDVHVKALEGIVSANTFLTVAVFIGITGTITASSTVPTNCVAGDDIARNFFLFEILSFGFYLLSSLVAQGMKLLVTLLAAGDDFYGDGEQKPVMTDDCEEMPAWRAAGPRERRRAVLRYARPMMLLAAVCSIMGTFFLLLSMIDAIQLKFGILSCNIPITVGATFALCVLAVTGLVFYGSTVAYALTNYMP >cds.KYUSt_chr6.28213 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178776824:178777861:1 gene:KYUSg_chr6.28213 transcript:KYUSt_chr6.28213 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPRGDSSSPRRRSRIHLPWGGLRPWRRNKTLENGNPVAVKVHSDSSSQGVKEFLAEAKHLTRIHHKNLVSLIGYCKEKNHLALVYEYMPEGNLEDHLRGLEYLHTACTPALIRRDVKSSNILLTTGLGAKVADFGLTKAFGDSKMLGLISTAPEPNGSGTTP >cds.KYUSt_chr2.4873 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30104668:30107740:1 gene:KYUSg_chr2.4873 transcript:KYUSt_chr2.4873 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTKKYETYMRGVRVEEELPGVGLKRLKRMLKKCRSEPSSHHETAASSSAAGSVRCAGHCSVCDGSFFPSLFGEMSAVVGCFNEKAKKLLELHLASGITWTILKRIHYSKQGQEFKAQAQSLHIEILQSPWLSELMAFYMNLRRSKNNEAAMELFGDCLLTFDDDQPTLSCNLFDSMRVDISLTCSICLAGVFPNAIHLDELNMLLSYSCPEYWEKRMQMERVERVRLAKEHWESQCRAFLGI >cds.KYUSt_chr5.16439 pep primary_assembly:MPB_Lper_Kyuss_1697:5:105726435:105728273:1 gene:KYUSg_chr5.16439 transcript:KYUSt_chr5.16439 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGSISFTSMSAILLVSNSCGSWRFLLNSSVFGGARANISDDVSIVLQIKRMGKGDGLQEDDDRWVHMEKNNKLKVIDWLEQCARLVHLVVVALAVQSFFDVLLVFKAYPLMNPLFRGLR >cds.KYUSt_chr2.14095 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89218608:89219508:1 gene:KYUSg_chr2.14095 transcript:KYUSt_chr2.14095 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQYWQPLSDLAVCERRTGGISAPLAKENTCMAAASWSVGTVEGTPGKSKNIDQGMDANLVPSRVLTQTRIDVIFKKEMETRSKLSKAWAKWFRSNGVPGNKADCPHFRNALKLTHQLGTRFVVPTGDEIDHANLEASDEELL >cds.KYUSt_chr1.11307 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69306649:69308482:-1 gene:KYUSg_chr1.11307 transcript:KYUSt_chr1.11307 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLGAVPNLVVSSPRAAEAIMRTHDHMFASRPPSKIAEVLLYGPSSDIAFSPYGEHWRQARKLVTTHLFTVKKVQSYRIARLQEVKQVMARISEAAAASKAVDLGETLNAYANDVVCRAVSGKFFRAEGRNKLLRELIEANSALVGGFNLEDYFPSLAKVLHLLNRFACSSAKTVHKRWDELLEAIISEHERNSMHQENGTDDLGESDFIDMLLAVQQEFRGITRDHIKAILMDMFAAGTDTSSLVLEFAMAELMRNPKLMIKLQSEVRNNTPRGQELVEEENLSGMSYLKAVVKETLRLHPPAPLLVPHLAMADCEVDGYRIPAGTRAIINSWALGRYPGSWEKPEEFVPDRFVEGGAATTVDLRGKDFQFVPFGAGRRICPGLNFGLATVEIMLANLAYCFDWELPDGVEDIDMTEVFGLTVHRKEKLMLVPQTHIAV >cds.KYUSt_chr5.35781 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225978825:225979820:-1 gene:KYUSg_chr5.35781 transcript:KYUSt_chr5.35781 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSPNPRLPFLAMVHWTGVQNQHPTATTLFSVADARPLGGGGHGLEEELKNKSMCPTAQGWILVHDHAATYLLDAKSLQKIQLPHLAVEQRLIPYCSCLLRGEPTAAGCLVLLVEPAAAFIWYCRVGDGGEWTKHQYDIGSVGDGTFIDKSLIAPIAACRGRFYFNALSTETRVLELRAGAAPVFGSVATERAEADRYTQAMVFMLTADGEDEEEDLYKVVLLHNGGSFDKAMVFRMDFSEHRWRLVDHLGGRAFFVAQMYFGASCVPVAGGGIRQDCVYSLVSAKNTYRIFNLKDGTSEVHNLEPDDEATMKIVRKSRPCWVLPTHPRP >cds.KYUSt_chr7.38286 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238721787:238725282:1 gene:KYUSg_chr7.38286 transcript:KYUSt_chr7.38286 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLAFSYQLLSYSMHPEEIDQYINDIFLSFPAVQKLLLLVAATVTLQYTTTTAYGSGSSGSINRARPDCLDKCGNISIPYPFGTGKGCFQEPFNVACNESGAYLASTEVRILDINLILGEIRVQNPHIAWECKYTNGTNSTGGFEGLNLDSFHKVSGTKNKLIAIGCDTFAFIMGTTKGKNQLDFLTVNSCYSYCTDASTVDDSTECFGMGCCQTSFPGNISSFTTTSSPLVDNSTIQSFSPCSYSFVVEEGWFKFDPSFVSSTNFASKYTNGVPLVLDWVVGNGSCSEASKMGSQYACKAMYSECINVSNGPGYRCNCTQGYEGNPYLPGGCQDINECEPPNQPFYPCKGKCRNTEGSYTCSCPSGLRSDDPKIIPCVQADPNKALKMVIGICFCVVFLMVCIFALRSEYQKKKLAKEKERFFDQNGGQILYHQIMSRQVDTLRIFTQEDLKKATNDFDESRELGKGAHGTVYKGILKDNRVVAVKRSKLMNMAETNEFVQEIIILSQTNHRNVVRLLGCCLEVEVPILVYEYISNGTLFDFIHHNRTSPSLDTRLRIAQESAEALAYLHLSTNHPIVHGDVKSMNILLDDDYMAKVTDFGASRMLPKDAAQFMTMVQGTLGYLDPEYLQERQLTEKSDVYSFGVVLLELITGKTAIYNEGPKEGKSIVSSFLLAMKEENLERMLDVSIVHAGMEMLLGEVAEMGRMCLAASGEDRPSMTQVADKLKALRSTWREKLAGERDPMERLIVRSSPPARWDPLSSSMFSTAPHMGAISPASPRAYPPVTRPPKHHENRQRNYGNPSLRWRKQPPTSNLLHHLRQGKGKALAKLNKELTTLMEGAIETKKRSAQCDPVKAREDKAITDAATMEKAELILVLGPRPIPMSSPLKLSSRLSS >cds.KYUSt_chr7.31209 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194378563:194379044:1 gene:KYUSg_chr7.31209 transcript:KYUSt_chr7.31209 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQGRGSAALVAGLLLLCLLLPAADAAAKTYIVGDDAGWSKNLETTWLAGKTFYAGDVLVFKYNKEHHDLVMLGGKGYQRCQVPRHSSKSRVMRTGNDAVTLRRGNNYFICGEPGHCKNNMKLAVKAW >cds.KYUSt_chr3.46085 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290142603:290143808:-1 gene:KYUSg_chr3.46085 transcript:KYUSt_chr3.46085 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSNLPKDVVHVVADLLLATNDVDCYVDMRAVCRNWRVTVPKPTPLGAGDDGRFRPREWVMLDEKEPEDGVDGRLFLHVSTGRFVRRRLPSFGFDSRSKYILVGSSDGLLILGDQKGLHAARLLNPFTGDMLSFAAPIPRERHVIASVAGSSPTILFTFKDMCNDCTDMVYCADATSPLCEVQIEVLEDEDVFLKSIVSYAGHLYVLDCEGAIHKIVGTAPNYHAEFIAQTHGDCSFRDFFLAGSAGELLLVALELTYTEEQGHQDPVDVFRVNIEQKVLEPVRNIGSQALFLGPRCLSVDADKLPHVDRNCIYYTLRLPFSNWDSINRYDLKDSKVESIRCMLNPATITRQMVRPFSLAQALIEYCGYEELEDVPSQLQRVRNTRTLDMIRNAFQPQE >cds.KYUSt_chr3.42420 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268289104:268294681:1 gene:KYUSg_chr3.42420 transcript:KYUSt_chr3.42420 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAEVQGVAKMGKDKDVVRLERESAIPIMKPKLIMKLAYLIEHENDREEFLKLCKRVEYTIRAWYHLQFDDMMELFALFDPVHGAKKLQQQNFSTEEIDTLEQNFLTYFFQVMEKSNFNIVSDDEVELAQSGQYLLNLPIKVDEAKLDNKLLSKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSDFFFMEKVDMVITRTWRWLLEKTRLQKLFLRKNKVKPVIDSKKNDDLVGEEEDKELYVERIRLETMKLSLRNLVGKVTIQEPTFDEVIVLYRKKSPKGQDDRAIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLVGSLEMPNKADFWVVTAILSALAGYCAKIYFSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATVDDLDLQCEELIQEEFGLQCNFEVMDALQKLERFGIVTRDSIGRICCVPLKRSNEIIGATTEELGVIHPGWKRNSTPVKVEERRHCDFFVGSAVSYIKKRRKKGRKIFWTVHVQTIIHLFLSVCREVHVQQKQAKGVEKRGGTTFGGEIMSSSSSLVSAISPAATTARPRHGAVKQQLSIKAKPHQKHAAGCWESTGNGRAIVARAGPGPLTEIEPDLQEDPIDRWRTNGVSPDDFVYGVYDGHATYDEGQERKGFWEDVSEWYQEAEPPQGFQALISWSFLPAVILGMAFDVPGEYLYIGAALFIVVFCIIEMDKPDKPHNFEPEIYMMERSKRDKLIAEYNSMDIWDFNEKYGELWDFTVNGEDIVKT >cds.KYUSt_chr7.19500 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120874436:120875368:-1 gene:KYUSg_chr7.19500 transcript:KYUSt_chr7.19500 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSPLAGDDADDYYYGYDAGYRRGSGGGTGKSAKKDKGIFSCLPCFTPICNRFSPLSSCPTRVSWLLICASFLVSCQPAPGAADPAAYRRLLSSDSSDSDNVGVVDLTADLARLRLRYSRLAAGPPVRPRDVPALVARPDDPPLAVAALSWLGGDLRPSCILRTLLPALFPSLPSHARDALSAAARRLQAREAALDGEVAEYQATYAMKLACENTKDGFADTAAEEVCKMARAARRADKLRWRAVEAAVKEVLAPAQAKEFLKAVEDVAAKVGRHGARWHARAGPLSVPVEAFDRMRANARAAADDAW >cds.KYUSt_chr7.2332 pep primary_assembly:MPB_Lper_Kyuss_1697:7:13479592:13483751:1 gene:KYUSg_chr7.2332 transcript:KYUSt_chr7.2332 gene_biotype:protein_coding transcript_biotype:protein_coding MACGDRRHGKEPATLEEDNFPEGLRVLAVDDDRVSLRVLEAVLRQCKYKPTGVRDGRTALKLLREKGEDHFDLVITDVHMPDMDGFQLLELIGLEMDLPVIMLSVNGEKATMYRGIRHGACDYIVKPADIKKIRNIWEHVVRKNHISVIHNSNDSDDADQRVALPVIAKGGAKSKKCSKKKRNDGEGSHDNRGRRRNSWKKPRVSWTGELHNRFLEVVNRLGVDRVVPKVILQMMNVHNLSRENVASHLQKYRLFLKRVTDDSSQSRRNASYMGMSHQGVRPSSALCPCGSHNIYAAPPSILEPHGLSIQPRNWATGTVGNGCLMPGSRHASGPPVGPVANTSDQPMQDAFPRIHFRSGKSYLSVLRQKLMEVNTSVVPSSHPGTSSGTAEMPNGGQLEPANQLPVQPREQISHFSGPMGMAPSAMGTHGDTQLPYLVRNCSNPWQNNVGPSSFAGSMVGAPLLPSSQVNVILPQINQTIFAPSSSEIAVFQNEQQNQMAGTNINNTTSVDVYSEQMTPLFNMASNAAPVEMTNDNFSPMNQMMVNGGSTSSPSPNLQAGNPVAPPAQMVNGGGSSSFALPGHLGGSFAPLTQMLNGGEGAAGQQASDDQPTYSTSNFLEDIFASMASQDFNSDDVW >cds.KYUSt_chr1.5643 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34773793:34782105:1 gene:KYUSg_chr1.5643 transcript:KYUSt_chr1.5643 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGKAAWVVPAPAYREVEGWEGAGDDSPGYRCGHSLTFIAPTKGHGPRLILFGGATAIEAGASSGLPGIRLAGVTNTVHSYDVDKRRWTRLHPAGDPPSPRAAHSAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVSVSGNDGKRVLSDAWALDTAQKPYKWQKLNPDGDRPSARMYGTASARSDGMLLLCGGRDASGTPLSDAYGLLMHTNGQWEWTLAPGISPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSTNEHDASSDLLRRCRHAAASVGSQIYIYGGLRGDILLDDFLIAENAPFQSETDRVPRSENQNRNPNFNSDSPSFQQYTNNSHETAPGFSTDKKSIDMLTEASAAEAEAVSAVWRAAKEASVASSEDSLSEGIGSESPLSETSPMNDDLDDGPMQPDVKLHSRAVVVAKEAVGDLGCLVRQLSLDQFENESRRMHPANNDQSNSAKKALNRQRSPQGLHKKVISLLLRPRNWNAPADRAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPEHVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINSVEQIEKIERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPINSPESSPERHMDATWMQELNIQRPPTPTRGRPHSAGDRNSLAYI >cds.KYUSt_contig_1537.188 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:1098591:1101948:1 gene:KYUSg_contig_1537.188 transcript:KYUSt_contig_1537.188 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASTTAPEEPALGIPYNPTEVQGRYYYAPDPYAAGMPPSNAIYAGVPKGVPLQQTMFRDTPAPFHCQSCGEAAVSSVRSKLSLASVVACMMPFMMGVCFLCPSMDCLWHKYHYCPSCGEKAAEFKKDDPCLVVDPTRWTEPSFAVPA >cds.KYUSt_chr7.808 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4388941:4393449:1 gene:KYUSg_chr7.808 transcript:KYUSt_chr7.808 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATGTAPSLDGKLLPPHGSSSGDEDGSGDGSGVDGEAFRGHFPIPAACRNRDSCPPDLGVAMAAALEAASAKGFGLPAKTSNTKVILNGGQKVTFARPDGYFSPVRVDISARNPGHIQAALTENRRVLNELVLEPLKAEQYYEVREPFNIASLLKSTMGLMVGFMVLMVFVMPKMMENIAQKGQPFSMTERGKRKRCRPGGVRRRGLIVERLGPVVAEAFHRPGGHPLLGRGAFSSAGHLQLLPPPSPPVASAGAKHDRDGGEALQQVYRPEGTPLLVHGAAPSADRRPPAPVPGIRPRRYQTRWTMRTGIV >cds.KYUSt_chr3.41547 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262094095:262095060:-1 gene:KYUSg_chr3.41547 transcript:KYUSt_chr3.41547 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPQTLAGFFTMHDGEMWSVPHFTNVSGKGTPLISHSLDFLPIHKRTFLLDSCNSLLLYKCSPILDMTDSHYFVCNPTTKEWAALPDSNLGHKGRALCLGFDPAVSSHFYVYEFFEDYVCFPQSVPSVRGVEVYSSETGERVHREDNAIIPLGYRSPSVFLNGCLHYLTYNDPAIAVLDTQGKPCRSIPVPDNEDYGFIQQSQGRLHYANFEADDEDKLTRLVVYVLEDHENQRWKLKHTAEASYILDYTRSYLVRDDFEWVAIHPDCNTIFYTVEPDKTLISYDMDCQQVQVICTLGADTREKYFPYVPLFSELQALHI >cds.KYUSt_chr4.52005 pep primary_assembly:MPB_Lper_Kyuss_1697:4:322814838:322815794:-1 gene:KYUSg_chr4.52005 transcript:KYUSt_chr4.52005 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTAIRASTVAAAGAEHGQDRIGGGCPSPDQPAAAVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDASSTDKGLLLSFEDRAGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAARDRLFIDWRRRPDVVAAPLLAHHHRGHFAIPSMPFAPWTTHHPVGGGRMFMPAPSPVSVYEYDGHHHHTRRHVGYDGYEAASGRQVLFYRPQQQYHHHQSVVLESVPVRLAVAPGHPEPSVATSGSKRVRLFGVNLECATSTTEDDFGGPGRMAPPSLQLLSPASSSSSSSGKARCSLNLDL >cds.KYUSt_chr2.46588 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291241885:291259339:1 gene:KYUSg_chr2.46588 transcript:KYUSt_chr2.46588 gene_biotype:protein_coding transcript_biotype:protein_coding THRRPAEVLGLVHVSSRVRPAESLWALAYGGPMALLPLTISSVQMDSLEPALGELSLSLGTASLSAPVFRIISLAIQHPGNNEELCRTCAPELLSRVLHYLLQALSKLGSGEEEAVTNEELVAAIVSLCQSQRNNHELKVQLFSTLLLDLKMWSSCNYGLQKKLLSSLADMVFTESVCMRDANALQMLLDGCRRCYWAIQEPDSVDNLPLTGTKRSLGEVNALIDELLVVIELLLGAASSTAASDDVRSLIGFIVDCPQPNQVARLLHLIYRLIVQPNISRANMFAQSFISSGGVEALLVLLQREAKAGNNHILDNPGATLSEFDVRSNGGSDTRATSGEVYQQDDEIESAERHESIVHEEDAGQEATNTNSASFKMLGENIGRKISNSENQLLKNLGGINFSITADNVRNNVYNVDKDDGTVVGIIHILGALVASGHLKFASSASNPNLPGGLLTTVHQEGNTTLEDRVSLLLFALQKAFQAAPRRLMTANVYMALISAAINVSSVDENLNLYDSGHRFEHIQLLLVLLRTLPYASRSFQARAIQDILFLACSHPENRTTMTSIAEWPEWILEVLIYNHEMGSKNNVDGVSISEIEDLIHNFLIIMLEHSMRQKDGWRDVEATIHCAEWLSMVGGSGTGDKRIRREESLPIFRRRLLGDLLDFSARELQVQTEVIAAAAAGVAAEGLSPEEAKVQAENAAHLSVALAENAIVILMLVEDHLRSQGQHFCTSRVLNSFLSSSSIASSAPSRTTSLDRTGSEHMDAGLSRRSSLSSDAGGLPLDVLTSMADANGQISAAVMERLTAAAAAEPYESVKHAFASYGSCIVDLAESWKYRSRLWYSVGIPSKPDLFGGGGSDSESWRSVLEKDSNGNWVELPLVKKSLEVLQALLLDDSGLGGGLGIGGGSGPGMGVMAALYQLLDSDQPFLCMLRMTLVSMREDDNGEGDALLKNTSIKDVISEGMGHQAGSLMPIDGNSSSTRKPRPALLWSVLGPILNMPITESKRQRVLVASSILYSEVWHAIGRDRTPLRKQFIELILPPFIAILRRWRPLLAGVHELTSYDGRNPLIADDRALAADALPIEAALLMISPGWAAAFASPPVAMALAMMAAGASGTEIVTPRRNTLSRRDTSLPERKAVARLQAFSSFQKPVETAPNKPSSTPKDKAGAKAAALAATRDLERTAKIGSGRGLSAVAMATSGQRRSASDIERVRRWNTSEAMSAAWMECLQSADSKPVSGRDFSALSYKYVAILVSGFALARNLQRLEMERQTQADVLNRHRASTGVRAWRHLLHCLTEMGRLYAPFDEPLCSPDRIFWKLDFTESSSRMRRFIKRNYKGSDHLGAAADYDDRKLLSSAVQSNECNLEGADSSLTDTIPSTASVIMAEAMSMDERNEDNEQLESDATHSSVDQLRHSSSVDQPMKGSVDSRGSSLSADRNLVRSTVVAPGYVPTEADERIIVELPSLMVRPLKVVRGTFQVTSKRINFIIVDHASDSNMDDHASTSGQCYQQDKDRSWLISSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGDMEARKNAYRAIIHTKPPNMNDIFLATQRAEQILKRTQLMERWANWEISNFEYLMELNTLAGRSYNDITQYPVFPWIIADYQSEVLNLDDPSTYRDLSKPIGALNPERLKKFQERYSTFEDPIIPKFHYGSHYSSAGTVLYYLFRMEPFTTLSIQLQGGKFDHADRMFSDLSGTWDSVLEDMSDVKELVPEMFYLPEVFTNINSIDFGTTQLGGKLDSVELPRWAENPVDFVHKHRKALESEHVSTHLHEWIDLIFGYKQRGKEAVMANNVFFYITYEGTVDIDKIADPVQRRAMQDQIAYFGQTPSQLLTAPHMKRKPLTDVLQLQTIFRNPSELKSYALPNPDRCNVPASTMFVSNDSVVVVDLNVPAAHVALHHWQPNTPDGQGTPFLFNHGRNAASSTSGAFMRMFKGSTSSGEDNEFPRAIAFAASAICSSAIVAVTCDKDIITGGHADGSVKLISPDGAKTVESASGHIAPVTCLALSTDSNYLVTGSRDSTVILWRIHQAGSIHKKNQSEPPPSTPRTPHSPLPSSPSNISNLLETRKCRIEGPMHVLRGHLGEIISCSVSSDLGLVVSLSDRSGVLLHSLRTGRLIRKIHVAEAHVVSLSSQGIILVWSESIKRLSSFTVNGLPIATSVLSPFTGRVSCIEISMDGHFALIGTHSSSNYKCEDDIDSADHETDKPSGKNYMSEQTGTEQSIHVPSICFVDLHKLEVFHKLELGKGQDITAIALNKENTNLLVSTADKHLMVFTDPALSLKVVDQMLRLGWEGDGLLRS >cds.KYUSt_chr1.11578 pep primary_assembly:MPB_Lper_Kyuss_1697:1:71223341:71226959:1 gene:KYUSg_chr1.11578 transcript:KYUSt_chr1.11578 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSPPAAAAAVDDLKGTELRLGLPGSESPDRRVATAAGATLELLPAKGAKRGFSDEAPPPPSAAAAGKGKKVAEEEEDKKVTATPQPAAKAQVVGWPPIRSYRKNTMATNQLKSSKEDAEAKQGQGFLYVKVSMDGAPYLRKIDLKTYKNYKDLSTALEKMFIGFTTGKGGLSESRTDGEYVLTFEDKDGDWMLVGDVPWEMFADSCRRLRIMKGSDAIGLAPRAVEKSKNRN >cds.KYUSt_chr2.38804 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240566102:240569387:-1 gene:KYUSg_chr2.38804 transcript:KYUSt_chr2.38804 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAGKAACSKHIVLVHGACHGGWSWYKVATRLRSAGHRVSTPDLAASGIDPRPLREVPTFRDYSKPLLDLLESLPAEEKVVLVGHSLGGMSIALASELFPEKVAAAVFVTAFMPDHSSPPSYVLEKFVEGRTAEDWMDTEFKPQDPDGKLPISMLFGPLVTRAKLYQLCSPEDLTLGRSLMRVSSMFLDDLRLQQPYSEARYGSVRKVFIVCKDDLAIDEGFQRWMIENYPVDKVMEIDGADHLALFSTPAELASCLADIAEKRGSAPPEERVLVAELPPEELNDKYGGKEGWHGDPRMQGRYHGGMEEKKEEEGLDAPGRHCRCTKAGTAAHPGTAGLPTSTLPPRPRPLTI >cds.KYUSt_chr2.32588 pep primary_assembly:MPB_Lper_Kyuss_1697:2:201014480:201031139:-1 gene:KYUSg_chr2.32588 transcript:KYUSt_chr2.32588 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYFLLIACLQLWSTITPVSPATTWGPLAIIFIVSASKEAWDDYNRYLSDKKANERRILVAKAQEIHVGNIVWLHENDEIPCDLVLIGTSDPQGICYVEDLMPYLPSKGTFQHEMVHRFRCLVTEQTSGMVRKSMSSKALGGPAPVLLMPIFILLLQTAALDGETDLKTRIIPSICANLSPEQLGKIKGVVECPNPDNDIRRFDANMRLFPPTTDNEKCPLTISNTLLQSCYLRYSEWACGVAVYTGNETKSGMSRGTAEPKLTSADSMIDKLTVAIFVFQIVVVLVLGFAGNIWKNSHGRKVTLDLAKSVYAKFIDCDDQMFDWETSTPAHSANTAISEDLGQVEYILSDKTGTLTENKMIFRRCCISDTLYGDNNGDALKDVGLLNAVSSNDPNVVKFLMVMALCNTVVPIKRQVYGNLLEEKAIMNVVSMCDLFVPYTFRNFSNDGTISYKAQSQDEEALVNAASNLNMVLTNKDSSSVEICFNGSKFYYELLDVLEFTSDRKRMSIVVKESGSGKFLLLSKGADEAIFPRSCPGQEIKTYQEAVEMYSHLGLRTLCLGWRDLEEGEYKEWSKKFQDASCSLDNRENKITEVCHSLEKDIHILGITAIEDRLQDGVPETIKLLRNAGISVWMLTGDKQNTAIQIGLLCNLITPESNGQLLSINGKTEDDILRSLDKALVVMKTSPERKDLAFVLDGCALEIILKRSLESFTRLAMLSTTAICCRMTPLQKAQLVGILKSSGSLTLAIGDGGNDVRMIQEANVGVGISGREGLQAARAADYSIGKFKFLKRLILVHGRYSYNRTAFISQYSFYKSLLICFIQILFSFSSGVSGTSLFNSISLMAYNVFYTSLPVMTIIFDKDISETTVLQYPQILLYSQAGRLLNPSTFAGWFGRSLYHALAVFLITVHAYADEKSDMEELSMVALSGCIWLQAFVVTLDTNSFTYPQIILVWGNFVAFYVINLILSVVPSLQMHNVMLRLCNQPSYWITMAVSLMLFPILIFITLTMD >cds.KYUSt_chr2.16431 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103356856:103357122:-1 gene:KYUSg_chr2.16431 transcript:KYUSt_chr2.16431 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPGPCASTHRALAECHRRASRGPLQPEVLCRHLNRALAECVVTMCCPDQTEAVRTLCGSSGTALKRTQCERARIDLSLCLEAHQET >cds.KYUSt_chr4.19678 pep primary_assembly:MPB_Lper_Kyuss_1697:4:123805759:123811742:1 gene:KYUSg_chr4.19678 transcript:KYUSt_chr4.19678 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTEPAGAAGLECMEGLALDTIISKAGARPAAALACASTHLRAAVDDDALWRRFCAQDLGLDAPLDPDGHPLPSFKDAYKVWLESFGMYPLPLVKRVKLFWSSLKSWLSENFPESLGTLRKGASEAQIRSAEDDLGIKLPMPTKLLYRFCNGQLPFSTNYLENVRMAPLGIIGGYVFYDHSVNVHLSSLEQMVEETNEFYADLNEPGLSNGLNLVLVASSWYNAKSFLLNCSNGELYVGTANLPAGEMMPCVPKSLIKPTNSDMPQDGLLLWLEEHLRRLQNGMIKIRPLRTSRYICLYPEAPPMCTSATTNGVKVRASAVFAPEHHQGMGHALYSYSIRLSVPEACMLGGVYYPSCQLHSRHWIIRCGDRVVSDVHGEGVIGKYPLLLPGQEEFVYESCTPLSGSTGSVEGSFTFVPGSPLSRFMVVALEEAQGK >cds.KYUSt_chr7.20997 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130105505:130109150:1 gene:KYUSg_chr7.20997 transcript:KYUSt_chr7.20997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase I, Abiotic stress respons [Source: Projected from Oryza sativa (Os08g0191700)] MVGMATGSEAGKSAEAVLEWPKQDKKRMLHAVYRVGDLEKTIKCYTECFGMKLLRKRDVPEEKYTNAFLGFGPEDTNFALELTYNYGVDKYDLGEGFGHFAIATEDVYKLAEKIKSSPDCKITREPGPVKGGSTVIAFAQDPDGYMFELIQRGPTPEPLCQVMLRVGDLDRSISFYEKALGMKVLRKKDVPQYKYTIAMLGYADEDKTTVLELTYNYGVTQYSTGSAYAQVAIGTDDVYKSAEAVELVTKELGGKILRQPGPLPGLNTKITSFLDPDGWKVVLVDHADFLKELH >cds.KYUSt_chr5.9691 pep primary_assembly:MPB_Lper_Kyuss_1697:5:61539916:61544422:-1 gene:KYUSg_chr5.9691 transcript:KYUSt_chr5.9691 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMSPAIRQILHTFGAASGFNVNYAKSAAAPVAVEAVSLAFACPLMQFPCSYISLPLSLGRLHKRDLQYVLDKLAGKLALWKAKLLSKEGRITYVQAIMTAFAIYHLLALELELWFLQAVDKLHRGFLWAGSHEARGGQCCVAWHLVSQPKRLGGLQTKWVWLQKFDEHWPWVGLPLHSKPDDVALFNASVRVSVGDGSRILFWADPWIGGLTVTALARAVVALVKPKLRNSRSIKDGLAGDPWVLDIAGSLSVDAIVQYLKLWAAVRDVALDVGSDTFRWKWTSKGSFTARSAYLAMSEVLPDKQKDPNIVTVTSKTQKPDLTCKVCGITSTSQKAMQDHLEGKAHKRKVSKLPQPMPALELPSKENVPKDASILSAAESDKKHNLNLSCTLCGIPLTSEKAMEDHLKGKSHRKKATALVREATEHVQEEKEEKCSFTPTKKTMMTKDGMIHDVMQIDEKEEEASFTPTKKTMMTKDGTIHDVMQMNGYVFCEVCNVRTADIVTMMCHLQGIKHISKARQEATKPPAETVTIATSVANGDPQMVAEVITKDVALATNFHITETPGQEEMMDDGADSIGGSERTF >cds.KYUSt_chr3.2940 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16899107:16900807:1 gene:KYUSg_chr3.2940 transcript:KYUSt_chr3.2940 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPQVGVRRFGDDLNAQTAMNPLSCHPAQTLVPTRPNPIATQYPATETMLATVEGQGLLRGWPRAGRKALLAAGHAPTNMLLLPPVSSNRIWAPSCCLWNQAGWYLVAMASQIQIISEEENERLNGIARGGLRWPPISYEVFIPKEHLTYPADFFAAYASTVLTNSIVFKFNEYRS >cds.KYUSt_chr7.36796 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229885371:229886378:1 gene:KYUSg_chr7.36796 transcript:KYUSt_chr7.36796 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAATQDGGGGGRGLGVSPVVLFVLVVLAVVVFVIGLLHLLVKFLRWRARARAAAAEGGEMAGGGGEGEESALQRQLQQLFHLHDSGLDQAVIDALPVFLYAEVVVGSGAKEPFDCAVCLCEFAGDDRLRLLPLCGHAFHIDCIDTWLLSNSTCPLCRRALAADDAAALLLDAALDEDWRKREEDAVFPVRLGKFKNTSRAAGPVNAATGGIVEGDTSSSSSLDARRCYSMGSYQYVLAEASLQVSVHRRNGDGTNGGAERMRGARGIVASAANPAGGQGKKISAGSKGDSFSVSKIWQWPRNGKGKLPVLASDGDSPAVDGALQWPRRSVGES >cds.KYUSt_chr5.35481 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224189524:224196105:-1 gene:KYUSg_chr5.35481 transcript:KYUSt_chr5.35481 gene_biotype:protein_coding transcript_biotype:protein_coding METDMRLFATESIGGRAVYRLHAVTVAAGILLVLYYRATHVPAGGEGRAAWLGMLAAELWYSAYWVITQSVRWSPVSRRPFRDRLAARYGEKLPCVDIFVCTADPHSEPPSLVISTTLSLMAYDYPAEKLSVYLSDDGGSILTFYAMWEASSFAKHWIPFCKRYNIEPRSPAAYFSDSDGLQDLCTPKEWSFIKDMYDEMTVRIDTAVMSGKIPEEIKENHKGFDEWNLEISSKDHQPIVQILIDGKDQNAVDNEGNVLPTLVYMAREKRPQHHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNKDTIRDSLCFFLDEEMGHKIGFVQYPQNYNNMTKNNIYGNSLEVLNKVELRGLDSVGGPLYIGTGCFHRREILCGRRFTIDYKEDWNGGIKDKIQENIVYEIEEKAKSLATCTYENDTQWGDEIGVKYGCPVEDVITGLAIHCRGWESVCNSPTRPAFLGLGPTTLDQTLLQHKRWSEGNFSIFLSKYCPFLFGHGKIGLRHQMGYCIYGLWAPNSLATLYYVTIPSLALLKGTPLFPEITSPWIAPFIYVFCVKNMYSLYEALSCGDTLKGWWNGQRMWFVKRITSYLFGVIDTLRKLLGISQMTFVISSKVSDEDASKRYEQEIMEFGSPTPEYVIIATIALLNLVCLVGGLRHIMTGGWNVLFSVLPIQIFLCEMLVITNIPFYEAMFFRKDNGRIPSSVTLASIGFVVLAFLVEILVPIV >cds.KYUSt_chr4.37886 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233668426:233669469:-1 gene:KYUSg_chr4.37886 transcript:KYUSt_chr4.37886 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPRGRSSSRRRTRCKCLGGGGSGGKPSTPCCFNPLRSLFRCPGRGRGRSRSRSKSRNRAAPSRVSSDVGTEQQGQEPSFFVYSMGNAPENSKKKKHRKARLPSIRSCFRSKKKERKANARRQPLTPAPSMVTHPPRSPPAPQESTPAVTVTQTQPPSPAFAETGNVNSPATPDRRPAPTGPPGKQPSTDSAWPPFTPQRQHQVEGLQIVEVAMGDRLSAHDAALIEMVESSIDDSAESSMKSSLEFINEPSPQRPGKPRMVAAREVAVAVKATAREAPRLWLNGNAEKAGAGARFSEPLVMAEANELWAHDIACSRAHADMLADTVSSLACRSFEDLFCLNFET >cds.KYUSt_chr7.19508 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120919059:120921042:-1 gene:KYUSg_chr7.19508 transcript:KYUSt_chr7.19508 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEDATARRERLKALRAAKELLSAPDAAPAAEQQNGKHGATEEQVDQPTVPGPVDATEDGLKESDGLEDNGEMPLKFRNYLPHDEQLRGGKVAPLSLPKFEDPIAADAAEPKQLENPFGNIAPKNPNWDLKRDVQKRTDKLEKRTQKALAEIALEQQKEKEALEEGSDVAAQE >cds.KYUSt_chr7.24404 pep primary_assembly:MPB_Lper_Kyuss_1697:7:152130157:152130546:-1 gene:KYUSg_chr7.24404 transcript:KYUSt_chr7.24404 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERPPGLGVDGFFTEADLAAADQLVQLSASGGPEAAAPTSSSRSVNNTEMAAATDMADQLVQLSGGGGAEMASPTSSSLSARSVNDAEAAAGREVDHRDDDGVVDRRARKRYRLLAELYHATRPAKR >cds.KYUSt_chr3.21857 pep primary_assembly:MPB_Lper_Kyuss_1697:3:134669164:134671446:-1 gene:KYUSg_chr3.21857 transcript:KYUSt_chr3.21857 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPPYGLAASWPHFVCSSVFWKLRGKIRPWALISSNSENISFVGFLKPKTAENRNWRFGISLIDPHSPSSRTTHFSLQSHSLHAKDMVPESGCPPAIPSPRITEYEVKIHTDVINRSVIVMQIHADITSRSLISMKTHDDIINPSLIAVNLSQSIHDFDRAINFGLRIPLIDTDNDNLFVAHQYGSGKKRTGEEEEEAEMAAISAAISGCCSSSCLSQSQRGLLPSTRRRHFKVTAMAPRNKVNKYDEGWSKQWFGAGIFAEGSEEVSVDVFKKLEKRKVLSTVEKAGLLSKAEELGVTLSSLEKLGLLSKAEDLGLLSLVESAATVSPAVLASLSLPLIVASIATVVFVPDDTTLLVTLQTVVATLFAAVAAGLFVGSVVLDGLQED >cds.KYUSt_chr3.12226 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73033134:73037374:-1 gene:KYUSg_chr3.12226 transcript:KYUSt_chr3.12226 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRPDHRRHQSPFLRDLSSPVSSSFRMPPASVRREAQASTPPPPPPLLSLDDLSRHSPSPPPYTPPQAAGSPSPPPTRGGIFSTPLRSNGSPAPAAWWSASREEKARDGSPVDGVVHQQQSPPTPSGPQQQQQQLQVALITLPPPREVARPEMPRDSVLSTGRVDEEEWVTVFGYVWSLLLLIRLGKCTRFLPGDTNLVLREFEKCGIVLRHVLGPRDANWMHILYQSRHDAHKALAKHGQQLNSVLIIGVKQVDPWQRQYLNDSTNENYQGSASVGFPSQPVAPSGFATRNALAPLPSNAMQNGSCNESSRGASGAIASPAKSALSKVMDLMFGL >cds.KYUSt_chr2.50935 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318608808:318611311:-1 gene:KYUSg_chr2.50935 transcript:KYUSt_chr2.50935 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIAGDGGREDKAGAARSELSNRNAIVFISVLLSWAALGWPGNDNHCPEVGNFAASPCISNGPTKIINGIITCLFFTFPFTFSILHESITEMSKIAFIDEIIPFFIFFHYDPSFSMLGPTIIAWHETVPKQVHVNIS >cds.KYUSt_chr3.5471 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30972048:30972574:1 gene:KYUSg_chr3.5471 transcript:KYUSt_chr3.5471 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLIHGFFFCPIGEEVDDHPLGNNLVSQITPRLNGLDQSRKEGLDLNEPINWDELDDFDGEARELAGDFFFQVESDEDEDGDDEHREQMMNSSVEGNG >cds.KYUSt_chr2.40825 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253644240:253648392:1 gene:KYUSg_chr2.40825 transcript:KYUSt_chr2.40825 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQAGKASFLEIVRYADAHDMCLMVLGVLGSFVDGMMQPLTMLVLGDIVNSYGGAGTDFSTSTVDKFALRLLYVAIAVGSCSFLEGMCWTRTAERQASTMRRLYLEAVLRQEVEFFDAAPSSQPTTFRVISTISDDADTIQDFIGEKLPMVLANMTLFFGSLAVCFFFSWRLALAGLPLTLLFIVPSVLLGKRLGATAGEAHAAYEAAGGIAEQAVSSIRTLASYNGERQTMERFRHALARSTALGIRQGLIKGALIGSMGVIYAVWSFMSWVSSLLIIHQHAQGGHLFVAVICIIMAGMSIATALPNLRYFVDATAAAARMRGMIEKLPPLQEAGKEGATMKSVRGRIVFKDVHFSYPSRPDTRVLNGVNLAITEGATVGLVGGSGSGKSTLIALLQRFYSADGGEISLDGHDIGTLNVEWLRSQIGLVSQEPVLFATTIKENILFGNEAASMKQIVAAAKMANAHDFITKLPQGYETHVGQFGTQMSGGQKQRIAIARALIRDPKILLLDEATSALDSESERTVQDALDRASVGRTTVIVAHRLSTLRKADTIAVLDGGRVVEFGSHDELVGMDSGEGGIYAKMVRLQNSSVARQEEGHQQGVVENEEINLTPFHSVEIMSPASERRPSPVPSFWSFESVPVEGGDPAAASSGAVARPRKPSQLRLLKMNRPEWKQALLGCAGAIIYGGVLPLYSYSLGSLPAVYFVGDNALLREKTRLFSLVFLAISIVCITANIMQHYNFAIMGERLTERVRDQMLGKILYFEVGWFDEDDNSSAAICARLASQATKVRSLVGDRICLLVQAAATATLGYALAFSLSWRLSLVMIAMQPLVIAGFYFKKVLMTAGAKKAKKAQVQGSQLASEAVVNHRTVTAFSSQRRMLELYEAAQVGPRKDTMTQSWYSGFILCLCQFSSTGSMALGLWYGGRLMADGLITTTPLFQVFFMLMTMGRVIADAGSLTSDLAQGGDAVRSILDTLDREPAIRSARATDHDASESDGDSEKKRKKIKGAIEFRDVHFSYPTRPQVTVLAGLSLEIAAGKTVALVGPSGSGKSTVIGLIERFYDVHKGSVLIDGRDIRSYSLTHLRSQVALVSQEPTLFSGTVRDNIMYGDEHATEEEVTSAAMLANAHEFISAMESGYDTQIGERGTQLSGGQRQRIALARAVLKNARILLLDEATSALDTVSERLVQDAVDRMLQGTRTCVVVAHRLSTIQKSDMIAVVKDGRVAERGTHHDLIAAGRAGMYYNLIKLQHGTSPSHSPMHAGN >cds.KYUSt_chr2.39107 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242510296:242511435:1 gene:KYUSg_chr2.39107 transcript:KYUSt_chr2.39107 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRDSPTRHRSSTSSALPLPTPVNVQIPQAGRRKTAHVPTRAPTSRVAEVARRAPNRSSTTMSSGAAAEAGDEVVHDFSPLLLVYKSGRLERPLDMPPVPPGHDAATGVASKDVPLSPSSFARLYLPPDAVATKKLPVLVYFHGGGFVIGSAATPAYHRCLNDLAAACGALAVSVDYRLAPEHPLPAAYDDSLAALNWALSAADPWIAQHGDLSRVFLAGDSAGGNVCHHLAMRQEFQGKLRGVVLIHPWFWGKEPIGEEPRPAGNKGGVEEKGLWEFVCPDAVDGADDPRVNPTAAGAPGLEKLACDKVMVCVAEGDFLRWRGRAYAEAAAKARGPQPAVELFESEGVGHVFYLYEPASEKARELLQRIVAFVGVE >cds.KYUSt_chr1.32663 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198352614:198357655:1 gene:KYUSg_chr1.32663 transcript:KYUSt_chr1.32663 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFEEQVATDLVEDPNGGLVVLSSGLPLASLVATLLLHLHHQSSSSPSSSSSSGGGGGCFLILSAPDTLKDQIRRLLLESQLLQVHDVGPDVPAAQRRALYNSSADGVALFLTPRVLAADLLTSHLHPSRVGALLLLAAHRASDTSSDAFIARLLRQRHLLPVYAFSDRPHAMVAGFAKAERAMKSLYIRRLHLWPRFHVLVAADLERSPPEVVDVRVPMTGPMAGIQAAILDAMDACLKELRRTNKVDVEDLTVDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVTDLRTLRKLLDYLLRYDAVTYLKYLDTLRVSEGVRSVWILADSSHKIFELAKRRVYQVIRPDGTKVSTINKGTPTKKRKLAHGSSKKGKETENEDSTPSKDDTQKVNALEEVLEEAPKWKVLRELLQEIAEEQRKGDGVVHEDESSESGIVLVACKDERSCLQLQECISKSPQQVMREEWEKYLLGKAELHGLHKKKKKRQSQQPKGFGVLDGEFPAGPSENTGPVSIRNLETDALLAAASGISNLTKESDAMDDSVASCRSGSVKGKGKGVSRKVPKRKASNRKTNSSTENESCQGTDVEASGKIDEQSEIDVSKVSAKDASGPSSTAYNAEDLIDAKMLPPVQFYALDSDQHILDTWKPSAIIVYNPDITFVREIEVYKAENPSKKLRVYFLFYEESSEAQKFESSIRRENEAFESLIRQKSLMMIPVDQSGRCIGPTLANEPEPILSQNSLTRNAGGRKPTEKEMQVIVDMREFMSSLPNVLHQKGIRIIPVTLEVGDYVLSPLICVERKSISDLFQSFASGRLYNQVETMIRYYKIPVLLIEFSQDKSFSFQSASEVGDDVSPTNIISKLSLLVLHFPRLRIVWSRSLHATASIFMSLKTNQDEPDETKAMRVGVPSEDGVVEDDVRAENYNTSAIEFLRRLPGVTDSNYRAIMDGCDSLADLALLPVERLGSPPLLMPAKPADGGGPVYRGGEGELEPSMKKVKVETALFP >cds.KYUSt_chr4.21055 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132642206:132643015:1 gene:KYUSg_chr4.21055 transcript:KYUSt_chr4.21055 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTASGSLTPFLHRYQARSRRRARFIVAASTSDAEPAQEAAGPGKKKTVDTRIHWSDPDEGWVGGNEKKEGDGGKNEPLGRRFADLINNPSSESHYQFLGIAPEADIEEIKAAYRRLSKEYHPDTTRLPLKSASEKFIRLREVYNVLSKEETRRFYDWTLAQEAESRRLQQLRSRLEDPYELDIQNYEPVPDMVDRLGGKNMELSDQAMTALTFDIAIFIISICCIIYALFFKEQY >cds.KYUSt_scaffold_6468.189 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:851784:857986:-1 gene:KYUSg_scaffold_6468.189 transcript:KYUSt_scaffold_6468.189 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIIISSSVSRLLVPALASPSPSRAANRLLLLSVLPSSSPLRAFCPLPRPSARATCSAAMAEAADNPLLADFDFPPFDAVQPAHVRPGIRALLARLEAELEALEKGVEPVWAKLVVPLERITDSLDVVWNVVDHLKAVKDSPDLRAAVEDVQPDKVKFYLRLGQSKPIYQAFNAIRNSSEWDSLSDARKRVVQGQIKDAVLGGVALEDEQREKFNHIQQELEKLTEKFSENVLDATKKFEKLITDKKQIDGLPASALGLAAQTAVSKGHENATADNGPWVITLDAPSFSAVMQHAKNRALREEVYRAYLTRASSGDLDNTDIITQILKLRLEKAKLLGYKNYAEVSLARKMATVDRVQELLEKIRAASWDHAVQDMEDLKAFVKDSGSAEANDLANWDLNFWSERLRESKYDIDEESLRPYFALPKVMDGLFSLANKLFGINVEPADGLAPVWNSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGSSVRLPVAHMVCNQMPPVGDKPSLMTFREVETVFHEFGHALQHMLTTQDEAFVAGISGIEWDAVELPSQFMENWCYHKETLLSIAKHYETGEVLPEEIYAKLVAAKNFRAGSFSLRQIRFASVDMELHTTYDPSGPVSVYDVDRKVAERTQVLAPLPEDRFLCGFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNEKAIEETGRRFRDTVLALGGGKSPLEVFVSFRGREPSPEPLLRHNGLLPVAA >cds.KYUSt_chr2.38530 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238874969:238879390:-1 gene:KYUSg_chr2.38530 transcript:KYUSt_chr2.38530 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRQLTLAKCNVFGLRALEELDRATIPFPVPNTLTIPFPVPNTRTPNVLRQPPPPQKLDCLQPGVFSASRNGRASPYALALTALLVASASLLALIAFGVFSLPVSAPTNLATTGDTETTGSGTADAADGSSSRHARGRRDLSEGLGERGAQWTDVVSLESRAFFYHNFLLKYYLGLQSRILELIHYSENKAPAAKLLKGNLRTYRYCDSVWTFNLQPERHDVQDQGPFTGGVSRRR >cds.KYUSt_chr1.32681 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198457899:198458123:1 gene:KYUSg_chr1.32681 transcript:KYUSt_chr1.32681 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGCQAQAPAGGGGANKAPTARSTPPPRRGQIKEKIVKDVLAAIASMASGLVARAGKNGGGGLPVAGDADGK >cds.KYUSt_chr3.25354 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157368440:157369974:1 gene:KYUSg_chr3.25354 transcript:KYUSt_chr3.25354 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYPISLPAVLLVSAVLLLNAELGLGGCFKRIFSFGDSIIDTGNGAYAGRNSPGPIMHLPYGMTYFQLPTGRISDGRVIVDFYAQALGLPLLPPSMPQAGTGQFPTGANFAVFGSLALSPDYYRTKYNFTMPMPWCLDGQLDSFKKVLARIAPGEAATKSLLGESLVVMGEIGGNDYNFWFFARRSREIPDKYMPDVIARIGAGVQEVIDLGARTVLVPGNFPIGCVPTYLGGFRTDNSTDYDDFRCLKWFNDFSQRHNKALKQEISRLRAQNPGAKIIYADYYGAAMEFVMNPHSHGIDDPLVACCGGAGPYHTGKSCNNTATIWGNPAKFASWDQIHMTEKAYNIIADGVLNGPYADTPLLHAC >cds.KYUSt_chr2.49342 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308749711:308750396:1 gene:KYUSg_chr2.49342 transcript:KYUSt_chr2.49342 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMARSLACGAHRSMSSVVDVPGSPEPALKVCRRPAVMSKTSSDDPEDTPGFNATFMFSLNDHTTWEGNIEDVIALSIHDRLLVDITRDGDEASPSGAVEDEPADPRGADEDYNFFQYYDRSGHRRLY >cds.KYUSt_chr2.11774 pep primary_assembly:MPB_Lper_Kyuss_1697:2:74941536:74946264:1 gene:KYUSg_chr2.11774 transcript:KYUSt_chr2.11774 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQCCRRGSMPGRDWQEVGKTAVLSTADRVNLLALLPKGHDVDLSISSVLLVPYSIGIPFVIMYACDDADCLGQSASPRDSTMSVTWLLHWRAVCEDFVQMYYNALPETPGEINKKLMSVDHNQQCTNIASADAVSSHNGDVFVVIIGSLTKEPAAMEAEQGRSLRDLVLRGRWRKLPRGVPTLQQGSAVINKKLMYVDHNQQRTDIASADAVSSHNGGVFVVITGSLTKEPDGVFQRFTLSLFLAP >cds.KYUSt_chr1.19516 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114646389:114646664:1 gene:KYUSg_chr1.19516 transcript:KYUSt_chr1.19516 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDGGDNDGGGILTFEKLERYAIWVGGSVAAAFFTSMERCSCIHLHTVDDDGDDDPEEAKDRPLMLSRPQALPEYYYDRSGSSASFAKM >cds.KYUSt_chr3.7034 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40595432:40595899:1 gene:KYUSg_chr3.7034 transcript:KYUSt_chr3.7034 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHPAPAPPEEVLPAAPPHQEAHARHDEAVPATIVVVMGAPGRAPAEEDGAPEVPSAAVGDPAAAAAAAAEEQQMAAVPDEGGEPAAAAAAAVVDDMRHRLIVTYELMEVEWIAAQDLGCMKLAAQEAARVHRDKPKASPRATTASPPTPICSG >cds.KYUSt_chr2.19618 pep primary_assembly:MPB_Lper_Kyuss_1697:2:123406514:123407026:-1 gene:KYUSg_chr2.19618 transcript:KYUSt_chr2.19618 gene_biotype:protein_coding transcript_biotype:protein_coding MMCAAPDLGRRRETRGRRGQKERTDLDLGSPCLGLSRRQYSTRICADKGETCPEHGGDERRDEGDVGELGVGDRDRDGVEARAPPHLPVSSDASSNASIRSPLEAPDGASLVLVPRLPDLFSSRAGKGESATAGAARVTAVPFRSSTRAATVGEEERQGLGRRGRTGIGE >cds.KYUSt_chr1.37281 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227852500:227852746:-1 gene:KYUSg_chr1.37281 transcript:KYUSt_chr1.37281 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAAKHLETARADRSVWLMKCPPVVSQAWQGASSSSGEANPNPVVAKVVLSLNPLSAAEPTLQARSPPPTLPSNSRAFGC >cds.KYUSt_chr7.29325 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182532474:182532821:-1 gene:KYUSg_chr7.29325 transcript:KYUSt_chr7.29325 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLLQAVAALMGTCTRRLQRAARKMATGNGKASMAPWRKTFSLPAARGRGGREQDDGGLWRKEILLGERCQPLEFSGVIYYDADGHRLEQPPRSPMRSPYPTTIKLGANAGRY >cds.KYUSt_chr6.31985 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202111932:202114220:1 gene:KYUSg_chr6.31985 transcript:KYUSt_chr6.31985 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHLHLRIPILPLLSRNPPTPLHLRHALCSSTTATIDAAAPAIDPPTPAASAGDTPAAAAAAAEVDSAADSPPPRAPREEEPLQETIFTMIRRRKWTTRMENSIRLLSPTLTAPLVHGVITAASSANRADLALQFFRFAYRRAGFRPEPATFALLVPILASNRMLNHARCILLETMPAFSVAPGEATVAALVAAYGKAGIPQEAVKLFRLMPELGITRTALSYNAVLKAILCRGREAMARRIYNAMLADGVAPTLATYNTLIWGFGLCKKMESAVRVFGDMKTHGVTPDVTTYNTLLNAWVRAGDLESARKVFDEMPGAGFEQNTISYNVMIKGYVEANKVEEAVGLFTEMGEKGLRLSEKTFAALMPGLCDNEGKAAEARKAVEDMAERRLTPKDKSVFLRLVTTLCKAGDLDGALEVHKKSGQFKHVLVDPRQYGVLMEGLCAGGKCDGAVEVLDDLLEKGTLLSPKSPVLEAPAYNPVIEYLCNNGNTSKAETFFRQLMKKGVDDKSAFNSLIRGHAKEGALEAAQEILAIMTRRGVPTDPHSHAVLIDGFLKKNEPADAKTALDSMMEQGHLPRPALFQSVMAALFNDGRVQTASRVMKTMIEKGVTENMDMAHKIVEALFMRGHVDEAIGRVNLMVENVCMPDLDKLLVALCQKDKVMEAHKLADFALDRDFDVSFSTYDRVLEALYTEEKTLPAYSMLCKIKHKGGVVDQKGCDALMSSLKSGGYSKQADILSRILAESGSSTSKKGKKFAMGA >cds.KYUSt_chr5.40514 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255780760:255795463:-1 gene:KYUSg_chr5.40514 transcript:KYUSt_chr5.40514 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSEGGGPWLRSVNNFLGRAVWEFDPDHGTPEERADVDRVRREFTENRFQKKESQDLLMRMQYAKQKHLQVDLPAIKLLDSAEVTEDTILTSLRRALGQHSSLQADDGHWAGDFSGIMFIMPILIFALHVTGTLDTVLSTEHRREICRYIYNHQNEDGGWGTQVLGPSTMFGSCLNYVTLRLLDEVDNNALTKGRAWILSRGSAAAIPQWGKIWLSVVGLYEWSGNNSIIPELWLVPHFLPIHPGRFWCFCRLVYMPMSYLYGKKFVGPITPTILAIREEVYSVPYHEIDWKKARDTCAKEDLRYQRSLLQNVIWTCLNKFVEPILNCWPVNKLRDIALKNLMKHIHYEDESTKYIGVCPINKALDMICCWIEDPNSDALKLHLPRIYDYLWLAEDGMKAQVYDGCQSWEIAFIVQAYCSTDLVNEFGPTLRNAHEFIKSSQVLENHPDSETYYRHRSKGSWTLSTADNGWSVSDCTAEALQALLLLSKFSPNLVGDPIKGERLYDAVDCLLSFMNKDGTFSTYECKRTTSLLEVLNPSETFLNIIVDYPSVECTSSVLQALIMFKELYPGYRKEEIAKCVKNASKFIEDKQRKDGSWFGTWGICFTYGTFFGVKGLIASGRTYENSTSLRKACNFLLSKQLSTGGWGETYLSSETEAYVEATGPHAVNTAWAMLALIYAGQVERDPAPLYHAAKELINMQLETGEFPQQ >cds.KYUSt_chr6.999 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6344747:6345356:-1 gene:KYUSg_chr6.999 transcript:KYUSt_chr6.999 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRCSGLEMGRLVCSFTDLSRWGPWLVVLAITLKFVVQPLADMSWATPRSEMQTCVHAFDGKGPEDGNVYLEDDKAFQMVRNGLMVLFCTA >cds.KYUSt_chr4.40500 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249951262:249951897:-1 gene:KYUSg_chr4.40500 transcript:KYUSt_chr4.40500 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGKRSRQQAEAMTSVSLLDLDSSDMARILLLFSGHHHHQGYHGVSAGPSSPERVFECKTCNRQFPSFQALGGHRASHKKPRLADGAEPPKPKVHGCSICGLEFAVGQALGGHMRRHRAVAATGDGLGLGLDLVLGLGSKDISQKKTTAAAELAFDLNVPALEEEESADRANRKLGLAMDFPVVVNFRRSDQERIFKSDLHKTYSTIYIG >cds.KYUSt_chr5.7254 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45390730:45400821:-1 gene:KYUSg_chr5.7254 transcript:KYUSt_chr5.7254 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTELAASRDEEAAALLVSSDAGDARRGAGAASETRDAHLLSSAFFFVFLAYHAAQNLQSTVNTDGDLGTISLGFLYTSFTAFSVVGSPVVRRMGSRRALVLGTSGYLLFIAANLAPSWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNSLPEGPTLGSFNGEFWGVFASTQVIGNLISLALLRNGKDGGSVTGKNLLFVVFLGCMIIGIVLMCLLSKRDEKRDNASTHSSFGAMLKYIVAPLKDRRMILLIPLIAYSGLQQAFVWAVFTKSIVTPVLGISGVGGAMAIYGASDVVCSLVAGRFTSGLHSATFIVSVGAILQAAVLFWLLLLYSPMEGVLGAAVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPSITLQAMLILMATFLFISFGSFLLLTLVVEKPSTIRASCGTQRPIYGPDPNSQAATARVFVPPDPSCEGFVPTSFVMVALDPNPTPCGGEAPKEEAPLLDLEIGTPEDATAPDCKGETKKGSGLAAAFTKFFEQTDAEKEEADRAARWALRVFLVALWLYLANLMRQFVIASGTGEGRAFTAAVMILVAFSLGGMFCELCTAEGEHTDAGVPVPKPDPRPSSSREPGWTLSPSLVLCDDDDSDYDSDYDSDSD >cds.KYUSt_contig_2887.118 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:576397:576759:1 gene:KYUSg_contig_2887.118 transcript:KYUSt_contig_2887.118 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSFADAADEDHSHAPAPAPAPAADTTDAGGVDRRKRDGDGAVGGGPHSKARKLDGVGGERAVAGDGGCGREVRRVGGDGDAGISMRIDPDLLDSLHPLRGPLPSAVPGLLNLLSCAN >cds.KYUSt_chr4.15751 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97364854:97365542:-1 gene:KYUSg_chr4.15751 transcript:KYUSt_chr4.15751 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNGAAAADSVQEPPHKITKIAPLLKVKKLSENAILPRRGSALAAGYDLSSAVEVTVPARGKALVATDLSIAIPEGTYARVAPRSGLALKHSIDVGAGVIDADYRGPVGVVLFNHSEVDFAVKPGDRIAQLIIQVIATPEVAEVEDLDATLRGEGGFGSTGV >cds.KYUSt_chr1.15950 pep primary_assembly:MPB_Lper_Kyuss_1697:1:92739783:92743706:-1 gene:KYUSg_chr1.15950 transcript:KYUSt_chr1.15950 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPKSSRIMFLLPLLLLGATASPLPLLNSSVANPDAVVADFHREVARSRRRMQEAGGGCMTGNPIDDCWRCAGTNWWEDRQRLADCGIGFGRNALGGKGGPYYVVTDPSDRDPVNPSPGTLRHAAIQEGPLWITFARDMTIRLNEELLVNSYKTLDGRGASVHVAGGACITLQYVSNVIIHNLHVHDCVPAGNANVRSSPTHAGWRTRSDGDGISLFSAREVWVDHCALWRCADGLVDAIMGTTAVTVSNSYFAHHDEVMLLGHSDGYAPDSGMQVTVAFNHFGLGLVQRMPRCRRGYFHIVNNDYTAWQMYAIGGSASPTINSQGNRYIAPANPNAKEVTKRVDTEEGQWDGWNWRSEGDMMVNGAFFVPSGEGLEAIYDKASSVDPKSAALVDQLTMGAGVLGGPRDNGEAAAYAGLNYAGSATGGGGAGGGGNGYGYLGMVYGSSGDWSCRSCSMLRLTTLVLALICLHPL >cds.KYUSt_chr4.50923 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315744478:315747425:1 gene:KYUSg_chr4.50923 transcript:KYUSt_chr4.50923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase, Hydrogen peroxide-induced leaf cell deat [Source: Projected from Oryza sativa (Os03g0131200)] MDPYKYRPSSSFNGPQWSTNSGAPVWNNDNSLTVGSRGPILLEDYHLVEKIADFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAIKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHALKPNPKTHIQENWRVLDFFSHHPESLHMFTFLFDDIGIPADYRHMDGSGVNTYTLVNRAGKAHYVKFHWKPTCGVKSLLEDEAVTVGGTNHSHATKDLTDAIAAGNYPEWTFYIQTIDPDHEDRFDFDPLDVTKTWPEDVVPLQPVGRLVLNRNIDNFFSENEQLAFCPGIIVPGVYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPANAPKCSHHNNHYDGLMNFMHRDEEVDYFPSRFDPAKHAPRYPIPSRTLNGRREKMVIEKENNFKQPGERQERFINRWVDALSDPRLTHEIKAIWLSYWSQADRSLGQKLAGRLSAKPSM >cds.KYUSt_chr1.33860 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205799843:205806919:1 gene:KYUSg_chr1.33860 transcript:KYUSt_chr1.33860 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSAALSSGVGASGSSEDQDISESESSAAAAAASLRCSSSASNAAWPAGLLLCFLLRFSQGRGVPDRVEEVWRKVTAEVTAELPLLREKWLLLFAGLVFQWTFHPFIYHSKRFYTVLIWRRVLAFLVASQVLRIITFYSTQLPGPNYHCREGSPIATLPPPNNVLEVLLLNFPRGVNLGCGDLIFSSHMIFTLVFVRTYHKYGTKRFIKLLSWLMAIVQSLLIIAARKHYSVDVVVAWYTVNLVVYFVDSKLPEMPDRTNGSPLLPLSTKEKDGWLKEEKESRLKDEFHKLLNGNHGDPTDRRVQMNGRHDDDLNHGALSDATTNGT >cds.KYUSt_chr2.42911 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267106153:267108569:-1 gene:KYUSg_chr2.42911 transcript:KYUSt_chr2.42911 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAASKCAGGAIRRLSAAAAVGARRKPPEDEGDWSYYKEWWGDDDGPGDGAQTVFRRHSEHGNGVVSVVAYPASQPASDQWPVMERWLQERNSALYPESTVADQFKILGYQWRVMRFNDHTRQTAAKPADADKLLWGGIHDRIFLHITDAEDFIVTDSNVYDLVFIDAYDGNDIFPRKLWDVDGAFLKNLDEKVHPVHGTVVVNLHSDSELCPDVEDEAPFESTLPMGKYVSQVCSAYKQHFGLAFTAAAPWLCNVTLVACRDKVILRGAPVGRSGRDFVLSKLLSRSSMVEQALDLPFPCLQYVKNGFTLVE >cds.KYUSt_contig_60.202 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:1131033:1131905:1 gene:KYUSg_contig_60.202 transcript:KYUSt_contig_60.202 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIVKFLAKNKAPFTVNIYPFLSLYLNDNFPLDFAFFDGAATPVHDNGVTYTNVFEANFDTLVAALAAVGLGEVGWTTDGDRRAKSSHAQRFYDGLLKRLASNRGTPARPSQHLDVYLFGLVDEDQKSVQPGSFELHWGIFRYDGQPKFAMDLSGHGRNTALVPAKGVQYLSRTWCALNPKASRNDLGKLLGAKIDYACTNADCTPLGYGSTCNGMDAKGNASYAFNAYYQAQSQKDEACDFQGLALPTETDPSTAACNFTIQIATSAAAAAGRLSVAAVAAALVVASV >cds.KYUSt_chr4.16524 pep primary_assembly:MPB_Lper_Kyuss_1697:4:102690896:102691549:1 gene:KYUSg_chr4.16524 transcript:KYUSt_chr4.16524 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNQPGAHPAFPAAKDTGAFQLMPASLRFDGPSTTHTHRTPRWQAQTLRRSSSYVGSPGADESAAPSANQPQAALAAPFQPVTLNFLRSLLDKGSMTAIAADEGGGKGAGAEAAPPPPLCALRVVVSSAVALDARQTELIARKMRRITGFVHLLVENVVDPSLIAGFVISYGLDDSHAIDLSVRARLAALKNRVDSFDRHHDDRLHPPRPHTPLY >cds.KYUSt_chr5.42405 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267337811:267340468:-1 gene:KYUSg_chr5.42405 transcript:KYUSt_chr5.42405 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAPLLARLALAVAVLAVLAAAASAAAKCRHTNLTAGFSADLTMLQHQLRGTVRLDPSGACALQLTRFDLLAASPSARFWAAAGASLADLAAGRPFSPLPLNSTFRNATLSLPFSAPLPPLLALFDPDASSDLGHVFLPASNSSTNTTTLAFPAPTMFDNCLQLTDAYRLRWTLNATSSSVEIGLEAAVGSEYYMAFGWADPKANSPAMVRSDVVVAGFTEEGMPFAEDYYITDYSECSMGKDDSPVSGVCPDTAYDGGSNDSTLVYGHRRDGVSFVRYRRKLDSGDAKYDVPVGAAEEMAVVWAIGKLRPPDTLRPHYLPQNHGGPRDGTFGLMRVNLSEAVDSCLGPLDAENKQDQDRIIADGKTPLVVTSAAALRYPNPPNPDKVIYINKKEAPLLTVERGVPVHFSVQAGHDVALYITSDPIGGNATLRNKTEVIYAGGPGSHGVPATPMDLVWLPDRNTPDLVYYQSLYEAKMGWKVQVVDGGLSDMYNSSVLLDDQQVTLFWTLSADSISIAARGEKKSGYLAVGFGSGMVNTYAYVGWVGNDGVGRVKTYWIDGKSATGIHETPENLTYVRCKSEDGIITFEFTRPLKPLCTGRVECKNIIDPTTPLKVVWAMGASWSGDDLTDSNMHSVTSSRPIRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGILSARYLKSLKGDGWYQIHVYLQYSGIAIMFLGVLFAAAELRGFYVSSVHVKFGVAALLLAGFQPLNAYFRPKRPANGEVPPRNRVLWEYLHVITGRSAIIVGVVALFTGMKHLGHRYDSENAEGLTWALMLWVLSVIVVALSLEYKEVKRRGSDRSVKGHWVLGNTEEDDTVDLLHPDSSARSSESRPSGVMEVQLEPLTR >cds.KYUSt_chr2.10476 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66459453:66462072:-1 gene:KYUSg_chr2.10476 transcript:KYUSt_chr2.10476 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPAIRDSFSLLVLNVSFRTTANDLFPLFDRCGDVVDIHIPRDRRTGDSRGFAFVRYKYEDEAQRAVDKLDGRRVDGRDIMVQFAKYGPNAERMYRDDYRDREYRRRSRSRSADRYERDRPRDKDFRRRSLSRSVSPDYDRRHSRRRLCGDNSVILGIAALGFPR >cds.KYUSt_chr2.46669 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291828518:291828880:-1 gene:KYUSg_chr2.46669 transcript:KYUSt_chr2.46669 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRKPRFPAAAAADHLRFLRPGALARLRDARLRRSRRAASRPAPPSPSPSPPPAPAPAAGPFLPYYVPASRLLSPRCPQRKKLTAAKAVTLFPPPASDLPFEAVMEFLNIPDMVVAAH >cds.KYUSt_chr6.22296 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140895723:140898062:1 gene:KYUSg_chr6.22296 transcript:KYUSt_chr6.22296 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSNQIEETLPEWRDKFLSYKDLKKRLRLIADAGAVERRSKRQRVESGGSPPPMTPEEAGFVCLLEAELEKFNAFFMGKEEDYVIRQKELQGRVVRAAETGSAEELMRVGKEIVDFHGEMVLLVNYSALNYTGLVKILKKYDKRTGALIRLPFIQRVLQQPFFTTDLLHKLVKECEVMLDQLIPANGPSVPREDLEEESDSDEKPSEPISSLANSGGVLELDEIEDMRGMYMRSTVAALRALKEIRSGSSTVSVFSMPPLHGSNGQEEQER >cds.KYUSt_chr4.52403 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325039097:325039375:1 gene:KYUSg_chr4.52403 transcript:KYUSt_chr4.52403 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWAEHIGGLEESFERPESLECVQRVRGIGEKNWRRFVSDEVSEMSGHLIKYPVSVDWNGRVAPLPGCAAFPDVGGNICGSFSHIQENLTI >cds.KYUSt_chr3.6051 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34546903:34552394:-1 gene:KYUSg_chr3.6051 transcript:KYUSt_chr3.6051 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHADQQPLPIFLCPVILHGVGAAVHLLLALAIASQLIFAARNRRGKEPAAARGGGFRWARLAVRATSALAASEVFLAAYSLVSWYLDNTRGGGGAAGWRAPDAVADQADAVSRAVAWLLLAAYLQLEYRGRGEERFPALLRLWWALFLLLSVLAVAVHAATGLQYRLPVPPLSWARDAVSVLAGAVLLVAGFSAKSEAASGSVSEEPLLSGANRTAGDTIDASMFTGAGFLSFLTFSWMWPLLAVGHRKTLDLADVPDLDHGDSVAGLLPSFKANLDALTGDGSGGQKVTAFKLTRAIVRTVWWHIVVTGLYALIYSLAIYVGPYLIDSLVQYLNGDERYAGKGKLLVVTFIAAKVFECLSQRHWFFRLQQAGIRTRSVLVSTVYQKGLSLSSSSRQSRSSGEMINIISVDADRVGLFSWFMHDFWLVPLQVSMALFILYSTLGIASLAALGVTVIVMLATVLPMQMQEKFQGKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIDLRKTEESWLKKYLYTSTMTTFVFWGAPTFVAVVTFGACMLLGIPLDSGKVLSALATFRVLQEPIYTLPDMISMFIKTKVSLERIASFLCLEELPMDAVQRLPSGNSDVSVEVSNGCFSWDASSEVPTLTDLNFQARHGMRVAVCGMVGSGKSSLLSSILGEMPKLSGEVKVCGTMAYVSQLAWIQSGKIQDNILFGKEMDTEKYDRVLESCSLKKDLEILPFGDETVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKVMKGGRIAQAGKYDEILSSGEELMELVGAHQDALTALDVIDVANGGSEALSSSGAASLSRSVSSAEENDKQNGEQDFGKVQSGQLVQEEEREKGRVGFWIYWKYLTLSYGGALVPFVLIAQILFQVLQIASNYWMAWASPVSKDVEPPVSMSTLINVFVALAGASSLCILIRALFLVTAAYKTATLLFGKMHMSIFRAPMSFFDSTPSGRILNRVAWQVFVVFVPLTVACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSATIRSFGKENMFVSTNSHLMDAFSRPKFYNAAAREWLCFRLDTLSCLTFAFSLLFLISLPTGFIDPAIAGLAVTYGLNLNTLQAFVVWCMCSLENKIISVERILQYISIPEEPPLSISSVSFTRLMQVRYAPQLPFVLKGLTVTFPGAMKTGIVGRTGSGKSTLIQALFRIVDPTIGQILVDGVDVCTIGLHDLRSRLSIIPQDPTMFEGTVRSNIDPLGEYNDNQIWEALDNCQLGDEVRKKELKLDSPVIENGENWSVGQRQLACLGRVILKRSKILVLDEATASVDTATDNLIQKTLREYFSEATVITIAHRITSVLDSDMVLLLDNGVTVESDTPARLLEDKSSLFSKLVAEYTMRAT >cds.KYUSt_chr5.28088 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177719836:177721587:1 gene:KYUSg_chr5.28088 transcript:KYUSt_chr5.28088 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLPVLLIALGVLLQLCCCSPPPSPVVCTHGKSNCTVTNAYGSFTDRSICHAAKVTYPRTEQELVAAVAAAACAKRKLKVATKHSHSIPKLSCPGGRHGTIISTARLNQTVHIDTAKRLMTVESGMVLRDLITAAAAAGLALPNSPYWYGLSIGGLLATGAHGSSLWGKGGAVHEYVVGLRIVTPAPASKGFAVVRELGADHPDLDAAKVSLGVLGVISQVTLALEPLFKRSLTFVKRGDSDLAEQVVPWGRLHEFADLTWHPQDGTVMYRQDDRVDVSTRGNGLNDLLIVRASPTRGTIGARVAEESMQMKNVTDVARCAAAQVQVSAQEQQGFGFTNDGVSFTGYPVVGYQHRMQAAGSCIGGPEDGLLTSCVWDPRIRGSFYYNSGFSVALSKAPAFIADVQRIRDLNPGRFCTGVDGRVGLMFRYVKASSAYLGKPEDSIDLDILYYRSRSYGIPRVYADLVDEIEQMALNKYGGLPHWGKNRDFAFDGAIAKYPKADEFLQVKDRYDPDGLFSSEWSDQVLAIRGSPTVVKKGCAIEGLCVCSDDSHCAPEQGYFCRPGKVYRQARVCSIIKDNRK >cds.KYUSt_chr4.1292 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6832298:6834584:-1 gene:KYUSg_chr4.1292 transcript:KYUSt_chr4.1292 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFGSPSLSRRLSLCGHDQPPISLPAAMSSGGRRGVRWAIRTMSDDNTDNSGNSTRLFSAILSFLKKLTDKLKKLRRGFPVKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEVIGALMYRASFAFLGGMKNMIAIFNYWKAGLTLGLFLDSFKYEVNEFLESCNPFHFEVDNIFTGIW >cds.KYUSt_chr2.18920 pep primary_assembly:MPB_Lper_Kyuss_1697:2:119011154:119023106:-1 gene:KYUSg_chr2.18920 transcript:KYUSt_chr2.18920 gene_biotype:protein_coding transcript_biotype:protein_coding MSCATPRPPLAGPTLSPALLRAARSGDERRLVKELLADPSAPDLETAATAGGNTLLHVAASGAHAALATLLLRRAPGLLAARNAALDTPLHLAARAGAHKVVALLVASSPPTSSALRALTRATNKRGETALHEAVRGGHEAAARALAAADSGLAGLCGGAGETPIYMAAAGGSLGMVRILLKSYSKDDDDKLPIVASCTGPGRRTALHAAALTSNEMTQELLQWNPALAKEVDSSGSTPLHYVASAGNISALKLLLRYDTSPAYVPDSNGLFPVHIAAKMGYGQLIYELSKHCPDFDEKLDSKGRNFLHIAVEHKKWKVVWHFCGTPELDRMVNAMDYEGNTALHLAVKNADQMIVSLLMSNKGVLPNIVNNHGLTALDLAVLATDKGISYTLVIILRCLAWTGAVLTPRRLDHFIDEFHIGRASGNELKKFSNIAQNLVVGSVLVSTVTFAAVFTLPGGNISDGHPHAGAPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRKLYMFFSVMSMEQATRSMVAAFALGAYVVLSPVSERIGIVDSFEMDEKPPKERDIEMGRRDSKNRSDYGLEDFFEEVKEIEMLLEKMSNIVKKLQEANEESKSVTKASAMKSIKGRMEKDIDEVGKIARNIKVKLEQMDRNNLANRKKPGCGKGTSVDRSRMSMTIALKKKLKERMNDFQNLRQTIQQEYREVVERRIFTVTGTKPSDEVIDNLIETGSSEQIFEKAIQGIGRGQILATVEEIQERHDVVMDIEKKLIELQQIFLDMAALVDAQGEILDNIESQVQNAVNHVQTGTEALRSAKSMQKKSRKCMMIAIILLLVIAGIILLSVLKPWAK >cds.KYUSt_chr6.17366 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109346478:109359789:1 gene:KYUSg_chr6.17366 transcript:KYUSt_chr6.17366 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEKPSGALPRSGRVPEQRSCPPDLGFAMAAALEAHEIIVLKYIGEINHIATGTAPSLDGELLPPHGSSSGDEDGGGDGSGVDGEAFRGHFPAPAGCRNRDPVPQILASRWRRLWKGFYLAIFCSLFFKKSDKPASCGQKIKLELKRTADSDNAHVEDKLAATDNFSDTKSNATSLKMLLAKEMSKEMESKSNPPGVIARLMGLVEDFPAKEQVLHHANGDFRENQSCNHPGQHHSMQPMTQVIDPSRETIEYNGVYEGCEGKARMSLFQGQSSQKRRYSENKSDRMDVVPEKFWQAKCLLTKENVLCSTGLQEYLDVLSSEKDLFQEFREEPILARRMSGLHTTPAPPHTRITVLKPMGAVQSDVARQPTTEQAIEQNGLEMRRFHQRSSSEEGAPSQPSRIVLLRPTPGKASITKAKLTPKATSFRLIDRKSFKTVLDTHGETLGSTGVVHDIIRHQQDGHGQRDESFLSSTYSNGYGGDESSFSDSEIDRSGDSEIDDIEEDGGSISDSEAGSPISKYSWDYTRRYGSSYSGSSSSRISHFPDSSIIKEAKQRLSERWAMVTCEDTSQEQVRLPRSTCTLGEMLSLNEVKKEDVTTCIKDDKTMLRSRKLPRSNSLPGTASVDVPISLICRRRKMDRLGLHEGLNSTRDQPSPTSVLDAPSEDSSCNEPESFGSTNSNNA >cds.KYUSt_chr2.42244 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263140806:263144540:-1 gene:KYUSg_chr2.42244 transcript:KYUSt_chr2.42244 gene_biotype:protein_coding transcript_biotype:protein_coding MASANNWLGFSLSGQGNPQLQQNGSPAAASIDVSGGGDFYGLQAQTPPEAHLGMSGLRADTNYGVMDGFDGGNQETQDWAMRGLDYHGGSSELSMLVGSSGGRMTVDDGEAPKLEDFLGGNSFSDVQDHAGSYLFSSGGAAIGGGAATSSHGVDGGRGGSTIELSMIKTWLRNDNNQAQNEQAMSADASATTYACSGALAASGNGVGAASSRGQQGLALSMSMGSNSHPQMPVVAAAAGGGAESTSSENKRVDSPSAGTGDAVQRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGKQVYLGGYDKEDKAARAYDLAALKYWGTTTTTNIPISTYEKEIEEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFTTEEEAAEAYDIAAIKFRGLNAVTNFEMSRYDVKSILEGSTLPVGGAARRLKEAAELAEAGVWRAEDGSLVSHLHTADSIGMGGYHHGWPTSIAFGGHQQQPSAAQHLAAHYPQYAGHGWCKTEQDAVIAAGHGAQDAHQELHLGTHNFFQMPASRTAVYGNGGGAWYQGLGGNAFMMPVGTTVEAGEHGHSGSTATTEEGRLVGYSADQGGVDPYAAMRRAYELSQGSSSVSVAKVADGYSNNWSSPFSGMG >cds.KYUSt_chr6.9109 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56304167:56313245:-1 gene:KYUSg_chr6.9109 transcript:KYUSt_chr6.9109 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPVRMKTPAEDAEGPTVGAKCRGMVTAYAIGWLIEVVPEGSHGGIRMRVLLNEPLNYGVVDDESNVTGTKTKCLDVSTRTNHWDCADSDGGRLPVPPDPPPRRQPLALPPMDLPPLSHQALFAAVRSADAAAVRRLLDDAGASGSTAPLVAAQTEAGESALYVAAEAGALEVVSLLLPLYDLEAATLRSRLDLDAFHVAAKQGHTEVVKEFLGRWPELCSVCDSSNTSPLYSAAVKDHLDVVNAILDTDDNCLRIVRKNGKTALHTTVRIGYHRIVKALIERDPGIVPIRDRKGQTALHMAVKGKNTDVVEELLMADVSILNVRDKKANTALHIATRKWRPQMVQLLLSYETLEVNAINNHNETAMDLAEKVPYGESKMEIIEWLTEAGAKNAVNVGKVDEASELRRTVSDIKHNVQAQLNENAKTNKRVTGIAKELRKLHREAVQNTINSVTLVAILIASIAFVSIFNLPGQYYQDTKDGGEIGEAYISKLTGFRVFCLLNAIALFISLAVVVVQITLVAWETGAQKQIIKIVNKLMWSACLSTCAAFVSLAYVVVGPQHAWMAFTISAVGGPIMIGTLLFLAYLLLRPRFKFGEDRQRRIRRASGSKSFSWSMREGLSDLEAVEDHEKKIYAL >cds.KYUSt_chr6.1058 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6689809:6695608:-1 gene:KYUSg_chr6.1058 transcript:KYUSt_chr6.1058 gene_biotype:protein_coding transcript_biotype:protein_coding MNWWWLLVDDSTSTSMDGAIDATSASVYWWCYRCPHCILDLDAGRTASPTQPRRQPLRLLLYPTTILSMIKGLCCCAEAVGGAFSWPALETRGKPRVSENNVVDKLLAGRGGEEEHSHAVTSSSAFRRSYLRWICSVLRSNLLCFSVTCRGGEEGVAAGVAPHAYRSQPLPKRCHGAATASSTPLLKGRSSWEALQQGTYAGVIALPLHHMAEWRPFSRRSSSTGRLFYGSSSTDPAVCAPSGLFPSGVDGNRWRMLFPDDDQGPDRVFNFRVTVLCEKIKG >cds.KYUSt_chr4.2569 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14388964:14398105:1 gene:KYUSg_chr4.2569 transcript:KYUSt_chr4.2569 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLHLLPQTPLALPSRPSPSPLLLHPRVVASPARRGRAALLRPVAALGWGGGGIEDVADLFGRVEAFLYTVADAAVVAAAAEGGAKEEAAARAGDWLSGITASMETVLKVLKGGLSTLHIPYPYGFAIILLTVLIKGATFPLTKKQVESALAMRSLQPQVKAIQERYAGDQERIQLETARIYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLNEGFFWIPSLAGPTTIAARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPALLVISQYVSAQIMQPSQNNDPSQQGAQAALKFLPLLIGYFALSVPSGLSLYWLTNNVLSSAQQVWLQKLGGAKNPVKEYIDKLAREESTNVEKSESADKSEALPKDGKRQPGQVPKPSEQQRGGRFKKLMEQESRRKQLLEEPRQIEEAGIESEALDGKQSSHASAEDSKDEEESHENEPISASSNGGISHGTNETSPDRTTEEVTIPEATDTDNHSVHSSVSNPASLTDDELRHQENGNDAV >cds.KYUSt_chr1.29431 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178084792:178087694:-1 gene:KYUSg_chr1.29431 transcript:KYUSt_chr1.29431 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGVIDDAIAVADWQLLVKLIGLLRQVRNHLPVLRSSNPLKVVMVFLSAGASQRQWLLPLPPEIVATASSQTVIVHPTLLLRLNRNFAQCPPLSRRDFDSVSLFFRNISPREDICAEGKATIYFQYFETNPVVK >cds.KYUSt_chr1.24292 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145022837:145023995:1 gene:KYUSg_chr1.24292 transcript:KYUSt_chr1.24292 gene_biotype:protein_coding transcript_biotype:protein_coding MARFATLAVCAAALLVAVAVGGATAQGVGSVITQSVYDSMLPKRDNSLCATGFYTYDAFIAAAAAFPSFGTTGSADDVKRDLAAFFGQTSHETTGGRQGAADQFEWGYCFKEEVDKATSPPYYGRGPIQLTGQSNYQLAGNAIGEDLVGNPDLVAQNPVVSFKTAIWFWTTAQGNKPSCQDVALNRWTPTAADNAAGRVPGYGVITNIINGGRECGMGQNAFNEDRIGYYRRYCTILGTDPGGNLDCYTQQNFAQA >cds.KYUSt_chr5.21452 pep primary_assembly:MPB_Lper_Kyuss_1697:5:140077342:140077668:-1 gene:KYUSg_chr5.21452 transcript:KYUSt_chr5.21452 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNLQQRVSRPPPNSSPSSRLSLTSICTLQTWGDGQNRAAEESKIAAATKDLIRGDAGDDLLPRLRLRPLEHRGKRRNRRSAPDLVQKVQRSTPKHRQNANTKESKS >cds.KYUSt_chr5.13778 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89592411:89593622:1 gene:KYUSg_chr5.13778 transcript:KYUSt_chr5.13778 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTWITEDDAPPMVEYGTTSGQYPFSETGTTTSYSYLALYRSGNIHDAVIGPLKPSTTYYYRCSSDQSREFSFRTPPSSLPFEFVIAGDLGQTGWTKTTLQHIAAADYDMLLLPGDLSYADFFQPRWDSYGRLVEPLASARLWMVTQGNHEIEKVPLLEPRAFKAYNARWRMPYDAGASPSGSNLYYSFDVAGGAVHVVMLGSYTDYVAGTAQYEWLQGDLAGIDRRKTAFVVALVHVPWYNSNKAHQGEGDDMRDAMEALLYGARVDAVFAGHVHAYERFTRVYDDREDPCGPVYVTIGDGGNREGLAEKYVDPQPKTSVFREASFGHGRLQVVNATHALWTWHRNDDDEPVIADHLWISSLASNPACNK >cds.KYUSt_chr3.4434 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25169062:25170332:-1 gene:KYUSg_chr3.4434 transcript:KYUSt_chr3.4434 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLDTAAADARRMVDGWTAGMPRRRESGGTGFRSEPLTRHPGKAEKRFFYCAFVGRSFWPRQKQDKINFEDLVLLHLLPEDVNKMSGGSRPCAVLEAATPLGYISFG >cds.KYUSt_chr2.12576 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79853474:79855568:-1 gene:KYUSg_chr2.12576 transcript:KYUSt_chr2.12576 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQESEASYQHSLGDDAEAEEAEEVEEEDEDEDEEEGEYESSGSEPSQKRQKASDIWEGFMILESKSNGESAKCSMCCSTISTSLLTSIHSIGDPNVLDYDAARRALAMFIITSGLSLSLIEGTGFKSFVSAVNPLFPCSRPILDGDVMALYAREIDSLQVIMSEAPGRISFAIDRWKGKETGRNYNDDIYICISACFIDADWKLQRRIMGFKHLAFPDDVMYVVDTVESCFAELEVDQKVMCITLDNALDDASVANSLKTTLVDKGKLLCDGALCQMHCCTDILNSVVKAGLELIDDVVDKIRHGIHYITYSRKRENEFYRCAKEICLLDVTMKLSADLVVYWDSTYKMLGCVLHYKEALKHFASKHATFMSNFHLSDEEWNKVATMEKFLKPLYDITCTFLGTKHKTASLYFLGVYKVYRLLEVSKEQENFMAAMVKDMKAKFDKYWSEYILVLACAAVLDPRYKLNLVSYCFKKIHGDVGASQYTDRVVALLHRLFTEYENLSCSAAVGSGVIGYHAKDDLFDNYTLPEQKGELDWYLESPAMHLNVDLDILEFWSGMSKCYPNLANLARDILAIPISTVPSKSVFTMGEKVVSPRRSTLEPDLLEMLISLHDWTCPKDKRGVAVSAIEEYIDDEDEEEDGVEESDADDDNGGADR >cds.KYUSt_chr7.27561 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172124118:172128560:1 gene:KYUSg_chr7.27561 transcript:KYUSt_chr7.27561 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGALEVVARRAGSGPSTLSAMRFVVALLCDGAAVVAGVCNPVELQASFGQLRGDGGLEVPGESMPGYGQPVVAAPAGVVPSLEAPPWSSLGLQAWIRLFGAVQVKFTFGSGLAHPLAGARHPSRSCFPYTRSHMFGIGQLESRGAASARSPLVFASSGKSESLRVRSDDDDAGHPRRRADHGRHVTGGWRWRERAAPPALHKHQPRGPSQDLSLRGEGTTSAMGSAAVEPEAFATAEAVVGEITRLHRSLPPRPPPEDVEAADALARAADREERARLDAVEALRRAPAVPEELFCVARDMHRALAGFRCRDQKRDAARLLDLDALHNLFDDLITRASHCVPSSSSSCAAPPRFASNGGASTSSSSYSSAGPVAAVSDRSSFATNGGFGAVGTNMGRVSMDDSYVNKAKAAVWDGGAVTASSHAPRGAVAAAHSLPVPMDTSYGDGTEKLSLIKLASMIEVAAKKGAQELNLQAKLMAQIEWIPDSIGKLTGLVTLDISENRLVSLPETIGKLFSLARLDLHANRIAQLPDSVGDLRSLIYLDLRGNQLTALPSSIGRLVNLQELDVGANRIVSLPDSIGSLTRLKKLLVETNDLDELPYTIGQCVSLVELNAGYNHLKALPEAVGKLESLEILAVRYNNIRSLPTTMATLTNLKELDASFNELESIPENFCFATSLVKLNVGNNFADMQSLPRSIGNFELLEELDISNNQIKVLPDSFRTLKRLRVLRAEENPLQVPPREIALKGAQAAVQYMEEYATKKATKPQPVKGRNTWAQFCFFSGPNKRKHDRIEDTDT >cds.KYUSt_chr7.36649 pep primary_assembly:MPB_Lper_Kyuss_1697:7:228988485:228993801:-1 gene:KYUSg_chr7.36649 transcript:KYUSt_chr7.36649 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHMPPPAPSVARQVEEIAEEPNRAVAYARLLHLQRACADDPSAAADLAAAPPSTLLPLLLRDAADHDEEVAASALKCLGFALYHPVLVSTISGQLAQSVLAILVQLIMTTKMKAICNLAVWCISVQQLEALVVEDKTSPVLNAIVYALDNPFGSLSTTFEAVQLGILSSILNPELLQNMAVEMAVTIMDSTTQIFRLLLQGIQLQCNSKLADDSVAICITKFVKCTVEELDNSMLASGKYELCLDIEQIKEMQYAECSTKLSYPRIRPLAYMEMVSPAVYLTALSLSVVTQFTGELSHGDAHQLALIICSSDLSENFHAAVAFLYMQIMRPVDSQLRIKWLLLWNKVSKRLNEKMISYLKLSCGASGHDAFCQFFCYPFFASSNGYLPMTQDLEAELAIEVYGSLFTNSNYLEASYMVFLDNLFEYFIHTIDENMSSFQANIEYCLEKKFEDITILSVLGKVVIGALENAQISNYANQDVEIPNEESDGCRRLNLFLSCLKLVNRFMRLSSFGLKANPAAKHQVTNMFFSSLSTFVGHLTLAKDIVLLFEIIGDQLTEWLTLSSTLYLEMQQVQTIINQLEKLLLSTVRCLTTSRLIYDCSFLQKQHPLLQVAVNHPHKPISAAAASIQRASGLGNAGLQHVGCHSVSKTDGLSTEDLNCASDTDRTFVLEELSISRMLAPPMSPGRGVVTSNSTDYAKRNGESLRVSAGIGRKRLKITKYSGKVKGLGKVTSDSFSPQWLESKVCRKPELILEMLKSKR >cds.KYUSt_chr4.41885 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258986399:258987709:-1 gene:KYUSg_chr4.41885 transcript:KYUSt_chr4.41885 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGARCSSLLRGFLSLFFLMFLHVGQSGCCFSPGSASQQHEEDDGVVVGSSKRRKISPLAFSPAVSSSTVAEDRARARRSRHVSSLATNLRCYLHRIFSSSSSGPKNAAPEEEAAVTTTTVSSSPLAHSSVTQRHASSVLLSAPSSPCASPFLSPMSPRSLGVTPVPCSPQATTRRLSRSFAARGDVFPCKACGEVLDKPQQLELHQAMKHSLSELSHVDSSTNIIRMIFLAGWQQPSSGADGEPPAAVVTRILRIHHNPRALSRFEEYRDLVRARAARRATAGGVEEERCVADGNERLRFHCATTLCSLGAGGVCGSPYCCACTILRHGFAGKQADVDGIATYSSARAAHASLPEDVEREFAFLQVRRAMLVCRVVAGRVVRGGGAAGDGGKVGYDSMVPASPAGGGRRGEDDAELLVFNPRAVLPCFVIMYSS >cds.KYUSt_chr7.26468 pep primary_assembly:MPB_Lper_Kyuss_1697:7:165283309:165284322:1 gene:KYUSg_chr7.26468 transcript:KYUSt_chr7.26468 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMLGSSVQFRIDYEQTKQLPIGKAVHSDVLSVGGHNWRIECYPRGSHKAHKGKYLSIFLKHMSRNRRVKAIFEAFLMDKDDQPCTTATTRSCVHEFPIDGDSDADDDDDVDWGWSQFVDRTMLENDYVTQGHITFVCGIMVIDDSPIPVPPPDIGIHFGRLLDHTDGMDVSFTIDGQTFHAHRAVLAARSPVFRAELFGPMAEATMTSITLQEIAPATFKVMLRFIYTDTLPGEDELGDSSAEILQDLLAAADRYALDRLKLMCAQKLLDMVSTDTVATTLACAETYNCPELKSKCIDFLAVQENFQEAVFTDGYALLVLKFPSITAELRKRVRT >cds.KYUSt_chr7.2229 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12978650:12981778:-1 gene:KYUSg_chr7.2229 transcript:KYUSt_chr7.2229 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDHARHAINQQETHLNPTLVVVYFPTDDRCHLLLRPPGFSFRSPAGDHEDGGHRGGAGGAAVNSATTVRHRFSATSQATSPRRIRRQCADDHPDFHVGCSEVARDQHHLDVNRFAEIRGEVSKKLLAFQQFCRPHTIYGTIIGITSVSLLPMKGLDDFTMTVLRGYLEALAAALCMNIYVVGLNQIFDIQIDKVNKPGLPLAAGEFSITTAVFLVLIFLIMSFSIGIHSGSAPLMCALIISFILGSAYSIEAPFLRWKRHAFLAASCILFVRAILVQLAFFAHMQHHVLMRPLAPTKSLVFATFFMCCFSAVIALFKDIPDIDGDRDFGIQSLSVKLGPHRVYQLCISILLTAYGAATLVGASSTNLFQKIITVSGHGLLAFTLWQRARHFEVENQAHVTSFYMFIWKLFYAEYFLIPFVQ >cds.KYUSt_chr1.37674 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229983706:229984374:1 gene:KYUSg_chr1.37674 transcript:KYUSt_chr1.37674 gene_biotype:protein_coding transcript_biotype:protein_coding MELAISAATGELVSRFVSFFANKYHSSRAYSEEKQLERLQLLLLRARTVVEEADGRYITNSGMLAQLDMLTEAMYRGYWALGAFRYRSLQETPMEEEQVSDSYPKRFRTVHGSARKKETYLVDLQGVLESLEYVVSSMKEFVVLLGECDRMLRRPYDAYLYNDNIMFGRQAEKQKLLNFVLQQGPPGGALAILPVIGGPAVGKRTLVAHVCKDERVRASPTG >cds.KYUSt_chr6.21707 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137010967:137013210:-1 gene:KYUSg_chr6.21707 transcript:KYUSt_chr6.21707 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCCPLYNLYPVAALQNHAPSSESSHHINQDFVPSPVFMIGTGAAPAGEAGWSWQRDGAGCSFRLSFAVGFPLVEFESRHLDPIGRIWSILCFIFLTALLWWRELWLGRKLEIDISRNKAVFPVFWRLGVLVLLRGTGQSSTVLCVKLSRWRLGVFYRIGEAFFNKRFCCLLCTWRLLSWFSLLAGRGGEEKGKLDDEFCCDGEGRGVSGTASSGSSSSVAHVWLPTIDAGGQQLQNLAPVVRQVICNLLRRPCVCLAAELILSTAPSGLVPGAGGGGRCARQKFHDAGGPDCVFSYLFRVCNVKCRDLVVKRENLDNGSNHLEKVACGLYSQCS >cds.KYUSt_chr3.14909 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90731948:90735988:1 gene:KYUSg_chr3.14909 transcript:KYUSt_chr3.14909 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAMSPSSVSSQSHNLDAASTSDDMPSLQEGLLFSDSLKDLRNLRSQLYSAAEYFEVFYTNNSHRSTVVTSLKDYAVEALVSTVDHLGFVSYKVDNLVNEKADEVNDTELRVSSVEQRVRICQQTIDQEGRSQQALLIKAPRYHTRYLLPGADIAESAIHPVSEPPRYNRQYAGRKMRKSQSAISTPVSRQTTMRSIRSQSPTIRETHHRSRSMSPSRKARGKSPSPQPGWPQPEVVNSNPKEVEMAVVYPPIVGAEEKNYKSLWKVQARNDARESQKLADQSVATRTVAGIEEWKSVQTLAPSVSVKLNEHWLKPDWDWVKINTDGAYRASDAYGGGDVVIRNHHGSFVGGASHFFLTFWTLKELSYKHVGWVFNLL >cds.KYUSt_chr2.35499 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219202590:219205294:1 gene:KYUSg_chr2.35499 transcript:KYUSt_chr2.35499 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVTETAWVTPASRLRRRLVLPPIGPVLTPVVGPPGSGSRFDPIGEVSDDEDEISVAEEVAWRGLDDVPHVLPLRNIDGQGEGEHLDELWSKIGYPTAASRSWERKTAAATSDSVYKPQLITSGAPTTLLVPLSDASKSFFFFPMVVWRWWWEWRCGRWQERCRSTFKAGRSREMMLLKRRRSPAAASQCARRRGNRNIDGEGCGADVEALTSIQARYQAGPVEKRAVTWGVHRDTFQAQICGPETIQDFVQMQSQEIQDNIKSRRNKIFLLMEEVRRLRVQQRIRAAESRGASTEENEMPEMPSTIPFLPYTSPKTMKQLYLTSFSFISGIIMFGGLIAPILELKLGLGGTSYEDFIRNMYLPLQLSQVDPIVASFSGGAVGVISALMLVEVKNVRQQEKKRCTYCHGTGDVPNMLVHGNGDGK >cds.KYUSt_chr7.18233 pep primary_assembly:MPB_Lper_Kyuss_1697:7:113066980:113068923:1 gene:KYUSg_chr7.18233 transcript:KYUSt_chr7.18233 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASLHPAVLLLVGLLLSRAGSSPPPEPVACTRGTSDCTVTNVYGSFPDRTICRAANATYPRTEEELVAAVAAAAAAKRKVKVATRTSHSFPKLACPGGRDGTIISTERLNRSVSIDAASGLMTVESGMVLRDLIQAAGAAGLALPHSPYWYGLTIGGLLATGAHGSSLWGKGSAVHEYVVGMRIVTPAPASQGFAIVRELGADDPDLDAAKVSLGVLGVVSQVTLALQPLFKRSVTFVERDDSDFAEQVAVWGDLHEFGDMAWLPRQGKVIYREDDRVDVSAPGDGLNDYLGFRSNPTLGLIIARAAEERLEEDGDDTARCLAARLPAAAFELQAYGFTNDGSFFTGYPVVGYQHRIQASGTCINSEEDGLLTSCPWDPRIRSPFFYNSGFSVALSKAPAFVADIQKLRDLDPRALCSLDAKLGVLIRYVKASSAYLGKTEDSVDFDITYYRSYTEGAPRAHADVLDELEQLALRKYDAIPHWGKNRNFAFDGAIAKYPMAGEFLKVKDKYDPDGIFSSEWSDQVLGINGSPNIIDTGCAIEGLCICSDDLHCAPEKGLFCRPGKVYVEARVCASDSASQDHHDEL >cds.KYUSt_contig_534.150 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:1058200:1059682:1 gene:KYUSg_contig_534.150 transcript:KYUSt_contig_534.150 gene_biotype:protein_coding transcript_biotype:protein_coding MVWQRHAEREDAADGGSPEIGWRSRIVLMLESMEQKQLGIGSGNNEHQLGHALIDSIHSAIISPAAATLQSIADTGASLVTVIEGCYFSNRLDIGVLPSALGSGNWSMRSTPWPHTSS >cds.KYUSt_chr5.39923 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252346314:252350210:1 gene:KYUSg_chr5.39923 transcript:KYUSt_chr5.39923 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQQMGQAITGGGFYNIEVEPLEGSGQEETFEAVIHFEVAPLSALQLADELKNLLDGSWDWRVAKVSEKEFSVCFPSWETLRMSTRRGKIYLPLSKMDVDIREAFVGPRPGKAMPPVWVQLTGLPGDLMERERLMAGLTMIGRPLDVDELSVKKWKTEPVRVRFQCRYPERIKGTIALCVNGVPYTVGVHAELGAPGAGGSNPPRPPPPGDDDDVDDLDSEERSTDGERWNRHRKNDKAKGAAPPAGQGSGGGGGGSQRAATGGARSAPPLGHFAGQYGSNMDLFPALPLGKAGLASSLQEAQDGGSLKGCKGERFGPVELPAASGETSSQVTDPVQSWLLDSPLKTTLVGFEGGLATSKELPIIEVEMGVGEEGGVDEASLVQGRPLPMTDLHSVVTAVARMAQGKRTKTVASMAPTKAIKKKALATPSRKSTRNGGAAATSAMEKAKKLAAERNLDPAMAGTDTDDFSILDTRSGEQLGAVITDSCIFFVLSAGTPMEAILLLRAKEEALARVAASQAREREAREACDEGLGDQTAAGEAAAPGSVTDQDPAMGRGPSQTDGERVDIIGLQETIKADFSTAELRSLEFGGQFAWNWLPAEGRSGGMLLGFRDECFDVGAWRQGTFFISVTILQRKNNMKWCFFLVYGPADHRRTEEFLGELTQAVAGCNYPVVIGRDFNLIRTADEKSNDNINWARMRRFNEAIAAMALWELERTGARFTWTNKRLSPTRCVLDRVLVAPAWEAAFPLCSLTAITRIGSDHTPLLLSSGEEIRRVPPRFFFHTWWFGVPGFGDLLKAKLGCFIAERGPHRCHIELWQCVARNTRQFLKGWGANLGKEKRDFRENLLLQVSELDRVADATGLDEDGWALRYHLEDQLSALDRADEEYWRQRSRVQWTLQGDSCTAYFHAIANGRRRKCAIPRLLTDQGEIREQRELLDHIYLFYQGLMGSEGEIRRFALGHHLWEGNQRVSSEENQELELTFTAEELDEVLASMKQDSAPGPDGLPVMFFKRFWGTLRGPILNDFALGRVDVARLNYGIITLIPKVKGADNIRQYRPITLINVIFKFVAKAYAIRLAPVAHRVIDRSQSAFIKGRCLHEGALALHEIVHELHVRKQKGLLLKLDFEKAYDRVNWDFMQEILLRKGFSAMMVHRLMQLVRGGQTAINVNGEIGHFFRNARGVRQGDPLSLILFDFLVNGLAAIITKANAAGHVKGLVPHFIPGG >cds.KYUSt_chr2.54827 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342019316:342020761:-1 gene:KYUSg_chr2.54827 transcript:KYUSt_chr2.54827 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDTITTLLLVVAFVSVTAVVSSGASASSSPLRFHYIYLRNFTTAVDSSPPSSSPRRDGRPTLALLHRDAVFGTTYPSKSHAVAALAARDGARAEYLQQRLAPSFSSTYQPTMTTEVGSEVVSGISEGSGEYFARIGVGSPPTEQYLVVDSGSDVIWVQCLPCTQCYAQADPLFDPAASASFAAVPCGSGVCRTLPGGSSGCGAGEPCRYEVSYGDGSYTEGVLAMETLTFGDSTPVQEVAIGCGHRNRGLFVAAAGLLGLGWGPMSLVGQLGGAAGGAFSYCLASRGADAGAGSLVFGRSDAMPVGAVWVPLLRNAQAPSFYYVGLTGLGVGGERLQLQSNLFDLGEDGGGGVVMDTGTAVTRLPPDAYAALRDAFTDAVGGGLPRAPGMSLFDTCYDLSGYASVRVPTVALYFGADEGTALTLPARNLLVAMDDHGVYCLAFAASASGMSILGNIQQQGIQITVDSATGYVGFGPTTC >cds.KYUSt_chr2.18628 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117370655:117374169:-1 gene:KYUSg_chr2.18628 transcript:KYUSt_chr2.18628 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHRGRGHLPSYLVPAAALLLVFILTPLSAGDPLGHFCSNSANFTANSTYQANIRLLHATLPKNASSSPNLFATGTVGTLPDIVYALALCRGDTSAANCSECISTAFQDAQQLCPYNKDATIYYEPCALRFSNQNFLSSTDGGSGSTLILTSSRNASAPAKVFDAAVGALIHAIADYAAANSSRRFGTGQEGFGNFDKINPKIYGLAQCTPDMAPADCRACLQGIIAMMPSYFSGKSGGRVIRLRCNYRYEQYPFFNGPSLLQLPEPSPALAPAPASVTPLPARGDSKRNGAARILAITQSIVAAILASVVVCLCLRRKKSKPVQKASVSYPERRSQLDWGKRFRIVNGIARGLQYLHEDSQLKIIHRDLKASNVLLDSEFIPKISDFGLARLFDSDQSQDVTNLVAGTYGYMAPEYAMRGTYSIKSDVFSFGVLILEIVTGRRNTVAFDSDKSADLLSLVWEHWTKGTIVEIMDLSTTSHSPGDQMLKCIQIGLLCVQEDPADRPLMSVVTVMLSSNTVSLEAPSRPAFCIQK >cds.KYUSt_chr2.50475 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315652023:315654393:-1 gene:KYUSg_chr2.50475 transcript:KYUSt_chr2.50475 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKTAEQIAADVAVLLADVSSSGSGAPPCVGAADWSAGGESPCDVTVWGSSHAAAVLSLTRALAAAVPDGTIISFLTTSASAALLRKTGTLPGNLRFVEIADGASASSSPPMLPLPRMMELFMAAAEKGVIRDGLEAARASAGGARVSCVIGDAFVWMAADAAAAVGAPWVPVWTPASFSLLAHLHTDKLRDDLGDTGASRVDEPLTAYAGLGGYRFRDLNDGIVSGDFNHVINLLVHRAAQQARKHAIAVAVNSFPGQDPPDLTAALAAELPNPLLLGPYHLLPAAQPAQDETPSDPHGCLAWLDRHPARTVVYIGFGTVAALRPEELRELAAGLEAMGAPFLWSLGEKSWSLLPAGFLERAAGLVVPWAPQVGVLRHASVGAFVTHAGWLSVLEALSSGVPMACRPFFGDHTMNARSVASVWGIGVAFDGPMTRDGVADAVATLLRGEEGERMRGRAKEMQANMDKAFQPDGGCIVNFHHFVKIVCRV >cds.KYUSt_chr5.32870 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208372814:208375457:1 gene:KYUSg_chr5.32870 transcript:KYUSt_chr5.32870 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAPLSLSASALSARLRLAAPAPRAAAARRRGPMVVRAKIREIFMPALSSTMTEGKIVSWTAAEGDRVNKGDAVVVVESDKADMDVETFYDGIVAVVLVPAGESAPVGAPIALLAESDEDVALALAQAQALSSGQPSSPPSDAAAPPAPPPPAEAPVAAPAPVSTGTKGIATPQAKKLAKQHRVDLAKVTGTGQFGRITPADVEAAAGIQPKTKVACTPAAAPVAAPSAKAVPQAAVLPLVPGATVVPFTAMQAAVSKNMVESLSVPTFRVGYPVLTDKLDALYEKVKPKGVTMTVLLAKAAAMALAQYPVVYASCRDGSSFTYNSSINIAVAVAIDGGLITPVLEQADKLDIYLLSQKWKELVKKARAKQLQPNEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKNKMLVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTL >cds.KYUSt_contig_1181.1032 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:6798794:6804445:-1 gene:KYUSg_contig_1181.1032 transcript:KYUSt_contig_1181.1032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Ethylene receptor-like protein 1 [Source: Projected from Oryza sativa (Os04g0169100)] MVGAVQPRMPFLWVLLFLLSLLPQLSAAAAADFSHCGGCDDSDGSSLWNTENILQCQKVSDFLIATAYFSIPLELLYFTTCSDLFPLKWILLQFGAFIVLCGLTHLVSVFTYGPHSFQVVLALTVGKFLTALVSFATAITLLTLIPQLLRVKVRENFLRVKARELDQEVGKMKRREETGWHVRMLTQEIRRSLDRHTVLHTTMVELAKTLELQNCAVWMPNEGRTEMILTHHLREREITASHSGSIPIGDPDVVEIKATRGAKVLGEESALGIASRCSPAEAGAAVAAIRMPMLRVSNFKGGTPEMMETSYAILVLVLPEDGGSVGWGEQELEIVEVIADQVAVALSHAAVLEESQLMREKLAQQHRDLLQAKHEAVMATEARNSFQSAMYDGMRRPMHSVLGLVSMMQQESMNPEQRLVMDAIVKTTSVASTLMNDVMQTSTMDREHLSLVRRPFSLHSLIKEAVSVVRCLSGSKGVDFEFQVENSLPERVVGDEKRVFHIVLHMVGTLLHQCGAGCLSLYVNSYNEMEERHNPDWMLRRANLSAGYVCVKFEIRIRKYKDSLLGLLSSQEPNANSSEMGLSFNMCKKIVQMMNGNIWSVSDPEGLRETVMLALQFQVQHVTPISGASSDLYRSSAIPNFNGLHVLLVDGDDTNRAVTHKLLEKLGCRVFSVSSGIQCMTSFAGGESSFQLLILDLTMHTMDGFEVALAIRKFRSNSWPPLIVALAASADDNVRDRCQRSGINGAQNGWCLLVLACPMTSCQLEVPTREDNQDEHCKHVVYFLFSFPLWYEKYRLSGKLPALPLIILVHDTSRYTSGMSSGNHGCQSSAARRTHWSTGRRSPEWIHQ >cds.KYUSt_chr4.5503 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31872642:31873700:-1 gene:KYUSg_chr4.5503 transcript:KYUSt_chr4.5503 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIACLCFFERTSPHANGQLSSSEAIPSFIALSSFTPRHQTSRSDPPLKRATSLFASSPTSETQHFHSSASSTTKKELRMSAAHTAAPLLPEGATALEIPSDRPAFYDSFKAFGVPAAATAVRLVTPLSEMVTSRPASISVYMPPSLPEMVHFTNCFVYAYITPATAPCRADPGAFIRAAFRAQAPDLQQDFELLPPGHGADATVRFRTPDDREEAMRRQPFELDGATVKFVREGETSNVKRVSYDYIAHVALRDYPVEQRTEEDIAGNCSRFGFLREVDPACFAAPDLATVHVVLQLEHPREIPHQVRIGYFDGTKNVVPVEVVAVWDRAHSYDADGQYVRLFQAPAAAA >cds.KYUSt_chr4.3665 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20842156:20842482:-1 gene:KYUSg_chr4.3665 transcript:KYUSt_chr4.3665 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMANARQSPRRPPRFTGAVILLAEDIIIIDGDKDEAAPLPFPTPAVVEVAGNALAYVSAPTEASIFTFWLRRCVCRCSRGAAPALNDARDPASFTAQQRSPPPRRP >cds.KYUSt_chr1.33638 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204341712:204343472:-1 gene:KYUSg_chr1.33638 transcript:KYUSt_chr1.33638 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGRLVVAVVLSAAVLHSAVVGAENHHVFLDWEVSYALRSPLGVAKRVITINGRLPGPLLNLTTNDVAHINVVNTLDEPFLLTWNGLQLKRNSWNDGVAGTNCAIPPGENWTYVFQAKDEVGTFFYRPSLGLHAAAGGHGPIRVNNRPVIDVPFPRPDGDFDLLIQDWYNMDAGAMKERLDGGRGLPPPDGVLINGMGPDGAELAFEAGRTYRLRVSNVGARTSLCFRVQGHKMLLVEAEGAYTAQKLYASLDVHPGQSFSVLVTADQPPRAYYMVVSSLFVGPELYGVGTIRYAGANEHPPSGNAPLDDRSSHNSYNRSMEQAKSIRMNLTAGAARPNPQGSFHYGHINVTRTLLLRNDESVIGGRRRCTVNGVAFANAATPLKLADFFRIAGVYTVVSGWPERRNLTLGTVAIDAAYRDFVQIVFENSLPSMQTWHLDGYSFFVAGMGWGKWSADARSTYNLVDAMYRSTVQVYPMSWTAVLVYLDNEGMWNLRSQNLERRYLGQELYLKVSQGNSSEVPDPRDEMPMPFNALLCGKAKSLGSWYKAGQRPV >cds.KYUSt_chr4.10512 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63809896:63811888:1 gene:KYUSg_chr4.10512 transcript:KYUSt_chr4.10512 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADVDVGTELRLGLPGGGAEAAKTTKRGYEDTIDLKLTLPTGGSMKEDANSKPEQAAEKAKSDPEKPPAPKAQAVGWPPVRSYRRNAMTVQSVKIKKEEETEKQQPVAAAATAGGNGSAFVKVSMDGAPYLRKVDLKMYNTYKDLSIALQKMFSTFTATGNEGKMVEAVNGSDVVTTYEDKDGDWMLVGDVPWEMFVASCKRLRIMKSSEAIGLAPRAKDKYKTKS >cds.KYUSt_chr7.20116 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124638520:124640185:1 gene:KYUSg_chr7.20116 transcript:KYUSt_chr7.20116 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPGSLVWELVKKNNCFLIKQFGNSNIKVEYYFSDENLPTDEFIGHRFCYRKSGKDDSSQDPMTQPVSSPLSRQSLSTLTGFFLKNQEFRKMAKAVKNQKNRWCHFCRDKVLTGRAPVPGGLVAECSSSSTIDRRQQPLQAAPARPPSTAEQGNVPDHDARGTDGTAASADEGGGVGLQEEEAKPRRPPDDLDGAVGVEEEELGEVAHEAFAGKGEATGRRALRGSLPTPSGSAPNSLSPGSCGRQRCAVGLLLEEDDSKFGPD >cds.KYUSt_chr2.17274 pep primary_assembly:MPB_Lper_Kyuss_1697:2:108840433:108841697:1 gene:KYUSg_chr2.17274 transcript:KYUSt_chr2.17274 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCERSGLKKGPWTHEEDEKLVAYIKKHGQANWRTMPKHAGLERCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGLDPVTHAPRLDLLDLSTLLKPAAAAYYPTQGDLDTLRALEPLAGYPDLLRLASTLLAAPTPTSTTAEQQMLLPWLIQAQMAQQVSQPPPPPQHATAADQFMPPRSACQMPALVHANSGQQQHQDHMVACDYTNLPCYDSQLDYVPPLMKMASDTSKMQQWSGTVTSSDNNFNVGSCVSTPSSSPVGRLSSASTATFCASESNFFDAIGADAAGLFDMHLSGLLDVSDYL >cds.KYUSt_chr3.22363 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138155397:138156043:1 gene:KYUSg_chr3.22363 transcript:KYUSt_chr3.22363 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKRSLALALATTNSSPGTTTVEVARESASTRTERARRRTMSALYDELGALLPYLPHRVTRADMLDEAIAYVRALQDTAAELEARRAVRPRRSGARDGAAEIVAFGETSSFAVRLRAARPGALTRVLEVFHRHGVPVLAATMARNAGEAAVTVTTAAVAPVVAGKIEAEILSNA >cds.KYUSt_chr5.34556 pep primary_assembly:MPB_Lper_Kyuss_1697:5:219130111:219131067:-1 gene:KYUSg_chr5.34556 transcript:KYUSt_chr5.34556 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFVEGGAASVVAGCSTHPLDLIKVRMQLHGELPPPAPAMRFALVFPFPQHHHLLQPPPRKPGPIAMGAQILRAEGPTGLLSGVSATVLRQAVYSSTSMGLYDTIKRRWERDSGGSPLPLHRKLAAGLVAGGVGSTVGNPADVAMVRMQADGRLPAAERRNYRSVAHAIARIARDEGVRSLWRGSSLTVNRAMIVTASQLATYDQAKEAILSRRGPAADGLATHVAASFAAGLVAAAASSPVDCVKTRVMNMKVQPGCPPPYAGALDCAIKTVRSEGALALYKGFIPTVTRQGPFTVVLFVTLEQVRKLLKDFDF >cds.KYUSt_chr3.11279 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67248165:67249247:1 gene:KYUSg_chr3.11279 transcript:KYUSt_chr3.11279 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLKSRRVILKEYVEGYPTEEHMELLPAADVDQAAAAAAEDGSVLVKNLYLSCDPYMRPKMSRPLGQSSYTSAFVPGSAITGYGVAQVVRSSLPALAPGDLVRGITGWEDYSVIRPPFTGLLAKIQPDHHGVPLSYYTGILGMPGLTAYVGFHHICSPKAGEAVFVSAASGAVGQLVGQFARLTGCRVVGSAGSDEKVELLRTKFGFHDAFNYKAEDGDLAGALRRRFPDGIDVYFENVGGKMLEAVLLNMKTHGRIAVCGLISQYNLTPGEKEGGAGVRNLECVVTKRIRMQGFIEPDHKHVYPEYESWVVPHIREGRVVYVEDVAEGLEAAPKALIGLFHGRNVGKQVVSIAAPQT >cds.KYUSt_chr1.16624 pep primary_assembly:MPB_Lper_Kyuss_1697:1:96538606:96544435:1 gene:KYUSg_chr1.16624 transcript:KYUSt_chr1.16624 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGARPTFFEMSAAQQLPASLRAALSYSLGVFALRRPFLHKVLDYEDEFFALLMSVLESHSLRTTDGSFSESLYGLRRRPVKVSVNQSIPGAESNDKVYDSALRKRQKTLSVIFLVVLPYFKSKLQSIYNKEREARLQASLWDQGEVGLDEAGFVSDQQGATSEAQIETATGEVSHLTRLKTSFTALIGVCYPWIHATNEGLSFAYQLLYLLDATAFYSPGLHVLGLHVCRATGQELMDSSSRISRIRSRELERLRGPPWLKTMQRVLLNCMYTTLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPAVYPPPPPPPLPKVAKDGLPLPPDRTLCPLCCQKRNNPSVLSVSGFVFCYSCIFKSVSQHKRCPVTLMPATVEQIRRLFHDL >cds.KYUSt_chr1.6580 pep primary_assembly:MPB_Lper_Kyuss_1697:1:40511204:40513330:-1 gene:KYUSg_chr1.6580 transcript:KYUSt_chr1.6580 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDGEAKEWFEAYKLRQVVGDWSGFMDAVEAHFGPRVHEELQVEMIPFEISVVDATRPVFDGIQIRSVSMAEGERGKFMDMAHLAESWVKEDSQENLTDIGSSSLFRKHVMDATDMVCDTLLLNEVWMSDEVLTHVGGMSIFIQLDLKIPCTTGYDTEVQQRLTILMRYDHVLIVKGSYSVTPLQCPLHEKYQMWLFAKLAHNAAMEAWRLQYQCSTFVLLERTSQHMAPFTKGIAYLCSVELLVTYQSVCAFQVDLSLVDVAIAATCFELEVKLSVQLFWPSEPAIWSIISKRAPKHIAQGETETHCRASELGSSLDDSASDLAFASFGNTRVGKVLHSIRRSWFTGISKKLAWDATSTCRPVDLPDGERYFDFDSSRSFWLNLIGHDELEADVGHPTGEISACHFERRILDMGQHVRVIEDVAQVDELEPALHIL >cds.KYUSt_scaffold_2697.596 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:3842185:3844728:-1 gene:KYUSg_scaffold_2697.596 transcript:KYUSt_scaffold_2697.596 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHSDGRVEVKHPPASPLSKSVEPSLPLVKIPGQESSKDNSGVMWISSPDRLEDPRKPFQYSNSSGSPRVSESGSDIYSKREVIQKLRQQLKRRDEMIMEMQAQIADLKNSLAIQETRSSNMQSQLDSANRDMFESEREIQHLRKIIADHCVAEALSHDKPLHSGHWQPDAANGHANGYATNGHANGYADSSVDDPELHFVSVEKKKGELERVEMLKREVGELKEVIGGKDFLLHSYKEQKVELSSQIRELQQKLSSQVPNIL >cds.KYUSt_chr1.5 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53340:53891:1 gene:KYUSg_chr1.5 transcript:KYUSt_chr1.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYTIQISTKLIDQLARDDEKVKRRVRKPKPKKVLEQPEEPQDNGRELPSEPKSSPAPAPGWQLPPPVYLPVTPAPPPPPSPLIQEAEAIRAVVAESVKVLEKLDKQEAGMQQELARRAKELHDREFKLPYQNPVPCADEKAACHECYVSNAAKDPLKCAEAVKRFEACVRMARHSSSVAMK >cds.KYUSt_contig_662.320 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1807862:1810909:-1 gene:KYUSg_contig_662.320 transcript:KYUSt_contig_662.320 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAAYSATSIVVVMAKDKGRGSKGAKGGASSGQVSDRRPAPRITSNVKHNLRILKFWKDYERKQTTGRQPATRFRKKKVMKDVLPDDTDFYEDPSATLTCTNDGLEIASPVILVDGYNVCGYWGKLKADFLNGNQGIARQMLIDELVSFSAVREVKVVVVFDAAMSGQSTHTETYRGVDVVYSADLSADCWIEKEVEALVADGCPKVWVVTSDVLEQQLSHGEGALIWSSKRLVKEIKESELELDEELKETRFSVLFPLSIKGEPL >cds.KYUSt_chr1.38189 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233330521:233333155:1 gene:KYUSg_chr1.38189 transcript:KYUSt_chr1.38189 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRALLVGINYPGTKAELKGCHNDVARMRRCLLDRFGFDDAAIRVLDDADQAAPQPTGANIRRELARLVADARPGDFLFFHYSGHGTRLPAETGQDDDTGYDECIVPSDMNLITDQDFTELVQKVPDGCLFTIVSDSCHSGGLLDKAKEQIGHSTRQNKAQQGKREVQSDSGSGSGFRSFLKQTARDVLESQGVHIPHRGRQESSHDDSEAEEPSVTDGHAKNRSLPLSTFIEMLKEQTGKDDIEVGSIRMTLFNIFGDDASPKIKKFMKVMLEKLQQGQHGGVVGFVGALAQELLKAKLQGKQEDLKPAMEQEVHSVEEVYAGTTARVPSNGVLISGCQTDQTSADATTSKGLSYGALSNAIQAILAEKNRKVTNKELVLKARELLSKQGYKQQPGLYCSDKHTEVAFIC >cds.KYUSt_chr4.39766 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245582433:245586254:-1 gene:KYUSg_chr4.39766 transcript:KYUSt_chr4.39766 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGETSLSQANYSFGRPWPELNEGLSYTDTFRCADAETTTTLIEFYSENYKSSAPLPGWIHRIRNGQITVDGQVVTDPDMILREGSKLIYHRLAWQEPSAPHFLQVLYEDEDMVALNKPSGLQVLPKGLFQQRTVLAQLQWKEWKMPPSSRSKRKDVQLHPVPVHRLGRGTSGLLLCAKTKLAKVRLASYFAEGAINAGKKRDETEVGKERKISKFYRALVTGILKNDEDVVTQPIGLVHYPGVAEGLYVACSSGKPAMSKVCVLERLAQQNQSLVQVEIHSGRPHQIRIHLAYIGHPLVDDPLYGIGGQPKFDDLESSSTDVSFAYDGGYERPLQPVPGDCGYHLHAHWLVLYHPTTNKTLKITAPLPHILQTQQERCDQQVDA >cds.KYUSt_chr2.13012 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82479245:82492530:1 gene:KYUSg_chr2.13012 transcript:KYUSt_chr2.13012 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSDHCRPFRPPDWGSPPPHCRHHQQTHRQPQPPPRFAVILFRANASTPTATEIDALVAGLHSPPPDNLSVSSAGRDAARLLFRSLPAAAAAARELWSLRLEGLHLLTPHLPSAAVAAHAFPLIASLFASHASRLFDSDLVSRSAARSAELAASIQSVKHRLRVPSKFRDFDQLDLQKKTLEAEKDLVDAKIAEYKAAMSSIRRAMLRGTGDDDEEVEEEGVDVFGIGEGEDVDFVRLHMMMLRECRRLNEGLPIYAYRTKILNHIFNNQVMILIGETGSGKSTQLVQFLADSGLAANGSIVCTQPRKIAATSLARRVDEESNGCYTDNFVLSYSTFSSSQGFNSKIIFCTDSCLLHHCMNDAGLDGISYIIVDEAHERSLNTDLLLALIRKKLLDRLDLRLVIMSATADADRLSDYFYGCQTFHVKGRNFPVEIKYVPDISSLEASFNTVPNSFRHASATASYLNDVVRVVSIIHKNEEEGAILAFLTSQLEVEWACENFSDSSAVVLPMHGKLSQVEQSRVFQSYPGKRKVIFCTNMAETSLTIKEVKYVVDSGLAKESRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGKCYRLYSESDFSMMEVHQEPEIRKVHLGTAVLRILALGIKDAQNFEFVDAPNPEAINMAVKNLEQLGAVKCRLNCYELTDTGRHLVKLGIEPRLGKIMLDCFSFGLRKEGVVLAAVMANSSSIFCRVGTDEEKHKADLQKVRLCHRDGDLFTLLAVYKKWEDGHDNRNMWCWQNSINAKTMRRCQDTISELENCLKHELNIIVPSYWCWNPEAPTVHDKLLKRIILSSLTGNLAMFLGHERFGYQVISTDQVVNLHPSSSLLNYGIKPEWVVFTEILSVPNQYLVCVTAVDHDALYTVHPMSSIQQLEERKLQIKVISGLGNNLLRRFSGKYSQNLQTIISRLKEDCKDDRITVEINFNNNEVVLFATKQNMEKVFCVVNSALECEGKMLRNECLERSLFPGRSGSSPVALFGSGAEIKHLELEKRYLTVEFLHQNAHDINDKDLICLVESTVSGVVNFHKFTGNFRMASDGTKWGKFTFLKPENAEDAVSKLNGVEFHGSSLKVLPVCSFDNRGLPFPAVRAKLCWPRKRSSGRALVTCARGEAEFVVSDCFALGIGGRYVNCRLCTKDENCVFVEGVPMHVTEPELYDAFCSATTRRILNIRLLRVKGNAIDSPSVSTCEEELVKEISPFMPNKNCPGQNFRVEVFPPEENDSMIRAAITFDGSLYQEAARALDHLEGSFLPCCQPWQIIQCKHVFHSTLSCPLRVYNVISQEVTILLESFQSQKGVSYNFEKTENGIFRLKLTANATKIIADLRRPLEMLMKGKTINHPDLTLSAIQLLLSRDGVAHLKSIEQETGTYIMYDRQSLNIKVFGNQDQMAAVEAKLVHALQQLLEKKPLEISLRGHSLPPDLMKKTVENFGVDLEGFKKEMPTIKVELHKHRHLLKVWGSKEDKRKVERMISELTSVKHSTLVQLPPENVAGNKEDKQRIDYGEPSEDACPICLCEIEDPFRLESCGHMFCLACLMDQCESASKSHDGFPICCMKTGCKKPFLVVDLKHLVSNEKLEDLFRASLRAFVASKAGMYRFCPTPDCQSIYQVAAPDAETKLFSCGACYVEICSKCHLEYHPFISCEAYKEYKEDPDSTLLEWRKGKGNVKSCPSCGYTIEKAEGCNHVECRFILKSHMACLELLFGKWQETERSEFMELEAPVVEADPARSRGGDEGMLQTPLLPCPNCDVQVVHKLAQLLLPGLAAACVDSTLRNPSSSLAVQLRAELVRYITARSTSPPELPTEAGDDPLPDHDDPAEALATFLDDFASSKRSIVVSISGWLPYLGGDDGRDDRIDDLVQEMEATRFWPIDRRQAVARDLLRSLDAVSTGGAGSKFRCRDELETEEKLADHVANRCLFRPVRCRNLVQGCTAEVSACRAEEHDAACAFKIIPCEQGCGATVARRQMDRHCVTACPMKLANCPFYLLGCESAFPACNLGSHCQQFVRTHLRLLLDRNQITNMADRQELDLEERLAMLEKCDSDGTLLKALDVRALTSALAELEKKMSAQDGGS >cds.KYUSt_chr4.47282 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292574704:292577274:-1 gene:KYUSg_chr4.47282 transcript:KYUSt_chr4.47282 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSSSSNSDFIRYFYVFAALEEHNAPLLLLRWSCLVTRQAITVTPPCIRFYSSTTAIPSPRHHGLYNGKLYTRRSPLQFFLLSRKPRDSRAFEARELELEVAMAAEMGGGVWRRYAPHNMMIMVQLCYTFMYFITEAAFNRGLNPYVYVTYRHLLVTVLLWPFAYCHEKKLRPKMTWMLFLEIFLLSLLGVSLTLNMYFASLKYTSPTFVTSVVNTIASITFVTAIVLRMEIVDVKSSRGLAKIAGTVVSFAGVTTMTLYKGAAFASPWNAPIHIQGSNGVHDSWLKGAFLAVASCVCWSIWYILQASSVKRYPAELSLTAWMATIGGIQSLAFTLFLQHKKEDWLIGFGLKFWCIIYSGIACSGFTVFAQLWCTEKKGPVFVTMFNPLSTIMVAILAYFIFGENLYVGSIIGGVVVIAGLYMLLWGKEKDQEYNASGEEHVADLDCEKQQAKITGASSAKNGSEQEAKTTR >cds.KYUSt_chr3.26378 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164261083:164266206:1 gene:KYUSg_chr3.26378 transcript:KYUSt_chr3.26378 gene_biotype:protein_coding transcript_biotype:protein_coding MCKMLRVLNAVRDPEIGMPLTIQQYKLLTATVLVGRLINANQHLLALRISEYLSLNPEVVIMHWACEKIAASTAIPDIVLLEGLLDKLRLCKGISYAAVAAHADNSGRRKLAAMLVDHESQSSKQIPLLLSIDEQDKALQKSIESGDTDLVYLVLFHIWQKVAVEKNAPLDFFGIINARPLARDLFMTYARHSKHEALKDFFLSTGRLQDAAFLLLKESRELEKNPVASKGSIHVPQVRLIDQAYRLFAETKEHLFESKSSEEHAKLLRVQHNLEVSTKQAIFLGSSVSDTIKTCIAMGNERAAVKVKSEFKVPDKRWYWLKACALATVGNWDALEAFSKEKKPPGGYKPFVEACIDAGQKIEAVKYILKLTNSVEKSQGNGVSKVRPYLRNMDVRGRAAASSLGARLMQSRINTFSFLAVATSPVGSACFMQPSPERRRRTYPEAVLAGARGSGDTEGERERRGARENRRLTLVLPGRSATTGEVGIDDGDNDRRRGRWARRRRFRASRLAWLDGEDEEDEAVTMAWTAWLAGAPNRAAPFSGEAVSIAVRELLR >cds.KYUSt_chr4.3352 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19104305:19105399:1 gene:KYUSg_chr4.3352 transcript:KYUSt_chr4.3352 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPSSPAGNIDSDDGSPLTTSAIVAQAVSGSHVVNIDGYSRTKGLGTGKYIASETFAVGGHRWRMRYYPDGDASASADWISIFLWCSDRPDADEVKAEFRISLLDQDRQPVPSYSRPSSEIHTFSSKGPSWGFAEFIKRKDLEESRYLMDDSFSIRCDVTVSKEIFTEQVPPSVVVPPSDMHQHLGNLLLTGEAADVTFEVGAETFAAHRCVLAARSSVFKAELLGPMKEKAATLVQIDDMEPRVFRALLHFIYTDSLPAVDDGDGAAAMAQHLLVAADRYNLERLKLICEGRLCDHVCRGNVATTMALAEQHGCAALKKACFRFLTSPGNLKAVVASDGFEHLRSSCPSVLEELVTKLAP >cds.KYUSt_chr1.11184 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68412700:68416520:1 gene:KYUSg_chr1.11184 transcript:KYUSt_chr1.11184 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPLSLAVVLVSCLLHNTGASGAPEPGVQARRFHSIFSFGSSYSDTGNFVLQSAGFPSVPFNNSPYGETFFRRPTGRPSDGRLIIDFIAEALELPLLPPFLSKEAPQNFSEGANFAIVGGTALDAGFFLRHNSGSVPPSRSSLRAQIAWFRMLLRGQTPVCNSTVECREHLAGSLFVVGEFGLTDYCYILSGGKSIQEAKAFVPDVVQAIIRGVERLVEDGARYVVVTGTPPMGCVPMALAKYGVAGNATEYDRRTGCLRRLNGLSQYHNWMLQETVRRMRGKYPETTIVYADYHKPVARLIRRPGKLGFAEDLLRACCGGGGRYNYNPEGAACGLPGATTCSDPSTHLFWDGVHFTEAANKFIADGWLNGVYAHPSILSLAQ >cds.KYUSt_chr5.18609 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120339057:120340156:1 gene:KYUSg_chr5.18609 transcript:KYUSt_chr5.18609 gene_biotype:protein_coding transcript_biotype:protein_coding MIMTIGSHLTAYSSQAEHEFRRQQTQLQQQQQQMRRDQDNLRRSQNQLRRDQEALQAGRERLRQDRDQLRKDREQLRKEREQLQQDLLRRESQALAEDFADDVPIGGLSCQK >cds.KYUSt_chr1.30277 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183293802:183294161:-1 gene:KYUSg_chr1.30277 transcript:KYUSt_chr1.30277 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAANRAMAFALVAFGVMLLAGFLYAAVLSKALPPPDNWFLLAVRNDRYYCLLVPLTVPVIIVAVYLHWMSMKMFKHA >cds.KYUSt_chr3.10112 pep primary_assembly:MPB_Lper_Kyuss_1697:3:59889612:59893173:1 gene:KYUSg_chr3.10112 transcript:KYUSt_chr3.10112 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRRKTLRRLHHLIRGSEVSLRRQDHGIRRGALDDASKKVSGTRGRRRRPSWPSPAQGFLLGSSTSNHQVHRQQPATDLPPPRQLHHHHLVVAKLQQIGADNTFPQATTSPARDAAIAAPPARPDARIEKIHRAGGSLGQAGSLATLVAETPATTCRSARGRRPGIPSPAARRTRRSSMAGSRQPLPRPTTPHRRGSGHGGPKIRAQATTAPPSLGRTRSSATRAGDGHRAATLAPELRRASAPAERRRRAKSRRQRLPSRPPPSERRGPPPPRQGPAAAEKGAGQTPTIVGHMRRSRRFHGSVVAHGAASYPPCGEPRDPAMLVEGLGSLALLPLEEFPQSPSPPLPVMDGNVSAKADCIDDVHGEEKMPEKMEGSVDVRIEEMTPEKMGSCLLVSSSASACISLSAATTTSFEAKEGRGHVGRGRRTGQGAFPEPSRKGLERSLAFKRWARGWCFPCLERGHQSQLARVRAELLQLVAVCIEEVARPLREEAAKLKLLLARVTESVERADLFASCELSGQESSVVVDDDAVVVMASKAADEAIDGKALGESDIVGEQCLFGCLSPQASPSPQLDVPVPFEFEDIDGIMHVIQIMPGLHELCEKLSPPLSLAHLQVDSLPTLEVASTPPPVEASRSGGKIVEAGALVLGSFEALAVATTPRPPQSEPCQSLASLYYGGVLASSSDALFAKELCGLLASLEAASPGYGKDIACVLAGKASENMIRNVKKSLNKVTTRGKGRKRAIARKTSAAA >cds.KYUSt_contig_402.47 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:227305:229060:-1 gene:KYUSg_contig_402.47 transcript:KYUSt_contig_402.47 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNAAALVLVLAATLSVAVAYDPLDPNGNITMKWDVMSWTPDGYVATVTINNFQMYRQIMAPGWTVGWTWAKREVIWSMVGAQATEQGDCSKFKANLPHSCKRTPAVVDLLPGVPYNQQIANCCRGGVVSAYGQDPSASVAAFQISVGQAGTTNRTVKVPKNFTLLGPGPGYTCGPAKVVPSTVFLTADHRRKTQALMTWNVTCTYSQHLASKYPTCCVSFSSFYNDTIVPCAKCACGCDHKTCARTDRDSKRLMSASGKSAVAAHPMPVAARGHVNRQSAAPLLQCTTHMCPVRVHWHVKLNYHDYWRAKVTVTNFNYRMNYTGWTLVAQHPNLDNITEVFSFDYKPVVSYGSINDTALFYGMKFFNDQLMEAGPYGNVQSEVLMRKDDTTFTFRQGWAFPRKIYFNGDECLMPPPDSYPYMPNAAPPAVSALSLLSSAVAGVAFLALLMA >cds.KYUSt_chr5.9215 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58451416:58461816:1 gene:KYUSg_chr5.9215 transcript:KYUSt_chr5.9215 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHFISPPSTFNVLLGSYWFDKPWFHTEGKLADMDGGNMNNANGTSNSYSRDVVRDVDGQDHLAHLLADIIEKQERTQQRQMQIVEHIVRSDGKRNRLGDFQKLKPPTFLGTSNPLEAEDWIIAMEKAFDAMDCTDNERVSFATFMLQSSAFEWWDAHRKSYEQGVQITWKLFKEDFYQKYFPESVKRIKEKEFLELKQGHKSVSDYEIEFSRLARFAPAFVQTDSSKARRFESGLRQPLKRRVEAFELNSFREVVNKAQLMEKGYHEEKKEIDQPSKKMKVDHQHFDYEREVNFGHPKSVNYKPQERSCPICEEDHRPNVCPQRWGRCYRCGEPGHTQDWCHLLSNSTFHKEKPRAVMLPAPSQQLYLPGPPQAPNCSSDASSHGMPSKVSRQQPNNGWKNRGGNRARVYNLVKNNEGELHNEVPDYNEDQDMNEGLGE >cds.KYUSt_chr4.5261 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30322707:30324098:-1 gene:KYUSg_chr4.5261 transcript:KYUSt_chr4.5261 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLPGRFAWVVLREHIPIFDADEKDPEDLVMAKAIKDWAAIKDGSSILEQFSLDAHLLEPPELSTLYLRARLEVQQRGIDCMIESVVENFVVMTLQVDTGAYGLVYDAAKNSLSLFPTAVEAFADQYFARKDLSMPFQFLRQPAILQRDDGSYDLLNLGLCFDDICRGFRVLQPSAILFRWSSAVKKWTKQDARFNPQTLLPPGHPKRMMYEVDVVFTFEGKVFWVDLLLGAIVCDVLSTTDACDKDSVELDFIHLPEECKGQALEDSYRHTFEDSYPKHRRTMGRVGSSIKLISVVTTDGDTPNDHTLPKDVVLQSWTLGPDLRSWTRDDDMELPLPLLWQSESYKRESLPEAVPLNPVLKADEDGVLYLLLGDYYVDWRRHARLCREMECVISIDMRRKSLLSRSRRPITEGLLPPLEAQPSKRFSPDMPSLFGVRFCAQHTEWSDGLGQKGNWNHRK >cds.KYUSt_chr3.19476 pep primary_assembly:MPB_Lper_Kyuss_1697:3:119758854:119771559:-1 gene:KYUSg_chr3.19476 transcript:KYUSt_chr3.19476 gene_biotype:protein_coding transcript_biotype:protein_coding NYVMNYLAARGPKLQNFVIVSLIQLVCRITKFGWLDDDRFREIFKEATDFLALASQDHYLIGLKILNFLVMEMNQANSAMPLSLHRKIATSFKDQFLLQIFQISLTSLHQLKSEVADDLRRVPISLALRCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTTVSKEALECLVRLASVRRSLFVEDPARSQFLSHLMSGTREILQTGQGLADHGNYHEFCRLLGRFKVNYQASSDLDNPLDNAEGLQDQLESLPYLCRFKYESCSLFIINIMEPLLQAYTARSRLPAPGDVAELSVIEGQIAWMVHIIAAILKIRQTVGCSQDSQELFDAELAARVLQLINITDTGGHAQRYQELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKLYARLSELLGLTDHLVLLNVIVGKIATNLKCYAECEDVIDHTLALFQDLASGYMTGKLLLKLESTKFIMSNHSRENFPFLDEYRCVRSRTNFYYILGSLVFMEDGPIKFRTFMEPLLQIAVNLEASADAAFRTDAVKYAFTGLMRDLRGIAMATNSRRTYGLLFEWLYPSRMPLLLRAISLLTDQPEVTTPLFKFMAEFVLNKAQRLTFDSSSPNGILLFREISKLIVAYGSRILLLPNGTDIYARKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKMTLSIPLSDILTFKKLSKAYYGYMEVMFNNHITISSVLNLDTSTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNIANITAGDNPPSPAALNLARHIGEFPSLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSDLRAQILASQVYGPGSQFVSFGAVQYCSISSVWDWKQSASITDKDGQQSAHVTAMAMHSCCQLEEYALRPAQGRIAPPLAPGHVIVAAGSKARRCRLQESSRSPPTQDASPLAPWCVTVAAGSRARRLYRLQDLSGPPPT >cds.KYUSt_chr2.49498 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309789783:309791964:-1 gene:KYUSg_chr2.49498 transcript:KYUSt_chr2.49498 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPELLSRMAAGDGHGENSSYFDGWKAYDENPFDLHHNRGGVIQMGLAENQLSLDLIEEWSKNHPEASICTAEGASQFKRIANFQDYHGLPEFRRAMAQFMGQVRGWKATFDPDRVVMSGGATGAQETLAFCLANPGEAFLVPTPYYPGFDRDCCWRSGIKLLPIECHSSNDFRLTKEALVTAYERARSDGIVVKGILITNPSNPLGTTTDRATLAMLATFATEHRVHLICDEIYAGSVFAKPEFVSIAEVIEHDVPGADRDLIHIAYSLSKDFGLPGFRVGIVYSFNNAVVACARKMSSFGLVSSQTQHFLAKMLGDEAFMAMFLRESAARLAARNERFTAGLREVGIGCLRSNAGLFSWMDLRGMLRGEKTAEAELELWRVIVRKVKLNVSPGTSFHCREPGWFRVCHANMDDETMEVALDRIRVFVRQHQRQQAKAQRWAAKGQLHLSLQRHAGIASQYHALSSPMAALLSPRSPLVHAAS >cds.KYUSt_chr4.48026 pep primary_assembly:MPB_Lper_Kyuss_1697:4:297410665:297410883:-1 gene:KYUSg_chr4.48026 transcript:KYUSt_chr4.48026 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLKMEAHAARGAFPMLALAVAVEVHLYIDGADEVAPDLNLVKGLACSLLREKVIEAGRRRPLRLHRQRV >cds.KYUSt_contig_990.388 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000093.1:1891613:1891933:-1 gene:KYUSg_contig_990.388 transcript:KYUSt_contig_990.388 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSCLSPPAGPPLRGLLAREARFSGGGRSRERRARLPWEEATGRVRGRPGLEISRAAEPRYLTHALVRSFLVLLVLSALRSAGPYLDGQARSSCTWDPASCPWA >cds.KYUSt_chr4.22286 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139997123:140002138:-1 gene:KYUSg_chr4.22286 transcript:KYUSt_chr4.22286 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGLASFPLLSAAVIAGLFRPLARKPPLGPHPGHIWFTGEIPSSLGQLHRLQNLYLSNNTLQGRIPSLANCSNLKALWLDRNKLVGKIPTDLPPSLQMLQLSVNNLTGTIPAFLANITALNQFNIAFNNIEGNIPDEIANLPDLHILNADSNQFVGLIPPSLGNLRGLEILNISNNNLHGGAPKEIFRIPTLRQIYLSYNNIDGQLPSDIGNAKQLTNLELSSNRLSGHIPSTLGECASLQDIKLDWNIFSGSIPTTFSKISSLRILSLSHNNLTGPIPVSLGNLQYLEQLDLSFNHLEGEVPETGIFKNVTDLRIDGNDGLCGGALELHLMACSVVPSNSTKHKLFFVLKVVIPIVCVLSLTMVILVILFCRGKHKRKSMSSPSFGRNYPQVSFNDIARATEGFSVSSLIGRGRYGTVYQGKLFQDGNYVAIKVFNLEIRGGQKSFIAECHALRNVRHRNLLPILTACSSIDSNGNDFKALVYEFMPRGDLHRLLYSTQDYESSLDLMHITVAQRLSIVVDVADALEYLHHNNHGTIIHCDMKPSNILLDDTMTAHVGDFGLARFIVDRAVSSLDESYATSSIAINGTIGYVAPECATGGHVSTASDVYSFGIVLLEIFLRKRPTDDMFNDGLNIVKFVEMNFPANISQIVEPELLQDEPEFPEEPPVTMKDGLDCLTSVLNIGLRCTKQSPGERPNMKEVAAELHGIKEAYLRGY >cds.KYUSt_chr1.38964 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238236953:238238172:1 gene:KYUSg_chr1.38964 transcript:KYUSt_chr1.38964 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSMPHVRRRCRTSPVARLHVRHREEQCRRTRARRATKAAAKVRRSQRLAAKQEAKFVGMLELAVKKKASSFDLSAASPSLAAALADSGLTDAPDIPATDVNAMRAVARECGATDDELLALSDQPVPSAAP >cds.KYUSt_contig_1658.216 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1453192:1457502:-1 gene:KYUSg_contig_1658.216 transcript:KYUSt_contig_1658.216 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQVRNEYGLADPDLYAPEEEDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMAAASRGHGLMLRLQQLEAEFPAVEKAIIISQTDHLNYLHDDGIEWHANLQLNQNLITIGDMPRSILDSYEECRGPPHLFTLDKFDVAGAGASLKRYSDPSFFKMGQTSSMIETDYFREKNPRKVKANESDTLVTASAPINGSVSLKVDYGQFLATQHEHAEREQIWMNHGGIPKLRAFTLLDPIVSSSSLDP >cds.KYUSt_chr4.14602 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90128661:90137526:-1 gene:KYUSg_chr4.14602 transcript:KYUSt_chr4.14602 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHIDPCVHWPDPVSRLIGQSVRVYYCAYQSRAVNEMVTHENKAMSDEEGRKASEYVLCVIESLKKKVTADRFTYIKNRIEENRIKLSTVTQSTSNSSKIWQRNTSNGTDFVSNLLTSRKDDALCLVHSLEASPAEEDGVSSEEESSYATSTVMLGGNQAAKSAIRLIKLPEVPKLPPYTTWTFLDRNRRMSEDQSVLGRRRIYYDANCGEALICSDSEDEAVEDEEEKKEFKVSEDCLIRMTIQECGMSDAVLETLARCFGRAAGDIKARYEILHGEKTVSSLKKASELNVKVEDVYRDKDLDAALDSYDNLFCRRCLVFDCKLHGCSQDLVFPTEKQPPGNILDDGMPCGIHCHKLASKPDATVAIDSDMHIDIEEPTHSADDTRNQLDSNKKKRGSSGRKAKSQQSESSSIQRIASESSDSEVHPISNKSLHHSPCQSKFKISARGGIKKSANRRIAERILMSVKKGQREVAPSDSNSGGCLWPRDMKLRSGTRSGQKDSFASSQQNSPNTRSSRKKDTSPMEKKEKNSALAEDHNDAEETNNEHSATDDHLSSKIDDVDENICRQEDNCRSWKVLEQGLLVKGLEIFGRNSCLIARNLLGGMKTCSDVFQYMHYIENSSASGTLSSVDSLVKGYIKGHELRARSRFYRRRGRVRRLKYTWKSAGYHFIRKRITEKKDQPCRQYNPCGCQSACGKQCPCLINGTCCEKYCGCPKMCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGSFGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDISGWGAFLKNTVGKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNQFVLDAFRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERIGAGEELFYDYRYEPDRAPVWALNADAPGSKDPGQPSSGRAKKLAH >cds.KYUSt_chr2.32114 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198093063:198095259:1 gene:KYUSg_chr2.32114 transcript:KYUSt_chr2.32114 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPATMVPRLKLGSQGMEVSAQGLGCMSMSASLYGPPKPEPDMVALIHHAVAAGVTLLDSSDVYGPHANEILLGKALQGGVREKVDLATKFGVSFLNGKREIRGDPAYVRAACEGSLKRLGVDCIDLYYQHRIDTRVPIEVTIHIHVEIAVQLEWSLWSRDVEEDIIPTCRGFFSKGSKLVDSLSDQDFRKHMPRFQPENLEKNALIFERVNAMATRKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFHKNVGAQSVKLTPEEMAELESYATAGDVQGDRYPGVAGTWKDSETPPLSSWKDE >cds.KYUSt_chr6.29871 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189250821:189257372:-1 gene:KYUSg_chr6.29871 transcript:KYUSt_chr6.29871 gene_biotype:protein_coding transcript_biotype:protein_coding MADFDDDWFDDNAQLLDAICRDAEEASASRNPSATPAPVTQYLPAAPAAAAAAASWPPSCFSTTATTSSAPLSRVPAAPHASATPLPRIPNVAAASPFPLPRTPAAARAPAPDFFSPPRELSQRAAASGGGFSPPRELSQRPAAEEDSECQIIEAMGSTTTDRARTVPPRDARAASQARVKTLPRGRQARAAESKSVEEIKMQRELERVLKQMNDMVRISLAALLLKNENTELKKGIKNKDLEIEAKEAEIHILKKANLNNVIIGTNANGNMEMGSNSRLLNAPGMENLVRSEDGLHIGNMFLPSTFWPSFFTAVLQIALKYSEESIRVDALSIVILVVRTSDPKVEREKFGFTSVMESLHPLLQKENGLLVKKHSVHLLFLLLNCPTMLKLLCNGGTDGSEVMEAVGSENDRSQQAISAVLQDLSECFTCEATSSLELKLCRLVVNLLAYIASSGKLGYQVLLDSVTANGSSFLELIMEVLASQMEQEVDFSTEAHELLKERYLLMREALILLNRLASHAMFSKPTLEVLVGSGNQCASLTIDTANRLPQRSKYPLRHLTELNPQMANDLAELAQKFRSRVYGFLEEQQHSKADRSSSSGPAKSLRLPPRVPR >cds.KYUSt_chr2.14149 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89510173:89512974:-1 gene:KYUSg_chr2.14149 transcript:KYUSt_chr2.14149 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWHHRKSFSRVQRAPPLGSFHVDYLSSARASNSEVVCGRGVGQAVELSDEHMEGGGHVGEEAQTRRDWANLAADVVRDVAARLLIPDSPRPAVGEFNCLRAVCKTWRGYIDDCSLDTRFRPRGWSPVRDPPPESGCRCRLRHASSGVRAYVDLDALSTNYLFGVADGLLVVRDKQCANIVRLLNPLTGMLTEFPPITEVRAYDGTEPESGVVDALKLYFSNTRAPVFRYCAGIDDLTSPPSVVLCVSDDRTCYVVYAKPGDQHWVSVHQQMGISLSADLHRIALTLRPLPGTCIPKTIIYLYVTYLG >cds.KYUSt_chr7.6367 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38413551:38413916:-1 gene:KYUSg_chr7.6367 transcript:KYUSt_chr7.6367 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCRRPPNSTADAAAKISTSLRIQQLPEHQIGTGAVEEQVTRRRAVEEPHHGPAEAQIGPACSNPDASTAPPARLRNYARSQSRAQPPPAVAAASRGRSPGNGSEAACSFEHGRPARTLA >cds.KYUSt_chr2.47943 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299717527:299720389:-1 gene:KYUSg_chr2.47943 transcript:KYUSt_chr2.47943 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIPIRHSMGGNGALARKYDSSNDKCSLLSTLACILALKKWNVGPEHISRGLHFIGRNFSIVMDEQIDAPVGFNVTFTDGIEKRDEEIMLDVATCAMAFRILRMNGYDVSSDELSHLAEASAFRSSLQGYLNDTKSLLELQKASTVSVSKNETILDNIGYWSSNFLKEKMSSNDVDIVPVFTEVEYAVKFPFYATMERLDHRRSIEHFDAQGYQMFKTSYLPCLANKDHLALAVEDFTFSQFAYQAELAHVESGSVRYKDSLVHRPTLDVGRRLVVVGVLLVISLVVFILWPFE >cds.KYUSt_chr1.37037 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226117923:226120522:1 gene:KYUSg_chr1.37037 transcript:KYUSt_chr1.37037 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLRGVRPRTAGEADRLSALPEELIHLILSKLGTRAALSAAVLSRRWARIPRDLPAFDFRMRDILPPEYERTVALRARNLPRDKALARTLDGLVASCERCTMKVFLDGITGFLEADGGHARRRAKTLRLEFFETHDVGVVDRLVAAAVGAWGVEELEVVVRHAASTCPEDAPPAYTLRLKEDGQRSRVRSLTLGNCMVPRPLQRYDALTTLILRDMARAVFLTEPRMNVSVDDGDGGSQLRLNFPSLPPPGIVGIELEEECGATDVTSQVREFNGGLIEESMRKYCEDKKSVMFEPEVGMQFSFTEEAFQFYNMYSWVLGFIIRLGDNYTTKTKQRTMQEYLCQRQGNGDETKNSTTRCGCKAMMRVATNDSCK >cds.KYUSt_chr7.7044 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42387209:42395870:-1 gene:KYUSg_chr7.7044 transcript:KYUSt_chr7.7044 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSESDGAASAGSGDEMEEQETGGEVVVGGAGGSEGGSGGGDGVGGMFTFAIEGMLRGAGPYGLVVTDALEPDCPIIYVNRGFEEATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDAAVVSGIRLCIDNGTQFRGDLLNFRKDGFPLMNRLHMTPIYGDDDIITHYMGIQFFTNANVDLGPLPGSVTREPVRSTRFAPDNSFRPISTGPGQSNFCREYSSLFQLTDEVLCQSILSRLSPRDIASVSSVCRRLYDLTKNEDLWKMVCRNAWGSETTRAIETVPAARRLGWGRLARELTTLESVAWKKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHINVSAAPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFILDLDAKHPTWREVPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVSMDRPVWREVPASWAPPSRLGHSMSVYDGRKILMFGGLAKSGPLRLRSSDVFTMDLSEEEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEVHELSLASNSV >cds.KYUSt_chr6.27579 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175026242:175033765:-1 gene:KYUSg_chr6.27579 transcript:KYUSt_chr6.27579 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFIFVQHKRRGCAASTAKPCEEPRLDQCWNRVTLLFGTQTAWTTTVKWFGVKSEYNVLVMFVLGPSLEHFFCSCNRKLSLKTVVMQMVLLSLKIIGLEEFEMTLPDEPDEREGLVFSNLLHLTLPKAHFRMVSSAYAPLGRDAIPARVYKDPGDKSVPLLTKLDPFDHMGDVDLQDKVTSKCFFEAEIGGESVGEVVIKLFGEVVRKTVDNFRALCTGIAG >cds.KYUSt_chr7.21123 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130870507:130875881:-1 gene:KYUSg_chr7.21123 transcript:KYUSt_chr7.21123 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLAGKPDFLGSRRDGSEDRTHAAAGNEEDRPGELGSGGSEEGFGGTSGYKELAGEFRRKKEMSALAKVLQFGFRMTTVSKSRRSGLGTCFLALSHQSSVVWKLGPGARKVAADQVEGVVVITLVDADESAPKVFLTMLTNDNYPWSNAEKGTSSGGASRRLEASRWASTTAMRDQALPA >cds.KYUSt_chr6.27045 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171444967:171446409:-1 gene:KYUSg_chr6.27045 transcript:KYUSt_chr6.27045 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPSTVTVTSPAPHLLLICFPGQGHVNPMLRLAKRFAAKGLLVTFSTTSDAGAKITASTGVAAGGDGVPLGLGRIRLEFLDDHSGDVSALDDLMRHLQTTGPPAFAELIRRQEEAGRPVSCVVGNPFLPWAVDVAHDAGIPSAVLWVQSCAVFSLYYHHVHGLVEFPAEDDLDARVQLPGLPALSVADVPSFLLPSNPFKLLADEILKQFRTIHKASWVFVNSFSELERDVVDALPGLSPPPPPLIPVGPLVELEEDSVVRGDMLKAADGVVGWLDAQAPRSVVYASLGSVVVLSAEQLAELAHGLASSGRPFLWVVRPDCSAMLPEGYLGSVGGRGMVVPWSPQDQVLAHPSTACFLTHCGWNSTLETLAAGVPVVAFPQWGDQCTDAKYLVEEFKMGVRISAPLRRDAVQEAVEAAVGGPDAETMAENARAWSAAAKTAVSRGGSSDRHVQAFVDEIVARASGAQGDKEHLAVQQ >cds.KYUSt_chr6.25701 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162856720:162860085:1 gene:KYUSg_chr6.25701 transcript:KYUSt_chr6.25701 gene_biotype:protein_coding transcript_biotype:protein_coding MKASRVWRRGSKDMTAMPPPRHRGAAKKPMWIIVLLSIVIVSLIGAYVYPPRRYSACYFFASSVCTPFKDWLPAVTLREPTDEEIASAVVIRDLLSMPMPVSKNPKIAFMFLTPDSLPFERLWEKFLQDQEGRYSIYIHASREKPVHNSSLFLNREIRSEKVVWGRVSMVDAEKRLLANALQDIDNQFFVLLSDSCIPLHTFDHIYNYLMRANVSFVDSYLDPGVHGTGRQLYIEWNPKEKNDNVDVCIDLDITTMS >cds.KYUSt_chr5.43456 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273791042:273793617:1 gene:KYUSg_chr5.43456 transcript:KYUSt_chr5.43456 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSTEALKEAITQVVTDAKEKNRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHVPRPKMRVCMLGDAQHVGEAEKIGLDSMDVEALKKMNKNKKLVKRLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVSHSESLEAKVNETKATIKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPARVF >cds.KYUSt_chr3.42033 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265386153:265387475:-1 gene:KYUSg_chr3.42033 transcript:KYUSt_chr3.42033 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSGLSPAVIFLLGAASATVLLVFFFTATAGPAWPTTDISASRRQPVAGSVSARAAKVTAVSEQHSGARSRARNASPTNATDDDAFARMLRRAAMEDGTVIMTSVNEAWAAPGSLLDSFLESFRVGLNISHFVKHIVVVAMDEGAHRRCRSLHPHCHLLLPDVDGLDLSGAKSYMTKDYLDLVWSKLRLQHRVLLLGYNLLFTARVDVQDVDVAWFRDPRVHITAAADITTSSDFYFGDPDSLGNYPNTGFIYFKAAARNARAMAYWHAARARFPKEHDQFVFNEIKHELAAPPIGVKIRFVDSADVSGFCQLGRDLNRIATVHMTCCIGLENKLHDLKRIVEDWKHYVSRPVWERQAGRIGWRFDGGKCIH >cds.KYUSt_chr2.31927 pep primary_assembly:MPB_Lper_Kyuss_1697:2:196967851:196971272:-1 gene:KYUSg_chr2.31927 transcript:KYUSt_chr2.31927 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVSFDSFWETPSFLDAQVLELDFQAQVLELDLVDSMYQPGEDSLSGLYSCYDDSCSPDGASSWSMGTTRAAKESKNMIMERDRRRKLNEKLYALRAVVPNITKMDKASIIQDAIAYIEELHQEERRILADISDLESGSCTAVVKTEEDGACFPQWKKMRRASSASCISDAICSPATRAVEILELEVTEVGEKLAVLSVRYAKTRGAMAMVCKALELLSLKVITASVTTLAGSIVHTMFVEVLARFREKEKKAYVGFS >cds.KYUSt_chr2.12388 pep primary_assembly:MPB_Lper_Kyuss_1697:2:78551010:78551570:-1 gene:KYUSg_chr2.12388 transcript:KYUSt_chr2.12388 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEQLLQLRRWSMQLQRGEELTLIPPSDDEPFVVTEVFLVDPQSAAGQGVVAAYVEMGAQNIMLAQLSSEVKAVELENPLVLEEKFRVYVSRPAGDSNADTDTDNEEDAVVVQFKGFVVSLPSYSDTESDEESEEGEEGGEEAASSEDDEDRTDEENGEGEVDGDAASASSNAKDIDRLQLEQS >cds.KYUSt_chr6.4360 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25245129:25248482:-1 gene:KYUSg_chr6.4360 transcript:KYUSt_chr6.4360 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDIMTEKNPRPLDEDDIALLKTYGLGPYSTSIKRVEKEIKEKAKKINELCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYMINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKDQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFEMARSKKACIIFFDEVDAIGGARFDDGAGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDSVNKVIKGYQKFSATPKYMVYN >cds.KYUSt_chr7.4366 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26378043:26378564:1 gene:KYUSg_chr7.4366 transcript:KYUSt_chr7.4366 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNCLNFFSATPSPEPPPPPTMDYDVVVILAAMLCALLCALGLNSVLQQCIARCTRRAVAGPVDWVVHRRANAGLKREVLVALPVATYNADASPAQKQQASAGAGCAICLSDFADGESVRVLPVCGHRFHVACVDRWLASRCSCPTCRRRLSPDRGDAGKESRRELQVLNAV >cds.KYUSt_chr6.6135 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36842817:36845199:1 gene:KYUSg_chr6.6135 transcript:KYUSt_chr6.6135 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAADDSDSPPSHMSEDDDGSGAGAGVDTWAPDLRGANGGNNGARWAPPDQVLENVLESVLEFLTAPRDRNAASLVCRSWYAAEAQTRRDLFIGNCYAVSPARAVQRFAGVRAVLLKGKPRFADFSLVPNGWGARVSPWVEALGPAYPRLQRICLKRMTVSDDELALIPKSFPLFRELSLVCCDGFTTRGLAVIAEGCRHLRVLDLTEDYFHEETEVVDWISKFPECNTSLESLVFDCVSVPFNFEALEALVARSPALRRLRVNDHVSIEQLRRLMARAPHLTHLGTGSFRSEPGSSGASSVSDLATSFAAAAKSLVCLSGFLDVNAEYLPAIYPVCANLTSLNFSFASLTSEELIPVINHCVNLRIFWVLDTVGDEGLRAVAETCSDLRELRVFPLDATEDSEGSVSDVGLQAISEGCRKLESILYFCQRMTNVAVIAMSENCPDLVVFRLCIMGRHRPDRVTGEPMDEGFGAIVKNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSVAFAGNSDMSLQHVFEGCTKLQKLEVRDSPFGDKGLLSGMNYFYNMRFFWMNSCRLTVKGCGDVAQQMPNLVVEVMKDHPDDEGEVDTVDKLYLYRSLAGPRTDAPEFVNIL >cds.KYUSt_chr5.9866 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63101764:63102405:1 gene:KYUSg_chr5.9866 transcript:KYUSt_chr5.9866 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCASMLQRRAKKRYPEPRRGTPTALFVVRGDRRRSNPEALVFQVQDSGRRQLQKPAGMAETGRPEGCWQQGTCALDRVLCAQMHRLPCGGTGTVGVEPDAAMSMSNTAADRVAPPGRCRTPPTAQMMQASGAMTPMRNERCGTPTAAMTPGRPVWQRRILMGVRCELPRFSGLILYDEQGRRLNIGTPGRRNHRQVMHAEYSDIAALCIQF >cds.KYUSt_chr6.26911 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170606664:170607980:-1 gene:KYUSg_chr6.26911 transcript:KYUSt_chr6.26911 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTANPYLGPRSSRTHNRHFYTEVQERIFNEVYPPNKVKVVDQRYINIDHLKKDAYFHEALGICEEFGLLPIMTFQCNYDPYLVTQFFATVYFHEDDARSITWMTRDEVLTTTWSTFGQILGYPLPEDCEHDSDSGWRFHGHSNASTKDVLEPLYMPGRCKLGFTSGLQPVYDIMLRIYRETIAVKVGNVDEIHSFVIDLMLQTHLRKGKGVKMDVMDCLWNQIFLRMVEKRSPAFGPFIMKLISEVWRQKFEGAILEPFSSLTTHKRKNLLIKDHELLASTSAPAAPSASAAPSASAAPSAGPADPSTDRRFAGLRLLDGFTPHMALGGPPAHSAYDPMLEPSWYTKLKIKVKKTFCLQLDIQERMYDAYVAEKKARRRQKSIMAKLGVEVSPPGSEENILPKPQWISAHSQWSDGEDGPSYDVDSDVAEDFLDG >cds.KYUSt_chr4.9443 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56974628:56980039:-1 gene:KYUSg_chr4.9443 transcript:KYUSt_chr4.9443 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKVEGEGYRTGHYAVGDLNAGANGWRSPYEEEKTSDEQLYNGSMTTEAIGCTDYDREMFKRTMLAHEAVFRQQVYELHRVYRIQSDLMKHYRNKEMHACPRLGDASQRNSPSQVPLHGVKTAAAAAATVNIEKTNSLKFLREGSVQSSPNGFPSSDAALHTKQGMFDLERGADNCFEDDNASDNKPIDFLGVSSDAKHQSDSIVTLAGAERVGRLGHNSSTSFLPTIGNLGGHRVADLNEPILGTSMGRTNGPVSGGPLYSLDNSWQQSAWRSSVTNNSFNKEYTIDKRINEGTSSNFFDTSSRIKQEEKPFIDKGLNTLVTPIPSPHIGHPSVASRVGSCIVDPRSYSNNVDFQSFPSFNGSSTVNSYACLGAANQSIGTFRCNPKGIDKSDGRYSAAPLDSSSASRPRQQVTISSDLKQNKRQMFEHPARQCHDDPDFANGKGRNNFNLNEALSDGQEDVPVEQDRVYAGSSQHIEGEGSVSGISWLSKKASFGDSTGLEEPRKVFEHSSLMEMKVNKGRSGAALAVSNLPDSASTSVGCGAKKDKIQESAACLPPSCQKLVPRDGQAAANKSDAAIVNFFDLNDDIPNEDNSESSIVSHECHATPLQNNHAKRAFVIDLEVPACEDAAATAAAEDIIALSMDVPTTDTPENMLQWFAELAVSSIDDDDLETFESLTLKLEETKAVVEFCSRPAAPTVENDEQTVSPVNLLTKPKRGGNQRKRRQKRDFQKDILPSISSLCRPEIIEDIQLLEGLVQTTGGSWESSFTRRRRSRGKKPKKKVEDTVEEEVEISPPPAKPDDAGLEAEDRGRHLHDDVVVCTDYSAGGGGPRGRGRGRGRGRGRGIAERSPSPSTPPASSSSEMDVEPDVLFEFVHVIKGDPRGIQRLPDSFAEYVGGVRPRTMHLREHSCGYCRWIVKAIYDARGKMYLNIGWEKFARHHSLEAGFILVFSYFGNRDMSVKVFDERRCLRDYHHVDMEPHDDSTDEEDD >cds.KYUSt_chr1.32401 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196734752:196739762:-1 gene:KYUSg_chr1.32401 transcript:KYUSt_chr1.32401 gene_biotype:protein_coding transcript_biotype:protein_coding MKENSVDGGSRNHTILAPHIVAPFLAPPAEADDGSRRAQDPTAVATTIHRRRPWRMSRHRTSRDATLGAEHTEVEYSRDTAMSLRRLLGLSAAVTGGLRRSLSTGAASRPPWVLFEQASAAAGSAPGACVRIVEPPRLSDLTVPAALVDTSDVPDPDSDIMQVLPCGVCSASGDGLLLLIVHDSRFTAPIRAKQGANHVRTITGYDHRHCPDTTRFLCNPLTGQLARLPVIDGRRKLLCGPHMGVLTQADGGGDGPPDRLAVAELQTNRLLRFLSDTGEWDVPHTAPYQLPLGRRFYSEEGDFDQEAFAFAGRLWWMDLTWGAISADPFSDRPEVQWVELPRGSVMPERPVPAESALLGLEGAEAAWKYALSRYRRMGVSEGKLRYVEVSDREPFVLSSFALGDDGWTLEHRVALSRLWADDGDHPWLPLPEKTTPQIGALDPLNGNVIYLMVGRHSVGVDMGREEVIGSALHRGTGCCVPCVLPPWLESTRIPAPAGKKVVEENKKLADVLVRSGGNHVK >cds.KYUSt_chr4.38627 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238237468:238237752:-1 gene:KYUSg_chr4.38627 transcript:KYUSt_chr4.38627 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPPGWVALLQEAAGGYQGTAMLLPTVAGVATAAASNGGASNMEERFCQGMVELLPAGGSAVSRPGGTCVDAQCYQGGCDVLPGHIIAAANCN >cds.KYUSt_chr7.1711 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9751054:9752707:-1 gene:KYUSg_chr7.1711 transcript:KYUSt_chr7.1711 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYVVVYLGLALASLLLLLARRIRSPAAPDGKNHGLRLPPGPWTLPVFGSMHHLAGKLPHRAMRDLARRHGWPVMLLRLGEVPTLVVSSREAAREVMKTHDTSFATRPLSSTVRVMTNGGRDIIFAPYGDHWRQMRKIAVTELLTARRVLSFRAIREEEVRAMLRAVGAAAAAGEVIDMRPRLSALVADSTVRAVMGDRCKDRDLFLRELDRSIGLVAGFNPADLWPSSRLAVWASGAVRRAEECRDIVFGILDRIITEHQERVAVAGDDEDLIDILLRIQKDVAGLQFPLDMGDIKAVIFDIFGAGSETSATTLEWIIAELVRNPKVMRRATAEVRQAFEANGAVDEDQLATLVPYLHLVIRETFRLHTPLPLLLPRECQQAPACKVLGYDVPRGTQVLVNVWALGRDERYWPDDPEEFRPERFEAGAAADGVDFRGVDFELLPFGAGRRMCPGMGFGLANVELALASMLLHFDWEAPGVADPAEFDMTEAFGITSRRKAGLSLRPVLRVPIPDV >cds.KYUSt_chr1.35697 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217576574:217578547:1 gene:KYUSg_chr1.35697 transcript:KYUSt_chr1.35697 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKHLSARRLVPALFPLAHADAASAAASRRARRRDAFVSTPPAPPPSPSSVRLAEPLPSLAPSRLALHNRILALLSPPATAAPAPALATDDLAAPAEARDDLAEAALLARHALHSNCRPSSFTCVAVLAALLRARRLDDFFALHRFSIQAAVAPTAATHALYLSALAARRLPDSALLHLRQIARAGSPVPPSPTAYRVVVKCLVADHGRLHHAIELKDEMLASGFVGPDPHVYSLLMAGCVQAGDGAKAVELYQELQDKLGGEPVLDGIVYGSLMKAYFLMGMEEKAMECYEEVLGPESEVRFGAESYNEVLDALGQNHMLQDALKLFDRMLAEHDHPLRIVVDVRSFSVMVDAYCAAGRFEDAITLFHRMEEYKVIPDVAAYNNLIRHLGLNRLVDEAEVLHKEMDNHSLVADEETHVLLMDACFRADRPDDGVSYFNKMSELGLKPEASHYHKIVDGLTGLSLLDKAQEYFDQMKEKEINPSIATYETLLKAYVGATRLDDAAKVAKGILLDEKVIFTDEMKELLEGALRGEGREDDIAKLYEDVEREKAEAVVRAAEEKARAEAQAREERAARRAEAAAKDEAAAKASAAAIEAILGHRRRTEGETEASASTPNALDGGLLSRLGLRSSGEGTLQDIPPLRDETKGDGQEQL >cds.KYUSt_chr3.8873 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51751148:51762619:1 gene:KYUSg_chr3.8873 transcript:KYUSt_chr3.8873 gene_biotype:protein_coding transcript_biotype:protein_coding DISDTISSLMGNHQTNVDASSSQFQGPLHPGGVTPAYQVPHRGVLKEKKALQPDQASLDLVLDQQNPPIASEGQVPEVHSIINSVVEKHSAIWAEEFSSFVSSVNLIHQKRVFLMADDISDTISSLMGNHQTNVDASSSQFQGPLHPGGVTPAYQVPHRGVLKEKKASQPDQASLDLVLDQHNPPIASEGQVPEVGLNSEDAQESQVNENVSTECLVSRVGQQHTQRKHQWRQETTAGTNHLTLETRTTNEQRHPQDGIVHVSPDPTEDQSPNFSRGICSIVGDDPSPRENTATPTPADADLIGGLRKRNSSTTPLCRPSSNPILKRRRSSIEDISMVHISEAGAPLNNLPPLILANILGRVADIRDIAACRLASRALLAAAYQCPHIRLDAATRTQCLQEDRGGDKGTAFWTLAGNVALHLGSHLRSLVVNASNEQGSVDDAMWVEEGNFDEADDLHVTSLKSVLAWASTPAGPTLQEVEIADFWRQSCWRKVEALPVISHLCHNLLKLGLKNAWLSVDGLKIMPNLTQLTLECIRIEDENLSKLNECFPCLQILNLVRVGKLKDPKICLSQLKTLRWEVSRNVRHSLAIHAPNLVYLELKCFRPEILILDAPSLSTLKLTIDKLSPTTQADGLVSLKNVWIESLDLDSLLQLFTNGRDIKSLDLELPYSADCRDLYDAVEPDYLVKLFARINEVKLSPRFSCELMRLLVVCSDNLFPSCLEKLLVHLPASDTADCPFVPLLRNSAPFCKIPSQVPDSQPVYDSKVAVTPLLFVNGPVADLVAQVAVEVAARLTTTNKNKKLREADMALKASQKGTDTMKWLPFMSSFVLENMCNLIKTGVRTDKGFKEVHLTAVANGLLRDLSGAQWCEDTKCIILEGEHYCGHVADHPKDAEFLNVPIANYDEMHTIFSFGLATGNYAKDLVTADKRKRGAFIDDELVAFTNMIVGVKDVAQASRDNKPIDMHSDLYNAVMDMLDFTEDDLMAALSHLVDHKAQACSFVGMIEPHRIRWLRNYLAKYHCKVNGYTNNSYRGYETLEKTQQEYLIFLEEEFLEDQTIDEAVPLAQLPSEEVHALQGAPPMVRTNRVKDYIIAFLIVMINPPIAFEGQVPAAGLNSKDAQESQVSENVGTECVVSHTGQQQTLTTNEEPHPQDDIVHVSPDPIQDQSPNFSRSICAIVSDDPSHRENIVTPTPADSVVSDDPSQRENIATPTPVAVIGGLCKRNSSTTPLCSPSSNPILKRRRSYADDISMVSMSEPDAPHLPPLILANILSRVTDIRDIAACILASRALFVAAKQCPRIRLDAATRTRRLREDRGGVKGTSFCTLAGNVAALLGSHLRSLAVNASEGQSCVDDAMWVEEGESDEADDLHVTSLKSVIAWAATAAGPTLQEVEIIDFWRQSCWRKAEALPVISHLCHNLLKLVLKNAWLSVDGLKIMPNLTHLTLECIRIEDENLSKLNECFPSLQILNLIRVGSLKKPKICLSQLKTFRWEVSTNEPTSLAIYAPNLVYIELKCVRPENLILETPSLSTLKLTIDKLCPTVQVDGLVSLKSLRIESLDLDSLLQRFTSDRDIRSLDLELPDSADYSDLYGAVELDYLVQLFAGINEVKLSPRFSCELMRLLVVCADYEFPSCLEKLFVHLPESFISDCPFVPLLRNSASFCKVTVLFHADTSDAVCQAAASLWPLSFPKMTWQWGTWQ >cds.KYUSt_chr2.33140 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204586898:204587497:-1 gene:KYUSg_chr2.33140 transcript:KYUSt_chr2.33140 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKASMFLKQMLSTIVAVVKAKSTAVRAKTSTLKTRLLVLGILRNKKLLVSAINHKIHAIMGHQEDAHGSTSAVQETEDGDGSKKAIVPYTAPSYVTERDVDDAQAEEEEEEESDDEYLTHSLFRGEDDDDDELVNAPGSVIDVVRDAKEKEGDGAEFRLEDEIDHVADVFIRRIHKQLKLQKLESFKRFCEMMERSA >cds.KYUSt_contig_319.579 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3669582:3675232:1 gene:KYUSg_contig_319.579 transcript:KYUSt_contig_319.579 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHSLLHLAAPKPPAPASAHPPLGGAALSLLRLLPARRPHRLVASCSSALPDLAAFPNPNGLLVAEPAEPVISHNVATETELRENGPRSTRRTKLVCTVGPATCGPAELEALAVGGMNVARLNMCHGDRDWHRKVIDAVRRLNEDKGYAIAVMMDTEGSEIHMGDLGGAPAAKAEDGEIWTFSVRSFEAPLPELTVHVNYEGFAEDVRVGDDLLVDGGMARFEVVEKLGPDVKCRCTDPGLLLPRANLTFWRDGSVVREKNAMLPTITSKDWLDIDFGIAEGVDFIAVSFVKSAEVINHLKSYIAARSRGSDIAVIAKIESIDSLTNLEEIIRASDGAMVARGDLGAQIPLEQVPSIQQKIVKLCRQLNKPVIVASQLLESMIEYPIPTRAEVADVSEAVHQRADALMLSGESAMGRYPDKALSVLSSVSLRIERWWREEKHHEALELDDVSSSFSGKISEEICISAAKMANKLEVDAVFVYTKGGHMASLLSRCRPDCPIFAFTNSTSVRRRLNLQWGLIPFRLTFSDDMESNLNRTFSLLKARGMIKSGDLVIALSDMLQSIQVMNVP >cds.KYUSt_chr2.23436 pep primary_assembly:MPB_Lper_Kyuss_1697:2:143121052:143122549:1 gene:KYUSg_chr2.23436 transcript:KYUSt_chr2.23436 gene_biotype:protein_coding transcript_biotype:protein_coding MPARQAREAAAAVRGVVFQSPHQLEKARQKEALKGVEIMEGDAEDLPFPTDTFDRYVSAGRSGISGVLFLRHWSDLLQEHSSRGRLGIGCVTAVATIL >cds.KYUSt_chr7.13500 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83193611:83193919:-1 gene:KYUSg_chr7.13500 transcript:KYUSt_chr7.13500 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNQRGGPRGGSRVASMATTTRSISQWGCPGGSMATALTKRDPGGEDVRDVDDAWRSSDSIPSAAPPGTVVPMAVALDRGPRGGVAGAVEASETDGVAAQR >cds.KYUSt_chr4.42569 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263463406:263468661:-1 gene:KYUSg_chr4.42569 transcript:KYUSt_chr4.42569 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTPDAKKNGGREGVVYQLCNIAFHTDFLGLGNDGSNTQCQISIAMTATQGGRAHGGNVDPAWYADSSVTNHVAHELDRLTTREPYYSTDQVYVANESPSQSVIKPLAIPFHEPYSSWMQGVVTRRARYCPAKLQRQVPSSCRAPRVSTSFSCRGGSRCSVAPGERARAVVVRAGGGPGIGDGEQAAPPRQDVVGAASATGGAKRGTVAGAVALIVGTSIGSGILAVPQSTAPAGFVPSAVCMVTCWAFLVAEALLLAEINVHLRRKQKKDGNGGGGGLEVISMKSMAQETLGEWGGNLAATAYLFLSYTSMVAYTSKSGEVLARVIGVPEPVSGGAFTAAVALLIAAGGTGVTAQVNQLLTFFMIGLLLTIEVSAVALGGGLGLPANTHWEQLPATLPVIIFTLVYHDIAPVICAYLEGDLARIRLSIIVGSLVPLLSLLVWDDIALGLSTDLNGFAILDMIKTEWGYMVVETFSLLAVGTSLIGTLLGASQFFVEQMTNLASSTEDEKINQDVHEEDGSSHLGWKTLLESNRLSYVATGAVVVPTVLIAAAVPDSFSIATDIAGGYCMTILYGVLPPLMAWSIGSKLSDQKADLAEAVETSKEIKQKASFTSAKPVLVGMGVFSVLMVFQQIFQDLLSFNTYLLSWAS >cds.KYUSt_chr3.39668 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250042216:250042671:-1 gene:KYUSg_chr3.39668 transcript:KYUSt_chr3.39668 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSVPAPGGGSMITLAASSAANGGGMGVCGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPFQREDAVNSLAYEADMRLRDPVYGCVAVISILQRNLRQLQQDLARAKYELSKYQVACMRSIR >cds.KYUSt_chr4.24685 pep primary_assembly:MPB_Lper_Kyuss_1697:4:155364987:155366418:1 gene:KYUSg_chr4.24685 transcript:KYUSt_chr4.24685 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTTVRCDAVTMAAAEPAAASYDDELRRREALREAFGDSSESDSDAPREAASSPLVGGAGRERWRWEAVPGVRGLWLCADFLPSDDQLRLLAAIQREGWFSDASNQAMRFGDLPPWAVELSALVRECICIGDVDVGISPGWTKEDEDACPLPSDLLWREPLFDQLIANRYKPGEGICAHVDLMRFDDGIAIVSLESACVMSFSPECAAHDTQNTQKHGEGESTNVPVYLNPGSLVVLSGDARYHWKHEINRKPGAQLWNGRELEQHRRTSVTLRKLKASPN >cds.KYUSt_chr4.18921 pep primary_assembly:MPB_Lper_Kyuss_1697:4:118784946:118785230:-1 gene:KYUSg_chr4.18921 transcript:KYUSt_chr4.18921 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAVAAVPEVSAAPEIVDVPEVAEEQIVDAVYEDEASATSITADANELLPLPPAFTVPPVEWLLGGPSAGWLVDDPEREYSNDELETPSPMMR >cds.KYUSt_chr1.32178 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195338167:195339258:-1 gene:KYUSg_chr1.32178 transcript:KYUSt_chr1.32178 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLRLAALCLLFFFSSLPLLTVADCDCEAGEEEQDKAGALKLKIIAVFCILVASAAGSAIPSLGRKFPALSPDTDLFFAVKAFAAGVILATSFVHILPEAFDRLGSPCLVGGPWKKFPFAGFVAMLAAIATLVVDTIATGYFQRAANAKKASAAVGVGDDLEATTPDDHHAHGNGGHSHGHGVSAIIASSAAGTSDDGSAQLIRHRVISQVLELGIIVHSVIIGMSLGASESASTIRPLVIALTFHQFFEGIGLGGCIVQAKLRLRSVLMMSLFFSLTTPVGVVIGIGISSGYNENSPRALVVEGLLSAAAAGILNYMALVDLLAEDFMNPRVQNNGRLQVMVNISLLAGIALMSMLAIWA >cds.KYUSt_chr3.23723 pep primary_assembly:MPB_Lper_Kyuss_1697:3:146650584:146652693:1 gene:KYUSg_chr3.23723 transcript:KYUSt_chr3.23723 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSFLDPKNTMDYALFKKVRAVFMFDTVSRKSMIESVKTLVDLRNNHDELLLPQLDVILHKDLVEHAWGWDRLLPWMTGYCLFEDYFEYLGDYYGKNSAEAEINCGDLSSCLATKCIMEEANLMLEVFKRNVVNADKYTIEMIQREFEFGYDIRSAALDILTKYKGPYCNEVSASLLGMQKEATEARKLLNQGGDFDSLSMCQDIRANLLRLLIIIRDYFPMDCGIEYPHKIGDARAAMEDRLKDDGAKR >cds.KYUSt_chr4.51690 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320755691:320757109:-1 gene:KYUSg_chr4.51690 transcript:KYUSt_chr4.51690 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPGPAALAAVLLCALFAASAASRFAPADNHLIACGATAPALLPDGRRFIPDSGCASTRLRSQSPTLPTTAPTAPSPPPSPLHAAARVFSCHASYDLAIRRRGHHVLRLHFYPLDSTLASARFHVGAGGFLLLHNFTASSPVIKEFLLPVHTDVLALTFVPDAGSAAFVNAIELFSAPDELLGDIATLVTPNATNQTTGLSSQVLDTLYRVTVAGHKVTPFNDTLWRTWVSDEGFLVHNESSGTKAWSFGGRIAYPKDSRQMTREVAPDNVYSSARSVDSEGNVTWGFPVPAGNRYLVRMHFCDIVSKAMYQLYFNIYVNGRLAVKDFDISGTTGYLAYPYYIDFVVDVGDEGVLKLAITGSKMSRPGEASGLLNALEIMRMNKTGGGMDGDFPVILDMDYLLSKGIGEFARSLLCGLVFAGLFLALVTLVLRLRTELKNNGTLWSRQSVDSGDGKLARAYQLVPTKTDY >cds.KYUSt_chr3.13927 pep primary_assembly:MPB_Lper_Kyuss_1697:3:84332019:84332435:1 gene:KYUSg_chr3.13927 transcript:KYUSt_chr3.13927 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTPYDLLRCIRAWLRVPGIHVVLPDYSLMSLPVSGVFPTVTVVVLPQVSVSGDAPVFPAPSTITIRFSVSRHIPCDHSLLVVHCQVPTIESARDQHLCPCWRPALQGYSFVQFRQLWYIVHCFKWLHVSFCLASAL >cds.KYUSt_chr3.21030 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128921133:128922719:1 gene:KYUSg_chr3.21030 transcript:KYUSt_chr3.21030 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATAGFLLAAAVAVAVLLHGAAAAPPRKPVDVPFEKNYVPTWAEDHIQYLNGGREVQLSLDKSTGTGFQTKGSYLFGHFSMHIKLVGGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFSGGKGDREQRIYLWFDPTKEYHSYSVLWNLYMIAFFVDDTPIRVFKNSKDLGVRYPFDQPMKLYSSLWNADDWATRGGREKTDWSKAPFVASYRGFHVDGCEASAEAKFCATQGARWWDQAEFRDLDAQQYRRLAWVRKEHTIYNYCTDRDRYAAMSPECKRDRDV >cds.KYUSt_chr5.37707 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238114304:238114906:-1 gene:KYUSg_chr5.37707 transcript:KYUSt_chr5.37707 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLPPPPPRVTLAEQLAASSNLRDLLKLRHDDDVAVGGDGARLQAAGRRRTLLDVIRGADDDDDNPATRPAPPSATPATTAAPPPVARPGAASPGQGEERRVSLMALLEQAEQQWATATASGRGAWTRVEQDAAAEDEEDTRRGSVGGRCCVCMSRRKGAAFVPCGHTFCRACAREVRAARGRCPLCNAAIRDVLNLF >cds.KYUSt_chr4.1501 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7935950:7936638:1 gene:KYUSg_chr4.1501 transcript:KYUSt_chr4.1501 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPRRGKQQRKAAAAEDDFEAAFRMFDDDSEEDVMVVQDQVEPTQYRGVRRWPWGKWAVEIRDPVKGVRVWLGTFHSGTPPIEAAALAYDDAARGAWIPANK >cds.KYUSt_chr4.24127 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151846923:151849094:-1 gene:KYUSg_chr4.24127 transcript:KYUSt_chr4.24127 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPVIVLTALLLGALLSYGEANVPLDGDETLEEHEFLPLKSNICISDCLIKEVQNVAIKAQLKKRTDIDSCEVYVRERASDDDFTCDAPCEEKNVAYIASDAAVLSGEEFIEEERTPNDDLQGTHCEEKNVTFVSDDTVLSAEAFNHTKIDATAECEEHTKEIDEKAELQELESTCTGSCNDGVHGQYQFGEFMRSCWQPVMRQDPPCYDSESDLTDESSSADASMTDIIPMIEELHSMINSATGQLSLASRDSLNSSSDDNEDDLGEEEEEEDVSSGDEEGAEWEQDNQNNWKDGVHLNCMDMEQTSQLENLVDLQRAKNILKFELDMRLFDLQAADTTRKMKDASTFHVQVPSISTPRHNPFNPSRDSEETIDLPPIPGSAPSLYLPRRNLFDLPFDRAVHHRSRLQESWTPRARFRSAQNMKHRNSYEQNYSCYLQHGNCVKLDNGEICDNHSDHYAEKEGNNVKLFGSLEAHLGEEMKILSTAISDVGMLGEVNHGIDEGNKTTNSTDDTSSSSGLNIHIDEPFAVEADAMSEVNSLFKCRMQDVLVQSISERSVCQPLEVKPEDIPSVLLSSDLWMHVDEAISVEELQFARLDEEALTCAPSDLDGWSRSIRDGLSEALPVVEEQSSELPTELDGCRELSTSGDQQSADISERNELPVLGISSVKAMDSLFEQFEEVQLSNGRSKINMPQDILRELEVDPVELNSTSQIIDAETL >cds.KYUSt_chr7.18037 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111946194:111946933:-1 gene:KYUSg_chr7.18037 transcript:KYUSt_chr7.18037 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDASSGALSGPAVVKRRADDFRACGRDISPDGLGAGTSDAHRRLHQKGVLLPNPPAGNGGQRLAGRLASAFARALGCFYLFAGRLAMSLRCTGEGAEFVHAVPPGVTVDDVAVSLYVPHVVWSPSSPTASSSPRHVLRGVLEPGDGGDRELATVRRVRQRFWVGRAEQWCEQVYGRLTVYDGTGGRGSTGQEVCLAPEALVRLVADDEFINQEFKTD >cds.KYUSt_chr4.48717 pep primary_assembly:MPB_Lper_Kyuss_1697:4:301747677:301749774:-1 gene:KYUSg_chr4.48717 transcript:KYUSt_chr4.48717 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGMASSPSAFFPQNFLLHMQQTPPHHDPQEHHQHHHHHEHQLPPLGPPHHNPFLPSPQCPSLQDFRGGMAPMLGKRPGMFDGGCGDEVTGGGGGGGANEDEMSDDGSQLGGEKKRRLNVEQVRTLEKNFELANKLEPERKIQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQFDAVKAENDALLSHNKKLQAEIMGLKGCREAVSAELINLNKETEASCSNRSENSSEINLDISRTPPTDGPMDAPPSHQQQAGNGGGGMIPFYPSVSRAGVDIDQLLHASSVPKMEHHPDTASFGNLLCGVDEPPPFWPWADHQHFN >cds.KYUSt_chr7.12022 pep primary_assembly:MPB_Lper_Kyuss_1697:7:73924246:73929033:-1 gene:KYUSg_chr7.12022 transcript:KYUSt_chr7.12022 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCCVGASSPHFVSLSDFWKLRGKIRSWALISSNSENISLLGFLKPKIAENSNWLFGISLIGEFDMKLNRVLGMTLMFPLESDEFTTILKKGSSPWFVVPRATGNGKEPLERLEDDGAVRLVPPSKRNELVERAVKVGEAIDKALGVDRIQGHPYTTHRESFSASVLGAQERHKQRKFTVPVELACLPANCARTSASDGAEETTATNAHRRGHPLLKLHPEQLSRSRR >cds.KYUSt_chr4.54225 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335292307:335294235:1 gene:KYUSg_chr4.54225 transcript:KYUSt_chr4.54225 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPPKSGDALFASVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSNCVDFKETADTIAKLGFKMFLGVTATVTNWDAEGTTCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >cds.KYUSt_chr4.5855 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34260609:34283386:-1 gene:KYUSg_chr4.5855 transcript:KYUSt_chr4.5855 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRVITKLLTRKTRILQISSHGGTINNLNYPIYDKEFFPAGTLKVHFAGLSFIQNLRKLSNVCLRSSIRLVLRIFGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGHYIIKEPNLLQLALKYNEKKMVCRKCYARLPARATNCRKKKCGSTGEIRAKKRFISKTNTLN >cds.KYUSt_contig_786.442 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2417691:2419949:-1 gene:KYUSg_contig_786.442 transcript:KYUSt_contig_786.442 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIAWTYDMVEPMWYLYVSVVKARDLPTMDITGALDPYVEVKLGNFKGVTRHLVKNPNPVWRQTFAFSRDHLQSNQLEVVVKAHKDVLCDDLVGRVLFDISDVPSRLPRDSPPVAPQWYCLSDAHGNKLRHGEIMLAVWDGTQSDESFPEACHSDAHSLRSQTSTRPRVYYSPKLIYLKVSVIEAQDLQPKKKKEGQPLAPTFAKIQMGSQQVRRTRPQVSSNPKWNEEFMLVASEPFEDPLVVTVVEERGRDDDPVGRVIIPVASPYVPRNDLAKPVPSKWFYLAHEMTLDKAAADVTTGVNSKESSKTFASKIHLRMSLETAYHMLDEPTHYSSDLQPAANKLRKSAIGILEVGILSARNLAAGVKNPYCVAKYGAKWVHTRTLLNDTAAPQWNEQCIWEVFDLSTVITVAVLDNLHISSHGDANKDQRIGKVRVRLATLELDRTYTHYHPLMVLSPSGQKKTGELQLAVRFTCTAWADMLAQYGRPLLPKMHYTNPISVLQQDYLRFHAMQMVATRLSRAEPPLRREVVEYMLDVDSHMFSLRRSKANFYRITLLFSGADAVGNWFDGICQWKNPLTTILVHLLVLILVCYPELILPTVLLYLFMIGAWNYRRRERKPQHMDTVLSNAQPDELDEEFDTFPTSKPGDIVRMRYDRLRSIAGRVQTVVGDLATQGERAQSLLSWRDPRATAIFITLSLFAAIVLYVTPFQVVATFAGFYLLRHPRLRSKQPSMPFNFYKRLPAKGDTLL >cds.KYUSt_chr3.10629 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63090657:63091922:-1 gene:KYUSg_chr3.10629 transcript:KYUSt_chr3.10629 gene_biotype:protein_coding transcript_biotype:protein_coding METEVVVRNSPEIPQDVLLDIFALLEIPDLMRAGSVCSSWRSACTTICSQPKLYKRPQTPCLLYTSESAGENVACLYSLAEKRVYNLTLPDPPIRTRYLVGSSHGWLVTADEKSELHLVNVVTGQQIALPSVLTNESVKPIFDNAGTVDKCELWEPMHDIDLDFQYIGHNMTIHALDELRDFFYYQSFIFPDPSTGSYIVVLIHGLSLQISFARVGDCKWTLLPPGWDYQQCIYTDGLLYAFTRFGRVDTFDLTSPTFTMNTITGCMKNYIPECMYVVQTPCGDLLQVRRDYEFIDTDDKKVICETKKILLYKVDMAAKELVKMKDLHDQVLFIGYNQSQSLSAKEFPQLKPNCVYFTDSESCVSNYKNNSRDIGVLNLENDNREEILPQLWCSWPNPIWITPSLTRMNWSCTNTQIDNLL >cds.KYUSt_chr3.33916 pep primary_assembly:MPB_Lper_Kyuss_1697:3:212822988:212824303:-1 gene:KYUSg_chr3.33916 transcript:KYUSt_chr3.33916 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEKMEAGAAQAVRIVSRRMVQPPSEEEVVDIHLKPCDLCLITVDYIQKGILLPEPPAGGEHLVDTLAASFTRALACKFYSLASRLVVKHHTDETIAASVYTPSGGTGLLSLDDGVLIGLSMNHCVGDGTTFWAFFNAWSGISRGGNNNDSLHKVSKPAPVIQLQKWFPDNVSPVPIHMPFSKLQDVVRRFERANRGGAFFTFSAASIRKLKARANNEIAGTANVTISSLQALLAHLWRAVSRARQLPPGQETSYSMLIGCRGRVNGMPQGYIGNAVVLGKATSTVGEILEKGLGWTAWQLNRLVASFDEATMEEWLDRWIHAPDFEYMGKLSSGGAALMTGSSPRFDVFGNDFGWGKPVAVRSGPGDKMDGKATVFEGPERGGSMSLEVCVAPDVLKRLVADIEFMESVSMPM >cds.KYUSt_chr1.27339 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164797387:164798433:1 gene:KYUSg_chr1.27339 transcript:KYUSt_chr1.27339 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAADTSSADTRRYVVGYALAPKKQNSFIQSSLLSRAAARGMDLVPVDEARPLADQGPFDLVIHKLYGHDWRAQLQAFSARYPSVPVVDPPHAIDRLHNRISMLQVVSELDVPPSASDADAHHHRHTFGIPSQVVVYDAAALTDSGLLAALRFPLIAKPLVADGSAKSHKMSLVYHGEGLRKLRPPLVLQEFVNHGGVIFKVYVVGGHVTCVKRRSLPDVSKEILEDTAAEGTVSFSQVSNLPTARNAEEYYEDLRLEDAVMPPTDFVNEIAGGLRRALGLQLFNFDMIRDVRAGDRYLVIDINYFPGYAKMPGYEIALTDFFWEMVHKDDDVTPLKEEKPTVVK >cds.KYUSt_chr3.37009 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232865912:232866340:-1 gene:KYUSg_chr3.37009 transcript:KYUSt_chr3.37009 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKLLLKEEGAEPGVAAMEVATEEACGSPGLYGWRLRGWPDLGSAWAMRAAAMVVAERLLCCLATVGGGGGGRLSSCLSECVRHGLEASSAGSRFPVGFSCGTGKEVPFGGSEEAPCEVGGQVLHEVPLARAAWHGKGGCQ >cds.KYUSt_chr3.47786 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299471404:299472448:-1 gene:KYUSg_chr3.47786 transcript:KYUSt_chr3.47786 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQLVVAVFAALVGMCALAGAVDAAGTTLPPLSKFGKRDQQLTCKGDKNSQYCEGTCPIKCPGKCLVLCPGCKTYCECDLFPGTSCGDPRFTGADGNNFYFHGKKDQNFCVVSDAGLHINAHFIGKRNPAMRRDFTWIQALGIRFADHRLYMGAQKTVMWDDDVDRLELTFDGMQVDIPAEIDETWQPTTVPALTVTRTSMTNRIKVELKGVFSIHASVVPITVEDSIIHNYGVTEDDSLAHFDLGFKFYDLTDDVHGVLGQTYRTDYVNKLSVSANMPIMGGAPNYVTSDIFATDCKVARFGHQAGISMVTTRAS >cds.KYUSt_chr2.52667 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328508924:328509427:-1 gene:KYUSg_chr2.52667 transcript:KYUSt_chr2.52667 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTNRPRPSSQQAIEGVASGQAEWLWRSAGHAQHPNATLRGAAHLGPDQARMGRPARHHLQPRQTRAAAVRCSRPPLRCAAPPSSRTGERRHHHQPGAAARLPISAARSRPEDPRLPLGTGPAATAARAGGSGGREEATGGGWALGLGETEMSSRQDNNHHMYRI >cds.KYUSt_chr3.12602 pep primary_assembly:MPB_Lper_Kyuss_1697:3:75470193:75470474:-1 gene:KYUSg_chr3.12602 transcript:KYUSt_chr3.12602 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRDCCDYDDDCCNCSWTEIAIWNVVGIVLMVVLILAIVVIKPPKSTTDDALLMRFSLYPSTSASTSQLQLLSYNATVTISLCNPNMYYDMS >cds.KYUSt_contig_988.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:147965:150152:1 gene:KYUSg_contig_988.18 transcript:KYUSt_contig_988.18 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSADSMQGVVTTTRCAGQTITYSFVADRPVTFTYHACSGNDCAACLVVGVQGIDAGHGVPPRDKRLAADSRAGRPALIARRSRGMASVEAQSMAGCGRAQTNADGDAGRGKRGERHARIAVPWPWTSANEPASAVAQITADCSVLHYDAAEYGNHPVFRALLRLSAELSLDEADVLYSHRIPVPVQYKLPHSWHVSNGGFAVPPAPPPGPQMRALARERWSLMTPEERSLPANAVDSPAWEHRFHDERVVELAWVTNRSHGRFNTIGRRAWWYGHHIDTTLCQYGFRQRVRSDRQRDVDT >cds.KYUSt_chr1.25457 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152722232:152722867:-1 gene:KYUSg_chr1.25457 transcript:KYUSt_chr1.25457 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQHPPGGFPLQRGPGLGVAGQVGPLAPGGVQPLRPPMAGQPAQAAPRPTAAAAPFVHPAGGVAAPHVAAAPIAGTGLPSRPFFPQPSGQIHAGAVMQHRPMIPQAMLVPQANAQNAGRKHKSKKKKTTPHVPAQGGIIQAPGGQSFGQASSQQQGYASHSSMAPHMYQFPYIPSGYDQHGQFASQLQQLPVQSQTGQGVYVPPQQPPVT >cds.KYUSt_chr3.1452 pep primary_assembly:MPB_Lper_Kyuss_1697:3:8109846:8110460:1 gene:KYUSg_chr3.1452 transcript:KYUSt_chr3.1452 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLKTQASIAVGMLRGVALANSSFDYIAEALRTVHAADIIMTSQPEEQPDTADEQLASIQSIAFACREVAELELSVEAAVKIINRWIEANQSGIEPNQTGLQGLPVAAWRVREVELVGTVVRARQRLELAAASCYRSCVVLDVARLGWSTFPLPVRNAWMRYGRQALISTSEYLNDSRDNLTKMCAAAVEARDAAKVLIDLL >cds.KYUSt_chr1.449 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2371091:2381456:1 gene:KYUSg_chr1.449 transcript:KYUSt_chr1.449 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRMGYELGFPRKDEAAVHRGEVCTTSTVTGSSEDWFGWQWILLKSETQKTVIDFANEVQCQHNAKILTIRSDNGTEFISGHLSVYQIAPFVIISTPRWAEAIIFLRHQDDVRLVDVSKVGALVVETIEEGQEMTLMLLMNGGPIHVVPWGNADPEDTIQMGPAEYLYELSGTADDSAPLSLKLVTNQHTYEVGAPLEHTTFSMPLRNGKVVAFFGRSHNDHLTALGIYVPVMKGSPVNVGPWGDSGGVPVDITTPVQLKSVTVYSTDSSDGRIYGFSFTYVDLTSQSIHVGPWGTIKGEKYTVRRGECWSMGCTGGRASRHPHRQHAPVPGEHHHLEHQGLGGGGGICGFSYVYIDQNGGPIHVGPWGNADPERTITNIQMGPGEYLYELSGTADDSALLSLKLVTNQHTYEVGSPLEHTTFSMPLRNGKVVAFFGRSDNDRLTALGIYVPLKSVIVYITDSIDGRIDGFSFTYVDLTGQSIHVGPWGTIKGEKHTFDLNLQGEYVNKITGTTAGDNRMTSLKFTTNQQRDYGPFGSDRGNAFSMPLPDGKHNGAVVGFFGRSGKSHVDLGVYVGLAPNEP >cds.KYUSt_chr4.55061 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339952592:339954789:-1 gene:KYUSg_chr4.55061 transcript:KYUSt_chr4.55061 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPADLRSGNTANESSPPAATAQLLTAGGLATKVVLRIPTMTDDKIKQKAMEAVADIYGIDSIAADLKDNKMTIIGDMDTVAIAKKLKKLGKIDIVSVGPAKEEKKPAPAEKKGDEKKDAKKDEKKPAEKKDDKKDKK >cds.KYUSt_chr2.14550 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91940693:91942199:1 gene:KYUSg_chr2.14550 transcript:KYUSt_chr2.14550 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAHCQLWPPPLLPRYLSSPSPPVPLPSSATDTDTETEQSGAERSIRHPHERPHPQQQWRAPAPSASRCSASSRRTPPSPRNPPPRPPRPPPPPPPPPPRRPPPRPPPHPPPPPPPPPPRPQHHPPRPPPPPPPPPRPPPPPRPPSRPPPRRPPKKAAASPPAPAPKALLPPVEAPVASPPVALSPATLPTKPDAPAPAPAKKKKKSSKKKKSKAPAPAPVAEAPVKSKKAKAPAAADDADAPGPAGDGSVADDTAGAIQTMAGSIMSVCAMALGLAALLA >cds.KYUSt_chr6.30472 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192984533:193004387:1 gene:KYUSg_chr6.30472 transcript:KYUSt_chr6.30472 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASSSSEGATEQGGAGDKLLQLFMGDQDLAADNLFVEARIRAAFYPKFENDKSDQQVSLVAAARCAVYFLVVDERLNSLSAICVALMGLTRTRMIEMVSRGLATMEVTLKHSGSLFMYAGAHGGAYSKNSYGNLYTAVGVFILGRMFREAWGEEALKMQAEFNDYIEKNRLNISMELVTAVLGDHGQRPKDDYGSKDHVIVQGEMLEGLVARIVSRESSVQMEEVLRNFSQPPLDGGFFIDVNLFKANNKKTTELSKDCNILLNSIEGSLDSSSSVKNGLADEDSNLMVKLKFLPYKIRTFLIRNGLSTIFKNDPAYSNYYVRQMKSWGTSESKQKELCKLLNEWAAYIRGKYGNKPLSSSIYLNVDNFKRKYLGEAEPFLEQYAKRSPANLALIRAAGNMVQTENFLAILDAERDEEDGFQPEHVVAPSSPTVSLDVVSKIEGLIVFFPGIPGCAKSALCNEILNMPGGLGDNRPIHSLEGDLTKANHRKGTEVLLGIERRRWVAGRPMKTWALDCCRASWKRRLDEERDLVEGTDTNPFSLEALAVFMFRVLKRVNHPGNLGENSLNAGNVLIMFYKLYDGKSRREFESELYERFGSLIKMPLLKPDREEPSNDSYAKEWEKWEKRLRKILFRNDDYLKSIQVPFEVAVKEVVEQLKAVVKGDIKTPDTAKRRFGNIFFAAVTLSQADILGLVRKVAEKDTAVKKFLNGIKVEDNLNKVHITLAHKSAHGVAAVASYAVYKNQEVPVSFNAFFYTDKMAALEAQLGMVNGETIVSRNDWPHVTCPTPAEKDDNGEWPYSARLHVVEDMKKPGFGQKGGGGAQGFQNSTGSHSHFQDQSGEQAARSGNFQTAGQGGGSRSKDHCGGQVKQVYRKLDMSAVETDNSCSMVLTKAMVVFDGSSGNNKRDTRPEPPPNAEKASPDPKKKKVSFSSESSI >cds.KYUSt_chr2.3456 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20677395:20680240:-1 gene:KYUSg_chr2.3456 transcript:KYUSt_chr2.3456 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASSKMEDDKVLVLCQERKRIVREALDRRCALASTHYDYIVSLKDTGSLLKKCFEGDKGAANEEDFADSDPSTETLEQVSKSHSTSTEQSTADHASKSVASENIASSYSLKHKSRTIDVITNENDEIPAMNLVNVVSSSVPTRHMDSKEHYPDVSNVVRDLSSCMKEIEILFFRAGDSGKQVPMILEEDKIQFRPLLSEEIAHGSNALNFLATFLICCKEEVSVPEPPPQAEIKYLTWHRSVSSQLLPSKNPPGHILDIHTSTLDRLYAWETKLYDEVKASSSICKKYDEKCKQLSDQESRGKNQIITDFTRATVKDLHSRVLVAIQKIDFISNNIEDLRDKELQPQLDELIEKMWATMLQCHRRQHAIIKLLSSRCKLEIPLDSESQCQAALLLSAELSKLCWNFQNWVASHKAYLHSLNLWLHKCMKPLKKKKGSRKQNVVDVTLTECAVAPIFITCEIWIKLLDDLPTQDLEEAIAGLAADIRRHLPRHLNQGLDQTLNDEEEVPRNYTAADIQSSLVTFIAKLEAFSEISVQKYIDLQKGICAAKDRLLGAA >cds.KYUSt_chr7.12678 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78031027:78032412:-1 gene:KYUSg_chr7.12678 transcript:KYUSt_chr7.12678 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSATPHFLFVTTPMQGHINPARRLAARVLSSMPTARVTFSTAVGGHRLMFPSLSAPDAETVDDAGVLYAPYSDGYDEGFDPVVHGTATYKERSRTVGRETLSAVVTGLAARGRPVTRIVYAFLVPWAASVARAHGVPAALYWIQPAAVFAVYYNYFHGHDKLIASSFSTDATVDLPGLPPLKSSDLPSVVSITSPDHPHYLLLDMMRDLFNTLDEHKPNKVLVNTFDALEPNELRAVPQFELVAVGPVVPDDEASPSSADLFGRDDDATAYMEWLDTKPARSVVYVSFGTVFPMGKRQEEETRRGLEATGRPYLWVGRKGAGGEQHVNNGVVVEWCDQVKVLSHPAVGCFVTHCGWNSTLESVTRGVPMVAVPQWTDQPTVAWLAEARMGVAVRAKVDGEGVAERGELQRCVDTVMGDGEEALGIRAQADLWMVRANEAIAGGGTSERNLRALASEL >cds.KYUSt_contig_2767.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000487.1:5510:5824:1 gene:KYUSg_contig_2767.1 transcript:KYUSt_contig_2767.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARGSAAAATALLFVAVFVLLASPGVQGESCMEDCLKECAKKSAEQEDASKKLDASQCKVACSVGCPMYDDKSAAAAGRNGRNVPAHYVCFLIHVAFATLWY >cds.KYUSt_contig_686-1.244 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1592074:1593306:1 gene:KYUSg_contig_686-1.244 transcript:KYUSt_contig_686-1.244 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAEKLLMARDVEGCKQFVSQALDDDPRATGADDLLAAADALLAAQRRRLPSGIPDPYAVLGLDSALPASRDPDVVHAHYRRRSFLLNRSHPDRPCSLAFADAARLVAEAWAFLSDPLRKASLDSDLVAAVAAKAAAARAPTPSPEKQPPPPPPQPSPQPASSPPARQPRQAATASPPAKRGRPPRAAKPQQPPPEHQQEAEAPNAPPFWTACTSCCHVHQYDRSYEACTVLCPSCRRPFLATAMSTPPPIVPGTDMYYCSWGFFPMGFPGGPAFAGPANSPMQLPPASLGFYPMGPYLPLPAQAGVVEGNVAVQAGLVEGNVAAQAGAVEGNVAAQAGVVEGNVAAQAGVMEGNVAGGVDSEAAVSATETTAAPVAPLPAKPTHVKVGAKKRGRPKGSKNKNVVIEIN >cds.KYUSt_chr2.4678 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28959112:28968547:-1 gene:KYUSg_chr2.4678 transcript:KYUSt_chr2.4678 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAAKRPAADDEQEGKASPPVSGEAPGAQPAEAEAQSSGGGGKRAKSAAAAAAPSADGGEAAASEEAVAGGSPVAPPDTAGLHALTGAMDRLEAFLRTGEAVTNSDGAGHKRGAADTDLPAGLKRVKDKRQGAVAGRRQEPWCRLISQYASEPSLPIHSSYFTVGYGSHYDLRLGELSATTTSPLVCRLKHATKRGALLEIHEPRVVRVNGKVLDKNAKVTLNGGDEIVFSSPASRRAYIFQQHPQDKSAPSAFSSACGTINQGQHSLIKDIQDHLSKGPKLPSFYFGKSRPPLAPLMPIGSSADPDLFSSFYKTMDDRSNSEEDTLSARSLLSKEDLKNATCDANDISESFDSCPYYLSEDTKCALLSTAYLHLQRKDYIKFTKHISSLSQRALLSGPAGTEICQQYLVKALAKHFGARLLTVDSSMLLGGRTSKESESYKKGDRVRYIGSLLPASIILDGNCPPEFGSLGEICLPFEENRSSKVGVRFDEQIPGGIDLGGNCEVDHGLFCSVDSLCLDTPGWENRSKHPFDVINQFLSEEIQHGPIILFLKDTEKICGNNDSYYGLKSKLDHFPEGVFIVGSHIQPDSRKEKANAGSLFLSKFPYSQAILDLALQDLDRGNDKNKEMSKAMRHLAKIFPNKVTIQPPQDEVELSRWNQMLDQDVEILKANENTSKIRSFLTRLCLECTDVETVCVKDRILTNDCIDTIVGFALSHQLKHFTPTNPDPSIDLHFPLSSESLKHGVDMLESIQSGPKSSNRRKSLKDISTENEFEKRLLADVIPPDEIGVTFEDIGALESVKETLKELVMLPLQRPELFTRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKYVKAVFSLASKISPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDASNRKKILSVILAKEDLADDLELEAIANLTDGYSGSDLKNLCVTAAHLPIREILEKEKKVRQLTWPAVALLQ >cds.KYUSt_chr6.13664 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85587822:85588358:1 gene:KYUSg_chr6.13664 transcript:KYUSt_chr6.13664 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPRVFFDILIGQTRAGRVTMELFADKVPRTADNFRLLCTGAKGLGASGKPLHYKGSAFHRIIPGFMCQGGDFTRGNGTGGESIYGAKFADENFKLRHTGPGVLSMANSGPNTNGSQFFICTEKTSWLDGKHVVFGQVVDGYGVVEKMEKVGSNSGTPAQSVVIEDCGEIAEDEQC >cds.KYUSt_chr1.36568 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223135678:223137740:-1 gene:KYUSg_chr1.36568 transcript:KYUSt_chr1.36568 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAYNVVSMALMAGYYYGQAELVVGPGCSRLVQMNPFFFKEITVRMAEEQWPGENGLMLYGLPGGAPRLDVPAAWSEARRVVVPANSHREWVYFLNAGSQIQVGYSVKPSTELINPFCIIIAQGTGTVKQAILSPGDYYVAVGNLHDQDTTVALDIRVMAVLYNTTGADYACSPGSSVCTYRLPILGQNVAVLSSGLSEHVRVILSYEPRWIVYFIGSGTVRYSTGSVRSNYLNEMLNVTLTLVAILAVALLLLYEVLSTMIGFCCWCCTGGEGGRRSPGAGEQRTTTTSLLAADKEEEASLGSSYDSVSHDGDHEEEMGGDEEERRLCVVCCDARRDCFFLPCGHSATCHPCGTRYLQCVFPEQDCMVERLMPALSQDCGGRWWQLPVLPEEAQESEEDFHPLIEYLI >cds.KYUSt_chr7.39038 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242956418:242963307:1 gene:KYUSg_chr7.39038 transcript:KYUSt_chr7.39038 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGGDGGDDDDGDDDDGDGDDVQLDDGDDGVDFPLRERISPADLSLPESSFLFGVLRLMTHKYRGSQQSSRESAIPISSDKRRDRAAVDSRKSAPVSNYRRYRWEARRKRIQAEHECTEARAWSALVGAAAAVEALSTETTSRYFNLVLHAIGELRDEYIKPPSLDIAPQIAGNHRWDPYFKKHKAAAEFINRPIENYREMQIIYGDRLATGKYAKGSSEPIGTAATAMDCDDDDEAVLAQSNNEGTSATRPVKKHKKDPTEEEGLVSILGRVGSELAAAIITAGEKSAPQPPLDEIPDALYETLLGMEGFSEAQIAHYFAFLVENPKSAKAFMKMGHTGQKTWMARYINKEWKD >cds.KYUSt_chr2.37929 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234755953:234758448:1 gene:KYUSg_chr2.37929 transcript:KYUSt_chr2.37929 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPPLKATTTATAPGAAATAKFTDGPVKRTRPDLGKDSPRTGLLEGINFRQRIHNIAKKIKACPYSVGALIDRKEENTKLRPEIADLKMEAISIVSEAWRRKDAVPKVTHNLPNLDPDPEIKKIQSFGSNQNSKPIEGDDQITGIRSDLVSSGIHKELMVDQTEKVILKMDGNRAMLGNREWNSETESIKLIWDSLACASYSWRLRIMAISFAASINGIALGKEIWTNEYHALYVDCISGYIPDEGGGTGKQPQPFHCYLTTTTTAMDKRTEIAAGKKKQGEGERSGAGENITPITHHYVRRPAALRNGARQPAAAGTAAPDKKFMAAAIAAGGEKKKLTLAREEKAPEDEDILVVDFAEAKKEMKTPWIILHGGMEEKGIGEKRFVIVLEREGDYNHILKGGPWTYMNDAFLVAKYDGISSAMEVPVNVMPIWTRVLDLPMAMMTQEWAEKIGKQFLGPVREVGKDNRGHVWASFLRIRVEHNVEMPIKRWIPIAGKEGSKPRRFEMKYEGAPHFCFFCGIFGHNERNCLLPEEEKIIRYCEEQRASPYRHSENRSYYVPAEEKKTKRSLYFPPISSGWKLSPESGDLGDISACNQIVGAQAVEDQVEEEGQAIPDPIQEVLATAVTNLNVNDALAPTDVTTEQVSKAAKAFKAKMYGSGKYKLKGGNKIASTNRAGGQLAQEARGNNGETRDFAVPNILDCLREDGSLYEELRGGAVHTASAFLKKKKRVLGKRQAPEDTSTEEGSQSFVIRFRGDGEKKSRGSEVISQEVLQDGDGVEEDMEATSQGAAGQLTGAKDRACQEP >cds.KYUSt_chr6.1031 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6553044:6554099:-1 gene:KYUSg_chr6.1031 transcript:KYUSt_chr6.1031 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGANPSRSAIVANTASGHHYLTIQGYSRTKGIPTGEGVRSRPFIIGGHRWRIDYYPNGKTSEFADYVCVSLILDEDVADAVKAQWDIGLAGEEEEAEQVASLASKSLDKFASWKGWIYRTFVKREDLERSKNLRDDSFTLRCDIVVVLDCRTEDRAAAFVSVPPCDLGRQLGDFFRTKKGTDVVFEIGGETVAAHRCVLAARSSVFSAELFGPMKEGNAASVVPIQDMDADVFKALLHFAYTGSLPKPLKEDQDAMCQHLLVAADRYDMGRLKLICEEKLCEYINVGSAATILALAEQHRCVGLKKACLNFLAAPATLKAVMATDGFQHLSRSCPSLMVELMAMSLPH >cds.KYUSt_contig_2792.45 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000505.1:184402:186683:1 gene:KYUSg_contig_2792.45 transcript:KYUSt_contig_2792.45 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRWNASTSSAGAAREGSNMDISTGVPKLMSLHLLEEITDGFSKHRKLGGGTYGDVYLGEHKDGVKIAVKVLKDTLDLSNEQFEKEYGNLAILKHKNVVRLVGSCNQTKEECVPHNGMMVKAVKARRMLCFEYMCNGSLDSFIYDTSNACNWHTRYGIIKGICEGLEYLHEKLKPHPMFHLDLKPANVLLDENMSPKIADFGVSRLFLEENTRKTNSKLGTPGYIPPEYIDRGLISTKFDIFSLGVLIIKIMMGQEAYFRIDDMSSQEFVDFVHMNWMSRLQGPHAYSIQTRSCIEIALSCVEKDRRKRPSIGAIVSNLNQTEYGIQIFEALKNGLDHQVPVHAPLNSNACIHGRYCD >cds.KYUSt_chr6.1008 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6394069:6398290:-1 gene:KYUSg_chr6.1008 transcript:KYUSt_chr6.1008 gene_biotype:protein_coding transcript_biotype:protein_coding MKASATLSSPSEEPTKLPPRPCTAGARQYREVSSRADQREEGSAEDGVRSSPGRSGGGHDQEPSLQPVGAPKPTRVLVCSEVQSYRVRAFMCPAMADTKTRAPLLLAEDGGLPPLTGDGGHNLNQTCDADDLAELRPFAGGLDGKGASPLGIPVRSGGDSTTASRPTPGRGVSCDLGRVVGLDLSSHSLRGIAPPPLAALNLPAEHAPLASAAGAAGT >cds.KYUSt_chr4.24903 pep primary_assembly:MPB_Lper_Kyuss_1697:4:156701914:156702228:-1 gene:KYUSg_chr4.24903 transcript:KYUSt_chr4.24903 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVTKLASERAVVVFTSSRCSMCHSVTSLLTNLGVNAAVYELDKEQRGREMERELARRLGRGPPVVPAVFIGGNLVGGTNRVMALHLASELVPMLKNAGALWL >cds.KYUSt_chr3.8276 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47737400:47738746:1 gene:KYUSg_chr3.8276 transcript:KYUSt_chr3.8276 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILELSVLESAVVAPSAPAPDTACSLPLNFFDVFWLNSPPVERVFYYRLIPGTSDDITSILSNLKSSLSQALGAFYPLAGRLRLTPGTDDRYELHYHPGDSVTFTVAEYDADVDELAEDEAREVAKILPLVPPLPVGVGPVLTVQATVLRGGRGLAVGLSLHHAACDGASSTRFLHTWAAAAGTGTGAPPPPVMDRTLLDDPSGGCPLYKLLSTDEMEYVKMADDQLVATFTLSKEDIQRVKDVVVAAAGARPPRCTSLVATFGFIWSCYQRSKDDAASNSEQTHFIFPIDQRSRMKPDPIPDEYFGNCIGAAMQAAPKNQLAAAGADGLLAACTAIAAAIERAVGELGSPEKMALWMERIREASASGGGVLTVAGSPRFRVYDVDFGFGRPAKVEIVSVARTGAMAVAESRQSGGGMEVGMSLPPAGMQRFQTCFHDAITWLHQQ >cds.KYUSt_chr3.11864 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70752424:70754392:-1 gene:KYUSg_chr3.11864 transcript:KYUSt_chr3.11864 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDLRKVWEIRALKRKPDEPSARAILDRIAKQVQPIMRRRKWRVKVLSEFSPKNPRLLGLNVNRGVEVKLRLRPNGRDHEFIPYERVLDTMLHELAHIARGPHDAQFYKLWDELRKECEELVSKGITGPGQGFDGAGRRLGGFSIHPPPPSLRQATLSAAQKRARNGALLPSGPRKLGGNNDIMSALSPVQAAAMAAERRMQDDLWCGSHDQSGIDDSEDVAILEQAPNLTARDRKHTKAGKNTKVVFSSSSAECSTSSGPQVAAPGDSSSCRTTDAAISSLWECSACTLLNQ >cds.KYUSt_chr3.30287 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189646142:189648148:-1 gene:KYUSg_chr3.30287 transcript:KYUSt_chr3.30287 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSGLSKSQPLPLLAGASSPRRALLAGLLRAGYFSKSATSSPVLRPTTTTGSRAGPRAAAAAGDPSRFGLRPRVSFSTAPDGNSDSVGRERPLPWLAAANVGSSGGVPTARTSAGRSSSWETSADKFFSKGDQGARVETLEDSVPYKERGIGLEENEPIDNPKWGRIKDKFRRDVSRDGGSRGRGERFGGERYEGSFGRDERFSEERDGGSGGRGESGERDGGFRGRSEKFSGERDGGYRGRGERFSGERDGGYRGRGERFSGERDGGFRGRGERFSGERDGGFRGRGERFSGERGGGSRGRSERFSGERFDKPDMRRWNKQEDWGRKTWKEAGESTVPTMVGEGVYGVGPVLAALTAGRREFYALYTQEGMDLSRSNKKKKDKKAIEKVLLMAETMGLKVVEASKHDLNMVVDNRPHQGLVLDASPLEMVNTKELDRVRVDGGKAPVWIALDEVMDPQNLGAIIRSAYFFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTVAPKAIPLSEVTTGEPTILVLGSEGTGLRPLVERSCTHLVKIAGNGAGFVVGEGSDGNGDGFVAGEETDGNGDGVAVGEEIDATDADIGEEGDNYTGNQDMKSFLAVESLNVSVAAGVLLYHLAGKNVPPVSEKPSTSLV >cds.KYUSt_chr4.44779 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277171902:277173990:-1 gene:KYUSg_chr4.44779 transcript:KYUSt_chr4.44779 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVEQVAGDVTVLLVDVSSSGIGAPPCVGAADWSAGGEPLGDVTVWCGESEIASERKKRGAMNSRRRRLSSPTSPATTPPLEDENLLLEILLRLSPLPSSLLRASLVCKCWRRLVSDPRFLRRFRAHHRKPPLLGFFIPFVDGISFKPMLPTPDHIPAWRFYLQKNRDEMWSFFGCRHGLALVINRTWLEAIVWDPITGHQRCVAFPPSFDENHGKLVRNAALLCASAENHDHVHGDCGSHPFKLVLVRDAGTIGEAPGASVCLYDSESAVWANVISVATTHDINETSPSVFVGNTLYWLLIGGEILEFDFERQSLVVITRPAAVSHCEKYGRFQILRTRENRLGLAVLTEMGMEIWERKGNADSVNIRWVLQQTIKLDKLPQLVPPINTSLLYIMGLMRTAMPYFFLWALAEP >cds.KYUSt_chr3.38988 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245703413:245710764:1 gene:KYUSg_chr3.38988 transcript:KYUSt_chr3.38988 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPNKDSGIEKLCESMQRMIAQLMEQSQTKTSNTSENLKTLEPNPVRLTGPGNFFSWSRNATLILESHGLQKFLKEDEKKPMDVTQEQWDQSQKRVMVWLLSSMDNAVREQVEGFQTAAEVWTSIEKQFSGKSNKMQVTRILHELRNIKQEQKTVTEYAGEIKKLFRDLEYFRPFKAHDPKDIPLLREWFEPILVQAFLEGLNEEFNLRSQLIHALPDWPTLDEAIASILEEETRLANLVSVPNTGFDNRAALSSLTHAKPYVASKNDQANAMKFGYHRKPRVVCDNCGKPGHMKKDCFELIGYPPGWQKRPPNRTNKENIFAKKPERSHLTATIRESPDAAAHALEEFKSMVAATSTDVPESASTSQDNGTEYVNKAFDSFLSSHGILHQTTCVNTAEQNGVAERKNRHLLEVARSLMFTMNVPKFLWGEAVKTAAYLINRMPSRVLRYKTPIECLTGTNDFIVPPKIENETQGERSASTDEGDADSTGHEASNIPSDQQVVEPNTPFMSPRASTSEPVHHEATEDTEHSSVGREASSQGEIIHDVAEGEGNENHDPTPTNPMVDYPIALRKPPRHADVPARLKDYVGYKHNLAKYLSYERCSASFKNFIASLDSTYVPTDWKDAIKDPQWNAAMLEEMEALKKNKTWELVTLPKDKEPVGCKWVYTIKHNPEGKVERYKARLVAKGYTQTYGVDYEETFAPVAKMNTIRILISCAANLGWDLHQLDVKNAFLHGDLQEEIYMKIPPGFDTAQTEGDDDAGIRDLKLHLTREFEVKDLGQLRYFLGIEVSRSSKGGCGGGTLLPPPEGSGPAVLLLPPVGNHRHRGHQLLLGVGRIVFITITTISTTFISRSDVSPS >cds.KYUSt_chr4.10992 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66769533:66770114:1 gene:KYUSg_chr4.10992 transcript:KYUSt_chr4.10992 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTVSSCFSPVFSSSVSVADPPKTLMASRLLHLRRLLPAARPSAAAAAFSTSVTPTPRVSGLVDEICGLNLLEASSLADALRGRLGVDQLPPLAILMGGAAPLAGDGAAGAAGEEAKPKEEKMAFDVKLEGFDAAAKLKIIKELRAFTSLGLKEAKELVEKAPAVLKAGVPKEEAEGIAEKLRALGAKIVLE >cds.KYUSt_chr2.51952 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324302116:324304164:-1 gene:KYUSg_chr2.51952 transcript:KYUSt_chr2.51952 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHITSGPGDILIFMKSQEEIEATCYALSERMEQLISSSTKTVPQLSILPIYSQLLADLQAKLFQKAEEGTRKCIVATNISETSLTVVFPCSRAAADQRAERAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTEIGWKMVEFPLDPTLAKILLMGEQLKCVGEEKKLLWELEKERSSMIFENEENMKDYYDLLQQYKTLKRDVRDIVLLPKYVLPFLQSGRLVRVQYSTDDQPTFSIDENVTWGITINFEKVKTNAEDIKPEDNDYTVDVLNRCSVNKDIRGKKIMKIIPLNACGEPVFILLPLSQSAINTMYGLFLLYMRASALS >cds.KYUSt_chr6.12149 pep primary_assembly:MPB_Lper_Kyuss_1697:6:75669351:75670631:-1 gene:KYUSg_chr6.12149 transcript:KYUSt_chr6.12149 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPHLFLCPISMELMEDPVTVSTGVTYDRRSIERWLFSYGRTTCPATMQPLANLDLTPNHTLMRVISSWLDRGSSSSSSSSSPSTSSLSSPVHGLETPLSRMLEEERLRSALADLEETPFKVTALKNMRSCMAGDVAMQSVFVASGGVEAVGRVMAQTLAESGLGGDFSSFAACEEAAAVLALLPLSDEASVGLVLAPERLRPVMVLLQRGSAEARLHAMDILTKISNAGAGDRWAAGIDVDDVLKSLLELLADEVSARLSSRALDVLLDVVERSPSGRAKAVEVGAVHVLVELLVVDADDRHVAERILLLLKRLCKCPEGRLAFAEHDLSVPAVARTMLRVSDLATELAVKVLWLVSVVAPSEKVLEDMVLTGAVSKLLGLLHVESAPSTKQKTVRMVRINEVFWRQYPCFPTDLKDNLSLLD >cds.KYUSt_chr4.8274 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49510467:49514617:-1 gene:KYUSg_chr4.8274 transcript:KYUSt_chr4.8274 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSWMTPMKMRKRKKRKPELMGQNEYKKDGFIVDDTDEDGKEEEEEARAGGEQRRDKTKERQNEYENDDFIVDDVDEEEEEEARASEEGQNEYEKDDFIVDDADEDDEEEEEARDGGEQRSDKTKEGQNEYLKDGFIVDYTDEDEEEEEEEARDGGEQRRDKTSVIGNHGERGQNGPRWYKMRELQAPDLAYEVDNIRLAMTLAFYDDYLKFPANWKRANANTYILYYDGPKNVCSEDGRQHEEKGSGKAWQQYLSQKSDAMLST >cds.KYUSt_chr6.397 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2454516:2455553:1 gene:KYUSg_chr6.397 transcript:KYUSt_chr6.397 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTYVPPGSAMYVFVKQFNKLLYDRDVEESFQEKRTRLGGVVCKVGEPMENHAAKIYMRTMFEKFQDSMYKIGSYYADKVVPGEMYVTTHFDCESREKWCKVQYKVSGSGGYYTCECGMYEHMGMLCCHVLKVLVHLSYTSIAWKWDVNVDAYTTTMESIKVMVPKLKKVGVEGDGLGLEARLNVKKARVDGAAAQMVVQVPPTWDNGVSRCNQSGCNPARSIEEQKWWKANK >cds.KYUSt_chr1.38410 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234670991:234671449:-1 gene:KYUSg_chr1.38410 transcript:KYUSt_chr1.38410 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEAPAEEPSPPRPPPPEKRASPADAEVQEERPEPKRRRARVAALENLPRAATAAAAVAREEEDDDAGDGGGSSFSFHARSFSGVETTPKFGSFNPAAAQFVAFHLTPPPPPLVNPAEDEADSPPPPPVGTHDDGNDEDKGKDGNSH >cds.KYUSt_chr3.29911 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187160420:187163869:1 gene:KYUSg_chr3.29911 transcript:KYUSt_chr3.29911 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQGPPKHQNSYAWKPNLGRKINETEPGGRFRPLSEITGVCQRCRDQIDWKRKYGKYKAITEPAKCQKCSKRNVRQAYHNVCTGCSKELGICAKCCTSVKQLIGRDAEEVDNERKELEEAMRFARERERRTLLRLMNKSKDEESGPSVPKVADRDREGDLFPVASLDEYAEQAIQNDDSDEEEPDFVEG >cds.KYUSt_chr3.11840 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70586439:70596693:1 gene:KYUSg_chr3.11840 transcript:KYUSt_chr3.11840 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGRPAAIDDETPPPPPAKFPAAGVPVVRRDEEARKQARARDEALERRRAAATAMATCQVRSPVPRAVEGEQVAAGWPPWLVAVAAEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLERQKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGLKLTEPQVKCYMQQLLRGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSRLPHATIFKPQHPYARRVTDTFKDFPSPARALVDVLLSVDPADRGTASSALQSEFFTTKPYACNPSSLPRYPPSKEYDAKRREEEGRRQGTAGGKQNPERRTRESRAVPAPDANAELVSSLQKRQAQDNTKSRSEMFNPCKEDSASGFPMEPPRSTHATESSENSKRVYPARTFHSGPLVNHPSKPGPSKNGELHVPGVADLPNFPVALSAGSNTRADGSNGTVVTQAEAFAHGRRLSESINGPFSSSGKYDQAFHQKNDKSSRVDGAIGYGSKGNKIHHSGPLTSCPPGNVDEMLKENDRQIQEVFRRTRVEKSRVRRAHGHTGEGHHQFGLRDFGAVPVFPSSRSSYRAAPHTTGIACSSGDCHLQHHRNRLQLRRRPFEAPPVADSLLVAEAAGIGSTYSQHWEHHRSMLVAQAVEVGSTAGRPLLLRQSMLVAQAAGIGSTAGRPLQLWGQGLAALVPGLCSSATSCSSDASARGSNDGRPWEQVRPPVRASMAKRGSNAFHQHSRQCHLDNPAARVATLQAMASSSAADREVYLVGGRTRRGGGGRR >cds.KYUSt_chr2.38831 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240699461:240705046:1 gene:KYUSg_chr2.38831 transcript:KYUSt_chr2.38831 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFWGVEVKPEKPYMLTPDPRRGRLRLTQATLGAEVGKAEKGRKNVVQVQCAVKNRDPVYLCALVSGQSESCNLDFEFEEKLVTFSVLGPRSVHLAGYYLSAAEVLFLKCSFSDEYDEEDMDCDTCSDELQGSDSEEDLYASDEGVVIPGSHGEMGTDDDSDSEDDLDYNSDYDSDDDEELFSNQRRGKSSVVIEEIQEDDKPAVGEGQKGSNKKQTSEDGDNSRLQVAVRTPTAGSLESEDEDGFPVSDSKSSKGSSKKETKTNEDRKRKSGDIAEPSGDVIAENDRISKKKKKAKDKRTAVDSEKVKDEGKEITQESSADIVEAKQKKKKNKKASASEVDPDQQADEKTITDDVEEPSKQAAKKKKNKKKTKENNTSEKQAQTDVSKSDSSKEETSQTRTFGNGLIIQTVALGKPDGKKAAPGKKVAVKYIGKLKNGTIFDSTVGKRPFEFRLGIGQVIKGWDIGVNGMRIGDKRRLTIPPSMGYGKEKAGQIPPNSTLVFDVELMNVAVKYIGKLKNGTIFDSTVGKRPFEFRLGNGQVIKGWDIGVNGMRIGDKRRLTIPPSMGYGKEKAGQIPPNSTLVFDVELMNVK >cds.KYUSt_chr2.48220 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301520034:301525309:1 gene:KYUSg_chr2.48220 transcript:KYUSt_chr2.48220 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPEGLEVRCAGCGETLEVDPGLTEFICPDCATPQSLPPELMPPPPPRRKALPLPRAAADVRGARLPCGSCGALLSVPVGLARCACPVCGTELAIDTARLRHYLLSSATAEGAVPVVPVGNSSAPPILQAQEVHQEHPNFGICVGFLQKEPDNRPDCMEQVQAKHPKQLIPEQAYQDNPHYTSEREEVHDMNGIFTRHTKQTNMHRVGPGTVSAEKRDGEPLNHVQHQAKVQCSTTRIEERQPLTPNQIIQQAHNQPSGRAICTESAHAEDGDGVIHVHEKKQQHVNQANQTEGLCTQVVNENIAGDSNRRGVRCAARCDATGARKRKAHEANEAIKHAQRQQYDSEYHMESENQVTCAEKEELKSSSCRILKRKKKGLAAASNSGLQLRRSKRLAKDSPASIDQKHVQNEFLELPASPGGQASDTVIDNEPIPRGTVESQGGTPTSHIQATITNSEPPESDHDEQHAGFPDQSLSDSPDIDRVINDICPSPSPRQEVPEPSTNELDGHHLSTPPPSHLDLSDPEQFARNYIPPEVRKALADLGSNSLFEHTMSQASSNEACLRDLTDSEGDDPSFSTRQNVGTNRNQRRQRGLTLSLNVWTLPKGVLIPVSLNTSGEPVGKEAGTLSNFLSAIARDGILAPLIYQDWRCVPEKNKDIMWRIVKAASARNRACQANVVAHHTAGTKSFARIIEEEKKKRPNKDGPTVTDLFVLTHTHKNGKPTKKATADIIARMHEDSQKRAEGSGSDSTTHKSVLEFSSKGLRGKTALQASFKEAMEAKQKAEDEAAALKEKMMAMEESQRKMQEDLANLKSTVSAIDKTVPTGDLPGQQMHNRASGTNFQGSLILG >cds.KYUSt_chr7.3666 pep primary_assembly:MPB_Lper_Kyuss_1697:7:21880037:21883222:1 gene:KYUSg_chr7.3666 transcript:KYUSt_chr7.3666 gene_biotype:protein_coding transcript_biotype:protein_coding MITWKDIYDVLAAVVPLYVAMFMAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISTNDPYAMDYRFLAADSLQKLVILAALAVWHNLLSRYRCHRGGVVGAGDEAASLDWTITLFSLATLPNTLVMGIPLLRAMYGDFSGSLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGRDGRVHVVIRRSASGSTTGGHGAGRSGIYRGASNAMTPRASNLTGVEIYSLQTSREPTPRQSSFNQSDFYSMFNGSKLASPKGQPGVVGGGGARGQGLDEQVANKFKGGEAAAPAYPAPNPGMMMPAPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFAAAPAAAATPRDGATPRGLSGTVTPVIKKDASNGDVGVEIEDGMMKSPATGLGVKFPVSGSPYVAPRKKGADMPGLEEAAHPMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRWNIQMPTIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKSVATFAMAVRFLTGPAVIAATSIAVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLVALPITILYYVLLGI >cds.KYUSt_chr2.10645 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67729341:67731581:-1 gene:KYUSg_chr2.10645 transcript:KYUSt_chr2.10645 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSALPRGVQAVLVTSGQLRQLDPLLQVPPLLLTNTLIAAFSRASLPRLAFPLLRHILCCAHPLRPDGFTFPPLIRASPGHASAAQLHACALRLGLLHPSVFAPASLVHAYLRFGRVSEAYRVFDEMPDRDLPAWNSMLSGLCRNARADEAVGLFGRMVGEGVAGDTVTISSVLPMCVLLGDRALALAMHVYAVKRGMDKDLFVCNALIDVYGKLGMLEDARGVFDGMECRDLVTWNSIVSGHEQGGQVAAAVKMFRGMMDSGVSPDVLTLVSLASAVAQCGDGCRGRSVHCYVMRRGWDVGDIIAGNSIVDMYAKLSKIEAAQRMFDIMPVRDAVSWNTLITGYTQNGLANEAIERYGHMQKDEGLRAVQGTIVSVLPAYSHLGALQQGMRMHALSVKTGLSLDVYVGTCLIDLYAKCGKLADAMLFFQNMPRRSTGPWNAIMAGLGVHGHGAKALGLFSQMQQEGIKPDHVTFVSLLAACSHAGLVDQGRSFFDMMQITYGITPIAKHYACMVDMLGRAGQLDEAFNFIQSMSIKPDSAVWGALLGACRIHGNVEMGKVASQNLFQLDPENVGYYVLMSNMYAKVGKWDGVDEVRSLVRRQNLQKTPGWSSIEVKRSVNVFYSGNQTEPHPQHEEIQAELRNLLAKMKTIGYVPDCSFVLQDVEEDEKEHILNNHSERLAIAFGIINTPSRTPLHIYKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDGHCSCGDFW >cds.KYUSt_chr7.36055 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225330247:225330904:1 gene:KYUSg_chr7.36055 transcript:KYUSt_chr7.36055 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSARLDRAGPLVLLDLLLLPAKPQRQASLLVIDLVQPVSYRTNLFCPDVLLQELKPVSYRTNLFCPDVLLQELKVS >cds.KYUSt_chr6.2874 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16956436:16960710:-1 gene:KYUSg_chr6.2874 transcript:KYUSt_chr6.2874 gene_biotype:protein_coding transcript_biotype:protein_coding MKISALLTSAGINIGLCVLFLSLYSILRKQPANIRVYFGRRIAEEHSLLREAFILERFVPSAGWIVKALQCTEEELLAAAGLDAVVFNRMLVFSLGQFTVLVRGIPKTTKESCSSDVDDFFTKYHASSYLFHQVVYKAGKVQKIMTSAKKACRKLEDFTVTMVDHIVLPNDEVVENRGEDDLNDSISNTDNNTDNELLNVYRTRYDTGGLYWPIAHNTVIFSLVLTQIICLGVFGLKVSPVAAGFTIPLIILTLLFNQYCRKRLLPLFKTFPAQDLVDMDREDEQSGKMEHIHHQLHTAYCQFPDTEEMQLEKIRIAGNDKDDEERCSSGESNSKETCEERQPRKDLSHPTLKGLPVNRLQNAIRSITFLIRLQKRGLS >cds.KYUSt_chr3.8422 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48733768:48734624:-1 gene:KYUSg_chr3.8422 transcript:KYUSt_chr3.8422 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYWSHFYGTDHLSILFRFPSTAILFLPQILKLDCELEAQGHRSICCPALWLDFEYQLFAYAVAPALLMAAPLARMPLLQAPRRDCPTSRTPPRRHLRPSVSFTAAAVPGGVTGPVLRTCKNCKKQYDPAANHPSSCRHHTAHFGGETKRKFESVHAGGTMDTPGAGKVLQYWHCCGSENPFDVGCTAAPHSSYDD >cds.KYUSt_chr5.8998 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57173360:57175327:-1 gene:KYUSg_chr5.8998 transcript:KYUSt_chr5.8998 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPNLDDLLLQAAGRTVGKGSQSRQPNQRWQQPGRRREGSYSGGSGSDGSDDGDSDSDVAPSHPRKRLPPGSQVPLKKRHQTEKGGGRGGYDDEDLDDEGRRSGGEDSDSAPSVGSDLYKDDDDKEQLESMTELQREMILAERSTRIDDYKLKKLARASSSKTEKARKDSPPPPLSRSRASTRTDRSATKSALDELRAKRMRQQDPEGYRNRFKDLVAKPGSPTRRRAGSPPSDGSNERTNGHGGVADDGREDEFDESPSRLDPLKFDDVKSITLRRSKLVKWFMEPFFEELVAGCFVRLGIGKTKNGTPKYRLCIVRNVDASDPDRKYKLESYTTCKYLNVVWDNEANAARWQMAQVSDSPVLEEEFKEWLQEAEKNGVRIPTRQEVLEKKEGIKEAYNYVYSADTVQKMLQAKKSAVRRPMNVAAEKDRLRDQLEMALVRRDEAEAERIRAKLNQLQKIAQPLSTNEKAAKLEEMNRKNRAENFKNASEMKAVNTSLKAGEAGYDPFSRRWTRSRNYFAGKPGGEAEGDNGEAANGNDGNVMADKEDVKSGVRVGTVVTASALVAAAEAGKLVDTNAPLDLGTETNPLHNFELPISLAVLQEYGGPKGLFEGYMARKQKIEATMGYKIPDNDGRRHALTLSVSDYKRRRGLL >cds.KYUSt_chr2.10782 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68570438:68571239:-1 gene:KYUSg_chr2.10782 transcript:KYUSt_chr2.10782 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANPYEEQRRRQVEENKSKLEELRLHRLSAAVRVAAVKPMPKVTIRPRNHRPPPDVIRRSARIARLDKQPYFRITKAHRDKKAELPRPVYATNEERAYAIAKAQELKDQLDSHYPAFLRPLSLSYAAGSWLSIPLQFSKRYLPRCDEMILLVDEEGAEFQVLYRAHSSALSATGWKPFASAHKLADGDCLLFQQVERIKFKVRF >cds.KYUSt_chr5.37314 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235943418:235945104:1 gene:KYUSg_chr5.37314 transcript:KYUSt_chr5.37314 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTTKIVKKRVKHFKRAHSDRYIGLKPSWRRPKGIDSRVRRKFKGVTLMPNIGYGSDKKTRHFLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTQKRKSIVERAAQLDIVVTNKLARLRSQEDE >cds.KYUSt_chr1.25172 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151029787:151033412:1 gene:KYUSg_chr1.25172 transcript:KYUSt_chr1.25172 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGVNALCTSGSAAVLSSSRSFFGVEDFMDEDNSRPYTYKKEKRSKNLHKHISFKQRTIAHMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDVKAALTSRSDVPACLSVGRFLAERAKEADVYACTYTPRERDRFEGKIRAVVQSLIDNGIHVKLYLD >cds.KYUSt_chr4.18426 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115803475:115804032:-1 gene:KYUSg_chr4.18426 transcript:KYUSt_chr4.18426 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSHLNDHGHSPLFLGQSGTAYYTYTTHGAKDLVMAYNCAHSSVRVIPSPDSVPESPRNRCIGERQGGSLRHAHIDSSVFEVWDLRQESENGGTLVHQVSIMELAQRNLEEATYSAKCERSTVQGLIDSYSLFPLLGFHPTEDIIYMDVGHYVAAYSMEQGTMRYESPRQLPTCMGIPLTRW >cds.KYUSt_chr2.11825 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75254487:75255365:-1 gene:KYUSg_chr2.11825 transcript:KYUSt_chr2.11825 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSSGVGRTRRTVRSTVGRTRRPVGRTVGRTVGRTVGRTVGRTVGEDGGEDGGEDLEEDEGLGGMSPELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKYGNMQERVVRHFWKYFKRAEGKEIACDVILHELCRVRVTGMHYEARVQ >cds.KYUSt_chr3.218 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1271472:1275279:-1 gene:KYUSg_chr3.218 transcript:KYUSt_chr3.218 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAEAVPDEVRRRWWEAFSHGFAVDQGEYEEPEVDVDAGSDFERVAGNAHTRVDEQSIPAAAAARHCEGEEELPGDGYVQLQGNLLAGTKRKAAATHAEPRTTKAAIQDEKGVSTAEDSYAKILGGLLQGRMMESPAPPEKQLQPTTETEIHDKKCSSYKKGHQLAAAEENSGVSVSESDSKMKAASQAPVEEPSSGGAANRHSDDAEEYVPDHYYVQLQGGLLGRKWNAIATHVEPQTTGKKIQDEQDGAVSGDCYVRLQGGLLGRKRKASATDSESQITRTDIQDKQGQDHLRVDYREYRVRGPEMLVNHS >cds.KYUSt_contig_959.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000088.1:76918:77589:-1 gene:KYUSg_contig_959.15 transcript:KYUSt_contig_959.15 gene_biotype:protein_coding transcript_biotype:protein_coding MMKELRLPGPEYIHDVAAWDSFTTKVVFFPSIQFMRASEEPYSLYGYPAMTLEAAKRDAARQAIAYMEQSEKKVPSGFADAAFEEEKKRAEFLRHELEKNKEKLIRKFNQPIQTAAACDEYIDTVTESNRRIKDIILKTLTPGCTTPPHQCQSSLLEIQNIAISLSQHTAKAIVMVRAAGPSPFGEDTFHNDRLSSSGNSDLSSTRFAPPEFDSDGRPTDYSV >cds.KYUSt_chr3.39733 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250374570:250380803:-1 gene:KYUSg_chr3.39733 transcript:KYUSt_chr3.39733 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKAAAAAAAAAEPAAVEDLFSALHRHIEAGEFPQAVKVADQVLAAAPGDEDAVRCKVVAHIKSDATDKALAAIRAADRLPIDLSYYKAYCYYRQNKLQEALEILNGQEETAAVLQLESQIYYRLARMTDCLNSYEKLQKFKIDSMDLKINTIAALIAAGRASEVQAAMKAQKVDLTTRALRDARSFELAYNSACTLIENKKYPEAEEQLDLAKRIGKEELMVEDYAEDEIEYELAPVSAQLAYVQQLQGQTQEAMETYVNMTNKKSGDPSSLAVATTNLISLKGTKDAADSLRKLDRLVEKSTAPNQLQLIESLEFKLPPRKKEALYSARVLLLLHANKIDQAHELVSGLLGMFQDSIFPILLQAAVHVREKKVPKAEEVLSRYAEKHPNNSKEVLLALAQIAATANHFQIAADSLSKISDIQHMPATVATLVALKERLSDSNGAANVLDSAIQWWKNAMTEDNKLDVFMREAASFKLNHGRDEEACQLYEELVKSYGSTEALAGLVATSARTDLEKAELYEKKLKPLPGLKGINVESLEKTSGARHVDQAVKVDAPEEVKKQKAKKRKRKPKYPKNFDPANPGPPPDPERWLPKRERSSYRPKRNKRVQVRGAQGSVSKHDAAAANAGGASSKASQSTTSAKAPEPPKGNKSRNKKSRR >cds.KYUSt_chr5.32938 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208895316:208896896:1 gene:KYUSg_chr5.32938 transcript:KYUSt_chr5.32938 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 protein, Florigen receptor, Flowerin [Source: Projected from Oryza sativa (Os08g0430500)] MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNEEHVTLIKEYRGKIEAELSKICDGILKLLDSHLVPMSTAAESKVFYLKMKGDYHRYLAEFKASAERKEAAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTELGGAEDGKEASKGEGAEGQ >cds.KYUSt_chr4.3686 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20968597:20971255:1 gene:KYUSg_chr4.3686 transcript:KYUSt_chr4.3686 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTSSHGDLSLEATAGCAFCPTERELTDLYLHRKINNVALPGDTGSFIHVADVYSAEPEKLVEGLHRAPGTGKGDVHKMPVWYFFSPVRYVRGRRTNARGEPGRRSRTIKGAAGTGKHWHSEKGERPVKGGSDYGGYEQYFSYKVKNPSSGKDERGGWIMWEYGISPEHGGGDLVLCKIYPSFHAVAPEAGEPVSDPDVIPKKRKTPAGDHPEDPTVSARRRLTDEHPMLVDSLESDLTWVDESVLDQDAMTEWYGAANLTGHQSTFEAAPPCQNVEDDCWGSYHNDDWVMPEGDLPCAPGAQLEPEEDDAGFLQQTDTEDLVPRQNYNDECQMYASLGEEEQPLLVESKNYDDMTPPVDEDETARPEDLMADFQMNFPQLFLTEEPEMMYGAAGFADQAAPLSNFFENVEQDFWSEYQKDAMMPVGDLAVPAAQLEDDAAATGLVQTVTAAGEVPRQNSNDDGQMYASLGEEQPEHDTTASGEAIFGLPCPAEMTRRSELVCPLCWRNLETAEHLLVECPWSRSLWTEVASRFGLHTLLPENWRSPGLLPAWLASLATAMPTEVKTCKSIALMVIWAIWRERNDRIFRARERRPAKVLRDIIDEHSCWAMAGCRHLRARE >cds.KYUSt_chr6.25288 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160189930:160191207:-1 gene:KYUSg_chr6.25288 transcript:KYUSt_chr6.25288 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSKLLRAACATRPSCSTVRGIAVGARPAAAIRVSSAGSPKSEEEEEEEVRPKSEVARAAHRRQIRAFPLAAPISPEGGPMSEVAPHVPTIRYIHLPVDENALKSDEAMWAFYEYWCKYHGISRDRHEMERRFKIFSDTARDVHRYNSVVCGIGRAAMTKFADQTEEEKACLLGGRTTPVWDS >cds.KYUSt_chr5.37889 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239322612:239329052:1 gene:KYUSg_chr5.37889 transcript:KYUSt_chr5.37889 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGQSMPKTLERYQKCSYGGPDTALQNKENELVASSRNEYLKLKARVENLQRTQRNLLGEDLGTLGIKELEQLEKQLDSSLRHIRSTRTQHMLDQLTDLQRKEQMLCEANKCLRRKEKLEESSQQVHGHHMWEQQHGVNLLLGYDQRQSPQQPQHHAGNGFFHPLDAAAEPTLQIGYTQEQLSNSCVTSFMQTWLP >cds.KYUSt_chr4.8064 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48099489:48100069:-1 gene:KYUSg_chr4.8064 transcript:KYUSt_chr4.8064 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHLWNRAKALAGLSSPTTATPTGVVVLPGGVVGVSIFRHPFWWFLGVVLMAVMLAGGPAPTSLGEGIGFPSGSNPGMRDVKARLFFPFLRAGCSFVSGPRLVLLLCNSSSSCLGSRWLSPSGAVP >cds.KYUSt_chr4.38661 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238569848:238585322:-1 gene:KYUSg_chr4.38661 transcript:KYUSt_chr4.38661 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAKLNGPDEPLVEKEPPPPPKLRTGSERLAADADAVLEPTEPTEDGDDIAIELQRKMSRRRETNLDTFVGFNHGQQYEGDFIHGDISDPELSQKELFDNIKELVEKSVERIVKRRTYLRKKKKVRYLDFIDFGAISLPSTIPRISVWKGKLIKHFSEMDKGRDGYYGNCPIRDYSETCYARHSTDRDQNVCLSEMINNVIDVLRNGDHELEVVNKVDREEYLKEASKKYFWLDPSIPSFRLFEFVDDNGKEIEEGELWCPLGYNCEKQSEANGDTLNLQTRSTDAKPLEIPQPQLPLKNHHDATCSYAYSQKKDNTPTYKMSPEVQFLGERMNKEVTVSPEVQFLGERMASSPEVQFLGERVFNNVCSNMSKLSDDLYNAGLTLEDIPDFRVQIALDTVYNDYNSETEQMDFLSTFDLEFNDLIGNIFFVATSDEVQYKFHVKKGSSKTRVFGSGYQKFLHDYDLKVGDYIMFDFDNAPELFGIFAEGPDGIEKHRVEEIPTAVVHTQGVTLTTAQTLKKDQLLRERGLGLGVVFVHTLTNTDVRANGLRIPKEVVRSLNISESGEACFFVDDYSYRPKGVYYTATDGRMKFDSCWSEFVKEHNFESGNVVLLLFSQGGQGGIEVSVDII >cds.KYUSt_chr2.42657 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265693466:265695626:-1 gene:KYUSg_chr2.42657 transcript:KYUSt_chr2.42657 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQLAACWGKQDDEWRKGPWTSHEDKLLLEHVAQHGEGRWNSVSKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESTIVQLHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQQQQQQQLLLGQGKDDVELATAGTVADVQVDDDKICTVGCAAATLAGHEHEDQLLMRDVMDFLCPMSCVLLHDAGHGGGSCCASTSEQEYGSSEDDGATWGSLWNLEDVAHNVDGGACTLW >cds.KYUSt_chr3.4114 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23412874:23413602:1 gene:KYUSg_chr3.4114 transcript:KYUSt_chr3.4114 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFGEEVDIFKLDTMSRYVGKEKKREDLAREASRLSNEDGKNDKATKYVRDLKAWYGKGVTTLCLIYNQTGDTLRYVDTVDWFGYIGQTPYPTEIGNGQWASFLHVKRSGVSSGSMAGIVYRGKDKDGRERDFMLGWSSPWGAFYRNKAYCEVGNVGSFSSRWDDLYRLVSNADYTWNAKDNGRSSVHASIGVPSSSLFIAYVETPFGP >cds.KYUSt_chr7.18895 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117119289:117122633:1 gene:KYUSg_chr7.18895 transcript:KYUSt_chr7.18895 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALVAVMRSRSLRDPDTNSLAKFSAKKTIWEGCSLEEDDADASNYGRHSFSYNMYDHAQRRREEFGARLANSPINIIKANARVKAALHNQNCCSAISGMSRAAKDRAFSLVVQGEELGRREPQESARSLLQKYRPRSFSELAGQNVVAQSLSSAVSQGKLAPIYLLHGPHGTGKTSAAKVFAAALNCHSPGGNQPCGQCEECVAIFSGNSSSVVEIDASKLDCKSRVAVLLRNACEVPASSHFKVLIVDDCQHMDKEAWYSIYSSLEGIPDRSIFVMVTSDIDKLPNNSAGWCQSYRFCKIDDAEIVRRLSKICTKEGMEFEAEALELLARKASGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLCMSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGKHHSDSSEVRKVTGKNTSAEVDVHKLRNALEILSETEKQLKTTKNQSTWLTAALLQFNMREPYCLEALDDTAGSSMFTESQTDDGAAVLKDESLDTSSHLCYQNKIGCLDMNLGDPDVLETIWMKALENCASKSLQSLLQKDGKLSSLYTTQGVAVAELQFCHPEDVPTSESFWMPLVVSLQNLLKCNVDIRINLSPIPVSNRVVSKNSSVSLVMQSREDQQARNAVATDCRTVASSRRECPSPPPLPGQPKEKASHILGCLHSADIDTGDAESRILSYQKISVMPEASTTGNVPSKAGEYTPKVEEGRTRRRRGCFSKILPRGACTACRESKLCEKHRAQRPRKGLFSCCFCKIRPDCRTKADAVYRSET >cds.KYUSt_chr5.37965 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239813154:239815074:-1 gene:KYUSg_chr5.37965 transcript:KYUSt_chr5.37965 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGKAPVPAKKKPAQVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALHQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKARERLIAKEAAQRLT >cds.KYUSt_chr5.11917 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77429328:77433302:-1 gene:KYUSg_chr5.11917 transcript:KYUSt_chr5.11917 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRAAAVQELRARFAAGQTRPAEWRAKQLRGVLRMATEMEAEICDALYADVGKPETEAHVHEISLVKSSCLFALKNLKKWMKPKKVHARLMTFPSTARITKEPLGVVLVISAWNYPLLLSLDPVIGAIAAGNAVVLKPSEVAPATSSLLAELLPRYVDSTCIKVVEGGVSETSALLELKWDKIFYTGNSKVGRMVLASAAKHLTPVVLELGGKCPVVVDSNINLHVTAKRIAAGKWGCNNGQACIAPDFIITTESFAPKLLEALKKVLEKFYGKDPLLSEDLSRVVTPNHFNRLLDLMDDEMVSDKIVFGGQRDEQKLKIAPTIFLDVPLDSAMMKEEIFGPLLPIITVEKIHESFGLINSMTKPLAAYLFTRDSKLQKQFESTISAGGMILNDTSVHLTNPHLPFGGVGESGTGSYHGRFTFDAFSHGKAVLHRGFGGEAKARYPPYSSAKLKILKGVLAGKLGAHIQAILGFPRGK >cds.KYUSt_chr4.54945 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339361206:339363943:-1 gene:KYUSg_chr4.54945 transcript:KYUSt_chr4.54945 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLHQTPCVGTLLEEMRHVAHEALLGKDYGGSGAPTIKGHLHPSWFSTKLTRGALRAAGFAPAAGGEGRRISCGETSRRYRWENRRGYPRRTFSLAATRRWNSGRHREWNGGLRRRHHGNRWVPRRRFGPGGGLRRRLRSNRLRGNRWAPQQHNHHGNRWLQRHRYHGEPGPSTTAAVRREQTPVVAAEPAVVVAAPEVTAPEVAAEDVVDAVYENEASASNVTADADELLPPPPAFTVPPMDWLLGGPSAGWLADDPERDDDELEIPSPMLRYFKRHGNRPRLPSPTPSDEVAEHFAPLGYADMTEFFEPPAAAPVDALPLALTTNLQTEMEGNEAVATARTRALVPDLNLLEAEDMEEGNEDAPPAPSLALPTPSPEARVLLRRFASVMAARPAGIRRGTWSPEALGLTNGVAELRLNEAASHLPSSSVEKPGRR >cds.KYUSt_chr1.32656 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198321495:198321716:-1 gene:KYUSg_chr1.32656 transcript:KYUSt_chr1.32656 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYCQHSNSGIGSNSGIGSNYGTGAKEKRPPLKRGQLKRQIVRTISNLFAPRSDGTNSEKRAVSRTSFGSYN >cds.KYUSt_chr6.7309 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44455770:44456483:-1 gene:KYUSg_chr6.7309 transcript:KYUSt_chr6.7309 gene_biotype:protein_coding transcript_biotype:protein_coding MLERVLARLPPAGFFRLRGVSRRWRDAAGSPAFLAACARVPARDPWFLMLSDSDRQDARPATPSRSTPARGPGAPPRSPGPVPVAAAGGLVLYRAPDTGALTVANPLTGASRALPPPPPAPLQAVAMYGSPYRVVLILGKLTDLSMSAFDPSTNSWSVPVPLSRKPDPDSPADANTPAPRGNNDDNDVDADADEDDGTVFFLTKSGTVMSSYDIKFLSGTQVLGPFPRSDARFPTKP >cds.KYUSt_chr4.46634 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288283202:288285115:1 gene:KYUSg_chr4.46634 transcript:KYUSt_chr4.46634 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMQCEKEPPKPLYGGGILTSAEAPATVSAGGKKLLMAKSKSAPAKGSTLKVELEKDTHYALSAWLQLSKSTGDVRAILVTPDGNFNTAGMIVVQSGCWTMLKGGATSVAAGKGELFFETNVTAELMVDSMALQPFSFEEWQSHRDESIAKERKKKVKITVHDSDGNVLPDAELSLERVAKGFPLGNAMTKEILDIPEYEKWFTSRFTVATMENEMKWYSTEYDQNQELYEIPDKMLALAEKYNISVRGHNVFWDDQSKQMDWVSKLSVPQLKKAMAKRLKNVVSRYAGKLIHWDVLNENLHYSFFEDKLGKDASAEVFKEVAKLDDKPILFMNEYNTIEEPNDAAPLPTKYLAKLKQIQSYPGNSKLKYGIGLESHFDTPNIPYVRSSLDTLAMAKVPIWLTEIDVKKGPKQVEYLEEVMREGFAHPGVKGIVLWGAWHAKECYVMCLTDKNFKNLPVGDIVDKLIAEWKAVPKDAKTDDKGVFEAELFHGEYNVTVKHKSLKDPIVHTVNLDSKSEVTIKAKE >cds.KYUSt_chr3.12382 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73998630:74002414:1 gene:KYUSg_chr3.12382 transcript:KYUSt_chr3.12382 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSAFFISTSSASSTSTSSESIDADDDVASDHGSGALLRTHGTGLSNDNGGDSVSCDTKPGALGSGALAVASASIDWCCRPGSNAADVGTFAGAVWPVKLRTTSMNFAISAYTNMVDGWASVYTRGAGGEGLRSMVAKLEKILSSYSVTCEAAQVITGLQAIHRGQLLAEALTEEGNSRAMGSGDWGPVLIAVLFFVVLTPGLLCQIPGNDRRVAEFHSMRTSYLAIFVHTVIFFGFCAIFMVAVGVHLSSG >cds.KYUSt_chr6.2812 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16543852:16545313:1 gene:KYUSg_chr6.2812 transcript:KYUSt_chr6.2812 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAEPEALASQAPAFAGDGGRAPAKSYKPLLQGRSGGAAGRPPPPAAAKAVCCAQQLAAKQHRPYFQRRSLLLPTSVVDGATVKFWKDAYPPRSKLRRVQVQLVESLCWSSFIVCTGYGNVEYPKGYAHVSSPRVSGRSEASGRD >cds.KYUSt_chr1.28018 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168957066:168964732:-1 gene:KYUSg_chr1.28018 transcript:KYUSt_chr1.28018 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCSLPATCSTFCLRGAEHPNSRALPARPVSFGSCPGSRPRVGLVLAASAWEFRASPSEPKTVGRLVIGGGPRKDDTSSESDDEDDDDEPPPMTDEERKKLRRKIREMMDRMPEMQELTDPEEKKAKMRELLTKYELVVEEEDPEWPEDADDGMGFGLGQFFDKITIKAEKRDDAADDDDAGDGTKKEIVWEDDNYITQVRDVKTKDWDDTVFTDFGPLIVLVHNRYKRPQENVMARKELVKAIETFWEHDLPSPRCVAVDACAEPDLVAALKVSSFPELLFTNAGRILHREKGILSLTCGMHALRVYGMILFGIQLSRNIRTLDPDPILHIAPCFKSSRPCITPSHAGETFWIKAMSRRTNCLERVKNERVQLLWKVRIQGQLPANDKKTVESAVRNIISDEVQKLKQCEEDKDVDMIWEYQGPQEAEPAEFDSEDILLEMERLLYEDLREELIRKEIEALDEEDAYLAQAAFDHLQLNDEYANKESYERLIISYTVLSVRYGLTLEKIR >cds.KYUSt_chr2.5262 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32681726:32682371:1 gene:KYUSg_chr2.5262 transcript:KYUSt_chr2.5262 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVAAGHGDKATPPAHRQRMKTALDLLHGIRVELTSHENEKEVKAGLPQLLLVCARRQSQGQAARRTCCSWAFLDSDEDAQGYGVLDMADQEEELAHIDPYTTTPTNLKFERIFRAAEGLKLFLILSVSKVAAMEGLFKFLVKLFLLFLLCYFFPKTKLLCSNNVLLHY >cds.KYUSt_chr6.15313 pep primary_assembly:MPB_Lper_Kyuss_1697:6:96041511:96044607:1 gene:KYUSg_chr6.15313 transcript:KYUSt_chr6.15313 gene_biotype:protein_coding transcript_biotype:protein_coding MWTYARNNDSDRIGPDLVGDEFDAPVRCLTKLTKKNPVPEKCLITPYGNGCALSARHVTLMEYPPLPEGEEVSEMELVDEEEPADSKAPTKENEAAKDPAESEDAADASSEEKVLLCPRKLDIRPAKLMLVMEYMGLASQAVTFREEAKLLERSLQTAQERISTLEQKLTEATNAREIAEAKAQGYADLQARVQKAAEEKCAKMEKTSADREILEHDASSRIKKLSRDLHGQNLGTGYSELQERDDDSLPDSLSVLEVHVSFAVKALACARKVFRRLHTVIFPDPQKKAPEALESFGLTFLDAEDPILGFRRSTTKAGVEVTIALVGHSRQEIDWVKVANAEGANTNVQAEEDRVNARVNVLMQLALQENIEFWSNEQRCRAIVLFQDRATQGREQTYFSRGALAMVYNAMFPRNPQPDTMEKLMKLFCSPANIHDFVKAQMVAGTKFALVWVRIHYPKIDLDQVAEGSMLTHRKNRLNIDKHNEAVTAPALKMIDRLLEADQDFFVNYRYDASTREMRSIQKTIDRYF >cds.KYUSt_chr1.36031 pep primary_assembly:MPB_Lper_Kyuss_1697:1:219535694:219536248:1 gene:KYUSg_chr1.36031 transcript:KYUSt_chr1.36031 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHQPEVGTSAQGMLTSGRAEHGRPDHGENPSRAQRIPAREILSNPLLRYTPNPLRPRAAAMVLRLLFPPQCPSVLRPASSLVLSGRSTRCHQGRSARRAHGTAAKVSTSFPSHASLRVIIGRKRMSHGRGRSGELRGGIGDPQQGAASSINTWENRILGVPFHACKLQLMPFPIYTSYILQ >cds.KYUSt_chr4.2052 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11242761:11244360:-1 gene:KYUSg_chr4.2052 transcript:KYUSt_chr4.2052 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPRIEDSCKPLENALTLQAVFQLGLMLVLPMVMEISVEQGFRSALIEFVMMQLQLASVFFTFQLGTRTHYYGRTILHGDIKYKHVGRNFVVYHAKFAENYRMYSRSHFVKGFELLMLLVVYQAYGRSYHSSRLHQFVIFSIWFLVASWLYAPFIFNPSCFDWQKVVADWMDWREWMGKKGGFGMSVEQGWEAWWSREHAHFRNSSIRALVMDIIMSLRFLVYQYAIVYYLNIDHSSRGIPVYALSWLLMFVVLFVLKVMVSIAQERYGIDLQLAFRIVYGLIFLGLVSLVIVLLLIAQACCPLVKAARMWDSIVRLGRAYDDTMGFILYLPIGFLSLFSFVSELQTVLLFSKASTRGIQISRILAGQ >cds.KYUSt_chr1.1320 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7142237:7148415:1 gene:KYUSg_chr1.1320 transcript:KYUSt_chr1.1320 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPGKLESLLLGRKHNLRPEEKNKLRILQGDLQGLIENFLLEPSEVESPASTASFWMKDMRDLSFDIDDFLDELAEAGTSGSRTSTLQTQKLPRVKISRFPKKLKRHQWISVEISGFRTRVKEAIERHKTYLGDCKWRSSTSSGQLGERPHSPILCSISLVSVERSIKQLCSWLANDGQPEHKVVSIVGTGGVGKTTLAKEVYHKLEGQFECRAFVWASRKPDMKRLFTSILSQVRRHQLPDSCEVHKLVLNINKYLQNKTRILTTTEVDAIAQTCSADSSKYMPSKKEVNSKYIFKKEPLNEDESRELLLGINQVLNLGYDNLPCGLKACMLYLCMYEEDRVILKVDLVKQWMAEGFICMMEGKDGNEVARSYFDELVNRGMIQPVDINCNDEILSCKVHHIVLRFIRYKSIEENFSIAIDHSQTAIRLADKVRRLALHFGNVEDATPQVASSMRLSKVRSLAFSGLLKCMPSIVEFHFLQVLILKLWADPDNRSGKHSGSGDLSGNIPESDDLTDNLTEPDDVSCNLTEISQLFRLRYFHLDACYMRVELPTQMQRLKDLIAWEIDAEVAAVASDIVHLPSLLYLSIPSEAHLPTGISRMTSLRTLGVIDLSKNSTENVMSLHELTNLQDLRLTCSTLQPDNLEKNLECLGSIIRKLSNLKCLTLVPAVSPHLSNQHDVSASSMSSSWHCFTIMPLQRLEFSWRCCIFSHLPEWTNEITKLCILKIAVRKLSSDDVVILRGLPSLTALSLFVWTVPVRKIMFHNEGFLVLKYFKFMCAAPCLAFSDGAMTNVRFLKLGFNGNRMERYNLVAAGFDHLISLNKISTKIGCAFAPEYEKTAKAVLTDAISNHPRTPIINVKCVDWNFSGEDEEYTEAQKEIWNQTSGKQDVATTENSDEQGVKDKDLEEDARKQADIRTLTPPESTSQLHPVDIFPDSQNAAGVRLCLEDETASMEDEVQEGPNLGVDDERDVEVKLALRVVEQWGSLSMGDRPVFDSPEDAGEYLAAAACLAAVAGTRVEATLQVAMARLEEEFRQLLIRESTSLARNCLMRNVVPDGAILPYRISPDTVRTLKDITDLMLHGGHGLKLCQVYREVTQHKVKVCLAMLGVDKMSPKEIQSMEWCILDHKMKKWVQALKVLIQGLLADERRICSEIFAADSYAEEDCFTQVAKGCLMQLLRFGDTVAIGKISQEKIFRILGMYEALAELLPELVALFSGEARNFMKEEAERTLVSLGEAVRCTVGEFVNAIHGETSRKLLPDGGIHPLTQYVMDYVVLLADYSNCLNHLLDSCETGELEISDMTPLGYRVMILITHLWDKIEDKAKLYDDEALENIFLLNNLLCIVQKVMNSELKTWLGDKWLRTRGRQMRTYFSGYLRSSWNRVIACLSVDGLLLMMGQSSALKDALKERLKNFNWAYEKLYMTQTTWKVVEPQLREKLKIAISNKLIPAYRSYVSKYQGQLKGLKNFTEYVKYSPEDLENQILDFFEG >cds.KYUSt_chr1.5312 pep primary_assembly:MPB_Lper_Kyuss_1697:1:32673110:32677707:1 gene:KYUSg_chr1.5312 transcript:KYUSt_chr1.5312 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCDGEIPAKRPKISDTGDGGSEDRLSALPEDILISILLKLRNASVAARTSVLSSRWRRLWTLLPGLRFHPTTDPHGIRAALESLQAQVLRRLRVDLLDASSESVAVWLPIAADRLSGSLFITAPWPNETEEDEAAERGDLELPCFENAISIRLELGYYLGLAVSPSGVFARLSTLFLACIHLRGPCTLGDVVSSQRCPALRKLTVDNAWGLGNFTIHSDSLVQIKLKELHPDDALELGNFSIHSESLKLLDLTNLYGLQQFTVMAPTLILLNVTCCFVSGQSHNQPVANISAPQLKSFCWRNRYDPSYEAVEDAEGAPLELPCFENATSIHLELEYLGLVVPPLGIFARLTHLFLDRIELHGPCMLGDVVSSPRCPALRKLVIHDAWGLGNFAIHSNSLLEIELKNLHPDDALGLGNFSIHSESLKLLDLTNLYGLQQFTVMAPTLILLNVTCCFVSGQSHNQPVANISAPQLKSFCWRNRYDPSYEAVEDAEGAPLELPCFENATSIHLELEYLGLVVPPLGIFARLTHLFLDRIELHGPCMLGDVVSSPRCPALRKLVIHDAWGLGNFAIHSNSLLEIELKNLHPDDALGLGNFSIHSESLKLLDLTNLYGLQQLTVMAPTLILLNVTCCFASSQSHIQPVAEISAPQLKSFYWRNHYDPRFEAVDEDPEGGPLELPCFENAISIRLELEYQGLAVPPLGIFARLTHLFLECIELHGPCMLGDVVSSPRCPALRKLVVRYALGLGNFAIHSNSLIEIKLDHLHGLQQLTVVAPALKLLDVMSCFAKGFNYNEPVANISGPQLVSLAWRDAYDSRFTHFGKMENLKWLFTNPFIVYDRAESKYKLYNSHWTGILCHWRHIQNLRIVLIFRAKDITNLEYLMEDITRFPNIINLALDIMAMGHSCGPSLYHILRMCTGVRKLYLTLVDGRPGAQTVCPSGCVCDQPPNWKTGELALNCLQEVEFRNLIGTENEAGLVRRLFDWATVLETMTVTFDSSVAESKGREFCKMLQSFSRPAICLKGPYFA >cds.KYUSt_chr5.1111 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7672552:7679404:1 gene:KYUSg_chr5.1111 transcript:KYUSt_chr5.1111 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSWRATAAVLDRSQNRWVGDGSIEDVRAEVAKMLPETGVPASRKRKIGTDDGALVEKKRNSAARAIMVKESVNKLLQTGLKVLTARSADLRRKIGSQYELPMKKFGIKGRAIKLKAWSPSHMSGLEAPGDRLKRLFPGPSWPADGDGGGMRLLCNFEEEFAEDNWSKLTERVASHMSQSVVALASFRGDKRFFACTGIFIDCNELTTRVLTSASLVRNSDDEDKVADNLKIEVCLPDNEHATGTLQYQDLRYNIAIITIVGSHCTQTAQIYDQLQTEPHGEVAAVGRVYESGNLIATGGTLIDKSSELDCKELKMSTCKITKAGIGGPLIDFDGNFIGMDFYGLEETPYLPRNVVLEVLRSFDAKSGVTDLINGDNPNSSMEALPAFSLPKTAATASWIRLQSSNNCIMDLLRKAAHRNLLQKLYGVGCYITDLSTSSKIFPLAAHLVVLSISLGDSSKFLDKDAEEVPLGC >cds.KYUSt_chr2.51595 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322349460:322352939:1 gene:KYUSg_chr2.51595 transcript:KYUSt_chr2.51595 gene_biotype:protein_coding transcript_biotype:protein_coding MAASADAAAAAAARTLRWAGRAGHLGGVPRAAVIGAIGTVAKAYMALLNTTTVHNADALHRVVKSRPPGTPLLTVSNHMSTIDDPFMWGFKGFPITDAKLARWVLTAEDICFRNAFMSYMFRVGKCVPITRGAGIYQEHMNEALEVLSTGSWVMPEKSFFGRRPPLPLCGKEIQIIVGEPVEFDLPSLKQEAATIPQDTSFERKGWPTITPEGLDEAAQRWLYQKMSDKIQSVMEGLRKTLLNQKQH >cds.KYUSt_chr6.19578 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123220176:123222605:-1 gene:KYUSg_chr6.19578 transcript:KYUSt_chr6.19578 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKPLAEARTQRTTMALLLLFLLIQAPFHAQASENITLGSTLTPQGLNTSWISSSGEFAFGFRSLESNPAVYLLAIWFNKIATKTVVWYEHTNTTVPAGSQLQLTPGGVLSLQDPAGELIWNPGVTNVDHASMLDTGNFVLFGKDGSIKWESFASPTDTILPSQVLPKGAVLHSRLMDNDYSDGRFVLSVELDGNLRFYTLAVSSSSRYDPPYWDSDTGGNGSSLVFNTTGNVYYTLNSGQPIKITSENLDSPADYYKRATLDADGVFRQYVYPRKAAQNSVLNTEWRVMDYLPQNFCKVIPAEIGSGVCGFNSYCSFNTNKSVECECPPNYSFIDNEKKYKGCKPEFAPHSCDLDDTESMQQFSLLPMININWPFGDYERYSPIGEDYCQKLCLTDCFCVAAVHYNSTCWKKRSPLSNGMSGAIVGSVFLKVPRNNTPGSQQSSNSNTWKKERRYWILGSSLLLGGSVLVIVFLISILCFGSYRVISRKKSTQVQSINYEALPLRAFTYKEIEQATDGFREELGSGSSGIVYKGQLQDEFGTGIAVKRIDKMLAETEKEFAIEVQTIGRTFHRNLVRLLGFCGEGRERLLVYELMTNGSLNGFLFCGTRPTWNLRVQVALGVARGLLYLHEECNSQIIHCDIKPQNILLDENLVAKISDFGLAKLLRKNQTQTNTGIRGTRGYVAPEWFKNIGITSKVDIYSFGVILLEIVSCRRNVELEIADEEQAILTYWANDCYRSGRLDLLVKGDDEAIFNINKVERFVAVALWCLQEEPTMRPTMLKVTQMLDGSVTIPTPPDPSSFISSLQ >cds.KYUSt_chr4.55089 pep primary_assembly:MPB_Lper_Kyuss_1697:4:340054759:340058589:-1 gene:KYUSg_chr4.55089 transcript:KYUSt_chr4.55089 gene_biotype:protein_coding transcript_biotype:protein_coding MAARYEPGTGAPTVGLALSGSKSSAYVLQWALAKFAPAGAAFRLIHVLTPVLAVPTELGNYIPIDKVRPDKSDAYVKMVQLKAQEMLARCKNMCNQNKVEAQMLLVNGSDVADTISNLVAQYQIQILVVGNPTSRSAFTRKSSGSRTSSKICKSVPSFCTAYVVSKDGLSSVYAPESEIGSPSGSRVPKGSCSSSSSELFSDGTSSISDMNNGSGQGLFGFPRLPSSNSTSENLGSSSSAEGHRSFTLYDYLTGNASYNDKDRRIASCTGAESSVSSKLWGSDKVDELAARRVEGEVQLREIQSRVDKANDEILEGMAHRCAAEEVTARVKDLVRAEVMQKNRLLAQASKDADQKSKLEQLFVLQGNSYSTFSWEEIDNATSSFSESRKIGTGSNGTVYKGYLNHLDVAIKVLHSNDRTSTKHFNQELEVLSRIRHPHLLMLLGAYPDRGCLVYEYMENGSLADRLQRINGTPPIPWFHRFRIAWEIVSALVFLHSTKPSPIIHRDLKPENVLLDVNLVSKIGDVGLSTLVPLKESLSSRTVYKKTGLAGTLFYLDPEYQRTGQVSVKSDTYSFGMVILQLLTARPPIGLPELVERAVEDGKLRDILDESAGNWPLKETNDLAQLGLSCLEMRSKNRPDLRSVVAVELERLKHIVATASEPAQAMPGLPGPPSHFMCPILKRVMQDPCIATDGYSYERNAIEMWLCENDVSPMTKARLPDKTLVPNRSLLCAITSWRSEGGDI >cds.KYUSt_chr4.4987 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28543769:28546612:-1 gene:KYUSg_chr4.4987 transcript:KYUSt_chr4.4987 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIKNIEQHASDNVNKILIGNKADMDESKRAVPTSKGQALADEYGIQFFETSAKTNLNVEQVFFSIARDIKQRLSEADSKPEDSDKCVFKSEQFLAVSVETNSDVDK >cds.KYUSt_chr5.23341 pep primary_assembly:MPB_Lper_Kyuss_1697:5:151962768:151963709:1 gene:KYUSg_chr5.23341 transcript:KYUSt_chr5.23341 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEGNGGGRGNLQLLVSELCRVQELVRQLELHLQSPDTSVDMCRALSAQIVALTDRSIGFVAAHFPDAAPTPSTTSSPLSDVSDQPFRTNTKKRKATARWTSQVRVSAAGGAEGPGDDGHSWRKYGQKDILGAKHPRGYYRCTHRNSQGCAATKQVQRADEDHALFDVVYHGQHTCMPTAGRRPPPPNQHNPHAQSLLHSLSAGLTVDTDNGGLHGVVSPLTPENRPVVARGQSMASPVASDSNGGLAMSPYPVTAYTDWLSDGALQEVVSAFTAVSGSAPAPTMDAEFMPYCLFDYDLTFNVDAQPSLFP >cds.KYUSt_chr5.22408 pep primary_assembly:MPB_Lper_Kyuss_1697:5:146192451:146196696:1 gene:KYUSg_chr5.22408 transcript:KYUSt_chr5.22408 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRPKPRDISSHHLPRTSHILPKLRSSPPMALLFPHPALSPKPPFPSTRTRRTTCISGASSALPSAAAPSPSTSSPYKAPAPDGGAEVSKKKKRRNLKPSFEEQALRRWSARAPSQRSSFPWQRQPQQQPRPAHREDEAAHAQEPTSATLRSIVEYFDYDSSAGGDGGVDVDVGAGARGDRGAGKGKNSVALGEAAQVRDEEPRSQPSYLLGSRPVSAPWMHGQERHATVDRLVSGPADDGDEEEADRNGVFDDELGSVEEDEEWVDNLAVLEEEPIAEDLEGELYEDEDPASPTANSSFQLDPILDRGSTGSGFDMSTRRSSVSSIVNTLRNSMEESATIGRSDAEDFVQKLGPVLLPWEREGGGASDGGMRRKHTNAELAERTIPEPELRRLRDVALRMKERMRVGPGGVTQAVVETIHSKWKVDEVVKMRFEGPPSLNMKRTHELLEDRTGGTVIWRSGRSIVLYRGMNYNLRCVQSYAKTAEVDSSQEAGDAISAVPSSLQKSSANDVNRATSIVIPSQEATETFDIDSFLDQLGPRYKDWSGRSPIPVDADLLPGLVPGYKPPFRQLPYRTKISLKDKEMTALRRLARQTTPHFALGRNREHQGLATAIVKLWEKSSIVKIAIKRGVPNTSNDRMAEEIKKLTGGVLVSRNKEYIIFYRGNDFVTPKVRQVLVEQQQHAITQQDQEELARLKAAASIAPISNSLKNPLVAGTLAETREATSRWGESLNDELRKKENTRLILAKHTSLLRNMKRKLILAKTKVAKAEMALAKVQKYLSPAELPTDLETVTDEERFLFRRIGLKMKAFLMLGRREVFDGTVQNMHFHWKHRELVKIVVKGKTFEQVKHIAISLEAESGGVLIALDRTTKGYSIIFYRGKNYKRPEVIKPRNLLTRRKAMARSIELQRREALNHHISVLRHKIWKMKSQLVQMRAAGERQDAGLLQTVDEDLSSDDDNIEDEGDEAYLQTYISDDEDDADNDSNEYL >cds.KYUSt_chr3.15839 pep primary_assembly:MPB_Lper_Kyuss_1697:3:97315948:97317144:-1 gene:KYUSg_chr3.15839 transcript:KYUSt_chr3.15839 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRNSPSLGGGGEQMRLQQWREDPADIEYDSSRISVVDKPPPQTERLVIMRGDLAKMDIHHILPDGKHAKRTADMQDFLDKSPEYKDSLSVEIFSFTAPKIDEENVSNDPVWRTKKDSTKNASKSKN >cds.KYUSt_chr2.6048 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37645172:37651400:-1 gene:KYUSg_chr2.6048 transcript:KYUSt_chr2.6048 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTCRRQRPILFRALRWSDFAGRVASTRRRLGFTRGSLYLSRNLPRLAAFTTTVSLLSQRNDMSSLPNPPPPPPASNGGPRRGEHRLRPPFPTPVGGGTPAHGELPGAAGLLRARRMAPERGGVPIPPLPVGGDELDAAIDAVRVTLTDEERYRADNYDACNEFFRLRHERELAAGVLRCPPFQLRGFEKLECAISSSAIKQTTSECSLFLCYGSGPFVAFSNSSMASSSSPRPLEPVADLPSSFSPASHHPLKFITDVGLTVSHAESKVSPCQQADGSPSADVLEPEVTLPEDILWKIHALMPMQDAARAACLSHSFLHSWRCYPKLIFDMRALRKQTKDFINRVDHIMQNHSGVGVEIFKLQTRNDFSVHPSYLDRWLEVALTPGIKEFVLGLPIENEMKYNFPGSLLSRERAHSIQYFHLSSCMFHSVGKVGCLSSLKTVRLHDVGITEEELCLLLSNSFTLEHLDLESCYDIRCLKLSHLLSKLNRLDVRGCKMLQMIECSAPKVSILNYEGPTIPISLGDSLQVKKMQMTSTDVPNLLHYASTKLLSIAPNVETLFLYSLYEKVNTPMVLGKFLHLEYLEIKLFIPSRSPDYDFCSLVSFLDACPNLKMFVLRVEVPTIEPGLIPGVQIGEDLLFASCVRKHRHRKLKSVIINGFRSWKTMIELTRCILDYAASLKHLILDTTNGYHRRRSAKCFPLGKDTLIEARKALEAIMTYIEGKVPSKVNFKVLEPCKCNKCQEV >cds.KYUSt_chr1.27736 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167145134:167149202:1 gene:KYUSg_chr1.27736 transcript:KYUSt_chr1.27736 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPAPALPLRAPAPPQPAAAEPAARAPAPATPAHPPPAPAAAGAARQIFSVELRPGETTIVSWKKLLKEAGPGPALPPSLPAAAAAAAAPVQPVVVGPLAGPSGAVHPAENDPNDPSQSNRFNSVIEKIERLYMGKHSSDEEDMDDVPDDDQYDTEDSFIDDAELDEYFEVDNLATKHTGFFVNKGKLEQSENGSVQNVVPDGSIQNVAPKKRRRRDPSSSYIENSREIRPDGMAVKPPKRNTLEIGKNELSSYSEYHSEGSKSVKNRSNSTGRMQKINSSDNAIGAEYASHLKIPSKDVSLPSSEIKDLDKHKTAALQAVDFSRKSRTNDTYPYSACLDKDAPVQLDLPSKKSSNGAKQDLSNKMRRKEDYGATQFSGLATANNVHSTQTMVGNGGTGIEHASMQLSANRRAEGSGIKAKGTRLERAIRDLQNIIDRRLLTFRRLIQILKQRSKEDCLKK >cds.KYUSt_chr1.25516 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153065713:153067047:-1 gene:KYUSg_chr1.25516 transcript:KYUSt_chr1.25516 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADPEEPSATTPALPDDLIVEEILVRLPPKVVLRFRAACRAWRSDTSTPMFLRSNYDHQVPLPLVFFSNCTKDPDTLDAIDVLAAAGAEYRRTLLAFGCGTLHASYEGLLLISHENARYTIYNPATRQGVSLAELTSARYALLYSSRSSDGGVECRILFCKGRPAMYHVLTVGSSAPPRRIHLPQGPKDFTKLMAAGYPSFQSPSIQFNGALHWLMGKSLVVFDKVVESFRSMRCPSALLDARLFEIDGTFGMSVRGARDTAVSVWVLQDYQAEAWTLRNDILRDAISFPYISIYLKLQKSGN >cds.KYUSt_chr7.36930 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230632671:230633780:-1 gene:KYUSg_chr7.36930 transcript:KYUSt_chr7.36930 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPPPLLLLTHHLTTATAAVSALILHPAPASRKRNRSDDPDPDDDPVLVVPPPPPAPPLPPTSPDHYPLAFRVSAPTFHYLSGLLDPLLSHPFLPPATLLALALARLASGLPYPALADRFRVPPSAPRAASRRLRRVLLANFRFWLAFPTAPTTPTPSCRGALACARFAGPSGPLAAQLVAGASSRILSLAAGFRGDRTDLEVLKLSSLYHEVEQGKLLDPAQYLAGDGTGYPLLRWLMVPFKGPMMPGSPEAEFNAAHKAMCRPARRAVRSLMGWGAVARLHEEESPRAAVTCIGTCAMLHNVLLARDDFSALAPEEEGDEAAICSGAMQSRRDGSAVEQFKVDERAVAMRSALAATVSDWRTHT >cds.KYUSt_chr3.7377 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42670025:42673532:1 gene:KYUSg_chr3.7377 transcript:KYUSt_chr3.7377 gene_biotype:protein_coding transcript_biotype:protein_coding MHVQEPCDYHLPSSAQFVGPWHGPAQATALIAGLAVAGAALAGRYSIQAWHAYKARPIVPRMRKFYEGGFQATMTRREAGLILGIRENVRPDKVKEAHKRVMVANHPDAGGSHYLASKINEAKDVLLGKTKGGGSAF >cds.KYUSt_chr3.37259 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234295593:234295868:1 gene:KYUSg_chr3.37259 transcript:KYUSt_chr3.37259 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKPELSEFVLNRLVQLIRSGVCFNMGFKEQQMKKVAVDVLAFAGVHVTTLQLYNHIRNWRTKWSVIMKMKSDRILDWSEDGCCFYGGDS >cds.KYUSt_chr2.36041 pep primary_assembly:MPB_Lper_Kyuss_1697:2:222724830:222725822:1 gene:KYUSg_chr2.36041 transcript:KYUSt_chr2.36041 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPRRPEPAAIDITWLSCRGVRSSLPFHTPCLYASVCVTSYAGKSARGRRLHRVKTPTDRVGGENPEWDERLRLHLTDATASSPASDSGQESPGNNKDGRADDDDDGVLVVRFDLKAEVAVLGDVLAASAVVPLAGLVADGRTHRVSYQLAASSDGRQPNGVISFSYAFHDGTNDGDQEEEEDRRSDGEPVTPPSPVSLAPASPGMYPAIDWPSIEQLTVYPPLTEKIAIYPPLAAETVTATRSSHCYYASAPPPETPVRPAAIYPPPLTREISARGIYPAVGEPDNGLYPTVDLAPVSCYQPMATPCYGGGFGYPAVPDWDTRCLYS >cds.KYUSt_chr4.41370 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255913568:255915055:1 gene:KYUSg_chr4.41370 transcript:KYUSt_chr4.41370 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTTSRPLLLPRQQAVASFLQCGLPRRTGGGLFAGQASAPSMRCMAVVDAASAPVAKPKTRSSYDIITLTTWLLKQEMAGVIDGEMTIVLSSISTACKQIGSLVQRAPISNLTGVQGATNVQGEDQKKLDVISNEVFSSCLRSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPADECLAGIGDSPTLDEVTQMCVVNVCQPGTNLLAAGYCMYSSSIIFVLTIGTGVYVFTLDPMYGEFVLTQEKVQIPKSGKIYSFNEGNYALWDDKLKKYMDSLKEPGTSGKPYSARYIGSLVGDFHRTMLYGGIYGYPRDTNSKNGKLRLLYECAPMSFIAEQAGGKGSDGHQRVLDIMPTEVHQRVPLYVGSVEEVEKVEKFLA >cds.KYUSt_chr5.11517 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74667910:74670628:1 gene:KYUSg_chr5.11517 transcript:KYUSt_chr5.11517 gene_biotype:protein_coding transcript_biotype:protein_coding MEHATMEAGGKQGAMSATLLSLLGMTTSEKGSAAADDKLEWLRSQLIGKDVEFDTPFGRRALTYADQTASGRSLRYIEDYLVKEVLPFYGNTHTEDSHVGSKTTRLVHKAARYIKRCMGAGAGDALLFCGAGTTAAIKRLQEVIGVALPSVEMRDRLSAQLRNEERWVVFVGPYEHHSNLLSWRRSLAEVVEIGLDADGLVDVAALRRALGSPEYADRPMLGSFSACSNVTGIMTDTREIARVLHQRGAFACFDFAASGPYVKIDMKSGELDGYDAVFLSPHKFVGGPGTPGILLMNKSLYKLTSQPPSTCGGGTVAYVNGFNEDDTLYYDDIEEREDAGTPPIVQKIRASLAFWVKEHVGYDTMGLRERVYSEMAMNRLAKNPNVRVLGNTRVDRLPIFSFLVYPAVVKQSLFNGFDEASSDEIVTENMRSSKQLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHLLLDVDKELSLSLRSAILEGYSGLKPGWTRLSFSYYLSKEEFKFILSAVEFIAAYGHRFLPLYKFDWITGNWTFRKQAIKYHIMREELSLGAEPLKRPNHKTNPHVADKLEKPQAGNHKFQSYLESANKIALSLPDVNQQTLSIPKGVHPELVLFHI >cds.KYUSt_chr7.8994 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54730798:54735297:-1 gene:KYUSg_chr7.8994 transcript:KYUSt_chr7.8994 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPPTMDPEAPGTPPETTQGQGGRNRRLPWRMTLSLAYQSLGVVYGDLSTSPLYVYKAAFADDIQHSETNEEILGVLSFVFWTLTLVPLLKYVCVVLRADDNGEGGTFALYSLLCRHARAALLPPGRGAEPGDDDQELSDAAGKKYLDYGGNANAPLGGGGAAASVRRVLERHRVLQRVLLVLALVGTCMVIGDGVLTPAISVFSAVSGLELSMEKGHHKYVELPLACFILVCLFALQHYGTHRVGFLFAPIVIAWLLCISSIGVYNIFKWEPHVYRALSPYYMYKFLKKTQRGGWMSLGGILLCVTGSEAMFADLGHFNQLSIQIAFTCMVYPSLILAYMGQAAYLSKHHILEGDYRIGFYVSVPEMIRWPVLAIAILAAVVGSQAVITGTFSMIKQCTSLGCFPRVKIVHTSAKVHGQIYIPEINWILMILCLAVTIGFRDTKHLGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAIGFIVFFGTIEALYFSASLIKFKEGAWVPIVLAFFFMMVMCIWHYGTIKKYEFDLQNKVSINWLLSLSPNLGIVRVRGIGLIHTELDSGIPAIFSHFVTNLPAFHQVLIFMCIKNVPIPHVSPEERFLVGRIGPKEYRIYRCIVRYGYHDVQMDDQEFEKDLVCSVAEFIRSGGGGGGASNPKANGAALDEKDEERMSVVASGSMRMLEEDGVGAAESTAVGPSRAGAGGETGVRKRVRFVLPASTPRPNAGVEEELRELTDAREAGMAFILGHCYVKAKSGSSFFRRFVINFGYDFLRRNSRGPTYAVTVPHASTLEVGMIYYV >cds.KYUSt_chr3.5590 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31585813:31589095:1 gene:KYUSg_chr3.5590 transcript:KYUSt_chr3.5590 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLLEKVLLGLFAAAVLAIVVAKLTGKRFKLPPGPSGYPIVGNWLQVGDDLNHRNLMGLAKRFGEVFHLRMGVRNLVVVSSPELAKEVLHTQGVEFGSRTRNAVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTSKVVAQNRVGWEEEARLVVEDVRADPASATTGVVIRRRLQLMMYNDMFRIMFDRRFESMADPLFNKLRALNAERSILSQSFDYNYGDFIPFLRPFLRGYLKRCTNLKTKRMKVFEDEFVTPRKKALEQSGEIKCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGIAELVNHPDVQSKLRNEIAAVLGPNMAVTEPDLERLPYLQSVVKETLRLRMAIPLLVPHMNLKEAKLAGYDIPAESKILVNAWFLANDPKRWLRSDEFRPERFLEEEKAVQAHGNDFRFVPFGVGRRNCPGIILALPIIGITLGNMVQNFQLLPPPGQAKIDTTEKPGQFSNQIRTHANVVCKPLKA >cds.KYUSt_chr1.2014 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11603728:11604981:-1 gene:KYUSg_chr1.2014 transcript:KYUSt_chr1.2014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGASRPPPWTYYGGTVAYGHKYNDEFDDGMDASTPSVRPVYDEYDSDDNKVFPPRGSGYDILPMTTTREDDDAPHDDLFTCVAAAMAAEQRRGTPVAVDAAGQGAPEAGTAAARPLPVRCYGGTVASANHDDDGGRDTATRPPLVGHSGSGMPKQHVYDKYDDDDNEELLVLGPGMVIEHTVDANTILPMTTTPDEGDGDAPPPAHDDLFASIAAAMAAEQQQGTPVGAPEHGVSEAPRPPLVGPSGSGMSNQCVYDEYDAFPPPGPATAVTEPTGHGCTIAPTTTCGDDGASPPAYDDLFTGVMAAGQQPRTPVPAAKDGDPGVEVDEEKELEEIFCDEFFQRRRRRSPNQGTTAAARNIDEGSPSAWVSAGIAAAAEHGRRLTAAAARFTTVRRLGRHGGGEAEDTETRGNS >cds.KYUSt_chr3.38696 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243807706:243809586:1 gene:KYUSg_chr3.38696 transcript:KYUSt_chr3.38696 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLGVKLAPTMVADITGLGTFAIVFNVLSVIIDIVPLCVLLISSDWREAKKFFTEQGFAIGAVMNLMLMAYVQFVADDQHPDVFLVSAVGFILGTAYTFFLLAHRVVTADRVRMARFWMAVVLISFLIACTGLLSGILKHHRSNGHGIFGVLFVALAALNGFTLYPILVSTINAACGVVQLIALAVPVFLRWLRKVGAYLRSCGESCGGCFRLIATLLDLHGE >cds.KYUSt_chr3.33353 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209408372:209408894:1 gene:KYUSg_chr3.33353 transcript:KYUSt_chr3.33353 gene_biotype:protein_coding transcript_biotype:protein_coding MKRADGRKKHRKEEQALVFVVPEITVDRRVGRGIADGSPWTPLPPSNNSLGLEWINPIVQYTNHLAQFGLALL >cds.KYUSt_chr6.31704 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200370124:200373255:1 gene:KYUSg_chr6.31704 transcript:KYUSt_chr6.31704 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPTTPSPARFPPLSSYDPSARERRTAASDLDGTLLASSSAFPYYFLVALEAGSYLRALALLLLAPLILLLYTAVSEPAAIALLVFATFAGLRVDDVEAVARGVLPRHYAAGVRADAWEVFRGCGAGRRVVVTASPAVMVGPFVREFLGAEVAGTELRTFAGGRRFTGVIESMLVGERKREVVQRMFAGGDLPDVGLGDRESDHDFMAICKEAYMVPTNKRAPRATPDALLSRVIFHDGRLVRRPDPKHALFALAYLPVGFALALLRVLISHHVPRSLVRHAYRLTGIRLTVRGTPPPPPSRGTPGSLLVCNHRTAVDPIFVSIALGRQVTCVTYSVSRLSMATSPVPMVALMGDRKVDKARITALLESGRDVVVCPEGTTCREPCLLRFSALFAELTDRVVPVAVEAVQSTYYGSTARGWTSMDPCFFYMNPRPGYRVTFLPALRAEETCGGGARSVVEVASHVQAVIAKELGYKCTGFTMKDKYMKLAGSVADECDPNGKKLA >cds.KYUSt_contig_319.1361 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:9064229:9067281:-1 gene:KYUSg_contig_319.1361 transcript:KYUSt_contig_319.1361 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGSRCAWDRVAWVANWAIPDARVEEKLFSSRNRTEVSLGKKLKIEYLPEIEKIHKKKERSLKKQQREALLLDSYLTSDVTTGRSLRDRKPVTYTFDDYDRSINEAIKITKKRENSAEPVTNLNRRMLTPRPESSSNGKLNGPSPLANELYDGNSSKSDDYRDSDAEEENETLDRSDDDIMGEAVYDEEYLRTRKHQKTSSASEEDEEFRLEEDVEDDDEEEEEFSAGTSEDIEEPQRHTKLRSQNGRGAKRRSVGETQTGLRRSKRSSRPRINYQQYDFSDTDAEAGKAGKSDASDPDANSDGENNMELSTSSQEQQEEEDDDTEELKGSNGNSKIAEDHTVAANKEEQEEEQPQLQLQSVEKTNVPSRESESVGRTFLDLNELAPGAGFDDGPSLAMKDEDMDNS >cds.KYUSt_chr3.35705 pep primary_assembly:MPB_Lper_Kyuss_1697:3:224441088:224443700:-1 gene:KYUSg_chr3.35705 transcript:KYUSt_chr3.35705 gene_biotype:protein_coding transcript_biotype:protein_coding MDHWSETEGKRAHDPIFQTYFSQNCGHHVEDCCKGRSADAAVTPLQRCILVPGPIIVGAGPSGLAVAACLKEKGVDSLIFERSNCIASLWQLKTYDRLSLHLPRQFCELPLMPFPADYPIYPSKKEFVAYLEKYAARFGICPTYNHTVVCAEYDVKRLLWRVRMQATGRTGEEVEYMSRWLVVATGENAEVVQPEISGLKEFKGTVMHTSAYKTGRVFAGKRVLVVGCGNSGMEVHILPREMLGQSTFGLSMWLLKWLPVHVVDLFLLLVARAMLGDTARLGLKRPTVGPLELKSLSGKTPVLDVGTFAKIRSGDIKVHPGIKRISGRQVVFLDTSSEDFDAIVLATGYRSNVPFWLKDRELFSEKDGLPRKAFPNGWKGERGLYSAGLTRRGLMGTSLDARRIAHDVEQQLRAEGKYPGVLL >cds.KYUSt_chr1.36116 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220173874:220174938:1 gene:KYUSg_chr1.36116 transcript:KYUSt_chr1.36116 gene_biotype:protein_coding transcript_biotype:protein_coding MGITDGLEHALSWLCPLIATDILCSSRSRTPTPDAPWIARIYIYTPALSWPASTHCSIDCSPGQPACRRDASRLTNTHSATMFIIDRTSSSLATAMAPHDASSMRRRTEEQPTTTLALYVPSAADQQRQANDEAITAQASARALVPFVPSREPINAVPLSAVAPKKAKMSPAVVHAEPPWLRSGLLPHLRLRFDLPVHFIAEKTVTGTDLDGHQNRFRLPNEGVMRNLRPMLSPLERKAASLLHEECPRPPKLPKKTPKVPGEKRTKRQGKKHGGLPVLVVEPCAGIRELQLSRWDSSAGTVIKGEGYMDFINRSGFKVGDVVKIWAFKETCFRLFGIDMCHESPLYLLITKKQ >cds.KYUSt_chr2.39573 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245518131:245518472:1 gene:KYUSg_chr2.39573 transcript:KYUSt_chr2.39573 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTTKSKIEPEITFGNCKEISRRRLAAKEGNWEEISSGGARVLLLLLSAPPAAAAVHRTAPPAAASQFSPHGALGPGCRSSKRTKEPHLPPADRRLPPATSVSVGNTANTAS >cds.KYUSt_chr7.13355 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82316982:82319856:-1 gene:KYUSg_chr7.13355 transcript:KYUSt_chr7.13355 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSSQIVETLPEWRDKFLSYKDLKKRLKLIGGTDGDERQAKRARVSEDGDEAAAAAPEMTPEEAEFMRLLEAELDKFNSFFVEKEEEYIIRQKELQDRVARAAGMDSREELLRVHKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQNVLLQPFFTTDLLYQLVKECEAMLDQLLPSNKPSVSNEDGKEDINTEDKPLNPSSSLGTSGCIPELDEIEFMESMYMKSTVAALRSLKEIRSKSSTVSAFSLPPLQANNAAEEQERWKKISVIEQAAK >cds.KYUSt_contig_1181.325 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2221639:2226605:1 gene:KYUSg_contig_1181.325 transcript:KYUSt_contig_1181.325 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDDTPGGVAMVTAPGHHLSADLMCMSLQMAENGMFWQNEYKGEKERSLPPLFRPRTSGDLEMPMKTVEQVAADVTVWLADVYSSDQRIGGEDCKRMCEDEELDLDLRIMDKTFFLLVLLSLAAGTTFAQANDVQPRDDYEKYQVEPINKLQDCQEYITQRCHMGKEPFRWYKSCQEVQGLCCQQVAQTSQQSLCKAICESVQIELSEILKKSDLYGPNLRGEVTTLMERAKNLPYICNTPGISYCNIPITTHGGCDIP >cds.KYUSt_chr7.13551 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83510970:83518991:1 gene:KYUSg_chr7.13551 transcript:KYUSt_chr7.13551 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSQTGKEWEEGTGESSSPPRSSSGHHDLRHSIFERLAGKQGESDPSSFWVKLCAHFDRLPERYLTDLSVGKAEDVLLHRRVLDEVQNGNLPVFEARFIEYLQVQPKSESTYPDCDDPTQRDSGPILVHEIIFSSFDKPKLLMRLTSLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEVVKIADFGVARLGSQEGHMTAETGTYRWMAPEIINHMPYDHKADVFSFAIVLWELVTLKIPYDNMTPLQAVLGVRQGLRLEIPPGTHPGLSELIEQCWDDDPDERPVFAEITVQLEEILQEVQMCRHVHPEEDEELLAAGVQAEQLDLEAAAEAGVEATERAEGRLAAAKAEIANDSAELADARAALAEARATMAAPPAAPPADGVIHDITDDDAPIPSRFECVSDHFVMVASFETLAQAGQGDKSARHAECAKRSRFDGRPIVGRATASNRGRGRPRDSK >cds.KYUSt_chr2.34334 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212070814:212074963:-1 gene:KYUSg_chr2.34334 transcript:KYUSt_chr2.34334 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNYDDDWVLPSADITLVLVGKLGYGKSATGNSILGREAFVSEYSHASVTNTCQMGSTTLKDGRTINVIDTPGLFDMTVTPEDAGKEIVKCMNMAKDGIHAVLMVFSATTRFSREDSSTIETIKVFFGEQIVDHLILVFTYGDLVGETKLKNMLSNAPEYLQKVVELCKNRVVLFDNKTKDRMIQAKQLETLLDVVDSVSANNGGKPFTDQMLTRIKEVHDREKEVHDAMGYSEEQISELKKEIHRTRDEQLANITAMVEDKLNCTVEKLQCQLMEEQNARLEAERVAAEARMKSDEEIRQLRERLEKAQLENEEFRRLATSNKCAILSGGVVGASRYATWRRAGRRRRRAGEEWRVNPYPRKAGRRQRHARDQRAVDDRGLLRWSRTARPALVFPDRGSSTDVTLPLFLTAKKYGGEVQFMVSHFQMRLLGKLLPAFKSLSIERDRICGDETR >cds.KYUSt_chr5.13580 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88291081:88292628:1 gene:KYUSg_chr5.13580 transcript:KYUSt_chr5.13580 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASPPHHNQLQEQEHDQVGCPTMKKEPGWAQAAARAVAGEARAQRGIVLPLIGMNLTGFVKQAITTAFLGRLGDLELAAGTLGFSFASATGIAVLMGLCGAMDPICGQAHGAGNSALLRRTLVMTIVMLLAASVPIALLWLRVDAVLHHVFGQQPDISAVARRYVVCLLPDLAVVSFRAPLKAYLSSQEVTLPAFFSSAVGLAVHVPLIVWLSRTRGVEGVATAVWLSDLATAVGLAVYVLLLSKKDNGNAEAPRCRRWWPDMARKEEWVRLLRLAVPCCLNTCLEWWCLEILILLTGRLPDARRAVAVIAVTLNFDYLLYAAMLSLSVSAAVRVSNSLGAGDAAAARRSTTISIMGGILAGVGGGALMLASRRQWARLYTRGAGVRDGVAKAMTVMAALEVLNFPLNVCGGIVRGTARPAVGMYAVLGGFYLVALPVAVALGFRARRGIEGLLAGFIVGTAASLTVLVVVIARMDWKAEADKARVRAGVGAVGDDVPGSGKEDAPSANPGEV >cds.KYUSt_chr7.4961 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29773685:29774056:1 gene:KYUSg_chr7.4961 transcript:KYUSt_chr7.4961 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKFPAAALAVVLLLCAAMTATAYQPKPTPTPKPNPMPKPEPEDCKRQAEYFKNCLRLGLGEKCCGNERVIPVAERKCYCQVEREAEIECAIGRRCGGIAGKVKVAEMKLSCLENLHCKRA >cds.KYUSt_chr4.40605 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250670893:250671369:-1 gene:KYUSg_chr4.40605 transcript:KYUSt_chr4.40605 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSTAAGAKGKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAAKDNKKSRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVLPKIHAVLLPKKTAEKAAKEPKSPKKTAKSPKKAAKA >cds.KYUSt_chr3.6247 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35776164:35777048:1 gene:KYUSg_chr3.6247 transcript:KYUSt_chr3.6247 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHDGSDDARTSADPPNQPYKNPIHSIGDSAQSSQHPTRSAMATAEATGGSSSSEGEAAELTLLGFWTSPYVLRARFALNLKGIPYTYVEEDLFGDNTKSQLLLASNPAHGGKVPVLVHHGKPVAESMVILEYIDEAFPDSLPRLLPSGDPHRRAAARFWAAYVDQKLLPTWIPLYGGATVEERVKAAGEVVALLETFEGVLGEKDEFFGGGSVGLVDVALGGFIGWLRASEAMCGVRTLDPARTPLVAAWAERFGALDGVREVVPDVPGLVEYNLMKRARRGLPFLPPHQTQ >cds.KYUSt_scaffold_6468.755 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3655774:3656364:-1 gene:KYUSg_scaffold_6468.755 transcript:KYUSt_scaffold_6468.755 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRVHCSSVQLQPAEARKRWCSCRCWTWCGAAAVVVLIVVYGFYMYETLPEGLRHYSVAIDSVSGLDPATDLVRALDPEFNVTLRVASSGIWAAECAKLGMYVEVSYRGVALASSVGLTERVCAGPRKATEKAVVARGAGVVVPGSVLGSLAMQMRSGEQVFDVMLHGAREDKVKDTSCGPIQVGDAGSLRRVC >cds.KYUSt_chr4.8077 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48184432:48188203:-1 gene:KYUSg_chr4.8077 transcript:KYUSt_chr4.8077 gene_biotype:protein_coding transcript_biotype:protein_coding MADLYDDGVPASLIFRRGYSYTYNDLILHPGLINFPADAVDLSTRLSRNIHLSVPCVASPMDTVSEAAMAVAMAALGAVAVVHCNTEAHIQAAIVRAAKARRLPFVSSVPIFSLASAPSLADFAGHDYALVTERGDSLSKLVGVAVAADATNSPTQLSDYMRPAPRSASASFDFEQAAAFLADENLDYAPLLSDEGEPIDLITSKDVERIRSYPKLGKPSLGADGKFIVAASIGTREDDKRRLEQLVQAGANAIVIDSSQGNSTYQIDMIKHSKKMFPQVDVIGGNVVTIAQAQNLIASGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASYANDQNVPVIADGGISFSGHIVKALALGASTVMMGSFLAGSHEAPGAYEYKDGHRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGTVADKGSVLRLIPYTMQAVKQGFQDLGASSLQSAHDLLRADTLRMEVRTGAAQVEGGIHGLMSYEKKPF >cds.KYUSt_chr5.5378 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33731939:33733126:-1 gene:KYUSg_chr5.5378 transcript:KYUSt_chr5.5378 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRRWERELAAYDGPPPPPARNNAAGRRRWWSAPGRTLEAVVEHIEGGNSPVLTMPPLSASRASASRRRGSVWQPRRMAASSSSSGSASRSSLAPVKREEASSPSTPVRVKKEPASPPATRGRSSGALVIREQPSAPQSGRKKTKKEAAAASQLAEEEAKRAEEAAMAEAIARSLADMEEEKRADDAALDWARRDWQRQEAEQQRRLLDLAAARQLAARAAPTANDDVARYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQAAQPKANDDGSDDDGDGDYTVFYRHLGM >cds.KYUSt_chr2.37679 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233180406:233183550:-1 gene:KYUSg_chr2.37679 transcript:KYUSt_chr2.37679 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKRLQERVLLPRTAWILAEFVILFLLLALLARRAASLGEDRGAAPTWLAALALVCEEWFAFVWALGMNCKWSPVRFDTRPDNLLPGELPALDMFVTTADPALEPPVITVNTVLSLLALDYPDVGKLACYISDDGCSPVTCYALRQAAEFAALWVPFCKRHGVGVRAPFMYFSSAPPEAGTGAGTAGREFLESWAFMKSEYEKLVTRIENADEGSILRDAEFADFIDAERRNHPTIIKVLWDNTKSRRTGQGFPHLVYVSREKSPKHHHNFKAGAMNVLTRVSGVMTNAPIMLNVDCDMFANNPQVALHAMCLLLGFDDETESGFVQAPQKFYGALKDDPFGNQLEVAFKKLGYGVAGIQGFFYAGTGCFHRRKVVYGVPPPDTVKHERTGSPSYKELQIKFGSSKELIESSRNLISQGDVLSLDISSRVQVAKQVGACNYEAGTCWGKEIGWVYGSMTEDILTGQRIHATGWRSALMDTNPPAFMGCAPTGGPASLTQYKRWATGLLEILISWNSPILRSIFGRLQLRQCLAYMFIYVWPVRAPFELCYALMGPFCLLTNQSFLPKASDEGFRIPLALFLTYNIYNLMEYKECGLSARTWWNNHRMQRITSASAWILAFLTVLLKTMGLSETVFEVTRKESSTSDGGASPDDTDQGLFTFDSSPVFVPVTTLSILNIVAIAVGAWRVVVGTARGAPSGPGIGEFACCVWMVLCFWPFVRGLVRSGRYGIPWSVKVKASLIVSVFVHFCTRK >cds.KYUSt_chr7.24870 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155108583:155112390:-1 gene:KYUSg_chr7.24870 transcript:KYUSt_chr7.24870 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLPALLAGGHCFGPLPDGASNVIVNTVWLHAASPPGRFAAADTVVDVVSLDHIKLGSFLGLTRVLLLDDRGRDYHAAAVAARHPNCEAFAAFAQSGVATSPAVTQLLANSSGVLSTEDIERLSALLVPHSAPRLQACLVPESKQLNVEREERIRSWQSWRRKVANMAIDHWNRTIGGPELQLKVVCGVSIGDRDYQHINFMATPRDNPAARQLFFAEYKHPMGVVLCCPVQDSVSQSGHCSLCEGIEERIIHPPFGAYNFRSPVDATLDIIDFDIANLLDIKYARHLWGSRHMVSSSSYTVGTDSSTEEWVSDYNVWTKHGEEGVMMEDGDEEEDNDEKYYRSMFSECFDTAMDDNEEEGGEEQASDDPVDDDLRRAISRCKKRL >cds.KYUSt_scaffold_869.130 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:703093:708335:-1 gene:KYUSg_scaffold_869.130 transcript:KYUSt_scaffold_869.130 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASALEIEAGDVVKIMLQFCKENSLHQTFQTLQNECQVSLNTVDSMDTFVADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEEPERYLRLEHLLVRTYFEPNEAYQESTKEKRRAQIAQAIASEVSVVPPARLMALITQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEEAYPTTLSHQIKFGKKTHPECARFSPDGQYLVSSSVDGIIEVWDYMTGKLKKDLQYQADESFMMHEEAVLCVDFSRDSESLASGSMDGKIKVWRIRTGQCLRRLERAHTKAVTSVTFSRDGSQILSTSFDTTARIHGLKSGKMLKEFRGHTSCVNCAIFSTDGTRVITASSDYFVKVWDSKTADCLQSFKPPPPLRGGEASVNSVHLFPKNSDHIVVCNKTSSINIMTLQGQVVKSFSSGKQVGGDFVAASVSPKGDWIYCVGEDMKLYCFSLQSGKLENLMKVHEQDVIGIAHHPHRNLLATYAVDCTMKIWKA >cds.KYUSt_chr2.50462 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315575726:315576981:1 gene:KYUSg_chr2.50462 transcript:KYUSt_chr2.50462 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAVPPAPAAVVPPAPAAVSFMDMLNEVEVDINAPPLDPYRDDDLEGGEDAEGGDEEEEDDDDEDITEIQEEAFTAVARPPVRSSNYTDAEDILLVRAWASVGLDAGTGTDQTGKRYWQRIEDAYLKMKPKRSGFASRSFRSLQGRWDLMKPACARWSAAMDQVMDAPPSDYEKIAGLRYKEMAGSKGKEFPFKHVWSILQTYDKWKLRDDETAPKKSAMLDMDDPDVEERNLNKPEGTKKAKLRVKMEGEAASLREKMDHMMKAREALATKTLETKLLITEQKKVVKLAHIEAKREEAARKADLEERMLKVKEAKVWKELMVEEKEHMMMCKKDMDEEQLQWWKEYKEDIAERKRMFRGSSSTFVVDTTMSDSGVDNSHDGGV >cds.KYUSt_chr7.29146 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181425298:181427301:-1 gene:KYUSg_chr7.29146 transcript:KYUSt_chr7.29146 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHLAALLRGGGGRHPRSVHGAAVKLGCLASTYLCNNLVLSYLGRSLHAEARGLFDEMPHRNIVSWSVLISAASRLGALSEAFFLFSDLLRSGECDRPNSFALGALVVGCARAKDTVAGSQVHASAIKFGVADDESVAGALVDMYAKCGRVDWSWRAFALSPQRSVASWTSIISCLVNHGCSEHRDVAISLLKKMLLLKVWPTNATFSCILKVFDEPALLPGGKQIHGCLLKMGTEVDPALGTALVAMYGRCGGLDEMARLSCRIRHDAFSRTSLLVAYARNGYNMEAVWNFREMIMENMAIDQSAVTSLLQVCSSLGQLRMAKEVHCYALKTFCKLDTLLLNATITVYGRCGDVTSAEILFDLLENKDIISWTALLTCYAQNDHAQETLLFFREMLRKGLGSPVFCITSVLRACSSTTNYAVGWQIHSRVVKLGIDDADSVENALLTMYARCGSVRIALKIFNSMRSRGIISWNALITSFSQHGNEVAAIQLFHLMQEEAVCPDDYTFVGLLSSCSRMGLVAEGCEYFKLMNTKYNVEPKMEHYTCMVDLFARAGRFSDALEFIDAMPCHPDKLVWEALLASCRTHGNVDLGRLAAKKILEIRPDDPSPYIILSSIHASVDMWEEKALNRTVFDLQRVRKDVGSSWVGGEEYSDNTFDVLQVETT >cds.KYUSt_chr2.9071 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57037790:57040616:-1 gene:KYUSg_chr2.9071 transcript:KYUSt_chr2.9071 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAYSSTSMASNAMAMLPASGDPASPAVDPATATAIAPIASTGASSTPGGTPPPIPTPSALVPPSTPGSALPAAVPPGSAPPGFSLRPNLAALNSALAAPTVSTMVTSLTGSGYGPATGGFPPPPYGYPGYGGYGGYGGYGAAAGGFPPPYYPGYPMPGLLGFSAPPLDVNDSSSTPPSSAPSTSPKASDGKPRARPATAPIKLGLDGGEPPPGTDGVGRVGSQEIDGGEPERGNDGGGDAQMRTDAGGGKRPERKKPNGSSLVPTIIKVAKNFRLFL >cds.KYUSt_chr7.13315 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82053415:82059155:1 gene:KYUSg_chr7.13315 transcript:KYUSt_chr7.13315 gene_biotype:protein_coding transcript_biotype:protein_coding MMESKPPSPGPASATHHSHRRWAVPLLASVLLSSLLISASLFFSSSRALLLSFSPLPSAAAAEPLFVEAKLRQHHQAAAAARPPRAVPRIAYLVSGSAGDGVALRRTLRALYHPANRYVVHLDLEAPARERAELAAALRADPVYARFRNVRVVTRANLVTYRGPTMVANTLHAAAILLRDGAADWDWFINLSASDYPLVSQDDLLYTLSSLPRELNFIEHTSDIGWKEYQRAKPVIVDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHHFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLNDFDGMLNSNAPFARKFGREDPVLDKIDQEILGRQPDGFVPGGWLDLLNTTVKGKHFAVERVEDLRPGPGAQRLKKLVTGLLTQEGFDDKHCV >cds.KYUSt_chr1.38101 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232694036:232694743:1 gene:KYUSg_chr1.38101 transcript:KYUSt_chr1.38101 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLPMRCSRLTDCVTGISALPTDATDAVHCLREGNALPTNAADAAHCLREGSALPTDAMHGYLRQQLPTDATDTVPCLREGNALPTAIDAVHYVDVALMIDQCPGYPCSALTIDAMPWLSMQRLADRCNALVTDPAPCGSLQCPV >cds.KYUSt_chr4.47066 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291237355:291238691:-1 gene:KYUSg_chr4.47066 transcript:KYUSt_chr4.47066 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRIAVGSHHEVYETGALKAALAEFISTLIFVFAGQGSGVAFAKLSGGTDAPIGLIAAAIAHAFALFVAVSVGANISGGHVNPAVTFGAFLGGNITLFRGLLYWIAQLLGSTAACFLLRFATGGLPTGFFALSGVSCWEALVLEIVMTFGLVYTVYATAVDPKKGELGTIAPIAIGFIVGANILVGGAFSGASMNPAVSFGPALVSWEWGYQWVYWVGPLIGGGLAGVVYELLFINRSHEQLPTTDY >cds.KYUSt_chr1.25621 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153832310:153833293:1 gene:KYUSg_chr1.25621 transcript:KYUSt_chr1.25621 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSLKQDDGASSPELPPLAAPGIAAAAAAAAAAASSGGGGLAIGSGRRLPPPCWTHEETLALIESYRDKWEALKKGNLRAADWDEVAGAVTARCGRFPTATYKSGVQCRHKIEKLRKRYRAERSRSAGRSKGPKWPFFALLHDLAGGTGAHDPSANPIIKIRPRSHHNPSSTPTPASPSSLLSSPTSSDEAGRSRSLHGLISNGGGGSGLRFTIPKGSRTRPGAPPSRDHLPAKPDPEEDPEAEAMAEVASALRAVGEGFLRMEERRLELSLQMEKERMEAEMKRTQTMLDAQQLFVEAFLGKHQHHHKRAKLVSSSAAAMDED >cds.KYUSt_chr7.32239 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200783570:200786270:-1 gene:KYUSg_chr7.32239 transcript:KYUSt_chr7.32239 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMSLSSSAFTGKAVKNVHATALFGEARVTMRKAAAKAKPAASSSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWAVQVVLMGAVEGFRIAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVSNNAWAFATNFVPGKTSATSKGINKIVRVRSETTGGRGDEARFVSRSSFHNRKYVCVEEVLVSHKRLDGHTTKEGLTFFLERAP >cds.KYUSt_chr1.1497 pep primary_assembly:MPB_Lper_Kyuss_1697:1:8302503:8306317:-1 gene:KYUSg_chr1.1497 transcript:KYUSt_chr1.1497 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSEMPRYDDRYGNTRLYVGRLASRTRSRDLEYLFSRYGRIREVELKRDYAFIEYSDPRDADDARYNLDGRDVDGSRIIVEFAKGIPRGSGGSREREYVGRGPAPGTGRCFNCGIDGHWARDCKAGDWKNKCYRGRRRERDARSRSLSYSRSPRRSLSPEAKEMDRSPTPGGSRSPRRSLSPEVNDKGDSPRGRGMSRSRSRSPSDGYRSPVANGRSPSPRGKDGRSPTKEGSPSPTREGSPSPARGSPSPRGNNGSPSPRGNGDGGSPSPRGNGDGAGSPRGSLSP >cds.KYUSt_chr4.46205 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285541146:285542857:-1 gene:KYUSg_chr4.46205 transcript:KYUSt_chr4.46205 gene_biotype:protein_coding transcript_biotype:protein_coding MEASIQPPTVDAVVTTVAAISMAATTATAIPIAASTAPAIPIPAVDAAATIDTPIPAVDAAATTAPIPTVNAAAAAAPVPAPISTPAPAVDAAATTAAPIYPTCVLLEKEAYYKDCDNATTAEAMTSTDHIVKVTFVLADPPAVSYFCVHGPKLEHKDFLFAPRVVFSDKDLVLLRFGLRPRSTTVRKSHPVQYFVYKAVHGKPFEDDPTSHRPNNTTSYPSILPFEDEDGNFLVADLTMTSTTGHYYLLHIFSSKTKEWTTRPLELQASPATTDDLPSLAHKVIALGAGTIGWIDLWRGIVICNVFDSDPVLRFIPLPKPEFNFHLKGDPQQIRDVTCCNGFIKFIEMEHYPRPASFYSNNKRNCKTTKDLDSEDVLYDSDLFFHSLGGLESPSTVPITWKIRTCYRHISWNLWCKGHAVHVDDILVHKPSYYMMLPELWDDSAGKFTLRNLTAVCPTLDIHGGDVVYLVSKVGHLRQSTE >cds.KYUSt_chr3.8361 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48294341:48295951:-1 gene:KYUSg_chr3.8361 transcript:KYUSt_chr3.8361 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSGRRLRRSSPPPSHPHGTRYRRMDRISALPDEMLLLVLARLRCIRTAAQTSVLSRRWRGLWIGLWTCPADLTFRGLAPATIQALFHRFATASPQVSTLDIGLPWSRHGTAGAGSLLRAAVRLSPRHLILNVKELCFELPCFERATSIDIDAGHTWIQPLPDGEFTALESLSITGQIINIGPLLIRCPRLRMLSLTYSDRYIIHISHGEFPALEKLSLSALRVNTGSLLNNCQRLRVLSVTHLHTCPLGIMLPPSGQFPMLEKLTLSGNITDLGILLNRCPRLRVIGVTFCGMGLQSLIEALATLQKMVPLGLVLSTLGLEIPWRDGIGSARFASLLRTMERLSPHELLVTDNFEGSHSSGRAKEIKANLPCFANTTSIEMSLRNVSFKSLKPCEFSRLERLSLSNLCSTVEIGTLVARCPRLRVLKVAVSTGKMTVHSASLQKLDVNWNVGECHGIDIVTPMLEKLHVNARAGGDIGVSISAPVVQDVSWQCWYTRSALVFGSWCVQSLRVQTMENVMCLHLNADVCIYICP >cds.KYUSt_chr2.48915 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306083750:306085247:1 gene:KYUSg_chr2.48915 transcript:KYUSt_chr2.48915 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSVFIILLSIAAADAAGIGVTYGRRASSLPPPVDVARFLARGTIVDRVRLLNADPLALRAFAGTGLAVDMTVPNALVPRLADSVAFTRQWVRTRVAPLAAAGTNVSRILVGREVISQANRTLLLALVPAMQNLHAALVGASLDGKITVSTAHSLGVLDALTTSPSAGRFREGYDAAVVKPLLGFLRATGAPFMVNAYPFYGLTDDTPLDFALFRVGATGVMDTGSGLLYTNALDAQLDAVHSAMDRMGFGDVDVVVAETGWPWAGEDWEKGVGADLAGDYNRNAIRHLGSGVGTPLRPNRTFEVSIFSLFDEDLKPGPLSQRHFGLFHADMTPVYDAGILTAPEYVGPVSSKVTPAAAAAVPAPAPDATAADSGWRRWCVPAPAADAAVLQENIDFVCGQGGIDCSAIRLGGSCYEPDTLQAHAAYAMNLYFRSNGDHEFDCEFGHTGVVTTADPSFGGCNFT >cds.KYUSt_chr4.1585 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8344156:8348190:1 gene:KYUSg_chr4.1585 transcript:KYUSt_chr4.1585 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKTYIEVNFLLNTPIPPNCTRKRQTYRSRQIRLPLKLPIILNLVKDLRNGRGDFSYTHVARFIPTSSFRPAVATRRGLYAIAARHGRVLLHTDPYAGPPSLVVWDLVHKMLVHFRLGTEAVWHVPSLIGFADGTGVVFVKTETGRVFSVELESGRYQKISFPRFHNGDPGQEPIIIPYMSFYTPGMTKRKLLTHCSVGSLYLVMLYPYWSIALKEDLSIALRRHDSCININLLMSVFASWKPDASTVL >cds.KYUSt_chr6.32185 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203157520:203159092:-1 gene:KYUSg_chr6.32185 transcript:KYUSt_chr6.32185 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEEWRPVVGMLVFDLISAVMTALVKKALEQGLDRLVLVTLRQLVATVFLAPIAYFKERSTRPKLTLEILAYLFFSAVFGAALSQYTFFYGLQYTTATFAITFINLSPVLTFLIAVVLRMEPLKVKSTAGAAKIAGTLMSLAGLLLLSLYKGVPLTHQEAASLIPATHHADGSGDRSWMLGTMALLANCLCFSFWLLLQTRLTKKYPAIYSSTALMFFISTLQGGALTVAIERRTSRWMLTSKLEIVTVLYAGIVGSGAGYLIMTWCVEKKGPVFTAAFIPIIQIMVATIDFFFLHEQIYLGSVLGSALMVLGLYLVLWGKKRDEISLVPCATNNQADEEADNKQ >cds.KYUSt_chr4.8890 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53336945:53338996:-1 gene:KYUSg_chr4.8890 transcript:KYUSt_chr4.8890 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLDTVVVVWKGFGIQVVVLLSFTMQAILVFLADGRRYINNNWLRVIIWSAYVLADPAAIYALGHMTFVSRLPEHHLMALWVPMLLVHLGGQDNITAYAIEDNRLWLRHLQNFGVQVVAAAYALHVSTVLGSQTPLQWACILMFVVGVLKYGERIFALMRASNCASSNLSATSYEEFVFKHNNQQRPAGGVNSAMGSTPTHDRFDFVQIAKLRWIAYTLLDVPKQMFEGPTRYVKIYDAQQLKGEEMLKVVWIQLSMMYDLLYTKAAVVHTTCGWCIRLFSLPATLVALALVMFHLHASSGSGYNRVDVVITYVLLGGALVLEIISVVRAIGSSWTGALLVERKWVHLAGRLLLLAKLVTCAPGSGIGYRTDQGWFTVAYKWGGLGSIGQHNLFKLCARSRKNTSSIIARKLGRDDQWDSMWYSWSMGLTKDLIDGVVLLVSRSKRPHEREVYNSRGRNALLRNQDLLCNQDLLWSVERERERELELDESILVWHIATHLYLTWLRPRVDLSMFPDLDRAAALSNYLFFLLAARPYMLPYPASRYRYVHLCYDVICSPEDILGSIQDQVRDWNGLEIDCRPSSDESLDAGCRLAVQLLTLSLPPETADVKRLVQMICDVWVEMLCYTAYRCNENFHAKHLNDGGELLTAIALFMLYSSSGFIEKDDAGRAADTQTTDENNVV >cds.KYUSt_chr7.15979 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99148679:99149095:1 gene:KYUSg_chr7.15979 transcript:KYUSt_chr7.15979 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSAELWTAWPRPPSYGQRGLVHRALDMVAGGVLRRELVSRRGPWRHAGGGGQFSPELTVQHQLDEGPPGFRATASTAATRSAGCGRLLSVRLHRGGCLDAALFLLRLMSHEPRLASRQFNGAAAARKRNRGKL >cds.KYUSt_chr6.16910 pep primary_assembly:MPB_Lper_Kyuss_1697:6:106473680:106474024:1 gene:KYUSg_chr6.16910 transcript:KYUSt_chr6.16910 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFMDERFEVGSWKKGEFFITAEIWQRSIRLKWHFMLVYGPAHHSRTGNFLELVREVGTCATPLVIGGDFNLIRRMEDKSNDNVNWPRVRRFNDAIAALSLRELNRVGARFT >cds.KYUSt_chr5.37641 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237730453:237734464:1 gene:KYUSg_chr5.37641 transcript:KYUSt_chr5.37641 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWASSHSKQLLDPEEVDVVPAADRERGITTEEFRLVKIHMSSHIWRLAQQVKVRQRVIATAITYFRRVYTRKSMTEYDPRLVAPACLYLASKVEESTVQARLLVFYIKKMCGPDDKYRFEIKDILEMEMKLLEALDYYLVVYHPYRPLLHLLQDAGITDLTQFAWGLVNDTYKMDLILIYPPYMIALACIYIASVLKDKDTTSWFEELRVDMNIVKNISMEILDFYETYKVDPQRGLSDEKISPVMNKLPTKA >cds.KYUSt_chr2.7376 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46295594:46298204:-1 gene:KYUSg_chr2.7376 transcript:KYUSt_chr2.7376 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRGRHYLGILAYNARRKLVVGYNEVRPPCGSGDGELAPQEVRPLLGLDENSPGRGTGGPGSGDGNPVRSGVRPPRSLAPWGVPSWLDVAPDALSLRQHRRDMALEAGIRGRPATFPIYEVKILAQVEAEGIGLLVLELLKIRLEEIESWPADAGAKQSGREGAAIGD >cds.KYUSt_contig_2403.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000326.1:41596:42006:1 gene:KYUSg_contig_2403.7 transcript:KYUSt_contig_2403.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr2.14050 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88902627:88903520:1 gene:KYUSg_chr2.14050 transcript:KYUSt_chr2.14050 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDWGFGASSGDALDAVLGNGPRRIGRSWRRSASPRAARRRSRAALTPPTTPDPPASPTSVIPSSPTTDSCGQALLLPSAQPGQQLILLSPRVPATDTAISALALLAPDSLMDASPTSRPPGFEATPEPRTLPLDVDGLPARTPETVTRAPRLQAPVVDTSGDRAPLAPLFVPAQVPILSPPMSSPPVRPVPRRKTLAGADITRTVGFSLRKKAQAMVCRGLGIIKDGEEVTEWALAQFASRFQGRIHEDVLSAMLALFKVGTDEDLANDDAMLAHRGADALDLDRNADASAAPEA >cds.KYUSt_chr6.23883 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150931948:150933464:-1 gene:KYUSg_chr6.23883 transcript:KYUSt_chr6.23883 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKGAVERYAGLGSALTSLGSALAGLMFVWSMVRPFLPRTVFKYYLGHLMRQHARWLVALLDPWLTITITDHDGGGRHGIGAVYDRAKAYLSAQCATRARSLRAELAARGSERFLLSMDHNEEIADDFQGATVWWHSVSLGGPVPRRSYRLVFHERHRDLIVQSYLPHVSREGRAIMASTRRQKLFTNTFDRHNSSWSYVVFEHPSTFDTLAMDPAKKRAIMDDLDAFRNGRDYYARIGKAWKRGYLLYGPPGTGKSTMIAAMANYLDYDVYNIELTSVTTNAELQRLFVETKGKSIIVVEDIDCSAEFTGKRDRKKPPPPGPDDYEEARKVTLSGLLNAIDGLWSACGGERIIIFTTNHVEKLDPALIRRGRMDKHIEMSYCCFEAFRFLARNYHGIDAHPLFDDIEALLDEAEITPADVAEHLMPKCAADDADSCLASLVKALVEKAKENATTAVINSIDDLLKDDETVLDK >cds.KYUSt_chr2.50155 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313637953:313639077:-1 gene:KYUSg_chr2.50155 transcript:KYUSt_chr2.50155 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYFSAVGTASSEEDSHHLLECGPVIGFPIPFVLPDETGPRRACNWLRLLPFPRRDPEPIRSLKALKAQYDASIRRKVPRSQLRALHHKIVLQCLEWYNSKHPDDEYEPAPGALTRCREYNSSLLWSHGNFVARRKRSGCFSFLPAPRTLFFFELLDRDAKGAIEVITCIPIGLYLLASSFMHPYICCHDLYTTTLQRKSSALDRPTVSNFFRWSFDPVILRDMIQVRDKDE >cds.KYUSt_chr1.34487 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209953586:209954239:1 gene:KYUSg_chr1.34487 transcript:KYUSt_chr1.34487 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSRPHRSRKLLPMAPTASPSPAPAPAAPGILTPDDWPAAASPDAESALDWLNANAIPVLALLICGLFAAIALYVVLHCACRVTARAWHAYGHRSGVAPQEPAPRARNGGRGRCAKLEALALPCLAYSEGLRLAGSSRAECAICLAEFARGEQLRVLPRCNHGFHARCIDRWLAARPTCPTCRQPPFAEPDHERVLAADRARPAPVVRVVPVVVA >cds.KYUSt_chr4.19140 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120300048:120303370:-1 gene:KYUSg_chr4.19140 transcript:KYUSt_chr4.19140 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGEKERVTMEVTDEMIKTMEVGLAFRDYNGRISSMDFHSKATNYFVTASDDESIRLYDIQSAVCLKTINSKKYGVELVCFTTNPSLVLYSSKNGWDESLRLLSLNDNRFVRYFKGHLDRVVAMSLCYEKDSFLSASLDRTVLLWDLRADKAQGLLRVQGRPAVSYDDQGLVFAVAYGGFIRMFDARKFEKGPFDIISVGNDDSEANVIKFSSDGRRLLLTTKAGHIHVLDSFHGNHIATFKVKPAVTNSTLEASFSPDGNHVISGSGDGSVYAWSVRSGKKVARWGSTDNEPPLPLNLRDDIEKKLSAQMP >cds.KYUSt_contig_815.257 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1582658:1585018:-1 gene:KYUSg_contig_815.257 transcript:KYUSt_contig_815.257 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSLLLPTASLLCSFALLSISRAVAHDILPLRSSLTVEAFQTDMLQSSDGTFSCGFYNIYTNAFTFSIWYSKAANKTVVWSANRDRPVHVKRSTLALQRDGNMVLRDYDGTVVWQAGGNFRNVQYAQLLNTGNFILKDTNGKTIWQSFDSPTDTFLPTQPITASTKLVPTTQSPVPGNYIFRFSDLSVLSLIYDTPEASDIYWPDPDQTLYQDNRNQYNNTRLGMLDNNGSFGSSDFADGQPLVASDAGPGIKRRLTLDSDGTPGREQGMEIRASLGRRRLVCLSLPRCARLVWARSGGCNAIVNITCHNQEPMKFVRLPQTDFWGSDQRHIRSVSLHACKRTCMGDCTCKGFQYQEDTGSCYPKAGLFSGRTYPSTDVRTIYLKLPSKVNVSSTTFRYSDVFDSAPPSLDCNQMSSILPNLEVHNNGVEESKWFYFYGFIVAIFVVEVSFIAFAWFFVLGRELRPSEIWAAEEGYKVMTSHFRRYSYGELVKATIDFKVELGRGSSGVVYKGVLEDERPVALKKLEHISRGKEEFQAELSVIARIYHMNLVRIWGFCSEGSHRLLVCEYIENGSLASILFSDKNNISLDWKQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDKNFEPKITDFGLAKLLSRGGSSENTSHVRGTTGYIAPEWISGLPITSKVDVYSYGVVLLELLSGSRVSELTVGSDAEVHSMLRKLVTTLADKLEGNDESWIDRFVDCKLSGQFSYVQARTLVKLVVSCLEEDRRKRSTMESIVQTLLSFDEANK >cds.KYUSt_chr5.33304 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211387734:211389053:1 gene:KYUSg_chr5.33304 transcript:KYUSt_chr5.33304 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGSLRCRTCSASFFLPASSSSSFLALCPRCLEVYLDDSPTPDLVLPPPPPPILPPPSTTEETMPSSDDLLPPPLPPWLVQGIPSQDPRSYRFMSPDSPPRTRSPQPIPPVCVWPSSSSSSTSTPWDHLLGPSDPVADTGKEGPPSNMSVRQVCREFRVLSTQEQEEQHRSSSTSTAETTPAFQDLLPPPTLPWDDEYDFSSSDSDNQSPPRPPPPPPLYAYDPTFETPLQRGGSRAAPSPAFAYQAATFDTPLQRSRSQAAFAYQAAMFDTPLQRGGSPEAPPPVSADDATFDTPVQRGGSRAAPAASIAALPTVIVADAALVCPICTDPLPLSAPARRLPCDHLYHSECIVTWLSLRNSCPVCRGSIPMFHPTTTDIASPSTDPTPPAGRRRFLPGGRRIRRICSSLLRRMEISQDRQTNSNRDRQTDSSGDLRV >cds.KYUSt_chr1.665 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3556145:3558644:-1 gene:KYUSg_chr1.665 transcript:KYUSt_chr1.665 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDRMEMVRRSGAGNGEEDARAMAPLEETGGSWIENEEKGHVASPSSYPRLQAQTRHLLTFSFEPKNNMVAFYIFAGTEMLFSFFLSYGSTSVRSHVAVLLMGRLSLAPPPYKNSFSNPSPTSSAQRRHLLVPLARRVPRLYVLPPARLRPPPASRRQGKSPSTSASCASLHYAVVLPQPASSHVLLQLPLEPVVVIVSVASAGRSSSSPCVAVVVDANST >cds.KYUSt_chr1.1091 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5902505:5906173:1 gene:KYUSg_chr1.1091 transcript:KYUSt_chr1.1091 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEVALLRGKATLLREAMWRSEAVREQAVASVGGRMAAVDAAVRPAQERTRNACILHDNVARSIQAVQDMVRQFDLVHEAEPVISTGPSIGISAYLETVDNLRGAKDFFTTKIRCKAGDDVLRRVNEMLPKAAVELENEFSRLLSKCSKPVELELLFNFVPSHSSAEDPLNLVALPTLVDSRYMPLLSKLVQKSVELGRHNQILKIYRDIRSSTLELTLKQLGVEYVTVEEVETVEAENLSAKVDQWIQCLQIAVILLNSDHISSEVRDLFGNDWIPRRRKIVQQHATQYKRVAWAKVLECLSAQGLTSSVGSSTEGILGSIGNTGSYSGTTSTSVIKARFRSFNKQFEEVCETQINWAIPDMELRDNLILAVAEILSPAYTSFVKRFGYFSHLTRLSFTFLSP >cds.KYUSt_chr4.23128 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145542760:145543617:1 gene:KYUSg_chr4.23128 transcript:KYUSt_chr4.23128 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGSLQRLFEKPLPENPSLLEVLAACSNHNHQKKHLLPAVDPTSFTEIFGELHFHERPEARFVLPTPPPNPAAAVPARAATMVSWLDAADRQAAEKSKDDSSLDALLRPPKPASFSLKKSASFCLKKSSASLLLCTEGLGSESTVDSDDMVKGDDGDAALTPGKESMPEEATHGDAGPWLLGGSKDKEPPSFPPAIRSIGRGGKPCVCFRTFRTDGRFVLTQVVIPGKEILHASREGGRLRLRFANAAAAAGGGDEDDEELELGDQEDREHGTYNTCIGACA >cds.KYUSt_chr5.14819 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95871975:95874407:-1 gene:KYUSg_chr5.14819 transcript:KYUSt_chr5.14819 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALPPKVATVSLLSFLMLVSCAASRDILQLGSSLAVETYQSDILRSPDGTFSCGFYSVYDKAFTFSIWYSEAANKTIVWSANRDRPVHSRVSVLTLRKDGNMVLTDYDDTIVWEAGDYLRNVQHAQLLDTGNLVMKNTSSDIVWQSFDSPTDTILPSQGITAATKLVPTTQSRSPGNYIFRFNDISVLSLIYDVPDVSDIYWPNPDNSVYDNNRSRYNSTRLGSLDSNGVLASSDFADGILLKASDAAAAGTKRRLTLDPDGNLRMYSLDDLDGVWSISMVAISQPCTIHGLCGQNGICHYSPKPTCSCPPGYVMTNPGNWTEGCTATFKLTCGDQEPVQFVKLPHTDFWGSDQKRLLGISLDACMDICIRDCTCKGFQYQQGTGSCYPKSLLFNGMSCATPRVRAIYLKLPARFNVSDTPIPQSNVLDPAPPTLQCEQMSRGVRYPFPDVKETSDGESKWFYFYSFIVAISIIEVSFIAFAWFFVFRRELGPSEMWAAEEGYKVMTSHFRRYNYTELVEATREFRVELGRGRSGAVYKGVLEDERPVAVKKLKNISRGKEEFQAELSIIGRINHMNLARIWGFCSEGSHRLLVCEYVENGSLANIMFRDQKTFVLDWKQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDTDFEPKITDFGLAKLLNRAGSSQNMSQVRGTVGYIAPEWVSGLPITAKVDVYSYGIVLLELLSGARVSELAVGSDVHSMLQQLVSVLADKLEGHEESWVCEFVDQELSGQFNYLQARTVIKLAISCLQEDRNKRPTMESVVQTLLSFDEASD >cds.KYUSt_chr5.10038 pep primary_assembly:MPB_Lper_Kyuss_1697:5:64089901:64092876:1 gene:KYUSg_chr5.10038 transcript:KYUSt_chr5.10038 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKDDDLANQRQDVAAAEYEEGSEEEEEEEPFERVFYDDDYDGDSDAEPETQAVDPCDDHHLPQEEEEATAEEPCDGAAPPQGEDASDEEPFYSELRDEEEDSGEEDSFHAEPFTDPVRTARSTKRKQLYEVEPYDDQVAREEEFVNKRFSAVQAVRKEQKKPEALKRVLRKGGSKVLPVMDEMEVKPFKKRLSVRFATDVSCYTYNTESFGAAKLEKRKAQFDDQDSHLCKRQEHMLSLPQDVGKLKQVDDTNLYVGKLPASVSSSRLLELFLPFGRITRYKVVDDCFTGVSLGHGFVKYADPNSAASAIKRMNGRLVDGKTLEVRAARVPPSVPNPSMHSVSEAGSLSSKEIDTSALYVSHLPLSMDELKLLEHFQPFGEVTAIKVPRDHTTGLSKGYGFVKYSDSHHAAQAITHLNGVMVDGGKIEVRVAGSPPTLSNSAVGSHINTRTTKEIDMANLYVCNIHASIDTNKLVDLFLPFGKVTHARVAADQGTCTGNGYGFVKFADPQCAAEAIAVMNGALIEGEALTVRVAGLSSSASSSAVQGSPPEINKSRLYVTNLPRSMNADKLVKLFVPFGQISKVVMDVEYSLVYYADIASAVTAAKNMDGYLIDGQRLAVRRSDSCVTNAGEHALSESAVKPMKEIDMANLFVGNIPPIVTADQLVELFRPFGRVVQARMFQHKGYGMVRYESPSSASAAIDHMDGYQIGGHALVVRVAGLPNPKDFSAATNSLAPQMSGNEQRQIDMTNLYVCRLPLYMTTEKLIEIFLPCGQITQAKVVVDRYTGVSKGFGFVRFADTYGAAVALTHMNGYPLEGHILEVRIAGVHPSAMGSYMTHLYSQLTFPDPSTMAVGVPTSYWPHYCAESACATSAENQGQGTRPATDASSQTSQQEGLPESTEKDSSSASSSSHVSHPSQLQSSAGWAGPPGFEPRAVCSQEPSVGWAGPPGFEPHAMPKKDLGTVMNPSQPCSKVHLAQSEGGQKRHSVV >cds.KYUSt_chr7.1967 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11250185:11257472:-1 gene:KYUSg_chr7.1967 transcript:KYUSt_chr7.1967 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGMCGNDNWINSYLDAILDAGKGAPGGGAGPGGGGRGGGAGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWSRANAMRSPQERNTRLENMTWRIWNLARKKKEAEEANRLLKRRLETEKPRTDAAAEMSEDLFEGEKGEDAGDASVAYGDSSASNTPRISSIDKLYIVLISLHGLVRGENMELGRDSDTSGQVKYVVELAKALSSCPGVYRVDLLTRQILAPNYDRGYGEPSETLLPTSFKNFKHERGENSGAYITRIPFGPKDKYLAKEQLWPYVQEFVDGALSHIVRMSKTIGEEIGCGHPMWPAAIHGHYASAGVAAALLSGALNVHMVFTGHFLGRDKLEGLLKQGKQTREEINMTYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVMLARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHMIQDFDMDGEEDSPSPASEDPPIWSEIMRFFTNPRKPLILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMSNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVLDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLNNGLLVDPHDQNAIADALYKLLSEKQLWSRCRENGLKNIHQFSWPEHCKNYLSRILTLSPRYPAFASNDDQIKAPIKGRKYIVVIAVDSASKKDLAFIIRNSIEATRTETSSGSTGFVLSTSLTISEIHSLLLSAGMVPTDFDAFICNSGSDLFYPSQTGDSPSTSRVTFALDRNYQSHVEYHWGGEGLRKYLVKWASSVVERRGRMEKQVIFDDSEHSSTCCLAFRVVNPNYLPPLKELQKLMRVQSLRCHALYNHSATRLSVIPIHASRSQAIRYLSVRWGIELPNVVILVGESGDSDYEELFGGLHKTVVLNGEFNTPANRIHTVRRYPLQDVIALDCSNIVGVQGCSTDCMRSTLEKLGIPTK >cds.KYUSt_chr2.11661 pep primary_assembly:MPB_Lper_Kyuss_1697:2:74169138:74171511:-1 gene:KYUSg_chr2.11661 transcript:KYUSt_chr2.11661 gene_biotype:protein_coding transcript_biotype:protein_coding MRGICTASAALAVLVVAGLLGPATANVGDKCSSSADCGAGQWCFDCDPEFKGSHCVRSAATNTFKLVNSSLPFNKYAYLTTHNSFAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPALDTFKEIEAFLAANPSEIVTLILEDYVNAPNGLTNVFNASGLQKYWFSVSKMPQNGQDWPLVSDMVTSNQRLVVFTSMRSKQATEGIAYQWNFMVENNYGDDGMDAGKCSNRAESAPLNDKTKSLVLMNYFPSVPVKLTACLQHSNSVSDMVNTCYGAAGNRWANFLAVDYYKRSDGGGVFQSTDLLNGRLLCGCQDVNACPKGSTVVCSA >cds.KYUSt_chr5.11649 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75480811:75481911:1 gene:KYUSg_chr5.11649 transcript:KYUSt_chr5.11649 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFLDRLLDPPHWLLIQYCWVVELCGEAGLNEKRSEGVGDRARESLFKIEGRVVERERRRGCREEDYLRSFSPGYYVLDNGDSYSRLSIDVDKASRLLFPDILVLVLLPMLNDCFKAFHNACQIGAPTRF >cds.KYUSt_chr1.5828 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35922112:35923239:-1 gene:KYUSg_chr1.5828 transcript:KYUSt_chr1.5828 gene_biotype:protein_coding transcript_biotype:protein_coding MESVQQPGALLLPVLVNDLGTQTDDEPLTQYSISSKALSPVAFDELRRYRCFVTPQGWVLALDPASCQTFLWRPQDGERIQLPPKEQGFPESCKCLLSDMPGAASGSSVVVFDLDDNEMWVCKIGATNWDSHRYEPSMFVKGRIPQLTNIAMGHGIAAVGGRIYFELTSSELGVIEFKDGKEGLKLDTIKVDMVDLPLSIRMASMYLVESSGELFLVVIFFDGENVHKIANHAVYKMDFSEPKWCEVDEIGADRVFLLGGDRLGISCFGASCSAGDHGLRGNCIYFLNHVPTTTKSYLHVIDLKTGTEEAQRPFITHDGYHMMPVRSPFWLLPTESTLQAQGSSILPSSPMPPTIRSLVTLFRIFFFVFLFLAMY >cds.KYUSt_chr4.54536 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336920434:336922352:-1 gene:KYUSg_chr4.54536 transcript:KYUSt_chr4.54536 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDKLKKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRVGVNTIPGIEEVNIFKDDVVIQFQNPKVQASIAANTWVVSGTPQTKKLQDLLPTIINQLGPDNLDNLRRLAEQFQKQMPGADAGASIGAAQDNDDDVPDLVPGTTFEEAAEEKKEPEAKKEPEPEEKKESS >cds.KYUSt_scaffold_2697.286 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1912101:1913855:-1 gene:KYUSg_scaffold_2697.286 transcript:KYUSt_scaffold_2697.286 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEAGLKKGPWTPEEDQKLLAHIEQHGHGCWRSLPAKAGLRRCGKSCRLRWTNYLRPDIKRGKFTLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRADADTAGGGSGARSRVAAHLSHTAQWESARLEAEARLARDAKLRALASPPPPASSVLDSPTSTLSFSETAMFAAAANDVHGVAPVSVQHLQSYGQAACGEDQFGAGTFDSALLDCSLADAHQRLVVAVPEAVGGDQSEEDKGYWSSILNMVNSSVSPSLTSEVVQQHPVMMYLPPATEEF >cds.KYUSt_chr3.33150 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208054836:208055282:-1 gene:KYUSg_chr3.33150 transcript:KYUSt_chr3.33150 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGEILGTDGPAVSSARRPPRGLPPCQPRQGTPPATSAPSRDELNLRHNFCAKSGAGRRLLCAVSGPTLLYSPDAGLQLNAVTALLNLSILDANKKRIMHADGPMAHQGQRRRGPQPGRGELGDGEDVEEARVVVGLHEGVHGTGVH >cds.KYUSt_chr6.54 pep primary_assembly:MPB_Lper_Kyuss_1697:6:340469:340756:-1 gene:KYUSg_chr6.54 transcript:KYUSt_chr6.54 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDAKLKRWTNHNPARVSLSGRRREGDGRVEDLRVDGVDTPLPVGQFPTPADLPAAPIDGGYHRHHAGESSDNREADPEATGLADGIQPATGDI >cds.KYUSt_chr5.30519 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193533317:193537383:1 gene:KYUSg_chr5.30519 transcript:KYUSt_chr5.30519 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAGDEAAAAERLEALRRKLGKKQHFEEAVADLAATLRDRYAGASPALRQSMYSTVCRVATVLQTRYTAPGFWRAGLNLFIGTEKLLTNPSEKEHLKTCILRAREHLDEKEQEESMPSNRVPDSRFLFEGHLTVGQEPPPPAWLVAQNLARELSIAEEPSGDQDGNTTRTESRGGELPPAFVNFLNTISGTGDMDFEAALEASLQGITAQPKVPPASKEVVANLPVVTVTEEIIARLGSETECAVCRENLVVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHAYESRREREREEEEDRKGAANAVRGGEFMYI >cds.KYUSt_chr3.42216 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266791483:266795338:-1 gene:KYUSg_chr3.42216 transcript:KYUSt_chr3.42216 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTLGKLAASPGCCSLFFPSVTIAAPALAGGVGGADKVQLNAPPPEHIAAVKKDATGWPLWLSSAAGDALQGWAPRSADAFHKLEKIGSGTYSNVYKAIEVESGAVVALKKVRVDGVGEAESARFMVREIALLRHLGAHDNVVRLHGLVTSRLATAPSLYLVFEYMDHDLTGLAAAAAASGARFTLPQVKCYMKQLLSGIEHCHNKGVLHRDIKSSNLLVSDDGILKIADFGLATNFDPDNARPMTSQVITLWYRPPELLLGATHYSVGVDLWSVGCVFAELLLGEPIFPGRTEVEQLHKVFKLCGTPSEDYWDKMKFPHPTFKPYERCIAQKFKDVAPSALSLLDTLLSIDPDMRGTATDALNSEFFRTEPYACEPSSLPQYPPCKEIDIKMKYEKHKRQSRANGSVERQTKTRKPMLQNHGRRRVFTPDVNNKPNGNPRIPRLVTSTSTTKLERFPPPHLDASIGYSIDSSADGRTNEFFTSSVVELRRMPSLLFGHMKSYLGSPKNGMHKAKPSLKMAPSTVLIGAFRPYSLGHPMEVRRKNRDQLRAKGKIAVGAVK >cds.KYUSt_chr3.29084 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181957634:181964538:-1 gene:KYUSg_chr3.29084 transcript:KYUSt_chr3.29084 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSTIEHEHYDRWLVRLVVLVILALYAAFFFAALGVAIVFLDQSTCRGAAHRPRLLALYASWAACALLFAPVLRYMRDVLLPPLAPAAAPQAFKRVGCPLYLFAVLMDYLAVSATELDSRYGVACTGAAGAATVSFVGVLVFCVWLGSGQGCRDVDVQVQLCSGHEVNRLAPHVSDPNYNVEQCSGINKFVAKFSIPLLSFQVISTNNPYDMNLKLIFADILQKSLALLGFAAISRACCTKKFDWLITGFSLSTLPNTLIVGIPLLKGMWQIQLPLIVSNSIRILSDGGLGMAMFSLGLFTALQTKIIACGTKKMLLSLSIRFFLGPALMVISSYAVGMRGILLKVAIVQAALPQGIVPFVFAKEYNVHADILSTAIIVGMMVAVPAALAYYFVFDQPRF >cds.KYUSt_chr2.30852 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189992972:189994744:1 gene:KYUSg_chr2.30852 transcript:KYUSt_chr2.30852 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPVATRLRRSIPDHDAYTVRVLDRRVAALATAHPGDARRWICKTRWLHYPLLRSARLVVGLGVQWTPVRARARADTPPPATLQLCVGHRCLVFHLAQVHPDDVPAALHRFLADPRVVFVGYGSAYDRRMLRDHYGLHVECGRDLRALTGMGNASVDLMSRRFLGYHGITKPVSVAMSAWHAPRLSVEQVEYACVDAYTAFRLGLLLCPAAPGPPRAPPVNVHAHPRAPATQLHRAPPRAPPPAREIVHAARLVARGPVPQPHRAPGPARVLPRAPPPAPRASVSVPVLDLHRAPGPAVVFPRASPPAPRAHVRPCTPPPAPRAPEPHHAGGSSSKISDTDTDRGGLSLVRSNYASPGFTAGPLDTEITERAGLSLVRSNYVSDDDGDLSSDDFELLVLGREAVTDDDEEEEEDVYDYVASTGLLSDGDYVIGPGDHSGDDEEGAYVVGEQGYAYKEYAGIGILTVEDDDIEEGEYIGILTVEDDIEEGEYTGILTVENEAAACDNQVFVTNGPATVAFEEEDSLQLDGHHAPPEHLGEAEYYGDSTGVFQGGEDGYGQEDDSSDCYDQVEDVYVQDEDGYDPYGAFY >cds.KYUSt_chr2.6862 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42857903:42858901:1 gene:KYUSg_chr2.6862 transcript:KYUSt_chr2.6862 gene_biotype:protein_coding transcript_biotype:protein_coding MPWTVRLQLRALEAGVDATQRRDGTINRFLFSLLVDRQAPANPARPDALGVRSVDVTVDASTGVRARAYFSATETEPSPRPVIVYFHGGGFTYFSAATRPYDALCRTICRETGAVVVSVSYRLAPEHRFPAAYDDGEAALRYLATTGLPAEIPVRADLTRCFLAGDSAGANIAHHVAQRWTAAATTPPIRLAGILLLAAYFGGEDRTESELALEGVAPIVNLRRSDFWWKAFLPEGADRNHPAAHVTGEAGPELELPEAFPPAMVVVGGLDPLQDYGRQYAAMLRRKGKEVRVVEFPDAVHAFYFFPVLPDTGKLVAEIKAFVGSIGPEPIA >cds.KYUSt_chr6.12509 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78167368:78168944:-1 gene:KYUSg_chr6.12509 transcript:KYUSt_chr6.12509 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGDGTLPALQQQLHSKKVCVVGGGMAGLAAARELRREGHAVTVMEQSGDVGGQWLYDPRTDGDDPLGAAVPVRVPGSIYACLRLISPREAMGFSDFQFVPRDGDDGRDPRRFPGHREVHCYLRDFCDAFGLMDAVRLNTRVLRVAAAAPTSTTRQWAVRSVSTGTDDDAQDEVFDAVVVATGHYSQPVLPRIQGMEEWGRRQLHSHSYRTPEPFQGETVVVVGCGDSGKDIALDLCQVAREVHLTANSSSAEEATTPAMSRMLASHGDVLRLHPRTRRLHADGRVEFADGSSVLADTVIYCTGYGYSFPFLDTGGAVAVEDEVVGPLFEHVFPPSLAPSLSFVGVPRKILVPWFFERQARWVAQVLSSRRALPPEEEMLRSVEEHYRAREAAGVPRKLTHNIGGVEPLKMYEFGEKYCDFAPLEEWKKELVLSSILSMKDDAETFRDRADDSENVHKGLRAWRGLAARAQDNKTMADVEVEVEDDAPGVHDM >cds.KYUSt_chr5.4756 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30236377:30240339:-1 gene:KYUSg_chr5.4756 transcript:KYUSt_chr5.4756 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTKNLCVNLLGFLGVYGKTKDTPEAREVQQRMKDPKKLYETDKGRHYSSYALTKAEKEIFFECLSSIKVPSGFSSNIKEIINMEKKTFQNLKSHNCHVIMTQLLPIALRRLLPENVLTLLAKGIDPGALHSTVFAGAAHEESAADEVKNGQFLGSYKPLRDGTSERIVPKVQDHEVYGCPVRRPMDIFPDCLASSGDNLQKWAYIPSFTVWPAVRLVGGGRQLNSGKTWNLDIPAGTRMATIWGRTGCSFNGNSGRCATADCAGALFCTLSGQPPLTLAEFTLGGEFDSYDISVIDGFNIGMGFSCSTGVALQCRDSRCPDAYQQPNDVKTKTCRGNRSFRIVFCP >cds.KYUSt_chr1.19430 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114083564:114086759:1 gene:KYUSg_chr1.19430 transcript:KYUSt_chr1.19430 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAYRDSLKVLEADIQHANTLATEFPREYDGACLQMRLSFSPAAHIFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDMEDKKQKAVCMERYTRRDGDETSSLSDADAEREEECGICMEMNSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLKKTDPGDLWIYVEEQDVVDMETVSRENLRRLFMYINKLPLIVPDVIFSVYDSHIK >cds.KYUSt_chr7.22934 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142370623:142375713:-1 gene:KYUSg_chr7.22934 transcript:KYUSt_chr7.22934 gene_biotype:protein_coding transcript_biotype:protein_coding METSFFSQRRNRTSLPLYSRGVPPPTSPLQRLVPPHLSSIAAAARPPARKELSVPLRTYTENFPRRRDLHPYERSLIELTFGEGYYEKVLGRVDALRKKINSVGKQHASVCAKSLTKREAEERLCEGRKELEEVFQRGQHAVEDLINVAKALRSMPVVDPHIPTLCLVGSPNVGKSSLVRILSTGKPEVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRHDDDRNNIEKLTLAVLSYLPIAVLYVHDLSEDCGTKVADQYITYKHIKERFGDRLWINVISKCDLLDKAVPSSFDYDDDEVRRYRMFGPEDAIRVSVQSQVGTEEVSETLDNLISNHLILPVIRLSYGKPRVYPD >cds.KYUSt_chr1.5353 pep primary_assembly:MPB_Lper_Kyuss_1697:1:32976954:32978474:1 gene:KYUSg_chr1.5353 transcript:KYUSt_chr1.5353 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFVAQTAGCALVNIMKIGNLEAREAALKSLNQISSYDISAKILIEAGILPPLITDLFTVGSNQLPMRLKEVSATILANVVASGANFQSIPLDHSRQTLVSEEIIHNLLHLISNTGPAIECKLLQVLVGLTSSSATVQGIVDAIKSSGATVSLIQFVEAPQREVRMASIKLLNNISPCMGLELADAFRGNFSQLSSLIRVIGDNNGISEEQAPAAGLVADLPLQDSVLTRHLVQDGAFTTIISKVMMIRQGESRGGRFVNPFLEGLVRIISRITFILEDDLDIIAVARQYNLTALFTDLLQMNGLDTVQIVSATALGNLSRQSKHLTKVLPPSTPGLCFSIFPCISQKSVSTGECRVHHGICSSRESFCLLEGKVVEKLVACLDHNNDKVVEASLTALSTLLEDGVDIDQGVMVLCDAEGVKLILDVLCENRTEALRQQAVWAVERILRTHEIAYEISGNQNVGTALVEAFRHGDFKTRQIAERALKHVDKLPNFSGIFSKMGAQ >cds.KYUSt_chr3.31130 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195382187:195383809:1 gene:KYUSg_chr3.31130 transcript:KYUSt_chr3.31130 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLASTPAVDQIPLLRSPDPGDYFAGMPVVDLSSPGAPRAIADACSRFGFFKLVGHGVALDAMERVEAEAVRFFAQPQADKDRAGPAYPFGYGSKRIGLNGDVGWLEYLLLAVDDASLSAACPVPSRAAFRAALNEYIAAVRKVAARVLEAMAEGLGIAPLDALSAMVAAQGSDQVFRVNHYPPCTALQGLGHSATGFGAHTDPQLLSLLRSNGTSGLQVALQDDGRWVSVPSDRDALFVNVGDSLQVLTNGRFKSVKHRVVANSLKSRVSMIYFGGPSLAQRIAPLPQLLGEGEQSLYKDFTWSEYKKAAYKSRLGDNRLAHFHKHQA >cds.KYUSt_chr3.30021 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187944217:187945644:-1 gene:KYUSg_chr3.30021 transcript:KYUSt_chr3.30021 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVVLYTWMVRGHLHPMTQLADHLAGHGVPVTVAIADVPSTGESSQTIARLSATYPTVSFHLLPPAPTRSADAADPNADPFITLIADLRATNAALLAFLRSLPPVKALVADFFCAYGLDAAAELRVPAYVFFSLCASALATFLHIPVMLPAVSFGEMGRSLLHFPGVHPIPASDLPEALLDRDNMQYDTILGLFEQLPRATGILSNTFDWLEPRAVKAINDGSPSPRPGKSVPKLFCVGPLVGEERGGNKNKKHESLSWLDKQPAGSVVFLCFGSASSVPAEQLNEIAVGLERSGHAFLWAVRAPVAPDADSTKRFEGRGDAAVEALLPDGFLERTRGRGMVMSSWAPQVEVLRHPAIGAFVTHCGWNSIMEAVTAGVPMLCWPMYAEQRMNKVFIVEDMKLGVTIDGYDEVMVKAGEVEAKVRLVMDSEHGKEIRNRTMLAKQMAADALECGGSSTAACVDFINSINISTPH >cds.KYUSt_chr4.29594 pep primary_assembly:MPB_Lper_Kyuss_1697:4:185890848:185891183:1 gene:KYUSg_chr4.29594 transcript:KYUSt_chr4.29594 gene_biotype:protein_coding transcript_biotype:protein_coding MLREGCVRVAMVTTTTEEDLTTHQTPTLSTFGAPTAAPTAALWPDTLSTDDTPSTCSSTAACLSVIARRSVSSKSASPAMEEKYVFCDGFEVGTDRGVFCLVASNAHCAQR >cds.KYUSt_chr1.27883 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168137466:168146234:1 gene:KYUSg_chr1.27883 transcript:KYUSt_chr1.27883 gene_biotype:protein_coding transcript_biotype:protein_coding YIAELLAERQKLVPFLQVLPFCNRLLNQEILRASSLPPNPNFVEPERTDYSSPLRLSGHPMNCQPMDLEGWTGMQAEHMGAHQSPSMGWNAAPGVVGSPVVKKLIRIDIPADKYPNFNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDTVKENKLRDKPGYEHLNEPLHLLVEAEFPAGIVDARLNQAVAILEDLLKPVDESMDYFKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKHCKPGLLLEIVPDAYEGPARSRSGLSCHDMRFTSDMSLDGRSLSENWSSTDVQVDQNFMEFFSYRVRSSLPGTGSDSPNSIHSAESALIHKDGDFKGFPNPLQCSRNTHPGSSKSVDMQKEKDTANFPRAITDLMVNTICTALESLDGIGD >cds.KYUSt_chr2.37236 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230510684:230511187:1 gene:KYUSg_chr2.37236 transcript:KYUSt_chr2.37236 gene_biotype:protein_coding transcript_biotype:protein_coding MHASIAAVAKAVVAPAQPQLPSIVVYDATGASPQLSDTTPPPISAVCRDWTTAIKELPYALTQGSSRLASPPPHALVQESSIKEVLPSSWDDGEVPEDPPQLTATPSVLSPSVVPEVWDAAPEEAQGDITAELPQVIAASADVHSPFQGTPSTPSPALCSVKDLFGP >cds.KYUSt_chr2.27916 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171281827:171289052:-1 gene:KYUSg_chr2.27916 transcript:KYUSt_chr2.27916 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGEAFRGHFPIPAACRNRDSCPPDLGFAMAAALEENRNWHFGISSIASSEKETESEHSDSGHSLSPPLAVSPRCKRKRNDAEDSGTSKPIDSGAEEASPEEEGAFDPYDDVGEVSSSEEEEEKEETAVHGTAPTSTSNTMVLSEEHHADEESSPPPQQNVETPTPTSPRAPSPKKAKIEAGDSHDIVAGSSSTPSLDDPLMKQLIKIGTQSDPHRRRQLTSPQKSLASQTSPPALPKSNPTTMATKQSLLALVLLAAAVCALVPSASAVTNFETCKKGKDYPVKVTGVEIVPDPVQPGSPATFKISASTDETITNGKLVVDVKYFFFNVYSETDDICTKTTCPATADFELAHSQTLPSFTPPGSYTITMKLLGENDKELSCISFGFSIGFLAPIALS >cds.KYUSt_chr5.40292 pep primary_assembly:MPB_Lper_Kyuss_1697:5:254424656:254424865:-1 gene:KYUSg_chr5.40292 transcript:KYUSt_chr5.40292 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWRLSGAASACADPSAAQLSSPRARPHAHRPELARLDPGLSEMVWSAGGAGNKAQVAAAFSTSAVG >cds.KYUSt_chr4.7308 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43300870:43306874:1 gene:KYUSg_chr4.7308 transcript:KYUSt_chr4.7308 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGVHDLEVKNSGLLGYLQMYRYSLFVDCAAEGGEPRPVYGVPADQAVTVKRSSSPTMAASACHLLVFISFFLALLPGTTPLQASQAWTLLKVQQLLNRPPVLGHWRRTTDFCGGGGTVAPSASVVCYGDTVTQLHIAGGAQGAPPLPLNFSIGALVTTLSRLPDLKVLTLSGLGLWGPLPGKLERLAGLEIVNMSGNYLYGPIPRGLSRLRSLQTLILDDNMIGGEVPGWIGTALPSLAVLSLRNNSLGGTVPGSLGSAPSLRSLVLASNNISGNLPDLRGLANLQVLDVGGNALGPAFPRLGRKVASVVLSRNRFGGGLPAAELSSFYLLERLDVSRNSFVGPFPPALLSLPNLRYLSIAGNRFTGTLSDKVPCGDNLQLVDLSSNLLSGSAPGCLRPDGKTGTVVLSSENCLDKGDGSQHPSPFCQNQALAVGIAVPHKERKKIGQRAGFIAGIVMAVLVAVSLVGAMAFLAVRKMTMEGAKTRPSAPLVDEQSSSSAYPSKLFADARTIDLGTSSYAFSIRDSEFHFLKSNLMKKSLTFVFLLAFGTTNKKGYISQTVKLGALGVPPYRSFSLVELEAATNNFANSCLLGQDSYGEMYLGKLGNGVPVTIRSLKVKRNQSSQSFNRHIETISRLRHRHLVSALGHCFEYDLDDSTVTQLYLVFEYVNKGNLRSRISQGTEGRKLSWGQRISAAIGVAKGIQFLHGGIIPGLFGNNLRINNILLDQNHVAKIGSYNIPILGEATKSEGGAGSKHQTDSTKLGDKVDLFDFGVILLELVSGKPITSIYEVEIMKELLLWAMAEEDRARRRSFVDPAVNKTCSDESLRTVMEICLRCLAKEAVHRPSVEDVLWNLQFATQVQDDWEGEIRSGDGSPVSSRATRSSRFSR >cds.KYUSt_chr7.32369 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201647715:201648122:1 gene:KYUSg_chr7.32369 transcript:KYUSt_chr7.32369 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASAADRQGISAPDAVRASPQPPPQPPQRIAATTTPDPLWCRGPPPPWPTHIKLFEQNWDPQDDSEGDGVLDSASSSVNAAKCIRSVMESLVTSHFGEAILDMLFEEYTRRVAGHLENEKTKFNVIVLTLKKI >cds.KYUSt_chr5.27851 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176284103:176287765:1 gene:KYUSg_chr5.27851 transcript:KYUSt_chr5.27851 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSPSPAPPRILLAGDAHGRLHQLFKRVKSVNQSTGPFNALLCVGQFFSPEVGEEGSPPGDVADYLEGRAAVPIPTYFTGDYGPTAPRLLSKAAADARGFAPGGIQICPNLFWLRGSALFSLHGLSVAYLSGKRGLGGPGCYSQDDVDALRALAEEPGIVDLFLTYPLVLEPQGYDPVVAELVTEIKPRYHIAGTKGVFYSREPYVNDSSLHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSADMHARPPNATLSPYVAPAKSVPVEDTPKRPAESTDLQYWRYDVKRQRQGQNDGSLLCFKFTSSGSCPRGSNCNFKHDEEAREHCQRNVCFDFLNKGKCGKGPECRFAHSLTEEAAVRDAKPRSDRRPVESSCWFCLSSPDVESHLVISIGDGYYCALAKGPLVPEHVLVIPVEHFPTTITMPAEPEAELRRYKNALGKYFEKQGKAAVYFEWVSQQSRHANLQAVPVPLSKAASVEKIFHLAAKRLGFELSVVNPDGDANQGRELLRSQYDSKSGLFYVELPDGTLLLHMLGSREKFPAQFGREVLAGLLSMADRADWRNCKLSKEEEIKMVDDFKQGFREFDPAE >cds.KYUSt_chr6.26734 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169471140:169472781:1 gene:KYUSg_chr6.26734 transcript:KYUSt_chr6.26734 gene_biotype:protein_coding transcript_biotype:protein_coding MATVELVSCWFWVGLALMLPWIILKLWKRGDTGVQNLPPSPWRLPVIGNLHQVMASGPLLHHAMADLARRLDTPLLYLQLGEVPVVVASSPEAAREITKTHDLSFANRPWSPSVRVLVADGDAQGIVFARYGALWRQVRKICVLELLSARRVRLCRHVREEEARRLVAAIAAAAAQGKATVDVGERISAAMTDLVVRLVIGDRFDRPEEFLQVFQEGKKLATGKVSLGDLFPSSWIARLLSGNMRRAEANHRKMFELMDRAIKQHQERRGNVEEEEDMVDVLLRLQSQDDDLDEPLTMGTIKSFLRDLFNGGSETAVIEWVMAQLMRNPRVMQKAQAELRESLQGRQKVTEDDLNNLRYLKLVIKETLRLNNAVPLLMPRECRETCKIMGYDVPKGTTVFVNAWAIGRDPKYWVDAEEFIPERFESSAVDFNGTDFEFIPFGAGRRMCPGMALGLASHELMLASLLYHFDWELPAGVSPSEMDMTEEMGVTMTSRMKNGLHLRPIVRVPLQTAT >cds.KYUSt_chr2.48783 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305209593:305211830:1 gene:KYUSg_chr2.48783 transcript:KYUSt_chr2.48783 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGFRDERVPQWGAQETRELIAARGELEREAAASTGRSAKTLWEAVADALRARGYRRTADQCKCKWKNLVNRYKGKETSDPENGRHCPFFEELHAVFTERARNMQRQLLQSESGASVKKKLKRPSGDRSPGESDDEEDDAEATEDEKPIRTKKWKAGGDKGQQSQRTNSRAVSSSIHDLLQEFLVQQQHMDMQWHETMERRAQERLVFEQEWRQSMRRLEQERLVLEHEWIQREEQRRMREEARAQKRDSLMTALLNKLLHEDL >cds.KYUSt_chr4.14926 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92022798:92040703:-1 gene:KYUSg_chr4.14926 transcript:KYUSt_chr4.14926 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLVVSVIGWVVFTFAARLLAWFLSRVLRASVAFRVAGFNCLRDVTVKFDKGSLESVSIGEIKLSFRKSLVKLSFGVLSKDPKVQLLINDLEIVTRPSSHSKKISKSAKPRSTGKGKWLVTSSMARLLSVSVADLMIKVPDGAVDIKELKVDTFKIAGPNHILGVKLHILPLNVYVGDFWSTADPMGICNQLDAFQSDQASLSSSEKFLAPFVCEDLLVTCEFGHEKERGVKIVNLELKCGDVTANIDERLFYKKHKKPENNGVSENAGGAIVGTSSTKQPSKSKSILPALKKQMLVFPDKVSFSVPKLDVKFTHLGKGLSVDNNVMGIHFTSTKSLPQDDLEEATPHFDVQLDLSEIHLVREDSSSLLEVLKVAVVASLDVPVDPLLPIRVEIDAKLGGTQCNLMLSRLMPWMCLHSLRTKGMKLSKANSHQEISQTKESKPIMWTCTVSAPEMTVMLYSPSGLVLYHACCQSSHVFANNIASKGIQIHTEFGEMLVHMEDGYKENIFGVDTYSGSLMHIARVSLDWGYREIDVQDMAETSRLALVFSIDISGIGVKFGFKHLESLILNLMSFRALFKDLSSSREKDKEKNLEDRGKKKTKGVEILKLSLQKFSITYSGDINILNMPIADPKRVNYGTQGGKVIVDVSADGTQRRASITSEPPGIGRNLRFTASLVISHLSVCIDKEKKSTEAELERVKAMYEEDHSSGVKVTLLDMQNAKIVRRSGGLADVAVCCLFSATDINIRWEPDAHLALFETFLRFKCFLHHNKIQSSEKLINTETVSIKESQHVNIAVGSVKPKSDKRSSIFAVDVEVLRLSAELADGVEANMHVQSIFTENAKIGVLSEGLSLSLNGARVLKSTRIQVSCIPFGTANSLSAKVEPSPKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRALKLLSAAKRSILFPDGKENLKKVKSGASSFGSVKFVLRKLTAEIEEEPIQGWLDEHYYLMRNKTCESGVRLKFLDEAISGSVDSSHCSSEGKVLYDGVEVDVHDTAALQKLQEEIHKKAFRSYYVACQKKVFAEGSGACAEGFQAGFKPSSRRASLLSLVASELDITLTRINGGDIEMIEFIRGLDPVSQEKDIPFSRLYGSDIALLAGSLVIQVRDYTSPLFSATSGKCEGRVVLAQQATCFQPQIHQDVYIGRWHKVTMLRSASGTTPAIKMYSNLPVHFQRGEISFGVGYEPSFADISYAFQVALRRVNLSSRDKNSGAANQPPKKERSLPWWDDMRYYIHGKIILYFNETKWKILATTNPYEKVDRLQIVSEYMEIQQTDGHVDISAKEFRMYISSLESMMKNCSLKVPSGVPSPFIYAPLFSLNVIIDWQCESGNPLNHYLHALPIEGEPRKKVYDPFRSTYLSLRWNFSLRPMQVQSDNDTSSSTYANSSMLCGTAFSSCSRMADVDFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSMDKVLVEFFFRVDATPCCIRHATLTEDDPASGLTFKMSSLKYELCYSRGKQKYTFDCKREPLDLVYRGLDLYSPEVYLVRDVNLSSAENVSKLKTTTQSHGKVANDKCSIGSSQEKHEDGFLLSSDYFTIRRQAPKADPARLMEWQDAGRNLEITYVRSEFENGSESDHSLSEHSDDDDGFNVVLADNCQRVFVYGLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYAQRKMIEERQNADSSGLVQEATSSIHVGSPSVQYTEALGSTSPLHNKANRSSDIAVKYGMFDELDKGGNLHFMVNVVKPQFNLHSEEANGRFLLAAASGRVMARSFHSVVHVGKEMLEQALGTSSLHIPELQPEMTWKKADLSVILKDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCQMYFRYTRHKGGTADLKVKPLKELRFNSPNITATMTSRQFQVMLDVLSNLLFARLPKPRKNSLQYPSDDEDVEEEADEVVPDGVEEVELAKINLEQRERERKLLLDDIRSLAGTGDSHTGHLSAESDNSFWMINSGKASLVEGLKRDLLNLQKSRKFASSALRKALQKAAQLRLMEKEKNKTPSCAMRISMKISKVVWSMLADGNTFAEAEISDMVYDFDRDYKDIGVARFTTKYFVVRNCMANAKCDTLLSAWNAPPEKGVMLRVDAKQGAPKDGNSPLELFQVDIYPLKIYLPETMYRMMWDYFFPEEDDSQRRQDIWRVSTSTGSRRTRRMSSGADSVASTSYSVREHELPGRSGTNVSTSINVSSWQGVHGENSQVSKLQSLKANMVCGSHPELRRTSSFERTWEESAVENITNNDVVSLSLLNSSNISSKGDNYSMAENPVAATEMFRSKTKESKSIKSARLSHEEKKIGKPHEEKRTRARRLMEFHNIKISQVELLVTYEGSRLAINDLRLLMDTFHREEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKAQNQKETLDGTVPENDLNFSDSDGSNHGKPDQFTASWLKRPGDGAGDGFVTSIRGLFNSQRRRAKAFVVRAMRGDGDNEYHDEGSESDGEYPFARQLTITKAKKLLRRKFRPRGQKIIGPTLQDSLPSSPRETTPYQSESSESSYEDFHES >cds.KYUSt_chr4.12878 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79201701:79209139:-1 gene:KYUSg_chr4.12878 transcript:KYUSt_chr4.12878 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLHRKGFRLTELALQEERNRLSTTSLSDVSLSRSDNDPARYYDGYNKLRTWAYNSLDQYKHELLRVLYPVFIHCFMDLVAEGHTQEARSFFHRFREDHELMHSRDLQKLEGILSPLHLEEMDLARSLRENKFRIKLCEYSYELLLQYLQKTQALVMLGIINERIIFEVSAGQPSLISDDADVVALVGTSKDLAKQINQKEVHWGLLEDSVEERMEKALSDSDKTEAESKDADAEDNNKKKSSEGGKQGGPLNKKLKKDKVVGATGKNNKSEASMVSAAPRVKPELTLPATPVEVEQSILEDLRNRAQLNNLALPSVSFYTFLNTHNGLNCSSISNDGSLVVGGFSDSSVKVWDMAKIGQPAKTSSSQGENGSSQGEHLSSTSEGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLADVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSTGRCVSPLAGHNSCVWSLAFSCEGALLASGSADCTVKLWDVASSTKALKVDDTKAGSTNRLRLLKALPTKSTPVYNLRCDSSELCIVVTLANLSIL >cds.KYUSt_chr1.19884 pep primary_assembly:MPB_Lper_Kyuss_1697:1:117209078:117210142:1 gene:KYUSg_chr1.19884 transcript:KYUSt_chr1.19884 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPCIAALLLSCSLAVQATVPTGTIERVSKQQILASIPPGGHASPPVLFLTSPSGKYAAYFVRTHTVPGAGGLGADFCYVEVTMAGGVKAGEGEGSGGSVWESECRPVSTVNTCTLLFSWHGLEVFDGSDEVWHGETNTDGTNFLQTLELVDDGDMRVRDKDGELAWRASDEGRHGQHCGAPGSPGLNAALPPFAEPIGAHSSNLPFGQVQGGNGHAAELPQAAELGDGVATGVGAGALGDAYGIAPTPGNAVAGAGPLGDGYGIPQTAGPLGDGYGIAPGAGAGAFGDVAGQGEAVTAAGAGGGVAGTTGFGSQPLVDNSPYDSAACKDGRGAYLAAIGAAVFVSAMALGF >cds.KYUSt_chr3.21113 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129472708:129473880:1 gene:KYUSg_chr3.21113 transcript:KYUSt_chr3.21113 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGATNNGFGRRSLHRWEGRLLHMAGYPAPPDFRVPGGWRLSAGGVPIPPPPMTWAALEAEIDAVLITLSDEQRADPRFFPDNYDSWSDFFQRRYEHELAVYDGPPPPPARNNAVGHRRWWSTPNRTLANVLAHIKDGNSPVLGIPPPEAATVSRRHGSSWTPRRMAMSSSSGSRSASRSSGSAPRYPVKQEPATPRYPVKQEPASSTPTRGRSSGALAIRDQPAPASPSCGRKRKTAKKEAAATSAANQLAEEEAKRAEDAAMEEAIARSLQDLVPADNTLPIDAALEWSRRDWERQEAKQQWRLLDLAAARRLAASARNVVPRNAPLIKLEDSSDDDLYRPTPPRAGDPGEGSSRWHEAPPPEEASKSSDDDGGGDYTAFYRHLGM >cds.KYUSt_chr7.19584 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121359831:121367217:1 gene:KYUSg_chr7.19584 transcript:KYUSt_chr7.19584 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATVEPSAPGENYSLLIMGDSSGDEDGGGVDGDAFRGHFPVPAACRNRDFYPPNLGFAMAAALEENRNWHFGILSIDVSPAPKRIRADDMVPPTPDGLPRCHEAGKPILPPDMEHLASGPMFPLQHSINYLESVLKEKDPNYPVFSVKMPSDQNFVHEDPADIFFIAFEDVFNIFHSKWLDYNLVRLYAINLQMKINRERPRHIAVADPYYMRDSQLQDGSRTRTKVVGYLQNFMLMYKESNTILLTVFPEDKYCTLIILDPKWSLAQYFDSSSTTTKKDYKRIRGVLDEAILGYSKNGGTFDKNGQYIRPDTKKLGFKHVIDFPCIKQPAGSIKEAFYVLHHLKGFVEDAEMMSLPPSKRDPIKMSREINDDDLREDFHRIQMTYYVVFEGRVPGVYEEWEKCKKQVHKFSGNCYKGYPTRHEAVAKWRKHQANKSKMKMKTFLVLSLLLTIVAELMVRGKYLSSGSSFFSTAIGFTLSYYACTCREKNPTTTRAFLLAIVSTAALLGTALGASYTVGAPGGSWDLKTNYTRWGSGVKLYVGDQLRFQYAVAEHNVLEVTKSGYNTCNGSNNTVATYQTGNDTIPLAAAGSRYFICGVPGHCAAGMKLQVNVSSQQTPPPPPPPQQQCRMRKGKLRCNRPASPSSSASAAAGVDRSAVAWLRLAAVVVAGLVLLC >cds.KYUSt_contig_2097.258 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:1326962:1329535:-1 gene:KYUSg_contig_2097.258 transcript:KYUSt_contig_2097.258 gene_biotype:protein_coding transcript_biotype:protein_coding METSIASSSSSPLRFSHNPTNPRPTLNPTPRLTPSIPGAGRQHATRLRAISPSPTPPPPAPTEAFGFDALKDTFSVDVAAAEARPLDVPLAAPFTIASSRLDAVSNVAVRVELSSGAVGWGEAPVLPSVTAEDQPAALAAVARACALLAAAPAAPLGAVLRDVADALPGHAFASARAGVEMAVIDAVANSIRIPLWRLFGGASNSVTTDITIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDMEVLKAIRLVHPDCSFILDANEGYTAKQAIEVLDRLNEMGVTPVLFEQPVHRDDWEGLRDVSVAAMERYRVAVAADESCRSLLDAQKIIHGNLAHVINIKLAKMGVLGALEVIDAARKAGIALMIGGMVETRIAMGFAGHLAAGLGCFSFIDLDTPLLLSEDPVYGGYEGFSSFHTVDLQSKSDYYL >cds.KYUSt_chr7.25916 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161879729:161882383:-1 gene:KYUSg_chr7.25916 transcript:KYUSt_chr7.25916 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSTAGRAHIPALPESSCTYLLQELKMIWDEVGQEENERERILDELEQECQEVYRRKVNSANMSRIQLHQALAESEAEFTNLLLSLGERSFPGRPEKMNGSLKEQLNSITPALQEMQMRKEARLKQFIEVQTEVQRIASEIAGRSENEDVTVNEEDLSLKKLEDHQNELQRLKREKGDRLCKVEEYKVLICNFAKIMGMDPSNVLANVHPSLQNGASEQRKKNISDDILNKLNTMVQHLKEEKNQRMEKLHNLGKALTNLWNILDITMEERQPYVQFKIFSLTSGNGMLGPGSLALEKIEQIESEVQRLDELKASKMKELFIKKKAEIEEICKISHMDMPHRSEIDNIARQIMSGDVDHDNLLKTMDGYIFKTKEDATSRKEIMDKVEKWITSCDEERWLEEYSRDERRYSVSRGAHKHLKRAERARIIANKIPGLVDLLMAKTQIWEHERQKIFYYDEHKKNDTVLAMNKRIYKVHIVLSGTGHWPVGPYPTKFSLS >cds.KYUSt_chr5.10795 pep primary_assembly:MPB_Lper_Kyuss_1697:5:69771217:69771839:1 gene:KYUSg_chr5.10795 transcript:KYUSt_chr5.10795 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGCADEAALLQVFSPNEALAKVNDEIGATVGSEEEQKKGRASTLQPWPGDLVGDAFDAWERTGTDELVSGLRMNVENLMVPVRSLGARRRVAGDVDLREAAVVIAGRTNQSRRRSGKRSAWCACSPRCSWRRRGGWGRAIAAGINDELAGVGEGNGDFTVD >cds.KYUSt_chr6.7392 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44949529:44950624:-1 gene:KYUSg_chr6.7392 transcript:KYUSt_chr6.7392 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPQALILFSDEPPTAPQPLPPTEAQIEGEVDEEKLWELRCPSPNMDLVVEPSWLALALPFQPQGGNVMARSSENSPDGDGAQRQGAADAHGSLQNGERNRYGWLVTDAGERAINGVMPAVPLEPTASPNMNEGIKSDGPKKRRGPLVRMEGSGCSRKNGRGWRCSKPTMFGYSLCPHHVSKSCQHRGPPKLGRTEDINSGNSDSPSALAMVAATPSGDIEHVANITAESSGDRSN >cds.KYUSt_chr2.41791 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260106268:260108233:-1 gene:KYUSg_chr2.41791 transcript:KYUSt_chr2.41791 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKINAFFKRQAPDPDPNSGDEARREGGGAADAKVLNKKRSYGQFHLELGQPDFLLHACAVCGMMYARGNDEDEKVHRAYHRTYFQGVPFKGWRDETVVSRSEGGDRIILATGENSCMRNSKVQEVIKVVEKELGFGEGRLLHKLCKVYLFVSSGRIVGCLVAESIKAAHKVIPSSSSEDKHELPDNKTEAAQANHTLEFGKISFKREVLRRHNHPDKNREESQGPGAIICKQEAVPAVCGIRAIWVVPSRRRKGLGSQLMDAARKSFREGSALGISQCAFTPPTSAGKALASSYCKTSAFLVYREGDV >cds.KYUSt_contig_686-1.916 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5582587:5583339:1 gene:KYUSg_contig_686-1.916 transcript:KYUSt_contig_686-1.916 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQLLHAAEPGRRPAQQFVGVDLRRPKGYAAPASTPPTDPPAAKAAAAEGEPCPRCESRDTKFCYYNNYNTSQPRHFCKGCRRYWTKGGTLRSVPVGGGTRKRPASSASPSSVKKPTKKRRATPPEPVATSVHDATAQDAAAKMTDTTTTTTPPTEASASEITTELVVPAAAATAAEEDSFTDLLHHGDADAAAALDLGFSDYLSGGGKALGDAYSFEWPPAFDLGGACWGGGAGFGADTDPAVFMNLP >cds.KYUSt_chr3.21765 pep primary_assembly:MPB_Lper_Kyuss_1697:3:133881557:133882581:1 gene:KYUSg_chr3.21765 transcript:KYUSt_chr3.21765 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLSCSSSLVLILLLVAVTPVPGASERRLPITTTVTKCYPATNATNSTAFSADVLSLLAALPAAAEPTGFATLRSGHAFARGLCFDYAAPSVCHRCLSLAAKNLNLTSGCGANSHRAGIWSDGCFLSYADNNATTASEDALRPRVISGADPQGGSASYSELQRLASVAQFLAAPAAVNDSMLATVNATAVSWDYAARSTTRVLAQCARDRTAAECDRCLEYSALVAASCCWGLDPWRDGVAAAVLGFNCYLRFEVSTERVPLRQRIGMVVNHYPFTTTVLFALVVLLVMGGFGHTDSDD >cds.KYUSt_chr6.21285 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134352391:134355512:-1 gene:KYUSg_chr6.21285 transcript:KYUSt_chr6.21285 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGASDGRVRQDEKEEIDIDAMIAASDMVSTRKFIVVGSQRPEGVMELEDLGAEYLESFSASVHPPSDPKVDLKVRIVAMSPSGGVVADESERAQHR >cds.KYUSt_chr6.2511 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14588530:14589756:1 gene:KYUSg_chr6.2511 transcript:KYUSt_chr6.2511 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVSKSSPVVVVGQSEPATPGSIINLSSFDNCFVPFPTAALLLFDQPIDDTIETIKKALSQALVRYHPMAGRLATGADGELHHIACTGEGASFVAASASCSLDELSTSSPLLLKDLTLRYPGEYCCLNDALLLMQVTEFSCGGFAVGVTWNHLIADGVGMAQFLQAIGELARGMPAPSVVPVRFDGSLPCLPQPMVAWLRSQMRIETDEMASLDVTISSSSISRVKAECGDCTTFEAVAAVLWRCRTRATMSDSEAPALLVFLSNVREVVGAKDGFYGNCLTMQFVQATSGTVANSDIKDLVKLIRLAKEKIPDLYKNVGSSDEPQQVPPSYNILTISSWRNLGFDAVDFGSGKPARVMWQGEKTVALFCYLCPPCKGKYGLNVISLCVKPEHADAFLGELAALDI >cds.KYUSt_chr5.31956 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202645253:202648326:1 gene:KYUSg_chr5.31956 transcript:KYUSt_chr5.31956 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASTSGEWLTGALQELRGRTGGGLELDGDLISGLVSFCELAPPPDAADYLANIVGVEAAQDLIQEYLQRRGYIDPSKGAGSSQFSSLQPYVKPSADAETAQTKKQTRTLKDQASSSSQSSKSQSDTAEPQASSRRGPKKKGGKAISLAEAAKGSIVFKQGKPCSCQARQHNLISNCLSCGKIVCEQEGEGPCSFCGALVLMEGSTYAGLTDAGVPSSETEAAAEAYAKRLVDYDRNSAARTKVYDDQSDYFEMEGNSWLSSMEKSDLKKVHDEAEDAAEKQKGKVTVTFDLVGRKVILNNDEAAEAESGQGIMRPLEQKHQVQRIQPSPTTREQPFFIETGPVKPRTDRVKQNKKLTKNGLCLEVTGRVQHDDKDPQSFLGGKMKKGDHIAYSSFGQAREGDDYECSLDFD >cds.KYUSt_chr4.52548 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325967140:325971566:1 gene:KYUSg_chr4.52548 transcript:KYUSt_chr4.52548 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEPEVPAAATNGLEASAAPAPAPLSAEQLDVEAYAAQYLGRTRLPRLLFIAQRCGVESIELEALRIAHDEARAREDAPLYRDAAQRIGGRLGPRYRYDQGWVDDVNRRAEQRREKLETELNGHKAHLIKESIRMGYNDIGDFYYGHGHLSEAFKSYIRTRDYCTTSKHVVQMCMHVILVSIQLGQFAHVTNYVSKAEQTPDELEPIVIAKLRAAAGIAYLETKKYKLAARKFLETGPELGSNYSEVIAPQDVAVYGALCALASFDRSDLKSKVVDNINFRNFLELVPEVRELVNDFYSSRYGSCLEHLEKLKPNLLLDIHLHEHLETLYKDIRHKAIIQYTLPFISVDLNTMADAFKTSVSMLEKELAALITENKINARIDSHNKILYARHADQRNATFQRALQTGSEFERDVKAMLLRANLMKHDFNQRSVSGQRKM >cds.KYUSt_chr4.45051 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279015777:279019683:-1 gene:KYUSg_chr4.45051 transcript:KYUSt_chr4.45051 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPLPLPLPRVLRLALLLLLLASPAALAWKKDEFRNCNQTPFCKRARTRAPHSLDAPLSLVAGSLAVSPDGALTAELSHPSRPRPLLLRLSALPPHALRLQIDEDYSTSTPPHRRFHVPDVLLPDVDSRTLHLSKPQTVAGGASTVALSSDLDAVLNHDPFELTVRRAGSGDPVLSFNSHGLFDFEPLHESRPEGETWEEQFRSHTDTRPRGPQSISFDVSFHGADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHESPFGLYGSIPFMIGHGPGASSGFFWLNAAEMQIDVLAPGWDGAASTENGRIDTLWMAEAGVVDAFFFVGSEPKDVIKQYISVTGTPSMPQQFAVAYHQCRWNYRDEEDVAGVDAGFDEHDIPYDVLWLDIEHTDGKRYFTWDRSTFPNPEEMQRKIADKGRKMVTIVDPHMKRDSGYYLHEEATAKGYYVKDASGNDYDGWCWPGSSSYPDMLNPEIRDWWADKFSYQNYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDAIHNGDVEHRELHNAYGYYFHMATSDGLVKRGEGKDRPFVLSRAFFAGSQRYGAVWTGDNSADWDHLKSSIPMVLTLGLTGITFSGADVGGFFGNPEPDLLVRWYQVGAFYPFFRGHAHHDTKRREPWLFGERKTALMREAIHMRYSLLPYYYTLFQEASVTGVPVMRPLWLEFPDDKETYNNGEAFMVGPSILAQGIYEEGQKSVSVYLPGKELWYDLRNGSPFKGSVSHKLQVSEDSIPSFQRAGTIVPRKDRFRRSSTQMVNDPYTLVIALNSSGSAEGELYVDDGKSYDYQQGAFIHRRFVFADNKLTSINIAPDILGKKVFSTECVIERIIVLGLSSGAKKAIIEPGNQEVEIDLGPISLRSGSRSVAPTVRRPNVRVIDDWTIRIA >cds.KYUSt_scaffold_1854.77 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:372082:373589:1 gene:KYUSg_scaffold_1854.77 transcript:KYUSt_scaffold_1854.77 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRIVNTGERTISAVRFIPHKQWFVAGDSNGHIHVCITAPDNVKKFQAHASCINSLAVHPIDPFVLSSSDDHLIKLWNWENEECIRTFQAHSKEVESVKFNPLTTSNTFASASRDGTIKIWSIHSGTPITTLECEAELTSVHYLSLPGSHQHIVTGSSCGTARIWDLEEETCIQNINGLQNGALLLLSIAFRDIRFLLQFRKITLFLAATPVPTGNIPESG >cds.KYUSt_chr6.29584 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187451143:187453736:-1 gene:KYUSg_chr6.29584 transcript:KYUSt_chr6.29584 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRSSVPVVDRGFVTLIASDHPGIEVCDPNGHWYLADGGSGPSDLLLLTGRALSHVTAGLRPISQYRATNENRASLTFRLMPHANAILDCSPILAAGHCIRQIYQPVPASQFMDDSWAEVHVVSSHLEEPLESQGNCVSDPSLRSVLSDPLSGAFLEDAMVLQCGHSFGGLMLKKAIEMARCTICNREVDSTSLFPNLALRAVATVVKMEDDRRLFHNAALRKRRKDVTEHADVPRRTGSSSRDDVEHVLDAESPAAVKGVQYPFAVGERVLIMGNKRTPEKFVGKEAVITLQCLNGWYLVKALDSGESTRLQYRSLRKLLEPQTLARMQGLVSLRD >cds.KYUSt_chr1.22509 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133100543:133119735:-1 gene:KYUSg_chr1.22509 transcript:KYUSt_chr1.22509 gene_biotype:protein_coding transcript_biotype:protein_coding SKIVFANGSQDPWRHASKQKSSKEMPSYLIECSNCGHCSDLSGCPQAPSNIEGDSSKCSPPEALNKVRKQIIDHIDLWLSECQEQATGVVATPKQTLDSPVGKEAARETFLPETMGSRARFASLLSASFLLLLLRSAEPLGLRQQTPLAGGELLGVAGRYLTREERWMNQRLDHFSPTDHRQFKQRYFEFLDYHGAAGTGPVFLRICGESSCDGIPNDYLAVLAKKFGAAVVTPEHRYYGKSSPFDSLSTENLRFLSSKQALFDLAVFRQYYQETLNSRHNRSSGLENPWFVFGVSYAGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQVGESAGPQCKAALQETTRLVDEQLRSDSHSVKALFGAQTLKNDGDFLFLLADAAATTFQYGNPDAVCSPLTNAKKNGKDLVETYAQFVRDYYIKKLETTVSSYDQEYLKETTPDDSSSRLWWFQVCSEVAYFQVAPKKDSVRSAKVNTRYNLDLCKNVYGEGVYPDVFMTNLYYGGTSIAASKIVFTNGSQDPWRHASKQKSSKDMPSYLIKCSNCGHGTDLRGCPQLPFRIKGDSSNCTSPEAVDTVRKQITKHIDLWLSQCHEPTSVVVSMAGSKKQGGDQLGVRKMPNASERARNGASPFPVVRLYEHLSSDQKKSIEDMDLGSVLDIKCHVLHNPLISWLAPLLHDELCTGKPSGGCLFHVQLLYVDSLDISSLNLDLPDGRFVANIWSKKDVDTVLDADLKRDGSGYGNLEVARLMGEFASGLTGLMSKLVQGLTEVDDDEVVDTAKFDKTLRSQMVASRSLDDGGAANTSSPIAQSTYDRPAKIVGKSPIRRLKNVPCNQSADATDVGGDVPCNQIVDATDVGGDVLCNQSADATDVGGAITADPLNVVHSVSSPVFEQTNVVAEAPVVEQTRVTRSAAKAACAAKVPCQTDKVHVVNFSDNMSDGESYHSGNDSDYADEAVTACFVIQSRCHVDGGEHADIVLSECAAVPSQTTLSGVATVVPGVSPQLVSSSVPMDIDGAVAEPSNADALVRFMETDITVPSADNRIVFQGLENLAETAAMHIDGVVDERDIDNGKQVLSETNEADLLHAKDASVDGAVISVGTKSGEDLSSSPEVVVMNEDNGKGLSDGYDAVSETINDVVNDLKRSSSALDASEAKHSCVVREDRSVNPVQNVPSSAPKKKPNVRGRRPDVSKSQVATRSSPRRPPRGTSSGVADNVAVKSKVYTLKDLLESSTKIHAAGTSPLVETGSQISAEGGSDEVVFKDDVVPSKSTSETVPTGKESAHEDPVEVSFATGSQISAEGGFDVVVPSKPTSEIVPTGKESAHEEPLEVSFAPPDSGTSVTNQMVDPVGDVDHHASASTGTTFDVARDAEQNATTSPQDQIVTVVKRARFVAADGKLSLTPGIPIDLSAYHVSVVNTSASEKSASPAEALGNDDGHDSTIFADVVKESETANVSSIPPTDDLEVLYITPALRVPVQNLSVASRTPRTRLRMERVVLPSKFMLPPYNRVTCTDEQELLYEQVIKHNSYSEHSKIKESRFLMIDPMWVSTGDLASSVMPSGELSTTVSEIGIAVLQVDCPKKKIIFPWIVTVYLLERRFNSRILQKHFRMDDKYKLSHQNLLCFDVLQNLGTEKKPCGHWYSLFLNFEKKAFFSSNPVNRTSDRTVQSRIRSDRTSDRTSRL >cds.KYUSt_chr2.51684 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322888690:322890780:1 gene:KYUSg_chr2.51684 transcript:KYUSt_chr2.51684 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDLIQDTDKTKWRLKNNHNIKYFSEDTIKRITSNYSTKLGNGGFGEVYKGILEDNQSVAVKKYIHSDSLQEFAKEVIIHSQINHKNVVRLIGCCVEENAEMLVLEHVSNGNLSDLLHLGDTPISLATRLNIAIECAEALGCMHSMYSPVLHCDIKPSNILLDDNFHAKISDFGISRLLLGDSNTECTINVKGCIGYMDPEFPKQGCLTVKSDVYSFGVVLVELITKTKPTDKAKRVIQRFGKASAKRTSIRELFDADIANESNIKVLEAIGKIAKDCLKEEYDERPEMNDVAGRLRELRAVVEKSQAKSQTSWRFFSGGQNELKVDNPGAGSVASGSILGKMKNVSIFNRNTTNFKKGLLASVGVPQYSYADLKTATKNFTNVVGRGAYGTVYRGELPDGRAVAVKQLHGVGGSDAEFWGEVTIMARMNHLNVVRIFGFCADKEQFMLVNEFFSNSSLDKYLFAASTGEGDDHQRQPLLLELNTRHRIALGVARAVVYLHEEYSSEWLLHCDIKPENIFLDDNFCPKLSDFGLSKLTSMEEEKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEIVSGRRNYGFRQDSADSEDCYFPKWAYEKCYIDRRIEDILDPAILAEARKDEATVERMVNTAIWCLQDRAETRPSMGEVIKMLDGTLEMIQPEKPTIFCVQDDFFESTVQDD >cds.KYUSt_chr1.24515 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146534760:146536578:1 gene:KYUSg_chr1.24515 transcript:KYUSt_chr1.24515 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSASPGPSSASSAPEPRHSAGAGECPTGFWPAACELACKVLCAVLTCAFAAVGSVVGAVAGSVIGLATESGVVRGAGIGAISGAVFSIEVAESSRDLWHSTDSAVWSLLYMVDIIFSLLSGRLVREKVGPAVQSAVQSQSGTSFTSGEIQLLTSFSGGSMQISARSSSSAEEPSDLFETGGAARGLPAHALRRLPEIKVAADTAVDAAGDALCCSVCLQDMQVGEAARRLPGCRHVFHAPCIDRWLLRQASCPLCRRDI >cds.KYUSt_chr3.33576 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210815760:210819500:-1 gene:KYUSg_chr3.33576 transcript:KYUSt_chr3.33576 gene_biotype:protein_coding transcript_biotype:protein_coding MKITAIVVLKPAAGAGASSSGGGPGSEAFVLANATDVSHFGFFQRGAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGLCVVAFMDDHYPVRSAFSLLNKVLDEYQKAFGDSWKAATADSTQEWPFLADALTRFQDPAEADKLTKIQRDLDETKIILHKTIENVLERGEKLDSLVEKSSDLSAASQELASGAVPVLPRSG >cds.KYUSt_chr5.29611 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187814285:187816039:-1 gene:KYUSg_chr5.29611 transcript:KYUSt_chr5.29611 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVDAAVRKGSVVLTRTESITEYINKCGQPRSGTQGQYLTLQCQLMTCVPWMNGHPMLAAIVRNYRMDRWDLEDTPETEEKILRIAQERYRGWRSTLSSTYKAFKTDDARLANVPEDLQPEEWEWMINYFGNDEKFQARSQMNSDNRNKQKTKHRDGSKSYAQLSFEKRNLETGEEPDCVALWELTHTKNGTWSNPESQEVYDKAREAVQNKETETKGPLSTEQRNNIFQTAYKDTVQCKSSQPRGYGYMAKPKTGSERFRMQFEDQARVAAETLQRNSELS >cds.KYUSt_chr7.1401 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7675040:7675762:1 gene:KYUSg_chr7.1401 transcript:KYUSt_chr7.1401 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGQRSHFHEPATSCWESRRQICVRDLLLGKGALNLRAPATIWLGSRITVRDGGGLVWWEPPVRGLEAAFVVDDISPGMVLFSEIFCQMPADAGNSIGSGLLMPPPVATDVGRSQSSTDGCFSCSALPASASIEFGMFDKHGFISPIGESAPAATGEPSSSPQDGDSFDKIAPPGEGTAAANATSPGRATPIPTPY >cds.KYUSt_chr4.52542 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325915033:325918984:-1 gene:KYUSg_chr4.52542 transcript:KYUSt_chr4.52542 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDKGNAPDLLDWVGPDISAAIFRLLHNPADLLRASAAQRSWRRFVVENDFCRNLCERLCPEAATLAAAIEVSRSSPPPPPASPESSGDAGARAAEAEFRIYAHLCGELLPNAGPSIDCILHCVGASSTDNFPDETIENTLEPQDRINHRPSYWSSGGQDDGAVPETLTYRLCSDICVVHEIKLQPFKAYFQIGQPIYSSRMVRFRMGHCKLSRGSESFVTEEDENQAVIADENYLWTYTSPEFPMLQENSLQSFKLPRPVLCIGGVVKIELLGGVQKQASDDRVCHAQVVGRSLSPVLMVDISDDGGRSILKYLPAARGLCAEEVMQNKSMDSLEWHALLDRYRNMRHLAIMQVLMGHARFNEQDGGVGLFEQHELGGGDVSDDDHFA >cds.KYUSt_chr6.31987 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202118150:202119604:-1 gene:KYUSg_chr6.31987 transcript:KYUSt_chr6.31987 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFSPAATAAATPPRPIPGGYGIPVLGPLRDRLDYFWFQGPEEFFRRRAAQHKSTVFRANIPPTFPFFLGVDPRVVAIVDTAAFTALFDNTLVDKRDCLIGPYNPSDSFTGGTRVGVYLDTEEPEHARTKAYAMELLRRSSRVWAPEFLAGVDTMLTAVESDLAAGKEGGASFLVPLQQCIFRFLCKAVTGADPAAESLVDRFGFYILDVWLGLQLVPTQKIGAIPQPLEELLLHSFPFPSIIVKPGYDILYRFIEKHGAEAVAVGVDTHGLTVKDAVNNILFVLGFNAFGGFSVFLPFLVLEIGKPDAADLRTRLRDEVRAALQETGGEVGFAAVAKGRMPLVRSTVYEVLRTRPPVPLQFGRARQDFVLRSHGGEGFAVSAGEMLCGYQPLAMRDPAVFDRPEEFVADRFVGEEGEKLLSYVYWSNGPETDDPALGNKQCAAKDAVIATACMLVAELFRRYDDFQCQGTSFTKIQKRQPS >cds.KYUSt_chr7.8504 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51554558:51555829:1 gene:KYUSg_chr7.8504 transcript:KYUSt_chr7.8504 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRNGEPDVNRWGAANKPAPLLEVEIDDDYDGSRYKISGPIGEEDFDPSRPTCYNTSKRSERCAAVGDIRVDGNHSKIYISPLDKEWRTKPYARRHDAVAMDDVREFALLPFGASNETVIPPLCTRNHSVPAFLFSSGGFAGNLYHDYTDVLVPLFTSTHHFGGEVQFLLTDIKDWWLDKFTPLFRHLSNYDVIDVDNDQEVHCFPRIVIGSTFHRAMGIDATRSPGGETVADFKRLLRRTFHLKRDVASHIKPRLLIISRKSSRRFLNERAMAHAAALAQFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLVQVVPFGGLEWLSRVTFKDPAKDMDVTYMEYNVSLEESSLKNLYPKDHFYLQHPYDVHKKGWDAIKTVYLDKQSVTLNLTKFADALEHARSLLP >cds.KYUSt_chr6.4934 pep primary_assembly:MPB_Lper_Kyuss_1697:6:29111735:29112055:-1 gene:KYUSg_chr6.4934 transcript:KYUSt_chr6.4934 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPALATAAPLLPAAGGRKKKKMAVARLGGGAPRSILGAARRLRVRWVAGAYRLAVRRLRAFYARVLQDLLDGAVVADTVRAQAGADWSFGTAFPPVVAVGGRY >cds.KYUSt_chr5.37482 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236986856:236987482:-1 gene:KYUSg_chr5.37482 transcript:KYUSt_chr5.37482 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLEVWSVSPEEDEGGRNRRRNMKSGAKNRRPCAQLEASRDDSAHQEVEDDEAHPPVPSERRGMVCSGGAMVRTVVAVGFSLLRFPTEKRDRGEGVGGGRRERKMGWWLGFLGREDKEEGEQVEGDLGGAAQRARLSRAPVTFQAKKEKGKEVKGMRVGPDQKEKERKASWVRERKPGERGPEEGFCFKNRKDEGFIKPYEMKKKIV >cds.KYUSt_chr1.30144 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182434157:182441396:1 gene:KYUSg_chr1.30144 transcript:KYUSt_chr1.30144 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWSPPEPRSVELLLLGVALVVASFYAGTLFQSSASPAVVLPPSGSRSPDSSNPQDDAEFTNRVAATYRTTPISVPDHGLDVCPLEYNEYVPCHDAAYVSSLRNLDRSRHEDLEHICPPREKRLFCLVPPPNDYKVPIRWPTSRDYVWRSNVNHSRLSEVKGGQNWVHESGKLWWFPGGGTHFKHGASEYIERLGNMTTNSTGDLSSAGVVQVLDVGCGVASFSAYLLPLDIHTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPYPGNSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPIIWEKLINITTAMCWKLIAKHVQTAIWIKPEDESCRQKNADMKLLNICNPDDSSLPSWKSSLMNCVRLNTDHSKLQKLPPRPDRLLFYSRSLERIGVTPEKFENNNQFWRDQVRKYWSLLGVEKTNIRNVMDMNANYGGFAMALSTDPVWIMNVVPHTMINTLPVIYDRGLIGSYHDWCQPFSTYPRTYDLLHAFHLFSHYQGRVEGCSLEDILLEIDRIIRPQGFIIIRDENTTLSRISDLAPRFLWDVTTHTLENEEDRPEQHRYAMLLLALGAVLRLKAEDGRLIICEDGFVVFKALAAV >cds.KYUSt_chr7.4167 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24869856:24870377:1 gene:KYUSg_chr7.4167 transcript:KYUSt_chr7.4167 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSVAVEVFGAAKVLGLNPPSGSKSWQLGEKRDNWGGLPWASWNKGDGDEVDADSEKTLPWLPLPAAGTDGCAGLWGAAMAATRSINTASFASAAASLFASLERWLALSIARHWMSNAHSALVMPGGLSLTMGARAALFFGSRLGGEGFLRRRARSDVLPRLHGWTTEQGDG >cds.KYUSt_chr4.5923 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34730412:34733875:1 gene:KYUSg_chr4.5923 transcript:KYUSt_chr4.5923 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRYDNSLWMQEEAGQEQGLSHHQPAATGMLPMMGHEAGPHHDQHLLAMASVGGGDFRAPAMLDEDWYFDAGAHAGGDAAARGSMAIVPASMGASGSDPGFGAGSQMFPLLNLGGTGPFDVSGFGLGRSGNVGGDFAAFLGAGKASNTAPVSLLTHGNTGFLGSFGGFGPAPPQMTEFGGLAGFDLFDTGAGDGSGSSSEAPAAPTSLTAPFSGRGKAAMLQPLETFPPVGAQPTLFQKRALRRNAGEEDFEKKRMVVSAGSGLSAGRDTVLDDVDEDVVSIDASGMNYDSEQEDGRGVEESARKESNANSTVTVGGGTAEGKGKRKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELEASPSTSSLPPTPTSFHPLTPTLPTLPSRVKEELCPSALPSPTGQQPSVEVRLREGRVVNIHMLCARRPGLLLSAMKAIESLGLDVQQAVISCFNGFALDVFKAEQCNDGPGLRPEEIKAVLLQSAGFHPVI >cds.KYUSt_chr4.42434 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262637450:262641084:1 gene:KYUSg_chr4.42434 transcript:KYUSt_chr4.42434 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPASHRVAAGAPWSPLPRGGFRALTDSAPASVRFSVARRRAARLEVKAAGNIFGDYFQVATYGESHGGGVGCVISGCPPRIPLTEEDMQADLDRRRPGQSRITTPRKETDTCKILSGTYEGLTTGTPIHVFVPNTDQRGGDYTEMAKAYRPSHADLTYDLKYGVRSVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVVLPDDAVDYETVTLDQIESNICRCPDPEYAEKMIAAIDKVRTDGNSIGGVVTCIARNVPRGLGTPVFDKLEALLAKAMLSLPASKGFEIGSGFAGTDLTGSEHNDEFYMDEAGNVRTRTNRSGGVQGGISNGETIYFKVAFKPTATIGKKQNTVTRDHQDIELRTRGRHDPCVVPRAVPMVETMAALVLMDQLMAHSAQCEMFPLNLALQEPVGSANSTPVLAPDLA >cds.KYUSt_chr3.42632 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269561498:269563030:-1 gene:KYUSg_chr3.42632 transcript:KYUSt_chr3.42632 gene_biotype:protein_coding transcript_biotype:protein_coding IFNVSMNPLKFILELFNLALRDLHIAILNDLDGINLALHISFYIDAVHFSFSMHVFHHSFSMDVFHLSAAILLHLASTLLLQTIAQQMGVLLYTKERWNGNSYAEVAVLSLHGDDSASSYAGPAAAGASVIGDLADGASVGDVGSVMGGADGPDSAQSTGIDNPQSAGWTKR >cds.KYUSt_chr4.13794 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84953059:84953367:1 gene:KYUSg_chr4.13794 transcript:KYUSt_chr4.13794 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPWVGLPAVRRSLLQEAAASYAHRQAAAPAARSTGRSVETLVVILAAIVLAAALAGVLARACGGRHVAPSADNDVEGWVERRCRTCLDGGLPAPPGEAK >cds.KYUSt_chr5.40060 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253089039:253092358:-1 gene:KYUSg_chr5.40060 transcript:KYUSt_chr5.40060 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRGGCCGWLIVALVLALVATAAVVAIMKRKPGGGGGGGGSSRRPKPLPVPGPPGDVDSKYGDSLGIALQFFQVQKAGKLVDNQIPWRGDSALDDGKEAKLDLSKGLYDAGDHMKFTFPMAFTATVLAWSILEYGDQMTAAKQLDPALDGLRWITDFLIAAHPSDNVLYIQVGDPDLDHNCWQRPETMTEKRPLTQINPKSPGSDIAAEAAAAMAAASMVFKSSDTKYSDTLLQHAQKLFTFADTYRGISSDTYPKLQSYYNSTSYVDELLWAASWLYHATGDQTYLSYVTVQNGKAYADWGRPTWFSWDDKLPGTQVLLSRVNFFGSKQTSNAENMGLKSYRDTAEAVICGLLPDSPSATGSRTGGGLVWISGWNSLQHATNAAFLAVVYSDYMLTSQTAAVECSGKYFSPTDIRNFAISQANYILGDNPMKLSYLVGYGSNYPQQVHHRGASIPSDAKTGCKGFDYLHSTSPNPNIATGALVGGPFQNDSFVDLRDNAVQTESSTYNSGTLVGLLSGLVTMSSIAKTFT >cds.KYUSt_chr1.34606 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210698511:210703196:-1 gene:KYUSg_chr1.34606 transcript:KYUSt_chr1.34606 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEKEYVAVPMGQASDPADPEDPVKSPPRPTSPATSTRQACFAVLQSWVSRKFMTGCVVIFPIAVTFFITWWFIRFFDGFFSPLYAKLGVDIFGLGFVTSLVFIFIVGIFVSSWVGSTVFWVGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTEAFKEVAIISHPRVGEYAFGFITSTMILQTDKGDEELCSVYVPTNHLYIGDIFLVNSAEIIRPNLSIREGIEIIVSGGMTMPQVITSLGPAPHKNQGTRLSRMTTA >cds.KYUSt_chr7.2569 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15091585:15092381:1 gene:KYUSg_chr7.2569 transcript:KYUSt_chr7.2569 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRPPYRNIQVPLHRFAPLKQSWSEIYTPVYEHMKVVICMLLKVSTLARFALGSSNQTAFDSISGCSMPVAAFASDAARYRDEAKSSFCSGKATDIPRHPGSSADTAVYRRMERDSGRMLRHNSYAVERGEEHLLLVVDVKCSRLSCEN >cds.KYUSt_chr4.9873 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59645237:59645936:1 gene:KYUSg_chr4.9873 transcript:KYUSt_chr4.9873 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATTMKVVAAVDASEESLRALSWALDHVVRQNPGASVVVVHAHRAEHFVYPVAAHGLAYAPPMAVDSMKKTQEENARRVVTRALEVCAQRQVTAKAAVVEGDPKEAICQAVEEMHADLLVLGSRGLGMIKRALLGSVSDYLAHHASCPVLIVKPPKAHAKGTGSST >cds.KYUSt_chr5.8120 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51362516:51364191:1 gene:KYUSg_chr5.8120 transcript:KYUSt_chr5.8120 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSPSRRKRKLEEAPAAAAERGAHGLEPPPRMGEGEEGGAAAADRISDLPDPILADIVSLLPTKDGVCTQILASRWRHLWRSASLNLDCDGLDGLYYYLAEDDDRTLAALAALIPRLLSAHPGPGRRFCVPACYLRSRSAAVDAWLRSPTLDGLHELEFCDVYACEPTRPLPPLSALPAPAFRFSATLRVATIRACHLPDSTAQTLHFPQLKKLALVDVVVSETWLHSMIAGCPALECLLIHTTSGVRRIRINSLSLRSIGVRVGCQRTNELQLQELIIENAPCLERLLCLNLLDGLQVSVTSAPKFQTIACVSDRRDFSTSLMIGCDAVIQGLHLDRLTVAVCTVKILAVDLFMFDLDTVIALMRCFPCLEKLYLESVPGGYNLWRRKHRDLIKCFDIRLKTIGLGSYRGIKSQVDFVTFFILNAKVLELMIVHVSPQDYYRGFAAEESRKLMFENRASKGAQIHFTTDTCLRGVSEINDACDLDLTDPFITRC >cds.KYUSt_chr2.13170 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83474382:83475591:1 gene:KYUSg_chr2.13170 transcript:KYUSt_chr2.13170 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLGRPLALPTGRRGGPADCLSGLRLDLPHRSRRRHRPDFSGKYYCRTVHGQEEPQGDSLGCDVATGEVVMADQMLARISALAKEGWCIRVVSKCRGKASNRLMSMQEAVMTCFCP >cds.KYUSt_chr4.11160 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67771285:67771572:1 gene:KYUSg_chr4.11160 transcript:KYUSt_chr4.11160 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSAMAQRSATVLTSLSIDLPVVDEDDAVSYCEGRRINLLRERLDLEVEGAGRRLEAADVEDCHPTMCCCACRRGDQISQSRPRVRTCHGIQDQ >cds.KYUSt_chr4.21402 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134781301:134785929:1 gene:KYUSg_chr4.21402 transcript:KYUSt_chr4.21402 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDEEGEWNGELTVMVLVESDMRSAAGDEGTNGDDAPGRRKVEAAASTVEEDEVVEGEAPGLRSWLESNEESSPNASPALAWRGEVHGDGKDGNSGDICLFAETLNLIGENFASSRGREERDGGGAEEEKRWQLGFEGLPRLGFCGGDKREQRAGGAVVEHVIPSQQDIPNISRYQQDPKLAYDSQSWQTTNNRNDDSVPGMIRDEEGEWNGELTVMVLVESDMRSAAGDEGTNGDDAPGRRKVEAAASTVEEDEVVEGEAPGLRSWLESNEESSPNASPALAWRGEVHGDGKDGNSGDICLFAETLNLIGENFASSRGREERDGGGAEEEKRWQLGFEGLPRLGFCGGDKREQRAGGAVVEVTFCVC >cds.KYUSt_chr4.28344 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178000461:178001081:1 gene:KYUSg_chr4.28344 transcript:KYUSt_chr4.28344 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEATGGSSSEGAPELTLLGFWTSPHVLRARFALNLKGIPYTYVEEDLFGDNTKGRLLLVHAGKPVAESMVILEYIDEAFPDSLPRLLPSGDPYRRAAARFWAAYVDQKLVPTWIPLHEPRDLRRRNAGALTLASVSRTAAPGATGGREVGGVDPVDGGGVERVGRCPCAAAGAAAFHRTPRARPGCAQRGREEDARPTATTTA >cds.KYUSt_chr6.17204 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108330014:108330424:-1 gene:KYUSg_chr6.17204 transcript:KYUSt_chr6.17204 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGRTPRQQQQQQQRQRTPRGPATPHQSRRNPSTSFTIVGSGPPTDHPFAAGNGPYAVRLVSPRSMEDDAITTLMDIDADSPRSAFLDDDDDGDLLHSHRMGGGARPTDPRAPLAFAGFFNAFDAADFDDDDLA >cds.KYUSt_chr4.44842 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277520127:277524991:-1 gene:KYUSg_chr4.44842 transcript:KYUSt_chr4.44842 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRPLPPLLAASVSFGRRLKATNNLQATMPRRPLCSTTASSRCPAPSGYVPGDEAVDCGAKIRFGGKGAGPDGAFLIDSLLNLRATMLLLVFLLFSSLQLSTTKAIDTLALGQSLPWNETLVSEGGNFELGFFSPGNSGKHYVGIWYKKISKQTVVWVANREHPIVKPWTSRFMLSIHGELLLLTTPSDTLLWSSNASSRSPPSTTVATLQDDGNLVVRRSNATSSSGDVAWQSFDHPTDTWLPGARLGYDKGAGVHSFLTSWTDNENPAPGAFTMEIDTRGQPKFDLFAAAAGARNQYWTTGLWDGEVFANVPEMRSGYFAGFPYAPNGSVNFFTYRDRTTMMGVGNFMLDVNGQMRRRQWGETAGKWILFCSEPHDTCDVYGSCGPFGLCSNATSPACQCPAGFAPRSEQEWKLGNTASGCERRSLLECPKDGFLELPHAVQLPSGSSEAAGVRNGRDCERSCLKDCSCTAYVYDGTKCSMWKSELVNLRALSTDDQGGNPGIAGAVLHLRVARSEVPASSHTHSWKKSMAILGVVVAAVVVLLASIVIGVSMAVLLRKRRGKGKVTAVQGSLLLFDYNAVRTATRNFSEKLGSGSFGTVYRGTLPDATPVAVKKLDGLRQGEKQFRAEVVTLGVIQHVNLVRLRGFCSEGNKRALVYDYMANGSLDSYLFKTGGSAASDAKALSWGQRYTVALGVARGLAYLHEKCRECIIHCDIKPENILLDDEMGAKLADFGMAKLVGHDFSRVLTTMRGTLGYLAPEWLAGAPVTAKADVYSFGLVLFEIVSGRRNNAPTEKGGYGTYFPVHAAVCLHGGDAVRLLDERVAKDADMKELERVCRVACWCIQDEEGDRPTMGLVVQQLEGVADVALPPIPSRLYMLATANAGAGGGAQDEFYSENSTSSILAKGEE >cds.KYUSt_chr3.36842 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231752821:231753718:1 gene:KYUSg_chr3.36842 transcript:KYUSt_chr3.36842 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVHLEEMAGPSMKPVELEELDPMGDVDKQRLKWETINEDQQVWDALDEQRNLSKNSEMLTVYAVSRGYLYWMLSFVFGCSNAMYRHACLFTETWYMSLSLVLVPVILELFTFELFGVFSNTCFHMSNIGWTVLYIFDINSVAVQESAEW >cds.KYUSt_chr2.53016 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330807534:330816709:1 gene:KYUSg_chr2.53016 transcript:KYUSt_chr2.53016 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSPAPRFLLLALVLACAASRVSSSGLRFAHLDRVRELQQSERRPPAEQVDAVAGLLARLLPSHSASFEFRVISTEQCGGKACFIIDNHPSFDGEGTPEILILGVSGVEISAGLHWYLKHYCAAHISWAKTGGAQLSSVPYPGSLPHVPAGGVLIRRPVDWNYYQNAVTSSYSFAWYDWERWEKEIDWMALQGVNLPLAFTGQEAIWQKVFQRYNISKSDLDDFFGGPAFLSWSRMANMHGWGGPLPQTWLDDQLTLQKKILSRMYAFGMSPVLPAFSGNIPAALKSKFPSARVTHLGDWFTVDSNPRWCCTYLLDASDPLFVEIGKSFIEEQIKEYGRTSHVYNCDTFDENTPPLSDPNYISSLGAATFRGMQSGDDEAIWLMQGWLFTYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPVWINSDQCMLHNFAADFEMYGVLDAVASGPIDARLSENSTMVGVGMSMEGIEQNPIVYDLMSEMAFHHRQLDLQVWVETYPTRRYGKSVVGLQDAWRILHRTLYNCTDGKNDKNRDVIVAFPDVEPFVIQTSTASISFALSSKNFVVKDASNDAYEQPHIWYDTKAVIHALELFLQYGDEVSDSSTFRYDLVDLTRQALAKYANQIFLRIIQGYKSNNISQVTTMCELFLDLVNDLDMLLASHEGFLLGPWLESAKGLARDQEQEIQYEWNARTQITMWFDNTETKASLLRDYGEAHNIHSLLTVSPYLFITSSVAANKYWSGLLQDYYGPRAAIYFKYLILSLEKNKPFALEEWRREWIRLTNNWQSDRKVFPTTATGDALSISRSLVIKYLSNADSLQTDGSFRMSAS >cds.KYUSt_contig_2097.276 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:1424213:1427272:-1 gene:KYUSg_contig_2097.276 transcript:KYUSt_contig_2097.276 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFFIRCMVKKLLSVNAIGLKPSGGIIIREPARQQQRRASSGGRTLLAPKAEVKEEEEEATKAVKVAEYLGQQRLIDSTNDPDDCPRLGLCFLLLSAVILVMGVEEENIEGGPNPFDSVGLHPQQLLQATVRPFSSVIDAQARAPAFRLLRCWIFWSKGGQKINSSKPKDGIKGGRAVLSWRPCRLCPQGVEGEGSLSGDPRGLGAIKGFSWITTRPWSVWDLLRLTMKGTAAASGGGKAGAAAPVVEAEESPGSEKPAPPSGAGAAGSDDHVSDMMGRLRLTQAETEAVVLDDGIDDIPVHSKWALVGKVLSPSILHISTIAAALRPAWGNPRGLLLNSAGDNTFVAEFGTKADMDRVLDGPPWVVGKRAVLLQGFDADLKPQEMIFNKMRLWVRIVNLPFGYMHQKWGKAIASSFCSVGCVPVVDCAADGRCWGSYMRVRVEVDVDKPLRRGVTVFSQRRQTTDWFSLQYEDLPHYCFSCGFIGHTSTECNDPGERDAEGKLPYSADRLCAPDEKKKKNQGARLSSGSVSAGQGRSSTPVREGPGQSLNTGGAASKSQVNEDFVEVSSPTKNVKTRARAKQTKADTSQAKGKDLAQDGKMLTGQKRKNQLVYRPKAPAMLTAEVVNPLAVVIHQAVDTPVSEEEHTEDDMSTDSNKKRKNDTVGSADQAGAVEQPRPTQ >cds.KYUSt_chr5.2949 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19307615:19310230:1 gene:KYUSg_chr5.2949 transcript:KYUSt_chr5.2949 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVAAAAPVTAAAASMARVATASVTWVAAAASMTRVTATSAMAWVAAASAMAWVATASMTRVATASAMARVATASAVARKYGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGDGVVDPATVPSLRQIRRGRGDGRKGAGGPGARTEHGAADSGVSAAADTDDAADATAAADDAAAAGTDELADDQTALSSPPGSIPAPPPYSMPWMPPPPTQSPGTPLTVNNLNIIRSMNRGAFAVRARARPHGKEVAHGSLSGARQRSGARQPPWRTAKKGRTAASLAHGKEVAHGSIPGARQRWQRTAKGFAVQSLHAHGKGGFAVDCFAVQTLP >cds.KYUSt_chr4.3826 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21861418:21863948:-1 gene:KYUSg_chr4.3826 transcript:KYUSt_chr4.3826 gene_biotype:protein_coding transcript_biotype:protein_coding MTETSEASHAAPVKDKDPPLEPKPERQKMQVEEVSWRQPPPHSTFDLPPYYQDPDPEAPEYFDDMAVFYLEAARRLPIAQMPKLACYLSLGGLLLGLNNPVTNIIVNAIYLMGSSRASDALPAGVDPVRHAADRASYVDIARKSRAALVVFMTFYFRHLTQAQAKRYLRAARHDLALAIGLVEWHRNAGGFELSPDCSRTRTALRYAAKVPMLLDDPHDLVQLLASRFPCHLLDPVLDDLRRGGQLSVGRVNDILNLLRHPWSPPPPPLPAPTPGTFHDADGNVTIIANIGQDLFSTTTITRHRAATLDNNKHGDFVTTTTISRHPSRPNDDNDDLAAAAYLTTGSDTKSRLCSFLNSTTALQLQPDTNSLKMCLLDTIHALYIQALAMLPSDHQPRLLRAMLAGGHCYGVMEDPVSNIVVNSIWYNARFPDPQTANEEDEDFLITSSRAESSSLHGLIAILRADRGVTEQEAVACLRDNLRNLSHLMSSLNHQSLAAAAEAAKHPQPAALVAFLTTLLTPEKGDHLRSLLTNKNALSNADFKDLNTMIAGNDVAAAPVQIMAATPNPGRSALNTMPGATGPGGMSMFSTSIFNKMPAALVQTTVPDRGPKSYVRTKVEELLLDYGRNYPLGPRYKLCVICGMASKSWYCFRGTTCYHVNFLASTLVPNDDATTSPPPECRLFFTEFWTNADDGFESSKKPPVCCPIQDYHAFPGRCFICECALVRIVHPPCGNYFLRQEYSTDSLCAITARHAEFGE >cds.KYUSt_chr3.9751 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57355808:57359675:-1 gene:KYUSg_chr3.9751 transcript:KYUSt_chr3.9751 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIVCTRIAAASGKQEVERKAHGNAGEHPQWIDATLATCSAALLSHASDIAHAASLIDVVIVSPHDLELLEEEAQHLEGAHVDGVEPSPRCVVFVGGGTSRTVRKVVDAVSMHAAGQGKTKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFRE >cds.KYUSt_chr3.45484 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286651223:286651950:-1 gene:KYUSg_chr3.45484 transcript:KYUSt_chr3.45484 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVAAPASATPTVLRVAAFSGSLRKDSWHTGLIRAAEELCEEFIPGLIIDHVDISNLPMANPDLETDGGDGFPPEVEAFRARVLAADCFLFASPEYNYSVTASLKNALDWASRGSVKCWADKAAAIVCAGYDFNGGRGSLHLRQIGIYLDIHFINKPELHVRMYDDPPSFDADGNLTDAKNRERLKKVLLSLQAFALRLQPKQA >cds.KYUSt_chr6.28342 pep primary_assembly:MPB_Lper_Kyuss_1697:6:179634933:179635667:-1 gene:KYUSg_chr6.28342 transcript:KYUSt_chr6.28342 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTTISSGTEEFLEVEELVDTRLSLVIGAGSPQHPPGQPLLPLTEKEASAGRKRKRASTVKGGSDINDDSGGSGARKKLQLTGEQAAAMEKSFRAHNVLSHDEKHDLARRLGLKARQVEVWFQNRRARTKLKQTELDCGLLRRLCERLSHDNERLRRDLAEARSSLSSAAFISSLTSSSCPSCNKPGGGWPGVGLINRD >cds.KYUSt_chr4.50831 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315049086:315049532:1 gene:KYUSg_chr4.50831 transcript:KYUSt_chr4.50831 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSNCSPLLLAALLVSVFAAAAATGDYCYAGFGLPDSPLEGCREYVAHQTCGAGILGAPPVPVETLRQQCCQEFSQIRQHCRCEAVRYLMGGTLSHPHSSLLARPGCPTEPQRDFARMLPTPAQCNLVTEYNTPFCFEMDEPIARE >cds.KYUSt_chr2.5373 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33309013:33310629:-1 gene:KYUSg_chr2.5373 transcript:KYUSt_chr2.5373 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTQAATELLVPGGAAGETASHSGGEAADHLSALPDDLLHHILSSLRAWEVVRTCVLARRWRHLWASATCLDLRTRYSTRDFAPDHLRGFVSRLFLSRNASVPVDTLRLQSSEMMGAFSDDDSSRWISVSMEHNARVIHVVGHRRFPALLKGVSFVSCHLKILKLSYARLDHRILGQLSSSCTCLEELDLKDCLVTGDEIVSASLKTLVMLKCKIDRDFSVAAPNLVHLRLIMPYGRVPLLKHLGSLVTGTIIVDDYFLRDDISEDDAYDETTDDDDDEHITDQEDSDETTDDDDGGDGPEHIIDQKDSDETADNRDNSNHGSEHITNEEDSDETTDDDNTSGGSENINDKVDCDETADNDGCNCGYKHIIDQEDCDETSYDDDDGCNCRFELIGDQEGCDETTDDEGGDNEITAKRDNYKNDNDFGCISEDDSFEENTDEEDGSDNTNDSNIGYGCGGHKDNGHDSDMDSDDNTYEYSEIAKEAKHIYHAGSQSSSKDANYHSYEINDSEYLGGQNILRSLSNVTSLGLLTDAGEV >cds.KYUSt_chr7.19975 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123751232:123752290:1 gene:KYUSg_chr7.19975 transcript:KYUSt_chr7.19975 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLAVPMNVKRKDVEVVSSHGFAIFLDPKRIKLQLQDDKVVDMMEEDEPLEHAPTVTATAPTIVHDKVNIVSVAVGISSEPPSKVSQDQSTTAPAPAPAAMDMEMEEDTQPYQPCRNASFFSGLWQSPQIPTLLMGKVRRW >cds.KYUSt_chr1.18647 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109193916:109194485:-1 gene:KYUSg_chr1.18647 transcript:KYUSt_chr1.18647 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRSLATMVVFLLVVLRTSHIASSLRLGLGVCRASGYLPGKAGHCEKSNDPDCCEDGKRYPQYHCSPPVTATTKAVLTLNSFEKGKDGGGPSECDNSYHSDKELVVALSTGWFENMARCGHRIKITANGKSVYAKVVDECDSVYGCDDEHNYEPPCANNIVDASPAVWNALGLDQNVGMEDITWSQA >cds.KYUSt_chr5.38469 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243225982:243228950:1 gene:KYUSg_chr5.38469 transcript:KYUSt_chr5.38469 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVLSDLQALKGLYGLLHTGRDGTRRVMGTHCHPYTRASRRMRMKERRDGDTGAKENRAFPTIAERERVPYDACKQVCDAHRRCRRQAFAKTRNLQGAASGPAQGAEHGDHHSQDQTYTRGRPQQGRRRPKIRATAKIWSSRSSAPPAATKPTAREPWNEPRAPWEELQRAKAAHIRLDEASRSLLMRMLDDATQEALIKQAKEIHFIQLQNPSTPEQRLACLGSHRSSRAAEPWHGDASGSASRVGHSDRYSRLRRGSGHGDRSSSTERSSTRHSAVSRAPSATRLLGRTTAREAGAGGLSMSRRVGRLDSWVLTPWL >cds.KYUSt_chr2.41357 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257226146:257228116:1 gene:KYUSg_chr2.41357 transcript:KYUSt_chr2.41357 gene_biotype:protein_coding transcript_biotype:protein_coding MATALLSFLQTLWPLSARLKEDDDLGASARLVRTLAVPEETKQFVLALREPESRGLIYILAAQNLSEQSAADAGRLIRAVRPGAVITQVAHAEVDDVWIEEECLAQGGAGGVPASPFQVIKRCVTEKKSKEHYVKSAACQVLQEIFGVGFYGHLLAAKKAAEETGSHFLLLGSPYEKNCNGGGGSSNEKSSDNTSAQDSKTTCSLPQTAMDDNSGQKLQGSCLFPQSATSAASSNVRKICLVDDHGRQILKSLAPTANLLLSQAISSTAATESKLSECKPADGYEPPLFAQTVYPLLADLYAIFIDTPAIVKAMSSVQMLLTQVHKGKPICSEMLSDVYAFGIAIEALRICLNNAGRRRIDTKDNHGSEKKLEFAELPSEEKCHILLVQALRSQLREFGSVVAVVDASCLAGIRRHWNTPVPLEITQLAGKCFSHYGNKTDGDSSELPLDSTDKKSWIAEKPVVAVGAGGTAILGFSSLSKTVQASAVFNLAPYKTPVVLKYGLMKLQRHAGVVLSKLLSHGVVSASSKSSAFQFTASAEKIRAVTHTVITSAEGTSILAMRTSFYEIMQKRRNRPFRITPWATFGFSMVACAGLVKHGDGIECAAAAAPSVPMIASLGRGLESLRVTSQEARQTKGQNVKEALLTFLSSLKKSVK >cds.KYUSt_chr1.36115 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220164725:220166431:1 gene:KYUSg_chr1.36115 transcript:KYUSt_chr1.36115 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLDALLGAQRDLADRLAQGVSGLLLHVQPPHKLIPFAFAFDIELPPVPFVRDDLPAAAVASLAEIGDRLGQAGSGLGGAVQHLSRQLPLPFLADVSRRRRREVYPTPPAAGDGRLAAEGGLALERAEERDPLEVAAAAAAAATGSAAAASRSGTGGAEESDEEDDELGFEIGTLGRFKRHKVVILRKICEKEMKGKRRHVREG >cds.KYUSt_chr7.26858 pep primary_assembly:MPB_Lper_Kyuss_1697:7:167688164:167690626:-1 gene:KYUSg_chr7.26858 transcript:KYUSt_chr7.26858 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDEPAGKASYHHLLDSPEAPQAAKASSSGAGRRRLAQPHPPLRLATAGPTGLTPPSAVYLPVEPPPDKASSHRSVAPSTQGILSPPPPNGQPGGAAASWAAIVSHRPVAPPSQDLLSAPPPHGQSSGAAASFPQPPPLPHRQPGRAATSWAAIVSSQRPVAPSSQDLLPSRPPHGLFSGATASFSQPLPLPECGFVDSSHVQLGHTAASFPQPRSRFVNSPHGQLDQAAASFFPRQPPPPHEQPAATTISLPQPPHGQSGRAATSLPQLWQPPHRQQDVSGRQGPSHGQSGRAATSLPQLSPPPHRQPSRAGHQGPPHGLSRHTVGCQASHRRLMVSTIVPDSSHGLMISPDASLAGKASIRRYPNWVMLDPHTRLNYHPNEDFLGTHAVTETSRGEHIDISFRSVMPPGASRLYVHWTPRRRTKGQSSLGARKGEDRCGWDPIVVAAHENSILLQLTFENTQSDFFVYTLPSTGPPSLMLLPSCKHKFTDGIPRSGGLDHMSIIEGIGLLCNAEREKFVVADLMILPNPYKDDDAPVVAELCVLQGSHSKDGWTTTPWRTIRPQIHHDNEHGKELVWWETDVVVPLHDSLCYVDYFRGIFFVDVLSENPELHYVQLPVLIPNGDPTDPETGVRGCPEQYRSVCVTNNGCILKFVEVVTTTVFVSGSREPASSSFAIKVWKLTQDSMTWEKEYVMADTELWALQGCGDLLRVAPSFPFVSMEEPEVFYFVLSNRYSKDPGALWVIVVDMLKKTVRSLSRYNKVYPNCIGSDDDMASASFSTNSAFIPCAFSKYVPVWSSCVEPRGNYISYYNSFSCV >cds.KYUSt_chr4.54501 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336739379:336744049:1 gene:KYUSg_chr4.54501 transcript:KYUSt_chr4.54501 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFGDSMVDVGNNDYLNTLGKVDMPPYGRDFKDHVATGRFCNGKLAIDIIAERLGFTSYPAAYLSPQASGQNLLIGANFASGGSGFYDDAPFKSQYITLSQQLGYFQEYRSKLAVVVGSSKAQSIISDALYIICIGSNDFHLNYFINPLLLSTLTFDQYCDRLLIIFLNTITDLYGAGARRIGVMSLPPMGCYPVAITVAGLGNDRCVPWLNTIAQGFNGKMSAAVDSLSGRYHDLKIFHLDIYTPIYGLVASPWSHGFTEVRRACCALIFCNARGVGICRNATTYVFWDGAHTTEAANQVIADYLLAHGINDLVVS >cds.KYUSt_chr4.49557 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306835284:306837531:1 gene:KYUSg_chr4.49557 transcript:KYUSt_chr4.49557 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPIAALLLLFLVAVTVPSSRAAVDAVPQPRGFYINCGADKEVQSGSIRWVPDAAFIAVGNASAINKPNILPVFSTVRHFPDATARKYCYTLPAVKGSRYLVRTTYFYGGFDGGTDPPVFDQIVDGTLWSAVNTTETSRRGMSTYFEMVAQAQGKSMSVCLARRPDTKSSPFISSLELVNLEDSMYNTTDFGKYVLTTVARSALGVKGDIVSYPDDQYNRYWAPFMDGNPTVESHSPISPIDFWNLPPAKALKGGITTSRGKKLTVQWPPVELPLASYYVALYFQDSRTASPYSWRVFDVAVNGKDFFRGLNASAAGVMVFSNMLQLAGKTEILLTPNETSPVGPLINAGEIYQIVPVGGRTATKDVVAMEELARSLKNPPPDWAGDPCLPPQNSWTGVNCSGDSPVRVLSLDLRNRTLSGSLPDSIGNLTGVQTIFLSGNKLSGPIPDFSSMQILTALHLDGNQFSGTINPSLGNLTNLKELYLNNNNLTGPVPPSLKTKPGLIMRTEGNKLL >cds.KYUSt_chr7.7673 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46320831:46321517:-1 gene:KYUSg_chr7.7673 transcript:KYUSt_chr7.7673 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAPPPHTTSARNADGNPHVLVVPYPSQGHLVPLLDLAALLAARGLAFTVAVTAGNAPLVAARLPSVATVVLPFPASPFLPAGCAENTKELPAHLFRPFMASLAALRAPLLSWCKAQPRRVTAVISDLFTGWTLPLADELGVPHVTFAPASVHYLATSLSLWRRMPTRRDDDDTVAFPDVPGSPSSPWRHLSLLFRMHVGGDDDEVSDTIRKIFLWNLESCCFVAN >cds.KYUSt_chr5.35998 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227430014:227430430:1 gene:KYUSg_chr5.35998 transcript:KYUSt_chr5.35998 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGRTVQSFVEDEGAFNASVDGRFAALDANHDGLLSYTEMAGELMSLRVLEKHFGIDETGVISPDELATLYRGLFARFDRDGDGAVDREEFRAEMKEVMLAVASGLGFLPVQMVVEEGSFLKVAVDRELARAA >cds.KYUSt_chr3.48897 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305512314:305524468:1 gene:KYUSg_chr3.48897 transcript:KYUSt_chr3.48897 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGASSGDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGGYITFYPCIDDLFSLTGLVSTSLDFSIAGIHPAAAATHGPSSRCSTDGEAEWEWRRPEFVRTGPFLIIVTAAAFNAAGIAALSGHGFTAAAFNAAGIAALFGQVLTTAAFNAASFVSARPSLPRASSPSSPSPPATPRPPSR >cds.KYUSt_chr5.29625 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187886203:187887407:1 gene:KYUSg_chr5.29625 transcript:KYUSt_chr5.29625 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSAPCSLASELGTKATAASVGGRPDLQHRLLGAPAGGVLRVQLLPQRRPDLQRHPELLAPKRPRRRRVGLGLQRWLQVLVPLVCFMSLSNCINSGINKVYVLTQFNLASLNRHLSRAYSFGNGVGFGDGFVEWKELEHDCVMLIFTELFKFDTNPGSLWGEINAIMALHFGHEGWDQGEGV >cds.KYUSt_chr2.631 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3857526:3858701:1 gene:KYUSg_chr2.631 transcript:KYUSt_chr2.631 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPPPPPEKFPQPAPTTIFTIGDDLLLEIFVRLPSLPSLVRAAFACRAFLHAVRSFPTFRPRFRDLHPPPLLGLFVSHRVGDIPSFVPLRGLADPDQSAVVRGSDFFLTRVPDDQGFWNVTHCGHGYLLLHNWEHRLFAAYSPLAGVLHRITAPPEAVRELYILSPPEQPHGSFRLVCVQEDGLQVRAVVFSSDTGEWQVLPWVQAAAINKNHPGDDKHCLPPRTGKLVDGRIYWTRHDYVIVLDTVTLQFSSMNLPPYMYGQKPFVVGETKDDKLCMVCAIDEEDTIAVWVWRADAGRVEEWVLDKEIELEDLSALKLVAVKQGFVHLHWMAVEEPGNVPLCHFFSLCLEKEEMKKIFALYEYEMEWSYPYIMPWPSSLVCNKVNPQI >cds.KYUSt_contig_1537.82 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:481671:494839:1 gene:KYUSg_contig_1537.82 transcript:KYUSt_contig_1537.82 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRDSTICEMSDSTICETSDSTICEFDECLQFEGMSDTPSSMDDETPIMEKMYMVHEDDDITPCLLLEDEHGGHIEPTSSTTPTSYERDYKDSVGSVIGQSIDPSQKVVGFRISGEENDPCTQEDDNVSECQSSGHGSSGRLELVGSHHNSNELQGNGALMASRKNQAVNANYLLNFQYDPISRPQPRGPRIYPSRRQRKIKPYNKDLFLQANFKFVVLDTGNCQIDSMDPDKMLQWEDIICVRYCSPSEVRCPICLESPLCPQITSCGHIYCFPCILRYLLMGKEDYRGECWKKCPLCFMMISVKELNTIFVTQLQHFHIGDSATFTLLGRSKNSLTPFIRNLSGEYSSLDEDPTDVFSKFILTSDVELTVREAKSDLSNWLHRADLGLVDDLENLPYVSAALEQLEERMKYWSEYRNQSSSPPIKDSFSSVSSSKTKNFDSLKTSRLNSGQKLSPVSDGDIIAGISGLSVAPRSNITNKGVPPKMEERRAATIESNEQDSYTFYQVFDGQHLILHPLNMKCLLNHFGCSAMLPPRVTGKILELETITQSEATRKRYRFLSHFSLTTTFQFCEIDLSDMLPPISLAPFMDEIKKREKQRKQTAKKEESDRVKAEVAAAVQASAMRFEHTNFSQSHNDVMFSLDDFEALGNIAGPSTSPPANERKLFSDVTRLGFASAQDSPPLRAETGDTAGKDESARDQGPAATSSLSFASILSSAGAAADNSMEMPKPNAVGKKGKKPTRVLLSTGGGRRY >cds.KYUSt_contig_1130.68 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000106.1:456967:458229:1 gene:KYUSg_contig_1130.68 transcript:KYUSt_contig_1130.68 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSTVIYRTSAAAAIPLSLRSAAEGADVFSKRIAQGFNPSEFRAIPRRGSEGELAGARSPRQAGPALLPALHHASVAGGADRDVRAHRLASPASPRSSASDPLPGGAGRGWRAGEWDPTAVITPAVPRRHVSLSAQTLGEEMELHELFHGGIGPPMASAPTVCTVHGGSASVPHRSGPWRSERVQGLKNRFPLLPLFPIRFTSATAHTFTTKLNPPRSAACRRFTLASVVTDGREEAQGEEMYSEVEPGGGTGGVPATEGEPVFDEAGVGDWGRLGLRSPRGQAQPLLQLRQRASFALAQSSSMHPGRQSPRLAVEPRAEMLEVKPGPRRFAKLSKLLAMSSTSGEDCKAKEDCEASSVPLDKPCGPRKKKTGRPRKSRTAFEMAQEAAHFSAPSIWQPVQSAQGFNKLEIQSVNGLI >cds.KYUSt_chr5.17646 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113866339:113867652:-1 gene:KYUSg_chr5.17646 transcript:KYUSt_chr5.17646 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAALFADSLFCSEERLDDLFQEPAQDDDWPDPPPQPPQQQQPALDDELPALFEAYRRKEEPLPDHGERYGGNAGREAAVGWATRAAARLGFSALTAALATAYLDRCFLGAGGAMRLGDQPWKARLAAVACVALAAKVEETRVPLLLDLQLLAAGPDADAGAGAYVFDSDTMYRMEAIVLNELAWRMHPVTPFSFLHPVLAAARLRHCEALLLAAMTDWRWPRHRPSAWAAAALCVTAGFGTDDGDADLLALINAPEDEVAECAKILTGEAGFVLHNKRKRAPAGLHSPPLSPIGVIGSAAYFSRESSTSSADAVTTAWPGCVSVSSSPDPAARPPKRATAMVMLPPDEESRDAWP >cds.KYUSt_chr4.4171 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23937636:23939099:-1 gene:KYUSg_chr4.4171 transcript:KYUSt_chr4.4171 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKVHSAIEEARGRQITNYGTLEWLSELNDGLHQGRYLLDTVGCRELEETEEDEHARKVVAAQPFSFSSFNPAKRARVAACSVKRLLSCHDVGAHEKIDRVVHILETVSGDLKEFLMLLQSCQPIRRPLATNIFIEGQMFGRHVEKERIITFLLHDGSEKLGVLPIVGGMGVGKTTLAQHVCDDERVRSHFPVIMYSSSSHTLAMATGEPAFVLESKHGLGAAHNFIDVVKENYLTKRLLMVFEDVDMRKKQMLEEELLPIIRWHGKHGSKIIIITRNGSVASMGTMQPIKLKVLPHPEYWFFFKAHAFAGRDVEEDLRMVTAGKAIARKLNGSFFGAKIAGAVLKARPDPRFWRMVLRSNIGGLSLLGDGMVHIADLAENLLPGHANMCRLTISKNPFPSQTELARLDDLCQPSPGTDYLAVDDFRLANVFLCRSILPFQCLYYTAHCAVSGGDDLSKFITTGGSGTRLALAHILPSRKGYVHRI >cds.KYUSt_chr2.3531 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21127023:21130845:-1 gene:KYUSg_chr2.3531 transcript:KYUSt_chr2.3531 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRQEPLARLTGRSYTAARVTTQLPIPAPTFASWSPEAGHAPRQAAIRVAPPCRCCAARSTEKLAVVTGGNRGIGLEVCRQLALEGVTVILTARDEKQGEGAVEYLRRESNLSNIIFHQLDILDSSSRASLARHIESTYGKLDILVNNAGVGGVAVDQDGLRALNIDPKMWLSGEAGHLIQSVIVQTYDEAVKCLNTNYYGLKWTTEALLPLLKRSTSGARIINTSSLRSELQRMPNEVLRESLRDADSWDEARIEAMLSEFLEDMKNERLEEAGWPLMLPAYSMSKMVVNLYTRILARRHPEMRVNCVHPGFVKTEINWNTGVIPPEEGARGAVMLALVPEDGPTGCYFDQTKMGVAW >cds.KYUSt_contig_1467.183 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1203296:1205204:-1 gene:KYUSg_contig_1467.183 transcript:KYUSt_contig_1467.183 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNNVRTEDGEMRKKTGEMTVSLGHRPDSPPLPLLLEVDHLLEEILLRLHPLPSSLPRASLVSHRWRRLVSDPGFFRRFRLHHRRNPPHLGFFDVDSHDLHFVPALDAPDRVPPGRFSLQFNEGDKFHLLGSRHGLVLVLQPPRKQLLVWDPITADQHHINLPPGFAGPVFAIHGAVLRDAVYAQHFQVVLVDVEAEDPHHSRVLACVYSSETGVWGDLISAPLPPMVPSSNRVVFVYPTKPAVLVENSLYWILDGDLVGILEFDLERKSIAVIQPPVDVLTKSKYQYTVVRTEGGGLGFLFVSRSQYNAQLWKRKTNLDGVASWVLERTIELEKLLSLNSGARVNLCVRGFAEDNNVLFLGTPIGVFMIHIESLQFKKLPDHTLVSCNHSFESVYAAGT >cds.KYUSt_chr5.37352 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236158412:236159034:1 gene:KYUSg_chr5.37352 transcript:KYUSt_chr5.37352 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVQYHKYGGGAEGLKHVEVPVPSPKKGELLVRVEAASINPLDWRFQKGVGRPFLPSKFPFTPVCELAGEVVELGAGVSGFRPGDKIIAVNFPGSGGLAEYAVVSASNAALRPPEVSAVEGACIPIAAATALMALRTAGVGLDAGDGPAKNVLVTAASGGVGTFAVQLASLAGQHHVTAT >cds.KYUSt_chr2.55511 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346257416:346264518:-1 gene:KYUSg_chr2.55511 transcript:KYUSt_chr2.55511 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPTAAEQDALVSSFLEIAAGQTPHTATQFLQVRPLSPPPPIASISPLHPVSRDPQMTSWHLEEALQLFYIDGEAALAAHPAAAAASAEAAALAAAAAAAEVEEGFRFHPPPAAALEDGMLQGLGDEDDVRAPLPVRRETLYGDAPMVVTRPNAMVAFRNFEEEARQSAWDSEQNATSSSRDNLASLYRPPFELMFNGPFDKAKLEASCLDKWLLINLQSTEEFSSHMLNRDTWANEAVAQTIRSNFIFWQVYQDTSEGRKVCTYYKLDSVPAILLIDPITGQKMRGWNGMVYPDSLLEDLMSYLEKGPKEYHAVQPQKRPRKIDQETSVNREGKTGAEDEDEELARAVAASLEENKGAGGSDAVEDKPNPEEENEPSLSVKLEYPPLPEEPKGSRDLLCRVAIRLPDGRRIQRNFLHTDSIKLLWSFCSSQVEDGEKRAFHFAQPIPGSSNNNLEYGSEQTFREAGLANSMINLLLD >cds.KYUSt_chr6.29134 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184588778:184589170:-1 gene:KYUSg_chr6.29134 transcript:KYUSt_chr6.29134 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTGPTFRRPRTRPEPLYPVEVLVETTLRVWAKSRWRTEVELTRDFLDEGFSHLPPGSPVMYYVNESREGVALKLLTVTFANRFDAYALLGEVFWCGCESIFFTTYNVFTDCDAIFFSGKPMHCLPYYK >cds.KYUSt_chr4.33240 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203675870:203680068:-1 gene:KYUSg_chr4.33240 transcript:KYUSt_chr4.33240 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTSHLDTPRQPTFNAQFVRPRYLINSIPRIPPIKNDNLGMEVAEDQEDAKLEFVEAGKPGPVPRQEGAPAGALDDVHPPRIVTFAPLPEEDVLPLPAPLVAHEIIVLKYVGERVPTSYSQEPVVQGGLLTNHHGVGVEAVESKEMAAGVNPCPDRVPEQELLTPETCLEDGGDDGTFRGRRLDVSGFLRRRQIDGMVRLVGARWSHTTPRRGPRLGCARVGLAGSCPLRLFSGLRLRFENRELARWHLVNSRFELSVNLILHVQEDGLRYVFFAEACVEDV >cds.KYUSt_chr1.7963 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48875987:48876845:1 gene:KYUSg_chr1.7963 transcript:KYUSt_chr1.7963 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASRRQPTPTAAPELSSSSGRSETEARSLKVHSEAERRRRERINGHLATLRRMMPDANQMDKATLLSSVVSQVRHLKRKAAETATQPLTPIPPEANEITVRCYTAGSDDRTTCIRATVSCDDRPGLFTGLAGAFRGLGLRTLRAEFASLGGRAHHVFVLCNEDGDVGAGPRALELTVWQALAQVAFPETAFGGTSWSKRQRILDAGCSLMYSV >cds.KYUSt_chr5.35138 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222202296:222202748:-1 gene:KYUSg_chr5.35138 transcript:KYUSt_chr5.35138 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGAPVVTWRPASEGTFIVGVQVDMEPTDTVPSLYFEAAGATIAEAEQAASLMITYALATERQVQIRDINYPVVAYQRQQLDDAGKKLVETKHLCVELMDALRSSEKEVAFLECLVHMFYRRIRCLKDTVAALRRGGGGGDGSSNGSS >cds.KYUSt_chr4.26905 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168923566:168926151:-1 gene:KYUSg_chr4.26905 transcript:KYUSt_chr4.26905 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDALARKRGKVRRKRMRSSENAVSTRVAAIIASKRRRKTGKRRGCEGMCFSLPCPEDPFNERHGKKRRTDDDAAAADADGDHKKKGKDSKKRAMSGSNAIPVRKTKTEEDRVEHDRPSKFLVVCLNAIRDAAGASDGDSGSIHDTSSWGMELWKSCAASPPVDVLDTSSAYATREQTSWLVSTACDIVARKEKLGVVVSCPFLLYLVPSQEKAVQVRSICKPLKSLGIHSVSLHPGASIEHQISGLKSCEPEFLISTPERLLELVSLKAIDISNVSMLVIDGLREFEALNLSPIINSVRRTISCDAQVTIFGGQCDQSSATVARNLLHGRITKLTTNDSVTSRSEFIAQHVQCCTPEEKTSKVKEILEHVLVEHASKTAKVLLVAANDHEAQKLTSSLKLEICTVPGDSSSTFTICSSMGLVNVLVKDWKSLATTGVEEFEIVLVADLPPSFDDYAEILSRTARHVVAGEVHVIFSNSDAALAKPLSDVLASCGQNVPESLTKLT >cds.KYUSt_chr7.17172 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106403101:106403820:1 gene:KYUSg_chr7.17172 transcript:KYUSt_chr7.17172 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLDKWIFRKHQTTPLDWKTRLRVITDVAKGLAYLHSDCRQTIAHLDIKPQNILLDEQFAAKVADFGLAKLIDHEQSSVMTRLRGTPGYLAPEWLTSVINEKVDVYSFGIVIMEILCGRSNLDYSQPEESPHLVSVLQDKAKTDQQMDLIDPRSTDMQYHLEEVSHMMNLAVWCLQVDSRRRPSMTEAVKILDGAMDVETELDLDLVNIELMVANRAVHGKIAETLQIDSVLSEPR >cds.KYUSt_chr1.31109 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188636494:188641951:-1 gene:KYUSg_chr1.31109 transcript:KYUSt_chr1.31109 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFNVLLDSYCSGSCVENMQPVRESFARQTGSAMSCVHSVHFGIPIIFLKKERKPLTEVGQFELHVDERTIQRSELYTMVNEKEISYKRSQEENEFSQKVYPPDPHNLRGCSGASVNEKEISYKKSQEENEFSQKVYPPDPHNLRGCSGASVSTFSNMCAKIEKEKAAEASKKTMLRQAQSLPKFDRPLCPQRQA >cds.KYUSt_chr3.34799 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218186933:218188882:1 gene:KYUSg_chr3.34799 transcript:KYUSt_chr3.34799 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVFDITKRQTFDNVQRWLRELRDHADANIVVMMVGNKSDLNHLRSVPEEDSKEFSEKEGLSFLETSAMEAINVEKAFHTVLSEIHQIVSKKALAAQESAANGRSMQGTTINVAEPSANTKGSCCSS >cds.KYUSt_chr3.12971 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77868292:77874175:1 gene:KYUSg_chr3.12971 transcript:KYUSt_chr3.12971 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSTRRRSRKHGREERDRSDSDEDPRPREKGSAREHEKRLSSAASAKLPNAGEASGSSAEGRKKRKSRGEQEADTREERRSGGGEDERKRRKSRGEQEDDTREERREDERVNHRDSKKSRSSDGDVKGKSARNSDRSDEVSRRKSSKGALLEEDGAGEHRRVKERGVEREAEKSKESKQVMVLKGDDIDQQYKMVKDRSRDHDKGDEGRHDASFKDIPRNKEVKTRDLDSDKSRNRQREDELHDGELEKNGRHRKTKDLSVGKDESHGGSRERQTRPRDVCTKVDGYYEQQHTDEKFKDDMPRGVDKPREEKYKDDRSKVQDRYKNNKYKDDRYKEEERHSRDDRYKEEERHSRDERYKEEERHSRDERYREERSRHEDRHKDVKYKEERSKEEGRHKDERYKEKPRDEEKPRNERSDNSSREKHRDDIYRNSRNQDGGSRGVRSSKDNTRDRSLEKHHKDELNYSDSRYNKNRLNDNEENASAADHRSTKYRDDSKVKKRSYEENGDLEPRNAKEYHGDATTKHRSDVGSFLSSEDRRREYEKVDSRKRDFERKSPSRSSTYHVKEQSRHFPKQEESSPREYGAALGRQRRTSDFQSVDGLNITDANSKESSHLSKDGRILRSDGRPIHFNDRPPSMSDRQVPIRNNLSSNTRHHELLLNGRATENYQSASPGHLHVAPDRSEMDTQRSFDDDTRSQVRERRSSSRSRRSGTIDTARGHGNTWNNPSSWPSSVPNGFGPFQHGPPVPGFHPAIHQFPWMRPPMDMNHAGVHYPLHGHPESFSQFAQPFPWHNSAEEPYLSGLPVWNANRSVVEEYSDSYGGRDLVKNKDSDLQQQSETEVPLPSHTSDKPSLIQFPAQNQSENSEVKPVDESNAAKADAHAPKYKSERTPGPSNVEVDSRFCSTYFKSIDISTSLASPELYKKCITMVGEFELAESSKFSMHRSLKNNKNEHGYQAEGTKYMVKSMFSGKATSVFENAMALYSSSTGSWKSKTLASSSQQESDKTINQASVNMVEESNVTVAEYQSLPCTDMCDEVHNNDQPHLDAGSAKDCTDTGEDKVGSDLGDKSLGINHSEGHSSDAEELQVLGNGEAQPPQVGSIPDVPKEQVSGVISDTTFPSGSQLREGVNLKRIPCSPGST >cds.KYUSt_chr1.11208 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68553514:68555983:-1 gene:KYUSg_chr1.11208 transcript:KYUSt_chr1.11208 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASASAGNAGGTRLVDRCIDAAACGPATVEAWRRQRRSLERLPGPLADELLRRLAARRLLFPSLLEVFRYSAEEIDLSGNTAVDAEWMAYLGAFRYLRVLKMADCKNVNSAAVWALSGMSTLKELDLSRCSRISDAGIKHIVSNDSLEKLHLSDTGLTDNGVMLISALKNLQLLDLGGIHMTDKALRSLQVLTQLEHLDIWGSEITDEGASVLIAFTRLRFLNVSWTYVTRLPPLPNLRYLNMSNCTIHSICGGDSEVRIPLEKFTASAASFGNIDEVFSSIEANSFSFLDMSGCSLSNLHILQKLKSLEHLDLSLSRITDDEIQYVANIGMNLRYLSLKNTGITSQAPYVLAGTVPNLSSLSLAYTKIDDSALVYISLMPSLRVIDLTHTNIKGFTRVEANSEKTLSMPPLEHLIYLESLYLEDTALADEVIPPLASFRALKYLYLKSDFLSDPALHAVSSASNLIHLGFRGNVLSNSGLLQFVPPTQLRVLDLSGCWILTGDAISTFCRHHPRIEVRHELMEELHQNRVGTSQVHKSKQLLQSKAKTVNISADASSLSGISFVDERIKYSREELMNIQDLTGSNLVLNGVRLPPELRRME >cds.KYUSt_contig_2087.306 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:1807131:1807382:1 gene:KYUSg_contig_2087.306 transcript:KYUSt_contig_2087.306 gene_biotype:protein_coding transcript_biotype:protein_coding MQREYQEYLWREKKRNEKLQAERDRLWREKFQRNWQLAQLARERANRMHLEEVAKEAERIKEERAQAEASKMEERHHFFDSVV >cds.KYUSt_chr4.15184 pep primary_assembly:MPB_Lper_Kyuss_1697:4:93787726:93788796:-1 gene:KYUSg_chr4.15184 transcript:KYUSt_chr4.15184 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSTLLLAALSLLLCAGAATAAVPRFREAPHFTNSAGGAATRCPPPLPPTSGADAACSPNAAVHVAMTLDASYLRGTMAAVLSVLRHASCPESVHFHFLAAATGPPSSTTAELAAAVRGSFPSLTFRVYPFDESRVAGLISASIRGALDRPLNYARTYLATTLPPCVRRVVYLDSDVILTDDVASLAATPLPDDTAVAAPEYCGANFTSYFTPGFWASPALSSTFRGRRACYFNTGVMVLDLPRWRRAGYTAQIEGWMQLQKRVRIYDLGSLPPFLLVFAGRIAAVEHRWNQHGLGGDNYRGLCRGLHAGPVSLLHWSGKGKPWDRLDAGRPCPLDAVWAKYDLLRPDAGIDSL >cds.KYUSt_chr7.31147 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193964231:193966226:1 gene:KYUSg_chr7.31147 transcript:KYUSt_chr7.31147 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGKDGRDFTSSAGTTRAEDRLAVAEHVIVEFGRRRMSGDRNTLGIWDAQATCTNKSLLAAVDEIVFLKEMQAFPMATAAGRRMDGALRDAMSHLMEELLSLRVWEGSQLERRSGLRFAIEKLSISMTMAAGVSSPALVFLTDSSTASTVRTNTEEVSFSTIDELCTSSGSQPAGPDDFLDRKFPDELDLICPGSLSVLHDISLRVIRAGYTKELLQTPCDVLDRFLSILKEGCSLETNEAISYENAEWWTAEDMIRRWILATKLVGKALVAMQMQLHAQSNGAFDRFKDEYLMAIAKRSTFILLRFADGFTSTHSVEKLINVLEMYEVLGSAVPSLIQVFTGQRKELISRQVEVVLAKLARALKVMVSSLITKIRTGISSGTQTTTRGVGAGIHPLTRYTMAYVESLAPHCGALDLILKSKSGGTCSAEGIDSFDDLVSELIASLEPNLEEISALRGTQGGGMQHLFLANNTSYVLQHAASLLRGDKWVTRCQGQVMQHMTDYIEASWAPVVACLEATGTGKPTVKILAKFNSALEKAYSSHARCKVPDPALREALQKAVSDKVVAVYDTYLLKHPKLQKSAKYTAESLAALLSELFEGEDGEGRRS >cds.KYUSt_chr1.26594 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160273556:160274743:-1 gene:KYUSg_chr1.26594 transcript:KYUSt_chr1.26594 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGFLRVNKFHEEVSAKKMEMQPELSLGPTWPALGFASEKSTKSSSSESDGSSRKKRKHYTWEEPVSHLELHLSDPLPLDWDQCLDLQSGRMYYLNRKTLKRSWNRPKEQGVNLELNMSTTPMNVVVLDGNTGPAAATLSQAGTKRGTVVSSGENMIAVPCANCHLLVMLCKSSPSCPNCKFVQSLAPVAPQVANRRLDATVKPLQTLSLLH >cds.KYUSt_contig_7409.40 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001509.1:189835:190191:-1 gene:KYUSg_contig_7409.40 transcript:KYUSt_contig_7409.40 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRPGFGRKRKGYDKHFEKEQMEAEAHEAKAAEELLRLTREVEERYKAEAKAEKAAEEAATAAQVAAAAAQVAGAAEQGAAAAEEAVAGAAEEAVAGAAEEEPAAEEAPAEAAADE >cds.KYUSt_chr5.6998 pep primary_assembly:MPB_Lper_Kyuss_1697:5:43332575:43337856:1 gene:KYUSg_chr5.6998 transcript:KYUSt_chr5.6998 gene_biotype:protein_coding transcript_biotype:protein_coding MFNECDDMKARKMLSTKANDNEKLSLEVTGSLGRLHLPMISNYNFDVASAEDLRVPFERFGPVRDVYLPKDYYSGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEDMRTRTRVRGYSGGGHEGRRSSHHGRSRSRSRSFSPGPRGGGRARSRSYSPAPRRRDDYSASPRGRDSHRTKSPVRHPKEHGEDKRKSYSPAGGEGDQRDADNGYDKRSPAPDSDGSPSRRRAARPASGSPPGSRSRSPEASPAHSD >cds.KYUSt_chr4.37708 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232448306:232449466:-1 gene:KYUSg_chr4.37708 transcript:KYUSt_chr4.37708 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPFPASSLLYGIRITAIYTHLCARFVVHQADHSTPLSSSCPQAIAHQSVPGKISKMESQNKEVDALVQKITGLHAAISKLPSLSPGPDVNALFTDLVTACVPPSPVDVTKLGPEAQEMREGLIRLCSEAEGKLEAHYSDMLAAFENPLDHLGMFPYYSNYINLSKLEYELLARYVPGGIAPARVAFIGSGPLPFSSFVLAARHLPDTMFDNYDLCGAANDRASKLFRADKDLGARMSFHTADVADLRGELAAYDVVFLAALVGMAAEEKAKVIAHLGAHMADGAALVVRSAHGARGFLYPIVDPEDIGRGGFEVLAVCHPDDDVVNSVIIARKASDAHADGLQNGRGQYARGTAPVVSPPCRFGEMVVDVTQKREEFAKAEVAF >cds.KYUSt_contig_4737.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000935.1:16422:18169:1 gene:KYUSg_contig_4737.2 transcript:KYUSt_contig_4737.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSGPQSDGLNSSLANGRNRPVLGTSYTRSSSRVESEAVTCHEKFLAESDADNERELCLNQKVRRDSTSATGNTGDLPGPGSSLAQLQAAFAKKNLNTVDMVALSGAHTIGRAQCQNFRSRIYGGDTNINAAFATSLKANCPQSGGNGNLAALDATTANAFDNAYYTNLLSQKGLLHSDQVLFNNATTDNTVRNFASSGAAFSSAFATAMVKMGNISPLTGTQGQIRLSCSKVNS >cds.KYUSt_chr7.8754 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53029177:53030325:1 gene:KYUSg_chr7.8754 transcript:KYUSt_chr7.8754 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSRLHLPSSWHATTTKATCRWRRQGLLPVAAAAAPLRLRAVHATDAAAGQPIDAGSGADEGPDDQHPRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRTDHSAAAAALGATFFADPHDLCESQPDVVILATSILSAKAVLHSLPVHRFRRNTLFVDVLSVKEFPKNLLLASLPDDFDIICTHPMFGPESAGGGWRGHPFVFDRVRVGDCPARRARAEAFLDVFAREGCRMEEMSCARHDELAAATQFLTHTVGRMLALLGLEPTPIDTMGYQALLRLVANTCSDSFDLYNGLFMYNQNSTELLNRLESAMDEIKRRLFDELHEVLRKQLFEGSPPLNTDSPGSSSPPLNTGSPSDSSSATKSTDHDQDAHDDKSGQ >cds.KYUSt_chr4.43246 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267931444:267937853:-1 gene:KYUSg_chr4.43246 transcript:KYUSt_chr4.43246 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRWWEMDAAVILRLLHVAFLFHLATSQSFIGVNYGTIADNLPPAASTASLLTSTSIGKLRLYEPQPDLVAALAGSDISILLGVPNSDVPSLASSPAAAASWAAANIPTTVSVSAISVGNELLNSGDPTLGPQLLPAMQNLLAALPAGSTTKISTVHSMAVLASSDPPSSGAFHVDLAGSLDPVLEFLHQNGGPFMINPYPYFAYASDTRDETLAFCLFQPNPGRADAASGLTYTNMFDAQLDAIRAALDAKGYPDVDIVIAETGWPYKGDASEAGATPDNARAYNANLVAHLKSQVGTPRTPGKSVDTYIFALYDEDLKPGPASERSFGLYQADLTPNYDIGLAKGSGAAPTTSGQIGVTPAPAQVQPGRGVTPTGFCVTTGGAPGGTQQAQQSSSCYEPAGATSRRAVDFDLRFIYVLAGWEGSAHDSYVLQDALSRPNGLKIPEGKYFLADAGYAARPGVLPPFRSTRYHLKEYRGTREPENPKELFNLRHSQLRTTVERAFGTLKNRFKIFASQPFFPLKTQVKIVMACCALHNWILEDGPDEYVYDDLAWYAALPRSIRNRSDQYQENVAWANKREEMARTMWEDKNTCMDVSDDSDSDSREMHDLNGYTPPEDPLGDDSDTIPTPITNATGENNYPSNYTRSGIKRSRGNPMCTVSTKKASKYKSRLVESNDEITATMKSLRDTLVATAPPHISQLVDPHATLWQRLETIPLTPDQRIIVGEHLSSKENEVKRSWLCNASDGTLHAWVFKFLCEKEGLNL >cds.KYUSt_chr6.19380 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121982831:121994782:-1 gene:KYUSg_chr6.19380 transcript:KYUSt_chr6.19380 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRARDNTRRDKPFTDGMVRYDPGCHAFLATPVSHRDALRETAWRSTMADEISALHHTKTWVLVPRPPRVNIVGSKWVFKTKSSIRMVLWTSIKCAVLLVVSPSSTTSTMVTPSVMSSNRLKFTCFSRSLSPADGLFGMLMSRALYGLKQSPRAWYARLSALLAELGFHSYKADSSPSLIFTTGLEASYSSGEMTLIQRNYPLDLLHRVSMENCRATSTSLATGDLLSCESGTPLDADDSFRYRNVVGGLQYLTLTRPDLSFAVNKEITMCRLQQRLPTCRHPIASSIRLSCRYPLVFASSSRHHVVPAAPASHGLKHPAVLLASRRHKHLMASSIRQSSRHPVGTNVIALNQKRFRWILVIFSKTPSDSFDGKGCTNHFDAYLRQDVRNKHAEMIRCQLKALSTEGLIFLLTSKLVRVGKNLRITVGGIFIQVGAMIVQDGISSIGNLNTMLGSLHSGFVNLCEVNESRNHMRIRTVVLRL >cds.KYUSt_chr6.7716 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47368880:47369608:-1 gene:KYUSg_chr6.7716 transcript:KYUSt_chr6.7716 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPFLVMFAAIYLLGYLVVFRRWSRQHRPDASSCFTSLFHGTPALLLALRAVLSNPAAAGDLALAAPNTHADDLALDFSTAYFAVDLLHYLVFLPHEALFVAHHLATLYVLATCRAAVRRGAYALLALEVLAEATSLVQNLWTLADMRRAGSPTAARAHAALSLPFYVAYTAMRAVLGPVWFVRMVRFYVTGAGEGAVPAWAWVSWTVVIGSGIVLSVLWVGNLWFAYFKDRKESSRNKEQ >cds.KYUSt_chr5.6612 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41037120:41038502:-1 gene:KYUSg_chr5.6612 transcript:KYUSt_chr5.6612 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVWRIRWPVEVYGFIAARDTVDQNRNLLFNRTRDDPQMLTQQDPFLQLTGPCRPILLIDPVDFEIQLKVKGTTESEDETFMAQRFEYGHGFGQSGHLARRCWEGKFCTLKITSALLGCAVAATIISADVIEGSWPDDCGGRVVSCTAGIDADFVLLDSGDGPMHVDPDGHISLRRGVVCVEHDEILTVSVEAYSKDGVSAAARVEFRPKDSLTSIGTCNLGFCTVMFIVGWSLTATKFDLKYDGC >cds.KYUSt_chr4.26522 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166532543:166536357:-1 gene:KYUSg_chr4.26522 transcript:KYUSt_chr4.26522 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGLPHVYVGFGEAGFGEAGLGKNGSPVMGWPTGLAIALGSAKGLAYLHERLPKWQSLLIAMAPIVPWIGVIVCCPTGDAPRSPTLLLLQHGSFDEHIWLLTFN >cds.KYUSt_chr7.24345 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151780730:151787700:1 gene:KYUSg_chr7.24345 transcript:KYUSt_chr7.24345 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDEYVDLPVSDEDDWEDGEEADEEDVKGSSKKKKAKQHVDQLKRLQEKDPEFFKYLEECDKDLLGFNEDDIDDDQESDEDSRSVPNEEPKENVKPITMEMVDSWCHGAEDGKIGSIRSILQAFRRACHYGEDTADNSSPKFSVMSGSVLDKVMHFVLKNMDSILRQLLHAPSSGGRKEKINELMVTTPWKRHGNLMRVYLANALHMITEMTDDQMIAFTIHRVRASAVFLAAFPSLLRKYVKALLYTWARGRGAMPLVSFLFLRDLCIQVGLDCLDACLKGIYKAYLVNCKLSKSIGGSKLQHIQFLGNCVKELYSVDPKSAYQHAFVFIRQLAAILRGALTERGPKTSKDKKQKERKKPTNKQLEKSYQKVYDWQYIFCLELWTSVVCGCSSHEDFRPLAYPLTQIIHGVACLVPSARYFPVRIRCVKMLNCIAQATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLINVKQVDKKIVKTRAFQEACIYSMVDELAKHLAQWSYSVAFFEMSFLPLVQLRNFCKTIKAERFRKEMKDLIHQVEANVEFISPKRAGITFSPNDTAVDSFLQVEKEEQSSPLSRYVATLHQRAQNRIDAMDETSVIVGAESFTFSRRLSEVQKQQDDSEETMAFSKNLLAEKKKIKTSMVKNKKRARDDDDLPTDEDVVEDLILSSDEDNDLESDEDGSAPVEDDSDEDFVDPDSAWKKQKKEKLKKRSKYQPSNKASSKTKGKPHPKKKAKH >cds.KYUSt_chr3.30950 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194193086:194193388:-1 gene:KYUSg_chr3.30950 transcript:KYUSt_chr3.30950 gene_biotype:protein_coding transcript_biotype:protein_coding MLNASTPLHARLRLLPVLICTSIDVHDDRERPPSRTSLPATYARLPALRSVGLPPDVVFELVAAGSVSKVYTAPSSPRVPSSLDARVFLFASRRCSALSP >cds.KYUSt_chr1.18336 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107193103:107193450:-1 gene:KYUSg_chr1.18336 transcript:KYUSt_chr1.18336 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMVTLEAGRKRGTARKRAGQSQIRLTTTHFHTATPPPAMEDKGASHGGARGVHPAEELGDDLPAAALSGSLARYSPWWCSQHPPRGGAPPARGDSRRAQFRGWNATDPTPHSS >cds.KYUSt_chr5.32228 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204519735:204520145:-1 gene:KYUSg_chr5.32228 transcript:KYUSt_chr5.32228 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQAACTRGFPIRRLSADLFGDEDETTVRPGSSDGGGRVETELTEGEAEGGGGNGGSQQQVAAEQPTESRVHACSQTSARTPGVGNLSDERRRQCHLRRFLDAEKRQVKAGATIEKGGTRKGRSGSASMTRTSFA >cds.KYUSt_chr3.36376 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228859025:228860266:-1 gene:KYUSg_chr3.36376 transcript:KYUSt_chr3.36376 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQPPEPPAAEPAPTTLTALGDDLLREIFLLLPSLPSLVRAAFACRTFLHAVRSSPAFRRRFRALHSLPLLGIYFDPDGPAIPAFSPLRRKSDPDLRAAVRRSDFFLTGLPDDDDDFPGWVINDCHDGYLIFDNWTTRQVAAYNPLTMALDLIPQLPAEFYDCSNDNFNCLDFHIISPEEDDGVFRVIYACHDESRARAAVFSSDTRAWKVFPWSEDVKTPQPAQEKHWLTAGRMANGFVYWIYLDEASMLLLNTTTLQFSRMDLPPYLEGHTHMFRVGNTKDGVLCIVVAIAFKLYVWVRKICDDGVEKWVKGELFDLDGTSIVEETGGTLEEHGELKVVGVVDGFVYFSTHETFEDANLPCWFLSLDLETSDIDLLFRKIYDSHIHPYIMPWPRSLVCDKPCLQVEGAS >cds.KYUSt_chr3.6555 pep primary_assembly:MPB_Lper_Kyuss_1697:3:37691276:37692886:1 gene:KYUSg_chr3.6555 transcript:KYUSt_chr3.6555 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSAMNDDLVYVCSALAVSVLAIAVVQLLKARQRLPPGPLNLPVIGSAHRLVNALPHRAMRDLAGVHGPLMYLRVGQVPLVVVTSKEVAREVLKTHDAIFATRPKLMAGDIVAYGSTDLLFCSTPGDYFRKLRRLCVQEILSNDRIRSYQDIREDEVRSLVEDIRAAGPSAPVDLSRKIYKLTNGIVSRAAFGMKSSKAEDFVAAIKHSFVYSTGFSIADLFPGFTGILSFLTGQRRNLEGVRDTIDGILEEIINEREQILKSGRSTASEKNLVEVLLGLQGNEDFGFPITRSTVKAVILDIFAGGTETSGTSMEWAMSELMANPKVMGKLQGEIRAAFGDKEFISEAELRACGSVMKYLGLVIKETFRLHPPAPILVPRESTEACEINGYVIPVKTRVVINSWAIMRDPRYWEDAEEFRPERFEGARMDFLGGNFEYTPFGSGRRMCPGYNYGMASMELTLVQLLHSFDWSLPDGVEQLDMTEIVSLSLTRKTHLMLRAAPRAPLPSS >cds.KYUSt_chr1.35115 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214016653:214017945:1 gene:KYUSg_chr1.35115 transcript:KYUSt_chr1.35115 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTPTGRDEKMPWQKEAGVRISRVRQQLRRVVVDDEDDSYTPHYVPIGPYHRSRSSPWIEKTKKSSTSFLQSLSEEHTEGGLTGVMEKLEPLARECYPSGDGFGDMTPEKSSSMLLHDTCYLLLFFVDYVSGNRVPPALADDDDERPVSRNTLVRDTVFLVENQIPLLLLQGIHERVTGSTTSVLDYIAMPIQELLQQQLFISRKPRPPPPTCSHLLHLVHAYFRPALLPTDRTAMCGRMVTGRWRRAAEYRRYANVRLMRRDFQDDVESSVLDVQLERGTLWIPRLRVDSNTCTILRNLMALEDKAHRRPVTAYCLFISQLACTAEDVQLLRRAGIVDHFLGNDEQLSKDFAGLCKGVVIDVDDLDRNYLKPLWHQLEKRCHSRAQIFMGWFRHGQNWEITAAFLLALIVIACQVTQTFYAVARGGH >cds.KYUSt_chr3.31323 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196826605:196827636:1 gene:KYUSg_chr3.31323 transcript:KYUSt_chr3.31323 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLAKATIALVILVMLFLPAAMAAASFDATRSQHLPLPRGTVRGPESVAFDGQGQGPYSGVSDGRVLKWNGDKLGWTTYTHGPGYDSKMCTATKFRPETATESQCGRPLGLRFDQKTGDLYIADAYKGLMRVGPGGGEATVLVNNVDGIPLSFTNGVDVDQTTGQVYFTDSSMNYSRAQHEMVTRTGDSTGRLMRYDPRTSDVTVLQTDMTYPNGVAVSTDRTHLVVASTGPCKLLRHWIKGPNTGRSEPFADLPGYPDNVRPSNKGGYWVALHREKNELPFGRDSHLLAVRVGSNGKILEEMRGPKSVRPTEIMERNNGKIYMGSVELPYVSVVKRK >cds.KYUSt_chr3.45917 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289084295:289086057:-1 gene:KYUSg_chr3.45917 transcript:KYUSt_chr3.45917 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWGVCREELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKYENMQERVVRHFWRAEGKEIACDVILHELCRVRVTGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQHPPQYVGEDRACFLAMVIWWTSREYARKHEEGKRSVREMGGGSHVLGSKNLALTLQDEEVKTGVAPNLFGFFQKSKTGKEPHPETGSLWVNDLAEGQCGAYRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIARRVSRPKYHSISTPDPSWARRWKKGNGGTKRSEMRMQQQLRENMRMQRRDVATRCNNSSQMFQADVHEPDRADFTTGE >cds.KYUSt_chr6.7865 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48201509:48208706:-1 gene:KYUSg_chr6.7865 transcript:KYUSt_chr6.7865 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPARNGRRTCPAGRHKRQKNGKGSALSHHSGNAAALGLDGDIAADSTTARPCSSTRNPWLVKDRKNTTAHNTEEERCFEFLLRIDDDPLGIKRLPDNFAEFFDGVEPVHLQLREASCNFCRWPVEVLFDGQGKMYLHTGWDKFARDLALESGCQLTFLYEGEYGCEPIEASSEGFQLFFLERTNRGGTKVHVSRPCVVVQTPAPLACHFLVGRGTGGRCACRGVETGRNGVFVVVVGERTTTMRRPRQRPCPGPGLLPCRRLVVCRLLRLQPGELGVGVLLLLPLLVLGVAASAASVLPLAFADIVASVLLLTRRGGRVAGRVARIAWRCRRFPPMQKSGRLALALRVGRQRVVAHGVPVLEKKKKRRKKEAVELELP >cds.KYUSt_chr4.5738 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33446871:33448165:1 gene:KYUSg_chr4.5738 transcript:KYUSt_chr4.5738 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQVMPAVGAVDVGLGRRRLAAASGSGAGIFVGDSRRRSRRPAACSVRLRQSRGRRGGLAIVCNLGGTYEDDFSDVDKQLMNYFTYKAVRTVMTQLYEMNPPSYRWFYNFVAVNKPTEGKFFLRALVKERQELAERVMITRLHLYGKWIKKCDHAKMYEKISDENLELMRERLMETVIWPTDDTSSEKIG >cds.KYUSt_chr5.10456 pep primary_assembly:MPB_Lper_Kyuss_1697:5:67109465:67113540:-1 gene:KYUSg_chr5.10456 transcript:KYUSt_chr5.10456 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLSSDQSHLQGVVLSRHFRMSRDLFLRIMHAARCYDDFFHLKADTISKLGFTSYHECIAAIRMLAYGVAGDLVDEYIRMSEPGCPKAMYKFYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGAALLRRMNRYGLLAEGQDKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNVPSFMVRVESEKHIDFSLTSPFGGGPAGRVKRKNQKKASGGGDAGGDEDEE >cds.KYUSt_chr5.5129 pep primary_assembly:MPB_Lper_Kyuss_1697:5:32386940:32387882:-1 gene:KYUSg_chr5.5129 transcript:KYUSt_chr5.5129 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELPLLRGVWEPELVMTAVLRSRQQSSSGRHAYEARSARVRTNSARAWVIRLSCVVVNDREPSATSAVIVEDSQSAESGYSRTRATCVGKAGKELVPEGLANGGETVGEQAVVSGWRRRYFA >cds.KYUSt_chr3.25177 pep primary_assembly:MPB_Lper_Kyuss_1697:3:156388808:156390218:1 gene:KYUSg_chr3.25177 transcript:KYUSt_chr3.25177 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHLAGEHHPSPASTALGTLLLLPSELLHEILIRLALPELLRVRSVARPLSHLISSADFRRFYHLSSASSGPAPAAAWLLIFKKLPPRGAALRGFHGPSGRWFRIPVSDIISPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLSSQSARRLPPSPLGPRGTSSWRRFGLKLVADPPGSNRFRFMFAELVNNTPFLFEYQSDTDTWQSSEAALANAPSAPAGPDGTYLCAAHAGPDCVMVYSGPGADRPVFFRPRFPHNLGGHGDRLHVYGDGSAVVVRSTAVEARTKVVAGVELYGFGPEVGGDWQLVSTVPAELVEGFRKPYAVMTGLLAEREGVIRLILISNCRGAWDLVWLSYDPAGAEWRWVPVPDWGGAKGLNMAGIAVSSTFSRLWPPAPAICH >cds.KYUSt_chr3.38525 pep primary_assembly:MPB_Lper_Kyuss_1697:3:242655347:242657116:1 gene:KYUSg_chr3.38525 transcript:KYUSt_chr3.38525 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEKSSARSDQPTAVTATGTGVERRRGPGTSAAVRRRSKLADEAVLQDAGARRALSTPACVAWKLYDPDVDHVFQALRKAGVKVISPMGIPVNDYWYMP >cds.KYUSt_chr7.39693 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246754539:246756265:-1 gene:KYUSg_chr7.39693 transcript:KYUSt_chr7.39693 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDTVRGDLSLVVLYLSKAEARDKICRAIQYGSKFLSNGQPGPAQNVDKSTSLARKVFRLFKFVNDLQALISPPPKGTPLPLILLGKSKNAMLSTFLFLDQIVWAGRTGVYKNKERAEFLGRIAFYCFLGSNTCTTIIELAELQRLSKSTKKLEKELKGQELYKNEQYRMKLKKSNERLLSLIKSSLDIVVAVGLLQLAPKKAVGGLTFVRLSMRQG >cds.KYUSt_chr4.50301 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311587262:311589694:1 gene:KYUSg_chr4.50301 transcript:KYUSt_chr4.50301 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLGNTGPSSASRSINQAVSLREETSGKTHADAASLLRVQHLQRLAAWASGEAAVGPIGALIGHRLATDAEAAGIPVGASSFLCQRLKTFTLEKDKHGNGPKSNLPEDSQIEKGVVFPMMDRGQLASAMVQEDIPQKIVESTAHDKFMNEIEPVSDITSQEEFVVGSNFVTPQKNKLGEVTAPKGWAEQLKTRSTLNNKGQSCVSITGKAPRSHSKSASNTKSALGNSTQPAGSSRKRVRKGWTTLKQIAEKEELERKVKMDNFVIPFFMD >cds.KYUSt_contig_2097.224 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:1113482:1114228:1 gene:KYUSg_contig_2097.224 transcript:KYUSt_contig_2097.224 gene_biotype:protein_coding transcript_biotype:protein_coding MSEACQLPIGSGRDEAQLPLQQHPELLMAAREGNPARLTRLLGNGPAGSGVVVNIGDADTAMDESARPVDTVGVDVPMDVELNKILHVIASNGDIPDFLESARVVYGMANHLLDACNANGDTPFHYAVRAGMVEIVSELISLARTEGGGERVKAVLGKLNKQGETALHEALRLPYKETVLAMVSRLMAADAELAQVPLADGTSPLYLAVLLGHDDIAERLHQHDEGLSYSGPNGQNALHVAVLRSTSK >cds.KYUSt_chr5.16971 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109266683:109271167:1 gene:KYUSg_chr5.16971 transcript:KYUSt_chr5.16971 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTPGSYRYRNYRMASPAAFAKCESKWYRRGCASSPSMASLSTGSSSRQCPLMALVVKMEDAEPEPPHLPHFAPRDYLDDEQFKLLLLQLGVNAGLASGDFVVERELDTVAASSRAPASRTRTRPPNGGTSPSSTSVSSSTSSATRSEEPTRRRHGTGPRSVIGRSNHDTGGFTSNFISDFIDTIIANPGKPDSIRLLRIYSAQRFLPFKLFRSIRKHGDDLRQRPLQRQRGRNPSIAGVAHFRIDESKRVIVTRPIGWSDGIDVFTRALDSPLGVFHVGRI >cds.KYUSt_chr5.41675 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262935307:262937400:1 gene:KYUSg_chr5.41675 transcript:KYUSt_chr5.41675 gene_biotype:protein_coding transcript_biotype:protein_coding MEASIRQFPTLLDDTDEIAADKDGAENRQFSLQVDMQCRCMGCVSKVEKAMASIGSFKGVERSVGDVDTGVVAVAGKVNPAELRQWLKRKTMKDVKIVCPDPPVENRNQMEQKIKLYETEHDEKKMILVLGSSSRMGHTAPSAPPSPDNVSCALAPSGVWSDHEDVHLIEEKIRDLEKVRDTLKIKNLKNELTVAKYELRQSREVISSSKKTLLDSALSQLKAYEKLESLGCSLFD >cds.KYUSt_chr4.3541 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20154700:20156542:-1 gene:KYUSg_chr4.3541 transcript:KYUSt_chr4.3541 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPREPCPPWSDGLPPELLDIILRYLTCLADRVYFAAVCRAWRSAAQVHKGTPCQVPCLLLPSPVAPSFLSLQSGATRRLYLPEGVRAARLCGSHEDGWVALALDQWRGYAALNLRSGAMVPLPDRLRIPSEHGWIDTTCEHPMVIRTVTFSGTPSTDGSLAAAHVSSASNIAFWRTGMDRYWIACRRNVDVIEDIIYYKEGFYVLSNTEDIVVYTPNIVDGDDPLVMSSTSYLVQKRADYKPDSRLPKGVSMSRYLVESRGKLLMILRHFKHKQRREFRIFEMNLAVGGGGSEASWVELHALPGRMLLLGRGCSRAFEVSQFDTLPVVRLQLQWVIELFD >cds.KYUSt_chr5.1078 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7430129:7438657:-1 gene:KYUSg_chr5.1078 transcript:KYUSt_chr5.1078 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASDAPSTSSPGPGAGDDDDRVFLVQHRWWKEAQDGAGIDAHGVPYASTPAGGPTSYGMKVLSLIMNDYTAAFTLRKADDLAAVLPDAPAKPGARSYALVAADLFDKARAWHLDSLKSNSKNVLLTEESSVNIYPIMLRVSVTRGTNALTVKISKKDNSAENFKRANKILIPDPEPVHIWDFSGRTTFILMNEWNRIPQDPRSSDQEMPLEIQFYDISEPTANGASGKKDELALTMGSSALSNGSMMDMDQDSSGGICKQVGSGLIGLDNLGNTCFMNSAVQCLAHTSKLVDYFLADYHKEINTHNPLGMKGELAYSFGDLLRKIWAIDGTSFPPRQFKTRLARFAPQFNGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSEAKDADGRPDEEVADEYWGNHLARNDSIIVDTCQGQYKSTLVCPLCKKVSITFDPFMYLSLPLPSTATTTRTMTVTVFSTDGTTGPCPYTVNVSQSGYTKTLIDALSNACSLRDDERLLVAEVYNGSLIRYLEEPSEVISLIRDGDRLVAYRLPKGSEDAPIVVFKNERMESSLSSFGRKSWKSFGTPLVSNLPETINGRTIYDLFLKVLTPFGALKDDMSDADQITGKSSPVNVTSDIEMSSDAAECSSINNNAGEDDIMTEGGMEFFLNSDRFPNPRMKIEMDQTVTVSNPKKRLLVSVSWQDNGLKQYNLDSLDSLPEVCKAVLFARRPQETCSLYACLEAFIKEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLDTFVDFPIHDLDLSRYIRDRSGQMLNHYQLYAVSNHYGGMGGGHYTAYVYHEGKKKWYDFDDRCVQGLEKEDNIKTSAAYVLFYRRVKGGSSLDTETTTIESDCTT >cds.KYUSt_chr1.29920 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181213320:181213750:-1 gene:KYUSg_chr1.29920 transcript:KYUSt_chr1.29920 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVVSRRRRSPHYPSWPHPPTIPNSPPRPLACAPAAVAIEMMSSSSGSGPRYLDPHLVYELVIRRMPRQLLDYLDSIPGASQDLHCYRIKLVGETLLSPPSCGSWSYLA >cds.KYUSt_chr5.25975 pep primary_assembly:MPB_Lper_Kyuss_1697:5:164779666:164799641:1 gene:KYUSg_chr5.25975 transcript:KYUSt_chr5.25975 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSSASPAAAEPAAATDRYTFSPRLRWQPEVEEYFASAYGRDHFARISQALAHPSCYSCIRVNTLKSSTDVVMQKLLSLVNQSELCGGMGGLEIGEQNGEEQAHEGSYLVHKCPYSGLENVLFIQGSGPHVLHCDGQPGQSIKEIIVSRKCAESVLRGAQVYVPGVLACSSHVEKGDKVAVSVAVEQPVKDSGWAVGITRGIVLQGLRSDAHYEERKGLYIGQGIAAMSRAGIFRVLHGVAVEMTERVYKLPSFNDVLEGEIFLQNLPSIVAARVLDPQQGERILDMCAAPGGKTTAIAILMRDKGEIVALDRSHNKVMGILNLADEMGLSCIKAYKLDALKSVRKMNEARNLGVADNFSESIVTMEENSVPCHTTTVARVTNAGEDSLTTTVLQTDAGRYVSNADLRKNLRRMRNGTGRSNISGGRVEDSKGFFPSSFDRVLLDAPCSALGLRPRLFAGEETLESLRKNATYQRRMFDQAVKLVRPGGVIVYST >cds.KYUSt_chr2.5130 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31787119:31797542:-1 gene:KYUSg_chr2.5130 transcript:KYUSt_chr2.5130 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRRQEDAGGALRGGMDEDGELEEGEAYGDDTAFVDPDVALSYIDEKLQDVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPLLPQTRTPPKAANLGSRSPYHQSIEAVPQNPTSVAVPSVSQNSGSALPFSGDSVKKERCESATAKRSTGNHDPSYGSSKSSDQNRFKVRIKVGSDNVLARNNAAIYSGLGLDISSPSSVEDSPDGYRGLSPEFDNVQLESPRTILQIMTCFSVPGGFLLSPLKGSILQLTKKVVPSSKTRETSVDIENAQEASEGHVVKKMKPDGKKKKPIEVKRSSNINDPTAVMKKEIDIETLVGQKIVSEALNIPILSSSRGMETKGESHFEEETAGKYLEGNKDARLKEGAINSNIKTIKTETVKVETTKCSESGGLGSSEMEFSAAKRELKPMTEKTATLEEMNTTNDTDLLLDRKHERRIKPESKSNGAGVSFEDNTVIDERTPAVCRSMEKVPSKETLLYDASSKNNTKSEAKRIHREQKKNAATSSDFLDVDNGARSSAAVKERKHDSQSKSSHSGKKPKPKSHRDVKDSLPDGSYGCKEQVILENGGGLGELQPKEKSWSKRDIDMPGASKREISSGAKHDRHTASEEQKMHVPPASVSTANAAPAPQVPHLIDEHWVQCDICQKWRLLPYETDMSTLPKEWKCSMQLWLPGMNRCDVGEDETTNALNALYVLPAPANGIPPVGHSHVASSGLTTAFNVNGHAEQSRKRKSAPGDRISVIDGGHSTQASAYPVSSQHAPTRIKSSADSNQYHTERNSVSKSVDPFTEKKKSKSKSRGSHSDGGDLMERPKKHSKGKSKREIDHCEYKASKKIKKEDRHRSSRDRNAKCDLASGDIPDEAKALLLKATILNNLGEKGDVSSLKQQSAPRYDRLDKSKRDKDDDVVLSEDRNKETFHTSDAQRSDFSTKKRIVKEWEESQHNSTAHVSNGTTVNHSSVAKETYKDQNLKETKPKLKKSEELYSTTDFRSVKGQILSCNEGHVNNELVEDSTDFAGKRGLNLVGPASGDMAHIQTAAVTSSSSKASDSQKKKHNSHVAKISPIESVSSSPVRNSNIDKPPHNRILEKDGPMNTNSSTMPSSVKHLNSEAGIVDNVRQAKKSKDSLLASEPVLHSSLQGSSDKDDDLVQLTRGHAPERLSLRKGLDDDTHHASGRKDSTVNGSSASRGYNHLHSGDKNSSRTDGSVVQPRAAVLDAKGDTVANAHTKIAASMQDRNGSTHCPPDGNFQPQLSSGKDKLYPKSNKQDTEKPKAQMVPSPLKETHSTPVKSNASKLTPQSRRCSDENGGQHGVSKQGTSNPADTSSPAMKDGNSTAAYALKEARDLKHKANRLKKEGKDLESTRLYFEAALKFLHVASLLEPPSIDGGKQGDAAQSMFSDTAKLCNFVAHEYERCKKMAAAALAYKCVEVAYLKAAYYKYPIASKDRQVLQAVVQTTPGESPSSSASDIDNLNNNGLSKKAPSNKDANSPQVAGNHLLLAVRNQPHLTRLLAYPSINLEDLNCLATEAAEDELHCSTVAILWTLKAWERPTVSIKSANQENT >cds.KYUSt_chr1.35839 pep primary_assembly:MPB_Lper_Kyuss_1697:1:218474056:218485108:-1 gene:KYUSg_chr1.35839 transcript:KYUSt_chr1.35839 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVSMGKAVLDGALGYAKSKAAEEIALQLGVEGDVDFITDELQMMQSFLVMADEDNGQNKVFMTWVRQIGDLAYKVEDSLMDFGLHSEKKPFWGCIPRSLSDRRRIAKEVKELRAKVEDVSNRNLRYRLVKDQKDSSGSKATLAEKDATIARAEMLRINEAAFNTLEQDKAEVDLHQLITSEEEDLRVIALWGTSGDHGKTSAIQEVYDDPENIEIASLCTEKPYQVSELRQLSCDQTIYLFHKKNTVENSMTSARTVMFATNEGLTDMEEKLKAGHASTPTSEIQQEDEGHKTTGEHKVFKPIATKKFDRSRTLALVDGVLTGRETEKSVVIKLVGQPDNNQSCKVISVWGMGGLGKTTLVRSVYRSQELAVWKHAWVTALRPFNPEILLRDLALQLEKSIQEDAPGETSTRVPKKNTFVAEQQKKKSIALMNSKELKVELDRLLKTQNCLVVLDDISSTSEWDLVKGCLNNAGTIIITTREKNIAQHCSRDYRNMFSLEGLTDNDALDLFTKKVLKDNTEQIYFVPAMVEQAKIILKKCGGLPLAISTIGGFLATKPKTAIEWRMMNDRISSELEINPELRTIKTVLMRSYDGLPYYLKSAFLYLSIFQEDQVIRLDRLLRRWIAEGYSRDIHGITAEQLGRRYFEELLDRSMILPGKDGCSGKINSCQLHDIIREISISKAREENLVFKLEDGCCLSSTQGPIRHLVIGSNWKRDGDALPGVLDLSHVRSLTVFGDWRPFFISENMRFLRVLDLEDTLGLRDHHLDRVGQLRHLKYLSLRGCWNIYCLPNSVGNLRHLQTLDVRGTQIFELPRTITNLGLLQNLRVSISRTSVKGEQDIEVSYNEYVHDIVPSEIDSCCLWMSTVPVLLRPQVVASGLNMRDVLNLFRYQFHYKNGAKVPRGIGQLKALHKLDGAYVEGNATVKEFRELTELRKLGVVGINSKKSMEFWSAIADHHHLRSLSVRGKSWDDELDGCPGEGLSPPSWLESLKLYGKLVRVADWIHQLQNLCKLVLENSSLKQDDAIRALGMLPNLAVLRLKEDSFLGGQLHFQNSAFPSLVVLELYCLPNLQSVMFEEDAMPRLELLQVGACRWLKKISGLSVLTSLKEIRLGYLNDSLKPEMLSQLGERQKHVIVKDV >cds.KYUSt_chr2.17060 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107446881:107448164:-1 gene:KYUSg_chr2.17060 transcript:KYUSt_chr2.17060 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAVVSMEITLGDEGLGNLLFDPDPNLVWQAPEDYQYVPAVERLRPRDRRPYRRGITQLPSLKHWRYSHVVLEPYGRSSFRYEDPSQKPPRGYSSILGGLLRRYFPGIVNLPTGGCDVVWRWAHYSLAEDAFRRGSLADVVVGKFWKYFKRAEGKENACDDVLHQIARKRVTGMHYEARVQCVRDWHVDRFVHMTKEDARDTLM >cds.KYUSt_chr3.30105 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188428018:188428596:-1 gene:KYUSg_chr3.30105 transcript:KYUSt_chr3.30105 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFISSLATLGLGYSIAIALGFLVLLASVLLASYFCFRHGDAGHFNGAITPTSSSSHLSITVPRVLFVAEGSESPEAYSSAAAAASSPVGLDAAAIASYPKAPFSRAAAGGDAMCSICLCEYVNGEMLRLMPECRHRFHVMCLDAWLRRSASCPVCRSSPIPTPVATPLATPLSELVPLSQYAADRRRR >cds.KYUSt_chr4.11589 pep primary_assembly:MPB_Lper_Kyuss_1697:4:70450646:70452382:-1 gene:KYUSg_chr4.11589 transcript:KYUSt_chr4.11589 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKVADEPQLLSKKAGCNSHGQDSSYFLGWEEYEKNPFDAVANPGGIIQMGLAENQLSFDLVEDWLEKNPDALGLRRGGASVFRELALFQDYHGLPAFKNALVRFMSEQRGHRVAFDPSNIVLTAGATSANEALMFCLADQGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCASANGFRVTRAALDDAYRRAQKRRLRVKGVLITNPSNPLGTAVPRADLDMIVDFVAAKGIHLVSDEIYSGTAFSDSMPFVSVLEVLAARGPRAADYGLDGRVHVVYSLSKDLGLPGFRVGAIYSSNPAVVSAATKMSSFGLVSSQTQFLLAALLGDKDFTRGYLAENKRRLKERRDQLVDGLKAIGIGCLESDAGLFCWVDMSHLMRSRSFEGEMSLWKKVVFDVGLNISPGSSCHCSEPGWFRVCFANMSAKTLDVAMQRLRAFVETSYKGAGTVGALRRAAAAPARSMSCPLAMNMKWALRLTPLSADRKAER >cds.KYUSt_chr4.26781 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168271158:168277801:1 gene:KYUSg_chr4.26781 transcript:KYUSt_chr4.26781 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPVGDPVVASCKGMLKNFRLKELKDVLSKLGLPKHGKKQELLDKILAVLSDQQDQASRIDVVPKKMMLGKETVLKIIEDTFRKMREPANAVSAAGNQIELGLGVKPVSKSDGSAQLDVKVRCLCGNSMATGPVVKCDHPRCNVWQHVDCVIIREKTAYGAPQEIPSSFYCEMCRVSRADPFWVTISHPLLPVLLPPSNIAADGSYTVQYVEKTFPLSRAHRELLQKADYDIQVWCILLDDKVPFRVHWPLQSDMQVNGIHVRVVHRQATQQLGANSRDDGFVVLNLVPKEHDGEKFDDALARVRRCVGGGTEADNADSDSDIEVVADSVSVNLRCPIQTCGDDISEIDVKPDGSWRAKGGAELNDLMQWHLPDGTLCMSTEIGSKLEMGDLKNELTEPLPEETSCRLKLGIRRNNDGKWEISKRVDANLRPSSENDQKRHFENGKQVSHTSNTNHESAKGGSYNLEPGRLDHPTNNVYDLNTSPGDEQVPIVLSDSDDENVTVLSPSDVLCGSANDTGNQFPPPNPTETSGGPDETSFFLNDSFDLGLTFWEHPSGTQVNPATQGVGHLGELQDYPANNLSLQDPVSTVNLDLLASEANPPEYGHDRALQASLTLDCADESLVNAKNASEKKRSHVDEIAASDDSVLRSVNDDGGDLAGDRSGGPSSQHQQPRSVRPRY >cds.KYUSt_chr5.33732 pep primary_assembly:MPB_Lper_Kyuss_1697:5:214252182:214253439:-1 gene:KYUSg_chr5.33732 transcript:KYUSt_chr5.33732 gene_biotype:protein_coding transcript_biotype:protein_coding MERCGSWSESDAAAQAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKTSGSATSSSPPPFFGSPHFPMFHPGVSVAHHHHQQFVGDDGGAMAAGMVGVPFMQPHWREQRAPPPLLALGGPAAPSPSQEGRAVGAAALMDENERLRRSNSALLQELGHMRKLYNDIIYFVQNHVRPVAPSPAAATFMQGLGVQPYRKAPPAAAAVNGLSNNNNASGGSTTSSSSLTIADELSPPPNHLAAVEKSSGGEAGSSSAARSSAPTKLFGVHLTTGSGAGSKRPPSPSEDLPSTSPATKARLAAQEGDGLSLSVAPPCAASSPARS >cds.KYUSt_chr2.24324 pep primary_assembly:MPB_Lper_Kyuss_1697:2:148541098:148542303:-1 gene:KYUSg_chr2.24324 transcript:KYUSt_chr2.24324 gene_biotype:protein_coding transcript_biotype:protein_coding MRCATVAVVIAFTVLAIAAASTGATAAGRGKPRAPASRGVYIVTVKPLAGAVDSRAYYISILAAVVGSKEKAEEALIYSYTTALSGFAAKLTPAQLAVLRKHPDVLQALPDVKYSLHDHDNLN >cds.KYUSt_chr3.12855 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77098113:77099114:-1 gene:KYUSg_chr3.12855 transcript:KYUSt_chr3.12855 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPHSGRRRSRWSCWAVRGLAIVLMSLSGGDALSLDHYRQSCPKAEAAVTAAVKQAIAKDRTVPAGLLRLHFHDCFVRGCDGSVLLDSTSNNTAEKDGPPNASLHAFFVVDNAKAAVEALCPGVVSCADILALAARDAVALSGGPSWAVPLGRGDGRVSLASDTTSSLPGPGSTFDQLKQAFHAVGLSAKDLVVLSGGHTLGFAHCSSFETRIRGFPGGGGGAGVADPALRPSFAAALRRACPANNTAKGAGAWMDPTSAAFDNAYFKMLQTGRGLLASDEALLTHPKTRRMVALYAASQGKFFQAFVSSMLRMSAQNQPGEIRANCRRHN >cds.KYUSt_contig_988.231 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1323117:1327382:1 gene:KYUSg_contig_988.231 transcript:KYUSt_contig_988.231 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKAHKTMDEGIISRWPSHGERTKETNALSAHLPWRALRRRRSSTAPAQRLHLLVGGDLFNLDGSGLLLELVDGSLSAPCSSAASPALAGEWVVARISPCLVGDGSIFIELSGAQPPRRVLMEPFIQTEVYQLGNGEDMIFERDLHSLSEFLGRSPPEFYGGQVNDQPGGQLQWVIMADLRGKPESPMFGRIQFSLRENNWADGLARALQEALARLCGQNAMAIQGERFAHLARHNSLGVSLNLPFHPVLRHHVDHLDFMLCETRKELDNSRAYANHTYLQLAQQAETIKVIAKERRTLRRLNAKNDYTIHCLKVKIASLKETVEAQAEQLQDLEGEGEGEDIQGDGYSYVSNDDDYEEEEDEDLAFLYVANVSIIFDVPCLFYTNYYMF >cds.KYUSt_chr7.31120 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193766142:193768958:1 gene:KYUSg_chr7.31120 transcript:KYUSt_chr7.31120 gene_biotype:protein_coding transcript_biotype:protein_coding MSEERAPRSRRWSDMVDEEEEWAVSEASSRRSYSDVVRDGSPSPVRAESPEIPRADGASSSRPQPARHIASVVLRPDSARAAGGEDRRGRGGARRGPQPKRQRHRGPLPSLVVPPGVPAGFAGLCYNCAEPGHVAGMCTGPRRCLICKSEHHVARKCPEAVVPVAGEVAVGAPPPPRGGPPPPAAPATAPGPAPDVQGARGELYRVPARQRLGPRDNSPLPPSRPALQGRLGDRGAAPLVDETPYETPYERGLRLEWAIWEAPPLLPEERAQGVSFLDRERRREQELRDAALRAVAGASASEQGLAPGVAVDVEAARPASERGIIYRTPEVESAERALRWGAVAFVSGTRRTVDRAAASAAVVERFPELEGRFSVHRFGRRDLLPVFDSGGQPRRPAHRIRQSVRRKGLHPPLWRVEPPTERRAAASAPRALEVVGVPPIAWNLDTASASRAPPVGWSARARRRPTAPALGPYASRRGRTTSPGSLVPAATARGAPVFDDDGDDLVASLWRPRSRRKSPCSTTTPRYISYAWRTQVERLADHRRAMAMIPTRWRRLGPGLWRRARPSDDRRRPPDTRAGGAPAAAPARRWRGGAERRVALGHTTSVRPWPLLMDYVDHVDAQAVPPGGIAVEDVRPVPPLFRTYSNRLARTQPTKVLDVEKPSQDLDVEKLGKDCTFAFSGQGLSMPSSSGHDSCSSSASIQSGNLKVWTVRDEALSPTGPLSPTCSVGSLDSWKAIDERFQGSPLSMFTVELTPAPLDAAAVEVEEGEIVEPATFTRGPTTTLPAAPDQPGDAPVGAAASPVLDGSRAAASITSGSTDIQCGLAAFRERCRAKKAALLPRPPPRKTRKKRPPPSVVRRSARVAGRFAPGKSIKAQQHTLMIQLGIAREGEIIGDEALQAYLRYFDEQPMTSDHLAACLALFGWHPDVLPVADDDVVV >cds.KYUSt_chr2.2597 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15598101:15598717:-1 gene:KYUSg_chr2.2597 transcript:KYUSt_chr2.2597 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPFQCWVMLLGIPQQLIREDSIDAIGKFSLAMVLEGLGRSQLILSLVMHNPLRCQKLKIYRQRTSTHTHIKDQSSWSILWMQPGMKLGLKMVLFPWNRPPETEVILLLVLSISLGCTPPPAAETGDQSNKAPSDALSPSTLVSGGHVSVHGPSPPAEDDPDNMFAFAD >cds.KYUSt_chr5.21969 pep primary_assembly:MPB_Lper_Kyuss_1697:5:143426680:143428637:-1 gene:KYUSg_chr5.21969 transcript:KYUSt_chr5.21969 gene_biotype:protein_coding transcript_biotype:protein_coding MTASNTGIHIFLVLSLSCVSYLASHAAALSFNYSGSSDYPGLISRRDAPLAINTTAGISSVLAAGLCYCEAWPRGSDAGHVLFNIGEVDSSTSFDRNYYVSVGGQSSALFALKQLVNCSTDLAFLIVQAFQENSFELGLSCSGGSLGGLELRSLPGYTSTRGIGRVPRSQSYRALYSHRLRDQPTARRLLSSTYELNATTQPRQVASSWIEQVFVAGSALVGLICAVAGLLLCCIRIKRPRQKISDIELEEDDDEIIMEHDFRHGVGPRRFRYGELAAATDNFAAKRKIGRGGFGPVYRGYLSEEGRHVAIKALSKELSVQGLKEFHAEVAIMPQLRHRYVVRLVGWCARRRGLALVYELMPGGSLDTHLYSPDRHLTWPERYKIALQLGSALRYLHTECDQCVVHGDIKPANVMLDASGNAKLGDFGLARLVNHGAEPQTTQVVAGTVGYIDPEFVSSRRPSTESDVYSFGVVLLEIACGRRPTPSRQPDQAAPAALLASVRDMYRRNVILEAADQRLDGEFDGMQMERLLLTGLWCAHHDPMQRPSIVQALDVLRSEDAELPVLGTMGGSREIRALEGQAYGDLSAESSAYFDDSDETAYLTTEDPAYPI >cds.KYUSt_chr3.33460 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210003775:210005007:-1 gene:KYUSg_chr3.33460 transcript:KYUSt_chr3.33460 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRRPLPLSPEVAHPLDDDDLLHEIMLRLPPQPPHLLRASIISKRWRRLATDRKFLRRFRIHHRKPPLLGDFWEGNKLSFRSYLDPPYRIPPGRFSLRPRGSEQWTCLDCRHGRLLFDDWIKSQVIVWDPITDDLHMVPYPLQFHKSRIVLIQGGAVLCAAVDQGHVHGACHSSPFKVVVLSSYPHKDEAVNETITFASVYSSETVGIWSDLVSTTLPWRVIMFPIRSTLVGNTIHWLLAMNTTGILEFDLDAQRLAVTKMPLGAPPCDHSVEIIQSEDGGVGFAALSGPRYCPCLQMWDRKVDPHGVITWVLRKTFELQKILGLQSRIENDKLSMLHYLDDVHAIFLRVQSSVYMVQLESMQSKELGKSIHSCVYLPFTSFYTEGQGNCLLILTFFIGITSVLLKC >cds.KYUSt_chr5.27095 pep primary_assembly:MPB_Lper_Kyuss_1697:5:171590111:171595438:-1 gene:KYUSg_chr5.27095 transcript:KYUSt_chr5.27095 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFKTCVRYTHMAIEVSSYEELLTNSYPPNQVLQAFPELPLGALIVAYLWGIRADNIEYYVPNNQLDKDHLVPLPLDVHNSIPEALIDQMSTPFLFEVVPVYHNLKSVSSTSFAFCPFYLVSWTHRTSTRLLTAVSTNSCNLPVRDPTSPPTSSPPVGMALKATVSVPAAVTPLPAVRRRLLSSSSSKALRISAAMATAVQPAVVVGGGRVGQALLGMGPLGGDVLVGRGEKVPDAAPAGPILVCTRNDDLDGVLEATPKSRWRDLVFFQNGMLDPWLQSKGLVDANQVLAYFAVSKLGEPPVDGITDTNPEGLTAAFGNWAPAVAARLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGAVEKEYRSEVSSLIAELASAAGAERGLSFDEGIEERLCAYSRAVAHFPTAVKEASPTTVDLYCCCKRWLLSMVAPVVQVAASDVNSLVILIARFLWLERNSRVFDRWPHRPTRNGARNGDDEVPSGGSCSPPLPSRPDNSDDDDAAALPLTSGNYAEEATVIELAALIVA >cds.KYUSt_chr4.21751 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136969218:136972790:1 gene:KYUSg_chr4.21751 transcript:KYUSt_chr4.21751 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSKNKRAATTDGEDRLGALPDEILQHVLSFLPSCQTVRTCVLAQRWRDQWKSVPALRLHQDHFATDQHLSEFANQLLLFRGHAPIHGCDIVCTEGDIWRWIRYALSCQARVLRVDLLDVIEPWQLSDRRFISQQLVRLELVAVELRPGSLDFSSCPKLEVVNINDCIVNAKKIVSRSLTHLSITGCTFDIKSRTRISAPGLISLELDDFLGWTPVLERVPSLVTAFVRLGEFCDDHCFLSYYGNCGGFSGRCKCDESVHSDHPVLVAALSQATDLKLVSRPQVFIFRKDLKRRPSFTKLKTLLLNEWCVAANFAALVYFLQHSPILEKLTIELDKTPESVFETDGDCNPGQQPIASKRLQVVQVKYHKDRVLAVHKILKILITGGVPSEKVVIERMISWTSGIWLLPVLTLSFLVYNRFQFPAEGRVVQLLMGLRSLESLDFIAEYIGMSLLQIVYQSGSIRNNQCGILLLRWPGVGGLGIQGHGSGMVGIRDGMLAGIFDCPTGEGRFGGMVLRVKALPTRSVPAMRTPRGAVLLLEGIVVGLLPLLP >cds.KYUSt_chr6.21899 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138142099:138144619:1 gene:KYUSg_chr6.21899 transcript:KYUSt_chr6.21899 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEAATAFVETSLGTRIAVSFPAPNTTVAHLKRIVSDEHAASFPRTVQIAVEYFKVRRRGSWYHLADSVPVRAAFHGVRGAWHLHAHVLPHSAAAAAHSECNVHMLHHVLCSHQNAIGDAAVAAQGSDAPATDQQDGHGHPGDAHQDGYSPVTGQQLAGVTEALRGRKRFRVKEDGDRGQSVLAGCDGGSLAALVYSVRQDGQNECTHNSTSKRICAAREDGLLDEVGANTVGSGGDVAARSKMLEDEGALGESCCHDEGMEKEEDAVSVRPSDLAEPPAELRDHEAKSMTNDEEPKLCFSVKQPVELHDHVAKSTTSDEEPKLCFSAKQPVELHDHEAKSITNDEETKLCFSVNENYFSAATEKLADEGMTQEKVVEQQDHLDISGAEVVLQNCNSKDTSTIHSEHVSDCHQNDGSSRSFCKSSNREMLTDQVADRDRVCSDVERAPQEKENDHTSDPLLHDLDDCAHSLGEKPICGQKEPSIAEGCNSASSKKGKDLPPCSETFKEVNSSEKEAMANKVKGKEPWTANACNGESSSKGRYRSPRAGSMEYGKSSGNEEMAQKVKEKEIWTAKACNGERSRNKGTDHPPCAGSMREGNFSEKEGVTHGENQSTRTVTLVDVDGTKWEVKLDDSFELGPPERSSFSWSEKEDAEETHSGSAKPHQNAEECPEGAPENGVTRVPTERSDLSRHGSFKTPTPTGPTESKHAGSTSANGDEQGAWERRRRPRAVVQKIPNSRAAEMYGYR >cds.KYUSt_chr4.26219 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164872741:164874774:1 gene:KYUSg_chr4.26219 transcript:KYUSt_chr4.26219 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGTLEEFLGQQLGFLARLEPPSPSLFVDLPPTPQCEEDGDSSFDDMVLPYISRLLMEEGTDDQFFFLYPDHPALQRAQLPFAQILVDAIQSASGSASTSTSTLSPSSSSSDATASPTRSTASPYDPVQISWSPPATGSPDTQSSELLNGETEQPSLGSGFFDGNGLLPADQDMLNLAFLKGMEEAKKFLPPNSSLTVDIGSTFAATEEDRVPAGGGLKKRRDMLEPEVGRATKLMMPEQEEDGARELFDEMMFQEQEICMKGVQQLCIPVDGSSRKGSGKRGRPRKGPVHSEMVDLHTLLLHCAEALSADNRRGASELLKRIKHNSSPLGDAAQRLAYYFAEGLEARLAGRGSELYQSLMVRRTSATDFLKANQLLMAACCCKKVAFIFANKTICNAVAGRRRLHIVDYGLNQGFQWPGLLRVLAAREGGPPEVRITGIDLPQPGFRGSYHIEEVGRRLSNFARVFGVPFKFHGIAAKLETVKPEDLNIDRDEVLVVISLCHFRLLMDENLGFDSPSPRDQVLDNIREMRPDVFIHGILNGSYGATYFLTRFREALFHYSAQFDLLDATVPRDNNGRLLLERDIIGRSALNVIACEGADRVERPETYKQWQLRNRRAGLRQLPLNPEVVKLVLDKVKDSYHKDFVVDGDQRWLLHRWKGRVLYAWSAWIADDAS >cds.KYUSt_chr2.51494 pep primary_assembly:MPB_Lper_Kyuss_1697:2:321772121:321775775:1 gene:KYUSg_chr2.51494 transcript:KYUSt_chr2.51494 gene_biotype:protein_coding transcript_biotype:protein_coding MWLYSGSKDETRINVAELSDKELLDEVRRLTYFSQEDSIPLVALQDPYELTHLPAEVPATARYYPTASETGEEPEDDESIGNVEARVEVVEDSEASENEEEENNPIGPEAPSAECQKNLDDELTDTTESSPSDQYDNDVDRVLFVGAAPEASTAQPPKRPSGGFADEDELLFESDEGEIEPPPLKKAKTSSSKHTTAAVEELPLLNGTSAVPSSLNIKGIPSTAASLSSAPEGHVTSDRHVPVTSTTRKMLTAGDLKRLTAGAFGFAGDHLAGDLLDGYEMGGMGRRYYRLGERGGVALLVVVAGGGVVGADSAAVSHLLLLLDVGWVLLRHVVGLLLGLGGGGSGHASGERALVTRGEGEAPWTQEADRRREESALRVAMARDRRRRTTARGGGGTAGW >cds.KYUSt_chr1.23087 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136999986:137001781:1 gene:KYUSg_chr1.23087 transcript:KYUSt_chr1.23087 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAAARRNLASRGLSGLLERRLRPSIHQLLPSNSTGEPRKPPPLPPQPAPRSLHFALAPCGCGTSQTLNYLPFGLHLLPGPPRRSFSSVSRGPDFTDVLTDAAHAGAAPASFPGEVAWAAEDSSTAVAAAQHLIDAVHSITGFNWWISIALSTVLLRCVVSTVWMLYLKSAYVIFAAREWDNSGSVCRNQMVLSAAMLGDFHCRHACRLPVLPPPRGGKILGKFHPVRETGRE >cds.KYUSt_chr5.38836 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245857290:245858821:1 gene:KYUSg_chr5.38836 transcript:KYUSt_chr5.38836 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAAVTRRMASAVGMREASTATAEAAAASSSAPRSSWRRALRWMPTSTDHVVASEKRLLSTLKTGYVQEQINIGPAPPTPALKARSSRDEPRFINTVTFDSKEDAPTLVLVHGFGASQGLFFRNFDALAKRFRVIAIDQLGWGGSSRPKFTCKNTEVADIIHIVEHAIESNFNLEHL >cds.KYUSt_chr3.2234 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12850756:12856356:1 gene:KYUSg_chr3.2234 transcript:KYUSt_chr3.2234 gene_biotype:protein_coding transcript_biotype:protein_coding MARMCPGNPTWKWEAISHGANAFLICIPMAEDLSRIDGMQMNVPKIKAQALVSSWKQQDIVPEFVMDQCGRMSQGIVRILVAMRDLAPLEKDVVGSSPPCLEVVALLKLNGYRDDDDAHGMEADKSDGPTKSVAPDVANMEVDGHPSYGRHGSGGVFSDFSPSIGLAQDGMSYNGDGGVNSVLFHFVGKFSAVIRIFITDAYCSSSASVSPASPQPEVRRSGRHAMTKDGAGATDEDMMQKAMRRKAEKNLDVVGYLQMYRGNNADKATEDILYKFRRQDMDKVFYVDGWNGFGATAVLRSIAAVLLSRKGTPELCFDRIIFIDCSEWKSKRAMQREIAKELNLDSSVMAIFDKQDEEDDFRAVDQGSRDAILDVGNSDIEKLPISLSKVSRLQVLILDGCVGLENIVALDRLPPSLKSFSFDGYGPASQHRSTTELPPKHLRPSTAAGKKDINTSKISLEGCTELDNLFLRGLNNLVELDLSGTAIKVLDFKTMVVQVPRLKRLFLIGCKHLRAIIFFPSSGRSKLMTSLELICIDTRAGIVCPRPFIEKTNSCRLKLHAIVVDARIAYSFMELLLPPYKIRGRTSEEVHYNIHVASSPMCDGVIQFEETNNEKFDQCDQGSSQHLIRAGEYGDVLSMVGDPPMQDFPQPPATQLDRHVEIAEGSCYVDNGLEGGLGEMMSDAESLHLHDVSIHDIVPKDTSENLLPIAHFQKKVRIYQSSALKWCRVERCPKVDTVFASRYWRFYNLETFWASDLLMARCIWSKSKGVSRFSLFRASPSTSFKNLKHLHLRSCPSLKFVIPMWVSSFPNLETLHIIHCGDLGHIFTLDGGYPEEIITDGLVFPKLTTIHLHDLPKLQQICKVKMVAPALESIKIRGCWGLRRLPSVGSRAHGEKKPTVEIEKDVWDALEWDTSHRPDHFEAPVHSRHYKKKLPRVSFLR >cds.KYUSt_chr1.11883 pep primary_assembly:MPB_Lper_Kyuss_1697:1:73224890:73227758:-1 gene:KYUSg_chr1.11883 transcript:KYUSt_chr1.11883 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTIRPRPLLDLVAVAEFLALALLLRPGLTAAASGHAADRIVRLPGQPAVDFDMYSGYITVEKSAGRSLFYLLQEAPEEVQPAPLVLWLNGGPGCSSVASEELSAFRIRPHGAGLFLNVYRWNKVANIVFLDSPAGVGFSYSNTTSDLYTSGDNRTGMLYRCHMPFYSPGIKDIHWGMFFFVAHDSYTFLVKWFEKFPHYRHHDFYIAGESYAGHYVPELSQLVHQRNKGVDKPIINFKGFMVCAQVGNGLIDNYHDYCGKFEFWWNHGLISDDTYRLLMDSCLHDSFVHPSPACNAALNVSMYEQGNIDLFSIYTTTCNETAATSLANRRRLRGRYPWMTGSYDPCTDQYSTAYYNRPDVQKALHANVTGAINYTWMTCRSVLLRHRMQPNVLPSVSRGIFYSTLVLGNRRVVSVFLSKHRKIHVLDGFGYAGSSFKLKMNVSKILALLYTG >cds.KYUSt_chr1.41955 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257241883:257243418:1 gene:KYUSg_chr1.41955 transcript:KYUSt_chr1.41955 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKTIATAASLAASLMLVRGLANELLPSEVREALSDLLASLRSRLTWQHTIVIEENEGWSYNHVYGAVKAYLAAHIDNAPANISMQRLRVSSAESEAEKMIVSMEAGEEMADVYEGTEFKWCLVTREVKGDPNGNGGAREVRSYEVSFHKRHKEKALKEYLPFIVAKAKAIKDGERSLSIYMNEYSDEWNPMELQHPSTFETLAMDQKQKQSIIDDLDRFIKRKDYYRRIGKAWKRGYLLYGPPGTGKSSLIAAIANHLRFDIYDLELTGVDSNSDLRRLLVGMTNRSILVVEDIDCTIELKQREDQDEDEEHAKSNSTEKKKAEDKVTLSGLLNFVDGLWSTTGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCTPEAFRILANNYHAIDSHATYPDIEELIKEVSVTPAEVAEVLMRNDDTDVALDDLVKLLNRKKIDAANEIKTEDKQVDEKKEGVEIKTENQQVDVKKDANEIKTESVQVEKKDDKEVVVKIDSTEEWSG >cds.KYUSt_chr1.27146 pep primary_assembly:MPB_Lper_Kyuss_1697:1:163754600:163756480:1 gene:KYUSg_chr1.27146 transcript:KYUSt_chr1.27146 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAARRRTPPLSARFAAAAFFSAKPEPQPPPLSPRLVEAAVSRCPSDALAVSFFLWCARRPAYFHPPSSFDRVLPAATRLASRLRTAPALLHELHSLGCPIRPHTFLLLLRLYWRGGMYPLVLHLFDQMPLWGFHHNAFARNIVLDVLLRTGCTDGALRFLGDNPSPNYLTYAIVLTHLCRAGDWPGVRACFAAMLSQGFLPSAASLASVFACCSKAGTMPELLQLLSYALVSGQQLTSAMWTCLIARMCTEGRLDEACRILGNMMRSGSSPTVVTYTPLIRGLFRAGRHAKVGQLLGSMASNSCNPDLVLYNVLMDCMMREKRYDEAIDIYLHLHGSQMKPDAYTLSTLVQVLQLSRNIDLLPRLILGTRISYDLVACNSVMSALCKSGFPSEALQFYIDMIGLGITPDSYTYVGLVDSLCELGRIDHAINVYRNVVISNPDSDAYVHAAILRGLVRRGQNHMAYRIFREAVRQNYALDVVCYTTVLHGLFRARLVEEARDLFDKMKDSGIISNTCTYNVMLRGLCRTRDMLAVTQLLTEMECADVEMDSISFNVLVVLLVKLQRISSAKALIREMLNLGMKLSTKTCWLISQSIGHRFVLEDTAIAESEGSDSTSDLLVCSAS >cds.KYUSt_chr4.34988 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214935827:214941043:1 gene:KYUSg_chr4.34988 transcript:KYUSt_chr4.34988 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTWRSILQGLRLLKEGVVWRVGNGENIKVWSDPWIPRGVTRRPRTPDVLGEDLKVEDLIDPTSNQWDLEVLQGLFREEDVQDIMKIPIRGGMEDNVAWHPDKKGVFSMKSTYHLGMSLKKQKSGVASTSSAMQEMEPLWKKLWSIKLPGRVKIFLWRLARNSLPTRMNISRKRIDLDTKCPMCYRLNEDGGHLFLKCKSVKQVWRTLMLEDVGLSLLQASNPMEVLTHVLGLQSQRRKIVLVLLWDWWTTRNKANAGKLVRTTDQVCHTIQKHWREFDTEGERVMSETPTLRNTDHLSSGWKKPMENFTKVNFDAAFHQSTEAGAWGFVARTDEGEFIAAAAGKLRHLHDALQAETEACVAATEGAAALGMHRVIFESDSQVLVNALNSSSHDLATIGVLLREIRSNCITADYSDHHGNQASGAFRNCMCLRCFTQQLINAYTVLFRVGTVHAVSQAIEATPIDSSESSFDTYRSPPRPLPYDDPRFSPPLRDWFASRHDASSHSPEESEPLRADFDEEMETMSSVDKPSKTNYDTKMKRGSSAYGDKLSRKESGNYYTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGK >cds.KYUSt_chr3.10790 pep primary_assembly:MPB_Lper_Kyuss_1697:3:64225813:64228329:-1 gene:KYUSg_chr3.10790 transcript:KYUSt_chr3.10790 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPLAARGWRFRDPADESIQALVHASPSASPEAVEAVLLDTDLRLFGGKTLPDRASATSGKRLSYLHGPIVLQVVSVRDIYSSNVDASFKNPQQRRLLRFALTDGVSEAVAIEFSPIPFVTEEIAPGTKIRLENKIPVNHGILCLSAKNVSVIGGTVQSLYEEWKMNQKYSGISRPSLRLSQNDDGVGPPPFEKLDTELRPNRTFQLQAYPDRKSRDLGVANDRASINPIGKPVNEASADVNKENTESKVEPKQASSDIRPKEVSEAVPVQNQAAAQKLLQKMAMPEDRHGRGHRFKGKNKQDDAPVFTLEEWERRKAVGSVSTAERHIQDTSRDEELARQLQEQLDMEDSHVSFTPTSYCFSLKSIQ >cds.KYUSt_chr6.12762 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79722629:79724486:1 gene:KYUSg_chr6.12762 transcript:KYUSt_chr6.12762 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAGAGPGGGKETLATSFLRYVLLLLFPLTVMYILYALHAILSSTPSCPPDLGRVVATASFSHVTTHKNTSSTPPPPVTVTVFRATTPPPPTTITVLRATTPPPPATITVLAKAPPQPPATVTVPTARPPPAPATATVSTTATTLQHVVFGIAASARLWDKRKEYIKIWWRPNSGMRGFVWLDRGVRESSVPAGLPAIKISSDTSGFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTIFLPDNLLAVLGRLDHRQPYYIGSPSESHLQNIFFSYGMAFGGGGFAISQPLAARLERMQDACIRRYPSLYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPNVRSRQAALRRLFDGPVMLDSAGVMQQSICYDAANRWTVSVAWGFVVTVARGVMPAREMEMPARTFLNWYRRADYKSHAFNTRPLARNQCEKPALYYLASARRTVVRTGETTVTRYQRWRHRNELRPPCRWNIPDPDALLDTIIVLKKPDPGLWDRSPMRNCCRVLSSPRKEGDRNKTMTIDVGVCNDWEFSQV >cds.KYUSt_chr4.49214 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304729991:304738099:-1 gene:KYUSg_chr4.49214 transcript:KYUSt_chr4.49214 gene_biotype:protein_coding transcript_biotype:protein_coding MHARSGSAAAATGATVNAMKQQSTLFALLSLSLLLVRLLLRLRLAAFRDAALTLHLLARLRIRPVTVRLPGPDGTTLRFWCSASPSSATTKPPLLLLHGFGGDAKWTWAHNFAALSRSFHLYVPDLVFFGSHSRSASPLRSVAFQARCVADAMRLLGVPRYHVVGISYGGFVAYRLAAVEARECVDRLVVMTSAVAATAREISALAAREEMAVEDALLPDTAAGLRRLVCRSMHRPPRLPDFVLHDFIQLMFVDQRKERSELLRELLKNGAGIDPLPVLTQRTLVLWGDKDQVFPVDLGYRLQRHLGGECRLEIIKDAGHALQLEGAGKKLFTDYREQLIHVLKGITVGTDKFQEVLIVWGEHDQLFLVEKASVIQRCLDGKARVEIISKTGHCPQIEDPSRFNKILKDFLLSADKPDPVCIKDSSL >cds.KYUSt_scaffold_719.426 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:2888884:2889690:1 gene:KYUSg_scaffold_719.426 transcript:KYUSt_scaffold_719.426 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHLPLAAAAATSAAVGVALSIRLLLLLSRSRALKPLAATTSAAAAALRTPRLLAAASSPLAALLAASKAASKSYKAARALDPAARLPRLPSSKRVKAAFAAASLLRLAAAAPLLAAAPSPTAFAALAVLKSGYKLSKNSAKVVEGFLGLQVHKGFRNGVDALGVVVKVAVIASELAVWVGARFWTGDGRGPCVRFLGLARPPGSLVLVCSTSSSTHQHQVVLFDPGTIDIHEEDCWFDDDYPQEEPSGLLCLAVPLPDMAKLVSY >cds.KYUSt_chr4.27870 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175150673:175155968:1 gene:KYUSg_chr4.27870 transcript:KYUSt_chr4.27870 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEGAGQSCAQLVPHDPHVPRRGSNEDKLLRLVPRRVTSPSASQEGSQTGGTANQEDTTKVRLNNFVGSSLEKIHSAMSYQPTGIPESPVKNAISAEKENFAGYANHITPSSENHVDGNSVSLFLLQPEIGSVKEGDRGPGIIVQADQRSDSHEKSLPITRSHFLTTQVANAKHNLCSNDTPSIGCKIALVSTTGWRHQGNGRSRADAAGERPEKDQPITAPIADVRPMTAAVNGPKVTDGRNTNISAVTPNAQVTICGENMTPKQCWVRKHRAEQKKTMRYHARHGERSLLAEGVRLSSAMAMMSWNCRGLGQLATVQELERLVRTHKPKLLFLSETRQKKSYVEGLRWRLGLKHVVTFSEEGKGGGLALFWDESIEVYLGRMIIIRMGIGMFGYECYWEREEMLGEEIASVWSMHKKPNNLGDVASNLQGVMSSLHEWSGRTIGSIPKLLKKKRNRLENLSKRYDRNSRKEAKKISAEINELLEKEELRWRQRSRVSWLRAGDRNTGFFHRTATWRQKKNKIEKLQTANGVMTTEPAVMEHQTIEFFEELYTADQSVQPEIITQYLQSKDIISETQSAFIPGRMITDNATIAFECIHALQKGSKNAGKFCAYKLDLMKAYDRVDWLFLEEAMRKIGFAEQWIKWIMTCVKTVRLSVKKSELDLDIKGKKAVNQIIDSMPHQAKEPRTTWTKPAEGWYKLNVDAGFSHESNNGSWGAVLRDHEGKVVLSAWGIIPYCQSAEIAEAIATLEGTKAVLPVAAIPVILESDCATVVNELKMEGTSKSPMSFILSETRGMLLLLPEYKVKKLKEIVIPDVTKTLFNPVITTPHAESSSSVTHQILDESSDCPDVDNPITYGL >cds.KYUSt_chr1.18278 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106775679:106780351:-1 gene:KYUSg_chr1.18278 transcript:KYUSt_chr1.18278 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMQVVDVPKLAAPAPARVPVPASKPFLQTLGANLKETFFPDDPFRAVARQEGRCRRAAAALRYVFPCLEWLPSYTLGALRSDLIAGVTVASLAVPQGISYAKLADLPPIMGLYSSFVPALVYAVMGSSKDLAVGTTAVGSLLFAAMLGKEASPADNPELYMHLAFTATFFAGVFQAGLGILRLGFVVDFLSHAAIVGFMGGAATVVCLQQLKGLLGLQHFTTSTDVVDVMRAVFSQTHMWRWQSVLLGFSFIVFLLVTRFFSKRRPKFFWVSAAAPLVSVIVGSVLVYLIHGENHGIQVIGNLAKGINPASAKNLILSPPHMMVALKTGIITSLIGLAEGIAVGRSFAMAKNYHVDGNKEMISFGLMNIIGSCTSCYLTAGPFSRSAVNVNAGCKTAMSNAVMAVAVAITLLFLTPLFHYTPLVVLSAIIVSAMLGVIDFPAAARLWKVDKLDFCVCLGAYLGVVLGDIEIGLSIAVGISVLRILLFVARPRTTVLGNMPNSTIYRRMDQYTMAETVPGVLVLHVDSPIYFANASYLRERISRWIDEEEHRIKDAGEESLQCIVLDMGAVASIDTSGTKLIEDLKKSLDRKSMQIALANPGSEVMKKLDKSKVHGLIGDEWIFQTVAEACDNALLNCKIARSV >cds.KYUSt_chr4.17960 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112555257:112559501:-1 gene:KYUSg_chr4.17960 transcript:KYUSt_chr4.17960 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDECYGYDSDYDYYDEDDVAMEADEDALVEEDTPAPDRPVDCWAITKESLSTAQQQDLSMVMNLLNIKQHHARALLIHHRWKTECICDHFDRKGRDRMLREAGVVLQENNNCRASPSRMMNCMVCFEEFSMSVVSTMECGHYFCNDCWTEYFKASVDSGKKQIRCMGLKCPAICDEAVVRRLLGCRYPDAARRFDRFLLESYLEDNDFVKWCPSVPHCGRAIRVGGSEQHCEVECPCGVGFCFACAAGAHSPCPCTMWDQWEVKCNGESETVNWILANTKNCPKCYKTIVKEGGCNLVTCKCGQCLCWLCGGATGSAHTWTSIDNHSCNRFVEGEKKKVDDAKRHLHRYTHFYDRFKIHGDSYKVEHEKLGPAVEERAKWLQTLQQHALLRDGAWLTEAHQKLLQSRQVLSRSYVFAFYMFGDDYHGKVHKTEQGKVAMAQVLFEDYQEQLERHVERLSKVLATEFSLDPVEEDKILRDKQDALNLAKIVQTHCGEIYKCIQDELLPLLLDTVDIATYRPRGPDKAKEFQP >cds.KYUSt_chr3.38665 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243608853:243609728:1 gene:KYUSg_chr3.38665 transcript:KYUSt_chr3.38665 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSRLGAAAAALLVATTLLVCTLVRSPLPLLPLLPCLPAVTAPSGSGYEPTGLAALADAAVYYATTPTVPQQSRAEIALSLAVLRRRAPARLLVFGLGHDSPLWHALNPGGVTVFLEEDPKWYRVVRSRSPFLRAHLVSYRTRLDQADHLLESYRRSPSCVPGAGDGAEPAVRGNAACPLALHDLPPEVYESEWDMIMLDAPKGYFASAPGRMAAIWTATAMARARRGEGDTDVFLHDVDRKVEKAYAEEFLCDRFKVEAAGRLWHFSIPPVSRRENTTTAGDGTRPFC >cds.KYUSt_chr7.31877 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198429308:198430584:-1 gene:KYUSg_chr7.31877 transcript:KYUSt_chr7.31877 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAVAQQRRADAVGRMPPLAHRELMLELAGEAETALGARLLPSEVPADVAEFGNAAGNALGSVDVRRGVPGSSVDFTLEAWFHRQLPGGGAIDISSLIFVLNGATDAPHFLMEFIQGGPTSLVILLDLLPRKDLPLHPEYITKYYEATGVDAHRRSIERLPQVRPYVSPLLLVRSLWSPTAVVVDVQCGEGREAALEEIVQGQLAASAKEVLDVWLEHSAAAVEMSDAERESMVARDKMISATELELNLSANLPRMFDADIAARVVAEIRKAFLGH >cds.KYUSt_chr3.39832 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251076332:251081893:1 gene:KYUSg_chr3.39832 transcript:KYUSt_chr3.39832 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAGAAYTARTAEEVFRDLRGRRAGMIRALTDDVDKFFKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDKETRRRLFNMINNLPTIYEVVTGAAKRQSKEKTPNTNSSSKSNKPSSKVSRAEPRAKAKLSAPKDEDEDSGDDGGDDEEEEHDNTLCGTCGTNDGKDEFWICCDNCEKWYHGKCVKITPARAEHIKQYRCPDCGNGNSNKRIKT >cds.KYUSt_chr3.1226 pep primary_assembly:MPB_Lper_Kyuss_1697:3:6830150:6832836:-1 gene:KYUSg_chr3.1226 transcript:KYUSt_chr3.1226 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPEQAKQLAEFPDVISVERSKRHRTATTRSWDFLGLNYQMPASGLLHGSNYGEDVIIGVVDSGIWPESRSFNDEGYSPVPSRWKGKCQVGPDWGNNNCSRKIIGARFYNPGVSDELLKVDSLSPRDHYGHGTHCASTAAGSAVEGASFHGLAEGVARGGAPRARIAVYKALWADGSGNTATVLAAIDDAIHDGVDVLSLSLAHPDENSFGALHAVQKGITVVYAGGNDGPRPQTIENTSPWAITVAASKVDRSFPTVITLGNKQHIVGQSLHYQPKNSSMSSSFKGLTVTLDNNDKEVQGKILLCLPSPNDQNALIPNAHFPVAAQYFANRGGSGLIFAQYTTDNLETTSLYCQSIACVVVDLDTGKKIKKYMGATSSAVAKIEPARTVIGKEILAPKVASFSFRGPSPDYPDIIKPDIAAPGASILAAKEDSYVFMSGTSMAAPHVSGVVAVLKALHPHWSPAAIKSAIVTSAHVTDEHGMPILAEGLPRKTADPFDYGGGNINPLGAADPGLVYDINPRDYTKFFQCTIMRRTNVSCNATALPAYHLNLPSLAVPDLRRPVTVSRTVMNVGEINSVYHVIVQSPVGVKMEVVPPVLVFDAVNKERTFKVKLSPAWKIQGDYTFGSITWRSDRKAVRIPVAARITVQDFYAG >cds.KYUSt_chr7.19231 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119121929:119132503:-1 gene:KYUSg_chr7.19231 transcript:KYUSt_chr7.19231 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDRPAVVFDNGTGYSKLGFAGNSEPTFTIPTVVAVNESFLDQSEQCSSANWLAQYNAGVMADLDFFIGDEALSHFKSSGLYSSRSPIHHGQVNDWDTMERFWQQSIFNYLRCNPEEHYFLLTDSPVSTPESRECMGEIMFETFNVPGLYISVQSVLSLSAGFAYLKSLSEEESDSESDMTGVVVDIGDGAPHIVPVVNGYVIGSSIKSFPLSGSDVTQFVLQLLQERGELLPPDDALDIARRVKETYCYTSSDIVKEFKKLDSKPSKYVKQWSAVKPKTGVPYTFDIGYERFLGPEIFFHPEMYSADFSTPLPELIDSCVQSAPIDTRRALYKNIVLSGGTTMFKDFHKRLQNNIKKIVDDRVAATNARHHVEVKPVEVNVVTHPIQSYAVCVLTAEHYRFFFGYGDLLSLLDWNARSTVAARKNKPALRTRQRVTADFGYYPGSPGRASTLPRFQGAIGKDSSEQLGEAWAKFFHANGISGEKADCPHFREAMRLTQQLGKAVQHVPTAAEIDGPCLQAEYDELERYIANWKQRWGQCGVTVMCDSWTGPTGTTILNFMISCDGRMFFHKSVDATGRMRSVPYLYESVRKVVVEEIGQGFVVQIVTENGSSFKEACGQLINEYPHIVWQPCAAQTVNLMLKDIGNIPKIDAVVSSAKQICRFFYNHSELHDQMRIKIGELIQPNAARFGTDFVFLQSFVDIQDKLRQWMVSDEWADGSWSREADYDYAYDCLISRSWWEEVEWVLGIMRPLYAVLQYAHKTRMHSGFMPRMMAAREELLSLFKEGSEDLKNVIDVVDKRVEDLYNDTLMIAAGMLDPDAHYKYDLASNPHYMQAFTMAIQKVADSPANAAEALEQFEMFRSSSGRFDKELARHGAGKAGPAHWWLLYGGEVRILQGYAFRIVSQCMSSSGCERNWSPFALMHAQAKNQLAYEKLHKLVYVRYNLRLRTEESKIDNEEKDGKGNKEFDPCWMMMDVELYDKENPIMDWLNIPRTGSASLTLLDDANECDPATPSRAVVDMICKAVSSEDVLDKPIGAWESKKSGMLDGRRQKRKKGKTATNVEGSSHAMSTRNKKKDLSSPR >cds.KYUSt_chr2.55286 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344668567:344669094:1 gene:KYUSg_chr2.55286 transcript:KYUSt_chr2.55286 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTRSACVLALALAVVAVAATTTTMAQNSPEDYVAAHTAARAEVGLGQVWWDQNLADYAEWWANQRRGVCGGHSGVVGYGENTYWGPAGWPWSGVDAVNLWVDEKQYYDYDSNSCWGPYGCGHYTQVVWHDSTLIGCARVECDNDLGVFITCNYYPPGNWDNQRPYLAASSAA >cds.KYUSt_chr1.35645 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217333471:217334512:-1 gene:KYUSg_chr1.35645 transcript:KYUSt_chr1.35645 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSSSSSSSKRRPKVKRAPGYEDPAVLAAQTSFTVNEVEALYELYKTLSFSAIKDGLIHKEQFRLALFRNSRGSNLFADRHFLFGFILFAVAFKLYDLRGTGYIEKEELKEMVLALLGESDLSLSDTAVKEIVDNVVNNIPLSQH >cds.KYUSt_chr7.31294 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194865281:194866108:1 gene:KYUSg_chr7.31294 transcript:KYUSt_chr7.31294 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYSVALLGGARLPATTGATRFTSSALLPRRNLQPLRLQDAPRPSLLRVKAASDDTSASGDEIIEDLKGKWEAIEDKPTFLLYSGGAVVALWLTTVVVGAINSVPLLPKLLELVGLGYTGWFVYRYLLFKESRKELATDIESLKKKIAGTE >cds.KYUSt_contig_686-1.423 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:2659367:2661954:-1 gene:KYUSg_contig_686-1.423 transcript:KYUSt_contig_686-1.423 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPRKRKGAPPACPAGRSLQDAASRKRACRGSEPNRCGADASGVVRTAPPASGGGAAAEGAGRGVKRKVGCIDAATRMGRRKRLGSEYDLGEEIGQGKFGSVRLCRAKNRGGEVFACKALPKNGGETAHREVEIMQHLSGHPGVVTLRAVFEDSDRFYLVMELCRGGRLLDEVRREGRLSERRAAHVIRELMDVVKYCHEMGVVHRDIKPDNVLLSNAGRLKLADFGLAVRITDGQKLSGVAGSPAYVAPEVLEGNYSEKVDVWAAGVLLHVLLIASLPFQGGSVEAVFEAIKTGEIDFHSDKWESVSVLARDLVCRMLNRDVSSRLDADEVLRHPWILTHTECPLSAEFSALWHDNKPTLTPRIHNERVLSDWQCSSTESSTSDDSDERADECGIVDALTAAITQVRISEPKRGRVCTPTIPTQQECSFSLKANPCTGF >cds.KYUSt_chr7.29150 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181437131:181437835:-1 gene:KYUSg_chr7.29150 transcript:KYUSt_chr7.29150 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKTGSVKWFNSTKGFGFITQEDGGEDLFVHQSVIKADGYRSLNDGEAVEYVVVHGDDGRTKADEVTAPGGANLPGGPRPSDGGDRGGRGGGGYGGGGYGGGDRYGGGGGGYGGGGGYGGGGGGYGGGGGGRGCYKCGEEGHISRDCPQGGGGGGYGGGGGGYGGGGGGYGGGGGGRGCYKCGEEGHISRDCPQGGGGGGGYGGGGGGGSGRGCYNCGEEGHISRECPKKSY >cds.KYUSt_chr4.9854 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59576623:59583347:-1 gene:KYUSg_chr4.9854 transcript:KYUSt_chr4.9854 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDGDDDSSAPARFELQEDPSFWKDNNVQVVIRVRPLSSSEVSLQGSKRCVRQDTGQSITWTGHPESRFTFDLVADEHITQESLFKVAGVPMVENCMAGYNSCMFAYGQTGSGKTHTMLGDIENGTRRNNEHCGMTPRVFEHLFLRIQKEKEIRGDEKLSFTCKCSFLEIYNEQILDLLNPNATNLQLREDVKRGMHVENLTEHEVSNAREAMQQLIEGAANRKVASTNMNRASSRSHSVFTCLIESKWESQGIKHHRFSHLNLVDLAGSERQKSSGAEGERLKEASNINKSLSTLGHVITSLIAVSNKKSQHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCCAAETLSTLKFAQRAKHIRNNAIINEDASGDVLSMRLEIQHLKKELSRLQGQSGFTSNGSICQSPSAFKWDQAHGQFSPLMFDKGATQMKDYDTALVAAFRREQEKEAKLKAAIAAKQIAEQLATQRSEEVRSFKMRLRFREDRIKRLEQLASGKLSAEAHLLQEKEDLVKEIEALRSQLERNPEITRFAMENLQLKEDLRRLQSSVDEEEQERMQRQITVLEHQLLEALDWKLMNEKDPVKKDLSLFGEVDGDENNEFLRLQAIQNEREIESLRKNLNVCYQAKEKLERRVDELTVELEAAKKCDHENKKFEVAQLQEQSVLLDAQTELKTLVDAIATASQREAEAHETAIGLAKENEELRTELKVLIDDNKRLVELYEQAIVNIGVKQDSSVLQTEDVNEQQNSHPSCGGSTVNGCLLDDQPEGATGFPANGSSTDAVEEPEMVDEKCSHKDDLSRSEFSELQLQLEEMHEENDKLMSLYEQAMQERDEFKRKVSEQSNPETTEDIQFRETDAGMDEAMDTEIQFRETDAGMDEAMDTEIQFKETDAGMDEAMDTEGIQGEQVHDSPIVAFKEVLQLVRVKLEHVQDKLVTAQDAVQYFKLLEMASTKAEELSASIQLCCRDVQQGQEDMNALKPQLSESQEREISSEGKLFSPAPAALCWDLHLETKALAGSKFDVSMELMNNKMEELSNLRILKTEVSVAFTKAQESETDLRNKIDGLKQKYRSLEAQRNETEKVLFAIDNLKSPATPLLKPKNFGKASELLSLEEGRTKLLAEMKRFREQHSMVQKEIKGLKKYDDLDDKISCLESEVEDCYRSLLEADTEKFVRDYTLAEIWEGELKSKPSLLVDYQDSIFQVSLKEEEIRLCEESLQHQTMSLDELNPKLNQAMRDLGKLLRDRTSRGSDASALHVSDKVKGDLDAMEVHVAEARQLLLIDNQSDS >cds.KYUSt_chr6.9740 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60100748:60105984:-1 gene:KYUSg_chr6.9740 transcript:KYUSt_chr6.9740 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGCTLLSPFPPPKPSPSQRSSDADATTFRCAAGAAPLRDFRAHHVAGRALLTNPALMPALAACARLPTTAPEAEQIHALLLKSGLPRSASGVYASTSLARVYLRHGRLADARKVFDGMPARTVVSWNVLLDGLVRAGDLDAAWELFAEMPERSVVSWNTVIAGFARHGRAEEAVELFVEMTSVYGLTPDEATMAGLVSAVRGIGLLGLGRSAHGYVVRRGFSLDGALGVALINMYTRCGSISSAYQCFSTVISKNVEHWTSVIGGFAAHGHPEMALKLFAEMRQLGVEPNGVTFVAVLNACSHGGLVDEGFNHFSLMRSMGIRPSIQHYGCLVDLLGRAGFLEEAFDLANSLPGDQGFVTWSSLLAACQSHGNIDMAEVAARKLADAEPSHGSSYVLLSNVYARAGQWEDLKRTRRRMEERRVLKKPGLSWIEVDGSVHSFLTADKSHAESEDIYRMLEYLKPNLISAGSDPEPFALPETQSQAMNVYACAEQWEDLEITRSRMEEHRVVKKPGISWIKALFPLGSIEPKSSLVEMTTELHELCGDSSVMPELLVLSGGVAMPPSVEEVRLISHEISAVTSPPSQELGFDKIGAVVDADAPLSLESDKHVVPFGGEAAESGGAVVAREVCDFLATLVASYPGSAVD >cds.KYUSt_chr3.7527 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43409998:43410461:-1 gene:KYUSg_chr3.7527 transcript:KYUSt_chr3.7527 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHDMHGGHGGGVKGFVSNLVGGGKGHGQGHGYGGQGHGYGGHGQQHGYPPPAAGACPPYGGYPAHGYAPAAYPAQPAPHHGGHMGMGSYQTGHGGGGHGHFGGKHKGSMFGGGKHGRKWK >cds.KYUSt_contig_402.108 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:606391:607165:-1 gene:KYUSg_contig_402.108 transcript:KYUSt_contig_402.108 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGDAYGAKEPIFCYYKIALIHLLLVSATCSRTLAAAAPVVGWPPVRSFRRNLASSSASKRPPADPRNGTDDKAGYKGLFVKVNMDGVPIGRKVDLKAQGDYGNLSVAVDRLFRDLLAGTHAPSGRPAGSIRVGLCREACAEGNQPSITGLLDGSGEYTGVRGRRGRPDAGRRCPMGLMSSSCRNRSCSMFIATAKRLRVLRSSDLNAPSVGATETY >cds.KYUSt_chr1.16765 pep primary_assembly:MPB_Lper_Kyuss_1697:1:97481112:97481717:-1 gene:KYUSg_chr1.16765 transcript:KYUSt_chr1.16765 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVFGHQAKPPPLAAAYPSAPPPSSMAMPNCKRGGGNIHGRSSSSHRASETAARKHNAHLRQSISSLRALPPLPNPLFGLLPRGAHGGEAGSSVVATWIVPLLLATRLLPPTPPLEQDLGSDDGEDDAAREARHVAMARRNSLTTVFTGLWEKTKRARNIVDEEEWNVRKDKRASIRSEMTRCGFVIVDYSDNESDLSD >cds.KYUSt_chr6.9079 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56114622:56119038:-1 gene:KYUSg_chr6.9079 transcript:KYUSt_chr6.9079 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAKKPNSKQKMKETKKSREHADDSIGSHQPAESSYQGLTVLKEVATLHKEHLEQLAIKKNQKKVKDEDAGKAVASAGRHEESVTEAWKAEEAVTVGSSKSAMNQQVISLETEESVRRRVEAIYSRMPPEYREKLIKEEAAWEEAHNAMMANFKKLSERKRSGNSVCDDLENKPTYPSATMSMREYSVQDDLDHDRGKSATQVAKSDVAKAMVSEAESFASHRFLWESQWRKTCGLFTDITVLSSMQFTPYAPGYSSPYSSSCSTPKTLQIFSIKLAKLYSGLEFPLSVYGVVAVRDTVDRNRNLLFSCDRSEAQELTHNDPFLHLIGPSRAIVNVDEVCIEVELKVKGRAGSKDIALFSTAHPYMGEHHTGLSKITLYNIFCKLHLRLQQVKQTVQATILGVQVVKDDGSWPFKYGGLVACCPLSEEMLAPNSGFSRNMPIVLIDSKDRAMLKGARGHVHLWRQVVSVEHQGALDVVIQAYSKYGAATHRTRVRFTPKLCNISQEKCLLHDAEVTITIAWSCVATSKMGRVALENRVESATPLTAAEEEMNFEAERFASYRTFWESKLRKTCGLFTDITNLSSMQFTHYTPGRSPYSSSSCSVPETLQIFSIKLTRIHGGLEFPFSVYGVVAIRDTVECNRNLLFSRDISEAQELKQNDTILHLIGPSRAIVFTDKVCIEIELRVKGAAGSQDKALISSARHYMGCVSTICFKNVFCTLELCLEPVKQTVQATILGVRGDGSWPFKYGGIVACSPLPGKVVVKDGQFSRNIDPSAHRSATQIVLIDSKDEAVLKGEDGYVHLLRQVVSVELQGVLDVVVQAYSKSGVISAGTRARFTPRACNVSQQKCRLDDAKINSFLAGGDGVIHCHLESVSCDIEHHIVTFLQVMEISKCRPPFIQDDLVFVPIGMDNPLAFLAILDHH >cds.KYUSt_contig_2792.163 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000505.1:746271:746615:-1 gene:KYUSg_contig_2792.163 transcript:KYUSt_contig_2792.163 gene_biotype:protein_coding transcript_biotype:protein_coding MALGERDVEFTCAFERIMELRALGLTGRQVTAHFLKHRLAPLQRRTALAWLLKHVHDRVRLFTGQYSKPDRSELDWQVNNLYGGVEGAELPSTDIPALFKDRDNLDSIVARMPQ >cds.KYUSt_chr7.17312 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107214380:107216127:-1 gene:KYUSg_chr7.17312 transcript:KYUSt_chr7.17312 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDALAGHLGLFTNRNIPGNNYTTPTVGVEFDTIRNDDWDPTGTNCHIGVDVNSITSTQYTALPDGILNGIMSASVRYDAKAATLSATLRFDDQPGQSTYTVSANVNLRDAGLQQDAAVGFSAAIGDYIEEHQILSWSFESTMTGGGGGARRNLFFVGEGSSGRGGARCDLVFALNDEEEAATLAQAVAESEAKLASMAEAEQEEQARAIAEVHAFVAREAKQARAMTNWVEM >cds.KYUSt_chr5.18711 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121042088:121044346:-1 gene:KYUSg_chr5.18711 transcript:KYUSt_chr5.18711 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEGMQQVLPWSPGTWRGMVLRVSICLFAVASVSDMVTDFEFFSDSPAFWFMNTMMLLQIVWSLGVACVDIYAMRNRKVLHERGLVLLLVVGDGVLICSLLPGFHMLVLF >cds.KYUSt_chr7.33324 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207904132:207919879:1 gene:KYUSg_chr7.33324 transcript:KYUSt_chr7.33324 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRCLLRPPPISLGTPSAAGGRFGAADGVRTLGAPFSERGRLLRFYSSKEEGAETAAGSGGSSSNQQDHARLGEKDQQEWLSGERFLTGCKRRESPFLTKRERFRNEFLRRVVPWHKSSLTWTNFPYYVDNNARQLLTECVASHLRNKDAASEYGSRLQSSGGRILLQSLPGTELYRERLVRAIAHELRVPLLVLDSSVLAPFDNGEDCSETEEEDGQAESEDEGSGSEAEDEDSAESDDDEATRSVEELKKLVPRTIEEFAKRVVGEQENSSAAESTTESPEEEKRPLQKGDRVKYVGASVLVEADNRIILGKIPTQEGAADAYTFISGRTLSNGQRGEIYEINGDQVAVIFDPPDEKLADGTKDEANKDAEEEDAKSSVYWVDTQDIAHDHDTQAEDWHIAIEAFCEALPSLQPAIVYFPDSSQWLSRAVPRSNRREFIEKVEEMFDQLNGPLVLICGQNILEAAPKDKDPKALVFHNLSRLSPLTSSLRRLVGGLKGRKPSKSNGIAKLFGNKFFVPLPKDDEQLRVFNNQIEEDKKIIISRHNLVEVHKVLEEHELSCENLLHVKLDGIILTKQRAEKVIGWARSHYLSSATHPSIKGDKLVIPRESLELAIRRLREQEASPKKLSENMKMLAKDEFERNFISAVVPPHEIGVKFEDIGALEDVKKTLDELVTLPMRRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSSLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAMEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESEFGFDELANATEGYSGSDLKNLCIAAAYRPVHELLEEENKGASTKTSLRSLKLDDFIQAKAKVSPSVSFDATSMNELRKWNEQYGEGGSRSKSPFGFGS >cds.KYUSt_chr4.53348 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330303114:330303865:1 gene:KYUSg_chr4.53348 transcript:KYUSt_chr4.53348 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAAPAAFSVVAPCNGKAAATRRIRLGSRSLRKVVAMADMLGDFGARDPFPEEIASEWGEKTLGNVDTLHRILIPTVSVLSLSRVPLQADPELLSQDDARRLLHKVVGWRLLFPCTHDNQDDLLKLECVWKVKDQACGEELVSRITTALESGAGAGGYVPAKLGFEAPNQVRAQLYSTSLGGLSVNDFIIAARIDQIKTQDLIPKKRVWA >cds.KYUSt_chr2.16214 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101977611:101983718:1 gene:KYUSg_chr2.16214 transcript:KYUSt_chr2.16214 gene_biotype:protein_coding transcript_biotype:protein_coding MERIREARRAGVSMAGGPPQPRRRLRSNGGGGGAGVAGGPRDSPRSERRRGDRLMLNGNGRDDGDDTSDDSLGDDDDDADEDLAAASAPRYQPLQRRSPSTAPPPSPPQPGAGHHHSSSSSGGGGGYHNNHHHNHGQQQMQRKGGANPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWTAPGGGSGGGGDGSQIQRPSSRPISPASASTTAPVKKKMKPLGGGGSSGGSGPVPKQRPPSQAPAPPAAPPQPQPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPPKQESANHHKHEPRDAPEIKSGNSSPAPSSTFGVRPADSSSVPTTAPKRKRPRLVKYDNETRPASPAKADLSEPSSRPEVPPATRSDAKASVSAVAESGASTTTAAGAQQEASREAAEKRGEEHRGRDPELRISESDRRDQRPESRAEPPAPPGKPDGEAAPVGSEARNGEATTATKSELASDGARREKFCIDLMAPPPGKLSPDRDGSSDPDADKKGLDSEMDVASRGNSEKKDIERTRRGLEINLEDEKVQRIPADEPAPKKLTLQLDLEKPSLGDEKSPSERRQPPLQQQQQHKSSKTEIKNEKSPLPAVSPPMPMAVGGWMGGFPPFGYLGPVPGLSAPGLHHPMDIKPGTSAGLQHAALVPPPPVRPKRCATHCYIAQQIQYNQRLQKMNSFWPPTAAAAAAATRSAPFFGPRGPFNMGVVPPAEAASLLVNPMQGSYPVRAPLQETKAPSIAASPFQASVSKDKVASSSSAAAESNQRKQPPAHEAQQSSPMPNMMQGPAFIFPFNQQHAAAVAAATAASRMGDTKSSGASSAMPMSATAHPSAAHPGAPTMNLSFANLPPGADAQFLAILQNGYHPFQVAAHPGGPPSYRGMAPPGPGVPFFNGHVYSPHMLHPSQQQVAQQQNHQKNPMPSSSTSSQKHQPQQSQGQQGYAPNANAAAAANSSQSYSSGNQRPVLLHGLAHRQDTDKTAQDGQSSDDKSSHHQKSGYDHNFAVPVHLPNFALMPAAGNQSEKKSNDHHQQPPTSRGQGVRIDLASSQPFVMPFGAPGSAPTGLDFSSLAQNHALFQSHQEASRHGYPQLNFAAAQSVQAGQHKVQHQTATEAKSVAVDSSSTPSAGDHERRKSASAKYPGDQQQHSLSFSKPENKSFMHPFLGSSTNESSSRTLSLIGAESTNAFGSGSKSASSAAAASAAAPSTPTIAQQQHQQQQQQMHLQLQQQHHHHQQQQQLQQLQQQQQQQQQQQQLQQHQQQQQQQHQHNLLQLQKQQQQHQQQQQMLQNHHLNSRPRSAAPSANASGYSDRLSAANFQNLMYQSSAAQGGVPGQSPQMKASSMRVSAPPSAASVPAASPPSNLIMLKNSGLHQQQAKSLQALSSPNHQSQSMGSSKMGPSLANLSTGGAGDMSRSSNAPVPSGSPSNSVSKSTGGSPPASGSAKGGQSAMQLSSPQQQSSKNSGSASSKSSAANHYSSMPMPSILGQQPNSGGKQQQQSQMKQQPFPTQGHFFISSAYAPAQGPQHMNAGAAAGLYQKRPADKTQQQQAPHQQTSGSMLSLSSMSTAPIPADAGKALAAAAASNNMKGLHPAGGGFMQHLTIAGQSASGSPHSQMSAAQLTFGGAMPMPVKPTSDQKPAAGK >cds.KYUSt_contig_402.67 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:337956:338672:-1 gene:KYUSg_contig_402.67 transcript:KYUSt_contig_402.67 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSFLDRDPCPDRILDDAGGAFGMGLVGGSAFHFLKGLYNSPNGARIVGGMQAARMNAPRLGGSFAVWGTLFSTFDCTTVYVRQKEDPWNSIIAGASTSGLLSLRKGLRSTVGGAIVGAAFLAGIEGAGIVINTFLAKQQNTPPLPADDPNVTIATGLPQAHGSYTDLSGSSSSFPGLPQLPVYPTEVASSSVSGSWFGSLFGKEEKKKASSTRGTSEILESFDTPSPPIPSFDYK >cds.KYUSt_scaffold_1854.54 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:293031:298393:1 gene:KYUSg_scaffold_1854.54 transcript:KYUSt_scaffold_1854.54 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKSSSTRRLRETLLLVVRDDSTIKMWNMDSPTPVASFKCHPGYAHGLDYFCPGGALPYLVTHTKYEGSAQIWDLQSNTCIKHINGLQDERCSVAVVEGPSGRPILLTVSEDNTVAFCDSVTHRYENRVNFNLGDVRDFAYITMTKRAGLEKATKSCRRVSKTAVSFMKKGMERIVHVHHVDKDAFLKGNLEPDPEEVDLVFDLSPSFAEVVAQVRVELNWNEPNDVVELEGRHNVGFGMHTRWKTMRINSEQRWSVYKETVAESQDKALELFATKTVDARIELDLNRRSSPVQARSPPPMSQEEATESPIVQSPIAQDPPLEKEYDEDDDGDNGFEMNYNNVGDLDTYLTQEDMDHSIPYSRCYASDSDDDGPDEEVDEDGFTAREAERAEIFNDMGWPDLLSKQRW >cds.KYUSt_chr6.1651 pep primary_assembly:MPB_Lper_Kyuss_1697:6:10075300:10077885:1 gene:KYUSg_chr6.1651 transcript:KYUSt_chr6.1651 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPALLRGKKAAAFASRELLGTLAPSVRPEEHGAGPAVRDAQGARQPFDGITDWDGEPSSSSGRAFFDHERRGMGREALDHFLAARRGSAMLSRALKACGPMTPGMGEQLHCLCVKCGLDRGDVGVGTALVDIHMKRDGEDGRVFEGTPPKRNVVTWTALLTGCCAQADVTALFFRMRADGVRPSPFAFAAVLSAVASQGALDVGRRVHAQSVKFGCGSTVVCNSLMNMYLKCGLVEEAKAVFCRMETRDVVSWNTLMAGLLLNGRELEALQLFHDSRASAAKMSRSTYSTAIKLCASLKQLALARQLHSCVLKHGFSSDGNVMTAVMDAYSKCGELDDAFSIFAVMPGSQSVVSWTAMISGCIQNGNIPLAADLFSRMREGDIKPNEFTYSTMLMASLSILPSQIHAQIIKTNYQHAPSVGTALLSSYSKLGSTGEALSVFKTIDQKDVVAWSAMLSCYSQAGDCDGAANVFIKMSMQGMKPNEFTISSAIDACASPTAGVDQGRQFHAVSIKYRYQNAICVGSALVSMYARKGSIDSARSVFERQTDRDLVSWNSMISGYAQHGYSKEALDTFRQMEAAGIEMDDVTFLAVTIACTHAGLVNEGQQYFDSMVTDHKISPAMEHYACMVDLYSRAGKLDETMKVIGGMPFPAGAMVWRTLLGACRVHKNVELGKLAAEKLLLLEPHDSASYVLLSNIYAATGKWKERDEVRKLMDSKKVKKEAGCSWIQIKNKVHSFIASDRSHPVSDQIYAKLKAMTARLKQVGYCPNTSFVLHDMAEEQKEAMLVMHSERLALAFGLIATPPGIPLQIVKNLRVCGDCHTVMKMVSAVEDREIIMRDCSRFHHFKSGACSCGDFW >cds.KYUSt_chr5.28183 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178390551:178393951:-1 gene:KYUSg_chr5.28183 transcript:KYUSt_chr5.28183 gene_biotype:protein_coding transcript_biotype:protein_coding MLPARFLKLSLLRRLAAARAAEAPPPLKTRLFPVRGYQSRGYSTGGSSKYNKPMKQFVEEDVSTSRPLIYYIVPSAILAFAGLATFVHYNDEKRMIPLEAQQTSVPKRCNINRPAIGGPFKLYDTENNVVTESKLRGDWTLMYFGYTSSPDVGPAEVQKIADVVKLLESKHDIKIKPLFITIDPQRDSPAQLKAYLSEFDPRIVGLTGSVDAIRQIAQEYRIFFKKVGEVGQDYIVESSHNMYLLDPCLETVRCFGAEYKAPDLAEAITTEVQKVSNSTTN >cds.KYUSt_chr5.33667 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213722888:213729019:-1 gene:KYUSg_chr5.33667 transcript:KYUSt_chr5.33667 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLGLLPRLLLRRHMHRRTKPTTTPPLPPPPNPPRPPQKPEPATIHGETWHDSYAWMGSLADAAAMRHMDVHMEAEERYAEACLAAAGADRLARKLQLEMASRLASEACTTPARWGPWLYYRRADEGKQYPVLCRRSAALHGEFVSYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRYIAYTMYDKDKDSFTLLVRDMVTGTLCDKPRADRVSNISWAMDGKALVYVVTNEDRRPYRLYCSIIGSSKDDILILEEPGENIYLNIRHTKDFRYITLNVFSDTHSKVYLIKGSDPLSRMKLVWEGESQVHCIVEHHHGRLYLFTDASREGVRVNSHYLMQSDVESPGPKSWKNVFLEEPGIILEDVDFCSTHMVLILKQGRKLSLCSVNLPLPENIHVPAHLSDFHPSELPLPSHVCQILSGPNYDYYSSTMRFTISSPVMPDAVVDYNLLNGKWQIVQQQNMLHERTKVLYGNAFASSMGKLSSDGADLSSQDLGDCDWNELSEYYACEYYDVPSKDGVLVPLTLVYSRKHKQEGNPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAYADVRGGGGYGKEWHQDGARTKKMNSIYDFVSCGEFLLEKGIIQENKLAGWGYSAGGLLVASAINTRPDLFRAAVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLAIKKYSPYDNIQKDVPYPAVFVTSSFNTRFGVWEAAKWVAKVRELTQYDPERPVILNLTTDVVEESKYLETKELATETAFLIKMVNNT >cds.KYUSt_chr1.2747 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16413645:16413860:1 gene:KYUSg_chr1.2747 transcript:KYUSt_chr1.2747 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMRADYAPWHIFFGIVIFLMAICTAETGLVRFIFPGNYPSEAFVVNFNGIAIFMFGVAVVLAVILPSRY >cds.KYUSt_chr4.24563 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154583568:154588201:-1 gene:KYUSg_chr4.24563 transcript:KYUSt_chr4.24563 gene_biotype:protein_coding transcript_biotype:protein_coding MKVATPTLSATSTSAQRAITAHEHLGFLYSPSIRDIVHDTPAMNAAHDAGTWWPLDVDELSVKKWKTEPVRVRFQCRYPDRIKGMIALCVNGVPYTVGVHAELGAPGAGGSNPPRPPPPGDDDDVDDLDSEERSTDGERWNRHRKNDKAKGAAPPVGQGSGGGGGGSQRAATGGARSAPPLGRFAGQYGSNMDLFPALPLGKAGLASSLQEAQGGGSLKGCEGQTFGLVELPAASGKTSSQVTDPVQSWLLDSPLKTTLGGFEGGLAASKELPVIEVDMGVGEEGGVGEASLEQGRPLLMTDLRSVVTAVAPMAQGKRTKTVASMAPTKAIKKKALATPSRKSTRNGGAVATSAMEKAKKLAAERNLDPAMAGTDTDDFSILDARSDEQLGSVITDSCILFVPSAGTPMEAISLLRAKEEAQAALARVAASQAREREAREACDEVLGDPTAAGEAAAPGPVTDQDPAMGRGPTQTDGRGARSPSMGSDAEDREATQRTSSRPLRKKGRRSNLTMRKGRGKRTKDP >cds.KYUSt_chr3.19806 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121934639:121936390:-1 gene:KYUSg_chr3.19806 transcript:KYUSt_chr3.19806 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGRDQRPHADNPSCRGSEARRPASPAHSPQLHARLLVSGRLCHPVFLTQLVSLYAATGRLDAALRAFRAHHLSAAASLRIYSALIARLARPHPALAFSLFSRELLSSSCPALRPNPHVMSAVLAACAGLPPVHGRQVHACAAKIASLADVFVCTGLVHAYATAGDMSSSARVFDEMPHRNLASWNALLVGYTRSGMHLQALRVFRELTPVGHKLDQVTMSGAVSACAGARAENFGRQVHACIIKVGLDVSAVCVTNALLDMYTKCDCSQEALALFDGADCRDVFTWNIVILGCIHENHVESACRMFRSMVRGAVLPDDVSFATVLQATACLAAWDFGASLHAAVEKAGFVVSQVVARSLISMYSKSGCLDDARRVFEAAQGHLSIMSWTAMITAFQQHGQGRQAINLFEILMEKGIMPDHVTFVSVLSSCSHSGLVQLGRKYFSLMTKVYKLAPRSEHYCCMVDMFGRAGLLGEAKRFVDEMPFKPDASVLGALLAACLNCGDLELGKEVAENLFEIEPGKAGNYVLLANIYASHGRLEDAKEVRRRMVSQEVKKEKGCSLVNLENRTVFNASGLPSGKGR >cds.KYUSt_chr3.7762 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44539999:44543186:1 gene:KYUSg_chr3.7762 transcript:KYUSt_chr3.7762 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMSLLWSLVAALMIAMVTAGDEAALLAFKAKVSDGGSLVSWTGSANFCSWEGVACNHQRPTRVVELSLGGRALTGALSPALGNLTFLRMLNLSFNWLHGEIPASLGSLRRLQTLDLSYNSFSGTIPLNLTSCVGMTTMALGSNKLGGRIPAELGEKLMSLEAISLRHGSFQSLRLLLLSDNKISGILPPSLYNWSSLETFGVEFNMLHGSILDDVGNKFPKMRNLGLSSNNFTGAVPSSLSNISDLKGLILGDNRFSGYVPPTLGRQGALRFLDLSDNKLEANDHKGWEFINSLANCSQIKYLFLGGYSFGGQIPASITNLSKTLEKLYILESRVSGAIPADIGNLVGLDALAIQKTNISGVIPQSIGRLENLVELSFASNSLIPDALGSIGNLQELYLAHNDLSGSIPAVLQNLTSLSKLDVSFNNLQGEVPDGGVFRNINYTGVAGNINLCGGTTKLHLAPCSRSSFSKNKRKMTKSLVVSLATTGAIVLSLSVISLVWILRKKLKQSKKKLVQDSIAEDHYERIPYHVLLRGTNGFSDENLLGRGSYGAVFKCILANEERTLAVKVFNLGQSRYSKSFEAECEAMRRIRHRYLIKVITSCSSVNHQGQEFKALVFEFMPNGNLDGWLHPKSEEPTTNNTLSLAQRLEIAVNIVDGVEYLHNYCQPLVIHCDLKPSNILLADDMSARVGDFGISRIIQENTSETMQISYSSMGIRGSIGYVAPEYGEGSAVSPTGDIYSLGILLLEIFTGRSPTDETFRNSLDLHKFAEDVLPDRTLEIADPTIWLHIEQHDNVTSRIQQCLVSVFRLGISCSKQQPRDRTLTRDAAADMHAIRDAYIASVAIS >cds.KYUSt_contig_2549.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000380.1:111847:113421:1 gene:KYUSg_contig_2549.15 transcript:KYUSt_contig_2549.15 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVPADEKLHVVCLPFPAQGHVTPMMKLAKILHCKGFYVTFVNTEYNHRRLVRSRGPAAVAGLSDFRFATIPDGLPPSDADATQHPESLCYYTMTTCLPHFKKLLQDLNGTAGVPPVTCVMGDNIMSFCVDAAAAVGVPCALFWTASACGYMGCRNFRFIMDEGFSPLKDEEQLTNGYLDTVVTGAPGMSKHMRLRDFPSFICTTDRDGILLNFLMHEVEQSDRAAAIILNTLDELEQPTLDAMRDILPPVYTIGPLNFLAEQLLPDGGGPLAATRSSLWKEDRSCLEWLHGREPGSVVYVNFGSVTTMSSQELLEFAWGLANCGYDFLWIVRNDLLAKGDAAASATLPPEFLETTKGRCLLTTWCEQEAVLRHEAVGVFLTHCGWNSTMEGLSAGVPMLCWPFFADQQTNTRYACVEWGVGMEVGDDVRREVVEARIREAMGGEVGKEMKRKATEWKEVGLRATTQPGGRSLANFDSLLKDVLTAASKKSAG >cds.KYUSt_contig_915.296 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:1921107:1923720:1 gene:KYUSg_contig_915.296 transcript:KYUSt_contig_915.296 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMEAGAKTFVLYPSLGVGHLIPMVELAKHLLRQGHNVVVVVVDTLDREAVSADAVSRLATANPSVAFRLIPAPPSPDPGAHLTKRSLDTLRLANPALHAGRKPISRGFLRSLPAVDALLLDMYCVDALDVADELSIPAYFFFPSAAGDLAVYLSLPNYYCRHPGVPSFRDMGETLVHCPGVPPIRAVDMPQTVQDKESDQTKARMYQFSRIPEGRGVLVNSFDWLEPKSLKALQDGVCLPGRPTPRVYCIGPLVNDGSSKSAKRHECLAWLEAQPEKSVVFLSFGSKGAFPEPQLKDIARGLECSGQRFLWIVRSPPEEQSKFREPDLERLLPAGFLERTAGRSMVALNKVFMVEEMKIAVALEGYEQGMVKAGEVEDKLRMVMETEEGSKLRKMLVVAKKMALDAIGKGGSSELAFSDFLGDLQNSSLDNGGCT >cds.KYUSt_chr4.11871 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72141875:72146509:-1 gene:KYUSg_chr4.11871 transcript:KYUSt_chr4.11871 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRLNPCDDVMGGKAVVHNWVDSGWPVSSGDEGYAQHGLLVIVSASGGGDGAVINELRAALGHLSSHGEKYCNEACLERYLEARNWNVEKSRKMLEQSLKWRADNRPEAIRWPDVSAEAETGKMYRASFPDREGKTVVVMRPAKQNTSSHEGQVQHLVYTLENAVLSLPEGQDKMVWLIDFTGWTLAHATPIKTARESMSVLQNHYPERLAIAFLFNAPKVFEASFKVLKRLVDPKSLKKLNFVYKENEESMKIMYRHIDPEVLPVEFGGKNNVVYNHEEYSKLMTKDDIKTASFWAADVNHVTNGHSVPEVTPQ >cds.KYUSt_chr3.17939 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110223929:110224552:-1 gene:KYUSg_chr3.17939 transcript:KYUSt_chr3.17939 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVTSALISVLFAAFSVPCLLLVVVVAEAVVRLAALAVRGDGYMWPSRSAFLGYRIARAGHLSYSSSSSSSAVSGGGGGFFQQDEPLPPEFLDLLAVAVYRKGTDAAVDCVFCLSRIDEGEEVRELRCRHVFHRECLDAWLLRPRATCPLCRDRLLPSEPPRACARAFDDDEIYVEDEDHEDLSSSSSSSYAAGASYPHGAALWHM >cds.KYUSt_chr6.18260 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114804812:114807778:-1 gene:KYUSg_chr6.18260 transcript:KYUSt_chr6.18260 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPSNSEAGILHKQTIVSGGEDTTPPVINAKDDLLQQQKQTIRETPSSDCGRQHSHFSEAHESMSPGFSFSHGRNFARDVLAKFQSTVTPAEDSGHFMMVVSFGRSVFKMEESLVSIALEAVIGGQCDQLKVSWLSDRVFSFCVASKEIGFHILKLRKFACAQFKCFFHLWGRGGPNWRWEFSHWKNEIEEEWTLVSPSRTVSKKGLDALKLNPQKSAIKKNQFEKRKLCFADNLNYSACQGYSQSTTVQLPKDMFITEASPHISFGSVKDFPFAQEKLSDPSSISVERDAHSVSNSGTDRPDTSWAGEVELQHVSEEKAQDDFNKMIDDMAYKVWACGRCLNMGHQTKDCVNDIRCRACFTYGHIKRNCFGQYYQKKRWVPKSAVPSSNNETESLSLPAVSPSPRIQTNPATSLPSNPVPPTSPTSSASMAVYEVDPIPWLPWGHQVIDGGPTRLPRSYYFPAHDPPSEHQDYCIAVLDPPPPPQAAALWREQVHDFLIGPLQRNVVSIQASLFGVGLFQMNGPNSVNALVQHGLYQLQNRELRFLHVGEAPQNHRAAMGFRRGWLMFLGMHPDYRNNLDIANAVSTFGQFHSWNHHDPIKERALVYASFPSPQLVPRDVVFGKFGTVGGVRESWTAPVFILSADFADVLPADEDQMPLDGNPHPLPGQLMPNNNLFVNPQYPEIGWDAVEEEQGNPDGHHDNIDPQQEEVAEADHEQPVSMVLSMSNDSSSSVNMQGGVPQPFLQMDNPPFNVINVGMVVTRFGPVIPPAMQWRKMADWVLPALCLKTIPQAMKASAFAMLDLNKLPSAWALTGIQGKHLERWQGGLRVTLLEENTTIKTVLQMKSCENQQGCEEGMEVMVTPVVSKKRVSRRAKSQLVQPAERRFTRSCLAEGYKPKPVLSIQPKIKKKSRAKLLIQRADDEEENKGNDAGEEEKGRAVEDYPVTPVHVLQRVGLSLGIDPSKLTLEQLEAVAKKKTKPEENHD >cds.KYUSt_chr4.51895 pep primary_assembly:MPB_Lper_Kyuss_1697:4:322248330:322257890:1 gene:KYUSg_chr4.51895 transcript:KYUSt_chr4.51895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, miRNA biogenesis, Reguration of developmen [Source: Projected from Oryza sativa (Os03g0121800)] MAGGGGVTYWYDACEDDDDDEDSSSLLCGIDFAASADFDPGFLPNLGLDSGGVDDGFVAEIDRILESINADTAPAPAPAPAPPPPPPPSQPVATPPPQPQPQQKEAPLAVAHNALAVVAPASGQGVEPRKETRRESHGSSANGGGDYRGGKRPRLVSGPDRAPRPDPRRRPPPPPSRGWDDRRGRRDFDRPPHKRDRDHHHRREARGFWERDRGGKMVFRPGAWDQEESGRDAKRARAENGGTVGKKAEAAAAQKEKPLTEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLVKSLCDKMLKENKKILAVFLVPKVPLVYQQAEVIRDRTGYRVGHYCGEMGQDFWDSRKWQREFDSKQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPVVFGMTASPVNLKGVTSQEDCAIKIRNLETKLDSIVCTIKDRKELEKHVPMPLEVVVQYDKAATLCSLHEKIKQMETAVEEAALSSSKRSKWQFMGARDAGSRDELRLVYGVSERTESDGAANLFQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESYLKKVVDLLHCQLTEGAAMRSETNDVEMHNAENDNPSELEDGELPDSHAVSVGEHVDEVIGAAVADGKVTPRVQSLIQILLKYQHTKDFRAIIFVERVVTALVLPKVLAELPSLSFIRCASLIGHNNNQEMRTSQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERENMSHETFLRNCRNSEETLRKEAIERTDLTHLDGTPALNPIDTSPDSMYQVESTGAVVSLNSAVGLVHFYCSQLPSDRYSILRPEFFMQRHEKPGGSVEYSCKIQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMSAFTDMLLPDRGSEEREKTEQNDEGDPLPGTARHREFYPEGVAEILRGEWILSGKNSCQSSQFIKLYMYSVSCIDVGTSKDPFLAQLSNFSIIFGNELDAEVLSTTIDLFVARTMITKASLVFRGPIEITESQLVLLKSFHVRLMSIVLDVDVDPLTTPWDPAKAYLFVPVGAEKCSTDALREIDWTLINSIVNTEAWNNPLQKARPDVYLGTKERTLGGDKKEYGFGKLRNGTTFGQKAHPTYGIRGAIAQFDIVKASGLVPGRDRGYFNDYQNQGKLFMADSCWDAKDLAGMVVTAAHSGKRFYVDCICYNMNAENSFPRKEGYLGPLEYGSYADYYKQKYGVELVYKKQPLIRARGVSYCKNLLSPRFEHSEAKEGDLPENLDKTYYVYLPPELCFVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKEIIDYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYEFALNKTLQSYIQADRFAPSRWAAPGVLPVFDEETRESEPSIFGEESIPGNELPKDYDDDYADSIQEDGEIDGDSGCYRVLSTKTLADVVEALIGVYYVAGGKIAANHLMKWIGIHAELDPHEIPPPNPYNIPESILRSINFDTLEGILGMKFQNRGFLIEAITHASRPSSGVSCYQRLEFVGDAVLDHLITKHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEEIAKSDFNSFGLGDCKAPKVLGDIIESIAGAIFLDSGYNTSAVWKVFQPLLSPMVTPETLPMHPIRELQERCQQQAEGLEYKASRAGNVATVEVFVDGVQIGLAQNPQKKMAQKLAARNALVVLKEKETATKKETEQDGDKKNGASVFTRQTLNDMCLRRQWPMPQYRCINEGGPAHAKKFVYAVRVNTSDRGWTDECIGQPMPSVKKAKDSAAALLLELLNESCKKS >cds.KYUSt_chr6.19001 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119648379:119651289:-1 gene:KYUSg_chr6.19001 transcript:KYUSt_chr6.19001 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLETLWDDDARFVDAPRHRASVARSLLLECECKHGAENTLLRAGYGGWLLYTAASAGDMGFVQELLDRDPLLVFGEGEYGVTDMFYAAARGRNAEVFRMLLDHAMSPRFSTNCRDGDGANGVGGAGGRTSVFRLEMMSRAVHAAARGGSVRMLKDLIDGRSDVSAYLDIRGSTVLHAAAGRGQLEVVKYLMASFDVINSTDNQGNTALHVAAYRGHLPVVNALVAASPSALSAVNNAGDTFLHSAIAGFRTPGFRRLDRQLELTRHLVRERAADVQKIINLRNEAGLTALHMAVVGCVHPDLVELLMTTPSIDLNVEDADGMTPLALLKEQLRSTTSERLIKQIVSAGGVLSSSVLRTRSAVVSQIKMRGGIAISPGTMFKISDAEIFLYSGIGATESRRASSCSSDGKCDAAHAETNGEGGDENHGLSEKRLSSASRAKDRLKMLLQWPRHRGKMSRTPRKSEGSSPLDTIKKLNKHVAKTPTPLRQAYTTKTTTLNNKRTLAIKSSTPTSATKKKLNSKLIHGIIEAMPHLASSSTSTRSPPSTLPRSSMSSAAPPSTKLKDICFEEDESSMMTPPYGKLKDVILDNSNRTDDPSCSNSSFADDGISVGARKNHGCGNGRLINICFGAQGLNVEDSVSGQQTSKMFKQQGLGVS >cds.KYUSt_chr5.20776 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134966000:134967214:-1 gene:KYUSg_chr5.20776 transcript:KYUSt_chr5.20776 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCSEISAPSRKKEHDLPDELLELVFLRLPSSLHLVRAACSCKRWRRIIAHGGFLRRFRSLCASPLVAGHYRVDDRKDGACSPGCSPVFFLSPTADALGFRPEHFSLDFLPSRDSGSWDIADSQGGLVLLSECTEEQRGEETPPLFQDLIVCEPLTRHYRVIPRPAWLHGSLYCGAFLLGGDADKTGERISLSNFRIIASLVLRGIARAAIFSSGSESDSGWKTASITADSLVRPQDRPYFEGQVAESIYWSTVEKEIIALHKHTAKFSCSVFPDETSYCRLKFVGCDSGKVRIARLDHIHLKVFVKEEDAYEWVLEKSVELQQLVREVPDQDDGELQATMLNNIVSVADGSVLLCTDRGVGLVSVDLATMEFKRVAFDEDKYHGPAYMYELPWPPTIQACLD >cds.KYUSt_chr6.29893 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189348062:189350405:1 gene:KYUSg_chr6.29893 transcript:KYUSt_chr6.29893 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWELTAITAYFLGLRRTYRLALRGQRRLIGPNHPKVRDFVYRRTRSIFDVAVSVHKKIQERDLEVGRNVGNAILRWLDRMKPSAQIRPHPPGLPSGSSEQYRHLSTMNKAAGAQKPASKTSTHDSSGKMLFSPLNIRPKSFPILPTMMQSTRISASSQCRRISSSPFPSVTARRKDMMEGVFRKDIAQMML >cds.KYUSt_chr7.257 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1438346:1440565:-1 gene:KYUSg_chr7.257 transcript:KYUSt_chr7.257 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLFGTRPASDQDPPEPPEPEPSGRQLSDGDLLDELLATVGSARAFQEFRRSQRKESFNLLRWLQLVLPLIEELREAAPARPLTDDAYRRLALLGRAFQAARRLLRCCHDGSKIFLSLESEAVQGRFRAVYEKINLALDGMPYSEIGISDEVKEQVELINTQLKRSKKRADTQDMELAMDFMVVLQDKEDRSADRAILERLAKKLELQSLADLRAETMAIKKLINERNGQQAESTRQIIELLHKLKDVAGVDEKNILGEVSIPKYLEKCPSLMIPNDFLCPISLEIMTDPVIIASGRVSTFLLLHITTCSNFQRVQFRLGDHNLNVLIGFFQTYERRRIQKWLDAGQRTCPKTQQPLAHLSLAPNFALKNLILQWCEKNKVEMQNGEPAEEPVVEQEVSKEVLVPSLVKDLSSANLDVQRKAVKKIRTLSKESPENRALITESGGIAALVGLLQYPDKKIQEHTVTSLLNLSIDEANKVLIAKGRAIPPIIEVLKNGSVEGQENSAAALFSLSMVDENKVAIGAMGGVPPLVKLLKEGTIRGKKDAATALFNLMLNHQNKFRAIEAGIVPALLKILVDKKLNMVDEALSIFLLLGSHSACRSEIGSEGFMETLVQIVKEGTPKNKECALSVLLELGSNNNALMVHALGFGLQEQLTEISKSGTSRAQRKANSLIQLARKCQS >cds.KYUSt_chr4.3407 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19368192:19369526:1 gene:KYUSg_chr4.3407 transcript:KYUSt_chr4.3407 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSECVLERRVRSDGDKELADPSLPLFILKCEHRKADRYELDPYDYNQTLQGMVIGVCLADAPAAVSYLVILGAGVYAQIAAVDKTLIVIKLSFAGTGGRLSYLIYDAVALSLRLIPLQPRDPNWAYTLSYNISIARPYQGDATYALVHTGQLAGPEPQEGDSLYLWRPSSSSSPPWSERKQCSFPEDWITNKIDMEFSFYGQAYWVDLVCGVSFCCCDALFDDNSGPAVQFGFIPLPLEERGHYRNLKLLAQPSAYRTMGVVSDSFIRFVSIDGFMYPVKLKNRTVTVWKLSCDDQDDPWELEHEFSLKMLWGFEGFADLPKDLTPMYPLLSTKDTDVIYLALGECFESIYKSFRKFIPCSARYLLAIDMQKKIVTSVPLAHWIPDQHVSCGFSRYLRDALVGPCNDEGIPSTKDEPRLHGGEAPNPQYPIPTEKKNNKRRR >cds.KYUSt_chr7.14155 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87524314:87532249:-1 gene:KYUSg_chr7.14155 transcript:KYUSt_chr7.14155 gene_biotype:protein_coding transcript_biotype:protein_coding METTGHGALKENYFLDDGAYMVVKIIIEMVRMRLAGLDGGVGSLIKDLEEPAESVLFRMDIVGEPKNAKQRGVQAVETFKNYIEEGKLNGWVLDDCGDCSVDQGCLVDNNDHPIDVDAYMYRVYIVHHTPRVARIHGKTLQQQLVGDQTMDHELFAIVSRREESGLAIIHVARHYDGARLKVPLTKSPQTSPSPPRENTPPPPPPRQETPPPPPKQKRKLTAAPPTAPKRSPAPKRSQTPELLPYEQTDEQKAFLALKKTPKLFIPPHTVKHFAETRLKKAELSSDYDRSLGQSSRARKERKIAQLGQHDNQSVPHFIVHSYDDLETASMIEREARGCGADVQYEDYFPTAEVVGNKYRYGHDLVKPGQLARLGTQMRRLHEWYLQACRRGDRYLTVYLRDEHYFRGKEEINLELEELFQLFNQDALDKSIISCYCLMKKLESKRGKLYDMW >cds.KYUSt_chr5.8194 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51899678:51901105:-1 gene:KYUSg_chr5.8194 transcript:KYUSt_chr5.8194 gene_biotype:protein_coding transcript_biotype:protein_coding MPSELLAAPPPKSSSNLSTTTTATTTTSAANGTTTTTTTITTVSANGTTTTTTTTTTTVSTTTTTCNAIIAPATSTAITAPATSTATTISSLSGDDLREIFLRLPDLPALVRAALTCRSWLGAVRFSPAFRRLFRALHQAPLIGLFLELNGPFFVPMRLSDYDVAAALRRGDFFLTSLPHSFSGWTVTDCRDGYILLWNGMDNNHLSLATLNPMTWAVDILPSPRGIAAESRRNFGLVGFHLQSSDENPCFFRVICVCKDQRRVRAVIFSSETWDWVIHPWVDIGGNNSLKFKVGSLVDGSIYWPCHGERRMIRINIDTMDITSVDLPWQVEVDGFNFQAGDTKDGELCIVYESGFFLHVWIRSMDSDGTEIWAPQNIENLSAEIDRTTDGITQDLHGFIKIMQVRSGYVHLSMACMTPAGTQHCWFFSLSLETLKLDLLLEGKYDGYAYPYVMAWPPSLVADDGSIGHDVEGSH >cds.KYUSt_chr1.2296 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13464171:13465097:-1 gene:KYUSg_chr1.2296 transcript:KYUSt_chr1.2296 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPALLQGLAQEVDPWAPGFWRDFGIGMLKPLAATAAVAMAVALSSTQRLGIEAEMLYAIARSFLQLSVIGFVLHFIFTQSSPLWILLAYLFMVTVAGYTAGQRARRVPRGGCIAGASILVGTAVTMFLLVVLSVFEFTPRYIIPVAGMMVGNAMTVTGVTMKKLHEDVKSQRNLVETALALGATPRQATAGQVRRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTNAFQLEDSVFAD >cds.KYUSt_chr7.39252 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244201392:244201688:1 gene:KYUSg_chr7.39252 transcript:KYUSt_chr7.39252 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFSILSASPADIAPGADATGGSWARLSWRGMKLQDVPAGQQAGQTAEAEQQQQLGRKGKSQSQSQLPPREETRRPRFAPEFDGIDCFETIVWR >cds.KYUSt_chr4.35194 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216267770:216268782:-1 gene:KYUSg_chr4.35194 transcript:KYUSt_chr4.35194 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEASPWILAGAAAAMSLLWLAAWILEWAWWTPRRLGRALQAQGRKGTRYRGGWREAWFKPLPLGSHDIIPRVQPMFNNAIKKNGNFPFTWFGPTPRVMIPDSELVREILSNKFGHYGKQKSCRFGKLLANGLANHQCEKWAKHRRILNPDFHHEKIKVTMIGMEAWI >cds.KYUSt_chr7.37452 pep primary_assembly:MPB_Lper_Kyuss_1697:7:233722922:233724210:-1 gene:KYUSg_chr7.37452 transcript:KYUSt_chr7.37452 gene_biotype:protein_coding transcript_biotype:protein_coding MWTIWGSRNNYNHGETRYQPMRSMELVDELVKSIEVPLPSPRAAVGGGIPKWKRPIEGWIKLNSNGALKVVDNMAGTGMIARDHSENFVLAESRRYEYIVDPGMVELLACRDAMFLARTKGWSHVILEIDCQLILNAWKDGKWQRSDSAAILREMKATISAFQGFSKHNKNSGHSDPKTKSDNLPGRAIPGIADRIYPVLKDMTKHT >cds.KYUSt_chr5.13691 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88942756:88944552:-1 gene:KYUSg_chr5.13691 transcript:KYUSt_chr5.13691 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPPPRASRRPPCLPAPTPAALPGVAVATVLPLIERAIAVGDVRRLGRAAHALLVKTALTHHTLLSNRLVELYAALPSPAASRAAFQDLPHRNAHSYNNLLAALSRGPATLPDALHLLDAMPAASRNAVSYNTVISALARHGRQGDALRVFARMARDRCLGPEVAFDRFAVVSAASACAGMGAVRPLQQLHGAVVASGVEVTVIMANAMLDAYTKTARMEDARMLFNQMSVRDTVSWTSMIAGYCRAKRLDEAVQVFGMMPEQDSIAWTALISGHEQNGEEDAALELFEQMLHHRGMAPTPFALVSSLGACAKLGLATRGKEVHGFILRHCIGSDPFNIFIHNALVDMYSKCGDMATATAVFERMPQRDFISWNSMVTGFSHNGQGKQSLAVFKRMLEAQVQPTCVTFLAALTSCSHAGLVADGRRILESMQRHGVEPRAEHYASFIDALGRNRQLEEASEFIKGLSSRVGPGTAGSWGALLGACRVHGNIEIAEKVAESLFQLEPGNSGRYVMLANIYAAAGQWDDARRVRALMKGKGLRKEQACSWIEVRSAKHIFVADDTSHCEANEIYEMLDKLFDHMHIVVDPIEDQLALR >cds.KYUSt_chr3.11051 pep primary_assembly:MPB_Lper_Kyuss_1697:3:65828811:65833970:-1 gene:KYUSg_chr3.11051 transcript:KYUSt_chr3.11051 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSARQRRHTAASPLADDGYSKEAKDRRRRPDGEEAEEGIRWFLPFLALGLLRHMSANSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSSDHALRSYLYLFIHGIVAGPASSIFGGEHKVRVFYSVRFFLGLLSTITETVLVVVLSRRYGKRLACYVLAMLCLTSGCFFASTSFLPSSFSMYAVTLSSALFLLEKYSSAVSVAAAGVILGWPFSILVFLPITVYSLMRGPFKRVFLSGFLTSLSLLVLSFVADYYCYGRWTSSVFNLLKYNVLGGGESHLYGTEGATFYLRNGFNNFNFAFVLALLFVGVALSARKKFAPDLLIVVSPIYIWLAFMSLQAHKEERFLYPIYPLICVAAASVIDSFPDFFHDKYASEQSILFKVAKGLRPLILGFILCTSHSRTFSMLNGYGAPLQIYEHLEYHEDTGPGSILCVGSEWHRYPSSFFVPSYISEVRWIDDGFRGLLPFPFNETLGGTTAAPSYFNDKNKASDKQYLKDIGACNLLMELDLRRPYPSRGNDLSTWETLAALPFLDRELSPALYRSFFIPYQWQHKNVFGLYKLLRRLPTADQEQLKSD >cds.KYUSt_chr3.43286 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273525701:273526340:1 gene:KYUSg_chr3.43286 transcript:KYUSt_chr3.43286 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKVAAVGPDVFVVEPGTKLALAMALELSGRSSMMLHILLNSDLPQAPSAFAAAAGEVADVLRCQSSTNHRSCQIEDKSRPNQANWRMGARKGAPPEAAAWRACADGGGGDSWCALLCRIQAACSQTLHVDAQFMRRLVVAVFSRWSFLANQSCSRLRCPRCSILDHVVVFLCSEEGLPEHLHVSKPR >cds.KYUSt_chr3.38675 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243691980:243697997:1 gene:KYUSg_chr3.38675 transcript:KYUSt_chr3.38675 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVAQVQELRDRLSDRFRPWSRSAQFWVRAVDIYGSYKVCQFRAGFIRDEEEREAMWERQHEIGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDKAPSTPIEVVREVVERQFCKSFDEIFDYFEVEPVGSASIAQVHRARLKSSKTDVAVKVQHPGAERLMMVDIRNMQAFALFLQKYDINFDMFSATKEMEKQICYEFDFVREARAMERIREFLRVTNKKPPVMVPRVIPGMISREVLVMEFIEGTPIMNLGNEMSKRGIDPGGKLAAMAKQKILTDLTLAYGQMILKDGFFHADPHPGNILICKNTEATLLDYGQVKEMPEDLRLAYANLVIAMADGDLSRNKEGLRELGLETSSIVDNELEELFELSLRMFDTRLPPGVTVLSPFAEDSSLTKVGVENFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAQEALLKAGRVQ >cds.KYUSt_chr7.10322 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63264419:63265243:1 gene:KYUSg_chr7.10322 transcript:KYUSt_chr7.10322 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGGKSAVDAILAEAADLVALEQIARLNTAHLDDSAALPSSLESRFRKLKSLPAAAPLPPPAKTLGRSVTAPPQHRDDPAADSSPQANPPAPALEASRKDDQTSPPQPHPHPPNPTVPAVPEEDEDEDEDLERLFGPRRGRPTLKERSRRADSSSSRSPPPPRQACCFPFSPKKALQRTPATRSSKKKTHAEGYTGDVLGVDAGEWGDENRRMVTELKEQQRKMKKALEEQVKVSRETAKMARWVKQASARMTHTDAIDDLLSDLDDDDDLK >cds.KYUSt_chr3.18228 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111961077:111962615:1 gene:KYUSg_chr3.18228 transcript:KYUSt_chr3.18228 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLVSPLFLAGPVRSNPWYGGLFPQFYDHSCPKAKEIVHSIVAQAVARETRMAASLVRLHFHDCFVKGCDASVLLDNSTNIVSEKGSNPNMNSIRGFEVVDEIKVALETACPGVVSCADILALAARDSTILVGGPYWEVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRLGLNIVDVVALSGGHTIGLSRCTSFRQRLYNQSGNGLADSTLDVSYAAQLRQGCPRSGGDNNLFPLDVATSTKFDNFYFKNILAGRGLLSSDEVLLTKSAETAALVKAYADDVHLFFQHFAQSMVNMGNITPLTGSQGEIRKNCRRLNNFH >cds.KYUSt_chr2.20076 pep primary_assembly:MPB_Lper_Kyuss_1697:2:126248373:126249140:1 gene:KYUSg_chr2.20076 transcript:KYUSt_chr2.20076 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHPSLSPVAPSFFRTKALPAAPHLDFAVVLANHSCCKGNKWQGGDATSGGRRSYQWWVAALQSVESMLRVAANDATYGGRRCCLLLEEGGASRGGWWRCAAGASKLCRWSCKCEPWELQKYHAGAARPPSSAAMVLQAADVDAAGDANVHHGSCKRAPPVLQAGRRATAVLQAADVRFVELQTRAMGAAKVSRRCCKPPSSTIAVLQAVDAAPTELQTRAMGAAKVPHRCCKPAVERHRGAASRRRRLRRSCK >cds.KYUSt_chr1.22877 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135535561:135538794:-1 gene:KYUSg_chr1.22877 transcript:KYUSt_chr1.22877 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLAAAEPLTLLKRPPPPFGDEDNNGSTDEKPAVKARRRESDPAAALAAARHEFGEHGGVNMSIEASATFTVMEPDTMRRLFAGELGPERGDLYIYSRHFNPTVLALGRQMAALEGTEAAYCTASGMSAISSVLMQLVGAGGHVVASRCLYGGTHALLSRFLPRTSGVSATFVDADDEAAVRAAVRPGVTKVVYVETMSNPTLAVADIPMLARVAHEAGAKLVVDNTFTPVVVSPARLGADVVVHSVSKFISGGADIIAGAICGPASLVNSMMDLQDGALMLLGPTMNAKVAAELAARLPHLPLRMQEHSRRAAEFAAGMRRLGLRVTYPGLPDHPHHARLRDLGNPGYGAGGMLCLDMGTEERAGRLMHHLQNTTQFGLMAVSLGYYETLMSCSGSSTSSEMDPEDRARAGISPGLIRMSVGYNGTLEQRWAQFERALALMQQETGAAGAAKYCKAG >cds.KYUSt_chr2.13976 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88408833:88419446:1 gene:KYUSg_chr2.13976 transcript:KYUSt_chr2.13976 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRWPVLIALLLQHPLPGTDCIRFVEAELEVVGATKGEVLHMASMAGSTPPLMCTVRDLLQILQTLEDLDGVDTPVHLCHAAKLSCCGPSFQDFGMGCVLLDMVGIKVKNFKALLVCKALVVDASLFGASRLSWASSKGWQQWTHYKIVDGPMDLLLFLQLCHGSEQKEESWEDEIENTAGKGTNEGKPSGVKHDGRMLDGQLLLTHFPVGGGGSDDLVGGDAKGRASRGWSDDYSALASFRRRSSLAQPPPSTGSGVVSEIEEPFGPLRGVAIGVQRAARRPFLQRLRKKRRRISSRGCWALMVGGYVKPSVGYDGHGDAEEAYPADGEENEGIVIFVHDEVVAGHVVEEEHVAENMGAGGVELAAVIVQLEDGNSSSYAGYQASTRCLATVNVLATKAFGASCCDASGLGSFDSYLVVTQEFIVS >cds.KYUSt_contig_1181.1079 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:7102129:7103831:-1 gene:KYUSg_contig_1181.1079 transcript:KYUSt_contig_1181.1079 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANHKVRPYLCCRLNSGKGRAAELAGYPGSIGIQRRALEKISLTAPPPSFGYSLYLPFDGSFYLSYVLLFLFRPLRPA >cds.KYUSt_chr5.20711 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134547913:134553973:-1 gene:KYUSg_chr5.20711 transcript:KYUSt_chr5.20711 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLLRSKVQGNYVSIKLEDGVEQEQEQEQEQEQGAGWGMRDIAELNLPKTTKISFPNGKDDLMNFEATLRPDEGYYLGGAFTFTFHVSASYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLNLLFSQPNDEDPLNHEAAAVLRDNPTKFQRNVQMAMSGGYGPLEFDGAGAEGAKEEGGGEDEEDDEEEEVEDEDDDEDEEGGEEEDEEGAGDDDLVEVDADNVKKKKKKKASGTRGPKWTVLEDLCLCESWATVSHDCIIGANQKYRKYWARIKVEFDERKLINNDYNKVTMKRSQKAISMRWAIIQASVNSFHGYHHELVIRADSGADVSQMGVLLAALADAASCEKTQASITKCLADVSSTFLSRDKKADERWAELLKRQEEKLELKKRRDDMSLLRASTEGMSPRTRAAHNFFKGQILNDIEAKMAAADAAAQEAAATAEQEPADASSTAIPASAYVTAAEQTEHAQADRDEVIVIDGPASTQDTSPSANPFL >cds.KYUSt_chr2.36716 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226739500:226741987:1 gene:KYUSg_chr2.36716 transcript:KYUSt_chr2.36716 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSPWRSYGSSGLLLGLHALGLSGVALIVFYGLLTGRLVCEKVNPGSASRRSEARFKKALCVIGAVAFRNYTSGWNLKWYSPYAVFISKVSGEDPYRMLAFSDLEVMAALHDGTVFNRKSSHVYFVLLFLAVVSLFGQVLVLDKKC >cds.KYUSt_chr4.11331 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68896974:68897470:1 gene:KYUSg_chr4.11331 transcript:KYUSt_chr4.11331 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDSVKRDKKKRKEGKKKKEEEAETKGQKQTFDATAENLVVERGEVEGKQSSKSRKSKRKRSDAGEASAGDQVVTREDKKRKKKHTVVLEKSSQPKNTSKGEHGEIKERVKESNESSPKFSENASAGYYQVHKTTMYLIR >cds.KYUSt_chr6.18504 pep primary_assembly:MPB_Lper_Kyuss_1697:6:116383249:116388001:1 gene:KYUSg_chr6.18504 transcript:KYUSt_chr6.18504 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRQHVAYLIETLETKELAMRRDWILALATENLQQSFEADFPDNHKEPNTYARELLEYCCHKALHAVTTRPDYLADKNLRRLMFDMMLAWEHPGAEDGLLENGLTLQNAVEIEDEDEGSIFYANSTTLAVQVNDMKTVGLRAFTRIAPSCPIIADLVTVHNLFDALTCSSGGRLHYFIYDKYLKSLDRVFKSVKGIMQSPLASSFHLNAGECILAVEGDRPIHPVLQHIGISAWPGTLILTTHALYFQSIRVDYGDKIVKYDLATDSNQVINRDFTGPLGVRLFDKAMMYKSSTLTEPIYFDFPELGEPSRRNYWLAITREVMQVNKFIRRFNLEDIQKAEALSKAILGILRYSAVKEAFHVASSHVKTTLTFSLAEKLPKGDMVLEALYNNYFQLLDTPLSHFATAAVKKTSKTHTVPFALYALSRMGFILLNRKDETEKEISFCAACVGVTKSLEAALEESFCYSERIEAARATVDQVKVEGLDANLALMQELLFPFIQVGKLIHSLTKWEDPVKSFLFLAFILYIIKSGLVNYTVPSIFILSATVMLWQKHSGEGKLLEVLEVRAPPSKNPVEQILILQEAISKLEETLQAVNIVLLKFRAILFAAVPKTTQTVAVASLAAAALVILTPPRHLLLMVVLEVYTREMPLRKQNTEKFRRRIREWWARIPAAPVQMVRPNENKKKI >cds.KYUSt_chr2.16158 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101629786:101632481:1 gene:KYUSg_chr2.16158 transcript:KYUSt_chr2.16158 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKKTKVDAVEGDAAAAAAANDRLSALPDDLLHKVTSFLRSWEVARTCVLSRRWRNLWASAPSIDLRVWCKSRHGRLPRQLARFANHLLLLRDVSAPLDTLRLLSSPTRYTPDYSYRPKDYYYDNEEDYSSSDVEMWIRAAVNTGARSIQLTQHPKDKAFADLDSVPIISCHLKHLHLSGATLHDKTLRQLSSQCPSLQVLELSKCCLDGPQISSASLTSLAIVECRIMADLSVTAPNLVSLRCVSPYHRAPSFENMGSLARGTIVLNDSFLHDKFEYKYKDIKPDVSECDASDSNHDNCDSDADNDDLRSSEGFYGANVLGGQNVICSLSNATSLELIAHAGEVILNRELEMCPMFSNLKALSLGEWCMAADLHPLILFLQHAPNLERLFLKLKMMIAVIFSHAAHTMAAELE >cds.KYUSt_chr2.25948 pep primary_assembly:MPB_Lper_Kyuss_1697:2:158785777:158793987:1 gene:KYUSg_chr2.25948 transcript:KYUSt_chr2.25948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) stress sensor, Transducer of ER stress, ER stress respons [Source: Projected from Oryza sativa (Os07g0471000)] MDESDLVEVHNGTGVKLPWEMEEFIARTPYIRDSVVTIGSKTSTIFAVDADSGEIIYKHSLPPALNESGESPVEEAPSKLDAGRSPNIIVVVRTDYSLSASDLGVHLFNWTRTSFSANYYVKHSHSDMLEQSSCLRGNIPCIRTNGVPLKLTLPDSSTANALVLLDVNKVTTRDDADALKLLGTLVNSRQAGSKSGVVLEGTQNRTVGGALGRLVSAHPQTNRSTYNAYGFLFPVLSLLVLLAWLVRWAYSSKSCRQFMSLLMKPFVREKKSIDLRGKSEGASKRRKTRKKDGMSNSTEIGSASDKESSGTGGSNETPNALPYGLDGCQIGKLRVHKKEIGKGSNGTVVFEGSYDGREVAVKRLLLSHTDIAQKEIENLIASDRDPNIVRLYGCDQDDNFVYISLERCRCSLADLIQQHTDPALSDVERIDVELWTQDGLPSVQLLKLMRDVVAGLVHLHSLGIIHRDLKPQNVLISKEGPFSAKLSDMGISKRLQEDMASLSHHGTGFGSSGWQAPEQLRHESQTRAMDLFSLGCLIFYCITKGKHPFGEYYERDMNIIKGNFDLFVVDHIPEAVHLISQLLQPKPEDRPTAVYAINHPLFWSPELRLLFLRDSSDRVEKTTETDLLNALESIGHEAFGGKWREKLDDGLVADMGRYRKYNFESTRDLLRLIRNKSGHYRELSADLKELLGSLPEGFDRYFSSRFPKLLIEVYKVILENDNNNMDDEGTEEGKDNDGDDVVDEIDAAKGKSFRTGADPKGGQSGAWTAMNFFT >cds.KYUSt_chr1.6741 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41493171:41493511:-1 gene:KYUSg_chr1.6741 transcript:KYUSt_chr1.6741 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSPAASIPSVERLVSGEICGEPHDHVHVREEEKSGSPARKKEQDDGQNKQKKSRKKLDDQKAQPSGEGNTRDAVV >cds.KYUSt_chr6.5819 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34938458:34944652:-1 gene:KYUSg_chr6.5819 transcript:KYUSt_chr6.5819 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGFSGIGGNKDRCAAADDDRYDPKQEPSENGIASPACAAASRGRKRHLAAAAARIALLVLASAALLASVAWAGSLYAGRGATAATAAASARRGYRRLQEQLVADLLDIGELAGAGGARAREADVCAPELDTHVPCYYNGSDAADVTDLGGGVVISYERQCAAAREGRVACLVPPPRAYRTPVRWPSCREFIWKDNVRISGHEFSSGSLFKRMMVEEDQISFPSDAHMSDGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTLGSHLFARNLLTMCIANYEASGSQVQITLERGIPALIGSFGTKQLPYPYLSFDMVHCAKCNVDWDKNDGVFLVEVDRLLRPGGYFVWTSNLNTHRALRDKENQKKWTTIRDLANNLCWEMLSQQDETIVWKKTNKKDCYSSRKSEPVLCAKSHDPESPYYQPLNPCIAGTRSRRWIPIEHRTTWPSQARLNSTELDIHGVHSEVFAEDTSNWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKSGKSVWVMNVVPTNAPDNLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGLLSLEKRQKHRCSTLDIFLEVDRILRPEGWIMIRDTAPLIEAARSVAAQLRWDARILDLDIASDEKLLVCQKPFLRKQ >cds.KYUSt_chr3.30859 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193557378:193562361:-1 gene:KYUSg_chr3.30859 transcript:KYUSt_chr3.30859 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNAASQDKPALPPPAPAPAAVCRDLWNACAGPVVALPRRGSAVVYLPQAHLAAAGCAGAEVPVALPPHVACRVVDVELCADPATDEVYARLALVAEGEVFERNMGGGRTEGEDDTEDGDGERKSGMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYQQIRPSQELVAKDLHGAKWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRCDDGELKLGVRRAIQLKNEALFKAFSSNSAKIHTLSSVANSLKHRSVFHICYNPRTAASEFIVPYWKFLKSLNHPFCVGMRFKIQYGSEDVNERRSGMITGINEVDPIRWTGSKWRSLLVRWEDGTDCNSQHRLSPWEIEIVGGSVSVAQSLSASSSKRTKLCPQGNLDVPSLYGNGRSDSVVADKLPRVLQGQELMGSRTHRVTCSPQPAGAAEFRRSDGTGFLTDTQSRRLPPRNSCFTYPSVGFGESVGFPEVLQGQEIPLTVPMFQGMVSDACLAKGGYGLHNYMRDSCAMSLELASATQGYALSLSTPPPAEVASPSPALVPQLWLASKNNEEGANDSHPNPLGIRKVPGDGAKLGSDGRKVARTSCMLFGFSLTEKMMPTEEDRVKEGNHETDHQNPRMLDLFGYSHATPGALHALCAAPLGM >cds.KYUSt_chr4.14284 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88002660:88006614:-1 gene:KYUSg_chr4.14284 transcript:KYUSt_chr4.14284 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFFPTCSSHKVEMEEMAKELDTLRAELESRAAEHRANSALIDGLRRETADQAARLRDAKADAERQSFELAAKDHALSTAKDLTDDLNAKLAEKDQAFRHLCAAHEGLKASHRETTNSWEAERRGLVAALEDSELKRLEQDAAVRSRDEELARVRRLLSEKEKKCSDAERRASAQREAMARDDALARLEEEKAAVQAKLKWKAEQFRHLEDALRKVRDNFNQSEKHWGSERSTLVDQIAALETSLDSKTRVAEEFRSRLEMCSQALAHEEGRRRNLEAEMADLGRKYGDVVSEYEEARSTIEVLTSKRDGEIASLRSSLAEKVTLLNEMEYCKARMGQENEDLRASLKEYQEAQIGGADAVVSLKGLREKFRALEQTHRSCTEKLRDKEKEWRTQMGKLGSELDGCLSQLGSKDMQIRQLQNELLSSYSSLELQIVENLEASIVRLAVESKFYDSCSYIDTSRLNMQQRCEKLEQNVTAARKQLEEKNCVIAQSQAEHAHQLEVMAELHGRIEQLECMQQEHEKMQKQISAYKEMLDNASRNVHCLKGEASQKENNLQEELGKALSDLNEARRHLTEQKSQLNQFETNLHQQKQAVEHLEKLKFDLETELKGYMDDNHVLKRDLDAALTSKIEVEVSLREEKEKLLGDLNEARRDLTDQKSQVRQFEINLHEQKQAVEHLEKLKLDLETELKGYMDDNHVLKRDLDVALNAKIESEVSLREENEKLLSALSEANCALLERKSELSENEITLHQQKQAVEHLEKLRVDMENELKGYVDEIHVLKRDLDHALNAKTEAEVSYREENEKLLGALNEANCALSERKSELSENEITLHQQKQALQHLEKLRVDMETELKGYVDDNHVLKRDLDAALIAKMEAEEIHEEEKRTLLFALDEANCALSERKNELDQLKQALENLEKLNVDMQVEVNSYKEENCALKRDLDAALFAREEKEKLSSMIDERERNIVELQQYINVLEEDNLGQKLDLASLIKSEVQKSILDMNNRYSEIVEIFDKKLLELETRLGFFEQKYTCREHEIMEIFDQEEADWYTLIAEKENAIADIQLIVESVQLNIKHLLEAAASKLTEVQVEVQQLYGFAENLNTLNIVQEHDSFFKDTLIAECERELEDLQIKLVLEREQSSNLKHVLEKLKAETTAEMLEKAKEHLEVVNKLKYAEERKEILEEHLGQLTSRTKDMLNVVDQERKELVDELNGITYTIGAAIHGDDDLMASLRRIMQKANIEEPPLNSSSKEIPSLEKQHMRNHGPLTKNKSASLTDRRSPLKENNY >cds.KYUSt_chr7.1574 pep primary_assembly:MPB_Lper_Kyuss_1697:7:8739203:8740195:-1 gene:KYUSg_chr7.1574 transcript:KYUSt_chr7.1574 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVNEEESETKKMTVFVTVPRPCRPDYAPDALKVVALEVSSDDTVASVKATLRNMEGIPPSRQRLVFACSALPDDDDTTLAEHGVVHSATIQLVETGMRVFVKRLDRSSIMTISGVESSDTVESFRVRVQEQDGIGAKQRQGGVGIRPARQRLLCGGTQMEDGHTLAAYGVRNEITMTLLVRWIVNYRTRSVELDMDVTDTVGRIKERVEEAEGVPVECQSLLLGAEELDDSRTLPHLIFETGTFVKIECERQERGAETNTSTKGKGEEPPVMGKEVVKRHAYGDVTGPARKKNKGIPAPQWRRFLVHLLGPELAAICPSSCDTDPVY >cds.KYUSt_contig_2097.197 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:985875:987124:-1 gene:KYUSg_contig_2097.197 transcript:KYUSt_contig_2097.197 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGSHGHRAPRLFGRERPLHAVLGGRQAADIILWRRKEVSASILGAATAAWGLFEVAEYHFLTLVCYAAMIAMVIFFLWTNASAFLNLPVPRIREIVMSERTTRQVILGLHRRLNWFVHKLYNIACGQDLKMFILTVVSLYIGSVFASCFSSLTLLYIVVFCTMTVPALYERYEHEVDHLVARGAHDFRTQVSRMDSGVLRKIPRGKGATAAHRTTTTNDINGWHRSHAS >cds.KYUSt_chr6.14292 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89329545:89332867:-1 gene:KYUSg_chr6.14292 transcript:KYUSt_chr6.14292 gene_biotype:protein_coding transcript_biotype:protein_coding MTQERTSLLPVWARTTARRPRTRHHHPLYATAEDAMEGEDADHRPSSSSPSGRSFLSGLCAAALRRKPLASRTAAAASSDGLVRQLGVLELVLIGIGASIGAGIFVITGTVARDAGPGVTISFALAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNELTAFLVFTQLMIDYHIGAASIARSLASYFIQFLELIPAVKGNVPSWIGHGEEFFGGVVSVNLLAPILLVVLTVILCRGVKESSTVNTFMTTLKIVIVIVVVFAGVFEVDASNWSPFMPNGFKAVVTGSTVVFFAYVGFDAVANSAEEAKRPQRDLPIGILGSLLVCVILYIAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHPTLHTPLHSQIWVGCVAAVLAGLFNVQALSHILSVGTLTGYSVVSACVITLRWSDKATNSRSLGSISIWQEGVLCLVTVAICGFVAGISYRFSYAIAFIVVAFLIAVVASFALQFRQVYVPVEAPRFSCPGVPLVPVLSVFFNMFLFAQLHVEAWYRFVILSLIAVGVYAGYGQYYSAPSTSDRSSVAYHGVPSEAP >cds.KYUSt_chr5.29490 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186936307:186938242:1 gene:KYUSg_chr5.29490 transcript:KYUSt_chr5.29490 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRRPPDPDHQGQGRSALPGTAALALIFVGSGVAGTWVSAVAVLGGALAAADLIFIGKRGRQKLIKMRNIIIDAKSVVIGAGLCNFKLSWSGSLLLRRCCKWCYLAMKLVTHVQRVDTVWAYNFEA >cds.KYUSt_chr4.51508 pep primary_assembly:MPB_Lper_Kyuss_1697:4:319596426:319598639:-1 gene:KYUSg_chr4.51508 transcript:KYUSt_chr4.51508 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALRAKEIAERKFESRDLQGAKKFALKAKTLFPDLKGIEQLNSTLDVYLSSEVKIAGEKDWYSILSVDTFADDETVKKKYRDLLLKLHPDKNKSVGAEGAFQMVKEAWNVLSDKTKRALYDQKRKLIVLQQKTCQPSKTSVTPGAANGFDHFAAKAPASKARANNQKTGSATSAVRQRQRPPPPPQRPPPHHQAPGPSPPPATHPTFWTSCNKCKMNLEYLKMYLNHNLRCPSCREPFLAKEVPVPPTENVHVVRDSNIGGATQESSTGKKFQWGPFSRAAGAASATASSSAAAQAANMVHQTYEKVKREREEAQGGARREDALQRKHNPLKRQANMENFNHGMDDGASGKKMKTVGKEAGVGSSSIFSGPWANYVRMPGGNIPFSANSGAFEFQGVNGVPNWRSKPFTRISLTKTFSQPDIRRILIDKMKSDLRGKLEEMRSKPFQVTLDVKASKKHVEGNETHASDDGICADPEEIGSANSTGAENKDDDPFSYTVPDPDFHDFDKDRTEKSFQSDQIWASYDDEDGMPRYYAYIQKLISLNPFKVKISYLASRTNSEFGPLGWVSSGFIKTCGDFWIGKYETIDIINMFSHQIKWEKGPRGVVKIYPRKGDIWALYRNWSPDWNGDTPDNVLHVYDLVEVLDDYDEDHGISVIPLVKVTGFRTVFQHHQDSDAIKRIPKEEMFRFSHQVPVYRMSGEEAPNVPKDSYEVDPAAISKELLQEEEATSKC >cds.KYUSt_chr7.28102 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175523170:175524559:1 gene:KYUSg_chr7.28102 transcript:KYUSt_chr7.28102 gene_biotype:protein_coding transcript_biotype:protein_coding MADYVYGPGRTHLFVPGPVNIPDPVIRAMSRNNEDYRSPAIPALTKTLLEDVKQIFKTTTGVPFMFPTTGTGAWESALTNTLSPGDRIVSFSLGQFSLLWIDQQQRLGFSVDVVESDWGYGADLAALETKLRTDSSHTIKAICIVHNETATGVTNDLHAVRKLLDAYRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRAGLDLIFEEGLENVIKRHARLGAATRLAVEAWGLKNCTQKEENFSDTVTAVVVPAYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLSGVEMVLKDIGYPVKLGSGVAAAAAYLSNSTPLIPSRI >cds.KYUSt_chr6.33248 pep primary_assembly:MPB_Lper_Kyuss_1697:6:209027918:209028806:1 gene:KYUSg_chr6.33248 transcript:KYUSt_chr6.33248 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGIASHGSMVPVQGQHHMYQFPYIPSGYDQHGQYSSQFQQLPVQTLALQNVYAPPHQPSVSALPLQQQGQPSVPPVDCKVKHYCYICDKKAHPTARCPVLKSPIALVVVTGDVVPADVIARQVARRCSDCPNSKWEAVPHVDMQFLVSVPSFEDLDRVDGIQVGVPSFSSSISISAWRSDEVPHKAELEKVWLHVEGMPHTLRHFLGLSAVGSLLGKTVDVDLIS >cds.KYUSt_chr2.8354 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52615157:52621947:-1 gene:KYUSg_chr2.8354 transcript:KYUSt_chr2.8354 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRAVDPSRPASWSAAVADLLSSGDPVAALAVFAAALRANPAALRPALPPAFRAAAAATSLAAGRQLHLLALRSGLFPSDPFSASALLHMYHHCRHPLDARKAFDEIPSPNPVIITAMASGYARNSLFYPSLALFRALLVSGSSMAVDEAAALVAFSASARVSDRGITSSLHALIAKIGLDVDAGVVNTMLDAYAKGGGRDLGAARKVFDTMDKDVVSWNSMIALYAQNGLSAEALRLYGNMLNVGGGIRCNAVTFSAVLLACAHAGTIQTGKRIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMARKAFEKIKEKNVLSWSALITGYGMHGHGQQALDIFDEMRRSGQNPNYITFISVLAACSHAGLLDKGRYWYKTMKKKFGIEPGVEHLGCMVDLLGRAGCLDEAYGLIKEMKIKPDAAIWGALLSACRIHKKVELAEISAKRLFELDATNSGYYVLLSNIYAEAGLWKDVERMRVMVKARGIEKPPGYSSVELKGKTHVFYVGDKIHPQHKEIYSYLGKLLETIQEAGYVPNTSSVLHDLDEEEKESAVRIHSEKLAVAFALMNQVPGSIIHCQFALLRLELAQLVANRVEEVSRPLREEVASLKLLLKHVLMLLWSRRRRALLADRSLPPCRLRFRLASEQKLSMVEIMPKLHELCAESCVVPELLELGGADVMPPPVKEVRHVVPIDVGVAKSNLLATVPGGVVAREVYDFLATLVATYLGSAVD >cds.KYUSt_chr5.37372 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236286444:236290196:-1 gene:KYUSg_chr5.37372 transcript:KYUSt_chr5.37372 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPSSWPDRVAQFGREAVGWCSTPARCLRSSGVARSGDSSYATRCKMGVSSEEGNGSGLRPGRRCSPESYAADEVPDARRLCVKLLSSSSSGRSEVQLAGRASMSCFFGDSISGTSLFLEKHSQCSTATATDAVRGVRSTTTPPASASAGLNADHGNPSAQCTTASCFRPDGPRSAVPSELHGECADAAGGRGDQHVLCGAVAGVEADPAVPSAMSAVAAAIGMHAPSTADTSGGLNAAFDADTTAYSAGPPLPRDHQKTSINNQTGAGASRRVLAFLTGKLTAMILSPGSKPLTPAPNSTTSPASSQTGVKGNLLGREGPPDALPEPPIQRVDAGGLHPNRAARLSSETAPAPPRA >cds.KYUSt_chr4.25645 pep primary_assembly:MPB_Lper_Kyuss_1697:4:161137530:161141216:-1 gene:KYUSg_chr4.25645 transcript:KYUSt_chr4.25645 gene_biotype:protein_coding transcript_biotype:protein_coding MSGETGYPARYSAHASARVRLLRLKPFAAAILRDDEDDIGEGGGDLGAATGWSGERLRRTSDAAHIRGSYRWLHQRLRPPRAFARRELQYHRDREASRSRAPPRCATSSAPASRRLAFSEGSSSSGPPPTPRFNPADYAADEDIAAIAARLEMEAPALKPGDFVPEAALGTVTKLVEEKSARDADRVQEWRREQVAQDECYIELDSD >cds.KYUSt_contig_1163.169 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000111.1:1024851:1033460:1 gene:KYUSg_contig_1163.169 transcript:KYUSt_contig_1163.169 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIVELGVVPSALDPLIIYRDNMGAIANAQEPRSHKRSKHIKLRYHSIREYIEDGEVKICKVHTDPNVADPLTKALPRAKHDQHRMPWVLARAHCRFLSPLATTSSRTSLSPTPPTSRRRLLLSSTTSTICAAAMAASSKAGSNPFKVVDSHLHVWASPLQAAGEYPFFPGQEATLRGDVDFLLECMDEAGVAGALIVQPINHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAVRFNPNLWPSSQKMTNEVGRSLFAKAGELGAPVGIMVMKGISSYIQEIEELCMDYPATTVILDHMAFCKPPTNDDEEKAFSSFLNLSRFPQVYVKYSALFRITRESYPYEDTSRLLSRAISSYGANRIMWGSDFPYVIPECGYKGAKEAISHVAGKIAVSTSDLEWILGKTVSQLFQGAWVTP >cds.KYUSt_contig_1253.568 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3437272:3443216:1 gene:KYUSg_contig_1253.568 transcript:KYUSt_contig_1253.568 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAATTARRPLQKAASVLRWLHLLGADIVAYALLAARWAWAWAVGVGTATPSPRRLKQEAVAALRCLYFLMTDIVVYGSLAALWAAAVGGVLPEILGRWVCGGEDSAVTAAAEAVLKASKFVLVRFFPGFIMQFAIRFMEFAQFEAKERRDKDDFEASDSGVKNEARQNRVTLDIDMNRLMHDNWIPCGNCLDVHLPARILRGSTSSCRRCRSRLSHCPAKGEQNELAYREGNALAARLHGYTFASHGIRLPASPDGFIQQADGNS >cds.KYUSt_chr3.549 pep primary_assembly:MPB_Lper_Kyuss_1697:3:2927643:2928950:-1 gene:KYUSg_chr3.549 transcript:KYUSt_chr3.549 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLAEIRRSQRADGPAAVLGIGTANPPNCVSQEEYPDYYFRVTNSEHLTYLKQKFKTMCQSTDTEKRFFHHTEDLLDAHPHFLDRGQPSLDDRLMITAAAAPELAASAAAKAIAKWGRPATDITHLILSTNSCGHAPGADLRLASLLGLRPSVVRTMLQLNGCAAGSASLRLAKDIAENNRGARVLVACVELTIVAFRGPEEAYPHTLVSQATFGDGAGAVIVGADAVHHFERPLFEMVSVSQTVIPGTDHVLTMKLTEAGLDGHLLRRELIPIAAENIERCLSNAFGQLGVGVEWNDLFWAVHPGLRAILDHIDGALRLEPGKLAASRTVLREYGNMLGATVIFVLDEQRRRMEEDGEGADWGVMVGFGPGFTIETMVLHATTSLRKKY >cds.KYUSt_chr2.30111 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185436310:185437117:1 gene:KYUSg_chr2.30111 transcript:KYUSt_chr2.30111 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGRKTACVTGGNGYIASALIKMLLNKGYTVKTTVRDPDDMEKNSHFKDLHALGTLEIFRADLGSDGSFDEAVAGCDYAFLVAAPMDMNAENPEASSNFANHGCIY >cds.KYUSt_chr3.27191 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169727127:169737540:-1 gene:KYUSg_chr3.27191 transcript:KYUSt_chr3.27191 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQGIGLKHAAAAPPGAGGRRARRGHPAASARSTRQPQGGGASLQGGGFVAGAQQRAEDRVGPRPPRAAARDAEVVRPMSKLPESSIGLYDPAFERDACGVGFVAELSGDDNRATVTDAIQMLERMAHRGACGCEKNTGDGAGILVALPHKFFSEVTKDAGFELPPPGKYAVGMVFLPTDEKRRERCKAEFKKAAESLGHSVLGWRQVPTDNSDLGESALDTEPAIQQVFITKSSIAKADFEQQLFILRRLSGVSIRAALNLKRGGKRDYYMCSLSSRTIVYKGQLMPSQLKGYYYADIGHERFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLKGNKNWMKAREGLLKCEKLGLSEEEMSKILPIVDATSSDSGAFDGVLELLIRGGRSLPEAVMMMIPEAWQNDVNMEPEKRALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVVMGSEVGVVDIPAEDVLRKGRLNPGMMLLVDFENHTVVDDEALKAQYSKAHPYGEWLKRQKMYLKDIVESVPETDRVAPSISGSFTQKGENKEYVGISGIVNPLKAFGYTVEALEMLLLPMAKDGVEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLEITEKQCNRLALKGPLVSVDEMESIKKMNYRGWRSKVLDITYPKKSGRKGLEETLDRICAEAREAIREGYKILVLSDRGFSSNRVAVSSLLAVGAVHQHLVANLERTRVGLLVESAEPREVHHFCTLVGFGADAICPYLAIEAIWCLQSDGKISPTDSKEELVKKYFYASIYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIHKCFEGTPSRIEGATFEMLARDALRLHELAFPSRAPPPGSADAKALPNPGDYHWRKNGEIHLNDPLAMAKLQEAAKVNSREAYKEYSLRIQELNKACNLRGMLKFKDIANKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHTALAVAMNKLGGKSNTGEGGEQPSRMEPLPDGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPQARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMAHLGLRSINEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPASEIRPGAAQYCVEKQDHGLDMALDNKLITLSKAALEKEVQVFIETPIKNTNRAVGTTLSHEVTKRYHMKGLEPGTIHVKLTGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSTFSAENNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGARTVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDVDGTFSARCNHELVDLYHVEEEEDVTTLKMLIEQHRRLTQSVLAKDILSKFDSLLPKFVKVFPRDYKRVLEEMKAEKAASRHKKEPKVANGVSVTTKKIQMEQSTNRPTRVANAKKYRGFISYEREGVSYRDPNERVKDWNEVAIESVPGPLLNTQSARCMDCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLHRTGKKIAIVGSGPAGLAAADQLNKMGHFVTVFERSDRIGGLMMYGVPNMKTDKIGVVQRRVNLMAEEGITFVVNAHVGSDSLYSIERLRSENNAVILACGATKPRDLTIPGRELSGVHFAMEFLHANTKSLLDSNLEDGRYISAKGKKVVVIGGGDTGTDCIGTSVRHGCSSVVNLELLTKPPTKRAADNPWPQWPRVFRVDYGHQEASTKFGKDPRTYEVLTKRFIGDENGKLKALEVVRVKWEKVDGRFQLKEIEGSEEIIEADLVLLAMGFLGPEATVAEKLGLEKDNRSNFKAQFGHFATSVDGVFAAGDCRRGQSLVVWATTEGREAAAAVDKYLSRDEQNAAGGITTSGAGLVQPIAA >cds.KYUSt_chr3.13770 pep primary_assembly:MPB_Lper_Kyuss_1697:3:83158982:83160007:-1 gene:KYUSg_chr3.13770 transcript:KYUSt_chr3.13770 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPGSLLRSTCNNNTASTSSSSCSAAVSSAADGVTASKPAPSSACSSSRALLAADSAVSRDRESFLTSSRRDYAVLVGRTESFSNALDRVHRRAGSVGAAQPLVPSRFSMHASPLMESEKKEKEKSPLYCHPRHRHRLGGSAKSDKSKKLLSSNPYGFSSSNDDDADTDGDDVFSSDADERGRGGAKKGEAEAFFSSSRSFSFSSDSSEFYTKKKKPAKKSPAAVAPKPPVPVAQRTRRQRRKHRRAASSCDTCGVKEGFRPVVSAAEEQVRRGFAVVQRSRDPYADFRASMVEMVVSRQMFGAAELERLLRSYLSLNAPRHHPVILQAFSDIWVVLHGG >cds.KYUSt_chr2.49535 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310017963:310019725:1 gene:KYUSg_chr2.49535 transcript:KYUSt_chr2.49535 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRSASQRQVHLSEAMKSHRKFEFLHILLQFCTRVRNRTSRQLAVLPPLRSSPLPLSRCPAPSLSIYSLLESAPGPSECSAKQRHFHSMAAGLSGAPMTGFAAVKNPLLAARCRTLPPRPLPFSPFTRTPRRRGLETVTCFVPQVGQAPAPEPATVPVPVPMPSPSLEEEAALAASRRVAERKARKQSERRTYLVAAIMSSLGVTSMAIASVYYRFAWQMEGGEVPMTEMLGTFALSVGAAVGMEFWAQWAHKALWHSSLWHMHESHHRPRDGPFELNDVFAIINAVPAIALLAFGFFHRGFVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHMDKFEGVPYGLFLGPKELEDVGGLDELEQELARINRTRSI >cds.KYUSt_contig_662.105 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:587158:589592:1 gene:KYUSg_contig_662.105 transcript:KYUSt_contig_662.105 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQPPAPAPALTTATALGDNLLREIFLRLPDLPSLVRAVFVCRAFRGAVRSSPAFRRSFRALHAPPLLALFLEHNMEAVPVFPSRSCRSDPGLLAADFFGIRLSRHGHPPRHRVGDPILESERQRLPGPRGYRRSYAGCSLQPADAGLSIYQPYTHYEFHTLFCEDGQVPYRVVCVRHECRSAESTAIVFSSDTMEWQISQKATLRLREPDTGWYGLLLRMFDTFRMV >cds.KYUSt_chr6.23692 pep primary_assembly:MPB_Lper_Kyuss_1697:6:149776049:149778589:1 gene:KYUSg_chr6.23692 transcript:KYUSt_chr6.23692 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAADEAATAFVATSLDTRIAVSFTASDTTVADLKRIVSDEHAASFPHIGRITVESFKVPCLPAISSVRRRGSWYHLADSVALRTAFHGVKGAWHLQAHVLPHPAPPSSGNDDMIHRVLCSQQNAVGAVPAAAQGALSYAPSMDRQEDGHDRIGDASALTPHVKRSSTVEGGGYAMRGGNSDADAADPVRGQRAGVIEDPRGKKNFREGDGDRDQKLLVDCDGQNKCRDNSMSKRICAREDESFNEVDADSAGGGGAVDAHSSHDDMLEDEGASCQHDEGLDSVEKKEEAVSTRPADEVESSVQLCTHETMSITDDKASQLCFSVNKNVSTPATEQHADEGMAQGKLVEQQDHLDKDDKVQKGDNSKDTEAIHSEYVSDSHQYEGPSRVFDLKCRRSSKSEILTDQVAGHNFVGSEVEVTPIERASQENHDRSVKRHGSVSATVFGPSTPNLKLSEMKVNSTELDYHRSNPLPHDLDDCARSLREKLVCGHKEPWLAEVCNSASSKKGRDLPPCAESTEEGNSSEKEAMARRVKGKELWTAKVCNGEMSSRGTDHPPRAESTKQYNPSEKEMTAHEVVEKEPWTGRICKSERSSIKGFDHPLCAGSMRAGNSSEARQSTRTVTLVDMDGTKWEMQLDESFELGPQESSSFTWSEKENSSSDAKEIQSPSAKPRQNVEERPQGAPENGGTRVPIERSNLLRHGSFKTPASSDRMVSKHAGATSADGAEGAWDKRRQPRQVVRKIPNSRAAKIYGYR >cds.KYUSt_chr3.37498 pep primary_assembly:MPB_Lper_Kyuss_1697:3:235745081:235761951:1 gene:KYUSg_chr3.37498 transcript:KYUSt_chr3.37498 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFAPLFKKAVSDSNAPVQEKALDALLAFQRAADADVSRYAKEVCDAICAKCLTGRPKTVEKAQAAFLLWVELEASEVFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELSNVSGIARPTRKIRSEQEKELEEEAVPETTGASTCDDAVPDAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFNEVSRTLKKLVTDVNLAVAVEATQAIGNLAKGLRTHFSGNSRNLLPVLLEKLKEKKATMTEALTQTLEAIHKAGCITLLDVIDDIRVAVKNKVPLVRSLTLNWVAFCIETSNKATVLKLHKEYVPICMECLNDSTPEVRDSSFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSATDTVLSSGTAPASTSGAATSAREVTDSSSMRRSAASMLSGKKTVHAVAATKKSGPAKSTGTKKTDGGQQSKASAAAEVEDVEPAEMSLEEIEERLNSTVKTETISQLKSTVWKERLEAIGILKQDVENLTELDKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTVKKFLKRCVVLCLLGISEKVADIKTRAPAMKCLTAFCEAVGPGFVFDRLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDIIDFCKDTGLQSSAAATRNATIKLIGVLHKFVGPDIKGFLSDVKPALLSALDAEYEKNPFEGAAAPPKRTVRALDTASSSSGASSDGLPREDISSKITPTLLKNLGSPDWKVRLESIEAVNKIVEEAHKRIQPTGTADLFTALRGRLNDSNKNLVMATLSTIGGLASAMGPSVEKSSKGILADVLKCIGDNKKHMRECTLTALDSWVAAAQLDKMVPYITVALGDQKCGSEGRKDLFDWLSKHVSKLSDPAESLPLLKPSASSLMDKSSEVRKAAETFMNEILKICGQAVVAKNLRDLPSPTMAIVAERLKLSNVHEGLSDSVKMVTTSISLPSKGGLKNAKHGPNDRGSNVGKAASQRGVPARASVTMISSQDSLQSQALFNIKDSNKEDRERRVLVRKFKFEEPRREQIDELKVDLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVVELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDGLKDQSYMLTEAEAAIFLPCLIEKSGHNIEKVREKMGELIKQMMNIYSLPKLLPYILEGLRSKNNRTRIECVDIIGYFMDNNGTEVGGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRFVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDVAEQSGELVSRSIAGSMTSRENFGYADAHMVPRQMATAAAGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEADRLVSCLSVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPCPTPSESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSVHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNNANPSVHSTDAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQIGMSDALHDAGLTFCYPRVPGQPILAEFSPV >cds.KYUSt_chr7.18300 pep primary_assembly:MPB_Lper_Kyuss_1697:7:113390685:113392548:-1 gene:KYUSg_chr7.18300 transcript:KYUSt_chr7.18300 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGVLVVLLLGLLLGLAGSSPPPSPVACTRGTSDCMVTNVYGSFPDRTICRAVNATFPRTEQELVAAVAAAAAVKRKVKAATKHSHSFPKLACPGGRDGTIISTERLNRTVSVDAASGLMTVESGMVLKDLIQAAAAAGLALPHSPYWYGLTIGGLLATGAHGSSLWGKGSAVHEYVVGMRIVTPAPASQGFAVVRELAVGDPDLDAVKVSLGVLGVVSQVTFKLQPMFKRSVTFEKRDDTDFAAQAAVWGNLHEFGDMAWLPRQGKVIYRTDARVPVSTPGNGLNDYLGFRANPTLALITARASEEHLEEDGSDIARCLAARLPPSLFELQAYGFTNDGSFFTGYPVVGFQNRIQASGTCISSKEDGLLSSCTWDPRIRGPFFYQSGFSIAVSKAPAYIAEMQKLRDLNPRAFCGLDAKLGVLLRYVKASSAYLGKSEDSLDFDVTYYRSYTEGEPRSHADVIDEIEQLALKKYGAVPHWGKNRNFVFDGAIAKYPKAAEFLEVKARYDPDGIFSSEWSDQVLGVSGSPNVVGKGCAIEGLCVCSDDSHCAPEKGLFCRPGQLFAEARVCSTRPAASGLRDEL >cds.KYUSt_contig_1658.162 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1004599:1005164:1 gene:KYUSg_contig_1658.162 transcript:KYUSt_contig_1658.162 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSYTDDSGELAPSRIMEVYVAEQNLLDSFAKRLVMKIKARLGDGLSRRRYGKRKRIRRDHRGAHERLVEDYFAEQPLYPETMRMLAYGTPADALEGARKDVERAFGVLQSRFSIVRRPARFWKDNASLCDSSQYDSRR >cds.KYUSt_chr4.54700 pep primary_assembly:MPB_Lper_Kyuss_1697:4:337855580:337856034:-1 gene:KYUSg_chr4.54700 transcript:KYUSt_chr4.54700 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPTSDSTFAFRLFSTLSALTRRGACAASWTAHCTLLRLLQRDKLEGALHLVDSISGGGKHSRQLSVPHLAEGVEAASSKFEDVVRPSWANDHVVCEPDLLKL >cds.KYUSt_chr1.38063 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232406195:232409864:1 gene:KYUSg_chr1.38063 transcript:KYUSt_chr1.38063 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTAGRAKTRYVPDLRLPGFPLRLHPYKLAQSPPREAKPTTGEGERSICTSDHRLVAKAKREAAMKYDDARLLCALGLVLLCVAANFQGAHCFGFSGGHGGGGGGHASGGGGGHYGGGSSGGGRSSGGGGARPVVYGAGAGAVAGAGAGAIAGRAWSDPYSAAGEPRGRGVSGARITTWLIGPRRLGPVHVNNSTRFPHIPPGCAARPSRRPGRGIGPPLLASVQNSRLLLPASPAAVASSAHDAPSASRPSRTHAFESNSCSRPTSSRLLPAHKTRAAERNQHGLANEGAEPQIDRLIISVRRSQERGETAMRGQARLLCALGLVLLCVAANFQGAYCRGGGGGGGGHGGGGGGHGSGGGAHEGGGWGGGGGARGTGWWPHRHSAAGETHGRAAWTVSGATATVAAAALLRWL >cds.KYUSt_chr7.16948 pep primary_assembly:MPB_Lper_Kyuss_1697:7:105020244:105021821:-1 gene:KYUSg_chr7.16948 transcript:KYUSt_chr7.16948 gene_biotype:protein_coding transcript_biotype:protein_coding MANCRTLALVLLFCSFASSWEVAFSYFQAPAVKEDFLGCLVKEIPPRLLYAKSSPGYPSVLGQTIRNSRWSSPDNVKPLYIITPTNTSHIQSAVVCGRRYDVRIRVRSGGHDYEGLSYRSLQPENFAVVDLNQMRAVLVDGKARTAWVDSGAQLGELYYAISKYSRTLAFPAGVCPTIGVGGNLAGGGFGMLLRKYGIAAENVIDVKLVDANGKLHDKKSMGDDHFWAVRGGGGESFGIVVSWQVKLLPVPPTVTIFKIPKSVSEGAVDIINKWQLVAPQLPADLMIRIIAMGPKATFEAMYLGTCKTLTPMMQSKFPELGMNASHCNEMSWIESIPFVHLGHRDSLEGDLLNRNNTFKPFAEYKSDYVYEPFPKSVWEQIFGTWLVKPGAGIMIFDPYGATISATPEAATPFPHRKGVLFNIQYVNYWFAPGAGAAPLSWSKEIYNYMEPYVSKNPRQAYANYRDIDLGRNEVVNGVSTYSSGKVWGQKYFKGNFERLAITKGKVDPTDYFRNEQSIPPLIRKF >cds.KYUSt_chr7.39548 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245830364:245834581:1 gene:KYUSg_chr7.39548 transcript:KYUSt_chr7.39548 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKEAAAEDAGGGEGSSRSEGGGELAETLARRRLYREVTLALRAGLRDARAEFSFLRARGLRSLLGFLRATASAPGDSQLLLFRHSQSIPDLQVITVLFQNSLHEAKEDPVLTLDHIFGVEPIKITSPPTDSEIALALRVLEGCCLLYSRCTALAHKYKAVKVLLNILASRGPTEQGVCLDALISLMLDSPSNQIEFEECSGLEKVAELLKDEQVEEHIRLKCGEFLLLLIGHVYAKENTPIHDQMRNLLGEQCASLIWAASRFGSTLDPEQRQMALQIQARRVVESLEPY >cds.KYUSt_chr4.47355 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293030118:293031689:-1 gene:KYUSg_chr4.47355 transcript:KYUSt_chr4.47355 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQLNVLKALDQAKTQWYHFTAVVIAGMGFFTDAYDLFCISLVTRLLGRIYYTEAGSNEPGHLPANVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHEAKGVIGTLCFFRFWLGFGVGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGFGILFGTIVTIVVSSAFRNAFPAPPFYIDAASSIGPEADYVWRIIVMFGTIPAALTYYWRMKMPETARYTALITRNTKQATADMSRVLNKDITEEEEKVQLQVASGDTWGLFSRQFMSRHGLHLLATTSTWFLLDVAFYSQNLFQKDIFTKVGWIPPARTMSALEELYRIARAQALIALCGTVPGYWFTVAFIDIIGRFWIQLMGFAMMTIFMLAIAVPYDYLVKPGHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGVSAAAGKAGAIIGAFGFLYASQDQKKPDKGYSAGIGMRNALFLLAGTNFLGLLFSLLVPESKGRSLEEISKENVGDDGTEA >cds.KYUSt_chr2.49957 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312487228:312489842:-1 gene:KYUSg_chr2.49957 transcript:KYUSt_chr2.49957 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQETATSDDQNLMGDLGRPMNPSDMDALAGARFPKARKPYMITKQREKWTEEEHKLFLEAMQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVIRESSGDNSSGAGAEAPIQIPPPRPKRKSVHPYPCNRRSAPGKHAHALAQLQRPDRQVQSVCEQGNGSPTSVVTASQIGSECSDSDTSTIDIEERCPTPGVDTAEVAVQVPPTDVRQGSTSSEEVVCGTSEAPQIKLFGKTVAVNNSHQQPEPSTGNLQAVADMELDTSAETPTSGAGNPGGPQANAWSPWMANAQQFMYYVPQGAVFFSYNGGSVHQPSEAENKHREASRAESNTASTSVPETTTRNSAESCTEADGGDDKMAPAAGFRKFVAPSSVHQRGFMPYKRCAAESKVLQPQAVSEEADGDMTRLCLAQPFDVQPRLDIGTTGSWKRVEMPTTDVQPRPEATRPREALGGRKQAAAERYRRIAGPGDQTMRVPDTD >cds.KYUSt_chr2.40661 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252643800:252646716:1 gene:KYUSg_chr2.40661 transcript:KYUSt_chr2.40661 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPPHKSPLQENPEHPRPCRRILPRLLRKIIFSSSKNLQRYPRSASRAARTCRDSAKTRKQDQLPEQQEPAEILQRLGSKISFPSSKNLQEPGATMLMLRLRSKSSNTRKNFQEPGRIMLMPRLRNKNRVCTSFRARKSNIKIAPRDQIHSPDAAVSASRTADVPHTCPPVNKSKHQEHMLLSIVQGAPVELIPRERFFNPKSLPNWLYNKELQSFKSYFQVSNLTISVKCQLYVISTFDHENPATPSNERSWMQEAGVETVSYSKANRKKARAQRTARSFLLINLGIPKILKQLLNSSHVLGNEWSAINVLADSAKLVARRKYVLATDNETIAVLLIRLLTGRNGHTKLKLLDLLATLAKVYESKVLIAKLGGFRILTKAMLLGDTNLDIKRKTIQLLSSLCEAKENIGCFLKDAVGEAVLQELGRHGAQLIEEFSVLASAVRSPIILGRFINSIHILQEGPRASEVHHTAISLIQIELDGLSDESLYGTSTGWDW >cds.KYUSt_chr2.37397 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231618594:231635784:1 gene:KYUSg_chr2.37397 transcript:KYUSt_chr2.37397 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDVDEDELLQMALQEQAARDLSHQRPGAANKPVVNLVRPPARAANARAAKPSRGGDDDDDSEVEMLSISSGDEDAAPARDRGPRRPAGAPAPARAGPPRGTTAISTTPSPGAGSASTRPSLLAEFGRCVKQGQRLVFKQLNRKQLQLRRRSQVYRLYLEEWSIMDNKSLRLITDASVSSPVSREKSQGLDPSMRDKVIYSSPNFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEDDPEGAGTTHLCSVTQKISGVANRAFQPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRSNIKKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVENVMHDFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNGRILGLFEKCTLDHEARMEVLQNKIREKMLSHSKWRQLQQESNKSLEVDSGIGDSFQDDQLSSNFMAEEADSLRASYIRRLTAVLIQHVPAFWRLALSVFSGKFAKAAAGNVLADSDMNTKSGANRTDDKGVEAKYTNHSIDEVASMVHATVSAFDTKVQNSFRDFEECNILRPYMGDTIKEIAKACQTLEGKDSSPTAVKMLHALHFEMTKLYILRLCSWMRATTKEVSKYETWITLSTLERNKSPYAISCLPLEFREITISAMDRIELMIFNLRNESAKYDVSQQLQEIHESVRLAFLNSFRDFAGYLGKFGEELAQSRSNKENNHVQNGYINGTDREASASVDGDLHKKLLVVLSNIGYCKAELSDELYAKYRHIWSPVRDNDERSADTRDLMTSFSGLEDKVLDQYTFAKSNLIRNAAQNYLLDSGMHWGAAPVVKGIRDATLDLLHILVAVHAEVYSGARPLLEKTMKILVEGLIDIFLSLFYENKANELRMLDANGFCQLMLELEYFETVLHTYFSTEAEQALKSLQENLLEKACESVAEALENPGHNRRPTRGSEDAASDDKGSSVSPDDLLVSTQKTAA >cds.KYUSt_chr7.21519 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133449552:133452836:1 gene:KYUSg_chr7.21519 transcript:KYUSt_chr7.21519 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKKRPARIEPFRHKVETDPKFFEKSWKKLHDAIREIYNHNASGLSFEELYRTAYNMVLYKHGPALYENLIQTMTEHLQEMRRSIEAAQGGLFLEELQRKWDDHNKALQMIRDVLMYMDRTYIPSSKKTAVFDLGLELWRDTIVRSAKIHGRLLDTLLDLIHSERMGDVINRSLMRSTTKMLMDLGSSVYQDDFERPFLEVSASFYSGESQQFIECCACGEYLKKAQRRLDEEAERVSQYMDAKTDEKITAVVVKETLTNHMQRLFLMENSGLVSMLVEDKYEDLTMMYNLFRRVPDGHSTIKSIMTSHVKETGKSLVTDPERMKDPVDFVQRLLNEKDKYDNIISISFANDKGFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGMKDANEEDVETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLLSGRAASDDSERSMLVKLKTECGYQFTSKLEGMFTDLKTSQDTTQGFYAANPSDTGDAPLISVQILTTGSWPTQPCSTCNLPPEVLAVSEKFRAYYLGTHNGRRLTWQTNMGSADIKVTFGNGSKHELNVSTYQMCVLMLFNTADCLTYRDIEQATAIPPVDLKRCLQSLALVKGKNVLRKEPMSRDISADDSFYVNDKFTSKLFKVKIGTVAVQKESEPEKMETRHRVEEDRKPQIEAAIVRIMKARRVLDHNSIVTEVTKQLQPRFLPNPVVIKKRIESLIEREFLERDKVDRKMYRYLA >cds.KYUSt_chr5.19234 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124668545:124672263:-1 gene:KYUSg_chr5.19234 transcript:KYUSt_chr5.19234 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEIAEVKAEAAERAQARERLAAARVEIFDARAGLAEARAAMAAPPADVVIHDITDNNDDNVVPARFRCGGDQLVASFETLAGDALCRQARAVEEEAHSYAVPMARGYMCSDLDSLHRRGHSPARVEQENRELADAIAARDEAVAEAARDRVHFHAQLAALQAADIKAAQAEAAAQAEAAAVEAANSMARRVLWDSSLARPSNAAGGRTRCPIAGVRGARTLTLLARRLPDDEVAGASCLDNRVESSSSSFVSAPVFFDFGEPNADANPSLKTEDVLNLSEKVEGFHEELDCTAPGKSDFTDGTVLDTKDEETELGRLADDVSKEDCTLLKMPLLCKFIKILLDREPFTERLVDGAESCKPANAKLPV >cds.KYUSt_chr7.29106 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181066274:181072124:-1 gene:KYUSg_chr7.29106 transcript:KYUSt_chr7.29106 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSRTMQKLKLSALYSFALCAKGSTEDQSKIGTAGFSRVVYANDPDRHEAEGFRYPRNEVSTTKYSLVTFLPKSLFEQFRRVANFYFLVSGALTLTKLAPYSAVSALLPLFFVITATMVKEGVEDWRRKQQDHELNNRIVKVHRGNGSFEETKWKYIKIGDVIKVEKDNFFPADLILLSSNYPDGICYVETMNLDGETNLKIKQALEVTLDLQEDASFGNLKPIIKCEDPNANLYSFVGIVEWKGMQHPLSPQQLLLRDSKLRNTDYIYGAVIFTGHDTKVMQNATEPPSKRSKIEKKMDKIIYVLMFCLLAIATLGSVFFGIWTKDDLKNGKAKRWYLRPDDSTVFYDPKRPALASFFHLLTALMLYNYFIPISLYISIEMVKILQALFINRDIEMYHEESDKPTHARTSNLNEELGQVDTILSDKTGTLTCNMMEFIKCSIAGTAYGQSVTEVEKAMALRKGVLLDDEIEPGGHKEKQIDESPHVKGFSLKDPRIMDGNWIHEPNKDVIRDFFRLLAICHTCIPEVDETDKVSYEAESPDEAAFVIAARELGFEFYKRAQTSIVIRERDPNQHVVDYQYRKYQLLNVLEFSSSRKRMSVIVKEPEGKILLFSKGADSVMFRRLAPAGKKFEEETKRHINEYSDSGLRTLVLAYRVLDQEEYEKFAAKFNTAKISGSADRDEKIEEAADSIEQDLLLLGATAVEDKLQKGVPECIDKLAHAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIITLEAPDIIALEKNGDKYSIAKASKQSVMDQIEDGIKQVPTSSQSSTESFALIIDGKSLTYALEDDVKFKFLDLALNCASVICCRSSPKQKALVTRLVKHSNKVTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDIAIAQFRFLERLLLVHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSSRLCLQDQAFRQDGQVAGLDALGAVMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYLFLLAYGAVDPKYSTTAYMVFIEQLAPSLSYWLVTLLVVLATLIPYFCYAAIQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRQQSSSHPRMVGISVRRDGKAMEVDKGN >cds.KYUSt_chr1.18317 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107051080:107072007:1 gene:KYUSg_chr1.18317 transcript:KYUSt_chr1.18317 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIHQDAVPFVGTENSWMAGLQEQRFEELFGGFFFDEDALSEDISLDGLEQELEEHKNYDVLISILANGEKQRDMATMVEGNLGDIEQDLIQVHIGSISSEIRSLQEKSLDLGVRLNNRKLVETKLATFVEEIVAPPGLVNVIIDGEFLIAPLKDIKQELERLRRKALSKVSNHITEIFSSMRKPGTNIQILQQNLLQKYRYLVFFLKEHGLETYNDVCAAYVDKMNKVLSVDFRVYVEALEKLKLDIGVSSDVIGYDTNIVDLITKGREQLRNHRFMFSLGERSNILKEIDQPGLVPRISEANSLKYPYEVIFRSLQKLLMDTASSEYLFIEAFFGEESLFYRVFEGPFTVINEHLKVTLQNFHDAVCLMLMICITKKHQLVMSSRRLPCLDTYLDKVVIYLWPRFKTVFDMYIHSLYQCDLKILWVDGTHPHHIVRCYVEFTASLVQLNAECGDGQLDMSLERLRLAVDDLLLRFSGKFATPKLRHLFLLNNYDMSISILKEAGDDAKKLQIYFEEKLENSLVSFVDELLMEYFGDLIKFVKSHISEDLISYTEPPKIADVEPVVKNFAVKWKTALELMHNEVVTCCSNLLSAWGPSNPPGSLGLIISVHSSLLIGEGKYKLAGAYGLEAQRLEQGGGSSHGTSTEENGKWVWLKGAKKRAVKKWTNHDMPSLRAYA >cds.KYUSt_chr1.23900 pep primary_assembly:MPB_Lper_Kyuss_1697:1:142368035:142369072:-1 gene:KYUSg_chr1.23900 transcript:KYUSt_chr1.23900 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGAQVGVFAACVVLFVPMGLAGWHLSRNKVLFFSGALFVSLAVGVHLSPYLPSLPHLLIASFHPLHPASPSSSSSASCLSFLHRVTWSPASPATARAWSWPPSLASTCGFARLTRHDASTLLNGSWIMVAGDSQARLLVLALLRLLLDPAAAAAAEPDLFRRHSDYHAAVPARGISVDFIWAPFEANLTRLLREDLRLAPRAPDVLVLGSGLWHMLHATDASRYGDALAAIADAANSLRSSLPVPPPPHMFWLGLPHLVNPMLNTEAKRARMNSTVLQAYDREVDERCILRRDGGPFRLLDVGKLTRGCECTPDGMHYDGVVYDAVMHIMFNALVIESQQRI >cds.KYUSt_chr6.20431 pep primary_assembly:MPB_Lper_Kyuss_1697:6:128696648:128698374:1 gene:KYUSg_chr6.20431 transcript:KYUSt_chr6.20431 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSQMREGRAKRRARMQAAKAKNDDEAGTPIGLFTVQLDSLQLRKLLETQFLCVHHPFASVYTAETGIGTQRDGDELISVPPRITDN >cds.KYUSt_chr5.9868 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63105369:63108819:1 gene:KYUSg_chr5.9868 transcript:KYUSt_chr5.9868 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPKRAQLRVRLRVTARRRGADAADRAGSSAGAGAGQKRRLDAPLVNSAAKLQRREIGGRQLAARGGGAAAAVPERFRNMQLQEEFDTYDHDAHLFVKLQILKKRSKIIEIVAAKDIIFALAHSGLCAAFSRVTNKRLSFLNLCPDEVIRSLFYNKNNDSLITVSVYASDHFSTLKCRTTPIEYIRRNQLDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDTNVQEIKISPGIMLLIYDRTPSYVPLKILSIEDGKPLKSFRHLLHRGKKIDFIEQFNEKLLVKQEDENLQILDVRSSELIEVSINKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHQDCSTNNIYITSDQDLIISYCKSEAAGDQSTDVENHLELSATCAASPIGSINMSDIMTGKCIAKIAANDPALRIAPRKNGSPSIWSTIPEALEDVTALFYDEDRNEIYTGNSHGLVHVWSS >cds.KYUSt_chr4.54863 pep primary_assembly:MPB_Lper_Kyuss_1697:4:338837536:338845284:-1 gene:KYUSg_chr4.54863 transcript:KYUSt_chr4.54863 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLPPPPPSNSGLRILLTKDRAPTSSSPSTSAAVSSHADRDRIIGVFRTALARNESPEAFALQAVQEAIKPQKQTVLVLEENQSLENALRRLLQELVSSAVQSDKGIMQYGNSLDSGESNCLITRLLDIMLYLCERGHVEGGMVFQLLEDLTDMSTIKDCKDVFGYIESKQDILGKQELFGRGKLVMLRTCNQLLRRLSKSNDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNETKYEKDATDGISVDFNFYQTLWSLQEHFRNPALTTTNPTKWQKFASNLTVVLSTFEAQPLSDADGKHNNLEQEEDAAFNIKYLTSSKLMGLELKDASFRRHILVQCLIFFDYLKAPGKTDKDGPSESMKEEIKSCEERVKNLLEMIPPKGKEFLQSIEHILEREKNWVWWKRDGCPAFEKQPFEKKKTDQAVGRKRKLRWRLGNKELAQLWKWAELNPNALTDPDRVRPPVIAEYWKPLAEDMDTSAGIEEEYHHKNNRVYCWKGLRFTARQDLEAFSRFCDYGIEGVVPPELLPADVRAKFNSKPGEKAKRPKREDTKGTSSHPKEPQVAAATPDTDGGGSGDQEEGAVPMDSDNPVVDDGQKRSPGEVSGPESGQCEAEDDGEDNNSKTVHSRDCR >cds.KYUSt_chr6.30905 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195839554:195842931:-1 gene:KYUSg_chr6.30905 transcript:KYUSt_chr6.30905 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTMRQWRRRRPRRRRPRYDDDEFEWSDDDGPHPDKTADQQRTLVESFESEKKLQDDARAREEAQIRRAVQLSLQAAQQGRADEDARRERSRRATAERKERRRAQEELQRRRGDDGAGPSNAPPGGKMAMVLDAFASYVQNMLTEMVTEEVHMLLGVGDEIDKMDSKLRDIKNFLADADRRNNTDKTMQQWVAELKRAMYQASDILDLCQLKAMEQGQTTADVGCFSPLLFCMPNNPLLFCMRNPAHAHNIGTRIKELNKKLSTIKERGDALNLINLGLYEDRSNRVHASHFGIRSRETSGEFDRSGVVGEKIKEKARAIIDTILTEKEGNANIMVVAIVGVGGIGKTTLAQMVFKDESINAKFDKTIWLSINQNFDKVELIKTIITLAGGEYGGGTALAVLQPILTATVKGKKLFIVMDDVWIPTAWGDVLGSHLANDVARGSRILVTTRDERVARGMKAMFPYHHIEKLEEEDSWSLLKNQVLNETQINFQNG >cds.KYUSt_chr4.23508 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147999278:148002639:1 gene:KYUSg_chr4.23508 transcript:KYUSt_chr4.23508 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSALQELASVQLAELNKPVRVVSAADTDVPPAVLGSLQPLLAAQAEALSAELQAMFAARLEVLFKPLQDLVAVVESWTTQVSSLWEPTEAIGGSQALANDESAPPVVVDGEDSCALDVAGCSAELSEMVHTDVDPPALDKVMLEMVLPGMQEQAWAQPGNDMVLKEVDLPSSSTKLDELWSSFKSSCASTRCSMHRFKCRLMGTQVVSGRRSGRPDKKNKDRNIPVAKRAEHRLAESFGALPKGSAPKKGSEEDVQEKMKPLLRRKCSSCCESTLEVVKAVLVGYEVFPTVLGQSSGSGSVVLEMDSLLNELKRKLKSLKSSKAQPQEDSFPRIMVAKVGHLRRNVCNSEYDPDHVSIGPYNHPRQLPTQQDKVRSLLTVLSAAEEEEPSMTVEVYLKELACLEDKARRYYANTFDDITSDQFVHMLLLDGCYILSRFASFPPKHTAAHGAGAARSSAFAASLEDLAVVRDVFFLAENQIPFFVLEKIGELTVPGGRAHVGKWIADHALELMKAQRYAVAAPKSTEPENLLHLLHMHLKPQEMAALLPGATGNGAADKPVSPVGRWRTATEYSYAGVKFTSKEMDEEGDVRCILDVKLDSGTLEVPRLDIDNETWRLLRNLMELEQRNREEVGSHVTAYCVFMSQLASDKKDVELLSKRGVIVHAHGNDGEVAQHFADLCKGIMFDTSEPKINYLWDIRQKLEKRSRSYPRRWMAWLKRKYFSNPWLAVGLLAAAVGLVCAIVQALYSVLSYKQGWN >cds.KYUSt_chr4.24649 pep primary_assembly:MPB_Lper_Kyuss_1697:4:155079166:155081987:-1 gene:KYUSg_chr4.24649 transcript:KYUSt_chr4.24649 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENSSGRQVTYSKRRSGILKKAKELSILCDIDLILLMFSPAGKPTICVGDKSPIDEVIGKYAQQTPQERAKRKLESLEALKKTFKKLDHDVNIQDFLGSGGQTVEELSSHLGALQCQMADVQKRLSYWSDPEKVDNIDHIRAMEQSLKESLNRIGIHKENFTKQHLMGLQCAAAQFQNDMQLPLGLTGDPNASSWFHNGGGGDGQQPMMLTEDPSLIHHRDIGCSTSTSLQSYPGYFSMSKQSTDTNGGEQHHQQASAAVQQQPEFSQGECPTSLHLGAQFPYQSAFDHTSLLNERLFRPDMELHVDNAAAMDFVGGHYDMPRPGDEASFQNWASAACGATMYDHQQQQPSAQLIVQNMTESLTVGSLQQQL >cds.KYUSt_chr1.42094 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257901826:257908951:-1 gene:KYUSg_chr1.42094 transcript:KYUSt_chr1.42094 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGESLRAELSSKTPPFGLRLWIVIGISIWVVIFCILGFMCFWSIYRRKPKKSFDNIPVSQIPDVSKEIAVDEVRQHAVVENYQVQESHALTVKEKPHDKDSRKMLGHLVRTKSSDADNLSQCSSVYQCDRAGSSYSGDEGNSGNTRRQYTQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHSTNRFSKENIIGEGGYGVVYRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWIHGAMRQHGVLTWEARMKIVLGIAKALSYLHEAIEPKVVHRDIKSSNILIDEDFNGKLSDFGLAKMLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGTRRAEEVVDRDMEVKPTIRALKRALLVALRCVDPDSEKRPSMGHVVRMLEAEDVPSREDRRSRRGHAGNADTESKASSSEFEFSHPGRSPSTLSCLAMAAATAAIHRAMAAGPLRSLAFSSSRSRSLLHLLSPRRAISSSPASFAYHPSAAAVPNHTHQHQPQDQWGPPPPQGPPHHWTPQGPPANNHQQYPAPPPPPRNSYQQQAPLSPRNDYQQHQAPIPPRDNYQQRQAPPPPANNYPYQQRQAPPPPPRDNYPQQSPIPPRNNYPQQQQGPPPPRHSYGPPPPAPPPPGPPPPRQTYGPPPPTPPPQQQAPPPPQQPVAGPEDLINLCHDGRVKEAVELLEKGARADAPSFHALAAACSTPKLLEDLRKVHDFLLRSPFRADLQVNNKVLEMYGRCGNMTHARRTFDHMPDRDAASWHIMIAGYAANGLGDAALQLFEEMKRCGVPPTARTFSLVLDACANAEAIEEALLYFDAMARDHGIEPGVEHYVGIIEVLGKSGHLDEAVEYIEKLPFEPNAMVWESLLNLARMNGDVDLEDRAEELLVSLDPSKLNPKKLPTPPPKRRLGINMLDGRNKLGEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKEGKCSCGDYW >cds.KYUSt_chr2.30243 pep primary_assembly:MPB_Lper_Kyuss_1697:2:186160905:186161369:1 gene:KYUSg_chr2.30243 transcript:KYUSt_chr2.30243 gene_biotype:protein_coding transcript_biotype:protein_coding MVATMRPSALPLCLLLALVVANVASLADGRVQVMTDPKAPEPEPKPEPQPDPKPAPQLDPKPDPKPVPKPDPQPDPKPAPKTDPQPDPKPAPKTDPKPKKDPKPSPQPDPKTDPQPDPKPTPKPKPGPEPAPQPGPKPPQPPEHVASEPPNSPN >cds.KYUSt_chr3.2489 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14248502:14251678:1 gene:KYUSg_chr3.2489 transcript:KYUSt_chr3.2489 gene_biotype:protein_coding transcript_biotype:protein_coding MHCYRKLKMNEKWRLTRISLSKGKDGIDLEMPLVTSAGHPFGNKATKAALTAVAATEKIQASITQCLIEVSLTLLSRDKKTNERHNHLKEAFGVFELMLENGTSPNIVTCTILVDGYINEGLIGETFLFLDKVK >cds.KYUSt_contig_97-2.31 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:143091:145394:1 gene:KYUSg_contig_97-2.31 transcript:KYUSt_contig_97-2.31 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGNLSPVLTSCFRWRVVAVLLLVVLQRKEPEAGGGVASTFPSIKLDRSTDRPVLVACAPICNYQGDGAVGVLVSMVGLQSVLMGALQGGRWSVPLLSFDCLRGEGVSGGVAWAASSFRQVPSSIPAVICSRPQLVRTPPYLQAEGRPSKKFLLADVHEGRQYNCGSASVSSSSAWCRKRRRSDDLAPSGSVPGGERTGSSRSKRWPNLNSIRLAEDSEQPKQRLRGGNDIVVPPPPDPRTDLGFPPVLEAGEHEQGHDDASKKVTAPTGVAVVSKMQGFHPGLSTTPKTIGQAHKELPFSTPPARGAQERQLAEKEELIAGPPPQLDGTGRWSSSPLPP >cds.KYUSt_chr4.33899 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208124470:208124940:1 gene:KYUSg_chr4.33899 transcript:KYUSt_chr4.33899 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKTSVAGTRVPVSVLVLVALVVICMSGDRATAQQATGVMATYNLYHPERINWDLRVASVFCATWDADMPLAWRKRYGWTAFCGPAGAHGEPSCGHCLQVTNTATGARTVARVVDQCANGGLDLDIAVFQRIDTDGGGAANGHLVVDYEFVGCQD >cds.KYUSt_chr3.33262 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208742347:208743591:1 gene:KYUSg_chr3.33262 transcript:KYUSt_chr3.33262 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLADAIRDQAALSLRLLSHFSLHRADQNLAFSPASFHTILSLLASGATGAHRDQIASFLGPAGADAHAALACHIAATASASSETEAPPSGRDEEDEDEPDLSPELVYATGIWVDSSLRLKPSFVAAAASTYDAAARSVCFSSNPDHARSEINAWLEAKTGGRWKELLHEGSIGATTAIVLANALYFRAYWYDPFEQNLTEDGYFYVSPGQVVRTPFMTGGALHAHMCIGVHPGFKVLRMPYAGPFSMCIYLPDDRDGLPRLLGALADDPAPLLDVPQRRVPVGELRIPKFEASLRVEASGILRDLGQDLPFRPAMAGESFSDMLELDDADGSSMMPVAVSSVVHQCSVHVNEKGTVAAAATVVEMLGFGLPSDPVVDFVADHPFLFIIRHEEGDNSGVVVFAGQVVNPLLD >cds.KYUSt_chr5.38430 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242967498:242974910:1 gene:KYUSg_chr5.38430 transcript:KYUSt_chr5.38430 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIASDPVFNQMAEQLQKSAQATGEQGLPNLDPQAYMETMTQVMQNPQFMSMAERLGNTLMQDPAMSTMLENMTSPAHKEQLEERMARIKDDPSLKPILEEIESGGPSAMVKYWNDPEILQKIGQAMSLNFPGADATSTVLSGPQETEEEDDYDEESIVHHTASVGDAEGLKKALDGGADKDEEDAEGRRALHFACGYGEFKCAEILLEAGAAADALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNLDGKTPIEVAKLNSQDEVVKLLEQDVFL >cds.KYUSt_chr5.30998 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196248726:196249052:-1 gene:KYUSg_chr5.30998 transcript:KYUSt_chr5.30998 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAEIYRKPVPGWEAKSGRACEGIATLFPLDASCWCLQGWCWRAPCMVCLAFIMPVFLLFSQVLVPVTLTIGMVMMGLRSVAV >cds.KYUSt_chr3.12684 pep primary_assembly:MPB_Lper_Kyuss_1697:3:75934814:75935626:1 gene:KYUSg_chr3.12684 transcript:KYUSt_chr3.12684 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQVAAPLSQPNRSSKSEQALAVSLLLLLLANLGWTRAVPASPALSSGRRWPYRSIFSFGNSYTDTGNNPTVFAENDLFEPVNRPPYGSEFFRRPTGRCSNDRLIIDFIAQRLALPLVRPYNPALAHNGSFRQGANFAVMAATTLDAARFHDGSNPGNKYPLNTSLGVQLEWFESLKPSLCATTQGSYFSNKTYPC >cds.KYUSt_chr6.27703 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175761478:175762176:-1 gene:KYUSg_chr6.27703 transcript:KYUSt_chr6.27703 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKEDQVSATPSVDAAVTRALLSSGSGGHAYIDVRLPEDFDKEHAAGASNVPYYLAVTPQGKEKNPRFVEEVAALYGKEQHLIVGCYTGVRSKLATVDLVNAGYENARSLQGGYVAYLQSASPNQQ >cds.KYUSt_chr3.8434 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48812671:48817164:1 gene:KYUSg_chr3.8434 transcript:KYUSt_chr3.8434 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCPFAKATTGGVCPMKSDKKPDKNGGGACPVTGKGHDKETGAEDRAGGAEDPRLVPAKCPFGYDSNTFKLGPLSCMVCQALLHDASKCKPCLHKFCKACISRFKDCPLCGADVEGIEPDTELQVLVDRFIDGHARIKRSLAGGDGEAAGGKGKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAEDIREELKSSQDNLDLCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAEFLSKLPTKDLELVHTLSVSLNKVGDLRYYDGDLQSARSYYARSLEVRRNSVKEHSAVASQVIDVATSLAKVADVDRNLGNEEAAVEGFEEAIKCLEKLKLDSEQASLEQRVATTYPFHLCFPALTLPLPVPSPDEKCGEKCS >cds.KYUSt_chr1.5098 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31432611:31433872:-1 gene:KYUSg_chr1.5098 transcript:KYUSt_chr1.5098 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLVVYSSFSPKVPSRCRIEISARSGVHAIRLLPVRSHGASAAPPVAIRTVVSQVLLSPSGSAPMPLIMFSSSYVVLRGCDVPGAYHRCVVAMCQDVSRRCSRMRSGELGRRRGRGAAAGRGALCSPHQRCPATPASTPYDVILKLGAYKAFSEMSPVLQFVHITCVQAVLDHLRGAGCIDILDLYIAMGEHVFFQFTVFNIDTLDPADLVAIAGGDTLAVHIPVGVAHAAAMPAILRLIKRLGAKVIVVVDRGCDHTELPFVAHLFQAFKSTVFQPVPGPAGRRAVRRRAPPRVCRYGAEAAGRGEPCSRLPGSRRCRPACSFTSRRSTHMLCHGVLQLYALLRCACNGSDSKLIHFGRSINMYSYTKYY >cds.KYUSt_chr4.6322 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37144209:37144670:1 gene:KYUSg_chr4.6322 transcript:KYUSt_chr4.6322 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRSGTVASLLLLSLALAAHSVAAARTTPAGGEVEASLPAVAAAENGAGAGGGGAADAKNLFVGVGGMGDLPGLPAVGGGYGGGFGNNGAGVFTGVTGPFGGVGGGVGSVGPVGGVGGAAGIPFGGFAGGSAPFGGVGGGYGSTGGGGVTP >cds.KYUSt_chr3.34869 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218609920:218611618:-1 gene:KYUSg_chr3.34869 transcript:KYUSt_chr3.34869 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMINFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLLDGSGEPFFVLNSDVISEYPFAELIQFHKSHGGEATIMVTKVDEPSKYGVVVMDDTTGVVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADQKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKRSAARLASGTHVVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGARIKKHACISNSIVGWHSTVGKWARIENVTILGEDVHVGDEVYSNGGVVLPHKEIKSSILKPEIVM >cds.KYUSt_chr3.38195 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240390133:240392433:-1 gene:KYUSg_chr3.38195 transcript:KYUSt_chr3.38195 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFDSPTSSPAAAPYRDDPFLSFDAGAPVPAGDDDGGFPASPDPYAFRHDSDAGAHPFGMPDSDASAHPFGMPDSNGLHHDDNDSGLYGSPVLPPPAQMGSEEGFLLREWRRQNAILLEEKEKNEKELRSQITIEAEEFKKGFVEKRKLNLETSKDQNREREKLFLSNQEKFHKGADQQYWKAISELIPHEIANIEKRTGKKDKDKKPGIVVVQGPKPGKPTDMARMRQILLKLKQTPPPHMKPPTPPAVAAAEKAAAAPGKDAPAKGTITETEKPAVATAATTPAAAAPVPAAEPIAAA >cds.KYUSt_chr7.25593 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159759592:159761920:-1 gene:KYUSg_chr7.25593 transcript:KYUSt_chr7.25593 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRAPVMVKQGGQEVLRQLPPGFRFRPTDEELVLQYLRRKALALPLPAAVIPDVDNLYSLDPWDIPGASEGEKYFFAVRPSDGKSGGRTATASGYWKPAAGGRERPVVVSRCGRNHLVGVKKSMAFVPRRSRGKGRKTTSPAAPVQTGWVMHEYRLALPHQHKNGCCLGEAGTEEWVVCRIFKNDRSSSSSRQQTLGGHGTDDHRTMPMPQSPSSPASSSSCVTSGSSSDLQEEVSS >cds.KYUSt_chr4.27359 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171821082:171824592:1 gene:KYUSg_chr4.27359 transcript:KYUSt_chr4.27359 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKSPSADPEKTEIGEIDTRAPFESVKAAVSLFGEVRFSSDKSATRKAQAPQAERVLAKETELHLAQKELDKYKEQLSNAETTRAQALSELERAKKTVEDLTNKLDAVNKSKELNIKATEDANIRTKELEGGSSGEAVGKDGPLKQELDNAREQYTVALADLDAAKQELRKLRKDFETSLDAKLFAAQQEAESMQSSEANKEKASQLRTEIAQVQESLMHAKAATEQAREEEAHVLAEKDVARKTCKEALEESRRKLSALRNGFDPVACKSLQEKLDETYSEIASLQKQIEDARARDLEAVSAVSTELDDAKETLQKVAQEETSLSSLVESLRLELQAVKEEHNQLKHKDAEIESIVGDLHVKLQKCKSDLETAVATEADATLASDDLMLALEQLSSESKNALQEAEVMQTRAAELRDEAEAARTALVEAEQKLQSSLKEAEVAKAAESKALDQIRQLTDRATAARASISEPGANITISKEEFESLSRKVEQSEKLAEMKVAAAMAQVEAVRASENEAIKKLEAARKEMEDMELATEEALKKAETAEAANKAVESELKRWREKEEQNKNAEAYVPPVGATSLADAPSNSVHRASAPRANEKSNGHQKNSKALLKKSFMLPSITGMFHHKKKNNADGSSPS >cds.KYUSt_chr7.19909 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123398754:123399074:-1 gene:KYUSg_chr7.19909 transcript:KYUSt_chr7.19909 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNASLPGKRKARALCADRYQDASDRMQGVADGLNGCRFAGLGQRYKDGIAHLESCRDMLLKAMSSPLYAMNLLVRNKTILAYFISRLLASVDHEIIPSCMHMHV >cds.KYUSt_chr1.35047 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213516797:213519677:-1 gene:KYUSg_chr1.35047 transcript:KYUSt_chr1.35047 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSQRHPAFRSAPCRAGAVVGKGQPGQGEPVERSSDVPKAREGVAETMGGSGKWIKSLVGIKAPAPSSSSAEAAGKGRKWTRLFRSNSSSASRSSGGASPCDTSSASSASALSSVVAAVARAPPADFRVIRQEWAAVRVQAAFRGFLARRALKALRGIVRLQALVRGRLVRRQLAVTLTRMQALLRVQERAMERRARCSADAGDSRSHGAHSDRSGRADHAREAEEQWCDRQGSINDVKSRMQMKHEGAVKRQRAIAYAHSQQRRSAKYSGRPSSPASSLRNHESYVEGWMATKPWESRHMDANLGESHRLRNYDEMKSEGSKFSDASSIKIRRNDDTTRVEAKPPPVPSPSSSDYGCDECFQSTSSLTPESATNTLASEERSDSGHGVGEPSYMSLTKSAKARLDGCSGSRTGKFQIQRQRSGGMPYYRRVALSSLDLESNTGSDISAASRSLNNMSLKGRSMTRSLDKENENWF >cds.KYUSt_chr6.24790 pep primary_assembly:MPB_Lper_Kyuss_1697:6:156845415:156849550:1 gene:KYUSg_chr6.24790 transcript:KYUSt_chr6.24790 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPSRLPPPAAAAAATAAAVLAAVALRRYLSTRPRSATSAHRSSTAPAAAGGGATTRLLLSGKSPQDQQLLASAASELSLEDLGGGELAVSLAPGGGGVEGFDAAAYMGALLARRFGRWLLWSPRMASTHDLVTQNFAKLPVGVVCVTDVQFKGRGRSKNVWESPPGCLMFSFTSQMNDVRKLPLVQYVVCLAMTEAIKDLFCAKGLPELDVRIKWPNDLYLKGLKVGGILCTSSYEPKVYNICTGIGLNIDNEKPTTCLNAALQELEANATRLKREDILASFFNKFEVLFEIFANQGFQALEERYYNAWLHSGQRVVVQDAHEGQSVNSVVTIQGLTPSGYLYAIGEDGKSYELHPDGNSFDFFTGLVRRKMEV >cds.KYUSt_chr2.53969 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336896542:336899254:1 gene:KYUSg_chr2.53969 transcript:KYUSt_chr2.53969 gene_biotype:protein_coding transcript_biotype:protein_coding MASTADEAAQPPPKRPRHAVHAEPKPPPPVGLNPADCNIDFDVSGGGLRGQALHGGGFAYCWSGARATAGVRGGGRYCFGCRILAEQPVEMEDTEPDQRHLCRVGVSRGDDSVGGLGESGHGFGFGGTGKLSHHGKFFDYGARFGVGDTIVCAVDLDSKPMASIGFSKNGEWLGIAQCFNASETGLGLVGGAPLRPMQWESAIFPHVLLKNVVVEMQFSREDGLEPVEGYEPWTSAFADGHAVSGPVFAEQGECEVMMMVGLPASGKSTWAEKWVKDHPEKRFVLLGTNLALDQMKVPGLLRKNNYGERFDRLMDHATTIFNTLLDRAAKIPRNYIIDQTNVYKSARIRKMRPFANYRKIAVVVFPLPSELNSRAAKRFMEMGKDVPAEAVDQMTVNFFLPQSKDMPGSKESFDEVIFVELSRDDAQANLDEMKRLLPRTPTLSYDNLNNQNVSSTYTGIVSPGVSGAGPSLSGFQPPMINSYGQGVYAPGAPVGVQGFQNTTGNQHQIQSSYFSAPYQHQTQTSYPNPSYPTNQHQIHPSYPSTTNQHQTYGSYPFPGYEYNPSHSNTDLHQRVEAPITGTNLYQTPGPTGDYGISGYGPVAPVHAQPLPQAAHHQVPYHDDVSSWSSGNYGPYGQQSLDVHNTSLQYAAPVARPPGLGVLPHPIPGPPPRAPLTSYMSPEQGSW >cds.KYUSt_contig_528.352 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:2190628:2197057:-1 gene:KYUSg_contig_528.352 transcript:KYUSt_contig_528.352 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHTPSPLPTRRASAEPSIRIRIPSTTSASASPFQNFLNYRYTTDTHLHLTTTKRLLLASPHSPPSPSNLRIAPPQIQLTAMEMLRRNLKRQASRSLPAFAAADDQENLHPNLAAASQPNKSSLSPSPRSKPAPPTASAPVPPPPEAADQNRAAPARAPADHEPPVKVVVRVRPAVSLPVDGKDLFFVRKTSPTSVAVGDRAFAVDGFLDDRASQEDAFHLVGLPMIDSALAGVNTSLVCYGQSGTGKTYTMWGPLAAMFDSRSNRADRGIVPRFFQHLFSQIQGKQETSPEKQTSYQCRCSFLEVFNEQINDLLDPSQRNLQIRETTGNGIHVENLTDEYVSTVEDVNQILMKGLSKRKIGTDSMNLKNSRSHVIFTCVVEAWSKDFSSNGFSSSKTSKITFVDLAGLDIDELEGNGKHFTREERHVKKSLSTLGKLVNILSEEPKPQQDDLPYRQSRLTHVLKDTLGGNSRVTFLCSISSEHRYRSDTLSTLRFGERAKLMPNKAVINEISEDDVNGLSDQIRQLKDELVRTKSGQDTTYETGYFNAQNARASLHSLRVSLNRSLILPHIEVESEDEMDVDEDDVHELRDQISKLHSSSEDTLDDFMDAESGEDSPCSKGNPKTCEHDDQVIMDDSEGALQEEVQKVYSNTDADQEQVSDMKSSLSICASPQLGPMQDPTFCSSPKIHKARKSITSPGLSPSKLSESSPGDLTVEMSRKSAVRSSLQSSKLSPTDSLAASLQRGLHIIEYHQQNPSPRKSFVGLSFDHFALNPRQSAKASSALQSLPEEHVGSVSTICSSCKKALGTNDDHSEDINSGKQIVMATGITSNDLANASRQVSLNVNEREALLDEIQNLKDQVKHLIDGSTNDSLLDQIRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRIHAEKTKMELCNEKKCTEELDDALQRAIYGHARIIEHYVELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQQKEKSAAMLQENQKLKKQLEKMRKKHEMEVETMKHYLAESKLPESALEGFYRQESNVGAPEYSHAQSTCDDDQSWRAAFTTEFE >cds.KYUSt_chr1.7806 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48028931:48030337:1 gene:KYUSg_chr1.7806 transcript:KYUSt_chr1.7806 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGERSVTTQLKMVLPGSSGKLRISDELAECFDSGDGGAGMTAFLVSPFGTAVWRVEVGRDSDGAFLGRRWPEFVEAHGISVGWFLVLRHEGRGVLTIKAFDTTYFIKEFGQTLTVPGLGEAQIKTGRARKPQFIGPLWHNWMQKMPIPAEFLKHGFISDEELKRRMVTFVTPFREFWHIDLEKDGSNVFFAGVWLKFLESQGVTEGEVLLIRYQGNMIFTIEVFGFTGCRRNLKKQDIRFEQTGNSEETNSSQQTEQSEETNSSQKTGQTEEANSSQKTGRTEEMITLSSQNTEQNEEANPSQKNAHCE >cds.KYUSt_chr1.516 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2752017:2753187:1 gene:KYUSg_chr1.516 transcript:KYUSt_chr1.516 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVSVGPWGAPGGEPRDIPIGSMPQSLVSITIWSIKALGGAICGFSYVYVDQNGGPIHVGPWGNAKPEHTITNIQMGPGEYLYELSGTADDSALLSLKLVTNQHTYEVGAPLEQTTFSMPLKNGKVVAFFGRSDNDHLTALGIYVPVMKGSPVNVGPWGDSGGIPVDITTPVQLKSVTVYSTDSSDGRIYGFSFTYVDLTGQSIHVGPWGTIKGEKHTFDLSLQGEYVNKITGTTAGDNRVNSLKFTTNQERDYGPFGSDRGNAFSVPLPDGEHNGAVVGFFGRSGKSHVDLGVYVGLAPNEP >cds.KYUSt_chr5.35446 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223976849:223977085:1 gene:KYUSg_chr5.35446 transcript:KYUSt_chr5.35446 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTAFALALLLLVAVAVAPLAKAKKSAKTEEKAADAPEADAPAADAPAEGPSDGPAGAPGPAEGISGLSDDDDNDD >cds.KYUSt_chr4.28219 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177331305:177339435:1 gene:KYUSg_chr4.28219 transcript:KYUSt_chr4.28219 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWFIPAYFKKTQASKLGDAQGIAFFIDKLSAHDEGKLAAARITPSSWVPNGRVLYASSPAGKKTEAEKVKSETARIHGSYRYRNYDIAAPPAFAKRESERYLRGCAASSFVASSSSASSSRQRPPTALVVKMEVEPKPEPSRLSHFAEGVYLEDEEFKKLLPQLGVNAGLAPGDFIAERELDTVVGLVARSSQQDVEKAEEWRHIALEQDRYSSTSSPTRSEPTRRRHGTGLRALVRSYRLSPPSRRGRKERSSPFPSPDYSFPSRLASSPPPPPRNQGQEAMEASGPEAANPGWIDGSADPCSSAGRLSVHQIVGGGKAADIILWKCRRATVGLIFGATIAWWLFEKSELSFLTICCDVLLILILVQFLWVKISGLLNKQPRPLPELVLSEEMVNNAAALFRVKVNNMLMIAHDITLGKDFRLFFQVVSVLWLLSVIGNFYSSVTLAYVGTIALVTVPALYHRHQENVDKYAGMLHRNFSRHYKVVDENVISRLPRSFIRDKDD >cds.KYUSt_chr1.3919 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23921888:23923840:-1 gene:KYUSg_chr1.3919 transcript:KYUSt_chr1.3919 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSTQQHSYCHPHEPLLAGFPACCNLEAEAAMAYESSTSSPPLSMVMQQLQGSFPPSFGAEAAAGGGDNGRRMASIEASLGSAQEMDVSGGKMISRKNKRSNANVAGVKGERAGRGLGGVGDVDDAKVEEEAAGYVHVRARRGQATDRHSVAERLRRERIGEKMKMLQSLVPGCDKVGKVLLDEIINYVQSLQSQVEFLSMRLANLNPTMVYELGLDIRSVCHPDSPQLSTVVFVFEIQVEKTAEMPPHELVQWMGQTTGTASLAEVQGAPTGATGFVQDGSSNRVMQQVQRLQEEELNNCLNFFQHCN >cds.KYUSt_contig_1145.47 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000108.1:222462:222803:1 gene:KYUSg_contig_1145.47 transcript:KYUSt_contig_1145.47 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSAPPCARSKLLPTSTARATTDLEVHAPYGTSSALLDHEAGAVAGVLLAREDAISREPGRDWGCYLRPPCLHLDLVCVAAKHHDRSPTGNHPGALAGCQALFHGVWPWLL >cds.KYUSt_chr2.47824 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299067369:299069050:-1 gene:KYUSg_chr2.47824 transcript:KYUSt_chr2.47824 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRAWPRGGGGIGTPPALSRHPPPGGLVSGGQGYPAWWRSYDGAKASSASAAADKVVDKIPVVDVAPESYVDMLNEASIDIDSPSLVDYGDYNDGLEEGLEGDEFGEEEDANGDEEDDMEEIEEGAFDGRWPRQRGERPGPTTTPNLRT >cds.KYUSt_chr4.49512 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306604674:306606065:1 gene:KYUSg_chr4.49512 transcript:KYUSt_chr4.49512 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSSSSSCLRVLDTAVVTPSGPPLPPSSLPLTFLDLQWIHSPPVERIFFYRLTSTRDATNHLISDLKRSLSTALRIFFPLAGHLRVTPGCTIAATRHDIFYEPGDGVAFTLAEYDGADLGDLASDHQPRQVAELALLVPRLHPAVGNDGAALLAVQATVLQPRGDLALGVTVHHAACDGAGSTSFLHTWAAACAGAEESFPPPPIIDRTLVVEPVQVLYDHYTRSLPSTDEMEFVKMSPDQLLATFTLSGEQLQRIKDAVADEAARRGAQTPPRCSTLVAALGFVWSCYLRAKAESGDSAGEPESPTYFIVPVDHRPWMKPPVPAAYFGNCIGPAIGSAPKRDLASAGAGGLFTACTAIAEGIGRAVASPEWETMVERIKQVGARGVLSVAGSPRLRVYGIDFGFGPPAKVEIVSVARTGAMAVAEIRGRAGMEVGMSLPPAGMAAFRSCFDDTVEWLASC >cds.KYUSt_chr7.29568 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184038211:184045570:-1 gene:KYUSg_chr7.29568 transcript:KYUSt_chr7.29568 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPPVPFPLLQAPVESTYRACTIPYRFPSDNPRKATPVEIQWIDLFLKSVPSFKQRAENDPTVPDAPAKAEKFAQRYTSILEEFKKNPESNGGPPDCILLCRLRELILRELGFNDIFKKVKDEENAKAMSLFEGVVRRNDEIEDDEKRAENLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLLSRPWVIDDLDAFKNKWTKKSWEKAVIFVDNSGADIILGILPFARELLRRGTKVILAANDMPSINDVTYLELVEIVNKLKDENGKLAGVDVSDLLVANSGNDLPVIDLSSVSPELAYMANDADLVVLEGMSSSYDSSDDEYDQEEEENISLLLAYHAVKKPKFGGSVFGRHKLWRERIEGHEKLMRSYFNENPIFPESYFRRHFRMSINLFKHIATEVTKYDRFFEERRNAAGELGHSTYQKVTAALRMLAYGILADLVDDHLAMGESTSILCVKRFVVAIVNVFGSTYLRAPNAQDTTGLLEINANRGFPGPLVEFEANGHKYNYGYFLADGIYPRWQTFVKPIIQPQEDDHGKDVDHIHYDLMGVPVQVRRSAHRIARFIVSYHAIRCNDTHDELHKDLMEE >cds.KYUSt_chr4.51342 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318543376:318546551:1 gene:KYUSg_chr4.51342 transcript:KYUSt_chr4.51342 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLTEHCGIGYEEQNDWYFFSFKDRKYPTGTRTNRATMAGFWKATGRDKAVHERSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQEEGWVVCRAFKKRTAYPNRGMVERWDANYSYHEGNAMGGAAAAFVNPNMTVQMGRSARFKEEAEQMDGAAALVRYTSNHLVELPQLESPSAPLPRKKASAEQEEEEDAVDAGRRRRPGKTARVDNETTTDWRALDKFVASQLSPAECGALEGNPASSTAAAVCASSQAQLDHHDDDDMAALLFLNSDGRDEMERWTGLLSSAGTGVDGDLGICVFDK >cds.KYUSt_chr3.29884 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186999612:186999827:1 gene:KYUSg_chr3.29884 transcript:KYUSt_chr3.29884 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVTVHRLTAPSSTSTSVAMASSSSQYPPSSPSSLVVDMETGPGGITRALACLHAPDASRISAVLCLPEA >cds.KYUSt_chr1.24462 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146120927:146122474:-1 gene:KYUSg_chr1.24462 transcript:KYUSt_chr1.24462 gene_biotype:protein_coding transcript_biotype:protein_coding MACEPPPLPKKPRSRPAAPTTISALGDDLLREIFLRLPSLPTLVRAALTCHSSLRAVRSSPAFRRRFREFHSPPLLGIFLHIFESDTPAFRPLRPRSDPGLTAAICGSNFFLTRLPGGDNGSAPAWLISDCHDGYVLLLSCSSDHIAVYNPLTRALHLFPKPPEEICQDMRVEYHVLSPEEDQRPFRVICVCHEDYGAQAAVLSSETREWQILPWVDATSMLQPALQPGYNEKYSPDDGKLVNGSIYWIAESLATARVLNIATLHFSRIDLPHVEGQEALTAGKASRDGKLCIVCTIKLTLVVWLWGTDGDGLERWMLDKRYPLEQAIDELRHRFTGDDVILKVMAVENGFVYLSAYCQVDPKLPGWFLSFCLDTEDLNWLCPILHADDMYPYIMAWPPSLVLNEKMDSSTDVKLHIYPDNISVFSTRCQ >cds.KYUSt_chr2.28562 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175292190:175292453:1 gene:KYUSg_chr2.28562 transcript:KYUSt_chr2.28562 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRTGGVVVEARGEGVEAPADGEEEIRRDGHACDEVLVDERLVLQLLVLRRREGEEGDGSTTAADLRRLEMRVEPQQPEGGEPPP >cds.KYUSt_chr7.35781 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223482277:223482664:-1 gene:KYUSg_chr7.35781 transcript:KYUSt_chr7.35781 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKTDGNLDWSEDGCCFDAADEDTADEYIQRNPRHRQYLGTSITNYAQMKTIFTPRFVSRAQLFQPKLLVKDIDFIADNEEQYAEYRKLQLPERRSWLRT >cds.KYUSt_chr1.25784 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155024587:155033505:-1 gene:KYUSg_chr1.25784 transcript:KYUSt_chr1.25784 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQPAAADSSSSASPTNWRKEVDERLRRLHSLLFGAEAALERGDAAAAQALALRLLGFLDSQTLSTDAGPEAAFVAPIRAAASSSLATASRARAPESDRAVFELAKKDVYCVFTKQGDVNIEKVKRSKYFQALHQNFSQNAADPLNAACQEFTIQGGSHIVENPPDLENEKLNVRASKLMTQTKITSSYSSKFLKANSVSDKNMFKSEGNVSKEFACVENEIRTNQDNKHPVYLGLEEDEKHCGPVQIAKRKHTGFRSPICEHANSPSSNDETDAPANGFVTARIKLAMDAVQKHGHNGHQGASVSPQCDNNLSTRNYGARPSWNSRRGPRGNFVPPIRNNGGSTTINSRVTGKNDDPMEDSTKKCLEMLCGPDGELPEKLRNLEPRLIEHISNEIMDKDPNVRWDDIAGLHHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISSSSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARTWIIHNLLEKDGLFKLSEEETGAICKLTEGYSGSDMKNLVKDASMGPLREAFQQGVEITKLNKEEMRPVMLKDFEAALQQVRPSVSASELGIYEEWNKQFGSLAI >cds.KYUSt_chr6.25344 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160555669:160556337:-1 gene:KYUSg_chr6.25344 transcript:KYUSt_chr6.25344 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWAGLYGATAGGNGGSAPGEGSGVTVSSPTSGGSGGSPARSAPGVEAGRVGKPARRRSRASRRAPVTLLNTDTSNFRAMVQQFTGIPSGPYGPGGVGGPVISFGAGGSGVGMPVRPSPTSAVMSFDHLGGQYQTHRPVATSSLQQQQQSQLFRPQQHQHQQQQFGDYGSLLGGGGGDMFLHGFESSSAEDRMLLQSIQAAGSQMMPRPASTNNTNGYNFG >cds.KYUSt_chr1.33457 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203399624:203400958:1 gene:KYUSg_chr1.33457 transcript:KYUSt_chr1.33457 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSNGDAPPPEDIHLTPWDLRLLSVDYIQEGVLLPVSPAGGDRLVGSLASSLARALGRYYHLAGRLAVDERGDGTVTVRLLCTGEGAELVHATAAGVAVADLTGSVYTPSSLVWALFPLNGVLGADAAVDSLPVLSAQVTELADGVFIGVSLNHSVGDGFVFWEFFNTWSEINRGGEGGIGDLNEICARVHRRWFIDASRVPIPLPFSKLQHVVRRFEPPTVQECFFTFSSASIRELKARANEEMVGTATATISSLQALLAHLWRAVSRARRLPPAQDTSYTLAIGCRGRVCGIPPGYMGNALVPGTASCTVGDILDRGLGWTAWQLNRAVASFDEAAMREWLESWTREPQFRYFGSLMSGGAALMTGSSPRFNVFGNDFGWGKPTAVRSGREGKTDGKATVYQGPEQGGSMSLEVCIAPDAMKRLIADDEFMDAVVRVPPA >cds.KYUSt_chr5.30272 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191963133:191963348:-1 gene:KYUSg_chr5.30272 transcript:KYUSt_chr5.30272 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATPSAPEAGIVTTDLDASVSTHAHRKPASANAPPAPRRWRRGRCEEDTAVERLDAVGTLGGQINSDS >cds.KYUSt_chr4.17389 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108763187:108765557:-1 gene:KYUSg_chr4.17389 transcript:KYUSt_chr4.17389 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAYDRAAELRALDATFSGVRGLVASGVTHVPRIFHLPDHHQQPPQDPIHPSSQETPAAPSSKYVPVIDIGARDRASVVAAVGRAAAEWGFFQVTGHGVPLESMATAVDAVRGFHEAGGGEGSDKARLYSREPARAVKYHCNFDLYQSRVANWRDTLYLRMAPDPPHAGELPETCRSALFEYAQQVKNLGNILFELLSEALGLNQSYLTDIDCNQGQIILCHYYPPCPQPELAIGTSRHSDSGFLTVLLQDEIGGLQILHEDRWVDVTPTPGAFIVNIGDLLQLISNDRFRSVEHRVVAKNVAPRVSIACFFSTHFHPASTRMYGPIKELLSDENPPLYRETLVRDYIKHYYSIGLDAKTAISNFRI >cds.KYUSt_chr1.15504 pep primary_assembly:MPB_Lper_Kyuss_1697:1:90242579:90243840:-1 gene:KYUSg_chr1.15504 transcript:KYUSt_chr1.15504 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWNDGESSPEHFPDLTAMDYAPETCLDLSFSGVAVGAERCRVHDLEPTRCVAFEGSLTGRRFHMCSVENHVENCGFQKWVDVEEWPEALQNSLGRLWSMYHEASDKRIEERLENAKLVQELVEERDKFKKNYYSLMDDVAKFMKDQEKRVMEANLKKMNEEKEAIFDLDRPALEDEVIKLNSELFDLKEEKKKWESQKKHWESMEKLRKENWEKKEEAWKEEKKKLEYTVFDLFKVNSANKEKLLQIKGIIGEI >cds.KYUSt_chr5.9547 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60736323:60743751:-1 gene:KYUSg_chr5.9547 transcript:KYUSt_chr5.9547 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHALSQVRGKHVNGSSFEWITSDMLVPRNPNIMDRIGDDDLFHVLIFNLLHALEIFVVLNRGKEMSDEEEHFEGPGSLTGTFQQQAGNIRKNGFIVIQNRPCKVVKVSASETGNYGHGQCHFTAIDIFTRERFEDTVPYVKRTDYQLIDISEDGYVSLLTESGRTKDNLKLPTDETLAGQIKEGFDEGKDLVVTVMSAMGEEQICAFKDVGPKIFVVEVTNEANRTYFHGRSWKEMIERYGMKPWTKCYSYLDSLYGETFFHYKQRDDASSSEGEFHDPKDSDGLVVHYPPVEPEPEE >cds.KYUSt_chr4.24276 pep primary_assembly:MPB_Lper_Kyuss_1697:4:152880979:152884275:1 gene:KYUSg_chr4.24276 transcript:KYUSt_chr4.24276 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDISVDEDGGGDGSGVDGEAFRGDFPVPAACRNRDSCPPRSWLHDGGGSGRFLAHSQIQPIPTKLSRARSDAVHRRRRRGLPVILLRRNHPRRLAPPHVRAPPAPPPAPLLPRPPPPARPPRLHSSPPSPHHHFLTALLLLSSPPPPALPLLSLLPPDPPPPLPLLNAALKSLSAYSPPLAFRLLSSLRRLHAPDRLSFLPLLGSTPSFPLLSSLHALLLRLGFLSHHAISLALLKPYPLPHTQTLFDEMPQQNKCAVAYNTLITAYLKAKDLLTARQLFDEMQRFKRSRRSVVSWNAMIAGCAWCGRDDMAVRYFEDMVREGEVAPDDGTLAAALPACGRTGNAGAGRWAHEYTCKTGMLDRSVHVANAVLDMHCKCGDLSSATEVFQGMRQRSVVTCNTMISGFSLNGQGIKGIELFQEMVRSGEAPNAVTFLGVLSCCAHAGAVDVGQGIFQSMQSEHGIEAEIEHYGCMVDLLGRSGLLEKAHALIQEMPMSPNAAIWGSLLSACRSHAGLGIAEVALKELISLEPWNSGNYVLLANLYAQTGRWEEAGDVRKLMRRMSAHKSPGQSLIEEPSSS >cds.KYUSt_chr2.42452 pep primary_assembly:MPB_Lper_Kyuss_1697:2:264427110:264442113:-1 gene:KYUSg_chr2.42452 transcript:KYUSt_chr2.42452 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLASSIVAATPRWLPLADSILRRGHPRRSPLPVLLFNRSWSKPRKVSGRISMASIKANRQGNLCNEGMLSHVMWWKERMESCRKPSSVQLTQRLVYSNILGLDPSLRNGSLKDGTLNMEMLQFKSKFPREVLLCRVGDFYEAIGFDACILVEHAGLNPFGGLRSDSIPKAGCPIMNLRQTLDDLTRCGYSVCIVEEIQGPTQARARKGRFISGHAHPGSPYVFGLAEVDHDLEFPDPMPVVGISRSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECSGKSFDWFDGSPIEDLLSKVREIYGLEEKTIFRNVTISLEGRPQPLYLGTATQIGVIPTEGIPSLPKMLLPPNCGGLPSMYIRDLLLNPPSFDVASAIQDACRLIGSINCSIPEFTCIPSAKLVKLLESKEVNHIEFCRIKNVLDEIMLMNGNAELSAIQEKLLEPASVVTGLKVDADILIKECRLISQRIGEVISLGGEIDQAITSSEYIPKEFFIDMESSWKGRVKRVHAEEEFANVDAAAKALSTAVTEDFLPVIVRVKSVMSSHGGSKGEISYAKEHEAVWFKGRRFTPNVWANTPGEQQIKQLKPAIDSKGRKVGEEWFTTAKVETALARYHEACDSAKGKVLELLRGLSSELQEKINILVFCSTLLIITKALFGHVSEGLRRGWVLPAIYPLSKDYSVEESSSEMDLLKLFPYWLDDTNQGNAILNDVNMRSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVTRATGRSLVLIDEICRGTETAKGTCIAGSIIERLDDVGCLGIISTHLHGIFDLPLSLNNTDFKAMGSEVVNGCIQPTWRLTDGICRESLAFQTARKEGMPDLIVKRAEELYLTMSRSNRQTSSTIHHGPSIVNSNVNGLVDMPDGLGNGLELMSGAFALLQKDVESIVTAICKDKLLDLYNKRSISEPVEVVCVTVGARQQPPPSTVGRSSIYIIIRRDNKLYVGQTDDLLGRLRSHRLKEGMQDATILYIVVPGKSVACQLETLLINQLPSKGFKLTNKADGKHRNFGMSVISGEAIAAH >cds.KYUSt_chr2.37650 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233039265:233044948:-1 gene:KYUSg_chr2.37650 transcript:KYUSt_chr2.37650 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAAIGANEIRITAQGRTRNYITYALALLQDEATEEIVIKAMGRAINKTVAIVELLKRRIVGLHQNNSIESIDITDTWEPLEEGLNILETTRHVSLITITLSKKELDTSSPGYQPPIPADQVRPPTDLDQDAEDLPSGRGRGRGRRGRGRGRGFSNGGAEYDDENADFEGPRGYRGRGRGRGRRGSFGPGRGYGGDGYKVIGKGAEVGAEAVVVDVVGVVAVDLLLKVLLKSRLAGPSAMECDKKGNNQGCEKIANLSGDDSSGYQLPPPSSTPPRPPVCPVQVGGGPVEAAAAPPSYPKFRASDVVGVKEGKEELAPQSPRSAAGILQASAGALATPWPPSWASAADNDDEDDEVELAP >cds.KYUSt_chr2.31955 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197138508:197139002:1 gene:KYUSg_chr2.31955 transcript:KYUSt_chr2.31955 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPLDPSDKPTSQRRIAVGDTVVVYERHDAMRAVTVAADGVLQNRFGVFRHADWLGRHFGSKVFSSAGGGGGGKFARKAGGGFVHLLAPTPELWTLVLSHRTQILYIADISLVVAYLELVPGCVVLESGTGSGSLTTSLARAVAPQGRVYTFDFHDQRAASAR >cds.KYUSt_chr2.169 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1021825:1022461:1 gene:KYUSg_chr2.169 transcript:KYUSt_chr2.169 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSAHKDVPAVGGDGGDEFDVKEAPQRLESVTIKSGETIDSIAFTYTDNAGKKQTSGPWGGKGGDEKTITFAPAETLIRVDGTTNYFQGKVAVTSLTFVTNLTTYETLGKGKDTGVEFTLPSTEGGNVAAFFGRAGSFLHALGVYTA >cds.KYUSt_chr3.5032 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28589273:28590938:1 gene:KYUSg_chr3.5032 transcript:KYUSt_chr3.5032 gene_biotype:protein_coding transcript_biotype:protein_coding MITQCPIQPNTTFTYRFNVTGQEGTLWWHAHVSILRATLHGIIIIRPKSGSYPFQKPHMDVPVIIGEWWQRDLMKVDKNFSTGGHFSDNPAGATINGKLGDLYNCSGFVEDNFILNVEQGKTYMLRLVNAVLFSEYYFKVAGHKFTVVGADANYVKPYTTDVVAVAPGETIDVLMVADAPPCRYYMVALANQPPAPDPQIPVFMSRGVVQYKNAPIDVGSNCTNEALMPEMPNQHDTLTSFYFHGNLSGMAGDPLLAQIQGHIDENLYISLGKGSICKGNKPSCKRGGSDEAILVAYMNNVSFHLPEKMSILEARQHAKANGAFMGVQDLPSRPPRSFNFTDPALIPVGPGGKLEELEATSKATTMRRFAHNAVVEVVFQSTATMQSDSNPMHLHGHDFFVLAQGHGNYNPARDVSGYNLVDPPLKNTVQVPRLGWAAIRFVADNPGAWFLHCHFEFHIAMGMATVFEVANGPTVDETLPPPPSDFPKCTNMKE >cds.KYUSt_chr5.38609 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244286506:244289406:-1 gene:KYUSg_chr5.38609 transcript:KYUSt_chr5.38609 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGNGGGGSPNNSEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPEYSPRSENDRYDYEQNEGSQEAERQASGSTTDNLNTKKSISPSTSSVNSLPDAQGIVSGARATDSARITKFTAELSRPAVILDKLRELSWSGVPPYMRPNIWRLLLGYAPPNADRREGVLTRKRLEYVECVSQYYDIADTERSDEEINMLRQIAVDCPRTVPDVTFFQDPQILKSLERILYTWAIRHPASGYVQGINDLLTPFLIVFLSEHLEGNMDTWSMEKLSLQDVSNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVHRIDGNVPLINIYDDLSFYYNSV >cds.KYUSt_chr2.5099 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31624057:31625338:-1 gene:KYUSg_chr2.5099 transcript:KYUSt_chr2.5099 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTWSAVMIVNCIPFGGKVEARSSLVKDEIAPVADRILALTLQSAHNLKDVNVFSRMEVYGVVSVYGDPRTRQRTKTDRDGARHPTWDPDHTLWFAVPPTAAAASASGACLHVLLRTERLFSFCDGDVGEVFIPLADLLAGACDAGGATPLQCASFYVRKVQCAERRRGTLRVSYRFGPVMAPFAPVPDNPYQLPPWQCYPPPHAYAPKYPVVYPPSFPRMLPGAGYGAAAPSAGMRTNSSFTLGLGPGMLGGGFGGTRVVDMPSSDKSAYDDAGHGTAPAAAGGIAV >cds.KYUSt_chr5.32286 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204846979:204865311:-1 gene:KYUSg_chr5.32286 transcript:KYUSt_chr5.32286 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAEPPAPLPVAEAAGEVIEVEDLEPGSPDAGSGSSGSGSGSGSGRSTSEYSGWVYHLGVNSIGHEYCHLRFLVIRGKCVAMYKRDPHENPGLEPIRKGFVSHTLMVEELGRQKVNHGDFYVLRLCNRLDKTKKGEIACATPGEARKWTEAFEQAKQQADHDLTRGVNWKRLQNENEFNLDGHRPRVRRYAKGLGKLVRIGKGPEMLLRQSSDLQSHESVNTNFGGDAGDAPEAHEWRFVRILNGIRIFEDIANSKGGKGILLKSVGVVGANPDTVFEMVLSLDKHKRYEWDMLIADLELVETIDGYCDVVYGTYEPKYLNWWKSKKDFVFSRQWFRGQDGAYNILQSPASHKKKPSRHGYGRAHINPTTWEIRRLNTSGSTPKCIVTRMVEISPSFWDRWKRRTSTNFDRSIAYALLSQVAGLREYFAANPAITSDSPSTVVKSKASEPLIIQSELEDSEPNDEFYDALVRGESFEDEDSDDDDDDVMTSKAGKVKLKNVSWAIAGLAMKRSKASLERSELVTNSIPVAIDSSHFHGNVRRAKSEDDPNSWSAPGGEKFMIRGKTYLSDYTKIAGGDPLLKLIAVDWFKVNERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAEKPVNKESLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTRACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSIVVDLGILIEAKEEKELPEYILGTVRLNRVNPDAAVQI >cds.KYUSt_chr7.27775 pep primary_assembly:MPB_Lper_Kyuss_1697:7:173329184:173336705:1 gene:KYUSg_chr7.27775 transcript:KYUSt_chr7.27775 gene_biotype:protein_coding transcript_biotype:protein_coding MERALLLPVFLLAALLACSSGGVHGAFNRSSFPKDFIFGAGSSAIQVKGKVKGKVKKRHVMIPLMILVGPLLMHGDAAKLKKRGENLDRMLEDHRKALYPGCDDGLKKLGCTLDLLRWKARAGVADSAFENLLKMLKNMFPKNNELPATTYEAKKVVCPLVMKKLTECEKEHMLPAGKIADGGNPDTANDFYYRYKEDLKLLTDMNMDTFRFSLAWCRILPSGTIAGGINKAGVDFYNSLINEVLAKGLVPFVTIFHFDTPQVLEDKYGGFLSENIVKDYVEYAELCFKLFGDRVKFWTTFNEPTIFSSFGYGSGVMAPGRCSPYVSKSCGAGDSSREPYMVGHNILIAHAEAVSVYRAKYQQAQKGQIGITQVSHWFVPYSDPATDADRHAVKRSLDFMLGWFMHPVTFGEYPATMRRLVGSRLPEFTAEQSEKLKKSFDFIGINYYTTNYAKAAPAPNGLQQQYGTDNWVEQTGVRNGVPIGPPAYVPIFFNYPPGLRALLLYLKNMYVGGDNPIYITENGTVEGNNSTIPIKEALKDGTRTMFHYNHLKFVRKAIQQGVNVKGYFTWTFMDCFEFGDGFKDRFGLVYVDRATLKRYRKTSSYWLENFLKRY >cds.KYUSt_chr5.30767 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194974106:194979277:-1 gene:KYUSg_chr5.30767 transcript:KYUSt_chr5.30767 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNARFLLFLIGLAACLLTTSRAQPTEVKVGLIIDADSPVGKIARTTIPMALEDFYAAVANSTARVKILQHDSGGDVVAAAAAALQLMTTQGARAILGPQSSIESAFVADLATQAEVPVVSFSATSPSVSPATARFFSRAAQSDADQAGAVAALAAHFGWRRVVPVYQDDDYGAAFIPFLVDALASAPAEVPYRCALREDATPDAVAAELYRMESEQTRVFVLHTRPGLARRVFDAAAAGGMTGDGYVWIITDGLTGLLGSVEPPQGVIGLAPYVPTTPRLRGVKKRWAQRYMRDHPDEDLSRAVLGCHAVWAYDAAWAVASAAERLNATDLSSPPGLVNGTGGPTDISGLGKSASGENFLRAIRNATFDGLGGKFELVDGELVAPAYRVLNIMDDGKERGVGFWSPRHGLTRQFGHASNTPAGELGLHPVIWPGESTVRPSGWVQPTNARKLRVAVPGNVSDSYRPILHLTVDPVTNQTTAGGFVIEVFEAAVRLLPYALPFEFVKAESQPYDSLITGVENGTFDAVVADMTITADRAAHVDFTLPYMSTDIAMVVPLRDQRSSSFTWFFFLKPLSSSLWLVSAAFFLFTGFVVWAIEHDNNGEFGAEVELTPSNRGKLTPSNEAGTKGKLTPSNQAGTLVYFGFSTLVFAHREKLTSNLSRLVVVVWVFVVLILQSSYTASLTSMLTVPQVEPTIADYRALLRGTDMVGVMNNSFTGKALTQSGFPQVRIMRYTTARSFQEALLNGFGFAFPKGSPYVTDLSQAILKLTENNEINRIERKWFGDPKGNDSQFTSGRLSFKSFRSLFLITGITSVVCCIIHLSFNLNDNRRQPIQQITSHASSPAEVPCVIDMVGSPHSASYKSEGSRSVEMAIPLTGEIEPVANSQSEEVVALARHFDSSRE >cds.KYUSt_chr4.1161 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6115843:6120068:-1 gene:KYUSg_chr4.1161 transcript:KYUSt_chr4.1161 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGKDAADPDASAAAVRSLFSADNPFRRKESAPEEAPPAAPAATPATPAKPVRKHPKPPAAEAEAAEPSRKEKQDEGAPPRSPPRRKRKRDEVEAGYERRKLGAPAEEEPAPRPVVGKKRKAPDDVAVAAAGGAGDEEDEAFDDEGKLLRTVFVGNLPLRTKKKALTKEFAGFGEVESVRIRSVPLTDTKIPRKGAVIKGKLNDSADNVHAYIVFKDEQCARAALSHNMALFNGNHLRVDMACPPRKKLRGEGPLYDRKRTVFVGNLPFDVKDEELYQLFCGPSGPQGDVEAIRVVRDPDSSLGKGIAYVLFKTREAANGVVRKRSLKIRDRLLRLTHAKAADATPKKADAGKTRGGPRQKTPSTPGSNSNKRKEPPSLSYQGLKSTKSGVVKKAKVIRRPSNQGKQQGRPSETGQSESSRKSKRPAVAARKAKQLTKKRKLDGSTPENTHRSKKARK >cds.KYUSt_chr5.14635 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94834134:94836734:1 gene:KYUSg_chr5.14635 transcript:KYUSt_chr5.14635 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRYEDFFEESHKQQGKKRNSSTKKVHFKDELQEMEGDDIENDDVKDVPTLEDEQGLSTHEKDEQGLSTHEKERLKMRAKIEQMEKASLDPCAWTMKGEVTASSRPKNSAIEVELDFEHNVRPAPVITEEVTASLEEMIKKRIAEDNFDDVEMPSTLPSKAPKKQKEMDENKSTKGLAELYADDYAQKAGLAPATLSISDELKKEANDLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEVAPVAVSDAAMLAPEEVFEGKGDIKEDTELTQAERKRRRANKKRRYAETHKDRPAKLQKNSDM >cds.KYUSt_chr1.23318 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138435784:138438746:-1 gene:KYUSg_chr1.23318 transcript:KYUSt_chr1.23318 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRPHPCPGCRSTGSSPSPTGLTPMGTRLMLLGAVGLPGMLELLEVDDVGEPREQDGAGSKSLRWSAEEDRCFEFLLRIDDDPLGIKRLTNKFGEFVDGVEPTQLQLRGASCNFCQSTVEVLFDWQGKMYLHTGWDKFARDLELEPGCQLTFLYEGDGEMIVKVFDDTARRKRTLTDNKMRRAARDALRE >cds.KYUSt_chr5.12944 pep primary_assembly:MPB_Lper_Kyuss_1697:5:84500698:84501951:-1 gene:KYUSg_chr5.12944 transcript:KYUSt_chr5.12944 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRAPNSGNQVFNQSQIPGNFPMPMNQVTDSDHMSEILFGERRKPDHHQVLHQNYHTKDSVTMSDDEEGLNEDTTDSQSGKGKKGSAWHRMKWTDSMVKLLITAASYTGEDQGTDLGGGKRNCAMMQKKGKWKAISKVMGERGCSVSPQQCEDKFNDLNKRYKRLTEILGRGTTCKVVENPALLDRMDNLSDKLKDVARKILNSKHLFYEEMCSYHNNNRNSLPADPALQRSLQLALRCKEENDLMRGASGDADEDDQSADSDYEEDNYGEHHSTHSNKEALPMQKKMQYMADHEDVGFGNSSSSHHCSQRSSPHGIALDINKVFPDGTSLALAQRDLVSESAELEKYRLQIEIRELKLAQARLKWEQLCKKKDKELERMRRENEEMENGNKRLELKVRQKALELELKLKGNGNHS >cds.KYUSt_chr1.4060 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24727217:24731255:-1 gene:KYUSg_chr1.4060 transcript:KYUSt_chr1.4060 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSKPECQAVKFNSLKGLDPVDCGVRYGHLITYTPMQSLEKTTLICDLVAVARLLNATLVIPEIQATTRAKGISSKFKSFSYLYDEEHFIAALSDDVPVVRGLPKDLREARKKIKFPTVSPRNTATSEYYTTDVLPRLVKSKVIGIIINGGKCLQSILPASLEELQRLRCRVAFHALKFRPEIRSLGSQIVGRLRASGRPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRSQMIKRGTVKEQLSVDSVSIKINGSCPLMPEEVGILLQALGYPSTTIIYLAGSETFGGQRVLIPLRAMYANLVDRTSLCSQRELSDLVGPEAPLSSDMPHPPPPKSEKELIEEWKKAGPRPRPQPPPPARPFYAHEKEGWYGWIGENDTEPDPSPIEFRRQAHRLLWDALDYFVSVQADAFFPGFHNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRKTVATLFENVSDHLYHPPRNWTMAARQHLNNSAGIEGIVMSAMMSKPVSFLAHPSPECTCRTPKSPAIQPVKDKHGWLLFGGEEECPDWMVRSLAMVSTKNNEPQSEDYEGELTEDDSSQDTQQESDRRDSNKSSEQDEEMDPDD >cds.KYUSt_contig_915.475 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:3068171:3071356:-1 gene:KYUSg_contig_915.475 transcript:KYUSt_contig_915.475 gene_biotype:protein_coding transcript_biotype:protein_coding MRMWMQVIREDTIDGAVERILDELKNTSSRENAIYFDGWDGLGTSAVLRAVAQRLASKEPARPPGLDFEEVIHIDYSKWKSRRALQREITEQLELPSWVMKEFDKQDEDDDFNGIIDQGTRIEIQEVTSKIHRTMESRRFLLILHNGHNEEIDIFNLGLNLSLSRYGYLGNKMLWTFQGRFRLDPRLRDMVVKNNMADVLISASPFERDPQDLWSYLLREEAEQVSCKHGIDPAMVVECFSYILERSYMGQNIIDYDWAFHASNFWICDGIIQQEQWRLGNALHEDLELCIDKLSRQEAKEEGLHKSHMKKYANLRPYWISTASCGFVLNPVGIIPNNMFQNSDKLSVIKLSQCAFSFLLPPFLYCHSLRFLCLDRCKNLQRNICAEEGNDSTRVWACFQSLWILDLRYMDMDWILSAQMMDLMTQLIELNIMGAENWDMSHLRGRLRNICKLRVTKSTCCNYESSLFSEMEQMEILEFSGNKTKRRGMSLLSLCSRLKSVVVDGSDGLEKISLQGFSQLKNVLLRGLLKGLEELDLSGTTLKTIDLGATCCLNLKRFLLLGCEELRAVLWPPKGSRQVLDVLRIGTTSRSESDNAVERNLPDSASTRSSSVVHKGKALHPHPYRHKSLKEQKEKYFNRWRISLTDARLLRSLLPVIEDLREKFETVYSQLRRRGSLHVDICAAAVLGGRNVQGAMSSRTNHISTLVDSKYRDVFTEAGLANKYDDWDDGPTPSAVTLMWDCPKIDLGDDYQTCIIEMIVNEQHREPLGDAYFAGKPLQDTASIELLADAFWAAQYESGGSVGSSFPVFPDFPDLIYPLVTSLHVYNSSSVTSIDAPSEDSWSDLRWCRVERCPKIHTVFRTPKGSKNVHGCQFPNLRTFWASQLLTACYVWSWSTATTHPDAYYSFHDLGFLHLDYCPRLVHVLPVQVHMSYSLRTIEIVYCGDLTEIFPLYMHNETQGQSLEMFWLERIHLCELPKLQHICGLKLTAPMLKTVKIRGCWSLKRLPAVSYYTEPPKVDCEKEWWDSLDWDGLEANHHPSLYKPTHSAYHKKRLARGSLLR >cds.KYUSt_chr4.36487 pep primary_assembly:MPB_Lper_Kyuss_1697:4:224235104:224236165:-1 gene:KYUSg_chr4.36487 transcript:KYUSt_chr4.36487 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQFVNLVLGTFRSGSFGMHRIKSSSLFHPKNQNRRSLPLTSLEEAELPKPAWTLSLSILKEDGGDLMFMPFGSSRDKIVSADQDGIVLVHDICQNRLSCKPRLKNGWNANSIAITLGDDLYLINRCPRVPDRFQPYRPCFQALINGVPPADVRGLPGWYWHSLPLPPYVETSGYEHSCASKIVSSTVVRDSIWVSTRGDDIGTYSFDKVSHEWSKVGSWELPFCGDAQYVPELDRWLGFSCGRDDQFLCASDLSVAAADGAVPTVCRVWKEDIATNPQNWELLRSDLVRVDNGRFCIARQFHVYDDHPFLDENFAVLTGVELEHTAEDGIQVVKHKSIRYNFNGKLLQLVC >cds.KYUSt_chr7.28979 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180308378:180311906:1 gene:KYUSg_chr7.28979 transcript:KYUSt_chr7.28979 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPWLPWLVVSFLSIYLLDLLAHARRRLPPGPIPLPLIGSLHLMGDQPHRSLARLARIHGPLMSIRLGAVTTVVASSPDAAREILQKHDAVLAGRFVNDAVGDHARDSVAWLPHGPRWRSLRKTMATELFAPHRLDALQGLRSNKMRELVAHIARLARDGVEVDVGRVAFTTSLNLLSGTIFSKDLASLDDHGGSKEFQALVSEAMKCGGSPNVSDFFPVLARADLQGLRRRLAQLLARLHQVFDAEVDRRLRGRELGETRKEHEDFLDVLLDVAERDGGKAGLDRDTLRALFTDLFLAGSDSTSSMVEWAMAELLRNPSSMAKAHEELAQVISSVRSIEESDINQLPYLQAVVNETLRLHPPGPLLLPHQAQEAVNIAGFTVPQGARVLVNLWAMGQDESIWPEPDKFMPERFLGRAVDFRGGDFELIPFGAGRRVCPGMPLAIRTVHLILGTLLNRFEWKIPVEVERTGIDMSEKFGVSLTKAVPLGAMATMI >cds.KYUSt_chr2.5774 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35869964:35872673:-1 gene:KYUSg_chr2.5774 transcript:KYUSt_chr2.5774 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKPEAEKEAMEWCVALPKVELHAHLNGSVRNSTLLELAKQLGDQGLIVFEDFKDVIMKNSRSLPECFKLFDLFHILTTDHDTVTRITKEVVGDFAAENVVYLEIRTTPKNNEGKGMTKRSYMNAVIKGLKAVEDVDVILFDSNLRTDGALSPTPMSDLAGDMKKKKIYVRLLLSIDRRETTSAALDTVNLAMEMKDQGVVGIDLSGNPVVGEWETYLPALEHAKELGIPITIHCGEVPNRKEIQAVLDFCPQRLGHVCCLNDEEWKKLKSSMIPVEICLTSNVMTGGTPSLELHHFADLYNAKHPLSVCTDDSGLFSTSLSNEYYLVASTFGLSKSELFRLALGAVEFVFADDEVKKSLRLMFERTATEGLAM >cds.KYUSt_chr4.7007 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41531816:41542544:1 gene:KYUSg_chr4.7007 transcript:KYUSt_chr4.7007 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREHRPLHRRQLERRVLLEEGEGGVRRARLLDPYFKALTTDPNVSAMSHRWHMIQHACNKWHDIVEEMRAMITAYREDNDGVEFKFVHVFARIETCGKWKEVRTAVAKANVLFDPNDAPTPAAVGRPIGNKKVKAMMDATPAIEKLHSSILACITDAAAHPATREEQAVKIEEVASARWASIMERNAVGVSLDERFAIMRSIGLESIDEDDVRRLLGKNVAPVCYVWCDPSPLVHVAQGIMMVIKIRKMVEAGCRVKILIADWMFQNMEELITDYESGALDATEVKLALTEALRVEVLPPSEGLEPGYVAVSLVPIPASGYRREISQEPLRLATLWHMP >cds.KYUSt_chr4.21442 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135044147:135045908:1 gene:KYUSg_chr4.21442 transcript:KYUSt_chr4.21442 gene_biotype:protein_coding transcript_biotype:protein_coding MTETSQDAAIKKASPASSDDRIGALPDALLQQILSSLPSRDAVRTCVLATRWRTLWKSVPSLRIGAAGERYGSAHALSKFVSHLLLLRDRTPLLECEINSHDSYPDGDTDEAFRYMELLVRYAVSCQARVLRVDVKEGRRLCLSDVSLLSDYLTSLELSFVEVVGRFLDFSSCPALKVLKLKHCAIDADRISSQSLTNLIIHDVVFCSNGRTRISAPSLITLRLDGFTGYTPLLEPVESLGRAFLRFEHNCDDYCENDNYFGDCGSESCDGCSYSKFYDKDDCVLLKDFSGLIYFIQHSPILERLTLQLESYEKKDVIEKEESYNPRSRFLVSKHLKLVEINCRKDDDTIHHIVKILGTHGVPHERINIKPSFWGLNRK >cds.KYUSt_chr2.10586 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67368404:67370657:1 gene:KYUSg_chr2.10586 transcript:KYUSt_chr2.10586 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPPAPEASAAEPMLGDWSTSTTTRRDEKNARNLGLTSAGNGNVTLPDFKDEDDEPPNPEALSIDHRSFVDDISDTAESNHDDDADHTAFVDAAPEKANLQPSKRPSGDFADEDDLFDLQDFQTIITTFEAFASQFTSLEADKIRLQKEVESTSSKLDNAVKIDAEARKNTDSLKEELKKLKKPADIPSDSLDKLPDNSPADALSMTIESNKVVEALLRKNKEVLSRMHAMIFPKASQEKTLK >cds.KYUSt_chr7.30081 pep primary_assembly:MPB_Lper_Kyuss_1697:7:187242715:187244955:-1 gene:KYUSg_chr7.30081 transcript:KYUSt_chr7.30081 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIDTVCTTKLFIIRMQVVIKALQIVSCLLPLVFIKRTHKKVLQQLFQKVSDEDMDVEMEVDEECVEEQGGPASVPNEEHPSSEKADTLPQPYVDQANLGDMLQGVEYYPAVGSDGTNANYYMQYQVLTMAIL >cds.KYUSt_chr2.6343 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39543834:39544617:1 gene:KYUSg_chr2.6343 transcript:KYUSt_chr2.6343 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPPTAAAAFPTSADVSIAGALLFLADSSTAPPLPTLSDELSAYSASSSHSVTSARSCVSDSARRGRRIDPLRVLYVVASLRCIDPKVLAKATSKLFLGEAPKKRKGVWIQTSDDEDESERGSTAASEGSAITAAASAGSTATSGRCRRPPRVTGGGVNMPGRAKRIMEWLSRPKAVPATETAIRAAVGDTGHTSKALRW >cds.KYUSt_chr1.35186 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214548520:214548942:1 gene:KYUSg_chr1.35186 transcript:KYUSt_chr1.35186 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPAARRRVDKDAMAKSLLVVLGLALLAAACTGQHGRGAATAASPDDDSLQQRQAAMKEAVKVFSEYNQQVTDPRALERAVATVNREVGTLRPIFQVVSRMPEGSAKEEARAAAKELLTRHFAQLLPGGSVKITNDMP >cds.KYUSt_chr1.10544 pep primary_assembly:MPB_Lper_Kyuss_1697:1:64496681:64497627:1 gene:KYUSg_chr1.10544 transcript:KYUSt_chr1.10544 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEIKDPAAAAQPMHDRKLSHSNSFSTATESPLPSPAWSPAPPSSGERIPVSELDRQSSLSSTSSYESFYQIEAAAEPAADQEFVPTTLPPAVQTMMAQGQPGVPGYDPKRLPSSMFRTQSTCPAEWSATSDQSLFSIQLENSGELGGPLYGVGDLYYDAAGVFHRLSSATRLPVVPEVSSSTSPGSSSEGLCVKDDCGKCGSSMSTKSVSLEATLEEGEAPATEPGAAADGWCCWPSLWWPSCACRACDCRCGDCRWS >cds.KYUSt_chr7.7977 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48166299:48166688:-1 gene:KYUSg_chr7.7977 transcript:KYUSt_chr7.7977 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDDRWRHIHNQSSEGIPFDSDGLTEVVRPGDDAELLEDVLLGEVAHGAQLRGLLAPPGSTVLRRDEHRTRHGLPDVDGLPVVPVQDEGDDPRASVLVVCRASWKKPSRSDSLPTRRRRSLWRLTTLA >cds.KYUSt_chr4.19276 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121068968:121070221:1 gene:KYUSg_chr4.19276 transcript:KYUSt_chr4.19276 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVPTIEVPTDAELLQAQADLWRHSLYYLASMGLRCAVQLGIPTAIHNLGGVSLLPVTTRGVEIFRLNPLSSILVDGVAADDHHIQTSFVLAVTSPHYTEAALGLADWFKKDTLGPVSSPFEDVHGAALFDDSMALLDKEFDALVNDALAAHDNLGIGAIMRECHALFNGLESLTDCCGGDGTTARAITKAHPHVQCTVLDLPKVIDKVQPDGVVNYVAGDLFHTVPKSQAVMLKLVLHHWSDEDCVKILSQCRSAIPSREEGGKVIIIDIVVEPSLGPIMFEAQILMDLAMLVYTRGRQRNENDWHELFMKAGFTDYKIIKKLGARAVFEVYK >cds.KYUSt_chr4.2902 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16539678:16540112:-1 gene:KYUSg_chr4.2902 transcript:KYUSt_chr4.2902 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIYNRRRWQPESAWPGIPHELLEKIIRLLNPLGHAAVLLVCSSWRSCARKAPFGRPGPGSGGSRAFFSIQCRDILRFALRAGRCCGQIGGWLATALDGEVGSALCNIFSGEFVALPQPPVSPVAKMVLSGRPPHPAGWPPW >cds.KYUSt_chr5.32263 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204688391:204689083:1 gene:KYUSg_chr5.32263 transcript:KYUSt_chr5.32263 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPQANEAKIEQELLSLEASISSSTTIGTMCDGLRRLGDIYNGVEEIIGLPSNQVGKMLNGEMDSSLELLDLCSIMQEIFVEMKAIIQELQAALRKGDDAAVQANIQSYTRLAKKAKKLFKKTTEKATSAGCRMVMLFTKAREISVSLLESSVHLLLKEIDMPKQSHVSKVFHKKKAVVCEEDLLQELECSIGDLENAAGHLFRKLVHIRVSLLNILSS >cds.KYUSt_chr2.5331 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33066587:33068509:-1 gene:KYUSg_chr2.5331 transcript:KYUSt_chr2.5331 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRLQLQALAAVLALVAANSVSHGFEFHEATVEAIHLGFSNGSLTSTALVQFYLNQISRLNPLLRAVIEVNPDALRQAARADARRASSGGLLTGGLHGIPVLLKDNIATLDALNTTAGSFALLGSVVKRDAGVVVRLRQAGAVVLGKANPSEWSNFRTVDSGWSARGGQTLNPYVLSSTPCGSSAGPGVAVAANMAAVTLGTETDGSILCPSSWNSVVGIKPTVGLTSRSGVIPITPRQDTVGPMCRTVSDAVQVLDTIVGYDAFDAAATGAASKYIPAGGYMQFLKQDGLRGKRIGIPNGFFQGYGEAELRVYQQHLDTMSHHGAVVIENLDVATNLTALAVDIASNEFMAVRAEFKLSLNAYLADLSSSPVRSLADVIAFNNANPVQERLKDFGQRNLIAAENTHGIGSVERAAIRRLEELSANGLERLMKEQQLDAIVTPNSSASSLRAIGGHPGIVVPAGYGEQGVPFGICFSGLQGYEPRLIEIAYAFEQATNVRRPPMFKP >cds.KYUSt_chr6.29064 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184031677:184035208:1 gene:KYUSg_chr6.29064 transcript:KYUSt_chr6.29064 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVVLAVLLASALAGAAAGGDIVHQDDQAPKIPGCSNDFVLVKVQSWVDGKEKDEYVGVGARFGPKIVSKEKYANRTQLILADPIECCSPPKEKVSGGLLLVKRGKCKFTKKAKIAEAAGASGILIINHGTELYKMVCEKNETELDIHIPAVLLPQDAGIALHSLVTDGKSVSVQLYSPDRPVVDTAEVFLWLMAVGTVLCASYWSAWSSREAINEQEKLLKDGHEVSLNVEGGGSSGIVDINVISAIMFVVIASCFLIMLYKLMSTWFVELLVVIFCIGGVEGLQTCLVALLSRWFRSAAESFLKVPFFGAISYLTLAVSPFCVVFAVLWAVYRQYPYAWIGQDILGIALIVTVIQIVRVPNLKVGSVLLSCAFFYDIFWVFISKRWFHESVMIAVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLVVAFALRYDWAAKKSLRSGYFLWSALAYGTGLLITYVALNLMDGHGQPALLYIVPFTLGTLISLGWKRRELQNLWFKGEPERVCTHVHMMMQPHQAKDISVSDPSSS >cds.KYUSt_chr3.46284 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291229363:291230784:1 gene:KYUSg_chr3.46284 transcript:KYUSt_chr3.46284 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSALLSNAPFVANLDCDHYISNSGALRAAVCFMLDPRDGRSTAFVQFPQRFDDVDPTDRYNNHNRVMYDGTMVGFNGLQGPCCLGTGTMFRRLALHGMEPPAHEEEAALVKSVGNSTTPPPPDEQLATLLTTCAYEEGSSWGRGVGWVYNVVTEDLVTGFRMHSQGWRSMYCSMEPAAFRGTAPINLTERLYQVFRWSGGSLEMFFSHSNPLAAGRHLHPLQRVAYFNLATYPITTLLVLAYSLFPVMSLFSEQLYIQEPYGTYITFVVAVLAMHHLTGMLEVKWAGITVLDWCRNEQFYTTAATAAYPTAVLYMAKKLVTGKGISFRLTSKRTEACSSDRFADLHTVRWVPLLIPTIVVLVVDVAAVGTAIGKAATWGFSTDPALYVLLGTVLNVWMLLLLYPFALGIMGPWGKRPVTLFLLLVLSVATVGVVYFTLHAHPSHWSQVAASLGKASSIWRVYTHASSYYY >cds.KYUSt_chr5.33535 pep primary_assembly:MPB_Lper_Kyuss_1697:5:212755556:212757930:1 gene:KYUSg_chr5.33535 transcript:KYUSt_chr5.33535 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGAPAEEETAEPPFGSLQIATSSSALTKRKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPGHALGDLVGIKKHFRRKHSGSRQWACARCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNAGCPQPGPDGSAPASGAPGAAAAPSSQMQAPPAAVSLSRSRTASSTSPSSDVVVSPVAWPGAAAMRSAAFHRFDQQVPSPRTPPSEARGGGHNLELQLMPPSSCSTGANSAAAPRPTMPPSRYAAPHSPQLPISKRDADAAMQLQLSIGIRTGGSRGEPKPLPSRGDVADPGSAAARAAQEARDELRRAMAEKAAADEARAQAKRLGELAEQELASAKRMRHQAQVELSRAHAIREHAVRQVNATLLQITCFSCRNKFRATRPPPAAVPSEVACSYVSTSVLTEGGDAEVDEPFVLDGMRRR >cds.KYUSt_chr2.37406 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231673621:231675274:1 gene:KYUSg_chr2.37406 transcript:KYUSt_chr2.37406 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVGGAGGVLEGARPAAAMVVVELIFSALQIFIKLALDDGMDVRVLVAYRLMFGAAFLCPVAFLIERKKRPPLTVKVVTGLFLCGLFGITINQNLLVLAIKLTNSTTIVTALSNLTPQSTFIVAILSRMETLKLKKASGRAKLTGTLVGLGGAMLLTFYNGPEIRFLHNLARTRLSHAHGNHQLLRLPPAEGSRILGSFLAIASCFSFAIWLTIQAKVGQVYPCHYSIAAMVCLFGAVQSTLLALCMHRDTDHWRLGLNIRLYSSAYAGLVASGSAFPLMSWCLRKKGPLYVAMFGPLIVVFVAVMSSIVLDETLHIGIVLGAVLIVAGLYMVLWGKAREEDEHEADAPKLVSQDEELGKESVTPARNVET >cds.KYUSt_chr3.29515 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184751768:184753167:1 gene:KYUSg_chr3.29515 transcript:KYUSt_chr3.29515 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPKAMAFVSCCCLLVVSTAAARSLAADGESFGGRKDYYTPGPTDPQHSPPSPPSWTSPTTPGSNYNPPSPSAGTDPGGQDTPPSPSSDTSPTTPGGGYYPPSPSSGTAPTSPAGGNCPPSPFSGVSPTTPGGSYYPPSPASGTAPTTPGVANCPPSPSSGTSPTTPGGGYYPPSPSTGTDPNTPGGGSYPSTPTTPGGGGGYSPSPTTPSGGNCPPTPSTGTSPTTPGGYYPPSPSTGTDPNTPAGGGCPSTGTSPTTPGTGGYSPPTPCIGTAPPSGTLTPDVPSAPGTPTPFDPNTPPFSPLVPTPPTSTPTPFDPNTPPFSTGPYSYWMSHPGVIWGIFGYWCPLVRLFGPSAAVPFGHDLTVPEALANTRADGVGELYREGTASMLNSMVSTGFPYTTMQVKDAFGAALSSGNNRAAAAQAQLFKLANEGHAMH >cds.KYUSt_chr6.20112 pep primary_assembly:MPB_Lper_Kyuss_1697:6:126797031:126798242:1 gene:KYUSg_chr6.20112 transcript:KYUSt_chr6.20112 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCTSAAEVLLGVVNADRAQRDSELEGGLGGATRPGGRRYAQPSFVAAEMQLEAVLGHRVGADARGAYLAVVGRGAGVAMMSPAEVQRCRLSGMAPLSQDSAALSSIGAAAAVDREGSGVLVLGDGSPRRGGRPYFALHLPEVCSAAEALLSGDS >cds.KYUSt_chr5.6959 pep primary_assembly:MPB_Lper_Kyuss_1697:5:43118261:43119136:1 gene:KYUSg_chr5.6959 transcript:KYUSt_chr5.6959 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLFSCWKDLISNYSFSFSFCGRAFKPTQDACGIQLAEAISSALVSYYLTGDGIGDGILLDPGYMKWKVPWVHPLRVIWFQYLLTGKSILQRYGSEHFQWEIAWSTHSAYCLHGYRLFLWEIAWPMVLEHCDVDNKQLIPAAPLRPFALSKDTPRIKVEAPTFCCGHYLDHPYCFDQVHADQDLWTHLILAMITQWPYKGLNLWSYPMPSVCQVRPKGVVLGPRMERTCCLPKPTSKQRRATRRAGRLPELLVGPGPSGDGPQNSGTRPGYCKGVPPDLYLVRKVMDCFN >cds.KYUSt_contig_1253.39 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:207929:208533:-1 gene:KYUSg_contig_1253.39 transcript:KYUSt_contig_1253.39 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTSMTEFAPPDVLADVAEEADEESELQVLEEAAEEVAYGWVGGAAAKRADWLAAYRARAAPALVAHRRNSADFSAVETAAFLRACGLCNRRLGPGRDTFMYRGDTAFCSLECREQHITHEEWKDKCALATSKPTPVMADTVALASASSDKPSAEGTLAAA >cds.KYUSt_chr3.41862 pep primary_assembly:MPB_Lper_Kyuss_1697:3:264133521:264134066:-1 gene:KYUSg_chr3.41862 transcript:KYUSt_chr3.41862 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRVELRRIEDRTSRQVRFSKRRAGLFKKAFELALLCDAEVALLVFSPAGKLYEYASTRQLLCSMRARYMLERIPERLTTMDDSAQDLGSNTTGVVPSPPHEHVLQNVLLFRGLVVPSSTAL >cds.KYUSt_chr6.30409 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192588590:192590071:-1 gene:KYUSg_chr6.30409 transcript:KYUSt_chr6.30409 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKRHADRLSTLPYEMLQHILSHLTSEEAVQTSLLSHRWRDVYAGVPVVDLIDPKSGRNKQEQLVCFDQQVTGAILCKSPGTPISTFRLNAFSPPLDLLHQWILIAVSSGVEEIDVKLRYLHCSKRRLCPFGSSNKASADFDQHERKSYVKTQHHLFGCPTLRRLRLANWTLHLPLGGVAMSATLDTLCLARIMDPKDQLQQLLSSCPHLAHLTLQECPSLTDITLTSARLKSFAMICCHHARRVELSSHRLQLLQYKGGLLPHNSLFKLADHAGVVALKIEICQDLSDQEPTQFAPATELIKGCTKLAHLHLSLRPSMAHCSSFFFTDVLPCMQHLRQLGLQCCFRNDNDVRSVAVLLGETENLEVLSLFPLGSETQKDVGTWYWSDSESDTEPEDGGGDGVDYSSRVTDGFWPTHIRCLDDKLRRINIWNYRGLQLEKMLAKFLLSKAAALEEFSVTLTAGCSQNKSKIAKEVRSWRMNHHTRVTVNRC >cds.KYUSt_chr4.6230 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36584255:36598138:1 gene:KYUSg_chr4.6230 transcript:KYUSt_chr4.6230 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGKHQRPQHGHDPRHGAASTSDAAAQQQAAAGMNPYAYAPNPHQYAQNAYNLMLPHLFLQNQAALAAAYQQQQQLQYHQRPLLPSPGYAQTPTANAQHRPSKPAPQPDAPASAPPRNQRAVLEKAQAAARKARDELARSGQAVTGWKVAQAALLALKADSWGALGVQLHDVPVLRDLFLIEGKVNTFIHCYVAARKIVSIHDLEVEICKNEGVGQFEELGLGPFLHHPLVAHYFLVPADLSKVPKLSSEDIINCLQNFIDNSKEKVTAESFLDYLAEQKSVSGKEKLGVRVQSLGLHISFLRQARRNEVAAIKLLGKISGSGDSTGEKDLPKQTDFHSGKQVLNMRFDAITSRIKQLPGINKHIHFDSSDDEVAGDTSSEGDAVDQSESDDSCHIVGSKGADKRVSKCPYPSTSEEIQRLGLKSEPNKKSAIESSKVRSMGCGLLDSIYDAIHVFNENKLSSSPIPNTTTEVMEIELPSKENAKSIAKGSNDSNEPRPNATTDDVVRRITEYFESNCGVSGAGALQVENMIFLKTLHDCETCVTNQFSAKHFTSLGHGTFLEFLEKYGHHFPPKLSSFLKGGISGSSSLEVSILRQQIEVLFSQAEGNWLEDGDFSGDSFLMLLKRQFPTISFDTARYKSDERLVGSVERQRKSIQTNNVTFSISLLEKRWSGMSPGEHDTASGKRDNAVEQSYNSGTVSSREAVKCLLKAPMLSDLLLWSHWDMLFAPSLGSFIHWLLNTGPVQQLACIVTTDGKFIRVDPSATVDQFLEAIIQCSPFQVAVKLLSLLHIYNGSTNTPISLLKCYAQRAIGIIINNNNDPVNTNSERKSVTEGSYNLSAEQRDRSTNFVGHVQQRSQSSSARNVMPDILTNIDSTIHFVAKFVLDCLGHLPSEFRNLAADILLSGLRAVTKNCYSAILHEATETWQLCMLHDIGLSLGIAEWVEDYHGFCLTEEVHTKTETNSSSGHTSAASEVPTLESSLMLIPHDVDMMNDNNKSFTGEKNQLSSMNNKNQNILNPIESKAETAMHMNQSLMMGEPNVEEAALVIETIRRDEFGLDQALSCTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYLEDVEPTLAFVLQENGIIVLNNERGFSAENIRALCDIGNSTKKGANRGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVPPYSTSSLSSMLSVEDDKSACSHWNTCILLPFRSKFRDGTGMCSIASMFSDLHPSLLLFLHRLNCIKFKNVVNDTLLVMRRKALGDGIVRISHGNEIISWLVVSKKLQGTLVRHDVHTTEIALAFTLQENEIGEYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADNAWNQWLLSEFPTLFISAQESFCSLSCFQSCPGKAVTAFMSFVPLVGEVHGFFCKLPHLILSKLRLTQCMVLEGSNSQWVYPCNTLRGWDEQTKVLFSDDLLQQHLGLGYLSKDIIIPDTLSTALGIHDYGANVFIDMVSSICRTEGCIESLGMEWLCAWFVNLHLMLLSHSSQNNPSATSLEGDLLFALRKLPCVPLSDGSFSSVADGPIWLPHDIFNSTSDCESSMNDFPILYSNLRIVSPHLLSVSCKNKYLMEEMRANDLMDILLKIGVRKLSGHEIVKNHILMSLPNGRDTKKADKMMIIEYVSFVMLHLQSPCTSCSFEKEEIVSELRTRPILHTNHGYKCPADEPIHFSKEYGNSVDIGKLLQNVEIRWIELDSCYLMNHGSDLLPSVLKRWRQFFEEMGVTDFVQVVKVEKNISQVDSLIAERISKGDISVTSFRVDDWESPELANILSIFSSNKCRENCIYLLEVLDSLWDDYYSAKAWCLTNSENQTVESSLMKCIRSFRWIASTVDDDLHYATDLFYDSENVRSLLGSVAPYALPQVSSRSLKKDIGFKTNVSYSDTLMILNLWVASQVPFSASVDQMCKFYTFVSEGAANAKIDIKREFMSRSIFTPLLRPRSTEAVPGKFLPPKDLYWHDPTGCFETTEGFVLVKKGMFPRRMLCSAYPSLCEFFTEACGVPKEPTISDYVEMLLRLSNVALPSQVAHQVFRVFVRLATDLQSVSGKITDIVYLKDSLQKLETTILPTVVDKWVSLHPSFGLVCWLDDNELKQHFKDCSGVDFIQFGELSSEDTQMLHGSVAALMKGLGIPALSKVVYREAIFYGMADNREKATLICGLLPYMQRYIYKMHKDAYSSFQQSEITKLSNLQIIVVENLFHKYMLKGHESSSKRRFKCHCLLQGNILYATKEADSHSLFLEISRLFFDGSSDLHFANFLHMVKTMAESGTPAEKVESFIVDNQNVPALPEQEAVWSLSCSFVDQDVGSEPVESSSASDFNIPKHQRSDGTVSSWPPNNWRTAPDLITSQRRQHQPLGEPKVNLVECVDTKDNWFRVELEEDWVITGDTRVENTLNAESSVATLDEPQMMMSINSDSAPAYIDLLTSSASEIVDTEVINFKDKMPNASEGSTGPPDASSLLGAGPVGEDASEGRVRLGEDASEGRVRLRTGAPDASQLLRTGRVGEAVVYRHFVDLLGPTNVRWVNGETESGLPYDLVITRGGNLIEYVEVKATTSSNKDWFYITTREWQFALEKGNAFTIARVLVSGKNTAYIELFRNPHKLCQNKTLHLALLIAPGQGRLQRQSPTPSYDKPFDPL >cds.KYUSt_chr4.43860 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271917427:271918412:1 gene:KYUSg_chr4.43860 transcript:KYUSt_chr4.43860 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEGMTDAVRDLAALSTRLLLHLGSDKANLALSPLSFHSVLVLLAAGATGDTLDQIVSFLGPSDGTAHASLASHVASGILAGGGKGVEPDVRSAVGVWVDSSFRLRPAFAEKVASQYKAAACAMPFQEKAEEARVEINRWFECKTGGLITDLMPEGHLSSGSVLVIGNALYLRGTWLDPFDPDDTKDGDFFVPGESRPVRVPFMRNTNSQYISCHAGFKVLRLPYECRGNHRFSMHIYLPDGRDGLQALVRELSSDTSGLLDRCVPQQAVKVGDFRIPKFKASFKH >cds.KYUSt_chr3.12177 pep primary_assembly:MPB_Lper_Kyuss_1697:3:72710532:72711539:-1 gene:KYUSg_chr3.12177 transcript:KYUSt_chr3.12177 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHGKDFRTAKSLQAARQRNSARQRPGHCKDLQEVRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQCTSYVSKFKQKYGEEANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEQRAQMEQQILQYQQQQTQMMQQMQQQQQMMQQQQAQMSWLMSQTALSSPPGSLPAPPPYSMPWMPPPPTQTPGTPITVNNMNIIRSMNRGESSCAQPATCTCSSVQYANANANVHSINLIDYMSQGNDDEAGGSGGQG >cds.KYUSt_chr7.16470 pep primary_assembly:MPB_Lper_Kyuss_1697:7:102041941:102044765:-1 gene:KYUSg_chr7.16470 transcript:KYUSt_chr7.16470 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEYEPVPVPGQKKVGGGRTALKLLMAVLLAGFALRLLADHCASYRLPPPAAPEEALAFTVTAPEQDADGVDGAAKCDLFHGEWVPDSSGPAYTNATCRFIEVPQNCMSNGRPDDGYLHWKWKPYGCDVLPPFDGKTFLESMRGKHWALVGDSILRNHAQSLVCLLAKVEDPTDAYHDETWQSRRWYFPSHNFTLSLVWAPYLVKSEIFEDENGVSSAEPRLHFDALDANWISKWSSFDYVIISTGQWFFKVAVYMEKGAAVGCHYCKDKSLKEITIEQSFRRSLREAFRFITTSPHKPVVFYRTWSPSHFENGEWFSGGTCDRKVPFKPWQTGDRQLDNLMWRIERAEFAKAAADDGASNAGRLKLLDTFEMSLQRPDAHAGPYRTFHPFAKENTGKIQNDCLHWCLPGPIEAWNDIIMQMLPKE >cds.KYUSt_chr4.33912 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208193541:208197464:-1 gene:KYUSg_chr4.33912 transcript:KYUSt_chr4.33912 gene_biotype:protein_coding transcript_biotype:protein_coding MRAACGAGGGAGRKEVTAPWMWLLLIATACGFVFLLLNLPDHRSRSGPGGEQTGGAHLSAKDKLQIGDVTKLNVLPEEIEGQADEIAAEEDERISRSPPSTKEKIWMMQDQLIMARAYLQFASSHGSAHLIRELKMRMKEIERAISHSSGASRLSGSVLQKMKAMELALSKAQRAYPHCSHMSSKLRAMIYNSEELVRAHQSESSFLEQVAVRTLPKGHHCLAMRLTSEYFSLDPKEREFPKRFSMLMDDFHHYAIFSDNVLASAVVINSTIAASKDPKRIVFHIVTDSLSFPAMTMWFLANPPSPATIHIKSLDELKWLPADFSSRFKQKGIRDPRYTSALNHLRFYLPEVFPSLSKVLLLDHDVVVQKDLSRLWGLDMKGKVNGALETCSSGKGYDQLDNLVNFSDPSIINKFDAKACIFAFGVNIFDLKEWRSKGLSATYDKWFQAGKRRRLWKAGSLPVGQLVFYNHTVPLDHRWHVLGLGRNSNTRRDEIESAAVIHYSGNLKPWLEISIPKYRDYWNRYLNYDNTYLQQCNIHR >cds.KYUSt_chr5.1257 pep primary_assembly:MPB_Lper_Kyuss_1697:5:8708073:8708594:-1 gene:KYUSg_chr5.1257 transcript:KYUSt_chr5.1257 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLLGGPSAGWLVDDPEIDFSDEEFEALPPLLYYQRYRSGPCLPSPTPSGEDPAHFAPPGYDPLPEIEEENDVASAANVAPALLDLNLPAAEETEEENEDAPPAPSLALPTPSPEARVLLRRFASAMAARPAGIRRGTWSPEALGLTGHFAELRLNEAAHHFSSSGEGSSRR >cds.KYUSt_chr3.4183 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23823072:23827538:-1 gene:KYUSg_chr3.4183 transcript:KYUSt_chr3.4183 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPNDSAVCAAEQSRENEKPAAAGEPEAAAAGDVFIYREDVVCLKENPDTRGLVMEVAGEYDSEGSITDDDTDTEENERKSSHRTEKGGGGGAAAAADGDNCSHGSDLDSLPDNKVRVQWIDGSEKTEDIDSVAVVDRSFLHGDMVASSSDPTGQMGLVADVSLVVDLKSARGDIVKGVSAKDLRRIREFNVGDYVVNGLWLGRVDEVFDNITVLFEDGAVCKVSRADPMRLKKVTGPLHPDVACPFYPGQRVRAASSSVYKTSRWLHGIWKANRIEATVIKVETAAVIVYWIASAHCGTNQESVPPEEQNPKDLTLLSCFSYANWQLTEWCFPNTCSSSCTNAALTECSKAKEPSSEHSCLTSDAPESAIDVQAENSKTDSNPGQKDGDFPADQPNTSDGDNASVAKDSESGTSVPTAPKEGVQDNGTYRKKSRKLIFKKEHKRTKRRDEIFERALLIADTHTKVDVIWQDGTKEYGVSSISLIPIQSPNDHDFFPEQYAVDKVSDDFDGSSEARRAGLVKSVNAKDRTVSVTWFKPSLHSQEPREIECTEIVSAYELDPHPDYDYCYGDVVVRLPSVSHPLEPTISGSTMEVDNNVDSAEALAASNTVPSDVEAEQQLSQTESSSEVTGLSWVGNIVGFQDGEIQVIWGDGSLSKVGPHEIYVVGREDDGASLDDGTASDAASWETVDDNEMDVPDDSANDDSQNIAENSIPMENGSFDSQEESSVASGPLTAAFGFVTRIASELFARGKKHLDGSNSDAMDEVESNEVSESGDDDTDKIEDENHIATSECTAMATNDSSAEKSADVVMADEPADSDCLKHFDVLQCPPDHHYLENIVHGTGGRKWVKKVQQEWSILEKNLPDYIYVRVFEDRMDLIRAVIVGASGTPYQDGLFFFDFHLPPEFPQAPPSAYYHSGGLRVNPNLYVDGKVCLSLLGTWSGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSVRSMLYILRRPPMHFEDFVKNHFCKRGRYILKACEAYLQGDVVGTLNDDACSTDKNKEYSCSMGFKLALGKILPRLVTALKDIGADCSQYEHLGKTEAGKTESVQES >cds.KYUSt_chr5.8234 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52073685:52076908:-1 gene:KYUSg_chr5.8234 transcript:KYUSt_chr5.8234 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEAQRYGGSAGGGGGGIGSLSVDLLGQVLDRVPEPRDRKACRLVSRAFARAEAAHRRALRVLRREPLPRLLRAFAALDRLDLSACASLDDASLAAALAGADLGTVRQVCLARASGVGWRGLDALVAACPRLEAVDLSHCVGAGDREAAALAAAAGLRELNLEKCLGVTDMGLAKVAVGCPRLQKLSFKWCREISDIGVDLLAKKCRELRSLDISYLKVSNESLRSISTLEKLEDLAMVCCPCIDDEGLKLLSTGSNSLQSVDVSRCNHVTSEGLASLIDGHTSLQKISAADSLHEIGQCFLSKLATLKATLTVLRLDGFEVSSSLLSAIGENCINLVEIGLSKCNGVTDEGISSLVVRCSYLRTIDLTCCNLLTNNALESIADNCKMLECLRLESSTSVSEKGLERIATCCPNLKEIDLTDCGVNDAALQHLATCSELLILKLGLCSSISDKGLRFIGSNCGKIVELDLYRCSSITDDGLAALADGCKKIKLLNLCYCNKITDSGLSHLGSLEELTNLELRCLVRITGIGISSVAIGCKSLIELDLKRCYSVDDSGLWALARYALNLRQLTISYCPVTGLGLCHLLSSLRCLQDMKMVHLSWVSIEGFEMSLRAACGRLKKLKMLSGLKSILSPELLQLLQACGCRIRWVNKPLVYKDAI >cds.KYUSt_chr4.16733 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103995143:103998179:1 gene:KYUSg_chr4.16733 transcript:KYUSt_chr4.16733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nf-Y-A subunit [Source: Projected from Oryza sativa (Os03g0647600)] MMSFKGHEGFGQVASGGGGGGAPVPWWAASQMLYGEPLSSSSPEAETRRVGQFQVVPRAQGVLDPAVPPSPAPKTGAPEVLKFSVFQGNLESGGKGEKTLDHSTTIALQSPLPEYNSRFEFGSGPSMISSSYPSADQCYGLLTTYAMKSMPGGRVLLPLNAPADAPIYVNAKQYQGILRRRRARAKIERENQLIKGRKPYLHESRHRHAMRRARGTGGRFLNTKKEGGGKDAPGGGSKKTDYNLPSRFATSPSSVIPQSELRNPHSRSSISSLSGSDVSSMYDHEDVDHYNSIEHLRTPFFTPLPIIMDGEHGAATPFKWATATDGCCELLKA >cds.KYUSt_chr3.46541 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292732420:292735472:1 gene:KYUSg_chr3.46541 transcript:KYUSt_chr3.46541 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDQPSQDSLQESQLSSCGNVASRARWVEDAGEAEVLDSKGKLWLTTGVTRGGKLYYNVEEIGFLAERGALVLLDDEDETIGLEEIYKKIAGGNYGCSWDAFQAYKHLKVLGYIVGRYGVPWTVKHSGTCEVTDPPKSMADTERCSDRANGACNDITKFLKQMHIDGIYPSFEVHLPNSKFKKSCPGVPTFLLCLLRDKPPSRDELETVENKFEGIPLKFCQVDNGRISFLSFDNVTLPSLP >cds.KYUSt_chr3.34070 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213734666:213735169:-1 gene:KYUSg_chr3.34070 transcript:KYUSt_chr3.34070 gene_biotype:protein_coding transcript_biotype:protein_coding MCASFSSVMQRLRNQLCRPANTVVIVLLMLVIGSNPARNAAVREAPEDDDDVVEDLVRIPQLRRPPYGRAASTAGVTAGRTDGSTAPASGEAAAFQDGVSNGSQHPMHGRHASELAGGNAHHEEVRPGPARRVQGSSSASVQEEPLCGQRQRRERRNAARGRRPWRL >cds.KYUSt_chr3.27063 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168916480:168920197:1 gene:KYUSg_chr3.27063 transcript:KYUSt_chr3.27063 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLTLLLAVAAAALFAVASGARASKSAQFDLFRLGSGSLAQQAFHDRYRLSTIAARLRLTSGRARRRTRETAAGSRAAAFAMPLGSGAYTGIGQYFVRFRVGTPAQPFLLVADTGSDLTWVKCSNSSSAAADKEGRAFRPERSRTWAPISCASDTCVKSLPFSLATCPTPGTACAYDYRYKDGSAALGTVGTESATIALSGPAAAPSKAKLKGLVLGCSTSYSGPSFDASDGVLSLGYSDISFASHAASRFAGRFSYCLVDHLAPRNATSYLTFGPNPAVSSHTSSSSCPRAAKPRARETPLVLDARMRPFYDVSIKAISVDGEFLKIPRAVWDVDAGGGVILDSGTSLTVLAKPAYRAVVAALDKKLAALPRVTMDPFEYCYNWTSPSVVDLPKLAVHFAGSARLEPPGKSYVIDAAPGVKCIGVQEGPWPGISVIGNILQQEHLWEFDLKNRRLRFKRSRCTH >cds.KYUSt_chr7.21008 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130151091:130152926:1 gene:KYUSg_chr7.21008 transcript:KYUSt_chr7.21008 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSCNLTAAASACLPLRKPGTTPFKTKHVRRRRSSCKIRAGNAGAGDDDALVRRNIDRRDVLLALTGFAATTSNLGLAALAADDTVPAVCLTPPITNEVIKCVSADGFQCPGPFRPEDVVDFAALPPPNGPLRVRRPAHLVAADEEYVKKYEAGIRMMKDLDDTDDPRSFKRQAAIHEAYCNFHYKVTAAATAAAGAGSPATEIDFDVHFSSIFAPWHRMYIYFFERIIGELVGDSTFALPYWNWDAPDGMILPAIFNNESSPLYDAKRNREHVDAVIDLNLGPGKQNDLPVCSDDACLKATNLCNIYRQMAVDTAAQFHGDKFCAARVMSPGSLENAAHTAVHIWLGDPLATNKGEDMGVLGTAGRDPVFYSHHANVDRLWHLWTAALGNENFVGASAAQWLDTGFVFYDEKRRPVRIRIRDVLDAGRLGYAYEEKETLEWQDKRPTPAAGIERSGAKPSVPAAATSFPVALKNGEKKYVTVERPEKARAGGGSSKKAPEVLVVDVTIDPCEYAKFDVLVNVPKGQEARVGPQNSEFAGSFVNLPHGGGDGGGRGSMGSLKLAYRFALRELVEDLGCGRDQLLDVTLIPVAGEKVVVDDVRVELLE >cds.KYUSt_chr5.20639 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134086646:134086864:1 gene:KYUSg_chr5.20639 transcript:KYUSt_chr5.20639 gene_biotype:protein_coding transcript_biotype:protein_coding MAACDGVARSDPARAVGASAPPSPARSTVGSSFFCLAEDEDSDEETRETAEEAAWSVLGLDPIVQQIRRSPE >cds.KYUSt_chr4.23292 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146695364:146701132:-1 gene:KYUSg_chr4.23292 transcript:KYUSt_chr4.23292 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGPTNSAMAAEFRRLGLDPDDAEGLYRRAAASFVDILGTLGPVMAVVTDFLNNHLNDSASLLSRLFFPPNYDFPTPPASPAARLFALPPDDAVDRVSRLPDALLADIVSRLPVKDAARTAALSRRWRGVWRSAPLVLVDADLFPAASAVSTVLATHPGPVSCAHLTSSYTVEFHDLLPCWLQLLADKAIQDLVLVNRRWPLDSALPATFLAMATLTRLYLGLWKFPSTVGLPRKTCFPNLRELELCNVIMGSRDLDFILDRSPVLEMLSIHGNLVKFRLRLVSQSIRKVALTGSFVEEIFVVDAPCLDLLIHSEVWIPGGSCSKIKIGHAPNLRYLGFLHPRNHVLEFSNTIIKARTRVSPSTMVPSVTVLAMHVRFGVRNDLKMMPSFLRCFPNVDDLRIRSMKTDQSAGKLNLKFWHESGTIECIQSHIRRLDFHDFRGGQSELAFLKFFFESALVLEEAVIHLDAGFTSMEEIDSKLEILGSMKRASEASLVVATGCSGPQGGYTRSFKRDVAKLKLRLAAFSPLIAKVYKYLSGWRALMLSPGSRLVLLNAVLDALATFTMGALELPPSVVAALDKLLHAFLWAATDRVTSTKCLVAWDHVCRSKEEVGLGVRSQWTKMPASRSSCCIAPGESWPRWVWSSLAPRWHAARRSCQLGDGTRMTF >cds.KYUSt_chr5.22197 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144865034:144867238:-1 gene:KYUSg_chr5.22197 transcript:KYUSt_chr5.22197 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIRPVGWMNSVYLSSYGHQSGFLSIDCGVGPVGDRRDTRTGIEYVSDGLYVDGGENHRIASNQSANDESLKTLRSFPSGVRSCYTLPTEEGTKYLVRMVFNYGNYDGKAHSPSFDIHLGNNYWDTFLNRDYWWSEAIFVAWASWVPVCVVNTGGGTPFVSTVELRPLNSSLYPDATVDEYISTHERTNLGADHNIRYVV >cds.KYUSt_chr3.216 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1263185:1263823:-1 gene:KYUSg_chr3.216 transcript:KYUSt_chr3.216 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQQLVRKTKELEAEQIRRLELELDKDKEIDSLEKQNEELRAENECYRKTAKPPGNARLFCDESVLGHCPERRAFVSSEQGYDGSH >cds.KYUSt_chr1.6215 pep primary_assembly:MPB_Lper_Kyuss_1697:1:38200598:38201553:-1 gene:KYUSg_chr1.6215 transcript:KYUSt_chr1.6215 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKRPSPDPAARFLPLAATALPRCSVQPDFATHKMREILHIQGGQCSNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYKEASSFCSS >cds.KYUSt_chr7.11662 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71630651:71631244:-1 gene:KYUSg_chr7.11662 transcript:KYUSt_chr7.11662 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRQSEWIVLRRVHAFLKSRKLHRAAYALEKEARLKLDLPHLHKLFAKGRWRAADEYVTAFMGAKEETSTPAASATLFVVRFERFVRALRRGDEAWAMRYFGRAVEPLLDSHPDKAAARAECIRALELDDESLRRSYPDDDRRRRERETEFIRCVYENESISRAFNDVFDDNLRFMSKTTSLGLRRHVRRGPPAV >cds.KYUSt_chr6.12887 pep primary_assembly:MPB_Lper_Kyuss_1697:6:80357550:80366719:-1 gene:KYUSg_chr6.12887 transcript:KYUSt_chr6.12887 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPIEGQFISVMNLKDMIFESKHLGRGTDFDLMISNAQTDEGCFFVSKEPFFDFFVWVNPEESEWDDEFGNSLYVSDSIPSQPVSQAVNASENQVDEDSKIKALIDTAAVDYSQNSDGYGSGRGYGRGMGGRMMAGRGFGRGPGRLDNRTLPPGYICHRCKVPGHFIQHCPTNGDTRYDVRRMKPPTGIPKSMLMATPDGSYALPSGAGAVLRPNEAAFEREIDGLPTTRSVGDLPPELRCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIINRSMCVCGATSILADDLLPNKTLRETISRILEAPPTSSTENAGSMVQIQDMESALPVQPKIRTPAVSAASKEEPKSPTHIEESPDAESQSGLKANVDVTSSDKKANTIPDVAEGTMDKAQNEKIPDMTHVTKESQEKLPAGEQAVKKKKKKKVRAPGNAEEQWNNNYQDFGAENFAGMPMGPPGNFNPYWGGGGMPLPMDYMGPPFPGPMPYMGYPHGPFDPFGGGVLPQDPFMPPGYIMPTIPRDLSELAVNSMGMNMGPPVVRRDEFEPRKPDGRRREMDRFNGREREREREHSRERERERERQRDRDRDVDRDRHRDREYRREGRESSGAVNDSTSMRPKDRSRSQADRSERAMPPPTLSPERHSRRSPRRSSSSGKKRASSDRYDDLPLPPPPPPPTSRREAEHAKAAAADAAAADQRSKAKASVFSRISFPGDANANASDSKRSRRSSSDKAPASSSSSKKAEESDSRHNRHHHRETTASAEEERRRPPAVAADYDDEEQSSEEEKHFKRRPSSSRREREHEEPPRHSRRSRERGDGHQHNGAAGGAGHKRR >cds.KYUSt_chr4.39483 pep primary_assembly:MPB_Lper_Kyuss_1697:4:243680521:243683857:1 gene:KYUSg_chr4.39483 transcript:KYUSt_chr4.39483 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGVQKVKKLASLVSKKDGEASGLGLRRPASPPRPEVQPRQRGRRPVSPRAAPLRRPRSRRRATHPPEEQQVEEEHPREEEVHSRQEEVHPRQEEEVPEDLMHPVFFRTAHDVLSSGGMYESEDESEDDEGEEDDGEEGDDGEEGDDGEEDSRQWNPPEEGEEFVDPDEELRKILPGSRPVYCRGISSLPDLDDWLNTAGKIVLIATGERTFEYKDDITPPRVYPSILGCLLRKHFPGFVPVSRILGSQCVAWTWKHYMYAPDPEGEFENAQQRVLDDFWKYFVPDPEHRLLCYSVANAVATKQVRDMHYEGRVWCVCNWYAEMRKIRITKKKARKITMEPWQYLQVPPQYVGLANKEVFRSMVRYWTSKEFKKKHDNGVKRKAEMGHRGCHRQGSLSLAGHIQKERRETKVEPSFFTVWKRTRTLDEPDPDRKGSMWVSDGSELRYTEYCKKLGEMRGTDVDPLSVPFDPEVAVLAGQGKRNGRLWIGDGCIHPATVPSIRQLRRGRTSSDPQIETRPTPSSVAMQRIQAQVEEQNKLREEAEARAQRMEEQMMLQQQQLAQQQKTMEWMTQQMSQQQEHMRMLAMQPGGVTLPPLAPPPFTFPWAPPSSGSNNMPLGGLGNQEGTPPVPPMAGSHVLSNQNVARKL >cds.KYUSt_chr6.18665 pep primary_assembly:MPB_Lper_Kyuss_1697:6:117312707:117315499:1 gene:KYUSg_chr6.18665 transcript:KYUSt_chr6.18665 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEERRGAVEIEREHAEQANFGFNLDLGDQALQSFHGPPVEVELEVDERRESRWVNHPMGADPDPGDTIWNAVLEMSTDDDTDDDGEALYRGQVIDEEKETNCPAAPVLGYREPAEMLQIFSLCLSCSEVSYPISVYGIIAVRDDLEPLRNHLFCRSRDDAVMIEQDSFTLPICTPCRGMYVLEHALLEVDLWVKKEGDGSVDKQLLSAYVEIFVRSDFDFMLKGQISSDSCTLDIDHMFLSESVEAVIEIFAKSGHPHHMRFSAFSSGFDHEIVMFDDKCYMNDKILKHVIAVKSKGKLDVHLKIEESLFCWTFQDEIVGPVTSPDDSISVYGQFSVRVFFAPKDMQPSSYPTYRDWLKVDPMLQ >cds.KYUSt_chr3.5249 pep primary_assembly:MPB_Lper_Kyuss_1697:3:29809992:29815185:1 gene:KYUSg_chr3.5249 transcript:KYUSt_chr3.5249 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHGITGQSHRREESSNGDATDQSGWIPPWCEREITAPIGFNITFTGMINLAIGMGLEFPGRQTDVDAVLHIRESELNRYDVDKSNGRESYMAYVAEGLGNLLDWNEVMKFQRKNGSLFNSPSTTAAALIYSYDDKALQYLNLLVTKLGSAVPTVFPLNIYCQLSMVDSLEKIGISRHFSSEIMSILDRIYSLWIQRDDEIMMDIQTCAMAFRILRMNGYDVSADELSYMSEASSFCNSLQGYSDDTRSLLELYKASRVSVSKDEMILENIEEWSGNLLAEKLCPDVLHRTPIFEEVLA >cds.KYUSt_chr4.23331 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146913178:146915539:1 gene:KYUSg_chr4.23331 transcript:KYUSt_chr4.23331 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWLVLPLILLWALSQLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRTHALELQKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLGAAGKVPTGWPCSQDWANAVGDPGYWLDLRCSADNSYSGFSWRLFSCFYVSIAWFWKKLFRFGSLRDSGGLGRDGRMLTKGGDNGGKAEESKVDKAKRKAEEKRLARLEREMLEEEEKKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDARKETERKRQERRRKEDKGSSKSNSDCDDIERRVSREGEWKRDFDRRNEPDKRDAIRVGAEGYRSHNFDANSQGSKIVQSRTKYFGRMTGGLLSSSRGFSGGSIFGKSAQAPAPQANKVAKQVVTATDQSNTVKRDAQPAAAPAMAKSSTTGETRNMWTNSHQPVSPNMQPHPTSLKKSWHQLFSRSTSVSPCPDITASAREKIRQPEPNGAQISSAHNFLAQYPPLDSKPSLSQSIQFTGFPPVNVAPANMPLSHFPAGHAPFYAEAEPTVMEESERFEDPCYDPDAIALLGPVSESLDNFPPDWDSRFMLGAVTREPHVKPSPIESPLSKSRVVEEKPIKPSHFSISEGPNGSMTPEATNQQGTWQMWSTPLVQDSLGSALGAGVHNNDLWLQKSPFQQLPRGTESLFLKHDFTENAVHRDLSFESPNKVARAHPFGPPGPGHHWSK >cds.KYUSt_chr4.18917 pep primary_assembly:MPB_Lper_Kyuss_1697:4:118764753:118766117:-1 gene:KYUSg_chr4.18917 transcript:KYUSt_chr4.18917 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGPAPAVDVPVPVPGGGAPATILDSLGEDITRIVGPVSACMLIVVLLVSILSSPSSPSPLTAALNTAAAAGGDAGAGDDLTSALITAGTFVVFVTAATFLMALLFYFRCTPCLRAYMGFSAIWVLLALGGQICLLLLSRLRLPLDAVSFALLLPNAVAALALAAVSPASVPIALHQSALLAIAVLTAFWFTLLPEWTTWALLLAMAVYDLGAVLIPGGPLRVLLELAMERNEEIPALIYEARPVDPRHGQNWRLWASRQPPAGDLDPTSTADAIAEALRRRSNSADSLTQAAVGNPSLSQFLVPALSSDPANAQTREVLAALPETRVDVAALRVPLIQPQPDRTREQQDDDSDDEGGIGLGSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGVTLLLLAFFRKALPALPVSITLGVLFYVLTRTLLENFVMQCSTNFLMF >cds.KYUSt_chr6.2336 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13615801:13616932:1 gene:KYUSg_chr6.2336 transcript:KYUSt_chr6.2336 gene_biotype:protein_coding transcript_biotype:protein_coding METDACEIERLPDELLAAVISLTTPPDACRAAAVSRAFLAAADSDAVWSRFLPRVLPVFAQGELPCAPPSKKALFHFQRLADEPALLPCQLVSMCVDRATGAKCYMLSARALHISWGDNPNYWAWIKLGCDEIKTNKSFKEAAKLRGVWWLEIRGKIHSMLLSQNSAYAAYMVFKLADAGFSQLDFPFQEASISVGGSESTRQTCLQGYMKVGDDGVPRKHLLVSRTRNLTLLRPRRGAVPLTDDIVLPRKRADGWMEVELGEFYNEDGDDGEVSASLMETKGGVWKDGLVVWGIEIRAKQ >cds.KYUSt_chr3.24256 pep primary_assembly:MPB_Lper_Kyuss_1697:3:150590494:150595672:1 gene:KYUSg_chr3.24256 transcript:KYUSt_chr3.24256 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASDLESKAKAAFVDDDFELAVDLYSQAIDVGPATADLYADRAQAHIKLGSYTGTCLPLSRTLLRLDSLAYVKICLEGVDEIEISACIKLEEYQTAKAALEVGSSYASGDSRFTRLMKECDDRIAEEASQVPVKSAAAVAVAPATTSGATTVATAAEDKEDGASMENAQPTVEVPSKPKYRHDYYNSTSEVVLTIFAKGVPADSVVVDFGEQMLSVSIELPGEEPYHFQPRLFSKIIPEKCKYMVLSTKVEIRLAKAEPITWTSLDYKGKPKAPQKINAPAESAQRPSYPSSKSKKDWDKLEAEVKKQEKDEKLDGDAALNKFFREIYSDADEDMRRAMMKSFVESNGTVLSTNWKDVGKKPVEGSPPDGMELKKWEY >cds.KYUSt_chr7.23921 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149118298:149122172:-1 gene:KYUSg_chr7.23921 transcript:KYUSt_chr7.23921 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFDDGYVNAPESNAGLQGTNLPGKTGIATGTNSPTSTCRSSQNVRSNQGDLAAVDTNINISVQPPRRRSGCYIGGFICALFSKEDCRKSDDSENEVDGEGALFCTLCNAEVCQFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFIALMTTSLLWLAIEVGMGIAVFVLCFINKNAEKIIHDKIYGLSRPAFAVIVGISTLLSLVACGPLGELFIFHMLLIRKGITTYEYVVAIRAMSEVPQDEEEEERRLVFFLEALLVTFVPMLKQDEVIPHLERGVIPSTIDPDATGHAERPNKAKKQVKISSWKLAKLDGNEAMKAAARARASSSVLRPRDARGGHDADLSSSGNGSVRSSISADYSAAREQRGGLKLFSLQNSYPQSLASHDDYETGTQSASSVGSPVHIHKLAPHTQINMPSSRPPPPPERPIPRPPVPPTKQVTNPMFQSATSYVRENRRASVVWDQDASRYVSVAPAPARPRGADGRVAADQPARAPPFLENPSGEPGSNNNRGRNLTPMNVSSSGLPSGQPSEWLTHSGQSIFFGGPVMSAAVAGSQRNEADARAQPDDRRELNADHQDARGERGRTAQSFPLFAPEAFQKNPPFNSSTEEKINQQAVDLFDHPSEQKVNPSVQLRQKAMGSGGGMEVEVRVVGGARSCFVALPLHLIQALSRTSASADLPPVLALDLRAAAGARWSLAWSGAASRSRAIEVSDDPVPPLL >cds.KYUSt_chr1.19185 pep primary_assembly:MPB_Lper_Kyuss_1697:1:112639633:112644211:-1 gene:KYUSg_chr1.19185 transcript:KYUSt_chr1.19185 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFRPPPLPPAPANPFSAPPPHQQAESAPPPPPHQQAGSAPPPATLLVRHLPEAITQEMLSRLFSHYGATAVRPCSGKLRNCAFVDFRDEMAANQAQSLLNRLRFLGKVLVVERANQPNSKNANEKHQEQLAQGMPQVPSMNSQNQKNSTSTSEPIASRLGVDYPFPPHLEYAYPPPDGNILTNIVNSLIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSEVPAPPPPPPQPSMAEKLHLADLSSDESEMESSDEDVDRKIKRAKHEAIVGPAVDKSIAHEAVGVKPAALVSNELQVIKKKNPVMQIKITPRPASKELADQRTIDKELASRDEQLEQKHFATPQEIEKEKLPTEEILSLPMFKNYTPGNPASVLYIKNLSKDVVHDDFYYVFGSVFESMDAARSGLIIKLMQEGRMRGQAFVTFPSVELAQRALVSWILQLLLSILSARYCNSIVIAVKDEWDSKRFSKCFERIWMSKAVDMGY >cds.KYUSt_chr6.10984 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68130389:68139089:-1 gene:KYUSg_chr6.10984 transcript:KYUSt_chr6.10984 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAAAPLRTRVCIIGSGPAAHTAAIYAARAELKPVLFEGWMANDIAAGGQLTTTTDVENFPGFPTGIMGIDLMDNCRAQSARFGTNILSETVTEVDFSARPFRVASDSTTVLADAVVVATGAVARRLHFEGSDAYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEEGNFLTKYGSKVYIIHRRDTFRASKIMQARALSNPKIQVVWDSEVVEAYGGAGGGPLAGVKVKNLVTGEVSDLQVSGLFFAIGHEPATKFLNGQLELHADGYVATKPGSTHTSVEGVFAAGDVQDKKYRQAITAAGSAYDEIKSKDMQVHMHDLTNSAAKRGLDMNEKDEILEAAKDTGNGSVASGMPMMVRLLMAILEGFASARRKKNLIKTLKSENGQFVEGTENLNPVVVNYFTNLFSSEVNVTNPAFTDKITPKVTSEMNDKLIAPYTAEDVKKAVFSIDDLKAPGPDGLHAFFYKKIWHLVGTDITNVVLKEINDKVIPNGWNETIMVLIPKIDVLEEI >cds.KYUSt_chr2.2333 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14068538:14070026:-1 gene:KYUSg_chr2.2333 transcript:KYUSt_chr2.2333 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLTAPSSFACSGTGSTVAGFRLPGPPRRTLLRAPAASAAGGGVRAVEPTFYELLGIPAEGCSFEDVRAAYRRMALRYHPDVSPKGTAGEHTRRFIQVQEAYETLSDPGRRCSYDRALARGVCRLAFNPASRNVSSMYRQELEDKSGWRTSWEGQIVELKTRNTRKDSDENISWGARMRRKRGEQL >cds.KYUSt_chr3.5189 pep primary_assembly:MPB_Lper_Kyuss_1697:3:29434143:29434792:-1 gene:KYUSg_chr3.5189 transcript:KYUSt_chr3.5189 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVVHEAVAGATEVATIPEAVAIPPLVAAQHYLRHGYGPCLPSPTPSDEDPAHFAPPGYAPVPEFSSSSAMVRNQEKIEDAAPAAARSVVPDLNLPVPEEKEENEDAAPSLTLPAPSPEARVLHCRFASAMAARPAGFRAGAWSPAHIGLTDRMGMLSLDDPTSRR >cds.KYUSt_contig_60.491 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2945368:2946852:1 gene:KYUSg_contig_60.491 transcript:KYUSt_contig_60.491 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHHRASLLLHHNQQQQGKGGDALLLMLASFAFASCLLLLLPTSPAFSAAMDDLLQQLGSTRCGQELTPPAPCSAVANGTICCDRTAKRTDVCVMRGDVRTQPRSNSLLLLLPATNSSSSSRPAGDELIRPYTRKWESSVMSTIDELRLRAVPVPEAEPAARRCDVRHDVPAVVFSTGGYTGNVYHEFNDGIIPLYITARRYDRKVVFVMLEYHDWWITKYGHVVEQLSAHAPVDLANDNRTHCFPEAVVGLRIHDELSIDASRMPGSQGIRDFRQMLDDAYRGRVKVLVEEEEEKAKAAVAEATNKRHPQETTDDRPRLTIVSRNGSRAIENEAELARAAAEAGFRVDLLQPRPDTELAQMYRVLNGSDVMVGVHGAAMTHFLFMRPGSVFIQVVPLGTDWAAENYYGQPARRLGLRYIPYKILPSESSLYRRYSSDHPVLTDPVTVNANGWQVTKKVYLDGQNVRLDMPRFRRRLREAYGHWAAQRRRQQS >cds.KYUSt_contig_1163.140 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000111.1:803282:805038:1 gene:KYUSg_contig_1163.140 transcript:KYUSt_contig_1163.140 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADDHDEYAKLVRGMNPPRVVIDNDASDDATVIRVDSVNSHGTLLAVVQVIADLNLVIRKAYFSSDGNWFMDVFNVTDRDGRKILDKPTISYIQTTLEAEDCYYPEVRNTVGIVPSEEYTSIELTGTDRPGLLSEVCAVLAGLKCAVRSAELWTHNTRVAAVVQVTDAATKSSGGGAIVDHARIADISGRLGNLLRGQHGVRAAEAAASLTHKERRLHQMMFEDRDYSAAGAGRPDPRTEVSVTHCAERGYTVVVVRCRDRPKLLFDTVCTITDMGYVVHHGTVSTEHGDGAYQEYYIRHLDGHPVSSDAERRRVVECLEAAVERRTADGLELEVRTDDRAGLLSDITRIFRENGLTIRRAEISSEGGEAVDTFYLSDPQGHPVEPKTIETIRAQIGEATLRVKNNPFVDDGGSSSDVAAGSTAFLFGNLFKFYRPFQSFGLIKLY >cds.KYUSt_chr4.48135 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298101740:298102606:1 gene:KYUSg_chr4.48135 transcript:KYUSt_chr4.48135 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSGGGGRDLRRQISIFLGLLLALSLLRWSEREAARSGAVFINNFAATGSSLSLGSEDEVPLAGRGGEVGLLRGAAWCVHALLLADLGGEEELELGTLILDRGRGSGPLCRCGDWLAVAKPQLLLACNGGQERSRGDAEPALLHRLLPTGCYSSEFFQAAGELAVAIQRREDGNSSTSGEEALVNPRRGCSKPLSCEVICSPQDGGGPRQRILVGRGLPSSWPLLLGGDTWGAPAIGGGGAQEPDRVSSFCLRVLVVISGGPFFKLWFLCAIDAIGPPCNLYLPRVI >cds.KYUSt_chr5.22247 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145239695:145240604:-1 gene:KYUSg_chr5.22247 transcript:KYUSt_chr5.22247 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLFPVDPYDRYWFGSATLQPANPSWVNISTQHSIAPPDQDSCAVPIPVLQTAITTVSNGTVLNVTAWNNSLLSFKVFLHFTDFQNIQSRQFNIRINEQNVDYYSPTYLKASMVRNSGWLDGNYNITLTATSDSQLPPLISAYEIYRLIPNVISTTFSKDCKLSHLSLSL >cds.KYUSt_chr4.17400 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108834434:108835216:1 gene:KYUSg_chr4.17400 transcript:KYUSt_chr4.17400 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAPFLIGLVLFASGAAATGDDGTMEIPHLQLPGTPDLGRGDGSDAPPPPQGQLMDIMSTSGCGGFAALVAASPNVSDVFQQRLVPGGGGLTLFCPDDKAVAAFEPKFGTLADSDRLDVLLHHATVARYVRAQLAAFDSVAVRTLATNSSQSITVRDDGDTVWLCTSWQGVAAKVIKTVSEEEGPLAVYLVDAVLLPGHLRQKLDGGDEAAACGGWLYCCVPVWVVLLMVLGSIVGFISGWVAAEGRFGKMRIKDTQ >cds.KYUSt_chr4.7496 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44641893:44642612:1 gene:KYUSg_chr4.7496 transcript:KYUSt_chr4.7496 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPELENANAGAEADWTPEVVAIVVDGAELVDAIPTPEGTTGEDENVAVLEKVEEDVSGELEKVVIFDEKLNALFRFDDVLLAGSGATDLPSVEVPTVPKNEVLAGFVSVDVLSDLAAATAEVLEPNLKVGNAGTGDEIGGLLLDTAKLAMFVDGAGLTAGLSVGVTFDNFGGDACDKPLLRLGVDAAEAKWRGGVDVGEAVGNTLSGDLWTSPALSLPFLLSKLVFSNDVGLVTLET >cds.KYUSt_chr5.22313 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145695281:145696045:1 gene:KYUSg_chr5.22313 transcript:KYUSt_chr5.22313 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESLEEEAKKRNMSKKKHARLHKSFRHFSKALKKLNGHGRPSANDNPSPASTSSFLSACMHPRTHSFSFPGGRQRHVDAHNRDNDDDALAAGFRSLRIGPTAVLDDDGDDGSSTQEDYDGVESEEGTSQAMAATKAVVAGSSGGVAVVTFSVAPYEDFRRSMREMADAHWARREAAAPAVDWDFMEELLFCYLQLNDRAVHKDILRAFTDTVAALRRRRRAPKPKSRRTRRRQPKGDDGGNVGDVEETVASS >cds.KYUSt_chr4.21755 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136993540:136994001:1 gene:KYUSg_chr4.21755 transcript:KYUSt_chr4.21755 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSTAAAASKGKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRVGRYLKKGRYAQRVGTGAPVYLTAVLEYLAAEVLELAGNAAKDNKKSRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVLPKINPVLLPKKTAQKEPKSPKKAAKSPKKA >cds.KYUSt_chr2.13820 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87526042:87527953:-1 gene:KYUSg_chr2.13820 transcript:KYUSt_chr2.13820 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLIPILLVLLVVSHVALASIVEETCAKVENISLRKELEAVCVTTLQAAPGSATADTHGLAVIATNLTLVNYTAAVATIKDLQRHGGWTDGQQAALATCRERYTEALNAMHSAIHALATRQKQAYEDNMIAARRASTDCTAAAVAAHKEESPLRKVNADAEHLTVVAMDCRQ >cds.KYUSt_chr7.32910 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205241825:205243192:1 gene:KYUSg_chr7.32910 transcript:KYUSt_chr7.32910 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTQRLGRRSGDLGGDTGSPLSPRLRWADGRRRGRRSDELHLARSSTLAGNRYAALLATSDGDLSEDDDDAVLLPRPSPISLGCFFTGPGLRVPSSPARCAPVPPDSAATRCPPPPIFVSASTDFPPLPSHGERGRSPSLPPPPREIRIGTVSVPLEVCLSAPATAAPPGGGDLGFLLPKDAGGPVLADRSSVGRPGLLGQGAGGPLASVHGAQPNSPASFVDGSHVSLGLGSTAEITSVGKVCNWDNSTPPPPVLKWLWLRVGTLDPDLGFPAPGRDIRRNRRHAKSLHHSTDPSSGELVWSLSPMERERSYRGKRPFEAIDDNRERSRDRDLRQRLEREEEEHRRQQAHRDLTRDRERSGYNQLRRSEHTQYPPPPPPPPPAGPRGRDHARGGQKRQHRAPRLPQGLALPRRLPVRLLEGPTAPARTQPTLPATTAEIKDMSRQNVPRKLSV >cds.KYUSt_chr2.8132 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51192397:51196787:1 gene:KYUSg_chr2.8132 transcript:KYUSt_chr2.8132 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRCSTLGLDAYSGSDQVVIAFLSRGNEIRFFPGPFSTCWRQLQPHATRYCLSTLKGQQYRPSCHHGGKCEHQHDLQCKYIGSSDNKEIMQQFIGLSCCGIQFVDRSMPIYGQYPSDEALMSLQGEDSVSSNAGPAAAGMSVLGDLVAEGAGGVEDAIPVDSAESTGHGNPNSPGWTKSPPVDTIATTSTAATNTTPAAMTGGHALQGAAAANGDQAAAVPTGLDLLPATLADLADSLRAIRFELAEIKAGQHPPPPPAAVPPPPPPAAVPPPPTPAAARPPTARLRRRQWPARVVAAVALADSHMDRRVARLH >cds.KYUSt_chr6.31166 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197488596:197488856:1 gene:KYUSg_chr6.31166 transcript:KYUSt_chr6.31166 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTPRELRLPAARPRISKLYDRRRPLDQDLPDVLNHAHASCTSSQSYRLDELSIERPCTSVSCISPLQALAKRTRAFCIITSMQ >cds.KYUSt_chr6.8792 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54036246:54039672:1 gene:KYUSg_chr6.8792 transcript:KYUSt_chr6.8792 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPIPSPPAARPSSRALATVAASCSSSASPSTSTSSDASRRGVLALGAGFLASAALLGPVGDAGATRIEYYATVGEKLCDMGFAKSGLGYCDVAVGTGVQPQRGELINIHYTARFPDGTVFDSSYKRGRPLTMRIGAGKILRGLQQGIGGGGGVPPMLVGGKRKLMIPPILAYGPEPAGCFSALRGARVLDLVEGVDKAPAETLEAGDANGKKITIANTEYESWIACDQQVLRWLLNTLSPDVHSHVIVLETSAEVWASLNSHVAAKSKTRIQQLRSALNDTRKNDLSADKYFAKMKSIAFELAAVSCCSSC >cds.KYUSt_scaffold_6468.693 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3317168:3324186:-1 gene:KYUSg_scaffold_6468.693 transcript:KYUSt_scaffold_6468.693 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAPMQQFALLPDSLLEEILVRLPPKEFRRCRCLSRAWATTLSSDDLIDRHLRVRGKIRLDYDTIMMALVLECCIDKEPKIESEGEFSVEKESTDDTKEETPGEDDRCDGDGDQEDENWREEYRTRGYVEAGQDYYIKRAEIKPDKWALDSLDLNSAFTNPVRSPSIRRRARKPQAAAAGTTRSEARSRVPPPLLPFLPAQPPPETHAMAALVATSMGVVREVLGDSVVDEVDEPIVDYIANVLADQDFDFGPPDGHGIFDALGELLIDARCVYDREHCLEVCAKLCEKLGSHGIVKPKQAVRSLAAPLRMNAGMEEEKAPKKVEYIFDAPPLSSRDKAKIERNRRKEDRQREAQYEMHVAEMEALRAGMPPVYVNHSNVGGSTIRDIHMQNFSVTVGGRDLIQDQTLTLAFGRHYGLIGRNGTGKTSLLRAMAQHAIDGIPKNCQILHVEQEVTGDDTTALQCVLNADVERVQLLQEETRLGQQLKDLEEGAESSLDKIKDGLDKDAISKRLEEIYKRLEYIDADAAEARAASILAGLSFTPEMQLKNTKAFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGKKLHAYKGDYDTFERTREEHLKNQMKAFETNEKARGHMQEFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPEDRPGPPIISFSDASFGYPGGPILFKNLNFGIDLDSRIAMVGSNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFSQHHVDGLDLTVNPLLYMMRCFPGVPEQKLRSHLGSFGVSGNLALQSMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLIFQGGVLMVSHDEHLITGSVDELWAVTDGKVGPFRGTFKEYKKMLTT >cds.KYUSt_chr3.17744 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108866364:108871941:-1 gene:KYUSg_chr3.17744 transcript:KYUSt_chr3.17744 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRKPPGVGRGRGRGDIGTKPGGRGIGRGQDDGKGGGRGRGGIGSKGGNRADETSLPIDRLVGHIYADKGMLGLPVADRF >cds.KYUSt_chr1.10425 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63880624:63882443:1 gene:KYUSg_chr1.10425 transcript:KYUSt_chr1.10425 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTVVFASAPAITAGVPQHKILDLKVMPATAPQAPARKTKLPKKKLAGGGGGYVLEDVPHLTDFLPQLQSYPNPLQDHPAYSVVKQYFVNADDTVSKNIVVHENSARGTHFRRAGPRQRVYFQPDEVSAAIVTCGGLCPGLNTVIRELVCGLHDMYGVTNVVGIEGGYKGFYARNTVELTPRSVNGIHKRGGTVLGTSRGGQDTAKIVDSIQDRGINQVYIVGGDGTQKGAAVIHEEVRRRGLKCAVIGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESTENGIGVVKLMGRNSGFIAMHATLASRDVDCCLIPESPFFLEGKDGLLAFAERRLRDNGHMVIVLAEGAGQDLIAKGMPLADTHDASGNKHLLDVGLWLSHKIKEHFRKKPNFPISLKYIDPTYMIRAVPSNASDNVYCTLLAHSALHGAMAGYTGFVVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSPEDVENARQLDEEEPQIPLIEGQNSLVKASPMSMCNGHGHLCNGTTY >cds.KYUSt_chr7.34145 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213332132:213335210:-1 gene:KYUSg_chr7.34145 transcript:KYUSt_chr7.34145 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAEMQPPVGSPAAPQSDPPQAPPPSDPSSPPAPAPEAADPPASAPSLASVQLQPQGQPKTVTWSEKLTSESPTHVPAAAAAESSQYVSRGPAASSSKGAVEAMKDTLSRWGKSMGETTKMVESLSRDTWQHFKTGPSFTEAAMGRLAQGTKVLAEGGYEKIFKQTFEILPDEQLKISYACYLSTSAGPVMGVMYISTAKIAFCSDNPLSYKAGDKTEWSYYKVVIPLHQLRTANPSVSKVNSAEKYIQVVSVEGHEFWFMGFLMYDKAVSSLQEAMDSARELQP >cds.KYUSt_contig_1790.375 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1890842:1894117:-1 gene:KYUSg_contig_1790.375 transcript:KYUSt_contig_1790.375 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGAGSKIRNAKLVLLGDVGTGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAVVVYDITNAASFTRAKKWVQELQAQGNPNTVMALAGNKADMLEARQVPVEEAKTYAQENGLFFMETSAKTAANVNDVFYEI >cds.KYUSt_chr5.9313 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59169895:59170473:1 gene:KYUSg_chr5.9313 transcript:KYUSt_chr5.9313 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAERKLKVWELVLRCLVLGLGAAAAALVATDSQTQTFFSMERRARFTDMKALVLLVAANGAAAGYSLLQAGRCAVAMARGGGGALALSSRALAWAVFSGDQLLAYAMLAATAAALQSSLLGKLGQPELQWMGICGLYGAFCRQVSVALACAVVAVLATVLLASFSAFNLFRLYGGGCKGSSHARNGATW >cds.KYUSt_chr7.8965 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54496926:54497543:1 gene:KYUSg_chr7.8965 transcript:KYUSt_chr7.8965 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSHNHRSSVSPQAQQQPSAARVVAADGSLTEFPASSPVSVSDVLGDNAGRRFFVCSSDALYFDEEVPALGGGELLRPGQIYFVLPAAMLGRPLSSADMAAMAVRASEALAARARPTGRARGAGGAGGVRKSRVAPVHHAETGLGDVVNGAVNEKLNERTLGEDSLTGSGSPTRNGKRSAAAASPPAKRVLTPLGTIEEDAE >cds.KYUSt_chr1.27969 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168662356:168668182:-1 gene:KYUSg_chr1.27969 transcript:KYUSt_chr1.27969 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGSSKDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSFVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIFVQDYNEADPKTHQGLDLTTLTTKELIAKYGLSDDTVDFIGHALALHRDDRHLNEPALDTVKRMKLYSESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLSKPECKVEFDMEGKACGVTSEGETAKCKKVVCDPSYLTNKVRKIGKVARAIAIMSHPIPNTNESHSVQIILPQKQLGRKSDMYVFGCSYTHNVAPKGKFIAFVSTEAESDNIQSELKPGIDLLGPVDELFFDMYDRYEPVNEPSLDNCFISTSYDATTHFETTVTDVLNMYTMITGKAVDLSVDLSAASAAEEEY >cds.KYUSt_chr4.6577 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38666512:38667054:1 gene:KYUSg_chr4.6577 transcript:KYUSt_chr4.6577 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASLLTAAPAPSLLSSASPRRRPFLAAPAPALRLRAPASPPPRPPQLARESRAARAPVARGVALSQGEAEAPERGALATRLLLGALIGSAALLGCGAALAAAAEDSIRASGFGLRVAASLRRLGWPDDAVVFTLATLPVLELRGAIPAGYWMRLHPVRLTVLAVLGFVSASLIASFG >cds.KYUSt_chr2.43554 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270976242:270977436:1 gene:KYUSg_chr2.43554 transcript:KYUSt_chr2.43554 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGIEGIGGNVTLGMNGIGGSVTFGTTTAGMGGNVTWGTVIAGIAGMGGTVNWGTVIAGTAGIGGTVTCGMVTAGTVGIGGSVVGMVDTGGFGAGMPGTAAGVALGDAASVESARRRPAWVMLPLRIVRAMAMAKKLQLLSFLRLVTAPAVAHTTHRHCHSHLLVSLEHRQPPFLGKTMGKECPWMKPKHDNEDWSSVKKEQRSRDPIGPSS >cds.KYUSt_chr7.28185 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176032266:176034130:-1 gene:KYUSg_chr7.28185 transcript:KYUSt_chr7.28185 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGRDESAHTVNAAAVVLAAAARSSAGLERHNHQHLQLHDHATAVPKRRWWWSWLPKPNLACFRPHGHPRRIANAGDTSPQPAAAHTASAAHVHDASHPPPPAFAFVAPPSSPASSLYASESPSPVLLGLRHASSPSPGRSSMMFAVGPYAEGPQQLVSPPVQYSAFTTEPSTAPRTPTAITNPSSPEVPFARFLGSSLSSSSMTVAGAGDSGLFHAYQLQPGSPIPLVSPSGSSSPTRQLFRKKLHRRDEGSLLDGHIPVSTGGAGMDFVVPHTARDEVHGGQGDDGDDDVPKSGEFVFGSADGPAEDMDGEARKNWHFFPMVEQDMILPKQ >cds.KYUSt_chr3.37756 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237516074:237519212:-1 gene:KYUSg_chr3.37756 transcript:KYUSt_chr3.37756 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATNDFDDSAEVGQGGYGKVYRGNLDDGTAVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLIGYCDEEEEQMLVYEFMPNGTLRDHLSVTSKRPLNFSQRLHIAMDAAKGILYLHTEADPPIFHRDVKATNILLDSKFVAKVADFGLSRLAPVPDISGTLPAHIFTVVKGTPITTRSEECTPMQGASKSTHKRVLL >cds.KYUSt_chr3.12748 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76461105:76461374:-1 gene:KYUSg_chr3.12748 transcript:KYUSt_chr3.12748 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVARRLFPGSPDGRTLRRLDAAEARQTAGCGGAAAGRCSGGGSPEVDGRKAGMLRRRGSSGGMADVGTMDAFTVVLLGRSLCNPVGQ >cds.KYUSt_chr1.34237 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208333038:208335025:1 gene:KYUSg_chr1.34237 transcript:KYUSt_chr1.34237 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFGRWESDPLFPAAECVQDSADRMEGVYRLLLHEKKVIQDDTSDAKLHGPIHHERDVITALGTTKWQLEQFEREVNAAAFSNKSNSRENAILKFRQFIRAIAEQISEVEESLKNLRSDFSRTPKYPYSSEQDGDGLASFLSGSKDDGRAYYSTDTDEITELKSDSAPMVNGYHSSQEHTSG >cds.KYUSt_chr4.10388 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63021567:63024650:-1 gene:KYUSg_chr4.10388 transcript:KYUSt_chr4.10388 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLGRRFRSARWRCGSWLASDPSLHSRPISKNGQLHQKKAQFLSHRPPHRPDPGFTHAASPPRLSPPAAARPPLSPPCPCSSLRCFSSNPTTPGRSYSVCRGSPRGYSPSAMQAPEEEVHDPSPAPSFEAAEEDALEQEDADGEEYDEEDEEEGYEFDDAADAAQCVEMAERGPAAGGAGPTVNIRDFEALAALSRKRAFPDDHPPWDSSSTKKRRQQQGELSEEAESADLFDQLMEGFGLRRKKRRKSKDGKRRGRTKGKRNKCSPEVIKKLGDATLLFTENRFREAIPILHEIVRIAPNLPNAYNLLGSIYRETGETHKAINFVWVAANVAPKDAALWRKLVDLALKVEDAALARHCVIKAMRADPEDVGLKYDCANIYRTLRDYHKAAEVYEQIVRIYPSNAVARRTAAQMYRDSGQIDKAIDLLEEFVNAQTTNVDWSLLDLLVSLYLRNNNHGEALRQINRAHLVLGSQHKLPVRLQAKAVICQAYVGNMEPAEVFLQDVQLERSKENADLVAEVASTLENLGKYDYAMRFYSMVEDVAVDHDGSSYVKVARCYIVMGEKTKAIAYLYKALDKMKDSVDVRITLSSLLADEDRSDDAISVLSPPENPELQSASIPNKQKPWWLDGEVKMQLAKLYYSKGKMQEFVDTIFLPILETLDIENANRKVKVTKKLTNDVLQERTKVLGEVRPDSVFQGCRPIASPAELLKANRAKKLLEKRAASNDGDMVKHDKRRAKQIPPLPGLLTNMENHQLVLDLCRTLTLLQQYFEALQIINHALKFGNDPFSDDNKEELRSLGAEIAYRAPDPRPGFDYVRYLVQKHPHSVSAWNFYYKVTSRDEKRQSKFLLRARRYPNCVPPKIISGNQFTEIKQHQSATRDYLEAYKLDPENPFINLCVGSSLVNLSLGFRLQNKNQCIVQAFAFLYQCLRIGSNRQEALYNIARAYHHVGLKTLAVIYYEKVLAMEVKDEPIPKRPFEEDAQQQQDLRPGYCDLRREAAFNLHLIYKESGATDLARRILKTYCSF >cds.KYUSt_chr3.28156 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175670150:175677197:-1 gene:KYUSg_chr3.28156 transcript:KYUSt_chr3.28156 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPYLLDNPDLIQPLLDCAYANLGWNSDIVFEMVTCVWEAMRLEADLRTLPAPTEEVRNKYAAIIAVVEVLAATSVVLLGQQLLLLQGIGLLLLVRHSTMAVVTTNVTIHLGPTLLSPFLVQNPNPNTGRLENPQRTFSTSKSLQAAGHPLPMEHPLAAVVMNNLPHLLDNPDLVQGLLDDARAHLNRNDILLAEASAHLREWLAYEAALRSFPDATEEHRAECAVHIAGVAASCDFFRKQRWLLGQLIGALLAVRALAYARSRAHLVPGVLLTAVSAAAVVYVSTWGGVVPGLRSLVRFSVLMLGFLFGCNRPRRASEQEWDFDFVPDGPPEALVGSDGDLPLTDGEDDLQFLIEGELESESEDDLHSWANPTSSDKAEEEEDEEEEEEQEEEEEEEEDSSSSIGYPPVKRFRAWADSEDDDDDEEEEAPAEGWGSSDEELSGSSADGSYDADDEASED >cds.KYUSt_chr2.40874 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253885798:253886148:-1 gene:KYUSg_chr2.40874 transcript:KYUSt_chr2.40874 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLGIVKLVALVFMVVVSSSVQQAVGVGAIRLHDRKTDGKEWTEERMKMRAYMAMDYGKTKRHHDPKHN >cds.KYUSt_contig_1537.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:71959:82706:-1 gene:KYUSg_contig_1537.12 transcript:KYUSt_contig_1537.12 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAFAVSGAGLVGAPAPRSGGAERRRRGVELLFGRNKPPCFPRAVRAGGSERRVAVRAGGASGEVMIPDGESDGMPPSSNDGSVQFQSDDLEVPFIDDDSVIEDAGGDTTGSSEPNLAAEEADSEGMTKIDQELSTGEKLRDVPQPGNGEQIYEIDPMLRDFKYHLGYRYSLYRRLRSDIDQYEGGMDAFSRGYDKFGFNRSAEGITYREWAPGAHSAALVGDFNNWDPNADRMSKDDLGVWEIFLPNNADGSSPIPHGSRVKVRMETPSGIKDSIPAWIKYSVQAPGDIPYNGIYYDPPEEEKYVFKHPQPKRPKSLRIYETHVGMSSPEPKINTYGNFRDEVLPRVKRLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPEDLKSLIDRAHELGLVVLMDVVHSHASSNTLDGLNGFDGTDTHYFHGGSRGHHWMWDSRVFDYGNWEVIRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVVFTGNYNEYFGFATDVDAVVYLMLVNDLIHGLYPEAITIGEDVSGMPTFALPVQVGGVGFDYRLHMAVADKWIELLKGSDEAWKMGDIVHTLTNRRWLEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPNIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGPQVLPTGKFIPGNNNSYDKCRRRFDLGDAEYLRYHGMQQFDQAMQHLEGKYGFMTSDHQYVSRKHEEDKVIVFEKGDLVFVFNFHCSNSYFDYRVGCLNPGMYKVVLDSDAGIFGGFGRIHHTAEHFTSDCQHDNRPHSFSVYTPSRTCVVYAPMN >cds.KYUSt_chr3.10248 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60782989:60786647:1 gene:KYUSg_chr3.10248 transcript:KYUSt_chr3.10248 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKRRRRRRRPLQEGLSRRRLDEDGASLSESLVDWDSSSLDEDGASDDLVMSSTEPRYHQIITSRLAQLQLPDSADISDLDGCSNLPSSEILEALTPKSFHDDDLRAALLEYQCQNLLSEIRGPDFMGLDTEDSGESEPDDLTRDEKFTRAREVLVARMPDIDTYTRLDQEQTNKVYFKHALYRIRAALLLKGKPVDELDDAALERKYPAELIVEKDCFCHYVTDGYFGWDFDSDLLGHKKYLTDYQRLVLLNGDGGDEYTDWKKYRAFYSTPEADRDYLQYWEMIGKKIKWLKKYVLPHESSYEVNMCDLSKPWNLRIAAEFPHMTMELAAVGFNEYILNTRTYVMSVKDLDGIFYDIWRLHVGNQGFSDALRQVYGERLSPAQNRNMEYGLKFGHSRMDILFRQCTEGLTKDVPKSRARELIAQEIRWRYGFAGTYEQYARKKLKIAELLGLIPRDKMV >cds.KYUSt_chr4.19716 pep primary_assembly:MPB_Lper_Kyuss_1697:4:124072557:124075125:-1 gene:KYUSg_chr4.19716 transcript:KYUSt_chr4.19716 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRSERLVLLLCFFAAITCAQAQAQATLQGSKSSPHSGAGKVLLETGRFGYIIAALWVIGGSLFAGFLLVSKIFFAERKERYGVIDNFLDKYHILSVICLILLAAFAIVASSIALYGAVRFHSRAESIKEIVGRTAFEAAATIYNITGAIERMENTSRLYSNSSKAFDHLNSTVQTLNSEAVEIQEKAEKNMRLASKGINTLEAVTISTVTLNLVAVLALLVARPLRLHKLYYLCIALCWALTALFWMYFGLYYFFDKFAGDTCAALEEYQLNPKNSTLGTIIPCSEKLYGGMILHNVSAGIHEIIDQVNSNIYSIKSQYGVKQLDYICNPFTGPPEYRYRPENCASGEATIGDIPQILKRLTCSVLGGGANCAPAELSSAIDYDMVQTYTSSIQNVLDIFPGTERLVSCELVKAGFSDIVGNQCAPLRRGARATWASLAALSSVMALLVLASAALRRRYAADDRHSVRHLTSSSNSETSETEFAEMHAKTMRVKVVGP >cds.KYUSt_chr7.11878 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72940689:72941318:1 gene:KYUSg_chr7.11878 transcript:KYUSt_chr7.11878 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFCAGRGRRRAQYVKLVFPGGHVELMDRPVLAAEVMAQHPRFCVARPDVFREPVGAVAAPDAMLHLGHKYYVVPKSTVRRLLKYSSSSSSHSAGAGRSVSLRKHLSRPDGHDRGGKKVGGDKRWFDWAVGGAGANKPPQRPPGDDLSDGSGSEGEVAREVGVKHTKVRAKGVKKRESPRRRRRQLASPADSASYSWQPSLHSITEE >cds.KYUSt_chr6.8903 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54782482:54790001:1 gene:KYUSg_chr6.8903 transcript:KYUSt_chr6.8903 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAMDAMDELVQLSESMRQAASLLADDDPSDDATPRRPSTFLNAVALGNVGSGKSAVLNSLIGHPVLPTGENGATRAPIVVDLHRDPGLSTKSIVLQIDSKSQQVSASALRHSLQDRLSKASGPGRSRTDEIYLKLRTSTAPPLKLIDLPGIDQRVIDDSTINEFAGHNDAILIVVIPAMQAAEVASSRALRLARDIDPEGTRTIGVLSKIDQAAADAKTVACVQAILSNKGPRASAEIEWVALIGQSVAIASAQSGSVGSENSLETAWRAEAESLKSILTSAPQNKLGRIALVDTIAKQIRKRMKVRLPSMLSGLQGKSQSVKEELSKLGEQMVQSSEGTRAVALELCREFEDKFLAHVTSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDMNNVKRIVLEADGYQPYLISPEKGLKSLIKGVLEMAKEPSRLCVDEVHRVLLDIVNATANGTPGLGRYPPFKREIIEIASNALDTFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEEVKTKSSKKAQDAEQSMMNKGSSAQAGSEQTGGNLKSSKDKSNQQDKDNKEGPNLQVAGPGGEITAGYLLKKSAKNNEWSRRWFVLNEKSGKLGYTKKQEERHFRGVIVLEECNMEEIEEEDISKNSKDSKKANGQEKGPSLLFKITNRVAYKTVLKAHSAVILKAESMADKIEWMKKIRGIIESKGGSVKGSNTPEGGPIRQSRSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSIRPPQEVEQAPFRWHRGEAVPTAMDHGSEQGHHMSISKLQQISPPPSRSAGLHATTPTPLATMAGRREQQRIKPSDPEDSSIGLIIGDSSSPHPSSLQPPPREHHHKEKDSQPAPAPATGNWRHPPSIKLKKRHKAEERHLANTEQSSPTIIATTIYTMRSGTSPQPPPAGNAAEEGLGSARFTGVDSQPTVATREKKRIRELCPKTLVLIAAMVLWFLINV >cds.KYUSt_chr5.36660 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231769300:231769788:-1 gene:KYUSg_chr5.36660 transcript:KYUSt_chr5.36660 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLRVVADISRSRARLPKEGEAGSSTSGRNAAEAGGAGDHRLALRASPPPSRRRSEPSRRPWSHALTGSSDSIFGCELRSRRPVDAVEEEGRPELDGATRWHRFGGFLWVYGSPWGLQNGGSMPWIRCRRRSWCRCGGRRREGWPEPGGICRRWKGNGRWS >cds.KYUSt_chr4.44291 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274419929:274421572:-1 gene:KYUSg_chr4.44291 transcript:KYUSt_chr4.44291 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPAPSAVMERERLTAEMSFRGDAAQQPGLERLPSIIIKIRRRLPDFARSVNLKYVKLGIRHGGSPTSYLPMLCVPVLASAAYSFVRLDVIYHSIDLLTCVAWLGTAMLLLTVYYFKRPRPVYLVEFACYKPDDQHKISKDAFLEMTDSTGAFNDAALEFQTKITTRSALGDETYLPPGVQARPPRLNMAEARLEAEAVMFGCLDALFASTGINPRRDVRILIVNCSLFNPTPSLASMIIHHYRMREDVKSFNLGGMGCSAGLIAIDLAKDMLQAHPNSYAVVLSTENITLNWYFGNDKSMLLSNCIFRMGGAAALLSNRRADAGRAKYRLLHTVRTHKGATDECFNCVYQKEDDGGKVGVSLARELMSVAGDALKTNITTLGPLVLPLSEQLKFLKSLMMRRVFRAKGVRPYIPNFRRAFEHFCVHAGGRAVLEEVQRSLSLEDKDMEPSRCTLHRFGNTSSSSLWYELAYAEAKGRVKRGNRVWQIGFGSGFKCNSAVWRALRDVPAVSSPAANADAAPGAEKIRSCNPWVDCVAKYPPKAYV >cds.KYUSt_chr3.30273 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189583281:189585667:1 gene:KYUSg_chr3.30273 transcript:KYUSt_chr3.30273 gene_biotype:protein_coding transcript_biotype:protein_coding MMESPANINSSTSQDEEDRISALPTDVLLKILEHLDLCMLVRTSVLSTRWRHLPHQLPCLDINVTCSHSTASRRQIMGPYKSATRLISHACNCTRDLVINRLRLVFYLLVPWLCSIGCAVDDIASSGKTKCLEFVIFPSCDRPSKPQLAEFGQQLMSFSRTYPITFEWLTGLTLNSLAFGDSDIPSLINASHRLERLSFRFCNLVKYSVLKIDAPCSRLHTLEFIGFRCARIELISVPELRRLECHSCLKENPPVRFGYVPQLHDVVLASRAKAWQTPVALGEFMSGIASNLSILCLNFSCQTIWVRPEHPGQRTPIFSNLRDLSRHSCVNGSEDTDEKTNVVWEPSKNFKHSNLKLMVMNGFNGEKKVMDYVRLVMERAVGLKRIELSNKHMCVKCNALEYPRKFLVDDATKHRVNELLTHGFSSAVEIIID >cds.KYUSt_chr2.611 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3728565:3728945:1 gene:KYUSg_chr2.611 transcript:KYUSt_chr2.611 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLSPPPPPAAECPQPAPTTIFTIGDDLLLEIFVRLPSLPSLVRAAFACRTFLHAVCSFPTFRPRFHDLHPPPLLGLFVRHRVGDIPSFVPLRGLADPDHAAVVRGSDFFLTRVPDDQGFLSSW >cds.KYUSt_chr4.535 pep primary_assembly:MPB_Lper_Kyuss_1697:4:2835457:2836859:-1 gene:KYUSg_chr4.535 transcript:KYUSt_chr4.535 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSSLLLFALALLIASVADAAVVEHTFNVGNLSISQLCQPDMIITAVNGQLPGPTIQASEGDTVVVHLVNESPYRMTIHWHGIFQRGTPWADGPTMVTQCPVRQGGNYTYRFNVTGQEGTLWWHAHISFLRATVYGALVILPRAGAGAYPFPKPDKEEILMFGEWWNANVYDLHETAFLTGQPAGPANAYTINGKPGDLYNCSAPNPASAACLHFSAIHAETHKIEVRQNETTLLRIINAALNTPLLFKVKDHTFTVVAADACYTTPYKTDVVVTAPGQTVDALVVADAAAGRYYMAVSPYDTARYLGTRPSA >cds.KYUSt_chr1.34474 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209870731:209872098:1 gene:KYUSg_chr1.34474 transcript:KYUSt_chr1.34474 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVAGHGQHARRRIPSLEPLVMAASPTTPAAFRCPISLEVMRSPVSLPTGATYDRASIQRWLDSGHRTCPATRLPLASTDLVPNLLLRRLIHLHAATLPPSPSPEQALSQLAASHGEPAAAEKAVRSLAAKIAPGKGKQASVASAVAADLDSTVPALLSFAKGGAGTDARVDAVRILATVAPEIVTYLTGDGTEKRGRVRMAVEALAAVLSAGGVCEDAKKALITALVAGDLGRLVTTLLAAGPTGVVVLEAILMSPVPDADAKTAIADRSELFPDLVRILKEAASPAAILCMAAAVQVRGRPARSSMVRAGAVPALALAVAAAPTAAAESALMLLVEAARCNDGKAAIAADAAEVAAAVMGRMIRVGQMGREAAVAVLWLSCCAGGGERRMREALAAAPEAVGKLLVVMQGDVSPATSRMAGELLRAVRMEQERNGMVASSYDSRTIHVMPY >cds.KYUSt_chr4.5765 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33641797:33643539:-1 gene:KYUSg_chr4.5765 transcript:KYUSt_chr4.5765 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWGFVLLALLSVSASSAVATLAVTAPTPAPAHAPALPTAPAPARPRPIPDGEGMLINGNFETAPRKVNKTLIVGRHSLPGWVLVGHVEYVSAGPQPGGMFFAVPHGVHALRLGSHASASQNVSVRPGAFYALTFAATRTCAQDEALRIAVSPSLSAPADVAVRTLYSADTADTWAWGFRASSPVAQVTFSNPGLQEDAACGPLLDAVAIKELPTPYPTKDNLIKNDGFEIGPQVFKNSTVGVLLPPKQKDTTSPLPGWIIESLKAVRYIDAAHFSVPAGQYAVELVAGRESAIAQVIRTVPNRAYNLSFVVGDAKNGCHGSMLVQAFAGNVTQKVPFESTGKGGFKPTSLRFVAAGIRTRVTFYSSFYHTKATDGVSLCGPVLDQVKIVPLKL >cds.KYUSt_chr3.24917 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154650615:154654071:1 gene:KYUSg_chr3.24917 transcript:KYUSt_chr3.24917 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRLPAPPARGLLRRSPPPRILPVERAPRRLASAARAVSGSPGPSGSPVPRRTPAPLDAAAVAPPSSKASSAASAIDFLTLCHSLKTTKRKGWINHSIKGPESIADHMYRMALMALIADDLPAVNRERCIKIAIVHDIAEAIVGDITPSDGIPKAEKSRREQEALDEMCEVLGGGPTADEIKELWEEYENNSSVEANIVKDFDKVEMILQALEYEKEHGKVLDEFFLSTAGKFQTEIGKSWAAEVNARRTKTCGQ >cds.KYUSt_chr2.46698 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292009973:292012829:-1 gene:KYUSg_chr2.46698 transcript:KYUSt_chr2.46698 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLGGAAVAGGGGDKGEHCDEEEQQKGEVLQAVAASHLALTEVSMGWDGQAKTRARQGRRSSLAASGLGDHLPPPWTGSGSHGQQIDGEGQPHNMGEAAPIDDDQECEEDIKMKMIKNYRSSL >cds.KYUSt_contig_2742.16 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000474.1:68546:73075:1 gene:KYUSg_contig_2742.16 transcript:KYUSt_contig_2742.16 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMTKLNTTMTPSVTPVTSITTLSTTKMNITMPPPPWIITSHFSHIITTSPSMKMASEKLSKINETRHPPKLADEVCHLEGISKDSTTREAEFLWGLRKYVLLLATLAASVTYSAGLSPPGGFWHDNVDGGVLLAGDPVLQVTYPRRYNAFFYCNATAFVASLVIVNLLLFRSRCHHRRWLRALQATMMLDQFSLMGAYAAGSCWDEAMSTYVFVLVALVAFYVSAKAGLNTPGGFWPGSQANDHVAGNLLFSTHHPLRFMVFFYSNSTTFVASLVIVMLLMSNTVTRHGSRSAHLLYSTFPASGSSWSIYVVALVAAVLFYIAFQALVFCCEPRKNVIHDAQVALERYLKFERLEQQLQQHQLSRASEHGDCDAHQIIRKSRMYLLLLGILAASATYHAGLNPPGGFWQGNAANGHHHYLAGDPILYITYPWRYLAFFYCNATAFIASLVILILLLSNIFSTQGIKYCALHIAMILDLFGLIGAYAAGSCRQVSKSVHVLLLFLPVFLYVGIHVAVFMLEVFPSWAAWRQEWKEKMEQSAPGWLKEVFEPADEEEEERTLEKRRKLLLLLAILAASLTYQAGMSPPGGFWQESKPGHHVAGDPVLNDQGLSTTTTSADTWPSSTATRRPSSRPSPFEDLDDRATFCSVQDGLADSFMSKIGAVQDDARDNGLPSTAAGARSPRDLWDEKLPKYLLLLAALAAAVTYQAAMSPPGGLWGDGLTEHVAGDPILASTYSRRYKALFYCNATSFMASLVVMVLLLIERVSNTPPALLALHAAMILDLFGLMGAYAAGSCRRVRTSAYILALVIGVSAYIAVLVVVSIGVAVGPAPIAEFFPTLTKTIRRDGTCTRTANKPKQQQSTYDQLAPTHAHGKNNVSPQARIEPVIFSY >cds.KYUSt_chr7.11249 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69186606:69196524:-1 gene:KYUSg_chr7.11249 transcript:KYUSt_chr7.11249 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVWDSGRRMSRSIGRGMGMETWGVDEAFLHGSGGGSRRGGSRGGGARDDDEEALRWAAIERLPTYSRMRTSILSAEAAAAAEAQADAQGKQQYREVDVRRLAVGERQGFIERVFRVAEEDNQRFLQKLRDRIDRVGIELPTVEVRFEHLTVQAQCHVGSRALPTLLNTARNVAEGALGLLGLRLGRQATLEILRDVSGAVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLRCGGEVAYNGFPLAEFVPQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLLTELSRREKEAGIRPEPEVDLFMKATSMEGVESSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFELFDDIILLSEGQIVYQGPREHVLEFFDSCGFRCPERKGTADFLQEVTSKKDQEQYWADKQMPYRYISVSEFAQMFKRFHVGLQLENHLSVPFDKNRSHQAALVFSKHSVSTRELLKASFDKEWLLIKRNSFVYIFKTIQLIIVALIASTVFLRTQMHTRNLDDGFVYIGALLFTLIVNMFNGFAELSLTITRLPVFYKHRDLLFYPAWIFTLPNVVLRIPFSIIESIVWVVITYYTMGFAPEADRFFKQLLLVFLIQQMAGGLFRAIAGLCRSMIIAQTGGALFLLIFFVLGGFLLPKDYIPEWWIWGYWISPLVYGYNALAVNEFYSPRWMNKFVMDKNGVPKRLGMAMLESANIFTDKNWFWIGAAGLLGFTIFFNVLFTLSLTYLNPMGKPQAVISEETAKEAESNGLPRETVRNGSTKRNGSTKSKDGSSNKEMKEMHLSARLSNSSSNGISRVMSVGSNEPAPRRGMVLPFSPLSMCFDDVNYYVDMPAEMKHEGVTEDRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKNQATFARISGYCEQNDIHSPQVTIRESLIYSAFLRLPENIGDQEITDEIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGKLGRNSQKMIEYFEAIPGVPKIKDKYNPATWMLEVSSVAAEVRLSMDFADYYKTSDLYKQNKVLVNQLSQPEPGTSDLHFPTEYSQSIIGQFKACLWKHWLTYWRSPDYNLVRFSFTLFTALLLGSIFWKIGTKMGDANTLRMVIGAMYTAVMFVGINNCATVQPIISIERTVFYRERAAGMYSAMPYAIAQVVMEIPYVFVQASYYTLIVYAMMSFQWTAAKFFWFFFVSYFSFLYFTYYGMMTVSISPNHEVAAIFAAAFYSLFNLFSGFFIPRPKIPKWWIWYYWICPLAWTVYGLIVTQYGDMEEIITVPGQPNQTISYYITHHFGYHRNFMAVVAPVLVLFAAFFAFMYALCIKKLNFQQR >cds.KYUSt_chr5.34031 pep primary_assembly:MPB_Lper_Kyuss_1697:5:216125856:216127453:-1 gene:KYUSg_chr5.34031 transcript:KYUSt_chr5.34031 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVPLLLVKLRPGKHTKNPPPGPWQLPVIGSLHHLVGALPHYAMRDLARRHGPLMLLRLGEIRVVVASSASAAREVLKTHDSIFATRPETNTMKAVKGIRGGMGIIMAPQGEHWSMVRKLCVNELLSARQVRSFQGTREAEAGRLVASVALASSSKSQPVNFGSHLATYLHDVVVRAVVGDRITDREAFIACLDDSITAAAGLSLADLFPSSGLARAFGGGRTRRLKALSKRLRHALDGVLAEQGARRSGGPGGNRDEDLLDVMLRIQAEGTPLEMGTIRTVIIDLFAAGMETSTTTLQWAMAEMMRNPKVLGRATAEVRATLEGQSRVTEKALPELRYMQLVIKETLRLHMAAPLLLPRECQESCRVLGYDVPKGAMVLVNAWAIARDTENWGPDAEEFRPERFEEADDSAVVNFKGQHFQFLPFGAGRRSCPGMMFSLAAMELALASLLFHFDWELPEGTVPAELDMTEKFGITARKKTDLLLHARLRAPLPPNL >cds.KYUSt_chr4.37120 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228369108:228369560:-1 gene:KYUSg_chr4.37120 transcript:KYUSt_chr4.37120 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSVHHGQHNGVSKPPVQHHHRKGNAKRKGGGGGGKGIKVVYISSPVMLTATAEEFRTVVQELTGRYSNVADHDAPGGPSYYSSSSSSSASYGRASTTTTVGSAGARALPPAMVPEYMTAAGSMLPPFQSLYDQTGGVGVLYGQDYCW >cds.KYUSt_chr4.48862 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302609014:302613004:-1 gene:KYUSg_chr4.48862 transcript:KYUSt_chr4.48862 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCLWPCVSGTNAKTAGSGGLFRSKPRSPTELVRHMGELLRFLSEHPEPCGGKLDSKREQKMEDLSRAIRETKFTLYGNGDAEPIAEACTQLTKEFFKENILRLIIVNLPHMDLETQKDVTQVIANLQRQKVDSRIIASDYLEVNQDLLDILVCGYDNTDIAIHYSSMLRDCIRHQVAARYVLSSQHMRKFFDYIQFPDFNLASDAFKTFKLLGDILLERLNSSVMVRYVSSKENLIVLMNLLREQSKAIQIEAFRVFKLFTANQNKPREIVSILLTNKSKILRFLKDFTIEKEDRLLESDKEQVSMDILAMEL >cds.KYUSt_chr3.42702 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269991918:269993081:-1 gene:KYUSg_chr3.42702 transcript:KYUSt_chr3.42702 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALMRGEPISKVTDDILADIISRVPYKSTCCCKCVSTRWRDLISHPDHRKKMPQPLVGFFHESYNKNRFPKCARYFTNVSGEGEPLVDPSLSFLPKYNGLDILDCCNGLLLCRCWKATDPKTLDYIVCNPATERWVVVPATDWSSKVNVVRLGFEPTVSSHFHVFEFIDEETWGIDESELSDCDGCIETLAIYSSKAGVWKHRSLDIMFAIPTSSRGVFLNGALHLATSNNFIVVVGVEGNNWRLIDIPMPPYYDDAPIVGVLLSQRQLYFTNCYSGSDGEELSVWALEDCYSEKWTLKHNVSHLELFGASYSSFGNFYNVISFHPGRNMIFIICGYENMLMSYDMDRRKLCFICQLGRDCQIGWDKTLCIPYVPLYSEPLADGH >cds.KYUSt_chr3.19046 pep primary_assembly:MPB_Lper_Kyuss_1697:3:117198694:117209367:-1 gene:KYUSg_chr3.19046 transcript:KYUSt_chr3.19046 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSEGFPYRGSRAQAIRVICLPSAAASDLAASVALGPWRGGAQVVVAAPRAIIFPRLQPHLDGYVEKLVGMVWSSRISSGCGDLRIVKELHRQFILLLRLRDGCGLFDPFGDFPSAINNVRLAQGGAAAAARRRHGLEVEDEGLLKDLVVLMMEVDPVYNLKDHFGAKRLRQFVEKKLPQNKRDVIAKRSAFKTMLSVAPFTMPNRLLDFIVTHTSYQLREFSYHGKRIVLTTDMVRKVFNVPSGNRAVDLINRSVPCQLRDVYKQNNPRPPIKNAEKVLMECDIKDEETIVRSWDLLVLATVLNPGTSNMLSMDYLGCLVDPFSSVELAWDQHILDECMFHVQKIQEKKEKLRASGVMGGDFWISGPLPFLGIVYMDHLEFPPNEYVIDYSLPRICHVKSKDFEFVVATDIDKKNLFNSTIFARKPFLPFSSTPYAQIGQPPETAEDVEVNPLASLNEWLVPGFPSSQELEIPARYKHLYHKHKAIYVADVDATMKNLGVALKRMNLQRMYALLVDVDAAIQEGDGPSIVFPSAENGEQSTNPQCIYRGTGCCMDGEIPMDEGDRNSSDDAKEDLDLQTDAMEDYPEVHECSSKPDVPQPAILVDSSLKEGYTGELNSVDSPVRSPFRSSIPKGVSAEAWNHAPDHPSMDLFPQGSEEWEYFNTIPDSTSFGSFATAGDDTVASSVCPVPVPDLEKPPLVEKTSFVEKHGDVSAAAVEKQPFVVAVANPPPADVLKKPLAAAIVEKELVADVVDNPTVAAVLKKLPIAAAVEMVHVAGAMEKAPPVAVVENPTDAAVVDNKAPAAPDVENPVVAAVLKKPSAAIVVDPPEKPGKASDVGNLPVVAVLKIPLVAIADLGEPKTPIGPKSAGVLFVSTFLSSYSVEKHATTGVDTVDKRNRAKRAAKDELTPPKMKKIRVSQDTVQTYDKFVIHGRKLKRQPKNDIPKSFVQIGRYFCTYKSFVASLKPRMPLDSQFTNFMRLVNESGLAKIDWSLYNLSTPDHPQQKTTFDCGFFSLLCMDHFTGKVMAEFDDKVIPDICKYIASSLINNRDNTESVEKLMDAELQSK >cds.KYUSt_chr3.41244 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260271727:260274552:1 gene:KYUSg_chr3.41244 transcript:KYUSt_chr3.41244 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTSSSSSRRGVALLLLSVLLLACRDPVAAAGNAASDFEEDGLSPKFPGCDNPFKNVKVMYWVDGNEMNALTGITARFGGVLPVTASVTQKQPAVLAGSIAVAERGVCTYLEKASAVESSGATAMLLVNDKNSLEMMACTQNDKVPVLKIPIVLVSNSSGLKIFSAMDGGAKVNILMYTPTKAAFDGAIPFLWLMAVSTTACAAVWTTVVVGEENKKPPPAAGDQEAPAEPEIVELEAKTAVLFIVVSSCVLLFLFFFTSIWSAWLLVIMFCFSGLQGLHFVTSSLIVRIFKCGDAKVKLPLVGNVTVVTLAVLPVALFVVVMWGTHQSSAFAWVGQNLMGICMMILVLQIVQMPNIKVASALLISAFLYDIFWVFISPLIFKKSVMITVAKGTEEGPSLPMVLKMPKEFDVWNGYDMIGFGDILFPGLLVAFSFRYDRSHGKGLTDGYFLYVMIGYAFGLSFTYVGLYLMKSGQPALLYLVPCTLGTITLLGAQRGELSQLWNAKA >cds.KYUSt_chr6.19376 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121960448:121962700:-1 gene:KYUSg_chr6.19376 transcript:KYUSt_chr6.19376 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGGRPDTFFSPSESARSVYTEVTTDLQILVGNCLYHLHKFPLLSKCLLLQALCAEPGSGGDGEVIELAGFPGGAEAFEACAKFCYGITITVSARNLVPLRCAAAHLGMSEAADRGNLAAKLDSFLASCLLRRWKDALAVLQSTRHGAPLCEELGLTSRCVDAVAVLIASPANAMPAKSTSASPWWAHDVAELGVDMFWRIMVAVKSTGAVHEKTVGDALKAYARRWLPNVAKDAEQPFDDAGAIAAADDSVKQVTTRHRLLLEKIVSLLPVDKDAVSCAFLLKLLKAANILSASAASKAELVRRVAWQLEEASVGDLLIPSVSCVSDMLYDVDAVAAILDEFALRHAAATAQHPAAGSPDDDSPVRSGGHRRSRSDESVGFDGARRSSSAAPVSQYALARVGRLVDAFLIEVSKDPNLPVDKLLAIAEAVPDSARPEHDGLYKVVDSYLKAHPEMSKSARKRLCRVLNCRKLSEKACSHAAQNELLPLRVVVQVLFFEHARAAALSGGPVASDSDLPSNIKALLSSKSAGSEDDDADRVDEQRLRALASGASPGDDWSVEGLRRAASKIATLRMKMEEDEDDDEEFVRKAGLSRSASLRFRAFCALPTANPKRMLSKLWPLARSITADRH >cds.KYUSt_chr3.10991 pep primary_assembly:MPB_Lper_Kyuss_1697:3:65481182:65485680:-1 gene:KYUSg_chr3.10991 transcript:KYUSt_chr3.10991 gene_biotype:protein_coding transcript_biotype:protein_coding MLGADVPAPCCGCSGRCRCGFDRQALPYAKCCWLAAPHIGIGKQIHAQLVLLGLSSEDLVRNALIDMYSKCGMLDAAKTSFANKNDKTGVSWTAMITGHLQNGQQEEALQFFCDMRRAGVSPDRATFSSIIKASSSLAMIGLGSQLHSYLIRSGHMASVFSGSALLDMYAKCGCLDEALRTFDEMPERNSISWNAVISAYAHYGQAKNAIKMFEVTATSVVMAACLSSTLPRQTIHRRFCLTPKLPSSSTTTYYRRPHRRIIGTSSIAVSARASSAAAAAPGLDADDIRHPLDKQNTLLLKAIPGLNDIGKALLGPVSEQVMVLQNIGSSVLVSENQLPELHQLMIEAAKTLNIESPDLYVRQNPVPNAYTLAINGKKPFVVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANILTTGAYTVPGFGMVAGFLEEQLFRWLRAAELTCDRAALLVVKDPKVVMSVLMKLAGGCPSLADQLNVDAFLEQARSYDKASSNPVGWYIRLDSDPMKWTAIDLTELLRLLCCGN >cds.KYUSt_chr3.45474 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286599956:286602318:-1 gene:KYUSg_chr3.45474 transcript:KYUSt_chr3.45474 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPIIRVVAVCGSLRKASYNSGLLRAAAEVCEESIPGMRVDHVDISDLPLLNTDLETADGGFPPAVEAFRDHVRAADCFLFGSPEFNYSIATPLKNALDWASRGKNCWADKPAAIVSAGGGFGGGRSQYHLRQIGVFLDLHFINKPELFVQAFQQPPKFDGDGNLIDAEIRERIKQVLLSLQAFTLRFQKKD >cds.KYUSt_chr1.305 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1686475:1692606:-1 gene:KYUSg_chr1.305 transcript:KYUSt_chr1.305 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQEHTSGLADSRRAPHALTSEAITAINRWSIFKAEIRIALARPAVNATMAPDSTSSLRESITPRPSPRPRCDTPPRLAVVDAVDAHHSFSCLHHPAAAPKTMPQEIVGASKDILELKRDIDVELGNNDSNPCNRYDGADRRDWLSPSAQIPVVASEEKSWDNIYESQELCSPSGKQEKFNKHGQLISQLDSNAQKTNIFICCLLHSILDNLTPEKFDLVKDQLIEAGITREDILEDVITVMFEKAVSEPTFCPMYAQLCSYVNMKLPSSPPEEPDGKEIAFASVLLNNCHEVFEGAGNLCAEIDTLTGLDQEMETRNKEIMLMKHRTLGNIRLIGELLKQKIVKDKIVHHTVQMDENKQGKPDWDTFATKVFCDICTIEVLAGNRPNDHLNAIGYNNLYTKFNEKTKKGYNQKQFKSKWESLKKDYQTWKALLESEDDLGQDPKMNTISTTPEWWAKKMEAMPDCSKFRSAPLKNVDLLNIMLEDMLDSSSTTPEANMAVNTTIKSEHGAGDGNDIGLIDKDVNEQSKEAILQKSSNKEPNSTKPKTNSVHAELNHLVDRVENVNPRNSATSTRVDQVESNISEIMELVVDAGVQEGSDEHFIATQLFISAEYREMFRTLKTPQGRLGWLKKMCHVKE >cds.KYUSt_contig_1181.217 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1362817:1364556:1 gene:KYUSg_contig_1181.217 transcript:KYUSt_contig_1181.217 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPGRLAVSAALLLFSAAVLLSAVPAARAQEETDHEEEFSYSLDAENGPAHWGEIKEEWSACGKGSMQSPIDLASPRVSLVRHLGYLNHSYRPAQASIINRGHDIMLNFEGDAGSVTINGTVYYLKQLHWHSPTEHSVNGRRYDLELHMLHQSAEKKAAVIGVFYEIGAHDAFLHKLEPYLEMIAEQKDREEKVGVMDPRGARGRASVYYRYMGSLTTPPCSEGVIWTIVRRVRTVSRPQLELLRTAVHDEMENNARPRQEVNSRDVSMFQPSQHGKH >cds.KYUSt_chr3.24683 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153200626:153205007:1 gene:KYUSg_chr3.24683 transcript:KYUSt_chr3.24683 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSRDGLEDLDAYATRIFPSTKVPKNWSRSSVGDLPGGCNEEGGLYPLAPPVLARPLPPPRFLRAAATATPGLQRQHQESAVGLLVLSGKNKKDYSIQNLLQHASGVGAAPNRPAKDKATHRALAKYLKNGLSESLEPQSQLIAVEPQPLQNRNEKYAWPWMGVVANVPTEWKDGRQIGESGNRLKETLSCFCPLKVIPLWTFRGHTGNAIVEFARDWNGYRNALAFEKYFEAEGCGRNGWKQKQNQGPKLFGWVARAEDHSSPGLIGDHLRKNADLKTINEVENEGTHKDNKLVANLANQIEVKNQYLQELEFRYKETAVSLEKMMAQRQQLVQAYNEEIWKMQQLAHRHSQKIIDENQNLRSELESKISELNARSKQFDDLSEKSDYERRDLEQEKQKNASKSNHLKLATVQQQRANEDVLRLVDDQRREKRAALNKILELEQQLEEKQMLELEIQQLKGKLEVMKHMPGHEDSESMNKINKLGEVLQERMDELDAMESLNQTLVIKESKSSTELQEARKELENGLLDLSGGQAHIGIKRMGELDVKAFSNACRGKLSEEDAEVTAAILCSKWEAEIRNPEWHPFRVIMVGGKHMEIIIDADDAKLRELKEEHGEEIYSLVTKALREINEHSASTRYPVGELWNFREERKASLKEAVQCVLRRWRSNKRKR >cds.KYUSt_chr5.4665 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29729055:29734911:-1 gene:KYUSg_chr5.4665 transcript:KYUSt_chr5.4665 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGGGGGGGGCGANCSSSAADDAPEERLGRLSIATGAAATCGKCGVGAVVVVAGGVGLCGECLRAHLFGKFKLAVTSNAMVRPTDSVLLAFSGGPASRVALQFIHDMRSKAVESWDASNSQALPVFDVGVAFVDESALLSKPRREVELAIADIKSIVSSILPSDKAMHITPLEDVFSSESNGREGRLRELVGMINDDTGREDLLQCLRMLSLQKIALENGYAKIMLGSCASAIACHVLSATVKGQGYSLPADVQYVDTRWEVPVVLPLRDCLAQELSLLCELDSLKTQQLLDRPCSGINGLVASFVARLREENPSREHTVVRTAQKLKPFSFNKFSANGYHDFLPSRLRPKFQNVDSDESTLSEVLCLICQSPFSESELQNLESTKHKPQKKIDLYTAYCCQSCHFQILPGGANMYDQFFSLLPKFWTERVDTATASQSSLSGTTSVLSNPIDMSLEAFLSIGNAIVHYYAYYHKQQRRGFSLVVASSESPSAAIPYQRDFSLVALSESHHQSISCSHTDQDGGGPMPMAP >cds.KYUSt_chr5.43683 pep primary_assembly:MPB_Lper_Kyuss_1697:5:275030429:275035357:1 gene:KYUSg_chr5.43683 transcript:KYUSt_chr5.43683 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGPAVDRQQQQQPSTCNAPSSSDSCKEEEELKGVRHLCERGITALPPRYVLPPSDRPAPAAPRSIPVIDLARLRSSERARELAALDAACRDLGFFQVVNHGVQSQGMLDVARRFFALPQEERARHMSSDIRAPVRYGTSFNQLNDGVLCWRDFLKLLCNPARLDEVVPSWPDNPADLRDVMSPYARANQMLFRELISAALEAMGIIGSGVLKELESGTHMMMVNCFPACPEPELTLGMPPHSDYGFLTLLLQDQVNGLEVSDGEDWLLVDPLPGALVVNVGDHLEIFSNGRYKSVLHRVRVNSTRSRISVASLHSLPTERVIGPAPELLAEGTPRLYLDTDLATFLDYLSSAEGKHKTFLQSRRITFPPS >cds.KYUSt_chr7.7648 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46197133:46197732:-1 gene:KYUSg_chr7.7648 transcript:KYUSt_chr7.7648 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHDGKPYQPRRGPERPPQPAEDPAPPADGVDHLAMVAAEAEAMVAAEAPADGVDHLAMVAAEAQAMNAYEEEEPEPGVDMTEDELEEEEEEEEEEEEMEMEEEEDEAEHQHQHHGEAQPMDAEAAAAAAAAAAAAGVPMDPHAGMAPAPVHPASSNQLTLSFQGEVYVFDSVSPDKVPLLRFCGYNTFPHVPHSFYE >cds.KYUSt_chr3.34606 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217041354:217042475:1 gene:KYUSg_chr3.34606 transcript:KYUSt_chr3.34606 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEKTHDFATDVLVDILLRLPPSCRRRARLVCRHWRGAVDERTSEMRSRAVRTLISVKTRLTASGYVVDELSGWRLKNRWAGSGGVHVVGTCNGLICLCDDAKPGGAVTLVNPVTGEGLVVPPLPRHRSSRRESWHEAYSFGYHPLTGRYKIVHVPGYLEPAATSDSIDEVQVFTLGEASWRSVPLPAAVRAIGCLGSGSVVSVDGTTYWLGMGGIDGKAAVSFDLGDERLYATPLPVKAKPGVTLTVVRGRLGVALDRDGRTVVWVLQEGRWRRRYILRLGGSQRLVSPQFAHGKYVLTAVTREKWKPWRKESVLYGHELGERRSFNVLQSKEPAVCNVEGYIHRTFAYVETREPLRVYETERLTTRSQDK >cds.KYUSt_chr4.28491 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178910812:178911321:-1 gene:KYUSg_chr4.28491 transcript:KYUSt_chr4.28491 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPSAAAVAKPLPAPRSSTALHATAASASATSSSRRDVLAGTGLGAALLLALSPCVGAARAAADEEYVSETKEVIGKVRSTISMEKTDPKVADAVTELREMSNSWVAKYRREKGLLGRQSFRDMYSALNAVSGHYISFGPTAPIPNKRRVRILEEMDSVEKSLQRGR >cds.KYUSt_chr7.37890 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236284757:236298712:-1 gene:KYUSg_chr7.37890 transcript:KYUSt_chr7.37890 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MLHLHTTAAMLPSASSLLRLSRRIPLHRLRPLPSPPLLPHLRLLSSSSPQPTADGRATHDPLLPPEALSSASAAAAASDPETSADSEGSWGVFDPIAGRILTQPLAPPPPPTPEAAAAEGKPTGGKGESRWADVATARRAGGKGRKAAARATYVCGNCGDGFSQWWGTCRSCQAVGALTKYVAGADPADAAEGAHHAARSWIQQKSKEMVPKSLSEVTKGFDQTGWRIPLPGTFGSEIARVLGGGVVPGSLVLVGGDPGVGKSSLMLQLASIVSDGSEDHESSPVVYVSGEESIEQIANRADRMGIRSRDLYLYSSTDIEDILDKIQPLSPRALIVDSIQTVYLKAFAGSAGNQVQVKECTSALLSFAKLTNIPVFLIGHVTKAGDIAGPRILEHIVDVVLYMEGERCLSHRLLRSVKNRFGSTDELGVFEMSESGLQAVLNPSEMFLTEHASDSEILAGLAVAVVLDGSRTFAVEVQALCVPGCRTRGQVVGIPISRADVITSVLMKQAGLKLQDSVIFLNVVSGFELTETAGDLAIAASICSSFLEFAIPNDIAFIGEIGLGGELRTVPRMDKRVMAIAKLGYRKCIVPKSSEKLLKPLDLGIEILPCRNLKEFINTVFRPEDSFCDEFSQTLVCVGDSQIPSQVPDSQPTFESKVTPLPVSDLVAQVAAEVAARLTTSKKNKNRREVERAMLKTGQERNATMKWLPFMSNFVLEKMCGLIQSGVRTDKGFKEVHLNTVAKGLADHCGSPKGRGVPECAHRQLRRDAHHLLLRPRHREVRHGILGSPAPPPSPEDADTQESDMENLDAGKPTDAPEKPTADKRKRGAFADDELVAFTNMTVAVKEVAQAIRANKPTDMHPGMYNAVMDMLGFTEDDLMVALSHLVDHKAQGSSFVGMIEPHRVLWLRNYLGKYHSKN >cds.KYUSt_chr5.1400 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9675304:9677792:1 gene:KYUSg_chr5.1400 transcript:KYUSt_chr5.1400 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLKLIVFFLLVMAVAPAPGGAASLALPGCPDKCGDVSIPYPFGIGAQCSAVSLNRFFNLDCNDTYHPSRLMVGGPADMAIEVADISLERGEIRVLVAVSYVCFTSSATISANIAVMFSLEDTPFLPSTGRNRFTVIGCNTLGLVGGFRGGTSQYLAGCYSYCDGISGASDDGAPCTGTGCCEASIPTNLTTFNVSFPMNSSGVWGFNPCFYAMVSEVGWYSFRRRDLVGQLGFVNERAKDGAPIIVNWAVRNGSCLEPRNDVCVSTNSYCENVSNGPGYLCKCSLGYEGNAYLNNGCQDVNECMLREQDPKYEELYPCRNGICYNTPGGYNCKCKGGTRTDGTNFGCRPLHTRDDQLAIGLSVSAVVMISLACLLVIQLQRRRHKKEKDEYFKQNGGLKLYDEIRSRQVDTVRILTENEIKIATDSYNEDHILGCGGHGMVYKGTLDDNRDVAIKKSKVINDDCRDEFVNEIIILSQINHRNIVRLLGCCLDIDVPMLVYEFVSNGTLSEFLHGSADRKLLPIPLEIRLKIATQSAEALAYLHSSTSRTILHGDVKSANILLDDQHNAKIADFGASALKSMDESEFILLVQGTLGYLDPESFISHHLTDKSDVYSFGVVLLELMTRKRALYAVDSSKEKRSLSHSFVLMFHKNKHRRMLDSVIVVDAAAMVIVEKLAILAVHCLSARADDRPTMKEVAERLRVLWRHQMHAAGVANYDCEFDSNYGGSFSSVVLPLDESIDLSLETCELVQLS >cds.KYUSt_chr4.23583 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148429170:148429721:1 gene:KYUSg_chr4.23583 transcript:KYUSt_chr4.23583 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLRDGGEATPLVTPAAAAAAVGGGAGGTLTSPSAAGSNASFDANMVIILAALLCVLICALGLNSVIRCVLHCGRRLAPSTVARATTATSLHAQQTGLKRKALRKIPVEVYGGASALTATATECAICLGEFADGEKVRVLPRCHHGFHVRCIDMWLATHTSCPNCRASLAEDGAAVTAAGGR >cds.KYUSt_chr4.39368 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242889831:242895151:-1 gene:KYUSg_chr4.39368 transcript:KYUSt_chr4.39368 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVRTLLVASLVLSSIALDVAAKTLDPYKVLGVDKNASQRDIKKAFHKLSLKYHPDKNKDKGAQQKFEEINNAHEILSDEEKRKNYDLYGDEKGNPGIGGGHFGNHEGFTGGGPKTTYFKSGDGWQTMGGPGNGKTFSFSFGGNPGSDAGNPFGFDLGDVFSNMFGGGGSMGGNQHGGFAGSAGPGARTSSQHTNPVTIKEVTLQSFNKEIADQGISWILLFYTQQAKGRFVLESILEDVARSLDGAVRAGKINCEKEKALCKKAGVSVGKSARLFIYSYSTTEKGSLHEYSGENDAKSLKIFCQEHLPRFSRRVDISQFSFPSHALPNLPQVLLLSTKKDTPAMWRAVSGMFRNRLIFYDAEVQDVSLPLLKRLGVKNVPALIGRNINGEEQLLKDGISVKDLRSGIKELKTLLEGFEKKNKKLASNQAKKPEPMENKILLLTASNFQETCGEKASVCIIGVFKSNKAKEKLETVLSEVSHKTLIRGQRYNSGNAIAYALLDGNRQSAFLSSFDKSGYQSSDNLLLAYKPRRGKFAVHNGELTMEEAERFVASVLNGDVHLSAIRQKPVLRLRSRWKSARCFAKDSAPCYLSPRFLLIYWLLRPLAGAKSHRPSASVLQG >cds.KYUSt_chr1.35592 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216947342:216948664:1 gene:KYUSg_chr1.35592 transcript:KYUSt_chr1.35592 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDARVARHMRRKKSRAAGAPAVPALPDDLIPEILVRVDDGPALFRCALACKQWRDLVADPSFLCRRWPKGARHRTSLLGFVARHSHICATWMPMQPAFIPVARSPLGHSRRLLGSFFPCASTANGLLDDAVPLTMRSGFILVCLGPHTSVDQIWAGTRLALCNLLTGRCDVLPLLNYDMFATGTAKFVILTDTDYCSKELPTSLPRYSTFFKVIVIFFEYSAGSYSMYTFSSANLSWSTPIRCPYHIGTIYGNAVVCQGKVHWLICNMWNFHTIEVCITTGHPSITDFPIPLDRQDSSFNFAARLRLTSEGKLSLVCQHTTCFQLRTWTRQGNKNGIDDNAVEQHPEVIVLKDKTTETINTLHMHVGERSGMLLATDQRKFICIVNPETGTIEEVTGMFYDMDLYNIVPFEMDWPAFFMSRLEAFYRLGLSETRQRLQ >cds.KYUSt_chr4.46912 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290143922:290148335:-1 gene:KYUSg_chr4.46912 transcript:KYUSt_chr4.46912 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSTSSSSSRNRQSSQARVLAQTTLDAELNDEYKESGGSFDYSKLVEAQRTAPPLQQGRLEKVIAYLQHIQTGKILQPFGCLLDLDEKSFNVIAFSENAPEMLTTVSHEVTSVDNPPRLGIGTNVESLFTDQGATALHKALGFADVSLLNPILVQCKTSGKPFYAIVRRSTGCLVVDFEPVEPTEFPATAAGALHSYKLAAKAISKIQSLPGGSMEVLCSTVVKELFDLTGYDRVMAYKFHEDDHGEVFAEITKPGLETYLGLHYPATDIPQASRFLFMKNKVRMICDCRARSIKVIEDEARPFDISLCGSALRAPHSCHLQYMENMNMIASLVMAVVVNDDEEEDEVESEQPAQHQQKKKLWGLLVCHHESPRYVPFPLRYACEFIAQVFSVHVSKEFELEKQLHEKSILRMQTVLSDMLFREASPLTIVSGTPNVMDLVKCDGAALLNGGKVWRLCNAPTESQIHDIALWLSEVHRDSTGLSTDSLHDAGYPGASALGDMICGMAVAKINSKDIVFWFRSHTAAEIRWAGAKNDSSDMDDSRKMHPRLSFKAFLEVVKMKSLSWTDYEIDAIHSLQLILRDKLNDAIKPTYEASLDKQIGDLKLDGLAEMQAVTSEMIRLIETATVPIFAVDVNGLVNGWNQKAAELTGLRIDDAIGRDILSLVEKSSELVVQRMLYLALQGKEEKEVQFEVKTHGPMVDDVPVILIVNACATRDLHGHVIGVCFVAQDMTVHKLAMDKFTRVERDYMAIIHNPNPLIPPIFGADEFGWCSEWNAAMTKLTGWHRDEMLDKMLLGEVFNSSNASCRLKNKNAFVGLCVLVNSALAGEGSEKAPFGFFDRSGKYIECLLSVNRKENEDGLITGVFCFIHVASQELQHTLQVQKASEQKSLKRLKAFSYMRHAISNPLSGMLYSRKALKNTGLNEEQMKQIHVADNCHNQLNKILMDLDQDNITEKCSCLDLEMAEFVLQDVVMAAVSQVLLACKGKGITVSCNMPEIFLKQLVYGDGVRLQQILSDVLFVAVKFSPVGGSVGISSKLTKKSIKGNLHLIDLEIRIKYQGLGVPAELMSQMFEEDSKEKSEEGLALLVSRNLLSLMNGDVRHLREADVSTFILTFELGMGQ >cds.KYUSt_chr7.10709 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65871410:65877886:1 gene:KYUSg_chr7.10709 transcript:KYUSt_chr7.10709 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSGLLKGFDLKTRDEKSESPETIQYAATVAKLRLGTRNSVLALRRDGELEKIIAIITTDASQSTSHVSPIHEILQALNSGIIPDGWNDTTVVLIPKIDDPELVSQFQPIRLCNVIYKIISKMLALRLKVILPEVQQVVAAPAHDNSCVKEEEKNHQIIQDTPCAEVKEPASHAKVHDDNGGCKDEEGKGELEVAATDTDTNTDEGQGIAQEVAAKLAIQNGPAEAEAEHTKEGRSGRGAKKTDKAAAKAKGAIVPVDDDTDDEVAAPEGVKKTEKTAVIPIDDETDEEVVAPADDQAPVAASVAPEEAAEAAEEEVTKNGKEDACEEKAHEE >cds.KYUSt_chr3.18245 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112038638:112041288:-1 gene:KYUSg_chr3.18245 transcript:KYUSt_chr3.18245 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEHGVPVASWVASGVAFWSTAFLLLRALLPKRSYDFCNRAVSTMHAVAGVGLGCLSVHDWASPVSPVASPSSPSQMRALAVTLSYMIYDAACCHLSGDARLDNALHHLISIVGLGAGLAYQRSGTELVACLIVTEITAPLLHLREMLKELGIKDTDLNLLVDILFAATFSVARMVCGTYVTYRTVTADNPILIKAMATSLLLVSAYWFLRIVRMVRHKLGKKRPSAKIAAE >cds.KYUSt_chr2.8249 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51863092:51863577:1 gene:KYUSg_chr2.8249 transcript:KYUSt_chr2.8249 gene_biotype:protein_coding transcript_biotype:protein_coding MALFASKFAPLIPRLRRLSTAAAAAGAGEDPKLSRIADGLLALSSAELDDYSALMRLKLRLSLTSTPASGAGPAGAAGDAAAPAEEAAAVKTAFDVRIEKYEAAAKIKIIKEVRAMTDLGLKEAKELVEKAPVVVRAGLPKEEAEALAAKLKAAGASVALD >cds.KYUSt_chr1.30568 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185001149:185001658:-1 gene:KYUSg_chr1.30568 transcript:KYUSt_chr1.30568 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTAAANAIAPSTDDADNAPSSQRAAEPKPAPGQEEPLPAATADMAPPPPTTRATSAEFGDEDEQVEKFYELLANIRALRAMHARGSGNADASTDDTTSDKVCDGMRKRARWAEQPWRPTFRIEDFEEAPGGSAFMKDTRDDEGAATSRWPGKKTTDEAADGESDDA >cds.KYUSt_chr7.39750 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247110097:247113601:-1 gene:KYUSg_chr7.39750 transcript:KYUSt_chr7.39750 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRHEHQRQEEEEDQEHQEHHGHGHEITSPLLSTRPSTSRNSPEPQEEENSPIEQVALTVPVGDDPDTPVLTFRMWVLGTASCCVLSFLNQFFWYRKEPLTITAISAQIAVVPLGHLMAAALPERAFFRGRPYEFTLNPGPFNVKEHVLITIFANAGAGTVYAIHIVTAVRVFYGKQLTFFVSLLVVLTTQVLGFGWAGILRRYLVEPATMWWPSNLVQVSLFRALHEKEERRKGGFTRNQFFMVAFTCSFIYYIFPGYLFQMLTSLSWICWVFPYSVLAQQLGSGLHGLGIGAIGLDWASISSYLGSPLASPWFATANVAAGFFIVMYIITPIAYWFNFYKAQTFPIFSSGLFTSTGQKYNISAIVDSHFHFDIEAYEKNGPLYLSTVFAITYGVGFAALTATIVHVLLFHGSEILKLSKSAFQEKRVDIHTKLMRRYKQVPEWWFICILIANIFITIFACEYYIEKLQLPWWGVLLACAIAFFFTLPIGIITATTNQTPGLNIITEYIIGYLYPGRPVANMCFKVYGFISMKQALEFLQDFKLGHYMKIPPRIMFMAQVVGTLIAAFVYLGTAWWLMDTIPNICNIELLSADSPWTCPADHVFYDASVIWGLIGPRRIFGDLGTYSAVNWFFLGGAIAPLLVWLAHKAFPGQKWILLINMPVMIAATNQMPPATAVNYSAWILVGFLSGYVVYKYRRDWWERHNYLLSAALDAGLAFMAVLIYLCLGLENISLKWWGNDLDGCPLASCPTAKGIVVKGCSVYH >cds.KYUSt_chr4.41247 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255133598:255135646:-1 gene:KYUSg_chr4.41247 transcript:KYUSt_chr4.41247 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSGPAHSTTDAAPFRLRWLPAMIQPDLLLARDVSKAGEWSFKPGSGKVFLVRTDQDNVQVPIPVVVLLSTGAPDCAASVRVPEPVACSHGLLLFFMLMVAAACSLNKTVNAFQRVELDDVKLADVRLQDNQDFHDHKVFRMWQRLPASPSSIDLSSYSGGDDAIHRSS >cds.KYUSt_chr4.27466 pep primary_assembly:MPB_Lper_Kyuss_1697:4:172552386:172555200:1 gene:KYUSg_chr4.27466 transcript:KYUSt_chr4.27466 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSRAMHLLEGVAARSLLLWILKLSSAAGMSSFPQRVVALVRKRSAAAQLPRPWLLQVTPCSRRADLPAPATSISSTDGPGSYSASSLSPSTTRGRPLAAAALSKPADAVAGVDAAELFFLHSSAQASWGGCRQVAGEAAGGPAVLVSAAPPPWAIPCELAPSSTSLHVSTKPLVLDGMVQPRPPAAPSVGLPAGDTLGAPAPAAPSRSSRLPPMGRFRKENVGVFASPSAASMPSTPLALALDAHAALVVAGQRLLAASRSPDGHLCDIAVVVEGLGSLSLPPAASGGPVSKVALPAGARLLDDGKYSVEELATETFKTHVVAVVVGEEDVLGSARGNADVCNLDEARAEPCGGISADVDALGDKADSVQVGRGGRPDREASSSLLRNEGLERSLAFKRWARGRCFRCLERDHQVNTCRAPFICIRCRRPGHRERFCRARFPAARSRSPDARARSPDGCAPCEQCRSPPALPCSPSASRSWVEVVCHSASPTTPSPPSCYEKVNVNASLDSRLQCQVALLRMELTQLVAMRVEEAARPLREELASLKLLLARVGVSLEPAEACSSGGQELATVQALLPPSESSVVEEEHLYSCFSPRGSPCQSPQHVVSADSKSEDIDEFLAPVLQITEELHELHGDSPVVFQSALCSFETLEVATTPSPPQSEPFQSLQPVVSVDPKCEDIAEFLAPVLQITGELHELSGDSPVLLSSALCSFENLEVATTPSSPQSEPCQSLTSLDHGAVLVPSSDALFAKELCGLLASLEAASPGYGKEIACVLAGKASEDMIKKVEKSLKKVSIRRIRRRTKTREV >cds.KYUSt_chr4.11875 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72161975:72163357:-1 gene:KYUSg_chr4.11875 transcript:KYUSt_chr4.11875 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTQQHLTTPILSVLETTLVAPSPSAGAALPESSLPLTFFDILWLTSPPVERVFFYSLAADADVATILSNLKASLSQVLHAYYPLAGRLRLKPGTADRYELHYLPGDGVTFTVAEYSNDGSDFDELASDEPKKVAKIAPLAPSLPEGGALLALQATVLRGGLAIGVAVHHAACDGASSTRFLHAWSAAGTGAANGPPPPVTDRALVNDPGSRLYDFFVKAMPTADQMERVKLSDDQLFATFTLSKEDIQRVKDVVAAEAGRRGAAPPRCSSLVATFGFIWSCYQRAKDDARSNIGDRPTYLCFPIDHRSRMKPPVPDEYLGNCVGAAMHAAPEDQLAAAGAGGLFVACTAVAAAIEEAMGGVRSPETIESWIERFKEAAVAGTGMLSVAGSPRFRVYEVDLGFGRPAKVDIVSVARTGAMAVAEGRSSGGGMEVGISLRAAGMQRFQKCFEDAIPFLHH >cds.KYUSt_chr7.18732 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116085236:116085523:1 gene:KYUSg_chr7.18732 transcript:KYUSt_chr7.18732 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLYQLMSGLHMARSRSSSAADVPRGHFAVYVGEQRKRFVIPTAYLRNPSFLVLLKRVEEEFGFDHPAAGGLTIPCSEGDFADIVGSAAVDHH >cds.KYUSt_chr6.14407 pep primary_assembly:MPB_Lper_Kyuss_1697:6:90207562:90209407:-1 gene:KYUSg_chr6.14407 transcript:KYUSt_chr6.14407 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAWSRQGDASRAAAREHTKRCRERLRLMREAVRLRRQLAASHAGYLKSLALVASTLTRFAVGEPLPVSDHTPPAVLVHRPVVVPSTPPPLLRSIEQQQQQRERQEQDGVVAAEAPVETARRDSQDGEERRTAVRHRSLAEVAAGLEEYFVKVSVAGDAVSSLLEASNASYKGGSTSFLGALCCLSAPSVSHDRIDSMHGGRRHSSTLQQLMAWEKKLYREVKERERLQLRHDKKLTQLRDQEYSRKINVDIQKLKASWDRARAQLDTASQAVDASASAISGLRDTHLARQVLGLCHATRDMWKAIRQHHEAQCLIAQQLRGLSSRTSMDPTTEIHHEATRALEAAMSAWCAALGHVAKHQREYVHALHGWLKLTLAPINGAQQAPSPSPVAAELAEFVDRWGKVLDHVHCAEVLKSIKNFAGSTHALYAHQSDELRVARRVRRYSRELDRKSRMLRQVESSYYDSYVPAGFSMWNRGGRHWREHDRMQVHDARNEVARRKEEIAVCRRMLEDEMRRHAKAIDGTRAAAVTGVQGTLPAVFQAMAAFSASHANALEAVCRHGSNHQ >cds.KYUSt_chr6.27821 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176501215:176502075:-1 gene:KYUSg_chr6.27821 transcript:KYUSt_chr6.27821 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEHLVIIVVTVGAVLVLRRRLQRWGPAYASLDRVPDAARRRRVDSQWSLLSSRTTKARRCVALSSRHLCRSASAYSHSARDQANDWSSGMGGMPFNNRAMTASRTKLSSASREAHSAGSIFGVRRRSPQVSRSRFGQTRRRQPRGGEGSCRSLMTIPPRRARVRGGDAGSGLTGRSWLLGVVGGADPNERDTRAESEEEEVEAMHHGIHELPLHRETDNTLGGICRTGKFPAWMRSRTARRVSPRALHQRCRPVALLRNGIGYGPSYEANSRMGRSRKNCVHAP >cds.KYUSt_chr4.1689 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8927828:8928814:-1 gene:KYUSg_chr4.1689 transcript:KYUSt_chr4.1689 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTQTKSSVDNAAEERERLVSTLPMREGFWKPYVLYRGFWVSPQVAKSVMLLQDEFKPRADDIILAACPKSGTTWLKALAFTLVNRSRHAVAGDADGHPLLTNSPHDLVPFIEKPDRELYPVAELEALASPRLLATHMPFTLLPASISAVGCRVVYISREPKDVLVSLWHYLNSVSKDYFIKLEKAFELFSEGGSYFGPVWDHYLGYWKQSIAEPDRVLFLKYEEMMADPVKHVKTLAEFLGVPFTVDEVDAGAVEQVVDLCSFQKLKNLPVNSSGTSNLAGWMPMEKSSYFRNGTVGDWANHLTQEMAHKLDGIVQDKLKGSGLAF >cds.KYUSt_chr2.11433 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72663227:72664163:1 gene:KYUSg_chr2.11433 transcript:KYUSt_chr2.11433 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKMNANRVVIGTLRGFDQFMNLVVDNTVEVNGDEKTDIGMVVVRGNSVVMIEALEPIAKSQQ >cds.KYUSt_chr4.11482 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69824612:69827009:1 gene:KYUSg_chr4.11482 transcript:KYUSt_chr4.11482 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTAASLPRLSLPPAATRSTGTSSRFRLKLASVPSCRGHSFSLRLHRSPVVPAAAASSPSVPTSSPEPGSGIGDALGGVAIFSAATGEPVLIRDLWDQNEGMAVVALLRHFGCPCCWELALTLKETKERFDAAGVKLIAVGVGTPDKARILAERLPFPLDYLYADPERKAYDLLGLYFGLGRTFFNPASVKVFSRFDSLKEATKNYTIEATPDDRPSVLQQGGMFVFKGKELLYARKDEGTGDHAPLDDVLNICCKVPVA >cds.KYUSt_chr1.26131 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157156888:157161990:-1 gene:KYUSg_chr1.26131 transcript:KYUSt_chr1.26131 gene_biotype:protein_coding transcript_biotype:protein_coding MHKESTAPGLDADKIEVPSPKEESNSTNSEAATDTENFEISDDDDDDRNHKHRRKEAMPQSFGESTEEQSAGRPLKRRPMISGNGQPFGGADSRGEAQKDFVPKFKRRLGPGAHSRGGRMNQSFHSASAATRPPMTRGRGRNGAPWTQHDPRFNTLDMMDFASHMASQGPPPHPSLFMGAPMSSSGSAQNGSWGPHGFMPGMPNGMLDPFHPHGMQGPIQPAMSPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDMQSLSQFNLPVSAPNAPGLGIQSEAVTAHVNLTNLGGSKGVTAKDAKSGVADDALKLNGSTGSAVVNTDVYDPDQPLWNNEQPEASCAGFAHANDGVWNAETSSYEAGWEHANQGFAADGSQNSKSSVWGRIASKKKSGPSKTANTTSTSATGNKRSDYYDDMAPSTVQLKPASSKDTNGQSNSRMLGDVGRQSNRAPHKASRTLYVNGIPQESNRWEALLLHFQKFGQVIDIYVPANSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDRITEEGEGRISTKPMLTNSALAQPSSSNRGNDLQSTTPRASSGSSASGPGVGPKTLPANSIASVPPAPKRQESMELLEELRKKQDMLAQKRAELRQQLEAYVKQKNSGNPVKQTETSGKEVGPNAAGKVVDIRSMNAGTEGLQEVASTLEKKISGDLALSSPKYAPTSTQKPAVAVKQTSPLVAPPQNRFKLDNRTTSFRILPPLPPEIANESVLKDHFAAFGELSSVVLEDTEAHNHDTTLPSLSCSACVTYTTRQSAEKAFITGKSCKGHMLRFMWLTASPGSNNQSRFQKTSSLVGAAESSSPVAKISRIVTSGTSVIPHSESIPTAESSERFPVETSKVSSSSVECPPENDSTRNPLLIDPYVPQ >cds.KYUSt_chr4.6692 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39382352:39400268:-1 gene:KYUSg_chr4.6692 transcript:KYUSt_chr4.6692 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLFVLTSRLCRYLRRTCRQPHRLFICLRIRRRVAGVAWMSHLLSRASSLLHDGSPAAMKSVEGAGWGSGRLYKSLSSSASLPARRRRLLQNTAPVVVAPARSSVPVRVPLLSATSATPMLHTAPVLPSSAVPDLPQILWFVPAVMGLRFLGHLLASLSGVSSPNPDIVGVVTRLTDLNPAKTNQEPRKMKAALRSLYHKEFLATVRFHASTTEDGVILDFAGPNFVSVDNFAFGAVARYIQVNGDERYKLLGTQVEATWDDALKKGVQEFQNRSYNLFTCNCHSFVANNLNRLFYSGHDKWNVVSLAAVMFLRGRWVSTASVVKTFAPFAVVLTLGGLLGGMTFLIDLEVLCVPRLSACSGLQLSRHLAPVSVAGSDLAAVSSFSVTRHDLAAAIAPDRLLARPVRSPRGTSYPIGCSWGGRIPLLAARSGSCSRSSARPLDGSPDRSTPARIWELLSLQRQAARLQRQLFFVAVCGLFTRLCPRFTDSVYVGSPLYTDFRGLFPRLWPCFADFVSLGLPLYIDFCGLFTRLCPRFADFVYVGLPLYIDFCGLFTRLCPRFAEFLYVGLPLYIDFRGPFTWL >cds.KYUSt_chr7.21706 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134647979:134649727:1 gene:KYUSg_chr7.21706 transcript:KYUSt_chr7.21706 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPSGNCKKDWQSHVKTWFNQPARKLRRRIVQAAGIPKKLAPTIGISVDHRRKNKSLEGLQSNVSRLKTYKAKLVIFPRCARKVKAGDSTPEELANATQVHGDYMPITRGEKRSVEVVKVTDEMKAFNAYGKLRVERMNQRQLGARLKKAAEAEKEEKK >cds.KYUSt_chr2.1877 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11197996:11201838:-1 gene:KYUSg_chr2.1877 transcript:KYUSt_chr2.1877 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASPVAVAEYIAEIEKARRDLRALIATKSCAPIMLRLAWHDAGTYDKATNTGGPNGSIRLPEELRHAANAGLNIAVDLLEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFIPGRKDSSVCPEEGRLPDAKQGASHLRDVFYRMGLTDKDIVALSGGHTLGKARRDRSGFEGAWTKEPLKFDNSYFIELLKGDSDGLLKLPTDKVLVDHPAFRPYVEKYAKDEDAFFRDYAESHKKLSELGFTPSRATLLAWKSRDKAKRVVTTTTAVFAVAVAVIACAYICETKKKLEMDSDDEMMVTLLLEEEDVVAAERKKRLNSSHRCLCLRAKLLHRHIFGSVMGKRKNIDRKRLAGARMLEDDCLKDGATHPPKTFRLRFRMNKKTFQIIVQGVREYDTYFQRKKYCTGLYAFTSEQKCTTTLRCLSYGAHADACDDYIQQHPRPSRPCIGFARRWRHCLGHTTSGHPLKKTQLRYWLNMQQGDFHVWWEASIACIRVGKLPVFFTRATLDSAVSFLRLWLTMTCAFGMLSLAYLDHTMISTFYNGHRLSFVGFFESHAHEINYEINGRHTYNKGYYGIYSPWVTIMKTTTNRFT >cds.KYUSt_chr4.672 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3508424:3511906:-1 gene:KYUSg_chr4.672 transcript:KYUSt_chr4.672 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFALGLTKTAVEGTLVRVKSAIDEEAAFKKKVRNDLVFITGEFEMLRSFLYAANTAERAKNPVVRTWVRQLRDLAFDVEDCVEFVVHLDTKKSDWWWRVVPSCIAPPLPVDVAVAEIQQLKARVEDVSKRNARYNLLGDDNSMPPNQLIPTAAVESSSPFDVLSQVWQAAGKLRGTGDLKKLITSEGEDRQVISLWQGSCSTGAVAAHHHHLEATYIIKKAYDDPEIYQGFKSRAWIKLMHPFDPDEFLKTLLALFYPPSFSNHQSRGAELRKKMRAAVTEEDDSTKAELMRQVSDDRRYLLVLEGVSTVVDWEAIRMYLPDCNNGSRIVVSTEHLRIALLCTREPYQVSQLTRFSDGQFLCAFSKKGSVRRSDIGEFNWQITRGGVISVVGKCPRFGVISVVPQVYECIRGKRKGFNGVVFEEHSWVDVPRPFDINKFALVLFLNFQSRDFQAKEIEEVGRKGYQGVIERCCKYLHENDCLVVINGLEARKDWDEIKTTFLSKPTKWTRTKSSIIVVTEDETVAKHCAPDQPNRLFDIKALQQDEVLDRLIEKDIGRGVEYSGRAPLFYDRMGEARYWHFSVFDGRRRRELSTFLREQLLKPNPSVISVWGRSRVGKSTLVREIFSYFVRGGEYGYAAYSWVDVPHSFDLSNFSWHLLLDFQSTHEEKVAAAAGLMKGQDPIRACREILHEKKCMVVIDGLQSKQHWDIIRKTFFSELHTQSGSLIIVITNEKSVAKHSVDHKEDQLLKVRRLRDRDSLRLPLDKALANSYFINIHGLCDMKFI >cds.KYUSt_chr5.36141 pep primary_assembly:MPB_Lper_Kyuss_1697:5:228526200:228527525:1 gene:KYUSg_chr5.36141 transcript:KYUSt_chr5.36141 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGTMDIDLAAVRALRVLGRGAMGTVFLVAGTSTEHYALKVFDKRRSPAARGQEDAARRARWEVSVLSRLAHPHLPTLLGRAETPELLAWAMPYCAGGDLNDLRRAQPDRVFSPAAVRFYAAELVSALAELHAAGIAYRDLKPENVLVRADGHVTLTDFDLSRLLPPKSPSASTSTSASSSCSSATPSPTTPKPPTQGRGRQYRHLRRIFARSESAVAASSSGQEPRNLAWYLNRSDGGVGDHLKKAKSARASTPASRSKEYTSFSSATNAGGAVPCERSFSFVGTEEYVAPEVVRGDGHEFSVDWWALGVLAYEMAFGRTPFRGRNRRETFRNVLLREPEFSADVQRRWPDFTDLILRLLDKDPARRLGFSGGADEVRAHPFFAGVAWEMLGEVSRPPHIPPPADETIACQGFGVIEYFQKLHHPQPQANESPEFLPEF >cds.KYUSt_chr2.28689 pep primary_assembly:MPB_Lper_Kyuss_1697:2:176101421:176104782:1 gene:KYUSg_chr2.28689 transcript:KYUSt_chr2.28689 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHGGAGSGRTRVGRYELGRTLGEGTFAKVKFARNVETGENVAIKILDKEKVLKHKMIAQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGTLKVSDFGLSALSKQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIYKADFTCPSWFSTSAKKLIKKILDPNPSTRITIAEVISNEWFKKGYQPPRFETADVNLDDVNSIFNETGDPAQLVVERREERPAVMNAFELISTSQGLNLGTLFEKQTDSVKRETRFASRLPAKEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVEIRKSNGDTLEFHNFYHSISNGLKDVMWKPEGGIPEADEIRHRRSP >cds.KYUSt_chr7.6048 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36219555:36224921:-1 gene:KYUSg_chr7.6048 transcript:KYUSt_chr7.6048 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSVVAELAASFSGIQVAPRRKPTSIPPGPAASLYSPVKKAKPAKLVNLCIGVLGQHLEDIITDISEFTAFFPPHIKLAILSIARRRRLLNDEVLVSLADSSWKVLDISGSDVTDVGLATVAQICSSLWAIDISRCEKITAAAVSEVICHCPSLEILRCGGCLRSEFTARRCVDLLKPKLNTLEEDSWEELEAVDIGGGAESLRWLVWPKIDDYSKEILAAECPRVIVNPQRSLLDLCGSKTPNEALASVPLDHSIVEDIDPKTWAVSAAPPRAAPPPQPNALPEIPIAERFRLAYASREARLAPKRARREMQRRRRAERDYWMNDIDAKSVALASKYLSKS >cds.KYUSt_chr4.24824 pep primary_assembly:MPB_Lper_Kyuss_1697:4:156198233:156202689:-1 gene:KYUSg_chr4.24824 transcript:KYUSt_chr4.24824 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVAKRLRPNEVPLGFISIRVAEFFTLARLAYHNPPPLPGLGTGYVSYMENVSESGGPALAHLHLPSDTCLSSTPRYHLSSSSSSAERKIPKKEQKEKMEAFLAGFRAPRRAPAVPTTRSPPPSPFFLGTRRRKPSSSASVVCMAEPHLISKLESTEKAWKEMSVRLGDPDIVSDPSEYQKLAQSVADLGEVVTAYRQFKECERQIEETKALQKENGDDPDMAEMIASELESLSNELAELEERLTVLLLPSDPLNSRNILLEVRAGTGGDEAGIWAGDLVRLYQKYCELNNWKFKPVSCSEAEKGGYKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKAARSGGAGGQNVNKVETAIDLIHKPTGIRIFCTEERSQLQNKARAFQLLRAKLYEIKLREQQESLRTQRLLQHQKFSFSKSDASKKVTVHQRCRRPIIDLRFSPEENPHSQNNAFNKDIARHNQLRPDLGFSP >cds.KYUSt_chr2.13369 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84792238:84793158:1 gene:KYUSg_chr2.13369 transcript:KYUSt_chr2.13369 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPSNFHGSLRPKQLQIDALKREDDTRMPPSTDHGGSRDFPGANPDKKPQRHLGDAFKKGAAPEAAAIAGLGQLPAGQQPRQGVSLGLVAPSCFVPKTGPPSSTMPTNTSPLPGAAAPGTPPAPTTAPLQHHHGQGLPRQPAATPRPPTPGAGPQGPDPARRRPPPSAPPPPHGHAPPPSPSTGHLPRRPATQRPQRPPPDRPRPSPDRARRAAAGSHAPCRRPPPVPPRGPPRPEAAARRTATPRAARAPRSTPAPRVSTHASTARSRPDTFGGEAPAATAATASGSGGEGGRAEGSPGGGGG >cds.KYUSt_chr2.10429 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66068046:66068867:1 gene:KYUSg_chr2.10429 transcript:KYUSt_chr2.10429 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSDSLPSSPSLPGTGTGTDQEFSSIDHHSLFLPSSSSPASLYLDHDSSSFHGFFPTSSPTHMPPTPAPAPPPHPPAPSKPPKKRPRASRRPPTTVLTTDTSNFRAMVQEFTGFPAPPFAAGPPPSVRPRLLGGASAYGPSPFLLRPSPLKYPQHNPALLPTMATCTTTATLANDATTSGAANSLMDALALFARSNAMPSAAAAAATTASGSGTADQYGSGHHGHAMGGFNFNPFDDFEAATADGEKAASGGHAGFFSSLGGAGDKYDRH >cds.KYUSt_chr7.27214 pep primary_assembly:MPB_Lper_Kyuss_1697:7:170102812:170105576:-1 gene:KYUSg_chr7.27214 transcript:KYUSt_chr7.27214 gene_biotype:protein_coding transcript_biotype:protein_coding MARHASLRRRLLVSFLSLCAAAAAVCGARDLQPVREAQEPLEVKSYGYASFDRDVDAPTLSVLKDASINGGALQLTPDTRNDVAYLQHKSGSVLLNRPFKLWRKLPDDQIAANATAAGRFRVASFNATFSMNVVYDGAVPGEGLAFVIAPSLDGPPLGSHDGFLGLTNATLQASGSGPAANRFVAVEFDTFNQSYDPSANHVGLDIGSVESNVTANLADFNITIANTFANAVNYTVWIEYDGVGRSISVYMVVQGKPKPATPVLAAPLDLSQHVPEHAYIGFSGSTGAAYELNCILDWTLWIETIPEDEVKKWWIVLVAVVGSVGVAGIAIAAFFLARISRARRAVEQRQARLGHTLSHLPGMPREFTYESLRKATNSFHEQLGEGAYGVVYKGTLPAEADDGRAEAMQVAVKKFMRDDARCVEDFVKEVDIINRLRHKNIVPLIGWCYKKGQLLLVYEYMPNGSLDQHLFPRSLAGQLASPLTWSSRYAIAKDVASGLHYVHYEYGPMVLHRDIKASNVLLDASFSARVGDFGLARVVDSDRTSYMDAGVAGTHGYIAPEYSMGHRASRQTDVFAFGALVLELVTGRRALLRDASCPLLVDFVWRMHGRGALLGAVDQGLGTAEFDADEATRLLLLGLACSSPNPGDRPTMPEVLQILSKSAPPPDVPLIKPTFIWPPEGGARFSISDIEMMTSGGGSYAGTGDGSSMRATQDTSSYDSFRPPTAPNNSQEYFPALSSGR >cds.KYUSt_chr4.39258 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242293212:242305231:-1 gene:KYUSg_chr4.39258 transcript:KYUSt_chr4.39258 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASSGGHQYVSVKLESPRLAALDLAPHLFGSHPVAGSWDPSKALPMERAADAIWELSCVLPSRHETMDFKLVLKQKADSSQCIVEEGPNRPLACGSNEVEMTDAVFKLSEKDALECKVWVETEMLSPFDLAASWKAHQENLQPSRVRGTYDVVMDVTESRPKNDFASGLELDLEKYVVPTTPSMGSGVYAANLTENPRSLLNTGMSSNNDTTLGILHNLVKGDASPDHYANTKKDVDGRHAPQQEGNRVVFVDRGVGSPRFARPKNETISTSSIKQDCEGKVGMPAAEGAVAAAAVADQMYGPKEDRKLVIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQTADFFRGDNKEGVEARNEVAALAMEDMLSWMEEGGQVGICDATNSTRNRRNMLMKMAEGKCKIIFVETICNDQDVLERNIRLKVQQSPDYAEQTDFEAGVRDFKERLAYYEKVYEPVEEGSYIKMIDMVSGNGGQLQINNISGYLPGRIVFFLVNCHLTPRPILLTRHGESLDNVGGRIGGDSSLSEAGQIYSRKLASFVEKRLKSERTASIWTSTLQRTILTAHPIIGFPKIQWRALDEINAGVCDGMTYDEVKKNKPEDYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRSPVVVIAHQTKQPLNRQFRSSAQCPAVFAAMSTPGARGYWSDGETSALVEAWGQLHVGRIRGPVRDAEWRQVCSAVNAHRAAAGHRFDRSIAQCQWRMYSFKSQYKKELAKGQPTSGWRHFAQLRTILAGPDDGLPPSFAAKMPAASVVKEEAMVEDVEEKEEEAMVEEVEEKEEASGGASGSVGRKTVPAKRHFSSLEDILDRSGGPPPGFPPRMPATAKKAKKEEVKDEHGAPSADWLPGAVVTKLAEMYWSVEIQRLRVEMERLRVAKETMAMERERERRAAKVEDEKLGETDEATMTD >cds.KYUSt_chr7.6708 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40411315:40420288:1 gene:KYUSg_chr7.6708 transcript:KYUSt_chr7.6708 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGGILGFVVGLPIGLAAAYLVYLRFFAPRRRLQDPIIRPLRDLDSETLQKMMPDVPLWVKCPDYERVDWMNKFIFDMWPFLDKAICKIIRSVAKPICDQYVGKYGIESIEFGNLTLGSLPPTLQGIKVYEMREKELVIEPVIRWASIANVTMNVKVHSFELSAQLLDLHTMLTPRVTLKPLVPSFPCFSNLCVSLVEKPHVDFGFKLLGGDVMAIPGLYRFVQDQIAKQIAILYHWPKVIEIPILDGASGATKKPVGILHVKVIRAMNLPKMDLLGKSDPYVKLRLSGERLPSKKTSIKMSNLNPEWNEHFRLVVKDPETQVLELQMFDWEKLKMHDKMGVQLIPLRSLTPYESKLFTLDLLKSMNPNDQQNKKNRGKLVVELTFDPFRDDNSMSAVNSDGEGNISIKRDVPPGGGVLLVSVENAEDVEGKRHTNPYAVVLFRGEKKETKVIRKARDPRWNEEFQFVVDEAPVDEKIHIQVRSRRRGLLPFHNKESLGHVNINLVDVVNNGRINEKYHLINSRNGKLHVEIKWNTV >cds.KYUSt_chr2.6887 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42996932:43006036:-1 gene:KYUSg_chr2.6887 transcript:KYUSt_chr2.6887 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPRRTTHVRPRLRRHRRLFLLIPLLLLFLLPPLSAVIFRRANSLGRRCLSVTAPHTPLAGRRLSFSIVTLSDESRGARGRSFRGMLNATARNRRAYAAVHGYGLAVLPPEAVDRSRPPAWSKVLALRAHLHRHHWLFWNDADTLVTNPDIALETILVSVIGHSDFHTSPDLILTEDINGVNSGLFFIRRSKWSERFLDIWWNHTSFVQFGSTKSGDNFALKHIIDHLSPEEMQAHVRIAKMQCLFNSYPWNWMAMWKSLHALIFHPSTTWKGVYSDGDFMVHFAGLDDKRVWGGALDLGQIWSSLGFFFLSFLLLWLELEAMEKNNVPLNKALVRGHRSLWSRGAEEFLLADHVGVGKKQVAVLFRRANSLGRRCLSLAAGHTPLAGCRLSFSIVTLSDESRGARGRSFRGMLNATARNRRAYAAVHGYGLAVLPPEAVDRSRPPAWSKVLALRAHLHRHHWLFWNDAVSRAKKLCNGS >cds.KYUSt_chr7.26924 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168270492:168272573:-1 gene:KYUSg_chr7.26924 transcript:KYUSt_chr7.26924 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTGTTVAMTAAGTDDTTLAKLHASRAAIMSVLATATEAEAAIEAAGDRIGDLLSSSSPSSSSKLQSKSVATSALRARIDRAVAPAEPLLASLRLVSSLAGEASQLPANPADTESAVAFVDRVDQLRDAIEEAVARGDEAVRRVEEAVGFLGRTTSKAAGRGRVRRLTEAASALRAVYETEAEAMRFEGPLDEALLGLQDLFEAVLLRLKHATPADDAGDTEEYELGTDDEVDAAARMAKTLAGNDCLDICVDIYVKARYRRAAKAMMRLDPAYLKVYTAEAIDGMEWEALESAMALWSPHFHVAIASVLTAERRLCARVLAHLPPTVWPECFAKIAARIVAAFFRFADGVAAAAREPQRLFKLLDMVDAVGRERGRLDALFSGESATLVAIRERTREVERALARAAAAVFYEFGLRVETHYIAGADAVQVPKIVRYAVNYLKCLASDDYRGLMDTALRAERERGGDGNDGDEAVAERGGSSAALAEAVSNVLEALQRHVEAARRVCADAATAHVMAMNAYWYIYMRARGTELAKLIGEEAMKRRYKAAAEEAAWEYQDVAWNPIVRRLGGSGGKTWPPEEAREKAGAFAGALEERVRKHGAEYKIPDGDLRAQIRVAVTKSVRGAYAGFMKANESAVAGRRREFLPVDVIESMVGRVFDEMGDGEVGSVGRTRSSGRARRDSGNVEGFEAN >cds.KYUSt_chr5.36389 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230012865:230015676:-1 gene:KYUSg_chr5.36389 transcript:KYUSt_chr5.36389 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGSDVSPAAGGEIWGTLEELVLACAVSRHGTASWEAVATEVQTRSPLAARPRLTPRSCRLRFRHLHRRFSVVVAAAGADEAEEEAAEGLGHGEVEDPDASAADAWLDELRRLRVAELRREVERCDLSIVTLQSKVELMKEERSRSRSRSASGSGEATTAKPEGVTGEGSEEPGRSCRESNSTDLKIIKGEEEGDAKQEASGESMAASKGSSASLCRRGGRKGGEEECEEAASAQPLAALLDRVAARFGPVFERLQESQESESYRGTIRRHVDLDAMRRRLDDATAGGYPSPELYRDLLLLCANAAVYLPRHAPDHAAAALDALRLVSAQVSASLREPAPNPKRELLVKTVTAAALAAAADTRRAEADIVGPLIQKAAKPLIFCRKRSSIAKSAAAAAVAAAKKEETTADKASEVPCQPQEETDGEKKATDVDVDVAPSDKAWGTRTKKTRGPGKNSAKALAAKAAAEAAAAATESDSNKKSDAEGTTAAGGGLPKKRIAVDFLKRLNQGASTTKKRGSPLTKRKRSAPAKDEEEEEQPKRRGPGRKSAGRGRGGKAAGAKRSVGRPPVKRAAPSVTPPPAKRAKVNRSERSSSSSRRGGKKS >cds.KYUSt_chr4.436 pep primary_assembly:MPB_Lper_Kyuss_1697:4:2329618:2331628:-1 gene:KYUSg_chr4.436 transcript:KYUSt_chr4.436 gene_biotype:protein_coding transcript_biotype:protein_coding MWADGGGSRRTFVAAARPVFRPSGGVENRGIEAVRGEASKRCLAMRRIRIPIDVELNPSTFGLAFFGSIDLFVEEEKMMRKTKEELGAMEKDTAAKATATAAAAAASRVCRRCKAKYFPSDNTPQSCRFHSSFFVCRRHDDQKRYYELKDGDPPYAARFYDCCGAEDPDAAGCATASHLSYDDPE >cds.KYUSt_chr4.34014 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208699737:208702755:-1 gene:KYUSg_chr4.34014 transcript:KYUSt_chr4.34014 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIRSRTRAPEPKGTVVFSDWVMLDRHGRTICHDEDAAALEAVKKKSTAVEVHTTSGHSRYFSFNLADPPKVSYLDLHCKSVFPPAYPSVLAADNNLVLLRIAIPSEPNYYRVPADLFVYEAAYQFVQEKDLVENLRKLPTYMRNLHLWYLNASKEGIETIMVRVREEHYFQEYCVNVDFNELFQLYNLMALDKSIISSYCLSKMLECKRDEITDIGFIDPHTMHVKTIEDPLYNKDTPETLLRSARGLADSRKFLVDDHAIGILRRADHYLVADLIVSEAAREGIRSDDDEEEEDEACNVPMMTKLCVYYSKSEKWEVSTQTAPRPRDQSISKFPIFWSTDNVFPFDGRFLCWVDYFSGVLLCDFSNRRSPMLHFAPFPGEKKYSVKVRISKCYPDRFRSVSISQGRMYFVHIDNDWRMRQFPWMMNCMMFIMTHTREPNLIRKSPFGL >cds.KYUSt_chr6.29995 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190050943:190054045:1 gene:KYUSg_chr6.29995 transcript:KYUSt_chr6.29995 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPETTARGAGPALLFPSSSSSSSTSARVEAVVLFTICDSFVRRPDQAERVIGTLLGSVLPDGTVHVRNSYVVPHSESADQVALDIEYHHNMYASHQKVNPKEVIVGWFSTGFGVSGGSTLIHEFYSREVTSPIHLTVDTGFTMGEASIKAYVSSNLSLGDRHLAAQFQEIPLDLKMLDAEKVGFEMLKSTVVDKLPNDLEGMESSMQKLYALIDEIYKYSDDVVEGRVAADNKIGRFIADAVSSMPKLSPSSFDRLFNDRIQDNLALVYLSSITRTQIAVAEKLNTAAQVL >cds.KYUSt_chr6.6331 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38383994:38384305:-1 gene:KYUSg_chr6.6331 transcript:KYUSt_chr6.6331 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYDYRALPQGETTVEEFRAWLAQFDADGDGRISLEELREALRSLDLWFAWWKAREALRDADANRNGLVDPDEMGRLYAFARRNLHLKMGDLQEGQLDSF >cds.KYUSt_chr3.9232 pep primary_assembly:MPB_Lper_Kyuss_1697:3:54016696:54022080:1 gene:KYUSg_chr3.9232 transcript:KYUSt_chr3.9232 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARAAAASHAASLVRTDSATVLRSLLADPASGASLAPRRSLRVVRLHSGVAYEEVTVACLSVCVFLSEQWKRGGGDAGGGSGCMSCMEVRRRRAAAAPVRAAPPKRVEAVAMESAAETAHSDKEAPAAEDTYGEDSPPEEELPVTPWAFSVASGYTLLRDPHHNKGLAFSEKERDAHYLRGLLPPAVVSQELQVKKIMHDLRQYKVPLQRYVAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYVSLRDKGKVLDVLRNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNDEFYIGIRQRRATGEEYHELMEEFMDAVKHIYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLSSLKVVGGTLAEHTYLFLGAGEAGTGIAELIALEISKQTNAPIEECRKKIWLVDSKGLIVNSRKDSLQPFKKSWAHEHEPLTTLLDAVESIKPTVLIGTSGVGRTFTKEVIEAMASFNERPIIFSLSNPTSHSECTAEEAYNWTQGRAVFASGSPFDPVEYNGKTYVPGQSNNAYIFPGFGLGVVISGATRVHEDMLLAASETLAEQATQENFDKGSIFPPFTNIRKISAQIAASVAAKAYELGLATRLPRPRDLEKYAESCMYTPIYRSYR >cds.KYUSt_chr4.17251 pep primary_assembly:MPB_Lper_Kyuss_1697:4:107928672:107932895:-1 gene:KYUSg_chr4.17251 transcript:KYUSt_chr4.17251 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAARLTPAVLPRPRRRVPAPCASASGASGSWRSRRLRCEFVASVGNGALSGEDDPRMIDRQKALDAAMSDINNSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEIQRLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRHIGKIKSAKGDEDIGVKVRVRVQKSKVSRPYKQAEFEIMFGEGVSKLGCIIDCAELMEVVAKKGSWYSYKDIRLGQGREKALQYLRENPTVSNEIEKIVRAMIPEGTRHMAMLAFGQSSSQPEEEEVYDD >cds.KYUSt_chr5.7910 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49890439:49895108:1 gene:KYUSg_chr5.7910 transcript:KYUSt_chr5.7910 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRVAWRCSRTKLKEEKGSEMGDGMESKLSTTALLSQCPDELLRQPIDTAPRLPRRPNELLLQPCLNQWETELAFPAPGDSEEGSEEIVGKAARRGVVVSSPDSGEAGDEKICSSCCSSTRVVLLVVEVEFRVGAAELAFPAVEA >cds.KYUSt_chr4.5717 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33328910:33330115:-1 gene:KYUSg_chr4.5717 transcript:KYUSt_chr4.5717 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVMLLLCSTTAATSNAKETQQNVFILDNYGARGDGQHDDTQALAKAWNMACSSSRPAVLLVPKGKSYLLKPIALSGPCKSTVTLTVEGTLVAPASRSAWSEDNISRWIVIQGVTGLTVTGGGTINGNGDIWWKNSCKTNKALPCTTAPTALSFHRCNNLKVDNMKIVNSQQIHVSVEDCTNVQLARLSITAPSTSPNTDGIHITRSTDVQVVCGPGHGISIGSLGDDNSRAEVSGITVDSVQLYGTTNGVRIKTWQGGSGYAKGITFQNIIMDKVQNPVIIDQNYCDSAKPCKKQESAVEISNVVFKNIRGTTISKDAINLSCGNQASCTNIVLENIDITMEGSQGETESTCQNAKWRKAGTVIPQPCEAKN >cds.KYUSt_chr6.12446 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77779025:77779399:-1 gene:KYUSg_chr6.12446 transcript:KYUSt_chr6.12446 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTALSHMVTLEEWQSLRDMLLEQHGDLLYAKHGAATANKAIHSVQCAMQRKMHSVATMLSMLRHAAAEHNMCAEQTSPLTPSPDECTLSSAGERCGCWTLTMNRVTFGPRVGQGGDGRRARG >cds.KYUSt_chr5.41796 pep primary_assembly:MPB_Lper_Kyuss_1697:5:263586142:263592284:-1 gene:KYUSg_chr5.41796 transcript:KYUSt_chr5.41796 gene_biotype:protein_coding transcript_biotype:protein_coding MMTALPASFYLLRHQFWSSWWMEVDLGGVVFIYHVNVDDSRWRGAAGYRRRTRTAGRVQDGGAVWRHGDIDDRSGKVNAVISLEDGCEEDGGSDFCDVCTNVRSRVMALFMVNFFIALRSAQAPAPATPPQPPAPVTSHAVVPCHAAVPCSLGGACRPRKLRRLQQLAAVTTAAPQRAGYGAAAPSLRRRPPATPPSRAPSVGRAGHASSAAYSSSPARLRPVLPRWVEDQQRGDPSGIAAAEPARSRRAWLSLLAAALRCDGAVPARSRCAWPNLPAAASLRPPSIPPGRACPPPPPPSRESRGGRRTTAPWQPAALSCAPRGLRRSACVAGVRRPCGWAVEERGEQLCGGGSLRRRSRASGAGDAGSFPIMQMSGLDLNAHILKMMISTWTETQFCTQDAPAEMVEESPGPMVQYQEETGARSNEHSGRGLQQHGPQHTAGNNNSIPMTTVPTGTTTYTDLEEGAADGSGMHDEVASSPTKPFLGMRFDTIEAARAHYNAYVVLTIQFS >cds.KYUSt_chr3.31994 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201128310:201129037:-1 gene:KYUSg_chr3.31994 transcript:KYUSt_chr3.31994 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSAAELLAVVGHTHAHLDFTSATELLTVGGGGRTHAHLNFTQSGPAPVVRVGRSSEAGRHDRAPEAVSKVRVARDPLSYISAYDVTYLPLGPPILIAMVVHPRQLPQVEIRYGLTKSGASTDTAGERHRHEMAGLLSPSTEAKIIDPDIFRCALAADGALAPLPSSWTPCSAARGVPVEDDPHPPPHPPPVLHRGCK >cds.KYUSt_chr6.21504 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135747007:135748179:-1 gene:KYUSg_chr6.21504 transcript:KYUSt_chr6.21504 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALEAAIDEVLVTLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNEAAAAAANQLAEEEASARRTPRWRRAIARSLKDLVPADNSLPIDAALEWSRRDWERQEAEQQRRMLDLAAARQLAASAAAPSSSRNAAPREVIKLEESSDDDIYRPSPPRAGDAGQGTSRWYEAPPPQDDAGSSDDDDGGDYTTFYRHFGK >cds.KYUSt_chr5.14798 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95727042:95729537:1 gene:KYUSg_chr5.14798 transcript:KYUSt_chr5.14798 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPPPRDLQRHVPAAPPTRDLAGLALAAERSRRPRPRHGAHAASPSGQSPDHRSSSSSSTCKDFLRKFVDNELLTASLEDWFTGNTQDSGFQKPSFDVPFDLTELQSFDYALEGVTFQQLVRMPNALHASTSDAFEATAHLALEDFLHAGIKGLWEAFWGPEEAMPFSVACIHSTSSRFYPAEKAIGSGKLDGVCATAVLLKNSKHSQGRWDHIVVLALLRPDVGMVSAQSDLHPSPAVLGEALFFALRVLLSRSLSRSSTVLRNSDSVYVLLVDSQFGGVVNVQGDLNRLSFDTNNVYECAAEWIKNDARITVSSVDRVWNKLGNANWGDVGTLQVLLAVFRSMIQFCGEPKYSLDELATEHSSRLQSRRSERHLVDRQSNGNGVYRYQQRSHSPEIVEVQEEGTVDVKPDEILKLEIGSIVLMEISNCQKGFQINDIRTESEPPIYGAIPVEEPTKSYLLYVGSSPSHLEPAWENMNSWYQVQRQTKVLTLMKQRDISSRYIPQMVASGRVVHPGPCNKPNSSGSCGHPLCGTPILVTSPVGETISNLIRNGLFGVEDALRCCHDCLSALAAASSAGIRHGDIRPENVIRVSNGSRSPHFVLIGWGHAILEDKDRPSMNLFFSSTFALQEGKLCAASDAESLIYLLYFSCGGVCPELDSVEGALQWRETSWSRRVIQQKLGDVAAVLKAFADYVDSLCGTPYPMDYEIWLRRFRRTINEDHGKEVDTSS >cds.KYUSt_chr1.9681 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59149513:59149920:1 gene:KYUSg_chr1.9681 transcript:KYUSt_chr1.9681 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLEYVGEVTTDNLDLETARRQKAEQEVARMKEERKKMECSIGTLLEEKKNLECHVAGLNEENKMLEASVATVKEGKRMVEFYVADILKLTHDHRAKMKKIADLCEENGHSFDSKCRSKLNILLTTSVEANCT >cds.KYUSt_chr6.24060 pep primary_assembly:MPB_Lper_Kyuss_1697:6:152021824:152022186:1 gene:KYUSg_chr6.24060 transcript:KYUSt_chr6.24060 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPGTLFAVGFLLCPFTAFTPSGRNLTALLPELCDLLSSLYRYVVDDFILPVALRRQRRRWRTSYIANVLILVVYHYGLVLNLSR >cds.KYUSt_chr5.30595 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194000709:194001632:1 gene:KYUSg_chr5.30595 transcript:KYUSt_chr5.30595 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKAPSSTSYLLLAPVAVLLLVFLVSSVNRSGGVSDGLGVLCTRRAVAGNYTVAPEAAEEVPNKKPELSLLVGILTMPKLRERRDIVRLAYALQPPVPAYARVDVRFVFCSVTDPVDAALVSLEIAHHGDIIVLDCTENMNNGKTYAYFSSVPRLFADAPYDYVMKTDDDAYLRVPALVEELRGKPRDDVYLGWGFNMSGDPMLFMHGMGYVMSWDLVSWVATAEEILERNDTVGPEDLMLGKWVNLAGRGKNRYDLKPRMYDLNWDMDNLRPDTVLVHTLKNNRRWATTLEYFNVTAGIKPSNLP >cds.KYUSt_chr7.6052 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36256774:36258796:-1 gene:KYUSg_chr7.6052 transcript:KYUSt_chr7.6052 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRMDMLVLLRRRWCGGDGLQHPKFPIVHQSQQESPAAPAEESRSISKRTQELRSSWRTIKQLESFDPFELPENARFLCKVSLVKIDCTNGWCYRGCFDCKRWISQNGSEVWCSQCASVNKKRKRPVWLYKLDAVVEDATGTMNLMIFDEDVEGLIRVAAEDLVDEITDENRRILPDAISDLISSTHAFEVAINHRSLGCVVKWVLNDDQLMLLQHIGSSQMTVGDDSPSLVEEGSSSDSGCSSQLTEEKMVTVKRETELKAEDGALEKDAE >cds.KYUSt_chr4.13694 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84415158:84419354:1 gene:KYUSg_chr4.13694 transcript:KYUSt_chr4.13694 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTTYAESVASCHAPTPIRSPSPAAVVLAAALPDARPHPVRRQLDFPSGAGDGDGNMDDDEDDDFLFRAAEEMERSLHERRATQDLPPPPPPVGLAPPTFPEKECICRRGPCDVEWKEPGGWTYVCSATPAGRGNVEDDCFEFSIWGCGDEAMMMLGFGGRRMQWLSRLLEPFQFLVMGHGACSHRVPVNVAAEELPLTGYNNPRKAGRVVCNTVTKEANGHNLVMMGGHNGTKPSNPDEPPEHDDDWPFDIVEGDVVPTDCLSPAHPSPIAAVSQGSPSMLQQTVGMVELQTPTKSPMPPKYTVSPTTPRSNNCYRCGEEGHFMVNCPKNSTCYNCHVVGHWVKDCPGLPAERS >cds.KYUSt_chr4.13802 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84992578:85002334:1 gene:KYUSg_chr4.13802 transcript:KYUSt_chr4.13802 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDQDLPDGIGMARLAWTRLPTADGSAAASASTSAPQDELFVGAVESLDYEVIENYAYREEQAQRSKFWVPYYIMLKWFFALLIGVGTGLAAIFINLAVENFSGWKFTLTFKIIQHSYFLGFLVYILLNLALVFSSVYIITQFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLNSRWVQIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCNSGKCGHFGAGGFIIWDISGGQEDYSYQELLPVAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVQIFEACLISLITSTVSFVLPLLRKCSPCPELEANSGIQCPHPPGTDGNFVNFYCSKDNEYNDLATIFFNSQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMSVVKFYKKLNVDEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLQLLPLIMLVLLISKAVGDFFNEGLYEEQARLKGIPLLDSRPKQIMRNMSARDACKNQKVVCLPRVSRVVDIISVLRSNNHNGFPIVDRGQNGEQLVIGLILRSHLLVLLQSKVDFQNTPFPCGPGVLNRHNFSDFVKPPSSKGKSIDDIHLTDDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGMITRKDLLLEEDGDIATTELQSTEARNDKRNSNDKPNPGRRIAKAIAYIDLIRDQLFKTYPKQRCDSNLASPKWIPPPSGSVLDTSDAAIFEAVGCMVAGVVIRNDHGEFLVACREHLNGTAPPEHAEALALRRAVTLARNEGFDKTSSNRWFPSRRHPFVT >cds.KYUSt_chr1.15913 pep primary_assembly:MPB_Lper_Kyuss_1697:1:92506701:92509331:-1 gene:KYUSg_chr1.15913 transcript:KYUSt_chr1.15913 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGAEQQQQQQHGLSALSAAKNRMCNRTALIVGRAWENTSAKHATSLTMITGGSDNFHCEKCGCCYSNVLKDSHHCIEGAMHHNCPVCFEYLFDSTMDISVLHCGHTIHLECLNEMRAHNHYACPVCSRSACDMSAVWQKLDQEVAATPMPEFYQKKMVWILCNDCGVTSSVRFHVLAHKCPGCASYNTRETRGGPATAAACSRV >cds.KYUSt_chr2.38913 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241254139:241254468:1 gene:KYUSg_chr2.38913 transcript:KYUSt_chr2.38913 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMVRVPEVALRHGSGRPMPAIGMGTANFPVVPETIRDAVLAAVELGYRHFDTASMYGTEPPLGDAVAEALRLGLVASREEVFVTTKLWCTQCQPDLVLPSLRQSLE >cds.KYUSt_chr3.34550 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216751823:216752638:-1 gene:KYUSg_chr3.34550 transcript:KYUSt_chr3.34550 gene_biotype:protein_coding transcript_biotype:protein_coding MPITPPHISKGLLKQQHISKGLLKQQHISKGHLKQQHISKGLLKQQHISKEPTKRRRISKEPPKLRHISKEIPKPQDISKETPKLWHTSMEHISKEPHKLERISKEPNKLEHISKEPHKLEHISKEPHKPEDMLTQPLMTPMLTRCMLTRTPPILAIQLQGMHNPVILARTPHLSIQQPVARLLMAQTCTVRLLARGILLRRFRQAVELLTRDRHHQLPILQRMTLQVEPRGESRGCNMNDGLRAIHILSDSVMGIVSLVYIQYHSFMETV >cds.KYUSt_chr6.3028 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17754877:17761941:1 gene:KYUSg_chr6.3028 transcript:KYUSt_chr6.3028 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVANSANNSENESEDYGDDETHASNLVGKLSFSASREPGKFSLEFGSGEFIPSEMKQIMADEMLAEMDLADPKREKRYNATRLDSEIIYDRDFDYDYFGFKTLERSYLLKVGGKVVERPQHMLMRVSVGIHKDDIDSAVKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECASISKSAGGIGVSIHNVRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWIPDLFMERVQSNEQWSLFCPSEAPGLADCWGDEFNNLYKKYEREGKAKKVVSAQSLWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVRENGVPIESHPAKLVGSSGSKNRYFDFDKLAEITSLVTWNLNKIIDINYYPVETARRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHSLKASAEIAANEGPYETYVGSPTSKGILQPDMWNVVPSERWDWPAVRGMISKVGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGVWSPVLKNNIIYQDGSVQKITEIPDDLKAIYKTVWEIKQKTVVDMAVDRGCYIDQSQSLNIHMDQASSGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTSLLKDKKLAEEEEDLEAKMAQVTCSLNNREDCLACGS >cds.KYUSt_chr3.20904 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128055415:128057786:-1 gene:KYUSg_chr3.20904 transcript:KYUSt_chr3.20904 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPTGCFKCGRPGHWSRDCPSAPPSSSTNPNPTAGASYPPSSSRPAPYQPRQPPKPAAAAAEGEDAPQEGGKKKKKERVTRPKLTPDLLLSDDGLGYVLRYFPKAFKPRARPGHEVEDLGNLIKLYADWHSRLIPYYSFEQFVRKVEKVGASNRVRERVARGGDPTKLHEPAVEEVMPEGELDGATQEDPILGTEPPSTDSHVTELVQEDIDPPLVESNDVDPMQEDLLNEIYQNTADEPGIRPGEGGAGEPLAPRETEKHQDGGTSGGSKPSKIELTDEQKARMEANRLKALERAAAARARASQSQPTAETTT >cds.KYUSt_chr1.30895 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187130031:187130579:-1 gene:KYUSg_chr1.30895 transcript:KYUSt_chr1.30895 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAQSPATAVAAAAVEKSKHWAPHGPVLTACLVSINLLMILLIFFYFWRFFSGKRGPLSPGGGNDEEASSPADSSPATSPRGSRRLTDPDQLPVSVYDSSSDDAVGGKAECAVCIVEFRDGDLARLLPRCGHRFHAACVDAWLRLHSTCPLCRADVVAPPPAAAEPKNGDPKDDGGEQCPV >cds.KYUSt_chr2.54351 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339122557:339126270:1 gene:KYUSg_chr2.54351 transcript:KYUSt_chr2.54351 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPPPFLILLIPLLAAAALTLTTAATIDPGDLAVLEDLRGTLTNAADVLAWPKHTDACAWPHVSCDRAGRVNNLDLKNANLAGTLPASFPTLTALQDLSLQNNALSGPLPSFAGMASLRHAYLNGNDFLSIPADFFSDLADLEEICLDDNPRLNASTGGWRIPPTLPASAPQLRVLRLDNCSLVGPIPPSLGAMTALQSLMLAYNNLTGAIPPTFSSSAIQTLWLNNQRGDADRLSGPLSFLAGMTRLQQAWLHGNHFSGPIPDAIANLTQLTQLWLNGNRLVGLVPPALLSLPLLRDLKLDDNLLVGPAPALLFPGANVTFSHNGFCGAACAPEVTALLGFLAGVGFPQRLAESWAGNDPCKDWLGVSCYQAKVTLLNLPGYGLNGSVSASLGNLSALSDVRLNANNLTGRVPDSLASLKSLRKLDLSANDLTGPLPAFSHDVSVNVDGNPSFNGPSAPAHRSPTTPSTPAGSPRGDNNTNTTSNNKKRSALVLAVTIPVAVTVLALVSLGAVLLLRKKNNNGSVQPQASSVVVHPRDGSGSGSDPDNLVKVAMASSDSFGGTSSATSSRDSDIHMIEARSFVIAVQVLRSATKNFAQDNVLGRGGFGVVYKGVLHDGTMIAVKRMESSVISNKALDEFQAEIAILTKVRHRNLVSILGYAIEGNERLLVYEHMSNGALSKHLFQWKVHGLEPLSWKKRLNIALDVARGMEYLHTLAQQCYIHRDLKPANILLGDDFRAKVSDFGLLKSAPDGNFSVATRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELITGMTAIDERRIDEETRYLASWFCQIRKDEEKFRAAIDPALVLTDEILESISVIADLAGHCTSREPLQRPDMGHAVTVLVPMVEKWKPSNNEAEDYMGSRPAPAAAPDGEGVAGVGSEHDGRGQHPQPPRRQQGQHPRPARRVRRVLHLRRRPVKRLIIIIIIIIVSAKKNKYIACLSWTLSPQFSLAVFL >cds.KYUSt_chr1.32234 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195773431:195773949:-1 gene:KYUSg_chr1.32234 transcript:KYUSt_chr1.32234 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEGAAGTTNQVMKVRYGDVDDSNFALHGRAVPLLVGLLLLLVAFVAVCLYLRWACTRRRLRTADLEASSFAAASPAPPGLDDDAINALPVTLYIPELSTEEEQAVEAECSICISALVAGDKVKALPQCGHRFHPDCVDDWLRSHPSCPLCRTILRPATVAGNGSPDSPV >cds.KYUSt_chr5.2708 pep primary_assembly:MPB_Lper_Kyuss_1697:5:17989017:17990009:-1 gene:KYUSg_chr5.2708 transcript:KYUSt_chr5.2708 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFVPADWTWSTNNGDAFAPSCAQDDGLVELLWSNSNVIMHSQPPPPSVRGLESAFVDDDSSPEMELFSEIFCQTPADAGRSSTGKRKQRDAAGSPSEVTRDVESESAAETKCQPEPSSGKRRRAAQVHNLSERMRRDRINEKMRALQELIPHCNKTDKASMLDEAIDYLKSLQLRVQMMWTTGGGMPASAPPMFPASGAHRYMQRMASMRSRMPPFRTNVYSNSNGTKR >cds.KYUSt_chr4.23881 pep primary_assembly:MPB_Lper_Kyuss_1697:4:150512345:150515002:1 gene:KYUSg_chr4.23881 transcript:KYUSt_chr4.23881 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSAAPNHSSYSHASHSSLLPPLPSPSPSPSDLFLYLHHLDHHEAAADAMVRKRPAPDVDLPPPRRHVTGDLSDVTAATGAAPPPPPPVLLSSAVPGTAQLPALPMQLPAFGGHHLQAPVTIEVPPPVPQVGPGPPDGNANNNSTAWVDGIIRDIIGSSGASVSVAQLIHNVREIIHPCNPGLASLLELRLRSLLASDLAHPTPPPPPHHPLALVPGGNTALPAPSAPVLLPPPPPEKRRREEEPQNPPPQSPKPPPSAEETAAATAAAAAAASAALKERKEEQRRRQRDEEGLHLLTLLLQCAESVNSDDLDEAQRALLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPNAASPAASRLVSSRIAAAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIVDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMEALQATGKRLSDFAETLGLPFEFFPVAEKAGNLDPEKLGVDTGRREAVAVHWLHHSLYDVTGNDSNTLHLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYGEDSSERHVVEQQLLAREIRNVLAVGGPARTGDFKFGSWREFLAQSGFRAASLAGSAAAQASLLLGMFPSDGYTLVEENGTLKLGWKDLCLLTASAWRPIQTLGR >cds.KYUSt_chr5.36723 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232202508:232205949:1 gene:KYUSg_chr5.36723 transcript:KYUSt_chr5.36723 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPHGGLDEEIEQLLQCKPLAEPKLKALCEKAKEILMEESNVQPVRSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVSLLVALKIRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKTFTDLFDYLPLTALVESEIFCLHGGLSPSIETLDNVRSFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNGLKLISRAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQ >cds.KYUSt_chr1.28995 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175449452:175450306:-1 gene:KYUSg_chr1.28995 transcript:KYUSt_chr1.28995 gene_biotype:protein_coding transcript_biotype:protein_coding MDEANSYEEQRRRQIEQNKRKLDELRVHRLSAAVRQAAAKPMPAKLLMPRNPRLDAPTRRSGRIASLPEQPDYRIRKANGDVKTVSPDPVPAYATDEERAYAVARAEQLKAQLGSDYPTFIKPMSHGTSTKSSKLCIPTHFAQFLPVHDEMMVLVDEVTNKEFAMLCRVNYKHQKRYLIEWRAFSAYHELADGDCLVLQLIERRKFKVSFNLFFAQHAILIRWVF >cds.KYUSt_contig_662.171 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1070189:1070737:1 gene:KYUSg_contig_662.171 transcript:KYUSt_contig_662.171 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLPPTPLLPSPPPRDLPLPPRSPEPRPRRLRLPRLSRLRPFLPAFRCSFRALHAPPLLAPFLESNMEVVPVIPSCSRRSDQGLLAADFFGIRLSRHGEPHATDHASYSPLTQGLDLSIYQPFTLSSEDGQDPYRRIVWYASAMNAGRRRALPSSSHQTPWSGKFHGRLLCGSRVEVDPR >cds.KYUSt_contig_973.236 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:2170151:2170485:1 gene:KYUSg_contig_973.236 transcript:KYUSt_contig_973.236 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCRRRSPAALCLLLWLLLGFKPCAALSHQGLALLRFRETVEADPCGALAGWDGADASPCSWFGVEAV >cds.KYUSt_chr5.19521 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126634289:126634912:-1 gene:KYUSg_chr5.19521 transcript:KYUSt_chr5.19521 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPNNNPPLSALPVCSGNLKVRASTEAVLKAKHLLHAFVVRHAGRLVGALASARSAFLCFLDAKTGLVASKLRRRSTTKVRKKGKEPCCYGGGDGSATPTQFLNLLPDGAVTSWSSPTESGGGEGGGMCYYDSSWNAVIPTDQLPPVMVPGRYLELSEEDGVEEGAGCNEIDRLAERFIARCHERFMLEKQASFRRFQEMLARSF >cds.KYUSt_chr4.28628 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179934881:179941733:1 gene:KYUSg_chr4.28628 transcript:KYUSt_chr4.28628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Cytochrome P450 CYP714B3 [Source: Projected from Oryza sativa (Os03g0332100)] MVMEVEVAMVMKVLLSLCCVGACCLALYLYYNVWVVPQRLLAGFRRQGIGGPRPSFPYGNLADMKEVVAAAKLARQGGRGGGGIVHDYRPAVLPFYEKWRKEHGPVFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGRGILKSNGEAWAHQRKIIAPEFFLDKVKGMMDLMVDSAQTLLESWEERVDKNGGTVDIKIDDDIRAYSADVISRTCFGSSYIKGKKIFLKLRELQKAVSRPNALAEMTGLRFFPTKKNRKAWELHKQVHELILEIVKESGEDKNLLRAILHSASSSKVGLGEAENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQDRVREEVMEVCGGRPVDSQSLQKMKNLTMVIQETLRLYPAGAFVSRMALQELNLGGVHIPKGVNIYIPVSTMHLDTKLWGIDAKEFNPARFSDARPQLHSYLPFGAGARTCLGQGFATAELKILISLIISKFALKLSPRYEHSPTLKLIVEPEFGVDLTLTKVQSACKH >cds.KYUSt_chr3.47326 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296908032:296913129:1 gene:KYUSg_chr3.47326 transcript:KYUSt_chr3.47326 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLAQLAAAAAAADEPLASAFTINLVLLVPFSLVRCDNWQMLNKGVSSDVIRVSTSSAPSTSSHGSAQDDSDSSGDVYVWGEVICENSAGAGSDAVVRSTVRHDVLLPKPLESNLVLDVYHVDCGVKHAALVTKNGEVFTWGEESGGRLGHGSREDRIHPGLVSSLAVCNVDFIACGEFHTCAVTTAGELYTWGDGTHNIGLLGHGTDISHWIPKRISGALDGLRVAYVSCGTWHTALITTAGQLFTFGDGTFGVLGHGDRESISCPREVESLSGLKTISVACGVWHTAAVVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRVKPTCVASLIDYDFHRIACGHSLTVGLTTSGQVWSMGNTVYGQLGNPRSDGKLPCLVEEIMDEHVVQVACGSYHAAVLTAKSEVFTWGRGANGRLGHGDIEDRKIPTVVETLRGRGVRHISCGANFTAAICQHKLMSGAEQSQCASCRQPFGFTRKRHNCHNCGYVHCNACTSRKALRAALAPNPAKPYRVCDSCFLKLNNATDSSVTNKRKDYVPRHSGESNSDAKLAKAIVPSNMDMIRSLDSKAAKQGKKTDALSFLRSPQTSSLLQLRDIALSGGFDLNRPVPRTARTPAARSVNTSRAVSPFSRRPSPPRSTTPVPTTHGLSIGKSAADNLTKKNEMLTQEVERLCGQVDNLRHRCEVQELELQKSAKKVQEAMTLVAEESAKSKAAKEVIKALTAQLKDMAERLPPDPGGYDGNGANNSALPNGIESHASIYSSMNGTHQSRNEPINALNMPSLNTGRSLHPNGTSSQHKSPDSTRENSEVSAHRHRVSSPHGSEHSNRRAHSSSDELFTGSSRAGDSSNLDTMSLQSGEDGYRSRSTISLSSDQVQAEWIEQYEPGVYITLTTLLDGTRDLKRVRFSRRRFGEHQASKWWNENHDKVYERFIQYVVRKVENRF >cds.KYUSt_chr2.35106 pep primary_assembly:MPB_Lper_Kyuss_1697:2:216520040:216525725:-1 gene:KYUSg_chr2.35106 transcript:KYUSt_chr2.35106 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEVVWHCIRHGHCSFMAKFTTGILCRNPYNVTGICNRSSCPLANSRYATIRDHDGILAQVTCAQEQAAIDKNDTVSNTDEETSTESESITSELLERLNRNMYGDIPNIPLKPSRDFIDEMDFEYEEEHENEIEYVEGDGIEEDMEDMVDFQDLCSDKYGGIDQDDLIHQPVTKKQRGTCSVSSSRPIIGTKSRKVITEIKCFFVWVDVLVQTLMNQLLDEHE >cds.KYUSt_chr5.9902 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63331356:63332243:1 gene:KYUSg_chr5.9902 transcript:KYUSt_chr5.9902 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDMQTPDALMGWLAFGIPASAGDEVGQENGHRWRWDPKDCDQKALPPARTALRMECDFSYLPDHDEDAHFCHARACVVGVADGVGGCRGDGVDAAEFSRGLMANAYNAVAAASSSGICPYTLLEMAYQKTVASTRTRAASTALVLSLAGHALRWAYVGDSTFAVFRGGRLLLRALPQQQYFNCPFQLSAVGGDRVKDAAVSEFPVEEGDVVVAGTDGLFDNVFDAALEGIVQTCTALSLTPGEMAQAIGRLAYDMARSSRESPFSAASREQQGTNFTGGKMDDITVIVAFIVS >cds.KYUSt_chr1.27254 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164314813:164317525:-1 gene:KYUSg_chr1.27254 transcript:KYUSt_chr1.27254 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLAKCAKNATSLKRKRDCPAANHADAGNTSQVHQRTAGNSDIRLYVGEDRKANIACHLNRQILQGYQNFMASSTPKRILLRQGGNWKEFPDKIVKLAQVDFRTKKAITELAYEKRLYLLDFVHMTFIDSKSGLQRPIAWIDDAGRRYFPEVFVEDQVLYRRKDLGNGNHVYVKAEPNGTHEINDQFGAAESSAESSNFESSTEEVSSAKRVKAVKSIIQKKVLEVGENVGENEPMPTAFSSQPQQDKLGGQSQHGVVQTLLMQGMGNVIGSKDIVGIYRTPLVNNCREGRFGLFQKQVKATTRQHGNANVRYAWLPCSKEVVDEMMLTGTLQVKKPTKCPPYGTGIVLAPANCSINCVNYSDVDENGIVHMMLCRVVMGNVEIVRHGSKQHQPSSEYFDSGVDDLKNPQHYIVWDMNLNSHVYSEFVVTIKLPSKSKDSLFTQEDCQDSSDVSMVLSPSSPDSVSQDMNLEASPALGGQCAPMLGGSMAKAPSTPWMPFSMLFAAISTKVPRENMDMINNCYEEFKTKKISRVDLVKRLRHIVGDRMLVSTIIRLQDKLPPVVRREAPNVPAKLVAEPRDQ >cds.KYUSt_chr2.8018 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50373007:50377060:1 gene:KYUSg_chr2.8018 transcript:KYUSt_chr2.8018 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFNATSKAFFVEIDSSFLQKEKRWLLLSYASRAMAFGSKRKLAARTDAEEASVVELDCSNSGDEQNTADGADEEEESAENGTATAAKPLLDEVILLDAQAFAGMERDGVDMHIMMFLCANGIPFNVLRSPQYPEMVAAIQKAPKGYKPPAYEKARTTLLDACKRKVETDLDPVRQTWYSHGVSIVSDGWTNMKNQPLINVMASNSCGSMFLYAEDFSGQEKTGEAIAEFLLQAIEEIGPANVLQVVTDNASNCKVAGREIQKRLMDVRETLTTTVVTSKWKELVRDADVQTRAAANAIAQNIMDEAFWDEINIILDITRPLYMVIKFSDGEGPKSGDIYEKMDNMLGEIQDVMTNEDNPHKDDWPEVNNIILDRWGKMNWNFHCLAFALSPKYYDQAYLATLAPGGGKRKAPNDDKEVMQGVMQALNRIAEDQKEYALLREEFNTFIMKKGLYALSAVQADAAAMNAIDWWFTYGSETPNLSEVAKKVLSQPISSSSAERNWSTYSFIHSVKRNKLNTKTADKLVYIHANERLRRRFTEGYNSGPHYKWDIDPDNSLLEESSLKLEQLRWSSLEDNRTH >cds.KYUSt_chr5.9220 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58479758:58484907:-1 gene:KYUSg_chr5.9220 transcript:KYUSt_chr5.9220 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPAAIALLAALDGNLRLLKKMSKKMDLRETKDPKGLNALHFAANKGSLEICKFLVEEAGLDINSTSGVGATPMFYAALKGNVQVVRYLLDHGSDPAKASERGLTPLHNAAEHGHCEAVRLLLSKGVEVDAINYRGTPLHMTAAKGQHQAMKILLEHGADPNRVVNHVFSPLMMACCGNNLECMKLLIEAGADVNGSTGSGPTPLTGAVDDGSTELVKFLLEAGADPNIPNQHGDIPIKRAAARGLREIVELLLPRTNPILSVPDWTVDGIITTMKSLHTRIQDAVSAEERIVDLKSKGKEAFVKQDYFTAMYYYGMVMEINPLDATLYANRSLCWLRMREGDRALLDARQCKTLRPGWAKAWYREGSALSFMEDYRGAVGAFQEALRLDPASNEIKKALSCCTHKRATSY >cds.KYUSt_chr3.48935 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305732283:305733706:1 gene:KYUSg_chr3.48935 transcript:KYUSt_chr3.48935 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPNIQQLPLPEAHARGVVSQVVPDLLHGYSKLGHLCDARRLFDRMLHRDPSSPGALPSSCSSLIDVNSKISLVNDAKVVLSLMHNRDMVVWDDMVFGLAQNEQGDEAVKLFNQLPISGSTPNEFTFVALVTMASLFHGQQFHAQVIRAGDNSAPMFQMLS >cds.KYUSt_chr2.30129 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185503766:185508875:-1 gene:KYUSg_chr2.30129 transcript:KYUSt_chr2.30129 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGRRVEPRKEKTWSVSRPAALIALERVTCASWGMRIMAEWVRGLRQCASSARPWRRPYLFGITERNYRDWDSVSLSSRSRSRRPRLGSGVVSGEDSNDMPPPDLHFRAWNEDPPEYGANLSYVSATLDFIDFCSSENWSMNVVDEILNMVGCERDGKLHVYWCLPEKEICDGLLPLETDEDCASMLNVINTEKCVVLFIDHTNFLKTLRIDAILRNRRVTTMPSVINPEAAMPKPTENGEFEASTSGVVVSDKRQAEEGDHALEDHETEIMQSEEEDDDSDTEFKLYDSDCNAESGDDDLFVDNIDKDVNDNNEQEVVAEMEDEAALEDHDLHLLNEERELLKKKFRTFDPILDMENPVFKVGLVFSSIEEARKALLAYTIRARKKIRKIKNNRSRLEAVCDEGCPWMIKIAKDTRWEGGFGVTAYVGKHDCESVWEMRALTANFLKEKFMTEFRDNQKLGLASFAAKVTREYNMCPDRWKLSRARKSALTEIHGDEEEQFCQLLDYGQELRRSNPGSKFFVTTNSVGDPGTAEHKQHLATVYWSYDACKRGFLAGCRPLICIDGCHIKTRYKGVLLTAIGIDPNDCIFPIAFGLAEVECTSSWEWFLTNLKEDLNITNTSPWTVMSDKQKKAGHRGETLKNDLWAIARSTSIPKWQKHMDKLLADSPSAHEWVEQLVPNTWIKAFFKDFSKCDMLLNNHSEVFNSYIVEARELPFLSMLESIFYKILQRIVGKQKDSKKWTGRICPKIKKKLEKFTEWSKGCDVKPAVDTYNKAYAYNLAPLRGRVFWEKMNGVKIHPPLFTKVMGRPKKNRKKAPEEKVKKGVTILTKAGVTMHCSICGKPNHNKKGHAKFVERQLQEQLEIHGDDGDIDIPEILEHIFPHTPNPTRDPTHQVESMVYRLGEEARENVPMSRVLGPLPENAFVAAERDRTPAACVRVTTASTRGNLRGRARGRSCATREPAKRKEPEGEAGRGRGRGRGKKKTAGQATTSDVQEPASTSRRGYNTGQGSAYYMLFGDDEQQPRPTSLPDLNAELVPDLNVHEFSVSQNAPHGDDV >cds.KYUSt_chr3.32376 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203393125:203394571:1 gene:KYUSg_chr3.32376 transcript:KYUSt_chr3.32376 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTFSFFDDDDRLLFEMLTLSGVQVGADWASILRRRHIYREAFSGFDVEAVAKYTEKQMASVSAGFGLDLGTIRGAVNNAWRILEVRRDFGSFGKYVWGFVNHKPLSPGYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVLHSFMQAVGLTNDHLVSCPRHRVCSTSSSSSSDAA >cds.KYUSt_chr6.21666 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136710267:136712815:1 gene:KYUSg_chr6.21666 transcript:KYUSt_chr6.21666 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAYLGARRPGPVGVLAINGVHGRSQQVVGDALDTVAVQMVERKGGRRVEGRRGRHGHVHAMAGLSWGFLGMGLVEVKENGSKEGRNGKERRMMECLLSGRRGSRVFLEKLPAYIDVGKNQIETALQGSVTVPALHPQIKVSLASAANMILEPSCAASAPRARPEKRNKALMRVGYSCGSLLSVHRSPEVCPDKLPGELDVFKNDVEAALSGSETMRVLHRKMKVYFATVANMIPGPSCAASIPKYI >cds.KYUSt_chr7.20641 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127963410:127964693:1 gene:KYUSg_chr7.20641 transcript:KYUSt_chr7.20641 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIGRYLGEVVLHHLLVTEEAIPLDVVPANHRAAPPIQQLRAVLQLLDGEKEDGGLHLVVLEQLVDIRIVRARLIGQIDIDELVPTPPSSSSIRPARRRHPELGEEPRARCGATCASFGMLGSAETCGVAVMGCGGGAGEKGETTVSFHYPSPKRKMEFKPKATVCIALLMLLLLSSYDGGGTAEARVCTGKSQHHSFPCVSDKICPGTCTKEEGSHWTAGYCHRRICTCQKKC >cds.KYUSt_chr1.37035 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226105038:226106381:1 gene:KYUSg_chr1.37035 transcript:KYUSt_chr1.37035 gene_biotype:protein_coding transcript_biotype:protein_coding MADASKRIDLAAPLLSVRRHAGRRPGERDDDATLGGVPFGWERWPGCPKSVRTIIRRAPPLLPEPEEAARSSDALSRADSCYTVNCSVAGLSDAAGATVTVSPGVRGGSVMMDRFLLAAKEQCAFRKEKAGAANTRARDGDGDGDSLPRRAPVEHPPANYAQAQPTDTSEPGGGEDEGDAHSTAGFTSRRKCGLLPTRCAQILNPAHAVSRHGRGARRFLSDLGRSCQRETNPLLPQRRPEHDAGMVRSLCVSQRTWEEVHVSSLARLVRSDRACSLRQVATVASELDMTVRGLYNGQAGGVVHPKDTHLGLLLVLDRADGSAASSPLPPLKRGRLPSGGKTSIGHCLPPPLEEKAGENREATTVRARAQPPALLALPSPKMPTESWLSRTLPSVSNKPPTTSFLGIHVQQLRTKQQAPSPCRSSHQAKFVDHGARPRRVRIHDLQK >cds.KYUSt_chr4.41030 pep primary_assembly:MPB_Lper_Kyuss_1697:4:253653621:253661820:1 gene:KYUSg_chr4.41030 transcript:KYUSt_chr4.41030 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFDKPWFLSEGKLAADDPMVSGRPYKLKCSNLRSVSQSMYSDETLNELTAERTNHRLQVTMKKVTRLCKTRAIPTVNGKFPGPKILTREGNRVVVKVVNNVKQNVTIHWHGVRQLRTGWSDGPAYITQCPIQTGQSYVYNFTITGQRGTLFWHAHFSWLRATLYGPIVILPKLGVPYPFPKPYREVPIIFGEWFNVDPEAIIAQALQTGGGPNVSDAYTINGLPGPLYNCSSKDTFKLKVQPGKWYLLRLINAALNDELFFSIANHTLTVVDVDASYVKPFDTNVVLITPGQTTNVLLRAKPDDGCPPATHLMLARPYATGRVGTYDNTTVAAILEYAPAGHIKSRPLFRPTLPVFNDTAFAANYSAKLRSLASPDYPANVPRQVERPFFFTVGLGTNPCPTYQGCSGPTNDTKFSASVNNVSFNMPTTALLKAHYDGNTANVYTSDFPTVPLVPFNYTGTPPNNTNVSNGTKVVVLSYNTSVEVVLQDTSIQGAESHPLHLHGFDFFVVGQGVGNYDSSQDPANFNLLDPVQRNTVGVPAGGWVAIRFYADNPGVWFMHCHLEVHTSWGLKMAWVVNDGTLPDQKLMPPPSDLPKC >cds.KYUSt_chr7.9669 pep primary_assembly:MPB_Lper_Kyuss_1697:7:59121139:59121753:1 gene:KYUSg_chr7.9669 transcript:KYUSt_chr7.9669 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQGVLKDPGVEVVQERDGVHGDVDGVHGEVEIVDDDVEVTQGQVQELEDEFERVHTNIQDLFQRVDRATNVGATEARISATPRYIRTTNLAQDVDSSGFIRTYSNPSSTCEVCIHPALCLKCGVRDCATTVAINKEGVCIRCRRSPSIYLENEKKAHDVPDSQV >cds.KYUSt_chr3.35425 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222442417:222442899:-1 gene:KYUSg_chr3.35425 transcript:KYUSt_chr3.35425 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTPEFSATVSGYDGLVRSAGAGSTPPSFRVALRVKNGNVWRHCFAARATVQYGGVPLAFASDLDGFCVPAKSVVEVPVVVSGEGLGMPDQLYASLQSRRERQERVPLEVRLMLEEKDTVKDLRFMLLRCTAKLDGMPDLPSRCLLFLLVEPGRIDGE >cds.KYUSt_chr1.9372 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57224501:57225287:1 gene:KYUSg_chr1.9372 transcript:KYUSt_chr1.9372 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNVAAAAAVAASLAAAAAEEEKKGEAVSLTVWRRSLLFNGKGFTVFDSNGDLVFRVETYAGGSPREVVLMDADGRALLTIRRKKLSLADEWLIYDGDAAASSSAPTPAPKRFTARRNISLLPTKSLARLSPARASGGAGDAPSCRYDVEGSYASRSLEMFACASSASGGDQRRRVATVCRKEAAVGPDVFRLVVEPGFEPALAMAVVILLDQMNAS >cds.KYUSt_chr4.6482 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38197832:38199754:-1 gene:KYUSg_chr4.6482 transcript:KYUSt_chr4.6482 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTHAKAVRLKSRHDKFLYADEDELHVTQDRNGSSPNARWTVEPVPHAPGAIRLRSRYGRYLAASGEAFLLGMTGRKVLQVAPAPGGRPDASVEWEPVKDGFQARFKSRAGAGRGNVKYLRANGGVPPWRNSVTHDVPHRSATQDWVLWDVEIVQVLTPAATPGTGLDHAASAPASAIQAPESPSPPKLRPAPTPHEAHHRPTKSHPTPPPHPEYKPPSPPHPEYAPPPPPPTAKPEPKLSKLESSYTFSAALHKVEGRAIHYLIADDNGDVEDDIESQTFTFNGSSLEELTDKLQEETGIDDLIVCTRSPINGKLIPLLLRLPPNNAAMHIVLVRESSKGVFSLITVCTICIL >cds.KYUSt_chr1.29300 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177326458:177326751:-1 gene:KYUSg_chr1.29300 transcript:KYUSt_chr1.29300 gene_biotype:protein_coding transcript_biotype:protein_coding MHASFIRPGGVAQDLPLGLCRDIDSSTQQFASRIDELEEMSTGNRIWKQRLVDIGHCPLHMTSKGLGIRWCNVKRSCDMKTLIAIWGKFPSGNNGSA >cds.KYUSt_chr1.39981 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244807497:244809898:-1 gene:KYUSg_chr1.39981 transcript:KYUSt_chr1.39981 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKVFTAGSLTDDIVVEILSRVPYKSFCRFKCVSKAWLAFSSDPHYCEKLPKIPTGLFHKGKDGSAVQLLSLSPNDEGIDGALTFLPHHEHLEFVDCCNGLVLCKYKSSYTSPDICRFIVCNPATREWRTLPDTHPNPYGPGGSLYTTLLAFDLSWSSQFYVFNFVKRYHDYLPLGVRELEVFSSEISMWLVDAKWSSCIQVRKSRAFIGGVLYVQTYSRETLVFKGLEAMISGIPPHHFTIKMQHDPWRLANGCFGQSSGFLQCAFPEESGDTVAVFNLDSCHPYKWSLKHRLSARDVFGKDDLVRSYGSFLRGVLYVQTYGRETLVFKGLEAMISGIPPHHFTIKMQHDPWRLANGCFGQSSGFLQCAFPEESGDTVAVFNLDSCHPYKWSLKHRLSARDVFGKDDLVRSYGSFLRWITYRVVALDLDREVLFLFDKDSNKLLSYNISTGNHSVIQDDCLLSDCAYYVACYSKLPG >cds.KYUSt_chr2.1888 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11323735:11324414:-1 gene:KYUSg_chr2.1888 transcript:KYUSt_chr2.1888 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWEAGRSLGVDGLGGLGHVAVKFGKAFGLRVTVINTSPGKEREARESLKADDFVLSTDHKQMQHSLGPILELLKVNGKLVLVAAPDKPVELPSFPLIFGKRTVSGSMTGGMKETQEMMELCGEHNIACDIELISTDRINEALARLERNDVRCRFVVDIAGNKSRILLAALFTRHDRAPVLL >cds.KYUSt_chr5.7783 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49033462:49036482:-1 gene:KYUSg_chr5.7783 transcript:KYUSt_chr5.7783 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLRKLGDLLSDEFKLLTRVKRDLVFLKAELESMHAFLKMMSEVEDPDELSKCSIKEVRELSYDMEDGIDNFMLSHGVHSIRKPRGFKGLAGRCMDLLTNSKTRHRIAKEIKVLKRGAMEASSRRARYKVDAGVSRLSRTSIDPRLPAFFTEMTRLVGIEGPRDNLVKLLTEGEGALVQQLKVVSIVGFGGLGKTTLANQVYQKLKGKFECQAFVSVSQTPNLKRILRNILFQICCQEHVSNEACDEQQLINTIRQVLKDKRYFIVIDDIWSLSAWRAIKCAFPENSCSSRILTTTRIVTVAKYCASQHHDRVYDIKPLSATHSKGLFFKRAFGSECGCPLHLKEVSDEILKKCGGLPLAIITVASLLANKASTKEEWLRIRNSFGSELEKDSDMEEMKKILLIGYNDLPYHLKTCLLYLSIFPEDYKIKRDRLVRRWIAEGFITTEGGQDLEEVGEVYFNDLINRSLIEPVEIQYDGRADACRVHDMILDLIISKSHEENFVTLSADKNLNSLQHDKVRRLSLNYHAREHTMVPSNMIMSHARSLTIFGCAEHMPSLSNLQSLRVLDLENREVLEPDYLKHISRLSQLKYLRLDVRRITALPEQLGKLCNLQTLDLRWTWVKQLPASIVQLQQLACLLVNSAELPEGIGNMQALQELSEIEINQNTCLSSLQELGSLTKLRILGLNLNWHKSNANSGMEASVDNLILSLRKLGMLNLRSLQVQSYHSCSLDFLLETWSPPPRLLQIFDMSTNYYFPRIPKWVASLDYLSYLGIYVDPVDEETFQILGDLPSLSFLWISSRTARPKDRLVISSNGFRCLKEFYFTCWESGRGLFVEAGAMPELEKFRVPFNAHGVFSLCGDLDFGIQNLTSLKHLHVEIVCYGAKVREVEALEDAVKNAAGCLSDEFTLEVSRWDEEEIVKDDEHKLAEAEADAEN >cds.KYUSt_chr2.31128 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192047584:192048315:1 gene:KYUSg_chr2.31128 transcript:KYUSt_chr2.31128 gene_biotype:protein_coding transcript_biotype:protein_coding MAANYYWGMWGAGATATTFPAPAATAEPSWEEQAFARDAAGHLGAGCVWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLRQCASPPDHREQPLPTSSSHDHHETLQPERQYRAAVSPISPQDQQATVIGASTTSPSYISTIIMESRNTVFISMPDDAMVREAWEGGDEERRKRRRVDDQPPAVALPFFVRLSPASSGREGMQGADDGDAKVSKVVPSPSTLHLAVGQQEVDLELRLGTS >cds.KYUSt_chr6.27934 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177180453:177188405:-1 gene:KYUSg_chr6.27934 transcript:KYUSt_chr6.27934 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGQIQPLKIPDAVVALAQAAAKANGETEKYLPGWPLFSPPKMQLTKCAKCPREFCSSVAFRRHSRVHRRALKIDKDFPKNRDHVAAFWDKLTVDQAQTILSLEDVVIEDIKGLSVLTALSSWMCKPGYASLPLPYARAGNELLVEAWSTDKAAESLRCQKLLVEEEDAAQKRQAEIMERKRMKKLRQKEQRLKDLKDEDVIVQVPVMVDGATGIHSVEAISGLGLYEQENPQYLQLPAPPTPSGDNGFNGEDVRHEVDIGVVWREQAMSTSNQDRLENLPHNSTVSDSVVASKHPSPTRHLRHRDPNASAVSNRSKTWAWKVRTDVEERCPKAELDVDGGHGMAPIAGENSRLLIGSISVAIEDGGRKCLQGLQPSKDYTSPESHQVVKVTQSISHDLNGCEDSIGGDATPAAENHSPCSLVTAESGSSYCDAELAAGGGTVLSSKEAAFFLSQSRFTLPGYLLGVFLIKMNPGEHVNHVSGTANNRGVTSLKLGTNQTEHGPYGWPSGPEFSVPVRQGKSEVVAFFGHSGDTLKALGVYVPGTKESPVKVGPWGGHAGSSRDLLASNMPERLQSVAIRSSERSGGRIYGFSYTYLDKNGQYIRVGPWGSKTKGQKREFTMNDGNYINFISGTHDEYGITSLKFVNSEEEVYGPFGCPAGAAFSVPLPENGAAVGFFGRSGADGLVGFGAYVAPQDDD >cds.KYUSt_chr4.47138 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291760405:291760653:1 gene:KYUSg_chr4.47138 transcript:KYUSt_chr4.47138 gene_biotype:protein_coding transcript_biotype:protein_coding MKTARECLTTALLLGTMARKKKPQPSHHQPMAASTEMTGSSLSIQHQRAMLQLLLATTAGQEQNKGVPYSSAKIPHLASTAG >cds.KYUSt_chr2.45368 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282937296:282937648:-1 gene:KYUSg_chr2.45368 transcript:KYUSt_chr2.45368 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLGWLRWPMGSSNVTAALVSNNDDRCKLSQVHVGPTSQMGGLWALTRLSSDHFQGQPAGSGGGQAYFEEIREKVKMNQYRVCKA >cds.KYUSt_chr4.9920 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59988532:59988759:1 gene:KYUSg_chr4.9920 transcript:KYUSt_chr4.9920 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEPGWRQGVSHTGGCAAWVDDVEGLGEELTSLSSAEEKGEGEFQIFATRVFQPLSASIPDQDRPDSGLAKPSS >cds.KYUSt_chr2.49441 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309413779:309423262:1 gene:KYUSg_chr2.49441 transcript:KYUSt_chr2.49441 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSTDEARDEEEENLGRNGDCYGGEEDYDGDGMNSPNHVHGWEKVVEYGRAGSVQEKTKKISEKCNHLSSMISALSADVSLVSFPENEDKGEDNFGWMMVPCQSANKQKTVKQPMVATRASKRIQRDGCSVLQKAEARVSQRDEATCISNPFDVLNCTSKDDLLGIASSAHISLGENNVEIDNQLDTVLAKELAEAVLVENRFRIRKEREATKVTLESDPIGLASISLMECNEVPGVNDTSEGLTQESESLLPMSPLAVFEDMLDAGVGSGRNASPKVPSGDAQETIKREFSDVELRRISGGCDFKWHMVPINPIKAILDRVLVSAQWVVLYPLGRVQTLISVGSDHNPILLILAPRDSLPQRTFRTYKDLREGDPLSTILFDLVGDGLSEMLNGARRTGYVKGLAPNLVEGGVSHLQYADDTIILTENDEAFGCLTRIRLLTYRTTRHGHETSDPQPSMASSSQMAAPPPPEKKAPRSLFDLPSDFFESYVLLRAHPAFAPSPAEPSEPSRPASVPAQQQQQPTEAAGLRWTCNTCGAEFESLQEQREHFKSDLHRLNVKLSVAGKTIIKEEDLEKVDADSLFDDLDVSSVSGSEDELDNVPASDHRLSVKGKEEFRKKLFFRGHSGDTVSFWRCVLFKEHEEPFFDCKSGTMESHGSTSFVHEDEMISRVKHLACEPRDASRLRIIILTSGGHFAGCVFDGNKILANKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEVQELIVSWKPYFDACVCAYIYAPSKNRQMLFDGDKAQSVLQACDIRPLPLTVHRPTLKEAKRVYSNLTQLYYETECSIMAEVLPHVETVTKFEPSTEANEEIIVAPKEPMLDSLNTHEAMTIPLSNNTTTPLHEAAKAGNIEQIMELLEQGLDPCVKDERGKTPYLLASDKEVRNTFRRFMAQNLDKWDWHAADVPSALTKEMEESQAAKQAEKDAKKKARAKELKKQKKAREKEKEKEKEKALASQADVKGTSAGQVAKGTASAPGLKLKHPQAVALSMQEERERKLADEREKRAAAAERRFAALAVQSGSTSGPAAAGGSTQTTTAGANDATCSCCFSSLAGKVPFHRYSYKYCSTTCMHLHSEMVEDD >cds.KYUSt_chr1.11826 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72916218:72918860:1 gene:KYUSg_chr1.11826 transcript:KYUSt_chr1.11826 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPCAPSPLSPASRPRRRIAGGDSGDPDDEGTTDVEEGGAQGFRVDDRDGGEGWSYEDGGGSELGCGIDERLARDLALASTAPPPKCNRKERVLDPFLQQTEVGRLQWEHDDTIDCLHEALFDGKEAWIQGWEVDLHNWRYNHPIALGRRCPSILHAKIYNSRVHTMHYALHFDGDDVTDLSVEEKLTLAVGVATEPSPEQPPCAVGVATEQPSCAVGVATEQPPDLLEWWPDALQLR >cds.KYUSt_chr3.14149 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85682307:85694633:1 gene:KYUSg_chr3.14149 transcript:KYUSt_chr3.14149 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDNSVDEDGGGVDGDAFRGHFPVPAACRNRDFCPPDLGFAMAAALEGRVCCAVLCKLQMEVAAGEESDGGGGTGSNPPGGATAAPAELLKRLDAVVAETGGTSADEQPCEHFSISLFPCTQQIAQGKNADRSTLLKTTPESNSKCNSPYEANTDPPMKDLQGSSSNQATPANLSDNASAGIMTLPEDSQIRANREGNGITIPSSPKLTEATMKRNAEDTKKNKEVLNVDLTPNVPKPIDGQNGDQVCNSGPCEEAAPKRIVRSTCKNNKGKPTAQVGSSDVKIGRRKQIKLRLLSEIINTDPAGGSRTDIEVNAGKVADPCEDDRSTDDDVSVSHQAVGEISLAKEKEVVDDESSLMNWMKRIPKKSRTAKKDLEQKDFDSSASKSTADLFASKDTHHDFLSSGWKLSKKNGLRTISTQQGDESVQNNNNLERNTQSADDMSQMEADNSIDRSLFKKKTISLSKRKRPSTANVQHDGVLPVSRQAVGVISSKTAKKKRKYKGADVVDDEGSSLMNWMKKIPKRLRTEKRDIEHKDFDSSAANSEYTVDKVAAKDVHNGFVSSVQKLCQEKILFATSTGDGEGDENSQNNNLERSVHNTDGPDGICQMESENCIQRSLSKVKKVSLSKRNIPSTVDAQHGDLNTENNTGKTSILRTDDQCQMESRNPVQQRLAKVSPVKRGIRNVTALEQKIPKKRKKQKQQLMYEKQAIIDDIPMDIVELLLRNQDKRPLITETDSSDISHDKSKIVEDEDCTVIAAEDGPDFASNVIDTTSQKKPLAPDSYQKASRESVAPTTQVTNMHALRLQTPGYLKPTQESQSTGELVTIAATSPLLSQHKDQSIAEAPADCRSHKGEKKLTWDSFEAAPRDSSTSTCRAQFRSSTNAVDLTSNHVAGASNNYHPTHQLVISSPDHYTDRAVNPVQARTFPSAMPTMEDGNLYDLRNAGQSGFYPRETMPATHLLRLTDPQMLASFPNYEGSSRNQMEFQLRNSYYAHNQYMGSAGTSYGAQNQYMGSASTSYGSNLNGSNVNGIGSASTSYGAHNQYLRSASQSYGSHLNGIGSASASYGSNLYGKVPLTLEDLSRPGFQENLHKPLRPLPRVGVLSSLLQKEIANLPESCGTQYGYRIGASKGMTSSFDIHRRENVEALNAGMYSATWNVLQLGSASSSPGFSSVRNGTAKSLTRDQGRMTSPLDRLVRQDICVTNRNPTDFTTISDDNEFLREDI >cds.KYUSt_chr6.26825 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170045456:170051521:-1 gene:KYUSg_chr6.26825 transcript:KYUSt_chr6.26825 gene_biotype:protein_coding transcript_biotype:protein_coding MREQQQREDEVYERRRLTEMQMRLRRQEVEHRRRHEELEQQLRQEAADADRAAYLTFVTEKSAGIDDGGATLRASERAAVDRPRPQSRVAREKLHYESTGVVVENSLRNTCILTSSALVSTSDPERRFIYRLKIKVRLPNNQVVDGWIQHYDLPFSMVVVVTGYSPDLRTDGSGGPLVDVDGNIVGINDYHDQEGTPYVQGNKIDECLRVVRIRDEVQRHCWQNFTSAFKRHWEGSSNENGYSGESESKNQKQFLSSNPEPEEFTEDVPTPELNEDEPNEEGVEVQVTNPSTISPKAYNEHKRTLVPWPSDGGMRLEGHFEEEFGRDNLSEPARKIALKMSRSVVALASFSHDFKERHFACTGVCIDFDGSTSNTRVLTSASLVRTSGDENKLFDGLKIKVCLASNECIEGNLENFSFYYNVAVISFPFRCNRTAMLVDAPHTEAGIGGPLLDLKGNVVGMNFYDTEGTPYLPSKIILKVLRRFDNAKRPVAAGMTKEPNSRSRAFLRYPVRKLAALCCLAHANHPRVAPHLSTTSCRSASHVAPALPRRRSSSGYRVWPSGHFDAEIRSGEERIRLGMFEMAHEASAAPAAQ >cds.KYUSt_chr6.539 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3331800:3334839:-1 gene:KYUSg_chr6.539 transcript:KYUSt_chr6.539 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSLEDVRNETVDLETIPVQEVFSHLKCSKQGLSATEAANRLAIFGPNKLEEKTESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWAEMDASILVPGDIISIKLGDIIPADARLLEGDPLKVDQAALTGESMAVNKHAGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIISIALGMLVEVVVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVCGKGLDKDSVMLYAARASRVENQDAIDTCIVGMLADPKEARAGIQEVHFLPFNPVEKRTAITYIDGKGEWHRISKGAPEQIIELCRMPKEAEKRIHSLIDQYADRGLRSLGVSYQAVPAKSKDSPGEPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGTNMYPSTTLLGDKSTEMSGLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALLWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGVVLGTYMALVTVLFFYLAHDTDFFSETFGVRSIKENEKEMMAALYLQVSIISQALIFVTRSRSWSFVERPGALLVIAFFLAQLVATCIAVYANWEFCKMEGIGWGWGLSIWAFTIVTYIPLDILKFIIRYALSGRAWNNINNKTAFTNKNDYGKVEREAQWATAQRTLHGLNQPSTNTGMFDDNGGYRELSELAEQAAKRAEVARLRELHTLKGHVESVVKLKGLDIETINQSYTV >cds.KYUSt_chr1.31203 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189348842:189351026:1 gene:KYUSg_chr1.31203 transcript:KYUSt_chr1.31203 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLPRQPNNSDVGALRFCAWWLRVAPALRPLGGVIGDDLEAHGERGFDIFRRMHATGVEPNEATLTKVVCFTATRCDPLLAADVGENPKLQLAQRRRSCVVPILKALPLRWWI >cds.KYUSt_chr2.53650 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334633586:334638818:1 gene:KYUSg_chr2.53650 transcript:KYUSt_chr2.53650 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIRNDDKNQAGSIHPANQAHTLELVVADARFQREDDPARRPQRKDLYEVLGVSRTATSHEIKKAFQRMALKYHPDKNGDDPVASEMFLQVKFSYSILSDPNKRRQYDVSGFEAIDSDRQKSDLDLSNLNPASTMCVALLRMLQEDSVDNGKVTSAGMYFLGFSIYRFEHNYSAAATKNAHAAFFKMLDSFQSCDINELKPGTHYFAVYGDNFFYPANYSIEIVCDQSFSAEKEKLQNVEAKIVAKRAEYKEVFAKLAEMKGGYTQEMQMEYRRHFLNEMSSARATTTLLVQPPPMVAAIRFGHGGSSPIRCSTQAPEMGKQPLERLPWWFRGNDNKAKDPNGN >cds.KYUSt_chr2.35299 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217898746:217901524:1 gene:KYUSg_chr2.35299 transcript:KYUSt_chr2.35299 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRIKKDGVKMYVYPVISTLPHLQQFLLSGDHETSNVEETGPGHGTDDHYMKRLKPVIGSAALSDLEWIPCQNDELPSRRFPFPRPGGERTTIWPLRSSIESQLDAVASSIAEVASASSIVKPAATSSAELAVSSAIAKASGASSVEAAARAPSRPPRELRRGRRASSAEGATRAPPRVLPRAQSLRPPSLLNLTVLSWVNQ >cds.KYUSt_chr6.2697 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15751297:15756509:-1 gene:KYUSg_chr6.2697 transcript:KYUSt_chr6.2697 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGGAFRGHFPVPAVCRNRDSCPPDLGFAMAAALEDIINNVAWNIRNYRYVGDVSTTDIKAGKLKFAPDREKDLLTLVLGNPEKGGRTRDFGPSVPWSLGFPADAETYRSRARAKQRQLEVQNDRMAEFQRRLDQQQRELQQQQREINELKGQRPPDNTADISQRRDSSVADSEAPPSRMMIDAGPGDPLDGIKEQTPCDLHEVFMKVSVKVAVGYVLPAFGPEGEPATWHGNPIPAGYARVGVDSVVPSWETLELEIPGGDGGLTLREVLGEIILWEKKDIRLPGWVAPSTSRPSRSPSPPPGDRRPPSPPPTDHMSPPSPCGYDVDHDIGSPSPSPAPPPPPTKTRNAPSRKRFKSPVRKMSPLPKVPKYELHEKKDDYTRTLAKEHGGFDMEEAARLAASCSATVEELLSRVDAALPTADIAPKFVYGADLVSREQLHKLPTHMRNLHQWYLDACKENIMYIVASIPWEYYYRKEEIHIEMNELCQLFNLDALDKSLMSCYCFFHWILLDIQPDKGIVEVRDPLSRGVDGFQDLQKLLQVAWQALKNHHKEITFAKKLTFTPVPCPQQPQGTNLCGYYVCESIRMLTTEKQNKNKFDVDFMRDRLQPKEHALGIAEELAGLLVKEKSNLMRTKKRFFEHNGGVILQQQMRSYNGTTGGASGGFKIFSSEELKKATNNFAADQILGRGGHGIVYRGVLADNSVVAIKKSKMMEEAETKEFTREMLILSQINHRNVVKLLGCCLEVEVPMLVYEYVPNGTLYHYIHGGKGLNADTALDTRLRIGAESAEALAYMHSSASPPILHGDVRIGNILLDGSLTAKVTDFGASKLALSDEAEVLATLVQGTCGYLDPEYLMTCQLTDKSDVYNFGVVMLELLTRKKVLCFDGPEENRSLVSCFVMAVKVGRHGELMDRNVMKEMGSEALEEVTHLLMRCVSMSGDERPRMKEVAERLERLEALRRYQRHPWGHASGGDLEEEGEDEKSLLGRKQQCDVDYKFRPHDVLDLEGDSTYTYRLIACRSNVLLLYTYGNH >cds.KYUSt_chr2.47833 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299086477:299090593:1 gene:KYUSg_chr2.47833 transcript:KYUSt_chr2.47833 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDLLFNLRNLFYLGAYQSAINNSDVPGLDADAAAERDVIVFRSYIALGSYQLVISEIDSSAATSLQAVKLLALYLTGDKEGAISSLKEWLSDSAIGSNPVLRLVAGIIFMHEQDYNEALKHTHTGGTLDLHALNVQIFLKMHRSDYADKQLKIMQQTDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFEEAETLLLEALNKDAKDPETLANLIVCNLHLGKASSRYFNQLKLSHPDHVLVKSTASAEDNFERALQAVA >cds.KYUSt_chr3.11808 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70376846:70383696:-1 gene:KYUSg_chr3.11808 transcript:KYUSt_chr3.11808 gene_biotype:protein_coding transcript_biotype:protein_coding MLHALVGAMVVSPSLNLYPHRNLLGASTPMEYREFLALQGQVMTILEDSSQAQNNCSHDFIRPEGDTAGYERGSKEDARLVTQILRRPIQSIIIWRSTTPSWMTPLQRAGYAWDNRVVFGDRMTVSFPPVAELDTAKGTGGHPWSRGPLLSVDSASGASHDLHTQTSLRPLAMSRAIFPCLTCTSFTKTRRINATDFTFFVKKYKAKFPMFLNEKKKKRTCYNCDEDSHFANECPYKKKVDKPKFIKGVKPRLKPNPINERYKKNKGRSFVEDEYLSDEEEEDEEKEAGVAGLAFSKPGSLFTYDYSKDYS >cds.KYUSt_chr7.7758 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46801713:46812039:-1 gene:KYUSg_chr7.7758 transcript:KYUSt_chr7.7758 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATTPSPPQSEPCQSLASLDHGAVLVPSSDALFAKELCGLLACLEAASPGYGREIACVLAGKASEDMMKKVEKSLKRPEKDLELKQRLEQCVLLAQDADPAAQKRAIITLRREIRKASSSVQDILKPLKFLCPHYGTLKSYFETMPESVLKTAMAGVLSVLALTTSSQAKREDGTPTPIESIMGPVLEVNNAEPEALDILMEGLSMVSGDEMNVDYNEKNSLQKIVNNTILTEGYLTLARDIEVMEPKSPEDIYKVHLISGDAKRPYFDSARQNMAATFVNAFVNAGFCQDKLMTGPSDSFEKLVLKNDDLGRTTAAASLGMIHMWDSDSGLAQLNKYLRKDDSYVVAGALLGIGIASCGVKNDSDTTLDLISKYISTDASIVRTGAILGLGISYAGSRKDKLKALLSHILSDCRTNLEELVLSAVSLGLVFVGSCNEEIAESVLSVLRGCSKEDLAEPITRLLPVAVGLLYLGKQVQHLLRICSHQGGAHRGPAVLGIALVALAEDLEGEMTVRSLDHLLSYGDHTIRRAVPLALAILSISNPKVNVINTLKRLSEDTDIFVSMVRIAQGLVHLGKGLITLDPCHSDGLLLSPVALGGLVVVLHTCLNLQATILGEYPYMMYILAVAMQPRMLLTVDEDLKPLLVPVRVGQAVDVAGQVGQPRIITGFRTHNTPMVLAAGERAELATEKYIPLAPVLEGFVILRKNPEYSED >cds.KYUSt_chr2.43044 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267840491:267851956:-1 gene:KYUSg_chr2.43044 transcript:KYUSt_chr2.43044 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSSGSRALVEILTRLQSAQTPMPVDQTFFEFGSIKYHVQASASDPENVHLSISTPSLSHEASPSTALPEFTLEETRKMYRKFAEVVEPTREGYALTLKLNFSGLARPKDRAKAIRHVSRLQSVVLSSQLKHILGNLGSSGTTKLVYNHRDPFFVSRTPGKINAIFPMRFRDDTDLAVANSFFQELQEAGNSYSKAPKCSWSAIPPPELRGESVHHLTTNGGFVSFDILERHVKRNRAAKTAWILLNFQSYVKYHIKCTRSYIQSRMRKRQESLTEVIQGAMLRGSDNTKKLQVRKKSKRRLISLGKAKKLQKGFRALVDRIKGLRLRIRVRALDRLMRHYRQCFAMPRVKGNKYYDKLEVLTKGWGDIDPAYATMLPALLLRMIHNQIWISLSRHQTARRKHIIVDRSLDFDQVDRESSWDDQIIFNGLFFYMAYIAVPNVSHMPLWRTEGAIITALLHIGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHVVYFLLFSIPTMTPIFTGCGSVLAVVLYITYIDFMNNMGHCNFELVPKWIFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYKTMDNSTDELYERTLKGTEETPDLVHLTHMTTLKSSYHLRVGIASIASKPSDNPVWYMWIIWPMAWLSMVFAWVYGSSAFVVESIKLKKFKMQTWSIPRYNFHYGLIWERESINSLIEKAILDADARGVRVLSLGLLNQAKQLNRSGELFTQKYPKLRVRLVDGSGLATAVVLKSIPLDTKQVFLCGSSSKVAYATAIALCERGVQVIMNEKKEYDTLKSRVPESSTVYLKFTSDEIPQVWIGDIIDDKQQRRAPNGTIFIPTSQFPLKKTRKDCTYLSSPAMKIPETMQNVHTCE >cds.KYUSt_chr7.20046 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124203437:124210269:-1 gene:KYUSg_chr7.20046 transcript:KYUSt_chr7.20046 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAKENNFSLDLQKSKYETTVDTDLPTVTEVLKKALSYFSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTESLGITLSSEHRKEICRYLYNRQNTDGGWGLHAEGESSMLSSALNYTALRLLGESVDDGPDMSMPKARKWIHDHGGATMIPILGKVWLSVLGVFEWSGVNPIPPELFLLPSFVPIQPGRLWSHFRMAFIPMSYLYGMKFVGPITELVLSLREELHIHPYKKINWKQARSLCAKEDAYYPHTWLQECLSDCLYSFGEPFLARWPVSYMRRKALQQIAEFLKYEDENSQYICIGAAQKALSMLCCWIENPNSDAFKHHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACNNAEEFGSTLRKSHNFIKASQIVDNPSGDFSRNYRHISKGGWAFQVADQGWQVSDCTAEALKALLMLSKFPSDIVGNQMETYRFHDAVNILLSLQNPNGGYGTWELARTYPWMEILNMTEIYADIMVEHQYVECTSSVIQALALFREKYPGHRKDEIEQCIRRATEFVEKLQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQCYNNSTCIRKACQFLLSKQLRNGGWGESHLSSRTKAYTNLDGEKSHIVNTAWAMLALMKAGQVERDPSPLHKAAKLIMNMQLRSGDFPQEIGLFFIIGKMYWQFQEEMLIKLQN >cds.KYUSt_chr7.2520 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14752693:14754049:1 gene:KYUSg_chr7.2520 transcript:KYUSt_chr7.2520 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTAYTPTIGFAIHILNLNIFCGEDYRWWWRSYLTAGSSAVYLFLYAGFYFFTKLDITRAVSGVLYFGYMLIASYAFFVLTGTVGFCACFWFTRLIYSSVKID >cds.KYUSt_chr3.8022 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46168998:46171751:-1 gene:KYUSg_chr3.8022 transcript:KYUSt_chr3.8022 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVILLAIKKIGIALANGAADQASAQFAKYGTQLLELQGSTRRVARELRVMHDVLCQMDIRNRNNQVYEGWLQEVQKVAHVMEDMVDEYMYLVGWEHNTGLIFYLKKGFRKPRSLLSLKRIAFKVKEIEKDLLHLSEAKHRWVPMINIGDTGSSNYIVKMSQDLANISRSLDEEDLVGVDKNREKLEQWLAGDDLECSVIALVGMGGLGKTALAANVYKKERDKFQCHAWVSISQTYSREDVLRDIVKELFKDKVSDLSNTVAMDVTCLEETLKKYLEKQKYLVILDDVWSPEAFDDLARALIHNDKGSRLIITTREGGVATLASQGHILTLEALPEDKAWDLFCIKAFPKDTNHQCPAELKPLSEKIVSKCKGLPLVIVLVGSLLRARERSVEEWRRIKDQLSWELKNNSRLDHLRNVLHLSFIYLPTHLKSCFLYCSLFPEDYLFRRKQLVRLWIAEGFIEERGESTLEEVAEGYLKELIDRNMLQLVERNSFGRMKKFRMHDILRELALGLCQKNCFGATHEAKCRGLIETDCRRLVLHKLEKDIQQSFSSIHRLRTVITLANDISSFTVLPLLCKKSRYMTVLELSGLLAEKIPDAIGDLFNLRHLGLRNSKVKMLPRSVDKLSNLLTLDLYRSEINELPSGIGNLKKLRHLFAEKVIDPDWREIQCSRGMRIPNGLGNLTNLQTLHALEADDESVRHLGELRQLTSLSLRNVKGIYCRRISEYLLQMRHLSKLDVNASDENEVLLLSVVPPNLRKLRLRGRLAEGALDDSPLFQAVVGQNLYSLALFWSQLREDPLPSLSRLSNLTRLHFSRAYNGQQLAFLTGWFPKLKILYLRDLPNLNRLEVQQGAMASLETFYLVNLNSMTEVPHGIEFLMPLEYLGFHEISSYFLMLLRQCSALRGTQLRYTLRD >cds.KYUSt_chr1.35071 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213656206:213657749:-1 gene:KYUSg_chr1.35071 transcript:KYUSt_chr1.35071 gene_biotype:protein_coding transcript_biotype:protein_coding MMARKDVLRRRRQGAAGHWDEDRLSDLTDDLLLDILRRVDTRTALGAAALSWRWAFLPRELPALDLKVTDMLPPRYHRIHLLRHNARESNISSTLADRRRLSSITGRYERQAMRALVRSLVLNAVDSWGVRDLEVVATPTGPLPYPEPPPYSFPCGLISRKPGESRLRSIKLANCLPPPLQEFTTLVLRDLPATTPAAACEGVVAACPQLQVLHLVSCQFTSRTRRLVLDSPMSEIRELLVDGRLMVVELRCLPKLESLTSLHADVVLCSTAAAPCLARVSFAFSVGRLEGDSFAAAHRQHLDCLIRMLLEFFQGAISVKDLVLRFTGPDMWILPKNPFSAMSNLRRLLVADVPSSWDISWPHLLIQAAPLLESLYVHASHGEDEPSQEVPGEASSSRHRHLKELVVIGFQRTERQMHLVRFAVEVSTALSRVSLLKHGHVMDKGPCCDWEVVSQPSTWSDEEKLAVFNGIGCSAGQIEVVLG >cds.KYUSt_chr1.41506 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254587780:254588340:-1 gene:KYUSg_chr1.41506 transcript:KYUSt_chr1.41506 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMDKAKGFVADKVAHMPKPEASLDKVSFKGMTRDSITVHSNVNVTNPYSHRIPICDITFSLKCGGKEVASGTIPDPGWIEDSGEVTKLEVPAKVPYDVLITLMKDLGRDWDIDYELHVGLTIDLPVIGNFTIPLDTAGEFKLPTIGDFFGGSKTEEAATT >cds.KYUSt_chr1.31518 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191115176:191117306:1 gene:KYUSg_chr1.31518 transcript:KYUSt_chr1.31518 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGTVFDGVGRVEVALDRDDLCESKSTHAPLQLEEPPLVLLLPAWNHDFNNRRGSRGPALRRSLVGERSLNRRLRDIGRGAGSSFLFSAGILYEVDKLSGMILARSESKARRRLRLCKRCGRAGRWLSFSRSLELRKVEDFATAGDAQQPLRASTREKTHR >cds.KYUSt_chr4.10478 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63612536:63616858:-1 gene:KYUSg_chr4.10478 transcript:KYUSt_chr4.10478 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDVVEVEELGDDWRRGSAGRGGGDEGVEEEGSLVGGRVAWEVEGKEEKRTSELVRDWGGAAMGGDWRRTKKGAIGLGRGCVLVPDLVRIGERGWFVAEQEPKQPPAGAGGSWPSGSRHAPPAAPLPRTVTRSTASAPPGAPLRALATRSTGDGSTGNDAPRRSRRAPPAAAPLPLSTGGAGREGEGREAARDLWSSLGATGAVLRDYQGQFVAASTRFLPNIASIAMAEAVAMKEGLALASSLAFTKPFIMFLIAKDLLPTSLLDEVSFPFLLPLRAAAFALSRPGGRSSSVVASPELYSPETLRRLLFDVESVPLPGPCCPFHFASLRTASHPRVAMGRVWAG >cds.KYUSt_chr6.28215 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178786039:178792279:1 gene:KYUSg_chr6.28215 transcript:KYUSt_chr6.28215 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWYFRSYRISEKSDLYSFGVVLLELITGRPPVVPISDSLSIHVGEWVNQSLDRGTIESIVGAKMGGDYDINSVRKVADLALRCKQEVSRERPTMAEVAAQLKECLDLENRRDGRRSLGSLEVDLQGGEIQAVAAGPTLRLVWVGGSSPLLLPF >cds.KYUSt_chr3.34663 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217391085:217392828:1 gene:KYUSg_chr3.34663 transcript:KYUSt_chr3.34663 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLPTAADSTRSPAADSSHSPAAVTEPPAPPQPQPHHAPPSPFSPVPDETGSPVRPHPPPQQHHPSPPPSPSAGRETQSPVHPRPQPLSHHPSPSPFPAVTDQTESPVHPQPQPEPHRPSPSSSPSTADQTQAPAQTPPQPQAQPSSSSSPATAEAQTPPQPQPQPQPAHSSPLPSGDDDDVVITGASDGADGAAAADERVKGPWSVEEDALLTNLVMIHGPRNWTLIAQGIAGRSGKSCRLRWCNQLDPQVKRKPFTEEEDRIIMNAHTVHGNKWASIAKLLVGRTDNAIKNHWNSTLRRRYCTDSRCARGASVEQPNTEMPRAVSAEPWPLSCPSSFNVTEVKEAPVQTVSESSAVPLQITANNSSPSKIIRVNNSCSTEVVDQPHLVRPVAVLGAFRPYSIGPAQSTQMERSSSTKFVSTIQAVTPETAVSKFADTTCFAADVPNKCGHGCCGTKKRPRGNSLLGPEFNEFEDHPPILSSNLASLVSEISSIAWMNSSLQSSGTGNLFQSNPSS >cds.KYUSt_chr2.36866 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227759614:227760366:1 gene:KYUSg_chr2.36866 transcript:KYUSt_chr2.36866 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDLVSLFRLVDAGEPTSKLACETTAVAASYPGGQRNIDVTTAHADGRVSWAWSGRAGAALERDGSTKGSSNPKAAAEAEARKGAPSRGGRRRPSSSACCPRARWPCSSGALPFSAAAGAGRREAPWSSRARPWMPREPSSPKVSCFGAVRAETRAAAHAPAPAPRVVEEQQDEERSGCWASVTGSLRGMCRSDESGEGELPASEAKATASETPAVAVLSPPQPVPGLGDMKRLASRRWPVGMAGLER >cds.KYUSt_chr3.33510 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210353261:210355477:-1 gene:KYUSg_chr3.33510 transcript:KYUSt_chr3.33510 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRRPSSPPPVRAHPLEDDDLLHEILLRLPPQPTYLLRASIVSKRWRRLAKDPKFLSRYRIHHRKPPLLGHFSYEGGRFSFRSCLDPPYRIPPERFSLPPSSLEVRPCLDCRHGRVFFDDYLQSRVIVWDPITNDRRVIAHPPQFRDSGIEQIHSGAVLCAAGDQGHVHGACHSSPFKLVGLSAYHRNDVAIIFASVYSSDTGIWSDLVPTTLPWRGIRFSTKSALVGNTLHCLLAMDTILEFDLDTRMLAVTKTPPGAPPRHDNVQIIQSQDGGVGFAALSGSRYQPYLQMWDRKVDSHGAVTWVLQKTLELQKILGLESRIDKDKSSILHYLDDVQAIFLRVQSSLYMVDLESMQSKELSKGIGNSIYRPFTSFFTEG >cds.KYUSt_chr7.2130 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12285537:12286430:-1 gene:KYUSg_chr7.2130 transcript:KYUSt_chr7.2130 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAEQQPPRGTKRPPEDGDVYKANGARRYDFSRDEEGGGGGGCNSSTGFLDENGTFVERAARGGTKEEAWLGPADADAAPAATKRARKALRRQEEDERLVDDLVASRAVFDIRKRVVGILEPGETVPRALRRLKGLGGAAGARGTASSRMDEETRRAFDELTDAAAELFDRGDLDAYSHDREAFEEAAWAYEYRRRARASGSAAAQEVEEGCAVSPDQPDTPGDASGTAAAEAGEGAGVGGWDYVYDPASGNYYSSSTGYFYDAASGCYCSAYTGTWFSYGDDGQCYGTGKELQP >cds.KYUSt_chr2.47247 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295583952:295584302:1 gene:KYUSg_chr2.47247 transcript:KYUSt_chr2.47247 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFPHTILRPFGVNPVGQYVGRHTQFPFRASSVEEVNWDKNQESSKRRRKKKKQEKTGLEVLCDDGFGSVTVKDYVEAVRDMPKDDGGPPRWFSPVECGRPVVDNAPLLLFLPGW >cds.KYUSt_contig_1546.55 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000163.1:334779:335039:-1 gene:KYUSg_contig_1546.55 transcript:KYUSt_contig_1546.55 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPSLLQRVRIRDEDNGYWAWVVELVESPGLKPSESSGAMVAGGSADIARDGAGDADGHPLHSGRCHGGGAARHEVDGAGRDVGG >cds.KYUSt_chr7.19696 pep primary_assembly:MPB_Lper_Kyuss_1697:7:122143935:122152275:1 gene:KYUSg_chr7.19696 transcript:KYUSt_chr7.19696 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAHAGATAVPGQAKPQQRRSYQQPRRGTRPHRSARDADERWIFADPCGRGTDALGKSRGGRERQIDADHQIVRDRAEEEDYDSNDGAEIIGYEEPDLSGEWYFANVISTTGILQQRLVLLFSANIIIHTIHLILCYSKPERTKTVEAQLAKIAESQTIIRAKFAVKPEPNPVEDLKMTRTDREGEVHEELEYCNARTPEYSMEDLVKIITVNHPGVDEGVFIMMRKLRQIYHNNNKMNPKEKRKLNKDMQDQNMSSSHFTTSPPIRTHHKEEKYEVTYKRTRTRVSGRRSCRVPGQAAGRGGGPRRRVPVPDLGIAGPAAPPDEPVDLCRRRARGPRLLRMLATLRRSRCDRRASAWILLSPSQQQQPPLPKKTPPRPPSPAPSPPPPRTTSPAYLLINTIVKHM >cds.KYUSt_chr1.40951 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251095357:251100608:-1 gene:KYUSg_chr1.40951 transcript:KYUSt_chr1.40951 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTFIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITNEIQEWIERVAMNPVDGTDKPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLMPDILACRSTEPLEENVKLKLSQFCQVPVSNIVNLHDVTNIWHIPLLLRKQRAHEAILRVLGLWCVGKVSQEPKLSEWTERVSKFDKLKSPVRIAMVGKYTGISDSYLSILKALLHASVALDRKLVVDWVPSCDLEDSAAIETPDAYEKAWDLLKGANGVLVPGGFGDRGVQGKILAAKYAREKNVPYLGICLGMQIAVIEYARSVMNLCGANSTEFDPATKTPCVIFMPEGSTTHMGATMRLGSRRTFFQVNNCKSAKLYANANYVDERHRHRYEVNPDMISEFEKAGLSFVGRDESGRRMEIIELPAHKFFVGMQFHPEFNSRPGKPSPLFLGLIAASSGQLEHLLQRSSGTVSSPSRCITGTGAAKSNKLFKKKPLNGLVTTYFGNGSSIHI >cds.KYUSt_contig_915.142 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:841945:843168:-1 gene:KYUSg_contig_915.142 transcript:KYUSt_contig_915.142 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTKAPHAAELLDELVFEILLRLPVKSLLRFKSVSKVWHAIISDPFFIRAHLQQSASRWRQDPSLLVTPHALNYVIEDEAWPTTFSNKIRFYQWQQPSSEEEPEESKLFMHGGDFLGEFNSVCCFAHCDGLVVAPTNTNVYLFNPATRDAMTLPHSDRNKMHQYAVCLPVGFGLDPCTGRHKVVRAFYRSRNPRTGIYAMGMEVFTVGDAPASWRETAADPPYPVAGWFTAVFVNGALFWVIEKRGLDLNPHSLLRFSLDDETFSVTRLPDSLDPALVESYSFMLDEMHGELCLTAFSSSKPAEQQPLKIWTLVEEDGRWEHRYSLTISGLVHPVALLPGRGVMIVQRSQYICRYDLRTHELDTVCELDRLRYESTGTSQAAKRKTYYFNVIPYTQSLVRITAAA >cds.KYUSt_scaffold_1700.246 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1756917:1759681:-1 gene:KYUSg_scaffold_1700.246 transcript:KYUSt_scaffold_1700.246 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSFVCSPIASASSGCLLPPSKHGTGPSIGKLQGRRLSCRAAATSGSGGDENQQVRRNIDRRDVLFGLSGFAAVTSTKLGLALAADAEPVCASVPITAEVLKCSLTDDFDCPAEYDATKVIDFKSLPLPSGPPRVRRPAHELDDAYVKKFEEAIRRMKELDEDDPRSYYNQSGIHEAYCDKHYNVVSSGSPDVTFDVHFSSIFAPWHRMYIYFFERILGDLIGDPTFALPYWNWDSPEGMMLPSIFLNESSPLFNANRNQAHLRSFMDLNLGPAKQKNLPEPECTGDALCLLENNLYSMYRQMTVDTPEEFFGNKFCAFDAKFTGSLENGAHTAAHIWAGGDMGSLKTAARDPVFYCNHSNVDRMWHLWTTTLGRDNLPYKEWLDTSFVFYDEMKRPVRISVQDVLDNGKLGYTYQEKRNLEWLQKRPVPSTVINRPVSTQKPVTPASSFPLTLNNGQNQYVTVARPQKAQAAGGSSRKAPEVLVFDLTVDPCQFAKFNVLLNVPRGQEGIVGPKNSEYVGSFMYVPHSSGDDDDGSRFSRGRGRRDRRDDDRGRGRGDRGRDDDRGRRSDRGRGDRGRDDDRGRGRGGDRGRDDDRGRGRGGDRGRDDDRGRGRGDRGRMMENQDVSYRLNLREIIADLNCGRDTTLDITIVPIAGEKTLVNSLRVDIL >cds.KYUSt_chr5.7720 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48593877:48599128:-1 gene:KYUSg_chr5.7720 transcript:KYUSt_chr5.7720 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPGSAPTSSGFEMLSVDDAPPTSTSPECELGTTNTDISRSKVSSSDNLPHTPASADGHDCNKYNEESSGEADSDTCQYSSDDDSDEDGECPFGNPLLRDSYGNLTDWRLSDLDAAFTKHHLEDEAKNPKPKERKLTKEEKLKIHSDRMEGYMKFALHQYNIKEELVEDMRFEFEKAQSQNWIVEGEFDDLFYYHFNFTAKQTCSSVFTFFAEVTPEDGDDCDVLCCRLLNDDDNGHCFGCENEGVDDLRHPACKSAYVGGHEDRGFPFMENSETESLAAAGVQHLMHVEMSDKRMLPGEGWYDLAVDNRLKAGYLCLLELVRNKRLPTKVHIIRSESSFGSGAVFFAASGGPWPWPCGRQGCGEI >cds.KYUSt_chr5.41140 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259665425:259666639:-1 gene:KYUSg_chr5.41140 transcript:KYUSt_chr5.41140 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVLVAPGVKDKKVIAFKRDALKEKDAVSALMRAISAPGSGVRSAFYVFDLARVVDLHRGWRRALAGVRPCYAVKCNPEPALLGALATLGAGFDCASRREIEAVLALGVEPSSIVYANPCKPEAHLEYAAEVGVNLTTYDSEEEVAKIKRCHPNCDLMLRIKGPDGGDVRVDLGTKYGAHQDEVLPLLRAAQRAGLNVAGVSFHVGSGAANTDVYRGAIEAARNVFDQALALGMPPMRVLDIGGGFMAGPAFDEAATVINAALDRYFGDLPCVEVIGEPGRYFAETAFTMAARVIGKRTRGEVREYWIDDGLYGTLSCIPMDHYVPHPRPLAAPRAGDKTYASTVFGPTCDSLDTVVTGYQLPEMSVGDWLVFDDMGAYTTASGSNFNGFSTSDINIYYAYSS >cds.KYUSt_contig_2175.16 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000268.1:83304:85155:-1 gene:KYUSg_contig_2175.16 transcript:KYUSt_contig_2175.16 gene_biotype:protein_coding transcript_biotype:protein_coding MITQCPIQPNKNFTYRFNVTGQEGTLWWHAHVSCLRATVHGALIIRPRSGPGSYPFPKPYKEIPLVLGEWWDTDLLELERKLANGEMKEVPTSSTINGKLGAFNNCSGVIEDDYILNVEHGKTYLLRIVNAALHAEFYLKIAGHIFTVVAADANYVKPYTMDLIAISPGETVDVLVVADAPPGKYYMVAMTVPSQWIVEEPLMNTLVISRGIIHYNNTSKGSENTPVLSPELPVDDMGNISFYFHGNLTSLRMPSVPTSIDERIFIDVDQGYICKEGESPCRTAVTRMNNISFQLPTSVPLLQAHYYNNISTSISMVREFPSSPPNIEFNRGNTMKATSMRRVRYNTTLEIVFQGPPGETSYLNPMHLHGHDFFILAQGIGEYDPNKDVHTYNLVDPPVRNVALVPRFGWTAIRFVARNPGVWFLHCHLEKHVPSGMATVFVVEDGPTLDTSLPPPPTDYPTCDGQSNKVSYE >cds.KYUSt_chr3.24121 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149738668:149743883:1 gene:KYUSg_chr3.24121 transcript:KYUSt_chr3.24121 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTSRHRRAAAEQPATPPPPAQPKPQPKQETPPHRPQPTTAPPPCPAPAPEAAGQAMGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHKATGQRFACKSIATRKLVHRDDIEDVQREVQIMHHLTGHRNIVELRGAFEDRHSVNLIMELCEGGELFDRIIARGHYSERAAAGLCREMVSVVHSCHSMGVFHRDLKPENFLFLNNKEDSPLKATDFGLSVFFKHGEQFKDLVGSAYYVAPEVLKRHYGAEADIWSAGIILYILLSGVPPFWADTEDGIFEAVLLGNIDFSSDPWPSVSNGAKDLVKKMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKIVAENLSAEEITGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEIAQLMEAADVDGNGTIDYSEFVSATMHMNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKYDMGDDKTIKEIIAEVDTDNHKKFSFFKSDASKKLPPDLAGQGTSMGATPLLLNASRRRTSGAGHEEPRRPAPWIDLPPPPVRSRRETPPLLTPSVEGMGAATAARGGSSGGQDGGVRRRAAVD >cds.KYUSt_chr2.15666 pep primary_assembly:MPB_Lper_Kyuss_1697:2:98644512:98644790:1 gene:KYUSg_chr2.15666 transcript:KYUSt_chr2.15666 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQQEVGVDGMAQTEGLAAAHHQPLPEYCGKPTRKGRPQRRPSHPR >cds.KYUSt_chr1.26399 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159047081:159052047:1 gene:KYUSg_chr1.26399 transcript:KYUSt_chr1.26399 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISQLPSDTSSEGKFAGFLHWWDRTQTSSSGDDSLPTLDSEEEWLGSEEAAAALAKAEADAKAKAKAKAKTKPVSTGDGEEDTSSSDESADTASSEELPSIPFPSLQADSSTALLCSALPASLLIPRAPGWSGKSPAEQLASPRLAAAAAMRIPRRKAAVTLGAPSRRAQIAAVFALAALLGVSVLYDSAHIAASLRRHSGYNRPSAATEEAGPRAPPAQGAESSGAATDRSDPPPRHGQAEEASSSSSSPGDRADESPPAAVLKDVASAGGGSPGCDLYSGRWVHDEANAPLYKEDDCEFLTEQVVCMRNGRRSDDYQKWRWQPDGCDLPRFDAKLLLEKLRNKRMMFVGDSLNRNQWESMVCLVQAEAPWDKKKLVKNGSLNVFRLHEYNATIEFYWAPFLVESNSDDPDIHSIPNRMIMPTSIAKHAANWIGVDYLIFNTYIWWMNTPKMKIVHDFTRKPVQYDELDRVVAYRQIMKTWSGWVEENVDPKRQMVLFMSVSPVHMQSEGWGKPNNIKCFSETQPAINYNKTLELGTDWDLFTASNEVTKAMKKVPVHFINITALSEIRKDAHTSVHTLRQGKLLTKEQQANPRKFADCIHWCLPGVPDTWNEFIYGHIVLSRQTEDQSQR >cds.KYUSt_chr4.52438 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325262784:325263266:-1 gene:KYUSg_chr4.52438 transcript:KYUSt_chr4.52438 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALEAAIEEVRVTLSDEQRTDPRFDPDNHEAWIAFFRRRYERELAATTALLLLRQGTTPPAAADGGARPTAPSRMCSRTSRTGTPPVLGDAAAGGGYRVAPAR >cds.KYUSt_chr4.25333 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159194200:159202182:-1 gene:KYUSg_chr4.25333 transcript:KYUSt_chr4.25333 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATISDFPPTRNLMRDDSFSGSSRTGEGESGRGTTVVIRIHPDKCHRARAMEHHDVLPLTGMAPRNNKQGTFTRRPRNAVDVSNMGFPPVPQGLLERDMFDLYLPEFDKPWVIHLRETCCCSTNLCTWRPNTVYKNRSVRRHHKSLGKGLQIQLGSVSAQAQSLIELRIMLMSKRDSVITQSLIVGRWTRSDGAVASYMDWLWIAGVEATDIVVEGWGRRDYEAVVPILSGCQDHCGRLHLAFYWYIRTTPPAGACPVAAFGPGLSYKPGPKGPPAGRGRPCQPGEPFSPGLYDKPGPKAPLCKHFTAYDLDNWSGTDRFHFNAVVAPQDLEDTFKSCVVDGRAASVMCSYNQVNGVPTCADESFLRGTIRGKWQLEGYIVSDCDSVDVFFSDQHYTRTHEDAVAATLRAGLDLDCGPFLAQYTEGAVAQRKVSDADIDAAVTNTVIVQMRLGMYDGDLATQPFGHLGPQHVCTRAHQDLALDAARQSVVLLKNDAAALPLAPATHRTVAVVGPHAEATVAMIGNYAGKLCGYTTPLQGIGRYVKTALHQAGCTDVECQGGNQPIAAAVDAARRADATVVLVGLDQKIEAEGLDRTSLLLPGRQAELVSAVAKAAKGPVILVLMSGGPVDIAFAQNDRKIAGILWAGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPQDYLQKAPMTNMAMRANPAKGYPGRTYRFYTGPTIHPFGHGLSYTKFTHTLAHAPAQLSVRLTGHHAATASSLNATTHLGHVAADVRVSHARCEGLSIPVHVDVKNVGDRDGAHTVLVYASPPAAAAAAHGAPARQLVAFEKVHVPAGGVGRVKMGLDVCNGLSVADRDGVRRIPVGEHSLTIGELTHSVTLAVEQLGSGHSCVLVGHNKSIEFFFNTVMESSSDEESDDPLELMIVVSSRIHDHNKRQKPVVPLFLLEQLPLFLLQVVPLFLLEQLPLFLLQQLALFLLERLRLLALLLLYLSQQ >cds.KYUSt_chr7.39716 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246910069:246911638:-1 gene:KYUSg_chr7.39716 transcript:KYUSt_chr7.39716 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVVRSSSSSLRLATVLLLALCVVGAAGRKRYISFAALNPGPLPGHVHDHAGVPAPAAGVTATGNHDVLRHHQEQASALVDVGVQAPVTVGVGSVSSVSEVWRKFRDWTKADFPPSSNFTNTKEWWQRARKKAPKDLRRDFDMVVILVHWSLWKERNGRIFQQVFSTASKIFELITEDIRAWRVAGYIVAF >cds.KYUSt_chr2.7956 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49846662:49848089:1 gene:KYUSg_chr2.7956 transcript:KYUSt_chr2.7956 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGRPAAGRRLLAILLALHLLVAFSHAARFTRGYRMIAVHAPAFHGDSNSAKDKGSSNDAIVEEMLRRMALHTSDYPGPGPNDRHTPPKPPGP >cds.KYUSt_chr6.14857 pep primary_assembly:MPB_Lper_Kyuss_1697:6:93000112:93002424:-1 gene:KYUSg_chr6.14857 transcript:KYUSt_chr6.14857 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSPRPLPRPRNHHPPPGLRALPAFSYNAHRGLVLGLTFLAYALYHASRKPPSIVKRALSKSWPPFYDPALLGETDVAFLASYSLGMFGAGHLGDRLDLRIFLAAGMVGSGAAVALFGAGYFLSLHSLLFYVLAQAIAGLLQSTGWPSVVAIVGNWFGGRRRGLIMGLWNAHTSVGNISGSLIAAAVLRYGWGWSFVVPGGLIALGGVLVFFFLAPYPEDVGFAPSPPKKASEASTDEEDISSRSTAGGGGEDRRDAVGIRKAFSIPGVFTFAACLFFAKLVAYTFLYWLPFYLTQTAIGGEYMSVTDAGYISVLFDVGGIIGGILAGFMSDQLDARATTAAMFMYLAIPSLYAFHAYGSTSKVANIALMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTAIIDGTGSLGAALGPFITGFISKTGWDSVFIMLIVCALIAGACLSGLVKSEIQQIIQNWRNRSTHLQNGTAGKGCHPVFNLILEIANCNAMYVALMLPFSLSHRFWCSTTFSGK >cds.KYUSt_chr3.37608 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236633711:236636282:1 gene:KYUSg_chr3.37608 transcript:KYUSt_chr3.37608 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDLPDELWARILELGAASAALGFRDLCCLAIASRRLRRLSLHPSLWSSLLSRDFPSQSQPSSSQQLQLVDPKSLYKTKFERHKLRMAEARRRAVYEAEGRLLACRKRLTQLEGSIRAEGDRMKAAAQELDNLERVRRASVALNVWQPQVVRGRQKQLVQQCTVPVDSRLSDLRMELKVCKQQIATYKNVYNKEKLKLNECEEALRRAKYHPLQNSSQTSSTVDEPQAKRKRLK >cds.KYUSt_chr2.52976 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330538773:330540675:-1 gene:KYUSg_chr2.52976 transcript:KYUSt_chr2.52976 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLHVVLVAAAARAPAAQAWGVEGHYMVCKIAEIYLTREASTAVKGLLPESAGDELSAVCSWPDTERRQNPWSTPLHFADTPGDCKFSYARDCHGTNGEKDMCVVGAINNYTAALQDSSCPYNRTESLMFLAHFVGDIHQPMHCGRIADLGGNTVVVSWYTNKTNLHKVWDEKVIGTAMNRFYKDDLSTMIGAIKRNLTVVEKNKWEACPSRATSCADKFAEESAELSCPAYVGTEQGSNLEDEYFFKALPVVQKRIAQGGVRLAAILNRIFSGNNSSALQSI >cds.KYUSt_chr6.3614 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20855653:20856393:1 gene:KYUSg_chr6.3614 transcript:KYUSt_chr6.3614 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSEWSRVDDQQVQSGSGSRQEQGRLDDRIGRLHDDLIIPRLPTRQEQGSLANLISRLPDDVLGAIISFLPTKDGARTQVLSRRWRPLWRTSAPLNLVADGNLATGDTQKRIAVISKILSDHPGPARCFLLRDIFFPQIDGWLRSESLANLQDLELTYTTYHDHVPPSVLLFSRTLRVAKFGTCQFPHLIVPNFPHLKMLTLYNILISEDSLQSPQPALSLHRLGKPFTALSHRHCLSSHQLPDS >cds.KYUSt_chr5.42113 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265491628:265494278:-1 gene:KYUSg_chr5.42113 transcript:KYUSt_chr5.42113 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFGNTCYSSGSEGEDEDEDEGPEGYRKGGYHAARPGDRFADGRFVAQRKLGWGNFSTVWLAYDTLLSRFVALKIQKSARDYAQAALHEIELLSAAAKGDPTNSKCVLQLLDHFKHAGPNGQHVCLVTELLGDSLLRLIRYNRNKGIGLSRVREICRSVLVGLDYLHRELGIIHTDLKPENVLLVSTINPSKDPARAGFAPILHKPEGNQYNGAAISFSEKMLRMRARRAVVKISRRRESLGGVMVDLEKELERKRSLDGISMKCKIVDFGNACWADQQSAGEIQTRQYRAPEVIIGSGYSYSADMWSFACLVFELATGDMLFSPRDCQGCSEDEDHLALMMETLGKMPKKIASSGTRSKDYFNRYGDLKRIRRLKFWPLERVLVEKYNFPAPDANGFANFLRPILDFAPESRPTAAECLKHAWLN >cds.KYUSt_chr4.38408 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236900728:236901861:-1 gene:KYUSg_chr4.38408 transcript:KYUSt_chr4.38408 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAARASGFFSAAMLMVVLSPSLQSFPPAEAIRSSHFDSHVRFPGQIAAGGARGLPFRRAPSFRNAADCATPTTTNTTTVCDASLVHIAITLDEGYLRGSVAAVHSVVQHAVCPDTVFFHFLVSDPSLGDLVRAVFPQLRFKVYYFDPARVRGLISSSVRQALEEPLNYARNYLADLLDPCVRRVVYLDSDLVLVDDVAKLWRTDLAGRTVGAPEYCHANFTKYFTARFWSDHRLAATFAGRRPCYFNTGVMVLDLARWRRAGYTRRIERWMETQRSAAASPEGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVHGSCRDLHPGPVSLLHWSGSGKPWARLGAGRPCPLDALWAPFDLYGPDAAAVETSR >cds.KYUSt_chr2.20312 pep primary_assembly:MPB_Lper_Kyuss_1697:2:127571570:127574463:-1 gene:KYUSg_chr2.20312 transcript:KYUSt_chr2.20312 gene_biotype:protein_coding transcript_biotype:protein_coding METLDPALGCDSVDHPISINPGQVVCLFLAPPRLVKKQLLMLICIDYAAAGTNGRGYVALMVGRVHRRIEESQALTGNPLRCLRAIRTAAALLPEKNGRIWQRSRRQDCEDGDDRVDHGNGGGSPWRTAEGVTVAALRDSGRKGSTSEQPSGGGIDRGSWTRKRAAVRVERQWRAVVLTPLHRIRIAEQGVAIQEQSPSAAGGHGKTDNSVSRLVGVGSPGLQHLGAVVGYVLTSSQACVGEVLTIRPLRRRRLGMPPRYACLHRQLISFDGSAQYLT >cds.KYUSt_chr1.36553 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223002362:223004074:1 gene:KYUSg_chr1.36553 transcript:KYUSt_chr1.36553 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMRWLKKVLTGGKKEGDRDRGKDQSAAVASAVVAPIERRRWSFAKARSSVADASRRPSVTAVVAGELYQVRPCGCGQDRHVEAAILIQKAFRGYLARKALRALKSLVKLQALVRGYLVRRQTARTLHRLQALMRLQASSHALKNPSSRRSIEQERKTSLPVTHRRRLSEGGDAGFDRSPRIVEMDTCQLRCRSSRIPGRYAAADPHSAPPVASPLHYFCKPPSRLQLRELEQRELPKTTHNTPRLSVGALPAVGGSPAKARPSCGGGRESNSPRYMADTASSVARTRCHSAPRQRHAAPGEPKPAALGRAVSRKTMPPQQYQQTQDSFSFQSSEATSRVEDYSEISDEVTRDYYLDQLW >cds.KYUSt_chr4.45833 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283556278:283560108:1 gene:KYUSg_chr4.45833 transcript:KYUSt_chr4.45833 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSASTGVMNSLLGKLTTLMGDEYRKLKGVRNKVVSLHEEFSSMNALLVKLAGMDELDVQAKVWRDQVREMSYDIEDCIDDFMHDLEVKGATTGFLKKTAERFKKLKVRHQIANKINGIEARVLQLHERRIRYKLDEYNPTTSIVHIDPRALAIFADAAGLVGINTPRDELIELLMDQGQELKVASIVGFGGLGKTTLANEVCREIKGKFTCHAFVSVSLKPDIPRLLRNLLLKLTREQLSPSSSLDDVITNIREYLLNERYFIIIDDLWDTLAWDIIKCAFPENNHGSRVLTTTRIYSVAAACCSKSRGCVFKMKYLNEHDSRRMFFSRIFGSENSCPTELVDVSMDILKKCGGLPLAIISISSLLADQPKTTFEYVRKSLGCMFDGNPTLDQMRQILELSFRNLPNHLKTCLLYLGMYPEDHVIWTFYLLRQWIAEVFVRPTPGLDAEDVAISYFNELINRSMIQPVDTDDGGEVLSCRVHDIMLDVIRSKIEEENFISVLSDPDAVLGMHRNIRRASFQCSGEECRLTSAMVNGSLSKVRSVYAFGGFSCQSVMLLKYIRVLHLDMGFARNNVLDLTGISKLFLLRCLNVVGDTRIELPSQIGELQQLETLDLAVPVSVSNLPSDIVSLPLLLHLSVYGHRGFPDGIGRLRLLRTLRMFGLERNSVENIKGLGEMTSLRYFTFQWSGNDLVEGARRMDVLRSSLQRISGSLRILQFHPGNLDSEGLDGWTTFSPPPIHLRDMMMSGCVFSMIPKWFGHLRDLQSLRFTVRAAGLKDDGVAILAGLPSLVFLQLGSEKPLEERVRIPGSGIAFRALKEFFLCCWAPLLTFEAGAMPVLKKLFLLLIPSRCESGGSVEGPLDGIEHLPAGLREIDIRIKGERDEDGEALKSSLKIAFEEHHPGAALDIRCR >cds.KYUSt_chr2.18345 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115604242:115605957:-1 gene:KYUSg_chr2.18345 transcript:KYUSt_chr2.18345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitin-inducible gibberellin-responsive protein [Source: Projected from Oryza sativa (Os07g0545800)] MDLHQLFKYRLTGANVLYQIPTENNLANSSWPTTPLKLEFSNSPYTPLSTQLECDNLSALSNTPDNQSSSETISAQPISPLEADSSYIKAGSHLRENIQVKPDQLYATSRHNMQQTLRDIETVLMAPDADDATTSTKHDFEETKPTQLMRQRSRTWSHESRQPLTGTVRPQFASGGYPAASYEIRPEKRQRELREDPQLIVKHLLTRCAEALSEDRTEEFQKLVQDARGLVSINGEPIQRLGAYLLEGLVARHGNSGTNIYRALKCREPESTELLSYMKILYNICPYFKFGYMAANGAIAEALRSEDKIHIIDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVSEYARGEGLDIVGNMLKSMSKEFKIPLEFTPLPVYATEVTKEMLEIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLTRFVETMDYYSAMFESIDANLPRDNKERISVEQHCLAKDIVNIIACEGKDRVERHELLGKWKLRLSMAGFKPYPLSSYVNSVIKKLLACYSDNYTLDEKDGAMLLGWKNRKLISASAWH >cds.KYUSt_chr1.37974 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231851015:231851764:1 gene:KYUSg_chr1.37974 transcript:KYUSt_chr1.37974 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVLFTALVVALLSAVEGNNTLQLQCQRELQESSLEACRQVVDHQLAVQMPIFLPRLSRLNTGLQMQCCQQLQDISHQCLAAAIRQIVRQYERQGVVPLAEQYYPGKEEEEEQQGGSYYPSGTYPQQGRGWERQHQQGQGCQAFPQQQQQQWPKQQQGVGSFQSSETFPQQQKQARQPAQQEEQDQYCGRQSHAGFGGFSSPPHICTEQQEARRRMHLKVVARARQVAVQLPAMCLLEGLTFSVRLY >cds.KYUSt_chr5.32590 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206716689:206717212:1 gene:KYUSg_chr5.32590 transcript:KYUSt_chr5.32590 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNEMEESRSGIIKIYDVSYDVLRAFVHYMYTAEVLLDVQMACDLLELAEKYEVKHLKTYCEKFITSKVNNDNAIVHYAFAHRHSAKQLLEASMSVLVESMPTLAERDEYKELVEKDPRIVVEIYETYMSRQVNTAAEKESDCSCKSKRY >cds.KYUSt_chr5.998 pep primary_assembly:MPB_Lper_Kyuss_1697:5:6908983:6909606:1 gene:KYUSg_chr5.998 transcript:KYUSt_chr5.998 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAKPAAAKPKNAAAGASHPPYFEMIKEAIAALKDRTGSSSVAIAKYIEEKHGKSLPSNFKKMLSVQLRGSAAKGKLVKVKASYKLSDAAKKDSPKAKPVAAKAAVKPAKVAAKPKKDAAKPKKKAAAAGTKRKAPEKKLIAKAKKSPAAKAKAKPKTVKSPAAKKPPQGRRRLI >cds.KYUSt_chr2.15897 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100002602:100002895:1 gene:KYUSg_chr2.15897 transcript:KYUSt_chr2.15897 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKDSKAAVAPLFITLKVVDQEQNRLRHTMRRTDKLQVLMDVWYRQARPDVQYGTGTFWLEEICVAGRRTPAELKMQDGDLIDFFEQQLGGGLAA >cds.KYUSt_chr4.47331 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292839882:292840346:1 gene:KYUSg_chr4.47331 transcript:KYUSt_chr4.47331 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRREGPCSAPGSTASRREEVDLHRTLQAPLSPGRAIEAVLAAAGRHLYKRASACRQLLERASVGRQLRERASAGRHLHQHRRPSGTALASLSGAPPPAATSTSAVACREGRKKKYITSALLFAATDPLPVSSQAPSLLHPETSKDVIKVCSL >cds.KYUSt_chr4.31052 pep primary_assembly:MPB_Lper_Kyuss_1697:4:194228065:194234144:1 gene:KYUSg_chr4.31052 transcript:KYUSt_chr4.31052 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQDIDKTAFSTHQGHYEYVVMPFGLTNAPATFQQLMNAILEKFLRKFVLVFFDDILIYSKSLTEHIGHLTAVFTCLRENQLFAKRKKCTFAQKQVFSEFGDENTSNASEKAEAKDDNLSKEGSEDALSVSNKIYSSADEQGARSSETEKDPEGARNQASGCDISEAMIKKAIDKRDSYFRENSETLTLVGVRRTLEEDLKLEKKALDAYKGFITKELDRVLVLQEPENGTMDVGKKEAQKDASQNTSKGSKRTRQDSDTSELNDSHSEREDSDRAKRPKKRGAEKGKSIKQQKKVTVEKKLSTPKIKKVAKQDLDKSTKNKGGNSTEDNPHSSAEEDDKKRQQPAPVYGKQVERLKSIIKSCGMSIPPSVYRRAKQAPESKREAYLIKELEDILEKEGLSSHPSEKEIKAVKKRKERAKDLEGIDTSNIITSSRRRSSFIPMPVPKVEADSDDDEDEDVADDEENVVGGDKGGDAEAADGSADDAGGDSD >cds.KYUSt_contig_6320.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001280.1:123243:124766:-1 gene:KYUSg_contig_6320.22 transcript:KYUSt_contig_6320.22 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTARRRSVRRKAATTLTEIPDHLLAEIFLRLPAAEDLARASAVCVSFRRLVKDKSFLRGFRRLHRRAATVLHSSTPMATSTARRRHGRKKTKTTLTEIPDHLLSEILLRLPAAGDLARVSAACVTFRRLVIDTDGSFLRRFRRLHDPLLLAFFDRDGFHHAVPPHPSALASAADFSFSFFPNHGDWAVQDIRDGRVLLLRPGEGQQRRVSMEVLHADEGQQRQVSMELAVCDPLHRRYVLLPPVPDDLVASVAHAATDYRPCYEAFLAPLEEEADTAFSVIWMVHFSTRLAALVFSSITGQWQVAASKEWRDLFLGQGKSTMVSPIDREYHERHYAYGCFYWESTTSQKKGLLVLDTRTMEFSLSDLPPREWCTLGLAIVEVGEGRLGLFGILDKTFAGKFELCYYIRGNNAESSSQWKLEKTISLGSGCQHHIKTASGRYLLVGKFRAMQFAGSTPWLPGLEYISVDVRTLQLEKVCSKSSGFAMSRTWIYTNFPPSLSSPTI >cds.KYUSt_chr5.16242 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104590345:104595833:1 gene:KYUSg_chr5.16242 transcript:KYUSt_chr5.16242 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKREIVAGEVEDLQKKTRAGEEAEDAAAMAPPKGMEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLQGLGVEIAKNLVLAGVKSVTLHDEGNVELWDLSSNFFLSENDVGQNRAQACVQKLQELNNAVLISALTGDLTKEQLSKFQAVVFTDISLEKAVEFDDYCHSHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSFVDDERVEFQDGDLVVFSEVHGMTELNDGKPRKVKNARPFSFQLEEDTSSFGAYVRGGIVTQIKLPKVIKFKPLKEAMVEPGEFLMSDFSKFERPPLLHLAFQALDKFRSELKRFPVAGSSDDVQKVIEYADSINETLDDKKLEGIDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVDPLEPSDLKPENTRYDAQISVFGSKLQKKLEEAKIFMVGSGALGCEFLKNLALMGISCSQNGRLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMEINPKLHVEALQNRASPETENVFNDAFWENLDAVVNALDNVTARLYIDSRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPTTYVSAARTAGDAQARDQLERVIECLDRDKCETFQDSITWARLKFEDYFSNRVKQLAFTFPEDSMTSSGAPFWSAPKRFPRPVEFSSSDQSQLSFILAASILRAETFGIPIPEWAKTPNKLAAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEDISKRLPSGFHMNPIQFEKDDDTNFHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATATAMATGLVCLELYKVLAGGHKVENYRNTFANLAIPLFSIAEPVPPKTIKHQELSWTVWDRWTVTGNITLRELLGWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDRKVADVARDVAKMEVPSYRRHLDVVVACEDDDDEDVDIPLVSVYFR >cds.KYUSt_chr5.14573 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94480006:94481472:1 gene:KYUSg_chr5.14573 transcript:KYUSt_chr5.14573 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDPDNPPSPFAPPPRPCSPAARYRDPDPAAAAPSVGVPSGDAPRAPPAAPRAADAGSLLLSPEEPAATTQLPPPVVRLPEMVGTPSATPSEPLVVERKWEEHGDSAADPGEAADDPGEAAADPSVAAAVSGSLQPLLAAQAEALGAELQAMFAARLEEVFQRLRDLVAVVHGWTNQVSRICDLTEALGGSLVLANSSPGGHEDTGPFGATVGEETYADIVAGCSSEMDAQTSVAPPGLDGVLPQMVIQDMEKQANVAGSSPLGDGMELAERPAPLGGNGVPPGPSSSTLLDGYLSSFCCSEPLSLLKAPILVQLDWGSACAGRRSGRLEKKNKDCSIPTAKRAEHRRAEHRLAESFGELVEVNA >cds.KYUSt_chr4.35633 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218833099:218836004:-1 gene:KYUSg_chr4.35633 transcript:KYUSt_chr4.35633 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEHLLGRPTAPASLGMVMASTGVAVMGSFAFGVAIGYSAPTEAGIRQDLQLTLSQSAASLDFGRFCTGFGVGVFSYVVPVFIAEIAPKALRGVLTALNQLLVCTGLSVTYIVGTMVSWRTLATAGLVPCIILIVGLFFIPESPRWLAKVGRQKEFEIALQRLRGKNADVFVEAEEIKEFIESIESLPKAGIQDLFSRSYIRPVIIGVGLMVFQQFVGINGILFYASETFVSAGFPSGNLGTILMGCIQAPITALGALLMDRSGRRPLLLISTSGLLVGSLMSGISFYLKVPIIALTGILVYIASFSLGMGSVPWVIMSEIFPINMKGIGGSFVTLVNWSSSLAVSFAFNFLMSWSSSGTFFFFAFVCAMAILFIVKIVPETKGKTLEEIQASINCRT >cds.KYUSt_chr3.9893 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58140333:58142721:-1 gene:KYUSg_chr3.9893 transcript:KYUSt_chr3.9893 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKHATTLIKSLCAGGALCQARAVFDEMRDRDVVAWTAMLSGYASNGRHGDALDLFRGMLAAGVGPNEFTLSSVLTACRGGGMCAAGGCDPSSLHAAAVRRGVDRMPYVVNALIDAYASRREGVADARTLFDALGSGRTDASWTSMIAGYARWRQEHVGLQLFQTMIQVGVELSPFTCSIALHACVSIANLRIGQQLHLLSLKKALSANLAVANALIHVYCNCAHLLDARRIFDEIPEKNLVTWNTLIAGYSQCNPLMALQLFRDMNLQQNCFTLTSITSSCAGLAALGLGKQVHGAVLRRNYGEDLQLSNALVDMYSKCGSIADAKRMFNRMGCKDKLSWTAMICGYGMNGYANEAIQLFSSMVHAGVCPDHVVFLALISACSHAGLVDEGWNFFRSMTTEYKMQPKKEVYGCVINLLARAGRLREAFDLINRMPFAPDESIWGALLGACKMHKNVDLGRLVARRIIEVNPDGAKTYILLANIYAAGNKWGEYAVTRRLLRGIGNRKEAGMSWIDVNDKMYSFNTADSSSCQVSLADEALQILARHMHGARADFSQDFSESHDQFVLGQSLVGAKHMHK >cds.KYUSt_chr1.38694 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236482732:236483010:1 gene:KYUSg_chr1.38694 transcript:KYUSt_chr1.38694 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEEEPPSPYKDDTAYYLGYLTYLVEKSREEGTEDEHSMMPKGVDDEEALRLAIEASRARPHQHQGRRVTSGRRLLRWLHHRKSLWRLLH >cds.KYUSt_chr4.7243 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42869471:42869845:1 gene:KYUSg_chr4.7243 transcript:KYUSt_chr4.7243 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIERSSEVLVLGSGRNPCAAAACAVNGDARGRRYLLGGVGMTPGLACRIEQRRCLSAAPFLKALPWLRVEYQMRLLEVDDVSPESCATQGVDVWSATSANASSSPTFLRQFLPKPCLSPVDL >cds.KYUSt_contig_534.194 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:1266088:1268015:1 gene:KYUSg_contig_534.194 transcript:KYUSt_contig_534.194 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPVVMEWAPQPGSGGFPGSGRPAINLGSVGPPIWKAGGQSSLLRRAQGDRGGGGSFEPLVWRRFVPIYAPYPAEAAVLSLQGEDSVSSNAQPAAAGVSVLGDVVDETGVGDVASGMGGVEVPLPADSAESTSHDNPLSPGWMKRRVPAERAERARYRTKRVGPE >cds.KYUSt_chr6.6332 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38387439:38389851:1 gene:KYUSg_chr6.6332 transcript:KYUSt_chr6.6332 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTTPSESYDGRYSSNGTPVPAAPPQATYNHAMNHSRPAAGLRRWSTSLFHCMDDPGNCLITCLCPCITFGQIADIVDRGSCSCAGSGAAYATICAFTGMGCLYSCVYRTKMRAHYDLDEGECPDFLVHWCCEWCALCQEYRELKNRGFDMGIGSWPRARAWQDGPGGGGSWGLGQHSYFDQLEEETRTSRMQFLGVAAGSEACTMGVVSPRASRKGVV >cds.KYUSt_chr2.13048 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82791750:82794801:1 gene:KYUSg_chr2.13048 transcript:KYUSt_chr2.13048 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKVFPAGSVQGRLGCRFNAPDDVRGEARENSISQARCIIDAIRRNWNFSSVMLLVSGGEHGTVLESGGQCTLYCGVIKKINVPVVSSKFLHLKFLSIQFIGGYFNRDYDYLFLVSYFDAAPSMEKFVLYVKRQCKYESFQGDPSSLRRMPDHCHKKLKSVKITGFCPQKSMVELTCHILKNVRSLKSLTLDPSPVNNRCSGNILDNGCPPLETAYIREAHRTILAIRTYIEGEVPPTVTLSVLEPCARCHAL >cds.KYUSt_chr3.9806 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57640206:57642646:-1 gene:KYUSg_chr3.9806 transcript:KYUSt_chr3.9806 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAANLLPETEQLAQGSEQRGLGRWAFLVAVSLLLTGSFAWGVYQARHSQRDHLRDRRLLPHRAALVLPREAEPRRPSGGGSGGYYLMSCIKDVPTLKGDYYIE >cds.KYUSt_chr4.49273 pep primary_assembly:MPB_Lper_Kyuss_1697:4:305138161:305139227:1 gene:KYUSg_chr4.49273 transcript:KYUSt_chr4.49273 gene_biotype:protein_coding transcript_biotype:protein_coding MAINQSSSSAASEDDRLAGALHRRFTRAVAASCPAGSRLYNGRRRLEAHLHVLAEFEAAQTAAYLREDSLGINDSGIFSFWNGVDDLREPQHKVAQPLALLPDDGVQLDECPRAFETPLERADEANA >cds.KYUSt_chr4.35456 pep primary_assembly:MPB_Lper_Kyuss_1697:4:217682544:217689147:-1 gene:KYUSg_chr4.35456 transcript:KYUSt_chr4.35456 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATGGARVEEAAAAAAALSGSSSAPSCMGTRPEELAARLAAGGPGVVAAGEGLEGEQERVLALREIKNQIIGNRTKKLLYLRLGAVPAVVAALAEPAASPAALVQAAAAAGSFACGVDDGARAVLAAGAVGHLTRLLAHADDKVVDACARALRMIYQSKQAPNFDVNNEKNMDFLLSLLDSNNENVTELAANIISHSCDSNTEQLALCGAGVPQKLVSLFGGSVNLRDACLDSMTAIVRNNWEVASRFASMDHGKAFRSIVGLIHDRTPRTRLLACLCLIAIGHASPCHFPDRQIKTKLILVLLELIEEPGHVGDEAPLALTTLIKDSVELQKQAFSTNAVAKLSNHLVANSLETRRAVTILLALAELCSKEEESRSQLMSGQVSTLILDALKHDCADIRIAACSCLKNISRSSKVLSAGRLSCDTIVAPLVQLLCDSSTSVQVAALGAICNIAVNLTPRKSVLLHSGVVSQLVNLSKSMDPTLRLKSVLALRNSMFLLNPKDKDFIMKELTISTLSSLICDSEHFVQEQTLALVHNLLDGYVDAVNYVIGEDGMVINALSRQLNNASTPGVCIQGMLVLTNMAAGDELSKEAVMDVIVPHRSDRIKPSFVVNFLQSKDKQLRVASLWCILNLAYPKSDASSTRVARLQNAGLRVSTVLDHC >cds.KYUSt_scaffold_6468.293 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1430435:1434362:-1 gene:KYUSg_scaffold_6468.293 transcript:KYUSt_scaffold_6468.293 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGAPPPPAADEEEARRRRGTDCVYYLASPLTCKKGSECEYRHSDAARANPRDCWYWFNGNCANPKCSFRHPPLDDMLGAPATPRISQQSAPQVPVLAQAPAIGAAKPGVPCYYFQKGMCAKGNLCAFSHVPQFAGSPALHQTAKGFAPAVQPHLQSKNSNSWIKPSNSAQQNTTPAMHEEVKVSARNGVPAQQQSRAYNSSEAYQNHRNSYVLSRSYQPQPSAEDETAETGEFVREPSAGSSVVAGSVEDDAERSFKEVHNSYRRTGVEQNTGMRRQTHVGYELEKSHKSSSDRLSSERRLSSQRESAPVTANSSDLRHRLLKQRRLNDPRSAQVPNRQDRRYPEDERHSDHRRRGEERATHDSLSRSRLHGRIKLPGETSLDRLGPYSEKERRPRDKLSPPKQTDLRAKIHDRLKARSAEDAPVNNVKSSVVKANSGEDAAGVVNFSGPKSLAELRAKKVAANSSVEHSTTKNADRTVAPVRMTSEIVTSKVSPDPVPFDGPKPLSAILKRKREAASADSGSIQEEQIACEEEQSVNNNSTILGNNIVGTNAENNEEEEAFNPEDDVMYDDSLSPADDNAAEAAADVVEEELEEGEQQEDLETAEEEYEYEAADDVNAEEDKDYQEYEDDDDDLEDDDDFARKVGVLIS >cds.KYUSt_chr7.14062 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86909029:86910246:1 gene:KYUSg_chr7.14062 transcript:KYUSt_chr7.14062 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKKRGRNPADDLTDDLVVEILSRLPAKSVCRFKCVSKHWCHGLIAHPDHRSRLPQTLSGFFRSSETRIDDGTKSSPIIDSDDDDDLYESDHDTEWTIAPNFVSILGKKKEKHAVVSDPALSFLTGYRSVIPKSCSDGLLLCLCWKVASTTESDYVVCNPATQQWVSVPGNGHRFRTDMRMFLAAAASGHFHIFALLHDEDWEWYTEDVDIYSSEAGAWSHHESGWAWDTKVSHRGVFHHGMLHLVTISSTIVAVNTEGTTWRTIPLLESMATTYRYSSNGPFIGVSQERFHYVSHRRRDEHTLSVWILGDGGDDENQWTYRYSISTTRIFGAKITDLEEYSLVGIHPECNTVFFGVKRNRKYVLLSYDMDRGKVRTLGNIRFGGWSYRPYLPYVPSFSRIVG >cds.KYUSt_chr4.15329 pep primary_assembly:MPB_Lper_Kyuss_1697:4:94715758:94717404:-1 gene:KYUSg_chr4.15329 transcript:KYUSt_chr4.15329 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARCGVPGRRSPAGVLGSLLAVFLLLRLKWLAAVRTDASSNKVEAAAFFFLCALPVSFPGCGGSGRTWVWWGEEDGEGVAILVRVRGTGWWPVFLSPLIHPPLSGRGGKGMEMATARRKAASSLLRQRGYWLFEARHLLSNLLAGRGGEGEAGDGEAEFRRRRWPYPSTWLHHADDVVLVVASGRFGGLATSLLHLGAQHMDGKIAAMICGQEGQPSRRPVGASSTSMREAISRVSCRRFTPPGCEVICSPQPVVGGRRRRLAVGGDSHGLHLTSCYFSMVFFANLQDCFRGVFILQLINMKRAARLAHYFVDMAQGFAYTISLSRV >cds.KYUSt_chr4.16314 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101363372:101363668:-1 gene:KYUSg_chr4.16314 transcript:KYUSt_chr4.16314 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIMDKIGDALHIGGGSDEHKKEEHKKEEHKKAEEHKKEGEHKEGMMEKIKDKITGEHGDKSSDDHKEKKDKKKKKEKKHGEGHKDDGHSSSSSDSD >cds.KYUSt_chr2.44943 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279972943:279976562:-1 gene:KYUSg_chr2.44943 transcript:KYUSt_chr2.44943 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKQRSPRYYPQSPLASATLAEHSSNRAPPSMQPPCLGACGGSLAVLGPSPHRHRPSTSRATVRCAARGGGRASSRGKENVWSVDNERAAEQKARSQKNHRGRGRGRGRGSPGGSSRPPPPAGRRKEEDADPRVLVSGAMLVQVETVLQTQEPVIKPSWETFASSLSGMWKGVGAVFSPTTAEMEPVGLGSKEEYLYDCYTLSRIEKVDDGNYGTEIRRKTNWVQLNPHGEAEKQSGGDDGWNHGGSSGKTTVDLPAHEHFDLKRSDVLDEDIITVEPGIVFFERTEPSELTGFWKVYEVSATPIFSEKVQELEGGALFVYLCMESMKKRTLPESSILFGEEEMLDMQDVTVLWLPGGVTAYVDVDSDGILCIGVSWYSEEGINLVMERDYGTDGRLREVRTKTEIKRRWNQLVPQ >cds.KYUSt_chr2.1611 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9377474:9377851:-1 gene:KYUSg_chr2.1611 transcript:KYUSt_chr2.1611 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAQGHVNPMLQFGRRLAYHGLRPTLVTSHYVLSTTPPPGDPFRVVAISDGFDAGGSASSPDTAEYLSLLEAVGSESLSELLVSEAREGRPVRVLVYDPHLAWAARVARAAGVPTAAFFSLLNL >cds.KYUSt_chr1.38634 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236100723:236101920:-1 gene:KYUSg_chr1.38634 transcript:KYUSt_chr1.38634 gene_biotype:protein_coding transcript_biotype:protein_coding MRGADFEKWRAEWERSRAAKAAWDARIESTSGGGSGEAPRAGEEEAEEDDPLFLQAVAASERDAAEAARAEVEEEDSPAQAQAREERTDHIQFATTQRERKEDGDDGCEQAMDAAAHAGPRRVGGRGVPGGGRRVRRAVRGQVGVRIRRRGAEKGAGGYGRPALPSRRLLRWRTRRTRRIVPALPRVRSRRFLGRVLETVEGKWVSPKSTLDAALWESDSDSEEADDELSMSHNTADRIKALACPAWVLPDWDSEGGWIDVLSAASTETEETVAVLAEETTDLADAITSKEPNSAEPGVGRFAQK >cds.KYUSt_chr5.30013 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190296605:190297099:1 gene:KYUSg_chr5.30013 transcript:KYUSt_chr5.30013 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLSRRPCGLELVDEQMATECMKLWLRAENPEWIILCAMCLIFVCSVRRPNDDHKSSSAELLRLRAKMAERLRCIFSKDDKKAPSALSLSPAVLKGIGLRQSWRPWGLVLVDNDDEHMSTSLRLLSRALNPEWILCCVVGLIFIRPLRDRRNDDHESGGHTR >cds.KYUSt_chr6.27396 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173979952:173981079:-1 gene:KYUSg_chr6.27396 transcript:KYUSt_chr6.27396 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCVLTHLLSSHPAASPLHRLHSAAASPSSAFAVEQYLVDTCGLTRAQALKASTKLSHLKSPTNPDAVLAYLAGLGLSSADIAALVDKDPEFLCAGVERNLAPTVVQLTGLGLSHSQIACLVSLAPAKIRRRSVVSRTHYYLSFFGSSESFLQAIKRCPRLLSADLENPVKPNVAFLQGCGLGACDISNTCLARPSMLLTNPERLKAMVANAESLGVPRGSGMFRLLLRGGGLPEQRRMENLKNTFRWSDAELITAVSKNPMVLKRSKETLESSSKLLISEIGLEPAYIARRPAMLNYSLEGRVRPRYHVLKFLKGNGLLDRDRDYYSAFKVTEKVFVDKYICPHNEVAPHLVEDYAAACRGQVPTNFRFA >cds.KYUSt_chr2.13329 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84528391:84529185:1 gene:KYUSg_chr2.13329 transcript:KYUSt_chr2.13329 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGTTVAAAATMLAALAAIFLSFVLCFYIFLCAKRSRGAAPPPPGSGFLAHLRYFFCGGNGLAASGDSTNAAVWYYDGGLDEASMASLPSRAVAKGEAMHCAVCITELAAGEAARVLPRCGHGFHVACVDMWLKSHSTCPLCRRPAVDAPPMPPPPVQAPEADQEAPNFPTNVLFFGSQDDVSTGNAQQQTLPALTMPPPPSPSAQEPAASGPRGIRRLLGCGGTSPPRHQEDNAVRDIEMGLTAGGDNSSSRQPKTPAASC >cds.KYUSt_chr4.14943 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92151165:92152289:-1 gene:KYUSg_chr4.14943 transcript:KYUSt_chr4.14943 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVNKSLERNGPGSVKLVPQIDDDMWDAYNLIAAGDTVEAITVRKIGGRDTERVKMTLEIRVQSVEYDKEASRLRVRGKNMTKNEYLQVGQYHTLELEPKRPFVLRKELWDWPALERIEQSCDETAAGADLAVLLMQEGLAHLFLVGRSVTATRARVEVAIPRKHGCAMAAYDTALRDFFDRVLDAFLVHVDFGLVQCVVIASPGFTNDQFRRYMFHQTGLRGGMRDITEIKERIVLASAASGYPHSLNAVLAEKSVMAQIKDTRAAQEVPALQEFFAMMIKDSDRACYGPKHVEVAHERLAIKTLLLTDTWFRSPDVAARRKCVDLAESVKKLGGTVRVFSSLSVSGNQLDQVTGIAAILRFPLPDLDDIEM >cds.KYUSt_chr5.8756 pep primary_assembly:MPB_Lper_Kyuss_1697:5:55443925:55446355:-1 gene:KYUSg_chr5.8756 transcript:KYUSt_chr5.8756 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYHEDDMGMGYEDEPPEPEIEDGVEEDLENNEDGPDDVVGGEGGEKEQERTQGPRHTTKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG >cds.KYUSt_chr3.32437 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203776219:203777685:1 gene:KYUSg_chr3.32437 transcript:KYUSt_chr3.32437 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNLPEAGHGPGQFQIEATAPAWQRSHRGDAAAQLLPMSLPQHLAVGELLTALHGTGELLTALRGATCPSSAIRLYSLLRIRLRASDPPHCAGRAAVFALKPLSAAASLPLLSHFHGHLLRSNLLAHPHVASSLLRSYSLLSPAVARHLFDEIPPATCNTFVVNVMLSSLCRSSDLASARLFFDGIPDKDIVSWSTMLACYFSRGRVADGLAFFRAMTLTADLAADSAMVVAILTGCASAGLLPLFCRSIHGYIVRRRVPASMHLGTSLIDCYAKANRIDYASRVFARVPSRCVMHWTAMICGMTMHLQSEEAIRLFEEMCRRGVRPNAMTFTAVLRACGHAGLVEQGREFFKLMVEKYDIEPSIHHYGCMVDIFAKAGQLEGAYDVIKTMRLEPNLIIWTSLLVACKRFKNFDIAVEGIEKVLEMEISDENLGLYMLMSDLYAMGGRWDDVVKVRRLMEEHIVRKSRGLSPIKAGELRALTVPATT >cds.KYUSt_chr4.37859 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233470713:233472041:-1 gene:KYUSg_chr4.37859 transcript:KYUSt_chr4.37859 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQHDGKSAGNRRSRQYYYGFPAGTTIPLVFVLLVAGSLFLILGPSNSVLTLPTLRVVFNNPIHITAAATPPPPAPPPAASNASEEDIEDIGLPPPRQLSDPPYSLGRAIPDYDARRSAWLAAHPEFPARVHPAGRPRVLLVTGSAPYRCPDPDGDHLLLRAFKNKADYSRVHGLEVFYNTAFLDHEMSGFWAKLPLLRSLMMAHPEVEFIWWMDSDAVFTDMAFELPWERYAAHNLVVHGWEAKMYEEKSWVGINTGSFLIRNCQWSLDLLHAIAPMGARGPVRDMHGELFAKELSGRPPFEADDQSALIYLLVTQREKWGSKVFFENSYELNGFWELIVDRYEEMRREGRWPLVTHFVGCKPCRRYADSYPADRCRLGMERAFNFADDQILKLYGFGHESLNGTAVQRVGNDTGGPLDAEDQELGRLLHPTFRAVAKTA >cds.KYUSt_chr2.43354 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269807720:269809740:-1 gene:KYUSg_chr2.43354 transcript:KYUSt_chr2.43354 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKKVAAMEEVKGKETTAEAVAADVSLKQLSKKLDDFAKERDWEKHHSPRNLLLAMVGEVGELSELFMWKGEVQKGCPGWEESEKEHLGEELSDVLLYLIRLSDMCGVDLGDAALKKIVKNAVKYPAPSKGA >cds.KYUSt_chr7.5374 pep primary_assembly:MPB_Lper_Kyuss_1697:7:32073813:32077569:1 gene:KYUSg_chr7.5374 transcript:KYUSt_chr7.5374 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKERERRFERRSEGKRMRKKKLLYRKGLIHRTVRWKGNGFDALHVFARAHKSFYFESAPVTIKSLNAVILYEVTKRYAVTERLDGEFEDFDQVHLGESDLEFGNDEDEINDNEVIKMKPPPSGLWPPPHRPRFDLLLLGSPNGTPSVVFTVVILLGIAWFDLERVVAAVLAYRRTCRCDQGLAVAAKVRI >cds.KYUSt_contig_2593.111 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000403.1:496537:497662:1 gene:KYUSg_contig_2593.111 transcript:KYUSt_contig_2593.111 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAMKVGPWGPQGGQPQDINKASKPQSLVSITICSSESEDGRIFGFSFVYKDQNRKPLPVGPWGKIDPEHNISKINMDPDEYLVQVFGTTDGTGITSLKLVTNKQPYGPYGYPAGATFVVTLQPNNGEIGPWGGSGQPVDITTPVKLKQVSVYSTQKIGERIKGFSFVYVDQHVKRTSAGPWGTVKGHENLPFSMSPGEYVNNFSGTFDDYGVTSLKFTTNQQNVHGPYGYPSGTAFSVPLPNDRDDNGAMVGFFGHSGESLMALGIYVGLVSNEP >cds.KYUSt_chr4.4814 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27541718:27544650:-1 gene:KYUSg_chr4.4814 transcript:KYUSt_chr4.4814 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIWVQSGRTGPGRCFAFYGLLRAQPWLWVRATMGSAAGFLAGANAVSGVAVNEECVKAFQELRAERAHRFVVYKLDDDLQQVVVDKVGGVESKFDDLTAALPADDCRYAVYDLEFIADDSVGDTPRSKIFFISWSPETADIKSKMVYASSNEGFKQELEGVQYDVQATDPSELTLEILKDHAT >cds.KYUSt_chr5.18248 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117856035:117856352:1 gene:KYUSg_chr5.18248 transcript:KYUSt_chr5.18248 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTKSCFTFLREALILPTRNPKLFTPVLLLLAGTAFLGSAVQVVFVQPLTYDMTIHFLEMGNMDPSSPEYAKILEEIKQDAVKLVLIVIAQLIVTLALAFHHA >cds.KYUSt_chr7.33151 pep primary_assembly:MPB_Lper_Kyuss_1697:7:206986161:206988337:1 gene:KYUSg_chr7.33151 transcript:KYUSt_chr7.33151 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIFGSEIVMGSALSKLDLLASLDNGPARKQPALPVVALQFIVAMVVMDTYQYFVHRYMHTNKFLYKHIHSQHHTIVVPYAFGALYSNPLEGFFMDTASATLGFLVSGMTPRTAIFFFSLANLKTVDDHCSMWLPLHLVLANNTAFHGIHHQLYGQKYNFSQPFFVHWDKLFGTYMSYTLEERKGGGLDARPVKHRVAAHGKSD >cds.KYUSt_chr6.26851 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170146499:170151095:1 gene:KYUSg_chr6.26851 transcript:KYUSt_chr6.26851 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSLHIWLFFVIFSSWPSCFATVLPTPSPPCFATVLPTPSPPRIHGFLEVVRKRLQRSSSAVRSSDGQHSQRCCRATTLIAKNKSTCRCPCAARTYYRQLQSAYQIGSDQMIFQMDVEDLSAAPADLGQSDGLLGKGYLQLT >cds.KYUSt_chr6.17335 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109134447:109135328:1 gene:KYUSg_chr6.17335 transcript:KYUSt_chr6.17335 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPPRFRPSPAISASAACRRGSASVSSSGSPAPGRMPAYARATARNPSSRFGLSRRRRRALGCTPLSPAPPLRSPELLLYYIDTDDHLLVPRVTASTSPFGGEASAASSVAADGASAGFSTHTFPGIRRRDQEEETRWVESSWSGPDALMVISGVSPPAGLRIEATRLEEMGDGAHERRWGQAAVEVRKDGRWGKEVMQVGHGWRAETVEKNGEVTIFSQSFSYPIMAILYVLTLRL >cds.KYUSt_chr6.16111 pep primary_assembly:MPB_Lper_Kyuss_1697:6:101481741:101482076:1 gene:KYUSg_chr6.16111 transcript:KYUSt_chr6.16111 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPPDWMTGDPNERLLRKTEKQLLKVSEELDQVTAQAVANAQLFPLPLPGTNAAEQADTIRSILEHDLDGIHLNQRIRRIRKWLQPGEIDNSESLFWVQIIDQLNRLMP >cds.KYUSt_chr1.19866 pep primary_assembly:MPB_Lper_Kyuss_1697:1:117000223:117011046:-1 gene:KYUSg_chr1.19866 transcript:KYUSt_chr1.19866 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSAEGFLPAASCLPCTAKEERAAVEALTRDAEANVKDGDLRYLVSQSWWTNWQSYVGLLSYGENDTDIPLQPTSRPGEIDNRKLVSEENSSGSEEQELQRTLREGEDYTLVPPEVWRKLYDWYKGGPAISRKVICEDPTSRRYIVDVYPLHLTLIDERDSSVRTIKLSRKAKVHELYRLVCSILAVEQSKIRLWDYYQKTKNKELTNQSETLEEAQLTMDQEILLEISIDYTWSSDLAPRCTNNEFALVPLEPSTSSFSIAGGPNISNGYSSRIGSSLPQDNHFNPLLRDTEDGYSGFSNGTKDEIHGLTGLHNLGNTCFMNSAIQSLVHTPPLVEYFLKDYTQEINTDNPLGLQGELAVAFAELLRKLWSAGRTSVPPRAFKSKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKKKPYIEAKDADDRPDEEFAEECWNDHKARNDSIIVDKFQGQYKSTLVCPECNKISVTFDPFMYLSLPLPSTVTRMMTVTVFSGTGEFLPMPYTVTVQKNGACRDLFKALSDACCLNDSETLLLAEVYDYRIYRYFSPSELLHSIKDGDQLVAYKLPVGHEKLLRVEILHRKVDRFTSEPQFSISRKLIGSPLVTCIPVDSTRKVDVYAAVSAVLAPFVRAKVHPPGDSMLNGSGPSLDGIVLTDNGASCEKGLSTSNVDEAITDAELLPFNLYLSDDKGHVRNPIDEDSNHVLGATMRLLMDWSVREHEIYNLKYMEDLPDVFKPGFMSKKTRQEAVNLFSCLDAFLKDEPLGPDDMWYCPSCKEHRQASKKLDLWRLPEILVVHLKRFSYSRYMKNKLDTFVNFPIHHLDMSKYAKHTSRGDQPPIYELYSVINHYGGLGGGHYSAYAK >cds.KYUSt_contig_2528.58 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000367.1:482068:485997:-1 gene:KYUSg_contig_2528.58 transcript:KYUSt_contig_2528.58 gene_biotype:protein_coding transcript_biotype:protein_coding MESQIPNYPNLPPQLICQLHNATMHADAETDEVYAQMTLQPLSPQELKDPFLPAELGCASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPVQELVAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFISAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTTFYNPRASPTEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWQNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTYPMYSSPFPMRLKRPWPTGLPSLYGTNDDFGANPGFQSFNFGGLGASPWMQPRVDTSLLGLQPDMYQAISAAGFQNMTKQVSPTLLQFQQPQNIAGRSALLSSQILQQVQPQYQQMHHQHMNDSAIQGHNQSEYLQQQLQRCQSFNGQNPLPPQQQQQQESHQQHQSSQCVQTPQHQQMQEQKHSSDFHSGPNALSVFSQFSCNTQSPPSTLQPVSEFSPQQNFQDTNISSISPSDISSMQDTLRPFPSEAGSNLQGVPRATPSPVSDPWSSKRIAVESVIPSRSHANSSHLEQFDSAPSSIPQSSSLAPLPGRECLVDQDGSSDHQNHLLFGVNIDSQSLLMEGGIPSMQDDNGCNASLQDENGSSTIPYSTCNFLSPSQSDFPLNEALTSSGCLDESEYVPFSENSDNVNRPPATFVKVYKSGAFGRLLDITRFSSYHELRSEVGCLFGLESQLEDPVRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKQGIELLSSAPARRLGNSCDNYGSRPESRKLGTVEF >cds.KYUSt_chr2.30722 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189215734:189216189:-1 gene:KYUSg_chr2.30722 transcript:KYUSt_chr2.30722 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHKSEPEMYTDTFIAALVAVSLARTSTTHASILKLYHVLVNAHVINRDPATWGPAPDEFRPERFEHGAVDGKLMISFGMGRRKCPGESLAMRTMGLVLGTLIQCFDWKRVGDEDVDMAASSGTVMLKTHPLEALCTPRPGLHALLHHI >cds.KYUSt_chr6.25170 pep primary_assembly:MPB_Lper_Kyuss_1697:6:159549129:159552322:-1 gene:KYUSg_chr6.25170 transcript:KYUSt_chr6.25170 gene_biotype:protein_coding transcript_biotype:protein_coding MATTACSPPLSSTAAISACQSAAPSSKRFLPRPCRPPRPRPRLRAGSLKEWREFEDAVESKDLSRALRFLQSVEPAYYPEAPASAGTQVALPVPPGRDWEVLDACIDADDMRLVGRAYQFLSDRGVLANFGKCKNIVLDGPREVTPTILKEMTGLEAAKLAPKKWGLSGNSPYVLATFFGGVSFLLTQGVDVRPNLAAILGLATADALFLGGTCAAQISCFWPPYKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDAKMEKELGEGHLSSTAFDRYCMILFAGIAAEALVYGEAEGGENDENLFRSLCILLNPPLSVAQMANRARWSVMQSYNLLKWHKKAHRAAVKALEGGHSLSVVIRRIEEAISSDR >cds.KYUSt_chr1.40827 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250432927:250433409:1 gene:KYUSg_chr1.40827 transcript:KYUSt_chr1.40827 gene_biotype:protein_coding transcript_biotype:protein_coding MLYISPYADFSHLWSGSAEKEKHACTVVHEIPSMTLEKRGWWTTCLVSGRSSCSRNLWGMLIRHLDMFYVSLKGDRDLVFLREAYKNSQLMEKSHLVLLKEKMRALVTVPRFPRRGGPLVRRLRNGGAQRFSDGSDTEDEDDDFSGMDDLIGKLGGKSEN >cds.KYUSt_chr4.6824 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40320193:40333314:-1 gene:KYUSg_chr4.6824 transcript:KYUSt_chr4.6824 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPCEDKPSPAMHKAELACPAPPADAAPAAGGGGSVGGGGGAGVVMVKRAALVACLTCPLCRRLLRDPATITECLHTFCRKCISEEFIDKEICYCPTCNIDLGCAPEEKLRADHSLLYVRSKIFPFKRRKVEVEDQEVTSPIVSPVKRKERSLSSLAVHGPRVSIQKCLTKRRTKAPCLRSLSSGSKDATKKVGGWKPLASHIRVGKDRKTLKSGSEDDNKTRIKSGDPDDGAPSSKAKAREHLARYGNLAKKAGSKKVFALKGKKKKFKAKQPRQKKRLRTLWFYLVAAFEQKRQPPLPQLPTKFLRVKDVDLPASFIQKYLMQKHNLSSEAEVELMCNGKTVDPAITLHDLADSWLDKGPKGGSIPVLDLPPAFSVVCGLHPGRQGGSISAVDLPPAYGVVRGLRRQGTKRRRAKPRKREVDDMSETELDSLTEERHSTGENGQAIVRKRPKRAAACSNFREKEFDLSEEDSPVKVKETRTEEETEAVRLTQTGSLTIRDEQCKEVTASEDEDAKLARLVQEAEEWKMAKKQRSKSGTSQKNVYIKISEAEIANDYPLPAYYKPYTTETDEHVIFDNEVGMSVNDLPVKILNNWALYNSDSRLVSLELVPMKPSAEDDIVIFGSGSVREDDDSFRSTAEAEQLSSSSSSKSDQKDDGIPIYLSPIKEWLVEFGSSVICITIRTSVAWYKLHQPTEQYAPWCDTVLKTARLALSIMKLLEDQTRASKLSFNAVIKKVAEFDRGDPAYISADATLVERYVVVHGQIIMQQFATYPGKFIQGCAFIKGLATMMKEKRHTKLSVKEKPKAVRGDNLNPSAKMGPVEKRKLMRATTTRLISKIWGGYYATHFPEDAKEGDVNGEPNETEEQEETEDDEAEGEDEVEEKRISRTSPSECSKKPSSSTRREIECEGQTAGKTESGEALYKFVRVRDLEIGVGGAVTVEDDSGEAIMCFVEYIYQKLDGTQRIHGRILQKGSQTVLGDAANEMEVFLTNDCFEFEVGDIKESVTVNLQNIPWGHEYREQQERDNAEERKRKGLPTEYFCKSLYCPEKGAFFSLHHNKLGTGTGTCSSCEEREAVREEFKILSDTTFVLRNVTYTIQDFIYIRPEFFSPVEGQGANKAGRNVGLKPYVVCQLLSINAPAAKKADPESTRISVRRLYRPEDISSDKAYCSDIRE >cds.KYUSt_chr1.28285 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170924424:170925407:-1 gene:KYUSg_chr1.28285 transcript:KYUSt_chr1.28285 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTTACTFELRYRVGRVLPQITTHPHPPRVKGVPKLQDLEETGPDLLLQPSPAKSPPWRSFPTLFYAMSSGPSNPRGGAKVKPPTRRHCEEEEHEEIVPTRTTKRQRDAAAASKRTASGPRKSMTDLKKAQFLQVRGANPYLFPRNARQCPNPFFYHVDQEMIYNEVYGAKEFNCCPQYSINMEKLQSKPEYFEEALEICK >cds.KYUSt_contig_60.348 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2063843:2064279:1 gene:KYUSg_contig_60.348 transcript:KYUSt_contig_60.348 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPAPALMLVYDVRCSLLEEGRLLQPPVRSDAPRLVPKPKTAKPSNPPWVRAIRASGNMACLIYLVFIFAVMVPRSKDNHHLLLNCVVSVVLSLGFPAMAYTLTMDVDPVDQP >cds.KYUSt_chr3.38987 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245693024:245698016:-1 gene:KYUSg_chr3.38987 transcript:KYUSt_chr3.38987 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVECSVCHAKVAVPPAVSKAYHSHRTTVSSRQRALNVLLVSGDCVLAGLQPILVYMCKVDGKFKFSPVSVNFLTEITKVIFAIIMLFFQARRLKVGEKPLLTVSTFVQAARNNVLLAVPAFLYAINNYLKFTMQLYFNPATVKMLGNLKVLIIAVLLKVLLRRRFSTIQWEALALLLIGISVNQLKSLPEGSTALGLPVAAGAYMYTLFFITVPALASVYNEKALKSQFDTSIYLQHLFLYGYGAIFNFLGLVITAIIQGPSSFHILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLGISIVIISMHQYLSNQIKDQVPSSKIEMSDVEDHKLKESVYVKVDPTASEAKHRHGSDERQPLLPV >cds.KYUSt_chr7.21576 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133790604:133794873:-1 gene:KYUSg_chr7.21576 transcript:KYUSt_chr7.21576 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPHAVVVPYPGSGNINPALQLAKLLHHHGVYITFVNTEHNHRRVQATEGASAVRGREGFRFEAIPDGLVEADRDAGDYDIGLAAATSHRCAEPLRELVRRLNGMADVPPVTCMLPTALMSFALDVARELGLPSMVLWGGSATSLMGHMRLRELQERGYLPLKAESCLTNGHLERTVIDWIPGMPPISLGDISSFVRTTESDEFGLRFNIVEANGCTKAGALILNTFDDLEADVLEALRTEYPCIYTIGPLGSLLSHHLTDNDVIAGGLSLWKQDTECLAWLDMQGPSSVVYANFGSLTVLTTDQLAEFAWGLAASGHPFLWSIRDNLVPGAGAGLSSLPPEFIAATAGRCCLTTWCPQEQVLRHPAVGCFVTHNGWNSTCESVAAGVPMVCWPVFADQYTICKYACEEWGVGLRLDDDVRREQVAGHVKQAMKSEEMRRSAAGWKAKAKDATAPGGGGSSYENLQNMVTALGSVSS >cds.KYUSt_chr3.7716 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44349220:44356690:-1 gene:KYUSg_chr3.7716 transcript:KYUSt_chr3.7716 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRASPLSLLSRLNPLSRRRPPAASHLRRLLLLSGSASPSSSLSPPTLRTLATDAATTAEEAAPPAAEAARLERMQPLQWPQRDALCGELGAADAGRRVRLCGWVALRRNHAGLTFLTLRDSSGMVQVTTLPEYPEVYAVVNKLRVESVVAVEGVVRPRPADAINSDMKTGAIEVAADSILVLNSVTRSLPFPITTADTVKDKFPEEVRLRFRVLDLRRPQMQSNLRLRHKVIKHVRRYLEDRHDFVEIETPILSKSTPEGARDYLVPSRVQPGTCFALPQSPQLFKQMLMASGFEKYFQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLKLNEELMIHIFQEVGDIKLPKCFPRLTYTEAMNRYGTDRPDLRFDWELKDAGDVFLNSTFKVFADTIENGGVVKALCVPGGAKVFSNTDLKKGTVYTEASKAGAKGLPFLKVMDNGELEGVGPLVSSLKPEKKEQLLELLGAKAGDLILFALGEQSTANQILGRLRLFVAQKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMDDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQV >cds.KYUSt_chr7.13448 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82996124:82996897:1 gene:KYUSg_chr7.13448 transcript:KYUSt_chr7.13448 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNGFFILQVLAAVVCLAAPAVGADWLQATATFYGGSDGSGTIVGACGYGNLHDQGYGTNNAALSTVLFNDGASCGQCYTIICDQRKSGMCRPGKTVTVTATNFCPPNYNLSSNNGGRGLCNPPRAHFDLSQPAWLNISTYQAGIVPIVYQRVNCRRSGGLRFTITGFKDFEVVLVTNMAGSGSIKSMSAKGTNTGWIQMSRNRGAIWHGMSGLEKQALSFSITSTGGQNIVFQKVIPADWQYGQTFSTRQQFDY >cds.KYUSt_chr2.43970 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273679025:273684715:1 gene:KYUSg_chr2.43970 transcript:KYUSt_chr2.43970 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEDEEEVFYESRDRVLSSSGSSTSASDDDGDDRPHRRRHPAAAPALDVWTSEPAPVQERRRRLLHMMGLHGDPSSLARLEMGRSASYDGPVRPPPAALSPMSRSRSDGAAAKPPLGSRSRRASSASSEAADPRCLIRNLDDGTEFILDDGLELREVGTGRQLTMEQFDRCVGRSPIVQELMRRQSIETSPSTPIQRSSSDSSTGTARRRRRSSWLRSIRDVVSSPRARDDKDGRRSSSATDDSANGPEPVKVRQSGKAYKELSGLFINQEIPAHDGSIWTIEFTNDGRYLASAGEDCVIHVWEVLQYERRREENGACNPVVAVMCNGSPELTLALATTDGSHWDKKYRARVSQGRKSVSSERLMVPEHVFGLSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSSISCLKTFSHCDYVTCIQFNPVDDRYFISGSLDEKVRIWSVPKREIVDWHDLHEMVTAVCYTPDGQCALVGSHKGSCHIYDTSDSKLLQKKQIDLQTKKKKSSQKKITGFQFLPGSSSKVLITSADSRIRVVDGFELVHKFKGFRNTNSQISACLAAKGRYVISASEDSHVYMWRNDDSSEHRRSKGTVSVTNSYEHFYCQDVTVAVVLPSTAGSTVTSRTNSRKHEDLDCVPEYPDRPRDSSDFQQQQSVNDLSTGSNHSGDRASATWPEELMTPTKQSPRSSSTSIPNGAGQAPSRSAWGMGIVTAGRGGQIRILQNFGFPVRV >cds.KYUSt_contig_817-2.70 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000077.1:494372:494737:1 gene:KYUSg_contig_817-2.70 transcript:KYUSt_contig_817-2.70 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLHLPINGLRSGHPCLGTSNDLAPGAGLPRRLPVPARHQDIRRLHDPLSAAVLVPHHRRKFSPPAWLITVVPRLPATDRLSLLPGLMALRARTVLVDVSAWLTAVRLRIASGPPCFSHD >cds.KYUSt_chr2.2764 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16495019:16495681:-1 gene:KYUSg_chr2.2764 transcript:KYUSt_chr2.2764 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFVKSPTGRTICLRVKPSDTLYTVKAKIQEQHRLFFDGVQLDDNLTLADYGIQHQSMLDLQENMQIYIMETQTGKMITLEVDSLDTIDKVKSKVQDKEGFLKGQQCLIFANKQLEDDRTLAELNIWKESTLLLVLHPVSPGKMPIYVQCEDGKIISLEVEGSDTIDSVKMKIYQVDGTRPIQQRLLYASKLLDGRRTLEDYNIQRESRLDMLLCLCGC >cds.KYUSt_chr1.33913 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206148952:206150502:-1 gene:KYUSg_chr1.33913 transcript:KYUSt_chr1.33913 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCLMWPRGFLSRLLLRATAGATDGQACARIHALLVKSGHASDTRLATALADAYAKSGLVAPARMVFDETPRRDLVLWSVMISCYSSHGMLQHSWALFAAMRRSAGLSGDGFTFSALLSGRALSSSCSHHHPGILAHGLVLRLGLHLDLVVATALLDMYAKCGRVAEARRVFDAMLLRNSVSWNAMIVCYGQHGGGKEALQLFVSMLRNDDGCCCRADELTLASLLSSCANMAAAYEATQLHAYALKRGLQGYLQVANALIMAYGKNGFLLQSTQTFATIRSPDIVSWSSMVSSFAYLGCAKSAIRVFETMLCQGVRPDGIAFLGVLSACSHAGLIQDGLKYFLAMTKDYRIDPCPQHLACLVDLLGRAGRVQDAYNVLLNMSCQTNTDVIGAFLAACKTRGNIQLAKWAADRLLGLEPNESANYLLISNAYAAAGAWSELAKVRSHMRNMCGSKVPGCSWIEVGGKVQTFVSNDISLQQSTEMLHMMDIIVTFVKKEFNEDTISKESDLVSEWC >cds.KYUSt_chr2.55153 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343906939:343908776:1 gene:KYUSg_chr2.55153 transcript:KYUSt_chr2.55153 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPGAAAASRSRCYSLACAPRPPAPRRRGPAPPHAAAEAAIEVRVCTNRTCARQGGREVLAALGALAPPPPRVDVASCGCLGRCGAGPNVAASVPGRGTAVFAHVGTPARAARLLEHLLGAAEFDADAGLAALAVREKAEAALAEGNAAEAEALFTESIGLNAPGGLHMVYGSRCKARLAIGDTTGALADAEEAIRIAPKFPQSHLSRGDALFATGEYYSAEDAYADALVLDPSIRRSKSFKARLEKLREKLVSVNSSS >cds.KYUSt_chr2.2560 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15449606:15450334:-1 gene:KYUSg_chr2.2560 transcript:KYUSt_chr2.2560 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTTLCRSDMMLPDSDSAKGKMIKLEPWRSGLVLPESGSVEPMPVKMCCSDMTLLESESVDMMLDDLWCSDSILLESEEIMIPANMCCVRRMLPPERGSSLILPERGIDPYAEEERTKWGSEKPEKVSTIPSMLKSLELDEGEKVKAKNPMPKAAAEGGKRRKKVMVTVSEEHIEYMKEHPPPRPYKPRDTIFCSLVREGLDMLASMQEAECKEHERILKDHRLNGYAEKEYEVTDEEEV >cds.KYUSt_chr2.29973 pep primary_assembly:MPB_Lper_Kyuss_1697:2:184469880:184471836:-1 gene:KYUSg_chr2.29973 transcript:KYUSt_chr2.29973 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQKEVRVVNRWVWRTYLELWSSSVQLESIFPFAFTKLDDVVLLHNTKDQVHGWVRRTYLEYSVVDGWSMGQLEDGACAWSTGATGGRCLRLGAPVPP >cds.KYUSt_chr7.4647 pep primary_assembly:MPB_Lper_Kyuss_1697:7:28067482:28069850:-1 gene:KYUSg_chr7.4647 transcript:KYUSt_chr7.4647 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGCLASSSRSPLRAPRIRLIYAPGSRDSTESPTRNTICLYYIAVYVKDPPIPNLSSWIRLPSWAFTDLTPGIDLFGSGYLCRSVRIQAMFTEIAEPRRERDRLEASPRRQTGEDLQSGATREELDNLDQQLELTLGRVREMKVHILKDQNIFLLHKVTEDGQQHGVAVEAADGSTTPTLLFGGFFPEVEEQLSTSLQLWPQQQLPDAQGFGLQPNLRLWL >cds.KYUSt_chr5.6156 pep primary_assembly:MPB_Lper_Kyuss_1697:5:37986752:37987276:-1 gene:KYUSg_chr5.6156 transcript:KYUSt_chr5.6156 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPSASPSPAKPAPTLRGSQLKQLRALFTRFDMDSDGSLTQLELAALLRSLGLRPTGEDSRALLLAIDADGSGTVEFDELALAIAPVLTAHAPRLVDQAQLLEVFQAFDRDGNGYISAAELARSMAKLGQPLTFDELRRMMADADVDGDGVISFREFAGVMARSALDFLGVPC >cds.KYUSt_chr1.25247 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151462757:151466047:-1 gene:KYUSg_chr1.25247 transcript:KYUSt_chr1.25247 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPDAGKSRARRDRLLKIQSDVQKCWEESKVFQAEPGSKLPDPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLMPFAFHCTGMPIKASADKLAREIQQYGNPPVFPTAEDASTAEVVADDSVAEEAAAVAPGKYKSKKSKAAAKTGMQKFQWEIMRGFGLSDEEIAKFQDPYHWLTYFPPLAKKDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKAMRYTIYSPLDGQPCADHDRATGEGVLPQEYVLIKMEVIPPFPPKLKVLEGKNVYLAAATLRPETMYGQTNCWVLPDGNYGAFEVNDTDVFILTARSALNLAYQNLSRVPEKPTCLAALSGNDLIGLPLKSPLSFNEIIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQALVAKSALRAKFGVKDEWVLPFKIIPIISIPGFGDKSAEKVCADLMIKSQNDKEKLAEAKRMTYLKGFTDGVMIVGEYNGRKVQDAKPLIKKKLLEEGSAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKEKAAKCLKNMNTFSAETRNGFEHTLGWLDQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQNGDMYGKEISSVRPEQMTDEVWDYVFCDGPEPKSDIPPALLSRMKQEFQYWYPLDIRVSGKELIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFQTLAQAIEEFSSDATRFALADAGDGMDDANFVSETAKSAILRLTKEITWMEEVIDCQSSLRDGPPSTYADRVFANEINIAVKETEKSYNAFMFRDALKSGFYDLQLARDEYRLSCGAAGMNRGLLGWFMEVQTRLITPICPHYAEHVWQNILKKEGFAIKAGWPVAGTPDPTLRIANKYLQDSIVLMRKLLQKQGSGSKKPKKGAAPSPSAENKLTVGLIYVNEHYDGWKEQCLRVLQSNYDTQTRTFAPDEDINEALSSCFIEHEADFKQVQKLCMPFVKFKKDEARTVGPEALDLKLPFGEMDVLEENLELIKRQLGLEHAEVLSALDGAALALAGPHVSVLNKNPPSPGEPVAIFMSRQEFGGQN >cds.KYUSt_chr4.10051 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60796856:60797713:1 gene:KYUSg_chr4.10051 transcript:KYUSt_chr4.10051 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCLPSKSQRVPLPQPQPRHRRDRTYIPPPAAPGPPRRPVRIYVVFYSMYGHVRLLARAVQRGVGSVPGALAILLRVPETLPRAVLARMGALKAAAEMEMEEIPVADPGGLPDADGFLFGFPARYGAMAAQMQAFFESTAPLCRHQRLAGKPAGFFVSTGTQCGGQETTAWTAITQLAHHGMLFVPIGYTFGEGMFEMEELRGGSPYGAGVFAGDGTRPPSEIELALAEHQGKYMATLVKRMVHGADAT >cds.KYUSt_chr1.1165 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6391541:6404407:1 gene:KYUSg_chr1.1165 transcript:KYUSt_chr1.1165 gene_biotype:protein_coding transcript_biotype:protein_coding NKKSNSKRVVLIAVIVSVVLIFLLVGMCMLWKIYWKGGNLDYAMFEEETPLHIDIRRFTYAELKLITKNFESTIGKGGFGIVYHGILENGDEVAVKVLMETSIAESTDFLPEVQTLSKVHHKNLVTLQGYCQNKKCLALVYDFMPRGNLQQLLRGAEDYTLNWEQRLHIAFDSAQGLEYLHELCTPSIVHRDVKTANILLDKNLVGIISDFGLSRAFNDAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIITGQPPVIMDPQPVHLPNWVRKKITKGSINDVVDKRLLDVYNASSLQSVIDLAMDCVENAAIDRPAMTEVVARLKVWLPVVSSEKRSVNGPTQYKYSMDSEIRKQYQLSISGASTEGSSFQSGSTGRMSEISMSSGSFDFSNTSSYHLQDLLFEGDTTLNGDVVDLTCNPMKEYCIGRMSYKHPVFLYDNNTGEWASFTTRFTFSIQLGPTESKGDGIAFFLSGYPSRLPLGSSGDQLGLTSRNNVTPSGADQFLAVEFDTYSSSSTSTGTQFTADHIGIDLNSIKSVSKTRLPPGYSLSGTMTATITFDNATRILEAIVHFDSNSSLGDAQVKTRLPDYLDTLLPPEVSVGFSAATGAYSELHQIHSWSFNSTMVARETPTGAAKVLPAQGPVRGTEGADKVQTEQGQLVDIKVARSGKPGWPTDGDEACGPSGGPVAVDHEGRNPAQDQQAGSVPT >cds.KYUSt_contig_686-1.439 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:2755865:2757533:1 gene:KYUSg_contig_686-1.439 transcript:KYUSt_contig_686-1.439 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQAFGAKKYHMLGIYLQRSWAVLLIFALALTPTYVFMEDLLLLLGQTPELSHLAGKMSLWLLPQHFGMAMLLPLTRFLQSQLKNWVTAVSAGVALAIHIVVTYLLVHHFQLGYVGAIASANMGWWLVVLGQFFYVICGGCPLSWKGFSMEAFADFWDFIKLSTASGVMLCLENWYYRVLVLLTGYLPNAEIAVDALSICLTINGWEMMIPLGFLAATGVRVANELGAGSGKGARFSIIVSITTSVVIGLVFWCLILVYNDQIALLFSSGKAVLDAVHNLSWLLAFTILLNSVQPVLSGVAIGSGWQALVAYVNIGSYYLVGVPIGIILGWPLGFGVRGIWSGLIGGTAVQTLVLAYLTMRCDWDEEVHNSSFFLAKSYSCHNMNWKISAYV >cds.KYUSt_chr7.24142 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150427725:150431302:-1 gene:KYUSg_chr7.24142 transcript:KYUSt_chr7.24142 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRSWNYQLNWQARSSSGSGDDVACGNGDMALADSLFSIELAFCHHMKEAETCRGEILAVCRSASVPSSPSSYETNVEEKLQTLNASTSSTIEMVCGGLRKLGDIYDCIDELASLPSSQVLLCKRQQRMAVEQELERSLVLLDLCDVVQLKKICKKSSFPDQESCRVVKLLVEARETAVKMIESSSDLLLKQIAMPNSSKWSLVSKTFQKTRVTCKEEQLQGQETPLQLHQLLFTSISREQAKQLQLLLLAAMASHLRSASVPSSPRSCETNVEEKLQSLNTFTSSTIETVCDGLRKLGDIYNRIEELASLPSSQVLLCKPQQRIAVEQELERSLVLLDLCDAVQVSFSELKETVQDMRLAIKRGDDTTLQANIISWFCLAKKAQKQLKKICKKSSSTDQESCRVVKLLAEARETAVKMIESSSDLMSKQISMQNYSKWSLVSKAFQKTRVTCKEDQLQVLELDIADLESGVETLFRRLIQSRVSLLNTLSL >cds.KYUSt_chr7.34946 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218404207:218405376:1 gene:KYUSg_chr7.34946 transcript:KYUSt_chr7.34946 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAWTSRTRITNPVPSSARLASLESSFETGPNNLWRRKHQNLVRCHDIRLKKIVLEQYYGVMSQVSFVTFFVLHAKVLESMTLGIEAMNSNEEFFAEHRKKLQLEKRASRGARFKFTTDKCFHNVWDIKDFDDLDLADPFTC >cds.KYUSt_chr2.12298 pep primary_assembly:MPB_Lper_Kyuss_1697:2:77908567:77910625:1 gene:KYUSg_chr2.12298 transcript:KYUSt_chr2.12298 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTDMPAVTALRAPASTAAAAGNHKDADKLRFIEEMTSDVDAVQERVLAEILAQNAETEYLARCGLDGATDRDSFRAKVPVVTYEDLQPDIQRIANGDRSAILSAHPISEFLTSSGTSAGERKLMPTIKEELNRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETTTPGGLTARPVLTSYYKSDQFKNRPYDPYHVYTSPTPAILCADAFQSMYVQMACGLCQRHDVLRVGAVFASGLLRAIRFLQLHWEQLAADIEAGSLTPRVTDPAIRAAVAGILRPDAELASFVRAECSRGDWGGIITRVWPNTRYLDVIVTGAMQQYIPTLEYYSGGLPMACTMYASSECYFGLNLRPMCHPSEVSYTIMPNMGYFEFLPIDEASGDVASGDAAHLVDLARVEAGREYELVITTYAGLNRYRVGDILRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLRPHGASVVEYTSHASTKSIPGHYVIYWELLTKCGGDSAIAGNVLDRCCLEMEEALNTVYRQSRVADGSIGPLEIRVVRGGTFEELMDYAISRGASINQYKVPRCVSFPPIVELLDSRVVSAHFSPALPHWAPARRSD >cds.KYUSt_chr2.18781 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118195329:118196080:-1 gene:KYUSg_chr2.18781 transcript:KYUSt_chr2.18781 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPPTPPQETKQRPKKNKRGRSKKPKRAAAAPAAASATPSSGATMVDDPFLVLAGGREGGFLELEEIDGADYGIFGTIVEDVGAGERKVGNDQKRKTKRGKRKRGDGAKRLDADVGGDCADVLVAESKEEEGETAEEKGKRKKRNRKKRKVNDKEKDSESKEDATDDNVEEGKKDVTDDNAEEGKKGEKKGKKKRNMKKRKVNDEDKDSDSKDDVTDDTMEGA >cds.KYUSt_chr7.10243 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62708067:62709329:1 gene:KYUSg_chr7.10243 transcript:KYUSt_chr7.10243 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALAKRPCDHPSSSSSSCRDPKRHRPITAPAPPMMEKHDGVAAEAAPSQSQSQPLLPGLPDHLAQLCLAPLPPRLLHAVCRPWRRLLYAPSFPPFPALYALLQDAAHASSFAAYDPIAARWDALPAPPMPSPPPTLCHPSFLSRRLPLQCVAAAGRLVLVAGSTHSLRPALPRPLVFDPATPRWHLGPRVPLAPRRWCAAGPARGRVFVAGGVGAGYDLAVARSAASWDPSASSAAWEAAPPLRDGRFSRDAAEAVCSRGKVCMVSLRGRGAKEGAILDLDGERWEDMPPGMLAGWNGPAAASPDAGDAIFLVDEEYGALNAYDWETDRWRTLAEAEPLKGASEMAAGGGRVCVVADCGKKVVVVDVTTTPKASTTTRRNSTLTSTSTAARMWEVEAPEGRRIVSLHVLPRMTRPDQ >cds.KYUSt_chr6.31801 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200940541:200942070:1 gene:KYUSg_chr6.31801 transcript:KYUSt_chr6.31801 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVGATGAMATPPQFSLPVDSEHKAKSIKIFSFGNPHMRAFHLGWMPFFTCVVSTFAAAPLIPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGAVCDLLGPRYGCAFLVMLSAPAVFCMSIIDSPAGYITIRFLIGVSLATFVSCQYWVSTMFNSKIIGTVGGLTAGWGDMGGGATQLIMPLVFDAILACGATEFTAWRLAYFVPGMMLVVMGLLVLTMGQDLPDGNLGSLQKNGDMNKDKFSKVFRGAVTNYRTWIFVFIYGYCMGVELTTNNVIAEYYYDSFPLDLRAAGTIAACFGLANIFARPMGGYLSDLGACYFGMRARLWNIWILQTAGGAFCICLGRASSLPTSIASMVMYSICIEAACGAVYGVIPFVSRRSLGLISGMSGAGGNVGGGLTQFLFFTSSQYSTGKGLQYMGIMVIVCTLPIVLVHFPQWGSMLLPPSPVATEEGYYVSEWTDEEKSKGLHDASLKFAENSTSERGRRNTILAGPATSPPNITPLHV >cds.KYUSt_chr6.10599 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65660014:65661051:-1 gene:KYUSg_chr6.10599 transcript:KYUSt_chr6.10599 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPMSYKKATAALDEAARARLRGPFFGSTTPPAGREDVDDDGLVELVDEFYNGYGEDAVPKQAVAPRAAAWIDTLRAALADATADAAAARVRAEAERAVVDARTTVAGGEGVRKRVVERLRARGFDAGICRSSWERSSSSPAGSHEYVDVVLSAGFSTSRYIVEVNVAAEFETARPSAEYQELLLALPPVLVATPEAFKQVAAVMCAAAAESIRGAGMHVPPWRRARYVQAKWSGKYKRMAAPVAGPTVAQREVPSSTTSSDARRRRTMPSGGPMNCGMEMGREGLVGARPLMFRGL >cds.KYUSt_chr4.51863 pep primary_assembly:MPB_Lper_Kyuss_1697:4:322010859:322015023:1 gene:KYUSg_chr4.51863 transcript:KYUSt_chr4.51863 gene_biotype:protein_coding transcript_biotype:protein_coding MWTQSRSIGSGGSDGRCVTGAGIGGVGDRDFLLLGDRRGNGDGGRIHTVEAVTYEEMAMAAGSGAVEAVIYEEEADAVVPGFKRRKRRMWCGREGGFTPPGKLARFGNQAKGSSSGQSSRAPRLPVAASFEEDDLVPARSPTFSAGDYVHGSDEEETVMAQTFAISEAEARALPPGGGRCRPPVRLDFRKRALLGDVAVAGRSGRSSGPSPAMPTRSSDRAPAAAPALGSVAAFITASRAGDRLAVVSAACLPPPLPTYQLPWLTLKFIDLVTDDGSH >cds.KYUSt_chr3.17693 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108474751:108475773:1 gene:KYUSg_chr3.17693 transcript:KYUSt_chr3.17693 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPPPEPDHHHRLLATLARHGRLAAAATLFSTAVRTTRALNTILAALCSTPSLLRVAPSVLLLAAPTAAPDAATFRVLASALCRARRPSAAADLLRCMPPLLLDPDSPLCRAVLSSLCRCAPARDAAAFLDDMRRWGVSPSGLDHRAVLRALLRDGMLAEAYGLVREKMGSDGVAPGVADFELVLRAFSERGQFDAVDEAFDEMLLRGLVPGVAVYNVYVAALCRKGDLPGARLMVGCMERAGCPPDVRTFGVVVAGCVSAGDAAAARDVASEAVRRGLRWDAPALAELVGLLRADGHVADAHGLLLEVFLHGGCTGVDASAFGQMICASDDCSPSLY >cds.KYUSt_chr5.37734 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238283682:238286865:-1 gene:KYUSg_chr5.37734 transcript:KYUSt_chr5.37734 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAAMAPLYFALGLGYGSVRWWKLFTPDQCDAVNRLVAYFAVPFFAFDFAARMDPYALNYRVLAADALSKLAVVLALAAWAAVSTRCCTRRGDGGKGGGDLASSWCITGFSLATLNNTLVVGVPLLDAMYGGWARDLVVQISVVQIIVYFPLLLLAFEVRRACGGGVATKPDTAAPPASDNDVEGGGVERRSQQREPVWPLVRAVWLKVARNPNVYAGVLGVTWSCVTNRWHIQTPSIIEGSVLIMSRTGVGLAMFSMGLFMALQEKIIVCGAGLTALGMALRFVAGPVATAAGAVALGLRGDVLRLAIIQAALPQSITTFVFAKEYGLHADVLSTAVIFGTLASLPVLIVYYIVLGVVG >cds.KYUSt_chr1.24168 pep primary_assembly:MPB_Lper_Kyuss_1697:1:144197734:144198903:1 gene:KYUSg_chr1.24168 transcript:KYUSt_chr1.24168 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNFSDTAAEHLLGADLLAAEIAPHRLLAASLAPAVGVSESVGPIAERHLADLLPPPATTNRREIAALGEPLRRCRESGMGRETGGGGREVGTIPEIRTAVRKDPEGSRLLSLLGGITFYTDDLNGKDKYNQAIDFYMKDLQESIENAIKAHSTGEEDALHATAVSYVVGDETT >cds.KYUSt_chr4.35193 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216254905:216261804:-1 gene:KYUSg_chr4.35193 transcript:KYUSt_chr4.35193 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYEERYEGNGDPSAGAFTGGSSPAKPPGFSDQPDGPSQEVQPHEGRPSKSRERDRGRENDKERDRDHGRDRERGRDKDRERDRGDRDRERDRHHRDHRERSENREHRERSENREHRERSEKREHRERSEKREHRERSEKREHRGRSDDHDRHRSRDRDVERRDRDRDSHRRHRSRSRSKGRDRRSRSRSRSRSKSKRVSGFDQGPSQGVPLVTPGVTPGQLPAVAPLIPGMLPNMFNFTAPTQFNPLAMQPQAMTQQATRHARRVYVGGLPPTANEQTVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLGAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGTSQPRPEQETILLQAHQQLQMQKIMLQVGGALPTKVVCLTQVVSADELRDDEEYEDILEDMREEGRKYGNLIKAVIPRPDPSGTVVPGVGKVFLEYADVDGSTKAKTGMHGRKFGGNQVVAVFYPEDKFGEGDYDD >cds.KYUSt_chr3.33401 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209693741:209695105:-1 gene:KYUSg_chr3.33401 transcript:KYUSt_chr3.33401 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTADGTPPSAAVVDVPVGGPPTAGVVSAMISATIPSKRKRIPKQFFEAPAAAAASPAAASPAAASPAEAPPAAKKGGGSMKTKAVGPRGAAPAKVRTKAISRIGLAPPPPSKVTTPPPSVPADAPPAPPPPTIDVDKVFDCNVDDLDDEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYIEIEDAILVVLGARKHHILLKLDQLMKSKEALTMKTLETKLIITEKKKEVKLAKVQARREDAKLKAELDMKMIALKEAKAMKELLAEEGDVMMMRTDGMDEDQLAWWNETKVDIIARKKAAGEARDASARGESPASGGAAGDDLVDG >cds.KYUSt_chr4.13019 pep primary_assembly:MPB_Lper_Kyuss_1697:4:80085849:80086139:1 gene:KYUSg_chr4.13019 transcript:KYUSt_chr4.13019 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGIGGGVCDALLVSEGAVPPLFRMAESGSSLVGREKAVLTLHRLSTSPDVARAIAGYDGACMLIEICQMGDSVSQSAMTGVVGILHGCTIDSA >cds.KYUSt_contig_1467.42 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:325741:328409:-1 gene:KYUSg_contig_1467.42 transcript:KYUSt_contig_1467.42 gene_biotype:protein_coding transcript_biotype:protein_coding MARLWEREVGRLPPKRFADAFMASEDFVHSLGIQKRLRNHKGAVNSICFNTSGSLLLSSSDDETAVLWNLEEPASALKFHTGHGNDVLDARFMPLSDDQSIITCGADAEVRRSRIQEGGCVSTDKLADLRYMVTQLAVQPGSHTFFSCVEDGSVWHFDLREKRPRKLFKCGAVRSFDYLSGDTMQLYAIALDPRYPSCFAVSGDDEYVRLYDARKIDLERSKFGIPFEQFCPPDLICNKRDGIAGLAFSQTGELLASYRHDNIYLFSREHGLHFNDFGGIAVEKLPVPRTFKGHENKHAIKGVSFLGPNCDYVTSGSDCGNIFIWRKKDGELIRVMKGDKRIVNCVKQHPTEIVVASCGIDADVKIWAPGDNENPSTARFDEVDSNPSLVRSTGSDSDCSAYVLSARFDSSSSSSDDDDDDDDDDYDDDDDEEEEEEEYDDYFDDDDETDGDEDQKDDEWRYMTASQQNMYGQFTSPADRTVQ >cds.KYUSt_chr3.4266 pep primary_assembly:MPB_Lper_Kyuss_1697:3:24247301:24248751:1 gene:KYUSg_chr3.4266 transcript:KYUSt_chr3.4266 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTTPRTVAGLPAGFRFHPTDEELIVHYLRRRAASAPCPAAIIAEVDIYKLDPWDLPSRAVFGQPDGNEWYFFSPRERKYPNGVRPNRAAGSGYWKATGTDKPITAGSVPGGEMVGVKKALVFYQGRPPKGMKTNWIMHEYRLAAAVNTYQPPSKFKASSSMRLDDWVLCRIYRKPNQQLSPFYDPSPSPPSMDAGGHGHRHYQQQEENSTSSSRMLPRAPSISDYLVDYPAVSELFDTMPAPPEPELSSGASRLFVSSINRGEDSTERKRQTPTEYINDDGGDMSSLRASKRLLSSDASMTTMNNTYSMFGPDQPSSQDRI >cds.KYUSt_chr3.16850 pep primary_assembly:MPB_Lper_Kyuss_1697:3:103216629:103217912:1 gene:KYUSg_chr3.16850 transcript:KYUSt_chr3.16850 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQVRLLAARAAALPASLRQRPSRSPLSAPSRAQTQTAASNSSWAILASIPRVAAADGADVSLALTPPPRVSILTVSPRVFPEPVTPQHFPFVLAADPSGLLLLQATLGRPWTREATLGPDGYFKSVTWHNSLPRYFVLDPAADSASAFQLPDPDDAIMHQALLGLIASPAGYMVAELRPLIGSDKATLLCFSSETGRWVSKPVHYPLPPRHLAPINVLSLHGRLWWVDLEWGVITSDPFADHPVLRFVPFPPDRVLGCREAWGVADIYRCVGVSAGKLRFVDTMYMGPIIGGTPDITVWTLPGPDATEWTLEHQVSFGDIWADDTYKATGLPVDIPALALIHPDDPDIVYFFLEEHIFAVDVPARKVVDCKVYHLVAPPRCKVASRFVRAWKLPHPLPSGLYPHLILRALGLYYTTTGVQLLCE >cds.KYUSt_chr6.6825 pep primary_assembly:MPB_Lper_Kyuss_1697:6:41183188:41184372:-1 gene:KYUSg_chr6.6825 transcript:KYUSt_chr6.6825 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAFVAAAAVMLAAIVASDAKTTIEPCSGSDSCPALLGYTLYADMKVSEVAALFATDPSALLAANALDFAAPGAAHRILPAGLLLRVPARCACADGVRKSVAVRYAARPADTLATLADVVFAGLASADQIRGANGLADADPDAPLDAGQPLVVPLPCVCFNSSDSNLPAVYLSYVVQVGDTVPAIAAGYETTVTDVMNVNAMGSPVAAPGDILAIPLPACASSFPKSASDHGLLVANGTYALTAGNCVQCSCGPGNLK >cds.KYUSt_chr5.14279 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92720163:92720981:-1 gene:KYUSg_chr5.14279 transcript:KYUSt_chr5.14279 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVATRFIGLEAENKQLHSDYNFEHSRENVMANKLKATEKALEEAKTSLTATEQRLENEKYTREVRDEDIRKRIEALNTSLLRHMEHPAMLLDHEKIDPMLDALKLMEGNNIYGRNMIYNCRKAVTRLHGHIFPTKKLVEGYDLLELVKSFSGSVYPFINYRRSQRQSGVEVAMVTLMAHDELVNWKKVSSSKDAAGKRVLLLSPRRSEVLRSSGAFVAMMQPTRGASSSVVVAPSTGTPAPELS >cds.KYUSt_chr6.7091 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42999295:43001015:1 gene:KYUSg_chr6.7091 transcript:KYUSt_chr6.7091 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPAASLSFPVINMEKLETEERGAAMEVIRDACENWGFFELLNHGISHELMDEVERVSKAHYENIRENKFKEFAARTLEAGEKGADVRDVDWESTFFVRHLPASNLADLPDLDDHYRQVMKEFASEIEKLAERVMDLMCENLGLEKGYLKRAFAGSQGAPTFGTKVSSYPPCPRPDLVDGLRAHTDAGGVILLFQDDQVSGLQLLKDGAWVDVPPMRHAIVVNIGDQLEVITNGRYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPALVGDGATEENEGGEGSGPGYPRFVFEDYMNLYVQHKFEAKEPRFEAMKSAAAPIATA >cds.KYUSt_chr4.5311 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30574222:30575422:-1 gene:KYUSg_chr4.5311 transcript:KYUSt_chr4.5311 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPPPVTEEPPAQDWSLLPLDLLSAVFVRLGLVEVLRGAGMVCRSWLDAAKVPDLWRVVDMENHIIAFEYLDVWRARAKAAVDRSDGHLREFAGRRFVNAELMQYIVERSPLLTTLRLVSCSSGVFSYRLASLMRESPLRELRSLILENVDITVKKLTSVLKRCPALEVLTVRDCSGMYVEDEETLRAKFARIKTLTFECEDDDGGCCCGCDF >cds.KYUSt_chr6.6092 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36537424:36548865:1 gene:KYUSg_chr6.6092 transcript:KYUSt_chr6.6092 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGDALLTEILDKLAQLFTDGFVCTGSSKAADRSDREPCMIAFHAAWADARVSLLRMGVVVAWLALRRPGAALADIVVKRSTAITEEGDVDHGEHDAEAVEGEDLKVTVSRWPRSVSVVVPTLTLDVPTLTKSSTYSKEKNRNPAVRPPRVGVVSNRSVNVVVPTLTLDVPTLTKSSTYSKERTVTQQSGHPGSVRGTSRHPRDLGPEGGLLFGVLEEIQYLLQLEFCLVGAGRPQSCAPPGLAPLTAPRKAGTCCSTSYHWALATVRMSAQKEVNPIVDALRVSSQTQRVTSSEHIKEEKNSGIKPQQEPSKWFSSSAPAASLFSHQFSRIPLLAKCQSKSQSGQCRPRGQQSYRGKALANVLGHCLLGLAPPILECVAALWRSTCYSMPGENIVPILTGEAASRSYQFQDYSDHSISDTRLHNHQEEVQHDPNANGTIQAANLLAAGWLDMDSDTYCTRCRKAFPTAYCDSHVEVHHPNQVAATIEVFHQDGWFLVHANQLVIAPATFEGILLVEVDGVAMHPIRSRPLHQQVPPVADGMHPCAGPCYEGIPLGAQFCSILCREMRNAGKLLRNLSNKELAMLEWYLITNNSVDTSACIIQNL >cds.KYUSt_chr3.18835 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115799472:115799991:1 gene:KYUSg_chr3.18835 transcript:KYUSt_chr3.18835 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQLLGWSEGDVMRPESKPCSRLMRHTAGIFSVGGGLAFWVLCRLHYGPRITVPRSLRWASCGAVSCSATSAVLVRLFSPECEPQNIAAYDRPEHRPA >cds.KYUSt_chr5.29061 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183991604:183996500:1 gene:KYUSg_chr5.29061 transcript:KYUSt_chr5.29061 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTPLAALLVLPPTPAPRPRLGLAPSSRRARGTAVVRAAALRALPRRLELWPPRLAAVESGPPSSQSSAPPPQPGVESSGLSTCCGCRVMNGPIEDIARELGFQGNPFLQGLVAFAMFLIVFSISFPLDEDVGHTLSITGTLLYIFTFAIGAGPVTGIIIPELSSARTRSKVMGFSFTVHWICNFVVGLYFLELVKLFGVGAVYAGFGGVSLLTALFAYNFIVETKGRSLEEIEMSLSPAAPGEPK >cds.KYUSt_chr5.11822 pep primary_assembly:MPB_Lper_Kyuss_1697:5:76679290:76680297:-1 gene:KYUSg_chr5.11822 transcript:KYUSt_chr5.11822 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFFVAFAALAISYLLMLHPLSVSGAWTNGGATWYGPRNGAGTDGGACGYQGDVESPPFSAMITAGGPSIYKNGKGCGACYQVRCVGNAACSDRPVTVVVTDQCPGGPCLAEAAHFDLSGTAFGALAKPGQADNLRNVGVLKVLYNRVPCNWRGTDIAFKVDAGSNPNYLAFLVEDEAGDGDLSAVELQQSGGGWAQMQQSWGAVWKYNSGSTLQAPISIRLTSSSGRKLVASNVIPAGWQPGHTYRSIVNF >cds.KYUSt_chr2.54105 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337662682:337663062:1 gene:KYUSg_chr2.54105 transcript:KYUSt_chr2.54105 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAMSTNVARVLVFLEEVGAEYEVVDIDFKVMEHKSPEHLARNVHLGADVFLSSLRENRALPCATLHGKDTYTHGKGFAVRVRTVKFARQR >cds.KYUSt_chr6.647 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3987508:3988874:-1 gene:KYUSg_chr6.647 transcript:KYUSt_chr6.647 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVSSGDDGGDDGGDDDDGDGDDVQLDDGGDGVDFPLREGISPADSCPPESSFLSGVLRPAEAAVTLRELSGKATASPLPPTPPPTTPPPTSPGARPSPSTRLAPQAPWFLTEERRSLLRASKLISTPDLDRVLTPPDLTPPRSAGPVLSDGDVVPDSPATSTSRGAVPTAGSGGALVFNAEEEENAITAPAAPALRSLVVVPASYPSSAPLQGTSTPPAAPHALAEAGWVTVPERRRPRRDEHSWLPTGAPSPTTRASQAEAAAIRFKSRTEGRCARCLAPSHHHLASACRDKIRCLSCNLSSHKERHCHLRQAH >cds.KYUSt_chr4.3031 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17393652:17394002:1 gene:KYUSg_chr4.3031 transcript:KYUSt_chr4.3031 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAAAAAKARCRCRNPRGCWKPPPLLLEFTTTGGTRAPPLLELSAAGARLLLRPCIRLKEASAAGPASPPGLATPTTRSFFRQPLPRARLSPPFSTRLLRPCIRLKEAQLFLPS >cds.KYUSt_chr1.5462 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33679644:33689894:1 gene:KYUSg_chr1.5462 transcript:KYUSt_chr1.5462 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTAVLRHLHPASPSPVVDLESSDDDRYKPSPGWGDADEAAAARAAQPKANDDGSDDDGGDYTVFYRHFGIDDRGDSQLPSPVGNDAENATNVASRSKSSNVHKERPGAITTKSTKEMTKPTNQFLSNHTMSTSPRGIAADNHQPILQNLRPVVLESAPNSLMSSPSRSPRRICPDHIPTSAFWAVKPHADVTFLGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHTRSGGMAPGSPTSQNDGGKKKQTHRLPLPPLSISNSSYFPNKSTPASPISVPHSPGRTETPPSPGSRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFSDDPKSKESAKQLGQEISLLSRLQHPNIVRYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQLGEPAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKSSNGGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEQGKDFIRKCLQRDPSQRPSAMELLQHPFIQNRVPHEKSVISDSLEHLAVISCRPSSKVVGHTRNISSLGLEGQTIYQRRGAKFSSKHSFSMWKSSVKVKVSPKYKLQNVTLSNFEPENSFRRFHSPVWCCSCKLLHPCVMSMLWGKMFVPSAAGFGKFLVKLNLASWMTLPSIRFAYALLIPR >cds.KYUSt_chr4.24166 pep primary_assembly:MPB_Lper_Kyuss_1697:4:152112823:152116148:-1 gene:KYUSg_chr4.24166 transcript:KYUSt_chr4.24166 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSAPTLCSLGFSDVISAPHPPPSALNPDALFAKELCDVLNSLEAAIPGCGRAIACILTGTTITRKRERKTKGKSFLRCEGKEGGSRGSSAAKAMDASGVNPRLLALIEEEKRKVIANEIIAKLTDTCWDKCITGSIGSSFSNSEVSCLSNCAARFAELKMHIMQQFQKR >cds.KYUSt_chr3.5507 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31103090:31106918:1 gene:KYUSg_chr3.5507 transcript:KYUSt_chr3.5507 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVTASCPPKTCGNLTILDPFWLVQEQGMERPCGLIDYQVYCYNNSAFLRSSIHDGLGILNIFYQNRTFLTADISKMEDFDRCQVPITNTSFKLGFLPFNISHANRDLLFFYDCMDNIVLPQLKHGLVPMQCGKNAFVRLARGPYSGSHDYAEYFVDGCKTTLVPVLGAPVKRMNTGDYKELISDGFLLSWPVVPSQLSREAGRHNIKLILIVSLSAAISLLFTCLVWGKYRQKQKLSLFILPKQTRNKSSTEEMLRRYGPLAPKRYMYSELKKMTSSFKDKLGEGGYGTVFRGSLQDGCMVAVKILKGSKGNVDEFLNEVISIGRTSHVNIVGLLGFCLEGPKRALVYEYMANGSLEKYIYMENSDLVIGWEKLQQIAVGIARGLEYLHQGCSTRIIHFDIKPQNILVDENYCPKIADFGLAKLCHLKDSILSIAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMLLLEMVGGRTNREKKNTENSSDAYFPNWVYDAIAEQTGRFREFWDEASKNHRKGRIRMSEAGDYQD >cds.KYUSt_scaffold_2697.353 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2364490:2364846:-1 gene:KYUSg_scaffold_2697.353 transcript:KYUSt_scaffold_2697.353 gene_biotype:protein_coding transcript_biotype:protein_coding MANIARAAVAASIAQGRRPPLPASIAQGRRPPLPASIAKGRRPPLPADANNVDAAAWFELHLSKYSTMVIEHRSISETVHCINRSSLMMDSSSLAALNPDEKVLDLGSRNFFLCAIRF >cds.KYUSt_chr3.48761 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304771942:304772291:-1 gene:KYUSg_chr3.48761 transcript:KYUSt_chr3.48761 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSGKCGCGDACKCGSGCNGCGMYPDVEAASNGNIFLVAAATHKASAGGMEMAAETENGGCSCSKCNCGTSCGCSCCTC >cds.KYUSt_chr5.22187 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144798689:144799210:-1 gene:KYUSg_chr5.22187 transcript:KYUSt_chr5.22187 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPTVRLPLGVAALGVWPRPAVSVNGAPSDADGNPLGIAQPSARRGGDVAHRLAATYGVYADGPALGVCMAHAARPPRGWATWRVVAPPAVPLRRGQPPRHILDPCSQAVDTRQSLGASGYAEGNPLGIDLTIWPYVRVYADGLTLGIGPAIFFLFSSSSSSAIQFQFQFI >cds.KYUSt_chr3.23474 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145096767:145097375:1 gene:KYUSg_chr3.23474 transcript:KYUSt_chr3.23474 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWRFILSCASSVGNRFNPLSCWCPWRTLAGIGLSQVSFNKLAGSGGDQYLVDVVLENRRGSGLKDKLAGSGYQGKFRAANLWSSYMAAKPGCCDLRPGWRPLHTLVQLLRVCVCNLLRRRPLFLKASVHAFLRPSGFVPGAEQGGRDLRSSSASGDGEDEGLDCVFANLCMVLLGKTRDHAISPFPVEVLHVKCNFTAVE >cds.KYUSt_chr1.3291 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19854118:19854912:-1 gene:KYUSg_chr1.3291 transcript:KYUSt_chr1.3291 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQAAASAIFSWCLLTLYMLSPATVFLLRFLSVPYGRLSRPGWGPAVPAPAAWCLMESPTLWLPLLVSPLPLLLASATTSPLAALAATLFTLHYLHRTFVYPLRLLQLRRAPAPFPLLVAAFGFSFNSLNAYVQARSWALHAASGPASALALARCLVGVALFAWGMRVNIAADNALLRLKEAGGGYKIPRGGWFDAVTCPNYLGEIVEWLGYSLVAWSPAAWGFFLYTCANLVPRARDHRHWYLNKFGAEYPAKRKAVIPYIY >cds.KYUSt_chr5.19255 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124782904:124784121:1 gene:KYUSg_chr5.19255 transcript:KYUSt_chr5.19255 gene_biotype:protein_coding transcript_biotype:protein_coding MACSDELVIPEELPRFALKEVSGAVELVKGKEFARRVFLANIENTSGEPLDLEMEVTAIANRMGQKLRIGKANHSADGDKFLIILNDGKHLRTGKLAFVAAEIQKKIIGKAPVVEIQKKTGKAPVDEIEIQKKTVKGPVDEIQKKAGKAPVDEIQKKKMDNAPLVKGKAAVTVYEILVGKKMDKAQVVAGIDQIKTEKMMHDALVVKALGELLEDGKVLAMPKNQTESSKAMVDAMETGEVLVETETGKEVVAINKNQTDKCAESDQPAGVNGMCKLSDDLVRFILAMPKEAPLDTEDIPFMTTKYDLAKLLNRSEEWIEEQRQWFKEDAARDQKIYDDFVPFQNWVHHEFSENGYVEVDEESLNQVAELEQYSRELWDDWVNNRGGLAGLKFADPSDPRCAVAY >cds.KYUSt_chr2.27443 pep primary_assembly:MPB_Lper_Kyuss_1697:2:168451078:168452323:1 gene:KYUSg_chr2.27443 transcript:KYUSt_chr2.27443 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHSTLSLLIRPSPTCRSALTRPAPPARAVRTVSLTSSLFSFQVGHIGCPATAGADLDTITTMEVTPPALLPLPSAQIWPSASDISQRTMAKSSARKETAPARPPATLPQQHMFPGAPSSSSANPSTPQHLYPHGSSYTNPLNQWARGEEQKQ >cds.KYUSt_chr2.8280 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52055896:52056636:-1 gene:KYUSg_chr2.8280 transcript:KYUSt_chr2.8280 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTRPEPVMAVKRAYIYVRSAPAPLTIPARLHPECSTPHPSRQPATEPERKRRRRERAAEMEKEKGVGAAEVAAEKGKAAAAPAAESEAAEGEIVPLKSSDGLIFEVPAEVAKQFKAIADKACAADDDGTIPLPNVDGRTLCRVIEYGLEHHRMNDHPDDDIRSSLDWDDEFVSTLDVVGLEAVMAAAQHLGYERLLRRCRKAVRDMMVGKTGEEILATFGLENEFTPEEAEIAAKYAANVEDD >cds.KYUSt_chr2.1655 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9771114:9772848:1 gene:KYUSg_chr2.1655 transcript:KYUSt_chr2.1655 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTVTAATVTTSESVNHGGGGGGANVFFLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVVTRYVLSCTDPPGDPFRVAAISDGFDAGGMASCPDHAESFSRMEAAGSETLRELLLSEARAGRPVRVLVYDPHLAWALPVARAAGVATAAFFSQPCAVNVIYGELWAGRMALPATDGRELVARGALSVELGPEDMPPFVAVPESKPVFTRTSIRQFEGLDEADDVLVNSFHDLEPKEAEYMELTWRAKMIGPTLPSFYLDDDRLPSNKSYGFNLFNCDAPCMDWLEKQEISSVVLVSYGTVSNYDATQLEELGNGLCDSGKPFMWVVRSNEAHKLSKGLKLKCEKMGLIVSWCPQLEVLAHRAIGCFVTHCGWNSTLEAVASGVPLVGIPHWADQPTISKYVESVWGMGVQARKSDIGFLRSGEIERCIREVMDGERNDKYKRNAAKLMQKAKKAMQEGGSSDMHIVAFAAKYLSI >cds.KYUSt_contig_686-1.920 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5607848:5609153:1 gene:KYUSg_contig_686-1.920 transcript:KYUSt_contig_686-1.920 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKIQGIHVYMARKHARARRLHEETKQSNEHIATEYAEMPPSGSLVKRCNETTKLLEGRRRTMAHTLGLFTALLAVSAVVALAAEAPAPAASPKSSKASGSSAAPSKAPASAHGKLEKAPTSSPSATPKAAPAKAPAAKTETPAHAPAAKGSSAESPSEEGATPAEAPASSTEDSASSPSASPSESAESPGSGGAAEEEPSEPSAEVPSSSSDEASTADSPPEPATTSESPAASPGPAAADESGSAGMSIGVAAAVVAAAAASAVLSL >cds.KYUSt_chr5.12919 pep primary_assembly:MPB_Lper_Kyuss_1697:5:84275141:84279934:-1 gene:KYUSg_chr5.12919 transcript:KYUSt_chr5.12919 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQLLLRRVAGASAGARRQARGHHHRLLSSASGAADYGGEMRGSPQHGEESKAVKVSVWWDFENCAVPQNVNVCRVAQRVSAALRAAGVRGPLSITAFGDVQQLSRATQEALAATGVAISHVPSSCVIPPPPPSFRSIPFCGKNSSDRSFLADLVYWVAQNPPPAHFFLISGDKDFANILYRLRMSNYNILLACPGNRTTSVLCNAATVMWRWEALVRGENFSPKRFNHPPDGLSGSWYGHYRGALDDPFVEAESEETVATPVPSDSKLCRKTKIPVKAIPQYVVNIIRNILKSYPDGVRLSVLLETLRKRNVRLDSDYFGHKKFSCLLQSMPDIVKVIPPTEPHDNEPSAFRVNKRLQEPAEQSLNPLSSAEIDVKDNNLDQATHNDKQPPSFVSTSFPEQNCETLSSQQSVVGERSFKQTVDENPAASAVSSSPQDVLPEDQQECSAADMNAQTELPANHVELDASRTPSSLGVEGTVNSDGLLKRILVLWNGPESAKREVSPCHEGTSAEVFDLQTPHQDHSPDQCSRLLNRTGKTSSCNISSDGTNSSAVISDNFSTLSGHDPSEKHAEEMATLKSAPPVLQNSKPCSGSTSVPLCKAGGDTSKMSKGLFSWLLGWWKTEKSDADSRTINKSVTDEANTDMTDESESLKASTCGSEQQVVNKIFTKFYFWDVLGKHLSKPLASELVSKAKTREELINGLQKLECWPLNGLAEKDLNQLVHLLVSEKKWIEETPSNYFPFRVTLPQKRTCVPSNSSKFDLSTLFSNGKPLERGKYAGDKGRTNRSLTREEVLSDCHKLLKDLLLEHKHGFNISIFKLQFAQKNGYELDHKKLGYADIESLLQIMPGVTVKFPRVVRAENGKGQDSSKDGGNQCNGDDFIWEELGPVSGTSKTAEGVDEETCYQPPKHSEDEFSDNENQADQRARTGQNSLLSIIDSWNRSSSKGDGSSMTPEEIDGLMDCSRSSPGYVDTLKAARQQQKQYSFVSSDSEGEGGNKDKLVESVLGSLQKARGVRLPN >cds.KYUSt_chr5.2833 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18652637:18654766:1 gene:KYUSg_chr5.2833 transcript:KYUSt_chr5.2833 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPWVHLLLVLVVFAACGANPLVEGGLRPSVISCSTAGNYSDGSEYHKNLYNLLLAIPMGAANNGGFFNGTVGAEVDEVFGLFMCYASTADSACLDCLIHAPEGIRKLCPHSRTVRAVYGACTLRYSNESFFSVADLAIVNHVQQIPDDEDTLAAYVVDTAGMSRTRLELLRRLTERAGLAAGRVAEDNQRFNDAQWMYGVAQCTRDLPASECTRCLSNFTDQLPRLFPNNSRGEIKGYSCYLSYYIITQEPKAWQLKRERYSEIYERRMAAEESDRSKERQKRRRRLRRKVAIIVGLVLGAVALVLCLIGLSVRYMLSWWQRRMAEARVFTRSAAKVFTRSALLREVAYFCGKRVYRDDLEKGTGPRRFTYHELAAATDCFSSGNKLGEGGFGSVFRGFITDANLHIAVKKVSKSSRQGWKEFVSEVKIISQLRHRNLVQLLGWFNGGNDDDLLLVYELMPNGSLDAHLYKPDYLLPWTVRYEVALGLGSALMYLHQEMEQCVVHRDIKPSNIMLDASFKAKLGDFGLARFVCDGRGSLTTGAAGTLGYMDPRCVLSGMASMESDVYSFGVVLLEIACCRRPAVVRGDEGDVIHLVHWVWEAYGEGAILEAADVRLDGNFVEQEMERVMMVGLWCGHPDPSLRPSIRQAVSVLRLETPLPSLPAKMPVPAYIRPPLADDSFGSLENTGGISNGDASMTHSSRNKVE >cds.KYUSt_chr4.40981 pep primary_assembly:MPB_Lper_Kyuss_1697:4:253364532:253370755:-1 gene:KYUSg_chr4.40981 transcript:KYUSt_chr4.40981 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDQDLPASSGAGAGGGGGFFQSITKGMRSLGLAVTNSVNGMVGYEGLEVINPDGGTEDAEAEAMKGRWKQEDRDGYWKMMNKYIGSDVTSLVTLPVIIFEPMTMLQKIAEIMEYCELLDKADECEDPYMRMVYASTWAVSVYFALQRTWKPFNPILGETYEMVNHRGVTFIAEQVSHHPPMGVAHGENEHFTYDITSKLKTKFLGNSVEVYPIGRTRVTLKKSGVVLELIPPLTKVNNLIFGRTWVDSPGELVMNNLTTGDKAVLYFQPCGWFGAGRYEVDGYVYSAAEEPKMMVTGKWNKSLSYQPCDQEGDPLPGTELTEVWKVAPTPANDKHQYTYFAHKLNSFDTAPKKLLPSDSRLRPDRYALEKGDMSKSGAEKSRLEEQQRAEKRTRETKGEQFTPKWFSKTDDISPTPWGDLEVYEYNGKYTEHRAAIDSSSVAEETDQSSIEFNPWQYGDSSQ >cds.KYUSt_chr3.45446 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286388543:286391394:-1 gene:KYUSg_chr3.45446 transcript:KYUSt_chr3.45446 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQHQLFDDPFASSISSLDAEIFSGAGGHQQWPGLDLDGIPAAGAAGTSSGGYGSPGGEGTHRKISHNAYERDRRKQLNGLYSSLRSLLPDTDHTKKLSIPITVTKALKYIPELQKQVEGLEKKKEELTRASCKPGVLAMKENTAPIVSATCIDDRDIMVQVSLLSNMAGALPMSRCIKVLENEGLRLVSSSTSAFQNRTFYSLHLQRTQRTMSKVCPSFCEELENAIKKRAEMHQQQ >cds.KYUSt_chr1.25129 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150677491:150679773:1 gene:KYUSg_chr1.25129 transcript:KYUSt_chr1.25129 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRVSFLCLLQFLLLALTSVSVEGASDEYNTFIVHVQPQANHMLETADDRKALYQSFLPDHGRLLHAYHHVASGFAARLTQREVEAMSALPGFVAAVPNRVYKLHTTHTPQFLGLNSQQGTRNNSLGSGDGVIIGVLDSGVTPDHPSFSGVGMPPPPAKWKGRCDFNGRSVCNNKLIGARVFHTAVGAGNGTSSSGTPPSPIDEVGHGTHTASTAAGAVVPGAQVLGQGRGTASGIAPRAHVSMYKVCGLENCNSADMLAGIDAAVSDGCDILSISLGATSVPFHEDSLAVGTFAAAEKGLFVSMSAGNSGPNYTTMENEAPWMLTVAASTVDRLIRSTVRLGNGLTFDGESVYQPDFSATVLYPLVFAGSSSTPDAKFCGNGSLDGFNFKGKIVLCERGNDVPRLAKGAEVLRAGGVGMILTNQFIDGFSTQADLHVLPASHVSHAAGAAILSYIKSTASPVAQITFGGTVLGASASPAPVITSFSSRGPSTLNPGILKPDITGPGVNVLAAWPFQEVPPSLGEQTGPTFSFQSGTSMSTPHLSGIAALIKSKHPEWSPAEIKSAIMTSADNTDRSGMPILNEQNMAADLFTIGAGHVNPEKAMDPGLVYDITPADYIGFLCGLYRNNKNVSVIARRVVDCSDIKVIPERMLNYPSISVIFPPSWKSTTPILVERTVKNVGEVPAVYYARFDLQEHDINISVVPASLHFTKANQVNTYTVTIWPTKGSASMVVQGALRWVSHKHTVRSPISVMFIGQ >cds.KYUSt_chr7.20177 pep primary_assembly:MPB_Lper_Kyuss_1697:7:125010702:125018160:1 gene:KYUSg_chr7.20177 transcript:KYUSt_chr7.20177 gene_biotype:protein_coding transcript_biotype:protein_coding AHIKLKVARCRNELQQYVEEGFHPCTPDFNILKWWDVNSERYPILGNMARDVLAVPASTVASESAFSACGRVITDHRSSLAPETVEALMCYGDWIRSRKPTNAGQENRCEEQRVVDHLVSVLDVPDVKRYVHERFLEALEFLSYRGHLATNEIWIIGPVLDSAIKSFKEEQHRCCPPHLPSGGAAERGSSSKSVNAKHTNARPGGLLQPLPVPEGACRDITMDFIDELPLSEGFDVILVVVDRFTKMGITLMQ >cds.KYUSt_chr7.6652 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40154813:40156237:-1 gene:KYUSg_chr7.6652 transcript:KYUSt_chr7.6652 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEDPLIPLVHVWNNAAFDDSNSSSASAWHAHATPARLAAARPDKENRRPERHDDVDAEIEHIEAEILRLSSRLHHLRVSRGLDPVPAKAQPRPRPRARGLSLGPLDVAPASAAANPNPENQPLAATQKLKPAAKQQAPAPARGSRGLSLGPLDIAAANPTATRLPAARQPHQAAVPSTRILKPIKEPPVQRRRGVSLGPMEIQHGITGKPTPATARAKPFPSKLNAIREEGGGQPSRQAAVPAKLWPSSNARQSGAAAAAAPSRAKARSSSMSPRSRRQSVARLTANTRPFGATKAADELTPKGGATNPISGAATCRRPSGSSKVRVVPSRYSLMPGASAGTQERRRKDSLPGSLPAAADSTQKQETRATATTTEPLDDDLSPESLDKVAELLPRIRTMPPPDETPRDSGCAKRAADLVGKRSFFTAAVGDSGSISSYQARVLEAEEPEEAALAEEALGNEEQCMVLSSPSS >cds.KYUSt_chr1.5664 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34976609:34979315:-1 gene:KYUSg_chr1.5664 transcript:KYUSt_chr1.5664 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRTRRQSSGSQSAQRKKRKRRAGSNQRSSKRKRTSEDEEREEEVSSAGSSPIRVPHIPDEVYRRFDDPDTWKTIQKAQADYDAKLARRMTYPTLNVHMIRASMCVLDDPELVPDHESARKAVLHAAQSVVGISSSVGGKPLARGCGFWIDWDKKNKMGTFLTTSRLICTESPSFNCWLGQEEYDLDAEGDITEKAHLQYLQKHYDLAFFSVKVDQPVYIPSFNDGASLGLTLE >cds.KYUSt_chr2.40503 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251667464:251668720:1 gene:KYUSg_chr2.40503 transcript:KYUSt_chr2.40503 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAPAGIVASSPAAMYGVIGSSLWTYCRLAGLVTATMASSTPHSQEPGPSSTSTQARIHNVPTSGGGRTTRKVIAKTRQHPGSNIPSPSDQISGLDHPPTPTTAITGGTSLLARNARVQPLLARNSFLSEPPR >cds.KYUSt_chr5.39487 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249934372:249935850:-1 gene:KYUSg_chr5.39487 transcript:KYUSt_chr5.39487 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIVTITTLALLAGAVSAWLPKEHDLAAFKGINKIRGVNFGGWLICEPWMMSDEWNNVMGCNGAASEFDCMLNNYMGSNRAAGNDKFETHWRTWINADSVESVHYVGLNTIRIPIGYWSYVDIVDKASEPFADGNRMLPYLDAVVQKAADLGMYVIIDLHGAPGGQQQDAFTGQNNKPAGFFNDYNFDRANRWMSWMTRRIHTNPAYATVGMIEVLNEPVSGHDSDGRYPAPGQVPGLVQKYYPGALKAVRDVEASLGVADGNKLHVQFMSQKWDSGNARDNSAVANDQRTAFDDHNYIGFALKDGGDRDSLMRSACNDHRTVNGQAFTITGEWSMTSSVSPDDKDFFRKFFTAQQQLYEEPGMSGWIYWTWKTQLNDPRWTYSHATYLNLIPTDAAALERNVYQDICYPYR >cds.KYUSt_chr5.35949 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227125550:227128177:-1 gene:KYUSg_chr5.35949 transcript:KYUSt_chr5.35949 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAVATVLHRVGATVIQEASSLSEVPGKVEALKSELKRMQCFLRDTDTRMERGEMVNHLVSEVRDVAYRVEIIIDMANILARESNRKRSFMGAISKGAQYPFHWMHLYIIGKRIDKVMARVRTIFQDFTKYSIVSTSLNETRYSMDENESLRARRLTLPDFEDEVDVIGFDSQIDQIKDQLLDLENKDLTVISLVGPGGAGKSTIAKKVYNLVSKKHFNSSVWICISQQFTAYGALKDIAKGAMGTQDFEEIGEMKEIEIIKKISSFLKDKRYLVVLDDVWRKEDWDIIQATFPNVKNGSRIVITTRNSAISNHPNTRKIIHEVKLLNNEESIELFNRKAFPYYAADGRNDLDSFRELGKTLAFKCNGLPLAIVVIGGFLSKNLKITEWKRMVTSINWDAMKNEGDIRAILDLSYYDLSSNLKACFLYITSFPEDYAVPVGLLTKLWISEGFIPNVRGCSLEETAISYVEELAQRCMILIEKRSSKCIKTIKVHDVLRDWGIGRARREGFFKDCSSSNEVDTSYSDEMRAYRVVLHDSVCVRVGVVIPNLHTLLIHNAARLEWKVFPSKGLHYLRVLYFDGMRARWHLPREIGHMVHLRYLGLKGGTYVLPASVSNLANLHTFDARDATMEALPISLLSILTLKYVHIYKVESWSMQNITMQSNLKCLFIFLSSSMPKQWEAVIGRMEGNPSQCFGKHYQIIKQLEMVGACEDRFGVPNDLQLPDLFLLPRNLRRLKISCPNLLNDEDPMPTLGSWLTFLNVLEIGVKSYTGATMTCPSGGFPDLYNLVLHDLDIEEWILEDGAMPKLRILTLCKCTKLKALPQGLQQLKELKKVKVIAMPELDQVQCYLLHRAGREVIIRSSEEDFEHVQIP >cds.KYUSt_chr4.7870 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46890375:46900630:-1 gene:KYUSg_chr4.7870 transcript:KYUSt_chr4.7870 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVDAGSKLLKAGIALPDQAPGLVMPSKMKFEAAEEEGQAPDGAAVVEEVHPVVRGFVKDWDAMEDLLSYVLYRNIGWEMGDEGHILFTQPLFTPKALREQLVQLMFEKFNVSGFYDSEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHVASKRFEIGGTDLTNLFAQELKKSNPSVNIDISDVERLKEQYACCTEDQLAFEDIGSSCQPEKHTLPDGQVITIEKERYIVGEALFQPSILGLEDYGIVHQLVTSVSNVATEYHRQLLENTMLCGGTASMT >cds.KYUSt_chr1.30432 pep primary_assembly:MPB_Lper_Kyuss_1697:1:184250776:184252761:1 gene:KYUSg_chr1.30432 transcript:KYUSt_chr1.30432 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSNTLLHFLRHVSFPPDPHLLPSALKSCPARPLARALHAAAAVSGLAEDPFVASSLLHTYLRLGAPADARSVFDRMPEKTVVGWSALIAGFSARGDAEAAWGLLDRMRSTGVEPNVITWNGLVSGLNRSGRALDAATALVRMHSEGFLPDATGVSCALSAVGDVKDVSVGEQVHAYVVKAGCRQDASVVTALIDMYGKCGRADEISRVFHESSHMDAASCSALVAGLSRNAQVSEALLLFGEFVSRGVELNVVSWTSIVACCVQNGKDLEAVDLFRKMQSEGIEPNAVTIPCVLPAFANVAALMHGRAAHCFSVRKGFLHDVYVGSALVGMYAKCGKVRDARTIFDAMPCKNVVSWNAMIGGYAMHGEAANAVQLFRMMQSLKLKPDLVTFICVLGACGQAGLTEEGRRYFSEMQQAHGISPSMEHYACMVTLLGRAGKLQEAYDLINEMPFEPDGCIWGSLLGSCRVYGNVHLAEVAAEKLFELEPENAGNYVLLSNIYASKKMWDGVNRVRDEMKNLGLTKEKGCSWIEIKNKVHMLLAGDNSHPMMAGITEKLNQLAIEMRRLGFAPSTDFVLHDVEEQEKDNILAVHTEKLAVALGLISTSPGTPLRVIKNLRICGDCHEAMKFISCFEGREISVRDTNRFHHFKDGKCSCGDFW >cds.KYUSt_chr2.33752 pep primary_assembly:MPB_Lper_Kyuss_1697:2:208600058:208604387:1 gene:KYUSg_chr2.33752 transcript:KYUSt_chr2.33752 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSSLFKSSGVPVVDADIVARNVVQKGTGGWKKVVKAFGNDILLENGEIDRARLGQIVFSDPVKRKLLNSLLAPNISFGIFWEILKLWANGCTVIVVDIPLLFETKMDRWTNPVVVVWVDPKTQMERLMSRDGCSEEQAQNRINAQLALDWKKSEADIVINNSGSLDDTKQQFQEVLKQVSGPLTWKERLMSRDGLLSIVVCTTAGVLLAQKNLL >cds.KYUSt_chr5.14250 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92441396:92446371:1 gene:KYUSg_chr5.14250 transcript:KYUSt_chr5.14250 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMVSILEPSKPNFFPAMTKIVGTLGPKSRSVEAISACLKAGMSVARFDFSWGDAAYHQETLENLKLAIKATKKLCAVMLDTVGPELQVVNKSEVTISLEENESVVLTPHQGQEASSKLLPINFSGLAKALKPGDTIFVGQYLFTGSETTSVWLEVSEVQGDDVVCVIKNSATLAGSLFTLHCSQIHIDLPTLSDEDKDVMKKWGAPNKIDFLSLSYTRHAEDVRQAREYLSKLGDLSQTLIFAKIENVEGLNHFDEILEEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYFKRTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSTTNESVLKVALDHGKVSGVIKSHDRVVVCQKRISDQFRLPAYSHSVPIFAAGAQFVTAPFAAGAPFVADAGGVRCRGRRPRKEVDVGEPECGEPEKRASRPAPWRGSCADGGARGPGGEAPGDGG >cds.KYUSt_chr3.39152 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246727719:246731392:-1 gene:KYUSg_chr3.39152 transcript:KYUSt_chr3.39152 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTLALALVSAATGVLSPVLAKLSTLLENKYSSLKGVGDEILALQDELSSMNALLLKLADIDDLDIQVKEWRNQIRELSYEIEDCIDDFVHRVEQRDPNKRKNMKGFFQESIQKLRTLGARNEIASKILKLKARVDHACERRKRYNFDGVASSSSTVVPIDPRLPALYAEAESLVGIDEPRDELIGRLAEGEVNSVRKLKVVSVVGLGGLGKTTLARQVYDKIGKQFDCRAFVSVSQKPDMRKILKNILTGVTGIEHYPGIEACDEEQLINKLRGFLNDRRYLVVIDDIWSTLAWPTIRCALLENNLCSRILTTTRITSVARSCCSPDYTYVYEMKPLNEENCYFNDIGRLFQLKYLALHEVNISKLPDEIGELQQLESLELRWTGIKELPKNIVRLKKLVFLYASNVRLFEGIGDMKALQEVRYVKVDSSTPTTSLDELGSLTELRYLGIDWLVSDTCSDQKSYTESFVSCIDRLCRSKFRYLNIGCDVKNGMTLDFLLDSCPPSLCVLQNFVMRSGYYFSRIPEWAALLSNVTLIAIHIKPVGEEVLWILGCLPSLQSLSLKTTEVAPGETLIISNSGFKSLEKFFFSWNIAMGPLVFEDGAMPKLERFVFKLVARCVRSPCGDFYLGLQQLPSLKHLLVDIDCRGANAEEVQTTEAAMKNATDLTLSHVRIDFSKLWMDQMAKDDDVGSVEGSEHMGSECQDTSRGSSCQPILPAAELELLKLQLAAHSHLCPWT >cds.KYUSt_chr5.30555 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193731615:193733271:-1 gene:KYUSg_chr5.30555 transcript:KYUSt_chr5.30555 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAEREVDALLTAEKREVVCRFRDCICRWLYFSLTTRVAPGIDYVDIPDADRRPAWSLLVGVTDGASSLLVSRIRVARSGRILGRSDDALDVFHNMVLANPPRDTFEAAAAPLAPDCSSICVVRCYDDDEQPQALQLTLQPHTDDDTMELPLPELEGACPCIPIYADGHIWVLSATLLDDDIDSFRVVTRRLVDGMRWEHVGAPFTGSVIHYKRPPWSGYFLQGYAVLPDTDQILVSFQNFGLFLTFHTKSGRWTPVLTDTEEPRLEDYLPIHGRGIYVRQHQAVYMLRDSTIYAYKLSYHKRAQGTPLLKLDPPVTIDFICPFIPEKGYGILTRLTDRLLCSVWISLAWRKPACPCHYLHAIVTTFHLRDEFQGGIKVLHSTYRRVDIVPNPLADQNFCFLQEYADQGSLVLEQHEEMQEDLISSQHVDEPSEILSCCRRMLDIRKVKPSPVRFSDRYIIRDWSREPKKPSSTIPPLGVGTESQHSG >cds.KYUSt_chr6.8376 pep primary_assembly:MPB_Lper_Kyuss_1697:6:51430892:51439201:-1 gene:KYUSg_chr6.8376 transcript:KYUSt_chr6.8376 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSAPGADPGRPERDDAPRPCVTSAFTLTTSRRTTEPCLAWTRARGRGNAVDDDRVHDQPPLRSPPEKEAAPGRAAASRRLPIIDNVAAHHSDDRRSSDDPSDPLRPPRSPLPLAVDDDNLQPLDHRLIQRLSFPVPVRSSRAAAPGGIAAGGAGGAAGKDGPGVGDTLSCPPSPQLDIASYLRCDPVDSLQAPRWTPSLQRFDLGEFSTPAGGPKPESFDHLQSSERHLTAVSSFSSASPFSETSQMASSSKRPASHLPRNHLGRRSFMSTPVYPLVFRNPVSEAGASGMPEASNAGRTTPSDDSQVSPVWRRSLTSPELKFHDTLSELRKMEASPGPNTSSRREGFRWSSASSYDFGYDGEAIDISDHISVESQRSPTSSVSSLKCGLCERFLRQKSPWSSNRIVRNTNMPVAAVLPCRHVFHADCLEESTPKSEVHEPPCPLCVRATDSEGCVSFSEPLHVALRSARRNQSISFSSGGAGGSSSGNPPRNDHVLKRNQSALVPRRSGSLFRNRLKKQFPFKGRISKEFFGGRVFRKVGSTSSSGQQDEAAKPDDQSMK >cds.KYUSt_chr7.29225 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181850485:181858184:-1 gene:KYUSg_chr7.29225 transcript:KYUSt_chr7.29225 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSPKDNSSVNVDNTYMNKLKMHPKELSLKDGKVQVEDVRGPKGDGGLEARMEKLEQEVFRYKKMAQREVDIIHKINEEVIREHMKEVENLWDDILTLHETTNKLQAQLYGMQNQNCEYETRTNVAVMLTPAPSECTGLSMPAQAPEDQQIWGAKYGNGRAVPRRIFDLEKELHQYEHDMGLILIDRQEMAAKYDQLSQVYAQNKEILKREQAAHLNAIYEYEKREERIRKALCIEKQHVADLEKALHEMRSEIAEVKFVSQKNISGANSLQANKDEKSLLIKKKLSAADGKLEEANLMMSHADKKIKDIKTHQRRCEKEKLCYETEWKAQKNQLKEKEESLDEWEKRLMESQNRQVSFQRSLSGREDKLTAYDKTLKMKQEELLKVQECDINNRLHNLHAYEMDMKSKYKTLEEKEEILDDWEDRIDMKEKKLSYERKRMESFHDSEKRRLKDLELELRAKYKKQMKDIILSEEAFMCDIEQQKLQNNELREGERANSKRRFDLHSQNLLNTETSPHGTGRLIDSTGHFMLLQKCSGHFRSYPSKKSEHSLEHKVSFGARPDNEALEHEEDYEPSRIYEGANDSFAFSQETPSDAKAAEKEELERVSLGGHESFSFGVTDNILKTQSGDTTSSMELDANIILNDGNGNGPEGGLHSETLNQGERLQNREGRLRSVRRTRTIQAVIDATKALISPISEEKHSDQKDFAAPSAAGIEQRVENTEVVYSDGDASGAPLRKRQQLGGTATQVPGEKHYNLRHNRVVSAATSSKTRSGEARAPKVGSKRKTEESSSDDAKGASTCGVPSALLSTELGEAAKAHESSHMNLPAEAQEVCTEESDGDGCTEESDGDDEEPPTGNDSFRRWLWIFLTT >cds.KYUSt_chr5.43133 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272066077:272071840:-1 gene:KYUSg_chr5.43133 transcript:KYUSt_chr5.43133 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCCRIQEELLLPLPAGTGRWTSSSSTTERVTEYGAARSVYQGSQYTPKHLKRKGQWIEPCSNKALTTARAMEQSTAGSPWLLLLFLAAAATGGARAQTDSKGFISIDCGLPGKASYEDATTTLSYVPDAGFTDDAAGTNMNISAEHMTSQLSRRYHDVRSFPDGVRNCYTLRSLVSGRKYLLRAAFLYGDYDGLGRPPVFDLHAGVNYWMTVNASDPGEEVIAEALVVVPDDFVQVCLVNTGTGTPFVSALELRPLKMRYYPQVNLTQGLVLAHRLNLGPETGIVRYPDDPNDRVWTASALKEGREISTTETMQFNYEVPMVVMQTAVTTPNASENIDLSWEPVPQPRDPSPGHFIITHFSELQILPTNALREFYVSINGAKVANNIRLPYLGVNMVYNQDAPYRDSRYNISIGVTANSTLPPVLSAIELFFSMSTTNLATDSRDVSAVTAIKARNMSFSGLNGDISPSFANLKALQYLDLSNNNLTGTILDTLSQLPLLTLLDLSGNQLSGAIPSGFLKRIQDGSLNLRYGNNSNICTDSNSCHPAKMKSKLAIYVHILTRIHHKNLVSVIGYCNDGEHMALVYEFMQEGTLEEHISGRDHNGVCLRWKHRLRIAVESAKGLEYLHKGCNPPLIHRDVKASNILLNSRMEAKIADFGLSKAFNHGKETALSTDAVVGTLGYVDPEYQTIGRLTTKSDVYSFGIVLLVIVTGKLPTLNNPQNMSIIEGVQQQLSQGNIEGVVDVRMHGDHDINSTWKVAEIALKCTSKSSMHRPTMTDVVAQLQECLKLEEDRDDGDMNQGFYTSIDRTDLDWRYDAYPVHHSMNMNESRTMMEHNFGRVPTMDTGPAVR >cds.KYUSt_chr5.15539 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100405727:100406627:1 gene:KYUSg_chr5.15539 transcript:KYUSt_chr5.15539 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLLPGTSRQANMSRIHPSDRRGDHGARRAASAARSGHEQPAVYTVWKRSSMGFQGTDGFSVYDAAGRLAFRVDNYARRPKAFAGELLLMDGRGAPLLSLRPQILSLHDRWNCYRVAPEEGCPDNTDGGSSGPPQQLFSMRKCAALQSTDDAEVYLSSASTATTSGRGCRAQPPPPPGFRVEGCFSRRSCKITGSDGHEAARISRKKSGASSTVSSRPVSLGDDVFSLVVRPGVDAATVMAIVVVMDRICRKPYAPMACSSQYCPIA >cds.KYUSt_chr2.38021 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235297150:235299463:1 gene:KYUSg_chr2.38021 transcript:KYUSt_chr2.38021 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDAVDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGTSLQYAGPASLVWGWVVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAYAGSQVLQSIILLCTGTNKGGGYLAPRWLFLVMYLSLTFIWAVLNTFALEVIAVLDVISMWWQVIGGTVIVIMLPLVAKSTQPASYVFTHFEKAPDVTGISSSAYAVILSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIITVFGWAYILALTFSIQDFSYLYDPTNETAGTFVPAQILYDAFHGRYNNSTGAIVLLFVIWASFFFGGLSITTSAARVVYALSRDRGVPFSSVWRKIHPTRKVPGNAVWLCAAVCALLGLPILWINVVFTAITSVATIGWVGGYAVPIFARMVMREADFRPGPFYLGRASRPVCLVAFLWICYTCSVFLLPTVYPIKTDTFNYAPIALGVVLGLIMLWWVVDARKWFKGPVRNIDEQVDHNGDGNGSVKV >cds.KYUSt_chr2.16374 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102987390:102988028:-1 gene:KYUSg_chr2.16374 transcript:KYUSt_chr2.16374 gene_biotype:protein_coding transcript_biotype:protein_coding MWGIWSPVHASCTWWPVAVSLLLMELRWLEPYVSGNGLLTVPMNKLELVAGEDEVGFASLHVCYNHQGGGGVDRKGDVLISSQRWGLMLHLAEILNGGDLAPLLSSAMVATPRRGPVGSVASSTSRPAGQEGGLFATPSRRSIVTPSPSGLVPGVGDVGRDAEFIIKLRWRRTQGLDCFLLFCFGVLYVKVQVMVVFSSLFWTLQQFVIPPL >cds.KYUSt_chr3.36198 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227537385:227538791:-1 gene:KYUSg_chr3.36198 transcript:KYUSt_chr3.36198 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPWRDDDFMNADVGHSGLTPTDERRAHVGNLPWRADSRSLIDAFANHGVRYAEVIVDRETGRSRGFGFVTFEDSKSMNSAIKDMNGQELGGRNITVNQANQRSRR >cds.KYUSt_chr2.16667 pep primary_assembly:MPB_Lper_Kyuss_1697:2:104765161:104765808:1 gene:KYUSg_chr2.16667 transcript:KYUSt_chr2.16667 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLIVGVRRDPPMRIRADNGDERHEKKKNGEQPHCSRHVPANCDEFFLGGGRAAADGPRGARRAAAAEAAQQTGGGIARPAPRARNRRVLGASGIQLVGRWRRSAAGPGAEATVVQSGSCWQGAGARADGEPGAGAAGAVAAGHGCAWRPAQEVELPAHAQPAAERVVPCVPCIATAIVIFVPCGGSRAARFSWCRLCTFSFLFGFSGCFAK >cds.KYUSt_chr2.53990 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337018243:337019466:1 gene:KYUSg_chr2.53990 transcript:KYUSt_chr2.53990 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIKKMRDDVHLDEGLVEEILVRLPAKSVLCYRAVCKEWLRITKCPAFLAEHARRRPLEVLLYTRTTAAVADGVGRQVLALDAVAVSGGPRRPVARHPLMAEPRTYRSIQYCPLLASCDGLLLLGVGGVEPEQYLICNPTTRQWSDLPRPSGYAGLEEHRESGFYFHEPSGEYRLLYYVSKRHGTTAYYCVLSVGANVPRRLAVQATPIEHTVAVASHGGHEFGSLHNLMTPAVLHGHLHWLQHMEAGLSGQMVAFDTVAETFRRMPPPPVTQKKNSNLLAADGSLMACEPGHLFIDLWALDGYAGAAATGKERWERRHRIEVPWKAYTLVLTAGDDEGHVVVGTKLGVLAYNVRSGAVRLLTGVDASGGPQAVDPSRHVLRESLVRHDFFERRPHPGLPFFSFCT >cds.KYUSt_chr6.11653 pep primary_assembly:MPB_Lper_Kyuss_1697:6:72485763:72502742:1 gene:KYUSg_chr6.11653 transcript:KYUSt_chr6.11653 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPLKGTLPVAISPTKPLPHPTVSTPPTPWGRNDGRCARPRTTTSLAARGPARRHGSAGTSASTSRGSYGRKTGRYHGRTRTCREGADTSTRGACRFPVPREDRERRDEVLRRRAILLPDLWEDPAYALNSYNWISFGSWEFDARCRVGYLADVDYFEREIAAEEEENDQEDADEDGDEDVTMDDGGPAWDPETQLPDISEEEAIAMALANSELALWNGFAIQLRESALARVTTYNGLESCIFNSSSCDEDSGVSATTGADGCVTTDSLEDEVSSCSSSKDVYGSSFSSQCLTLSKQEEHSLYELDTLDSVHLLPIKGKNPITYTLSASDIETMKEKFARLLLGDDVSGGARGVCAALALSNGITNLSATIFGELWKLEPLCKEKKIRWRKEMDWLLSPTTYMVELVPTKQNGADGCVFEIMTPKARSDVHVNLPALQKLDSMLIEVLDSMVDTEYWYEDSGSRAGSRGKNNGQRQTKKWWLPSPCVPEQGLSQLQRKRIIFQAKLVHQILKAAKSINEQVLLQIPIPTAVMDALPKSGRASLGEDLYHAITTDYIPIEEIFISLSLKTEHSVLETMNRLEGALFAWNQRISEERSRRSPARHSWNFMKDKSSEVEKMSACIERVETLVQLLKSRFPNLPPTFVDVVKVQYNEDVGHAIVEAYSRVLVGVAFSILSRVAEIMLEDDLIKKPNTPMATLKFDLSSDVYLAGITETPPGHIRRSLMDQISMVDGRFDAIVKKKGAKQLRWPSLADGNPDAASVLRRMQKPGGLGQEPGLVRVGLTCCCRCSTALDLRAAGACACACLLGGGCGERMEESGRGKEAAAAAPWAPSRSTAFRPYSYAATGGDWAEAPSARGNGVAARSSNLRAVRKRPLVTRLTRDIIQTFQKCNPGFNYSDLLNPKRFLTNPSVAAHNDGLDNANSDLIMYVNLELVDKKSDRRYVVQEMLGQGTFGQVAKCWDAETNSYVAVKVIKNQPAFYQQAIMEVSLLSMLNERFDPDDQHHIVRMLDFFPWKNHLCIAFEMLGHNLYELLKKNSLRGLQLKYVRTFSRQILDALVVMKGAGIIHCDLKPENILITPNVKSAAGVKVIDFGSACMEGKTIYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELYIGLPLFPGASEYDVLSRMIEIIGGQPPDDLLREAKNTRKFFKHVGSIYPGNGAHGSLGSAYRILTEDEVEARDSKKPKVGKWYFPRGRLERLIFAYPWKNLNEGDLPEAEKADCLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEAFTGPYEPFRETPVIPVGRAAAVDHNPGGGHWLAAGLSPQVGSINRSLPFNNVFPPKIPLSYGSSYGSLGSHGSFNDNVGLASSYGSYDTHNVNMYNSPLGPSGFNLQSQAGGTFLGFSPDIRRRHHLSHGGGIRSSPGGPGPMSLGASPSQFTPPNSQMHIPTSATAKYATSPARGSHGSSIGKAAVAGQYNRRRNLGHPPMSMPPHEYTSQPIQGHHGNGASSAFARGYSSHSYGALPNSGHYNWRPQVGTSTGVSTNSSSSHGYVQPSNYNDFRPLHSSTMPADTLASTSSVPDPADWDPNYSDESLLQEDNSLSAELGSLHLRDASGQTIGSSRLLDIQSHEIASSNPSSMNHRPPHPNPPFIVLDVQYQQLLGMHWFQVAAHFMHRLSERVAIPQDRDTEL >cds.KYUSt_chr2.7278 pep primary_assembly:MPB_Lper_Kyuss_1697:2:45733430:45734613:1 gene:KYUSg_chr2.7278 transcript:KYUSt_chr2.7278 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVLAVLASLLSSVSCEFSIYAGYGYPPPNPSQPYPLPPACPPLSPAPSLKVGYYADKCPCAEDVVRDAVRNATAGEKAGLVRLFFHDCFVQGCDASVLLSGPQTERTAFPNLSLRGFEVIDAAKAALEKACPGVVSCADIVAFAGRDASYSLSDGQINYGVPAGRYDGNVSRDIDTFFNLPPPFGDLNLTTAMFAAKGLNENDMVVLSGAHSIGRSSCNSFSDRLPPAANSSTAMEPILAADLTNTCSAGSVNVPQDFRTADTLDIQYYKNVLSRDVLFNSDASLTTSLLTNGWVDFYAGNRPSFLGKYLAPFVWHNDFGEAMVKMGNIGVKTGPPEGEIRSKCWSVNNP >cds.KYUSt_chr6.22590 pep primary_assembly:MPB_Lper_Kyuss_1697:6:142736913:142738154:1 gene:KYUSg_chr6.22590 transcript:KYUSt_chr6.22590 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQKMIAEMSLELKSGMSSLQSGLSSVETKLESTRASVDVTASSIHSLNTWKGAIDTQVSDLGAAVHDLRKQVERVTVGAGLSALGPPPTNSGAPPSSATSANMGSGINPGPTGHGSTNTHRRQMGNQLMVPLSTPVTGTNKDSHSLEMVPITAYQNPAVHRTTPQPPPTDFPRFDGENPRLWQKSAEKYFRLFAVEPGDRVEYATMHFTGNAALWLQSVEDRLPAFTWETLCELLGKHFDRGQYQLLYRQLFRLRQTSLVNEYVVAFNTLMHHMLAYKPDLDPTFFTTRFIEGLVTPIKAVVMIHMPDDLDSAVSLALLEEEIEEDLPKNNGRHSMARFSPRQQAQVFQHNKPMPSTVDDRAANVNSKVSALKAYLKARNLCFTCGEKYAPGHKCSNNVKLHVVEELFVG >cds.KYUSt_chr4.47567 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294456648:294462196:1 gene:KYUSg_chr4.47567 transcript:KYUSt_chr4.47567 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEDIVIAGAGLAGLATALGLHRKGVRSLVLESSATLRASGYAFTTWTNAFRALDALGVGDKIREHHLRYERLVAFSASTGEAAATVSLKMQGKSGPHEIRCVKRNFLLDTLENELPEGTIRYSSKIVAIEEEGNVKVLHMADGSIIRANVLVGCDGVNSVVAKWLGLPKPIVSGRSATRGLAEYPEGHGFGPEMLQFIGQGFRSGVLPCSDTSVYWNYTWYPSPADGDAEESVAKMRQHVLAKLGATKKIPAEALDVIERSEMSEVVSSPLRFRSPLALVRGSISRGNVCVAGDALHPMTPELGQGGCAALEDGVVLARCLGEAFVGAGNDGGYACVTAALEKFAGERRWRAIRLITAAYVVGFVQQSSNAAIKFLREKFLSGLLAKLMVDMADFDCGKL >cds.KYUSt_chr7.30561 pep primary_assembly:MPB_Lper_Kyuss_1697:7:190200813:190205516:-1 gene:KYUSg_chr7.30561 transcript:KYUSt_chr7.30561 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDMDGSFDFFEDVVFDVAGFDSMYLPAEDSLQSALNSWYDDSSSPEGANPCLTRAAKNIVLERDRRKKLNERLCSLRGVVPNITKMDKASIIQDAIAYIQELQEQERHMLAQISDLESAACTDLVIQAEEDAEGSPPWKKMRRAASSINGAVFSLATDQRVEILEMELTEVGENLAMVSVKHNKMRDATSTVCRALESLCLEVMTANITTIAGGIIHTMFVEMKEWEGEKNRMFHEMGFSSETSPMQDDKRDEHESL >cds.KYUSt_chr7.16597 pep primary_assembly:MPB_Lper_Kyuss_1697:7:102808701:102809486:-1 gene:KYUSg_chr7.16597 transcript:KYUSt_chr7.16597 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRALGDPGPSTTAVVRREQTPVVAAEPAVVVAAPAVAAEEVVDAVYEDEASASNISADIDELMPVPPEFALPPMEWLLGGPSAGWLVDDPERDFGDEELLVPPPPPASPPTMYYCMRHGFQPCLPSPTPSDEDRAHFAPPGYDPVPEFFAPPNAVQPEAAMEEVEAVAPAPAAPVLPDLNLPAPEKEENEPVLALPTPSPEARVLLRRFASAMAARPVGIRRGTWSPEALGLTGRVAELRLNEASHHSSSSAEGPRRC >cds.KYUSt_chr7.2660 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15636729:15639708:-1 gene:KYUSg_chr7.2660 transcript:KYUSt_chr7.2660 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNDLCIMAIVVLAAVAAAVGDGEAAAVEHTFIVSEMKMTHLCNETLVTVVNGQVPGPAIEVTEGDSVTVHVVNESPHNITIHWHGLKQRLNCWADGVPMVTQCPIRPGHNFTYRLNVTGQEGTLWWHAHVSCLRASLHGAFVIRPRHATYPFPKPDREIPIVIGEWWNMNLAQLAKNMGDGYYDDSSSATTINGKLGDLYNCSGVVEDGFVLDVEPGRTYLLRFVNAALYSEYYVKIAGHEFTVVGADANYVRHFTTDVVTIGPGETLDALVVANATPGRYYMVAVGGQAPKPDIQIPETRSRATVRYAVGAGNGDEAPPPVDPEMPDQHDYMVSFNFHGNLSSLSRPSSPPVPATADESLFVVLRMGSICRRGRLSCKRSGSKESIIVETMNNVSFQLPAAAAATPLLEELYYDHHRHNGTAGGDGSGLDQLYTLPDRPARPFNYTDRALIPWGPNEAWLEPTEKAAVARRFRHGAVVDMVFQNAAVMDTDNHPMHLHGHDMFVLAQGHDNYDAARDVARYNLVDPPLKNTVLVPRLGWAAVRFVADNPGVWYMHCHYELHVTIGMAAVFIIEDGPTLESALPAPPADFPKCDR >cds.KYUSt_chr2.39578 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245537541:245538019:1 gene:KYUSg_chr2.39578 transcript:KYUSt_chr2.39578 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLCESLEENPAASVVVPETVPAEDIQVDPGDPAADKLNAFLSSVFRPVPPPILASPPSRPELLARVLGILKENAEFDDNALAAFIDKFKTPLSSRSITMLGSLLKNVEKVKRPKSNKVGTKKVVEIT >cds.KYUSt_chr3.42452 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268501183:268502626:-1 gene:KYUSg_chr3.42452 transcript:KYUSt_chr3.42452 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVWSRAQGRLDQILSILCSWRRLLELRRSETVKFVTPGISVYKIQLCYLWILDVALLSLPPLAGHGGEEKRKDGASICDSRGRRGSSSSLACRRLVRLSSLSEFTPLPRWEMVRPASAVSSCNNKHPICLLFSGGQPASMLLLAGPGGEGEEQAVVGLATKQRRCHGSPCAQHAVTVVVAIFGRSSGLISSSATEVFGSVAGARHAQETKWFVPGVLGVAGGGIQSLEKSLRAVCSLISAVEPRAVTCALVTTHKLDLPQERPTLGARSTQGRKRPLDCTTTTSQIEWRQDEAGTEPIERNKQSCRGDKQGERDARPKRHNKVACLRDWAVNRLCVCRRRRRLCLPPAAGRQAGTSFWELSSPPAFV >cds.KYUSt_chr4.10523 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63876880:63878010:1 gene:KYUSg_chr4.10523 transcript:KYUSt_chr4.10523 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFSPVAGDGPPSGSASSIVAGAVSGYHLLKIVGYSRTKELPNGQYIKSRPFRVGGRTWHVRYCPNGSQSNSIDFISFFLKFDDTLAEGETVKAQYKFSLLDQHGKPVLAYTKTGRIREFSMDGQYWGFDKFISREALEKSEHLKDDSFTVKVDVTIMGDFHTQDTPSIVLPPSDMHRHFGDLLSSKVGVDVEFRVGGETFSAHRSVLAARSPVFRAQFYGPMKVGTTAEAICIDDIEAHVFNALLTFMYTDALPEMKHGEESAMAQHMLVAADKYDLDRLKLICEDKLSRGISTSSVATFLALADQHHCYDLKAACLEFLSSPTNLVAAMESEGFEFLTKSCPGVMKDLLMSQVVPTLLGKENQVHQREVSVLM >cds.KYUSt_chr7.2057 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11787699:11788484:-1 gene:KYUSg_chr7.2057 transcript:KYUSt_chr7.2057 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEWVLRPQNNTALDDILPCADAAATTDAVRRSKEVNQQLVATLNGLLSNVSNANNVPPQVGPPVYYNQSGPPVYYNQSGPPVPLLCNPYRADLTDRPCAAGEVPAINAPQAWQGFVCRTTGASGSEVCATVGRLTPAMYTQALAVANASDGLVGYGPVLAGLADCTFVRRTFETVVADSCPGLRRYSARVYQALLAVAAGVMAAVVAWLVHSRERRRRREAVRFRVSPYRLPIDEKSLLKSPRRPYRRAESNGGLMTR >cds.KYUSt_chr6.17970 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113124582:113127910:1 gene:KYUSg_chr6.17970 transcript:KYUSt_chr6.17970 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTATPSSSSSTTKNPNPFNLPPWLRCPLTFLCPPPPPPPPPPLPPPPPPPQPAVVPLEPRRRLPGLRVTTEYDSEEAVFAHKVSCKLAGGLAKLRLSFQSEPQGQGEDPRHLFSTPVLGFVTKHFSAMYDVERNNTLLRGNASLPGGAVQLRASHDVKEQEGEVAVITRLGDPSYRLEISSLVPYSGLPRATLHFPIGQVSVEERTNEDDQKMLSVYGAAKADFLDGILTAQYNENDLNLRYCYKDNEVTLIPSVSLPSNAVSMDFKRRFGPSDKLSYHFNFDTDDWNAVYKHTIGKSYKLKAGYDSEVRVGWASIWAGQEEGKAKTAPMKMKVQLMLQVPQDNFRNPSVLFRVKKRWDL >cds.KYUSt_contig_2686.60 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000449.1:328477:330158:-1 gene:KYUSg_contig_2686.60 transcript:KYUSt_contig_2686.60 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVIVHHARYKTYPGDVTGYVFYSCLVASVGGCIFGYDIGLTAGLTSTESFLIMFFPDIYRQQKEQVITNQYCKFDSQELSLFGSSLFLSAAVASLFASLMARTFGRKWTLFSAASAYVLGACMGGVATTFPILLTGRLLLGVGVGLCIHASPLYISEMAPAQQRGMLNILFQFMITIGILSASLANYFTAKFIGGWGWRVGLALGAVPGGVIALGSLAIPDTPISLLSRGETETARAVLSQIRGIGPDDVRQEFDDLVSACEESKAVVNPWRELFIGGRYKPQLVFALTVPFFQQLTGINVIMFYAPVLFKTMGFRQDASIVSSVITGLVNVFSTFVALCTADKVGRRALFLQGGTQMIISQILVGTFIGLQFGLSGTGAISEQYAMCIVLFICVYVAGFAWSWGPMGWLIPSEVYPLGVRSQAQSITVAVNMCFTAFIGQIFLTLLCHLRFGLFFFFGAWLLLMTLFIAVVLPETKCVPLEEVSHVWRKHWFWRKYIVDSSADARGAEMRKRIALEMS >cds.KYUSt_chr2.37213 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230378145:230385724:1 gene:KYUSg_chr2.37213 transcript:KYUSt_chr2.37213 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRSSSTAPVRRALRISQAALSTLPSPSRPATVVPFSRSVAAISGASNAFSWNFRRLLSSKNKKRRLPNSDEKRLLAKSDSEIETVLKDLKAIDLRSSNPPAISDPEIETALKDLMAASWNELPRSLVEEAKKAVSKATNDVAGQEALKNVFRAAAACEEFGGVLTDLRMALDDLCGLTGVIVGPLPGYVEDAVMSTYDRYMRYLESFHPDEYYLQKKVETELRTKMIHLKMRCTGIRMQLGYSSTLTCGADGTEGANNAFSWNFRRLLSSNEKHLPALSDPEIESALKDLMAASWNELPHSLVEEAKKAVSKATDDVAGQEALRNVFCAAVACEEFGGVLVTLRMALDDLCGLTGENVGPLPGYVEDAVKSTYGRYMKYLESFGPDEHYLRKKVETELGTKLIHLKMRCSGIGSEWGKVTLIGTSGISGSYVELRA >cds.KYUSt_chr2.13042 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82738570:82740310:-1 gene:KYUSg_chr2.13042 transcript:KYUSt_chr2.13042 gene_biotype:protein_coding transcript_biotype:protein_coding MGILCFGASSTLLCGEDRNSVLGLGGCGGDGDGEVVEAGSGLDFLEAGALFPVDCDEVVGVLVLKEIDHQPKGGYVERLEQGGFESSWRKDAMDWICKVHSYYNFGPLSLCLSVNYLDRFLSTFNLPLMSVACLSLAVKMEETVAPLPVDLQVCGAKNMFEAKNIKRMELVVMETLNWRLHAVTPFSFICYFLDKFTEGKPPSYMLASRCAELIVGTVKDYRFLSFRPSEIAAAVVLLALFENQVIGFSSAIAASEIPVNKEMIMRCYELLVRMRGNLSASLSAPQSPIGVLDAACFSFRSDDTTPGSSPSNNNNSGNNDQASAPASKKRRLSTSPI >cds.KYUSt_chr7.30835 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192023547:192024104:-1 gene:KYUSg_chr7.30835 transcript:KYUSt_chr7.30835 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGHGQAAAPPPPPSPPTPPPPASSSDDEFDSEDSTDLLHPVRDAATLAEAEKEAQEELAGHAALDAELEQRRLAAAAAAEGKVKPGACFNPGSATAEDAASKKEAANNFFADAEGKVKLVVAKTERGSTLVKTGRASCTATYVDNGIIEISDGEDSIKKQLASLVVVEEKKEEYVDIITVSD >cds.KYUSt_chr5.27327 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173003545:173011950:1 gene:KYUSg_chr5.27327 transcript:KYUSt_chr5.27327 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSRSFSEMSISLSAAPSPAEREALRTPRSPPAYASPPLVGALIESLSFRSCGFGRAASSAFEKEDLRLRATLPQRLRDAVHAALKARDPSAGAFALAEAPGVGGAANPWFALAPEDAPENPLVAFVNPRSGGRLGPVLKTRLQELIGEDQVFDITIVKPSEFVEYALGCLEQLADSGDHSARSVRDNLRVMVAGGDGTVGWVLGCLGELYVQNREPVPPVAVIPLGTGNDLSRSFGWGASFPFSWKAAAKRSLYKAILGPVSSLDSWHVVVSMPEEKEEEQELNLPHSLRHLGECTFYDDGTAEGELPETILCFDGVFYNYFSIGMDAQVAYGFHQLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIIRLSVKKMDSSEWEHIPVPSSVRAIVALNLHNYASGRNPWGTLKPEYLEKKGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGQWRDAYMQMDGEPWKQPLNAEYSTFVDIKRVPYRSLIINGADR >cds.KYUSt_chr4.38971 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240605752:240607111:-1 gene:KYUSg_chr4.38971 transcript:KYUSt_chr4.38971 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFSTPVGDAGVVSAFVPIKDIRPIADWAVVGFGAVDAYYSITDTKHNASTGYKPLVVGSDTRKNKKMEDLFSYIKCTASVVDAPKLELHDVRIRSHQREYTVACMSFDLSEGERCGAGAWEEVRAAVKLAGSFAGVLVIAEALRLFADTDARLTAAPTGPDPPVGLPCARSVQPLPGQHCDYSNWRRVPPCPLSATKGGEQQWYDMVNW >cds.KYUSt_chr3.26867 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167629039:167629260:-1 gene:KYUSg_chr3.26867 transcript:KYUSt_chr3.26867 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIWGRDSPLPSRDLRAGGDGGGGANPEPGGAILSFRPSLGIAGPAVMETDLALLLLKDWDHGTKGGGPGWR >cds.KYUSt_chr5.6532 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40567310:40570846:1 gene:KYUSg_chr5.6532 transcript:KYUSt_chr5.6532 gene_biotype:protein_coding transcript_biotype:protein_coding MYKMPHPSWADISEYMAEYWSAIVATVIFALVSGVTIYYTINQLNKNISLSLMKAIRARAKKYKKLKDKVPAASHIWRKEPGSRSKGLKCCVCLKSVSPPQYLGGTIHQCDICGATAHPSCSGNAHKDCKCVSMVGLDHVIHQWAVQWIDTADRSEEDSFCCYCDESCSGAFLAGSPIWYCMWCQRLVHVDCHNNLAKETGDVCDLGPLKRLILSPLCVKELHRTGAAGLFSTITSGANELASTVRETIRIRSKRYKKGITSSGESSGAAEPPSDTEGDSQGASTPAKRDDHANGKLDEVHQSSESEKDEQFIADKATSRPNVQPENSHAQNNQKYQIVDVPSDSRPLLVFVNKRSGAQSGESLRQRLQILLNPLQVFELGKDQGPEVGLALFQKVPHFKILVCGGDGTAGWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLSWGGGLGAVEKRGGLFSVLKDVEHSAVTVLDRWKITIKDNEGKLMSSPKFMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAKEGAKNMMDNMFYYFPWEVKLEIDGSNIDIPQDAEGILVANIRSYMGGVDLWKNEDSVSDTFQPQCMHDKMLEVVSFTGMLHLGRLQVGLSRAQRFVSPRLLIEMLSPSPAYAYVSLLKAFMLKRVSEEPISHAASIMADILENAENSGTISASQKSALLQEIASRLL >cds.KYUSt_chr2.54043 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337358870:337360607:-1 gene:KYUSg_chr2.54043 transcript:KYUSt_chr2.54043 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEEWQSLRDRLVEQHGDLLYAKHGAATVKEAIHSVRCHTVEDERRRMAALHAATQRCRARYARRAEFAVDAIARTSTLWLALVGLGERLTSTLYSDRYHIHLVFQALTAPMASLVREMASGLVRTALARSPRAPARWA >cds.KYUSt_chr2.27997 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171779851:171782529:-1 gene:KYUSg_chr2.27997 transcript:KYUSt_chr2.27997 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLYGAVLLFLVLSAATADVVPEQQQQQQKQPALPSSQSAAGGAGSSSNSSTVGVNSNSVLVALLDSHYTELAELVEKALLLQSLEDAVGRGNVTIFAPRNQALEQDLDPEFRAFLLEPRNLRSLQRLLLFHVLPSRLHSASGAWPAAGAASTARMTLSGEHLELSADAENSMLVGAAAVTKPDAVVRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVPLGAPPVLPVWDAMAPGPSIAPAPAPGPNSGKAHFDGHSQVKDFIQTLVLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMVPEYQTEESMYNAVRRFGTVRYDTLRLPQKVTAREADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDAVLFPPVDDTAKTGGAVEAAPARRAPAVTGTAKSKLRRGRLLEGACQMMGVFVRRSRFTSCEY >cds.KYUSt_contig_1658.310 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1986578:1987778:1 gene:KYUSg_contig_1658.310 transcript:KYUSt_contig_1658.310 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPPPVTEEPPAQDWSLLPLDLLSAVFVRLGLVEVLRGAGMVCRSWLDAAKVPDLWRVVDMENHIISFEDLDVWRARAKAAVYRSDGQLREFAGRRFVNAELMQYIVERSPLLTTLRLVSCSSGVFSYRLASLMRESPLRELRSLILENVDITVKKLTSVLKRCPALEVLTVRDCSGMYVEDEETLRAKFARIKTLTFECEDDDGGCCCGCDF >cds.KYUSt_chr4.21984 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138245312:138248356:-1 gene:KYUSg_chr4.21984 transcript:KYUSt_chr4.21984 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLHRHVLPPFRRPPLPFFRPGAGAVTSSTAQPQRRRPWTPSRILDPGDDVVLSWNRLFLVTCMVGLFVDPMYFYLLYTGTVTCVNIDMKIGVVVTAVRTVADLFYLAHMILKFRTAFVAPNSRVFGRGELVRDPDQIAIRYLKNDFIIDLAAMLPIPQAPIHPSLHLPLSLSSIPNGPFHIYVIIWFVIPAVSASSANHTNNTLSMIVLIQYIPRVFLIISLNSKIVKSSGVVTRTAWAGAAYNLLLYTLASHVLGALWYLLSIERQYTCWVDVCTRENGTAPGIPRCFVSYLDCKTKDDPIRKKWFAASNINAECKLPDATYKYGLFADALNLDVVGATFFEKYLYCLWWGFRNLSSYGQNLQNTTYRGETIFCILICIMGLVFFSHLIGNMQTYLQSMTVRLEEWRVKRRDIEEWMRHRQLPLELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVNEMLFIIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVRSVTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRHKKRKLAKELMKQEGILFEDGQGGDDDNGGAGGAASDRAPLLGEYKDAAGAGGAASSSAEGGDAGGVHLGVTFLASKFAKNTKKGAHQKVVAQQRIDDVSSMKFPKLAKPDEPDFTLHTEDVL >cds.KYUSt_chr6.6444 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39009580:39010538:-1 gene:KYUSg_chr6.6444 transcript:KYUSt_chr6.6444 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTHYTPGRLPPNRAASSPATLQIFSIKLPEVAGDLHWPLSVYGKVVVRDVVDRNRNFIFSRRRENSQELTQDDPFLRLIGPSRAVVYTDRVTFEIQLYVKGTTKSQDKPLITQARDYHELFGDASTVCFKNCFCTIELRVQVVERTTQATILGVQADISAGKPYPFEYGARVICSPLPGKSKYPEIVMVDSKDGAMLKGSDGYLHLPRDVVSVDYEGRLDVEIQAYSKSGKIVAKKHVSFLPRLSKISRGCCTIRGVEVVITVAWSRVAEDKLEVMAFGSLVQDRCS >cds.KYUSt_chr5.42526 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267955845:267956434:-1 gene:KYUSg_chr5.42526 transcript:KYUSt_chr5.42526 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSRKEPQAPPQAFVLTSARLVSVGRRCSISALKGARAAPSLCLSILPTELQARVVETGLEKFFVVSSQGARQASSSSLLREREQAVPGSDIRNENKHMAILSFPFIARFVS >cds.KYUSt_chr2.4691 pep primary_assembly:MPB_Lper_Kyuss_1697:2:29060215:29060604:-1 gene:KYUSg_chr2.4691 transcript:KYUSt_chr2.4691 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRRTPLLLVLTLAVSATAVAELVIHGRTADAAGWMRPEPSSCGGTEEECLREYGGGFGLRRRLNYYAEEEGGDGGYSTQPQYISYAALMRNSVPCSVPGASYYNCEPGGDANPYSRGCSAITQCRG >cds.KYUSt_chr2.51610 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322466884:322474414:1 gene:KYUSg_chr2.51610 transcript:KYUSt_chr2.51610 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTAVPSAGYGADGIYRSPRPVAPIPSDPNLTITDLLFRRADACPNALALVDAATGRSLTFAALRSAVRTTSVALSTRAGVRPGDAVLLVAPNCVLYPVCVFAATALGAVASTANPLYTTREIAKQAADARVKLLITVPDLLPTVASLNLPVILLDSDIPTSPPVPATPNVTRYSDLVSGVDETAYRRPPTKQSDTAALFYSSGTTGESKGVILTHRNFIATSTMTTSDQDQRGDGANVCLCFVPMFHIFGLAVITFAQLQRGNAVVVMSSFAMDTVMRAVERYRVTHLLCVPPVMIALAKQGMSGSHDLSSLRFILSAAAPLGKDVMEVVAKNFPNADIVQGYGMTETCGIISFEFPTNGKARRFGSTGVLATGVEGKVVDVETLKHLPPNQLGEICVRGPHIMQGYFNNVQATDFTIKQGWLHTGDLGYFDEEGQLYVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDTEAGEVPIAYVVRSPSSSLIEVDVQKFIESQVAYYKRLKRVTFVESVPKSAAGKILRRELIAQVRSEPARSSKL >cds.KYUSt_contig_1181.192 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1178235:1178909:1 gene:KYUSg_contig_1181.192 transcript:KYUSt_contig_1181.192 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLKTNQRGAWEVIDATGVDCFGGRRKVSARNTSDLVARLGLSQLDCALDRPHQTRWATSERIDSLESDMEGLQKLIETLSSDLKMGLSSMENKLASSLTSVETKLEITQASVDATLNSILTLNTW >cds.KYUSt_chr4.42933 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265962160:265962979:1 gene:KYUSg_chr4.42933 transcript:KYUSt_chr4.42933 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGNVVHPFHILYQIKERALSLCRDIYNAATRTWRGLRHSPASYLILSLAIILSTMAPAVMASSATSVAPFQGLKSTAGLPVSRRSNARLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPLLSTEDLLKQIDFLIRSKWVPCLEFSKVGFVFREFGSTPGYYDGRYWTMWKLPMFGCTDAAQVAKEVEEVKKEYPDAYIRIIGFDNIRQVQCVSFIAYKPPGCEESGKA >cds.KYUSt_chr1.32721 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198727031:198731379:-1 gene:KYUSg_chr1.32721 transcript:KYUSt_chr1.32721 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPAAVAFAASDPGPDTEPKQEKAAGPDDVTMTEASEEEAPAAVAEVKDEEAVVLMVDDDAPEEPVTEAVADPLYATESAGMVVADEPMEGAQQGVEGGGGGDRLESEARALTGEAEAKPGPAGDLAESSEPGENHAGAAHAAGSDNGGELDNGVAHFEENGPSLQCARYPLPPLDKEGFRVSDLVWGKVKSHPWWPGEIFDPANASELALKHQRKGGRLVAYFGDNSFAWCDESQLKPFVANYSQMEKQSSQDSFVGSVNYALEELSRRILSGMSCSCLPEELSDNGMSYMVENAGLKDGVTCSAVNRSEILTCFSPENLLQYIRALALLPGQGGDLLELVIACSQLTSLYRSKGCPELASFQTGSAWVEDGVDTSPTNNVVIEEVAVAEEPPPPPPVEVKPKRGRGRPRKHRPEDNLALAAKKGASSVSNNNASYSTPQSVKDFNDLDANKKKRSLDSLEDSDTKSPTSAFGASFKIGECIRRAANQLTGTSSAVKSQNEADNAAEAENAGFDVSSDDAVNELTVEKCAKRRRMLRHLIADPKELFSQLSSVAVEPMDKYNSSAMIISYFSDYRNYVVSATTEANVIEKTASKRGRKKRVLPSPELQTTDHMQDSYWSGLSLHNHPIHSLKRAVINTRPRRKRKSVYDLSSIQHEEVAPKKQIQVIERSIIHVDEKIVDEWKPTALVLSFGRSTALPSETDLVKKFGRYGPLKESETEVQKNTNTVKIVFKKRADAERAFSDAGKYGTFGPSLRSYRLVNMPFSLGVSEPSKPEARPGDHGPEVSGKPEVSRDTVEVNQVDKSEKSEAVKAPSGEHVETVKKTCQSEPVKESSSEQVVQQACQPEADMVKKTGQIDAELTGPSDQTTTAKHNGQAETVARESSEQVSTMEQPSLEKQSEIALSGAATGAVQVAGAIEPDKVTEADAENEVPEETSAPMELQAQSSAEKPVEEDAAEQTSEIQAPKEASALSGAATGAVQIAGAIEPDKVTEADAENEVPEETSAPMELQAQSSSEKQVEQDAAEQTLEIQAPKEASVEIPRDLKLESKSLTEESVGQAATEQVKAPSDAVPPDSSDVQGSEPQLETVQFQAVGGTSIKQEDTTAEADTAQDVTEASVLEAGLSTQTVEPKTAEVAAEQVSCPEQTVQVEDIIDTSDGHADVGKQTAQEVTMAEAIVEGAVESKIEVPVGETVEDEATARTFEEAEEGKTTAEAPVDEMESTDATEALDERITEAENTIHDATVEAPDKKAATAEKTVDDGMFDAPEEKATIVEKTIEVVTVEAPHGKSTAAERIVESVAVEAPDEKATPVEKPIEDAAVEEPDEKVTAAEKTLEDATGETPDEKGTTSKQTAEDAMVEAADAQVGGVTEQTS >cds.KYUSt_chr7.14070 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87018312:87019144:-1 gene:KYUSg_chr7.14070 transcript:KYUSt_chr7.14070 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFHATTARPLLPPVLACLSPATRKLHLTCPAATTMDGASASAPDAKKTTTVFVVGSTGKTGKRVVEKLLERGFGVVAGTTDVGRARGSLPQDPNLQLVRANVTEGADRLVEAVRGVDAVIDTSQTNPTKEIFSELDEINAQGLIFPRSFQKTEGDTKWGHEVARPLVGAAREGPAPPYGVGPSRRLQPYPSAYL >cds.KYUSt_chr3.30296 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189762935:189763963:-1 gene:KYUSg_chr3.30296 transcript:KYUSt_chr3.30296 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYISRSIARRSPRLPLASAAAVAAMSSSGTAANDSSLPISPDTTRVAWVGTGVMGQSMAGHLLAAGYALTVYNRTPSKAHGLVASGARLADSPRAAAAAADVIFLMVGFPSDVRSTTLDSSTGALAGLAPGGVLVDMTTSDPTLAAEIAAAAAGNGCAAIDAPVSGGDRGARNACLSIFAGGDAAVVGRLAPLFKLMGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVFAHKAGLDVARWMEAISTGAAGSKSLELYGKRMLERDMEAGFYVRHFVKDLGICLSECQTMGLALPGLALAQQLYVSLLAHGEGGLGTQALILAVERLNNTSLEKKGE >cds.KYUSt_chr1.26245 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158106755:158107210:1 gene:KYUSg_chr1.26245 transcript:KYUSt_chr1.26245 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATAAARSTSSRSASAAPHAHRNSCILTIPNLLHHHLLQLRDGRHEPASTGIARAVGRQARRSGGAGRRAVEGPFHHGDSGPKRLDPLVRGVETPPTAAHTDQGAPPRLRVGRLAADEADSEVEIEKGSPMWERVGRGIGKVRCRCFGC >cds.KYUSt_contig_1158.225 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:1344333:1345194:-1 gene:KYUSg_contig_1158.225 transcript:KYUSt_contig_1158.225 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPTPSSPSALLRRRRERSRVSQLICATPPPPGTSVCPARLRCSAAAGDVRAYSSSKAAVVHASDAFSYVIGRSDEDLKTPFGIEILDKLTQTWVVPTVVGA >cds.KYUSt_chr2.10414 pep primary_assembly:MPB_Lper_Kyuss_1697:2:65948201:65950657:1 gene:KYUSg_chr2.10414 transcript:KYUSt_chr2.10414 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAFALQLFCFLFTHGAIVDQAARLRQFSSSRLEIQCHHKDNKVHGRSHVDRATTNISPQPQDGLKEADKVSELPGQPGPAVFDQYAGYVTVNSTAGKALFYYFVEAAQDPSTKPLVLWLNGGPGCSSLGGGAMLELGPFFVNSDNKTLSANKYAWNNVANMLFLESPAGVGFSYSNTTSDYNNTGDSSTAADAYTFLINWLERFPEYKSRDFFITGESYGGHYIPQLANTILSNNKMANARVINLKGIAIGNAYLDDNTNTRASMDYYWTHAMISRETHQAVQQHCGFNGTYTGDCRTAISAANNELGVIDPYNIYASVCYNASDPQNLHGSAANTDPCAPYYIQSYLNRPEVGGYVVGYKGLVFATVRGSGHMVPTYQPRRALTLFSSFLQGRLPPE >cds.KYUSt_chr4.6422 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37835713:37836711:1 gene:KYUSg_chr4.6422 transcript:KYUSt_chr4.6422 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAGALLGGLNSGVVLSLIAVLWTVVWQNLQRLQLPQHFLKRCIDRHARRFAAIVDPYLSVTIAEYDGGGRMRRAEAYEEVKAYLAETTSRDARHLRAEGAKGADRLMLSMVDGEEVADVLLPEEGGGTVFWWAYSKAPPQQQDWRWGGGNQESRHYYRLFFLDRHRDVVLNTYLPRVRREGRAVMVKNRRRKLSTNISSHEWTHVTFEHPKTFATLAMDPAKKKEILDDLDTFKNGKDYYARVGKAWKRGYLLHGPPGTGKSAMVAAMANHLDYDVYDIELTSVHSNTELRKLFITTKSKSIIVIEDIDCSLDLTGARGKKKDSEEDNC >cds.KYUSt_chr1.39383 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240989910:240992310:1 gene:KYUSg_chr1.39383 transcript:KYUSt_chr1.39383 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVALAAGLAAVLVSMLASAATAQMDSCSGDLPAALVGNYSGMACQPVWNNFVLRYQQDKNNVLRVVLSTMYSTGWVGMGFSRDGLMIGSSAMVGWMGKKGLPHIRQFSLRAKSGSKAAVVDRGFLVSNDHDHTVVVQQAKIYVAFQLKFNYRLSHQHIILAFGSGVPVKNKLTKHQDKTSFTFDFTTGKTFADGAFPYGLRRAHGGLNLFAWGILLPIGAILARYFRRMDPLWFYLHVGIQLAGFIIGLAGVIAGVALYSKIQADIPAHRGLGIFVLFLGILQVLAFFVRPNTDSKYRKYWNWYHHWAGRLVLFFAAVNIVLGIHVGGSHDSWKIGYGFNLAILLVAVIGLEFMLWTRWSKNSTSTPTY >cds.KYUSt_chr7.41108 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254679731:254682326:1 gene:KYUSg_chr7.41108 transcript:KYUSt_chr7.41108 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSNPNDPPPPPPQLEGDDTYRYLSGLGNSFSSEAVPGSLPVGQNSPLLCPLGLYAEQLSGTSFTTPRHRNLRTWLYRIKPSVTHEPFHPRDPPNRRLVGDFDDRTTTVATPTQLRWRPQEVPRAPPHVDFVDGLYTICGAGSSFLRHGFAIHMYAANKSMDGCAFCNADGDFLIVPQQGKLLITTECGKMLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGAHFQLPDLGPIGANGLASARDFLSPTAWFEQAHRPGYVIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSKFCPFNTVLFDHADPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHNCMTPHGPDTKTYEATISRLGGDANEPSRLRGTLAFMFESALIPRVCRWALESPSRDLDYYQCWIGLKSHFSHEDLHGDDRPAATGGNKDDKN >cds.KYUSt_chr3.29040 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181608564:181610548:-1 gene:KYUSg_chr3.29040 transcript:KYUSt_chr3.29040 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAGAPYHYRPHGHVAGVCRSMAFPAPRGGASSSLPAENNRPYSSGSTASSFSPAFAAAASGVLRQELDVLDYLSDDGGGGPALAPTVPETFRAPPLPPRMPAEATPAVPDAGYGAHPRPAAPSAAAAAGNKIAFRTRSEEEVLDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCSVKKRVERDRDDTNYVVTMYEGVHNHASPGTVYYASRDPATGRFFVAGMHSQGPG >cds.KYUSt_chr3.17844 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109492982:109493430:1 gene:KYUSg_chr3.17844 transcript:KYUSt_chr3.17844 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALMGDAAVGEATLARVVLTDGELQRFPRGTRVSHALKATAAAGCAGVATDEDLQPGQLYFVLPASMLRRLLQAEAMAALVGDHNGMLVFPNSATAGGAMARKGSRRWSRRTSSLGRDFVPDLDTIAE >cds.KYUSt_chr7.14810 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91520267:91522058:1 gene:KYUSg_chr7.14810 transcript:KYUSt_chr7.14810 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVIALGPVPDDLAFLPISFGRSGRCSSASQLQDHILIFLAVPGAPPMPMSVLGTDSIASVKLRIQRFKGFVVNKQRLVLDGHELARNNCPVRDYGLEDGNVLHLVIRLADLRVINIETASGKKFQFQVDQTRNVKYLKTKLADDEDLGCLEDDKLEYDGEVLEDHRLIADISNRDDAVLHLFIRKPAKLRTQQVEKDTLVTVDNPQEKENLQDESLVMNPAKPAGGKPAPVEPIVVNRKARLSPEVVKMIDSAIAGLENGHTPVMSAEGSGGVYFMQDSSGQKNVAVFKPIDEEPMAENNPRGLPLSTDGEGMKRGTRVGEGALREVAAYILDHQVVERESGRSVGFSGVPPTAIVRSLHRGKSFKVGSLQMFKENDGSCEDMGPRAFPVKEVHKIAVLDIRLANADRHAGNILVSKEEGATYKLIPIDHGYCLPEKFEDCTFEWLYWPQAREPFNDETTEYISSLDAEEDIKLLKFHGWELSSSCARVLRISTMLLKKGAARGLTPYDIGRILCRETVNRDSEIEDIIQEAEDAVLPGTSENLFLETVSEIIDRRLLGK >cds.KYUSt_chr5.42523 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267936971:267938474:-1 gene:KYUSg_chr5.42523 transcript:KYUSt_chr5.42523 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEAGTIMNFWVPKHRKAPEEEKKKHAVVLVHGFAGDGMMTWGFQVGALAKHGYDVYVPDLVHFGGSTSPSPDRSIAFQARCITAGLGKLGVGDRCTVVGFSYGGLVAFEMAAAFPGLVHSVVVSGAAVSYTAAMNDALLVRFGVASIRELMLPETLSGVTRLLTTAFYKKPWLPCRLAKDFLKVMCSYREERAEMLEDMIIRGKAALPAPVFQQNILLLWAENDNFFPIEIGKRLKEELGEKATLRTIRKAGHLTNLERPCVYNRCLKKFLDHVTLS >cds.KYUSt_chr4.50157 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310790731:310791270:-1 gene:KYUSg_chr4.50157 transcript:KYUSt_chr4.50157 gene_biotype:protein_coding transcript_biotype:protein_coding MCMGGPPAPPRQEENEPVLEVRVQLLGGGGAHNINDNADILSEILARLDGRSLAAAAGVCRLWAAVSRRDAVWEELCLRHVGPASGPAAAGHATRTVVAALGGYRRLYRLCLGPALDRLGRAGALAHAQARERLSLSLSLSLFSIDCYERLGGAGGGGAAGRQQPPSSLLFLCKPVDVS >cds.KYUSt_chr2.9773 pep primary_assembly:MPB_Lper_Kyuss_1697:2:61801205:61804371:-1 gene:KYUSg_chr2.9773 transcript:KYUSt_chr2.9773 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNFRKRSLEPDAADHSDDEDVRRVALEEIKYMQKLRERKLGIPAASVATGAAGAATDGSSARGRGGGGAGAAGEAGKEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKRGKPIELNDKDDKDEVDELYVVPDHLKVRKKNLEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQEKRLAEHPELSQANEAGGKPTDSNNPGGPPAGRREAATDELLLERFRKREKFRVMRR >cds.KYUSt_chr5.38955 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246675920:246679207:-1 gene:KYUSg_chr5.38955 transcript:KYUSt_chr5.38955 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPVQVSIYVDVIPRPGQAASEKPMDVAYDITRLPEVIPHLSPVQVPFLPNPTNQVERIRGGDDPATDLRGVEATTAAAYHAFSTRGQFYPAMAHLSASKPCLVLLLNTGLVAMCAAWQLARRAFLGPLREAEAERLNEQAWREAVEMLFTVTIFRQEFSVAFLVMVAALLLVKALHWLAEAGRVHQDHAVGRSVPVLAHARIVSFMAFLLVVDCLFLWNSLGSLIHKREASVAIFFSFDASDYDSIWTPTPQARALLDPATPASLRPQHELTTTSLPHVLHIFHPRRLCCIIPKYIMDWPGGLDDLDRLDLMSSRSLDQEIKGAYQHVACASDAHHHIAASCASPELQFMCVDVLAGNDMKCPGKEGCAYRHACEFTHSEVGLDEVARTAGRPGRLTI >cds.KYUSt_chr7.25195 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157181123:157182714:-1 gene:KYUSg_chr7.25195 transcript:KYUSt_chr7.25195 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGLPPETLSSGDYELLQFLYASLPEPPVSTFPSLRCAADGDKTDRVSRLPDDILRRIVSLLPAKDGARTTVLSSRWRGLWHSAPLVLADTHLLPGADAGGLRAHPGPFPFVSLSCSFIAGADRPVLARWFQHLATKGVDVLVFVNRPWPIPGLRLPSSLFSCASLRKLWIGAWVFPDTTTLPRGAAFPNLRELVLGCAVMEDKDLDFVLAASPVLEILAVAGSQNPVHARLASPSLRRGMFCLSILDEVAVVDAPSMEGLFLWHNKTTVSKTSTTVKIGHAPKLSLLGYLEPGVHTLQISDTIIKSSCIQVTAPAFQLSRFTKTNSPCTFQDKKNS >cds.KYUSt_chr3.40387 pep primary_assembly:MPB_Lper_Kyuss_1697:3:254509312:254511489:1 gene:KYUSg_chr3.40387 transcript:KYUSt_chr3.40387 gene_biotype:protein_coding transcript_biotype:protein_coding MSERELPPATAPRSLQAGAATPNLPSAVPAASPVSTPLTAPLLAPAPAHAVQDLLFGSFTPEILAGSAEQALCRRTCSVQRPATASPSMASAPRAEHASSSSHNAGPAVSADLSADRAACTVQLPPPPPLRPGDRPQTVASLEARSLPFQAQVSHRGARLRSIIVAPNQSAGELRRWIPQDPQENWQLVRPKFWWRKVNPSFPRALHADPRQMTSRGALASESDPFKGRCFRCLSAQHFVRDCKDAVHCLDCKKPGHRARDCPSKRSSAAAAAPQPPPPAPARQPQASTAVMPSPRRRLGSELEPGHPSNRPGEVYSSSLSTPAMEVAATEMRRTHLAILVSDTRLNISTRSIAKALQDELKFPWEDIHVSASFPDDFLVRFDQPWQRDMALERGTVPLRRGSLVLTTWSPTSRGRPQTWRFYCRLAVENLPLNAWEDVPTIRAVLDGACELDKIERRSARRDNTAALFVWVWCLDLDLIPKVKPHSILDRPAERRQDLPEGTPAEEGRDGPLFVVPIHLDKVLDYTPIDESRRRRGVLWPRVYRKEWHFGIEDGSLGPRARRSVIALGPPTTTAVVTMIGMRVVMDGMAATRRGDRRGGDAADFDDYERRRSSSAATIVKTTTSAARAASHGQRRRGDASRHRSRSAGAPDARLEEGVERAVQPNGGPGASPSHRPQEQKQIAYAYRLAGLGQHSLPTSGPPWLRIPGELDDAVPSRGWLCGRP >cds.KYUSt_chr6.23211 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146656376:146660367:1 gene:KYUSg_chr6.23211 transcript:KYUSt_chr6.23211 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSGSEHGRTKLLSGVIKPQRTLTQIRSTLTAGDPPVAPPRHRRTDAHFEAAYAAAYENYLTVVAEWDLKRAAWEEYQEATSRAVRTFFQTGERIALPEEEPPRPGPTPVCPSREAFAATYYARTPDMKKRNYLLLLLVLLPSAAALGLLMPARECWNDARRPMRGGGVRDWASRRGPEDDGVGYLLAGRIFSAR >cds.KYUSt_chr5.43337 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273127157:273129501:-1 gene:KYUSg_chr5.43337 transcript:KYUSt_chr5.43337 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAADSVHPADTPMKDCSGDPPVLPLSTPLSPSTASPTGARTLPVFERDVFLGNVDVFLPPGTPSSGSGVFPSNEIRISRRSTASSRCPPLAVLQVISAYSLRCKLQENTLDLHPGSVLLGNLHSTCWNQRMTAVVAAGEEELHLVAMQSKVKGVPSFWCWSAQKGLYTACLGMLNQRRLAIVFDLDQTLVSCYNEQTFKTLTKKIESSLENPELADDTQLALRNQLGNVSKDQLFLKEYKEKRAITVGDEIVRSQDEKSMLHKPGGLRQVIVRPVIRVPTRNAVLTCLDPTDAESSYFANIRPGWDDLKSCLITANGFRRYKVYVCTMAGTYYALEAWRLLDPEGRLISSEEISQRLICVRRGSKKSLQHVFRKSLCHPNMAIVIDDRLDVWDEKDKRHVHNLPAYNPSVATEDKVVHGPNALQIVRSITRKVQKGFFSEFDGMLLKAVDELMYENDVLDLPCTPDVGDYLQLRVFSCYLCIAWSVTNNQAFITLAITFQNNKIVIKTQ >cds.KYUSt_chr7.19930 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123485881:123489821:1 gene:KYUSg_chr7.19930 transcript:KYUSt_chr7.19930 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSWGLNSGPGRPFSDRRWLVPFLASLLVSATLFLAAACGLFAPPYLGADDSFIFDVASFTDWDDDGRSPSQSLESDTKNQLSNASDDRDNPDNAAVNSDDSGAEPPRLAYLLEGTKGDGLRMRRVLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDPMFSQVGNVRVIAKGDLVTYKGPTMVACTLHAVAILLKEGLEWDWFINLSASDYPLMTQDDILHVFSSLPRNLNFIEHMQISGWKLMQRGKPIVLDPGLYLSKKFDLSTTTERRELPTSFKLYTGSAWIMLTKTFLEYCIWGWDNLPRTLLMYYVNFISSPEGYFHTVICNSGEFRGTAVGHDLHYIAWDYPAKQHPLTLSMKDFNNMVKSGAPFARKFPKEDKVLDRIDRELLHRSEGRFTPGAWCDGVLEGGADPCLSWDDDSVFEPGPGAERLRILMKKVLSWDYRNGSCSSLSYDQTKRDWYVPRGRG >cds.KYUSt_contig_319.651 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:4151421:4152884:-1 gene:KYUSg_contig_319.651 transcript:KYUSt_contig_319.651 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLLGSLSLSLLEEIAQAPPVSLSVKDGNVSLNAQCTVAVSAGDNTPEKKEGTDDVRIEGMTPQKMKTCLFDPSSLSACDGLSAASVEVVEAEEGWEHVGRGRCSDQGSSLEPSREGFERSLAFKRWARGRCFRCLERGHQVSVCRDYFRCIRCRRPGHRERFCRARSPAARDRSPVARAPCQKSCTPSVQPCQTAVTRSWAEVVGCPSLRVMVPPMPPSGCCKGSNANIGLDPALQSQFALLRTEILQLVAVRIEEVARPLREEAAKFKLLLARVTNSMGRADLFASYESYEQEPSVVVDDDVVDVMASKVDDEAFNAKARGEADLVGEECLFGCLSPRVSPSLQPAVSVSPECEGNDGIMPVIQIMPDLQELCEDPSPLLSMVHHQADSLVISEVASAPPPVEASRCSDKIMEADVLAPNSDALFASELCDLLARLEAASPGSSKEIARLLQEKSSRGKIQKVKDYLGSKSKKNGATRKESAVG >cds.KYUSt_chr6.5749 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34562089:34567572:-1 gene:KYUSg_chr6.5749 transcript:KYUSt_chr6.5749 gene_biotype:protein_coding transcript_biotype:protein_coding MTANGDHTAAALPIHATPPPPPQTDDEAERRRRRRPARRPKTPATPTPTPTSAPPQAVDLAASSGPRPSRSMPPPARPDLRPDARPDHAASGTRQSCPLLPTPSRAEPRGPVVGTAPGGAPRRLFFQPHWADHVVEDALKRGTVFTGKLRVNAHNRNEAYCTIDGIPVDILITGTAQNRAIEGDVVAITVDPVVYWTRMKGQNITSNPASGGVSVSREVSETNGNHSLKKGQADASCRFEKCSNGQPVPDRMHSQHKNSSFSQAVMCENGHAIVPENHEGLDEGNTESARALQRICSVICSHPSRRPTGKVVSVIKKSPRRGAVVGFLAPFSEFPDGEQRNQMNMQGSKRMNHIASSSLTGLVHLLPTDPKFPQMIVSVSTLPDSIRQRLKEGDIAIEKELVGAQIDDWNEESPYPWARVLQLFGKGGQVETHMDAILFENEICSTQFLSKSLACLPDMSWKIPQEELAARKDLRNVLTFTIDPPTASDLDDAISIETLSGGIVRIGVHIADVSYFVHPETALDAEAQMRSTSVYTLRRKVSMLPSRLSEELVSLNPGVDRLAFSVIWEIDPCGSIVNRWIGRTIIFSCCKLSYDLVQDLISSDANQLRSAAASLQVRGMLKQEDIIKSLRCLYEISKNLKDIRFKAGALSLDTSKPMILFDEDGAPCDSYRYKRNDACFIVEELMLLANMAAAEVISNAFPDCALLRKHPEPNPRKLREFEAFCAKNGFELDSSSSGQLHLSICRIKEELQDDPVLFDILMFYASKQMQSAEYFCTGDVISKDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLSAVLEAEQMYLKHREISTGRNGVKTKSCETVDRCFTGLQFSKDAAETKEGREALSAAAKKFMVPNSNCLGGAAEYCNERKWASRRAEEAGQKLYMWALLKRNETMVSNARVLGVGPRFMSVYVPKLSMERRIYYDEVEGLSTEWLEATGTLVLDACRNKPAQRRGSQFKCSRAIEEVAVVVNPSELMLSEDKDEPGAIEAGGPAAADSVLLSDDAVKADVAPAALPLVISYLSHIPVVLHAIGGEDSPVDIGVRLYISSYFK >cds.KYUSt_chr4.32962 pep primary_assembly:MPB_Lper_Kyuss_1697:4:202055681:202056259:-1 gene:KYUSg_chr4.32962 transcript:KYUSt_chr4.32962 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSSSHKTLRDVMLEERTSSTGMASRLLAPDASLSNPSFRNYYGVASAGSVPFLWESAPGTPKKCISSADLPPLTPPPSYYSKTSKSKSSKKLLPSPKPAGLVPKIFRRSHTMPSPSPSNKESVPVQSSRRKRMVDSPRSSFSSTSRVDDEEEEEEEGDGEARSTLCFGMRHSGGGTRRLHGLLASVVGG >cds.KYUSt_chr1.41516 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254632265:254633932:1 gene:KYUSg_chr1.41516 transcript:KYUSt_chr1.41516 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERLPEELLQVVISLTSPQDACRTAAVSRAFRAAADSDVVWSCFLPRDLPRFGRKQLPRSPLSSKKGLFERLAAQPALLPGKLVSMQLDRVTGAKCYTMSARALRVPSWGDTRRSSRWIHIEIDFITGGKRFSEAAQFLGVRRLDISGKIRSKMLSENTAYAAYMVFKLGERLHRLDFPFQEASVSVGGIQQSTRQVCLQACLNEEEDVVAGAPPYHILPSIVGPSTVTPGEDVVLPRRRADGWMEVELGQFYNEECDDREVSISLTEITSNVDKSGLIVRGVELRASTTCE >cds.KYUSt_chr2.10942 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69463658:69464310:-1 gene:KYUSg_chr2.10942 transcript:KYUSt_chr2.10942 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHSEHMLTYGAALFHHTAKEVFRGLIAFHDRMSFKDSFQLIDQLTRGGTDFTNAVIDRAVFLKADLEGAIFKNTVLSGSTFEDANLKDVSFEDTLIGYIDLQKLCRNTSINEDTRLELGCR >cds.KYUSt_chr2.50690 pep primary_assembly:MPB_Lper_Kyuss_1697:2:316895599:316895954:1 gene:KYUSg_chr2.50690 transcript:KYUSt_chr2.50690 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPVPTAMKPVMPADHYPVSTMISVGPADFCLKKTRPSLGSGSAADKRGGQIFECACGSSDHLVRVWFELSDVPLQPWAPRPASCRVGGVEERL >cds.KYUSt_chr2.27375 pep primary_assembly:MPB_Lper_Kyuss_1697:2:167942534:167944459:-1 gene:KYUSg_chr2.27375 transcript:KYUSt_chr2.27375 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGLPRTPASCRSARASEPAPAASPAPPLAARFLADELAAAALARRRSDPAVQGRMARPRARARPRLRPAAATPHPSPAVAPAAAVIAAAPAGSGLACPSATSTVDSVLQALRASMQAPCAAAPAVSGGAVSRSPRPRLRSGMAAAPTGSHPLDGLLCDPAVLVEGLGSLSLLSVASGGPAEVPLPAGGAMPALGLSLDSDEDDDDDEELAPRSPLASSEGVVSGSVRDTADVRHDDEEPAEPCRNISIDVGALGDEDDWVKVGRGGRPSREPSTSPRKEGLERSLAFKRWARGRCFRCLERGHQVSTCREPFRCIRCRRPGHRERFCRARFPADRSCSPDGRARSPDASAPCSRRRSPPAQPRRPSASRSWVEVVCHSSSPATSPPRPSPRCCEEFNVDASLDSRFQCQLSLLRMELTQLVATRVEEAIRPLREEVASLKLLLSLVGDSLELTEAYSSGGHELATVQVSLAPGSIEEKSSVVEEEYLYSCFSPRGSPGRSLQPVGLTASESEGIDETLVPVLQITPERHELRGDSPAVLPLALCSFETLEVATTPPPPQLDPCQSLASLDCGAVLAPSSEALFAKKLCGLLASLEAASPGYGKNIDCVLAGKASEDLIRRVEKSLRKVYIRSIRRKRVG >cds.KYUSt_chr6.28331 pep primary_assembly:MPB_Lper_Kyuss_1697:6:179559532:179562863:1 gene:KYUSg_chr6.28331 transcript:KYUSt_chr6.28331 gene_biotype:protein_coding transcript_biotype:protein_coding SRSEAKQNDREKDSAKKESFVAVDKENLILEKKTSDAAVKPAEHENTAAEMKTLKDDTWKSHVRDPKDKKREKDVDRVDRHDQSSKYNDKESADTSPVGDTEKDKDTFESIQGRRMAQSKGGSQVSQREPRFRSKMRDEGSPGKSEVSAIIYKAGECVQELLKSWKEFEAIPDAKTAENQQNGPTLEIRIPAKFVTSTNRQVKGAQLWGTDVYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRASVRVLPPQDSYTSTLRNNVRSRAWGAGIACSFRIERCCIVKKGGGTIDLEPHLRHTSAVEPTLAPAAVERTITTRAAASNALRQQRFVREVTIGFNLCNEPWLKYSISIVADKGFKKSLYTSARLKKGEVIYLETHFKRYELCFSGEKPRSVGPNSNASDIEVEKNRDRGEHEHRDVFRWSRCKKAMPEIAMRSIGIPLPAEHVEVLKDNLDWEEVQWSQTAVWVSGKEYPLARVHFLSSN >cds.KYUSt_chr2.6582 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41109143:41116941:1 gene:KYUSg_chr2.6582 transcript:KYUSt_chr2.6582 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALFVDGSCVADLRNSRRRLICGAEPKQLAFSAALSLTVNKSSRSAINQGISNTRPKSYGRRVPLNLADWRYDNNNKMESLVNDSMLDPSAPMQRNRMCLTSSAQGMNQAESSTIMRGRGRNKRKWTWDEDEELVKALCEVSLDPRFRVQGGGFKNCYSQGIESLLAQRLPGRGIKASPHVDSRLKVLKRKFYSIKDMLASPGFSWDGSRKVVRCEKERYDQYCRDNPRAKGLNGIPFPHFDAFDAIYGKDRTAREGMEVSEEATANLENGNTSEGADEEAEVDRMSAGPSGRPVALDAASSYEKPKKCKHGGKRKRTESNCPSLDMLKDVRGHYQGASQHVDTMAEAVAMFKDVHRHFQSVVQHASSMAAAMEKFKDAYTQFQSVVQNVSTTTSAMERFKDAHDHFQSIAQSGGMAAAVIECHTDLQGRLSPEVPQQNARVRAIAEMQKLRFSGSEVVNAATVFAKEPNQMGMFLALPEMYKREYILRMLNGMLSDSQFLYGRITFDCK >cds.KYUSt_chr3.2701 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15558382:15559111:1 gene:KYUSg_chr3.2701 transcript:KYUSt_chr3.2701 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANSSFLPATTAPPQQASVGATGASQWAKSQRRPRAHGATARHQHQQLMHDSAPLRSSSFVAVYSVESGLPWSSDRLQSGFPATAPHLGGIPPVPNHAHWSIRV >cds.KYUSt_chr5.41486 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261712231:261714881:-1 gene:KYUSg_chr5.41486 transcript:KYUSt_chr5.41486 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNKVAVAGGEDRLSALPDDVIRLFLSFLPSRQAVQTCVLATRWRTLWKSVPSLRIDMRYDSAKFLNSLIRYRDPTPLRECDILFVHRDYTSEARREAELWLRYALSCKARLLRFDILNHFIQLSLSAGVLVSKYLTSLNLCGVVFEDFSLDVLGCKSLEVLDMHDCVINIGAEFPKSLRLLRIRDARCIPEDTRSSIHAPGLIILELADPFGWTPVLKRLPSLVTAFINIGYDCMDSCRKSSYGDCGHQSCMACYGVLDNCVLLQGLSGATNLELITPSSMIFRKEIKWCPMFSKLKALLLGDWCMTSNFSGLVYFLQHSPVLEMLTLQLSGFNKKSIIKTSVYKPKEQFVVTKHLKAVKITYFKEKGMDERVNQILNVLAYHGVHQELINFDCKDYIRRAYPYPYFQTFYYGSSVQAPMSPVSFAIRYLRFVN >cds.KYUSt_chr3.13913 pep primary_assembly:MPB_Lper_Kyuss_1697:3:84257947:84258641:1 gene:KYUSg_chr3.13913 transcript:KYUSt_chr3.13913 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKDGTSEVKEEEIVSFCRTKMARFMVPKKVVFVDQLPRNSTGKNSASDALARFDRESDSTADWFGHGPDEIIELIRRDCNATV >cds.KYUSt_chr2.51333 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320980319:320981859:-1 gene:KYUSg_chr2.51333 transcript:KYUSt_chr2.51333 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRERKVVLKLDLHDDKHKQKALKLVSGLQGIDQIAVDMKDQKMTVIGTVDPVDLMAKLRRKLFPTAQIVSVGPAKEEKKDDKKGGDKKDAAGGGDKKDAGGDKKDGADKKNQVVPVYPHHWYPPPPPPRYVVHSAEDDPNSCVIC >cds.KYUSt_chr3.44875 pep primary_assembly:MPB_Lper_Kyuss_1697:3:282879818:282880593:1 gene:KYUSg_chr3.44875 transcript:KYUSt_chr3.44875 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPVKLISSFGSTFSHRAEVALRLKRVPYELVLEDLPNKSEMLLKHNPVHKKVPVLLHGDAPAICESLVIVEYIDECFAGPPLLPADPHGRATARFWAQFIDEKCMKCLWAALWMEPGEAQRKSMVEAKGSLTLLQEYLGDMRFFGGDSVGLVDIAASGLAHWLGVMEEISGVTVLTGEEFPALRRWATRYADHEDVKGCLPKRDELVAMFSAFKEMFRAMAMATPQH >cds.KYUSt_chr2.10996 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69802958:69803434:-1 gene:KYUSg_chr2.10996 transcript:KYUSt_chr2.10996 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPRGTGFDPFSLGFWDPFDSFPFGTGAGGGSLVPRAPSSSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVQDGNVLQISGERSKEQEEKTDTWHRVERSSGKFMRRFRLPDNAKVEQVKAAMENGVLTVTVPKEEAKKPDVKSIQISG >cds.KYUSt_chr1.2855 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16966607:16977754:-1 gene:KYUSg_chr1.2855 transcript:KYUSt_chr1.2855 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKQVPADAGTRTGAGLEGSCVRACFPTPPADTGTAPDPDMSMASGAASSSICPTHSPSASPRELGSMPMTLLSATSAAKTHLFRGSATRSTRRRTRAAYTLRPRSSSGLAARSWWPRTLRPSRRRGDRAGRRGRAAGSRACWWRGGIGRLVCVLAAWRKGFVQLKALLWRCSHLVALAVLLPVRNRGGGVQFALAGGTDWAAIRVTDEEPESGRLCCYGRRLFSISSSGGAVRSGHGVVCIGFLFNFEYPFQISCARPWLHHEHGPDDTRDGGCSARGSCGGKRTALRAPGGGVARDGRDGRHGQRAEVGEEGKTRAVLPGVVEAVEKHLPSAARTRAPAPTPGSWVVKELLLRGYRVRGTARDPADSKNAHLLALDGAEERLTLCRADLLNYDSLRAAFAGCNGVFHACLQRPRQSPARSSLAWIGSGRQWMPRNATVHFIERMPDLHVPRWRRNPWCCAALYSVTNNLHVFLDSYWYRSGGTPLQPPGRVPEHCGTTTEGPGPTSSLGTLPALRVARRCPPVGFGRQHILPRPVGQSATTTSTSAAEMSGEPVKYEELPDEHKKKYDELKALFEADLIGSFDKTRSHGIRFKGFTPEGVLDGVDLSLPSEERTRALR >cds.KYUSt_chr5.27772 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175822954:175826285:1 gene:KYUSg_chr5.27772 transcript:KYUSt_chr5.27772 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEAMMTEILKKITRTSDLNSLSLVSKQLYNIEGNQRGAIRLGSGLCTATESLTSLCARFPNLRKVEINYSGWIPGWIPGHGNQLDSKGLFVFSSHCSSLIDLTLSFCSYIDDSGLGCLSYCKTLVSLRLISAPQITSIGLFLVAVGCTSLSALHLIDCEKIDSIDWLEYLGRNGSLEELVVKNCAGINHHDLLKFGSGWMKLQKFEFDRKRGRYDDALIGDEVYDSSYDAHNMDIYDFCCENLKDLRLAHIKTWPEIGLRVVLGKCKALENLCLEYVHALNDNDMIALSRSCSNLKSISLLLTRQLYSSDVSYCETRTSFTDKSLYALALNCRMLQIVELKFTACAPDWPSDIGFTQQGFLVLIQSCPIRVLVLNTANFFDDEGMKALSSSPHLETLELIVCEAVTDVGMRFIAHTPCLSNLTLRMCNRVTDVGVAELGRAHKLESLVIDYCHAISLQAAQGVAKSVHYSMDRSAALWKKIGFDDDWWMKWSPKFSIIEDDRKNHARTHVGPYECDGPLAEVDHELPADFADFLTMHAEIRDNNVHDQLQADLVEHLWRIKENAATP >cds.KYUSt_chr3.15342 pep primary_assembly:MPB_Lper_Kyuss_1697:3:93788478:93788786:1 gene:KYUSg_chr3.15342 transcript:KYUSt_chr3.15342 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSPRDADVKRRQHNQAETEEVPALDLLDDFWFFSNSLGGGRDDNKGGARRPPLLPKSPSTSSSGRANTGDSSDDQLPPGKNKSRFHDASGGRRLLRTP >cds.KYUSt_chr4.2304 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12859498:12859746:1 gene:KYUSg_chr4.2304 transcript:KYUSt_chr4.2304 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDCTPATPTSSTVAWCRVEDGAALHAGSLVKVVVVAARGRRGVGATLHAGSLVKVIIVLALAYGAGLYTGSSDIVDGGMV >cds.KYUSt_chr4.46445 pep primary_assembly:MPB_Lper_Kyuss_1697:4:287134475:287136142:-1 gene:KYUSg_chr4.46445 transcript:KYUSt_chr4.46445 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELAEPFESFKILDNRAKIRSSRSQAGKFRLAFSGLGVLNLRYFGCALRCRWPWLKWASSPRPWCLIPLHDDKDATAMVRASSFVLLGDGKRAKFWTDKWLPEKRAIIDAYPLLASFVKDSGLTVSQALHNNTWIKDIRGGVSRAALAQYLHLWDELLQVQLSDDAADALVWCHSTTATSPQAVRTTSSSWPTSASPVQDQSGDLRPQLGASSSCGLPFTEDA >cds.KYUSt_chr4.53826 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333033173:333036235:1 gene:KYUSg_chr4.53826 transcript:KYUSt_chr4.53826 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPADMPQFSPAGWRGPAGAPSPISSRPPAPTHAPAQQQQQQQQQQQQMDELGTAVSGSGSGSIDGHEGGLAGGEEGERGGSSSAGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEEVSRKLAELGHSRSAKKCREKFENVDKYYRRTKDGRTGRGDGKTYRFFTELEALHGAAASSPRPPTPVAAIAPPAITAPGSAVGAYTGVPSSAAPRVPAQPSPPAPLLAPQPPKPVFTESAACVMTTLGDESFSDSDGEDSDETADGGKRKRRGGSGFGHGGKAMRFFEGLMQQVMERQEAMQHRLLEAIERRDQDRMIREEAWRRQEVARLAREQDALAQERAVAASRDAAVVSFIQRITGQMISPGQAPPSSYPAPPTAITAIKPPPLQPTPVASAAPAQAPPTPRPPVQPQPNVTPMKTQPQTPQPHAHAMPPTTEPQQQPQPQLQLQTQQTAPTQSSKEIVVHSSEAPADMAGSGFGGASPSRWPKAEVHALIQLRTEMETRYQDTAPKGPLWEDISVGMRRLGYNRSSKRCKEKWENINKYFKKVKESSKKRPEDSKTCPYFHQLDALYRTKALASSSSGALHAPAPSPRTEAAAVTTVLAPVPLSSQTPPAPQHVEHTAKSFTNGNGNNGCASNGAGMQVKTSNGAGIGARLLTSIEGAGHGGNNGTVTNKPVRSLYLVPAGCIYTLSMQSVLTNAMDTILKEPKQQEGITKETTTITEQPAIAMNHNYGGAGNDRRDVYDMDSDSMDDDEDDFDDDDDEDDVGGGRGMPVQYDTHFLQRQQLQQQQNHNHGHNVVRPNAANGGGNPPTGNAAPAAAATSGAPFLAMVQ >cds.KYUSt_chr1.29554 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178910370:178911014:-1 gene:KYUSg_chr1.29554 transcript:KYUSt_chr1.29554 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTLDSPPQLPAAGAWGSLFTVQKPAKSPNMSAVPSSFVKTKPAYGVKRNLAMCTEALGCETGGIDTTSYDADEGAARKRHAREEAEEAKVEPMVERKVRALPPPLTTLAAGASRTRMVHERRNGRLEVYAVRASGMEADRSGGRLRLRFHPCDGCNAAVCSSRQEPQEAEEDEAEEVDQLPETEYVVARYARRGRCLKVKSGATAFRVAIT >cds.KYUSt_contig_686-1.1190 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:7387704:7389967:-1 gene:KYUSg_contig_686-1.1190 transcript:KYUSt_contig_686-1.1190 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPCPTLCRLPAASLGLPWSRPSIATPRLALAARRARAVAARASSSSPDSSFGSRMEDSVKKTLADNPVVIYSKSWCSYSMEVKGLFKRLGVEPHVIELDHLGAQGPQLQKVLERLTGQSTVPNVFIGGKHIGGCTDTVKLHRKGELATMLTELDIKVNNSS >cds.KYUSt_chr4.49385 pep primary_assembly:MPB_Lper_Kyuss_1697:4:305852871:305853897:-1 gene:KYUSg_chr4.49385 transcript:KYUSt_chr4.49385 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVNRDLFFGPKNGDCSHIELAKSNSRKRNRGGGDVYRVIDKRVHRTEEQSDNDNNGGEGDDDFSDNGDGGDNDGNGVCSMGFLLKLSTTISLLLLATELQGRMDTENDNSNGKDELPADQRLTT >cds.KYUSt_scaffold_2697.365 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2446830:2448816:1 gene:KYUSg_scaffold_2697.365 transcript:KYUSt_scaffold_2697.365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 14-3-3 protein 6 [Source: Projected from Oryza sativa (Os02g0580300)] MAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKSGSERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKDAPKGESGDGQ >cds.KYUSt_chr7.31645 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197119163:197120485:1 gene:KYUSg_chr7.31645 transcript:KYUSt_chr7.31645 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGLDEFYKLHQFKPSKENAVTYFLPRLLAGSPLPHGADMLIRHADVYACEPKDLAAEYAPVPSAVRTGDRFFFTTCKHKSGSDTRIARLAGAGTWAVQRTEDVYEEGAKVGEVKHLSFKKGKVSTGWVMEEYRCLRPEALVADGEKVLCKIHLAPKANAAARQESDAYKLRPEPAEPPVAVTASAHAQKRQAPVATADLNLSSSKKMRIDTPVHTPDEKEYEECPVWFTPPASVSLPTAYTFAAQADADMGMGRFSCSWEELLGIQQQEQTHPIQDLDIDELVASIDLESEDQLRPWDDDWESAEQTLPDDEAENNVQQLVLDEDIEQLDIDQLAAPTDRDSLDLQSPWDEEEADPQDRRMVQQEEQTLPIEAGNNVAKLVASIDWEFAEELQCLLADHEEEAAELYRRCNFNSAAADLHAPSLEGRNQFFSFGAVN >cds.KYUSt_chr5.675 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4753882:4755066:-1 gene:KYUSg_chr5.675 transcript:KYUSt_chr5.675 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRQGCRCNCGGKRRRKHLYVVLDDWDKGFNVHKLDALSLFDSDSDSDDDDSGGGLKRLPDPPAVRITEAQHSPTLFAGIGSCIFVANKRQYSPETSGALVYDTETAAMSVGPRLPEIPCCVFVAAAAAAAGGGGKKKKKPKREKEKTLYTLATINPGDRSQHPSGHLLGVSVHALSAPSPAASAEWSWETVPAPLAPFDGNEENVIAYAVHPDGRTIFLSTRDRTSWHGGGTYSFDTERREWRSHGDWKLPFTQEGYFERELDAWVGIDDDGYVCACQVAATDSDGDGATAAPEWMKTGQKLFRKGDPERYLRATLTYMGNNEFCLVESVIREDVSLRRAYGDGHGCALHVTVFGLKYSREGELQATLRRATSSYAVCKYTLFSHVSFWM >cds.KYUSt_chr2.47976 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300021427:300023260:-1 gene:KYUSg_chr2.47976 transcript:KYUSt_chr2.47976 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQLGLDSKGEAKECGDSREAGDGELTRELVVLGRRRCSLIEEKQGFASLGESEARLSWVKESRLDRLPFARQKVAYFYLSAAGTMFLPELSDARIIWAKNGALTTVVDDFFDVGGSQEELENLTALVEMWDNHREIEYYSEHVEIVFSAIYNSVNEVGLKASRVQDRDVSCHLIEIWQDLLRNMMAEVEWRETGYVPTPEEYMENAVISFALGPVVLPALYFVGPKITESVVKDREYIELFRLMSTCGRLLNDVQTYEREYREGKLNSVSLLVLQSGGSMTISDARKELQRPIDKCRRDLLRMVLREDSVVPTPCKKLFWNMCKTCHFFYFRGDAFSSPKEKAGAVDAVIHEQLRVPGNLLFDLSDFQT >cds.KYUSt_chr3.19921 pep primary_assembly:MPB_Lper_Kyuss_1697:3:122807640:122811784:1 gene:KYUSg_chr3.19921 transcript:KYUSt_chr3.19921 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSGEEDQCTRSSLLGRYEIGRTLGEGNFGKVKYARHLATGAHFAVKILDRSKILSLRFDDQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIAIKGKLSEQEGRRLFQQLIDGLAYCHDKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDMQIPKWLSPAAQDLLRKILEPNPLKRITIAGIKEHEWFRKNYVSAAPYDDDDDINLGPVLSKKEEKPTHINAFQLIGMASSLDLSGFFEEEDVSQRKIRFTSIHSPKYLFDKIENVVTVMGFQAQRGHNKLKVTKCKNPKNARDPSSFLACAEVFELGPSLYVVELKKSHGDRTLYRELCEKLSDELGVCKEQITESLDPDLASFDGGSPLSGF >cds.KYUSt_chr5.18786 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121406938:121407453:-1 gene:KYUSg_chr5.18786 transcript:KYUSt_chr5.18786 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTADASKVVDGGEKSNVDEESKMITLFSSDGERFEVTREAAAMSQTIHHMMEDGCVDDEGVHLPNVGSEILAMVIEYCNRHVASPDEKEDIRSFDAGFVNVEHHTLFDIIRAANYLDVKQLMDLCCQTVADSIKSKSVEEIRELFGIVNDFTPEEEAEIRKENQWAFE >cds.KYUSt_chr3.41755 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263498548:263501015:1 gene:KYUSg_chr3.41755 transcript:KYUSt_chr3.41755 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHNGSFGRIFKLWLAATLAFLLLLLLVSQGWSPVDMMAASRRQRATTPTGRNSDESNFAGLAELLPKVATDDRTVIITSVNEAFARPGSLLDLFRESFYAGEKINHLLDHVLVVAVDAAAYHHCRRVHPFCYLLQANTSMNLSSASNYMSDAYVELVWAKLELQQRVLQLGYNFLYTDVDIVWLRDPFRHIGVHADMATSSDLYFGDPDDIDRSWPNTGFYYVKATNRTVEMLRRWRAARWRFPRGHEQTIFNHIKHELTAADGDLRVRLQFLDTARFGGFCQLFRNDMEKACTMHANCCVSLGNKLSDLRDVLGQWRNYTVIKPAERKKAQEAGRIFEWRVPAKCGTPDKRAARAPDSDRRAIS >cds.KYUSt_chr7.17888 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110802974:110804269:1 gene:KYUSg_chr7.17888 transcript:KYUSt_chr7.17888 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPTVAKSPPELIPPAGPTPGGTLPLSCIDKAFGGSGFVSLIQVFPPSSLSAAHDDQATAAAVAAMRSGFARALVPYYPVAGRVAPSGLAVDCTGEGVWFVEAAASCTLADVGGLECFPLLIPGELLLPRPPPGEKLDGVIFMAQVTRFTCGGLAVAISFNHAVFDGRGAAQFLTAVGELVRGLPAPTVAPVWDRDAIPDPPCPPAPLFKFLTEFRLVTQVADISAASIQRVKDEFRQATATSSGEGCSTFDAVTAIVFKCRALALAAALPDDAVVRVSFAVSTRHLLRGVLPAVDGYYGNCVFMACVARTSRAARELALAELVGAVREAKEAVAAGFADWMRGVDRPDVPLDYNTATLLDWSRLGFDEVDYGFGVPGYVCPHDEQVNFAATLLFVRPPAPRREGIRVLLRCVEEPHAAMFAAELAKFA >cds.KYUSt_chr4.29219 pep primary_assembly:MPB_Lper_Kyuss_1697:4:183343661:183345893:-1 gene:KYUSg_chr4.29219 transcript:KYUSt_chr4.29219 gene_biotype:protein_coding transcript_biotype:protein_coding MEACRTAGMPRRCGSSGTTGLRFICTVDGALTAWLPWSMGWSSGAHHMASRELLVVVEPGAVIIRE >cds.KYUSt_contig_7442.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001531.1:113480:114332:1 gene:KYUSg_contig_7442.15 transcript:KYUSt_contig_7442.15 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSHDHVIGIPVNSTAYGIEEPDFPAEETISTPDDHPGFVSASFQSASRNDGNGSTAATDHHTTQARRKGGKIAQGIKEHVSLGPKLSETVRGKLTLGARILQAGGVEKMFRQWFSVDKNERLLRASQCYLSTTAGPIAGMLFVSTERVAFRSDRSLAVAAPDGGKVRVPYKVTIPLRKVTRAVPSENKHRPEQRYIEVLTNDGFEFWFMGFVSYHRSLQHLQQAIAQAR >cds.KYUSt_chr3.13880 pep primary_assembly:MPB_Lper_Kyuss_1697:3:83942604:83945771:1 gene:KYUSg_chr3.13880 transcript:KYUSt_chr3.13880 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLWDQYGGAYQNWQHNAPPPPPPDHVVKMHSHPPPKPAPPPVNVNSSGSGSNYSGGGENRNPHSPLGNALSFSRSTFTYEDLAVATDQFSDANLLGQGGFGFVHKGVLPDGTEVAVKQLRDGSGQGEREFQAEVEIISRVHHKHLVTLVGYCISEEKRLLVYEFVPNNTLEFHLHGRRGPTMDWPARLRIALGSAKGLAYLHEDCHPKIIHRDIKAANILMDHRFEAKVADFGLAKLTPDNDTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVSSKQAHMDDSLVDWARPLMTRALQDGNHDALVDPQLGTEFNDNEMARMIACAAACVRHSGRRRPRMSQVVRALEGDVSLDDLNEGVRPGHSRFMGSHASSEYDTNQYNEDLKKFRKMALGTSSFQSSQQTPSSSEHGQQDPSTAGSDSHHQTQEMEMGTTKRDGGEPEASVT >cds.KYUSt_contig_1798.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000208.1:42659:43582:-1 gene:KYUSg_contig_1798.3 transcript:KYUSt_contig_1798.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRWRRRIRLRNAPTRSTYLATSHGGTEALRCQHREVAWCLNCRFYASLERAGGVPGAAPPVYPGAVQEQYQLGGLTEAGVRIVEPGESGRWPADHRRVAQREPNSFRLVQERPGTLSLDARRSRYNLALASIHGRQEAHNDVPGVSLFPMLQLDGFLYTVHRMQDAHGAATWSCTASACTSVLLMCGLHLEACLKCQAEHGQ >cds.KYUSt_chr7.19853 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123073404:123074942:1 gene:KYUSg_chr7.19853 transcript:KYUSt_chr7.19853 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDANPAAAFSAFAADGKAPFQPLNPEDVRAYLHKAVDFISDYYTNIESMPVLPNVKPGYLQNELGASPPTYSAPFDVSMKELRTSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQLLRLPTTFMNRTSSGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSVGVSGLPRLTVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYGLDPAKLLQVMQADADAGLVPTYICATVGTTSSNAVDPVGAVADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLYVRDAHRLSDSLETNPEYLKNDATDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEDFVRADDRFEIVVPRNFALVCFRIKASGSMTEEDADEANRVLMDNLNKTGKAYLAHTVVGDRFVLRFAVGSSLQEERHVRSAWELIKKTTSEIMA >cds.KYUSt_chr2.41388 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257365984:257371944:1 gene:KYUSg_chr2.41388 transcript:KYUSt_chr2.41388 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHHKSPDDRAPPASGSTTPYISDGYRCLDRDSHHEILRTQAIGYNIACARVRDLICHANLVVVTSGIQEEGNMSYCQATVYKPHGGLTLDSPLGLGRTCKLLPLHSACQRSSRSCKLQEKVYPRFLVAACHKRLGPVYASSGKENLGSANDQFSMESLNKAMDGAKKQWSIQGLLMEQLSKITGQGSGGNGGNKNRYGGSGGGSDGPDDESFTDSLYEMVQVLLATVAFVLTYVHIIRGEELYRLARDYTKYLATGKRTSRLKRAMLNWREFSDGITKKDSTQDDVYGSPVGSEPVWWQQPQKLVHNLGNLFKSNMRPQAQES >cds.KYUSt_chr7.22464 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139153172:139156638:1 gene:KYUSg_chr7.22464 transcript:KYUSt_chr7.22464 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATNSPPLGSCLPPCHRRRSSMCSSASSAASSASAATTALAVEEARRGRKQLGMEPPLYDYLLANVREHPVRAPLLSPFPFRANFAAVLLGYSSLAVALALPESGRLVACERDERCLEVAKRYYRLAGVAHKVDVKHALAVDSLKSLLESGEASSYDFAFVDADKKMYEEYFELLLKLVRVGGLIVMDNVLWYGRVADPLVPIGDGMTICRKLEDD >cds.KYUSt_chr3.29221 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182829140:182830123:-1 gene:KYUSg_chr3.29221 transcript:KYUSt_chr3.29221 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDADPTPPVAAAASPSQSPSSSPSPSPRSKRRRTDRYALGFEFAPRPAPSAAPAPAHRTTPVWTEDSTFALLDAWGERFVCAGRRSLSADEWVEVSRLAAAADSRPAGYFSESQCRNRIDTLRKMFRKEKERSRLAAHRSNRPSPSKWVYFDKMLSLMSPPAPPPPPPPPLLLAPIVKRRRDTRPVPRQSWGVDVGEFVLAGCSRAAPGNSGPDAELGEDQTDEPGVVKGNDFAVILESIRRLGEVYERVESSKRQHMAELKRMRSDMQRDLEVRWRETLERAQMEIASLEEEDDTEEEGDDDNKRLGDYNGVEMQTNGAVDASP >cds.KYUSt_chr1.7974 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48917337:48920066:-1 gene:KYUSg_chr1.7974 transcript:KYUSt_chr1.7974 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAGDEEAAPNSKRAKLAVTGSEDHLSALPDDVLIHILLKLRDTAFAARTSILSRRWRRVWTLLPEIHFSDATDPRRIRPALAANEAPAILHLAAVAQDASPYSVAAWLQTVAPRLSGDLIFRNIIHSSSTSEERGGFELPCFHNATSIRLSLGFLGLTMPASGVFAHLIDLCLDHVWIYSPDRLADVISSRRCPALQRLAIRDARCMGNVAIHSGSLRQMELRNLKGFNRLTIHSESLLKMELSDLRNHQLLTVTAPSLEELTLSSCILGGHGMSLLVSSISAPQLLVLKWKKNTWHPKYVELGEMTHLHCLDIDVFLQYGTDDFMDDTDFLMDDDIGFLMDDVIMYNTDTLMLLQRFKVIHKLNLRVDYLPCMRVNEYLMEDITRLPDITFLSIVITAHAHSFGACLFHVLRMCTGVRKLALDTPGHFDEACPSDCPCGQPPNWKSEELMLDCLQEAEISNLRGTEHELAFMERLFSWATVLKQMTVTFDESITESRDIKEFCQKLLSFSPPEVCMGFFVYRHSEKILYVPVD >cds.KYUSt_chr6.12205 pep primary_assembly:MPB_Lper_Kyuss_1697:6:76161680:76162546:1 gene:KYUSg_chr6.12205 transcript:KYUSt_chr6.12205 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRCKRHPFQAGGGVCATCLRDRLLVLAAAQNSSSSPAPSPSPAPAPPPHPLAAKTAPAFPRSVSPYVSRRKSDSSGAALRSHHPSLLFFRTPQVGPSAPLEEGDIGYHKRRAGKFSVLSTLFGNHHHNHHRSDEDKAKDRNKNRSWLAGIIPRRRKKQPALPPSSPPPRRSCRAVSNRGLSPERGSSGDDESSSPSTADPDPPWRPSPSPMRKTPCRRRQSNVGMPSGLAVCLSPLVRPSPGRRRRAAAQPPDTFSAELRPSPLHAASAAVPRCRSRKLADGARFR >cds.KYUSt_chr5.11431 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74169448:74172789:1 gene:KYUSg_chr5.11431 transcript:KYUSt_chr5.11431 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLHSSMLFSHVCLQATMSGRDRLPRRFVEDGRGYVDARVLEDHRRGHHPGIRVVDDRRGHPEIRLVDDRRGYPAVRVIEDRRVYPAVHERPVMRMAPRPHPHPAVMEEEIELHEVEFRRLMADRHALGEERMELHRELQANKEEVRHLNMIIAEINGKKEAYIAELVDKRRKLEAELRANEPLRDEVAHLRGEVEKLLAIRKDLSAKAASIMQELNRDRSDTKQLPMLKAEIDGLQQDLTHTRNACESEQKVNFELVEQKKAMEKSMMSMAQEIQQMRAELANLDGRPWGTGGSYGMKLGSPEAAFPTQYGDKYNIHAGVSDKGPSHPPESSWGAYDKTRFQYR >cds.KYUSt_chr3.19448 pep primary_assembly:MPB_Lper_Kyuss_1697:3:119577082:119578569:1 gene:KYUSg_chr3.19448 transcript:KYUSt_chr3.19448 gene_biotype:protein_coding transcript_biotype:protein_coding MGESDHYKTLGLRRDASKAQVKAAFSRLALLHHPDRHARADAATRAEATRRFRLAYDAYHVLYDDTRRAEYDLRTAPSPSSGWSRFGVGGGKSGSSSASTSSGDRYGRTSSSSSPDPGRHRYRHGGYQDWPSATRRGNESLGVWMKANWWPLLYCALRTVELVVDGCRKYNAWKSSRKESIEKEAAKSKEKMGDEPLEEEEYVVV >cds.KYUSt_chr5.15650 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101063883:101065764:-1 gene:KYUSg_chr5.15650 transcript:KYUSt_chr5.15650 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNQTQSSDYELPDAQCLVCTRPFTLDTEVTNSFEALAICRECKATVSNDNGRDVTTSSNQQRRQRRRRSRNPSLDSSEDAFSQQFSQVINLARQGNEADIDSPTVVRQLASYNSTPNQSQRWHPSDDESDGLNYADSVFDELESNISFGGESDISLDQHSMMGREIAVQLDNESYMNTDTDIDPMNAGMDQWDSDDQEDEDVQLEESDFDEAVNTVQQHQQQSRGIGPSELTGWESEDGAWTWRMNVNRRANMINLMAEMEGPDITTPFVGNPGDYADARQFEMILQQFAEDNNSRRGAPPAATSFVGNLPSLIISTRHTADGGVICPVCKDLMPIETRAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTDDPEFERSKRATINERDVHGVEERTHVQDIVEEIFDEPEAEETHYTVGGAVEVTNTSEHGIHVAEQSNRARNRSRWLFMAAAPVIQPMCQQPGASRCLQFASCWKFTQCMVVR >cds.KYUSt_chr5.11534 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74795700:74799148:-1 gene:KYUSg_chr5.11534 transcript:KYUSt_chr5.11534 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRGAPPLPVRLRRLIRSPISRCACLLVALTALLAVLSLRQIARVDLPRPDPPHQDQLWGSNGYSYHACVKPTHRYIAPIESDRYMTVRSNGGLNQMRTGICDMIAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPSFIKSLEGDVHIVTDLPETLQSAVRARKHFTSWSGASYYEGAKELWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDQIEDLGKA >cds.KYUSt_chr7.461 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2517538:2520022:-1 gene:KYUSg_chr7.461 transcript:KYUSt_chr7.461 gene_biotype:protein_coding transcript_biotype:protein_coding AREGGPRSCPGCGDLQDVEDFNRTVAYTDQDGRIKLFRVTARELAASSIWENPLLLPRDTQPAAGAKAEAAEERLLAAGSDGANLSSAAVPATQRTDPIKLKREVFRRRRKEQRIQELLHMDKEAELQMRSMATNSSRNFDNKVKASYNIWRQEFHHTNTDSTLKLMKDQIIMAKVYATIARSQDEPDLYTLLMKCIKESKAAIGDAHMDSELDSSALERAKAMGHVLSSARDVLYNSDEVSRRLRVMLQSAELSIDTVKKQNTFLVQHAAKTVPMPLHCLHMQLITDYYFRDGVIKEYFHDAALKDEEDKAKLEDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPDKHVFHIVTDKLNFAAMKMWFISHSSRPATVHVENIDNFKWLNSSYCSVMRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGMVNGAVETCKESFHRFDTYLNFSHPKISENFDPRACGWAFGMNMFDLKEWKKRNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLDRTWHVLGLGYDPAVDIAEIENAAVVHYNGNYKPWLDLAISKYKAYWSKYVDVGSPHIRHCYDGKQ >cds.KYUSt_scaffold_1700.655 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:4168500:4170243:1 gene:KYUSg_scaffold_1700.655 transcript:KYUSt_scaffold_1700.655 gene_biotype:protein_coding transcript_biotype:protein_coding MATVCWSLLPFDLVDRIAGRLLDTADLDCYMALRAVCRNWRSATADPGTPWTTASARTTGSCSTTRPTGGRPPPGLTPESESFVRYKKKYAYPLVRKAVQGCIAIDGHQGLLPPLPAAVVTKLADLMTPVVLQGPDEENLASWKLCFLVESAGETLVVFKLEDRVEPLSSAPGSPFLPHAAAAAHPLPSPKPLQARPPSTTSARPPSTTHTEEEERRGGLCAPSALLQRRPSHRFDPPALGQQPQPAESDDHLHVGITLHATVSTSPSHPLSSLPAPPHSLLLTLPAPADSDLNDLAVTPQFQSVMVPSGYTSFRLPARACCCRTLPPEAAAAAAAAAGSTAFPAPSSPPLLGVLRLIPAVVPFRTLVAAWANLRPR >cds.KYUSt_chr5.30143 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191224600:191228038:-1 gene:KYUSg_chr5.30143 transcript:KYUSt_chr5.30143 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMQQPLLLLVPVLLFSVIASSWPALAAAGAADEGAATYIVYLNPSLKPSPYATHLNWHHAHLDSLSLDPSRHLLYSYTTAAPSAFAARLLPSHVAELRGHPAVASVHEDVLHPLHTTRSPLFLHLPPYTAPSPAQGDAGSSDVIVGVLDTGVWPESPSFGDAGMGPVPARWRGTCETNATDFPSSMCNRKLIGACGFFRGFGAGGGNGSHVSTELSSPRDHDGHGTHTASTAAGAVVADASLLGYAHGTARGMAPGARVAAYKVCWRQGCFSSDILAGMEQAIDDGVDVLSLSLGGGSYPLSRDPIAVGALAATRRGIVVACSAGNSGPSPSSLVNTAPWIITVGAGTLDRNFPAYAKLGNGETHAGMSLYSGDGLGDDRFPLVYNKGVRAGSNASKLCMAGTLDAAAVKGKVVLCDRGGNSRVEKGQVVKQAGGVGMVLANTAQSGEEIVADSHLLPAVAVGATSGEAIRSYVESDADAEVVLSFAGTAVDVQPAPVVAAFSSRGPNRQVPQLLKPDVIGPGVNILAGWTGSVGPTGLTIDERRPEFNILSGTSMSCPHISGLAAFVKAAHPEWSPSAVKSALMTTAYAVDNTGSPLLDAAAGGNANGTATPWSFGSGHVDPVKALSPGLVYDTSIDDYVAFLCTVGGASPRQVQAITGSPNATCQRKLSSPGDLNYPSFSVVFGLRKSHTTVRYHRELTNVGAAGSVYNVKVTGPPSIVVSVKPARLVFRKAGDKARYTVTFKSTAQGGPMDAAFGWLAWSSVDGEHEVRSPISYTWGM >cds.KYUSt_chr1.26615 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160436855:160438168:-1 gene:KYUSg_chr1.26615 transcript:KYUSt_chr1.26615 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIVGAGASVLAVMSVALFVLCYRSSKVVTVRPWATGLSGQLQRAFVTGVPSLKRSELEAACEDFSNVIGSLSEYMMYKGTLSSGVEIAVVSTTKSSAKEWSKHCETRFRKKITSLSRVNHKNFVNLLGYCQEEQPFTRMMVFEYAPNGTLYEHLHVREDGHLDWPTRLRVAVGIAYCLEHMHQLSPPEILGTLDTSTVYLTDDFAAKIADVFFCSDEASSTTKEMESLQSPPMSNKESVVYSYGMVLLEIMSGRFTASDGGLLEGWAASFLRGERQLRDVMDPGLSRNAPLQAETVSRLDSVIRSCTDREARRRPTMTEVARRLREITAMPPDAATPKVSPLWWAELEIISTEAA >cds.KYUSt_chr2.38154 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236222485:236222757:-1 gene:KYUSg_chr2.38154 transcript:KYUSt_chr2.38154 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVPIAGLCLLGLFAGLLLFARRRRRPPAPVECPPEEVQEVCEHVRVHEQVVAGPAGEVVVVDVIDEVVVQQEHGVVSHAHRRGKGPR >cds.KYUSt_chr2.7361 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46206740:46209616:-1 gene:KYUSg_chr2.7361 transcript:KYUSt_chr2.7361 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVPMSPELEQVDGEIQDIFRALQNGFQKIDKIKDSNRQSKQLEELTGKMRECKRLIKEFDRVLKDEEKRNTSEVNKQLNDKKQFMIKELNSYVTLRKTYQSSLGNKRIELFDAGNDHVAEDNVQMASEMSNQQLIDSGMKQMDQTDQAIERSKMVVAQTVEVGAQTATTLTQQTDQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKIVNPHNKNIPDIPGLAPPAPPAQNRKLLSIDGFRML >cds.KYUSt_chr5.38841 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245873774:245874962:-1 gene:KYUSg_chr5.38841 transcript:KYUSt_chr5.38841 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRQWRTIVQKKKKKKRDTRAATMKKKKIVKEESQPAPQGNERRWQKRTCSFCGVSRIHENDHFCPYNYINGAFNLRTCRARCQPGKHPLLLPSSSGSSSGSGSGSHHLLRRLVRVTNVPLSAIPGESDLCRLFRRFGPLVGSNLTSMSLHDPVGFGWVAFESREHAEEAIDKLNGHLVGDRKLRVDWVYPQT >cds.KYUSt_chr2.16733 pep primary_assembly:MPB_Lper_Kyuss_1697:2:105215007:105221448:1 gene:KYUSg_chr2.16733 transcript:KYUSt_chr2.16733 gene_biotype:protein_coding transcript_biotype:protein_coding PSRAVPPTVVFNAIYFDPSSPSSTTLSSTTFIAATSSATPPPPPPPDRMFEFHMLVVTVVMLNILELIMEIVPNYPTSQAEPKAVPSDAAPTSNEKPDQGSPPAKKRGVGRPPKSGQKRAAEQQESPGKGRKKARRELEMQIRVRNIDMYVLTNGNRSCRCSKMRLRLHLLVLCLIILFVVYNMASYQHRQTALDVKARPFETITESHVAGAKVSSRARVKMSERADASRIGFLPRGIVEPYSDMELKPLWLTKSVQSQRSTQNERCLIAIPAGINQKKSVDAIMKKFLPENFTAILFHYDGKVNEWNDLPWSKSVIHVAASNQTKWWFAKRFLHPSVVSMYAYIFLWDEDLEVDNFNPRRYLNIVKSEKLVISQPGLDPKLSEIHHPITVRKKAGSFHRRVSRANKECSREGPPCSGWVEGMAPVFSKSAWQCAWHLIQNDLVHGWGIDYKFGYCAQGDRTKNIGIVDSEFVVHRGVQTLGGSAMTKNTRAKNSKALRQKTAQGQQQTRVRAAGLDMRTKILFAGKICLMGRWWGRSTERLRSLAEAVEWDNLTRELSFSQASDAGDVVSWSLEASGGFSYISLEGEGRLRISRTSGKLEYLRGLKSSFGS >cds.KYUSt_chr4.52423 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325185694:325188632:-1 gene:KYUSg_chr4.52423 transcript:KYUSt_chr4.52423 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDEETLTALLEAARTREGRAALADALSDTLCLLPASPVPLLLLRLRLVRNLLAGDSNNQRTFVLLSGPAAVASSVLALPSLAPDVARAALQALGNAALGGDRNREAVWDALFPGPLRELAGVPDAGVVDPLCMVLDTCCSGEAGRARLRELCHQDVGLPILVQLVTTASRVGHKEEWLEWLLVKICVEEEMFETLFTALGSTDSAEHSDGGESGNGFTAKHAFLLGTLSRCLTERPEEVIVSNSFALHVLNVQKHAAENVDFTCRGSSSLPTGCPEIDVLGYSLLLLKDICAWEPASSESEAPVDSLLQTGLVKHLLKYLRDLELPSTIRKAIAKEQGDQQPALASAKVCPYNGYRRDIVAIIANCLHGRKQVQDEVRQLNGIMLLLQQCVIDEGNAYLREWGLLAVKNLLEENEENQKEVSELQMQEPILTPEIADIGLRVEIDKKTGHPKLVNSS >cds.KYUSt_chr6.19845 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125135905:125139843:1 gene:KYUSg_chr6.19845 transcript:KYUSt_chr6.19845 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRRRRPNPNKPAEAAPSARYTFGQQLRKLPVRAHMHDLAAQRVRARWGAGSARRMWRVRWRGDRSRRNCCRGPWRRGTRGTRCRAHAGQDAALSEYASGEAHEGALHHSASTAAVMSSSRGAGAQVDADAHPHRHWVRRERPPGPTAKKQWSTPKEKNHQ >cds.KYUSt_chr6.31930 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201763100:201778216:1 gene:KYUSg_chr6.31930 transcript:KYUSt_chr6.31930 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQWEFYQLGPGGDLRFEKDLKQLVEYLGHPYPEFFGIPLNNHSGETPRWEVSTDLRRKLGAPMAPPNRTNDAMMQLLQTLLADRETERAERQANLTALQNIANQGHGNHDHPGSKLKNFQNTNPPIFNKTEEPLDTDDWLQTMENNLEVAGVEANEKFMTWEDFKLKFSKYHVPQGLIKMMRDEFRELKQGRMSVVEYRDRFLTLSRYAPDETDTNEKRKERFLNGLHDEMQTVLVNIPFADLEALVDSAIQMEGKLHQANENRKRRMMNQHGSSNTQKYRNNSSGGYTPKYNKPPAQNYRPNYTNNHGGPPKPGGNNNNLNNNNNGNNNNNHHNTGTRTGSNAVPVANKKDKATITCYECGVVGHYSNECPKGLAKLAGNTAAPAQNQRRFAARKNPNNNGRYYNMMATEAQEAPQNMPTGCLDDIDYFNRELAVDDDDDEGEYGDDDVDEDLDAAAAVEAEYEAPSDCHVQGGDNSHDDGGTAWDPETQPPDISEEEDNNVIKSLDNKIPELGSLPLQMTTAEQGHGTARASLTRPFKNKNTLCYTTRAASLPVTAHPEILKKEGSISLAKQSSLHSYCPQIFRIEEGPFPGVVLRFSYVELEQATGNFSDEHLIGVGGTSKVYRGQLSDGKVVAVKKLRPLGGADEDYEFLSEIELLSRLNHCHVVPLLGYCSERQGRQLERLLVFECMPNGNLRECLDLKSQGRKPMDWPTRVGVALGAARGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVVLELITGRQPVHRRGAGGDESLVMWATSRLRDSRLVVAELPDPALKEGGFPPEEMQIMAHLARECLQWDPEARPTMTEVVQILSTIAPHAGDKRRRHHLPAAGFHAERPRECSVSLERWQDGDRDHLHRGNGNVAVSGEVAVNVGMPATTIGRSWRSPEPEEVDLTEPRLETFTQPTTTTTLFR >cds.KYUSt_chr1.15596 pep primary_assembly:MPB_Lper_Kyuss_1697:1:90699965:90706693:1 gene:KYUSg_chr1.15596 transcript:KYUSt_chr1.15596 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDGADFEVAARGKNNMSAARGGNRTPKIPDAADEIEPGAKVAPTPPKGRDGVGGGSNRCCGVGGDGSSCSLLHCPPNGEDSGGEDSSSAALVAALAAVVRLQRPVGKKAADCSEKRDPGAEEMVGGGGGTEAARRRLAATTATGVGCSGPAIAAESHLVRRLPRQQRHRRRRPVGASQVEVDLLDRGGEIAEGCGSTKKPEDMYRIIEHFALGMRRLELFGEDHNIRPGWLTLGKALSSSNFNKEAYLKNITDRDGKVWQSNGGRNPPPDAPHLVVTTPEIESLRPKSPPHKNQQHQSISVPLGSSTNRRSGVSTPQNAVTVVDDVDDAHDDELTNLHDKENLVIEVGTSVKTQAMETKPMERELKMKKMELQHPSRNFRPPGPELPPRFPVKSIQPELPPRETGTSANRNFRPSSALVPKMRQNAPECYCKEIGRFRN >cds.KYUSt_chr6.28290 pep primary_assembly:MPB_Lper_Kyuss_1697:6:179366551:179367639:1 gene:KYUSg_chr6.28290 transcript:KYUSt_chr6.28290 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSAVVHFELECSPIDEDQLPFDRAINSDIVSAGGHDWRMACTPEDVDGFVSVYLELISEDRNVVTVIFDAAITGSDQVVGPSCFSRRRTVLVYINHRPGEYERSGFGRFIHRADLGEYAGSNGRATVMCAVSVLDDYTLTSIADAPPLQEKKGIAALLSEVGDHLGCLLDSAALSDVSFVVGGDDEAPPLRAHRAILAARSPVFKAQFCGHMLEANTDAPSLIITVPDMNSETFKTMLRFMYTDNLPAGLGHYDEGEDEALLSLLAAADRYALDRLKLLCAQKLLSNMTVDTVATLLDCAETYNCPDLKTMCIEFVLDDENFDKVVLADSFIDLVVTRSPLLLAEMRNIAFFRNNEKHIA >cds.KYUSt_chr4.12474 pep primary_assembly:MPB_Lper_Kyuss_1697:4:76286887:76287519:1 gene:KYUSg_chr4.12474 transcript:KYUSt_chr4.12474 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAVSPSPSLRRCLPQRQHHLNRALPRFPLRQRPCVLTAGDRWTCGARRRVRYEEEDEDDEEEYGHNEEMVRLEAYSEGVRGQALLVNAAVDGELEVVLVFKGFSSSLSGRTAPDPAMSVLPERAVIQTVDVVEGPFDPSNIEYIEKDVPWEEFKSRLQ >cds.KYUSt_contig_1467.149 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1007730:1013498:-1 gene:KYUSg_contig_1467.149 transcript:KYUSt_contig_1467.149 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVHVGGIVRHDMPTALYLLPYLVLNAVCYGTPEARQSITDEILSVLNAAASESSGATVHGITGGQSEVCVQAIFTLLDNLGQWVDDLKQEIALSQSSHAMAGKHAGKLKNDGQDQLLVQCSNVAELLAAIPKVTLAKTSFRCQAHARALAYFESHVREMSGSSNPAAEYSGTFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSSLQDQLIINEKTGNWAEVLTLCEHALQMEPDSVQRHCDVLNCSLNMCHLQAMIAHVDGLVCRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLPEADKGLVCSSSENSASFDIGLAKIFKAMMNKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLCELEDFNSLLGDESFLDKSFSADDPKFLKLTTDWDNRLRCTQSSLWAREPLLAFRRMVYNLSHMNSQVGNCWLQYAKLCRSAGHYETAHRAILEADASGAPNVHMEKAKHLWNIRKSDSAIAELQQTLLNMPAEMLSIIRGSSKDLPTYQWLTVLSQLISRICHQNGQAKAKAINISTEFSSLKRMMPLGIILPVQQSLTVTLPSYDSNMSGQSTFHPFSVSEHPTIAGIADEAEILSSLQKPKKVVFLGSDGVARPFLCKPKDDLRKDSRMMEFNAVINRLLSKVPESRRRKLYIRTFAVVPLTEDCGLVEWVPNTRGLRHILQDIYITCGKYDRMKTTSQIKRLYDECHASKMAEDVMLKTKILPLFPPVFHKWFLMTFSEPAAWFRARLAYAHTAAVWSMVGHIVGLGDRHGENILIDATTGDCVHVDFSCLFDKGLQLEKPEVVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRGHKEALMTVLETFIHDPLVEWTKTSKSSGGEVRNPQAQRAIANITARLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHKNLGKMYIWWMPWF >cds.KYUSt_chr3.42312 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267429171:267456440:1 gene:KYUSg_chr3.42312 transcript:KYUSt_chr3.42312 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSGGGKLSLASVGFAGAGVAAGGSAGGGAGYKELLVMALPKDDGLDGAKVAELIGAGLPDIGCSVRAFFRTREVREFASGALAGAMSKAILAPLETIRTRMVVGVGSRHIGGSFVEIMEQNGWRGLWVGNTINMIRIVPTQAIELGTFEYVKRSMKTAQEKWKDDGCPKIQLGNMKIELPLHLLSPVAIAGAAAGIAGTLMCHPLEVIKDRLTVDRVAYPSISIAFSKIYRTEGIRGLYSGLAPTLIGMLPYSTCYYFMYDTIKTSYCRLHKKKSLSRPELLIIGALTGLTASTISFPLEVARKRLMVGALQGKCPPHMIAALSEVIREEGLLGIYRGWGASCLKVMPNSGITWMFYEAWKDILLADKDKPQN >cds.KYUSt_chr7.7089 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42663944:42664908:1 gene:KYUSg_chr7.7089 transcript:KYUSt_chr7.7089 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCSPNLRQATAAATCANTPLAAALGKSSSNSHIHGRLSFSHTLLNHRAKRAGWAVRVLPLTEENVERVLDEVRPSLMADGGNVALHEIDGLVVVLMLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQIHDTETGLELNTENVEKLLDEIRPYLSGTGGGSLELVQIDGFVVKVQISGPAASVMTVRVAVTQKLREKIPTILAVQLID >cds.KYUSt_chr4.4841 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27686990:27687526:-1 gene:KYUSg_chr4.4841 transcript:KYUSt_chr4.4841 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMKRAREDEPVSLALALSTDSTSSTTSEHSSGAAPMAARKRARRGRVVATSGEGDFVCKTCGRAFTSFQALGGHRTSHLRGRHGLELGVGVARAIVEKKRSEDKHQAHECHICGLGFETGQALGGHMRRHRDEMALSGDSAGVDHQWAWRSVGLPDHEVVGHAADRPPLLLELFL >cds.KYUSt_chr3.31577 pep primary_assembly:MPB_Lper_Kyuss_1697:3:198448750:198451240:1 gene:KYUSg_chr3.31577 transcript:KYUSt_chr3.31577 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPQLKSIMAPIGVADLRGQTVAVDTYSWLHKGALSCGDRLCKGIPTTRHIDYCMHRVNLLRHHGVKPILVFDGGYLPMKSEQEVKRARSRKENLERAREHEAAGNSRAAYECYQKAVDITPKIASDLIQVLKQEKVDYIVAPYEADAQMAFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFQITRLERNRELDLNGFTKQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKSYEKVIKHLRYSAVSVPPQYEENFKKAIWAFQFQRVYDPATEDIVHLSGIPHGLSEDDFLGPYP >cds.KYUSt_chr4.4006 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22861143:22865445:1 gene:KYUSg_chr4.4006 transcript:KYUSt_chr4.4006 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSPSMTDEERERRYIRFFGEGMAKLWAILDSPGGAQFWGKRLEGYGLGVLQQAMEEASRRKGKEPTKEPPPPRETERHKIQREEEQGNSQPPPEPEPGKMEEEASRRKDRREEEEPEQVPPKWDMMEDEPGSSLPHPPPEWEKIQGEEDQGNSQPPLLPDPEPIQGEQEPGSLSPHRPPEWEMIQWQQQEPIRWFEEEPNQEDLDLYMRVMEEDNPATSIYGTWMPRPASPSVTRSTFDLPPYYQDPDPEAPHYFDDMAEFYLEAARRLPIAQMPKLARYLSLGGLLLGLNDPVTNIIVNAIYLMGSSRASDVLPAGVDPVRHAADRASYVDIARKSRAALVTFMTFYFRHLTQDQAKRYLSAANHDLVLAISLVEQHRKGSLSIQFEVREAWPHCSRTKIALRYAARAAMLLHDPDDLVRVLTSSFPYHLLNPVLNNLRREEKEQLSVGWVNDTLNLLQHPWSPPQPPPAPTPGTFRDADGNVTIIVNIGQDLFSTTTITRDHVATLNNEGNGNGNLVTTSTISRHPSSHGDHDDDHNDLAGYIHLFTGSIEPEFDCLLCTTDEQLRSDMNLVMMCLLDDIHSFYIEALSMLPSDHRPRLLHALLAAGYCYGVMDNPVHNIIINSIWYSLHYPLPKDETVQIQYTSSMSRIASCSLHALIAIIQDDAATISKKNDAVSVLARGGCCVDIKGSRQIVNAAHATRHPQPSVLVEFMELLLPLRGRLSSLLTHEYGVSNADFETICNLIETCKAPVPTISNLCQPSSMDPDCWVQAYVHKKLEELLLNHGRKYPLEPQYKLGIICGVASKICSSYHRGTTCYHANFFASTGDAPASSPGWSFFFAEFWNKVDDDFEQSTMPPVCCPVPHLAREITYCRCFICESAAVRIVHPFDVNYFMGHEVSTDSLCAIAEHEAALDGFKMEFVTNPSA >cds.KYUSt_chr7.13509 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83226118:83227287:1 gene:KYUSg_chr7.13509 transcript:KYUSt_chr7.13509 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSCNTVPPGFRFHPTEEELVGYYLARKVTSHKIDLDIIQEVDLYRIEPWDLQEKCGKYGGGGGGGGQEDPTTEYYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSSSSSSPAAVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQSNEHAPTQEEGWVVCRAFVKPVPNQQHRLSYGSGGGYPMMNSSYSSASNYYYYDNPNARLMVAGGAPAHDHHNLAAESKQQVQLFSSDMPPPLQSPTTLDDGDISQTNGAPCTVDQQLAAAAGTIDWNLWSSLLPSTTPQLFHEQTMTPQAANSSSSKNNDI >cds.KYUSt_chr4.19978 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125616751:125618529:1 gene:KYUSg_chr4.19978 transcript:KYUSt_chr4.19978 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSSTSQPRRASPSPTPSPATAPKPNKNLGSAQRRRSPLSDLNSGDASAARAGCFRFLLSSASASKSRCPSTPRTPASGPPRPRPETTRRVNRLPDQESRTKTEQWPRSRREDPIGGQIRRVEPTTKKKGLARRGQQQLEALTPERKADAGGTPSSSATPPVHASISPEVLAGGAATPACFAAGHHVVPGVRDRRKRRARGILDIAGEGTSTSSELGSDPSRASIHWLSSSQLGEAVTRSTNCAKEASAIWLASPRDEGLLDDEIFLPRCSSEDAFWRLSPDYTGLLGSPVLGGLLDFDTPGSETTPSSGFLPVEKTPSTGDSISPFSLIVKRASSQSSRLSSLCAQQRLGSYCCDSAADPTPISRESWPENASTGKCSGLARVSSRLVARMDPVVECVEMMTLSPRPGDADYDGNEALPAQISFQFAGAPTPLESIDLSSFKRSPCEIELKGKEVSFRKPVSSTETRISWREGLVSRMFDMGDLDCCRWFSDDEDGPVLLGNAEALPDTLPDTTFQHISASCQQEDGERSGFGSVEFSSNGGGELNYDSKATPNPVQVAESMRAEGFELVSSDDSDCWTLLYKNGLFET >cds.KYUSt_chr3.28167 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175746221:175750440:-1 gene:KYUSg_chr3.28167 transcript:KYUSt_chr3.28167 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLGLPTNCAAAICGLCLPKCRVSSLAALRLPAPLASDVPLDPATGLLLRQHGCRLMVVSLAGKAYVAGFTMCLPSSAVVVEANSWCSLRGLHHPRCRLRRSAAWRWMTSSATKKVFSSQTLSLPRYKSGKGECTVLSRHTKVVTLEEALDLVGIESEAGSDPGRDLVDPELSPNPFQFLFPSPSNPCLQDHIRLGLSCAWIEASKIVVS >cds.KYUSt_chr1.26781 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161518699:161519979:-1 gene:KYUSg_chr1.26781 transcript:KYUSt_chr1.26781 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPHPQPPVLSTSMSSSSERSRQSAVPGPLPLRLRSPEILLEPKCCISECQYSNSVKTESSQGLSLEVSFQFRDPPALSRCFVRCYDLTGSQRLAEGPRVVCAAGGLALLDIPFSGEDIHPSYSDYFIYRAGPGSPSIHLLPRPYPTDYQLDMVAVLPICDGSDDYAVVFPVVDYFMLEDRNHYTLHIYRSDRKAWRSQVACIAEKETEDARHKFVVNDTTSVVYAGNGLIGWINLCSGVVICNVLDKKPTISFVPVPVPDQFRRKFDEFNIRPYRDMTIYNGVIKFFELKYHRDPAFNVKRRDDEGWMATIWTRPISSDAWNEGLTFDTSDIVVTDPGFRHLLPEMVNEENKLKLEKLMSGGPTLSLNDDHTVYILAKMSLFDPSAFVLALNTRSLTLESCAPCRGENILGFEPNYVPFALFS >cds.KYUSt_contig_319.88 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:651046:663822:1 gene:KYUSg_contig_319.88 transcript:KYUSt_contig_319.88 gene_biotype:protein_coding transcript_biotype:protein_coding MIWQDQVSSYSNNNYKGYATLEEAQQEYQSFLDGAMEIEAIDRPVLLAHQPLEAVHALQGASEVRERRVKDYIIIFPITMICSQLHLWAPVEVHGDGNSIKIPKGADNTCVRDALRPYFGTVPRTITLKASTGCNTLKDVNGKAAMDHGCLGFAILTISGLGTSSHSRRSRHVILELSSSTTLSPRQNSMATGCAPWGRGGGDLSSGGYGNGGGPFGIMTGILPSLGAHSSRRHRVRRCVVSPYDPHYLFWEHTLVILVLYSAWASPFEFGFFMYPHGPLAIADNVVNVFFAVDIVLTFFVAYADKKTFLLVDDPGKIAWRYVTTGFVLDVVSTVPTELSRRILPTDIRSYGFFGMLRLWRLRRVGALFSSMEKDRKFSYFWVRCLKLIAVTLFAVHCAACFYYLLADRYPNPADTWISTSMPNFHNESIWHRYVASMYWSITTLTTVGYGDMHAVNSQEMLFTTFYMFFNLGLTAYLIGNMTNLVVHGTSRTRQYRDTIQAATSFAVRHQLPERLQEQMVSHLSLKFRTDSEGLQQQETLESLPKAIRSSISHHLFFGIVQSVYLFQGVSNDLIFQLVSEMTPEYFAPKEDIILQNEAPSDFYIVVTGIVLAGTAKSGDVVGEIGVLCYRPQLFTARTKSLCQLLRLDRADLLRIVQSNVEDGTIIMNNLIQYLKEKKDDSVIAGVAKEIEHMLARGQLDLPITLCFAASRSDEFVLHQLLKRGLDPNETDNNGRTALHIAASNGSEQCVRLLLENGADPNPRDPEGRVPLWEALSRRHKPAALLLAEAGADLSAGDAAMYARIAVEEDDTALLEEIVRCGGDVTAACCSDGTTALHRAVQDGNGRMVKVLLEHGADADREDSRGVTPRALADRHGHADVQQLFASHQARGDAKLPGAEDGGAVTAAAAAPQATRFRSGGLPPCGSVGSSPSLNRAGSRASYSSSARSTPQRMTNFRNSLFGVISSSFHGNRHDGGGGGRSFHHHHHSERDERSHIRVTISCPEQRGGERRLLLFVPETMQQLLELGGNRFGFEPTRVVTGDGAEVEDVRLVRDGDHLLLVSEQWTPGTSSVPRNQ >cds.KYUSt_chr1.2591 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15467680:15480141:1 gene:KYUSg_chr1.2591 transcript:KYUSt_chr1.2591 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLPRYATGIMPPGLTEEEAIRLALQDSATPPVQPPPPPPSYNPWGPPPPRSSTPVASRVCRRHAASGQTAALLSHSVCLTLASLPPSLQPKRMIFCFNRTSCSFSSPSGSSLAGPAMELVLAPDGVSKSLFRVLAVNLEEFRTINHIMEKESQSIFDEPKKISLKLLEEITNGFAEDAKLSSGTFGEVYKAAFKDGTEIAVNKLRLMPGIEERRFESEIGHLMKLKHENITQIVGFCDEEEEVLSTYKGKPVAALKIHRAVCLEFVPRGTLCKLLSDNKMVPKISEFGLRRLVSEESHLGNLESSPAESMDTKIISKKYDIFSLGVIIKKIVISGVLDYMTIPDMEDKAFIEHEFCVKINIMEKESHSISNEPKKIPFKLLEEVTNGFSDEAKLGSGSFGEVYKGVLKDGTKIAVKKLRFMPGIDEMQFANELGHLKKLNHQNIVKIVGFCDETKEVVVPYNGMLVVASEIHRALCLEFVPNGSLGKLISEKFSGLNWHARFKIIKGICEGLKYLHDVSIMHFDLKPDNILLDDNMVPKIADFGISRIVGEENTLSTMSSLGTLGFMPPEFITKQIISKEFDIFSLGVIIKRIVVCGLNDYMSIADMEDQAFIEHVHDSWKKLQETSSYASLDVDCKQVRTCIQIAVVCMETDRYKRPKMKDIICELNEVETREDGSRSQIEQIHKEQSILPKEDIPKRRFPGQSRVDWATCFRIIKGICQGIHFLHNEMDRRVLHMNLKPSTILLDADMVPKITEFCISKVFGKEQTVMVTGNIVGAVGEISMQSDIYSLGLIILEIATGEKNQPDNDDACGMNFIAHIRKVWTDEYILWKYDLDAASFQEVKICIETGLRCVELQRRNRPLVADILDKLNGREKNPENKLDDCQKKKGKKLDD >cds.KYUSt_chr5.13658 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88731117:88733351:1 gene:KYUSg_chr5.13658 transcript:KYUSt_chr5.13658 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAARDLAVPAPRSTRPRIGDGVYDRDDSLKESTNPKSLPVKNHNHNGASQRFSGNLKPTAAPIIGVSGNLGQGSTAPARRHHRPPAMFPKKANTGGGGREPKTAVPEPGSPKVSCIGKVLSGRERARHRSPTGGCCAGLGFSIRRSRSRKSAVESVEWSPPPMLPRASVERRRESVDKDAALPAAPAPALGGMRRQFSPSGFAVALKPSPFTGSHFKIWQNKTLLWLTSMGVHRVAEGTPRGPLTPEEDKAFGDATVIFVGAVLSVLGDKLVDAYLHIQNGKELWDALEAKFGAV >cds.KYUSt_chr3.7478 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43207751:43208317:1 gene:KYUSg_chr3.7478 transcript:KYUSt_chr3.7478 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAAAAAAAAAAWKAVFRQRVLSVQEHVRDARDRLVALDASFREPLPVLDLLMGSLRSATPILNTAIVYIEAAEILALHGGGANPWTPLPSVLNFTPPDAAVQVALARYQNARVCLLGALTMVESSRGHLATAIALFAGNASIPDKMRFVMQEYGTAHTALQNAVQMVKDALSEVTISRNPITPP >cds.KYUSt_chr1.3635 pep primary_assembly:MPB_Lper_Kyuss_1697:1:22071308:22074849:1 gene:KYUSg_chr1.3635 transcript:KYUSt_chr1.3635 gene_biotype:protein_coding transcript_biotype:protein_coding MLATETEPPKVLASLAVVLLLLLTTATAATLIPDATVLEGQARALLVWKASLTSQSQHILLSWENTSATCDWHGIRCTAHRRGHQTVISGLSLRGRMLRGSLASLNFSVLATMRHLDLSHNHLTGGIPPGIEVLVELQALLLQGNQIRGSLPLGKMSANLVTLDLSDNHLVGHIPSKIGYLKHLVALNMSSNYLSGSSPSNLGYLTNLTTLDLSDNQLSSQIPQELGLWKDRLAGKIPQELGYLVNLETLDLSTNRLSASIPRNLGNLTKLTTLYLDINQLSGHIPREIGDANELSGHIPQEIGNIKSLSTLALAFNNLSGALPSGLCAGGQLQLLSATDNNLVGALPPTLLSCKSLVRVRLERNNLEGDITGMGAHPNLVYIDISSNKLFGKLSHRWGECYNLTMLRASNNNITGVIPSSIGKLSQLGVLDISSNKLEGHIPPEVGNITSLFSLSLSGNILHGNIPQEIGSLIDLEYLDLSSNNLYGNIPGSIQHCSKLHFLKLSSNHLNGTIPIELGKLANLQDLLDLSENSIDGTIPSMLGGLSTLEALNLSHNALNSSIPPSFQSMTSLLSMDVSYNKLEGPVPHTKLFEEAPIKWFVNNKKLCGVVKGLTPCDLPQSSGQKRHSRAILLAVIPIVVSFVFATALVTWKCKKKNKAKAETVNEGQQTNMFTIWNFDGEDVYKKIVDATNNFNDAHCIGFGGNGSVYRAQLPNGEVFAVKKIHKMEDDEQFDREIHALLHIRHRNIVKLFGYCSASQERFLVYEYMDRGSLLASLKGKETAVELHWTRRLNIVQDVAHALSYMHHGCFTPIVHRDITSSNVLLDVEFKASISDFGLAKILDVHASNSSNLAGTKGYLAPELAYTTRVTEKCDVYSFGVLVLELIMGNHPGDFLSSVDNKTILFRNFLDTRLKIPEAEIASEIFHVITVILRCIESNPSHRPTMQEVLKVFSTAKQSPTNHIDYLHTSIAIPTWS >cds.KYUSt_chr6.10280 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63282951:63288524:1 gene:KYUSg_chr6.10280 transcript:KYUSt_chr6.10280 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGDIEEELYMVQPKGFVDPKNADKEQKKPEKKEEAAEEKKPDEPQDIVLKVDMHCQGCAKKVKKSLLKFEGVENVTADSRSKTVVVKSKTADPAKVFERVQRKTKRRVELVSPLLPPPPEEEKKEENPPPPPEEENKEEPPKAITVILKVQMHCGACAKLLEQRISKIEGVELVVTDLPNDQVTVKGVMDQAVLVDIVQRKMRRTAVIVEGEEEKQEDEKKPDQDEKKVDETKADDGHANEHKYEFWPPSWYYVDYVHHFPLAPAPLIDDFSEENPNACAIV >cds.KYUSt_chr2.26874 pep primary_assembly:MPB_Lper_Kyuss_1697:2:164526642:164530160:1 gene:KYUSg_chr2.26874 transcript:KYUSt_chr2.26874 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHPRGRVGAAMLAKFGAASQMENRGAFGTKRSRNDGRGTGVVISVVMSTSVLEMLATVDPVRMMPAPAGGGYDRSPLFYGSAGAPPPHMPNGSASYGSPYPQIGLRYGYGPPVGPPGSYGLISSYGQPGPMGGMGYAHGPELGRYGPELGRYGPDLGRYNYGFRGSPMPVSSPWSGGALPENNDTTASRKRRGGPDGLSEGDWECPTCKNVNFAFRNTCNMKKCGAPRPTSGANSSSARKDKDAPEGSWTCPECNNLNYPFRTVCNRKGCSSDKPTSPNN >cds.KYUSt_chr4.37509 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231127098:231127595:-1 gene:KYUSg_chr4.37509 transcript:KYUSt_chr4.37509 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGGKYAGLDHPAGAGDLRPAFDVLDADRDGRISRDDLKSFYATANTGAAATSDDDIAAMIAAADADRDGFVQYHDFEGLLAPASTKGTAAAATSAMEDAFRLMDRDGDGKVGFEDLKAYLGWAGMPVDDDEVRVMISVAGDGDGGVGLQALARILAVDFEAAA >cds.KYUSt_chr3.4939 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28059281:28059631:-1 gene:KYUSg_chr3.4939 transcript:KYUSt_chr3.4939 gene_biotype:protein_coding transcript_biotype:protein_coding MGADTYEEKKRRWTTTSPPICTDMNNYVKIYDYTMIFVEFYIANSIAKVYVNMVSIDILVNTAVAAYDTKNPAAAAEPLPPPRYDKDPPPPQIHCRHRRTTIKTRYRRRPAAAAAQ >cds.KYUSt_chr2.32430 pep primary_assembly:MPB_Lper_Kyuss_1697:2:200020251:200021418:-1 gene:KYUSg_chr2.32430 transcript:KYUSt_chr2.32430 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQLPSAVASLLSSIVVRAVSTQQQCVPLLPPDLEPPSICVTTVRTPSSSQSSPRSVLLEAARTPQKCTNITNSNVSFTMAATIIEFVSGNGGRRDEISGFGESEDFPLGKLGLLIWLARKEGRGRMKVVLGDHGRGVAWRAALAEGFERQRNLLRLHLPAVRSRSDGFARTAASSADQSATQKETPAAPDTAACSVPYAGMFG >cds.KYUSt_chr2.48846 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305608135:305611271:1 gene:KYUSg_chr2.48846 transcript:KYUSt_chr2.48846 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARWAARPAPFTAAQYEELEHQALIYKYLVAGVPVPPDLLLPIRRGFDSLAARFYHHPALGYGSYFGKKLDPEPGRCRRTDGKKWRCAKEAAQDSKYCERHMHRGRNRSRKPVETQIVASPHPQQHNPAASAAAFQSHSLYPAIANGGGGSFALGSTQTQLHMDNAAPYSTAGAGGNKDFRYSTYGVRSSALDEHSQFITAAMDTSIDNYSWRLLPSQTAAFPLSSYPMLGTLSDLDQTTICSLPKTDREPLSFFGSDFVTTTTDSVKQENQTLRPFFDEWPPKARDSWPELQDDTSFSATKLSISIPMTASDFSTTTTTSPSPNATGIYSR >cds.KYUSt_chr1.30401 pep primary_assembly:MPB_Lper_Kyuss_1697:1:184064063:184073200:1 gene:KYUSg_chr1.30401 transcript:KYUSt_chr1.30401 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGARRTEAGREEGELQLHDGGGGPGARGGDELFDPDSLTYIDEKLQKLLGHFQKDYEGGVCAENSGSQMGGYGSFLYPQRSLSIISQSRSPAVPPNHGNASRSPYVPVESAQKSHFVKTELDSKRKDDYCRRTSNGINGNPHQQILNRAANGPEQKAPKIRIKVNSSRSLARNTAAVYSGLGLDISPSSSMDDSLGGSAGAPEPKNLPDASPHTILQIMTCHPIPGGFLLSPLADNIMALRKKSASVTKEHEAPEFDYDKAELNRNCCPTTSAAGDNKNKVSNKNKYVEKKDHLPSIKNSQCRHNDSAIVNKGTMPQLLDMSDDAGSVLLPRSMKTEQHSVEESEKLVADIPNHLKETKNGPLKARGRDASSVRDIESIVDVKAVSANDDNHKKGKANLKASIDLSKDSKTGPTFNEGFLDKIKYDSDGYNDRPSTTPSQPPNVPPNKTSLDRDKRKVLHVKDEQSQCESKGMGGLVSAVSMDIITENVGGNPSGMLKRKKKISSSQTALPGKKLKLKAHKQLSEFTRKSYVSDTSVKPEKETVSSGETDKGKSDGGNDHDHKISPFSFDRSAPVPSACANKAMELSVAAPVVEPVVINEQWVCCDKCEKWRLLPYGMNPDILPKKWRCSMQSWLLPGMNNCKISEDETTKALRAPENNISLGVRHDVATSGVCTTMTSRTVEGDMKSTTSGTPKVESNANVSNIAEMPKSSKKLQTSTSRNPDDVDHFRKHREKRKRMGFSDKAETIAEDKTHPESKSSVDHDNLRASKKMKKEFSEPAKRRLSELEISKSSPSIKESPKNLQPDSGVSSSMGKYGPSSSIKCNDDKFISDGGIRTSDKGRSDRPELSIKIRKSKQRQLSKRGLEPVASDAFSKHIVTEGESNGAKEKPIQELKFSKTDDRKAANSRPPVSGTGSDTIYAEKECLSEQHHENIHLQHSLVSESSMRRNMSSTAAASSSSKVSSSHKSKVDFQETRASPVESVSSSPLRTSDKNLVDQHKRHPCVVAETVPSQESGKSGLSFSKENYDLGSNPDHSKAHGSGCFNGDLHPHVLKDGELEDKKDNQCSKNEDSGLGTRNSQLNPSKAQKVNSHILPVHSNGDDKQTPSIQNGERPPRLNSNQCDHAKLTSGKHPTQVKPDKENAEHKDLKIPLSTVKGSKQQPALNNTANGDVSYKAKQLKKAVIENTKQATLSRDVPNPINTSVLLKEARDLKHLSKRLKEKGDDLESASMCFEAGLKFLHVASLLEAPSIDSSKQGDSIQAMRLYSETGNLCGFLQADDINYAFDGTRKSQNSFAAYVADIGKSQVDGIALVREVLEFSFHNVMALLQLIRQSLESINHESVK >cds.KYUSt_chr3.11775 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70187926:70188243:1 gene:KYUSg_chr3.11775 transcript:KYUSt_chr3.11775 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPASRRLSAAAAAPKLSSLFTRREVPKPSPPRPPESSEDPQRRKPRPRPRQPWGEDAAALLRRLHEGRYLPGPDLSVAPRVVSPDVVKAAAERFGNDHQVVAK >cds.KYUSt_chr7.7861 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47530361:47530618:-1 gene:KYUSg_chr7.7861 transcript:KYUSt_chr7.7861 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLGDVARPAEDFVVILASQAMNEEAASLLTCAAYTRLERPPARGGNAIMKRAICSLGFLEEAAKITEHFPEPFLLGPGRQKEG >cds.KYUSt_chr1.31751 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192594620:192600766:1 gene:KYUSg_chr1.31751 transcript:KYUSt_chr1.31751 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPKSEMSPPAPPSPSDQRDAVIEELQKGAQLADSLRRQVELIPEPGRRDAALANVSDISTALASSLSVLQSEREQYSCSSSDAATANAAGASGGGGVRARNGALRSRKAKQRRGADGQELPIKVENAANYTSCLLLFSEEMLTETPENDGFHWRKYGEKKILNAEFPRLYYRCGYSDEHKCPAKKYVQQKNNNDPPVFLVTLIDNHTCHTLFPAETNQRTMSNSSSANSQLLDFTKVSLSSAAVSRMKEEEDIAAGMSVAVPSYTYDEFYSSSSLPLLSPKQWEMEMDIKSLYHRHSGGEKHIQSSRLSAHTNAHATEVATAVFLDSIFKRDHRINLARPAVDATMTLDGSTILHAYIIPHMSSIPCSTMPPSLHANNVVDEYHSTDIHQHPAAAPKTMPREVMSWQSRTVAAFFIMMPCLLNYSA >cds.KYUSt_chr4.46974 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290655987:290658520:-1 gene:KYUSg_chr4.46974 transcript:KYUSt_chr4.46974 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMPELQKLCGDAVMPPCAKEVRSDLHEISVVASPSSQALDSEKSDVIDAAVDMLVAPFGDGDAMSGSLSTVPGAIVAREEEGSMTVSTTRKTRDPYIVVKARDITKLLARSVPAPQALKLLSDDDISCDIIKIGNIIRNRGSTVSAMGSFVGLKHVRKIAEACIKNTKDPISQIQELRTKLELAKKYELAME >cds.KYUSt_chr4.3937 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22597157:22598571:1 gene:KYUSg_chr4.3937 transcript:KYUSt_chr4.3937 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGAATASPRNHHHKNDRFYYPPHRRQHQQDQQQGLQTRRPPSSPSVSPSPSPRRKAAAAAAAVVASESVDADARADSDDSSSTSSKSEAADVIASSSPAAAEESGNLDRFLASTTPSVPVRCSSQTSLRMRRSGDDMDSPPYFRLDDLWESFREWSAYGAGVPLVLNGGESVIQYYVPYLSAIQLYADPSRPDATT >cds.KYUSt_chr3.40512 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255565283:255570198:-1 gene:KYUSg_chr3.40512 transcript:KYUSt_chr3.40512 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVMGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFREPDAAMRSCVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARNFRVMKSFSQQAGIQGALGAAFPSQATFPHYAIPQGLPYHVYGYSPYSADYSYPANYYNIYGGTQYPFYGGAGTGMVTGTSPFYPYFQFGQSGNTTPTYASGQGYNMQYPQMFPFSTVTSTAAAVTGFAQQYGGPLSLAASPQAQAGMTMALATPTLPSPTQAAHPFRLVPSHFAVSAGPEQSLA >cds.KYUSt_contig_686-1.174 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1254632:1259872:1 gene:KYUSg_contig_686-1.174 transcript:KYUSt_contig_686-1.174 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHDAVAAPRPPQAPPSLKLPALASAVVSAPSSAAATAPAPRAASAAAAALASRMADPAIGGRLARTRRPNRPVSPAAPTPRPSAAAAAMTGGALVQLQPLETPLLAASAYGAACPSPSPHVPVPEKLEPGVPPSKTVAPLNLVPVGAASLSLHFGGVVATGSWASLADEDVSSDEELAPMTPPATSSSSLASDPAVLVEGLGSLALSPVASGGLAVVPPSDDALSAPSLLWVASLGSDEDDDDDELVPRSPLAGSVHVEEAHVEPCGGLSAIADAPGDDDEWVQVGRRGRPSREPSALLRKDGLERSLAFKRWARGRCFRCLERDHQCQFALLRLELAQLVANRVEEASRPLREEVASLKLLLTQVGVSLEPMEACSSGGQELAIVEAPFPLSSAEQKSSVVEITPELHELCGDSSVVPELLKLGGGKVMPPSVDEVRHVVPFGVGVAKSSLLATVPGGVVAREHAASDSARLSPVPRETASVAAGVALEPASCTSSRDPGFHLELPKETWVAPSAAGQQPAVPVEAGPAHAAMEGTSVHHPATDDQVVSVLRADGSPTTSPSSWTGRAVLEPVLETTRVADSTTTFVLSPGPVSHSYAKVSCASAPTSSTLQVSQPVRDVGYTPASLPSPTVVTSTPTPTVAAATPTMLPRETMEVPFSRDVACYTPPPSPRPATVLTPLSRRSARHTVAADGSSTTDEDSMKKAMRRKAVQNLDGAGTSPVSKSYLSFSTPVISSKLNSVGIKLGNNLNEINLSTNVLRRMEFDRLKVSPKLHDVLGDTDIDEEEANATMDGQLISNLVGIVSEGDLNEEMLGSFLDLKEVEMIGRQFTWANSLPNPTFEKLDRALMDVEWESKFPMVSITGILKKEKLRLSTIIDGLEALAEMRPLSTQEIELKNQSNAEIARLLREEEIKWYQRSKSQFILEGDSNTRYFHSIANARHRKKRIHTLIQDEGIIEGQEHLKAYITSYYKDLFGAPEEGSFSLDESRTNDIPQVSMEENNLLTTQYSEEELDFEKAYDKVKWSFLDQTLRMKGFSVEWRALINSFVSGGSVAIKVNADVGKYFQTLKGLR >cds.KYUSt_chr3.43656 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275451081:275451596:1 gene:KYUSg_chr3.43656 transcript:KYUSt_chr3.43656 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSRKAMQKLGMKAITGVSRVTVKKSKTVMFVISKPDVFKSPHSDTYNIFGEAKIEDMSNQLQTQAAEQFKAPGGRGGPSKGEPSVAAAQDDEDEDETGVDKKDIDLVMTQASVSRSRAVKALKAADGDIVSAIMELTN >cds.KYUSt_chr1.27674 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166757492:166767863:1 gene:KYUSg_chr1.27674 transcript:KYUSt_chr1.27674 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGARLGHWVVSYTGMSADNIKGLLLAVSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWIGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLARIILREKLHIFGVLGCILCVVGSTTIVLHAPQEREIESVAEVWDLATEPAFLLYAGVVLAAAFVLIFHFVPQYGQTHIMVYIGVCSLFGSLSVMSVKALGIALKLTFSGMNQLVYPQTWVFVLVVIACIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLQPNLPIRIPKHAEEDAYGSEEIPLRSAADGIPLRSPRSTEGIPLRSAPDGIPLREIGHATTSYLANYPEPSYAAPYVTNFSAPYATGDIHNSAPHLHNGYSRISGNSIEAQVPSSSAAAYGNNDCREKLAAEFKKLNALHRKLQENPCDLAAIHAYESYKKKHEEERQACNIRFCPSQLQSFGNTSLPKEKTSIRGQQCLAEVNADKVITFDDLSEEQRQCYEVLKNKRKEEFEVLENKLKEEHKAYKKKLEEEDLQFFHAMIKKNPQDNVTLVEETKSSPPCSNQVEPSEISKQEEKALHSNKIHEENKNDSTSMVHTEDNEIKLSSEEIIEEHVEDILEAGCSTEATPRISSTGGQQQNSKIDGRKTKQDKGRDKHKGKKTKVTFTKLLEKYKKESEARSSYRPSIAKSSRSPPRRKSKDRDCQWEKFNVAASYPPFRPPMTMSWIPSYGDCYPYPSWDHRPIFALAIIGLEAANPQGPRGGQADLGQPIAAASPDGVPPGRAGFRVYKRAHRTVLRIALPASLPRRVLPRIALGIEGTR >cds.KYUSt_chr4.37860 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233474031:233475404:-1 gene:KYUSg_chr4.37860 transcript:KYUSt_chr4.37860 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPSSAMAEEYAGGQVAAERRGRQKPIFTVPVIRVILIVLAPSALFLLTSDVAFPRIRIEYAGGGGGSNSDLDVPASAPANLAPPSTPEAAVVGHVEEQRREEPKSLPPVRHLGPAVPYYDALRAAWLAAHPRFPAYVAPDRPRVLVVTGSSAHRCSDPEGDHMLLRAFKNKADYCRIHGFDIFYSNAVLDAEMSGVWTKLPLLRALMVAHPETELFWWVDSDVVFTDMLFEPPWGKYAGINILIPGWESKVYEEKNWIGLNTGSFVIRNCQWSLDLLDAWAKMGRSAPVREKYGKIFAKALSNRAAYEADEQSALVYLLVTQRKKWADSVFLESSYVLHGYWKAIVDRYEEMQSKWQPGLGDDRWPLVTHFVGCKPCGDPNGASYEAALCRRGMERAFNFADDQILKLYGFQHETLNSTAVYRVRNDTGRPMDTDDEEIGRLLHPTFRAANAEPL >cds.KYUSt_chr4.23170 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145900839:145902962:-1 gene:KYUSg_chr4.23170 transcript:KYUSt_chr4.23170 gene_biotype:protein_coding transcript_biotype:protein_coding MRWNPLPPPPPPPHHRRRKAGLPLGDLTNLLPSTPAPRNPSAKRPVPPAPSDASACSSAASATPVSKPPSAAVTQLRIPQQPRILVLFRPQLLVIQLKSPKLPDDFVKKQRAYFQEIDAFDLPEEEASETD >cds.KYUSt_chr1.33599 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204118901:204120792:1 gene:KYUSg_chr1.33599 transcript:KYUSt_chr1.33599 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHRLRCGASPACLLLAFLLAMAMPGLTAGLTRRYTFNVTMATVTRLCKTKSIPTVNGQFPGPKLTVREGDRLVVTVHNNINNNVTFHWHGVQQRRSAWADGPAYITQCPMRPGQSYVYRFTIVGQRGTLWWHAHFSWLRATLYGPIVILPPRGVAYPFPKPYREVPLMLGEWFNADPEAVIKQALQTGGGPNVSDAYTFNGFPGPTYNCSANNTFKLKVKPGRTYMLRLINSALNDELFFAVANHTLTVVQADASYVKPFAANTLVISPGQTMDVLLTAATNPSSLAFAIAIAPYTNTVGTFDNTTAQAVLEYAPQRAAALRNLPAPPLPRYNDTGAVTNFSSKFRSLASPQYPARVPLNVDRHFFFAVGLGADPCKSPVNGTCQAPNNTRFAASINNVSFIMPKTSLLQAHYQRRYSGVLAANFPAAPVRKFNYTGTPPNNTFVTHGTRVVPLAFNTTVEVVLQDTSIQGAESHPLHLHGYDFHVVGTGFGNYDAANDTAKYNLVDPVQRNTISVPTAGWVAIRFVANNPGVWIMHCHFDVHLSWGLSMAWLVNDGPLPNQKLPPPPSDIPKCS >cds.KYUSt_chr4.51097 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316764403:316766139:-1 gene:KYUSg_chr4.51097 transcript:KYUSt_chr4.51097 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQKRPANYVPLSPITFLPRANAVYGDRTSVVYGRVKFTWRQTHERCRRLASSLVRTLGLRRNDVVSVLAPNVPAMYEMHFAVPMAGAVLNTVNTRLDAKAVAAILRHAEAKLFFVDWEYVRLASDALQIIADSGAPVPLVAVIDDLDRPTGVRLGELEYEALVAHGDPSVELPQLQDEWDAVTLNYTSGTTSAPKGVVYSHRGAYLSTTSLLMAWEMGAEPVYLWTLPMFHCNGWTFTWGVAARGGVNVCIRENRPAEVYRAIARHSVTHMCCAPVVFNILLEGGGDTARLGAPVHVLTGGAPPPAALLERVERIGFHITHAYGLTEATGPALACEWRAQWDKLPLSDRARLKARQGVSVLSLADADVVTDDAKMARVPHDGKSRGEIVLRGSSVMKGYLNNPEANEAAFRGGWFMTGDVGVVHPDGYIEIKDRSKDVIISGGENICSTEVEEVLFRHPDVADAAVVAMPHPHWGETPCAFVVARNKAAGVCEDDVMAFCRKHMAHFMVPKKVVVYDVLPRNALGKVEKVKLRDEARKLAPPAQKTKATKATKTTVSGGRRSEQPVAHVMAMSRL >cds.KYUSt_chr4.45424 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281171975:281177285:-1 gene:KYUSg_chr4.45424 transcript:KYUSt_chr4.45424 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPHFDEKSTRVFSALAGLWPGQTGPGTGQPGADRPQDRCQPDRVQYPQKNRLVASPVSNRPIRSQARSTGWWTGRPSVQPDPTPVPAGRSPVCIESPPGRDPVWTGWSGPWPGEQKWSGSIRVVDSPVLTRLDRYSGTLVVEEKSPEICRRSCSVSLKLCASWWRRKLLSAFKRKQKPEIQISRLPQEIVDVVISFLPMRDAARTSVISSEWRQGWERYPKLTLNSETMLGTKRGDIYYAPKVKAQKYREKFIENVHALMRHHQGFGVEEFVLEFGLNEKDAHHIDSWITHAASMRLKRLVIDLSVLPRDFDADLENYAFALQLLGEIGPVKHLHVLQLRNLSVKPLGDFRGFLNLTMLELQRVHVAADDLESLLCKCPALERLALNTCGRFVSLRIGHQLHRLEHLSLGSSTLVENLQINAINLKTISHGYNIRKIVVRKDSKITEVIANMNTVPGFRICIGYKDTLQYIFTGLPSALPCLEKLSLRIYENIQIGVLVMSCHDCDELLPSVDSVPSQPHDHLKTASFQGFIFNKDLIALALYILRSAESLELMMVRTKHASGRWIADHFLRREDPRNVVNIIT >cds.KYUSt_chr3.6898 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39672632:39674551:-1 gene:KYUSg_chr3.6898 transcript:KYUSt_chr3.6898 gene_biotype:protein_coding transcript_biotype:protein_coding MYATHSHGRRRERGVHAHGGVARKRASLSPLQVLCCSIGAIVLIANARRALSPKVEAKAVADGDTITVYVDMANPHGSGNVPREVQEAAAERIKARTTKNYQKADALQKIIVDAGYRQVPNLSGEQVLAKKYRIRLRGIDAPENSMSYGKEAKEELVKLVQGRTLKISVYDTDRYGRLVGDIECNGVFVQEHMLKKGLTWHYTAYDRRPELAKWESQARASGLGLWALPNPEKPWEWRKEKPMRKW >cds.KYUSt_chr5.42192 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265909602:265913539:1 gene:KYUSg_chr5.42192 transcript:KYUSt_chr5.42192 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAHGSEENSRAAVSVAGGGSSAAASPAGSSEDGGAGEWCRIYDRIEALLPRVEALAGDRARLEEIAELSEAREKSLHARLLQVFPLICGGQQDALEEGVYYAFLSDEFIEENSRAAVSVAGGGSSAAASPAGSSEDGGAGEWCRIYDRIEALLLRVEALAGDRVRLEEIAELSEAREKSLHARLLQAEASRMRWKREYIELPLLANPMIPKLKEIDLKDRGTCEDADNSELKIFVTILNRKTITLEVNGLDTICDVKAKIEDKECVPPVLQRLMFGDRLLVDSRTIESYYIQRESTLTLHLVLQGMHIVVSALDVERADTVKAKLLEETLDVERADTIYSVKAKIFEETLDVERADTIYSVKAKIFDETGIVPADQHLEFGGKNLEERRTLTDYGIKNDSTLNVVYNAWVDKIHISVRAPTGRTVIEHFAMRWETIGSLKAKIHAELRVPPEQQCLFLRGKLLQNGGTLQFYRIEPTSWPCILLLQLRLPGRKCPAACSILSSVIEI >cds.KYUSt_chr1.2625 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15744845:15754503:1 gene:KYUSg_chr1.2625 transcript:KYUSt_chr1.2625 gene_biotype:protein_coding transcript_biotype:protein_coding MQYEHGGPSGTAESTEAGDRQASSTVYGDGVFRAGDVRPNNYGAGNRNPNFRPNNGAGDGRRNFGGNYGSYNNRRFTNSGYGGRYDSNRNAYNNGGLSAREQQLVKQTAEDQDMEQRSVDVSIVDADIDEQGRGMASDGGSDAGGSVQTKKKGGPTSYRCKKPGHCVNDCSVVLCDCCQKSGHATADCPLLKAPRPRIAMYGLGHPDLSFWELPLSDSVRPCVENTRLGRVKITGGSLSIDEIVTQLRWIVDTDDQYQWDVQMVEQNSFKVNFPSKHDLVRVQRFGRFQVQGTQIHMHFDFWKIDIQPAWTPEDVWVRVYELPPLALDDFLAMWAIGDVFGKTKDLDIVFTRANNVLRILVTCLDPTLIPNTWDLKVKNDFFRLRFEVEGLQRPPPADVVMTDNSKEDDEGAGGNGQSGNASHGDITRSMNISNSENNGGDKSQKSAQKFGKELAKSPTGAAQNLGKNSDGILSPMSMEDKHNENTVRYSSVNFASPFLSPNILEPVFDSVSSPLSSAHFGGAKGCTESARHSDNSQAEFMLQSQRLSHAAHAASTAHAAVTMAGRNSAPGAQTGRHTGHVAPVVQHSAPMAGRIVAPGVPRSAAPAGVAASGLVAPAVLAPTVGRTTPSGPMWPEQGGTQGGVHQPNMHGQAASREGVKTYSRDDIIAFGGIPEPRASHVRSSVRIGAQATADHTQMERAMYAAQRRYDPSATAMGDDSERAARELKEKQEREASSKLAIANPAHSSAGFFSISSLHAQAVGLSSIKGHVPVELALDTGVHRQWRTFFRAALRKYALLDHIDTAAPSDPTPEWTLLDATVVSWLYGSVSLGLLDAVMKPGDDPIAVELWTSINGLFTDHKINRQLHLSTELDGLDMGELTMKDYLTKVKSLSDGLTDLGAPVDDAKLVIQCLNGLPEQYDPAADLISLMPGMNFDKCRSLLELQDMKKKNRRSRSGDTALYSATPNPNPNPGKGDGKGKKKKKKQDKEKQEKEVAPVTAPAPAAPSWTPMQLPWNGAFQVWPYGQAGLLGRQHYVPRPAPPSHAYYAHSPYGAVPSYGYGTPPLPHGYGNTAPIVAPPPPAHSTASWDQQALLNQFQTMGLQAPPREWVMDTGASSHFASDRGPTFSDAIASELSTRCKLLPPVHPLPSWLPILHCGTVDSDIQAGLSWTP >cds.KYUSt_chr1.28893 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174820545:174824554:-1 gene:KYUSg_chr1.28893 transcript:KYUSt_chr1.28893 gene_biotype:protein_coding transcript_biotype:protein_coding MGADPATSSASPQSAPPPASPPRDPPRGEEERAAVEPEDHRSPSRHALPADSTPAPSSPASLAPMPQQPKVSGEDAVPASEEVVEEHAAAEEIVEEQDAAVAGGEGEALRSFLEEFGDQADDCLIPSPRLKGIATPDRPAALRFLGTHTSFHRDRYNNLMEKYKQQVAKCDEECAPRFDGLKKKYTAECAVRRRLYNELIELRGNIRVFCRCRPLSADEISRGCSSVVEVDPSQETDLQYAPTEKERKSFKFDHVFGPADDQETVFAESLPVVRSVMDGFNVCIFAYGQTGTGKTFTMEGIPENRGVNYRALEELFRISEERSSSVAYTFCVSILEVYNEKIRDLLDDNSEQTSKRLDIKQSADGAQEVPGLVEAPIYTIDGVWEKLKAGARNRSVGSTSVNELSSRSHSLVRVTVTSEHLVTGEKSRSHMWLVDLAGSERLAKTEVEGERLKEAKFINKSLSALGDVISALASKNTHIPYRNSKLTHLLQSSLGGDCKTLMFVQISPSSTDSGETLCSLNFASRVRAIEHGPARKQVDPAENFKLKQMTEKLCHEEKENAKLNESLQLMQLKYASRENVFRTLQDKIRETEQACRTHQQRVRELENELANEKKAARDTGRSTSTRPSFAPVRQQRPPLAPMRQRQASNNIQPLPGPSRLRLAGNGTSVQNKENIPVMNKAVVGKAAGKARRVSLVPTMRQIPLQPKRRSSIAILPSERERISVFPDKRPMSRLSHIQMPTRARATYNSIQQAAAEPAVDATPDVRGKFKGFEFGSSSKFSSPPMWKSRNNIAGSGNASKLCYSIQKRVALGSSPAQPRPSLMSGAGSIFDPAVRDQIMAGRLGNAQRVFNSKRRMSVL >cds.KYUSt_chr4.45888 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283836851:283837285:1 gene:KYUSg_chr4.45888 transcript:KYUSt_chr4.45888 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGLLLLLVMGVVAVIQAAAPRPLNHPRMRIQELRERAKAEHVKRRPNDGTIMFGGLRPLKNIDHPRIKELGEWAVAEHVKRANDGLKFGRVVSGEDQIVAGMNYFLLIQAINGDGENGIYKARVYEQSWTNTRELVSFGPAN >cds.KYUSt_chr4.5771 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33676638:33681781:1 gene:KYUSg_chr4.5771 transcript:KYUSt_chr4.5771 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRTACSLVNCLVTKIPSPLPAFLPAPNATKISLDAYVAVVDQGTGSVESEGVGDVSKNAADVTGAADDVRCVDMIPTDGANCRGCSPAMPTMRGLGLTESCRLARDISNQTNGERDLPRFGTLDEPMGYGGGLAKRQGFYALVLILRWFYVSDIQIRCKPANNRDMGVDHVNKANCIASGRGRTVCVTGASGFIASWLVKLLLEKGYTIHGTVRNPDDVAKNAHLRALEGAAERLTLFRVDLLDKESIAAAFRGCEGVFHTACPVTDDPEQMIEPAVTGTRNVINAAADAGGVRRVVMTSSIGAVYMDPSRSLDEEADETCWSDLEFCKNTKNWYCYAKTVAEQAAWELAKQRNLDLVVAYAHVRDVADAHARVYEAPAASGRYLCAGRTLHRAEVCRILAKFFPEYPVPTICKDETGEMKKGCRFSSRRIRELGVGFTTASQCLYETVTSLQDKGLLPRCDADMSP >cds.KYUSt_chr1.11433 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70114345:70114827:-1 gene:KYUSg_chr1.11433 transcript:KYUSt_chr1.11433 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRIEPGALQFIATASFSIFGAGESHWFSARLRPRPPRQGVLHLPGEMAPLFPLFPVLFARCLASPNSDGTVALFLSEICRRSSLSLPSPWASLRHASTVSFDPYDKSSNLSVSSRLNPSISLLLCFSVGSFLIASKYAAVDTVSDKHGHELTLLCCFC >cds.KYUSt_chr4.26907 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168933493:168934626:-1 gene:KYUSg_chr4.26907 transcript:KYUSt_chr4.26907 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQIGVVATERYFSTDVLVGILQRLPPSSRRRARLVCRHWRELVDGRTTEMRSRAKLLIWDTLTTTAYVVDDMSASPRRRPRRLYRCHKSLVGTCNGLLCLCGDERAPGGGAVVTVVNPSTCETLAVPPPPRRCHDRNRKRWHEQYSFAYHPITGRYKVVHLPCSLDSVCSFNAVHVFTLGETAWREAPVGPGGARCNLAAGVVSIDGTTHWVRIMPSGLAVEVVSFDLGDERVSAAAAPLPTPLARDASYHLTEVHGRLGFVQGGHRADVWVLEEGRRWSLRYILGQPVPRPQFTYPGQCVLTVRDESAFSAHWWKGSPMSSGRRLRHDDVMRVGYRDEGTLVANMMAPHCSDEGYQTFAYVETKEPLHYYGSS >cds.KYUSt_chr3.42745 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270230327:270231454:-1 gene:KYUSg_chr3.42745 transcript:KYUSt_chr3.42745 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNDDPMSKLTDDILAEIISRVPYRSTCCCKCVSTRWRDLISHPDHREKMPQSLAGFFSKDYSSDPLPRIARRFTNVSGKGEPLVDPSLPFLPKYENVEVLDCCNGLLLCRCWKPTDPKTLHYVVCNPATEKWLVVPATEWSSKVNVARLGFEPAVSSHFHVFEFVPADVFWKRHEPSAYAVPCFIKVGIYSSKAGVWSEKKVRASTCGLEVPVDSRTVFLRGTLYLSAFYGLVVAVDFEGNNWRFIPTPVTPYREDTPDSIYLSQGQLHFTRKGDSKLSIWVLEGENWTLKHSVSCLQLFGELYSSVGKYYKVISIHPEHDAVFMVSGPEKALISYDMDSRELYFICQLGWDCTITSYIPYVPLFSKSLADGH >cds.KYUSt_chr5.4966 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31396053:31397911:-1 gene:KYUSg_chr5.4966 transcript:KYUSt_chr5.4966 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLPALLLLLWLERSLDVGNAAFFNNLVTPGSARSRFHYEDEALLSGRGGEGECQIEASEGKPPGWRHWWETPATPSEPGSTPSDPASTPSEEEEDGGADASDGQEEDGGAAGSDGEEQEEEEEEEDSARFARLEAQETADDKAAARKESLLGKRL >cds.KYUSt_chr1.670 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3624528:3625935:-1 gene:KYUSg_chr1.670 transcript:KYUSt_chr1.670 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPLLTPYKMGQLDLAHRIVLAPLTRQRSYGNVPQPHAALYYAQRSTPGGLLITEATGVSDTAQGYSDTPGIWTPEHVDAWKPIVDAVHAKGAVIFCQIWHVGRVSTFEFQPGGAAPLSSTDRGIGPQTSFDGHIEHFSPPRRLKVEELPAIVDDFRKAARNAIDAGFDGVEIHGANGYLIEQFLKDSSNDRTDEYGGSLENRCRFGLEIVDAVVKEVGGDRVGIRLSPFTDFMDCHDSDPHALALHMSTKLNDHGVLYLHMIEPRMAIVDGRRVVPKRLLPYREAFKGTFIANGGYDREEGGKVVTEGYTDLVAFGRLFLANPDLPKRFEVGAELNKYDRMTFYTSDPVVGYTDYPFLG >cds.KYUSt_chr7.39520 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245707520:245709181:-1 gene:KYUSg_chr7.39520 transcript:KYUSt_chr7.39520 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAADSFSIPSPTTYPMKSRGAAVESATLAVDWRSVFTGGGLEADLSPPATVAVTASSARSASSPAHPTKKGGGERVEMDWGSMFRAGRAEPPEAELSPSAPATVAMKCADCFSVTSPARTTKKSRALQSIDWSGMFKAESAQPLEAEISPPATGPVAPRYAHPADSFSASCATPLNRGASGDVDWRALFKASSPPCPLKKRGAPVNMDWSGMFKASSPPHYMKKHSASKDIDWTAMFKASSMSRSMKKPSASTDIDWTAMFKASSMSRPMKKPSASKDIDWTAMFKATSLPRPMKKPSASKDIDWTAMFKATSPPRPMKKPSASKDIDWTAMFKASSMSRPMKKRSASKDIDWTAMFKASSMSCPMKKRSASADIDWTAMFKATSPPRPMKKRSASKDIDWTAMFKTTSPPRPMKKRSASADIDWTAMFKATSPPRPMKKRSASEDIDWTAMFKASSMSRPMKKRSASADIDWTAMFKATSPPRPMKKRSASADIDWTAMFKARIAEPPKANLSPEAPDPVALSSASCAHFISASPRGGTSDEETWCTERH >cds.KYUSt_chr5.12901 pep primary_assembly:MPB_Lper_Kyuss_1697:5:84153681:84160672:1 gene:KYUSg_chr5.12901 transcript:KYUSt_chr5.12901 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNAAGSTRPRKEKRFTYVLNDADNKKHCAGINCLSYLNASASGTSDYLFTGSRDGTLKRWEYQNGDANFSATFESHVDWVNDAILVGENLVSCSSDTTIKVWNCLSDGACTKTLRQHSDYVICLAAAEKNSNIVASGGLGGEVFIWDLDAALAPVTKSVDAKEDEIPNGNSGPALSTLCNVNSSGNIASTNGKPHGYNPIAAKGHKDSVYALAMNDTGTLLVSGGTEKVVRVWDPRTGSKNMKLRGHTDNIRALLIDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALATTPSFGHVYSGGRDQSVYLTDLSTRESVLLCTNEHPILQLSLQDDTIWVATTDSSVYGWPAEGQTPQKVFQKGGSFLAGNLSFSRARASLEGSAPVPVYKEPSFTIPGVPAIVQHEIMNNRRHVLTKDTVGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVTGAQEDLKINLAHETLRGLLVHWSKRRPKPGPHSLSNGDGSIGKDVSLKSSPHPRSEVDDGAENHANNVLPSFEFSTVSPPSIITESSSGGPWRKRITDLDGTEDDLPWWCVDCAENSRFPKENTKCGFYLHAAEGSPAPNITQGKLSAPRILRVLKVANYVVEKLVLEKPLDGSPDSTFGMGLTSGPSQLTTLDSSSRLGLKSWQKLKPSVEILCNNQASICTSSTFVLL >cds.KYUSt_chr2.11395 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72481494:72483977:1 gene:KYUSg_chr2.11395 transcript:KYUSt_chr2.11395 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYCRPLALISLLMLSLLGASSAATVTTGAPDGSELWGYVEVRPKANLFWWYYKSPQRVSTPSKPWPTVLWLQGGPGASGVGIGNFLEIGPLDVGLNPRNSTWLQKADLIFVDNPVGVGYSYVEDPSLLVKTDWEAAADATALVKSLATQVPALQQGSPLFLVAESYGGKYAATLGVSLARAIRAGQLNLTLGGVALGDSFVSPEDFTLSYAPLLLEVSRLDDNAGDAAKAMAATAQQQIAAGQFYEAWSSWNNLLQFIDSKSASVDVYNFLLDSGMDPVSTTTSAAASNTHLTKYSRYLSNQEAASDPNAIGGIMNGVVKQKLKIIPGNLTWQELSYRVYGALKSEIMKPRIDEIDELLSYGVSVTVYNGQLDVICSTSGAEAWVQKLKWDGLKNFTSLPRQPLSCGSSKLTQAFVRSYENLHFYWILGAGHFVPANQPCIALDMIGSITQSPAT >cds.KYUSt_contig_686-1.90 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:683876:685814:1 gene:KYUSg_contig_686-1.90 transcript:KYUSt_contig_686-1.90 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTAMEMTGGTGRRPPVALGAKAAPLRNDKSPSTYSHVLLLSMIKVMEKDRRRTNSRAPAMKASTNAATPAANRNRSQARRDRKMALQQDVEKLRKKLRQEENVHRALERAFTRPLGALPRLPPYLPCQLLELLAEVAVLEEEVVRLEEQVVSFQQGLYQEAIIAYLSGGGERCSPVQLWPSPQVQNSEVYPAARKCSDQDANWSSLKRVDNAKQTPIGKPLCSPSQAIEVPARRSMSFAGGQEEMGTLSLIHFYESSDNRHVQPETRIPGQGHWPLHTFPRRCCHYIPQRGVSAPNAHHLGQRLK >cds.KYUSt_chr3.830 pep primary_assembly:MPB_Lper_Kyuss_1697:3:4240628:4242919:-1 gene:KYUSg_chr3.830 transcript:KYUSt_chr3.830 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASSAVEWLQKAAQDAANSSSSSSSSSATSAFPDQVIVSRAAGRVVSLSTCTKVGAISFVVGVAVGFTLKRRLRLWAARLLKRIKDD >cds.KYUSt_chr6.23855 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150754826:150755026:1 gene:KYUSg_chr6.23855 transcript:KYUSt_chr6.23855 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEGCRRQTVTGIPTSRLHTSNSRAQVTMEREARERRGLKSNASKKGNDTKVTPLLDPTRAKVFT >cds.KYUSt_chr4.45463 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281374234:281380533:-1 gene:KYUSg_chr4.45463 transcript:KYUSt_chr4.45463 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAENLMDADIYAVTRVVRVYKAMKNWLGDSRIRAVSVPPEPEDACVHEERSKVLLRMPGRKSRPVNLKRSIILFTIAVLICTIAAPATASGPLLGGWQQIPDINTTEVQEIAGWAVAEHARQANDGLQLKTVMSGMEQFTVTLKPSHLGARQKQYLNVPPVFQNAHGYDGRSEVVLRMRGEKWTVTLKHNIRAGGKTRASLRYGWHQFCVDNRLGVGDVCFFRALRGDGVGEDHALKVEVRKRDGSFID >cds.KYUSt_chr5.15264 pep primary_assembly:MPB_Lper_Kyuss_1697:5:98578731:98580196:-1 gene:KYUSg_chr5.15264 transcript:KYUSt_chr5.15264 gene_biotype:protein_coding transcript_biotype:protein_coding MEARASEQGGRDRRGRLKLPPGFRFHPTDEEIIRSYLLPKFENYKFSPDAVGEVDLNSCEPRDLPGMAPMGEKEWYFFVRKDLKYPTGSRANRATREGYWKATGKDREIYKPRGGGRGNELVGMKKTLVFYTGRAPRGAKSDWVMHEFRLEGRSREQTMQKHKDEWVVCKVFNKKPEAKTTTKTAAAADVECSYSAVTTPNASSVVDGAGDGTDDFIDSMFTVDPLYYNNSNEYTSDQLPANATTTITTTTNSNTNAVAPSYNADYYYTVPTTAGTFNAMPNYSLTNAPSNMQAVALAADTMASSVPAIRGDSDLGASWQHMLNTAPSYGIMGRSYDVNQQDQQAIMVRALGGAIGFPNFGAPLTGLPNTSVPPQQKNLGSYVDDGQFPYGNYAAATMNRQSAAAKNFGARPY >cds.KYUSt_chr4.34233 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210083679:210089252:-1 gene:KYUSg_chr4.34233 transcript:KYUSt_chr4.34233 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRGVGQVGRGRRSTERSGSSTVPPAGEKTEAEKVKSDAACIRGSYRYHNYGVAASPTFAKRESEWRHRVLFRGVIVLGVELPPGDYLDDEEFKKLLPQLDVNAGLALGDFVAERELDTVVGLIARSSQQDADKAKEWRHIAVEQDRVFVDLVSDEENQNLGMVADTDSSGSLPGSSNSAAEKRVDGSPNQRSQEKTPKKNHKAEREKLKRDQLNDLFVELSSMLDHDRQNSGKATVLGDAARVLRDLFTQVESLRKEQSALLTERQYVGSEKNELQDENATLKAQIIELQNELCARMRNNSLNPSSLGMSHPIGSSSAHLATQPTRNHIWGNDSNLSTLPLAHPMNAPSPLQNQRHQSVGSGQVASHPRELQLFPGTSASPDRESSRHRSNPATSLGHAVSLPGQLRLSLPRASQEESRTSGSPRNRK >cds.KYUSt_chr3.29923 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187227121:187229229:-1 gene:KYUSg_chr3.29923 transcript:KYUSt_chr3.29923 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETESAAKPLLGPPVIRGVHPSSDPAAADDGAPASHPFLDLLDAAFNAPSAAEIKASLKPRVALTENCSATYANSGNPCLDFFFQVVPDTPPERVRDLLAAAWAHDALTALKLACNLRGVRGTGKSDKEGFYAAALWMHTNHPKTLACNVAALAEFGYLKDFPELLFRLIHGPDVRKAAKERAAADKTRRKEKDLSKQREGLRARLASRKRARELAPPKPTFGDYLTAALSSKTAKTKPAAAMEIEPAAEAAEKKPEAMEVDQKKTPKRKMTKKVRRVAKLAVQSLETYYGDRAYRFLFDSVAEFFADLLASDLEQMAAGGKTRKIGLAAKWCPTPGSSFDCTTLLCEAIARRLFPRDSNPEYAQLSDEHYTYQVIRRLRREVLVPLRKILELPEVYMSAQLWSNLPYTRVASVAMRRYKSLFKKHDEVRFAKYLEDVEAGKAKIAAGALLPHEIAAAAYRGEDDDVSELQWRRMVDDLKAKGSLRNCISVCDVSGSMHGTPMEVCVALGVLTSELSEKPWAGKVITFSATPQIHRIKGKTLKEKMAFVQTMQWDMNTNFQAVFDQILRTAVEARLAPEKMIRTVFVYSDMEFDEASGRGGYYSYGARAAAGPWDTDYEVICKKFRAAGYGDVVPQIVFWNLRDSKSTPVTSTQPGVAMVSGFSKNMLKIFLQNDGVVNPEAIMKTAIAGEEYQKLAVFD >cds.KYUSt_chr3.7595 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43723440:43723739:1 gene:KYUSg_chr3.7595 transcript:KYUSt_chr3.7595 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVGASRDHPVANPLGADSPSLTAVDLPPALVVVPGSDVLRDHVRGYAARLKDMGKTVEVVEFEGEQHGFSVLQPFGEAANELMRVLTRFVYTGHSD >cds.KYUSt_chr1.15986 pep primary_assembly:MPB_Lper_Kyuss_1697:1:92864312:92867574:1 gene:KYUSg_chr1.15986 transcript:KYUSt_chr1.15986 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRILLGHLEPAAGPNPAASAISASACAAGDSAAYHRGACFADDVVIVAAYRTAICKATKGGFKDTPAVDLLVPLFKALVDKTKLDPSEIGDVVVGTVLAHGSQRAIECRMATLYAGFPDTVPLKTVNRQCSSGLQAVADVASAIKAGMYNIGIAAGLESMTVNQISSNDVKMNPKVELFAQARDCLLPMGLTSENVAQRFGITRMEQDQAAVESHMKAAAAVAAGKFKEEIVPVHTKIVDPKTGEQKEIVVSADDGIRSNTTLAVLSKLKPAFSKDGTTTAGNASQLSDGAGAVLLMRRDIAAQKGLPILGIYRGFTAVGVDPAVMGVGPAVAIPAAVKAAGLQINDIDLFEINEAFASQFVYCAKKLDLDPAKVNVNGGAMALGHPLGATGARCVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDTVDELTNARATPSLNWLSKDSM >cds.KYUSt_chr5.419 pep primary_assembly:MPB_Lper_Kyuss_1697:5:2972215:2972745:1 gene:KYUSg_chr5.419 transcript:KYUSt_chr5.419 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGARSTTSPVLFLLLLAAFAVGAGAAPLTITNHCSYTVWPAVVPVGRGIELRPSANWTLDVPSGSDIWGRTGCSFDKGGRGSCQTGDCGGLQCASGSSSNPAVTKAELSVYQGSYYYGITTLNGFNLPLDFSCSSGDALRCREAGCHVAFPYQKYYQHTCGASGSQLQVVFCP >cds.KYUSt_chr2.38253 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236869337:236876378:-1 gene:KYUSg_chr2.38253 transcript:KYUSt_chr2.38253 gene_biotype:protein_coding transcript_biotype:protein_coding EYLNRLNLYRRRVWTCKVSGKSNLTYEEALVSEQRAAEKAQQLPRELISPVLQMVQYSTLSLTDLVNKIYGRLQEDLFEGLELHAKKDGSDAACKILKVIGSGNTTSYEVGWTDKENAVISTSVLRADDLIRKKAPSGRNMLKLFIRESTSQNSPWIVHTSLAKKYGIPTEPPEGMMNGEGLPKTRKRLENGTLEDARKRLKQDEEQLVPVKYPIDDLLVKPAADDPVLSKRCPTSTDFKVPLYSVGDLLMVWDFCMSFGRLLCLSPFSLSDLENAICHKESNVLIVEIHTALFHFLIKDEGDYFTILQNKKRKSKVSLLTWKEYLCDFMEMTSKDDFSPSLSTVRRGHYGLVDTGVKLQILRELVDEAIATTAFKERLEERINQQQAVVAEKREAARKNKEEQKLNMEGATEKEMNHTDSVPDGNESVNGQLVAKEGKEKENAPSKNEDAITIFMLNLEQLAALVGSLNIKGIRERALKQQLEKFYEKISNALEKRLKEVTQKMLLEEAVLRRSSRVHAQPKDGSSVSFLDYVNAWKPVSKRNRLSIRKETRVEEWEQITCMKESVEKSNEIQPTMKGPISPPRMARAISLATTRHVDTHPARTAHTMSTMCAASPHGMAILVSLSSFPL >cds.KYUSt_chr3.42426 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268345096:268346742:-1 gene:KYUSg_chr3.42426 transcript:KYUSt_chr3.42426 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQFRRTEVGNPGEAARREMGKKGKAAARERREQRRQEVTLLRAVPYEPGQRWWDGLAPERAVAVVTGANRGIGYEISRQLAHHGLHVVLASRDAARGQEAAERLLREAAAAGDASVYVEWRQLDVTDAASVEAFAAWTARTHGGIHILVNNAGVNFNRGADNSVEFADQVIETNYFGTKRMIEAMMPLFKPCPYGGRIVNVSSRLGRADGRRNRIGDASLREQLLSDDRLSEELIDGMVMKFLEQVKQDTWSSIEWPQMYTDYSVSKLAVNAYTRFVARRLLDRPEGQKIYINCFCPGWVKTAMTGWEGNISAEEGADTAVWLALLPQEQSTIGKFFAERREMNF >cds.KYUSt_chr5.30355 pep primary_assembly:MPB_Lper_Kyuss_1697:5:192536924:192537313:1 gene:KYUSg_chr5.30355 transcript:KYUSt_chr5.30355 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRWHSSSRISRTPTGGSHGVDGEEGPWGRGIGGTAGSASFHGADGVPAGLHPVYVGKSRRRYLIAADLVGHPLFQNLVDRSGGGVGGEAGGTIVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >cds.KYUSt_chr4.54418 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336232049:336233274:1 gene:KYUSg_chr4.54418 transcript:KYUSt_chr4.54418 gene_biotype:protein_coding transcript_biotype:protein_coding MALHIRPDNAIPHRLLSAAAVLGILMVLLPGPAEAQPSPGYYPSSMVRSTPFSQPYSILWGPQHQSLSSDQTALTLWLDRSSGSGFKSKRPYRNGYFGVSMKVQPGYTAGVNTAFYLSNAEVYPGYHDEIDVELLGTIPGEPYTLQTNVYVRGTGDARPIVGREMRFHLWFDPAAAFHHYAVLWNPDEIVFLVDDVPVRRYQKKVEATFPEREMWAYGSVWDASDWATDNGRYRADYRYQPFVSGFREFKVAGCEVGAPASCRPVAAGPGGGLSAQQGAAMRWAQQRSMVYYYCQDGSKDRSNYPEC >cds.KYUSt_chr3.18764 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115342972:115343639:1 gene:KYUSg_chr3.18764 transcript:KYUSt_chr3.18764 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLNANLYRKYTGLKKRKLLDEGSARELESDIKEMCEGMKEMESEIHNLRNENNRLRDELLSKERQLAETRTLLVDTEHQLAEIQAHLADSEEQLAEARKTSADDTRSQFPCPISSSPPFTAGSC >cds.KYUSt_chr2.4533 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28047367:28047993:-1 gene:KYUSg_chr2.4533 transcript:KYUSt_chr2.4533 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSLFLSALLLVAVGMAPHGAEADTQLPLGIISGIVPCSAGSSINVAAVPVFPNAAVQVVCGGTVVGAAKTDDSGAFTINLRMLSTQLLTSLLQKECKVVVVTPLAACNVSLASVTGTLAATVQILGADSGSSGLGGLGGLGGLIGLIGQIIGGVVGGVLNIATAPFSVI >cds.KYUSt_chr6.32321 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203964397:203966287:-1 gene:KYUSg_chr6.32321 transcript:KYUSt_chr6.32321 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEGSQFDAKHYDTKMTELLSQGDTEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVDCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTREDERMLFDIQKFYNVVIEELPANVADLL >cds.KYUSt_chr7.2986 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17687326:17695365:-1 gene:KYUSg_chr7.2986 transcript:KYUSt_chr7.2986 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVALHNKVMFLQNNHRRCCIAGQPLAGIVGGRSGANKFRCDLTPSPVCTMVVVVTDLIMEAFVPTLRRELGAAVGREEADGWAGSVVRGTGAPPPRERQLTRPQATTTASNVRSQRRSLCSVAADVRPGEDTHMQKMVGTGDRSVAGQIMSIMFNIATLALRITQTFINSAYSDARELVFESFSLLDNSVSCPKLLFTAAYHAAHTEGSAVFASVCLLGSCLIVFTIMIHLNRKYKTWARIRIVGMATLMALGVALLRYGMLIPIDHAWHTVAVPSIICSLVWLEVALLIRTQIKEDGGNQHLLRDLLMKLGETPISTYRCVHLIQNPDSPWDAQMILLLLGSGPPMLIGACQIAWLVYKADVISKVVEWLMKMPRFFAREEEHKAARPAREVDEGGRAADQVAGESVEMGSGFPILIRTCQVITFKVAEWLKKIPQVFAWDEEQAVGAATEGNGDGDARDSVVAEDVFVAIGV >cds.KYUSt_chr3.38370 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241639364:241639663:-1 gene:KYUSg_chr3.38370 transcript:KYUSt_chr3.38370 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGTIVARLQSKAAATKPVAAVWHEQQQQERCSGGGDEGFQMPVHYPRYKKADYEAMPEWRLDCLLREYGLLAAAPGDDIDSKRRFAMGAFLWPGQF >cds.KYUSt_chr7.35660 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222842303:222843976:-1 gene:KYUSg_chr7.35660 transcript:KYUSt_chr7.35660 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVLGLVALVVVVATWLWTAVMHHLLWRPYAVAKSFRRQGIRGPAYRFFVGNNEESKAMRVATANDVLNIRSHDIIPRVLPQYQAWTASYGKVFLSWTGYTPALCVGDYDMVKQILSNKSGLYNKPDPGPNILALLGKGLVFADGDLWTRHRHVVHPAFTMDKLKRMATTMAECAGEVVGAWEARAAAGSGGVARVENIGQQFVELTADVISHTAFGSSYREGKEVFVAQRELQYIAFTSINKVRVPSLQYLPTKTNVRRWQLTKKVRGTLMAIIRDRQAAAKDARGYGNDLLGLMLEANASADAGEQRAAMSLDEIIDECKTFFFAGHDTTSHLLTWAVFLLGTHPEWQQKLREEVLRECGGTKAPIHGDALNKLKIATMVLYETLRLYGAVTIIARKAAADTELGGVKIPKGTMTMIPIAMMHRDEEVWGADAGKFNPDRFRNGVGRAAKHPSAMLGFSVGPRSCIGQDFAMLEAKATLALIVRRFEFEVAPEYVHAPTDFLTLQPKCGLPILLKLLHQ >cds.KYUSt_chr5.14637 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94857877:94860012:-1 gene:KYUSg_chr5.14637 transcript:KYUSt_chr5.14637 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCTTGAGQAAAADAAEEAGPPAPPKSPRGDNASTNDAPAATPAGTNAAADANASAKAPSVPVGEVLGRPMEDVRATYTIGEELGRGQFGVTYLCTNTGTGEKLACKTIAKRKLSGKEDVEDVRREVEIMHHLSGQPNIVDLRGAYEDKHNVHLVMELCAGGELFDRIIAKGHYTERAAASLLRSVVGTVQTFHSMGVMHRDLKPENFLMLNRDESSPIKATDFGLSVFFKEGDVFKDIVGSAYYIAPEVLKRKYGHEADVWSMGVMLYIFLSGVPPFWAENENAIFTAILRGEIDFVSDPWPNISDGAKDLVRKMLHINPKERLTAIQVLNHPWIKEDGEAPDTPLDNVVLDRMKQFVAMNQFKKAALRVIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKLSDNEIQQLMEAADADGNGLIDYEEFVTATVHMNRMDREEHLYTAFQYFDKDNSGYITKEELEQALQEQKLYDAEEFKEVISEADADNDGRIDYSEFVAMMRKGTGGAEPSNPKKRRDLVLE >cds.KYUSt_chr3.12989 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77997192:78006333:-1 gene:KYUSg_chr3.12989 transcript:KYUSt_chr3.12989 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRPRRFCSNVQVASGQTTATAAVDDVLGTRFASAFISVFSLILLLSSGLVVGSGSFGWSSRRRRCYFPFSSVAVENLSQLIVGGRFSCSRWLEKMADPGFHSRADIPDHLREAVDRHISDMFPGEMHNDLRSKLKEMWKTIFISSMIRTGRGLADRMRDMVSLLSIELKKQPCACKRKEPETGNVVGGSSRGTKGDGNADTDSDYCLEDCCLERSGPCTCKGKEPETGNVVGGSSVAQDSEKILEVGGKSVSWHSFYLAMKGGGFMDPSVMDVFVKCVDDGLDFLFIPSSLAHILDVDEADPIHLAASFGEHDPKTSARRDCGIYAMRFIWIFKANFYPDVFKADIESFRQFFAGMMLTYDSPDYLASFVREQIEEFETLHEYEVFKSMSMKSKRGRLRS >cds.KYUSt_chr4.25461 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159982011:159984658:1 gene:KYUSg_chr4.25461 transcript:KYUSt_chr4.25461 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQKLSHRLFSALLSFVLHGRTRPPAVSSRTTAAAVTASPPHPSTLHNHHSSSCPQMEDKLAAARTLVLDVDAGLLLPSSSLFPYFMLVALEAGGYLRGMVLLLLYPIIFCMGGYSDAALRVMALAAFCGLRASRFRAGCAVLPKWFMEDVAAEGFETMRMSGAAGGRRVCVTRRLPRVMVEGFLSEYLGAEAVVGREMKVLCGFYTGLMVEEDEVVLEEKKKIMGECGDAVGFCGSPEFLRHPLSRCCKDIYLVTREDRATWQALPRSKYPKAVVFHDGRLAFLPTAGSTLAMFMWLPFGISLGAARLVVALTVPYRYSTPILAATGMSWRLKDGGERPPLQGADGDERGRRRGQMFVCNHRTLIDPVYVSVALDRQVRAVSYSLSRLSELISPIGRTVRLTRDRDADGRAMARLLERGDLVVVCPEGTTCREPYLLRFSPLFAELSDDVVPVGIAVETAMFYPTTAGGLKCLDSLYYIVNPRMCYTVQFLERVSTAAVREGKMPSADMANLVQKKMGDALGYSCTMLSRKDKYRMLAGNDGKCSSAPASRNHNS >cds.KYUSt_chr6.30185 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191340281:191341816:1 gene:KYUSg_chr6.30185 transcript:KYUSt_chr6.30185 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRDEPQQQPLHMLFLPYFAPGHLIPVTDMAGLFAARGARCTILTTPVNADIIRPAVDRANDANLHSVGSGTIVISVLPFPDVGLPPGMENLRSVTPSHGAEYHVKFVQATQLLREPFDRFLATTRPRVDAVVSDSFFPWSTDSAAAHGVPRLVFLGSSVFARSCSESMLRNNPLETANCPGDPDALVLLPGLPRRVELRRSQLLDPGTRPLEWAFYQSSNAADQRCFGEVFNSFHELEPDYVEHFCKTLGRRAWLVGPVALATEDMTPTNAYSPDVAAGCLRWLNTKPAGSVVYVSFGTLTSFSFAEHREIARGLDLSGKNFVWVLSGSDDDRSEWMPEGFAELTGNNDRRGFLVRGWAPQTLILSQPALGGFITHCGWNSVLEAVSAGVPMVTWPRYADQFYNEKLVVEVLKVGVSVGAKDYASCMETHEVISGEVIAGSITRLMGGSLESENIRKKAEELRVKARTAVEKGGGSYNDVGRLMDELMAGRRRSAVKVGEEIQAVNGF >cds.KYUSt_chr3.23486 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145156861:145158497:1 gene:KYUSg_chr3.23486 transcript:KYUSt_chr3.23486 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGDASPPAAVVDVPVGAAPNAGVVSAMISATIPSKWKRFPKQFFEAPSAVAASLAAASPGEAPPAAKKAGRMKIKAAGPRGAAPAKVRTKAISRIGLAPPPPSKATPSPPSVPSDVPPAVPPSTMDVDKVFDVESTTSYMDMLNGSAVNLDAGIDAFDGDCNVEEIDEEEEEEEEGDEEEVVEVDPAAVGSSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRTKSMVDRSYRSLEGRWNIIKPACSRWSAAMDQPKYAQQRYKDMAGSKNKEFQFQHCFSILQHLPKWKLQDNEPKCKKEALLTMDDEAEDMSGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKMIAAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWNETKADIIARKKVARQARAQGESPASGGAGGDGFVDA >cds.KYUSt_chr5.7682 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48364829:48368835:1 gene:KYUSg_chr5.7682 transcript:KYUSt_chr5.7682 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATHFTPSQAHAASHHPPAAAAAAAATATATARLHASAPAPAAAAALCPPFLAAGSAACPPVQNPIFSGPAAPWAVQPQRAASSALGPEFRRARSTKNISKRNNRGGGSQDRGGRASSAAAGRCVDKLLRVAPEDRRALGVSLSSFRGELVSPDDYCHVLRELGDRDKSAPRALEVFHAALPLVGNGSVDKGKLLTAAIGALGKMGRPDLARRAFETGIAGGYGKTVFAYSALISAYARSGLANEAMGVLESMKGAGLRPTTVTYNAVIDACGKGGVDLRFTLGYFRQMLRDGLCPDRKTFNSLLSACSRSGHLEDARAVFDEMIHLGIGRDIYTYNTFVDAICKCGNIELAMQVLSDMEAKNVKPNVVTYSTLIDGYSKLEKYDEALKLYEKMKSLGMKLDRVCYNTVLAIYVKTGKYGEIAIVCDEMEDLGIEKDTVTYNSLINGYGKQGRLDIVSFLVQDMRRRGVAPSVLTYSTLIDIYSKAGMHVDAFNVYLDFKESGLKPDVVLFSSFIDTLAKNGLVEWALSLLNDMTEMGIKPNVVTYNTIIDAYGKSKVLAEEDPEVGDMGIVGVYNGQIIRAANPVARGRSAIDVRMRRSQELYFILELFQKMVQQGVRPNVVTFSAILNACSRCNNFEDAALLLEQLRLLDNFVYGVAHGLLMGHQEIWSQAQSLFSQLGRMDSSTSSAFYNALTDVLWHFGQRQGAQLVVLEGVNRRVWENTWSEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFAGRAMPEFLSILTGWGKHSKIAGASTLRYVIEALLNSIGAPFQVERFNIGRFVSPSAVVAAWLKETGTINILLLSNERVQHQASPSNLVPRLQALQL >cds.KYUSt_chr7.34209 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213767344:213772402:-1 gene:KYUSg_chr7.34209 transcript:KYUSt_chr7.34209 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIRRIESAAARQVTFSKRRRGLFKKAEELGVLCDADVALVVFSATGKLSHMDEIIDKYSTHSKNLGKSQEKPALDLNVEHSKYNSLNEKLAEASLHLRHMRGEELGGLSVGELQQMEKDLETGLQRVLCTKDQQFMQQISDLQQKGTQLAEENMRLRNQVPTAGMMAITEDVLSSESVMTAVHSGSSQDNDDGSDISLKLA >cds.KYUSt_chr3.9786 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57536478:57543948:1 gene:KYUSg_chr3.9786 transcript:KYUSt_chr3.9786 gene_biotype:protein_coding transcript_biotype:protein_coding MFMDVLQLLEEPKGEMMVPARVWRIGGWFRRAPGLRIPPLGKSAQERLGMELAPPEMGIWPNPGVAVVGDVADMLLHFPPQPVAADLLRKVRDDKKADLLLIDFLPQQGGEDFDNRIMNNFIKLIKKYKENHALGKLRREALTHGRAKWSGKVANLCIEGRFTKWSQAGEVAHPEAEREDHVVSVKEIHGHTSMIMNLFDHAKPEIKAPRISEREVWLTQAAATAVSPDLLLHGNKPSLKSKKDYRELVSAGLPELMPLSVSTHSNSQLFPGEGRGYKDAELLLIDLLPGQGGIEHTNMKVKSSSCWWDKEESNTPT >cds.KYUSt_chr1.24303 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145095722:145096774:1 gene:KYUSg_chr1.24303 transcript:KYUSt_chr1.24303 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAACPWDALPEHLHERILSLLPLTALIPVAAVSRPLRRILLSPAFHALLSDHRLDAFFLLSPRLAFHPLSRRLLQVPLFDASRSSPPPPLVSSASPSLIVTAASLHHLPPLPDRSYLIAVIASSSTRRDYSLVAVTTGAAVRSYTINSADPSPQWVPRGELALPFALLGNAAVASDRARLFVLARGPDALLVLDLVTGEWIVPPVAMPDGLTTAHLFVLDDRLFLLGGVEILGVLERIVVFQLDDGAAVGGWLEVATMPTEMFDELVAGRHGSFWHFQAADRMGIVCLYNAVDGRLVMFDAVDCAWTKMPRVSGLDAEQSCRWFGHVLEPDVELLLGQRRRRLLS >cds.KYUSt_chr3.23538 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145455365:145457617:-1 gene:KYUSg_chr3.23538 transcript:KYUSt_chr3.23538 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLYSTAPTAVFLRRSSSTSTSPPSLRAPGVSLATATERVRSGTFSSEDAHVLFDELLLQATPVPERALNGFLAALTRATGPAACSDGLGITIALFSRMSRLHGPPVGSPTVHTYSILLDCCCRARQPDLALAFFGRFLRAGFKSNSLIVNTLLKVLCHAKRTDEAADVLLHRMPHLGCEPNAISYNTVIKGLCLCDGSRSHHALELLRMMAKQEAGCSPDVVSYNTVIHGFLKEGKFSTASNLFHEMVQQGVVPDVVTYNSMIDVLCKRGRSKEARQILDCAILKSLKPDIVTYSTMLHGYATEGCLVDMNNLYNLMVGEGIVPNLYVLNILINAHAKCGSVDEALLIFEDMQKQGVKPNVVTYSAMIDAFCRKGRMNDAIEQFNQMINMGVPPNIQTYNCLIQGYCTHGHLGRAKELVYEMMEKGIGCPGVVFFTSIMNNLCKEGRVTDAQDIFDFMKHIGEKPDVITFSSLIDGYCLVGKMQIASRVCDDMVSVGIEPDAITYNTLIDGYFKAGMVDAALTLFKEMSGMAAKPDTRTHDIVLDGLFKDGRTVAAKEMFHEMIKSGVRLSIGTYNVILGGLCKNGCADEAVMLFDKLRAMNLKFGIITLNIIIDAMFKVGRIEQAKNLFAAIPAKGLVPDVITYTTMMSNLIEKGLVEEADSIFSSMEMSGCASNSRMLNIIIRKLLKKGEIVRAINYMSRVDGKSMSLEASTISLLIFLFSRKGIYHKHKDLLPERYQFLEGDIHS >cds.KYUSt_chr1.25653 pep primary_assembly:MPB_Lper_Kyuss_1697:1:154023563:154027116:1 gene:KYUSg_chr1.25653 transcript:KYUSt_chr1.25653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein, Calcium sensor protein, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os10g0564800)] MGCVQSTGKRRQHPAGYEDPVQLASQTAFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNTRKENLFANRIFDLFDVKKRGAIDFGDFVRALNVFHPNFPMEEKIDWSFKLYDMDGTGFIERKEVKQMLIALLGESEMRLSDDIIETILDKGHNDYISELRFSLRG >cds.KYUSt_chr1.33176 pep primary_assembly:MPB_Lper_Kyuss_1697:1:201422525:201423340:1 gene:KYUSg_chr1.33176 transcript:KYUSt_chr1.33176 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHTDPCRPAPLYIRPPRRFGPAQTRPEENKKHTPRLRLAPAATPSGGPSRKDHTTWCAIPARKPIDREAISVYARGQGVSKSEMNAPTSWLFADNSRYSNRSRLLFIGLSFAIGIATFLLYLAVWYACRTRSQRQRAVRDDDLEAGAASPGSERIGMSDAAIAALPTFTYELPAVVVPGAVADEDAQAAAADCAVCLGQVEAGETVRCLPKCAHLFHAECVDAWLRAHSTCPMCRAPVGPPAAAASKKDGTADATPVVASATATAVPPV >cds.KYUSt_chr5.31161 pep primary_assembly:MPB_Lper_Kyuss_1697:5:197361400:197365058:1 gene:KYUSg_chr5.31161 transcript:KYUSt_chr5.31161 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSMDAAPGMSSKDGHAAATDVVYLHGVLEATVFEADHLHNAIHGRIIKVTEKLEQSLGVHSVQHGSLYVDIDVGAARVARTREVEFHSTSPTWNQSFRLHCAYPAAAITFTVKSQHLVGASVLGRASVPTASVATGRPLELWLDLRGGEHRHETHTPRLRVRLRFADVERDPCWDAGVRLPGFAGIKPAFFPERTNCSVTLYQNSHLSDGFDPSVRLADGRPYRPARLWEDMYVAIRDARHFVYIAGWSVNTAITLVRDTTRMVPGAEGVTLGELLKRKADEGVAVLVMPWQDKTSVAFLGNAGIMKTHDEETRAYFHGTNVRCFLCPRDAEAALTLVQSIEISTEFTHHQKTVTLDVATRGSADGRHVVSFIGGIDLCDGRYDDEKHTLFQELDTTYSHDFMQNNFKHASLRHGGPREPWHDVHCRIEGPAAWDVLANFEHRWKKQAPRRLRGCLLDLSPATFPDPCSFNDGDDTDDSWNVQVFRSIDDASVVGFPTDPAEVAERGLTSGKDLTIDKSIQIGYVEAIRRARRFIYIENQYFLGGCASWAEDRDSGCLNLVPVEIALKVAAKIRRGERFAAYVVTPMWPEGEPASDSIQAIVRWNRLTVEMMYRIVMEAIDDAGLRGQAHPCDYLNFFCLGNRETPRPGEYVPPVKPEEGTDYWRAQASRRCPIYVHAKLMIVDDEYVIVGSANLNERSLAGNRDSEIAQGSYQPAHLNGPGGARARGLVHGFRLSLWHEHLMGNVGEDVSLEPESVDCVHAARRAAEALWDAYTRDGVEDLRGHLLPFPISVSEFGEVTDLPADGCFPDTRAPVKGRKSATLPAILTT >cds.KYUSt_chr4.7370 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43798670:43800539:1 gene:KYUSg_chr4.7370 transcript:KYUSt_chr4.7370 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGKDAPSSAAPAGEGSSSVVLAVNGVRREAAGVHPSMTLLEFLRTRTPVRGPKLGCGEDLSPLRHFDMLLIL >cds.KYUSt_contig_60.423 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2520466:2528299:1 gene:KYUSg_contig_60.423 transcript:KYUSt_contig_60.423 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDREPGGSGAAKGRKRQLVLESSDSEADEHPVSTPEKPDEAAGNADATVNNCDQSVEKAAPVSSEKLPGAKTAETDDSSDKNTTGDKLNSSSAGASTPLGCGDEPMEKVVPVSSSDKLSDAKSTEGQYAEKSKGDKLGRSSGSQPDTKRSRIEGVHVGGAGNGGTASKDVAAGKMLRPGFPKWRFEKPEVRAGRVDVEMKETSGSKVKEQVPSLNDKRRHVEPLKHEKHKPLKTESVDSGRQERREDVKAKVKEQNSSLDDKRRLVEASKHEKNDKGGPVEAGQREVIRVQGKSGLLKILPKKAKVDGETSDSNTRPKNAKVVEAADGKIPTKTGVLKLLPKNSTTAREYSDEKLLSKSIKLERETTDGKILTKTGKVDRETGDDKVPTKNIKLDGETSDGRIPARNNRVDGETSTGNRRDKDASSALNESQKHDANGGKTVTKKLVSSVTLRRSDPSVVGVSTTKQQSSKAHLKASSQPRLKDEKTKLGEHKNGKKRLLEHEGSPENLSKKAKSRVTDLQGTSASALEKHAMKKPRGGPRNDLKQKLRDQIKGILLNNGWTIDLRPRRDKDYEDSVYVSPQGNGYWSITKAYAVYQEQSKSSQDEEPISKDDLAMLQRIVRKRRGQKEHAAEKKSGNNRSRKYQNNEEKVKTKKRGCGLLVRGSTRNMQGTGDYIPYKWKRTVLSWMIDLGVVSEDAKIKYINKKGTQAKLDGRITRDGIYCGCCSKILTAAKFELHAGSKEQQPYANIFLEDGGVPLLQCLLDAWDKQAQHEKKGFYKIDPADDPDDDTCGICGDGGDLLCCDHCTSTFHVACLGIEITGFAHQELRGTLFVPRLVPRPIAFAAQDVKRSDFSRLNFSGFHTFILERGDEVISAATIRIHGTELAEMPFIGTRGMYRRKGMCHRLLNAIESTLCSLNVRRLVIPAIPELQNTWSTIFGFKPVGPLKKQKLKSFNLLIIHGTGLLEKRLLLTGQVNQPTTAGTVNAVECDKISAQMLGEASGPLTPVHASRECAVGDNSGAKDNDTCALTECSSGLASKLPPVPEEKPQERTSPVNIADVNLRTSEDDMPCKPEADNKEEVKYAETDVSLVADNIVTGEMPEDKSNSSYADSSAIPVKMDTGPCSSIELGNGENCPPSEISVDAGLLEDKTESNLNSNSISAPSDTQEDMKSCVAPLVTRDRKPDDNHELKSIVADGDTQSSLEVKGSEVIVNEQSRDAYTTKDQSYVGGVTSNAVATKEHSRSAVDIVVSTERSLDETKSIGGDNSEVKVATIEVHAISATSNEAGITVSALERSNDIYGEDTAKPTLSCGEGQLHGEEGVYKNSLEDGLASRDPVNA >cds.KYUSt_chr4.53142 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329168944:329172607:1 gene:KYUSg_chr4.53142 transcript:KYUSt_chr4.53142 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETRTPAQQQASPEQHPHPPPLHRNMLAIVNVFLMAIGAVAGPLILRAYFVHGGNRKWLSSFLQTAGWPLLLPPLISRRRKDGSSSPLFLMPPRLLAVAAGLGVAIGLIDLLYAYGLAYLPVSTSSILISTQLAFTALFALVVVRQRFTAFSVNAVVLLTIGAAMLGMNGGSSDRPEGVSRAQYYAGFAMTIGSSAMYGLVLPLMELSQARLAGRSVGSYSLIVEMQVVMGFTATAFCAVGMVVNKDFQAIPHEAREFGLGQGGYYSLLVGSAIVYQFFFIGIIGAIFYGSALLSSVIMTLLISITEVVAIIVFHEPFNSTKGVALALSLWGFVSYFYGEIQTNAKQSDIPPNIEHLSV >cds.KYUSt_scaffold_2697.402 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2624924:2631067:-1 gene:KYUSg_scaffold_2697.402 transcript:KYUSt_scaffold_2697.402 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQEIGTRGNNPSPSMMTTIVVLRMTRWSDLRLGDGPRLHVWRSMVVSAGGHGGFSNADDAVDFRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGSLHLSNAKSANVVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIAAIGVSLLTVDTVLPSMRPPPCGDPRGAQGHECVPASGGQLALLYAALYTIAAGAGGLKANVSGFGSDQFDGRNPREERAMVFFFNRFYFCISLGSLFAVTVLVYMQDNVGRGWGYGVSAAAMVLGVVVLVAGTSKYRYRRPEGSPLTVIGRVLWTAWKKRKLPHPADAGELNGFYATKVPYTDRLRCLDKAAVVDQVDLGASPTKTKEQASMASTVTEVEEVKMVLNLLPIWSTCILFWTVYSQMTTFSVEQATRMGRRLSAGFVVPAGSLSVFLFLSILLFTSLNERLLVPLASRLTRRPQGLTSLQRVGTGLVFAIVAMAVSALVEKMRRDASLNGVAISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFLVHHVTRGTWIQNNLDTGRLDLFYWMLAVLGVVNFAAFVLIARRHEYKPSTSAVVAPAGEEDGSMGKEMDDVLVVKENVEGMDV >cds.KYUSt_chr2.9477 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59784502:59786721:-1 gene:KYUSg_chr2.9477 transcript:KYUSt_chr2.9477 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHLDLNALPDEAGQDANDVKFDVAVAGAGEAGSQIDLNVELRLAIGCFTGEPSRQAVSLLLPPAGVKEEQPTVESRTCRGARNKIDGMPKKKAAASIAVDRISQLPDELLHHVLASLPVDEVVQTSVLARRWRHLWKRMPVLRLVHPRRFAGAVDYDRFVNHVIALRGDAPLVNCEIESHLTRDDYAGEPDEPDPNPYFDSWIQYALSCKVQVLRVVGDHVGGETQLALPFISQHLVKLDVQHFFVDPDVLDFSSCPVLEDLKMQEAGFWVRKMSFPSLKRMCISECNFPPDYRVCISAPCLVSLQLLDCQGKTPLLESMPLLETASIDLSTGCEDKCGGCADQTCEGCRGYAVGGYQSVLLNSLSNDVNLELRDQPKVYIYKRDLESYPTFGRLKTLLLDMWCRAIDLHALVRILQHSLALEKLTLQLRSDERFLCAARGERKHVKIEESFACVHLKEVSIECEER >cds.KYUSt_chr5.13679 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88863465:88872886:1 gene:KYUSg_chr5.13679 transcript:KYUSt_chr5.13679 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSGGGLGAFPADGAEIINDVIGLEKNPKNRKYHQISTERQIVRARLPLSNSKSPLCLPHRSELEDSVDVGCLAPPQLSSGDRARPIVCAGLPFAAPSRGQSRPTNRSRGHPIMAGANDANPSCKLHTRLRLWEFADRYIFEPIDGLADFYLSVSRANGSMNLVEELPPRSPSTNPKVRTVYGVIGVLKLAVGSYFLVITDRDCVGSYLGHAIFKVTGLKVLACNNSPNTSSEQKKMESDISELLDAAERTMGLYFSYDINLTLNSQRLCDIDDEFKSRPLWRQAEPRFLWNSYLLEPLIENKLDQYLLPVIQGSFQNIHAEVGSEKVDVTLIARRCTGRIGTRMWRRGADPEGYAANFVESEQILQSKGYTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRQEEAPHVLERHFNDLKKKYGAVLAVDLVNTGGGEGRLRERYAKSIEPILNDDLRYVHFDFHRICGHIHFERLSQLYEQIKDYLKKHGYLLLNEKGDKIQEQDGTVRSNCIDCLDRTNVTQSMIGRRILESQLQRIGIFSDNDTITKYPDFDASYKVLWANHGDAISIQYSGTPALKGDFVRYGKRSAQGILNDLQYSLARYYLNNFADGTKQDAMDLLQGRYITSVSRDMAAPTKAGFVESYAVGTILL >cds.KYUSt_chr7.8635 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52350491:52353563:1 gene:KYUSg_chr7.8635 transcript:KYUSt_chr7.8635 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAWMARPRSRPASVCLWLALIAATFAFAQAKKPNADLSKVTHKVFFDIEIDGKPAGRVVMGLFGNAVPKTAENFRALCTGEKGIGKSGKPLHYKGSSFHRIIPSFMIQGGDFTHGNGMGGESIYGTKFADENFKLKHTGPGYLSMANAGKDTNGSQFFITTVTTSWLDGKHVVFGKVISGMDVIYKVEAEGKQSGTPKSKVVVTDSGELPL >cds.KYUSt_chr5.16801 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108138142:108140768:1 gene:KYUSg_chr5.16801 transcript:KYUSt_chr5.16801 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPEVQAPPEIFYNESEARKYTTSSRIIEIQARISERALELLALPNDGVPRMLLDIGCGSGLSGETLTEHGHHWIGCDISESMLDVALEREAEGDLLLADMGEGLGLRPGVIDGAISISAVQWLCNADKSSHEPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQTEMLVSFAMNAGFAGGVVIDWPHSSKAKKSYLVLTCGSPSVATSLPKAKGQDGEMCSSDDDDDDDDDDESNDDQTVGTYGRNRSNKRRNVNNNKNGRGRDWLLRKKEQMRKRGREVPADTKYTGRKRKTRF >cds.KYUSt_chr4.3687 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20971901:20979464:-1 gene:KYUSg_chr4.3687 transcript:KYUSt_chr4.3687 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKRPCHIPPLELVREQILPRLPPREPACLVRASLVCKTWAAAISDPAFRSRLHQLHGTPPVLGFLHNWDDDGDGVPRFIPTTDSPFALAAVPDCRSWRALDFRHGRALFLSKGLATWELQIWEPTTRSSQLLRVPEAFETDHGTQKMAPTAAVFCAVDGCDHRDCLGGPFRLVFVSSVAADDGYATSAYVYSSETGTWGAPASMQRMFSMDFTRYSSVLVGRSQLYFMCDDVWILGYDLAKHNLTEIDPPDFMSSDVGIFNIMPAEDGGLGLGVCQYLHPNLKLWTKDTDAPWVLSRVINLGRSLPAGNILNPDGKVQLMSFAEGANVVFFNTVTGIFIAGLQSQKVRKVCVKRGFCNLIPVVGFYIPVHRGVCYPSEESGSEEEKTVDQAQQLLDKGSNAIKEGSLVNTSECVSHDTEIRVPRQGEVASDCASMEDKHGHGGLPSEAQQVTDPMGQIPNSALNEELVKSKSTASKDDSGNSKTSGSNVEDAAPTSEKGDSEERVPRHGEVATDCASMEDKHGHDLPSEAQQVTDPMGQVPNSVLNEELVKSTSTSSKDDSGNSKTSDSNVEDPTPTLEKGDSEEVLCMRKLVFSQEPEYNKLIVMPRCVLPTRINIDVDIFNNSTDIVIDQGNNRKCNSSSLFVFETCLQGFRSNYIMDVRGGIFEDAIEALLQPGIAGGNEKRAIS >cds.KYUSt_chr5.34756 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220164619:220167398:-1 gene:KYUSg_chr5.34756 transcript:KYUSt_chr5.34756 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEALKDTLPAPATEPSPAPRKKGMHWLVVVINCGMLTVGTTGGPLITRLYYSKGGHRQWLSSWIETAAWPLLLVIVVASYITRRARDPSASLLLTRPRILLAAVPLGLAMGADNFLYAFGLSYLPVSTSAILISTQLAFTVFFAFLIVRQRLTASSLNAVALLTIGAVVLGLHASSDRPAGVSRGQYWLGFVLTLGAAALYGLMLPLVELTYKRAAAGGRVLTYALVIEVQLVMTFVATAFGTVGMVVNKDFQASAPTRVPPVPCTLFYVSISSPLISRSFHSTFPIPFRIDRSWIVERGTDPEPGYYEADHAATPGHVMRLYSQGEAVPGPSPIPLRPAKPAEKRRGGTGDSVKEEEVKGEQEDLFLQHAYLCGGVNRPRSVSLPGLLELELWDGNHINNNRACLVSRTT >cds.KYUSt_chr3.12849 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77062652:77064057:-1 gene:KYUSg_chr3.12849 transcript:KYUSt_chr3.12849 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSDGHGIVVNSTVRGARHVSDRVRRIVEPGVPASDDGDLNGAGQPTRIVEPGVPASDDGDLEGAGQPIRIVEPGVPTSGDGGLDGAWIVVPGVLAIDDDDLDNAGQTTRIVEPGVPASDDSNVNGVGQPTRIVEPGVSKLLSQRISFLVGWEDWKGSGFGLVSGGEVIWRWAGFRQRQGGLRRVPLPGRRVVLCWAVPLGRGDGRVSLTTSLLPGPGATFDQLKQAFHAVGLSAKDLVVLSGGHTLGFAHCSSFETRIRGFPGGGGGAGVADPALRPSFAAALRRACPANNTAKGAGAWMDPTSAAFDNAYFKMLQTGHGLLASDEALLTHPKTRRMVALYAASQGKFFQAFVSSMLRMSAQNQPGEIRANCRRHN >cds.KYUSt_scaffold_1259.101 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:739808:740724:-1 gene:KYUSg_scaffold_1259.101 transcript:KYUSt_scaffold_1259.101 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFDRRPCKPRRVPERLPAPFMQPWGGSTVASASRAVSQSALQPVEDPAVPAPIASIWRRRIWRPPSPAASLPTRRRSPAFAMCVVRGRNGELHMADHRRDFFAPSSCRVTAFFHSFSTGGDSWSRGTQSSSTSGMAAWLCLCGTLNGTKRAWRRSGRPGLHKAMRSTSKLRDISVDLRRCYIIFWKLQSIPTLQPCRPMPEQMPSNA >cds.KYUSt_chr6.21094 pep primary_assembly:MPB_Lper_Kyuss_1697:6:133116244:133128187:-1 gene:KYUSg_chr6.21094 transcript:KYUSt_chr6.21094 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRIGGRRRAGVEERYTRPQGLYEHRDIDQKKLRKLILEAKLAPCYPGADDAAGGHLEECPICFLFYPSLNRSKCCSKGICTECFLQMKPTHTARPTQCPFCKTPNYAVEYRGVKTKEERSIEQFEEQKVIEAQMRMRQQALQDEEDKMKRKQSRCSSSRTIAPTTEVEYRDICSTSYSVPSYRCTEQETECCSSEPSCSAQANMRPFHSRHTRDDNIDMNIEDMMVMEAIWRSIQEQGSIGNPVCGSFMPVIEPPLRERQAFVPAPPLEMPHPGGFSCAVAAMTEHQPPSMDFSYMPGNSAFPVFDMFRRPCNISGGGMCAIESSPDSWSGIAPSCSREVIREEGECSTDHWSEGAEAGTSYAGSDIVAEPGTMPLLPFAENYNMAPSQFRPESIEEQMMYSMAVSLAEAHGRTHTQGLAWL >cds.KYUSt_chr5.21517 pep primary_assembly:MPB_Lper_Kyuss_1697:5:140527471:140532122:-1 gene:KYUSg_chr5.21517 transcript:KYUSt_chr5.21517 gene_biotype:protein_coding transcript_biotype:protein_coding MFERFTEKAIKVIMLAQEEARRLGHNFVGTEQVLLGLVGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVVRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGREPQIERVIQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHVEKDPALERRFQPVKVPEPSVDETIEILRGLRERYEIHHKLHYTDDSLIAAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELDKELKQITKDKNEAVRGQDFEKAGELRDREMELKAQITALIDKSKEMIKAETASGETGPMVHESDIQHIVSSWTGIPVEKVSTDESDKLLKMEETLHKRVIGQDEAVKAISRSVRRARVGLKNPNRPIASFIFAGPTGVGKSELAKTLASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYSVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLETDEKDSSYGRIKSLVVEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLQEVFTRLKLKEINLQVTEKFKERVVDEGYNPSYGARPLRRAIMRLLEDSLAEKILAGEVKEGDSAIVDVDSEGKVVVLNGQSGLPELPTPAVTV >cds.KYUSt_chr5.37863 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239163217:239168906:-1 gene:KYUSg_chr5.37863 transcript:KYUSt_chr5.37863 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFRWRTTLYTRKGLRQGMLIGSQEAIFPTHRRGFLLQAKMEVITGAIGSLLPKLGNLLKKEYNIQKRVRGEILFLKAELESMETALVKISEAPIDQPPDTQVKLWARDVRELSYELEDNIDKFMVRIDDPTNPHSFMGFIYQCLSLLTKANNRHKIGSEVKGIKNRIQEVSERRRRYRVDNIVAQPLGPITIDSLRLAALYTKTTELIGTDEKSQDIIKERLMVADDESNKQMKIVSIVGFGGLGKTALANVVYKKLRENFDCGAFVSVSHNPIMVKIFKDLLSQLGNKNCDNINDEGRLIMEIRELLEIKRYIIVIDDIWDIRVWERIKRALIENEYGSIIITTTRILDVAKQVGGDYKLRPLSSVDSRRLFNQRIFGVEERCPPSQRALDISEKILRKCGGVPLAIITIASMLASKKEEECTHQYWSRVYESMGSGLENSSDALKDMRKILSVSYYDLPPHLKTCLLYLSSYPEDYEIEINELIWRWVGEGFIRDEQGKSLYEVGEDYFHELINKSLIQPHHIDVGNKAKSCRIHDMVLDLITFLSNEENFLTLVGGQQHVLTPSKIRRLSFHTSKKEDVRQPSNMSLSHVSLSHLRYLRLHGTSITKIPMEIGNLRFLQVLDISRTKIVKQLPSTFSQLTKLVLLNMLNSIVCEAPRWMSSLLSLSSLSITLGTLRDEYIQVLGSISSLSDLCIHVENPTQGRNKRLVIDRASKFLCLKRFTIRSRHSEMDLLFAHGAMEKLQKIELQLGPFRTTEFADFDFGIENLSSLEYVSNGMVYYEEQRQQALDAAIQKALHMNPNKPKMIRPKVTMQINSYSFEQFSVQVNKYLLL >cds.KYUSt_chr7.35373 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220933800:220937884:1 gene:KYUSg_chr7.35373 transcript:KYUSt_chr7.35373 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLVPTAAASVAPRRPARISVRASAAAAAAAPPRRETDPKKRVVITGMGLVSVFGNDVDAYYDRLLAGESGIGPIDRFDASKFPTRFAGQIHGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALEAAGLALGSDAMDKIDKSRAGVLVGTGMGGLQVFSDGVQNLIEKGHRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADVMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDKERDGFVMGEGAGLLVMESLEHAMKRGAPIVAEYLGGAVNCDAYHMTDPRADGLGVSSCIRQSLQDAGVAPEEVNYINAHATSTLAGDLAEMNAIKQVFKDPTGIKVNATKSMIGHCLGAAGGLEAIAVIKAINTGLVHPSINQFNREEVIEFDTVPNVKAEHEVNVGE >cds.KYUSt_chr2.10072 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63723999:63726277:-1 gene:KYUSg_chr2.10072 transcript:KYUSt_chr2.10072 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPSTKWAQRSDKVYLTIELPDAKDVKLNLKPDGHFNFSAKGSDGMQYELDLELFSAVNAEESKAAVAPRTICYLVKKAESNWWPRLLKKEGKPPVFLKVDWDKWQDEDDEDAELGDDFGGMDFSKLNMGGVDRDDFEDEDEDEDEDDDDNVVDSANKEDEDPEAEGSKGEEAPAAAVGEAKP >cds.KYUSt_chr7.7666 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46279026:46280706:1 gene:KYUSg_chr7.7666 transcript:KYUSt_chr7.7666 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTMFEIDTKYVPIMPIGRGSYGTVCSSINQETNEKVAIKKINNVFNNRMDALRTLREMKLLRHLRHENVISLKDIMMPLRRRSFKDVYLVSELMDTDLDKLIMSSQPLSNEHCQYFLFQLLRGLKCLHSAGILHRDLKPGNLLINGNSDLKICDFGLARTDNSEGQLMTEYVVTRPYRAPELLLGCDNYGTAIDVWSVGCIFAELLGRKTIFPGADCLSQLKLIVNVLGTMNDGDLEFIESLRGRNYIKSLPYTRGIPLYNMYPQAHPLAIDLLQKMLIFDPSKRISVIEALEHPYMSALYDPSANPPAQVPVDLDIDENLSVDMIREMLWQEMLQYHRRPSKWRIFNK >cds.KYUSt_chr3.41736 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263370824:263378067:-1 gene:KYUSg_chr3.41736 transcript:KYUSt_chr3.41736 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLYSSSSGAASPAAAAAAAAAAVGSSVIPIVNKLQDIFAQLGSNSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPLRPSAVDDNEWGEFLHQPGRRYSDFREIRREIQAETDREAGGNKGVSDRQIRLKIHSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMILSYIKHKTCIILAVSPANADLANSDALQMARQADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLRLGYVGVVNRCQQDIISDLSIKDALAREEKFFRNQPAYNGLAQYCGIPQLAKKLNQILVQHIKTILPGLKSRISSQLTAIAKEHAFYGDPVESKAGQGAKLLNILAKYCDAFSSMVEGKNEDISTIELSGGARIHYIFQSIFVKSLEGVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAEFIYEELVKMSHRSLSNELQQFPILRRSMDEVIGKFLRDGLKPAQDMIAHIIEMEADYINTSHPSFIGGSKAVEQAQQQVRTARLPATVVRRDGVDADKPQAPEKTQRSRALLGRTAGVNGVVNDQIQGVRSAAEAERPGSSGSGSTSFWGSIFTSSEDRAHSSARDSSVNKSYASATPSLEHSFSSIHLKEPPLVLKPSENHSEQEALEIAITKLLLQSYYNIVRKNVEDFVPKAIMHFLVNHTKRELHNFLITTLYREELLGDILREPDEITTKRKQIRDNLRILQQAYKTLDEIPLEAETVERGYSLDSDATGLPRVHGLSSSFHDGGSPYSTPKQSRNRKGHSGEQQPFNPSSSGNGF >cds.KYUSt_chr5.7243 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45324540:45326015:-1 gene:KYUSg_chr5.7243 transcript:KYUSt_chr5.7243 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLANVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSVGNVLPVRSIPEGGVICNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNSWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAASAAKADKAT >cds.KYUSt_chr7.6285 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37849771:37852433:-1 gene:KYUSg_chr7.6285 transcript:KYUSt_chr7.6285 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATIIVLAAVVGTASAAEDDSGKLRQGFYDQSCPRAEQIVRHYMEQHVPHAPSVAATLLRTHFHDCFVRGCDGSVLLNGTNGNEAEKDSPPNASLRGFAFVDRVKAVVEQECPGVVSCADVLALAARDAIGVIGGPFWRVPTGRRDGRVSLKQEALDQIPGPTMNFTDLLTSFRSKGLELPDLVWLSGAHTIGIAHCDSFSERLYNFTGRGGPSDADPSLNPVYAANLRRTKCATPTDNTTIVEMDPGSFLTFDLGYYRGLLKSRGLFQSDHALLMDAAARADVESIASGPPEVFFQLFARSMMRLGMVDVKTGGEGEIRRHCALVNS >cds.KYUSt_chr6.27431 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174194157:174194738:1 gene:KYUSg_chr6.27431 transcript:KYUSt_chr6.27431 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARPPTAPAPGAAGDEAVKYRGVRRRPSGRYAAEIRDPAKKTPIWLGTFDSAEAAARAYDAAARDLRGAAARTNFPSSSSSTPPAPPVPAPAPPMVVVAATSSHSSTIESWSGGGGAPVLVRAPAIGAPAVEEDCRSYCGSSSSALCEDGASAEAAAPLPSTSLPFDLNLEAAADDDDDEMDWRCDTLLRL >cds.KYUSt_chr3.4525 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25699365:25702982:1 gene:KYUSg_chr3.4525 transcript:KYUSt_chr3.4525 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDAGVLPPYIEEDEEEAAASKQLRRQQSKRKKPRERMPWEFATPEEEAKAEARLALERELIVHDPKTGDTCFTRVWFLDRTIFDLDEETQYGPMRYVNSTIGDDHRLVGSLNVLCLKIISSDVAYPINVYGTVIVRDNLDYKCINIFRRDRNNCQQVTSKNEDLILTGPTRGVVFRGAAFFEINLMLREDGEGNDRQFSKLLIDVLLGRINSKVCSRTVPSWLSDVQLVFSYVKNALEATIEIKVLSGPEVFHGKITACTTKVPNNFVMYDSDVGGGMAVDDDGIIPLLRHVVAVSVDEMLILNICAHGADHSGNMSSCLRKFTPVIKGADEDEFSCGPYIMRMEVIWSTLFLPRG >cds.KYUSt_chr7.37859 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236091714:236094918:1 gene:KYUSg_chr7.37859 transcript:KYUSt_chr7.37859 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDLARRIVAFFPLPPPPQKQQLSEVAAAVLSAGGRLGRAVGDVFRRLRIDDSLDIAFGQRNGRTSGGGKADDARSKGGLTAGASPDHPETSGRFARSQGSMILSAAFDSRTNDVESSVAARGDLWRAEASHTSSSRRADGGAAPLFLVQLGPLLFLRDTTLLFPVHLSKRHLVWYGFERKNGVHSVCPAYWSAQRKWFFMSMICLNPVACSFMDMQFPNGQLRYVAGDGFTARGFVPIGGGGILQAHGKFPGEKRVSFSYKNGSGGSITPTVQWPDKSLSLGLVQVLSWKRCGLILQPALQLSMCPTFGGSHPGLSMELDHSVSENASVACGYSRTTSPSAYASVSIGRSKLNSGAVSSGLMFIVDAPLHGFGRPWFSIQMNSGLEF >cds.KYUSt_contig_686-1.236 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1532319:1534684:-1 gene:KYUSg_contig_686-1.236 transcript:KYUSt_contig_686-1.236 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSAKGAPQSPSDKEKLVTVLSIDGGGIRGIIPATILAFLEEELKKLDGLDARIADYFDVVAGTSTGGLLTVMLTAPDKNGRPLFDAKDLAKFYIDESPKIFPQKNSIFSKISTALRMVSRPKYNGKYLHSLLRRYLGETRLDRTLTNVVIPTFDIAYLQPTIFSSFQLKHHPANNALLSDIAIGTSAAPTFFPAHYFETKDDKGDPRSFNLIDGGLAANNPTLCAMSQVSQDIILGDGELFMQNPVNYGKFMVVSIGCGLNPKEKYSAKDAAKWGILNWIVKDGTAPIVDMFNAASADMVDIHLSVLFGALRSSHRYLRIQYDQLSGSAGSIDDCSKENMDRLVEIGNELLRKNVSRVDLETGRNVEMPGCQL >cds.KYUSt_chr2.405 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2473020:2475062:-1 gene:KYUSg_chr2.405 transcript:KYUSt_chr2.405 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPLLHHLFFLLVIVSGAEPSSSCGGGTERCGDLVLPFPFHLNSSASSCSAAADANSSSLFRLSCVNATLTFPLGATFRVLDFLRGGTSLLLDYAAPTAAPCDPAYAAFSRASSPAAALDAAAPFLAVSPSNVLRLYACEDSSLCRAGCDDVAAACAAGKNSTPAAAGCCYPLSDGSVWKPGDGLAVFAGFGCRGFSSWVKNPSSSSSSSAGGVTRGIEVEWAVPRGSALAACADGAVLVNATAVRGGVRCACPAGLVGDGFAGGTGCSKRLPCSNGDGTGAECCQGRFCSKKAVALAGFFASVFFLAAAASFWLFLRQPSSSSGDGTRRWDLDPACIPKILGSVCNAKQFTCEELDAAKRRFDDGRDKAVDVAGAQALCSTVHAGVLDDGTVVAVQRIGYETQDKLRLALDAVSILPEVSHRNIARVVGFCLLDPATRALLLVHEHSAGGTLEDHLRRTVAGGRAALGWHHRVNIAIELASALAYLQALDGAPTFLHDLRPSDVFLDADLAAKIAGHKIVSSTAATTNYYYYYGTDAAAREQDVVRSFGLLLIELLTGLRHHDPFDSVAPKVREGRLHEVIDPTLLLASSSSSGRNQLPATAEEVRKVLELAVRCLLSAENGTGMVAAARELVQVVRGDDMGSSSKIEISLEETFSSSSLLQMISMSPDTLHRHLP >cds.KYUSt_chr3.29602 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185197453:185198207:1 gene:KYUSg_chr3.29602 transcript:KYUSt_chr3.29602 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGESRRRRASPFHPHPPLPLIAIALLLLVPPPPRTHALRVPLREVASLLSLSHSLLTRVAVARADRGDAAAAARARRIASHLSLLSTRGAWALGWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEASRLNSPVDAAQWLGRNYADLRAAATKLLSGLLAAFSEQGPLREVVLDVKWEVEEGGLLKDCLQVGAKDLEGLLIIAKDLMFGASRASSPHSEL >cds.KYUSt_contig_686-1.72 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:525996:527075:1 gene:KYUSg_contig_686-1.72 transcript:KYUSt_contig_686-1.72 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAALLASLLVALCCCSSLRTGLARSGAEEEGSALRSRGPYVDEVKFDFTPFLIQYRSGRVQRLMGTSVVPPSLDARTGVASRDVVIDRATGLAVRVYRPSQSRTNKKLPVLLYFHGGAFVVESAFGPAYHGYLNALAARAGVIAVSVNYRLAPEHPLPAAYDDSWAALQWVLSSARNGSRSWLARHGDMSRLFVGGDSAGGNIAHNLAMRAGGEEQSLVVATGSSKARTIVRIRGVALLDPYFLGARAGPWAERAWGFICAGRYGTGHPYVDPAALPAGAWRRLGGARVLVTVSGRDRLGPWQSGYVGALRGSGWGGEARLYETPGEGHCFFLNYLASPKAAMHMATLADFVNQA >cds.KYUSt_chr1.4401 pep primary_assembly:MPB_Lper_Kyuss_1697:1:27071899:27077108:1 gene:KYUSg_chr1.4401 transcript:KYUSt_chr1.4401 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKRASKCLVLLGFPYSILLISSCLSHGTSLSFNYNFSDPNVLAAANLKYFNDSVPAIDRIDLTNSSRSWSTGRVAHGQPVNLWDDSTGRVASFTTNFTFLVKPGGDGMAFFVEPYPSTMPMDATGGFLALFNNRDNKGNAFPPTLGVEFDAFHNEWDPNGTNNHLGINVNDIRSKEYTPLPDGSFNGTMSVSIKYDAKATTLSATLQFIDPPGETAHILSANIDLRAEVGLPQNVSIGFSAAIGDLVEEHRILSWSFNSTLTDVTYPKTKNIRLIAGLVSASIFLLVTIAAWFSYRQYLGKKGIRRQEASVAVPLDQDMDKEFAGNGPRRFSYNELSRATQGFSDKEKLGEGGFGAVYRGLLHDQGLHVAIKRVSKTSNQGRREYIAEVTTIGRLRHRNLVQLIGWCHKAEELLLVYELMQNGSLNDHLYDSKKMLTWQVRYKIIVGMGSALMYLHQEWEQCVVHRDIKPSNVMLDSSFNAKLGDFGLARLVDHSRGGYTTMIAGTKGYMDPESVMSGRASAETDVYSFGVVLLEVACGRRPVVPELHDENRVVLVDWVRDLYRTGTLLDAADARLDGDFDAPEMERTLVVGLWCVHSDYGFRPSIRQAMSVLQFEAPPPDLPPVTMYAPPRGGYGSSYMSSTVGTSSTGGRSSTSDQTTNNRSFATADATSSTGPIASTTSQNTGTTEHVQSTHSS >cds.KYUSt_chr5.38529 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243749609:243755822:1 gene:KYUSg_chr5.38529 transcript:KYUSt_chr5.38529 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNCAKSVFGFYVESDKGINLSVDLDTTPRELAQNYRSLVQIGSDETWRVASKGEFKIGDILEDAQLKASVKSGYMKEVAMKEDIGSGDKSPVYGRARLKTSIKNEKPVEVPMEEDIDCGDNSPVHGGSQLTRRSDKHETEPPKRVTTFCNGKPPDSCQVSTDYSLAGLKPSSLASGGMSGGGGGGNGAVVHMEEAVNLLVEHLVRPVLPRRAGQDERHMTLEKQRAVAQQVHTAIIMYNYYHRKVSPKLAFADPKRFFTCASLSVGEDLLPYLSIAHARENDSGDDATLSVTDKGAIQACKIAAELDATKIYPDMGVWPIGKVAVLLLDPTKKKCLIEYGADTKGVWSFIEKEYDAASGMDGSDLLVLDEDLTYSLSKGRTTTKLFIVEYKKTTMGKLVEVSLEDLILSMNGPVFVNDPFLKTTSVVEYYHILPYKEILQGLLHRKWPDVPRHRLNSGIDEKLEEQGENSMSKMKKQTAEVSTPKQNKRAIKATDANSKQNSNISKNKKSFKRKAEASRDTAAEGLDGESPIIENKHKKSCKGKAEASRTTAAQGLDDEIPVIENKHNKSCKRKPEASRITATEGPDGESPITENESLVVPDVKTSGLPTNKSINTKATTVVSGGPILLQSGGQVDKHKTQNDNMPQDVLLPMAASLRTIMTR >cds.KYUSt_chr6.22333 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141067031:141073394:1 gene:KYUSg_chr6.22333 transcript:KYUSt_chr6.22333 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPRRPSSTAGGDSIRSWIMCGGHLQRGVGSLVREHPCGGGPDPYYLKVSYPFNTHTIVIPSSILLTTGGKMLRPEKWNACFDTEGRVTGFHKTLKFIVLGGMDPSIRAEVWEFLLGCYSLSSTTEYRRKLRAARREKYQCLVKQCKSMHPSIGTGELAYAVGSKLMDVRTTSKETDCREEVSTSQRGTKHTPGSTVENSNLNYDSGGTPLSQERNSCSKSVELVGFKVHNDSSAYNSSKLMVSSTAVNSCLSDSGDCSDMGEPRYDSETFMEYPSLPAANLFSKASGDANGIDESLCSFPVPEDRLRQRDERMHSFQINNNIDLIIESNPSDLFRASNSDSAIFHSDAFKQDRWLDDTTGYNSEIVVDSLKISDAPEADFVHVTNSDSPVTNKDRVAEWLWTLHRIVVDVVRTDSHLDFYTESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQIEGPTRVMKQLESLWKIVELSDTELFEHLSAIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFNEDAIRNLEENCLEPLLVDAKKDLSCEVKEEHRVNKFTRRKSKSRRFYRRNGEMRGSCNHGVKSSTQNPLCGLSGATIWARHQQTHHLSTNVLTKNGDNELPIFCVAAILIINRHKIIRGTHSIDDTIKMFNDNILKINVKRCVRMAVKLRKKYLHKSLKGGLE >cds.KYUSt_chr3.10511 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62431708:62433075:1 gene:KYUSg_chr3.10511 transcript:KYUSt_chr3.10511 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVAGAMLHVEVAILSMVLEILPVEVAMLPVAGEMLHVEVAILSVVLEILPVEVAMLPVAGAMLHVEVAILSVVLEILPVEVAMLPVAGEMLHVEVAILSVVLEILPVEVAMLPVAGAMLHVEVGILSVVLEMLPVEVPMLPVAGAMLHVEVAILSVVLEMLPVEVPMLPVAGEMLQVEVAMMPVAGEMLLVEVAILSVVLEILPVEVAMLPVARAMLHVEVAILSVVLEMLPVQVPMLPVAAAMLHVEVARLSVVLEMLPVEVPMLPVAGEMLQVEVAMLPVAGEMLQVEVAMLPVAGEMLHVEVAILSVALEMLPVEVAMLPVVEVAMLPVAGEMLQVEVAMLPGEVAMLPVAEEMLHVEVVILSVVLEILPVEVAMLPVAREMLQVGVVMLPMEVVMLPMGGKMLHVEVAILSVALEMLLVEELRVPVLVLVVGCRNQPAQRSRPEESWRG >cds.KYUSt_chr6.22087 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139544518:139544853:-1 gene:KYUSg_chr6.22087 transcript:KYUSt_chr6.22087 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYSNQLCGRIPEGLGSLKKLRNIDISMNLLTGGLPEDRGSVQMFLNQIEGPFPPEFGKNCALEFLDMSNNRMIGPIQARIWNLTQLVLVGTSSRAPFRLSWDNAEKCLS >cds.KYUSt_chr4.22936 pep primary_assembly:MPB_Lper_Kyuss_1697:4:144328381:144333718:1 gene:KYUSg_chr4.22936 transcript:KYUSt_chr4.22936 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMHNIGQNLQTRLSCSHQHTRFLVNKDIPITFSAYIDARFYARAIASEPSTTVHGTPDEAYDPLDPNGNITIKWDIMEWTSDGYTEVIYMTFSAGDYVHGSDEEDAVIAQVAAITEAEERARFRREEADPIPQVREYEAARREERVMASSDGGRKAEVETTSKDDAGRRRTEGTVERVVAGEAAASWGSVAGIAEKEMGMVERVREDKRLAND >cds.KYUSt_chr4.5553 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32155348:32158074:-1 gene:KYUSg_chr4.5553 transcript:KYUSt_chr4.5553 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGDRVALDVDGATTTVAQAKGMVMALERVPVAMQRLFFAGCHLDDDNRTLTGYGVQHGSVLFLGLRLRAADTTPCQEEMQRLQVPAGSMAVKHELHQQQVQVHHGHGHDGGEVLDKAKPRKPVSRRALRKILSRLHVDTWTRQHDAKLLDLLQRRTAGHGGERRRSVGDLTGEDWSAIRVELNSATGSAFPVEELQRRVGDFRRELEAVGRIKSHPRFGYDPRRRVVVAEEDDWRRYTLENPEAAVYEGRSPHLGRLRAIFAGDGHAGASRESRPKRYLSKLLRNFGLRLIFGLSFDDEISFMATMMMLKDYNYLVQSFVLPSADNLDRHFSSSNTLSSDHLAALSFLLYHKTINLVHLHFSIHLNLLVIIFFSPLLVSLLQFIPKGVVDITDSLQM >cds.KYUSt_chr3.38648 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243437963:243439045:1 gene:KYUSg_chr3.38648 transcript:KYUSt_chr3.38648 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGTDDKDYTEPPPAPLFEASELTSWSFYRAGIAEFLATFLFLYISVLTVMGVVGNPSGSKCGTVGIQGIAWSFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFQTTLYMGNGGGANSVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKKQSWDDHWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD >cds.KYUSt_chr5.11697 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75868102:75869028:1 gene:KYUSg_chr5.11697 transcript:KYUSt_chr5.11697 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQSGRAEIDTSRAFRSVKEAVAVFGERIIARETQVRLGVRADHRIVRERSSWRDAVAIASSNEKLEGSHGVRPSDLIREIHSNPSTIAVAIAKHEKNSSEPVTNLTPVSYAQPMCLVPLSPPLWAPSPSPAHNDDKQDRKEGNLMIMSSIKKVEEEATKTRQEAVQLKKRLTELELAMANLNAKLHRALSKLAHIEADEAAAARASIQQRDNNMVALAVWTEPKLERETPRRPLGHLLSLDDGDEEVIHVQRRETPTMKRKVQKQKPIVPLVVPLINGMLFSKKKGIQDKESLYMKELYSLLRLS >cds.KYUSt_chr4.51013 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316247282:316248745:-1 gene:KYUSg_chr4.51013 transcript:KYUSt_chr4.51013 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVASPTMEIEQDLPGFRFHPTEEELLGFYLSRVALGQKLHIDIIGTLNIYRHDPWDLPGMAKIGEREWYFFVPRDRKAGSGGRPNRTTEKGFWKATGSDRAIRSTGDPKRIIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDHAAGSAPPREDTVLCKVYRKATPLRELEQRASAMEEMMLQRPGGCDGGYGGAARACPVQAATSAADDYLSFSDDVQDNFLFHSTWPSSAAPSGINKNDAAPREAKKEAADADVATVIVASASSLPQAANTPCNFQLPEANPLCNFQLPEANPPCDLQLPAANTPCNFQLPEANPPCSVQLPAANPPSVQLPAGNQPRSFQLPAANPPSSFQLPAANPPSNLQLPTANPPRSFQLPPANPPCNIQLPAANPPRSFPLPVASPRCSLQLPAANPPRSFPLPVASPRCSLQLPAARNGVFDLPSLQLPAAGHGVLEWLQDPFLTQLRSPWQDQHCMSPYAHLLYY >cds.KYUSt_chr4.46729 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288832769:288833107:-1 gene:KYUSg_chr4.46729 transcript:KYUSt_chr4.46729 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIDTASGRPGHSIVTSLSLRHVYEIAKLKQTDPFCKHMSLEALSKSIIGTAKSMGIEIVKDLD >cds.KYUSt_chr3.34480 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216358233:216359702:-1 gene:KYUSg_chr3.34480 transcript:KYUSt_chr3.34480 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLPAMTMLDAASAIMPKQPICVEAPASGITVLSRQPVRPDGPPSAIGDLTLSVSDLPMLSCHYIQKGLFFPAPDVPMASLVSLLVSTLSRALAIFPALAGRLVTLPDDRVVIRCNDAGVEFYHAVAPALSLDDFLVPNADVPTKLTKDLFPMDRTVSYDGHRRPLTSFQLTVLGDGAVFIGVVANHAVVDGTSFWHFYNTWAGLCRGASPKLPDFRRNFFGESTAVLRFPGGVGPAVTFDPNAPVRERIFHFSSDAIRELKAMANRRSSSACQDAEVYGKMAHDPKNPQLVRGEISSFQSLCAQIWISTTRARKRLAADATTTLRLAVNCRHRLRPAVSTAYFGNAIQSAPTTATVAELASNDLRWAASKLNASLAAYGDEAIRGVAAAWQAAPRCFPLGNPDGAVVTMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPGRAGDGSVDIEVCLAPDTMAALLRDEEFMQYVSCPSHLL >cds.KYUSt_contig_1669.19 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000186.1:94976:98332:-1 gene:KYUSg_contig_1669.19 transcript:KYUSt_contig_1669.19 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRPLLAAPLLLLLAAVLTAAADDAQLLDDFKAALPNPTHADLQRWTARDGACSFPGAACRAGRLTSLSLAAVPLNADFRAVATTLLQLTALERLTLRAANVTGALSAAASCGAKLHDLDLSGNAALRGSVADVAALAAACSSLRTLNLSGDSVGTTKPAGGGGFAALDALDLSSNKIAGEADLRWMASAGAGSVRHLDLAWNRISGGLPDFANCSGLQYLDLSGNLIAGDVASDTLTSCRALKSLNLSSNHLAGAFPPNIAALTSLTALNLSNNNFSGEVPADAFTNLQRLQSLSLSFNHLTGAIPDALAALPDLEVLDLSSNSFSGAIPATLCQDPDARLRVLYLQNNYLAGAIPEAVSNCTDLVSLDLSLNLLTGSIPDSLGNLARLKDLIMWQNLLEGPIPASLSAVRGLEHLILDYNGLSGAIPPDLARCAQLNWISLASNRLSGPIPRWLGKLSNLAILKLSNNSFTGQIPAELGDCKSLVWLDLNSNQLNGSIPPQLADQSGKMTVGLIIGRPYVYLRNDELSSQCRGKGSLLEFSSIRSEDLGRMPSKTLCNFTRMYMGSTEYTFNKNGSMIFLDLSFNQLDSAIPKELGNMYYLMIMNLGHNLLSGPIPTELAGAKKLAVLDLSHNQLEGPIPSSFSSLSLSEINLSNNKLNGTIPELGSLATFPKTQYENNTGLCGFPLPECDSRAGQSSSGGGQNKRKASLAGSIAMGLLFSLFCIFGLVIIAIESKKRRQKNDEASTSRDIYIDSRSHSGTMNSNWRLSGTNALSINLSAFEKPLQKLTLGDLVEATNGFHTDSLIGAGGFGDVYKAQLKDGRVVAVKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGEERLLMYDFMKYGSLEDVLHDRKKTGISLNWAARRTIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLVDEGLEARVSDFGMARMMNVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSADFGEDNNLVGWVKMHTKLKITDVFDPELLKDDPSLELELLEHLKIACACLDDRPSRRPTMLKVMTMFKEIQAGSTVDSKTSSVATGLSDDPGFGVMEMTLKEAKEEKD >cds.KYUSt_chr5.1464 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9955281:9956090:1 gene:KYUSg_chr5.1464 transcript:KYUSt_chr5.1464 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALETAIEEVRATLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNQAAAAAANQLAEEEAKRAEDAAWRRRSPGR >cds.KYUSt_chr1.3839 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23508480:23509934:-1 gene:KYUSg_chr1.3839 transcript:KYUSt_chr1.3839 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMKQTVIMYPGCDVGHIAPMTELANIFLKHGYNVTMVLVEPPFKSSDSSATAIERIAASNPSISFHVLPALPLPDMFASGKHPFLLILQLLRDYNELLEAFLRSLPRESLHSVVLDMFCSHALDVCLKLGIPAYTFFASSASCLSVLTQFPALIASRQTGLKEIGDTPLHFLGVPPMPASHLIKELLEHPEEEMCKAMTNTWKRNTETMGVLVNTFESLETRAVQSLRDPLCVPGRILPPIYCVGPLVGMGSKDENGAASNECLKWLDLQPDRSVVFLCFGSMGTFSVEQLREIAVGLERSRQHFLWSVRTPAGSHDPEKYLEVRPEPDLHALMPEGFLERTKDKGLVIKSWAPQVDVLRHRATGAFVTHCGWNSVLESIVAGVPMLCWPLEAEQKMNKVCMMEEMSIAVELDGYMAGFVKADEVETKVRLVIEGAEGRQLRAQVAARKEEARAAIEEGGSSRASFVQFLLDVENIGEQIGE >cds.KYUSt_chr7.12386 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76287095:76287764:1 gene:KYUSg_chr7.12386 transcript:KYUSt_chr7.12386 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQRFELLSHRILSAGASQPSISVAWVDAVRTHPSWVKFLAALIGQLHHDLISSNPHSFLSPILSSLSPNPLLSLFSPNPIAPPVGLALDLAGEQPIAPPAGLLHMSAASVGEQQKNAMGRALDERELRGEQRPLHLVGGAAAPTGENASAPPHNPERPSSSPSSSASSLQGEDEAETKKQSPPAE >cds.KYUSt_chr4.24669 pep primary_assembly:MPB_Lper_Kyuss_1697:4:155209805:155211387:-1 gene:KYUSg_chr4.24669 transcript:KYUSt_chr4.24669 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRCPSGCRPGRRLVTSQSHAGAAAPLSLSNLSRQRWRVVDEVAKDKISKLLGLNTLQGSKHSTGDVDEEEAARTGCQAKVWARDYPWYAQGSCLCDGLQGNGKELGDQAWNEISTVMEKVIN >cds.KYUSt_chr3.13576 pep primary_assembly:MPB_Lper_Kyuss_1697:3:81882834:81887500:-1 gene:KYUSg_chr3.13576 transcript:KYUSt_chr3.13576 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWPEFLASSGGHEFVAGGVGGMAGVLAGHPLDTLRIRLQQPPPPASPGITTPAARLAARPPSAVALLRGIVRAEGPSALYRGMGAPLASVAFQNAMVFQVYAILSRSLDSRTCTSKPPSYTSVALAGIGTGALQTLILSPVELVKIKLQLEAPGRRHRGPANMARDIFRREGLRGIYRGLTVTALRDAPSHGVYFWTYEYARERLHPGCRRTGQESLGTMLISGGLAGVASWVCCYPLDVVKSRLQAQAQTHPRYRGVVDCFRKSVREEGFPVLWRGLGTAVARAFVVNGAIFSAYELALRFLVRNNGQTMQVMEEH >cds.KYUSt_chr3.35446 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222580526:222589663:1 gene:KYUSg_chr3.35446 transcript:KYUSt_chr3.35446 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGQRTGRGRKHGLPGEEKGVRLDGGSRGRSPGGAGGACRKKCSLEEVLGGDTLTWGGAGATRSPGEKLGRRHAGQGKSWGGDVLVEEGDRAQELPTVPWFMSKAFQLGRIEEPLNNKRSMHFGGMDQSDIWAIFAAIVTTVPSMWRVWHMRPAVAAAPGVNDDPLVVPLLHGPEFNLALARRLQCWQFSVILHSLTAIICIWKDNHTTPPRYFGPAILLVGSIMQLALLLWCYPLIEDLFIFSRVRFSIALAGCIPGGLALFDLFSKADTALLSSKTDDKVLDLIIDGHILLKISTIIGALFRLLDMMFITIAVIGDVANYLQGKLQEFFN >cds.KYUSt_chr3.5806 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32896826:32903387:1 gene:KYUSg_chr3.5806 transcript:KYUSt_chr3.5806 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLPRLTDHPLPFCLLKCYILLISFSLNCDAMAVLIWFITFSIRFYNLIMFNSGKGHLEICKYLVELGGDVNATADAAGFLGLSLSLSSVCLTPFMAAAQSSDVPTVRYLLDHGGDLMKADDKGFTVLHHAASSGCCKVTEFLLSKGVPVDLDCGHGTPLYLAATNEKEKTLKILLDHHANVYGLQHFLDMAAQIYFAGADVNVRGSFMTPLLFATSRGGYTNFIQFLLKAGADPNIPDDLGRLPIELAAKRDCKEEVEMLFPLTSAIPNVPNWSIEGVISHAKFEEKKPMEQGHLERRKCLFKSQADMAFKRKDYKLASKCYDLAIGHGESAALYANRSLCKLLMGDGDGAFADALKCRMLRPNWAKGCYRQAAAHMLLKEYKQACDALKDAQKMDPGNAEIESELR >cds.KYUSt_chr1.31151 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188936849:188938730:-1 gene:KYUSg_chr1.31151 transcript:KYUSt_chr1.31151 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAAVQSSSAPAIAAFLLVAKKARFSPFVLLSLLFMLLFSFLYGEELAALIGQRDRPHSSDLDVNLSAGGHQQPAETEAGWTTKKKRWQGRLPFAVNEEDEDEECDVFSGSWVKDEAAHPLYREEECPYIPPQLTCQAQGRPDRGYQSWRWQPHDCTLPAFNATQMLETLRDKRMMFVGDSLNRGQFTSMVCLLQSAIPSPEARSFEASPDQQHTVFTARDYNATVEFYWAPFLLQSNADDAVLHKISDRTVRNGSIAHHGRHWEGADVLVFNTYLWWCSGLRFRIMNGPIEEAKEEEMVWVSTEEAYGMAFRDMLQWVRDNMDFNSTRVFFTSMSPTHGKSQDWGDAPGGNCYNETAMIEDAEYWGTDSRRSVMRVIREILDGDGADVPVTFLNITQLSMYRKDAHTSIYKKQWNPLTPEQIADPRTYADCVHWCLPGLQDTWNELLYTKLFYP >cds.KYUSt_chr4.12963 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79687337:79691274:1 gene:KYUSg_chr4.12963 transcript:KYUSt_chr4.12963 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKDSESAAGPLAEAEMDLDFSRGGVVPSFEFAFNSANFSDRVLRIEIVACDNVPGYSGDIGGGSIGDFREDKGNEGQSVDSSAMIPCTPVLREKTIYVNSAILASRSPFFLKLFSNGMKESDQTHPALRIADSEENGLMELLSYMYRGKLTTKEPTVLLDVLMAADKFEVLSCMRHSSQLLTSLPMTTESALLYIEHPCSTSLAADVQRVIGVAKEFLANKYKDFDEFEDELMNISLAGIEAIFSSSDICVETEDDLYFFMVDWARARYPELEERRKILSSRLLPLVRFSHMTCETLRKILACTDNDIDHELVNKRITEALLHIAYPTEVEGALAAEVRAYTRKPVRVVAIDGPCPHVIVYLDLTSKECSRFLPSKGMFLFSHPFYLAGQEFNLVAVGGRERGEATNSCGFGLYLQIQWDPESSKPITLDCEFAAKRKSSGKFVMLCNDELTVYDECVHGYNDLFQMSWLDFITDNDLFIDDVLHLRADVAVVGQLKLKT >cds.KYUSt_chr1.5164 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31789416:31789730:1 gene:KYUSg_chr1.5164 transcript:KYUSt_chr1.5164 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAEETRATLDRLQSSLDLLCGVVAGIDTTQKKMRAQQEIQAAAIESGAAKHDDTTRILEALLVKLNLVEQGTKNRPPPSAAEPDPCAVDKVLTCQYLRVVD >cds.KYUSt_contig_1253.445 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2741010:2743785:-1 gene:KYUSg_contig_1253.445 transcript:KYUSt_contig_1253.445 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLEMSAGDGVDFRQPFKSLPRVVTSPGIITDPEWDSRSDDDFVGSTSFAERKIIVANFLPLNCMKDEAGQWSFSKDDDALLMQLKDGFTDGTDVIYVGSLKVQIDPSEQDHVAQKLLTEYRCIPTFLPSDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKIFADKVMEAINTDDDCVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLHYESKRGYIGIEYFGRTVSLKILSVGVHVGRLESILNSPATVSKVQEIEQRYKGKMLMLGVDDMDIFKGISLKLLGLELLLDRNPDLRGRVVLVQIVNPARSSGKDVEEAITEASCVAERINVKYGSADYKPVVLIDYSIPFSEKIAFYAASDCCIVNAVRDGMNLVPYEYTVCRQGNVEMDKLRGVEKNSHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALHHATDLTESEKRLRHEKHYRYVSTHHVAYWARSFIQDLERACKDHYSRRCWAIGFGLNFRVIALSPGFRKLSLEHFVSSYNKATRRAIFLDYDGTLVPQSSINKAPSAEVISILNSLCDDSKNDVFIVSGRGQNSLDEWFNPCEKLGIAAEHGYFVRWNKAAEWESNYSIPDREWKHIAEPVMQVYTETTDGSFIEAKESALVWHYLDADHDFGSCQAKELVDHLERVLSNEPVGVKCGHFIVEVKPQGVSKGLAVDKLIHTLINNGKAPDFLMCIGNDRSDEDMFESINSKASSSVFTTAPEVLACSVGQKPSKAKYYVDDTAEVIRLLKNVSGVSSQPEVVSQGRVTFRDVLDYVE >cds.KYUSt_chr6.27299 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173314047:173315046:-1 gene:KYUSg_chr6.27299 transcript:KYUSt_chr6.27299 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVATSSLSSLEAMLHSLMGRSEGGGDETQIDDDDEKEVEKEEDALESPLPPTLPVRPTARGRLPSLPRVAGAAAGSWTPPSTPSPRKGDDDVSTVEVSVAVAVAELERKAAEAEVRLRQKEEENAALRRRMESYHVRWLEYEIRIKSLEEAFHEQLASLQVARDAARIAQDAARMAQELPYDEDAPPTRLWQTDRRRSADGSRRTSAVGRLGAEFRRGSQAFEKGAVALVAEPRPQWEPGVPSADSVGDLKKLKAQFRAWKKDYEARLRRAKAEIDRDRRRQSSCWI >cds.KYUSt_chr4.36884 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226886319:226886615:-1 gene:KYUSg_chr4.36884 transcript:KYUSt_chr4.36884 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGARRVLEAWRLGVVKYGEALKLQERLVADRRAGQVPDLVLSLQHPPTYTFGKRRTDHNLLVPESSRRVPDLPFRPSRAALRSTPRHTSTGTLPW >cds.KYUSt_chr4.9146 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55067811:55069686:-1 gene:KYUSg_chr4.9146 transcript:KYUSt_chr4.9146 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGIRILAALLALLAAAGGGGMMRCAAQQLSATYYDTICPGVEKIVRDAVTLKVQQTPVAVGATVRLFFHDCFVQGCDASVIIVSSGNNTSEKDNPANKSLAGDGFDTVIQAKAAVDAVPQCANQVSCADILTMATRDVIALAGGPEYPVELGRLDGLSSTSASVDGNLPPPSFNLDQLTAIFAANNLSQADMVALSAAHTVGFAHCGTFADRIQPAAADPTMDPGYGSQLLAACPPGVDVNVAVDLDPETPKVFDNQYFINLQKGMGLLGSDQVLYADVRSRPLVDNWAANNTDFLAAFAAAMTNLGRVGVKTDPALGNIRRDCAVLNG >cds.KYUSt_chr2.41797 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260180517:260182561:-1 gene:KYUSg_chr2.41797 transcript:KYUSt_chr2.41797 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMKEPSGGTSPSRRRAGTETPVPQILAAALEDQILHGKQPMIDASVVPAASQAKLPPDKSWRRPPAGWVTLTIDGSFKEVDGNGGSAVRETAQDRFIYMHIITEIKELDLNVFFRKWNPS >cds.KYUSt_chr2.40972 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254571523:254572767:1 gene:KYUSg_chr2.40972 transcript:KYUSt_chr2.40972 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRCPNPKRLFRRRSSKGSSTGSASSDDGSDAGGLIHGGGGSGEIEWEVRPGGMLVQRRDGRGDVEVITIRVATGLSTWHEVSIGATCTFGELKVILSMMTGLEPREQRLLFRGKEREDGDHLHMVGVRDNDKVLLLEDPALKDMKLRAAALAAQAMQSPYQTSIRV >cds.KYUSt_chr2.30482 pep primary_assembly:MPB_Lper_Kyuss_1697:2:187843117:187850839:-1 gene:KYUSg_chr2.30482 transcript:KYUSt_chr2.30482 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAPARKMRAKKTKPPGMSNAEWAADEKRREVETSGRAERVKKAAAKRAAAALDEQARIISMAMGGSMFPGQWPTQGTTSSPSSFSPSLYSPSPTAVFQEGAYVQPSRSTPSPPEIDVGGGGQFEDTSPAMRRGPLAFGAMAAPNEEEIHEMITSGSAAAAASPGFFMAAAAACPGFFTQEEQRATAAVAARNEHREDVADGSQAVEEEDEEEEEPTQAAANLSKGKKKRKKDSAPAEPRIKWTPKEEECLAEAWKTVSTNGIIGANQSFDTYWLRVKQAYEERKLVDPYFKKTNMNVYRGDKAMATHWGIMRDGVRQMARHTGGVRERPISGHDLEQKLRRALDMYTDDTGCEFKFLNVYARLEKREKWKEVRTTLSKSKTERYNPDAPAASAAEGRPELGGRSSKSSKRRAIPPNGMQASIDKCLGRLELDAHEPTSRWLSFPPHRAHVARSHVDAPSPHPCAGSTYAACVPRPRAPGPRAPPPASSSPRFISSPVQYTSHSLRHLIDASDPSQGRPPNPSPSAMAKEESSSSAPGPSDLPPPAAGSGSGGETPRGRRRRAPGDPLLIVCGCFSLVTAATALLCVAVNVLSAVQSFRFHGGDIFGGVFRCYAVVISLFVCVLETEWGFIIKFCKVLEYWPARGMLQIFVAVMTKAYPNVDRSDLILLQDIASYLLLACGLIYVISGVLCLGVLKRSREKKAISRGQAAKDLEELEKRREELEALLLAESDGEAAAKLPKLAAGSDDRLSALPDDVILHILRQLRDSPVAGRTSVLSRRWRSLWALLPELYFPGYTAPRHVAAAFAAHEAPVLQRIAVFVKDTPVDSLAAWLPIASRRLRGDLYLLNIVRRNGGRGPDEAGERGAFELPCFEKATTISLHLGFLGLLTPPAGVFARLTRLHLDHFRIQAPPCGGLGDALSSPRCPSLRDLTLSDARDLHSLAIHSESLLTVKLTKLRGLQRLTVAAPALKELTVFYCFANAPNLSQMAASISAPQLASLEWSDAYDPVSVQLGEMAHLHRLGMSFYLVYGPNGFRTNRDCMRLLRRFKAICSLSFALIYPHVSSSFFLLFRAMQSI >cds.KYUSt_chr1.3479 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21026837:21028622:-1 gene:KYUSg_chr1.3479 transcript:KYUSt_chr1.3479 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPRKPSVPPPPATPKDSAAIDASLLLELAAADDVAEFRRAVEEHKACLDAEASWYGPSAAGQGRLATETRTPAMVAALYGSTSVLAYALSAAPAEATRASPTDGATALHLAAAGGAAHAVAATHLLLAAGASTEALSASALRAGDLLPRAATAPLRLLLNSPAPSPILLPQESGLFSTDDFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRRYSYSCVPCPEFRKGGACRKGDGCEYAHGVFECWLHPAQYRTRLCKDEVGCARRICFFAHRRDELRSVNPSAVSVGMMQPVSPRSSPPNGGMAWPSSPAGRLKTARELDFDLEMLALDQYQQKLFDKVSTNAHSPRANWGGPPNAGLGSPHAAAGSPAARNMPPDYNDLLGSMDTAMLSQLHALSLKQAGDMSAYSSLPDSQQLQHMPTSPMVGGANSSFNLDHSMAKAIMTSRASAFAKRSQSFIDRGARAPATRSLMSMGEPAMLTDWGSPSGNLDWGSPSGKLDWGVQGDELHKFRKSASFGFRGQSPMPVNSPATQAEPDVSWVNSLVKDGHAGDHFSQWLEQEQMVA >cds.KYUSt_contig_1467.315 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1830383:1831438:-1 gene:KYUSg_contig_1467.315 transcript:KYUSt_contig_1467.315 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTIPLLTPYKMGQFDLSHRVVLAPLTRQRAYGSVPQPHAAVYYAQRASPGGFLISEGTRIAAGSPAAAAWQEQESSSSFKDVPGIWAREHVEAWRPVVDAVHAKGAFFFCQLWHVAADVVQQRQQQQVSPQMSFDGRREELSSPRRVAAVDAPHVADGFRRAARNAIDAGFDGVEILGANGYFVDNDGQGVNGLESRCRFAMEVVDAVAREVGGHRVGVRLDQFNATADEHALALHVVSRLSDRGVLYCHMIEPRVDGRRRVSRRLLPFREAFGGTFIASGGYGRDEGDAAVGEGYADLVAYGRLFLANPDLPRRFELGAPLNECDAATFYGAGAADPAVGYTDYPFLD >cds.KYUSt_chr3.12771 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76600417:76603253:-1 gene:KYUSg_chr3.12771 transcript:KYUSt_chr3.12771 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSSARSLTQFTHSSGPPPPHPRKPKQEQTGESKFMANLLQLPDLAAARPPASGVARGRRARVVAAAAPGGRVKQQEAGTGSRGRVIGVADPVRDGRLPVPLLPPPPLFSVPVTPASGSPAATKRRDDDEEERRRYYLNLGYAIRTLREDIPDVFTKEPCFDIYRDDIVFRNPFNKFEGIDNYRSLFWGLRFTGRIFFKALWVDIVSIWQPADNVIMIRWIAHGIPRVPWDGHARFDGASVYKLDRNGKIYEHKVHNIATNPPTKVKGMSVQELIRAVTCPSTPKPTYFEASSQSLSMAPFCSRLASIRHHVSLSNLGEG >cds.KYUSt_chr7.11517 pep primary_assembly:MPB_Lper_Kyuss_1697:7:70740562:70746322:1 gene:KYUSg_chr7.11517 transcript:KYUSt_chr7.11517 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGIMADDKLGDPAMAIALLDWPSSWICGKEGASGLNLASPCVQRSLIDCVNVLLLVAYVSALLVACVRGQWDGRGRSGAGRRRWEVAVVSVCCVAVAAAYAVAGFTEATAAAASVVRGLVWLAVAASLHVHPTRPARTVAVLWWTLFSLLITAYNAEMLAMGYGLDVTEALAWAVNLFLLLSAIATLLRRSHARQDDDDGLSEPLIDKAGPAHDSELYRAGLFGQLAFSWLNPLLRLGRSKALDLADIPLIAADDCAQQASRKFSEAWSRHRQDKSQSGRANRLALVLFKCFLREIMIAGFYAFMRTLAIAVSPALLFAFVRYSSQEQRDHGVGLSLVGCLLLIKLVESLSQRHWFFDSRRTGMRIRSALMAVIFQKQLKLSSQGRKNHSTGEIVNYIAVDAYRLGDALSWLHMAWSSPLQLAFAVGTLFWALRLGALPGLVPLVIFGFLNVPFAKLLQGYQAKFMVAQDERLRSTSEVLNSMKIIKLQSWEDKFRTMVESLRDAEFRWLKETQMKKAYGAVMYWMSPTVVSAVMYTATAILGSAPLNASTLFTVLATLRVMAEPVRMLPEILTMMIQYKVSLDRIEKFLVEDEITGAVERASSDNNSDIRVHVQDGNFSWNANAADLALRNVNLTIRQGEKVAVCGAVGSGKSSLLYALLREIPRTSGSVEVFGSLAYVSQNSWIQSGTVRDNILFGKPFNKELYEKAIKSCALDKDIENFNHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAVLFYDCVMTALSKKTVILVTHQVEFLTETNRILVMEGGQVKQQGKYSELLESGTAFEKLVSAHQSSITALDTAASQQNQVQEQVLDESIMPSALLSTRQTSDIEVSTKGPSVVQLTEEEEKGIGNLGWKPYIDYIEVSKGILPFCGMCIAQVLFTGFQIMSTYWLAVAIQINVSSALLVGAYSGLSIFSCCFAYLRSLFAATLGLKASKAFFTGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVATGGIEVVTTVLVISTVTWQVLIVAVPVAITMVYVQRYYVASARELVRINGTTKAPVMNYAAESILGVVTIRAFAATDMFIRNNLQLIDNDATMFFHTVAAQEWVLIRVEALQSLTILTSSMFLILIPPGLISPGFAGLCLSYALTLTSAQVFLTRFYSYLENYIISVERIKQYMHLPSEPPAVIPDNRPPISWPQEGRIDLQDLKIKYRPNTPLVLKGISCTFPAGKRIGVVGRTGSGKSTLISSLFRLVDPVGGRILIDNLDICSVGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDDEIWEALEKCQLKRSISSTAALLDTVVSDDGDNWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQSVIRQQFTSCTVITIAHRVPTVTDSDRVMVLSYGKLLEYDTPTKLLEDQESAFAKLVAEYWANCKRNST >cds.KYUSt_chr1.35739 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217796286:217800086:1 gene:KYUSg_chr1.35739 transcript:KYUSt_chr1.35739 gene_biotype:protein_coding transcript_biotype:protein_coding MGARYPLAFLILLLLHGANAALDPPAGEWLTLNGRRPRVIARGGFSGLFPDSSAIAFSYAVAYSVPDVVLFCDLQFSSDGVGFCISNLKLDNSTEIARMKDFASRGSTYQVNGQDVQGWFSVDFKSEELHQIGYLVEPTTKITYGELLKDLQAIKAFASGILVPKQYIWPMNKNGYLEPSTSLVKDAHALGLEVYASGFANDDACMSHNYSYDPNAEYLNFIDNSDFSVDGFLTDYPPTASGAIACLAHTKGNALASIGNETTDGSRPLIITHDGASGVFPGSTDLAYQQAVKDGADIIDCWVRMSKDGVAFCLGSSDLNGSTTAATTFLGKMTNVDEIQNKSGIFSFDLSWNEIQTLKPNLIGPFSESAMDRNPAAKNAGKFMTLAAFLDYAKASNISGILIGIEGAAYLATRGLDVVGAVSTALTKFGYDKETKQVVLIQSEDPPVLSAFKKFPKFKRVYEIEFDITDISKPSVVEISEMANAVKLRRSSAVQVDGFYLTGFTHALVDRLHAAKIEVYVGVLKNEFMSLAFDYWADPMKEIATDTWAVPADGLITDFPATAAAYFRSPCSDMEQNMSYYTISPAEVGTLVRMASYGLPPAPPPAPVLEPEDVHHQPLPLCPKEPMFRTFRCRMPPKGEYTMATDG >cds.KYUSt_chr2.46529 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290835411:290836814:1 gene:KYUSg_chr2.46529 transcript:KYUSt_chr2.46529 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESVAVVAVPFPAQGHLNTLLHLSLRLAACGLPVHYAAPAPHVRQARARVHGWDPRAVCSIRFHDLDLPAYEHPPPDPTVPTSFPSHMMPLLDAFVAHARAPLAGLLERVSASHRRVVVLYDRLSSFAAAEAARLPNCEAFCMQCVAVSYNVGIKDAGHRLLRDHGMVFHPVDVCMSEEFIEYIVRTWEDCRGAAVGGMVLNTCRALEGEFIDEEAKSPEYTGQRIFAVGPLNPLLDASAKTPGQARHECLDWLDRQPPASVLYVSFGTTSSLLAEQAAEIGAALRDSKQRFIWVLRDADHGVTDVVQEEEGAEAESPHATMLSEFTTQTEGTGVVITGWAPQLEILAHDATAAFMSHCGWNSTMESLSYGKPILAWPMHSDQPWDAELICKYLKAGLLVRPLEKRSAVVPSEAIQEVIEKAMVSEEGLQMRKRAEKLGESICASVADGGSSHKDLEEFIAHITR >cds.KYUSt_chr7.21587 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133851577:133855456:1 gene:KYUSg_chr7.21587 transcript:KYUSt_chr7.21587 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQLQAQARFVGVAAGNAGVELELEDHQSRFVNRVRRRMEATAEELGAVAKVFDVPKLLRATRPEAYAPQHFAIGPYHYQRPELRDMERYKLAAAKRAEKLFAGDRKFDDLVQKFAGMQEMIRAPYHRFLELNEQTLAWMMAIDTCFLLDFLESYHVEEATDMVSSATNWINVMVRDAMMLENQIPLFLFAGALQLRQTSEDAAADAMRAVLDRFIREVCPIKTTALALAGNVAKHAHLLELLYHFLIPASAVFAEDAAELPPLVPEELLSMDALEQQVPDYDNVKQACMQVSSLDVAPVRFLKKNLISKPMSLASSLPGRIMRKVPLLSTLTPMLGKLMSSTDMEARLKGVNMGSIINSPLVQEIMIPSVTQLAGCGVRFAPAPEGMAGIAFDATTATLTLPVIRLDGNTEVILRNLVAYETAAVRGPLVMARYTELMNGIIDTAKDVKILRECGIIFNGMKSDKEAADMWNGMCRAVRPSKVPLMDGVIREVNTHRNRRAAVKARRLLKRYVFRSWRILTLLAAVVLLLMTALQTFCSVYDCKRWFGGILELPKLPATGGGGQ >cds.KYUSt_chr7.29408 pep primary_assembly:MPB_Lper_Kyuss_1697:7:183045162:183046217:-1 gene:KYUSg_chr7.29408 transcript:KYUSt_chr7.29408 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWARAIGAVKKRQDENAAAAEPTLQSVALVVGSTGIVGTSLLDILPLQDTPGGPWKVYALSRRPLPPWSPPSSPAVTNLHLDLADSAAVADALEPLKDITHVFYAAWSSHPTEDENRRANSAMLRNVLSVVVPNCPALVHVCLQTGCCPARLLACPSHTAGHRRRTTRRWQRWSSSLFPFATDQRYHRICSVYWLFIVGGDANSVHTGDYSWAAFGGLLHLGLDRRDPVALGSFCENQLGEKKVYDLLVQSMDQVMTCPRSLISSFSHRLVDVLRKPAMPPPALGDEAARRSGLLISLFTIHTLMAAYIAHQKDCRLVDFVCGIILVKHNAHNLLLSPRFLLMFLGSL >cds.KYUSt_chr4.42664 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264143124:264147470:1 gene:KYUSg_chr4.42664 transcript:KYUSt_chr4.42664 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPIVNATTVVLARVSAAFNAPLARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEVDELCDEWEPEPLCPPIKEGARIEVPILESAAGPHTTIDGKEVVNFASANYLGLIGNEKITDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIANFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLYLSRSTVVYFKHNDMASLASTLEKLTRRNKRTENIRRYIVVESIYQNSGQIAPLDEIIKLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSARVVDHQRLSSAGYVFSASLPPYLATAAVSAVNYLEENHSVLANLRSKVALLHKGLSGTPGLEISSHVLSPIVFLKLKKSTGSLTTDLDLLETIAAQALSEDSVYVVASKRSTLDRCKLPVGIRLFVSAGHTESDISKSLVEIGIFAGPFGLLGECPKFSNPSFLAV >cds.KYUSt_chr5.43446 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273731240:273731806:1 gene:KYUSg_chr5.43446 transcript:KYUSt_chr5.43446 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFEEKKRSSSAMEKKQPSSATEKKWPAVPRKKLAVRGEEWSAVEKRRPAAAEEKKPAVQGEKRAAVRHGEETAVVRHREEMAGRGRGEVVVVASLHRSLGSRLQLGRSLDTGVSCPPPFLGTMRSSESIAFDSDWFGRFPHLQTVSTRLMIYCPRFETKNQRNPEKTINLVRGGLDSWFSWFHTKL >cds.KYUSt_chr7.33535 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209197235:209197951:1 gene:KYUSg_chr7.33535 transcript:KYUSt_chr7.33535 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSVATDQSESRRLGLQTLISGDVDDALGSRYVATRTIAASRRAVCLGGERDPEPFSGEEEEEGGGGDVEEGGCWVMYGWRRRLRRLPPLIPSLRRAGSSPWALTRKHTADGRVVITREPAPQRGRVVARRLDGRLILDLVDSSPMPPPPRQLRPSTSIAQETDVPAGEAAEEKKTAICADIARARGRDVPAAVRAALSSPVGSASASPVPAVACFEAVIRMSPLRKMPVSLPRMVH >cds.KYUSt_chr3.39818 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250959140:250959394:-1 gene:KYUSg_chr3.39818 transcript:KYUSt_chr3.39818 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCAALERIERMTVHLQPAGTKRKLPEPRVSPFGSLRTARLREERAERFQAFIKAKLDNLRKLDDDEDFSDLDDDDEHEMEQD >cds.KYUSt_chr7.38678 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240755295:240756334:-1 gene:KYUSg_chr7.38678 transcript:KYUSt_chr7.38678 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRTTGLGLELGLGLGLASQGSLTSSTTTASSSPASHWSAALSSVVGAATGRDSYHRAQQEYTGNHQQLQMQQEPGRTSTSPESGVSGTKRALERTGSGVSRGAAASDEDDDGGDGAGGRKKLRLSKDQAAVLEECFKTHSTLNPKQKTALANRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYMKRWCEQLAEQNKRLEKEVAELRALKAAPSPAQHQASPAATLTMCPSCRRVASTTAGQATPQQHPNSHHQQQCHPKQSNPQAAAGNVLPSHCQFFPSTASSAADRSTRQGTWNGAAQPLVTRELF >cds.KYUSt_chr6.19263 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121181812:121182291:1 gene:KYUSg_chr6.19263 transcript:KYUSt_chr6.19263 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVFGEDGFLLVMGPWIWYGVAKKRAKIAPAMSVVGAIAGNDAGQSVVQASTKMARGETAKKADRVMADPPSMALIQAAGNSSGKNSRVDRLDNDDYMDQALSHRLCRCSLTNCNSSF >cds.KYUSt_chr2.42588 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265278123:265289834:-1 gene:KYUSg_chr2.42588 transcript:KYUSt_chr2.42588 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALDAAARRGLAPAEVTALVDTCVDLTRDANFRVAQGGLQALAAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEIASPTIILERAGNYAWAHKSWRVREEFVLTVATAVGLFASTELLMQRVLLSPVLQLMNDSNQSVREAAISCIEEMYKNMGSQFHEELQRHNLPPYMLKEINSRLNRIEPKVPPSDGAATQYKVAESRSLSVNPKRGSPKTKSTTRESTLFGGDSDITEKPVEAIRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALIYGGAIDYPSFLVLLKQMVPPLSTQLADRRSTIVKQACHLLNVLSKELLGDFEPCAEQFIPMLFKLVVITVLVIAESADTCIKTILRNCKVARVLPRVADTAKNDRSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARTCYRLFTKTWPERSRRLFMLFDPAIQRTINDEDGVHKRYASPSLRERVVQPSRASSHAGGTHMPGYATSAIVAMDKSAAISSDSSLSSNNLRLSHSKTTSRISERSLESVLNSSKEKVSAIESLLKGASISDRQNLSVARSTSLDLGVDPPSSRDPPVPLAAPASNHLSLQNSTFLDSTIPSVARNGGSRLLDTMTTQLATRERSRSPYLSNLPSESMTGLSLPYVRRSTERLQDFGRMDESNDTRSTRRYPQMHTEKNYVDMPYRDAPAHRDSHNSNVPNFQRPLLRKQVISRASGSGRHSFDDSQAPSSDVSRYTDTLVSLHDALSEGLNPSSDWIAKVSAFDFIRNIVQQGLKGVQEISQNFEKVMKLFFRHMDDPHHKVAQAAFSALAEIIPACKKPFESYVERILPHVFSRLIDPKELVKKPCSLTLEIVGRIYAVDMLLPALVRSLDEQRSPKAKLAVIEFANKSFGRYTVDSEGYSNSGFLKLWLSKLAPLVNEKNAKLKEASISGIISVYSQFDSTAVLNFILSLSVEEQNLLRRALKQKTPRIEVDLVNYLQSKKERPRPKSYDQADFGTSSEDGYAQTVKNSYPFGRYSSGSPDTEGAKKTNTVQESTLHNVSMGRTTSDMSVDTNQSSDLAAGTEVLLSRSRESKKNTSSVVVDKRSWTNYPEKTDASLDGETPLSTPRLDFTQLRNPDGHNAISLTTVKNVQDGDLVVNLSSIKTSLHADNGLSIPQLLHQISTDSEVSSLEKNTSLQQLIKASLSNDSSIWSKYFNQILTAVLEVLADSDLSTRELAVSLIGEMLNNQKDAMEESIEIVLEKLLHVTKDMVAKISDEANRCINVLLSKYDHFRCLSVVVPLLVSDDEKTLVVSINCLTKLVAHFSQEELMNQLPTFLPALFDAFSNQSPDVRKVGDKMLDQRLRVRLDPHLQIDRQIVHLRHFDDSTGDFHARTFLSRWIGNGFATVSPVRDGKRSDSELAPPATLKRR >cds.KYUSt_chr7.1390 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7627053:7628609:-1 gene:KYUSg_chr7.1390 transcript:KYUSt_chr7.1390 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATPCPSAVPAAPTPSPSLPSVVAAASTPCPSAVAAAMAGGDLVPGAADLPPLVQVLEMLKTPLLQPVAAASMALHLSGVVSPKSWASLVVEEVDSDEEELAPMTPPAAYSSSLASDPAVFVEGLGSLSLSPSPVASGGPAEVPRADDAPKAPSLLWVASLDSDEDDDEEELAPRSPLAGSVHVEQVAAEPCVGLASADALGDDDDWVQVGRGGRPSRGSSPLLQKEGLERSLAFKRWARGRCFRCLERDHQVSSCRAPFRCIRCRRPGHRERFCRARFPAARSCSPDGRARSPGARAPCPRRRSPPAQPRRPSASRSWADVVCHSSSPATTPPATSPPRPSPRRCEEFSGNACFDSHLQCQFALLRLELAQLVANRVEEASRPLREEVASLKLLLARVGVSLEPTEACSSGGQDLAIMQASFPLSSAEQKSSVVEVTPELHELCVDSSMVPELLKLGSDEVVPPSVEEVKRVVPFGEGAAKSEMLATGPGGVVAREVCDFLATLAATYHASAVD >cds.KYUSt_chr6.18582 pep primary_assembly:MPB_Lper_Kyuss_1697:6:116896700:116899001:-1 gene:KYUSg_chr6.18582 transcript:KYUSt_chr6.18582 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGTYDDAGAAAIDLLRTAAPVRPDADHLLTSRTGLVIVDVVNGFCTVGAGNLAPVTPNKQIEKMVEEAGRLAKVFCDRNWPVFAFLDTHYPDKPEPPFPPHCIIGSGEENFVPALEWLENDPNVTIRRKDCIDGYLASFEKDGSNVFGEWVAKFQIQTVLVVGICTDYCVLDFASSTLAARNIGRVPPLQDVVIYSEGCATFDLPVEVAMNIKGALAHPQDLMHHMGLYMAKSRGAKIVDRIILE >cds.KYUSt_chr5.12316 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80352982:80354147:-1 gene:KYUSg_chr5.12316 transcript:KYUSt_chr5.12316 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPHSQPNQEEGQQAAQATTPPQQQQPHKKQVRRRLHTSRPYQERLLNMAEARREIVSALKVHRASMRQAKEQQQLLQLQQQQEALVVQEQGHTSRVAACPPMSYASFSDYLYNSPFAHFTATSSSSYYSSPLPYQTPMVDSFDHLLPLPTQPLGLNLSFQGFDAVAPAGEDDAKNSTASFDPDPLLHHHPSPASSYSVYSSPSVTMASHDMSSSAAAVENASLLAADASLHRVLDEQEMAAIYSIGQQHDVEWSDTVNLVTSAWWSKLLESIEGGGEGTSKTVNAGVEDTSSTATLDWLGGDTLGHQGAQGSNGGSDVLGTMHLSEYYGEDISLPRMDIGEIQGWDAEWFS >cds.KYUSt_chr5.37055 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234495084:234498051:1 gene:KYUSg_chr5.37055 transcript:KYUSt_chr5.37055 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSPPSASPSPDPKAVKEGQLVIRARNLRTSSQFIPAKAFKARKLYYTEGERGLLHVPKEDAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDATKMMQMGGGMGFNPAMSLGAEKDSLDIIQHDWALPKMEHHAEEVLRKLLKK >cds.KYUSt_chr6.13949 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87262140:87262882:1 gene:KYUSg_chr6.13949 transcript:KYUSt_chr6.13949 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFFELEMSVRESELDQYGVVNHVVYPVYIDNAREQLLTGLGISSASVVCAGNAMALSELNLKYRTPLRRGGKFVVGVRVVQIKGARIRFEQFIETLPERELVLEVTATAVCLNKDHRPTRVFPEMSSKLQQFFSS >cds.KYUSt_chr3.24467 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151776514:151778637:1 gene:KYUSg_chr3.24467 transcript:KYUSt_chr3.24467 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPGVQPPVPRPPAAGRQAAGHAVAAPARRLERELRAQGLRGTPYRFPAGDIKDYGRLSREAWSRPLPLRCHDIAPHVAPFLYNTVQEHGKTCFSWFGPVPKVTIADPDLAKDVMSNKFGHFEKMKFPALSKLLAEGLASIEGDKWAKHRRILNPAFQLEKVKRMIPAFSACCEDLISRWAESLSSDGSCELDVWPELQNLTGDVISRTAFSSNYLEGRRIFQLQSEQSKRLMTNIQKILIPGYLSMPTKNNKRMNQINKEIESILRGLVGRRVQEMKEGESTKDDLLGLLLDSNTRHVDEHGESQLGMTIEDVIEECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRAREEIMGLFGKDKPEYEGLNRLKTVTMILYEVLRLYPPAITFSRKTYKEMKIGGVTYPAGVMIELPVMFIHHDPDIWGSDVHEFNPERFANGVSKASKNPGAFLPFGWGPRICIGQNFALIETKMAISMIIQHFEFELAPSYTHVPHTVITMHPMHGAQIKLRVI >cds.KYUSt_chr1.28537 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172380494:172380972:1 gene:KYUSg_chr1.28537 transcript:KYUSt_chr1.28537 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRVVVIPGQSISHLIPMLEFAATCLGRGLAVTVVIPDPTLNAPAFRSTICRYVSRLPSLSVHSLPLPSADPHTVDAAAHPLIRIQAATRSQAPALRDFLRSLPAVHALVADMLDVVYAVDVAAE >cds.KYUSt_chr1.32070 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194509545:194510072:-1 gene:KYUSg_chr1.32070 transcript:KYUSt_chr1.32070 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACMLPCGALDVVRVVHLSGHVDEFTCPLIASDVLAAHPSHALTAAGSAGAARRIVIVSPDSELRRGRIYFLIPTTTAPELKRPKQHGACPAAKTKRRHGHSHCHRKGGGGGSAVAAASSTAEQDNYLRELLSEKRETSHRRRRSSSARAGVWRPRLESIAEEEPSD >cds.KYUSt_chr4.14337 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88386086:88386820:1 gene:KYUSg_chr4.14337 transcript:KYUSt_chr4.14337 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRIFLLDHTMASPASPVVIQMPSHTNGAGTPPTTPKDSGDFAATAPTPSGPTKATVTDKAMSSAANLAQLLPTGTVLAFQALAPSFTNHGKCEETPTNQWLTAALVIVLAVSSLVFSFTDSVVGRDQKLYYGVATPRGFNVFNFSSEEEKQLWDPAEFRRLRIRPLDYMHAVFTALVFLAVAFSDVGLQNCFFPNAGRNTEELLKNLPLGMAFLSSFVFMVFPTKRKGIGYSDTTSPKKVP >cds.KYUSt_chr7.28051 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175172818:175174563:-1 gene:KYUSg_chr7.28051 transcript:KYUSt_chr7.28051 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVDVLIFKLQSKICYHRDANVDYLEIKAMIDKLIEENKKFRDEKVEYLDMINMVIDEKKNLVDGYQVIKDQVASMEKQLVTVKNELAAAKHELVEAKEQLVAEKHEHEAANHENVVAKEELVVGEEKLSQKNEELDVLRKRLQETQAMHTQLLQQKGSAPGPEPVPSRRGFTEIDAASHLGIKEMGKLSEKPFLDACAMKLPPSQSGAKASELYNLWLELLNNPEWKPFKTVTVDGNLQEEVIDVDDDKLQELKMAWGEGPYNSVIGALVERKQYNTDGTERAVDFWNYKEGRKATVEECVEYILDQLKELKQLRRTY >cds.KYUSt_chr3.9774 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57463780:57464331:-1 gene:KYUSg_chr3.9774 transcript:KYUSt_chr3.9774 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAATSFLVARDPPPPTKTAHLGQGHGPALEGWVRWAFPQILCVLLVFTFAWGLRRAGYDLGYDLLPGPTAYLLAVLCFCLWKLEVLRRDPGGDPAAAAREWRKVGLVAWSASLGLGSMVAVHVASAAPGLALRVALWVLAGLAMVLAVYLCFEARRADYRTDDAGRWPEKDLHELSPEQRV >cds.KYUSt_chr4.54345 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335910893:335911243:1 gene:KYUSg_chr4.54345 transcript:KYUSt_chr4.54345 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFYKPAAPPAAYSPRILLAVTEPAAEDSCYYACSTPTGAGISFGGDAATCPPAPRKPRPPPASACRKRLFAAGDVVTLRFDDLEAIFRPAPSPRFDGLTTRASRNRGAILS >cds.KYUSt_chr3.35532 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223116558:223118016:-1 gene:KYUSg_chr3.35532 transcript:KYUSt_chr3.35532 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGDAFVHDAADTLRRWVREAGGCLVVDGAMGTELEAHGADLQDELWSARCLVSAPHLIRKVHLDYLEAGANVITTASYQATLQGFQSRGLSREQSEELLRRSVQIAQEARAIFLEGRSKGPYAARENKDGSTERRPVLVAASVGSYGAYLADGSEYTGDYGKSVTKEALKKFHRRRLQVLADAGPDLIAFETIPNKLEAQAYCELLEENDIRIPAWFSFTSKDGASAASGDPITHCAAVADSCSRVAAVGVNCTAPRLIHGLILAIRKVTSKPVVVYPNTGETYVAETKEWVVSACDSAGGGGASATDFVSCVGKWRQAGASVVGGCCRTSPATVNAIARALRDAGGTADVDEYDDFPAVAVL >cds.KYUSt_chr4.20888 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131418731:131421641:-1 gene:KYUSg_chr4.20888 transcript:KYUSt_chr4.20888 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCGRAVGFCLRAYVLPDFAPSVQCRREASAMTTMLVFNFVVSCRPPSGPARCYRQIKNKPYPKSRYCRGVPDPKIKIFDVGMKKKGVDEFPSCVHLVSWEKENVSSEALEAARIACNKYMTKHAGKEAFHLRVRVHPYHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKESNVVHAEESLRRAKFKFPGRQKIIRSRKWGFTKYNTEDYIKYKSEGRIVPDGITAKLLGVHGPIAKRAPGQGILAC >cds.KYUSt_chr1.34518 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210118059:210119857:-1 gene:KYUSg_chr1.34518 transcript:KYUSt_chr1.34518 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAFPRGGNLLVSPLWLPLPVYVPYAQLPDLQRRKRAQIASPLLGLVQVARGARRKIRLVPFCRIVTSLVFSTGVKCLSPACSALRKFIETHGGSGASCKATLAIAGLGWPSRSSSYLVLPIFNMDDMDKARSLSNSALVNRRSP >cds.KYUSt_chr4.681 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3543436:3547368:1 gene:KYUSg_chr4.681 transcript:KYUSt_chr4.681 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSNNDINVLQCSLIFSKLVEGHALPVGFVINGRHYNKGYYLADGIYPKWATIVKTISSPVLPKEVEFVKEQEGCRKDVEHAFGVLQQRFAVVRFPALTWSKNQMWEVQQQQDGDDVVDGNVLQYCSIDKKQKKTIGEMEQEFLRALQSFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSADEQRLLEASMAYIAGNPIMSDAEFDELKLRLKQDGSDIVTEGPRCSLRSRKVYSDLTVDYLKMFLLNAPATVVALGLFFFIDELTGFEVNIFQFPEPFGFIFTWFAALPLILVTAQVVTKAIINDVLIVKGPCPNCGTENLSFFGTILSIASGGATNTVKCANCKTVMVYDSKTRLITLPDS >cds.KYUSt_contig_2008.106 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:698093:710252:-1 gene:KYUSg_contig_2008.106 transcript:KYUSt_contig_2008.106 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSRLENVAFGLWRLTHLIVRLRDLVIVVLLRGDFPVIFPQLLRLLSGKGGDGSNIAVNQTQIATAVTVSSGDDGGDDGGDDDDGDGDDVQLDDGDDGVDFPLPREFPSGFPPTESSFLSGVLRPAEAVSNSSRGVMYESEAEELKFVLVSGLLLGFHVYMKEGLLVKNTHALDLADAEEDRGDALEARTYGDGRCRKERVDIAGEDDVRQMIKLAGEDDVKEMVKLGAEDDVKKMVKLGEDDVKELVNLGKDVVALDQPRSLGEIPEIHLPRLHWVNKAPEAELPHLGAENSELRQKRPGESHAQAQHIRSHDLSSFDVRFQREVVEEDKLPASPPFKRMKELKTEVNIS >cds.KYUSt_chr6.29464 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186751115:186753677:-1 gene:KYUSg_chr6.29464 transcript:KYUSt_chr6.29464 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMIAEQASKGGAEEAAVAISPVDAAAPAGQAVPGSAQSDGDGSGSAATPPPQPSGNYAAVVIGGTFDRLHRGHHLFLQAAAELARERIVIGVCDGPMLAKKKYAYLIQPIEMRMENVKGYIKSIKPDLEVHVEPIIDPYGPSIVDEALEAILVSKETLPGGHAVNRKRAERGLTQLKIEVVELVPETSTGNKISSTAFRKMEADKELEEQKLNQQEQQQTVQLECKTVLS >cds.KYUSt_chr7.36791 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229857336:229862157:-1 gene:KYUSg_chr7.36791 transcript:KYUSt_chr7.36791 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEWEAAARKVLVARKPGFGLPTACPACLPAVLYLRMAQVPFDIHVDTSFPDAEHIPYVEFGECVAFNNEKGGVIEYLKEEKIVDLTSKLPSDSYSDLTSTKAMVSTWLADALQYELWVVTDRSIAQDIYYSDLSWPIGKILHWKKTRDVKQLLGITKLNAAEREEEIYRNANAAYDALSMRLGDQAFLFDNSPTDVDALFLGHALFVLNALPGTSTLRSYLQNYDNLVNCAERLKVQLMKTDSSAAGSVSGSGSSDPSSSSTSRKGASSGQSYKPKPKAKKERTEEEKKFRRRTKYFLATQLVAVLVFLSIMGGADNPELDDEYDVEYED >cds.KYUSt_chr7.4739 pep primary_assembly:MPB_Lper_Kyuss_1697:7:28690248:28690574:-1 gene:KYUSg_chr7.4739 transcript:KYUSt_chr7.4739 gene_biotype:protein_coding transcript_biotype:protein_coding MKPATVAISAMLLVLLLVAAAPPHQVSAQPHTSNGIVDIVKAVIRNNDAGRVAPADCPVKPEEFTSCLQAALDPKGSLDTQKCCGCVRDDVIYVLPDKEQFFSACPSY >cds.KYUSt_chr1.29073 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175889998:175890633:-1 gene:KYUSg_chr1.29073 transcript:KYUSt_chr1.29073 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGPVAVGAAGGAVMEVRAVARAGIILGLAMAAIALVAATINPSDFHAQIIKHAAPAPAPASGAQQCAATEAQVLGLRGLALDLVLIGVVQAVFALAADVAVAGSRRNLGGCLAVIAHFIGFINAWFLWDVVKGAAVVAVGHCAGEHLAYLVICFVLIAVSYAVLLGVSLAVTCCS >cds.KYUSt_chr5.38544 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243859330:243862260:1 gene:KYUSg_chr5.38544 transcript:KYUSt_chr5.38544 gene_biotype:protein_coding transcript_biotype:protein_coding MMADSKGPQELIATAIFRSPSSFAHPPWMSSEDPEIYDLVAAPAQPNQMKKKEEEGLIVGDAAPPPLRLPPPLPPERNNLEEEGENGLDLALEIQPPTPILHKENSNWLFGISLIG >cds.KYUSt_chr4.14425 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88956623:88957366:-1 gene:KYUSg_chr4.14425 transcript:KYUSt_chr4.14425 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGVCLVSSRASPLTLIFGRVEHPWLQGAKTAPNVLLGDVVRARLKQFAVMNRFKKKAMRVIAEHLSAEEVEVIKEMFALMDTERKGRVTLPELKAGLAKVGSKLAEPEMELLMEAADVDGDGYLDYAEFVAITIHLQRLSNDQHLRTAFLFFDRDSSGYIERGELADALADDSGRADDAVLDHVMKEVDTDKDGRVSFEEFVAMMKSGTDWRKASRQYSRQRFKTLSNSLMKDGSLSMALADDDR >cds.KYUSt_chr2.38817 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240641607:240643850:-1 gene:KYUSg_chr2.38817 transcript:KYUSt_chr2.38817 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLDLHTLLRLRLPPRHPLHRLLHSHTPNDRPPPPQHPPPHDPELWIAKALASAAFLRPHCLPAFRRLAPSQFAAAAALRHAPCASSALQLFSSLHSFPLAIPPSAHSYRYVISLMCQSGRQVDALQLFDRMTDQSGYLPNARFLSFLAGSCASAGLLDAAAALLSKASQFGCSIEAYAYNKLMGSFIGCGRVQDAVALFEGWIQGGAYSPDVWSFNVVIKGVCQVGDVQKALELVERMHQFGCFPDTVTHNILVNGLCRVKEVGKGREVLRRLQRDGVCPPNVVTYTSVISGYCKAGRIEDAVAVYNDMVACGTAPNVVTYNVLINGYGKAGNMGSAVAVYQQMILRRCPPDVVTFSSLIDGYCRCSQLDDAMKIWTEMAQCHIQPNAHTFSIIIHTFCKQNRAAEALRFLKELNMRTDIAPQAFIYNPVIDVLCKAGKVDEANTVLMEMEGKGCRPDKTFSRKELNCNCKDLGFTKATELSRD >cds.KYUSt_chr2.39495 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244934896:244935393:-1 gene:KYUSg_chr2.39495 transcript:KYUSt_chr2.39495 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAGGAAAMFDGACYKEKVELLQSPATGPTCRCRRCSDCWPVATAATCRWRALMRRLMARATRGWQSCCNLWPEVLHAAGGTAARVDHRRYKGRQRCYDFGPAVLYAARSAAASGAARQVAGDGCGAERMASEDRAMCGGGLRCGQGGVRSRLEMGANGAGCR >cds.KYUSt_chr7.825 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4458599:4459857:1 gene:KYUSg_chr7.825 transcript:KYUSt_chr7.825 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTQSTNQALLDAQLNLLDNTFGYIKSMALKAALDLRIADAIEHHGGAATLPQIVERVMLHPSKIPSLRRLMCVLTVSGVFGVQPTDGSSELLYALTPTSRLLVGPRNLVSITAMSLSPHFVASYLELGTWFQQELPEPCIFKLAHGEPLWKLAEHDATFDALINDGMVSDTSFIMDIAIKESGEVFQGITSLIDVAGGLGAAAQAMSKAFPHVEYTVLDLDHVIAKAPTGTNVKYIAGDMFESIPPANAVFLKWILHDWGHDDCVKILRNCKKAIPPRDAGGKVIIMDIVIGAGQSNVKHREVQALFDVYMMIINGIERDELEWKMMFSEAGFTDYKIIPVLGVRSIIEVYP >cds.KYUSt_chr4.48685 pep primary_assembly:MPB_Lper_Kyuss_1697:4:301503561:301504247:1 gene:KYUSg_chr4.48685 transcript:KYUSt_chr4.48685 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRWSTAFDLLRQISDVLGVLLPLLLLRWSEPWAERCNTSSSSNKAFLGPLLLQRSYRADGSLGHGGFERCSSKGASWTSVSHSAGLVGEGLGRQCSSALFLAKMLARRRGSVKNSSEGQWLLACRGGEEEAAAQPDLFVFNQLQLLLRFFFKELNHVDDLLASTIFARHGGIHPTYCRPLFLVAGARRVDSTKWFVPGGFQVSSGDGVTVELGVRAFASCSSAATP >cds.KYUSt_chr6.9252 pep primary_assembly:MPB_Lper_Kyuss_1697:6:57045636:57046442:-1 gene:KYUSg_chr6.9252 transcript:KYUSt_chr6.9252 gene_biotype:protein_coding transcript_biotype:protein_coding MAARITATFLPILSLLLLLADTGAAEDDKAFVYAGCSQGRYDAGTQYESGVDSVLTSLANSAPYAPYANITSPSAAAPVAGLYQCRSDLPAAVCNGCVRSAISRLSSLCSWSTGGAVQLHDCFVRYGNDSFVGKPNTAVLFKKCGGSPGDAGGVAMRDSALGALAAAAAPAGGGYRAGGSGGVQAMSQCVGDLDAKACSDCVSAAASQLKAGCGSATTGEVYLGKCYARFWSNGGGFASSNAVHGERLFLAVAGGFFASVVYFSLVLA >cds.KYUSt_chr2.36717 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226750268:226751810:-1 gene:KYUSg_chr2.36717 transcript:KYUSt_chr2.36717 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKKIPARIAFFLLVGTLLVSVAAGAEYVRPPPGRVILTENSQPPSHPQQVHVSLVGANHMRVSWVTDAKHGHSVVDYGRASGNYSSSATGEHTSYRYFLYSSGKIHHVTIGPLDPDTVYFYRCGMVGDEFALKTPPAALPIELALAGDLGQTEWTSSTLAHVSNTDYDVLLVPGDLAYADTQQPLWDTFGRFVQRHASRRPWMVTEGNHEVEAGMALPGSPSPFIAYTSRWRMPHEESGSPSALYYSFDAAGGAVHVVMLGSYAGFNSTSEQYGWLARDLARVDRRATPWLVVLVHAPWYNTNAAHAGEGEAMRKAMEKLLYEARVDVVFSGHVHAYERFTRAYNNEANPCGPVYITIGDGGNREGLAFDFQKNHKLARLSLMREASFGHGRLSVVNATTARWAWHRNDDADSTIRDELWIESLAANAACRQRQANPDDDSWSDEL >cds.KYUSt_contig_319.96 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:776252:777018:-1 gene:KYUSg_contig_319.96 transcript:KYUSt_contig_319.96 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLLALAAAALVVVLSLFSPAPVSGQAVATSCTASLITSFTPCLGYITNSTSGGGSSPTADCCRSLAGVVNVSTSCACLILTGNVPLGLPINRTLAVTLPKACNSMSVPLQCKDTSAQLPAPGPVGVSPAMPPLPPMTPELPAPTPEPTVTMPPSSPSQGQTRPQVAPSSAWRAGSGVPVLFAVVGAMLV >cds.KYUSt_chr7.10460 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64171267:64174588:1 gene:KYUSg_chr7.10460 transcript:KYUSt_chr7.10460 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLSSCSMIDPSSCVPPEEQAAADVGYAFTPTNGHIQPQAAPGGIWFREHQTSATTPRRLSLECWLAGLRAQQPAPKSHLSRRVIITRKPRSPSLTRSWAEVVGRSSLSVVVPPRSPSRCCKDFNTNISLDSALHSQFALLHTELVQLVNARVEDVARPLRDEMTKLKLLLVRVTESVEHADLFASCESSRHESSEQESPVVVDDDVVAAVASKAGDEAIDDTAHVETDMVGEECFFGCFSPRASPSPQPDVPRL >cds.KYUSt_chr2.5582 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34541243:34542786:-1 gene:KYUSg_chr2.5582 transcript:KYUSt_chr2.5582 gene_biotype:protein_coding transcript_biotype:protein_coding MLADRTIGLTDKKSEEIETNLIDKVDRILENHYRNGVKVKTLDLDLFGYNNINASYLDRWLHISVKSGIEVLNLIMYPFMDDSYYSFPSSVLSDTAAASSIQSIFLMYCAFRPTSTLGCLKRLKSLDLSRVRINDEGLGHLLSKCFALERLVVNGCTGIIFLRIPCTLQQLKLLHITTCETMQNVDTPMLSSKLINLKKLEIGLCASVQAVSPSYDVFSLLSFLDASPALDSFLLCVEQDALTHDPVVGDDEKYPRRKPKCWHNSLRQVTITGFCSAKSLVDLTVHILEGTSSLERLTLDTVPSYGRYIAGRVPSGVEFQVLEPCGRCHSGNP >cds.KYUSt_chr7.25134 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156805999:156806277:-1 gene:KYUSg_chr7.25134 transcript:KYUSt_chr7.25134 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHRTALDYFLAVLLIVAAVVALRLLISGVVRCLKDACGSAAHHHHHHSPATTDEDVDVWAATELGNHLHADRQSRQERRTKFRSTSQGPS >cds.KYUSt_chr6.4062 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23524123:23527271:-1 gene:KYUSg_chr6.4062 transcript:KYUSt_chr6.4062 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKIRWGEVEEDDGGDLDFLLPPRVVTGPDENGLKKTVEYRFDDDGNKVKVTTTTRVRKLASTRLSKAAVERRNWSKFGDAASGDDASARLTVVSTEEIFLERPRAPGSKADEPSISADPLATKGAVLMVCRTCGKKGDHWTSKCPYKDLAQATDTVDRPTSSDGPQALPTNKGSYVPPRLRSDAPQGNGSEMRRRNDENSVRVTNLSEDTREADVHELFRTFGQVSRVYVALDQRTGSSRGFGFVNFVHREDAEKAISKLNGYGYDNLILHVEWATPRPS >cds.KYUSt_chr2.260 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1561370:1561717:1 gene:KYUSg_chr2.260 transcript:KYUSt_chr2.260 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDAADTTGTAAAGALPKIVVTEDGRRMRLVDEETLSYFRSRPLRPPLTRNYSAELMRRMGLDDVQVDTMLQASQNELNTHNIHADVLRQYSARGYAYLEIPQLYPDDNMDERN >cds.KYUSt_contig_2701.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000455.1:26747:27061:-1 gene:KYUSg_contig_2701.4 transcript:KYUSt_contig_2701.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLETKNPAQEQASHMSLDDVARMLRLDQTRPEEAPPTTEKIAADAAKPDEFAAMKAQPDVKLVRSTSSQEGFVPPARLRIYISSARYTSSLPKFAGYRFPCY >cds.KYUSt_chr5.42223 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266044261:266046626:-1 gene:KYUSg_chr5.42223 transcript:KYUSt_chr5.42223 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSQTGAFSPSQFTPSSTTPLTVKQIAAAQLTGPDEDGVPFVVDGVDTSKIMVVGMVSGKAERATDVTFTLDDGTGRIDFIRWVNDAADSAETAAIQNGMYVSVIGTLKGLQDKKRATAFCVSESDHGVHIDEIAKQLGLPVSKIVDAISFHVDYGHIFSTIDDFHYKSAYID >cds.KYUSt_chr3.30956 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194231679:194233295:-1 gene:KYUSg_chr3.30956 transcript:KYUSt_chr3.30956 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATRPSVASRLVRTKRPRRAAQIDISAVGLEAAARPSAASRVVRTKRPGIAAQIDISAVGLEAAAGAGSHIRWAKHPRRRRTAHIPSRRAKKTTWGPLRPSSINHHRPNLGLKQQARLCAVSRDQVPSPDYNNDNWYVQSEALPLLYNSDDCDSGLICQPRRRDWANLDDGPSALIAERVLAYDVADYVRFRAVCRPWRQCSPEPRTHSGLDRQFHPWRWTMLREELAAPERRSFLNTSTGECVQIYIPELHDHELLALTPEGLLVLLHDRKHIRLLNPLTRHLTELPPITTLVPPQDHRCLLEQNPHFNDYFAAWGSGVAYYDSAFVLCFGRLCMLGIAKPGDDNWTLLQYECNGITSAPLMFAGRFYCVTRNGVMVLEPDPDMPRLKVAAKLKNMHVSPIADSVHIVNNCGELMLVHRRRGPLTPGNESGRRYDTYRVDLDTGTLLPVKSLGSEGRAIFMGMYCSLSLSPEVFPSGSISADSIYLSFDFSERDMLQVGAYHLGDGSIELPGSSVPRPHTLVDCLSLSNTVVDDS >cds.KYUSt_chr2.52701 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328776958:328783035:-1 gene:KYUSg_chr2.52701 transcript:KYUSt_chr2.52701 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFGARRRRSPEDNGEDSDGSGSEPGRAKRRRLEPEGASPGGWLSTFVSGARRVLSTVLFSSSEEQVSEDEEEEEEDSSEPSQDGNEDIHNGNGAAARYSESKLAIEKMVMKESFSRDECDKMVKLLQSRVTDSTFPETYENGTPNEIPRRKSGAGHDFTGAWRSLNHNRDFPESGSFSSIEPGYFSPGSPLQASPELCNAAVMEAKKWLEEKRQGLGTIAENHGPCTLNTEMFKSPVEDDKGSPVDVAKSYMQSLPPWQSPLLGIRKFKTPPSGGVHINVYERKSKLSSPAKFNTEEDFFSSANFRENLEELRRDRMKSSETILESSKSRLRGSTSSLFDNDLSLFSPGTREEIRPVQSCKGSDKAAAAEPANECSLPVAPTKDGNDVSYDSAYDVPQGNHMPLTSAAKDVAERSGDINSVPAEPEIHEESLMNSTSESRPKDAAPQTEISLRALKKKVQTSLSGSTNRTSANGLLDRSDGNSGVDSSRNDNPGCTNSSSAVLPDINELINSTTDADGNCVDKNGTIKDSEKPVDGNSVENGAENHSEEPVKVAPKPLRRGRKRAARRN >cds.KYUSt_chr2.20212 pep primary_assembly:MPB_Lper_Kyuss_1697:2:127031210:127031941:1 gene:KYUSg_chr2.20212 transcript:KYUSt_chr2.20212 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDVTGLSYTHDEEEMLQQMLRNLVGYSFIHDEAEKEERSAVLKDVQEKMARTKELLQKLPTKGLEEDSNRKTEPAADPNSTTIQGDHDDEIEAYISYRKSWESHCCGDDCDHFDQRTTLSSMQYAHRMPQMVQLSYHYRNGSLRRRPWRTPTAKCQGRRRTSGPWQRRMNTLGVDRATPTPTLGVRKAVGVAQACAPVPLRP >cds.KYUSt_chr1.41239 pep primary_assembly:MPB_Lper_Kyuss_1697:1:253001423:253002199:1 gene:KYUSg_chr1.41239 transcript:KYUSt_chr1.41239 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAATGAALRLHLLFRMLRVGELLALAALISWSSSRAPSAAAAAVRVAGSLLFSPRFVFVLGNAIVLLLLALSRRERDSSPLSSATGAASAGVAVDQVPAVPAVDSFPSYAAPTMPTPEREAPVVVVAAPAPEVVPCREMTTVYEEEVKPAAAARVVLSKARAPRRSRSEKMSPRGVGSRRAASPEQQLMPLMRRSESDNGRRRRSSASARDVAAAWAPGTDDAAEFQRKVEAFIAKQTRFRREEECMAGVLVAVE >cds.KYUSt_contig_2528.27 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000367.1:218955:224144:1 gene:KYUSg_contig_2528.27 transcript:KYUSt_contig_2528.27 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAAAGALRPTAALLFRSHPQRLLPTLRRSSLPLARRRRHSYSSTSTALDDPPTTTPATNTPTSPPAARGGGVKAARRRARESPEGILKRQLDMCSRDADLPTALALYDAALDPATAVPLSIYHYNCLLYLCSNAAAGESADAAKRGFEIFARMEAQGVEPNEATLTSVARLAAARRDPAMAFSLVRRMADIGTPPRLRTYGPALFAYCDAGDADGAGQVEAHMDAAGVVPEEAELAALLRVNAGKGRAGEVYRLLHRMRTLVRQVGETTAEVLEAWFRSDAAAEAGVEEWDTRKVKEGVVKGGGGWHGQGWLGKGQWNVGRSEMDTKGKCHRCGEKLVCIDIDPSETETFAKSLTELACKREVREDFLRFQEWLHRHGPFDAVIDAANVGLYNSKAFSFSQVNSVVNGIQRITKSKKLPLIVLHRSRVNGGPAKLPHNQKLLEGWRNAGALYATPPGSNDDWHAALSGLLRLILMARSLAVWCSKDVKVLDTEDMDTSHGSGGGPSADGKDD >cds.KYUSt_contig_686-1.941 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5697987:5700567:-1 gene:KYUSg_contig_686-1.941 transcript:KYUSt_contig_686-1.941 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPQLELGNLEIQNTGPGTRDEIADEVDDCPIEEVRLTVPITDDPTLPVMTFRTWFLGLISCVLLAFCNQFFGYRQNPLYISSLSVQIVVLPIGRLMAACLPRKVVGIKGTRWSFSLNPGPFNLKEHVLITIFANSGSSYVYAVGVITIVKAFYHRDIHPLAAMILTQTTQLMGYGWAGLFRKFLVDSPYMWWPSNLVQVSLFRALHEKEKRPKGGSTRLQFFLIVLATSFAYYIVPNYLFPTISSISVVCLVWRNSVTAQQIGSGTHGLGVGSFGLDWATVAGFLGTPLSTPAFAIMNVMAGFFLVVYVLLPVAYWSNAYDARRFPIISSSVFMANGSRYDVSRVLDPATFKFSQSGYDDAGQINLSIFFAFNYGLSFAAMAATLSHVALFHGRSIWRQTKATVSGQAGDIHTRLMKKNYAVVPQWWFLVMLVLVLGLSIFSCEGFGQQLQLPYWGVLLAAGLACFSTLPVGIITATTNQQPVNVVTELIIGYLYPGRPLANVVFKTYGYISMSQAINFLMDFKLGHYMKIPPRSMFTVQLVGTVLASSVYFGTSWWLLESVSNICDPAKLPAGSPWTCPGDEVFYNASVIWGVVGPQRIFGRLGRYAKMNYFFLAGALAPVPVWALSRAFPERGWIRLISMPVLLSATAVMPSARSVNYLMWGALGLTFNHVVYRRYKAWWARHNYVLSAALDAGVVFMGMASYAMLQSRGIYGVNWWGLQVDDHCDLARCPTAPGVSVPGCPVQ >cds.KYUSt_chr4.46623 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288220203:288225213:1 gene:KYUSg_chr4.46623 transcript:KYUSt_chr4.46623 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPFPPALRLRASSPSAPAPANRRKHAARASVVAALGGDGGCGGSGMEQLRQGKASGSPGSWVLDVDISYRLVHCLGKSTVACALSRELHCRGHHTYVLDGDNLRHGLNRDLSFKAEDRTENIRRVGEVAKLFADAGTICIASLISPYRRDRDACRALLPDSRFIEVFMDLPLELCEARDPKGLYKLARTGKIKGFTGVDDPYESPVNSEIVIKMEDGECPSPKAMAMQVLFYLEKNGYLQA >cds.KYUSt_chr3.9892 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58132034:58134598:1 gene:KYUSg_chr3.9892 transcript:KYUSt_chr3.9892 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQHHHRQSSSGISTYPEIILTSLQTPQDDEHLQNKTLILDVEGSLLRSPSIFPYFMLVAIEAGSFLRGLILLCLYPLLSCLPQEVELQIMIIVCFMGLSEEKVARVARATLPKYFLEDVGREGFEVLRGMKRVAGVCSLLPRIMVEPFLKEYMGLEVVVGREVKMIKGRYVGLLEKESEGRLEQAKFDETEMIGLGCSSSYFGYDHHQLFSWCKEVYLVTPEEKRKWSPLPRDQYPRPLIFHDGRLAFRPTPQATIAMFMWLPVALPLTLLRTLIFLNLPYPIAVAIGSVIGVTTRVINSPVHTGQVGSEPHAQPSPQGHLYVCNHRTLLDPVYISAMLNKQVSAVTYSVSRVTELISPIRTVRLTRNRDEDRTRMEQSLRLGDLVVCPEGTTCREPYLLRFSHLFAELVDEVYPVALVNWSSMFHGTSTGKSKYLDHFYFFMNPRPAYDVQFMDKMPTKMVIDGKRCESYEVANIVQGEIGRILGFQSTKLTRKEKYLRLAGNEGFADTKE >cds.KYUSt_chr5.35455 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224025863:224035545:1 gene:KYUSg_chr5.35455 transcript:KYUSt_chr5.35455 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVVIRDHLGTCIAACRQYINNLPSPEYGEAIALRRAVLLARDRGLDKAIFASDCLSLVQRLDSTTRDRSSVGILVDDIKHLVKDFISVSFIHVFESRDAVAAQIRHQGESYAHSLARAFHLHGHRPPPWLIPSCAAAPQELNGKPIIPEFLFAGSQITTPATSRTVFLPRAAPSTSFTSAGVPNEYVGLETICDTLDANQHEAPQQKQVSADDRELAEATSEVNIFSVVKRSRSRQRHIEDRSREKGQGAKSGSSDVIPRSEHATAGSKRTAASLSSKPSGDGANNAGTRTSLLGLENGFRASEGISTESFKRSKHGDPGNQGVQLGFSQNRVASSGNNVKVPKNCPVGNLLEVQVTGGSVCQALPATHLSVEPKKLQFDGVESVCMNPASEQTMQQPYDCAPESANIDLDEAHSLNEVQVTCSAGHALPETYLSAEQKKLQFDVVESVCMNPASEQMMQQPKCALESASFDLAEAHSVSEVQVAGRVCHTLPETYLSVEPKKLQFDGFESVCMNPASEQTMQQPECALGSANSDRAKAHSLNEDPPSASCSQVSRFMGRLLLDGMESGYLNPDSAPVKQLQEGVLERSSLGTHPVKEDESPSSSSKVPNPTSVLLVERDTLHTVEDTGRTIELDDFSDRITTQSPESSEQHCADLPSSESLEPPTQLADNSSEAPAPSRISLDDLLEEDGCEDLSHSPINDEDRQCSQFRSAACPEKLQPQTVTLIDVYNTSLSPYKTQSNGTHSNRSPVVNASVSEENEVSQEQHFLARTSSELNEAEVGTPLGHASPASQNEILELKPAHYAVNCNSGKLGADQEKFSALTKACDISVSNTKDESAVPEVMSCISARRTSEMHTTERNSMTSAEYLRQDDDTPLLENAVKENTFSCTADSDKRKSSQPYIQYFSHTVASHEKINLAKSDKSSASYDQKRSVHDGVQVNGGLSSKRRRIRSRSDFELSRTPCANSLALDHQVGISGDMLTTRNFSEKSQLSGRYFLRSSGSCKSMSLDSEVENAASNCKNSVTSDVYGNCNSSRGRCNTTSLLNAVLDNSPSASTSRSTMEGMLFDSSREQTQDNSEVEFLTRTASLPCSAGILSHNEENYTEQEDTCLHGQDLNVTNESVADQEMVLQVDNLSSPIAISNPENCSGAELFPVLSSYTLDQHGEQASAPNALFHEKLCYGSNVKLCRKYKSYDPKGHLLSGTAISRQLDDESFECDGSMPEFERFDVPVEFDSPPTGKRPFEDLYDSNAASGVWETLSGKPRNYSFSDELQQYSGSDSRSIMDSFGCGLELDTFFISDAVASCSSDANSRQEINETPLTPSVEKYSLRKFPSRSGSGSEHMGSIPELECFRIDEDNIITEEDEHQDMLPGSVDVNCSFQQQSGRKALQDITGLCQNNGNSPSLSARCMDTGNIDLSGESFSSELKNHSNMRKDHGNMKPKDSHPTSVKREGKVTRSLHGRLGTAEKRNSRNLRHRSEANVDRQLKPSNIVANMTSFIPLVKQKSQPTTACVVLINGLDNWDSILAVKKDVKVKALKAAEAAKRLEEKKQKEQEIRKAAAKVERERLKREKEQKQKLEEEKKKRDEEEQKKKREVDAATKKRQREEGEKRETMKKRKCIDEARKQQKQPMDRRRGTKDEKDTRQKASDAMETKKNLVDVGKNQAKLEETTEPALPYKDNKSKDEKGVAVDERPASFGSDAKETMPNSFEESYDMSPFKDSDDEEDDDFEDEQESRRRMKFVPTWARYNISMRLDLLYILLDLVIHSYEYPCLQIPG >cds.KYUSt_chr2.9701 pep primary_assembly:MPB_Lper_Kyuss_1697:2:61363175:61363375:1 gene:KYUSg_chr2.9701 transcript:KYUSt_chr2.9701 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASDDDGMAPLPRSPGEVVADEGESHEPPPRAAISPARALFPVSQPYGHPHVSLLLRLFILCI >cds.KYUSt_chr1.1381 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7523090:7524471:1 gene:KYUSg_chr1.1381 transcript:KYUSt_chr1.1381 gene_biotype:protein_coding transcript_biotype:protein_coding MTREQYNLVPPQWCASKKACYAQIVDGWVSPAFSASHRDAQRRRRLLVGGVHRQGPLAFGAYVEKQRKEKGEDVHEFDILCQSRMKKVVKEGESPWLNENFNNKRIASSRQVQAGEGSTPSLSQLRASRTSGKPGIETRIKPSVVMFNEMQAKWDEEHRRAEEAERELAEVKATLQSHDERFASYDQLFAMLHAAGAPGMAGAPPLPPLPPLPPLSGPFGIPSAGSHNPSHQSEASPVTPAGSTSMPPPANPDLHSLRRQLCEFSCSSPYLACRNYA >cds.KYUSt_chr7.17984 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111572999:111578039:-1 gene:KYUSg_chr7.17984 transcript:KYUSt_chr7.17984 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFGFPMLSAAEIAESVVQFGIAPVANLRPEDIAKPQPDLLPAILARFLASFVDAPGYQTRRRILLLLLLLHSLARSPAWISPRDDDDGQLGFSDLAGLDNPEHHLEAIRVLRLHTKSRAFLDSIQFKDFTLADLLRPTPRRVLEVLSALINFLFYREEKLNLLQPIVNEVPDYHQRTLDLKARIAELHKEIADHELAEQMEEPMAQQLEADVNALQQKVQVYNKQQLALRAKSSAITDKKEEIHRKITQADFELTKHAQENSKLRSKLVKSPEKVQRALEEKKSVRAKLKESEKTATQNVQEKTATLELLNKLAAAKTVEKEVKSRKAKLNDESLTVRSFDAQIIEWQGKVHEMEERLKTKVKERNQIIADENQKLGALKSEIECKLQCLEPREKKVEAMIAKASKLCSEAASVRTAATSEQQKIRAKFDNILKAFNTYMDNINPFLERLEEVGRQLAEEDSSAPKASATSKKSRARKRT >cds.KYUSt_chr6.3161 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18534581:18535677:-1 gene:KYUSg_chr6.3161 transcript:KYUSt_chr6.3161 gene_biotype:protein_coding transcript_biotype:protein_coding MWARTPHVSDTKGGYDQRRANWGLSSNAPSFATFSAVIDVNWTEVVNSPFSVLHWCYVLSDMNSLNLITCCYRRKKSVMDCIQWRFEPMATASARATMAILLLLAALTASHIASSLADCQYSGYLPGRSGACDQTNSPDCCVDGQQYQRFLCSPPVTAPATWAALTVNSFREGGDGGFPSECDMAYHDDSEMVVALSTGWYSGMSRCGRSIRITAKGGAVVYATVVDECDSVNGCDAEHNFEQPCGNNVVDASPAVWVALGLDQDVGLEAVTWSDA >cds.KYUSt_chr1.27716 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167019997:167024182:-1 gene:KYUSg_chr1.27716 transcript:KYUSt_chr1.27716 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQRCVADQRRRYRREHMGAEPALQVVDHSFWQGAHVMVLTGAVLQWRWCGKAGDWGVHDANQFQYPCPGSTKGQSIDDCDLADFAMS >cds.KYUSt_chr7.19529 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121049348:121052820:1 gene:KYUSg_chr7.19529 transcript:KYUSt_chr7.19529 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVARKGRSKWDTKEVSRDVVEISEDDSPPKNTDDRRKDGGLHPSGESSNLKPDAFMQQGSSAHEKERTDGFNKDIKERQSKVSSERWEPPRVADEEHNNNDRGKVALEKATGNQGCRLVQMPGGSALAVHLQEVVGTGRAGTEVAPEAGAESEVEAEAEVEVLILTVDLIVGLREAEYLEGLLCSAEILQLVDAEEACNAGFLMKMAGAGSSMSIILQTQGKGMVIRTRISWIHENQMTFHGAGNPEVIMRMVLGKDLNPEEITGPLSNAMSLSKEGAAEVQVADMFMMIPLLMLDGEMRLGKLLMVEVVLIHPMGVGLSTVEKIEGPVNFLLKAAAVVVKFVHISMRKLPRVKWDRAHLMSLQSSHILPRDDRENLGSQGTTVRSDTGYVYKNRQLEDAGRSQYQISPQEDFGPQGQNKPEIAALKRPQFSGSMQASADTLNNEKLSAMDGQSASANTGNLSVQTGIHAANLLGGQNLSQIAQNQDAVPQIAGAPTHPVNSQQDAASILPFNNQLHESNFSLHPNRQDQFVASQATANNSGPGMHNQPVPPYMGQNLHGYSQTSQALPDLSMFNGHNFSASGQVSQNSLMPLHAGQSQANIDMSKPSQDSGTQSLQSTHNFRPGAPNMQIQNQTLQGLSGVPSHDNGTQSMQNTHNFQPVAPYMQSQSHTLQGLSVLPSSSSADMPGAPLPRNAATSEEDLRRAVITSLAQSLIMQPGTNGLQLPQPNLNPNLMIGSSGAAVDAQPNPWPWAQQQAGMVQLTHSIPSEQQVPQTLQAPMAAGISNGNPVLLPQTVVPTGHAATTVANETAVPSENKKGEPKDTDGEAPEDGDSKKNKESKALKMFKLALADFVKEALKPTWKEGNLTREVHKTIVKKVVDKVTSTVENTPQSKEKIDVYMSYSKEKLSKLVQAYVGKYVKTS >cds.KYUSt_contig_5919.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001183.1:22886:25781:1 gene:KYUSg_contig_5919.6 transcript:KYUSt_contig_5919.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPASFGPVGAVLVENQHHEEMFIKDIKLITGGDESTAITFDVGSWVHSKFDNPEPRVFFTIRSSPAIAAPTRIEALRRKELERLRGDAHGERKFHERVYDYDTYNDLARLLNNSKSFSDFRTSPPSIETACRYAIDGANSFNNVISRVVRKIKDTRRHVLRFEVPKMLEVGANQGAPGKMMKGALTVDEALEDKRLFLLDYHDVFLPYVHKVRELPDTTLYGSRAIFFLTDEGTRRRWPSVDTAAVTDQATVEARLHARVRRHREVVVEAGQGSCANPDSTYHQLVSHWLRTHACAEPYIIATNRQLSRMHPMYRLLHPHLRYTMEINAMARDRLINADGVFEETCWPGSRGLAVRRDDGELELTIKDYPYANDGLLIWNAIEQWVSSYVDFYYKSDEDVTGDEELQAWWGDAGHQDDGDAGHFPSHSPDEEYMGEYAEPAWLAEPVIKAAFDKFSSRLKEVEGTIDERNNNPNNKNRCGAGIVPYELLKPFSEPGVTGRGIPNSISI >cds.KYUSt_chr4.22602 pep primary_assembly:MPB_Lper_Kyuss_1697:4:142085074:142085496:1 gene:KYUSg_chr4.22602 transcript:KYUSt_chr4.22602 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISSAVGRYHDPAAGATTTLPPNLRLPFRRQGSPPPSRPPASAARMPATAYHGSPPPHIQPRRPPPRLNVAVLRRAPREPCLFAPASVSLLGREAAKGYHRCYHGGRAGMIFDRMLATKSHTITSSDAANVGQFCNPP >cds.KYUSt_chr4.27203 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170918509:170921788:-1 gene:KYUSg_chr4.27203 transcript:KYUSt_chr4.27203 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQILQLAAVTSSLVLLLLQPVAATMVPPAAAPRARGSAGVTVHVQRHQVLVDNGVVQVSVSKPQGQITGVRYAGERNLLHFGSGDENSGGLDSTEFRVVSSSEEQVELSFRSTYDPSRFNSVRLTVDKRLVMLRGSSGFYCYAIFEHANSWPALNITEARLAFKLNTARFNYMAVSDRIQRYMPRAADRDPPRGKPLAYKEAVLLINPAEPQFRWEVDDKYQYSLDNKDNKVHGWIGGGPVPVGFWVITPSNEFKSGGPFKRELTSHVGPTSLTMFLGTHYVGNDIVAKIDDSEPWKKVMGPVFIYLNSNPSRGNFQELWEDAKAQAEAEARKWPYTFLESPDFHKAAQRGSVTGRLLVRDKYVSAVDMPARLAYVGLAAPGAPGSWATESKGYQFWTRTSATCGSFVISNVRAGEYNLYAWVPGILGDYMYAASVAVSAGGAVSLGDLVFEPPRSGPTLWEIGLPDRSAAEFFIPDPNPKYLSKLFLTRGNRYRQYGLWDRYTDLYPRADPVFTVGQSNYSSDWFFAHVTRKVGGGVGLTNAPTTRRIRFNLGRVVADGTYTLRVALAAAQMSRLQVQVNEATRNGVFNTPEFGDGNAIARHGDHGTWWSFEFPIKGYLLMEGENTISITQVRAFSEFFGVMYDYIRLEGPPGSWRDPTTLL >cds.KYUSt_chr2.17489 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110216425:110218167:1 gene:KYUSg_chr2.17489 transcript:KYUSt_chr2.17489 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYAYEYDNEFDLENPFTSPADEPIASLLDAEGHHSPSVSAAASAARRDAAGFISKVRYDGELAAHPRVAYLALNYVDRFLSKRQLPFEHKPWAPRLLAISCLSVAAKMQRAAAISVADIQRDEEFIFDAATIRRMERVVLGALEWRARSVTPLAFLGFFLSACFPPPRSPPLLDAVRNRAVDILIRAQPEVKMAEYPASVVAASALLAAAGEVAGAHLPAFHAAVAACPFVNSEKLRECGEAMAAACGIGIGTAVTASADTPVTVLGHGHYRSASSESDRTVGSVADAKKRCMGPPSRWG >cds.KYUSt_contig_2887.187 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:936878:937150:1 gene:KYUSg_contig_2887.187 transcript:KYUSt_contig_2887.187 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAGKPMIRDDGDDQQQQHRSLWPSRQQVILLVVLLLFDAVAGMALYRFYKLMAAPAPQLRVCELGPLVFNRLNSTMGELTLNIALCRR >cds.KYUSt_chr7.32430 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202005452:202013736:1 gene:KYUSg_chr7.32430 transcript:KYUSt_chr7.32430 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADDVGLATLHPHLDASSSAAAFPNPKGGGGGAGGMKTGDKEDLVGTGFKMGFKISRARLRCLDKGVPCEVLAETSIGSNKIVRVLYQVGKYADKNPILPTVLREPLAYVASCNPRDITYCNERQGGAEECSSLAFNQALALFQVVEVLTIIMFEAILKPNHKYTAHMAEEAK >cds.KYUSt_chr5.33552 pep primary_assembly:MPB_Lper_Kyuss_1697:5:212875726:212879535:1 gene:KYUSg_chr5.33552 transcript:KYUSt_chr5.33552 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTWALITHLHALAGPSLTLIYPLYASICAMESTSKLDDGQWLAYWIIYSFLALFEMAAERVLYWIPLWYEVKLLFVAWLVLPQFRGASFIYEKFVREQIRKHGVKLHHGHGHDAGHAVHVLRGLILYLEVLDAKSSMTFGSAKHTPLSQSIFTLLTTPLPEES >cds.KYUSt_chr7.2230 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12982060:12983491:1 gene:KYUSg_chr7.2230 transcript:KYUSt_chr7.2230 gene_biotype:protein_coding transcript_biotype:protein_coding MCQCNCMCKIIDAFPAGADVVDKAFTAASLYYNYTGDQKCFKVEGEDDPHGLSGWGWQACTEMVMPMNVSSESMFPPSGFSYEERSEECVERRSAEGSLDHYRKIYQVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEEGAHHLDFRSATKEDPDWVVEQRRQEVEIIQGWIDQYNQDTAEMSQ >cds.KYUSt_scaffold_6468.760 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3674542:3680656:-1 gene:KYUSg_scaffold_6468.760 transcript:KYUSt_scaffold_6468.760 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLHVLRWFPGGESIARAKHSFLSCSDADGGYEDRISALHDHLLHHILSRLPAKDAARTAALASRWRHLWRSTPLVFHDHHLLPSRDRAHVAAVARVLAAHPGPFRTVSIGYCDFACHDRELAEWPRLLAAKGVQDLALVNMPADFDRLPAVPADVLRCDTFRRLLLGFWKFPDAGAHLPAGGADGFPHLWELIMFGNEMPGGRDLDHLLARCPVLHTFAVSLSKMFQRVHLRNQSFRCVLLWHCFVEEVAVMDSPLLERLILWEIVVGQDFSVAVRVKIAAGVPKLQVLGYLEPRLHQLQIGDIVIKPDTMASPSTMVPSVKILALKVNFGVLKEVKMLASILRCFPNVDTLHIESLIGADGPTGKHNAKFWRGLCLPIKCVTSRVKKMFIHEFHGHRSEIEFLKFAARSAEKLGALLVGVTEEIQASAPPCTTSTSTFSDPLRRRVLTPAPLRLTPPVSSAAHLYYRLLPIRATASFPASPPRGHVPSIAAYSPLRRPSCPTGHCPAALSHSRVERWQLLTYTTSDSAKPASNETAAATPSTVDWLSKLPDDLLLNILERVDTLDAIRTCILSKRLLKLPTMLSHLFISVSSISCHHNPALEFGIRDVLRISHAVASVTDNILSRRTPAITVSKLIIRFVLTDHDSVCIAKSVARAMATQKVEKAEFQIVTEKAYADCHPDDLLHFASHFNAFLGACPDAFAGLTRLWLRNLRFGELDIPNILSTCKRLESLCLTNCDSGIYSVVQIEHDQLVELVVHSGKFERIELTFVPKLQRVSYYNWFSCEDPLSFGFVPRLSKLSLAKDGTRLRKKTLELSQLLTNVPSITALHLDFQSEKVWVLPECPKLLTPVLRKLQQVNLVNLPEGCDFAWTMFILEAAPSLEELCITVWDHSCDMVTDKHCENAEVEWKPYAPDFKHKNLAKLAIYGFQPDNNFMRYIRRVKEVAVNMVEISLHDGKVCELCGGLDRKINACPSRYPQTAEERKRTTEELGLASPSVVHFRL >cds.KYUSt_chr5.2061 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14061549:14064086:-1 gene:KYUSg_chr5.2061 transcript:KYUSt_chr5.2061 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAAAAKPSRRRHFLLPYHISTRTTTATASSSSSHPCSSYDPTAQFLPSGSSSRHLRLPASLRRDALLGLARLLKTSLQCHLALHALTCTSPSPPPLPARFAAAAGLTVLSRQLRPFASLLLASLLPAASPHLLAWCASPGGDPYAALRLALHAFLAASMPSEALVVLARVRSIGKTPSISALATLLRLLFCAGEVRAAWKVFAEMTAREPRPTLAIFNAMILGFCHRGLVHVAAGLLGVMSRFRVIPDACSYNILIKAHCESGQAGDAFDLFDEMMHKSGCEPTVVTYNILVNALCRDGNMVEARGLFDEMAKAGIEANTITFNVLIDGYAKAGRMSEANAACREMKSRGLLPDCWTFNILSAGADKFGKAAHLTTHEQEEGSQISADSIDMVVCRLCWDGRLDDARQLVGSAVEQGVPVSVAGFNALIAAYSKGGFEEEALELYRIMKEIGLAPLPSTFSYVIMGLCNQGRLDDAQLLLEHMISKGYSVGTSFTIYMDSCFRYGDVEGALKCWDDMVKVGVQPDFIAFSAYISGLCRLDHVNEAYRAFVEMTRRGLVPNNITYNSLISAFCRAGYVAEALKLEKKMRQSGLVPDVFTSNILIDGFCREGRLDIVNRHFLDMRSSGLTPDVVTYNTIINAYCRAQDMSGAMVFMNKMLADGCDPDIFTYNIWIHSLCNNHSMNRAARVLDELVAVGCTPNLVTYNTLMDGICNDVLDRAMILTGKLIKMAFQPNTVTVNIFFSHFCKQGFGRRALEWAEKLREDSVAFDDDTMNILDWAYREMEDDPRGSNADIDKCMFLESLMLMTYNTMSNSRSPKFANVPIETVIDPAGSNAIKVLDTG >cds.KYUSt_chr2.44863 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279409277:279414109:-1 gene:KYUSg_chr2.44863 transcript:KYUSt_chr2.44863 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSPSTSWGFSGGRERDRIAAGKQPEVPRSGAGSTAMSKGKLSDGESDTDSEESDLSGSDGEDTSWISWFCSLRGNEFFCEVDDDYIQDDFNLCGLSGQVPYYEYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGLAAMLDKYKNYDFGRCPRVHCSGQSCLPVGQSDIPRSSNVKIYCPKCEDLYYPRSKYQS >cds.KYUSt_chr1.23488 pep primary_assembly:MPB_Lper_Kyuss_1697:1:139660283:139663275:-1 gene:KYUSg_chr1.23488 transcript:KYUSt_chr1.23488 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPVGQVDGLFFGDSSELAGIMGPAYRVRIEEEGAVSVVAEAHDTGGDDEADSVAAREACSENTRSSHRECPALIRLLRTEDKGWHKWAKPYFRGVFCAKMTSTQRSESANMMLKSYVPPGCAMNLFVRHYMRLQHDREKDEGYQEKRTKVASAVLHANLSIEEHASKVYTRAMFEQFGHNLYMAGAYRIEEVEKYKLYLAKHTKAHKREKWSRVEFQVKVADGGEFFECECGLFEHMGIDAVSVVQDRSAREWALTC >cds.KYUSt_chr2.47983 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300060267:300062809:-1 gene:KYUSg_chr2.47983 transcript:KYUSt_chr2.47983 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQGRRLAAVLLLVVATASVASARFIVEKNSVKVVSPHSLRGRHEAAIANYGVPDYGGTLTGVVLYPADAKLANGCKPFDAAAPFKSRSGRPVVLLVDRGGCFFALKTWNAQQAGAAAVLVADSVEEPLLTMDTPEEETPDMAFLANITAPSALITKTFGDALRAAASKSGGDEEVVVRLDWRESMPNPDERVEYEFWTNSNDECGPRCDEQAAFVSAFRGHAQLLEKAGDALFTPHYITWFCPSQFAGTRQCASQCINHGRYCAPDPEGDLGAGYEGRDVVLENLRQLCVHRVANARNSSWVWWDFVTDYRVRCSMKERKYSRECADEVVASLGLPAEMIAECMGDPEADVDNDVLKTEQIVQVGQGNRGDVTILPTLVINNVQYRGKLESTAVLKAICAGYKETTEPRVCLTQDMETDECLNNNGGCWRDDKTNVTACKDTYRGRTCECPVVDGVQYEGDGYKECKAVGPGRCAVDNGGCWKDTRHGKTFSACAGSESLSGCKCPPGFRGDGITCEDVDECGEKLSCSCPHCSCRNTWGGHHCRCKGDDLVYIRDQDTCVAKNTSSLGWTVVVLVLACLVGAGAAGFAFYKYRLRSYMDSEVAAIMSQYMPLESHSSENRPLREEAQEA >cds.KYUSt_chr1.5583 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34423670:34432203:1 gene:KYUSg_chr1.5583 transcript:KYUSt_chr1.5583 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAKGSRKGKKAWRANIRTDDIDEFYEKQTRDAHAGAAAIPSLPSDSLFFVDKPASASTSSAADPAPQDVPVKRKIEKSREKVLYHESVLKRNPYVQPVPSSLVSKKDKKKFKKHAKKKELQESKEEKTVPMEEDSTEPNLDIWGGDGKADALPKKGMKRLMKNRSTTSVIPAVEVEPPGCSFNPKFEDHQDSLAQAVADEMRKIYTKELGPKPVPLTVLGEAVAEEDKFFLDAADDGDGDAAEGGGDGVAAEGDGDQDADALAGERKTKTKRVTRVELNKRARRKERLRTEADAKKLEVLSKQIDSLPNIIAEIAKEDEEKEKMHTRRTLAKEERLKSAPRRLGRHKFEPAPVQVLLTEEISGSLRQLKGCCNLARDRYKSIEKRGMLAPNKKIRANLMDKQCSQEHSNKGDAFSRVVKPNLAEPMQLQLLPPDILRDILSWLSIKQFVRMSILSREWRRLRICHPDLVFTKDTFGINTAMDIDKSMTVGELLNMHAKKLELLNRKFIDNVDSVLRPLWSTSTTLDKFVIKFGLRREHKHYIDRWVSFSITSRAKHIALDFTSDGSSCDSGFDKYVFPLCDLSGPNGSCIMSLDLGYIFLKLPLSFCGITNLKKLTLKMVSINGGDLQRLLLSCALLESLSIEMCSDLSSLCVPQELSQLQYLRVRYCGMKMLELHAPNLTNFVFDDSLMHTVLSESSKLSEAIFVSNLRVLNGYDDVLDDIFTELPAALPHMDTLLLLLTSSQVQRFSNTRDSFICLRHLNMNLNISLYPDDDSWVMGFVNLLELAPLLEELELHMDHYRHCSSNLRMVTAAQGPLHRHLKSVYMSGFSDVSGLAELAFYILENAIVLERMVVDPVTGMKEDLNTERFYSVSKAGSSEEFVLPTEGDRYCLEEMRLFAKMNLDREEFRHVLTVL >cds.KYUSt_chr2.52803 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329507805:329508140:1 gene:KYUSg_chr2.52803 transcript:KYUSt_chr2.52803 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVLGLAAAKGLAAGSVHYAPGSVVGLAFAFVAAVAIVAIAVFGCAGHKKNSGGKKPRRHGGSHWPAGAAAGAVYVGDGGAGGGGGGAGCGGGGGGGGGCGGGGGGGGGC >cds.KYUSt_chr2.31647 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195217383:195226618:-1 gene:KYUSg_chr2.31647 transcript:KYUSt_chr2.31647 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIWPIGSTALTKSTTGRALPRDQMAALFLDRVCECLIDYDSFSLDDFKPEADAMITAAGRIELLGDEAGGRARGRVLYKQAVQLWDGVTGEAAGFNATFNFSIQSLPNRSSNAGHGMTFFLAPYPADLPQDSYDGCLGLFSESLVPTDGATTLSANASGGAMFLAVEFDTYRDDWDPSSRHIGVDVNNVDSRGNYKILPNDSLVDAGVMSATVSYDSATTRLDVVLSGGTSGASYTLAATVDLRSILPPQVTVGFSAATGDVFGSKHTVLSCSFQSTLPTRNDTSLPSTSSTKKGTVQLIHGVAAAAAVLVLLLVVAVGVLLRRASRRNERSEEKDMFAGDMTPDSLDMDKDDDFGSSTGPRPIPYADLAAATSNFSEDGKLGQGGSGSVYRGHMKQLGGRDVAIKVFLRGASTEGRKEYRSEVTIISRLRHRNLVQLIGWCHGRRRLLLVYELVHNGSLDGYLYSKQETALTWQVRYQIIVGLASAVLYLHQEWDQCVVHGDIKPSNIMLDESFNAKLGDFGLARLIDHGVSLQTMTAMAGTPGYLDPECIITGKASTESDVYSFGITLLEIACGRRPMAPPSAGAKDSQVFRLLEWAWDLYGRGAALDAADARLGGAFDQWEMERVVAVGLWSAHPDPKMRPAIRQAADALQSRKFRMPVLPPKMPVAVYLQPFGASSMEYGDTTTTVGSGVTMQYSSTATQSSNSSVPAAVGEQLSPRV >cds.KYUSt_chr6.10042 pep primary_assembly:MPB_Lper_Kyuss_1697:6:61954037:61955350:-1 gene:KYUSg_chr6.10042 transcript:KYUSt_chr6.10042 gene_biotype:protein_coding transcript_biotype:protein_coding MTKILPAIPSLGGLLTGVRRSSRAIPKTTRVPALSAALFFAAATFWFLFLSPFRPSPTTSSSVASGHSSGNGAAACDATRGEWVHDPVGARPHYTNATCAFIQDYQNCMKHGKPSLEFLQWRWHPDGGAGCELQRFDAEAFFRLVRGRSILFVGDSLASSHVTSLVCTLSQAETPTRRHTADGFEHWRFPEHDFAVSFFWTPFQVRWRLTHGPPAAVGPDRQGEVFAGPNDLHLDEPDDRWMPAAKEHDYVVVSASHWFARPAVYYRRGRPVGCHGCAAAETDGNNNVTALPPAYAQRAAFRTVLRALASGHQGFKGTAILRTVAPTHYSHGGWFDGGECPSTRPFYRNQMAEMAEPEAQFYEAQVEEFRAAEATANGVRLRLLDVAGIMLRRPDGHPDRYGHGAGEHGGFDVDCLHWCLPGPIDVWNQLLLQIIAS >cds.KYUSt_chr5.26510 pep primary_assembly:MPB_Lper_Kyuss_1697:5:167871599:167872255:-1 gene:KYUSg_chr5.26510 transcript:KYUSt_chr5.26510 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAADLLLVIRMREQVGSSSYASRAPRPTTPRPHVVARRQAPARPDLDAARPRHHLDVALSPTPAPSRARPDLDAARPRHSPVPDATSTPAPSRARSDLDASPSLTPPRCRLVPDAGPIPSSPELARHADSTSPRPRRHLDAAPVPDAGSIPSSPGRHLDVGPSPDATSTLPMSPTLAPPQACLAKVLASAWWRLAAEGAATSSSSMIGAWAPRCA >cds.KYUSt_chr2.55231 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344369388:344370932:-1 gene:KYUSg_chr2.55231 transcript:KYUSt_chr2.55231 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQRLLRWFPASLPAPPTSSWWQTPWTCCAPAGEALDGGLDIPYIDERFAGFKKDDKLLDAVVHRKYIYGKHVADYLRALAEEEPEKYQSHFSEYIKRGIEDDGLEVMYKKVHGAIPCRYILQ >cds.KYUSt_chr2.48013 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300230518:300232311:-1 gene:KYUSg_chr2.48013 transcript:KYUSt_chr2.48013 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDQPAAGEGRRYWRWSKADFFPEPSFQSWRSYGGALAATVPRLRDRVAARSTDAIEAGTLLAESENPLRRCLSWVDLAFLGFGSVVGSGVFVLTGQEARFDAGPAIPLAYAAAGFSALLSSFCYAELATEIPSAGGSFSYLRVELGDMAAFLAAGNILLEAVVGAAGLGRSWTSYLAALLGRDSDSLRIHVPALAEGFNLLDPIAVVVLISTSALAMSGARLTSTINSLASVIGIVIIAFVMGFGFSHFDSSNLEPSFFPFGAAGVFRAAAVVYWSYTGFDMVATMAEETKNPGRDVPLGLLSSMSAITVVYCAMSLALVGMQKYSDIDPNAAYSVAFAATGLKWARYVVALGALKGMTSGLLVGALGQARYTTQIARTHMIPPYFALVHPKTGTPVYATMAVTLGAACVALFSSLDVLASVSSISTLFIFALVAVALLVRRYYVAGRTPASELRTFLAFLALLLASSIGMSVYYNSSYAGRWPGYVVFGIGWAAGTAGLAFGAKQQRVPKMYGVPLMPWLPAMSVATNLFLMGSLGSLAYMRFGICTAAMLVYYVLFGVHATYDVAHSRDDADVVAENVEQGKIALPVSTAPA >cds.KYUSt_chr3.10217 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60519508:60525558:-1 gene:KYUSg_chr3.10217 transcript:KYUSt_chr3.10217 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLLLLSPSPSPSPPLACRHRSPAAAAGRHRARRGAPIVASSSDGGPSTPSHAPGHVLARRAVLLGVSALPLLRDTAAKAAAPSSGGLVTETKDVSKVDEPQPAVTQAEAPLLEAPKPESPLPVVQEQPPGNPLAGLLNAIAVVASGVLAALYGTSRQEKETLQSAISSLESKLAEKEAAISFTRDNYEKRLLEQQAAQKKQSIKFQEQEASLLDQLASTKKTVTSLSEEFRRKKTRAEELKDEIRRLESSIAQAGNAKDALEAKLAEKVDETNVLQEKIILLRQETDSKEKHIKELSSLLSSKEADYENLCSFSDQTKESLDLANAKIQRLEEEIHATKNDLASKTSSIDSLSEKLQILNSAKNEAEEKIEELRKEYADLKASSETRANQDSMLLSEKDVLIKQMEGKLSVALSDSNKDHEIILKLNQELDATKAMLENEVVAVKSLRDSLQSTEETLSDSRAEVSKLSDELSEANRMNQDLVLQISNLQTEFNEMQDGLNSKLGEVESISRALSDELVSVKEMVQKGQEELEATSKELASVVEARDNLKKELLDVYKKFESTTQELVDERRIVTTLNRELEALAKQLQVDSQARRALEADLDEATRSLDEMNTSALSLSKALETTHSKNATLEAEKEMLSKALDEQQKITTVAQENSEDAQNLITILQTEKETFEMRSRHLEEELALAKGEMLRLRRQISASKSQKTRILPRTSAPTESSTVPGTSSPTETSTVSSTSVPAESSQPLNEQPVNDRDQKTVRVTAGTPYTVLCSVGGGWTKSQSTVLVWSFSSETGLPWPDLTGPSILINGLSLTPPSILISTHLKAPPAVFSFRSPHRGLEPTNSASYKAMAVFATIPQIQTSLGRVPLKLLSAPRSNLSARRHPGPAAMKDLAAESKLEIRQVWAHNLEAEFEWIQRAQEHYPYVSMDTEFPGVIHKPSKHHTELTPSECYDGIKANVDALHLIQVGLAFAATADSPPAVAFEINLREFDPRVHPHNPTSIAFLADSGIDFARNRADGVDARDFASLLMGSGLVCPGDGDDSDVTWVTFHPAYDFGYLVRLLTGRHLPDTLPEFLRLVRVFFGDEVYDVKHIASRCRHRLPGSLDGLADELCVKREAGRSHQAGSDSAVTWGAFRRIRDKYFVKEGVRGFAGVLHGLEFDLDLYLAAAGDENS >cds.KYUSt_chr1.12145 pep primary_assembly:MPB_Lper_Kyuss_1697:1:75049500:75059384:1 gene:KYUSg_chr1.12145 transcript:KYUSt_chr1.12145 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRHATEMRVRQEWSQLPGQGTLRRGGAALDLQKARIVREDPAAIGGGRREGGAGSRGWRRGREGGAGRQQPRVEAAYETGALRDAKGKLERSLEDLTLRFTLERRQRAKNIELEQELLKAQECSHDNMDKLNGVEKNYVHLRDNLKSLEDKISNLEDENHVLRQKALSLSPRHTRTGAVRSPLKVLGQRENMSHIDARYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQVPSFFIRKLVTQVFSFINVQLFNSLLLRRECCTFSNGEYVKSGLCVLEKWIVDTTEEHAGAAWDELKFIRQAVDFLIIPQKSKRTLEKIKKNICPVSDSVKRKADISSVYYVLG >cds.KYUSt_chr7.11609 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71357258:71357863:1 gene:KYUSg_chr7.11609 transcript:KYUSt_chr7.11609 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRQSEWIVLRRVHAFLKSRKLHRAAYALEKEARLKLDLPHLHTLFAKGRWRAADEYVTAFLGAAKEETGSTPAASATLFVVRFERFVRALKRGDEAWAMRYFGRAVEPLLDSHPDRAAARAECIRALELDDESLRRSYPDDDQHRRERETEFIRRVYENESISRAFNDVFDGNLRFMRKTTSLGLRRHARRGPPCDQR >cds.KYUSt_contig_7022.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001411.1:1435:3567:1 gene:KYUSg_contig_7022.1 transcript:KYUSt_contig_7022.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPHHLVILLLVAAGLCLAASGSVGDEGMQFTYNGFAGVNLTLDGAVVMPNGLLMLTNGTIQTKGQAFHPWPLPFRTAPNATRSFSTTFVFAIFGQYSDLSSHGVAFFVSASKEVLSTALPSQFLGLLNSTDVGNQSAHIFAVELDTIFNAEFRDINSNHVGIDVNSLVSLDSTDAGYYDDGTGRFQNLNLISRKAMQVWVDYDGTATEITVTMAPWAWPDPRSPFCRPPSTSRVWCKARQQEGAKEMAVREVLVPVPSPAALSGAGAKQRIAPVRSAPGPSVTDEQHHAIAPLWRPRPRPKPPWPRRRRPPRWSASPALHPASCASTTPAVVVQTAFRGYLVCEARAARSQGTGEAVRGHNVQKQANMMLRCMQALVRVQVRVRDQRMCLSQDSLSATGAAACGSS >cds.KYUSt_chr5.18652 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120661763:120673243:1 gene:KYUSg_chr5.18652 transcript:KYUSt_chr5.18652 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDNNENEDGGGVDGDAFRGHFPVPAACRNRDLCPPDLGFAMAVALEENRNSHFGISSTVIFGLSFDDEISFPTSMVVLKDYNYLGVPHLREDETPPQGGRPGWAALTNPRIGPWLRLLQKVAADQGLVPEFEITLEATHHGPLTSTPTMFVEIGSTEEYWGRQDAAQAIALVLWKGLGLEDGNAVGTWLGNGEKVLLGIGGGHYAPRHMDIVVKDGVWVGHLLSGYSLPMEVPPQGSAKSSGDVGGMWKHSIKVSYEATKAGFPGGEVIAHLDQNWRGAVRTTSDHHSAKSPSAVGGPEVDRIHDSTSNHTVLEKEHPVRRCLMVSAD >cds.KYUSt_chr5.11675 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75638293:75655681:-1 gene:KYUSg_chr5.11675 transcript:KYUSt_chr5.11675 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRGIYAASNCGAIAGAGAGAGASNAASPPLPTSLVNGDATPHRNHLHDSPHLEKESRGAIAGVGASHAAFPRPPILLMKCDATPRSKYLYDLPHLDKEIVYGWYAEALVKSLNLLKVDSHPEVQQQVDSHPEDTTGANGPPLLEYHIILSRLFTSAVSVPRYNVIGMCAQLEGVFDLILDSSADEDEFRAYIPAYIDMLHQVDEMDDCLKSGLKMSQYSQYVENLQQHSSYDESVLELVKNLEVCPGLVEFLRVSALEGHKEVLREIVLHLTRVETLTFLQPLQPDLSDFPVHLRRYAMNYIEDENKKYHMAKEQARLHEKFDELVASSALDHYICKDDALEAVLNDDRVHSQFSVLDHDLIKLSIKLNIGLQSLMSELEAKDFFNITDDSIDWDKKCFSELVDKFNMQVFAGLHLPDYDVIRGIMDYRAMSAMKDASCSWDHVFEKVVGAPYRRWIQNTPMFWMDTRYYEHQYYDIIQQPLKKMCKCLIGDVSVRLDVNDCPDQQNMSAMAAGLRSGECREYGIRIDLVKSSAISMDWRRASDSVAMALPAFAIAPAPLTRGGADLGSLKEYVEKWNWADLSHATCGLGKDKLPVVDNSGPRSTVQHFSRLKRAMKEFDTAWHDDKANVVGTLDSRKQLFEGLLWEHRDLTAAFTTLERTHGKCQAALPEASLEDLAGQIPALKAEKEKLALEHHNALQAQRNNAAELKDQMVQTGLQHAHALKEAIAAGEAKVEEARKELAEATGQLRKELEERAKQLKEAQDRNAELLSGQAEFDLMLFPDSKPHAHKRVMELRAENAVSDPDAPWNAYDHLVALHARITHMKVVDRHLSDVLEVALQVFKLLWPGESVPDDLTRLAQRLKDAGKRFSE >cds.KYUSt_chr3.34853 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218504516:218506510:1 gene:KYUSg_chr3.34853 transcript:KYUSt_chr3.34853 gene_biotype:protein_coding transcript_biotype:protein_coding MFASAPTTPLHLPHPAFRTRRPTLARCRSSFAPSSSSSSGGAPPPPPPPANPNHLIQTLCANGRLARAAALLPGLPAPTQRTYESLLIAAARAGDASLTAAVHRRLEADPVFRADPFLSTRLIESYAALGALPAARQVFDEAPARDIFVWNALLKALALAGHADEALARLSDMGRLAVPVDSYSYTHGLKACIAASASHAPRGAFARVREVHAHAVRRGYAAHTHVATTLVDCYAKLGVVAYAETIFFAMPERNIVSWSAMIACYAKNERPGDAIELFKEMIASDAGLVPNPITIVSVLNACAGVNALDHGKLLHAYILRRGFDSLVSVLNALMAMYMRCGRLEVGRRIFNWMGKRRDVVSWNSLISGYGMHGFGREAVQVFEEMVRAGLSPNIITFISVLGACSHAGLVDEGKELFESMADYSVTPRAEHYASMVDLLGRAGQLEEAVELIESMRIEPSPQVWGALLGACRIHGHVEFAEMACSHLFDLEPRNAGNYVLLADIYARAKLHNQVDVLKELLEEHALEKVTGCSWIEVKKKLHSFTSVDNKNPPVEQLQALIGEFVAQMKNEGYVPDTGIVHYNIEEEEKERILLGHSEKLAVAFGLINTGSGEVIRITKNLRLCEDCHSVTKFISKFTEREIVVKDVNRFHHFRDGICSCGEYW >cds.KYUSt_chr6.19290 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121351336:121352028:1 gene:KYUSg_chr6.19290 transcript:KYUSt_chr6.19290 gene_biotype:protein_coding transcript_biotype:protein_coding MVMALLVVVLAAECVESVTGAAMLSQLKPTLAVTASPTPNQVLHGGEDVITVTWSLNATAGTDAEYKKVKVSLCYAPVSQKGREWRKTHDDLKKDKTCQFKVTEQAYAATGTVEYRVALDIPTATYFVRAYALDASGTKVAYGQTLPGATFDVVSITGVTTSIKVAAGVFSTFSIASLAFFFFIEKRKKNN >cds.KYUSt_chr3.27647 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172659477:172660547:-1 gene:KYUSg_chr3.27647 transcript:KYUSt_chr3.27647 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGINFTYPFYLSSERQELNGYQNSSCGYPGLGIICDDGKPILQLNGTTTNYTVKSINGASANVSLADPEFVDGSCPRVDHNVTFAPGAWLDFTAVDYIVFFLGCTFGPGLQQPTIGPITCQDFVKGVPGLSFVIPEESVPEEGNLSQACSLVIRVPVLKYDEAVTNDTAWTNTGYSEVLRQGFQVSWDKRPFPCAQCEQSNGRCAYSQTGEFLGCLCTNGQIDDKNCTATTYNSTVCIFHPPLPFHASDSECLPLVMALNLPPTEVLVRSLNVSDDCKRKPVRSRTIQNIQFALFL >cds.KYUSt_chr7.35983 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224844853:224845451:-1 gene:KYUSg_chr7.35983 transcript:KYUSt_chr7.35983 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGTRVRTNFPDDAGGTPSSSFLSPALVAKLHRFNMASGSQAAEQREKGASSTASVEARAAAAAASFAGMGYASAPSAEAAAAAGWSGGFLEEQYVEQMIEELLDSNFSMEISY >cds.KYUSt_chr4.12215 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74377676:74393716:1 gene:KYUSg_chr4.12215 transcript:KYUSt_chr4.12215 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALAASLEGFPYRGSRTAVCFELINEKEESSQVSSIVSGNKSGYVEEPLIKPLPPKERNAENEKKKKGTKKKKKKKKGNKKKEVTTYPRVYEITIGNRKYVAPDDYYDNESEYSDLPMPFTYISDHDLKEHTTFDIANLWETNSENDNVNNCHSISTIRASSHNDIESSKLGDEVFENPFATDHYMFDTSPSSNNDDVVTEFIEGLVETYPGLQYLDGFPQIKVVLRADVVGGAYDKVAVISGGGSGHEPAHAGFVGPGMLTAAVSGDVFASPPVDSILAAIRAVTGTMGCLLIIKNYTGDRLNFGLAAEQAKSEGYKIEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAADAGLSLADVAAEAKHASEAVGTMGVALSVCTLPGQVTSDRLGPTQIELGLGIHGEPGAAVVELQSVDVVVEHVLKQILSQETQYLPITRGSNAVLLINGLGATPVMELMIAARKAVPELQLEYGIAVDRVYTGTFMTALDMAGISITIMRSDERILQRLDAPTKAPSWPVGSEGKRPPAKFPVPVPPSPSMKDDEILSHRQEPTKQGCILEAAIEAGAKELIGLKDSLNDWDSKVGDGDCGTTMYRGATGILEDMKTRYPMNDAAGTINEIGATIRKVMGGTSGILYDILCKAAYTSLKQNKTITAYEWADALEASIAAVSKYGGASAGYRTMLDALIPASTVLKQSLQAGDDPVTAFIASSEAASAGAESTKQMQAKAGRSSYVAPDHLVSVPDPGAVAAAAWYRATALSVKKKLHGSES >cds.KYUSt_chr5.42522 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267927614:267929173:-1 gene:KYUSg_chr5.42522 transcript:KYUSt_chr5.42522 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTMALRSFSVRRQPAELVAPASPTPRELKLLSDIDDQEALRFHVPVIHFFQQGGDLAAVVLRDAIARALVHYYPLAGRLRELDGRKLAVDCTGEGVLFVEAEADVRLENFGDPVQPPFPGLDQLMYDVPGSSALLDTPLFLFQVTRLACGGSVFGVRVQHTMADGAGMVQFLAAVADLARGAATPTVRPVWAREILMAPHDDQSPLTRFAHHEYDDVPDTELDGTIVLPLIDDMTHRSLFFGPRELAAVRSHLPPSLRHSTTTFEILTGCLWKCRTKALAPAADEKMRMICIVNLRGRGLDSNIPRGYYGNALAFPVAISTAGELCANPVGYAVELVMKAKRAVDLEYMRSVARLMVHRGRPHFAVANGYLVSDVSKVGIRELDYGWGKSVYAGPAKGGVGVIPGVASFLIAVRNAMGEDGIAVPVCLPRPAMEEFMEEMSKLMQPAVADITPRIISAM >cds.KYUSt_chr7.5011 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29965102:29965848:-1 gene:KYUSg_chr7.5011 transcript:KYUSt_chr7.5011 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSARRPARHTVLHCTDQTTSTGLHQPNNYVKLYDYTKIYVEFYIANYITKVCANMVSVNILVNTAVAAHDTKTLPPPQIRCRCHATTKTRRRRRPAAAAALRQNPPPPQNRCRRRAMTKKPPPPQNRCRRRAKNLKSYDQKRAIFFAPSANTATPHMTTTTSTTPRPRLHHDRLPRHRPQQNVYSNSRTPVNSVRVVTLRPRYSRCDYGREEEVPEGDAGDEKEEKRMEARDFKSSRKRPLHSRYD >cds.KYUSt_chr7.38205 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238202502:238203121:-1 gene:KYUSg_chr7.38205 transcript:KYUSt_chr7.38205 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQDDASKEGNGAPTPLPPDPLTGSRVSPVDEKGKDGQCHDDASKEVTVPSGVAIEAPTTAPPTLKMEQEQDDGDPAHRCLAGRRHHHGAQLPAPPGTTVLACCQKPSPAGPPRPRWVEAGFGARSHGSRGASTARRAHLRRRRRAELVAGPPQHLAAESCH >cds.KYUSt_chr3.35804 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225017298:225018337:1 gene:KYUSg_chr3.35804 transcript:KYUSt_chr3.35804 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGDSSGGDRYRSHLAGEGEKDTVWRHGAPPTYDAVNSLFESGRTQEWPKGSLEETVQNAIKTWEMELSHKARLADFKSVSPGKFTLSVNGGRALTGEETLAVGSYNALLAGPILPATGAYDAAAETFESSHDLFRSAFPRGFAWEVVKVYSGPPVIAFKFRHWGHMEGPYKGHAPTGDKVEFYGVAVLKVDEQLRAEDVEVFYDPGELLAGLIKGAKNEDDGEAAALAGRLKEAATVSASTADPPQQACPFLGSAKQE >cds.KYUSt_chr3.34 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222876:225957:-1 gene:KYUSg_chr3.34 transcript:KYUSt_chr3.34 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAVVAQGTTSPLLPYAYAPLPSSADDARENQSSGGGVRWRACAASALVVLLVVVGFFAGGRVDLGQDGEVSATSSVPGSSRGKDSGVSEKESPADGGFPWSNAMLQWQHTGFHFQPLKHYMNGPVYYGGWYHLFYQHNPYGDSWGNVSWGHAVSKDLVNWRHLPVALVPDQWYDINGVLTGSITVLPDGRVILLYTGNTDTFSQVQCLAVPADPSDPLLRSWIKHPANPILFPPPGIGLKDFRDPLTAWFEHSDNTWRTIIGSKDDDGHAGIVLSYKTTDFVNYELMPGNMHRGPDGTGMYECLDIYPVGGNSSEMLGGDSSPEVLFVLKESANDEWHDYYALGWFDAAANTWTPQDPEADLGIGLRYDWGKYYASKSFYDPIKNRRVVWAFVGETDSEQADKAKGWASLMSIPRTVELDKKTRTNLIQWPVEEIETLRRNVTDLGGITVEAGSVIHLPLQQGGQLDIEASFRLNSSDIDALNEADVGFNCSSSDGAAVRGALGPFGLLVFADGRHEQTAAYFYVSKGLDGSLLTHYCHDESRSTRAKDVVSRVVGGTVPVLDGETFSVRVLVDHSIVQSFVMGGRTTVTSRAYPTEAIYAAAGVYLFNNATSATITAEGLVVYEMASAESRAFLADDM >cds.KYUSt_chr2.55000 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342924172:342925637:-1 gene:KYUSg_chr2.55000 transcript:KYUSt_chr2.55000 gene_biotype:protein_coding transcript_biotype:protein_coding MEETNRGKNEARTVSLPASATVQAEDGPFTDDLIVEILSRLPARSIHRFKCVSPFWRDLIADPAHRRKLSQTLAGFLYDTYDSVNSCFLEFHFATVSASTGAAPLVDPSLPFLPPDEYLYVSQIDTCNGLLLGLAHMAPPPSTVDNVMLESHYIVCNPATARWVDLPPHPNPNVPTHMMARLAFDPTISPHFHVLQFAETEQQQYLTGGYLHYATTPLVPVNKNKIKKRKEEEEESTSSATTMATVWYMKDYDSKEWVLKHTVSNDELWSVTAVDYKVAAIHPDCDTIFFYSFDADTLASYDMQHRKFHRIIHLEKNKSSSQFLPYVPLFSDSFAGADGHWLVLTLLLPWLLVGSKDKLKMIREVCDEE >cds.KYUSt_chr4.25411 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159596831:159599758:1 gene:KYUSg_chr4.25411 transcript:KYUSt_chr4.25411 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIQCFLNDAEKRRIKESAVNNWLGELRDAMYYADDIIDIARSEGRMLLAGCPSSSRESTKCGGISFFTCIPNVPKRHKIAVKIRDFNAELEKISKLGERFLGLQSMQPKAEVTNVKRTTNLVEPNLVGKETLHACKRLVKNVLGNKEKKAYKVGIVGTGGIGKTTLAQKIYNDKKLEGAFSKKAWICVSQEYSEVALLKEILRNFAVHHEQDETEGELSSKLAAAVTDKSFFLVLDDVWQPEVWTNLLRIPLHAAATGVILVTTRHDTVAHAIGMEYVHRVDLMSADVGWELLWKSMNLNEEKDVEKLRDMGFDIVRKCGGLPLAIKVTARVLATKEKTENGWRKFIDGSAWSVDNLPTELRGALYLSYDDLPSHLKQCFLYCALHPEDALLYRDYLVMDWVAEGFIEQQQDQLLEDTAEEYYYELINRNLLQPNGTYVDHVVCKMHDLLRQLACYLSREECFIGDPKSLGVINISRLRRLTAVTKADSAVLPSMDKGEFKLRTFNTDQKPWSVDETFFKRFPYIRILNLSDSLVHSIPYYIRKLIHLRLLDLDGTLISCLPESIGSLINLQVLSLQRCKALHSLPLAIIQLCNLRRLGLENTPINQVPKGIGRLEFLNDLEGFPLGGGGDNGKIQDGWKLEELAHLSQLRRLDMIKLERATPYSTDSLLTEKKHLKVLLLICTEPTDEPYSEEDVSNIEKVFEQLIPPQNLEDLVINSFFGRMYPTWLGTTHLASVIYLKLIDCNSCVHLPPIGELPNLRYLKIDGAAAVTKIGPEFVGCRGDNRRSTDAVVAFPKLETLIIRDMPNWEEWSFVEEGDAEAAEGGEDGSAEIQKGEAPSPGMKLLPHLKELELGGCPKLGSLPRQLGQEATNLKELNIRGASSLKVVEDLPFLSETLLIDGCGGLERVSNLPQVEELRVNDCAGLRRVEGLGNLQQLWLDEDMKELSSLWIPGLQQQRKQLHGEDLDVYDWK >cds.KYUSt_chr5.3517 pep primary_assembly:MPB_Lper_Kyuss_1697:5:22740838:22748435:-1 gene:KYUSg_chr5.3517 transcript:KYUSt_chr5.3517 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKAARSKAGQGGIWRRRVSAPFVVVLVAAVLVLVAFTGQFVQGPDEYSGKESALQVQENEEDIVKEAGSAPYTKCTPPSSTTVCDLSNLRFDICELCGDARTIGQSSTVMYVPHTQISDGEEWSIRAQSRKNLPWIKKVTVKSLNTSQPAPRCTSKHAMPAIVFALGGLTANVWHDFSDVLVPLFLTAREFDGDVQFLITNNQPWFTKKYSTILSNLTRHAIIDFDSDNQVRCYPHVIVGLRSHGDLGIYPNRSPQNYTMMDFRLFVRQAYGLAAPEVGIPYRADRDDPDKKPRVMLIDRGKTRRFVNAAYIIQGLEWFGFEVVMVNQNKTDSSSLDEFAQLVDSCDAIMGAHGAGLTNMVFLRSGGVVVHVVPHGVEFMADAFYGAPARDMGLRHVKYSISPEESTLLEKYGWNHTVIKDPEAVRSSGGLEKVGEVYMSEQDIVLNMTRSMGKAARWLRSFLTGKKGKGKGRGGDGGPLPSLPAPAAKEKRRWSFRRPAPSVSGRDTMSTASGYQGHGQLASTSSSHCFSADVHVVASVQEDQLEHVAVPVPPPAEAAIVAAAARSENGGYAEVAAAVRIQSAFRSYLARKALCALRGMVKLQAMVRGQLVRRQADATLRRIQALVAAQRRARAERLRLRLLEDGGAPTSRRSPQHYLQHRSPRKTVAEADGARHSSCCSTPGKRDLYSRHNQRASPATRSENTSARTFSDRFEEEHYSASAASFSAAGSEASWRHHRASASYMANTESSRAKQARSQSAPRHRPEPASPSPSGRRRASLDPRDLAAGQVGQARAPSPGRSSVDARGAREAER >cds.KYUSt_contig_1181.692 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:4781421:4781714:1 gene:KYUSg_contig_1181.692 transcript:KYUSt_contig_1181.692 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVLTRGAVATIAENQIWHRAPVVLQVVDTVWLQPLVRRPGRMSPNSSLGGYTLVLSDGVHTHEMDLITPLRHLVKNGRVRKGSVIRLVSYCTIFA >cds.KYUSt_chr6.7903 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48465869:48466664:-1 gene:KYUSg_chr6.7903 transcript:KYUSt_chr6.7903 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSGHSQPPLGPPLTSRCPRRPPARQNRPPPPPAAVETLARSAGRDHGAARNLGRAVHYDDRWGCSPAKKRAAKPAAKPRGGAKRPTPPASAAPPTTPPSRERLKKTTGRGKNAAEPSVNFMRMRMPPSEEAPLADRRKATKEKAKKGGDDDTKASLTAIILARKEMAADRRMLKKQEIDELRVAEERKAAAEERRVAAAEELKAQVENKKVTMEELRREQGKTNSCSWTPMA >cds.KYUSt_chr1.35589 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216938330:216939667:1 gene:KYUSg_chr1.35589 transcript:KYUSt_chr1.35589 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDARVVARHMRQKRSKAAGAPAVAALPDDLIPEILVRVDDGPALFRCALACKQWRDLVVDPSFLWRRWPKGARHRTSLLGFVARHGHICATWMPTQPAFIPVPRSLLGHSRRLLGSFFPCATNGLLDDAVPLTMRSGLILVRLGPSSDTSPDQIWEGIRLAACNLLTGKCDVLPPLNYDAFATGTAKFVILADTDYCSKELPTSLPRYSTFFKVMVIFYEYSATSYNMCTFSSANTSWSTPRRCIYHMNSATIYGSAVVSMGKVHWLLWNMGNFYIIEVCITTDHLSLTNFHIPLDYHEDYSSNIAVHLSPTVDGKVSLLHLYTTTCLRLQTWTRQGNKDSSDDNAVQQHPKVIELKYKPQGTANAFYMHVGEKTGMLLVTDRRKFTCIVNPETGKVDEVTGMFDDMDLYNIVPFEMDWAAFFMSRLEAFYPLGLSQFRQRLQ >cds.KYUSt_chr3.31152 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195538425:195541713:-1 gene:KYUSg_chr3.31152 transcript:KYUSt_chr3.31152 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHRVSAVIFDLDGTLLDTERATRDVLKEFLGTYGKFPDAAKEVQRLGQMHRESTTGIIADYGLPLTVEQYSEAIYPLYMKRWQSANPLPGVKRLLKHLHKNGVPLALASNSIRRNIDHKILKLGELKDCFSVVLGGDQIPHGKPCPDIFLEAAKRLGVNPSSCLVIEDSLVGVQAAKASGAKVVAVPSLQSQRQFYSMADLILYSLLDFHPELWGLPPFEDFILGALPVEPLLSNAQIGDVVLSNTHTIIAGEHTYDSIPDQISGIFFGWAKSEAYGVSKVVISIGWDLSLQNVERVMENISQALSITDEDQATARGALDLPALSEYAKARSALDLPALSDYAEPQNGFLLG >cds.KYUSt_chr1.1425 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7752619:7753106:1 gene:KYUSg_chr1.1425 transcript:KYUSt_chr1.1425 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHAPAVAANGAPSAGLLPPRRPAPCSAVPLPSMRSSSRTAPAGRVRAVGDVAAEGNTFLIAGAVAVALVGTAFPIFFSRKDTCPECDGAGFVRKSGSTLRANAARKDLPQIVCANCNGLGKLGQVDK >cds.KYUSt_chr1.27642 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166549823:166553324:-1 gene:KYUSg_chr1.27642 transcript:KYUSt_chr1.27642 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGDAFRGHFPVPAACRNRDSCPPDLGFAMAAALEAENRNWHFGISSIDRLLFLERQQDTKLKAYSRRSTDARRSDMSCFACFRPASAVDDEDGDGKEAAPLPLQPSASKRLGSRRGSLTRNNPALQHQARPSNITSCTVSTASSARAASAASTVAGSRTAWWWP >cds.KYUSt_chr3.27733 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173206150:173208057:-1 gene:KYUSg_chr3.27733 transcript:KYUSt_chr3.27733 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAAAEADAGAPPRKKAAERKRWADEDARSTAAPREDGGKEAALAECAVSCCLFCACLPVALLCCVARAPVRAARRCWRLRSRSRRPARRLAPGGSSSFSDAELGDFVQGRRRAMAGEDEDGRGRRSQLLKNTISLPKGDMAGITHAVRSFLSLLNLAEAQARHGSSREDDPRHRPSRCAVQTANGKGAANRSLGDDNREGYADTKTGWLSAWPTCILPGGRLTRCPPRDADGGCVRACVQVAGEMTRFLVPSGEDGDPDRSPVVDTFPSPHHRKTLAFFLPSARSRGGKSIRAGGSRDQSPAFYPVFSGRWVTNKITLHILRCPRRKYHYVKASAGRRSADIRARIGCSGFHWIRIVRSNSFLTSLSFSAPHQARYVPSFLSWCCLHADPLAKKGGVPSRVLW >cds.KYUSt_chr5.35171 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222392486:222394324:-1 gene:KYUSg_chr5.35171 transcript:KYUSt_chr5.35171 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQSKLLADLAVAVSSINAKLSEMHPAVLDLHTWRPNMERSVESLRAEVGDLRSRVIDLTRPSSSSTIPRGDLPPLLQLSTDASALNPPKPAVPGKEEHAVGLGGDGHGQIGHRDASNHRGDHSADHSIPGGTPAKGTYQIPGPGYDPSEFARSWGSHYHSFPRPPRVDFPLFDGDNPRAWRLKCEAYFQVCSMHPDTWVNCAAMYFIDGALSWLQSSEAHLRLPLWKDFAKAICAQFGRADFQLYLRQFNKLKQTGTVAEYTSKFNELMHNLTAHHNSWEPAYFVTHFIDGLHRDIRAAVILHQPVDLDTAVDLALLQEGVLESYKQEPRRTDFSPMPRALPRTAMPLPTPPQNRVSSSSPYRSDDRRISDAPRSQSSDDKVAALRAYRRARNLCFTCGEKYNREHRCGSTVPLHIVEELLALIQPAEEIEAPVTSASSEHGSQLMHLSQAAAEGGQGATTMRLQGWIQQHEVLMLVDSGSSHTFVSSDLAERLQFPRSATRPLRVKVANGGIMYCGTELADCEWWTQGYQFRNNFKILPLGSYDIILGYDWLTEHSPMNVDWVAQTMSFTQGCTEVKLIGVQPDVTKCPLLTQYQLHSLIEKSRVSRSR >cds.KYUSt_chr2.6125 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38159568:38164072:-1 gene:KYUSg_chr2.6125 transcript:KYUSt_chr2.6125 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRPAVAAALSAAPPSRARRVSFLSCRPLPAASCSRASKPLRAAAAPAVDEDAAAPSPPPSDPARGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDKMRKQAERWGAELHQEDVEFVDVKSRPFVIRSTDREVKSHSVIIATGATAKRLRLPREEEFWSRGISACAICDGASPLYKGQVLAVVGGGDTATEEAIYLTKYACHVHLLVRREQLRASKAMQDRVLNNPNITVHFNTEAVDVVGNSKGQMSGIQLRRIDTGEESVLEVKGLFYGIGHTPNSQLLQGQIELDSSGYILVEEGSAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVSNDLLVEFHQPVREEPKKEIEGKDVEMGFDITHTKHRGQYALRKLYHGSPRLILVLYTSPTCGPCRTLKPILNKVIDEYDETVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMIRTFSGVKMKKEYREFIESNK >cds.KYUSt_chr6.1093 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6944001:6949886:-1 gene:KYUSg_chr6.1093 transcript:KYUSt_chr6.1093 gene_biotype:protein_coding transcript_biotype:protein_coding MVASGVIKVVIKEIGSAIKGKFKLHKNLRKDMEKMKITLESVEAVLSEAERRSITDKPTRLWLKRLKDALYEISDMLDDFEADSNLLEGVVKKFKMPSKMKEMQQRLQKISEDHTKYSVPADARNDKQPVHDIRETLADAEETDPIGRTDDKQKIMGRLSKGIPAKTTFLSIWGFGGIGKTTLARLVYKDPQFEEYSKVWVYVSQKLDLKKIGNSVISQLSEKEEHSKIDELKIIHDRLGKLLAGNKKILIILDDLWERRTSELEKLKVMLKQAEGSKVMVVTVAERSYAREDATLFTMHDLVHDLARSVMADEFYLKGPNCRYAYLTDCTKPLKSSATSPAKIKALHIVDHPDGRLKENQFHANAYSQARHLHVLILDVSPYSLRVLPDSIGKLKQLRYLSVPHINGGTDLRCIAQLTKLNYLNLCGSTHLLGLPESISEMKGLMHLDLSHCRNLKKLPLSFGTLRELVYLDLSHCGGVLGITEALGGLTKLQHLELSECENLRGLPEVISSLTELRYLNLTRCVHYIFDRSSANQTESFIHCICTLPNMQQLDLSHNEYHLRIPGSAQRLRKLDLRGCNNVSGLPKYAAKMNGIIAEQLHRLPLFSVYAYGTECWSNLYLLEPTNPDRLHIEMLENVQCTEEANSINLSKKQKIRKLTLEWTSDANRCVEDMELLRELVPPTSLMEFMIDGYSSIDFPGWLMNISNYLPNLGRIVMWDLPKCTHLPPLAQLPNLRVLTLKGMENLEEWNTTDSTSSGPMFPSLQKVKICYCPKLRIKPQLPRAASWKISGSGNLLTSWGEIAAHIGASSSSSPVCTHLLVQYTDVPMLQWSLLHQLPALCHLRIEFCSDLTISPQLTRALYSLKSLKLAFQEGPMEWVGELKSLQHLEIQYYWKLQELSDNLRQLTQLQSLTLEECSSLTSLPLWLGELASLKELTVRSCNAIMILPESLTSLQELTLYDCDEIMTVPESLTSLQRLSINKCPKLEQWCEENYSRWNICEDYCASPDLQENDEERYDALELVRTLSAPPVLSQSEFLPDWTTCSSVMMERTSSW >cds.KYUSt_chr2.31003 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191134040:191137090:-1 gene:KYUSg_chr2.31003 transcript:KYUSt_chr2.31003 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCWLRPPLLLFLALSALLLPKGAAAEAACHPDDLHALRAFAGNLTAGGDALLRAAWSGGSCCGWEGVRCDGGRVTALRLPGRGLGGPIPGDSLAGLARLEVLDLSRNALSGGVSPVAAIPGLRAADLSSNLLVGPLPDLAALRGLVAFNASNNSLSGALGPDLCAGAPALQVLDLSCNRLAGALPSPSTPPPCAATLHELLLGGNSFTGALPAALFGLAGLRRLSLASNLLAGQVSARLRDLKNLTLLDLSVNRFSGRLPDVFGDLASLQHLSAHSNGFSGSLPPSLSSLSSLRDLNLRNNSLSGPIAHVNFSGMPLLASVDLATNHLNGSLPVSLADCSDLKSLSIAKNRLTGKLPEDYSRLRSLSMLSLSNNSLHNISGALTVLGRCENLTTLILTKNFVGEELPTDGIAGLKSLEVLALGDCALRGRVPQWLSQCKKLQVLDLSWNQLVGTIPSWIGELDHLSYLDLSNNSLVGEVPRSLTQLKSLVTARHSPGMVFTNMPLYVKHNRSTSGRQYNQLSNFPPSLFLNNNGLNGTIWPEFGNLKGLHVLDLSNNVMSGSIPDALSRMQNLEVLDLSSNNLSGSIPSSLTDLTFLSKFSVANNHLVGPIPNGGQFFTFTNASFEGNPGLCRSTSCSLNQSGEETNVNNVMPSAASIRNRKNKILGVAVCMGLALAVLLCVILVNISKKEARAIIRDEDVEGACHDTYYSYSKPVLFFQNSAKELSVSDLIRSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFRAEVEALSQAQHKNLVSLRGYCRHGNDRLLIYPYMENSSLDYWLHERADGGYMLKWESRLKIAQGSARGLAYLHKDCEPNIIHRDVKSSNILLNEDFEAHLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQALIATPKGDVYSFGVVLLELLTGRRPVEVSKIKGSRDLVSWALQMKSENKEEEIFDRLIWSKDHEKQLLSVLDTACRCIGSDPRQRPSIEQVVVWLDSVSP >cds.KYUSt_chr6.34160 pep primary_assembly:MPB_Lper_Kyuss_1697:6:213913510:213913773:1 gene:KYUSg_chr6.34160 transcript:KYUSt_chr6.34160 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFPAAHLQQQKKWHYPERNGAMVLVVLLCRRLRLFTEEPPPIEPLPQGMQRSKVKTAALQLRWAPAHQKCWAPKIRCFGVTDLVN >cds.KYUSt_chr5.6703 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41553629:41554027:1 gene:KYUSg_chr5.6703 transcript:KYUSt_chr5.6703 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIFNPAEESKAATAGGTKKKAEEDGSSQQEAPAMDAVAANRVGTDAAATAESDDSEVPYEDAPDDYDSEDEDPATAFDRIIAQFVARGRERDARFPGLTYRDYSVVNEDEPGAGAGGAVAGSAAESAQEE >cds.KYUSt_chr2.16488 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103733098:103734244:1 gene:KYUSg_chr2.16488 transcript:KYUSt_chr2.16488 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDPCPPAGGVQKLAAAAQGWMQGGAELLLPVASTICCCWPALQARENRFSLGARGGKVKIVSEEEELKGVKLGYWEEQVEILSEEEELKGVKRGYRKSMRHDKYHPRHPEMNRLGAPVAANGLRCIHQVQRGSC >cds.KYUSt_chr1.18373 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107461990:107462901:1 gene:KYUSg_chr1.18373 transcript:KYUSt_chr1.18373 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSFLIKAAPVAVIVAFLAATVGASSFVSVAPLPSDLLSSLPFLWIAANVIIIWLVTSNRHHKTAGMAVAAAMDNIFPSSEHDVFAASLDAVVAPVLVSVRQPREAKTAKRPADRPRVRKKSADEAKPPAAVVAEVKPMPIFKKERSEEEKPTAATATASMPDDTEDVSMDSAWQSIVRSGVARPVVVRKSETWGGEELPRMRRTADKAVAARKEMRKSASMVPPSPPHPSAASSSSSSPIAAKQAWRTRDVLVMAHDELLRRAESFIRRQHEHLRIQRQESDQRKAMEQDHRPRAHALIRV >cds.KYUSt_chr3.6752 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38813598:38821684:1 gene:KYUSg_chr3.6752 transcript:KYUSt_chr3.6752 gene_biotype:protein_coding transcript_biotype:protein_coding MASASITFVLNRLGELAVKEAALLSGVGDDIRLLRDKLEWLQTFIQDADQERREGANQYVGLWVRQTRDVAHEVEDVLDDFLRRLDLDTLQRGAPAWRRYLALAASCTTQVSVRHDLRGRMNGIKDRLKEISDNVDKYKIRKLRPAPHGASSSAAHNTINTVDAWYADEVTEVVGFEAERVTLKERLLEGDTSRSVISVVGESGIGKSTLAWEVYDSPIIRKEFDVRAWINVPPQIRDDDILYFIYKRVCPETDDHSSVTEKVHEALSLYLVDKRYLVMIDGLVNFTNWNSILQSLPQNKKGSRIMIITRLEDKEAAYTNPKVRPLKIHNLKEEDSKKMFQRRVFGVDDQFQEKIFGSRISQSEQLMDKACEDMLQITRGLPLAIVVLAGLLRTKSISEWDKVLKKLKSSDEPKQVKRILALSFDDLPSRLKSCFLYFAGMPENLIYNARRLVRLWAAEGFLKPKKGKTMEDIGQNYLKELISRGMIHLVKRDLSGGVWLVAIHDRLHAFAQAEAQEASFLEVHDSADLLAPGSVRRLYLQNYMKSYIPIGTSFPKMRSILGDFAEERSSNMEAAIVRAHGPLKNQGNNSDLRNHTLHFLPASKFLRVIDLRGLRIKKVPRAIGDMIHVRYLGLRSRSLVELPSSVARLINLQTLDIKRTEVKKVTKAFWEIPTLRHVVANRLELPKSAGVLNNMQTLTGLVCSDPSSNNIKPLHNMVYLRSLQMSGLGEQHWKALQDVLKKLESLMYMHLAGDDIPFKLFTKFTLRRLQILELTGKIDTSGVGTEDQYTLPNVTRLVLKLSMVDQMFIDKIGELPSLMELVLSDDSYSGKKLLLSNNGFNNVTSLVMTNLTKVEEWTIRPRSIPKIRRIVLSGCSKMKIKLEGKEGEAGLRGLMEDLKEVVVYNMPPADSIMVEPANPAFRKKINRVALKTKSEDITDVMQRGGRWRAGLMAENMFHN >cds.KYUSt_chr5.1467 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9963083:9964798:-1 gene:KYUSg_chr5.1467 transcript:KYUSt_chr5.1467 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAPILMILITFQVAALSATSSGLCISLPGCPDKCGDVSIRYPFGIGNGCAAASMNRYFIVDCNSTFHPPRPMVGDPPATAEIMDISLEHAEMRVYGPISYNCFTSNTTVMDNNTGGFDLVDTPFIPSTTRNRFTVIGCNTMGIIGGYTHSNPDLYVAGCYSYCQGINSTSNVAPCTGKGCCETTITPNLTDYAALLIINQSSVWTFNPCFYAMLAEVGWYSFRQQDLVGRLGFIKERAKRGVPLVADWAIRNGSCPKDGAKAPFGYACVSSNSYCVDAINGPGYMCNCSEGYEGNPYLPRGCQGDYVCKCGIGKRSDGKNSGCRPVLTQAEQVVIGLSVSSAVVIALICMLAMRFQRRKYRKEKDAYFRKNGGLKLYDEMRSRQVDTIHILTEKEVKKATENYSNDRVIGYGGQGMVYRGTLDHDKEVAIKKSKVINDKLP >cds.KYUSt_chr3.32226 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202453611:202453919:-1 gene:KYUSg_chr3.32226 transcript:KYUSt_chr3.32226 gene_biotype:protein_coding transcript_biotype:protein_coding MVLANTTESGGEIVAESHLLPAVAVGATSGEAIRRYVESSDADNEEVVLSFAGTVVDVQPAPVVAAFSSRGPNRQVPQLLKNQDPDEMQSQSHAVLAPGRRR >cds.KYUSt_chr2.8759 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55104368:55106313:1 gene:KYUSg_chr2.8759 transcript:KYUSt_chr2.8759 gene_biotype:protein_coding transcript_biotype:protein_coding MRQCGRDKDEHKQAALSSSTPQGHPRLIGFAATIRISDSSDLFQWMDASTVLKIPYRLLLGLKLAGLGFPRAKYSRRVYLDNRSCVVMLLRTTTISSKVCLSLCPYMGFILLVKRAEYTAAVEAIKYMEVAINTMIRDSNYNRLNQPEEHNTSFKHQLKEADKISKKDSLVAFVARARHWEKTVAARA >cds.KYUSt_chr5.35244 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222811541:222812354:1 gene:KYUSg_chr5.35244 transcript:KYUSt_chr5.35244 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVGDALMAQPALEEILLRLPTAADLARASMACISFRRVITGHPFLRRFRILHPPPLLGFLCGNLIPAQPPHPSAAAAATFANTDFSCSFLPPSIDRWCLRDGRALFSPALEGYSDDYNPRDLVREFAVCDPLHRRYLPSPTISPSAGHRGMRALPCSSRPSAGQWLAGPVTFDMFRGWASQRYYAHGCFCWGIFRADKLLLLDTRRMHFSAIDLPPAPGRAMRDMAIVETGEGMFWDVYHL >cds.KYUSt_chr7.8986 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54639357:54640046:1 gene:KYUSg_chr7.8986 transcript:KYUSt_chr7.8986 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALATLSATAAAAAGIKRALLSRPSSTLSFASRRLASAAPLRAAPLRNAPTRAASATAAPAAAPIAVGDRLPDATLSYFDAPDGELQTVTVRELTAGKKVVLFAVPGAFTPTCTQKHLPGFVSRAAELRARGVDAVACVSVNDAFVMRAWKDSLGVGDEVLLLSDGNGELTRAMGVELDLSDKPVGLGVRSRRYALLADDGVVKVLNLEEGGSFTNSSAEDMLKAL >cds.KYUSt_chr4.27936 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175529133:175531474:1 gene:KYUSg_chr4.27936 transcript:KYUSt_chr4.27936 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGGGFFDSRGGGAHHPLPDYHRAHPAKPSRIRRTAKPARRRSPALAAAAAALLLLAGVFLLSRRLSRDPTEIGEDSGGGEGLPEWNRSKNWKQLKFGHGGGGRSARDSRYWDQDDRRRDEDYSEDEKDKVSGGGGKTGDAGGSTEKGDPGAEEKGLTLETGGGAEKEVGEVAEGGKGGTLYNEGGRKELEQYEAASIGGMREVDPDDEYDDGFDAQDDPDDAQMRSSDGGRKLGDGIHESVEKEENVALERQLKAGSRISDGGDTANMVQKKASGASDKKHGSKKKSKRKKSGSTCEMRFLNSTAQLVEPPKNEKFASFNLNYVEVEEKPVGSEYWEPRFGGHQSLQEREESYVAHDQKLTCAFVKGPNGTSTGFDISEDDRKYMSKCRIAVSSCIFGNSDRLRTPFGKTV >cds.KYUSt_chr2.16452 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103532350:103539870:1 gene:KYUSg_chr2.16452 transcript:KYUSt_chr2.16452 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSHSHTARRRSGLGPQLCAVAAALLLLLSLAVLHSRLSSSSPFPLSSSSRSSTSDSAATNSTALLADDDVDAVAAALDPDLTLTTTAATEGAAATNPDDDRIDELDVLDEDDSSAAATDAAEDVSASATAGSLLWDHAAGVARQPFRLPAAGDPLPVGLPHSDLIAAAAAFGSDDEPVDLDLRVEISSISGVEDALLLKPASGRSETPLRSGWARWLEGKADYLRRDRMLRSNLELLNPRNHPLLQDPDSPGLTSLTRGDRMVQRMLHAEIEKDSSVNFGRRSLQSSDNEHGTGATVKEEPSEGRRWGYFPGVDPHLGFSDFMDKFFEFGKCSMRVFMVWNSPQWAYGVRHQRGLESLLLQHPDACVVVLSETLELEAFQEFVKEGYKVAVAVPNLDELLEGTPTHIFASVWYEWRKTINYPLHYSELVRLAALYRYGGIYLDSDVVVLKPLKSFRNSVGSVKQVSKDSTFSGAVLVFEKKSPFLAECLKEWYSTYDDTLMQWNGAELMTRVIRNSSDNGQNREHLEIGLEPSFTFYPISSTDIMSYFSKPDNDAERAEHDALFSRIVNDSTTFHLWNSITSSLVPESNSLVERILNHYCLHCIDVL >cds.KYUSt_chr5.1122 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7751059:7751481:-1 gene:KYUSg_chr5.1122 transcript:KYUSt_chr5.1122 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSTALLLGASVAVLIVISAVTFLCANRVHRASRRSAVGDQDAELGRGCAAAAPGIDEAVLASYPTAVYSKAGTGKEEEEGTQCAVCLVEYADGDELRRLPGCLHAFHRRCIDDWLRRRPSCPLCRSSPPPTNTSSAC >cds.KYUSt_contig_1358.88 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:536880:540525:-1 gene:KYUSg_contig_1358.88 transcript:KYUSt_contig_1358.88 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDTFQADGGSDSRYVLSDLPSDIVVHVEEARFYLHKFPLLSKSSLLQRLIIEASQNGADEVYLHSMPGGAKAFEICAKFCYGMVVTLNPYNVVAARCAAEYLGMTEDVDKSNLVFKIEVFLNSGIFRSWKDSIIALQSTVALLPWSEELKLVARCVDSIAAKATASPGTVVWSYTYNRKAASSDEIVEALRCSSSSQAVPRDWWVEDLCELDVELYKRVMVAVKSRARVPPDVLGEALRAYAARWLPESCRDTPASHDDACSMEVLETIVWLMPSDDGGASSCCCSCQFLLNLLKLAVLVGAGEMLREELMDRVIPLLHEASVNDLLIPARPPTETAYDVQLVEGLVGRYMRRTVRNAGDGISLDGIDREDVFETYMEADESLLSLCKLVDGYLAEVATDPNMPVSSFIGLATSMPESARPAHDGLYTAIDVFLKLHPDLSKSDKRKISSLMDVKKLSKEACIHAAQNDRLPLRVVVQVLFFEQQRAGSTSTPLLLATSAAGDGSTRLELEDECWKNRALALPLPEPPTPTPTAALRNQLGSMSLKLVDEDRRRVDGGGDRRLARSASIANQSSRLSLSSRSRRIFDRLWVAGKPLGEVVSKSSDTSGSSQSPRSSGKPLDSNKSSSRSRRYSVS >cds.KYUSt_chr3.4304 pep primary_assembly:MPB_Lper_Kyuss_1697:3:24464493:24465884:-1 gene:KYUSg_chr3.4304 transcript:KYUSt_chr3.4304 gene_biotype:protein_coding transcript_biotype:protein_coding METKRRRQQGEEGSRRGSVASDGAISLEALDCTVCYHPLRPPVFQCAVGHVICSSCHDKLLNKNKCHACATTGGYNRCIAFDHILESVRVPCSNSFYGCTAKTCYHERDDHAKSCLHAPCFCPEDWCGFAGTTTALLRHLTAEHRLTATEFAFGHRFTLQVQEGMQVLHKKKGSPLFLVKFMPVPPFGKAASVLCVDPHAVVGECKFRCQVDFHCRTMGWRQNSDFQIRSTTLSGGLPTEDGSYSFVMPNVSSNLPTDSSVVMCVTKITDDDSAWSDW >cds.KYUSt_chr5.7691 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48446459:48449740:1 gene:KYUSg_chr5.7691 transcript:KYUSt_chr5.7691 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKKPTAAKAETTLDVGAEEGRVLTLLEVDPATEDPALLNAIRNKNSAALSSMLDPRDELSAKDIANAADAVWCLVVNGHHITGNLNLLTNLTPVYDRWVRTPGGTGPPMQVCARGSVNCNRIKLDDVWYVPGATGNMVATAQLTQMDLTVLMSLDCSIARLDGTIVGKGRHGSYEYELDFLDAISETTWYIVSNAAEHMTGNLHLLTNFTPTRPGRPVRTHTGEMLQVCGKGSLSSTQLMVPGVSYVPGLTENIISVTQLVDSGFSVAFGPGGCIVTRNRNGDRVGYAFHAGGQLYQLSDLKVVASK >cds.KYUSt_chr3.30822 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193393804:193396067:1 gene:KYUSg_chr3.30822 transcript:KYUSt_chr3.30822 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRHLERPSVSARNGGTLEPERIPGPEVKIQHTPGNKRRCGVVNCAWMLVLTGRGGEGEKQRVFSLSSSTRWWLEVVLSLDVAFPLRFGSRGGDQDVEEAGPRGSREDDEASPAEIPKRRHPLPLLLSADGGAPLLLSCYSEDSASSIVGGSPAFSTAHITASSPSGFVPEVEKVAVAASSLSNVSLGPRVRFAFLPPQELRPCAVAYKQPTRSSLRQRSKRKPECLAGEAFSVLNIALPAPGVRTKPPSIPTPAKIREMFHSLGADHLATPTPTSAAAVAGAGMDVTLAYLPLNENDSLDMLLYDVLREASAMAAAPAPSSSPPPKLPAEAVAADDDLAATIAAKGGTAAAERHYRGVRRRPWGKYAAEIRDPSRNGARIWLGTFGTAEEAAAAYDAAALRFRGSRALLNFPPALAADAHRGRAAN >cds.KYUSt_chr7.25323 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157902111:157909735:-1 gene:KYUSg_chr7.25323 transcript:KYUSt_chr7.25323 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTSTAAPAAPRPPRPRLHAPPNIPTPPRPAQHRRAATARQASRGPGPSCAAPPRPAPTRRSAARPDSTPRRLGTCAPRHAQACAAPPRPAPACAPVHAPACAAPPCPGPVPPNTAPASLIPPHLVTDASRLQHFGRFHVPDSTIILSFDLWKKEIQPAWVPEDVWVRVSGLPPVALDDYLALWAFGDVFGKTKELDIVFTRQNNVLRILITCLDPSMIPNTWDLKIKHEFFRLTFEVEGEQETNNFDVSMSDVHGDGGDDESNTNDQDKPVDGENDRNVKRTKNVESANDGKGTSNSPPMATKTSLLMNKDSSVVLDTGHLMLPNSENTPRYDDVLSRSHNLCLSPKELYSSFSAVAEGAVEYDPVVPRADLISISNKQKNDVLVPEFDVDSEETGGTSITLALHAARRSIHAAPRQEPAAQTPSGPKTASGPAASQPTSHGLFDIMHSEGASNPGLSLAVDTVISCKSLPSMAVEDSEKYAQTVLPDTVIDNKAGKKTSIVADVHGTCRSDLAGAGRVALATPTISKPCPLVGSASPMGMGPSFRPESAPLSPNEKNGLKIANEKLNKLRRDEEIKWAQRAKVEMGRRDDRGRPAGATSRQMKTGRSNKADQDYMLH >cds.KYUSt_chr2.13149 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83358976:83362285:1 gene:KYUSg_chr2.13149 transcript:KYUSt_chr2.13149 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNAATVTHYRAPSVPPHSRASSSSPARSRRPPKEHAAAIHLSLQDASRPALTSFLQSILPPDQALPLLDLHCTIPVRLSSCLRWPPELLHGFSGKQGDMAVDKELLVGDTISLPPHRPITKTKPSASVVPENGCDSTVDGPAMATNNRKNHTSQLEKEKFKKQKSNHITVASMA >cds.KYUSt_chr2.51514 pep primary_assembly:MPB_Lper_Kyuss_1697:2:321927562:321927840:-1 gene:KYUSg_chr2.51514 transcript:KYUSt_chr2.51514 gene_biotype:protein_coding transcript_biotype:protein_coding MTQYACGWCGGSYHGVYTGDLFASLLSPAAPFSSPPSLLSSLAGPTETMWVVTGDGLHGLLLLPVVVQVQLQHTLLKPSHHRTKSAKTMNHN >cds.KYUSt_chr5.42746 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269303319:269306491:-1 gene:KYUSg_chr5.42746 transcript:KYUSt_chr5.42746 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFLLSVLLAAAAVALLQVLKVALNPVSDRAPPGPWKLPVIGSMHHLVNVLPHRALKDLADVHGPLMMLQMGQTPLVVASSKETARLVLKTHDTNFATRPKLLAGEIVGYEWSDIVFSPSGDYWRKLRQLCVAEILSPKRVLSFCHIREDERIRYEADAQSSYSYISRGKTISYHGFTNTTNLKSRITEQATVEKAFNT >cds.KYUSt_chr3.22098 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136129427:136130530:-1 gene:KYUSg_chr3.22098 transcript:KYUSt_chr3.22098 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKEEDKPVKVSPWNQYRLVDGEAEKVYNLAPGKNQAPRKCAPFICFGRAASELEAASPPKVSSSNTLNSLEDSSGLTKKKVTTNDPITGDEIKGCLKSNSKRDSSEHCIVVSEGEEPRESLEEVQTLKSGMERRKVQWTDTCGKELFEIREFEASDESLSDDEENEGFRKCECVIQ >cds.KYUSt_chr3.6365 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36474628:36474879:-1 gene:KYUSg_chr3.6365 transcript:KYUSt_chr3.6365 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRPPSVVKEIVYGMSLGLFAGYMWKLHHWSNQRRTREFYSLLDQDMITVVVDEPQPSPLPTVDKPVEPPPSPLPRRDHED >cds.KYUSt_chr5.2078 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14135895:14136380:-1 gene:KYUSg_chr5.2078 transcript:KYUSt_chr5.2078 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQPALHPPAAPCASSSSSLGPLQEIPTCAWTAPVREIPPLRVDHVAARAPPPALGPRRRKIISADYCSRPGPGRSWGKPGNRAPAFCRRPSVKVDRVLVLIAGAFMGDQAASGCYGEVIGGWGVLLLMGIGGGGERRWPAEVRRTDEGAPPSALASQAD >cds.KYUSt_contig_1158.85 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:527684:537786:1 gene:KYUSg_contig_1158.85 transcript:KYUSt_contig_1158.85 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARSQVNKPHKTRFASKASRHSHKIGTLLPSYLQTLRSSPVSFYPSLSALSCKTPQLTGGLDVVSADKRSGKPEGSHRAAVKGARNARVQRSKAIRDQKRAALLKEKRTSSGSSSAPRVIVLCGLSSSANVRPLAEDLLTNAAGDEKLTSSTVASPTYKLRTTVLQAPYGDLVSCMELAKVADLLAFVVSANSLYSSDSSSPIDEFGEQCLSVFRAMGLPSTAVLIRDLPSDTKTRQELKKAVVSFVSPELPEDSKFYPAETKEDLHTFMRLFKEQHLSSPHWRNQRPYVMSDEACIKPGGSMGLCTLLVSGYLRTHNLSVNQLVHVSGAGDFQLGQIDVLKDPFPISERKNSDVMDSDDNQIQIVDTFVPDPLNQEPLLVENIPDPLAGEQTWPTEAEMEEAYESNKQRKVVKRKLPRGTSEYQAAWIVDDTDDEGDDSEDDNPDGAGMVIDEKDHSEHDSDSSDVDAASHFTEKFDQETIGGTEMGDDENLTKEQIEAEIKKIKESNADDEEFPDEVETPLDVPARKRFAKYRGLKSFRTSSWDPKESLPPEYARIFAFDNFTRTQKLVLAKTAELDEGSSKDCARIGSYVMLHVKNVPTDVASKLCDPSRRSPVVVSGLLQHESKISVLHFSIKKHDSYEAPIRSKETLIFHVGFRQFTARPLFSSDNINCNKHKMERFLHHGRFSIASVYAPISFPPASLIVLKNRDGEQPAVAAVGSLKTVDPDQVILKKIVLTGYPQRVSKLKAIVRYMFHNPEDVRWFKPVELWSKHGRRGRIKETVGTHGSMKCLFNTSVQQHDTVCMSLFKRAFPKWPEQLYQI >cds.KYUSt_chr5.25959 pep primary_assembly:MPB_Lper_Kyuss_1697:5:164684324:164694498:-1 gene:KYUSg_chr5.25959 transcript:KYUSt_chr5.25959 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFPATTSPPLAGPAIHRGPAIARLISGSLSRRPLPAYTAQTVSASAASTAPKPRGLLLRCRAGEGAVPGQVEAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGSDIGNKAKEYMDNGMLVPDQVVTDMVVSRLSQPDVREKGWLLDGYPRSYAQAQSLESMKIRPDIFVVLEVPDDNLIDRCVGRRLDPVTGKIYHVKNFPPENEEISARLLTRSDDTFEKVKSRLETYKQNSEAVLPTYSDLLNQIDGNRPVEVVFHEIDSLLQKIRANASEDKLAKSNGKPPDSLHTAASKNYRGIPTRLNNIPHSREIRKYFYDDVLQATKRAIEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRDLSLTFANDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEIMDWGDYGAKGAFINIGAVGASEVDKEDDMFVLIAPQNAVGNCIIDDMKAMTDAAGNRPVILVNPRLKDMPASSGVMQTMGRDMRLQYAASFETCYSFRLLFYAGTFYPIMGALRMAYPNKYEIYRRVDEPNGKEKYSLLAEFTENPTADDITNAFKGPKK >cds.KYUSt_chr1.11171 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68368610:68375397:1 gene:KYUSg_chr1.11171 transcript:KYUSt_chr1.11171 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIFRAGFSGRDCGEVGRCVDHPLWEARDTAKPPEQSFLAGIPWRLPRYTSLSHGRRMKRCGSLQRALSPWPLIQWTEVWAAIGRTLAFLDDVGLPCAWLVLRWGSRARRTPGLAAAWRCHSQAVPLCRHGDAVVLCSRLYQALASSDDHVIISCLMVAYCTPVYALLGSNKMIKDTSLNLLIKEIKKMNIV >cds.KYUSt_chr7.23480 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146222469:146223764:-1 gene:KYUSg_chr7.23480 transcript:KYUSt_chr7.23480 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQLGFQQGQGGHAGGRGFYDEQNFATGGQGGYSLGVFGQQQGGQQDFSGQFHQGNSGFSLHNSSAGFGEVRGNCVLPSQPKPVAALIGGGDDGLQMFTSLTTKRIDAKKSKHAIAIVTVHSGAVPTQQLVDAFSRQFQWGWEWTAKAYMKNSYLVKFPSVQKIDEMKAFNFFGLVGHKATVRVNRWTNSYMAKFKLYSVWVKVTGIPETMLHYQGFCEAASLIGKVYEIDMELYRSCEVVRAKVGVKDPRKIPFSAPLNDDEYIYDIYFELEDIVDEGGPMLGGILVSDNDTVRPSQDSANMSTGDLKRARELSQLDNSQTSKSP >cds.KYUSt_chr4.27938 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175542963:175545823:-1 gene:KYUSg_chr4.27938 transcript:KYUSt_chr4.27938 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKVWISLLLALAVVLSARAEEAAADVEAAVAEEAVLTLGADNFDDAIAKHPFILVEFYAPWCGHCKSLAPEYEKAAQLLSKHDPPIVLAKVDANDEKNKPLASKYEIQGFPTLKIFRNQGKNIQEYKGPREAEGIVDYLKKQVGPPSKEIKAPEDAATLEDGKIHIVGVFAEFSGPEYTNFIEVADKLRSDYDFAHTLHANHLPRGDSAVERPLVRLFKPFDELVVDSKDFDISALESFIEASSTPKVVTFDKNPDNHPYLLKYFQGTAVKVMLFLNFSTGPFESFKSAYYGAADEFKDKEVKFLIGDLEASQGAFQYFGLKEDQTPLILIQDTESKKFLKEQIEAGQIVSWLKEYFDGKLSPFRKSEPIPEVNNEPVKVVVADNVNDVVFKSGKNVLIEFYAPWCGHCKKLAPILDEAAATLQSEEDVVIAKMDATANDVPADFDVQGYPTLYFVTPSGKKVSYDGGRTADEIVDYIKKNKETAGQAAAEATKEAAATEPLKDEL >cds.KYUSt_contig_2087.59 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:374686:380322:-1 gene:KYUSg_contig_2087.59 transcript:KYUSt_contig_2087.59 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDRLAVCAATVLGDPLPASDDHGLLVHPSADFSAQALVSSPQQYQEMYERSIHDPAGFWSEIAETFYWKKKWNPDEVCTENLDVTKGPIKIEWFKGGKTNVCYNAVDRNVEAGDGDKIAMYWEGNEPDQDGKLTYSELLDKVCQLANYLKSVGVRKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADAIAQRIIDCKPNVVITCNAVKRGLKLIPLKDIVDASLVESAKNGVTVGICLTYENQLAMKKEDTQWTTGRDVWWQDVVPDFHTRCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPTDVYWCTADCGWITGHSYVTYGPLLNGATVLVYEGAPNYPDPGRCWDIVDKYGVTIFYTAPTLVRSLMRDGSEYVSRYSRKSLRVLGSVGEPINPTAWRWFYNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVKPVIVDEKGRELDGECNGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFSGYYFSGDGCRRITCPYINKLNLSFRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDDLRKSLIMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPGVVDQLISLSDS >cds.KYUSt_chr7.4345 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26247949:26248341:1 gene:KYUSg_chr7.4345 transcript:KYUSt_chr7.4345 gene_biotype:protein_coding transcript_biotype:protein_coding MALDQPDLPGEIPCKPRSEDECAGWDDNTGGANSLRTPTIVDLRVLLKSFQSTWGRALKGLEELAHQFRYRRPSYRSVALEEHGRQFMAKERLAWYKMEEKRAANEVAELAANKEAARQHAALANTLEAE >cds.KYUSt_chr6.11555 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71778224:71778451:-1 gene:KYUSg_chr6.11555 transcript:KYUSt_chr6.11555 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAQFINAGYHVVAKQALNVGVNRVVFCVFRDLLALSVLVPIAFFRHRGSPAQARPPPVTRRLLLSFFLLGLTG >cds.KYUSt_chr1.16260 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94461186:94468014:-1 gene:KYUSg_chr1.16260 transcript:KYUSt_chr1.16260 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATLAAARFPASVALLRTRRSPAIAAPLCPSGLRHRSRGFAMAAAPSPAPVPASDPPPKGADLFFRSVLSKMQKVYLSRNPTAEKILGLVHSYDGDHICFDHFAFRTFGVDGYGINSLADFFNDFGYEPREELRFPAKKLRALWFSPPKSDGYTGTGISGPLPRIFISELLVDELSAPSQEIICKYIKTSAKGNKYAVLASTSGELTWEKPIYSDFQALSRESEYAAWTLVNGYALNHATVSTHRLESDIRSISKFNKFVEDNGFKLNTEGGILKVSPDGLLQQSSTVADSSLFTFADGITESIPRSYIEFAERLPLPQFKDLQDKEVKEHHRRDGFEVGNADKIFESTSRDQLTRRQRCTTRERLTAGDLEWLTAGAFAFAGDHLACGNASSPAPSNSPVVSGRYRRRFTQFAGGKPFRRRFAISPGASSYRRRFSFRQRYY >cds.KYUSt_chr5.41473 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261648865:261649275:-1 gene:KYUSg_chr5.41473 transcript:KYUSt_chr5.41473 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKWQRKVASGAGGQQADECCSTVADKGHCVVYTADGARFEVQLAYLGTTVFGELLRMSGEEFGFTNSEGGRITLPCDTVIMEYVLCLVRRDASKEVERAFLSSIAGHCHGQDAPMGLAHQLILCT >cds.KYUSt_chr5.16651 pep primary_assembly:MPB_Lper_Kyuss_1697:5:107124407:107130309:-1 gene:KYUSg_chr5.16651 transcript:KYUSt_chr5.16651 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEYTSWMTFKNKTTGLGWNDEANTVVADDDWCKKMGEENKEFLAFRKRGPEHLDDMSRMFENVLVTGESSFMPGTISGTVLPEGAELHNLDEDDDDEVHVTPSSRGKRGAGQLENPKKKNPVQRELKRMVDHMINEDASVASSKITIVTEIENIMFELCYGQKIILKTLSLGYYKLYFRRAEVCRNPAGVSLEPLRHRTEFVLFLTSASHRAKTSSPATPTTVESITMSSMTPPPTSEPILATPISSAPPPFVPVQLESSKDSGKDAEGTSANPEKTSEADQAEHKAEEIAAKKSEARQRDSEAKGKWWPCTTTKMELKNLEAEGFLRPGSWRTVPGSLAPAPQDGEMVVTKALVERGFSFPPSDFFSEIMKAYGLQPHNISPNSVLAISNHVTLCEGHLRVPPELPLFQYFFSIKKEKIRQTSELATCGSITFMLRPGRVYPPTDRHETARY >cds.KYUSt_chr1.2305 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13521744:13526027:-1 gene:KYUSg_chr1.2305 transcript:KYUSt_chr1.2305 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHGGFNPNNLYSPAYEQREPGLGPDGDPFTGRRGPLEYDGAGAEEDDGVEEEDDEEEEGVEDDEEDDDEDEEGVDEEDDEGAGDDDLVEVDADGVRTKKKKKKASGTRGPKWTPTEDLCPCESWATVSHDSIIGANQKGGKYWARIKAEFDERKLINKQLPKVTMKRSRKAMSTRWAIIQCVGELLPWPSSPRKMGGGNDNHDDRDKGLMSNLMHGVAGAGGHGYPPQGYPPQQGYPPQQGYPPQGYPPQQGYPPAPGGYPPAPGGYPPQQHGYPPQQGYPPQQHGYPQQGGYPPAGYPGSSGHGGSHGPGMGGMLAGGAAAAAMAYGAHKISGSHGGHMGGGHMGGGMMGHGGYGVGHGGYGGHHGGKFKHGKHGHGKFKHGKHGHGGMFGGGKFKKWK >cds.KYUSt_chr5.30876 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195499870:195501255:1 gene:KYUSg_chr5.30876 transcript:KYUSt_chr5.30876 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLSSTAPRHHPKPTDADAAAAAAAASASASASYSASACAGKGKASACAGKGKTSAGAGKGKASATAGAGKGKTSAHAGKGKASADSRKQVVQGYSKNRLVQATVWFPFLKEGQGEIDGLHWDDDKLEKEIDGCLRQLKDNPFVYYGGAIDDESFLFLDEQQLTMLNRRLALCRIRAYEDKYQDMDDETLSGLFPNDDLDANEYYLDYERSFEWYFDDVYCQYADFQDYQRLVLRNTGEYEKWEYYRRTCSTLESDQEYVKFWETLLSTTQLIGWYITRTTCESRIERLFYYHTLKIAAVHPNVYKTLIRSGCIEFRRSLQVNYIWSVPYADFLFEMWKLLTGEKLSFKDALKRLYTNGKHSSIFAIQAEFEPNLHPLKELYKPFLDHIGEKAAEEAHQLIMDYVTRHERQPKTYYDYAKKKLCIAEKIGLIPSSSTKAPCGNEVNTHTVKQGIGSRGI >cds.KYUSt_chr2.8136 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51206347:51209683:-1 gene:KYUSg_chr2.8136 transcript:KYUSt_chr2.8136 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSGSERGHGGEELGSGALSRGEDAGWDRGPELVRWEPGGWPAARALDYRQARILFSILFTVAPEVAHDPNLGNRSVFVTGVTRITTVNDLIKLFPLSTDGVAAAVVRDFDTGERAGLVVLANEADCDEAIASPVVKCLKARDVLMAHPGLLADAAEDHAGRSATAARIQSLIPAAYVEEDSLVHLRCLFLIGEYKLKDLDEEPLLAKVSVCAIVTFKELAVLVYEDAVTAEKVARSLAESGQFRIYDSTMFPLRDMAGAAAGDHQLRGIIPSCFTQPEYLGRIVLFKGLNTENWDARDVARYVNNGMGGLEALILHRSQDLVFGVFSNTRNARLQLRETEETWISIFGLPLTCEILDDDELFAPQDEPVVAQHPMAQNEPLVARDEEDEQELVAQNEPLVAPDEEDEQELVAQNEPLVAPDEEGEQELVVQNEPFVAPDEKELAEEDEQELVLQNEPFVAPDEKELAEEYEQQLDIPACRINTQVLRLSTELVKSRINRDIKACAPDEVERIAEGLIELTVFSQPFAVRYSDFPDRAVLLLGIQPDRREDQLGAALRAYAGPHALAFSFEQGAALVLFEKLSSASEFMQNRLRSCWSLDVGNCQRVPGAERSAEIADKVYAELDLLYDTLMRCVNFGL >cds.KYUSt_contig_662.117 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:645745:647575:1 gene:KYUSg_contig_662.117 transcript:KYUSt_contig_662.117 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQPPPAAAPTTITDLGDDLLRQIFLRLPALPSLVRAASACRRFRRTVCSCPSFRRNFRAVHGPPLLALFLDTFMKAVPTFPSPPPLRRPDRDLFAVNFFDVFRPRAAGPGWEVDASNIQTNCGHVALVNGSTGQRAIYSPLTQSLKVYPRPSTPGKSSILHGTQLEFHTLTAGEDGQRPSRVVCVRHSHLWARPRVAVFSLDTMEWQFFPETTKTLLHVNETAMDATVLRGFVCWAHRRNEHILVLDAATLRFSLMDLPTCCGCGCTTLKLGETEDGKLCVVGIMENACVAWLWAGSDAGAGEEWTKYKVFPLGPIVKEATKCSEEEEGRIPVEVVGVVDGFVYLSVFYSNGYDKKYRMLLSLCMETAEMKEVLKNGVWYAEHAHPYIMAWPPSLVVQIKEESETEVTEDGVARDAPMDMLKSPSVLATALQSFKEALMNDDEENSVEMVAFVLPVEDEKSSLVSKITTLDAQFTTLRDLILRTSA >cds.KYUSt_chr3.17881 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109748084:109749460:-1 gene:KYUSg_chr3.17881 transcript:KYUSt_chr3.17881 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIAFYLEVATAKRKSKRQASPRIRYKVEYVGNSSSNWPAVFLLHTYICRSSPATSHRSHTTRFPVSTSSSDLMATCNKRPAAVDRPGATTCRKRRRVVGTTADYDTETRLGEGMYGVVVKARHRATGQAVAIKSLCNPFNEHEPADARELLREARFLEACGGHPNIVGFRGVVRDYVTDELCLVMEHVQGKSLHRLLRESRGGLPEATARAFMWQLLAAARKMHGCHVVHRDLKPANIIVGEEEGILKICDFGAALSLSEAPPYENAGTGWYRAPEMLLGFCIHDALVDTWSLGCVMAEILSGERLFQADGSVSLLRRIFEVVGAPDDATWPGFTSREFAPEVQQVLTGQRSTLRDIFPEEKLSKEGFDLLSGLLTCNPDKRFTAAAALKLPWFASVAANAQPLLLPAAAAATTAVSIKEEEVETAPSTLRRKRVTAKKSMLPVKKKTQLIVPPAT >cds.KYUSt_chr4.23478 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147818707:147820308:-1 gene:KYUSg_chr4.23478 transcript:KYUSt_chr4.23478 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGYIAKNRDMPVEEVAERFYNELMNRSMIQPSKKKKIIPSFGVGHSTIHSMLLQIILPKAIEENQLFLIEKQCNGVPQSKIRHLVVSRWNKEKKLENINLSYIRSLTVFGDCPVSLISPKMRLLRVLDLEDTTDVKNEDLRHIGNLHHLRYLSLRGKNISKLPSSLQNLRYLETLDIQGTKVTQLPPGIVELEKLRYILAGVKFSKDLLQKVEQAEMDNQKTTQMGNMAAFVCCNRSEISNKYQLSVKAPKGIEKLRNLHMLGALNIGRLHGVARRLEHLTDLQRLGVTVTGLSEKGSQELCHSIGKLGRLQRLEVRSHSLGFLAKMDESTTPKHLASLKLLGNLCLLPKWIASLNDLTKVRLLGTKLEQGQVDILGNLRSLAFLGLWEKSYIGESLCFYTSKFPKLKFLDIDGLQKIKMVTVQEPEVKFLNIDGQDKIEIKVHAMPELEQLWVQNCRALSDSVDALSGVPHLVNLNELLVMQCGEKEKLIETLQWQISQWQVSLHKKRPKFFIGKIILPASSQPSTAAGQ >cds.KYUSt_chr5.40592 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256245387:256246331:-1 gene:KYUSg_chr5.40592 transcript:KYUSt_chr5.40592 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSRSPDLFGRIGCVLCSVFFVGPLSWQERLLTRDLERDISSNKAVSPFYSGDMPLLRRSPSPPSSDWRRFLLLLCYCPWWMEADCSRFGEGAISNKLEDPSNLVDLFCHVVLWSFGAGKLPPAGLGSEGRMWSCVYCSGAQRWWGSSTAKWSSHTADFRCCDLGQVRLPLHAFLESFFSAALQFLSSNFLAEWRLLSPWSPESDESKQYSVDRCKVLLNLLALMLIWRPFVFNTVCSRCSAPSGHVPGGAVVDRVCKICENLGGDGAGRRPGLDRVFFFYSRVFSANFRDLVVLLFSSVILSVSCNPPTMQ >cds.KYUSt_chr7.1080 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5833530:5833775:-1 gene:KYUSg_chr7.1080 transcript:KYUSt_chr7.1080 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVAALSAPASASPRKSLEPLQSPSSILGEGSGLPGPRHSGSSPWGSGSGRGSRGVEEDLAGATSMLLSDLEMGVELVVD >cds.KYUSt_chr7.12088 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74326797:74327753:-1 gene:KYUSg_chr7.12088 transcript:KYUSt_chr7.12088 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVIVLVAALMFPMPKADGPSYDFHDESCPKLRQMVHDSVEEALKEDVGIAAGLLRIMFHDCFPQGCDASLLVHGRYWSETSWPQNKGIRPTAMALIDTIRAKVQSPDACGPTVSCTDIMNLATREAVMHFNVPGYAVPLGLKDSLAPADLARIWELPGPDLSAAELLQRFATRGFDVADVVVLSGAHTIGRSSCGGFQDRFQESTGFARRLRANCSSDANRLQDLDVTTPDRFDNEYYHNLLAGKGVLTSDLQLLRNHTTRWWVNNFAQDQGWFFAQFGTSMSKMAHLPSSEAAQYGEIRNYSCFWSNQRWLTASA >cds.KYUSt_chr3.3508 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19891752:19895634:-1 gene:KYUSg_chr3.3508 transcript:KYUSt_chr3.3508 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGAGGLVGETAGDALSTNPPCYPLKSVAEVHDDDAELDITPVSGEMRTSLHLDHCIGWIDNEGEILASFFALPALRFLRLDQNQLSGPVEEFDAASSCLIEVVLSGMADFYQDSTEITYKGSYMTFDRILTTLTALDTSNNRLEGTIPELVGRLVSLRLLNISHNAFTGRIPSQLGGMTDLESLDFSCNQLSGDIPHELADLTFLSILNLSENQLVGKIPQSRQFFTFDSNSFRGNLGLCGPPLSNPCGVSPAPPSPGYEDDSSHVDVVLFLFVGLGFGVGFAAAILVRWRRIGEWFVKYTRALRT >cds.KYUSt_chr1.2117 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12252088:12252967:-1 gene:KYUSg_chr1.2117 transcript:KYUSt_chr1.2117 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRKGPWTEQEDAQLVWFVRLLGERRWDFLAQVSGLRRTGKSCRLRWVNYLHPGLKRGRITAEEERLILNLHAQWGSRWSRIARKLPGRTDNEIKNYWRTHMRKMAQEEKTKKKGTAASSPSSSSTSATICSASATATTSSSVTTTTDVLQESTSMVQDETDEEASTSASASEKKAVLYCTTVEMDQLWNEITAAEVYPDMMMSWGGSGHVAVAAAVEPTPSSPVWESCADYSLWRIDDQEYYNTIYQDALN >cds.KYUSt_contig_786.367 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1982861:1983367:1 gene:KYUSg_contig_786.367 transcript:KYUSt_contig_786.367 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPIATTGFLGETAFLDLPLVNATAPRRCLAGATPGGVDRLESYDVLLVLSVMARAPQGGPRWDLEIHVSVERWLVWMACSWFFCCSLPGDEGVLLLPSRKYLLLPSRRLHQSDFTLRLEKRVPFCFALSLTVPGVTHPNMMLQCPDFVSQLSKRNSGTSNRAGSI >cds.KYUSt_chr1.3248 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19619011:19619474:-1 gene:KYUSg_chr1.3248 transcript:KYUSt_chr1.3248 gene_biotype:protein_coding transcript_biotype:protein_coding MIISTVTYCCGACGYDLKLSSLARDTASSGGARRRRSVVVFEAIDDTRFGHLDEFRCLDVRSLRLFARRTRLLCRKCGAHLGYGYHEHDTAADSKPPRYHIKIRALHPASPAARQSDPVIP >cds.KYUSt_chr4.10183 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61583717:61584217:-1 gene:KYUSg_chr4.10183 transcript:KYUSt_chr4.10183 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRDPGLHAQRPQAPARIPFPDCIPIDAGAGFILGGTGGSVFHFLKALGSSPSGRRLAGGAQAVRANAPRLAGTWTGLFVAFSAVESAMYYARRKEDPWNDIVAFACMRGLHRRRKGLKAAAGSALVGAAFWGLVEVAQIGLDAIIADRHRKDRLPAACSDEDAR >cds.KYUSt_chr1.28478 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172014100:172019721:-1 gene:KYUSg_chr1.28478 transcript:KYUSt_chr1.28478 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHMTVFRSLCELFPQVDPRMLKAVAIEHHNDADSAVVAVLDEVMPSSVGISSVDHESVIFNDDLVRNFSAHGARETGSSSSAGSIGTSSLHHGPGVIVDDLVRNLSANHSVREVGSSSSAGYNVRDEANGSVDSARCTSSTEIITDMQENVVGEIDVTPSFQVMSKQLDLPFYSALEVNDKPRDLTLEDHLESCYTSNLDHSDSGVGNGGSLSSEYIVQPLMRKNGNDSIDLNVAQVQEQDLDIAVPAGDYISLDSSLKFPCNYLEVGYNTSAPVPNSEFSPELLSIEKDAFASALDFPIPDIRESFIGSSALLVQKDENSANIDYNTQQPGDIIEHGDTFLSSQSDLIPDLNSNHFVSMASTHSSQSVSIESLEDSIADAKNKKNDLLPSLQLVTKMIEDVELLEEKAEVAKRESSTSGASILTKVEELKEMLNHAKEANDMHAGEVYGERSILTTEARELQSRLQRLSEERNNYLDVIEEIRQTLEERLAAAQLEYEAAEKEKVKKEASAQALLSKQEKEMNSIVEESRKLQKEAEENLKLKDFLVERGRIVDTLQGEIAVICEDVSQLKRIVDERLSWSKLQRSTISSLSSSLHSSLHKSGSSSDRTIEAVESRDKHTVAEGARPGVEDPAVDERMVEMLDGCDNESASWVVEDDSKHRGSNEDGWEILN >cds.KYUSt_chr5.11653 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75510357:75512709:-1 gene:KYUSg_chr5.11653 transcript:KYUSt_chr5.11653 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAQRSLPSNALNNPAWPRRFEEERAIELARAGGRANGRFNNVGRRARWIGRDVDATLRQYGYRQCVHGDPPRIPLYYPQAGCMAPVAPPLQRLPAWMTASRSSRTGSSITGDRARSAAHAPPSGGVVIDDGARRASLAPARRTTGSAQRRRIKEEDTPTPLPPAKRQWWEMEAEAQAALRGGDDPEEFPGQNFIVGRSVEEDYRQMMIDRGRRRCEQCGSKKLPFPHFASTPPWFVLPIAGDAVTAHDHHRRCFSAVEHVGKASNGEYLGARSERFTVVEEHKMDMLWEDFNEELSRAAPPCPLSKEWASEAWLAGDGTLSRHVVAASGCSVVRRRRLSLLMMLKLLKKLFLGRRKSSATTSRI >cds.KYUSt_chr4.50858 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315285949:315287274:-1 gene:KYUSg_chr4.50858 transcript:KYUSt_chr4.50858 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDLTARMVAHMDRHLALPLLEFLQELKVYADAQILQGKISLLSGTNMVDYAMDIHKSLHGTEDVPADMVARRSEVVERLRSLQDAVKPIVDFLSSPQLVQELRADKQYNLHVLQERHQIGPDQIEALYQYAKFQFECGMYSEAADFLAQYRALCTDSERSLSALWGKLAAEILMQNWDVALEELNRLKEIIDANSSSASPVNQLHSRIWLMHWSLFIFFNHENGRNGIIDLFFQDRYLNAIQTNAPHLLRYLAAAVVVNKRRRNMLKELIKVIQQEQQSYKDPITELLECLYVRYDFDGAQQKLVECEQVILNDPFLGKRIQGGNSINVPLRDEFLENARLLIFENYCRIHQCIDISVLAEKMNMTYDEAELWIMNLVKSSKLDAKIDSVKGTLIMTTNRVNVHEQMIESMKNLNARTYMLAKSLLDLGHPPAQEAAR >cds.KYUSt_chr1.1063 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5675228:5678528:-1 gene:KYUSg_chr1.1063 transcript:KYUSt_chr1.1063 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFCLWLLLAAVAAVGVSGTKVAYNDRALVIDGERRIIISGSIHYPRSTPEMWPDLIRKAKEGGLDAIETYVFWNGHEPRRRQYNFEGNYDIVRFFKEVQDAGMYAILRIGPYICGEWNYGGLPAWLRDISGMQFRMHNHPFELEMETFTTLIVDKLKEASMFAGQGGPIILSQIENEYGNIMGKLNNNQSASEYIHWCAAMANKQNVGVPWIMCQQDDDVPPGVLNTCNGFYCHDWFPKRTDIPKMWTENWTGWFKAWDKPDFHRSAEDVAFSVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNIRQPKYGHLKDLHNILKSMEKILLHGDYKDTTMGNNNVTMTKYTLDNTTSACFISNKHDDKDVNVTLEDGVTHVVPAWSVSILPDCKTVAYNSAKIKTQTSVTVKRPEDGSTQSLTWSWMPENLQPFMTDEKGNFRKNELLEQITTSGDQSDYLWYRTSFEHKGEGSYKLHVNTTGHELYAFVNGKLVGRHHSPNGGFVFQMETPVHVHDGKNYISLLSATIGLKNYGALFEMMPAGIVGGPVKLVDPATNTTTYDLSNSSWAYKSGLVGEHREAHLDKAGQQWRSLNGTIPVNRPFTWYKASFEAPAGSEPVVADLLGLGKGVAWINGNNLGRYWPSYTAADMGGCRQCDYRGTFKAEGDGLKCLTGCNEPSQRFYHVPRSFLKAGVENTVVLFEEAGGDPTRVDFHTVAVGAACAEAAEVGDQVALACSHGRTISSVDVASLGVARGKCGAYEGGCESKAALAAFEAACVGKESCTVRHANDFRAAAGCDSRVLTVQATC >cds.KYUSt_chr1.18683 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109448417:109449091:1 gene:KYUSg_chr1.18683 transcript:KYUSt_chr1.18683 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVTAVASLLVALAATAGAVTFDASNTASGTAGGQRFNRDVGLAYSKKVLSDASTFIWNTFNQRAASARKPVNAVTLVVEDIGGVAFTSANGIHLSAQYVGGYSGDVKKEVTGVLYHEAAHVWQWNGQGNANGGLIEGIADYVRLKAGFAPGHWVKPGQGDRWDQGYDVTARFLDYCNSLKPGFVAQLNAKMKGGYSDDFFAQILGKNVQQLWKDYKSKFGG >cds.KYUSt_chr5.42639 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268620461:268621702:-1 gene:KYUSg_chr5.42639 transcript:KYUSt_chr5.42639 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHKRQCQQEPTTLMSLGDDMLAEILRRLTSLSSFARAAFACKRLRNVISSSTYSSVVASRISSPAPLVGYFISVIGGAAPSFHRALLGSDRDVASIVRHGKFHFADFDDYSWRLMDCRHGLLLLSSDSSMAVFDPLTDKHFPITHCTSRISHCKSSSFHCILLASGADATSFRVLCLECTSGGRVRPHVYSSRTGEWQSHQLAPKTIKPPRRVDPHSNHNLPMHAGGGRVYWRTHAAVLTSFDVGSMEFSHVPLPGGLNHLSSYAVGDAEDGTTCLVAVSAEHQQKLDMRVWLLKMGEHGSSLSSSPWDRQWRVDASDELDLLAVPAARSSHARKVYDVTAGVVLLSVGYKHNGIRYVAVRIKDTLRREGATKTNTDTKPQTLILADFCSSSGWLQPYFMAWPRPSLKVP >cds.KYUSt_chr2.48151 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301119520:301120443:-1 gene:KYUSg_chr2.48151 transcript:KYUSt_chr2.48151 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALYRALPWQAYHNAPEDRREIKDKYRGAAEQAKDATGNAKDSMKETAREAKDRADQNASRMAEETKHKVGDAAEEASHMTEKAKHQTKETAQEAAEKASRMTEKAKHETKETAREAADKAGEMKERAKETAGQAAEKTKETASAAADKTGEMKERAKETAGQAAEKTKETAGQAAEKTKEMAGSAKEKTAEVAEGAAEKAGEAKDKAAESAKSAGEKVVEMTKEGASKVADTAQALGDKAKQAAQDMWGATKEAAQVATDKVVGDHTAEEHSSWDDVAAATKERDRIAQEAQKRQAREKGSGLP >cds.KYUSt_chr3.26345 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163998376:163999621:-1 gene:KYUSg_chr3.26345 transcript:KYUSt_chr3.26345 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVPTKKAGNAAAAVVPVSNPFDFHVYGPRKLSFTSWKDLLSSSWKNPNYRRMVIACFIQGAYMLELDRQEKRDERTGLASQWWRPFKYRLVQALVDERDGSIYGAVLEWDRQAALSDYIPFRPTRAPAAVVALRGTLLKAPTFRRDVVDDLRFLTWDSLKGSVRFAGALAALRDAARRFGVGNVCVGGHSLGAGFALQVGKALAKEGVFVECHVFNPPSVSLAMSLKGFAETAGELWGRVRAWIPYMGTQVADAGGNSESEAKASLARAGMAKWLPHLYINTNDYICCYYSDAASGTATVAVGSGGGSGTTMAGVARMLVVSKGPSKFLAAHGLEQWWADDVELQVALNHSKLVDRQLRSLYAQPPAAPGAGS >cds.KYUSt_chr7.18812 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116539277:116545778:1 gene:KYUSg_chr7.18812 transcript:KYUSt_chr7.18812 gene_biotype:protein_coding transcript_biotype:protein_coding MMADKEKDIVKRKEKRRRDKEATCATFIDLTKQSMQVQKNEANANLLTEESQIMLVDLSLMGAGTKAWLEKKRTIIFQRDSSDIRKWFMKPHDKNAGAAKPSGTGTGAAAAAKKPVLSIPEKPAPSSVSGSQDASARRKTSKYFAPKTEKDSDVTGKSLPKRKLHKSSDQLEDDSKPLAANRVPKDEEEDDDDDDFVTPSKRKTPVKPPPSKKLKAASNDDDEDERMDEDAKTPSKAAGRGRGRGRGGRGAVHAKTATPDDDVGGGEDRMDEDAKTPSKSTGRGRGRGRGGRGGGTAHGKTTGLDDDAEEDRMDEDDKTPSKAAGRGRGGRGAGSTPGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAGDVIKRYGGRVTGSISKKTNYLLADEDVGGVKSNKAKDLGVPFLTEDGLFDMIRKSKPAKAPANKHENNSNSEKLQKSQTKSSPVKVEKRAVEQVSTMDKSIASKSEIASTNNQKAKVVDRGSLQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWEEQFLHPGQKGKGKKQTDGGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKELISNATLNYSDNRTKKPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIVCICNDRFSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIARKEGIQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRLRLNSSAKDEDISPFTAVDKDDY >cds.KYUSt_chr4.47622 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294779164:294780221:1 gene:KYUSg_chr4.47622 transcript:KYUSt_chr4.47622 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDRTINLFRELFNDHHCRLDQEKLDMAGGKRLILQLLVVCLAAPVVKSGWLQGTATFYGGSDGSGTMGGACGYTNLYDQGYGLDNAALSTVLFNDGASCGQCYLIICDQGKSTMCKPGTSITVSATNLCPPNYDLPNDNGGWCNPPRPHFDMSQPAWEKIGIYRAGIIPVVYQQVKCWRTGGLRFTMLGFNYFELVLVTNVAGSGSIKSISVKGTNTGWMQMSRNWGVIWQGMSGLMGQTLSLSITSTGGQNIVCENVIPAGWLFGQTFSTWRQFDY >cds.KYUSt_chr3.33280 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208923787:208926486:1 gene:KYUSg_chr3.33280 transcript:KYUSt_chr3.33280 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIVVVAIVAAMLLSVPYAANAAITCEQVNSAFGGCVMNMRAGVPLSTGCCNGLKSLATSLTTIADNRATCSCFKTFVAKANGVKLGDILGVPRMCGISADTTFSTILRSAIQLTASSSSSEEMAGAASAAQTAVVAMVAAAMLLSAANAAVSCEQVSSAFGGCVRYIRGKGPLLPGCCDDVRSFANSLTTTDDRRAACICAKNIVGQAREINTGNYLGIPRMCGIPSFTVILGSC >cds.KYUSt_chr7.8877 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53965221:53966957:1 gene:KYUSg_chr7.8877 transcript:KYUSt_chr7.8877 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPRPSSRTPPPAAGLAPTPSSGSTGQPRRCASVPSSMELVPVSPLSSPSKLASRSTTSTAASCRLEDETGMMSCICPAASSVVDGLGRPSYAEVVVSSPSAVGGRLCRARRACKPALAHPAASAPSCGELRDPAVLVEGLGCLSLPLEDIPQAEKTKTCPSSPLACDSLSAATAASVEVVEAEEGWEHVGQGRPSDQGSSLEPSREGFERSLAFKRWARGRCFRCLERGHHVSACRDYFRCIRCRRPGHRERFCRSRSPAARDRSQAARTPCQKSCTPSVQPCQPALTRSWAEVVGYPSLRVMVPPRSPPGCCKGSNANIGLDPALLFQFALLRMEILQLVAVRIEEVARPLREEAAKFKLLLARVTESMGRVDLIASCESYEQEPFVVVDDDVVDVMASKVDDEAINGRARGEADLVGEECFFGCLSPRVSPSPQPDVSVAPECEGNDGIMPVIQIMPDLHELCEDPSPPLSMVHHQADSLVISEVASAPPPVEASPCGDKVIEADVSAPNSDALFASELCDLLARLEAASPGSSKEIARLLEEKSSRGKIQKVKDYLRSKSKKNGAARKESAVG >cds.KYUSt_chr2.3043 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17836771:17844751:-1 gene:KYUSg_chr2.3043 transcript:KYUSt_chr2.3043 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRADRDGKKKDGNPDAVRESQRKRFASVDIVDDIINLDELWRKRQYDLDIIRKELNATSRDTGKLKMVLRQVEQKLNANKESQKDMEEKLAAIAERNDELKVQELMESTNQIKERLAAAEAEVRGIKIMLDTKLMAIGNIVHESVPISDNEENNVVLRTWGERRMESNLMNHVDLCRKLDIVAFEEGVDVAGGRGYFLKGYGVLLNQALINFGLAFLQNRGFDLLHTPFFMRKETMSKCAQLAQFDEELYKVTGDGEEKYLIATSEQSMCAYHLGHRIHPDELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCITSPNGNDSWEMFEEMIKNSEDFYKEIGLAYQVVSIVSGALNDAASKKCLKYSMFYLQRWLMGTYSRFSLMEENGWIRYVGAEQQRCFASLGACPAAPDVVAAAVELLLGVEQVPPLPPMATPLYDVPEAADTLARMLHFDQWGPCPLEEVQKEEQVQIHQGSETLKTGERHRAAPANSSPVLGPAPAHAVGRLPDPARSPQPSARADDAGEGPMEVLRTASPTPLEEAGEDERVLGEDPTGSLQLVPSSGTQQAPPDGFGRAPTPIARSELHRLSLLLGRLWPFCPAQPPKTWSGVAARADALIQEADRLFEEMSRASEDLSWGTGQVAEAAVQSASDAQATAPDILSDARRKATAISERVCHQAEDVLSAAHCEADDFLGGASLPVASDLLVREIAVAFSEREVEADRLNVEERECALAKKEAELASREAASTLWEAEAARELER >cds.KYUSt_chr4.39049 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241090928:241093520:-1 gene:KYUSg_chr4.39049 transcript:KYUSt_chr4.39049 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGRRHPLPPQAPALPLLLLPLLLAVAPPPQAAAVGVNWGFAASHPLPAAQVVRDLLLPNSVPRVRLSAASPDALAALAGTGVAVTVGVPNELLRPLAASRKAAAAWVHDNVTRYAPGVLFQYIAVGDDPFLINHGQQFQPFVVRAAANIQQALDDAKLSSKMKVVVPCSSDAYQNASTLPSKAYFRPDVNKTMAELLTFLANHSSPFMIELNPILSFRQKKNISLDYYLFQLMSRPVSDGRNKYDNYFDASIDAVVTALTKAGFSNMSIMVGRAGWPTDGAVNATPAIAQSFMTGLVNHLARKSGTPLRPKFVPTETYLYSLVDEDQQSIASGSYERHYGVFTFDGQAKYHVNLGQGPKALKNAVDVDYLPSKWCVLDDNKDLPNVSSSFSAACSNADCTALSPGGSCTGIGWPGNVSFAFNSYYQQHDQSGESCSFNGLV >cds.KYUSt_chr1.26254 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158172609:158174463:-1 gene:KYUSg_chr1.26254 transcript:KYUSt_chr1.26254 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLRTRREGRGPLRHENRLVLLVLGVLAVLLGIKTTVLLRRCLAVPPVGVLGVEQRRIIISLLPIDDAVRTTSLSRRWRPLWRSSPLNLDILHIDGCGRDRVAAVSKIFSDHPGPARRFLTNCVQIPDPDGWLRSPALRDLQEIDLWLSKWEAPMPLSILRFAPTLRVARFGCCRFFQEEDAPPSFNFPCLEKLTMRIVSVREDTLDRLLAGCPVLESLLLDRCRGFCYLLINSATLRRIAVDEPPHPYHLVIENAPRLERLIRTDLHPESSIWLIKAPKLEILGSMADDIAELKIVSSISQGMAVAGNLKALTHSLKVLHLTSSGPNLDAAIVFLKKFPCLEKLYITSCPGNDMENVHHLNPQDPIECLNHLREVELNFYVGTRSDVNFAKFFLLNGKVLKLMRFGVKDQYTDEWIADQHSQLHVDSRPYPNARLEFEAAHDPDNFACASLDHILFSTDPSSRPCEICGKG >cds.KYUSt_chr7.18121 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112444008:112448338:1 gene:KYUSg_chr7.18121 transcript:KYUSt_chr7.18121 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAADGAAASKRQAELLKQEGNSFFKKDRISAAIDAYTGAIALCQNVAVYWTNRALCYKRRNEWAKVEEDCRMAIHYDSHSVKAHYMLGLALLNKQELTEGIKELEKSLELGRGAHPASYMVEEIWQELSKAKYIEWEGLSKMRSSQLHKLKATCKEALRNYNSLDNPAVDVSEEHLNELDDVFRKAAKADTPTEVPDHLCCKITLDVFRDPVITPSGITYERAVILDHLNRVGKFDPVTREALEPHQLIPNLAVKEAVDVFLSEHGWAYKIR >cds.KYUSt_chr3.34622 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217142037:217142558:-1 gene:KYUSg_chr3.34622 transcript:KYUSt_chr3.34622 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRPTSQGEKAGAFFGGGNGFHIGGVAVEGDEGLIFFRRCARLHSIVYTLCSCRQSMALKPNLYSMFISLLACGFETYGLPNEAIKLQHPVVPLASPDHADDSVTTVEQAIAHVASVKLPLT >cds.KYUSt_chr6.1440 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8857416:8861931:1 gene:KYUSg_chr6.1440 transcript:KYUSt_chr6.1440 gene_biotype:protein_coding transcript_biotype:protein_coding MDADPLGMVLVSGTILVVKNPPSLSADWHTARRRARQHRRTPLFAHSMPFGSWVIVTASMMMPEAAPVQEQNLVSDTLSPGGSVKLVAHKAYRGEVATTIQEFFDISIQRYLLGRARVDHVDEVPVLLLALVGGLPVLWVARLIPFCLLLPCLLVDLAVAETTLKTVLLKEQYLIFIAGSMEKVGSQTARSGCAAGPLVDHQDLQCDGGVLTAVPKQPVMSGFSRTDPLKKTILSLMLSFCVLKNAELDALEADMDFESNSVPSYLQPDKELDLDSELNFRAAPGGHVADPDLQQGAVNDGDEQQEQVG >cds.KYUSt_chr7.1654 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9382502:9382927:-1 gene:KYUSg_chr7.1654 transcript:KYUSt_chr7.1654 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRIPRRRRGRSPASLPDDDDILREIFVRLPPTPSSLARASAVCTRWRGLLTDPKFHRQLCARHRNPPLLGSFVPEDHGQRVVFAPMLDPPDRIPPWRFDLGRCGHNTDVLGCRHGRVLVKDRVPHANREKATALGFILV >cds.KYUSt_chr6.32085 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202648224:202656072:-1 gene:KYUSg_chr6.32085 transcript:KYUSt_chr6.32085 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDKRSFGDRAVQAKPPELQERLTKSRKKKDRDPSASSAPDADDLPRKRRRRSREESVLSLADDVVYRPQTKETRAAYEAMLSVIQQQFGGQPMDVLGGAADEVLTILKNDKVKNPDKKKEIDKLLNPIPTHMFEQFVSIGKLITDFHDASDPASASSGGDGLEATMDDDIGVAVEFEEDDDDEESDFDQVQDELDEDDDDAAELNRPGGMQMGGELDDDDMQNSNEGLNVNVQDIDAYWLQRKITQAYADIDPQQSQKLAEEILKIIAEGDDRDVENRLVMELDYEKFDLIKLVLRNRFKIVWCTRLARAEDQEERKKIEEEMMGNPSLVPILEQLHATRASAKERQKNLEKSIRDEAKRLLNNDGAGADGPRERRAVDRDTESGWLKGQRQLLDLDNLSFHQGGLLMANKKCELPDGSFRTPHKGYEEVHVPALKPRPYGTNEKIVKISDIPAWAQPAFAGMQQLNRVQSKVYDTALFKPDNILLCAPTGAGKTNVAVLTILHQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSKRLQDFGLTVRELSGDQNLTKQQIDETQVIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVSRTVRQIETTKEHIRLVGLSATLPNYEDVAVFLRVRSEGLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTARAIRDTALANDTLTRFLKDESASQEILGSQAELVKSSDLKDLMPYGFAIHHAGMGRVDREMVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADIMETDKTLDERRADLVHSAANLLDKNNLVKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLGSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMIDKQMWSVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRFPKMGKQLHRCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKVHGYVEAFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLHFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNARYEGLYSAFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQKAVSGETNMRVVYIAPIEALAKERFRDWSKKFGEFARVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQQVSLFIVDELHLIGSEKGHVLEVVVSRMRRISSHIGSNIRIVALSASLGNAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMAKPTYTAITQHAKSGKPALVFVPTRKHARLTALDLCAYSSAEAGGTPFLLGSTDEMDTFIGGVNEETLQNTLRCGVGYLHEGLSDLDQELVTQLFLGGRIQVCVASSTMCWGRSLPAHLVVVMGTQYYDGRESAHTDYPITDLLQMMGHASRPLQDSSGKCVILCHAPRKEYYKKFLFEAFPVESHLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLNKNPNYYNLQGVSHRHLSDHLSELIETVLNDLESSKCVSVEEDMYLKPLNLGLIAAYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPTRPGEEEYIERLVRHQRFSIDKPKYGDPHVKANALLQSHFARHTVVGNLAADQREILLSAHRLLQAMVDVISSSGWLTLALNAMELSQMVTQGMWDRDSVLLQLPHFTKDLARRCLENKEKPIESIFDLAEMSADEMRDLLQLSNSQLQDIGEFFKRFPNVDMAYEVREGDDIRAGDNVTLQVTLERDMANLPSSEVGPVHAPRFPKPKEEGWWLVVGDASGSTKQLLAIKRVALQKRARVKLEFTAAAEPGRKDYMIYLMSDSYLGCDQEYEFTVDVKDAGAD >cds.KYUSt_chr6.2714 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15828692:15831849:1 gene:KYUSg_chr6.2714 transcript:KYUSt_chr6.2714 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTAAIAVGWGLQAVGWIASPIISDIYKKGSSFLGFDASKKLKELEPKVLLLQRVMEAVEESPDRPRLEQLFKDLKTAFYEAEDILDDVEYHRLEKQIQDGKLKSDGDSAIRWVKKKLHSAMPSPPLKDQESGMSRSQLKNSFEKIEKVINDACEILERLNLPPVTDYNWRQVVPPNSRSAVTTAAPPLKVIGRDEDRDKIIEMLHDKECDGHESTNIGLCYSVIGIHGIAGSGKSTLAQYICDREKKDKDEEKVGHFDLVIWIHVSQKFDLQAIFTEMLEGATGRPSSEFKNSNTLRENLVKELRGKRILLVLDDVWYNIRDAGHHGELEQVLSPLQIAKTGTKILVTSRSKDALVALGAVGERCIPISDLNYDVFLQMFMHYALRGAVVPGHDGIKLQMLGDEIAKKLNRSPLAARTVGAQLCLRPNVEFWRRTRDRDLLNETMGALWWSYQHLDVQVRRCFAYCSIYPRRRRLERTELVQLWMAEGFIKTTNAEEEPDGVGQDYFDELLSASFLQLAERKMEHGCEVDYFTVHDLLRDLAEEAAIGDCFKIEEGFRGEVPPDVRHIFVGSCDRKMLTEKIFQLQNLRTLIMDYPLQIELSDGKFLESMFTRLQNLRVLVLRFNGVVGGHIFSLPASIGLLKHLRYFYFRMDIRMDLLLPYSITKLYHIQLLDVSAAKGMDFFGAKHMSQLINLRLVSSGLDIPNIGRLKWLQILRGFIVKNKMGYEIRQLKQLNKLKGALAIMGLENVRGKEEAIEASVAQKEGVTELSFEWSDRSCSPEVEAEVLEGLCPSKYLERLRINNYQGSTYPNWMVSKQNGGPEHLRNLLLENCSRLEPAPELFEVFVHLRWFRLWHSNWDALPDNMEQLTLLQVLDINRCPNIRLLPSLPQSLEKFCLQACNEEFTTSCLTTGDPNWQKIQHIPMKIIRRD >cds.KYUSt_chr7.3171 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18832028:18833206:1 gene:KYUSg_chr7.3171 transcript:KYUSt_chr7.3171 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVEALSMSGLSAIPAEYVRPPSERHGLGDAYDQAAKHAEGSPLIPVVDVAAFDPSDPASAPSLAVVDAVRAAAVEWGVMHVAGHGIPSDLLDALRAAGTDFFKMPIEDKEVYANDPAAGHLEGYGSKLAANESGQREWEDYLFHLVHPDHAANHARWPAHPPEYVPVTRQFGELVSGLASRLLAILSLGLGVPAPTLEHRLRLVEPTQDKVADDDQDKEQEEELLDLLLKLKINYYPVCPQPDLAVGVEAHTDVSALSFILTNGVPGLQVKRAGRDDEGWVTARDEPGTLVVHVGDALEILSNGRYTSVLHRGLVNRVATRVSWVVFAEPPPDRVLLQPLPELLADGQEQPRFAPRTFRQHLEHKVLKKKQSEEEEAKKAPVAPNLLPAV >cds.KYUSt_chr5.40912 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258278458:258278826:-1 gene:KYUSg_chr5.40912 transcript:KYUSt_chr5.40912 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVTAPPPPSQRRPSLLLHLRLARLRPLPFDSGRRRSGSAPRWPIFRHARRLQSPLSRIRPAGARLLQSPLSRIRPARARRLRSSPERIHVLSRIHDLLETCSMALSDDHVVEEPRRWQ >cds.KYUSt_chr5.16959 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109225365:109225778:-1 gene:KYUSg_chr5.16959 transcript:KYUSt_chr5.16959 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIPSPPLTMAKPKFVLVLVLLTLALVAAGSSNSKLSGAFAAADDDDGLPQRQEAMAEAVRAMHSYDPAHNGAAETVKRAMGVVNGELALLRPIFSAISRMPEGSAKEEAHTAAKELLARHLGELLPGSSVKVEEL >cds.KYUSt_chr4.13795 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84954181:84957843:-1 gene:KYUSg_chr4.13795 transcript:KYUSt_chr4.13795 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQPRGPAAEPLLPSAYPSPYLDRHHSPPDADLSSYVLLVPIRRRLRRGCRCSCLAPILAALTLLALAFLLWPADPDVSVARLSLAHVSVVARPAVAVNISAALKVRVRNPALFALDYARLDVDVAYRGRALGRVTSGGGSVAARAVSYVDADLDLNGIRVVEDAIYLLEDLARGSIPFDTVVQVEGNLHFFFFTVPVKGKITCEVHVNPQNQTIVYQDCYPK >cds.KYUSt_chr2.1004 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5832463:5836032:-1 gene:KYUSg_chr2.1004 transcript:KYUSt_chr2.1004 gene_biotype:protein_coding transcript_biotype:protein_coding MMMCNCRYLPVVEDGSSQSPWNVCDCFSITCLEVFFKIGASSSPLIHMAEPMPPPRSAGYDPESSPDNEPNIAVNEMAVDMEGEGPNKEHDTTVDHTVLGPNGELTFMRGEPNPQ >cds.KYUSt_chr3.32148 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202017561:202017893:-1 gene:KYUSg_chr3.32148 transcript:KYUSt_chr3.32148 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAVTHEAVSWADDGEWESPSGAGDGDAARKEHTINEVTIRISKRQLQELIDKRADDGHGHFWKSDRGSASELLADIMNAGEVHHSVQHCRAAHWRPALQSIPEAVES >cds.KYUSt_chr1.38402 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234615171:234618675:1 gene:KYUSg_chr1.38402 transcript:KYUSt_chr1.38402 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTIGFLSFKPVIWIAYIGTDALAIYALATLFNRQKLATPDGETASSSLEILWAPVLLIHLGGQPPITAYVLEDNELWRRHVITLVSQVTVALYVFSKWWSGGERMLVAAVLLFILGVVEFSLKPWALWRASFSSMQASTNVSLPAAAMEVKAASLTLFAKSNKDGYDRSDVTVTYILLGCTVAQELLPCVVTIFLNRALSKLTSCQDTVCQSSFMSFCVRRKNPTLVMRLHLLPQLVRGEERSRQAPSREQSPASLNIAFSLERDRRRHPVGVVLRGAQPSPPSTPSRASVNTLASLRRVTAAAITASRRRRPARSATAASVDTLEIPLSRVETAAAIDTPSSCI >cds.KYUSt_chr1.26658 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160743749:160744405:1 gene:KYUSg_chr1.26658 transcript:KYUSt_chr1.26658 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLLELPVQQRGDAVSSLVYEANARVRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQQRDASQSQLNDDADHSMGAMQQMVVDDAAAAEAFLMQNGGGGFPQQLMSSYGGGAPASNVHLYAQDHLKRESLWT >cds.KYUSt_contig_319.1723 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:11363168:11363905:1 gene:KYUSg_contig_319.1723 transcript:KYUSt_contig_319.1723 gene_biotype:protein_coding transcript_biotype:protein_coding MISVTWSKGLAGMQGLSVTVDDTCGQCLCKADVKPWLFSKKKGSKSLTAGDGKIEIFWDLSGAKFGPGPEPLEGFYVTVVFDREMVLLLGDMKKDAYRKTCAVRPALSTLFLARKEHIHGKKIYSAKAQFSGSGQCHDIVIECDSVGLKDPCLEIRIDKRPVMQVKRLAWKFRGNQTIMVDGLPVEVFWDVHSWLFSSTASNAVFMFQTCPAPEKSIPWMYSQIFRESQSQGLGFSLILYAWKIE >cds.KYUSt_chr1.8619 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52801219:52804869:-1 gene:KYUSg_chr1.8619 transcript:KYUSt_chr1.8619 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAVTRKSQSFVAPATPAPAETLDLSAIDRVPGLRHTVRSLHVFRHNHGSRPVVDGASRPAKVIRTALSRALVEYPAFAGRFVGGSAAAGEACVACTGDGAWFVEAAADCSLEDVNGLDYPLMVCEEELLPAPEEGVDPTSIPVMMQVTEFTCGGFVVGLVAVHTLADGLGAAQFINAIAELARGLDKPKVAPVWARAVIPNPPKLPPGPPPSFQSLGFQHFATDVSSDRITQVKAEYFEEVGQYCSTFDVAIAKVWQARTRAIKYNREAQVHLCFFANTRHLLTQVLPKDGGFYGNCFYPVTVTATAEDVATAGLLGVIRMIRDGKAGLPLEFAKWASGDVKVDPYQLKFDHNVLFVSDWTRLGFFEVDYGWGAPSHIIPFTYADYMAVAVLGAPPTPTKGTRIMTQCVEEKHLKEFRDEMKGLF >cds.KYUSt_chr7.29594 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184238656:184243067:1 gene:KYUSg_chr7.29594 transcript:KYUSt_chr7.29594 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTVELVVGSSGHIVFSSPKGGLPCRSLPHHALRRPRSCHWPMVMSTGRKTAVRSLPHHAFHRAQSCRWALAFKSTPFSEERLSDKLKQLVDEMGDWKVRRLSEAGFSVVFPMDQTLWLSTGSRKLHMPLSKAKQRFRRRSPPRSPTWCCSSPRCGSQMERDHLMAAFVMIGRPIDLDKFSIQKRDTEPVRMRFQCCCPRAHHGVGPGVCQ >cds.KYUSt_contig_3568.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000692.1:29455:29685:1 gene:KYUSg_contig_3568.5 transcript:KYUSt_contig_3568.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALTAAAVGGVGPPPGRIGGGWLALTICSPSAAAPMPGHLAKVSRFSKMTGRSFLPRHCRGNLLYVSDTPPPVC >cds.KYUSt_chr2.46625 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291518860:291519261:-1 gene:KYUSg_chr2.46625 transcript:KYUSt_chr2.46625 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNLVVFSMASACGGNCPTPATPTPSTPSTPTPTPASFGRCPRDALKLGVCANVLGLIKAKVGVPPTLPCCPLLEGLVDLEAAVCLCTVLKANILGIKLNLPIDLSLVLNHCGRSVPTGFKC >cds.KYUSt_chr7.13331 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82163103:82166108:-1 gene:KYUSg_chr7.13331 transcript:KYUSt_chr7.13331 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPSRQHRTPLLPRRHMRCLPGTKPSTIRETSQLHPMAPATVSRNRPTHFPFATVDDSSPIRTHGARSSNQPTHPRLSGLRTYLSPFPKLGGTAAACPCQSACAVPGITVLHFRWSRMVAAASSTEMPPAARGEEGKKTFVEEMKAVAVRMHTKDQAKGGEKEPDKLPVNVREPTVEGYLQFLVDSKLVFQMLETIVDRPVVPCYAEFRNTGLERSKALNKDLKWFSEQGHKIPEPSAPGTAYASYLEGLSEKDTQAFICHFYNVYFAHFSGGRMIGTKIAEKLLNKKELEFYKWEGTLSQLLQDVRTKLNQVASSWSREEKNHCLEETEKSFTYSMDLRQVFT >cds.KYUSt_chr5.7865 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49591560:49596931:-1 gene:KYUSg_chr5.7865 transcript:KYUSt_chr5.7865 gene_biotype:protein_coding transcript_biotype:protein_coding AARVRSLPALEFCRQGFVLGKASEAGFGNEMYKILTAAALSVMLNRSLIIGQTRGLYPFGQYISYTDHSFTIGEIKHLWRKNRCAQTYGRDLNVRVDNFENPSETNVLCSDWNSWKDPIIWFDGTTDAVGVQFFLKNIHPKIKTAASTLFGSLGSLHARPNTFGELMRVIISPSQTVEKAVKWALNGSSPDIVLHMRMMANRPIRAKTAAVSCIHRAIQISRLKRTPRVALISDTPSFVKEIKQDISDFAEVTYFDYKLFARRIDVEMNGNDKPLGFRSKDWGSAPRWAAFVDFFLASSARYAVVTGAHRRVGTTYVQLVAALAAANRHGHEPSGANFTFLSSIHSNLLVDGLSTQVGWGHVWNRYAGPLSCPHQLHQCALTPLLPHAWWDGQWQSPIPRDVRRLLDYGVQLSNTGEVDDERLVSHCRSRKDHVKRYHVLPPYKSPTRQ >cds.KYUSt_chr3.6613 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38059446:38060772:1 gene:KYUSg_chr3.6613 transcript:KYUSt_chr3.6613 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIYLFKEQHENEDVDDLRRRLAQCRLARQEHAELERCLLSSGSLVTRIFDEGEAESGECRRSEGSSLWVGCRSSDCMYSELAPIHCRDRLEEDNVAVCHRMALRLRWLQALGRRVDATSGEERDRAVHDRIWRKRWNKEVVDPRREEGAEQTTRSPAVVRQGQDMVATEWGIERVES >cds.KYUSt_chr7.21204 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131338612:131339417:-1 gene:KYUSg_chr7.21204 transcript:KYUSt_chr7.21204 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCSFLVLAALLALVPWQAIASDPSPLQDFCVVDKKSPVFVNGFVCKNPKDVNADDFFKEANLDKPRVTNKVGSNVTLINVMQIAGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPENNFLSKVLNKGDVFVFPVGLIHFQFNPNPHKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKKTIDWLQAQFWENNHY >cds.KYUSt_chr2.47534 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297400438:297405081:1 gene:KYUSg_chr2.47534 transcript:KYUSt_chr2.47534 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRPRRKPLVLASTQALLDSLPGDRRGPPPEPVRLKAGVLRFPARSSGSRCGDFGELASFVALPASALRRLAVVTGTPVLVKNTDNNVGRIVKAVVLDRPSLDESQREHPDPVASTSSSDHVMGCLPTRSFPPNGSAPLDEDVAYVSPLLAFNLGVHVSCLKLLIQKGGEPFKFCSKVYDDGAASSGAGISLHLELLPCPQVPRYALHLRVSVVRIPDCGVLASLKINSASGESDYQDMVDQGLNEYFKSDRFLARGDVFCIHNDWNCGMISCLACNKENDRLHPSSMVYFKVTGMEPSDDPILRVNCGQTALVLGGSASAPVPPCSFFAAYDDSVPLHGEIVEQLASIIAPALCPSNILPRIKFSTFLYGPSGCGKRTVVRHVANHLGMHVVECCCHDLMTSSESGASAALVAAFKEAQKYSPCIILLRHFDAIGNTSSNEGPQSEQSGIAANVESVIKQYTGQRWVTKESLPARDVIGNSYLVEPECVSSLQVILVATADNAEGMQQSVRRCFRHEVNMKTINEDQRKNLISETLHGVSAVADESIDEKFVKDISVQTSGFMPRDILALVADAGVSFAHKVAVEKSSNDKLEDSIDASSSTTQNEENKFSKEDILSSLERAKKRNRAALGTPKVPDVKWEDVGGLEEVKKVILDTIQLPLMYKHLFSSKLPKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSADSGGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTEASYRERILKAQTRKYKLHKNVSLLSIAQHCPPNFTGADIYALCADAWFHAAKRSVETLEGDPSRNNEAIAEEVIVETGDFMTVLGDISPSLTMEELQNYEQLRQKIEGPSRS >cds.KYUSt_chr4.43501 pep primary_assembly:MPB_Lper_Kyuss_1697:4:269736997:269739105:1 gene:KYUSg_chr4.43501 transcript:KYUSt_chr4.43501 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGDVKQAIPRPSPLLQGWREFRRSGAPVRFLCFEGGAWADVPADAALALRAAFLDTRVVAEAACGGRPFLFDFLRLARIDAATAEEVPMGWIDDRGACFFPAPHTAKKRKRDDAASSGVDDESSSSDDTVDSGSPSKAARGAWGKAVRLDEADKFYQVVRKLFLSGIAPRVGGGGGGVAITAVHKVAQGPRARAFQLQGQLLAAARGAGGGNAKFAWYGAPEADVAAAVEHSFGRTNSRVLGHRAHGDGVHLSPPQSPYTSAMLAKADENGEAHIVLCRVLMGRPETVAAGSSQFHPSSDDYDSAVDNMQNPKWYVVWSTDMNTRILPEYVVSFKCPSLQQAQATSEATSELRKPSPASRDMFPTLLAEIQRFVPASKLQTLQGTYNRFKHWRGVLNVSTTIPFVLQKGHIKKDQFIRFLRAFIGDRVLTTVAKKLRGY >cds.KYUSt_contig_1546.82 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000163.1:499925:501275:-1 gene:KYUSg_contig_1546.82 transcript:KYUSt_contig_1546.82 gene_biotype:protein_coding transcript_biotype:protein_coding MALACAGDGVTYGCRSLVEGVPMMALLFEMMDSVPPPQSAPLGGLASSLTSALRGLAPSSSLRRSSAADTRCPTCRRGRASRPAEEIREHPQQGGIAAAPENRMTASGLPVQKIVGSWGRARGTLASQPYGIDGGVDWEELRIGKRRRV >cds.KYUSt_chr4.21256 pep primary_assembly:MPB_Lper_Kyuss_1697:4:133765840:133768404:1 gene:KYUSg_chr4.21256 transcript:KYUSt_chr4.21256 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILFTALCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECPHCKSAESNMCDLLRINTDRGVMLSDGKSRFSIDGKPIYHFVGTSTFSEYTVLHVGCVAKINPEAPLDKVCVLSCGISTGLGASINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGIDLNANRFEEARKFGCTEFVNPKDHNKPVQEVLIEMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNFKPRTDLPNVVEMYMKKELEVEKFITHSVPFSEINKAFDLMAKGEGIRCIIRMEN >cds.KYUSt_chr4.11378 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69183620:69184456:-1 gene:KYUSg_chr4.11378 transcript:KYUSt_chr4.11378 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRDPTDAAAAAGVIPPRSEAEEEADAVDETEREPVRREWDRELAVPFDWQQEGSEDEDDEEVDDEEVDDAEEDIPGDVMEMETDTRELFAESFDFSKYFDKGKGKEDEVIESMANAQSLFCRRWSFPDGLKVTVRRIDCLRMAEDILDLMLKLEVEGGNWTITNIDRLKQATRLDGRLRQLEGGLRRLWASLKKQQPFEDANAMRDLVTEIDGLCTLQPASTNAAVMLITTSVSSLLAGSATASPPYEELMVACEKLWASVSVAREEEIVYPSP >cds.KYUSt_chr2.34403 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212474407:212477744:-1 gene:KYUSg_chr2.34403 transcript:KYUSt_chr2.34403 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLRCGCEFHPSVRCDGCHTCPPSCSGRPTYSVAGLAAKGMVLGVVPKYFVLSVLLEVILHMRDSFSLIFLLLILVLGLGVRCSGASDVGDSQGDVVLMLELIQYQRWWHPCTVCTMSNSSTYELQRCMSSCDTSYSRQAAAHEAYAIFVSFELIRRRRVRAPSMPLLRFNGEDPPGLSSVMALLDLQLIPLPHRHLPARLLVEPPALRLVRLQEATEEYDRKFYVYKFSSDPRSDAAHKIPIIQKINNHRVRRSSRISATHQLAFMASAIVNAFAL >cds.KYUSt_chr5.7856 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49537311:49538843:-1 gene:KYUSg_chr5.7856 transcript:KYUSt_chr5.7856 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKVVQPEEVLESLKNDGTVDALRMKIIAQLKANVCFRPFYHILPLSNPLLPPPACDLALGAEVPLCEEDMKKNTMMMVEQSKVLKTPGAEKKTKRELFDALRQELETPVLEKASKAVWELILDNGGLGKEITETVEKVFCRLSGIDAMPPPASTSRAPQEKQAYMAVDESEKDASERSSSSRKRPFGDISAKGAAAMPNGHATYQHDEHEEGN >cds.KYUSt_chr2.22784 pep primary_assembly:MPB_Lper_Kyuss_1697:2:139570462:139570930:1 gene:KYUSg_chr2.22784 transcript:KYUSt_chr2.22784 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCVVIILPSDCMKLQIFLPPLDASLEVLDKCSADVLQFRRNVDGDAMLNGGPLVISGFQEWRWPGFDLSALEKEPQMLITYLAEDRGSLNNKSLASFIFFIIRIL >cds.KYUSt_chr4.22391 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140700382:140702009:-1 gene:KYUSg_chr4.22391 transcript:KYUSt_chr4.22391 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKVETVVAGNYVEMEREGGDGERGGVGPGGGAGASSGGRSKLVSNLFWHGGSAYDAWFSCSSNQVAQVLLTLPYSFSQLGMASGIAFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLHGLLGSHWRNVGLFFNCTFLLFGSVIQLIACASNIYYINDSLDKRTWTYIFGACCATTVFIPSFHNYRMWSFLGLLMTTYTAWYLTVAAIVHGKAEGVTHSAPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLMATLYVLTLTLPSASAVYWAFGDALLDHSNAFSLLPRTPFRDAAVVLMLIHQFITFGFACTPLYFVWEKAIGVHSDKTTVLRRAAARLPVVAPIWFLAVVFPFFGPINSTVGSLLVSFTVYIIPAAAHMAVFASPAAREGAVERPPRWVGGWAGMYAVNCFVAAWVLVVGFGFGGWASTVNFVRQVNTFGLFTKCYQCPPRH >cds.KYUSt_chr6.13358 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83586575:83586802:1 gene:KYUSg_chr6.13358 transcript:KYUSt_chr6.13358 gene_biotype:protein_coding transcript_biotype:protein_coding MRNETPGYRIPTLELQPYCSSPPATCKLAVVDGHDSVLSPHKEDHGERRILEQRGDEEEVACDCVAATLEENLER >cds.KYUSt_chr5.2875 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18865500:18865763:-1 gene:KYUSg_chr5.2875 transcript:KYUSt_chr5.2875 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVMQEKEAHGENGDAGLVGLRISDQGMDEKVTWTSGSLADQKHLPGGIFSRTGASNACTSQHIDGTLADGRSLEANRQPAMPMQQ >cds.KYUSt_chr2.36710 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226719808:226721110:-1 gene:KYUSg_chr2.36710 transcript:KYUSt_chr2.36710 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLEDLLLHGKVAAHPYTPFEAQQVSIPRHVLHLRVRFAQLSDNLLSDCILTISRNNVVQPGQAVSSVDTFGRFVQKHASRRPWMVTEGNHEVEAGMPVLPGSPDPFLAYTARWRMPHEESASPSALYYSFDAAGGAVHVAMLGSYAGFNSTSEQYGWLARDLARVDRRAMPWLVVLVHAPWYNTNAAHTGEGEAMRKAMEKLLYEARVDVVFSGHVHSYERFTRLYNNEANPCGPVYITIGDGGNREGLAFDFQKNHKLARLSLMREASFGHGRLSVVNATTARWAWHRNDDVDSTIRDDLWLESLAANAACRPQAINLAHDSWSDEL >cds.KYUSt_chr2.48350 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302291883:302294914:1 gene:KYUSg_chr2.48350 transcript:KYUSt_chr2.48350 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEISGIVDYMGCLIGLQPIYIQQLCFFSLALVQVKGILFLPGLLAGTEHTDRRSVDDAGVGGHLRAYRYYFGDDGVGYDRLYQEIQEVCGEDTVVTEDHLPRLPYLNAVFHETLRLHPPISVLPRRFVHQTTTLGGYKIPAGTEVTINVYGCNMNKEEWEEPEEWRPERFLDGRFDSADMFKTLSFGAGKRVCAGSVQAINISCAAIARLVQEFAWRLKKGDKDEENAVQFVGHKLHPLYVYLSPRGGR >cds.KYUSt_chr3.28663 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178938683:178944098:-1 gene:KYUSg_chr3.28663 transcript:KYUSt_chr3.28663 gene_biotype:protein_coding transcript_biotype:protein_coding MALPARAALLSVLLALCWCRASEAAGGGASADVLLRAKRPEFAAWMAGVRRAIHERPELAFEEHETSALVRRELDAMGVRYEHPVAGTGVVAAVGTGGPPFVALRADMDALPLQEEVEWEHRSKVAGKMHACGHDAHTAMLLGAARILHERRHELQGTVVLLFQPGEEVGTGAKKMVEAGAVDKVEAIFGFHVTVMLPTGVVGSRAGPLLAGCGFFEAVITGKGGHAAIPHSSVDPVVTASSVVLALQSLVSREADPLDAQVVTVTRFRGGDAFNVIPDSVTIGGTFRCFSNEGFMRLKQRIEEVIVAQSAVHRCAAAVDFHAGGRPLLPPTINSAALHAHFEAVAAETVGADGVRGTMEPCMGSEDFAAFSEAVPGSYFYFVGIRNEAAGSVHDAHSPHFLVDEGALPYGAAMHASLAMTYLQRRQRGEPHDEL >cds.KYUSt_chr6.28168 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178522967:178525279:1 gene:KYUSg_chr6.28168 transcript:KYUSt_chr6.28168 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTVTNGSLLQNLTISFGGNSSARLFLQSRSSDTVASLGLYSTDNYVFTLCIMISYYSGYGDTIVGQPQVIWSANRNFPVGENAIFSFNGAGELLLHNTDGTLVWSTPTKGASVVGMRLDGSGNLVLFNPNNSSIWESFDYPTDTLVIGQSLCTGVNLTANVSLTSWREGQINLHALSNGLQFYFGSSSYTQVFQPTFLGNETSNCYAFANGSFGFPKPIFSLPLARSFQFMRLEFDGHFRLYEMKRAAVQVMFDVLSNDVQFCDYPLACGEYGVCINGQCCCPSSRYFRLQDEWRPDMGCIPLNNLSCDHMRYHQLVPVGNISYFSDSGFQSLATSAADEDCKQSCLAECSCKVALFQYDRHDGIGGSCLLLSQPLLLSHTKSSANRTLAFFKIQGTVRRRTSIAVGSAIGSFALLSIAITIFIWRKCKKREEEDFIGGIPGVAARFSYNDLKIATRDFSMRLGSGGFGSVFKGKMGKETIAVKRLEGFDQGKEEFLAEVETIGRIHHINLVRLIGFCAEKSKKLLVYEYMSNSSLDKWIFHEHPVFTLSWKTRRNIIMGIAKGLSYLHEECEQRIAHLDIKPQNILLDDRFNAKVSDFGLSKLISRDDSKVMTRMRGTRGYLAPEWLGSKITEKVDIYSFGIVIVEIICGRKNLDESQPEERVHLISLLQEKARSGQLLDLVDTKSNDMQFHKEEVKEMMELAIWCLQVDSSKRPLMSTVAKVLEGAMTLEATPHYDLVANYELDHNNVERQICSYLPSATLLSGPR >cds.KYUSt_chr7.20685 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128288655:128299697:1 gene:KYUSg_chr7.20685 transcript:KYUSt_chr7.20685 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTLCGSGATANWAEHGPTAWEIANQEPSSVSVPKPSGVLHRPSPAGPDGPGPSPPVQQAAAPEPQAETPSSSFSRSLGGADTRVPGESGSTTNARAATDLSPAGADTGERSAPSSAPAPRGLRPPESPRRRKRGAARGRTQEPLPPGANAVRLPSLSAPPSSSARWTKPSSLSSDGRLCRERTTSASPPPQILTPAESLVKYVASAGKLDGLHRFSEAPPRYFSSEPFLQAEPTEEIGFKGHGMLAPFTAGWQSTDVNPLVIDRSEGAYVYDINGKKYIDALAGLWCTALGGNEPRLVKAATEQLNKLPFYHSFWNRTTKPSLDLANEILKMFTAREMGKVFFANSGSEANDSQVKLVWYYNNALGRPKKKKFIARSKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPDETEEEFSTRLANNLESLIIKEGPETIAAFIAEPVMGAGGVILPPKSYFEKIQAVLKKYDILLIADEVITAFGRLGTMFGCDKYDIKPDLVSIAKALSSAYMPIAAILVSPEIADVIHSESNKLGSFAHGFTYSGHPVSCAVAIEALKIYNERNITKNVNEIAPRFQEGIKAFSGSPIIGETRGLGLILGTEFVDNKSPNDPFPAEWGVGSIFGAECEKRGMLIRVAGDSIMLSPPLIMTPGEVDEIVSKYGDALEATEERIAELKSNKN >cds.KYUSt_chr4.26742 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167984722:167991056:-1 gene:KYUSg_chr4.26742 transcript:KYUSt_chr4.26742 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCLRSLSELATLGQISKGRHEADLHLRAEAQNAIDCHLHGLQHETMRKESLDKLSPMDGLIQRSLYWPDGSRRKYSDAAASKNTLTQTGHLLKAVVDKYNDDHKLSGDCAYELKDVLNYQTMREGNIWYSHFNFTTKAKGAGVVDNLFFAEVVQGDEMVVTCFCMINTDANGRCYGCINNGSFGMKHPKEANTYNAGHLDAYLLSECTGERDVSGGDDDDDDEEEEDEEDVKAEVDRLRHIYKGLDDPLYLKKISTSPYATATFIED >cds.KYUSt_chr5.32178 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204086295:204088907:-1 gene:KYUSg_chr5.32178 transcript:KYUSt_chr5.32178 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRMELRLMHGFLCGVDIRNRRNQTYEIWVQELRMLAHEIEDIMDEYLYFVGHKHGTGWGTYLKKGCKQPNVLISLNKIASMVMEAETNLGHLFLVKSRWVSVVDGGNSSNSSDIVERSQHLASSSRSLGEEDLVGVDEDREQLETWLRGMHLDCSVVIVLHGMGGLGKTALAANVYMKEREKFDCHAWVFVSQTYSTKDVLKRLITELYKESEDTPGDITNLEIDGLQNILITFIADKKYLIILDDVWTPKAFDEFSRLIRNGKNSRLIITTREGDVAARATPDRMLTLKDLPEDKAWDLFCKTTFANYADHECPKDLKPLSEEIVLRCKGLPLAIVSVGSLLRVREKTVEEWRRINDQLGWELINNPMLGDVRNVLHLSYIYLPTQLKSCFLYCSLFSEGYLFGRNMLARLWTAEGFIEERGASTFEQVAEGYMKELVQRNMLQLVQRNSFGRMKMFRMHDILRELAIDLCRNDLFGVTYDEDKCAGSLDKDGRRLVVQKLKKDIERSISSVHQLRSITVLDKSMPSLTLLHLLCEMSTYMTVLELSGLPIVNIPYAIGNLFNLRHLGLRRSKVKMLPKSVEKLSNLLTLDLSRSDIDELPGGIVKLKKLRHLFAQKVKYLNGRFLLSSSGVRIRSGLGNLTNLQTLQSLEAQDESVRKLRELRQLRSLRLFNLKRVYHEPLCESLVEMHFLSKLHVIASDENEVLLLNALPPNLQKLNLTGKLDIDSFQAVGRNLYSLRLNLSQLIEDPLPSISLLSNLTELFLDGAYNGEKLVFLSEWFPNLKTLQLRDLHNLKQLDIQEGAMVTLEKLSLVNLDSITEIPPGIKFLVTLRYLGFHEITQGFLTLLRECVRNGGMRQFWYTPRA >cds.KYUSt_chr7.38026 pep primary_assembly:MPB_Lper_Kyuss_1697:7:237050398:237052912:1 gene:KYUSg_chr7.38026 transcript:KYUSt_chr7.38026 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAMPLALLTLGLLRSHTHVRNYSSQLLGLIPAGPQSSKLTRRHYYFPNASPYQPWSRSFASDGGEKFEAVVPFMGESVTDGTLATFLKKPGDRVEADEAIAQIETDKVTIDVSSPEAGVLEKYIASEGDTVTPGTIVAIVSKSEAKAPKVEPPKKQAPKPTPSKTSPTEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMQHHFLARCMLEILKTTLVKFYVYIVITSMTESYCSKSL >cds.KYUSt_chr6.13077 pep primary_assembly:MPB_Lper_Kyuss_1697:6:81675860:81676123:1 gene:KYUSg_chr6.13077 transcript:KYUSt_chr6.13077 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNARRGPVRGDEWRCSYFGGGRFCISMHVITGYIQRKCIMTNTSERLPRQAITLMAVEVTPELQLLKRKVECYFMPPGSTLGYMV >cds.KYUSt_chr1.7236 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44384009:44389708:1 gene:KYUSg_chr1.7236 transcript:KYUSt_chr1.7236 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVVAIAGDGDEVDRRRPLLAPTNEIHPYPEPPLPPQPPGTDAKPEQQKPQRVVSLDVFRGLTVAMMILVDDAGGAWPGINHAPWLGVTVADFVMPAFLFIIGVSAALVFKKTPNKIATSKKAAYRAIKLFILGIILQGGYIHGRHNLTYGVDLDHIRWLGVLQRIAIGYFLAAIAEIWLVNNTLVDSPVSYMKKYYMEWIMAIMISALYIGLVFGLYVSNWEFKVQTSNSTFSNPSNEVGFKTIHCDVRGSLGPPCNAVGFVDRFLLGENHLYKNPVYKRTKECSVNSPDYGPLPPNAPDWCLAPFDPEGLLSTLMAAVSCFVGLHFGHVLIHCKNHSQRMMSWLVASTVLTVSGFLLQLLGMPFSKPLYTVSYMLLSGGVSGFLLLVLYYVVDVMHIKKPLVLFQWMGMNALIVYVLAACELFPTLIQGFYWRSPENNLVDATESLLQIIFHSERLGTLSFVLVEIIFWCLAACFLHMKGIYLKL >cds.KYUSt_chr6.31670 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200167633:200169164:1 gene:KYUSg_chr6.31670 transcript:KYUSt_chr6.31670 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSCDKPKMNYRKGLWSPEEDQRLRDYILKHGLGCWSAVPSKAGLQRNGKSCRLRWINYLRPGLKRGMFSQEEEDVVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMGSSNAGKSPSPPERLTSMSTNTGGGSTATSTHGDHDANLSGGSHGQHAISPAEPFIDQQHEAKNFVFADWMPTAAVAAAGPDSYTMSAHWPASTASSGNVTPSHGAFVGDQMSGSSYGNALQHHPHQQDHHHHQSAAAVAHGGAAAGMVAGGGYFDLLNMGDIYGGFTSTNDDLLF >cds.KYUSt_chr4.45976 pep primary_assembly:MPB_Lper_Kyuss_1697:4:284336070:284336693:-1 gene:KYUSg_chr4.45976 transcript:KYUSt_chr4.45976 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEIRHIAHWKHPLVLRDTGGSFRCDGCSCLGVGSHYRCDLCDFDLHEFCATCPPAATFAFHGQHPLTFDRAGPMDGATCDLCEQSIQGTLYGCRTCKVCVHPICSQLSATAPSPMHTAHTFVLAVGAPVPCTLCGTNCFGRYQCVPCNIYLHPRCLLGTDVDARKDVAGPAFDESISPILLPTWQGHYAGASAMIRMGHSMADLA >cds.KYUSt_chr3.1317 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7337130:7338065:-1 gene:KYUSg_chr3.1317 transcript:KYUSt_chr3.1317 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDVGKRALECILMPAMFAAKTVRAQRDRLLHLRRRLQRSPTGPVSVQDLAADLFKVYSTGLTRGAGYLNGCLELAYDNDADLAFCDPAFAFVPDEQLYETLFAHRLRPRPTTQTDAFARIEVAYYAVNLALRYHVPRCVELLVGVRPPSAATHSKADDRMVGYADDTLAAATDHIFRTRLAGMPRPDPDVPRTRPRLFNRTLDPAQAPAAAAAPAWEPPQVVATSNDPDQALSYLHRACSLASLAVKHIDAAVALISTFLDPKDVAETAEMADEDAYISEVLDSLLPDLFIAMNASNPSIRKIEFILFT >cds.KYUSt_chr1.7915 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48587041:48588276:1 gene:KYUSg_chr1.7915 transcript:KYUSt_chr1.7915 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLHASTRLRLSRLRLSTEHYIYARSAAAPPFFSTKLQSSDSLLYTLLTVAPAAARKFLGSAPDSESERETKTMAEMAAMMRGQRIPPAPFPAAGKKNKLRKPYTITRPRERWTDEEHERFLHALYLFGRDWKMIEAFVSTKTSVQIRSHAQKHFLKAQKLGLGECLPPPLHPRRAALLHHQPPPLHPRRAALLHHQPPPVHPDADILLPSMDCPCASPEPRVPDIQHDIDMLVPNTDWAYASPEPCVPDLQHDAQAGAWPDHGSASQDEETIELPLSPDDLRFAQVYRFVGDVFAASDAAVPVEAHLQRLQLHGVDPLVLDTIVLVLRNLEANLCA >cds.KYUSt_contig_1467.364 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:2047264:2047896:-1 gene:KYUSg_contig_1467.364 transcript:KYUSt_contig_1467.364 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKRAWTKEEDQRLIGYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPISAGMDPHTHRPISVCAAAASGLTTASNTASSFPSSPAAPASRPANALYAARPAPSASRGRRRRTTGTAAAAGATTAAPPAKDDNTCPASSQPPRACRCRARNTSKTRRAAWRRRGAAVRRLARTACRVCVVARGVVAVRGGGGARA >cds.KYUSt_contig_352.30 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000036.1:281520:281987:-1 gene:KYUSg_contig_352.30 transcript:KYUSt_contig_352.30 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNETLGKPIDVEGLEKPIDLEGGETNGEGSTQGEIPFDFGAQGLGATTPSPSASTNSKKRKRVLHDEDAIQVNNMSHALRDVAGAINNTCHTETHPDLCKTVMDLTNFDMDERLAVLDYLTEHKGKGLNFVKMEAAVREASFKRIIAKNPDLV >cds.KYUSt_chr7.12476 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76791368:76791655:-1 gene:KYUSg_chr7.12476 transcript:KYUSt_chr7.12476 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGIAVFCVNPAPGERPTMKEVVAFLKEVKSPPEEWAKTSQQPLIKPGSQEG >cds.KYUSt_chr5.30176 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191386344:191387000:-1 gene:KYUSg_chr5.30176 transcript:KYUSt_chr5.30176 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQHPEPTMAASASAPASASAPAIESMMARVALECGGDISSSQEEILATSSFLGGDTDLPASQDDDEEEVFATQQQEDPITMCTLPFTPSPSKSPAPRSAEAALAPPSSQPQAPTPLSPNDGDDAPPANPRRKPRVCVRKVRGARIRTPSPSPKQQLQPQPKPNQLIHVDPLVRAVLMIPTRTTTAATVTTTSGKQDPVGDFIALARHKGIFAKSPS >cds.KYUSt_contig_2278.39 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:264474:267043:-1 gene:KYUSg_contig_2278.39 transcript:KYUSt_contig_2278.39 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPAQRDRNAVSLVCRVWYEVERLSRRDVFVGNCYAVRPERVVLRFPNARALSVKGKPHFADFNLVPPDWGGYAGPWIEAAARGCVGLEELRMKRMVVSDESLELLAKSFPRFRALILVSCEGFSTDGLAAIASHCKLLRELDLQENEVDDRGPRWLSCFPDSCTSLASLNFACIKGEVNAGALERLVARSPNLRSLRLNRSVSVDTLSKILMRTPNLEDLGTGNLTEDFQTESYQRLTVALEKCKMLKSLSGFWDASPLCVPCIYPVCAQLTGLNLSYAPTLESSDLTKMISHCVKLQRLWVLDCIADKGLQVVASTCKDLQELRVFPSEFYIAGYSPVTEEGLVAISVGCPKLSSLLYFCHQMTNAALITIAKNCPNFTRFRLCILEPGKPDAMTNQPLDEGFGAIVRECEGLRRLSISGLLTDKVFMHIGRHAKQLEMLSIAFAGDSDAGMMHVMEGCKNLRKLEIRDSPFGDAALLGNVAKYETMRSLWMSSCTVTEKGCQVLASKMPMLNVEVINEVDESSEMDESHGGLPKVHKLYVYRTIAGARDDAPDFVKIL >cds.KYUSt_chr6.1879 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11251955:11255341:1 gene:KYUSg_chr6.1879 transcript:KYUSt_chr6.1879 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRKKLDEGSGSGSGTRQPRKPRAAPLAPVKRSARLKKKQMYALNLLATVAESLSSDEDGSSSGTDVGESEEGYNSKGTSVEVEQLDEDSKRTSVKMEQLVEVPLMLLDSTALENGCSPLDSAVLENGCPPLDSAALENDCCRGYAMGCAGICASLSQTNLRMREGVSTQNVADTVSSESLIKEPGVFAEGSLVSCTKPYPMGHGLGTIPEYETVGVCPPCSTGLAVVKQEPQSIPVMITSQVDGIAATRHSAVDTMEMDVKPVPVVIAGSSSGVHLDGPDVGLKSDPSCSYKVQHAADRDDDEKFPRVHPSTSRSKGGYLPHYLGDCRTRRFFATRMRKAARNKICGEMSNKGSKLSIRGKKISTTRRRAQTQRTMHKTKKVAECYSAQPSDEETLTETSGTSVPMGGQDPPCASGSGQKKPCGAEGYNVKFIIKSFNIPEVLIEVPEDATVGSLKRIVTDAVTNTIEGGLRVRVLLQGKIIQDDNTTLQQAGICHGAKLDSIGFSLECEAKQDSDPSVIAPEETRPVGTCIVQPLYKVKCEEPSPSFALSDPVYSFGGAAQVKSEIQGIDVAGTSNVGELAIVPHPRSKQRDFGQRRKRRPFSIPEVVSLVEAVEKLGFGRWKNVKYHAFGDNQERTYVDLKDKWKNLVHTASIPPQLRRGQAIPTQDLLDRVLAAQAYWSARQPKLEDHHQP >cds.KYUSt_chr3.21506 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132005372:132009493:1 gene:KYUSg_chr3.21506 transcript:KYUSt_chr3.21506 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCGHAATTWLTTPRGCPLCDQELESIQHLLVVVWRPVSLDMAADISGLHSALADPFWQPFTHSAVSSIGNDSAYFGVDDVGLMVEVDGMADMDTTGFFGGEDARFVLPPSTSSSLSSKRSLSLDSGGSSGSITLDHAAAHASRQQGPPPPVAHQPFDHNDEAIMRAMMAVMSSGSPSPTSSASSRAQPFSRDSSVQQQASAMAPHPRGGGNGGHHVMVKSSLAVSPEGTSNGASRGQQPDSGNSGQLYHMMSERKRREKLNDSFLALRSLLPPCSKKDKTTVLTKAAGYLRTLEAQVSELEEKNSKLEKHIPALEEDGGTQQPRRQRAKVQISKASEEVVSLTVMVMVECDVVELVLRILECLRWMEQISVLSVDADTYSPQVLLKAIASIKLRVDGDWNEASFHEAMTKAVNDATSSPPPHAPILLTA >cds.KYUSt_chr3.18771 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115372816:115379421:1 gene:KYUSg_chr3.18771 transcript:KYUSt_chr3.18771 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISRIPRDGIGGGGVSRGGAQRLGEVRPFGQLVEVALLAGRRERRLQLRVVLLLLGLGLVRGLGLADVEAAGAAASPPCRCPLPPGQPDASVFAACELWEEKELAGENHRLHRARSHLSIKRIPFAAVDAMSCAAVWAPPSGLGRHPGTSSPLPAGKRQGRASYTFRPCASAGAGDADEGSGGTPLRMVLHDSLDAAGVATEHARAAREGFAKQIGRLTRLNAESSIAISRGADLARTALCIAAEDDSLVSHSSVPLPVDAFIARLDDLSTGFLAAGFLPPSGAPPEVFFDHLDRYLFGYKGFRRSNEMSDARAIYLHSALTCRSGSALMLSLIYSEMLKTLRLYGLLDFDEEISFPHDHNSLPRGYDKQKSKLSDEPNIMTSKSLLVEILQTLKGMFWPFQSNQSSSLFLNAVAANHDGPGNAGNSQARPHGNISAIEMAAAKAAQHRLMRGVWTNVRFGDMRRALAACERLVLLDHDPRELRDYAALLYHCAHYEECLQYLTSYQTAT >cds.KYUSt_chr7.22206 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137435958:137441071:1 gene:KYUSg_chr7.22206 transcript:KYUSt_chr7.22206 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQATGVQPPAAEQTRVFDLPEACVAHVLALTSPRDACRAAAVSPCFRDAAGSDTVWSRFLPPDYPAILQLHQAPAPRLLLSRNGTKASSVPVASTPPATKKEAYLGLTDVAVLVDDGGMAVWLARGSGAKCVALSARRLSLPWEDGEFSWRWMPHHLSRFADVVQLVSCTCLDIYGRLPTAALTPATAYAAYLIFNTADGYRGLSFPDQETTVSVGGRAVSRHAVCLRPDDDEARRFIGADGANNIGVRGPKLRRDGWWEVEMGQLRTGDEAVDGEEVAVSFEVLGWHPKHGLIVEGVEFRPL >cds.KYUSt_chr1.7029 pep primary_assembly:MPB_Lper_Kyuss_1697:1:43230141:43231529:1 gene:KYUSg_chr1.7029 transcript:KYUSt_chr1.7029 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAGKKAYVVAMVIQVIYTGMYVVSKAALDGGMNSFVFIFYRQAAATLLLVPLAIILERRNAPPMSLWLFTKIFLYALLGSTVSMNLHNLSLKYTSATVASATSNSIPVITFLFAVLLHLEAIKLRASSGVAKLAGVALCIAGVLVIALYAGPPLSPLNHHRAFAGHAHAAVGNKQGQWMKGTFLMLLANVTWSLWIVLQARLLKEYPNKLLVTALQCLLSTAQSLALAAAATAGRRDVWRLRLDVGLVAVAYSGFVVTGVSFYLQAWCIEKRGPVFLAMSNPLGLLLTVFCSSFFLGEVVHLGSILGGALLVAGLYSVLWGKSKELPPPPSPCLDHASAIICTVKQGSAASDGDMNMDGVKLEEKRIKLDSQV >cds.KYUSt_chr5.43546 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274311412:274312599:1 gene:KYUSg_chr5.43546 transcript:KYUSt_chr5.43546 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTVSATSTSFIAHHLFDHRPKGHHGLPRRTTLHVVNCRPLATCFSGRRLVARQPSPAKAAQRLAGWPVRALAVGVTKEASSPHREYRGIPGDGDTSDDFGFGDDDLGAAPTTTPSWPPRNRADDPSLHNPLLRLERMGCGWLGVIFEWEGVIVEDDTKFEKQAWLSLAEEEGKSPPLAFVLKRIEGMKTEHAIAEVLCWSRDPAELRRLASRKEEIQRALGGTVHQMRDGSREFMSTLANYKIPLAVASTRPRKVVEEAIEAVGARGFFAAVVAAEDVYRGKPDPELFLYAAQLLRFIPERCIVFGNSNSAVEAAHDARMKCVAVASRHPVYELSAADLVVKQLDELSVVDLKNLAAVDDSAEFGAEPELEMEEEEDDAPPSTAVGIADDLFL >cds.KYUSt_chr7.25193 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157167611:157169425:1 gene:KYUSg_chr7.25193 transcript:KYUSt_chr7.25193 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGPDPMTPLKSTPRAASRSPLREAGASPYMPSLRTAAGSPAIKCYGDRFIPCRGTEEDRDIARYLLTEKENAATAPSPSKGAYRELLAEKMLGNRTRIFSFRNKPPPQPDSLLANDAASIHANPAKKRRCIPQTPDRILDAPDLADDYRVNLLDWGRRNVLSIALGNRICLLDVSSGSISELATVHEDDGPVTSVSWAPDGRHIAVGLASSVVQLWDSSTSRLLRTLEGVHESGVGSMAWRDNKVLTSGDIYGKIVNNDMRIRNHAAQTYCGHTKGVCGLKWSGSGNQLASGGSDDLLFLWDVAMASSVGSPGHRTQWLHRLEDHSAAVRGLAWCPFQSNVLASGGGTDDRCIKFWNTQTGACLNSVDTGAQVCALLWSKNDRELLSSHGYNQNELTLWKYPAMVKMAELTGHTSRVLFMSQSPDGCTVASAAADERLCFWNVFGISKDAVKSSHTGMFNSYNHLR >cds.KYUSt_chr6.32855 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206966224:206966787:-1 gene:KYUSg_chr6.32855 transcript:KYUSt_chr6.32855 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVSALDLAEIGIKLKANKTMQLLDMHLNQEGAVFTELSLAPLSLDRDRASYLVNMAALELCTIESFGAATDEDSDVCSYVLLLANLVYREEEVQELRERGLLQRGGGLSNEEALRFFTSFQRLRFGRCYYRIMEQIEAYRENNWTKTKLHVFLHNHKKTIAAVVTGIGAVGGIIGTLLSIKKSV >cds.KYUSt_chr2.43373 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269935439:269935990:1 gene:KYUSg_chr2.43373 transcript:KYUSt_chr2.43373 gene_biotype:protein_coding transcript_biotype:protein_coding MPARDAAVASAEDTCQPTGNRQAEAPDHHQPAGAQPPGDGAGRSAAHAAARTRRRCRKSGAAAPRTLPDRARRGPDLAHRAELEADSGSAGRRTPLREIDASTLLQSSRDAPRSRLPTPRRQRPTRAGNRLQRDPPRPLEMGPAATAAGASGSGGNGGRTGGYWRRARFPPPASPGERREERA >cds.KYUSt_chr5.38879 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246160867:246164822:1 gene:KYUSg_chr5.38879 transcript:KYUSt_chr5.38879 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAAVPEPMAVDDSASRKARRKQLKAAEAEAAAAAASSGKKEKKDKKRKAKAPSSDDDEGTSSTSSESDRAAKKAKKEKKDKKAKKVVEDEVMEEEEEVENDGELTASGEEEEEDPADPNSLDNFRISEPLKLKLRAKGIKTLFPIQASTFSLLLDGNDLVGRARTGQGKTLAFVLPILESLVNGPHKATRRTDYGRPPSILVLLPTRELANQVHADFEYYGGAFGFSTCCAYGGSPYRPQEMAMRKGVDVVVGTPGRVKDLIVKGTLNLKALKFRVLDEADEMLNMGFVDDVELILGKVEDVTKVQTLLFSATLPEWVKKLSMRFLKPDKKTVDLVGNEKMKASASVRHLALPCNRAARARVIPDIIRCYSHGGRTIIFTETKDSASELSGLIPGSRALHGDIAQAQREVVIAGFRSGKFVVLVATNVAARGLDINDVQLIIQCEPPKDVEAYIHRSGRTGRAGNTGIAVMLFEPRYKFGLTRIERESGVKFEHVSAPQPSDVAQSAGNEAAEAIASVSDSVIPVFRQQAEELLSSSSMSAVDLLAKALAKAVGYTDIKKRSLLSSMENCTTLHLSTGSTMYTPSYVISTLKRFMPEDRLSNVQGVALTSDGRGAVFDVPSEEVQDYLQGAENAAGVTLDEVKELPALQEREQQSRGGGSRWGGGGGRGGGGRRFGGGGGGRGGFGGRGRGGGGRFGRR >cds.KYUSt_chr4.25400 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159547666:159549603:1 gene:KYUSg_chr4.25400 transcript:KYUSt_chr4.25400 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVVGVAGDEVFVRWLVEVKNSMNCAVVKSLRRNSDEFSGRLRHGEEGARGRRFVESYVLLFASRHAGLAQPDHQRTGVDGAPWPPVYRVTSCPWTYVERHHLRANPPLVLYAGLERGVEPVRRTELAAGFVELNLYTKGHTCMVTVWLSLVTAFSLRCVAACGGVDGAALHAGSLVTDAVAAACGCVDGAVIHAGGLIADVIVAARGSGVDGSVLHAGSLVVDVVVEARGCRGDGAAMHASSLVKVDVVLAVADGAGCTPAAPMSSSVAWCRVEDGATLHAGRLVKVVVIAPHG >cds.KYUSt_chr4.25328 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159142963:159143956:-1 gene:KYUSg_chr4.25328 transcript:KYUSt_chr4.25328 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQNKCAVAYNTLISAYLKAKDLFAARHLFDEMQQFKRSRRSVVSWNAMIAGCAGCGRDDMAVRYFEDMVREGEVVPDDGTLAAALPACGRTGNAGAGRWAHEYACKTGILDRSVHIANAEVDMHCKCGDLSSATEVFQGMRQRSAVSWNTMISGFSLNGQGIKGNRAVPGDVEGIFQSMQSEHGIEAEIEHYGCMVDLLGRSGLLDKAHALIQEMPMGPNAAIWGSLLSACRSHAGLGIAEVALKELIRLEPWNSGNYVLLANLYAETGRWEEAGDVRKLMRRMSAQKAPWQSLIEEPSSS >cds.KYUSt_chr2.47771 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298815458:298818085:1 gene:KYUSg_chr2.47771 transcript:KYUSt_chr2.47771 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGSRGLLAPPLLGALLHFLLLALAGGAEAWAHHGSGGFAGGVPERRYRDLAAGRVASVRSSFGAARRGLATSSASRVYHVTDYGADPTGAADATAAIKKAIADAFSLPSNATMTGGIPDLGGAEIHLDGGTYLVNGPLTLPASGGGNFKIHGGSLRASAEFPTDRYLIELSAGSSSSSGSYHYEFVTLRDLMLDCSYRGGGVRVVDSLRVSIDNLYVTHFGTDGIAVRGGHETYIRNTFLGQHMTAGSDAGERAFTGTGIRLDGNDNSVADVVVFSAGTGIMVTGGANTISGVHCYNKASGFGGTGIYLKVPGLTQTWVTNCYMDYTSIVAEDPVLLHVSGSFFLGDANVILKAVNGVARGVQITGNLFNGRGKGVDIVQLDGAFKTVEQVYVQQNSAMGMNVKSTAARGSADGNGSEWTVDFAPVLLFPDRIGHVQYSLVAGDAFPGHTLRNVSGNQVVVATDKAVSATVHVLVDQNSN >cds.KYUSt_chr1.32384 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196583122:196587538:-1 gene:KYUSg_chr1.32384 transcript:KYUSt_chr1.32384 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSRLLRLSAAAAAGVRRSLTTAASHPPWAMISFSSEVVGAPTVDVRLAEPPHISQIRVPGHLIKTSGGCADPASKVVKWLSGTSSGGLLFLTYLDLRSPAPTVGKDGTHAIHPSHDSGVTHFVCNPVTRELSRLPASIFNPVQDALHGARMGFITQADRGHGPPDRFAAAKVGVGRNLMIRFLSETGEWELVEVSLSPSQLLPPRSMRIDQEPLAFGGRMWWVDLSWGVVTADPFSHRPEGTYVQLPRRSVLPAAQPVISYRRVGVSDGWLRYVELSQEKPFLLSSFVLDDEATSWTLEHRVELNKIWGRSMSMPLQTTAGDTEIVLIHPLDSNVVYLRLVATSQTVAVDMDREEVIETCLCSGKPFSTPCLLPPWLRSTRIPSASRGSKDVDKNKTLAGVLLRLYHGVSFLDPIASFRGPISYLNAMSSYASSSSDSDSGSDEHFGIPVATQTLPTPQSSSVGLREGELLRSMAPPIPHYPLQGNLSVIEYTSKMNHMGDTLGDLGSRVKDRDLFDNVLRGLNEQLKHVVPHMTRGRLPTFIKLRSSCCWRNDTLVVRPASSPTIRSSLRPICVQTC >cds.KYUSt_chr4.28103 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176619137:176622564:1 gene:KYUSg_chr4.28103 transcript:KYUSt_chr4.28103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical conserved gene [Source: Projected from Oryza sativa (Os03g0339300)] MIMAFSAGSVGHPIAAILLLGLAVTASAQLSATFYDASCPSALATIKSAVTAAVNNEPRMGASLLRLHFHDCFVQGCDASVLLNDTASFTGEQTAFPNRNSIRGLNVIDNVKAQVEAVCAQTVSCADILTVAARDSIVALGGPSYTVPLGRRDSTTASLSEANRDLPPPTSDLADLVGNFSRKGLSVTDMVALSGAHTIGRAACLNFRSRIYGDSNIAPAYAASLQANCPQSAPNGDGTLAPLDVSTPDSFDNAYYGNLLSQQGLLHSDQQLFNGGSTDALVNTYSSNAAQFSSDFAAAMVNMGNIGVLTGAQGEIRLNCGKVN >cds.KYUSt_chr6.6448 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39020760:39021503:-1 gene:KYUSg_chr6.6448 transcript:KYUSt_chr6.6448 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLERGFQFLNSEQENSVILRALISVVSGDTAAVVPTLLHLEPSTPPFAAAAAPACARCGANGCLGCDLATAVARTTGSSSEGEECSAASFVKNGGVGKRRARRASKFRGVRQRPWGKWAAEIRDPHRAVRKWLGTFDTAAEAARAYDVAALEFRGSRAKLNFPAAAAASLPASASVSSWAAMQPQPQPQPQPRCLPESHHENCGSNASSPAHVPRLPEQGTPVAREHEIWDGLHEFMMLDDGNF >cds.KYUSt_chr2.7197 pep primary_assembly:MPB_Lper_Kyuss_1697:2:45087367:45088445:-1 gene:KYUSg_chr2.7197 transcript:KYUSt_chr2.7197 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVAILVSCALLLAATWQGAVAAPRLRVGYYQKKCPAAEYIVKGVVGKALKQNPGLGAGIIRMAFHDCFVQGCDASVLLDPTAANPRPEKLGAPNFPSLRGFEVIDDAKAALERFCPGVVSCADVIAFAARDSAYFLSNYRINYQIPSGRFDGSISLETDTFAFLPPPFFNHSQLVASFKAKNMNEDDLVVLSGAHSIGLSHCSSFTDRLPPNPSTINPALSTLLQSKCPVSPNFTNDPTVDQDMVTPNLLDNQYYKNIRKRNVLFTSDAALVTSPLSARKVYQNALFPEVWEKKFERAMVKMSAIELKTAANGEIRKNCRVVNN >cds.KYUSt_chr5.22947 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149802422:149806697:-1 gene:KYUSg_chr5.22947 transcript:KYUSt_chr5.22947 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAYFLLASAAPSLPARFHLPATATTRSLSIPASSAPKTTTSCSLSIRSRRPTVRRNAAETYVPGSGKYIAPDYLVKKLSAKEVEELVRGERKVPLIVDFYATWCGPCVLMAQDIEMLAVEYEDNALFVKVDTDDEYEFARDMQVRGLPTLYFFSPDQSKDAIRTEGLIPIEMIRNIIDNEL >cds.KYUSt_scaffold_1700.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:117819:121630:1 gene:KYUSg_scaffold_1700.9 transcript:KYUSt_scaffold_1700.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPRDEPSSSSYSSAQKRHNPGVAGGGYGGQQAYSEDQIQSMHVADHYSARTNQSLEERENSPIIHLKKLNNWIKSVLVQLYTRPGDRVLDLACGKGGDLIKWDKARVGYYVGVDIAEGSIKDCMTRFNGDSDQQRRKKFSFPARLICADCYETRLDKYLSEDAPFDICSCQFAMHYSWSTEARARQALANISALLRPGGTFIGTMPDANVIIKRLRETEAMEFGNSVYCITFGDEYAEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYDLELVLMKNFHEFVNEYLQKPDFADLMRRLGALGDGRDKSTLSQDEWEVSYLYLAFVLRKRGAAPSQRRVGNTSRGKTFLAEEDIERPSNGMLMNRQP >cds.KYUSt_chr6.6621 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39920542:39923772:-1 gene:KYUSg_chr6.6621 transcript:KYUSt_chr6.6621 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDTRRLTLIASCLSVLLALAAGARLPSIHAGAAFAVSPLEGAANGVEGRRAGEFVRTIGARFMLGGRPFYSNGFNAYWLMYMASNPGDRTKVIDTLDQASRLGAKLVRAWAFNDGGSSRPLQIAPGVYNEDTFLGLDFVIAEAKKRGVYLILSLVNNWSDFGGKKQYVQWARDQGHNLSSDEDFFTDSLTQRFYMNHVKRVLTRVNNFTGVAYKDEPTIFAWELMNEPRVQSDLSGKTMQDWVTLMSAYVKAIDANHMVEIGLEGFYGESMPDRKKFNPGYTVGTDFISNNRIPSVDFATIHSYPDQWVSGSSNEAQVEFMRKWMASHIEDAAKEFQKPLIVSEFGWSARSNGYTVATRDDYFRMVYDSIYESVKEGGPCAGGLFWQVMAPGMEGWADGYEVVLERCPTTTAIISQECAKIGGFMPRV >cds.KYUSt_chr1.34605 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210690240:210691486:-1 gene:KYUSg_chr1.34605 transcript:KYUSt_chr1.34605 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVVNKVEEPKVEAEPKKEESPAAPPAEEEKKKEEAPPADAPPSPPPPVILGVEVHCTGCAKRMRRSLLRCKGVETVHVDMASNQITVKGVAVDPDALCQRLRRKTKREATVISPLPPPPAPPAEEAAPPPPPPAEDPVRTVELEVNMHCDACAQQLQRKMLKMKGVQTAETDLAAGRLTVTGTVEGEQIVEYIHHRTGKLAAVVPPPPPPEPPKEEAAPPAEAEQKPADDGGKKEEDEKKPAAEAEQAAGEEKKEAADEKPGKQEGEERVAVDGFPPEEMMKRMVYWPYGGSHYSYKLPPADAEEAMMARRMAMHAMPPPPPPPPPHHHHPYAIMHHQWMPPPPPPPPAPMYYGSSYMMERPPQMFSDENPNSCVIS >cds.KYUSt_chr1.961 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5084482:5084787:1 gene:KYUSg_chr1.961 transcript:KYUSt_chr1.961 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAMDERVFCPDCHRATEVVLDHATGDTICTERALVLDAHFIDEGSEWRTYSTDAAAGGDDRDPSRVGSTGDPFLDAKLSTGAQDVDQLQQRSGRGDDI >cds.KYUSt_chr6.24247 pep primary_assembly:MPB_Lper_Kyuss_1697:6:153189109:153189732:1 gene:KYUSg_chr6.24247 transcript:KYUSt_chr6.24247 gene_biotype:protein_coding transcript_biotype:protein_coding MRARHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPTAVLNFPELAAFLPRPASAAPRDVQAAAVRAAAMDVPPSLLHHPAIIAAAALSSSPEATPSAARSAFSVEDDDFEGIFELPRLDDDAAELVFVHDVTLADVSSWCDPVWMDDQGYPPAATTQDHLFGGIGLDAADQHGWGTSVSTLLWNL >cds.KYUSt_chr5.22590 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147437933:147448258:1 gene:KYUSg_chr5.22590 transcript:KYUSt_chr5.22590 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEKPSGGTSPLRQRAGTETPVPQILASRWRRLWKVSYLAVESIFPEWNNWTQFLDETTSGLRLDALAESHPIEVEVNHASEIDAIFDSISYDKGASVIRMLQSYLGADRFQKALASYIKKYAYSNAKTEDLWAVLEEETGEPVKDLMTTWTKQQGYPVIFAKLNGQYLELEQAQFLSDGSSGPGTWIVPMTSCCGSYDVNKKFLLKGKADKIHIKDFAASETADRGTCQNSWIKLNIDQTGFYRVKYDDELAAGLENAVKANKLSLMDMIGIVEDSYALSVACKQTLTSLLRLLNAYRHESDYTVLSHVTSVCLGVNKISTDATPDLSRDIKQLLIKLLLLAAKRVGWDPKDGESHLDVMLRSLLLLALVKLGHDETIAEGIRRFHIFLEDRKSPLLPPDNRKAAYLAVMRNVSTSSRAGYDSLLKIYRETSEAQEKSRILGSLSSCPDKDIVVEALNFMLTDEVRNQDAFYVLGGISLEGREVAWAWLQENWDHVVKTWPSSSLISDFVNSTISPFTSEERAAEVSEFFCTRVKPSFERALKQSLERVRISARWIESIKSEPNLAQTVHQLLLEEMVSRPLAAVAPTSVGCRRQPAASVFVAIARDSIDNVAIESDLPVIHTMTRTAPPSLINRSRACLRQARPRVRAYHRSSYGLPLLAPLGRSAAAFGPRYRPPMRLPGVDVAGGLPPLH >cds.KYUSt_chr5.2985 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19451850:19452653:-1 gene:KYUSg_chr5.2985 transcript:KYUSt_chr5.2985 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIERTHPACTLSTTHTSLSRCIFKFWVYLNQLSDGKPVLPFAPSCSTTDNYTDGSQYKKNLDQLLAGLPVAAAFNGWFYNGTAGAPGTPDQVFGLTMCYADRNAKECQECLAGEAAGIKSMCPGSRNVGAAYDACVLRYSPTPSFSVADLDVAFYVTVAGTAIDPERMRNAWLPLMTNLAGRAASSPSRVANATTPYDAASLVYGLAQCTRDLNGTECSRCLSSLVGQLRTRFTNEAGGAVKAYSCYVRYQLGAFDVTLPPEPLW >cds.KYUSt_chr5.6468 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40050077:40052001:1 gene:KYUSg_chr5.6468 transcript:KYUSt_chr5.6468 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPSRLSVRAAAAAVHEACALPFPPSHAPHHRELAAAVASVERACRLCVDVKATLLSNDRDKRRVVEKNDQTHVTTADFGVQALISFELQQLFPSIPLVAEEDSTFLRSPDADAGIVDSISSFVARNVSNNGSPLTHDDVLRAIDRGGREAVSFDSKPATYWILDPIDGTKGFLKGNDTLYVVGLALVVDGKLAVGVMGCPNWSDATTIGNKEHESAAACHGDGMLMVSHVGCGTWSRPLSAGIGQFTTPLDAWKRCSVDPCSVVHMACFCIVDSHTWDMMPLSAHFDSTMDESEPRDENKILLQNSCGGSLSKYLMVACGRMSVFILLARAAKLLKTWDHAVGVICVEEAGGQTCDWSGKPLDFAADRTGRRIIYPTGGILSTNAALHDKLVEMVSENYK >cds.KYUSt_chr6.8870 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54490977:54496650:1 gene:KYUSg_chr6.8870 transcript:KYUSt_chr6.8870 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLGAPSQWRRHLCLALALFLCLWGRCCGAEDAQLAMLPPRGWNSYDSFSWTIDEAAFLHNAQIMADKLLPHGYQYAVIDFLWYRKNVNGSSTNSYGFDNIDQWGRPFPDPDRFPSSKGGNGFKQIADKVHAMGLKFGIHLMNGINTQAVNASTPILDIGTGNAYVEDGRQWTASDIGLTHRTCAWMSKGFMSVNTDMGAGRAFLRSLYRQYAEWGVDFVKVDCIFGTDYSPKEIVAVSEVLKELERPVVLSISPGTKVTPALAENITRHVDMYRITGDDWDSWKDVLPHFDVARSFADAKKIGATGLQGRSWPDLDMLPFGRITNAGVREGPHRSTNLTFDEQRTQMLLWSMAKSPLMYGGDLRHLDDDTFNLITHPTLLKINHHSENNKEFNYIQSERTSKSDEKFSGSNSVEHTNNDGLVIGLSTCSDESARGWRSSSEDHICRSYKTKNGNASFCISKAKLLLTSDGITLSNEENQAKFRLAGIHNDVGCLDASVSPWQASSASRTPMFSTCEGHAKQVWELTEKGHLVSSYSGLCATVESNKEGERKTSGAQAWIATGNKGEIYVAFFNIDTVSRKIAVRVADLEKSVGIKLTRKHLCSCTEVWSGKSRSLLKGDISAVAVNLFSNFRLPHIQLAML >cds.KYUSt_contig_2887.171 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:832655:834189:-1 gene:KYUSg_contig_2887.171 transcript:KYUSt_contig_2887.171 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWQYLQNPPQYVGNDDRCFRAMVMWWTCPQYLKKHEEGKAKRAEMRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSAVWLNPQCETQCTSYVSKFKQKYGEEANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPAKVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEQRAHMEQQILEYQQQQIQMMQQMQQQQQMMQAQQAQMSWLMSQTVLSSPPGSIAAPPPYTTPWMPPPPTQTPGTPITVNNLNIIRSMNRGIIEK >cds.KYUSt_chr2.45317 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282655306:282656511:1 gene:KYUSg_chr2.45317 transcript:KYUSt_chr2.45317 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFQILSFTAIAILASLIHPCSSTEFHRKLASWSTAGATWYGAATGAGSDGGACGYKGAVYTAPFSSMIAAGGPSLYKSGMGCGSCYQVKCSGNAACSSNPVTVVITDECPGGPCLNEPHFDLSGTAFGAMAKAGQADQLRGAGVLQIQFTRVQCNWAGAKLTFVVDAGSNPNYFAVLVQYQNGDGDLSAVELMQSGAGAAWTPMQHSWGAVWKFNAGSALQAPLSLRLTSSSGNKLVASNVIPAGWKAGATYQSAVNY >cds.KYUSt_chr5.35851 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226404150:226415321:1 gene:KYUSg_chr5.35851 transcript:KYUSt_chr5.35851 gene_biotype:protein_coding transcript_biotype:protein_coding MERINPVGREDRIPRKFLPLRFSDLLTMEGGEQKVQLFLDAAPKDDIPIAAKSLTIKTTNATGSRGGSDWSIPSSPSPPSSAFVSALQSPYISPRVAEPPPPRHQESPQVSSAAQAAAPSPASRTDGFRSDDTDAPTSASRTPPSGRYDSRAIDLLRSSDGGGGPAPRVSFSFPVPRVSLTRGAVASPMSNGKLRSCDVYIGFHGQGAPLTRFCRWLKAELELQGIASFMTDRARYSGAHSHEVADRIICSAAFGIVVVTVSSFLNPFVLEEIRFFAQKRNLVPILFDTRVPDIAGLFDGKPEDKEGMEAFEGLMRCHELKLETDESSWRRCVSRVVTVLQSKLGRGAIGEKESDDGLPFPRNKHFIGREKELAEIERMFFGCTGDVEDLECPRSTMPNCETSGVSDGFADKDSDAVMTSNGEFSSFELPKCKQPMLETLVDLAIEPPSVKGRSLQKERSKHRKSRFRCDSKDHANGNVICISGISGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSRYLGLDISAEAEKEHGRIRSFEEQELDAFQRVKRELFRDVPYLLIIDNLESERDWWEGKDLQDFIPRSTGASHVMVTTRLQRVMNLEPMHLPQLLFHDAMVLIKGKKKKDYPPEELEVLKKFDEQLGRLSFGLWLVGSLLSELMVDPCILFDAVERVSLNDNMIVLSSSEENLWQNNLFLIKVLVFCFALMDQVKGGSLALRMITVGSWLAPSPISSTLLAAMASKLPTKANSLQLWSASLKTVLLCGTHCFLPPQARKAEVESAHLLVKLGLARKTTQRAGCWIQLHPIVQLFGKINGSLTQASAAVSGVIRTGNMSMYSDHMWASAFLLFGFKSEPPVVQLKAVDLVLFIKKIALPLAIQAFMTFSRCSSALELLKVCTNILEDAEKSIASRLQDLQQGSLCWKKKLRADNHVDEFIWHEMTLLKAMLLETRAKLLMRGGLFDSAEELCRTCISIRTVMLGHDHAQTLAVQETLAKVLDMYSSVCLILPLNFPDENLSNMDELSDDSCDLEMLSAVNARIADSTNLNVESTHPLRRPHTVAHLICLQLPLPTRAVYSDYTICSLGVQTHARTRVAATLALQQRSRDSKAAGAVAAMEKGDAREEEERAISAWTFRAMVAGVVVARLVYSLASIERSLESTKRFLDTIERFLDSIERLLPPTKRSSYDDLPAELLDLVIAGLPDPADRARARAVCRSWHAAVRRRGAQPWRLPNTMCPIERLRSLPRGADTVGSTDDWLAVRVGEKPGRYLMHNPFVNKSVPLAELEAVIGNHKSDINKFLMREGRVWLPPPRTRPYVDIIDFAFHQGKLYTITKAEHLISFDLALDGDGSPVVTVGRRLIKESPYYYPHHEEELFAEVAPPNDISIHNSAAHVWSPTGSNDNVYITSRHLIESSGKLLMVRHHHQVRPKTDYRPGAIYITRRVEVFEADTATGAWIPVTGGLGGGRALFISTNFSKSVAAPCGEVEEDAIYFMGTGEVFNLKTRTCSPSRLCRSFWRLTWLFHPELVF >cds.KYUSt_chr3.39518 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248983001:248986926:1 gene:KYUSg_chr3.39518 transcript:KYUSt_chr3.39518 gene_biotype:protein_coding transcript_biotype:protein_coding MVPALRLLLAFLAIGSCIAADHIDLWPMPKSVTHGTQRLYVSKDLAMSMEGSKYSDEKAILKDAFQRMVGLLKLNHAADGANPSSYVLTGVNIAVHSTEDELNFGVDESYNLTIHTVGEPLHAHIEAQTVFGALHALQTFSQLCYFDFTSRLIELNSAPWMITDAPRFPYRGLLIDTSRHYLPLTTIKGVIDAMAYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGSYSYSERYTMSDAVDIVRYAENRGVNVLAEIDVPGHALSWGVGYPTLWPSDSCKEPLDVSSNFTFTVIDGILSDFSKVFKFKFVHLGGDEVNTSCWTATPHIKEWLNDNHMNVSDAYRYFVLRTQKIAISHGYDVVNWEETFNHFGEKLDRKTVVHNWLGGDVAPKVVAAGLRCIVSNQDKWYLDHLDATWEEFYMNEPLKGIDNPEQQRLVIGGEVCMWGEQIDASDIEQTIWPRAAAAAGQ >cds.KYUSt_chr1.27004 pep primary_assembly:MPB_Lper_Kyuss_1697:1:162898303:162899277:-1 gene:KYUSg_chr1.27004 transcript:KYUSt_chr1.27004 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPWEAGAASARTGKACHHLRQRPWRPIEGQVGLGEEGRGGVPPGDVVDRDQLTAGREKVLLYEYMENGDLHRWLHELPAGRPDTDDDDADIWETAAEEKRSISDWPTRHRIALGVARGLAFLHQGWAGSGRAVVHGHLVPANVLLGDDLEPRISDFGRLGGGEDSTAEGDVYGFGVLVLELMTGQGRWDEASVSWARGIVRDGKGLDIVDPRVHGEAAAGPEAEAEREMVECVHSPDKRPTMQQVVGEAEVSWAARCAVRCAVRVRFGFADLDGGGRRSWPGSRPERRGGRREMARVSGRWAVWVSFVGVVWTGRPARAQNL >cds.KYUSt_chr5.16162 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104047282:104050797:1 gene:KYUSg_chr5.16162 transcript:KYUSt_chr5.16162 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVTLKAKHKVLRKVKEHHRKKRKEARKDGKNHKSRVEKDPGIPNEWPFKEQELKALEVRRAQALKELELKKEARKERARKRKLGLPEDEDIADLDIASLAMPKTNDHSERAFYKELVKVIEASDVILEVIDARDPLGTRCVDMEKMVRKADPTKRIVLLLNKIDLVPKESVEKWLTYLREELPTVAFKCNTQEQRTKLGWKSSKLDKTSNVPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLVDCPGVVMLRSSNTGVSVALRNCKRVEKMEDVITPVKEILTLCPHEKLLSLYKMPSFTSVDDFLQKLATLRGKLKKGGIVDVEAAAKIVLHDWNEGKVPYYTLPPKRAAVEDANAVIISEDGREFNVDEIYKAESSFISGLKSMEDFSHIEIPSNPPPEIDEGMLEDDTTHSEPVQDNRDESMCDVNDREGSKATSGNTQHDKLYTAEGILDPRKKKAEKKRRKGNKFSVLNDMDADYDFKVDYQMKDADVDDDEDDSKGGDETKESEPMTGVCDA >cds.KYUSt_chr1.28922 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175008579:175009440:-1 gene:KYUSg_chr1.28922 transcript:KYUSt_chr1.28922 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAFASGAAMAVSPVQAYPGGTMRVLAVEEDPACLTALTQMLQRHGYQVTAKASPEEGLRALQDNPEGFDLVMTVVRTQEPWIDGFVLLRHAAQLYPVILFSGVESAETKMRGMLGGACAFLTKPLRDEQVRDVWQHVIARRRLTSGVKAVAHAPSRDVVREDGTARKRGLKDSGEGGSDGRTAKKNKLKSSSETDQLHAAFVKEAEQLRGTKGN >cds.KYUSt_chr7.6617 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39982804:39984156:1 gene:KYUSg_chr7.6617 transcript:KYUSt_chr7.6617 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTMLLIPKGTKLSEVEFSVAFPSRETLRLSTGSGKLHLPLSKTDTEIREAFLAPRPSVVLPSTWVRMTGVPEDLMTKERLMATFVMVGRPIDVDELSLMKRDTEPVRMRFQCRYPERIKGTVQVFVNGEGFTVGVQAETPPRRGAGGSGAAPPPPPPPRRDEEDEDSDEFSTDSEWNKHGRRRRNRDNGKDKDTEKGKETEKGSAGTTSGKGSKGTAAGELGSWSAPPLGKGTGTPKFDQYGSNLKGDAAALSLPALEILGGGKELLMAEGAEVGRAGLEGSSISNVTDPASSWSVDSPPSQGPPAKMARLELATTTGPVEREPAVVEQSQVAEVEMVRSETELGGVQRLQEPRPHDLQREVQVGTPLVRGPRSMAIPYARKATPTPASAVRKSARHTKGASAVSVLEKAKLLAADKNLENAKTVEKEVEGPRQAKGPRDAGCADGRG >cds.KYUSt_chr4.21101 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132905544:132906074:-1 gene:KYUSg_chr4.21101 transcript:KYUSt_chr4.21101 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPVLVSLAVLFVGAAAARKTTHIKFYMHDVVTAVPSSPATTAVRIARGVTPLPLEPTIRFGDMFIIDDPLTEGPDAASPVVGKAQGFYIFASQTDFALMLTVNMVFTAGPHNGSTIAVLSRDAILDPVRELPIVGGTGVFRGATGYGLLRTYSANSTTLNAVLEVDMYVRARV >cds.KYUSt_chr4.42181 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260923748:260926485:-1 gene:KYUSg_chr4.42181 transcript:KYUSt_chr4.42181 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASGTSRYYASALHRRTHRVTSALAHAALEWTLIALLLINGLLTHAVARFAAYFGLSPPCLLCARVDRLLGAAVVDGGEGDARWLRGVLCGAHAAEISGMGYCLRHRRLVAEAADMCEGCLSSWKKESRNRAEETTVCSCCKILVEIPSRALPPEDTHPREDPAAVQEKAAGEEETEDQGYVLLDQEDHEDEEEEQAEAEQQNEEEIKAAPAEEQVIARGEESMARDDRVKAMFYWLLWMTIAAGEDESVAQGEEIEHDRLVPEVALDGMTVADDSDAGEMDHTDDERDPDGLDTAVLLEENIMLASSVATAPAMIYNSVPRAEELVLQDTTVEIGESITEEEEDIAVPQATEAVPEDSNKSAEVETNCEVSIGSDICEQEQDGHGVPFQELVALEELFSPLEYADDLTLPPESFHGSAGAEQESSETEQRLDYLSNDQNENDQDRAPGTPTYSFASQNSGKRFLLERKLSLSLSMDGSVSSEIECGDPPTIDQLKSTLKTERKALSAMYAELEEERNAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEAMQLLTELVTKREREKQELERELQLCKQKLLHYEDKERRRMAAFKDNGNGTSESSSDEDSDEHSDDECELGESPNGSGNLHSSADAALSPRTGQENTSHLVELDDSLTYFEMERLSILDELKTLEERLFTLEDDDTNVASDRSSDDFVLSTDGVHSPENGLTGNKAKFEGRSSVSRGKSLLPLFDAVGEKNCDRTYSAEADDSTNPVAVFVKEKERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKTGDKGMYLLQEILQHLRELRNVELHVKNAGGAIAANSA >cds.KYUSt_chr3.18477 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113572249:113574766:1 gene:KYUSg_chr3.18477 transcript:KYUSt_chr3.18477 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGAGAMGQPPSDSPLLDSSEQVYISSLALLKMLKHGQSPTLARFRPPISPFSPPSRRINCGVAAGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKKFDTHSETNEQTVQEMLTLAIKYNKAVQEEDELSPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >cds.KYUSt_chr2.14203 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89840900:89844350:1 gene:KYUSg_chr2.14203 transcript:KYUSt_chr2.14203 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLQFLCLLFLAGEVVLIGAQSGGGGDKEVLVELKRFLVTNNRVNRGDYDAWPESDPSPCRWHGVTCDANGRVASLNLSRSSISGAAFGNFSRLTALASLDLSDNSITGTLPAADLNQCRGLLHLNLSHNLIAGPLDLSGLTRLRELDVSGNRLEGAVAGNFPAICADLTSLDLSTNNLTGNITGLFDGCPRLEYVDLSSNNFTGQLWPGVAKFKQFSAAENNLTGIVTTSTFQDSCRLQSLDLSANKLAGNFPDSIANCNNLTYMSLWGNKFTGMIPAGIGKLAVLETLILGKNGFERQIPPELTNCTKLQFLDISSNMFGGDVQETFGNFVSLKYLVLHHNRYTGGIVSSGVLQLPELARLDLSFNEFTGNLPLEVADMKSLKYLMLGENNFSGEIPPEYGRLPELQALDLSNNTLTGVIPSSIGNLTSLLWLMLAGNQLSGEIPPAIGNCSSLLWLNLADNRLTGKIPPEMAEIGKNPGPTFAKNRNDPSVLAGSGECQAMKRWIPASYPPFSFVYSVMTRENCRSIWDRILKGYGIVPICTNSSSPVRSNTVSGYVQLSRNLLSGEIPSSIGAMRNISLLHLDGNHLTGRLPPELSRLPLVMLNVSRNNISGPIPPEIGDILCLERMDLSFNNLSGELPASLFKLTDLVIFNVSYNPLLSGNVSTTGQFGTFDEESFRGNPLISFNQGGAAGKQQPRPEAADVPPVRRRSMLRRTIVMWFFFSLVLSFIAGTVVFIIASLRTRFPVEQEPDQESFSREHPKGGKHSFQMWTSSPPSGSSSTATGCSSSTEGVKVFRLDKTAFTYRDIVAATGNFSDDRVIGRGGYGVVYRGVLPDGRAVAVKKLSRPRDCCGDDDGEREFRAEMEVLADRMGFTWPHPNLVTLYGWCLSGAAKILVYEHLEGGSLDELICDTAAFGWPARLDVAIGVARALTFLHHECVPAVVHRDVKASNVLLGRDGRARVTDFGLARVVRPGDTHVSTVVAGTVGYVAPEYGQTWRATTKGDVYSYGVLLMELATGRRAVDVGEEECLVDWARRTAKEGRNTRHQEREDQSTSGAVFWELLALGMRCTADAPHERPDMPEVLAALLDIAAANGTTTTSCLTCSRT >cds.KYUSt_chr2.46395 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289891061:289892748:1 gene:KYUSg_chr2.46395 transcript:KYUSt_chr2.46395 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSLAPTQAGATPNRLKRKAPDSSDAATASTSAGEDEAAAGLEEEVEDLEREVADLGRRILEHRRDAATRFLDATVSRLAAFRPTACPEVPSEQQSIAGTSHAEAEQDMREKLKIFKSNTEASIAAMPKVLKKMTECVAQMEKLEQMSVNIHPVFQRKR >cds.KYUSt_chr6.9886 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60895371:60905713:1 gene:KYUSg_chr6.9886 transcript:KYUSt_chr6.9886 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLRDGYLRSFNCIEPLSLLDTPILVQIEGDSTCVGRRSGRLDVNNKHCNIPIAKRAEYRLAKSFGELPKDSIPQKGFEEDVQEKMKSYLRLCKKPTSPMATQAIRELASLAESFLADLDELSDNEPCQEEVNAEAVNMEENVDGSISDLIKSVYCDDLDSISKLQKTQYYNDIIQKVEDAVQRATTVPNQGSILEDLDYQLIVDCNALSVDIDNEISTVHSFIRDKYKLKLPLLESRIQHPIDYARVVKKIGNEVDLTQVDLKGLLPSADIMWITMTESTSREPLSNVNLVKTNEACDRALTLDAAKKKILDFVASQMGHIAPNLTAIVGSNVASKLMVDAGGLEALAKMPACNVQLLGAKKKNPCGFSSAVSQFRVGYLEQTEVLQSTPPSLRTRSLRLIAAKSTLAARIDSIRGDTTGNSGKNFLEEIRKRVVKWQEPPQAKFPKPLPVPDSIPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGVPEKSSLGDGLGKGYGLLGQAGSGKLRLSIGQSKLAAKVAKGFKEKKYGSSGVRSGLTLSLEFTPVQGMELSNPLPQGINLVKDRRGGTSMVQGAREGKRSHSPRCKEERGRRRKGEEGREKRRRKRKEARRSSRLQGRPTGP >cds.KYUSt_chr3.16628 pep primary_assembly:MPB_Lper_Kyuss_1697:3:101917913:101918687:-1 gene:KYUSg_chr3.16628 transcript:KYUSt_chr3.16628 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPLFNPRGFSDLILISDDEDDQSRQVIDLSDDEGDVIMVEANDQIVVLSNDEAGEMISGDSGGAASPLSGDSPEDGDTNSGDSPEDGDNNDTSTKRSKRPKRYNTWCHQDQHKLMDTITEMRENNSGIMPSALEVLTALQKDGSPLLRTKLDKNAIYQKIRHLKKKLQKKLHGRRFRGPRALHEIKINARSMKMWPELVVEKEAQDEQRQAARP >cds.KYUSt_contig_2729.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000466.1:1816:2550:1 gene:KYUSg_contig_2729.1 transcript:KYUSt_contig_2729.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERERDEKRRRTQSVHCGAQCGNCWLLEGGSNSSIGTLAPGSRPSTTRQVPLARAPRDEQVLVDFDPYWFEGRAAGKIYWHICQSSRLLVLDPSTLRFSYLPAPGELFAHDRNPKYRIGEAPDGRLCLVTDAQQQLQLWVRGKGDKGWIMERKIVDLLVLCDKLSGRGLPVDPMLRTLCVWPSDMDAGRTGKVFIKTWGFGRFSFHMDTGKMELLATKRGKEYGHPMFAYFLAWPPAFLAPDN >cds.KYUSt_chr4.50679 pep primary_assembly:MPB_Lper_Kyuss_1697:4:313921231:313922377:-1 gene:KYUSg_chr4.50679 transcript:KYUSt_chr4.50679 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRPEQAPEPWQATYPGSSTMMSDLIFYGGDLQGASEPRRDMDIGLFQEPMAPPRPQDEFSFDYLSEVCAPYRSFVPGVVDAPGQALAHPFHDAMPDDEMQLFHAGGSSSSPTTFIFRGGGVGEMNGNIRGAPGGHPRSKLNGGAPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVRELTERIKTLEEEIGDMPGELNLLNTAQNFSSGSNEETMPIRNSTKFDVEKRPGGGMRIEICCAANPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMHASCSQVSV >cds.KYUSt_contig_7589.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001601.1:207806:210361:1 gene:KYUSg_contig_7589.22 transcript:KYUSt_contig_7589.22 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTCPGVARSSTMAFVCVDLEWGGWRYESTLFHGIETSLTQSEVDILCKSFPEEIVATTHWEPGSILIYEATMHSGFTMPLHPFIASIVNAFGISPAQLLKHGWLAMQTFLTQCDEKQLKPSVDSFLYFFSIFKSRHKYDDRDHYSFKTRESDIMKVSKAEKIRTGRHEKMEWHKRFFFIKSKSNEGWLFPEKWARGGPLSKKCQLTEEDQKVVKALGGNPTADECLSPVSAPSPALGSSLPKEVDDQEVSKALGGNPSADHCLPPVPALPAALASSLPKEVESSSPIHVNLLTTCWTFKYLMLNHS >cds.KYUSt_chr2.22979 pep primary_assembly:MPB_Lper_Kyuss_1697:2:140641701:140643264:-1 gene:KYUSg_chr2.22979 transcript:KYUSt_chr2.22979 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNTDAFSVDESGVASDGVVGWDYLRSVISLQPKETEVELPISEGSEDNITPPGERKSKLVWSSSEDSIPEPPHGKGHAQDEDDDDEGEDEEEEDVEEEGDEDEDDEDEDDDDE >cds.KYUSt_chr6.13565 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84987944:84988522:-1 gene:KYUSg_chr6.13565 transcript:KYUSt_chr6.13565 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRRTRPTTAQCFAATLFALLVVAAIVVIIWLALRPGKLHLSVDHATVRGFNFTAGDSGLAGTFLLALRAYNPNKRSVVYRSIDVGVWFGDTYLGGAEVPGFRQPPRNETRIDVAAPAVRGALPREVERAIKRDRSGGKLPLDVHVRSKVSFRYGIVRTRRYKMRASCPLVPVDFASPTSFDRVYCHVHF >cds.KYUSt_chr2.45966 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286890423:286908380:1 gene:KYUSg_chr2.45966 transcript:KYUSt_chr2.45966 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGRRRGAPGRAVFAAAATAVALMQIVLLVTPTGAQQSNGTSRVVPAEGYCSMYGICAHRSDGKVLNCANSTKAVKPDTLFSTRIQSLCPTITGDVCCSVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFVNVNSVKQVNNTTTVDGIDYYITSNYGEELYNSCKEVKFGTLNTRAMDFLGGGAKSYKEWLAFLGRQANPNEPGSPYLITYRPDLSDSSGVKPLNATVYSCGDPSLGCSCGDCPSSSVCTGSLLPQLQTESSCSVKMGSLKAKCLDFSLVVVYLVLLCAILLWGLLYRTRGRKSFPTQKKQPKNADDKLRSNNNGNVPENSSVQVSRAASSSIIQTYMSTFFRKHGIFVTRHPLLVLFASLLVPILLCIGLIHFKVETRPEKLWVSPGSQTADEKQYFDSHLAPFYRIEQLVLATSASDQSEAPTIVNDNNLKLLFQIQKKIDDLRANYSGSVVSLADICLKPLSTDCATQSVLQYFQLDPKNYEDLGIDHARFCFQHYSSDVTCLSTFQSPVDPSTVLGGFPGSNFTEASAFVITYPVNNKVEKTGQENEKAMAWERAYINLVKEEILPMVLAQNLTLSFSSESSIKDELHRESTADAITIVISYIVMFAYISFTLGDRPSRFSSLFVSSKVLLGLSGVVLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPDGLVLEERISNALVEVGPSITLASLAEVLAFSVSAINPMPATRIFSMFAAMAVLLDFLLQVTAFVALIVYDFRRAEDGRIDCVPCARLSSSAVAGDNDGHQGLNLVARYMKDVHGPILGYRAVKFIVIAVFVGFAFASIAMSTRLQPGLEQQIVLPRDSYLQGYFNDLEKYLKVGPPLYFVVKDFNYSSASENTNQICSINQCNSNSLLNEIARQSLSPETSYIAKPAASWLDDFLVWMSPEAFGCCRKFVSGNYCPPDDQPPCCEKDSGSCNPNGACSNCTTCFLHSDLHNGRPSTTQFKEKLPWFLDALPSSDCSKGGKGAYSTSLDLSGYENGTIQASAFRTYHTPLNKQADYVNSMRAARDFSSQMSRDLQMEVFPYSVFYIFFEQYLSVWKTAIMNICVCLGTVFIVCFVVTSSLWASTIILIVLAMIVLDLMGVMAVLGIQLNAISIVNLVMSIGIAVEFCVHITHAFMVGIGDRENRARQALSTMGASVFSGITLTKLVGVIVLRFAKSEVFVVYYFQMYLALVLIGFLHGLIFLPVVLSLCGPPEKTMKPIEQSQTPPSNEQT >cds.KYUSt_chr2.29746 pep primary_assembly:MPB_Lper_Kyuss_1697:2:183046977:183048087:-1 gene:KYUSg_chr2.29746 transcript:KYUSt_chr2.29746 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPAADAKIFKTKCAQCHTNEQRAGHKQDALTEMEVLTPSPFLDADAAMDPDRRPSRRKLMISSISPFPVSLCGGRDGIRGNAVVAWIPRWMRWRRRCAARAWHLGL >cds.KYUSt_chr2.42726 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266107153:266107545:1 gene:KYUSg_chr2.42726 transcript:KYUSt_chr2.42726 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEFTAAAKSYFEALLQTHNVRCRLLKLDFQPMCSHRIANQGGSLSSEQRALRNLCANGLTKDDEKFSHEAIKAYHKIFEVPLCDDMIKATTELHRWWIGSLWVDLTLVGWGGGQRCKWANTRVPSLVQ >cds.KYUSt_chr1.38442 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234945378:234945749:1 gene:KYUSg_chr1.38442 transcript:KYUSt_chr1.38442 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSGTFRRSGSSGMVWDDDRHLSGEIRPSPGRRDDGPSPPARVQRSRSNGHGGYRAGAGRVSPALDPPSPRVGVCGFCGFFGGKAAGKKKKRDGGKDKSKVIPRAAANRSPRARRASG >cds.KYUSt_chr4.46717 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288731584:288733532:-1 gene:KYUSg_chr4.46717 transcript:KYUSt_chr4.46717 gene_biotype:protein_coding transcript_biotype:protein_coding MLGENIVIVLHIKVPFSSQSFRVSHGQHYSRHTSVRVLLQEFDLITGSFCRTSGNRDVYVPASTSGAEAFGLPRGVSSADCAYKLQQYATIPLSSPGEAAIHLGNTKSCIAGYGGRWDPYTAYQFCIPSWVAFTDNGTLVGEAALQHAAISPGTAVSGFKRLFGIRINNEMVKREAELVPYKFTEQIGRCGIQVETEEGHVMNFLPERVAGILIAELKKMAEARLGHKIGYAVVTVPGHFNDARNWFRNEAARLHGGLSAVNVVDEQVAAAAAYRLHEKRGDGKVVLVIHLGGRTTHATKFKFKDGSGRLLQERHDAYLGGDDFTNRVVDYFVELIKEKHHRDVRRDEGALRKLRAYCEMAKKALSNREGALVNVGSVLDEGSSFYEDFTRAKFEELNGDLMARVIEMVEMVVLGGAPASQLRSHQDAIDEIILVGGSVRIPMVAQLIEDYFHGRGLIRDEEAVIRGAALLSRPESARYVEECYNGGVSGPLWLAS >cds.KYUSt_chr3.17729 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108777434:108778846:1 gene:KYUSg_chr3.17729 transcript:KYUSt_chr3.17729 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAPDLSKASPSPPPVLPPSSSISSAEAANIPWMMLERFVFVRNDDDGSFPDDAAAPMRANSSTSFGDRFTIALLLAAPPGVSRLYVQWQRGPREPVDPDSRETLLLTSDRRLFLLSTTSRSKLERTRPIQQDFFVFRASFSAGRSALTRLPSCTEPILLPLHGKEIAVYRWFHHNTIGIVRGRGSDIDKFAVVQLAKFVDFPGHRKMGAELCVFRSGFPSSKSKDEVAEGNWEVLKLPIHHSDEEFSDLEGWSTDGTITFKNSICWVDYHHGGILLYTPALAAGGTEDIISYIRLPIDNRPRNSLPSQYPLERYRSLCVTGPRNNEQLKFIDVARKDDAFFGMIRDGSSFTITCHTFTTTYGHKWHKDADITSKELWHPKSRKSLIPRNAVTTFPLVSWDEPHLIHFIMSELTNKIDMVLLVVVDIIKKKAVSVSTYIEGEEDLCGKHADMVSHRSRLPRSFLPCQF >cds.KYUSt_chr1.4778 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29406475:29410290:1 gene:KYUSg_chr1.4778 transcript:KYUSt_chr1.4778 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPGRRLLAVAAAALLVATSLLVATLLTASPLQLLPLLPCLPAVTAPSGAGYKPPGLAALADAAVYYATTRTVPQQSRAEFALSLDVLRRRAPVRLLVFGLGHDSPLWHALNPGGATVFLEEDPEWYRVVRSQSPHLRAHLVRYRTRLDHADLLLGSYKRFPSCVPGAGAGAEPAVRANAACPLALHDLPAEVYENEWDVLMVDAPKGYFPSAPGRMAAIWTAAAMARARRGEGDTDVFLHDVDRRVERMFAEELLCDRYRSGGTPLHQLGRVPEHCGPSPEGPGPTSSPGSLPALRVARRCSPVGFGGQHILALPVGHSSTSTASTSAPEMAEDPVTYEDLTEEHKKKYNELKALFEADLIGSFERTRTHGIRWKGFSAEGALDEVDLSTASEERTRALRQEVNYMVAHSLHRHSKSLVNAF >cds.KYUSt_chr3.24950 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154881962:154882840:-1 gene:KYUSg_chr3.24950 transcript:KYUSt_chr3.24950 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPHESSFSFSRRHFKWPVLGKSSSHGATSTAVAEDGFVKIRSGKQAENEEEASIAFSSTCPSFHSEDFASPLPKPPKQQHRKNNSKPGRTAVSRLRTALAAAISGRHRQVGLGARLTGTLYGHRRGHVHLAFQVDPRACPALLLELTAPTASLVREMASGLVRIALECERSKCASAFPAGAGAGAAGRKLLEETVWRAYCNGKGCGYAVRRECGAADWRVLRALEPVSMGAGVIPASCGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDSSSKANSVGPELSVYLLRV >cds.KYUSt_chr2.29022 pep primary_assembly:MPB_Lper_Kyuss_1697:2:178377616:178380300:-1 gene:KYUSg_chr2.29022 transcript:KYUSt_chr2.29022 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVAGGAGCCGCLPLLGPRRRAAAFLPPRAVASDGAAVEDGSKTVLGGSGVAVTKLGIGAWSWGDTTYWNDFQWDDRKLKAAKGAFDASIDSGITFFDTAEVYGAGVSGAINSESLLGRFIKERQQKEVVEVAIATKFAALPWRLGRGSVLSALKSSLSRLGVSSVESYQLHWPGIWGNEGYLDGLADAYEQGLVKSVGVSNYSEKRLRDAYKRLKDRGVPLASNQVNYSLIYRNPEENGVKATCDELGITLIAYSPIAQGALTGKYTPANPPTGPRGRIYTSDFLSKLQPLINKIKEIGGSYDKTSTQGNVVPIPGAKNAEQASEFAGALGWSLTDQEVEELRSLAREVKPVMGFPVEKL >cds.KYUSt_chr1.8908 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54708260:54709573:1 gene:KYUSg_chr1.8908 transcript:KYUSt_chr1.8908 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGMTIFEILMRPINRRDVASDAHRPKGKEPRWAGHATRLAGNRGYSTALNSRRLAGKVAVITGGASGIGKATAEEFVKNGAKVVLADVQDDLGHALAAKLGADSASYTRCDVTDEAQVAAAVDLAVSRHGNLDIMFNNAGIPGSPARPPARLPRPRRLRHRHGHDAGAATALSAPPFSIANPLASLRRTGGGRPRLRASPHAAPHAAVCAPPLVRRSGGGEVEEARCGVGGGGGGGRCGNGAGRRGGSEATGPGRRTYLQRRARRGAGGGRRRGCVGDGEAATATAIEERASMTATARSGAARSSLCV >cds.KYUSt_chr7.9683 pep primary_assembly:MPB_Lper_Kyuss_1697:7:59190887:59191567:1 gene:KYUSg_chr7.9683 transcript:KYUSt_chr7.9683 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDYVFKVVVIGDSGVGKTQLLGRFTRDEFHLDSKSTIGIEFQTRTVVIARRRVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDVTRRATFQHVARWVAELRAHADKSIVVVLVGNKADLAALPGRREVEVEEAEAFAEEQGLFFFEASALSGDNVEAAFMGLLGEIHATVSKKRLEVDDQAVRGGSDGVADVLVLKGLSLSQELSMMETSAMKTPSRCSSCS >cds.KYUSt_chr5.1453 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9916680:9921946:1 gene:KYUSg_chr5.1453 transcript:KYUSt_chr5.1453 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRTPRAPALTHCCASVLMSLSREGTEGCALSKIAAFRCFQIHHVVSMITDDVPLRNWRGAVRTACDHHSTKSPSESGGPEVDRIHERTSNQIVLENEHPVSRCTIVSSDWSHSGHLGACGRPRRASLSPVQHLSRQASHKKNFTLGGAQDLQFSSHDAEVIPPGRGPHSKNGSYIDEYFKSKDMDEVKNRLLRAMPQIQAVLDVVSPEHVRGQSSALDAWLWQLRDAVEAAEDAIDELEYYELEEKAKHQRVSDWGSPFGKMKHKFVKSVKSGPVFRKNNHGDSFKRLMKSVDGLDKAAAGVASFLNLTDHLSGGSSASSQQQVQKLVDNSRQTGSTLSATIFVGREKEKEQIAGWLASPSVESGVTGVTRTKSIPIISVVGHGGMGKTTLAQSICEQEEVLKHFKVIWITVSTSFDATSMTSKILECATGVKPSADHLEPLQRNLIEKLKSINFLLVLDDVWEDKRRDEWEKLFAPLRKLNTRSKILLTTRMQSVADMAAKVMGVKGDQCLTLQGLEEDENLDLFIHHAFSGLNPGDYIHLKSTGGQIAKKLGGCPLVTKVVGEHLQGNITLEHWSRFLDQGLEHFKGTEDNIMKVLRLSYYHLPTELQICFRYCCIFPQDYEFQKKELVQLWIGSGLISQHASDTQTLVDTAKQVLAQLTRKSFFDLKSIAIGWEQFEHYVMHDLMHELARNVSIGECARIDDPVQLNYEKDTLRHLCIVNIHSFSADEVKKISHFKNIRTIIIFNIYRNRRQVENDIAGALEMVIESSKSLRLLHSELWNTFCLADKFGNLKHLRYIYLHQISAGTICGVAKLYHLLVLQCGSGLETETYEVRYLGDLEGLRYVSYGVRGFGNFCISRLTSLQELYGYQVGGKICNKINAIGNFRDLRELSVGGLENVKNYEEAKDAKLKEKQLLNSLYLEWSTPDQIMTDDLVLDHLEPHVNIRKLLFRGYEGPTIPSWIENRSVKNLVSLTFIRCINWEYLPSLGELVLLKNLVLFELPKLRQIGRSSGVSSSTSTELLLPHSLHTLQVSRCQNMRELPILPASLGYFSIHDVWLTKLPMIGKISCQGIESKSSNLTNIFVRDCPYLTSLEGSLLEQKLYMGALRVLKVEDCIQLESASIPFEEMKELELLTIDGCPKLRMLRDAKDMLMPPSLRELTIAFCGDMQVPLFGPGQLLTNLSNLKLQNCSSLVSLPSADVFRSLGSLQYMYIEGCENLSSLGGLGSLTSRIRLSISECNKLAQAAESICSSGSGGEEEHLVEPNISLQIHSLNIDLPSLLLVEPLKNLCHTEDLRISNGSEMESLPERWLLQNRRSLQHVSIYANSLKSLPPSMQDLCTLEDLDLRGAEQLQSLPHLPSSLKKLDLSGCHPELEKKITEHGSPQWNKIAHVPFVRIGDIYFVMGKKSSQEAAFESFF >cds.KYUSt_chr5.7531 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47513872:47522170:-1 gene:KYUSg_chr5.7531 transcript:KYUSt_chr5.7531 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVREEGEVSSGPSDDEALQSKLTALSNAAKLAHAAAQGASATFPVKGSSSLSISSTLPQKSVAPGYKKTLRGNQGQFKLGTNRNLAWMKPVSTDNLVISFSDEDSETDSGMAKQYRSKSTKASSQVALKTGITMQTRIMREEAPQQKTHAANVGSAKWSANPHTLRNTGAGRGSSATFSRREPPIRQATPLKSTQKDGNGAGVNSADDKLESLRHKIAARENELKVQKRPISPGLVKDANFSSDQIRPPLEKIGFEASNSGGCVHPDGLFGHDDRPAKRLKPNQQGINNQASGDLVTLVPTGSSLGNDNLISAGRTDHIENEITMNCTVNETEQAATTELSDQTYPSGIAKNLLPSKSHDMVIQDGGNHATAEYLGKPSAPPFTTDQSMAEDTSALVPVTSVRTGVDIERSSNHGNDHMISTSDGQHVKPVDTTVSNERPHLQPGMKNADLLNHVGQIGKGGQNTTLVSLFEMEELQERELDDAQELRRKCEVEEREALRAYRKAQRNLIEANERCAILRRKREICSAQVHGLIAENSSMVQCLNIQNAGHGLVMPSGLNSQFHADGQMPEIQGGRSSSPYLDELPQQPVDKHEARSRYGDELAVSIADPKFVSTVHANSTPSEYREDDLLFRPKRARSECTSNPENHMEETIQVYLENRQPSGDSTQDYELLEASLRSRLVKRFGMKSCLNNSVEVTEELAVGKAAEMEQDKQSAHVELQLQEADDIVMANAEGTVELANDDADCVEKMAGLSNSSNAPSMGNCEPEDNVSSLRELCMPSSVDYLICPSSAPQNAARHIKWAFHTFRKEASDFINDSATSDVASEAAVSVPYMIQDRAGENGKMVSTAPNDKDLTRSGIDPFWPFCMFELRGKCNDEECQWQHNKIVQGSVDVELFLEAALGLYCGKVNKPDRLKALLLLARSIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVNSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTADTDNELKDRSAFILDIFLQMIYFLCMSGNVEKAIYRIYGILPTASPDCPGDRKLPEEICVQLEFPKDLPHALLWHPVELTVDNKCKVAELLKCAVDKMAVDINETVKNGDPSYLTLAQFLAVSHISCLGAIEGLQSSVDMLVKYMKEYPRCPNILLISARLYRKFGMCPGLKGFDELLMDWPKDVQGVQFLWNQYAEHALADNIELAEKVLTRWFEEYGKEGDICRRADVGTMEVSNEVSEEQSLASTLEVSSGPSASEDQVYWLLNLSLYRMLESNLQEAQVAVDKALKLAQGESYEHCLREHAAVHTLERPSSCTDTQARATLSLISGYIADRRNLPTRELLSRRFIQNVKKHKLRLLIDDTIGPASADSSLINSVLEVCYGPCLLPEQIEVKYLVDFVESVMEILPANYRLALSVGTFVVKHYSGADPTSMGTRFWASSVLINAIFRAVPVAPESVWIEGASLLEKLQASEIVKRFHQQATSVYPFSFKLWHAYLTACKVSGSNTESIAEAARQRGIELNVVPP >cds.KYUSt_chr2.12562 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79712369:79715403:-1 gene:KYUSg_chr2.12562 transcript:KYUSt_chr2.12562 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRQRGASWRRQAALSQDGSSVRVEARLRSLGAWAAARAVEASGGRGASGQMVAMAGAVGDVVDSDERGGGGGVADEGAGGYGAGSDVRVCVKKQDLLINMGNQRARSPSLRASAAAWIPPPSRTAAGRSEVIAAEYRHEEVPGGWWRNSSPSSAAESRHGPWTAGLCPVLKVTSQHDRLFVYDSLIFLGKMSRVIVLDPTTSRRDALRMRDSPLLLPQAPRRLDSFLTPAASTTSASDRDEQRKRNEATQAPASHERESLLRRVERAPSPAPTTPSMPCAP >cds.KYUSt_chr7.16754 pep primary_assembly:MPB_Lper_Kyuss_1697:7:103811313:103815855:1 gene:KYUSg_chr7.16754 transcript:KYUSt_chr7.16754 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVRIFCHADLQALRNRSDQLLSVELVSLDSVSIASDSCKLLLNLIEGRDYPNKVKLTERRYWNCRQMVLLSLIAGVALELHKIKHDLYPLVSREANLEGGFVEQLLLLKNSALTLLRLAKDAKLDQEVVIDGLLGKVKKFGKVVEDTADLVLKAASTALENLAAGPQSPHALSLPNPARAPPPILFLLPPPLLLPTTAPLPSPLAGTSSRAPLPQSPSPSGRHCSSPPRQTPAAARPGASAVCRRLEKLVFHRLPNTILHLPCSWSFFLAGGWMRGRKDDGRRRLIRAQPQQEEEEEEEEEEERGRRWVEEGLGAPLAWRRSCSHGSLDRPVKVYWFLVTLLADLALRHGANQLLVFGLPDMIWIYSCAIDYNPLPVFPANLHYMLYLWHSIVDSMAAALFWPVYKALVVINMPEQQMEKLLEMLLCAPATRDVAVWICCQKWRSKGSAAHQSVEFVVDFPGDTEKVVGDWVAVLQASRGLGGSVPHK >cds.KYUSt_chr4.35658 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218962319:218963521:1 gene:KYUSg_chr4.35658 transcript:KYUSt_chr4.35658 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATRRPGVLLLLAALLLTAAAMPATATLHPVDYLALQAVRRALSDMPGSGFFASWDFTGDPCTFAGVSCSGDGRVVTLALGDPRAGAPGLSGAFPSAALARLAALSSLSLVPGRVSGGLSPAVAALPSLRFLALSGNLISGALPNSFSPALRTVDLSKNAFSGRLPSSLLQIRSLRTLVLSHNSLSGEIPRAVSAPLVHLDFRNNRLSGGVPALPDTVVYLSLAGNRLSGRVGGVLRRLNRLSFLDLGGNWFSGEVPGELFSFRIGYLQLRKNAFSGDLRPAGRVPAGATVDLSHNALTGRVPPELATAAAVYLNGNKFAGELPSDIASAAEAGRMRVLFLQDNFLTGIGVRGVPSSAAVCAHWNCVSPPPAVVAACPAKGGRGRRRPPAQCGGRRG >cds.KYUSt_chr6.30731 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194856675:194857790:1 gene:KYUSg_chr6.30731 transcript:KYUSt_chr6.30731 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAKLPRHALVPKPSTAPPSPPAPHGPRPANAKPSHGKPPRRTPRDASGPTAALAPVTSAPPPAEKRRPITTPAELSAAIRSTVDDDVDTAASLALKAAPAIPLPAQSLALLLRRLATHRSVAAARDLLASLPYSAASPAPRPALLALADACCRRGDPREIGQLLPVLADHGVRADAHVYNSLMKAHCAAADPEGLLGVLRRMKDDGVDPDLVTYNTLVYGLARAGLVAKARTYLDAMAAAGHFPDVVTYTSLMNGMCVKGDALGALRLLDEMRAKGCEPNGRTYNTLLMGLCKNRKLDKAVEVYRSMVGASMKLDPPAYATFVRALCRAGRVPDAYEVFDYGMESKSFPEVTAYTELESSLKWLRKLKE >cds.KYUSt_chr5.20410 pep primary_assembly:MPB_Lper_Kyuss_1697:5:132642165:132648845:1 gene:KYUSg_chr5.20410 transcript:KYUSt_chr5.20410 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVRIYAAPETTEASLFLFRATVERGEREVVHVPRSADGRSAGPLTLRPPLRWWESGGTSDPCLRRVDSRVGFPGGAGEVVAAAALWNKVAGSCSPSRRCSVGCCRRDSGVGLAGVVLAWGTSSLGVFWSSRLLPRAAVAVGSCGSSKLVEEGGDGSGLPDPRRPVHGVRAPRSAEAGLQLLPVLFVVLLQAWRLARAGVLGLTAGFVLYGALLGSEAPGIKSI >cds.KYUSt_chr4.43202 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267669105:267670906:1 gene:KYUSg_chr4.43202 transcript:KYUSt_chr4.43202 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >cds.KYUSt_chr7.6238 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37536747:37537589:1 gene:KYUSg_chr7.6238 transcript:KYUSt_chr7.6238 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPLDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRAGLLLLWCSNYETNRNE >cds.KYUSt_chr2.39532 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245184655:245185915:-1 gene:KYUSg_chr2.39532 transcript:KYUSt_chr2.39532 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRRQESFDEDDDLYAHREVVLEAPTLSSKELARARFDSLVSHLHCMQEEALYVLKNNSPEEAFKIFTKGLKYEVEPLNMGAGQEQAQTQTPKPPTPPATGKEQFQTTVPPRRN >cds.KYUSt_chr3.15453 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94629857:94632127:-1 gene:KYUSg_chr3.15453 transcript:KYUSt_chr3.15453 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHTIIHVVDIPVALAPIGLVARRLGSTLPLISPCRGIYALTRALLDVDLRIKHDGDDESADEKFVAGYFELLVECKYDMRFCQRLHDGIRSHFLDVDGRYIGWSIAATVIASADIPYPCHVTFKAYTSGFDDEITIFDDPHREGELQFRHVVAVKVLCTLELCLKLDGMCYSHSFKAGVDSKPVKFGPMKALVVWSVMNRHRFWW >cds.KYUSt_chr3.22971 pep primary_assembly:MPB_Lper_Kyuss_1697:3:142129032:142139125:-1 gene:KYUSg_chr3.22971 transcript:KYUSt_chr3.22971 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAEIHKVASMRAAGDSGSSIWRRGDDVFSRSSRDDDDEESLRWAALEKLPTYDRVRRAMLPPLDGPAGKGVVDVHGLGPRDRRALLERLVRVADQDNERFLLKLKDRLERCARPTRLGSSPPSLVFPLPGPACGVFDDLCVLVFCRVGIDMPTIEVRFERLVAEAEVRVGDSGLPTVLNSFTNTLEEAANALRILPNRKRTMPILHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLDKGLNVSGKVTYNGHGMEEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRFDMLTELSRREKAANIKPDADIDAFMKASSMGGLEANVNTDYILKILGLEMCADTMVGDDVLRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLRQSVHILGGTALISLLQPAPETYNLFDDILLLSDGHVVYQGPREDVLEFFESVGFKCPDRKGVADFLQEVTSRKDQKQYWARGDESYQFVPVKDFVHAFQSFRTGRAIRKELAVPFDKSKSHPAALTTTRYGVSGKELLKANIDREILLMKRNSFVYMFRSFQLILVSFMAMTLFFRTKMKRDSVANGGIYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFYPAWTYTIPSWILKIPITFVEVGGYVFITYYVIGFDPNVGRFFKQYLLMLAINQMAASLFRFIGGVGRNMIVANVYASFMLLIFMVLGGFVLVRDKVKKWWIWGYWISPLMYAQNAISVNEFLGHSWDKILDSAASNETLGVQVLKSRGVFTEAKWYWIGLGAMFGFTLLFNALFTLALTYLKAYGNSRSSVSEDELKQKHANLNGEVLDNDHLESPSTCGPTEIVEADSSPTLRGMVLPFLPLSLVFDNIRYSVDMPPEMKAQGVVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQQTFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPEDVDSNKRKMFIDEVMELVELKPLTDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSSELIKYYEGIHGVSKIKDGYNPATWMLEVTTIGQEQMLGVDFSDIYKKSELYQRNKALIKELSQPEPGSSDLYFPTQYSQSSITQCVACLWKQNLSYWRNPPYNAVRFLFTTVIALIFGTIFWDLGGKMSQSQDLFNAMGSMYAAVLFIGIMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYTLVQATVYGVIVYSMIGFEWTAPKFFWYLFFMYFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFIIPRPRVPIWWRWYCWVCPVAWTLYGLVVSQFGDVDTAMDDGILVKDFIEDYFDFKYSWLGYVATVVVAFGVLFAFLFAFAIMKLNFQKRYSRAILVLGLNPNRSITATAMATAPTRPSPCCLTQRDTEVRLPRATRVKNKAPSPIQITAEQIIRDARGCHDRSIKPPPRRKMADLDELSEYRLGERNLFEEKVCRADCGVSAWVRYARWEEQQGDLARARSVYERALRAPAGHRDHALWVKYAEFEMRSRAVGHARNVWDRAVALLPRVDQLWSKYAHMEETLGAYANARQVFDRWMAWHPGTNGWDAYIMFETRYGESECARALYERLVDEHPLPDTFKRYAEFEMKHGEAERAHRLYQRAAELLAADGKDPALAVDAAIVATNKKISPYEDAVRKNPLNYDAWFEYLAHEESTGSKDSIRDVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAQDMGRAREVYRECLKLIPHKKFTFAKVWIMAAQLEIRRKDLTAARQLLGNAIGMAPKGKIFKKYIEMEMRLGNVDRCRTLYQKYIEWSPANCYAWRKYAELERQLGETDRARSIYELAIAQPALDMPEFLLKDLAEFDASAGLSGIDREINTPRVGKRNRPLPGEVSESKHLKILQAAHRWKNSRE >cds.KYUSt_chr2.2858 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16961428:16965130:1 gene:KYUSg_chr2.2858 transcript:KYUSt_chr2.2858 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMRRRRRRGRRSERALVESFETLKDEAANARPEQLTAPAAMGKEKIHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYSCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRYEEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGQLVTFGPTGLTTEVKSVEMHHESMLEAGPGDNVGFNVKNVAVKDIKRGYVASNAKDDPAKEAASFVAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEIQTKVDRRSGKEIEAFPKFLKNGDAGFVKMIPTKPMVVETFAQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >cds.KYUSt_chr3.35184 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220940358:220947341:1 gene:KYUSg_chr3.35184 transcript:KYUSt_chr3.35184 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSQASLLLSKQLRDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPETLYDGGYFNAIMSFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWRDKRDEFKKKVRRAVPEWMELLPAMPITPDTAVAERHLRASLVIPVSHQGQALSSTA >cds.KYUSt_chr3.30911 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193949431:193951485:1 gene:KYUSg_chr3.30911 transcript:KYUSt_chr3.30911 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPPKLHSAAATSWPELLAPFDLSRLRSTLSCRPLTPRRLARLLALPLSPSTSLLLLQWYAASHPAVSSLPLRPLLAAADADPERALSLLESIPSSHLPPIRESLLIPLLRSLAPGRALHLLDQLPRRFAVTPSFRSYNTVLAALARADCHTDVLGLYHRMVHRDRVPPTTFTFGIAAGALCRLGRADEALVMLRSMARHGCIPDTVLYQTVIHALCAQGGVNEAATLLDEMFLMGCSADTQTFNDIVHGLCTLGRLREAARLVDRMLVRGCMPNSMTYGFLLQGLCRAKQVDEARTMLRRVPELNVVLFNTVIGGCLSDGKLTEATELYEIMGSKGCPPDAHTFSILIHGLCKRGEVGSAMRVLREMEEKGCAPNMVTYTILLHSFCRKGMWDDIRAMLEVLSAKGLSMNSQGYNGMIYAVCKEGRMDDARTLMQEMKCKGYKPDICTYNTIIYHLCKNDQIEEAEYLFENLLDQGVVANGITYNTLIHALLRKGSLKDAINLANDMVLHGCSLDVVTYNGLIKALCRDGNVDRSVALLTEMTENGIKPNNVTYNLLVSELCKKRRVRDALELSKEMLNQGLTPDIVTYNTLINGLCKMGWMRAALNLLEKLHSEDVCADIITYNILISWHCKARFLDDANMLLNRAVADGITPNERTWGIMVPNFARKPLSFLSDKVEGH >cds.KYUSt_chr3.38095 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239666295:239671662:-1 gene:KYUSg_chr3.38095 transcript:KYUSt_chr3.38095 gene_biotype:protein_coding transcript_biotype:protein_coding MLETLGQKEPIRPTTAVFDSPLLQNNNNVNGFRGIPSTIWLFLLLALVLVASVRPTPISSSSVLSSGILHRQDLRSFSRCFWPTRALFPAMSRSGDAVPRQRAVNSTSHSRKADAASVNVSNTPHETVKHCHGEEFENIGEPLRKNDGHCFKDSCNNTNSEVIARVKWSEEESYLGQNFHFP >cds.KYUSt_chr3.8342 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48150931:48161478:1 gene:KYUSg_chr3.8342 transcript:KYUSt_chr3.8342 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPTSAPGDDDKPAAASVWSSATKMAPPTLRKPSATFAPPTSLLRNSRPKTTPTTVQQQQPPPTTTTTSFHPAALVSVQSTVLEEYDPARPNDYEDYRKDRLRRAKDAEVRKELDRRRREDEEREQREAEARRASAADQARASLNISGEEAWKRRAAMSGGSAQRASSPPPQADGGGGGGFNIPGSSSSGLGLGAGGQLTAAQRMMAKMGWKEGQGLGKQEQGITAPLVARKTDRRAGVIVDESSSRQEKKPKSVNIEGPPTRVVLLRNMIGPGEVDDELEDEVASECSKYGTVLRVLIFEITQANFPVDEAVRIFVLFERTEESTKALVDLDKRFFGGRVVHATFFDEERLPEGWLQLCRCRHGEAHGDGGRELQMEVEASAAGPSWLLEVEMVMDAVGTVLVMAGGGTRCLGTGDRDQKLVLEASDQGSLVRCRHGEAHGDGGGELQMEVEASAAGPSWLLEVEMVMDAVGTVLVMAGGGTRSSSPSTPL >cds.KYUSt_chr4.41957 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259408593:259414178:1 gene:KYUSg_chr4.41957 transcript:KYUSt_chr4.41957 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHLGRAMSFGIPDLALGLVLNYVDDPWDRDAISLVCRHWNRFDAQSRKHVTIAMAYSTTPARLLRRFPCLESLKLKAKPRAAMFNLIPEDWGGSATPWIRELSASFSCLKVLHLRRMIVSDDDLAVLVRAKAHMLVSLKLDRCSGFSTLSLALIARSCKKLDTLLLEESIIAEKENDEWLRELATSNTVLETLNFFLTDIYASPEYLALLVRNCRRLKILKISECSMPQLIDLFRTTETLQEFAGGSFEDYPDQGGQSRNYNNYYFPPSIQRLSFNYMGTNEMQILFPYCAALKKLDLTFTFLTTEDHCRLVQRCPNLEVLEVRDVIGDRGLEVVAQTCKKLQRLRVERGDDDQGGLEDEHGRVTHVGLMAVAEGCPDLQYWAVHVSDITNAALQAIGTYSKNLNDFRLVLLDRQPQITEMPLDNGVRALLSGCTKLQRFAFYVRPGALSDVGLSYVGELSKTIRYMLLGNVGSSDDGLLAFARGCPSLQKLELRSCCFSERAIALAALQLKSLRYLWVQGYLASPTGGELMAMVRPFWNIEFIAAPSQSQPGQAQILAYYSLAGARTDLPPSVIPLHPSV >cds.KYUSt_chr6.30212 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191485189:191488279:1 gene:KYUSg_chr6.30212 transcript:KYUSt_chr6.30212 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTNLPPPAAAAAPPLADLLETATFAPPAPAPPPPTPTAILSAWSNLSTATPSSSSPSTLAALETLHLHRRSLRLSSAHLEQLLPLLSLHPRLVAPLLATAPHLLTSSSLPLSSLPLAPRLLLLGALSTAKSSKTSPINSSSGTPSRGSDHDPVVAVSRILEDLEQGGESCDGIDHLALAGIGYALASADELRFRGIIGSLVRICGRVGNLGVGIRVLKLVEWLVMGFVESRRMRKVQVLLEIVSPENCESQGYVLFPVVMAACGALRALRVSAVRLRLDFDPKLKEAPERTIRFAAERASEEGRNGGGGDLRRVLLQCIALGLTRCGQVAYHESVFKCVSMALLEELLPLPHLLRMSIKSPDGTSAELLKDQVKQHQDSILFSEAGPVTGVLCSQYSFADQKAKDYVETSVCEYAQELYHHLRAAVLLHQAKRKDLLGEIDKIADAVFFMIVVFAAEVTKHRLDANSSGGFQPEVAVRILVAFSCVEHLRRVRLPEYTEAIQRAVIVNQENAAATALFVESMPSCSELTRKPDLLTMDETRYTCYMDEVQTSRILFYLRVMPTCISLIPAHIIRDKVAPVMFLYIQHSNEKVTRAAHSVMVSFLSSGTEADQDDREALKEQLAFDYIGRSLEVYPGVTPFEGLASGVVALVRHLPAKSPAILFCVHSLVVKAKDLCSTAMVEDRSLWRSWEESTEPCKKMSDLLLRLIFLVDIQSFSYLLKELAEFVTWLPKEGQDVLLDDMHASVADSDDVIRKPVLVSWLQSLSYLSSQANIRESRNNAKNARSTGGVELSLNRTVARL >cds.KYUSt_chr4.2920 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16674088:16678382:1 gene:KYUSg_chr4.2920 transcript:KYUSt_chr4.2920 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSKEQQQHPGPAAAAEEATMDAAVAGGAGKGDAEDPQGAPVGGTVVVGVCADAESRALLTWAFVNAVAAGDRVVAVHVVLASAAEAAAAVDFDGMLAVYEGFCNLKQINLKVKICKGSSVRKALVREASLFGASKLVLGITKKKRSIGSSLSVAKYCAKKLPTKCAVLAVNGGKIVYRREANAHSGKVSAERPGCGEDEMYCVLPFGARQGKESDVLPCDELKERGGAEAEQHDVGAKDSQTEEEQQPSIVEPPEELSTDQVHNDADPSDEKADESTMDRTDDVSDLPGEGASVLYCVLPSGNDHSVASTSSRQDTDSVELPAGGDGELYCLLPPRNGHSGRSSNDSKRSTSSLKDDNTANMSPGELFCRLSRNGRPGGSSGGSKRSVGVRGVIRAIRRSSSFSSDIQLNLENLETSADKRDGSVSASATERTSSTASTEPEDLQKGSAHNVDTFSSSPMSLRRMIEGRSDRCRLRRRIFSHQRSSSFEWAKVSMVQWAMRLPSRYNSVHPDSKSLKSDDSPRFNGDSECESTSTVDPESIFSFSLYDVAWPPSELGSLQEKYSSVCRLFSYEELKLATSNFSPDMLIGKGGTSHVYKAQLNDGTLYAAKILKPSVDALQEFITEIETVTSLQHANIVSLRGFSFDNYSLVLVYDYMHQGSLDKTLHGKCENSLSWEKRNKIAIHIAKALEFLHHGGVTQSVIHGDVKSANILLSEDFQAQLCDFGLAKKVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVLLEIISGRKPIITGCAKGQESLVGWARPLLSSGEIKQVVDSVLGNDYDCDEMERMTLAASLCTRTSSDSRPETQMVLKLLEGDDETIHWARSQACAGSDVSDEEAVTPGSNMQSHLNLALLGVDDDDVLSQCSTEQTADTSADDYWSRSSSFD >cds.KYUSt_chr2.10852 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68935304:68936842:1 gene:KYUSg_chr2.10852 transcript:KYUSt_chr2.10852 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVNSYEELRRKQVEENKRKLEEFGLHHLSAAVREASAKPKPLKRKAPPRDIADLGPPRRSGRIATLPEQPDYRDNVTLGTVKSQKQKEVKPDHAYAISKAEELQDELGSDHPTFVKPMTQSLSPLYIPVQFSMEHLPDRDTRLALVDEEEEEFRILYRPHSSSFNAGWRDFAEDHELVDGDCLVFQLVKKKLFKVYIFRASSYYDSDNSDDSDN >cds.KYUSt_chr5.6699 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41534678:41537350:-1 gene:KYUSg_chr5.6699 transcript:KYUSt_chr5.6699 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPESWRSAPLNLECRDLGQLAGVVVSRILSSHQGPGRRFCIPHGSIAYQSATTMDAWLRSPALDNLQELHLWYGPHRPLPASTLRFSNTLRVFTIRGCDLSNSTVQALHFPLLKQLGVAHVTVSECSLHSLIASCPILECLFIKCSLGFSSIRINSPSIKRIAIYRCGTADEFGFHAIGQIDLKELIIENAPCLETLLDLCNLCLHVSVVSAPKLETLGFLSRQLSDGMDISTRLVFGSTVIQIMPIVTEVSVISESDAAAQEVCHGAANVVTVDWNAVELEERIDLVIAPMSDIEMARMYGIPVDDKDKEKDKDESEMPANANVTEAGSMHEDVDPELMQDAADEVDDAHAR >cds.KYUSt_chr7.32451 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202121733:202125749:-1 gene:KYUSg_chr7.32451 transcript:KYUSt_chr7.32451 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTAITDEAGVMTRHVADSLAVLPPLERAYTSRGGDVAGISLIDVGSGAGLPGLILAVARPSWKFTLLESMRKRCTFLEHAVELMELSNVDVVCDRAENVGQSHDFREAFDVAAARAVAELKVLAEYCLPLVRVGGLFIAAKGHDPHLTMATTSIASPILVLIAVLAVLLPAAKPVSAALPDIKPLLSNPWSAFKNFSDCHFGDERKGLAKLKDYLGHFGYLPTSPSEFNDMFDADMERAVRTYQENFGLEVTGQLDATTLAKMMAPRCGVADVINGTSTMIKSTVRGRNLYSYFPGQPSWPRSKKSLTYAITATSETTIDRATLSAVFARAFARWSEATTLNFTETARGSDADITIGFHGGDHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAEAWVAGGDVSTASSDAAVDLESVAVHEIGHLLGLGHSAVEGAIMYPTITSRTRKVDLASDDVVGIQSLYGSNPDFKGVTPAPATSSREMDSSDVPGALSRLSTRVAGIVIAAWLALVLESW >cds.KYUSt_chr3.42742 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270196674:270200506:-1 gene:KYUSg_chr3.42742 transcript:KYUSt_chr3.42742 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKKKKKKKGVKGSPVDKLTDDILADIFSRVPYKSSRCCKCVSTRWRDLFSHPDHRKKMPQSIAGFFYEDYNADRFPKTARYFINIPGKCYHLIDPSLSFLPKCERLDIMDCCNGLLLCRCWKETDPETVDYVVCNPATKKWVVVPSTEWSSKVDVTRLGFDSAVSAHFHVFEFIREEVWGIDESELSNYGGRIEAVATYSSKAGVWTHKMVKKSQEVAARHLAGGKQEAAACRPTSGKQTSGSLTGDYSKQPMPDSNQQAKSKLQILRSMNSGFDHGSWYAGGEGGMTGCDNYGQAVGGARGCGEGGHGS >cds.KYUSt_chr6.31776 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200809517:200811826:-1 gene:KYUSg_chr6.31776 transcript:KYUSt_chr6.31776 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCNAPAHASVPDRYVFPPEKRAALQLDGVDGPDDGVTLPVVDMHRAALSGDDGLRRRVAEEIVRAGKEFGFFQARDELSLLELPSSDEIRVLVPVQGFVVNHGVGEDVVRGFRDAAAEFFAMPVEEKLPYCSDDQSKPFRLASSTSYDKSETRYWRDYLKLQCHPVSDELVGHWPAEPTSFRPRLAEFSEAAHELAQTLLSLIAEGLGLRADFFAGDLSGGETQMNVNYYPPCPDPSLTLGLLPHCDRHLLTVLSQGDVAGLQARYNGRWLLVRPVPGAFVINFGHQMEIVTNGALASVEHRAITNSAVARMSVATLIMPTMECHIGPAPEMVDEVTNPAKFREFEFSEFMEAYNTAAASREDVLDSFRIHRD >cds.KYUSt_chr1.40419 pep primary_assembly:MPB_Lper_Kyuss_1697:1:247679048:247679410:1 gene:KYUSg_chr1.40419 transcript:KYUSt_chr1.40419 gene_biotype:protein_coding transcript_biotype:protein_coding MENQLCVWAISRWRGARQLAECFLSQGYRHLNLPRGTPPMFQLQGYFDGGNGEHEIDLTVRFANPHDAFYLLGKVFWCGCEFIAFTTYNIFTNFHVIFPSRGNMHSLHYNFYYQPTDEEE >cds.KYUSt_chr2.53382 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333038523:333041108:1 gene:KYUSg_chr2.53382 transcript:KYUSt_chr2.53382 gene_biotype:protein_coding transcript_biotype:protein_coding MNSATMASSLRLSPAVALAFRATSGRRSATSCAPGLRFTKRRGSVLRLCSARPTAPASDGEWWANAWWATELTPEELPTQETDAPTTGHGKEELDAIRNALVDEPLRPILLALSEIRDRGHFFRCRSYHAGIITVLLFILSFKNNNNMAFLGVYRLITELIWMFGLQVYIGAVIYETGDVEEPRFHLLGIYKILKTKGGLMRVIKNTFEE >cds.KYUSt_chr4.3329 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18932956:18946262:-1 gene:KYUSg_chr4.3329 transcript:KYUSt_chr4.3329 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEAIEALRGSASEEVISAFILGRHPGVPQAHDRLLRHYLAKYVAEGLFVCTAEGRYSRGSHGTQVTVAKLSLNDGRWQLPEPALVVANEDDPPCPATDIDHDQTSTMMRPTAADATKYASRRPRPCNAAPVHSPATPSNANVNNKKKSKPRVRGRQRKVQDLYDRNPPKPACNIPADKEMWISSSMSQTRCKTCDNTFSMLMWMERFLWNHLKPDSTKNVPIIGDALSAAANFAKVVGKDGKDTSSSSNSGDQLMSQVSSLREELRRALRERDDDVTIIDNRSGAGAYTVTAVVVAGLVGYAYIRWKGWKISDIMWVTKRGLNDACSVVGNQLNEVSDTVHVTKKHLSGRIDRVDATLDETQEIIEGTRDEGSRLCSIEDTQDRTVRATEALVGFGQQMEHSQRGSFRQLPPPPPLALETMETISSVAESKPTVEETQENRKTLSQSEGSTSLLTSDDGCSDLDDGDEEQEDEVENEYVAVDVAASDEEEEAEVADIASVVDDEQPSEALNVPVDDYDHPETSSATVVNRPDPAIRGHEQKLDSKKMDGKNQSDGKAPSRSARRKKIKRQIRAEAKKEFREHSETSSGAVEKTPDPAVRGDELELHSEKMDGKNQSDHKAPSRSARRKKMRRQVWTEAKKQMREMKKDKLLEKIKKLQDCAWLLEKDGADKAEIMEFRSLIESTKKQYGENEELLAQAGSSASQQHVETMAALVETVEGDEQNMDSGEAEGRSLKGCKEASKQSELAEEVNRLKNEIETVRQDRDYQSAKAQSLMADMAKQKEVARRYGVELEDAMSRVAALEERGLLESETIRTLQVQLACANEKLMVCDDLF >cds.KYUSt_chr6.3545 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20446090:20450968:-1 gene:KYUSg_chr6.3545 transcript:KYUSt_chr6.3545 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDKGNARSPSSSASGETSYSRLDGTDVQAEVVEFADEVTSVTADGCSDETSESTHSGSVGTGAASLSIAVQDRPPSRSVSDVSKDMSQNVDATHERSRVISVKIPSRGNSPRRRLASDGIDTIPKSMDFAEKEKRPITSSVPSRGMSPRRIARVDSANIMSKSMDFSDKCNGQISSIIPSRVVSTRKILGPDGANAMSRSVDLGDNKQPISSMVQSCRISPRKMPSAYSRVKGRELSSGDVGSPGSADGNGSQEENSSSSPAAPSNNSEKFGASKQLARTLSSPSRGLLRPSSPTKTSSTSAFASRRLPSPLRIRPSTPVSPCSSGRSDSPSSILSYIGDATRGKKSPSHMEDTHQLRLLYNRNLQWRFTNAYVDEMLSIQKMGAETMLYSVWDSNTRMSDSMVTKRSYVQRLRQEVKLGIVLKEQMDYLDHWAVLETDHSTSLSGAIEALRASTLRLPVTGGAKADVLTVKNAVSSAVDIMQAMGSSVCNLLTKLQATHSLVTELSAVAAKESTTLNEYRELLATAAALQVHESSLRTQLIQETE >cds.KYUSt_chr2.14206 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89854511:89854801:-1 gene:KYUSg_chr2.14206 transcript:KYUSt_chr2.14206 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDAWPMSHHAACAGNGARRITSCPRQAASIGLHVCTASRHGAKPIYVASPSSSLTTIYANNSATCTASSPSSPIKGFVNANGFCVAALLHVDE >cds.KYUSt_chr2.50927 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318561736:318565788:1 gene:KYUSg_chr2.50927 transcript:KYUSt_chr2.50927 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARSPSAGLRQRKAFVPLLLLLPMILIILFLISPLRSIPAGSNAALRPQRTCDYAAGGWVPDASAGSQLRYDHTCKEIFKGWNCVANGKRNGRALLRWRWKPVGCELLPRLDPQQFLERHRNTNIGFVGDSLNRNMFASLVCMLRGVSGEVRKWRPAGADRGFTFLRYNLTLSYHRTNLLVRYGRWSASPDGGPLESLGYKQGYRVDVDIPDQTWADATSFHDVLIFNTGHWWWAPSKFDPIQSPMVFFERGMPIIPPLLPPEGLDLALKHVVTFVNKAIRPNGIKFFRTQSPRHFEGGDWNEGGSCQREQPLSSEEVKEFFSVDNNSTNTEVRLVNQHLMKALEQSTFRVLNVTHMSEFRADAHPSTTGGKKHDDCMHWCLPGPTDTWNDLLAANLAATERELA >cds.KYUSt_contig_786.78 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:493819:496607:1 gene:KYUSg_contig_786.78 transcript:KYUSt_contig_786.78 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRICTFSDMKNGCLSAAISAETLHGRFQCRDGESAHEDDMSRLNVSFCRRGHAVWFGLAVSTAAAVGGSNSTARETNFKQAGSPVDRRVQQADRGRPYRATDNGKSFPAHRFLLEAHSPFLKEALQNATTGQLHIAGGLDAEVVEAMLQFMSNYGPACSEKIKVEPTIADRLLVAADKYGMEKLKLACEEALCPRVDMGSLAAMLTLADRHGCPVLKDACIQFLSRDGNLGSFASTDGFQRLMKDCPSAAEEIADIAVKHYA >cds.KYUSt_chr2.16701 pep primary_assembly:MPB_Lper_Kyuss_1697:2:105038569:105039171:-1 gene:KYUSg_chr2.16701 transcript:KYUSt_chr2.16701 gene_biotype:protein_coding transcript_biotype:protein_coding MALATARLIHPCSVVSKNPRAPQPPLFIHSHKPLATTRTSSSHFWLHSVDVSKDDKPLDTAAAETKQEDFSAPLPGELDEGEDGGPKLDPRRFEEQFAVLNTGVHECRSCGYLYDQAAGDPSYPVPPGLPFAKLPDDWRCPTCGAAQSFFDSKSIEIAGFAQNQQFGLGGNSLTSGQKTLLIYGSLLVGFAFFLSGYFLQ >cds.KYUSt_chr4.36716 pep primary_assembly:MPB_Lper_Kyuss_1697:4:225714152:225715419:1 gene:KYUSg_chr4.36716 transcript:KYUSt_chr4.36716 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALLFSIRRRKAGAMAAMARAGKNKGWRGVAALACMKIQGESSKQRRILPHIEELQLAAEMWKNRMALQWQGQTGLSTAGCRDSPLKNVGTSGSPRALDFNLAQLNPMLATNHPHFRLLQQQRHSPPAPLGRLRVAHPDQDH >cds.KYUSt_chr5.14493 pep primary_assembly:MPB_Lper_Kyuss_1697:5:93993422:94003821:-1 gene:KYUSg_chr5.14493 transcript:KYUSt_chr5.14493 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVSQDSKGVDAFLASCAESGDAAYRAAKTILERLQDPDSRAEARRLLGAVRLRFADPAAGQECISTFHFRIHDIIFNPNLQGSQQTKKIIIMEIPSIFIPEDWSFTSYEGLNRHQDSIFRDKIVAELGCGNGWISIALAGKWSPLKVYGLDINPRAIKIAWINLYLNALDDDGHPIYDGEGKTLLDRVEFYESDLLSYCRYHKIELDCIVGCIPQIINPNPEAMSKIITENSSEEFLYSLSNYCALQGFVEDQFGLGLISRAVEEGIAVIKPMGIMVFIMGGRPGQGVCERLFLRRGFHINKLWQTKIMQAADMDISALVEIEENSPHRFEFFMDLVGDQPVCARTAWAYMKSGGRISHALSVYSCQLRNPDQVKKIFEFLRDGFHEVSSSIDLSFDDNSVADEKLPFLADLASFLKENKSNPCEPPAGCLKFRELVAGFMKSYHHIPLTSDNVVVFPSRATAIENALRLFSPSLAIVDEHLTRYLPKQWLTSVAKKGRAGCKETVTVIEAPCQSDLLIELIRTLKPKVVVTGMAQFEAITSATFLNMLSVTKDVGSRLFLDLSEHLELSSLPSSNGVLKFLAGNYLPSHAAILCGLVKNQVYSDLEVAFAISEDRAVCKALSQTIEILEGRTSVISQHYYGCIFHELLAFQISERHRQQERQPAEVIPEKMIGFCNSAVSTLKEAEFFVPESKVAGVIHMDLDRSFLPVPSAVKASIFESFVRQNITDSETDVRSSIRRLLKDSYGVPADDRSEIIYGHTSLALFSKLVLCCMQEQGTLIFPLGTNGNYVSAAKFMNARTVTVPTNVESGFKIEPMALADAIDNVRGSRAWVFISGPTINPSGFVYSNFELEQLLSICASCGARVMIDTSFSGMEFQTIGRNQWDLERCLCAVSCLDPSLSVVLLGELSLGLSTAGLDFGFLILNNISLFEYSFPSLSRPHSTLKYTFRKLLGLKNRRDQHFSNFITEQKETLKNQANQLIKLRVLELFDKLLKAMEILHPRSDGNGEQLFNNGGDVAEDAKVEDDQHEACNL >cds.KYUSt_chr3.32089 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201663116:201673673:-1 gene:KYUSg_chr3.32089 transcript:KYUSt_chr3.32089 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDKQAVKSDSDEIIDLDYRDFWGWGAEKFARYVNNLLHDRHNVDLHTFRLHWDPDVPLNCKDLRSWISYAVKHKVKVLDVELRMYDKTNLPPGIFTCRSLQELNLQWGGAPYQDIEHKGLVPPDIIRLPSLKKLTLRDVEVSELPLSSFIDRSPGLEDLHLIESATCLKLIASKVLKRLTLDGSMYGVENLVISAPHLISFECTGCSLKDISWRDKPSLERARIHTCGSTFGGESRFTEVLVHAKELALFGSDIKVMLEKELPTCSVFENLTTLELGKWDLTKDIYAVLRFLQLSPRLEELTLMHRLPYKEAGTDVMPIGEMSFQCPFLGSVTIQRSEGDTGVDKLVDVLVAHGVSSDKIRVTSYEDIQKKAHADKIRVPAYEVSNLRLWIRYAVNHNVKVLDVELCLYDKTNLPPGIFTCRSLQELNLQWGGAPYRDYEHKGLVLPDIIKLPSLKKLTLRDVEVDELSLSSFTDRSPGLEDLHLIDSVTYLKRIASKALKRLTLDGSMYGFESLEISAPHLISFECTGCSLNDISWRDQPSLERARIDTWGPTFCDESRFTEVLVYAKELALFGSDIKVMLEKELPTCSVFQNLTTLELGRWDLTKDLYAVLRFLQLSPRLEELTLMHGPPDKGAETDGMPTDGVTFQCPLLGSVIIQCSEGDVGIDKLVNALVANGISSDKITVTSCEDINNKAHAEKIRAEEERPKKRVKENPEWEDDDPSSEQSDPDSEQSGGDSEKSDPESDESDDFDDF >cds.KYUSt_chr2.47664 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298151396:298154669:-1 gene:KYUSg_chr2.47664 transcript:KYUSt_chr2.47664 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKGPARSWPWWGRVQRLRSWSRAIRRVSSHLLQPELDLMPPLVNAMKDVPMVAWWGRPLGATGWDRAFKHVCGEVLVGSQSQRFYRAMTITCCEYSPDPDPASKRHERYQLQPQNVDLPELHPKNKKKPFPVPIKKMLQASRQNRRLAQMRIEKPLEPPKNGLLLPELVPVAYEVLDNWKVLIRGLSELLNVVTVYGCRGTINDVLIPIESYHLFDPFGRRVKHDTRFDFDRIPAIVELCIQAGVDLPQYPSRRRTAPVRMIGKKVIDRGGRVVEEPKPHRSEDCVSLLAELDTFSNQHGQSPAPSNMKEHAEMTLKAYCNVREGVRKLMRKYSVKACGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDEVIPPNYVWHVPDPAGPPLISSLRSFYGKAPAVVELCVQAGAEIPDEYIPMMRTDIVIPDSKEVRRAA >cds.KYUSt_chr2.640 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3954391:3958600:1 gene:KYUSg_chr2.640 transcript:KYUSt_chr2.640 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPSLPLPRPPATHPPPPPPQVFLRCPSPLPRPPGAVPAPARVHYFRAPSPIPVYSPRIPGPRYVAARPPTPPPPAAAVAAPPLPAHPAQLPPRPPQPPCEAATGPPRRGRPPKSKPPPPPVRYAGTSLHSASTCRYDNSLGLLTKKFIHLLRIAEDGNLDLNKAAEILEVQKRRIYDITNVLEGIDLIEKGPRNMIRWKLLHLSKKDICNIPHFQGSTLIAINAPHGTCVEVPDPNADMDICKDLESQEKHYQVLLRSLMGPIDCYLISDHLEVSNPEQMAPDGSDSTFATGSSQALQQVDSHPSQAPEKGESNIDREHSSEPSRTHELMSGILKIVPPETDIDADYWLASDADATITDTWCG >cds.KYUSt_chr1.27813 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167638188:167641627:1 gene:KYUSg_chr1.27813 transcript:KYUSt_chr1.27813 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEQPVQYGPLGDDGDGINRAWPVEKRRRKCSPPSSSNAPAAQDNQDPISPATDMEGIIKDNHLPLDTSDPEQQENEQEIWGPDVEISPEDAANYTKCLAPDIPPIYKRSSMTTEEEEDLNLRLARYRIAYYKNVAEPELARKLKDPEDYSVEELQENRLYIYWEDYHAYYHTYEVDDAYVKFYGELSSKIKWIKEHVDLDENSEKWEEVGTRAGRQALRIASRFANLSASLVRMAFYEYISELREDKVVEDWSLFYFEVWKLNALKKLKPKNMAMYAQKKLEIAKLMKLIPSQIQQSGCKWQRRFAIPHMPPAKFGPNGPSGLPRNLSGVGGQNHKTHEFQRLSLILTRRPLASSAPPLASSAHDPPPPRTIHLSSAPPPASSSASADVYGGATCPRSSSAAADGYGGATFPVSSTSTVAAPAGPRSDGSKSVLDGEETLLFALLFPSPPPCTTKIGSCEQAASSRFMSGSRCTANDLVQICFE >cds.KYUSt_chr2.53254 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332245511:332248148:1 gene:KYUSg_chr2.53254 transcript:KYUSt_chr2.53254 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPAADAPHAPPLSPSVDDSGASSSSAAAVVDIPDDGDDASAAAAAEEPMFTRQFFPPAVPGDPAPANGRAAWLRLAGAPPPAAAAASAAAPGAGAGPAAAAASAANKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGMEADINFSLEDYEDIKQMGNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARSYDRAAIKCNGKDAVTNFDPSTYAEEFELPAAASTGDDGEQNLDLSLGSSVGSNKRGSLDGDDDGTAGSDQRVPMAFELDWQTAARSTKAKFDQNLTHHQMPPPVLQASHLPFSPRHPQFASNGDPGTAGGLSLTVGGASGGGGGHWPPQQYHHQQQQQQRLHYGGWGNGAPPGTSWPPPPQPHLPTAPPSNAAVAAAAAAASSRFPPYVATQAHSWLQKNGFHSLARPT >cds.KYUSt_chr5.2846 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18715541:18716386:1 gene:KYUSg_chr5.2846 transcript:KYUSt_chr5.2846 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFFSSSLILLIWFLPHETSYSAAAALASDGCLRRCGGAIIPYPFGFSAGCPIVLSCDVNTSTPILPSIGGDNGTSYRVLAFNSTASTFVLSLPSLCNRSVPDARRTLSGANYGVTSRTGLFLRGGCRETAYSTCAVPAAVMSSLLRTAQCGDNETTSAAGAVACVASNSPNATSAGGFLQWDKSDNTTCDDLLSSALFAETVEGTSSLEYGVAELGWWVNGTCGAGASEPCAANATCTDVRTPSGTEGHRCACVAGMDGDGFSAGDGCHPILKAKGELC >cds.KYUSt_chr5.2796 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18452116:18453311:-1 gene:KYUSg_chr5.2796 transcript:KYUSt_chr5.2796 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHQSSVPVATSYNDEVLQAHAELWNLTYGYLKSMALECAVKLGIPNAINRCGGAATLPDLLAALPVPEGRKPYLPRLMRFLAASGIFTADAPATTGECANGGATATYRLTPLSRLLVDDGTGAGATDLSPFVLSQTNRYHVAAALHLPEWFKSDDAAEMPFRMANGADPWTVFSRDPEISRVFNAGMAADTRFAMDFVVTNCGDAFDGVSSLVDVAGGTGTAARAIARAFPHIKCTVLDLPSVIDSVPADGVVKYIAGDMMSSSIPKADAVFLKYVFHDWDDEDCVKILKQCKKAIPESGGKVIIVDMVVGSPSKAMYYKDQVLFDLLMMVMTTGKERDEHEWRKIFMDAGFTHHKTRPVLGSMALIELYP >cds.KYUSt_chr3.4730 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26863256:26867463:-1 gene:KYUSg_chr3.4730 transcript:KYUSt_chr3.4730 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTVEQLLSSQDAALPIADIAPKFVYGADLVSKERLHQLPTHMRNLHRWYLDACKENKNLIVANILEEYYFRRKDLHIEISELWQLFNLDSLDKSLMSCYCLLKIDECRRNKITNIGFVDPDKVHHDTEIVEVSDPLSRGLEGFRDLQHLLQRVWRVFKKRNTGDFAENLTFTPVPCVQQSQGTNLCGYYVCESIRMLTTEKNDNRFNVEFMREKLQPHEHLLGIAEEVAGLLMREMIDDKGLFNLNRTCSGCYIYCPFSPDTATAHAHPTLTASLFFYLLSCCLSMTSPRLKLFGFHFSSSEEDHHQQQEIMVDMTEPEAGETEKGGSDSSSSSTTTTTTANAAAGGGAAGGEAGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAAAAGRAAGGGALYPRGAGGMNPMVSAFVQPQHLLGSGTGGDGGPTSWVYFSPRAAAVAGGAQGQQFHVSHGCVFPSGRTTPASASPAVFSYSPSASSAQAAAGAPYVVPEVVDHHGRRLVHAASFPRYPGMVMAPEPMAAAPEDALGLDLQLSL >cds.KYUSt_chr2.39982 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248222120:248228134:1 gene:KYUSg_chr2.39982 transcript:KYUSt_chr2.39982 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVMAGCPAKMGFRMPAEWEPHEQCWMGWPERPDNWRENAGPARIIFARTAIAISKFEPVTICASAEQYPHVHELMEHQPNIRVIEMSMNDSWFRDTGPTFIIHKGGPEPGLTEQTIAGIDWEFNAWGGVDDGCYDDWSLDNNVAKKILEIERIARFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTRQDIENELKDFLGVTKIIWIPQGLFGDEDTNGHVDSLCCFIRPGVVLLAWTNDENDPQYEISVRALSTLTQSVDAKGRKIEVVKIHVPGPLYVTKEEGDGVDATGHAVPREPGKRLPASYVNFYPTNGGIIAPAFGDNQRDDEAREVLQKAFPDHENAEGLWADLIRAKYLGDRDLFDRDVPVRGSQFWNAIHKIKWYFKLGARHKVRNGKRTYFWLDWWSGPGPLRARFPRLFSCCDQPFITVDAARDRGGAPGAWRLRFRRQFSLAEMVEWDNLCREIQVLPTDDQHDVVS >cds.KYUSt_chr5.3398 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21981430:21982609:1 gene:KYUSg_chr5.3398 transcript:KYUSt_chr5.3398 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDAGDDKGEAARGGRRALGLYQIRSYEELQWEAALVVSSQPPRPHAPVRASSLSPAPPLTPAATPTAGCRSPPPATTYLPLGTPISSSHSFPYPYSFQDPSLRCTRRPASMAAAGGRLSTALRRDLHCLRSDQHVVGQQQEATLQLRPDLRCLPSDQIVYVLGCSSL >cds.KYUSt_contig_3828.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000743.1:37321:43912:-1 gene:KYUSg_contig_3828.11 transcript:KYUSt_contig_3828.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDPTETSLDTQRGLNVAAHETITELISQDRKTREVISIWGSDITQRTALVRSIYENQELDGKFQRCAWITVQHPIDHAVLLKSLILELDGENLEAEADKLVEELASLLKGQMYLIVLDNISTSIEWDSIVSYFPTEGCRSRIIVTTADGSVAKHCSEKHENTHELQVQEDDIEADSNKKKEFKAIGLHSSFTESDLESNSILESAKDNGATMEEPELIGREEEKSRIVEMLLRPDGGNQYCVTSVWGMGGVGKTTLVESIYHCRKIIEEFPRRLWATLLQPFNPDEFKSGLALQLQYRKIPNIIASVTRGSIQSYSIEETEQLLGSVTEKLLIVVDGISSEGEWDMVKTCLPENMANGSRIIVTTREASVAADCSVPRGNHIDLQGLKYADAFKLFKTKCQGTRCTYYVGDPGAFNQRLADRARLSPWFGTNAYTSGAIFLMDVPSNHLSSQKRADSPLPTTMEVEAAKTSNSVFEKCMSSPRQGRRGSVRILQIHLTLRLMAVRARSGIHRAPSRRSLQTRAQLTWQCPLLASVLPAPRNPRKILLICFSAVLWRPNTISWCNGIAAGTERISAKCPALGIIDALQVGSLSAGRSIRGWNVAAVSFLIAKGMPRYLTRKGASWHSMNSAVASASEQAIGDTEYLAKLVCNPEAFPKSYRIPCTARSSVSSGWQKITTSSA >cds.KYUSt_chr7.17996 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111698476:111704024:-1 gene:KYUSg_chr7.17996 transcript:KYUSt_chr7.17996 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVDRFPWLLIEHSSTYFSSEYNIPYRIAACLLKMGSAEVEQTKAHSLVGFTKPPARTWQRKFEDEGKKIAMFSMTMTDIMTIMPLIVKVLGLHIKATAKGQASVYDPFKKWMDNCYRGVPLGVLGSGSIGRSYRGYFQHFQIFPRVYEQKPVLANQFSAFVSRPGGKRYATILSSPNADVLKGIDKNGIGSWDWNLKDKNCAYHGLFPRSWTTYEGEPDPEIKITCRQMSPFIPHNYKESSFPVAVFTFTVENSASTPADVTLLFTWANSVGGTSELTGNHTNSRMKARDGVNGVLLRHRTAGGHPPVTFGIASQETGDVRVTCCPSFAMGPPSSGGGEQLTAKEMWAEVKNRGSFSDAAGTAPRGSSRPGSSIGAAVAATTTVPAGGTREVSFALSWSCPEVKFPSGRTYHRRYTKFLGLDRDAAAEKLVHDALLEHMKWESLIDEWQKPVLEDKTLPDWYPVALFNELYYLNAGGTIWTDGMPPRKTGLASSSSGTSTMEPFSLNGFSLPGDGESCSTAVDGMLRAMATADERPHSPEACFGTALLGDGEENVGQFLYLEGMEYHMWNTYDVHFYASFALLSLFPAIELSLQRDFARAVLHQDARPMHTLDGATVPRKVLGAVPHDFGLADPWFELNAYMLHDPARWKDLNPKFVLQVYRDVAATGNVAFAAAAWPAVYLAMAYMDQFDWDGDGMVENEGRPDQTYDLWSVSGVSAYTGGLWVAALQAAAAMARVVGDRGAEGYFLERYERAQRVYDGELWNGSYFDYDNSGSGNSKSIMADQLAGQWYARACGLDPIVEEEKARSALGTVLDYNVMRVQGGAVGAVNGMRPDGAVDTSSTQSKEVWPGVTYGVAAAMIHEGMPEAAFQTAKGAHDAGWGRDGYGYAFQTPEAWTAEGGGGYRSLHYMRPLCIWAMQWALSPPELHKNLRALPGSVSAAASPAEVALAREKFEKVASMVRLPEEEQDKGYLGALYQILRQMILPAS >cds.KYUSt_chr3.29712 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185876372:185879234:1 gene:KYUSg_chr3.29712 transcript:KYUSt_chr3.29712 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRLVVPIDVKKKPWEQKVHLHNRWHPNIPPVADVIEGELFRVEMVDCTGGRVRDDDSADDIKFLDLTIAHYLSGPFRIVDSEGVPASPGDLLAVEICNLGPLPGDEWGYTGIFERDSGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSVELLNIWNERERKLTETSHESLKLCEVLHQRPLAILPTSENCLLGMIEKGTAEWQKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPVGPTALHVNPIFEIGPVEPHFSEWLVFEGISVDETGKQHFLDASVAFKCAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQNFKVAINAFV >cds.KYUSt_chr2.907 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5357110:5357421:1 gene:KYUSg_chr2.907 transcript:KYUSt_chr2.907 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASRPKGFPEGEKPVETDTMVVPRVTAPVESDAPVVVDKHEVIEAPLVDLSTPKAGDVCKVNEELAPGISELLLPMVEEADKDTDVVIVEKMIVAAEKQN >cds.KYUSt_contig_319.551 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3458101:3464001:-1 gene:KYUSg_contig_319.551 transcript:KYUSt_contig_319.551 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPAAHSRRRPAAPAASSSPANPSPRPPPPPHHHLPVPLPNAQAPPPPPSLPLPSALLPPKKRLDLRPPRNAATPIPPPSPPPPQSCTPATTVPSPETLPPPAPSPPPPVADSGLLPPPPPPVPEEDAAPSARMIPAAYPNQPSTPPAAPDAATKPAPPTRKVRKVVRKVIVKKILPKGTLAARKAAAAAAAAAASDGAENLMGEITTAKPANDHNVATDDSAAKEQSRIVARRPVKNCNAFTASSALGGETPNNKPKNDQHATTDNSVDKEQGADERVVEKLATDSSAAAVVVQVAAGSSKSGRETLTGKLTEDDDQGIPAAKEQNGNETTVEKLATNCNAVAMREASCKEMVVETLTEHTLDRKTTIECNARAEEGVGMSERQRMSMKEVFVGGLDRGAKEEDVRAVFGKAGEITQVRMIMDGRTRRNKGYCFVQYREAAQAKNAIANFDKVKICGKFCRTAAPVGNDSISLGNINKKWKKDVKSVFVEGIPSSWGQTKLTEIFKSYGKIEDIFLSHDTQSSQKRGCACIKYMTHEAAIFCLESFDKEELTENGSKVSSHTLLRSKHNKEEHTFRFSESVKTPAPIQDHRATYSGEKRPCSTLDGYSSDRKRSHSRPRRGSSTSTTSTLRSPLPSCHGTNRFSEGVDKASRGSADIAPLWKVDIPKNLRVVHIYQIGQACSNLDTVSSTGS >cds.KYUSt_chr3.31236 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196156186:196158452:1 gene:KYUSg_chr3.31236 transcript:KYUSt_chr3.31236 gene_biotype:protein_coding transcript_biotype:protein_coding MRADRVMADGAKRIDLGAPLRSAVRPPCHKPDLTSGPLRHPGAVPFVWEQSPGRPKSVRTRRAAPPSPAPAPSSHQPRPSEDEGAGGATPYHDALCLAEREHAPLYPDPAPAAAAPQNRPTCRKVEWAEADAKAPEALLSVADVLRNQDEDAAGDDEERFSDAQDTLSRTESFTVNCSVSGLSGVPPDRPAAAATPTGPDARGIMMDRFLPAAQAVAVGSPQYTFRKACASAAGSSAREHAHAHASWRAGGDDDRARRTPVQLPYQHLPPNYLSCNYPRREEPVEDDDDDDDDCDVQSTRGFASKGCGLLPGLCVKTSLLLLNPVPAMKNGKSRGRGRDRVLPSKGRGQNAPSPLARSSQNKNLGCDSNGRYWEEVYKHKLEQKYVHQAEDKRSKMTSESNHLTFWSDSQTADGSSPFHQSIDGGVSPYRRDVALSSSRKESVSFEVRDRDEKMSRSNGSSSLGIDHDHGSLVGSDHSSFKGSSSMSSGVDKTSHEDPMDHRAGIDSETSHLTLLPDPKPSLNTGSDVQLGGRPVGTSDSIAEDQENDPLAERMTGVPEPAVLMPSQNAGLVKLDGRKTSTHDISQNVPLSLEQNTAVKKESRPLQYLMPLPVPKSPSDSWLSRNLPSVKNKPPAPSFLGMQVQPKKQTPWASGHPKENGLKPPRQRQIRFADVVERPNYVDTEI >cds.KYUSt_chr2.37532 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232400897:232401838:-1 gene:KYUSg_chr2.37532 transcript:KYUSt_chr2.37532 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYGRSGYGARSGDDYDNKNSNEDYGRREGGGYNKSGTDDYETGYNKSGTDDYDTGYKKSGTDDYDRSEGRGGYNKSTGDDEYSGGAGYKKSGGDDYNKSSNEDYGRNKSGTDDYDRSSGGYKKSGDDEYKKSGGDDEYSGGGYKKSGGDDEYGSGGYKKSGADDEDYGASRDESSEDYRKEEKEHKHKEHLGEIGSLASGAFALYEKHQVKKDPENAHRHKIEEEVAAVAAVGSGGYAFHEHHEKKEYKESAEDAEEEESGRGEGKKKHHFFG >cds.KYUSt_chr7.11353 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69732830:69738702:1 gene:KYUSg_chr7.11353 transcript:KYUSt_chr7.11353 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAQAGKIKFNRENDQLTKALGNPEHGGHVRGMGNIPWEEGFSQENDPYSYRSRKRKADREKDVVARLASEFNEMKKTVDGLVQEREAARTHEDHPPDVGSQQRRSSVASTEPPPAGANAHAPTIEITAPEPLAIEITAPEPPRYPVDDVKEMKECHLYYPMGNMSMKVAIGSALPCEPGALHHNKPIQDGYARVTVEDIVQGFEDLEIDIATPEGERRLGDVKCQFILWQKKFIKFPGEAPRPTSPPPLRWWWRWRWWWCFTCTSFTSADAAPQFTSGGQALMLKEKKELAEKQEKKALEEAEKELESKKSGKRVAQLGEQSKQSIAPLIVKAAGPDAPDIIAAAAAQGLTVTSAREQAANLVVLGEASMPVAVHQRSVQERHALVRRPPVGLAGDAVLPVVVAPERRAPLWLATEVVERVGEVGEPRQRADALRNVPGQLVVRHVKLLEGAQPDHGLWQLALQLGEADVQHGELAQRPDLRRNAGPDAGVEQDELVQRAAHAPDARGDAAAEADVGEDDDGGGGVAEVVRELNVEVVVVDEEHVDLLVEDGRGHGAAQVVEPDVHELDVGEAEDVLREAAGEAVFADIQLVEEAQLGEGVRQATREAVGVEVEDGDVGEEAELERERAGEVAVVEVHAGHGARAEIVEGWRAEHAEVGAHVRVAPALRQVLGVVGDGLLQRLQGHVRALQPRVVVRRLRRRVARAEQHGGEEEHGGKEQRRQATPSFLLVHDSLNLDAKLWADMRKMMQKVWRRFVDEKVGEFKKELQFKRSVTGTGDIQPPGANLCGYYVCENIRRYTSERVPCDNNVKRNNLRKMLSPEARFRPLQEELAGWFVREVIDPKGEHYVEDVELHMH >cds.KYUSt_chr4.37205 pep primary_assembly:MPB_Lper_Kyuss_1697:4:229053535:229058422:1 gene:KYUSg_chr4.37205 transcript:KYUSt_chr4.37205 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQHVAGLACPPLSGASRRPGASLRPSALVCGTYVMSKDDKERERMRTLFDEASERCRTAPMEGIAFSPEDLESAVETTDVDTEIGSLIKGTVFMTTSNGAFIDIQSKATAFLPIEEACLLDIDHIEEAGIRPGLVEQFMIIDENPNDETLILSLQSIQQDLAWERCRQLQAEDVVITGKVIGGNKGGVVALVEGLKAFVPFSQVSSKTTADELLDKEIPLKFVEVDEEQARLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPEVDQLLMHIGRLHAAGASSMGLRREWGL >cds.KYUSt_contig_1861.198 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:1218613:1224455:1 gene:KYUSg_contig_1861.198 transcript:KYUSt_contig_1861.198 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWKRSKSTFHLSATTASSSSAPASPARASTSRAQPRRTGSDDAGDLLFGRPHRQPRQLTRQRKLRHVDDLDKLLADLGIDVTSPSSPPHSRGRASASDAVGLGPPILRSSNAADGVVAPPPRSASSPVLHPLPLPSPKPPTELETPEPAGGAEGGNEKPSLQIPRVTGQKFAEHNDLGPNGTKRPTSSHQRKGLREKFQDKSSAETANFRLNIPAKSAPSSGFSSPVGSPRRLSSADVSSSAAYAQGPQVWNTGALCAMKEVNIIPDDAKSVESLKQLDQEIKFLSQFKHENIVQYYGSDTIEDRFYIYLEYVHPGSINKYVKQHYGAITESVVRNFTRHILRGLAFLHGQKIMHRDIKGANLLVDINGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLSKDVGYDLAVDIWSLGCTIIEMFDGKPPWSDLEGPAAMFKVLHKDPPIPENLSQEGKDFLQLCFKRNPAERPTATELLDHPFIRNSSHYSKHGSIHAFAGIKVHDNGYGFRDKPSSRSEPYVKGKNTVGEPNNARPFESSTFRLTPLTIQEVTPNFPPQPLALASNHGSFAISTNPMHFPMANPQPSPLPRPNGKQVLL >cds.KYUSt_chr2.13294 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84238453:84240043:-1 gene:KYUSg_chr2.13294 transcript:KYUSt_chr2.13294 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPFQESANCDVCGCTFSTFRRRHHCRCCGRTLCHEHSSYHMALPQYGRQGLLRVLQQILLQAALFNQTEIALILMDSGANIQRKNGQSWFLLQSEGETALDCALPMLQYKMRQRMEELAVSQMAE >cds.KYUSt_chr3.8107 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46752566:46755934:-1 gene:KYUSg_chr3.8107 transcript:KYUSt_chr3.8107 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRWRKPGGTTTWPGPDISIGSHGGFRGAVAAITPGDGSAVVRMIHAAVLFPGDPAGGTGGVGAAGLALFLVAALGFLASEDEDLPEKKEYHEGRIGGLAKGGSSLHDAEGFAWRSGLRNSAPRPYPGLAHAGCGLRNNLNIDIPGVNSHRLRSSSEPAAMEAVASSVAHRRWETSGRSSQYSLRMSASSDADIGHDIVEVNNASGEAVEEARQAAGDKVFVAVSEEVKRGKSAMVWALQNLAKDGAQFVIAHVHCPAQMIPMMGTKIHYTRMDPEQVKDHRKMELEKASGRLHEYVVLCALRKVSCEKIIIENDDVARGHEELITLHGITKLVMGAASDKYYSKYA >cds.KYUSt_chr7.22683 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140731185:140736407:-1 gene:KYUSg_chr7.22683 transcript:KYUSt_chr7.22683 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPYAPPQGGFPPQPPPMNPYGQPPPQPGYGHMPPPPPFIAPPPPPGPPPPHQPQYNFGHGPPQQQQQQQPPPPPQMYYQPPPPPYGGNSSQPPPPPPPMSPPSAPPPPPPAQPPLREAPPAPKEQQAKAALPRVETEEERRARKRREYEKQKAEERKQQQMMRQSQATILQKTQQVRTAQQKQPQTQSRRPQASASAGTRMGTTAPRPASAPNTERFENRLKKPTTFLCKHKFRNELPDPSAQLKWLPLNKDKDRYTKYRITSLEKNYMPKMIVPEDLGIPLDLLDMTVYNPPAVQPPMAPEDEELLRDDEVLTPVKPEGIRKKERPTDKGMSWLVKTQYISPLSTDAAKMSITEKQAKEMRESREGRDNVLDNLNDRQKRIKAISESFRAAKSRPVHQTKRGMEPEFVLPLVPDFDRYNDPFVMVNFDGDPTADSEQFNKLERSVRDECESRALMKSFQVSGSDPTKQEKFLAYMAPAPVELVKDMDDEDEDIQYSWIREYHWEVRGDDKQDPTTYLVAFDDDSAKYLPLPTKLVLQKKKAKEGRTGDEIEHFPVPSRITVSRTAHGDEMEHGESSRMHNSKRRRSSVDDDLDEHPKHSRVEDMDQYSADEYSD >cds.KYUSt_chr7.23807 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148228332:148230950:1 gene:KYUSg_chr7.23807 transcript:KYUSt_chr7.23807 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMKLSTLGCAASMLSTFQKLLLLQNKSDPDFFAETITMFCEDGERTIGELTKRLDKQCVNFNEVAAFVHKLEGCSASVGAKRVKNTCIQFLEFCKEKSRDGCLKTLDTLRVVFDEVSGKFKDMLQLEQQQAGATK >cds.KYUSt_chr3.2763 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15929485:15931382:1 gene:KYUSg_chr3.2763 transcript:KYUSt_chr3.2763 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEGADKTRRRGGSSPASPASLPDDEDLLWEILLRLPPLPSSLPRASAVCRRWRRLMTDPKFIARFRAHHRKPPLLGVFHRSSSGITFVPILDPPDRIRFDMRCYGDYDILGCRHGRVLAMNRWEGEVLVCDPVAGEQHRVAAPSEFSRGIVNGAVLCPAADDQGHVHGGCPFKVVLMTLYPADHRPAACVYSSETGTWGDLVKTPAICQLNDAGIPGALVGNALYWLQHRLGNAILVFDLDEQNLAVIEAPPVANGFRGRSSRIIQAEGGAVGLAILSYPRFQMWRRNVNCHGVATWALLAAAAFSYLVFLGKSINHYGEDAVGRRPRTVGTGKSTVGTVSADGDRRRTGVGTDGVGVRAGTVGVA >cds.KYUSt_chr5.8949 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56870561:56876784:1 gene:KYUSg_chr5.8949 transcript:KYUSt_chr5.8949 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGIQALLGVGGEWLNDFSKTANTSAGIPAQMRLGLLSPLYLRRLFERMGATYIKLGQFIASAPTFFPPEYVEEFQNCFDRAPPVPYNEIESILLEELQRPLDSVYEYIDPVPVASASIAQVHGARLKNSQKDVVIKVLKPGIEDTLVADLNFIYVVARVLEFLSPELERTSLVAIIKDIKESMLEEVDFRKEAVNMEAFQRYIEAMGFDRQAKSPFVYRHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRVGFIDFGIVGRISPSTWAAMEIFLASFATEDYKAMASALSEMGATGNDIDVNSFAKDLQKIFSSLQELDTEIIVAAARSSDATAVSANVVVDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERINITSNQQTIRMD >cds.KYUSt_chr6.23697 pep primary_assembly:MPB_Lper_Kyuss_1697:6:149802990:149809539:-1 gene:KYUSg_chr6.23697 transcript:KYUSt_chr6.23697 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKSPDDRPQAAAPQPQPLEWRFAQVFGERGAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARGSASRTELERQDYPVARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWGQTANNALFILSTNDKTIKYWKVQDKKVKRVSVMNLDASQSSDNGTTSSSSTSSSRGLLPNGGCASLRLPVVVVLNPFYPTSFHLLCLQVTGQDLNPVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPTNMEDLTEVITCAEFHPTHCNTLAYSSSKGAIRLIDLRQSALCDNHSKLFEEHEAAGSKSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGGSSGNTEATTLEASRNPMRRQVANPTRPARTLSSLTRAVRRGKSPVP >cds.KYUSt_chr4.37140 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228508624:228513835:-1 gene:KYUSg_chr4.37140 transcript:KYUSt_chr4.37140 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSLVRFPELTVCVFTGRPSSWGEASGLKNPGVDTTPRCPPTRHAARISAVRCQTPQVLHFTSTLRGALPYAPSVVGMEVVDGFCGRGSAARIQPQAREIDDGTTLAPALAFATTTGRRDQPPTIDNFPTRGCRRCSSSPAVADAPPPPQAAAALKSNDGAASIVVGKIKRRIVLLLPLLVLTISYSDAVALRLHATHADAGRGLTSRELLYRMAARSKARSMRLLSGHAARVDPGPYADSVPDTEYLVHLSIGTPPQPVHLSLDTGSDLVWTQCQPCQVCFDQALPYFDPFNSSTFSVLPCHQPMCQQNLDWSSCGKQYWGNQTCVYVYDYADNSITAGHLDTDTFTLAAADGVSSASVPGLAFGCGLFNNGNFQSNGTGIAGFGRGALSLPSQLKVGNFSHCFTPMTRSEPSAVLLGVPTNLYGNASDVVQSTPLIQNTASPNAYYLSLKGITVGSTRLQVPESVFALKQDGTGGTIIDSGTGMTSLPQDVYNLLHDAFVTQARLPVYNSTTAAPQLCFLVPPQGKPDVPKLVLHLEGATLDLPRENYMFEFEEAGRNITCLAVSAWGDLTIIGNYQQQSMHVLYDLANSLLSFVPAQCDRL >cds.KYUSt_chr6.18742 pep primary_assembly:MPB_Lper_Kyuss_1697:6:117841412:117843088:-1 gene:KYUSg_chr6.18742 transcript:KYUSt_chr6.18742 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHPALMPITGNDGYTRRKRLVQDYYCLFVRFTVHPVQACPFRCVFLTEASTLHLPPDPRPRHPTAIHHPLYLFFLANHAVLTSFAFDSSCSTAFDASDIIAILLPPPQSRFDASDKTHPPPPSLLPHHTFRSEDKTSAMPPPSSRLFLFDRLVRTPMIGGSSPRCKWRELPSEAKRAYGFGEESHVADSIVQGLELEESLAPAPDLSLLFLRGGNMYTTIHTIDENLIVISSAFEEVDHGRVFLIYDASDRSLLMIPSMPRTSPPIHTARVLVARRRDGDKSYALVFPGRKFVSWSAKGNPQYQDVLFVSPSSSTSPWQMDKKVKIPQKWHSDDSSFVAEEVFSAHGRAYWVDLLRGVMYCDCNDILSADIDCVDVRTLDLPVGCEKYMGSRDEIAEVAAFRAMGAVGDSIKFVSIDGYLEPVDLKDCKVRIWRLTKDMNWDVEYELSLISLWEGLEFKGGFFPRLTPMYPFLSALDDHVVYFALGDFTRLQRNGFPTDPSYMVRVDFHHKTFECRHVYHWRHTSMAGLLAVSRNGKRKLSGLSQGEDLKPCPSVS >cds.KYUSt_chr5.39503 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250019911:250023776:1 gene:KYUSg_chr5.39503 transcript:KYUSt_chr5.39503 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGKEEEAAAGWEGEKEGEIDYVFKVVVVGDSAVGKTQLLGRFAKDEFFLDSKSTIGVEFQTRTLTLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDVTRRSTFDHVPRWVEELRAHADGSTVVSLVGNKADLAPAHRPREVTTDEAAQLAEEQGLFFSEASALTGDNVERAFMALLEEVFAVVSRRALQLDEARRERHGGDGGGGGEVLSLKGTSVDLNDPIMETSAMKKSPSSQCACS >cds.KYUSt_scaffold_869.429 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:2745084:2747862:1 gene:KYUSg_scaffold_869.429 transcript:KYUSt_scaffold_869.429 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVGAVSGMVDALPGKLGELLQQEYALLSGVRGDVTFFQAELSTMHAAVLRSEALDDPDVQTKNWIGQVRELAYDIEDWVDLFAHRVDAGRHDADLATSSRFSRWIRRGIDRLTTIPDRHVIATELQELRQRVVEVSEQRKRYSLGPQVIPAGPRHTAALDPRIISLYTDSASLVGLDGPRREVAEMLTGAGSDRLKVVSIVGMAGSGKTTLGREVYGLVGAGFKCRASVSAGRSSDIAKVLTDMLSQVDSEYRRRGDTADLTQLIGRLREHFKDKRYLVMIDDLWSIQTWGTIKCCFPENNLGSRIITTTRIEAVAKDGGHVYRTHLLEEADAETLFSRITFGSVGGCPPHLKDVSAQILRKCGGLPLAIVSVGAILANKTHTKDEFEQYGLEWQANSQLEVMKQVIKLSYSDLPAHLKACLLSLSIFPENHEIEITRLVRRWIAEGFISEHRGTSTEEIARNYINDLIGRNLIQPTQLNHDVTPRSCALHPVIHEFIVCKAMEDNFVALVHAEQQDVPPGNRTVRRLSLLNSGKSDQAVAQIDGTKVSRARSITVFAHTCGTPRLNELSVLRVLDLEGCEGPLCLDGLCKLLLLRYLNLKGTDISQLPAQIGDLKCLETLDVRTTKVKELPLSILSLENLTHLLTGNAKLPTGISKMKSVLTLSCSNVGKSATVNIIQEIGEMASLRELELFCDVTQMPGDKKQVVFQCGGLRSLTKLSIRCSSPSVAFVAGALPKVEVLELKFEKGPSKESSGVSGIEHLSSLKHMPIEFSQHVAGAAAAVAAVRNVAEIAHPNCQVITVNVDQ >cds.KYUSt_chr4.13740 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84670208:84670846:1 gene:KYUSg_chr4.13740 transcript:KYUSt_chr4.13740 gene_biotype:protein_coding transcript_biotype:protein_coding MANLMLLPVILSFLILPFSAMALTQDFCVADLPRGDTPAGYPCKAHVSADDFYYNGLAVAGNTNNLFNITGTTAFVNQFPGVNGLGISAARADIGVGGVVPLHTHPAATELIFVTQGTIVAAFISSDSNTVYSKTLNKGDIMVFPQGLLHYQYNIGALPAVLLVAFSGPNPGLQITVSALFANNIPSDVLQKLTFLDDAQVKKYKSMLGGTA >cds.KYUSt_chr1.36941 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225330571:225332522:-1 gene:KYUSg_chr1.36941 transcript:KYUSt_chr1.36941 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWMMLERFVFRRDNDESFPGIDIAPFTTSGTFLGSPFTIALHVAHPPAISRLYLRWPAGHGRDPNKISSSCILLAAHRDLLLFRLIADDPVVPDGDPHFMLSQHDHFICRASPSDSLPPLQLRNIPMCELPVALVRATQGKPIITRLMFGDMDPVGILRRGEDEFAMAQLGLTRPLRWERSEAELCVLRSKVGDSDHRWDVQEHLPVNYQENESDDLWRWTTDAVVAFDNHLCWVNYGVGGVLFCNVFQKKPLVTYLRLPIPDRCFDHPEFRDANRSVCVTKTTVGGHAYEQLKFIDIVREDGNRNGPLSNGTGFRVTYHSLRTTESSGMEWVMTFFVTSDELWARNPLLPVEALMYPLVSMDKPNVVHFLLRQHQMRAVDTLSLVTIDMRSKLVISVDSYLSKDGKEALPDQAMKQYLVVLHVALEEQEKS >cds.KYUSt_chr4.30238 pep primary_assembly:MPB_Lper_Kyuss_1697:4:189661229:189661507:1 gene:KYUSg_chr4.30238 transcript:KYUSt_chr4.30238 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGDAEPGPDASIFHRVELRWPLDPWLLEGSGHTASDAGVAEELPLDTATGVTGAARDGSQCCEWRWRLLQGVASSATCGIGQEADPDSWE >cds.KYUSt_chr7.22062 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136659540:136661977:-1 gene:KYUSg_chr7.22062 transcript:KYUSt_chr7.22062 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEPYWQQVKEQDRSNPQEADGGRRSQEEKSPLVPFVADPEVADSTKLCDFLANLASKKRALMSPLCENPAASVVVAETMPAEDIQVDPGDPAADKLNAFLSSVFRPVPLPILASPPSRRARAPKEVATTPRRSGRIEKQKQLQKDVTSQELLARVLGILKENAEFDDNALAAFIDKFKTPLSPRSITMLGSLVKNVEKVKKPKGNKIGAKKKAVEIT >cds.KYUSt_chr2.46178 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288272839:288273195:1 gene:KYUSg_chr2.46178 transcript:KYUSt_chr2.46178 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRPAVPEAKAIDAEDLGLDFSETKRWMQTIQAPDFLRCGPIPCGPSSAVVGSFVLATQKEEERRWSSLGGAQVCVEHMSVLQRWALCRCATSFAMLRRQAMEGEPRLFGTGGFRH >cds.KYUSt_chr2.50222 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314099465:314099704:-1 gene:KYUSg_chr2.50222 transcript:KYUSt_chr2.50222 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDACALCAKPLAREKDIFMYRGDTPFCSDECRHKQMRDDAVCERHAARRLRPYSSVPESHLGQPGTPGSGEVSVGS >cds.KYUSt_chr1.11783 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72710668:72717901:1 gene:KYUSg_chr1.11783 transcript:KYUSt_chr1.11783 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATLLCGSAVAAGQQRLRRRAASSLNAGGAHVSYGAHLVRRGQLRPALFPAPSFGDLSSPAPASGRLLRTPASASSSDSAGQAKPVSFVQKYPALVTGFFFFMWYFLNVIFNILNKKIFDYFPYPYFVSVTHLSVGVLYCLISWSTGLLKRAPINSTILKLLLPVAVCHAIGHVTSTVSFAAVSVSFAHTVKALEPFFNAAASQFILGQQVPFTLWLSLAPVVIGVSIASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALIVCIPPAIIIEGPQLMQHGFKDAIAKVGLTKLVSNIFLAGLFYHLYNQVATNTLQRVAPLTHAVGNVLKRVFVIGFSIIVFGNKISTQTGIGTCIAISGVALYSVIKAKIEEEKK >cds.KYUSt_chr4.3526 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20077816:20079390:1 gene:KYUSg_chr4.3526 transcript:KYUSt_chr4.3526 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIHSFATPPPAPATSNSRYPGWVLLSSTVLVSDVQNETTAGTLTRAGLPIQASFVFADPPRLSHFCVYCLNSYAISFVNAPSVVCSVEDTALIKVTITAQDSPHYFLYRTRGPSGGPSLDLLPDIRWYSRTERLFPPLGFVTHGKQFVMVALSYGPKHGQYNLHTIVASQPHATWSKKLLKVEIPNGHTAKSVTVQPTKLIALGGGLLGWVDLWKGIVICDVLDPGGATAAFAPMPKLLPSNSELFGNQYSARSIRDVAFSCGFIRCVEFEELVKLRPTPEPVVVDPWDMDELLDSELAVSPPQEEEEEEEVYDVVGWRLVTWYRELTWNRWRKGSLVHSDDLGIVSSPQLGGGAALNVPFKNLKTASPTLHADADVVYLVSLLDENDQTLWIVTVDTRTKSLGEVMPFSAHPSRIYDPSFIPCVLTKYLDTKSDGARGGKRNASHVPLSPNFSESKKQQQAELEEQQPVPRLLRNSSDNS >cds.KYUSt_chr4.18489 pep primary_assembly:MPB_Lper_Kyuss_1697:4:116059012:116059287:1 gene:KYUSg_chr4.18489 transcript:KYUSt_chr4.18489 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFVPSKSLATACLVALLLAGCLAIALPTADARRLLLTAMPPAASPGFAPAPESGGDSGYYPGRALFEGRGLLAGGFRLAGRLLIGVGL >cds.KYUSt_chr7.35208 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219965048:219965659:1 gene:KYUSg_chr7.35208 transcript:KYUSt_chr7.35208 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDAANGKHSVGGGSGVGSLLRPPRIFCLTVLSIVFWALIIYFVSTMQGNMASLLLKPSAFSLPSFRFARDRCVGRYIYMYDLPPRFNADLVSHCRGFSVSDDICKLMVNDGFGAQLPPGESLPEKGSYDTDQYMLALIYHARMRRYECLTADPSTAAAVYVPFYPGFDVSLNMWKSNVSDRDALSRDLADWLVRQPQWRAM >cds.KYUSt_chr4.15431 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95348888:95349904:1 gene:KYUSg_chr4.15431 transcript:KYUSt_chr4.15431 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRLSELLLDHQEPFLLEAAKTRRLRRGRTSAPGGAACCPVAACRRLLKLCSFKKRGVGIAGLRTALRRVLRWESLGAGGCFLPVGGGDGEFRRLRRRSAGDSGECDARAMEFGDDDDDEHARARWKADMEMEVDCSRQLSPVSVLELHSDVDESPAHSIWEDEKPSTSGSSPSAPSEPFHGPTSPCFTYDIVDDKAHAMETTEEEEDEEAVRNHRRSIEEQISAWERIAGDIAKIPGMVELDLAQYMHQWRPEVREIGARIETLIFDDMRRETVCDMLASRCTLAPTSC >cds.KYUSt_chr1.40200 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246291659:246293152:1 gene:KYUSg_chr1.40200 transcript:KYUSt_chr1.40200 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTVVPMSSPKAGAGSIATTWEKLQGSESWKGLLPSSDHPDSLDADLRASLIAYGELAEAAYDGLNAVKSSWDAGSPLYGHAGLLAASGVSHPEHYTVTKFLYATCDLRPWSGSSRSVSKALFVRQPKLSRAGPWWWQTNLIGYVAVATDDGVRALGRRDIVVAWRGSVKVSEFLKDGAFLYASAAEGLDLSADARFTGTNVHGGFLSVYKTNNPIENYRTSQTDIVIGTSARDEARDEVQRLVEKHRGEKTSITVTGHSLGAALAVLNAVDIVAHGINMPPASSKHLPTNPCPVTAILFACPQVGDDNFGRAFASLPQLRALHVKNAHDEVPNLPTGNFVDVATANLLIDTDRSPYLKPGTPLLTPIWYHNLQCYLHCLAGDQGTEKHFKLVVDRDIALVNKSTDRLREEYPVPAKWWVNANSYYKGKGVARFKLDYFEDI >cds.KYUSt_chr6.22029 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139156362:139156802:-1 gene:KYUSg_chr6.22029 transcript:KYUSt_chr6.22029 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGFTVEGIEQGRAPLPDEGIEQSRAVVGLASARGRKAAPLPLQSPRPVSRPRSRPRLAAAAAAREDKDEEAGSSKVAATKTQTVELHMVGFAKDDVLKQRRWNRIAQEEALLTRRGGAGRYPAHGALLGRHLRLVGSIFGCLQ >cds.KYUSt_chr6.3999 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23116986:23121770:-1 gene:KYUSg_chr6.3999 transcript:KYUSt_chr6.3999 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKPGNLPDVMPAGMISSLTGNLQEHFSDNSLQWLLVEFGLIGFMGSILGIPFIRYDPRDGAGLRLQLDVEVGAVEAGGGDRWSWRWPFFSGIDAAPWRTGQIPIEFIQKLLDRALVRYAPVVGLLEDILTMACTCEGVSFHDDDGELLNGGSLKACRSRWASWTTAPSNLLGGRLGIRLRR >cds.KYUSt_chr4.27490 pep primary_assembly:MPB_Lper_Kyuss_1697:4:172680619:172683408:1 gene:KYUSg_chr4.27490 transcript:KYUSt_chr4.27490 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQKQRSSLFSTATIALFVALCLVGFWMVSSPSPEAVPAATATAADINKAAAEVVVKDKEEDSSIDATNNFKQDSTNVVAEATTAATEAEKPAVDAKGGEGEGEKLASKNQSFDDENGRTEGGELVKPETAETDGAAAAAAAVAASQGKSVDDTVTDAKEQAATDTKESVGQDSKTSGDTKESLAVSQEDTAAGDAKETSSKKQTFDDENGKMEGVDMVKDDGNKTFISDDVVSVKPIADDTTSVTTTTTDKTEAVVPTETATATALEETTKTSDNEEQPQPRDEEQKLLPEALPNGQAELLTERAAQNGSFTTQAAESTNEQKKRAERKKKQKKNKKAAGGKADQAEAASPSYVWKLCNTSTGEDYIPCLDNEAAIRKLKTDKHYEHRERHCPADPPTCLVPAPSSYRDPIRWPHSRDKIWYHNVPHTKLADYKGHQNWVKLSGEHLTFPGGGTQFKTGGALHYIDLIQQALPEVAWGRRSRVVLDVGCGVASFGGYLFDRGALAMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSNVFDVVHCARCRVPWHIDGGKLLLELNRLLRPGGLFVWSATPVYQKLPEDVEIWEDMVKLTKAMCWEIVAKTKDTIDAVGLVIFRKPVNNHCYDSRQQDEQPPLCEPSDDPNAAWNISLRACMHRVPTDASVRGSRWPEKWPARAEKVPYWLNSTQVGVYGKPAPEDFASDYQHWKKVVRNSYLAGMGIDWNTVRNVMDMRAVYGGLAAALRDMDVWVMNTVNIDSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKARCKVLPVIVEVDRILRPNGKLIVRDDKETVDEIVEVVRSMQWEVRMTVSKRKEAMLCARKTMWRPTEVVESPARRFNS >cds.KYUSt_chr4.35160 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216000871:216001611:-1 gene:KYUSg_chr4.35160 transcript:KYUSt_chr4.35160 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGPVQENLMLEPNKPRVLLAASGSVAAIKFESLCRIFSEWAEVRAVATKSALHFVDRSSLPSDVILYTDDDEWSSWKKIGDEVLHIELRKWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYKKPLFVAPAMNTFMWSNPFTGRHIEIINQLGISLVPPITKRLACGDYGNGAMAEPSQIHTTVRLACKAQTFGTGSPCVMPSSSNPV >cds.KYUSt_chr4.7340 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43623706:43624778:1 gene:KYUSg_chr4.7340 transcript:KYUSt_chr4.7340 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRGDARRQSEHDWGTAEGVAGVRRLVVGALRPELVRRLPGRPPLLRLGRLLCQGEDKQTKFPNHLHLILFELLPSSAMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr6.24072 pep primary_assembly:MPB_Lper_Kyuss_1697:6:152086133:152089884:1 gene:KYUSg_chr6.24072 transcript:KYUSt_chr6.24072 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLQDFRFLFPRLWWLVLSAMWGSNLGVEVQGSFKMSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFQLEDNPTVEGLIVAMSLIGATIITTFSGPVSDMVGRRPMMILSSVLYFLSGLIMLWAPNVYVLLLARLIDGFGIGLAVTLVPLYISEIAPSEIRGRLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRVMLGVLSVPSLFFFGLTVFFLPESPRWLVSKGRMAEAKKVLQRLRGREDVSGEMALLVEGLDVGGDTSIEEYIIGPNDGADDHVVDGDNEQITLYGPEEGQSWIARPSKGPSMLGSVFSLASRHGSMVNQSIPLMDPLVTLFGSVHENMPQAGGSMRSTLFPNFGSMLSVADQHPKGEHWDEENVHRDDDEYASGGDYEDNVHSPLLSRQTTNTDVKEQGQHGSTLGIRRRSMLGESGEAVSSTGIGGGWQLAYKWSERQGEDGKKEGGFKRIYLHQEGVPDSRRGSVVSLPGGDAPEGSGFIHAAALVSHSALYSKDHLEERMAAGPAMMHPSEAVPKGAVWKDLFEPGVRRALVVGVGIQMLQQFAGINGVLYYTPQILEQAGVSVLLSSLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPILIASLIVLVVSNVINLSTVPHAVLSTVSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYSLPVMLNAIGLAGVFGIYAAVCCISFVFVYLKVPETKGMPLEVITEFFAVGARQAQANIS >cds.KYUSt_chr3.26990 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168394028:168396769:1 gene:KYUSg_chr3.26990 transcript:KYUSt_chr3.26990 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQPKMSPPSPKNPNLHGYHLCFLLLVSTTTLSAALTTSSYSSVCTSLKPASDSHTEDDDSLSLTRSFQISIGYFSGGGADSLFSPDDDLGNSYRSFSLLPHGASRTNNQTLVHLTATLTLTGPRRRSSTFRISGERYNYTVTESISFFLDGFYSSVSHELCMVGTGTELAADGSLKRYPGVALRLRVPSTPSLVDPFLTGSLEGSADFEAIQLLAYVEGDDYKYGPEHAACRPPTHAPRGSLQAVGNNSVCAHLKEHLMTSYRLEHGGDPLLRWLRKPRMHINQMQCTTDGAVRAYMVFSNDTGSTRRRRYQRQLHFLVDEEAAVADGQWDSDRGVLCLRACRVVRSAPFALAVREHECGIRMSFWFPAMWTLRDRSAVAGMLSTSTQGTKGNNDEAALSSGAISVSSIDVTDNHSNNFTDVKYSYNDTMIEEARKHYLKINKGKIKGSDSFPTNYTYRDFEFGYQGEMGSGQAYPVTIGSVMVYGERLAADDSFSRHAVFDLKHDLLNVSYDIHHHAPPDGWVRPTNGSYSVTLQERRISAEGVYDPIRGLLSMIGCLEHNGSTDCRILVTAQFASLEARAQGHGRGVISSLREESDCLFFKKIDIHLYKMYSNQVSEAISRMDLESIMLVASTTLSCVFTVLQILHTKKNPKAARATSITMLGILTLGYLTPLVFNFDALFLSRRSRYFAYSMSGSLEMKEMMMRAPILISFVLQLRLLQLAWSARRESVDQTMSSTVVSERIVLQICLPLYMLGAVVAGIVHVINVRAAREDALVVRIGGEPATIWEDLVSYAGLILDGFLLPQVILNASLAGSGVRAISPWFYIGCTMNRAIPHVYDVVRGQIYEPSINPSNIYASPRNDLFGVAWDIVIPCGAALLAVLLFLQQRPRGATSLPSQRSGGYELVSNL >cds.KYUSt_contig_786.70 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:437580:438902:-1 gene:KYUSg_contig_786.70 transcript:KYUSt_contig_786.70 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSWVPPSLPESSAAAASSLVLLDRWCYIADLPNDTTADSTTYSGLPITVTFRAARPPLLSHFCVHCPGLDFRRIGPKIVATDADLVLLCVPVNPNSTTGASDWDYFVYSPRAQWLDLLPSPDPRCLNDAATALISRQDGAWYAIAALGRCYPIFNGRVLVRWDFDLHLYRSSSISKRWITRRLSLKEFERDKLIPLPIAVGRHKLYHMTGKTITIGGEHGTVAWVDLWRGIFFCDVLKRRPLLQDVPLPVPARANWDRLLRNSEPNFLRDVTISRNNGSMIKYVELEFRPPQELHAATAPVSYADWVRSTNSTRISQVIPHGWKSTIWNMAIPVGCSSEGWHRECVVDVKDVSLDPCLSDVMAMLSSKTLQELPVGYPILSMDDDVVYLLSQTMDKLRVMFAIDVRKATLRGLAEIDVQKLLFLTNLCFTSEICRGT >cds.KYUSt_chr3.3284 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18826502:18827095:1 gene:KYUSg_chr3.3284 transcript:KYUSt_chr3.3284 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGQDGHAAISGGGNCYARARQVALLQVAASSATSGRRRCYRRRLQVGRWVLPTGGAAIRGGVACYVWPATMLQKTVVAQQVAVASASSLLAALLRLAGGSAANDSGGCRPTGHAATKDRRQHLLRPAGRAATSGRGACYVRPAAPATIGRRLCCKRRRCLLRPASDAATSGGGVCYVHLATVLPATPVRFLAGAG >cds.KYUSt_chr5.23392 pep primary_assembly:MPB_Lper_Kyuss_1697:5:152330134:152336667:1 gene:KYUSg_chr5.23392 transcript:KYUSt_chr5.23392 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSRGGFPAWMTAAAARVDLSAGGGGGGGTSGSQPSHPGTSAAAVGDHDLGMAERCLSAASAAFISAIIVNPLDVAKTRLQAQAAGVPYYQPSQMAALGPDTILSEFRCSPSCTRAIILGSEPICPPDCFQYKGTLDVFLKVVRQEGFGRLWRGTNAGLALAIPTVGIYLPCYDIFRNKVEDFTRSNAPALTPYAPPLIAGSVARSLACIACSPIELTRTRMQAYKEFQPGVKPPGMWKTLLGVLSPHASSSQNVQNYRVLWTGVGAQLARDVPFSAICWSTLEPIRRKLLGLVGEDGDAASVVGANFAAGFVAGSVAAGVTCPLDVAKTRRQIEAALEAVVEVVEADPQLAEYEEWEEAALAPTVDAYDAGERQRQEAERRERGEARREAERRQREEENRLVLEEAERLEFQEARRRREALSQRRLEARRQKGWEELALRRLQREETQRQDEIYEARRFAEMQLRLQTQELDHRR >cds.KYUSt_chr1.42834 pep primary_assembly:MPB_Lper_Kyuss_1697:1:262063798:262064469:-1 gene:KYUSg_chr1.42834 transcript:KYUSt_chr1.42834 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNQAAAAAANQLAEEEAKRAEDAAVAEAIARSLKDLVPADNTLPIDAALEWSRRDWERQEEEQQRRMLDLAAARQLAARAAAPSSSRNAAPREVIKLEESSDDDIYRPLPPRAGDAGQGTSRWYEAPPPQDDAGSSDDDDGGDYTTFYRHFGM >cds.KYUSt_chr2.52020 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324633588:324635141:1 gene:KYUSg_chr2.52020 transcript:KYUSt_chr2.52020 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPWKKSSPSRRTDRISDLPDNVLGHVLSFLPTKEAGRAAMLGRRWRDIFCSVHTISFEEVEGERKDDLYTYFWEAADRRSCSGILLDCISSALLRRRNRCASTTSVLPVPLRSFRFAFDSCSGWDKVAVDQWLFDVLCRSGNEELHLDLRFHIGPICKRRKDEDNDEKETKDNEGWGYVLPTALFSCTAIRTLCLSHCKLNVPETVDLPFLEALHLTGIRGDDSGYMIQRLVWSCSRLVDLTLEANSRLKRLTVLDKRLRRFALRCCHNIAKVIIDASELRSLEYSGSVPEESLLSLSGSPGLASCTIRFCRVRPNNELEFVGFRRFLEKVSDSKHLHLHHRGLDSGFLAVFPTFSNLKRLELQGPVQSSDSVNGIRRMLEHTPNLEVLSLYMDTNPQKQKAEPITYRRRLEELDDDDEEEEEYERSIVVDNLLVPDESGLSSMPCLRHSVKEINMVNYQYDVQHRTLASLVFRNALVLERMCVVLGKGQFALQVELKKEIESWLVAKPEKSFM >cds.KYUSt_chr5.30058 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190588091:190589008:-1 gene:KYUSg_chr5.30058 transcript:KYUSt_chr5.30058 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHQDVVHDVVMDYYGKRVATASSDNTIKVIGVSGNSHQLLATLSGHQGPVWQVAWAHPKFGSMLASCGYDSRVIIWKEGNKPDEWVQAHTFTEHNSSVNSIAWAPHELGLCLACGSSDGNISVFTARSDGVWDTSRIDQAHPVGVTSVSWAPAMAPGSLITTGPSGQFEYVQKLASGGCDNTVKVWKLTNGSWRMDCFPALQMHKDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTEAKEGEQWEGRVLHDFEAPVWRVSWSLTGNILAASDGNNNVTLWKEAVDGEWQQVTAVEP >cds.KYUSt_chr3.22513 pep primary_assembly:MPB_Lper_Kyuss_1697:3:139184937:139188815:-1 gene:KYUSg_chr3.22513 transcript:KYUSt_chr3.22513 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWHNSFAGNGRKELMHSLGVGGGAKEASPLPDLWTGGLVCAFEFVRGHGFGSPPNLSRNNSSQYPKDLSAMDPKTLCLGDGEEPRPRCKQHGTASPAAAECYWAPIGWRRITELVEMVEGDYAAWDGQGMSGLMDGCDDGQCCDITVADVAAPYWQRPAGPTWWCHVTAGHPAVDAWLAGARWLHPAIRVALRDESMLISEKMKHLLYEVPVRVAGGLLFELLGQSVGDPAGDEDDIPIVLRAWQAQNFLITALHVKGSAHNINVIGVTEVQELLSAGGSTAPKSIHEVIAHLASRLARWDDRLWRKYVFGEADEIELKFVNRRNQEDLNLLCIIFNQDIRRLATQVIRVKWSLHAREEIIFELLQYLRGNTTKSLLEAIRKDTRQMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRITHNLTIFGGCGLILSIITGLFGINVDGIPGAKNTPYAFALFSGLLFLIGVLLIILGIIYFGLQKPISDEQVEVRKLELQQLVSMFQHEAETHAKVREGVLRSDLPPKAADLIYDKVRKFGRYFSFKAKSEPTLQGEDIGADANAEDRCFEFLLRIDDDPLGIKRIPNKFAEFVDGVEPT >cds.KYUSt_chr1.32688 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198492022:198493686:1 gene:KYUSg_chr1.32688 transcript:KYUSt_chr1.32688 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKLSLMTVQCCKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >cds.KYUSt_chr3.38799 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244461141:244463723:-1 gene:KYUSg_chr3.38799 transcript:KYUSt_chr3.38799 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFGLSTNLVNYFKVELHADSKSAANSVTNWVGTSAITPLAAAFLADSFLGRYWTITIFLVISVAGYGVVTVSASAGLQSAVFYAGLYLVALGGALSPIMASFGADQFGDDESDRGRQSSFFNWFYFSINVGSLVGGTVLVWVQTAHGWLLGYGIPALLSVLALALFSAGTGAYRRHQPPAGSPLTRIAQVVVAAVRKCDVEAPDDAALLHECDGDDGMSAIKGSRRLAHTDQFRFLDKAAVETAGDKGMQPVSPWQLCTVTQVEELKCVLRLLPVWACGIIFTAAYTQMSTTFILQGDTLDPRLGSFRIPAAVLSVFDTLSVMLWVLLYDRAIVPLARRLTGHHGGFTQLVRMGIGFIILTVAMLAAGALEVARRRVLSRHGMFVDADGAEYVPMSIFWQVPQYVVVGAAEVFTFIGQIEFFYDQAPDAMRSVCSGLAAASFALGNYASSLLVAIVVRATATGGQPGWIPDDINNGHLDYFFWLLAMLCVGNFGVYLLVARWYNYKKTMD >cds.KYUSt_chr6.30053 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190491449:190492498:-1 gene:KYUSg_chr6.30053 transcript:KYUSt_chr6.30053 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPAPDRSCVILATNDYSSHTYTFHHIDVAPFFSHPDPDTHAMDDGIPLPPASARFTRPPDARGCYLEFHLLRGCERDAGVKVVSTDAERRTVIYNVARRAVRGGPMMRATKDSPVSVAVGDGLFVFERRPASGHRRFEALRYDPLREDWYWYGIPMPPYVRDPGYRRSSITALTPAAGGRIWTTTEGVGTYSFDTRRRSWRKEGDWALPFLGQAEHVPGPGDGLSFGFLAVECNGYTSPNGPLCAVDLATATAESPPVVRGVWEEFKPPGEWTPSTPSLVHLGSGKMCVFRFFQTDRTGGSRNRRVVVITAVEVSAHDGEIKMVKHRSKCIRFQEHLGHVTWIL >cds.KYUSt_chr5.4667 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29750569:29751035:-1 gene:KYUSg_chr5.4667 transcript:KYUSt_chr5.4667 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHQILMLLLLLAAPSRDGVAGQTPPPPPLVKQFYYYSPPPPSSPPPTAPPQLPPPPPATPTPPQGTRSPRPPAGQVGFFSGSQARAGGQLHFRMRLCAAAAAALLVWWGVSASIILSVVVVNMFLQCA >cds.KYUSt_chr4.12688 pep primary_assembly:MPB_Lper_Kyuss_1697:4:78124699:78126130:1 gene:KYUSg_chr4.12688 transcript:KYUSt_chr4.12688 gene_biotype:protein_coding transcript_biotype:protein_coding MCDAAAAVVAARQGMETPLTAVAEAFEELARGMEADGGELRLAPFGDTCALVSVLFNSLGIAFKFAESEYVTKVNDLIGASKEYATLNDILDKDVEHDCVKKQGSHSRNLRRVRLGLGLIKALFEQFLATEGSLYEAATTAYGQVCAPFHSWAIRKAVGAGMYTLPTREQLILRLNETDCSVQKEMRRYIDASSPIIEYIDTLFLSRNIVLDW >cds.KYUSt_chr7.7787 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47016933:47017220:1 gene:KYUSg_chr7.7787 transcript:KYUSt_chr7.7787 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQQHGKPAVLAHHAGRKLRLPTIAGTWSLIWGGPIFSVSNGGDDDHGVIAAEEHDDVVNDNLYDATRSLDWSLSPMQQLSTLQDIRNSTHLRT >cds.KYUSt_chr2.29433 pep primary_assembly:MPB_Lper_Kyuss_1697:2:181045793:181049689:-1 gene:KYUSg_chr2.29433 transcript:KYUSt_chr2.29433 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRLLLAAVAILAAVAAAVAQQPQPLASRSDRAGLHSLRASLGLRARDWPLKADPCTAWAGVTCRAGRVVSLTVSGLRRTRLASRAPAFSLDALQTLTSLELFNASHFPLPGPLPPWFATALPPPLAVLDLRSASVNGTLPADLGASGNLTRLLLSGNRLSGPLPGPLLSVRTLRVLDLSANNLTGALPNVSLAAAAELFNVSGNSLYGVATYAVGALKTRFRLVDVSANYLDGIWDGSDATVDVNTNCFSGVPAQRSRVDCEEFYTRQGARLVDIPAPPTPSPQPQPSSDKSQKISKNLLIGIIAAAAALMALFLLALLLCYMRRRRRQRGGGTRGVETNEEAARGVRRRDSSVNPVASSPVAMSPTASTTPKDTLPDLGDLSFEKLVHATGGFADDNLLKHGHSGDIYHGVLENGVDVIVKKIGAKSANKNSGELDFYSRYTHQRIVPLLGHLANGDEEFLAYKYMPKADLTNALHKKPVDTEDGLPSLDWITRLKIAIGVAEAMCFLHDECSPPLVHRDIQASSVLLDDKFEVRLGSMSNICAQQSAGSQNVFSRILRSSKSLDKNASVPPATSSYDVYCFGKVILELVTGNFGVSGSNNAGSEEWLANTTNRISVNDKDSITNIIDPLLIVDEDHLEEVWAVAIVAKTCLNSKPSRRPSARYVLKALENPLRVVRAGSRSNSARLRSSSSRSSWQSAFVQGNRYQSYEIMSASGRMLDRRGSVRSHISGGEASSSFKRSLREIAPDPQVLDEDVVV >cds.KYUSt_chr2.55564 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346580070:346581517:1 gene:KYUSg_chr2.55564 transcript:KYUSt_chr2.55564 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGGGGDDVKLLGTWASPHTLRVRLALRLKGVSYHYVEQDPNKENTTGELLLPGKQPVMMIHGDKPVCESSNILQYIDDVFTGVGPDLLPTDSYERAAAQYWADFIDDTLIEAMHKAAWGKTEIEKAEGKNQGAAAVRALEGALREYSTPFFGGKAAGYVDVVLASLLPWVQVTDAMQGIKTLDPARTPLLAAWTDRFCELKAAQSVMPDVTKVVDFAMAMSLRRSGQQKMVDGTILWFITILSITMIFYMSWMVL >cds.KYUSt_chr5.5970 pep primary_assembly:MPB_Lper_Kyuss_1697:5:37040299:37042360:1 gene:KYUSg_chr5.5970 transcript:KYUSt_chr5.5970 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQQPTRDTRPLPSLPATADANIAGPEYDLSEPTMEEKLAALNLPSDDADGGAEEEEQAAAAVAVVPPSADSVHVLLRQALRADDRAALLGCLCNRDDKVIVKSVSLLTPADAVKLLKSLILLMQSRGSVLACLLPWLQSLLSRHMSSIVSQESSLVLLNSLYQLIDARTSTFASSLKLSTCLDYHFSEIGDDESDEEEGAPVIIYEDKDSDDEESEVDDDDAMETEEKETDEEESEVDDM >cds.KYUSt_chr6.6826 pep primary_assembly:MPB_Lper_Kyuss_1697:6:41185739:41192982:-1 gene:KYUSg_chr6.6826 transcript:KYUSt_chr6.6826 gene_biotype:protein_coding transcript_biotype:protein_coding MREDVLVVVSFASNDNHRSQETHLTLLGAKLTHAAIHPRVQSIEEMNGVKPGYREPGSIAAHGLLDGTLVWLPLTARVLDRSPLLVAGTLVWLPLTARVLDRSPLLVAGTLVWLPLTARVLDRSPLLVAGTLVWLPLTARVLDRSPLLVAGTLVWLPLTARVLDRSPLLVAGTLAGTSGAATNVA >cds.KYUSt_chr7.38791 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241353098:241354333:-1 gene:KYUSg_chr7.38791 transcript:KYUSt_chr7.38791 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHNPSPPHVPEVTMDVSSTSGATGNKFCRGSSCDFSDTSKDAKERSASIRKLLIAVILCVIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALLSIQLIWLLAGILVYEAISRLLTESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGGHGHSHGHSHDHDHGNEEDDHSHHEDHEQGHVHRHGASVTITTHQHSHSSSGLHHDAEEPLLKHEGDCESSDHAGAKAVKKPRRNINVHSAYLHVIGDSIQSVGVMIGGGLIWYKPEWKIIDLICTLIFSVIVLFTTIKMVRNILEVLMESTPREIDATRLESGLRGMEGVVAVHELHVWAITVGKVLLACHVTIKQDADADQMLDMVIGYIKGEYNISHVTIQIERE >cds.KYUSt_chr6.5221 pep primary_assembly:MPB_Lper_Kyuss_1697:6:31017363:31017836:-1 gene:KYUSg_chr6.5221 transcript:KYUSt_chr6.5221 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMSCLAPHPASFLPNTSSQAYYTDAAIARALNSSMPDHYSPGTASTSSPSSSSSLLADLPYSDGTNVRSGASAPTCRSRPTRTSTSSPSSPPAATQAWSRSSTPPRAARPPSPSSARGGPAPPPRSPRRPSPSPSLRTHAQVFGPYVEQVVGGRG >cds.KYUSt_chr2.39219 pep primary_assembly:MPB_Lper_Kyuss_1697:2:243100546:243102580:-1 gene:KYUSg_chr2.39219 transcript:KYUSt_chr2.39219 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRPRSGSFEAGLRAGASSSFRESKTSPRFQRSRSTAGASKASPSPEKRRGVTGGGGGGGGSAMPMQQRVAQLERERDEKARLAQLEEDLRREREEKARVAQLEEDLSREREDKARAVQELNELRNGNESKDAEKVQLLEREVEKAKESERKMLESLIYQTKQLEQAKIGLEEAKLEITTLQQQQGSSRSHEPAPASASGTWRGVMEQMSVKDLVFGGGGADDEEVRALRADLRAATQGEERSRKALEDLSVALADVTAEAKQVKRWLADAQAGLEAANAEAGRLRAALADAETALREQRRRRLEAEEAAASWADKERVLLGCVRAAEEEVCLARQENTKLVESQRVIRDENARLRDILKQAVGEANVVKESLELARVENARLNDVVDDKEAALQGLRQEYECVKVSEAAAQSSLKELNSLLAATTTACSTPVSSRTAPVAPAPEHDHHLPPSARLVASAKGSPASRRWMAEKPRTPSSRSYSVGEPAKFKGVGYSQSARMGNLNPKDRMFASLSNIADLKSAADCAAMDDYDDEFDHIDESHYVEHSMNDKKKRPILRKFGDLFRRKSFYKSNLAPVHT >cds.KYUSt_chr5.29988 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190126951:190133615:-1 gene:KYUSg_chr5.29988 transcript:KYUSt_chr5.29988 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRGSSLGTDGWMRADRTARSSPWDIIASTNNIRLRRVNKSEENHGEKNCGEEERGSERCSSSKVKMSIEERKLNLINKTTALNPNAVEFVPSCLRSVSDASNRSDTTKILVSGSSKDTSADKPESAVPSNPDEEAHRYWQDQLPDDITPDFNVLGQDETPGHDSLSLTGLSMNDGFASSLFSPNHTPRMQHHASPFVRDALGVRAKIEFPGLEQPQGTIMSPTASTMSPTAAPWVKTVRNGGQYGGANRRDASHYNGDSSIGPPLQSDAYYRNRRSFRSTMDIMTQLENKVDGRLNQNLRSLSFGHSSPPSPVSYTQNGLVNYNKEAFGLPPNSTYRSHSAIFTDDMVSPSAGRERLSLDSPRGRYKTTNLPVLGLGSSRGSQMLGGSYNGNHGMVSNNTLQNAAGVQTGPTWLETDATASMFSEKDDIHDFASLRHALLEQQDRQAFLTGGNPLAKELNIKELYSIQSRLDQEKARETLYQQRFQMPELQGLIQEQNPPIDLCGLHASEAMQVLNYELNNRRKIARSTGRRLQAIIIASARTPARMTAAVEQYLMEHGLQYTQAQPGIFRVLLQ >cds.KYUSt_chr2.25836 pep primary_assembly:MPB_Lper_Kyuss_1697:2:158022697:158034424:1 gene:KYUSg_chr2.25836 transcript:KYUSt_chr2.25836 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHPHRPTHRRGRSWSLASEASSRSATGVEVFVVQAIWRTRGRDTTCITQRPALRSSRDRDTSYNSQPASRSARGREANICRRRESPRGRMRAAGGAEVVGLPGRELHLAAASVEVIEVQPSRPSGNRCYSFIPPRMPQQQHHARRRHLERWDPWPLLEPSSAAIKFRNRKQMSPIVFYGSPQGVPVKKPMSLLRLLREIRIDLKKQTDLISRDVVWATFPRQDEAIRFSKEHADTKIFSYQDHLSGQRRFLVSTYEEFWRRYNNMDPKIRHHYEVIQEGSPCHIYFDLEFNAKINQERDADEMVDILVAVTFSALHDKYSIEGQEEWIIELDSSNEEKFSRHLIIRIPKTAFKDNSHVGAFVSEICSRIAAQRAANPNLDKLHIKKDSSCGEPVDQLFVDTAVYSRNRCFRLAFSSKSGKRSFLRATGRFKCKNMNDKELFMESLICRLDDDCDKLLICKLDLECKKMLHFHSEASVPRIQRRNCLDAINTYRSNFPQEYTYGRSPFPALDGFIESIASCGSVSGKIRCWYWFSEDGLIVYSMSRSRYCEHIGREHKSNHVMYIVDCQRAAYYQKCYDPDCQGYRSPLRAVPWDVIPDLSSTADSAQRDYQGKVVEINIEGSNRNEFLPDCNFVIESSEEDPSWWEEAVKFADSVENADHAPAFCSLDDDSCDDADWWMDVEKLMVQMESQGDA >cds.KYUSt_chr1.42275 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258822558:258823018:-1 gene:KYUSg_chr1.42275 transcript:KYUSt_chr1.42275 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRSGARRLAGSALLQRAPAASGVDRPFLARFTSSSTKKPDDEILAKKEELYDLIALTGRHLRTDPDNTLLLKCLSAQIKPRPHDPQWYNFKNTCIPSAMLVPPFHYFVADWQ >cds.KYUSt_chr1.21651 pep primary_assembly:MPB_Lper_Kyuss_1697:1:127887712:127888686:-1 gene:KYUSg_chr1.21651 transcript:KYUSt_chr1.21651 gene_biotype:protein_coding transcript_biotype:protein_coding MSALNKFGFLAIRRINHYLVPRNPRLCPNNFFYHPNQERICNDIYGAKEFNCCPQFSINMAKLNSKPEYFGDALEICEEQGLIPLMTFTHNYSKEVICQFYATAVFLQEESGARSIKWMTKEHVMEATWDEFARGLGYEIPGNNFNYFRIHLEHKPMAKEKMTNLYIPGRTMCGSAYDLLPTHDIMNRIYRSTINPKQTNHDEVHGFLVNLLVRTQELKGSGKLLDIMDYIWHEMQACAFLRKLPQYAPYIMRLIWLKWDEAGPLHEQCYPITIHKERSPAVKKHSLPRYGKNAPKDEDEEDEEDDDEEEDEEGEDDDDEDDDE >cds.KYUSt_chr6.21881 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138012328:138013677:-1 gene:KYUSg_chr6.21881 transcript:KYUSt_chr6.21881 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAGRRSNGAALMVALCAALLGTACATKPAAKPAAAAAVDVGGPPSVPAGPLDITKLGAKGDGKTDSTQAIMQAWKNACGATGTQKIVIPEGNFLIGALELSGPCTSSIIIRLDGNLLGTGDLNAYKKNWIEFMRVDNFAVNGHGTIDGQGPLVWGKNECNKNYNCKILPNSLVLDYVTNASIRGVTLKNAKFFHLNLFNCKNVLVEKVNITAPGDSPNTDGIHMGDSENVTIKSTNIAVGDDCISIGPGTKHVKIHGSRCGPGHGISVGSLGRYKDEKDVEDIEVTNCTIKGATNGLRIKSYEDSKSQLKATKFVYDQVVMDNVSYPIIIDQKYCPNNICSKTGLSKVTVNDIVFKNIVGTSATPEAVTLNCANNLPCQGVQLVNVNLKYTGTHNKTMAVCHNAVGKSSNVIKELACL >cds.KYUSt_chr2.36694 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226589236:226591962:1 gene:KYUSg_chr2.36694 transcript:KYUSt_chr2.36694 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRCLRGPGIIAFLVRTCPPDQHKLAATPSTKEICAQRSAQGRQDRQPRRQTARRLVVFSGGPLSLEMHCAAPRCSLAGREEGDHASSRGLPELRLADVPVTSSRIIGAAGARRKEAGHRPTASKSNGAWAPGKWSPARTVVVRVRLEIRLMGLGDVVAHGWTAAASAVLADWAQP >cds.KYUSt_contig_1790.280 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1417247:1418728:-1 gene:KYUSg_contig_1790.280 transcript:KYUSt_contig_1790.280 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVIGDRIFQLKLEVEMEKELSDAAFIDTNQNQFDKEDDANGDNSHSVTQDDNIKRAKSMSQGGTQMELGDSMHGDELGAPLFGAAEGEVVPITCPAGAARLSGLVGSSTKPDVSLAAAREFDQVGSVDPAAVLITCPAGVARLSGLEGSGGSAQTILKAGRPASAGGKARRPRVQAD >cds.KYUSt_scaffold_1700.592 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:3691896:3692216:-1 gene:KYUSg_scaffold_1700.592 transcript:KYUSt_scaffold_1700.592 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSLPTQFMLARTRAFPLQTEVAGGAIRPLAARKPRPPAGPPAQGSGGKGGIIHAASPLQRELMRAAPPKHGAGGKTKAPQRPGAPPEGTGGHGGIIHAASS >cds.KYUSt_chr7.28068 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175292777:175296580:1 gene:KYUSg_chr7.28068 transcript:KYUSt_chr7.28068 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTPGRSGAGAGAGGGCCEMGDCDSCAMWREHYYWNHMSDEKKQFLVVASGDFSHSLRIPQEVGSHLRNMIPESELVKLEAPNGRLYPVEVSRELGAIVLRSGWNDFANAHHIEENNSILFVYRGNSSFKVNVFNSVGHEKYLSCSKPSSGIFEAVPPRAPCALHVVKEEAVPNPGHVQTSTDFDYTMLPGCCLTKAQDEKVLQMAHTMRSEIPLYVAVMNKSNVSLKNCYVYIPLKLVDNFKEKRTKDIVQLQGPDKTVCAVGASKHTDDLIVLNSGWNTFVASQRIQENDLLIFRSKEKSRVEVLILDQSGRGKTSPCSVVENRSSNDQEMFDESVQIVSPPPPQIIDLTSSDDEDDIVREGAKEPCRAQKPVTRSCAKTQKMASTSSPSPKSGYGARKPYDRASVKLGVGSEPLSNLQGQSWPPYILGRRVTLPLQLEKKVEEKLQSIRRELPVFVKVMTTTNVDVTSNSPCSMVFCTEYASCLPDKTQLLLLQLDVGEKQWPATLRVKSKQVRIYPGWREFAIDNQLKAGDVCLFHLASSCNGSLTMTVHLVRKSEPEP >cds.KYUSt_chr5.37457 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236798033:236799941:1 gene:KYUSg_chr5.37457 transcript:KYUSt_chr5.37457 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPTSLSSWWWSPLLARSVVARIPPGANARVFREKVEGQLDRDAGSFQACHKGLALRCSSFRMHLKPSVSSSVDWCHGNTHGDDKVLVLPPKVRPIQVFIIHLPFKHADRMDIKGACELNNLHLESGRDLSRLGCS >cds.KYUSt_chr4.45000 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278606779:278607318:-1 gene:KYUSg_chr4.45000 transcript:KYUSt_chr4.45000 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPLATAEALVLGAIPEPAALEACQASVLGASPELALLEACQSPDPTPASPGIQNAASPTISSLALEQSPVRATRWAVPPVSAARPARRCSRLAGDKAYISIVDKAVQRKKALNEGSSAPAPLPRRGELFADDLLAIAVQDGAPLASEDVLALANACDLPSSSLGLGLGTPLLANSPC >cds.KYUSt_contig_2549.28 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000380.1:205839:209544:-1 gene:KYUSg_contig_2549.28 transcript:KYUSt_contig_2549.28 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPSSEEINPRHRAFFSSRFVVVDVGQSPGLGLAVELDGAGTAEARGGASGAPLFGGFGEALAAAPLLAALVAKVEFFFFTIIGSEEDLETAAAQRLWVKKMEEGEEDARMESVFSKSSRNGELVGPTALQRNRQAHEEEDLAASGPPASKNSQPPSPDPGRRFLPSALKSPAGLSAAGSLACLPACFPILSLSLSLFLSSQREGEEEEEEADQTSLPEWEIGRSAADKQQALRPAGGRVGGWVPPSLVVGLIRSHPNNSASLAGRRFVSAVVASTTGWLD >cds.KYUSt_chr1.32502 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197369687:197370425:-1 gene:KYUSg_chr1.32502 transcript:KYUSt_chr1.32502 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGSGSLLGRYGSGLLILGWTSSFMINSNWAARWATCLITICGPPGLAGSRHCRWYTHEVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGDGFIEPGELQEALVEDGAGDITEVVKDILQEVDTDKVEDGKISFEEFVAMMKTGTDWRKASRHYSRGRFNSLSIRLIKDGSVKMGNE >cds.KYUSt_chr1.39487 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241601458:241605442:-1 gene:KYUSg_chr1.39487 transcript:KYUSt_chr1.39487 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGLLLLALCAALAAVAAGGAHRHQRKNRPSARLPLVPAAPGASLPDRARDDRHRHAYISSRLASTSPHHAHGRRAAETGTSPESSAFAMPLTSGAYTGTGQYFVRLRVGTPAQPFVLVADTGSDLTWVKCRGASPLSSASPAPAGSASPPRVFRPADSRSWSPIPCSSDTCKSYVPFSLANCSTTPPAPCSYDYRYKDDSSARGVVGTDAATVALSGGNGADKKAKLQEVVLGCTTSYDGQSFQASDGVLSLGNSNISFASRAAARFGGRFSYCLVDHLAPRNATSYLTFGPSDDDSNTNGGAAPSAAPAAAPSRTPLLLDARMAPFYAVTVDTVSVAGEALDIPSEVWDVKKNGGAILDSGTSLTILATPAYNAVVAALSKQLAGVPRVTMDPFEYCYNWTASSTPPAVPRMEVRFAGSARLAPPAKSYVIDAAPGVKCIGLQEGAWPGVSVIGNILQQEHLWEFDLANRWLMFKQSRCAH >cds.KYUSt_chr6.8641 pep primary_assembly:MPB_Lper_Kyuss_1697:6:53127167:53133270:1 gene:KYUSg_chr6.8641 transcript:KYUSt_chr6.8641 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVQKEFQQQNWIKSLARISTTEQRHQLSYAVASHGLNPLEMDKLRCLLAYRYQFMGSGASFRSANFRKGHAELKCRAPPPPPHRPSSAPLRRHALPPTPTTATSPPPPAPQTLAPDSLSRHLSALPADPSTVGSPDPSMRLPLLLLLMLAAAASASAAAATLSARMVHRLSDEARLAAGARGGLWPRRGSGGYYRALVRNDLQRRLGAKHQLLSISQGGGIFSGGNDLGWLYYTWVEVGTPNTSFLVALDTGSDLFWVPCDCIECAPLSGYRGSLDRDMGIYKPAESKTSRHLPCSHELCSLGSGCATAKQPCPYNIEYFQENTTSSGLLIEDTLHLDSRESHVSVKASVIIGCGRKQSGSYLDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKEDSGRIFFGDQGVPTQQSTPFVPLNGKFQTYAVNVDKSCVGHKCFESTSFQALVDSGTSFTALPLDVYKAVAIEFDKQVKASRITHEDDSFEYCYSVSSLEMPDVPTVTLTLAANKTFQAINPTISHSDKEGTITVFCLAIQPSPEPIGIIGQNFLVGYHVVFDRENMNLGWYRSECHDLDNSTTVPLGPSQHNSPQDPLPSNEQQTSPAGTPAVAGKPPVSSGPSNLHMLLANSYLLLLLTMYTVFFIS >cds.KYUSt_chr5.32750 pep primary_assembly:MPB_Lper_Kyuss_1697:5:207726513:207727722:-1 gene:KYUSg_chr5.32750 transcript:KYUSt_chr5.32750 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQTDRRPSGGLDGLYGVQLAGRSPYSDDEAVKTSIMDASTREQQEGVGSTRSLQIRQLWQQRPPCLKPIHCSLSCDKHVGETIANVVTSLPFIVLGLQTPRQTLNTALYANSLIGVGVASSLYHTSRGEIRKYMRWADYTMIATTTLCLTRALRNEHPKLLMAASTLLLPFQPLMVTAIHTGMME >cds.KYUSt_chr5.22506 pep primary_assembly:MPB_Lper_Kyuss_1697:5:146798964:146804130:-1 gene:KYUSg_chr5.22506 transcript:KYUSt_chr5.22506 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASSSAIAKDVTELIGNTPLVYLNTVTRGCVGRVAAKLECMEPCSSVKDRIGYSMITDAEEKGFITPGTSVLIEPTSGNTGIGLAFMAAAKGYRLILTMPASMSMERRIILKAFGAKLVLTDPLLGMKGSIQKAEQIAAKTPNSYILQQFENAANPKIHYETTGPEIWKGTGGKIDGLISGIGTGGTITGSGRYLKEQNPNIKLYGVEPTESAILNGGKPGPHKIQGIGAGFIPGVLDLDIIDETVAVSSDESIEMAKSLALKEGLLVGISSGAAAAAAIKVAQRPENAGKLFVVVFPSFGERYLSSVLFNSIRRKAENMVIE >cds.KYUSt_chr4.41682 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257800872:257801579:-1 gene:KYUSg_chr4.41682 transcript:KYUSt_chr4.41682 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNLSSSSRCDAHGGGTASGAAAAALCGAGSRPAVAVRQYKGVRMRSWGSWVSEIRAPHQKRRIWLGSYATPEAAARAYDAALLRLKGSDAVLNFPSSSSTWQPADSVADDSSPRSIQRAAAAAAAAFQVEATSTIVADDSCSSSAEATTPTSAASVSTLGSADAQEHATSSMSAAASAASPEGDQDELWTELDAFASTELMDLVAAGHATPFSAPCWEEPEEDGEMMRLWSFC >cds.KYUSt_chr2.34897 pep primary_assembly:MPB_Lper_Kyuss_1697:2:215178168:215185106:-1 gene:KYUSg_chr2.34897 transcript:KYUSt_chr2.34897 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPAPAAAPATGKRKRDLSEDDVYLILHKYSPTQVLTVLQEVSQHAERRRIDWRALVAKTATGITSARDYQMLWRYLAYGHDFVELVDTTAQPLGDESDLEGEIEPSPTPSSDALAEASGFAKMLILDLECQNPNCMFNAFALFICILEIPGYISYLAVTVEGNTPNPLDRKMPSLLLAAGLSPYSSFKITKLCAWVCWSMSRTVLHLGSESLLFCAKLLLVFVHGGLRVAQSQQGFLNLLELLFQGHGAVRHGGRDGSESDTKLSRSGRICKPDSAVTGTSGALTTATCPEFCNGMSFAAKGTSGYVSAILMYGPSREQASSHRANPEVAVLNTPNEKIPRVPSDKQLGQSHRLTNGTGPVSNSKQASYTGLSLDPFDGNGPHKKKKKPKPWSKEEDADLTTGVHKCGEGNWHDILHKYSFDSARTAVQLSQRWAVISKRQGTTKPVNAKPASNFDIKATQKAFSMALGMPVRRPGLSALRTGISQQSTQHHAPVSGTATAELKSATSSSSLPLPVPVPVSAPVPVPVQVQMPLPQVQQAPAQVAPLRVSNTSNKSRNNTKKQAAQANLTNAPSSIQAAAIAAGGRIATPSVATNLLKAAQSTKAVHIRSRGIGSSKTSASSKSSTMAGESGPQPGSAQHPELPNCSAPAPSSVLTTQSTEQVNVVAEVAGVNPPEQSASANLLEGDRTLSTTPVSGPCDSMEIDDDSTFCVVTMEDLFPEDVKQPDIVDPKAEEIIDPKAEEIIDPKADDIIDPKAEDIIDSKDADMLEFDRFVAQGCLGIDKSIGVRIAPGAQAAIASQKKQPKQLPTAGKSIPIFTAATMKKTKTLGSHGATFPPTVTSGGLVGTGNAGVLSKALGGQPTGPGTAGKQNRCQEIMSQKQHATNSKSNGMTKIAAPGAGTPARNAASGAGTLARNVAPGTGTLASNAVPGAGVPARNVAGSAVAQARNAAPIALTPARNPATGAGAAVRNVSPGTGTLAKNTPPVAGAAARIVSPGTGTLGKNIAPGAGAPLRNASPGTGTLAKNTPPGARAAGRNVSPGTGTVAKNSAPAAGAAARSAAPGTGALAKNTAPATGPPARNVAPGTGSPANRQYNLVVKVNNGAIKGNSPASK >cds.KYUSt_chr5.42810 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269783339:269787269:-1 gene:KYUSg_chr5.42810 transcript:KYUSt_chr5.42810 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMTSPPFLSPSPSRLLRRLFSRAPRRRNPTTPPPIAPHLGRRLSLLLPASMSSSSTRTPPESVVADADALARKVAAIRAAGAAKLQVIADFDGTLTRYWYDGSRGQTSHGLLKQGNEEFDAKRDALFEHYHPIEINPDIPLPEKAILMEEWWGKTHALLIEGGLTQEAIKKSVADAAIAFRDGVVELFELLEARDIPVLVFSAGLADIIEESSAGRAEYPGQGAADPTPPRYAKLGFATYDGVEDPLNWLNQCEQFFRGQRALASDRIWLASYHLRGAAQTWYYSLEQDEGGMPPWDRFRELCLLRFGSPIRSSRLAELGRLAFTTTV >cds.KYUSt_chr4.40789 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251921751:251922901:-1 gene:KYUSg_chr4.40789 transcript:KYUSt_chr4.40789 gene_biotype:protein_coding transcript_biotype:protein_coding MHYDSQGGPDNQTIAVGGWWSQYRLLELAEEHSENLPYLTTPLRDPIREEANATTNIGCKSYYYGEESACSRYNMHAGHGKDDAMHLSNLIGVGTPDKQIRKGAGTNWLNLRYLWAGSGLEVAMDKSWAHGETGWVGGSGSRPIRRRIWSKQNKKVGLVAAVGIELRISTSWKNGRTNWADASAVGRKEVCSLLNQANAEAPGRTAMVELAVERRSGNSERTRTGRDGVGGAD >cds.KYUSt_chr7.14075 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87051460:87054087:1 gene:KYUSg_chr7.14075 transcript:KYUSt_chr7.14075 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQNHDSLFKQKVVLELLEKNFKTMQSSQLAQESEMGEKKMSPDDIALLANMVEDLSMETSDEKYNDEMEMEIDDGDVADERASFKVEPNRFLVLTVSLRQSGYQGQRHGIKLQYNTFLAVSRSTFGSKKNMDIALSAVASELASRFVSFLISKCRDQACLNKKKNLESLRRLLLRVHTVVEEAEGRYITSSRMLVQLKMLTEAMYQGYDVLDTYGPLEQIRARMEVSGSDTINFSAVRRFSSSTVSKEVQTALEKLEAATGKIAEFIALLTGCERMFRSPYSCYIYIDNFMFGRQVERQHVINILMQDNYPLKVPTVLPIIGGCRVGKKTLAWSVCSDERIRSRFSSIIHVNGCDIQKIDHGRFSNVRSLIIVEVQSDLDDKEWYKFHSLLLTLTGAGSKLVILSRLEKLARFGTVNLVRINSFTQEEYSYLFKVLSFGSSDPVDHPQLALIGKELATMMKGSLVHLNVYSSMLRNNLNVQFWIRVLKLYRSVMEANLSISGEHPRALLDRGNTVDITAFSPSSSSSRLMLLVGGKQSSMPGELPRMTFGDIIAGSVVLPVRFELVWESRLPPYTVISATCVAEKPEHSASPRKKRRRLGTSM >cds.KYUSt_chr4.47460 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293767152:293772284:-1 gene:KYUSg_chr4.47460 transcript:KYUSt_chr4.47460 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVAVPAAGYGADGVYRSLRPAAPIPSDPGLSMTDLLSRRADACPSALALVDAATGRSLTFAGLRSAILSTAVALASRAGVRPGDAVLLIAPNCVLYPICVFATTALGAVASTANPLYTPREIAKQAADARVKLLITVPELLPKVAGLALPVILLDDPATPAPPASNVTLYSDLVAGADETQYRRPPTKQSDTAALLYSSGTTGDSKGVVLTHRNFIATSTMVTSDQDQRGEGPNVFLCFLPMFHIFGLSVITFGQLQRGNAVVVMSGFTMDAVMKAVERYRVTNLFCVPPVMIALAKQGMAGKYDLSSLKYIGSGAAPLGKDVMEVVAKNFPKAEIVQGYGMTETCGIISLEFPVNGKTRRFGSTGALVIGNEAKIVDVETLKHLPPNQLGEICIRGPHIMQGYFNNVQATDFTIKQGWLHTGDLGYFDEEGQLYVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDAEAGEVPIAYVVRSTSSSLTEIDVQKFIETQVTYYKRLKRVTFVDSVPKSVSGKILRRELIAKVRSEQARLSKL >cds.KYUSt_chr2.51769 pep primary_assembly:MPB_Lper_Kyuss_1697:2:323357880:323367982:-1 gene:KYUSg_chr2.51769 transcript:KYUSt_chr2.51769 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQKAPRCVPIDNSIRSREIDQVPYRSRVIFTAAARPCVLVEATPADSPSVTAEEPVVRPLIAAGLEPRFKPADAALEASSIGPQSRWGWFGRAVMAVQKAPRCVPIDNMQRSAAVTPASLQLTTGLLALGPMHRPAMQQPALSPPSSPQPTSEVDTQLHGAAEDATLPRHLDAGQRTLRVSSCPADGPVEPVIPPPWAEGQADGTKGASSSTPSSPAKGDSPGAAVIQGATSPSTPVSTTSSPSPAWSPPPSTSPSPVSTLQQPPPTVPPPVIQSTVRRSGRYALAVDGAGPTDEDTMQRAMRRKAEKNLDTAVSANVLRQTELDRLTVVPNVSTGHEIIVVDDEEEDDILDGQLLSAIIGLFGPPEESTFSLNENLTDDIPQVSMEENGLLTAPYTEEEPSQTAFMQGRNILDGVAVLHETVHEMHSKKLHGVILKLDFEKAYDKVKWSFLQQTLRMKGFSPEWRALINDFVYGGSVAIRVNDDTGHYFQTRKGLRQGDPLSPMLFNIIADMLAILIERAKADGQIEGVIPHLVDGGLSILQYADDTILFMDHDLEKAQNLKLILAAFEQLSSTDVQVFSVHGYLYNEWRTDSYLRREFDDRFNEVNSALLIHMASFSPKNSFAAFSTGSLLKLAEFYPNDFDSSKLMDLDQELRIYIDNARADERFVDLDGIADLAKLLVDTKKHLSFPLVYQLLKLVLILPVATASVERCFSAMNIVKSVLRNKMGEKFMSDVMICYVEKDIFSTITNDDVIDIFKKIKDRQAKL >cds.KYUSt_chr5.37800 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238782545:238786135:1 gene:KYUSg_chr5.37800 transcript:KYUSt_chr5.37800 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDGLDHMDRRPEGIQHNKSVALDHIHDYYKKALDRLPPELIPSLLEAGFCFGFLDPVSNIISSTVSHELGKGKKGKRPRRATSSTKKSTIEAENRRTRYKTISQIIESSKDFSMAPNRSKSEDAGIAVRSLRGLATFLTSYFRYLTIQDALRYLRLSKADLLVAVHLIEEDYCSTYTFTIHDMTAKIALGCAATSAMHPGVPAFVCTSLLLASHVDEVSNLLPTKGCIGHSTIKRLSELFTLTNDMIDDDPRRPMQHAISRMQRCRKKKTTVVPAGLAYSLKLLLLDKIHVLYLKAISRIPRHELCSRHHRGLLKAGHCYGPFDPVTNIILSTIWYDTVFPAEREFEVQMISTKKLARIECLSLEGLVAYVCALFPALSTYQAMRYLLINNVQLDMVIMRAKEDGHDQRFPFSQSEAFDDASLAACHPSPTKLVEFATTVMPVMGETLRSTLKVNRMLSSSDVCAISETLSQKFPPSKSPKLVPDLNMHASNIIAGKRMKFKAFQSAIVKRVKAALLRYAQRVGQEYELHVICDVNAEIPEHGICYIPGDYKYPFSHVNILAKRKGSQIADADAVPTLFFIECSNIDEDMASLCCPILEPSKDAGRCFHCECEGIKIIHPPSETYHGRCTEFEDMASGKNPVSNEELISQGKYGTLFIDTMEDDCVYFDSAWDGDFAVSINKMERDRDEEEVFSWLEKKTQELKAYNKGLFVNS >cds.KYUSt_chr3.25970 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161403915:161406434:-1 gene:KYUSg_chr3.25970 transcript:KYUSt_chr3.25970 gene_biotype:protein_coding transcript_biotype:protein_coding MATETDTFVTDVAFGQEVIATTVTSSGAAVEGWIQEIRAALGDLVVGLDVEWRPSYSPSQNPVALLQLCVGRRCLIFQLLHADFVPQALSAFLADPALRFVGVGVREDVERLIDDHDLTVANTVDLRAVAVEAMQRPELRQAGLQALARAVMGADAATLQKPQRVRMGPWDAYCLSLVQIKYACIDAFVSFEVGRKLLTGDLPLPPAPEMYLHIGWERFARYHRLQAIFVLVFSYFGDRDISVKVFDETRCRQHYRGDIDEEDDD >cds.KYUSt_chr4.20595 pep primary_assembly:MPB_Lper_Kyuss_1697:4:129655938:129656510:1 gene:KYUSg_chr4.20595 transcript:KYUSt_chr4.20595 gene_biotype:protein_coding transcript_biotype:protein_coding MYEARRPSVTSSHIERLDKQTQSTNIIPEATDNSALISQAPAMANNNLVLLLLLIVAASFAAASASRTKISSSRATPTVYEMLADYDFPPGILPEGVQNYTLHADGSFDVFLPSACEIDVSSFKLQYESTIHGSIKNMVIDELQGVSVNVAVTRVGITGVDRDGDDLKFDAGVISKSFPVGTFAVSPYCS >cds.KYUSt_chr6.26972 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170980281:170981519:1 gene:KYUSg_chr6.26972 transcript:KYUSt_chr6.26972 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQHSPAAKKKKKKSPSLPPTTISGLDQDILHEIFVRLPSLPSLVRAAFTCHTFLDAVRSSPAFRRRFRALHCSPVLGHFIDNQELTLIPNFLPLRRRSDPDLGAVLRGADFFLTRLPDDDDAFRGWSIHDCRDGFLVLGNWSADQMAVYNPLTRTMDLFPLPPREDFDPDGLYFDFHILPSEEDHRSFRLVCVRHGEIGARALVLSSDTSKWQNFPWVRINGFWPENGTMVNGCIYWTTGVPNDARVLNTATMHFSRIHLPPRRANTTWKAGETKDGRLCMACVPLEVQAQRPLDVWFWRADGDGVERWMLDKSLPMQELAEIVQCHIDDDNARVQLNAVAIIDGFIYLSVHCGRWQHHHPTFWFLSFCLETGVLNKLGRVLGAASHPYIMPWPRSLVHNKVSLRLMVA >cds.KYUSt_chr6.4704 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27613720:27621333:1 gene:KYUSg_chr6.4704 transcript:KYUSt_chr6.4704 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPHASQTDHETSNVEETGPGHGTDDHYMKRLKPVIAGNEEAYALLQIASIDLMEKVDQIMHVNQSKDMSPNCEQAEKQPVESNKKNEVQVQGVKRKEAGSSNKRNKSGLEEKKNKTKKGQATMYKNGDFASASSEANPRFSVPLTHNNLAVFEHCMPQLPQGGNYNFQELPGAMPQLPQGGNYNFQALPGAMPQLPQGGNYNFQALPGGNYNLQVLQRAMPHLPQANATFQRSLGLQPQQPYFYNNTQPSQGSGSAALSDLGWIPYQNDELPSRDIPATPLPPSLPNEDEAAVKLKSNEIRIGPITRARAKLLNNRYQEETSIARGEEQLDVKMDVKLDMELDMKISHGRAREDREACARGENEVQAGPATGQTGRHAGAPGPWSGPTGRHAGSGPAPTGRSADANQTGMVYLIDNEYDKDHRAFHMMEKRTVIHPLKICYHGTVDMAYDERYTEFIQPTGLLPFITLVSWGANMNAAALIVLVDRWRPETHTFHLRVGEMTPTLQDVSMILGLAIQGEPLCMNTASDGWRQQMKALIGMAPPAPEDPKERTPAGASFSWIRTHFRECPEGANEDTIRTYTRVYFWYMLSRTLFADSGGKLAHWCWLKALTVLEHPWTCLPLPAGDDLLTGKGGIDGCLLLLSVWSWDRLSVGQAMILNERPWPHYRNNPDREPTWAYLWDNVSEMTSGPMVDWEPYGTYYRIGSAMSDLNPKCLEEARFWRMRCPLICMWLVEYHQPHRVMRQFGLYQECPPQWQDTDHTLHI >cds.KYUSt_chr4.54103 pep primary_assembly:MPB_Lper_Kyuss_1697:4:334645602:334646783:1 gene:KYUSg_chr4.54103 transcript:KYUSt_chr4.54103 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTMTDEERADPRHHPENYTRWNSYFLQRWERELAAYDGPPPPPARNNAAGRRRWWSAPERTLANVIAHIEGGNSPVLTMPPLSASRASASRRRGSVWQPRRMAASSSSSGSASRSSLAPVKREEATSPSTPVRVKKEPASPPATERRSSGALVIREQPSAPQSGRKKTKKEAAASQLAEEEAKRAEDAAMAEAIARSLHDMEEEKRADDAALDWARRDWERQEAEQQRRLLDLAAARQLAARAAPTANDDVVRYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQAAQPKANDDGSDDDGGDYTVFYRHFGM >cds.KYUSt_chr6.2767 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16209148:16210299:1 gene:KYUSg_chr6.2767 transcript:KYUSt_chr6.2767 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLATLSATAAAVGIKRALLACPSSTLSFASRLLASAAPLRVAPLRNAPPRPFSLEVDSIDFFDWILHLVAVDSFVFEFHGSFSFGALI >cds.KYUSt_chr5.5576 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34843614:34844171:-1 gene:KYUSg_chr5.5576 transcript:KYUSt_chr5.5576 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRLSLAVAQALFPSDRPGRYKRLRHGRSAAHPRINTPTPSITDSQPTAAAHIYTQEPSNKFRMASSSSRMLAAAALAALFVGAMCEAPVTFTVEKGSDEKNLALSIKYNKEGDSMAEVELKEHGSNEWLALKKNGDGVWEIKSDKPLKGPFNFRFVSEKGMRNVFDDVVPAEFKVGTTYKPEE >cds.KYUSt_chr3.3968 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22555841:22557208:-1 gene:KYUSg_chr3.3968 transcript:KYUSt_chr3.3968 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFTMAAALEENSNWPFGISSIGLLTHVDPRADVIVISRSGLEVVLLLRNAAAEY >cds.KYUSt_chr3.24932 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154762086:154765243:1 gene:KYUSg_chr3.24932 transcript:KYUSt_chr3.24932 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHSGDDAREAAALLDRTDSAGRRRRSSPVLSASPGWAGGLRRQSSSFRQDVGHAASETYLVTRLTFSLLQYLGLGYRWMRQLLALTVYAILLMPGFLQVGYYYFYSSQVRRSIVYGEQPRNRLDLYIPKDSSRPCPVMAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVSDASQGISFVCNNIASYGGDPNQIYLMGQSAGAHIAACALMEQAVKESSGQPIPWSVTQIKAYFGLSGGYNLHNLVDHFHQRGLNRSIFLR >cds.KYUSt_chr7.599 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3344129:3344683:1 gene:KYUSg_chr7.599 transcript:KYUSt_chr7.599 gene_biotype:protein_coding transcript_biotype:protein_coding MVKISTTTTFIPIVLLLCFAPAFLADSVVDERVKGECGVTAYPELCVSTLLRYTGSTKTYANGKELAELEVLAASRLLSLASTAAGSEHWNDENMSKEDEDCFKECKEKLHGAVRVLNPYPDKMKLADVRSFLDEAKTKNLEWNCDACRHGDGKKRVDEISKGNKAEKFMEILPLLLHKTLDNK >cds.KYUSt_chr5.17665 pep primary_assembly:MPB_Lper_Kyuss_1697:5:114022768:114023403:-1 gene:KYUSg_chr5.17665 transcript:KYUSt_chr5.17665 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSMEATVKSADAVAGDDGPLMPNGWGDDFLGSDTASFDELLRQVKLPAARPGRKHRLSEAEIMTILALKPVPLPTTDYLDDMAGIFPPEYINERKQQLEAEAELTKKIDEEFEVFQQQVIDSVRAKGYFEVDDDYYLNQFKAHKWALEELMTNKEEAEMVPCSPSKEDAALLDPLIDDEDDDVIDDEEKAQGVDEARAHKVAPAIGDN >cds.KYUSt_chr5.5873 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36563537:36567854:-1 gene:KYUSg_chr5.5873 transcript:KYUSt_chr5.5873 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPERTRDGATEPEREAKKKKRNSSSSSSSSSSGEVDTPLYDRAAHPPSPCEANGARVEEMTKEEEEEEEEEEEDLSSFTPKSPISKPYIPDELSDPTVYPEIHAAFEDAHAKYIEKLCRRTARFSSKYRRKEARSCLFNDEHLHPIREAAKRAVLHAAKSVIRLSSSLEGEPLTNCCGLWIDWDRESKTGTILTTAHLIRSKHPVEDHWIGRDEYDIKANVTVHLRDGTTAEGHYLYHQEHYDLAFFKVRVDEEVQFPYFNGTVHCGQDVFRLGRDQSMDLRITHGRVEYWNPASIERYHYMYFLHEQDDCLCDDDGGPVIDLEGKVVGLINNHLSASFVPSSILEKCVGLWTKFGCIPRLHLGMKFDSIRLLDPINVEKMWRMDNIEDGLIVEEVSKDSHAEKLGICFRDIIECFNGKRISTTIELENMLLVRCKDHFDQGNKLNAKINVAALSNRYKRGVSLLVSSEFYWTGGHP >cds.KYUSt_chr5.12833 pep primary_assembly:MPB_Lper_Kyuss_1697:5:83692216:83692949:1 gene:KYUSg_chr5.12833 transcript:KYUSt_chr5.12833 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLCVQLALVLALSVSTHGASAADYCDPTTGFVTVPLNDTQLPVQSPYDLPLNQRYEFVNSMRRLWVYCSDKPHSTTSHTKPRTEIRMQTYSSGVWQFEGYAYVPGGTSGVSIMQVFGAAKHATTLMLHVYNGALRYYDMQVVEGGIYDRWFRLNVIHDVGGNGTLAVFIDGEERLRVAGRGGHEHYFKFGVYAQSNPSHYMESRWRDVRLFTKPAY >cds.KYUSt_chr7.24917 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155346091:155349653:-1 gene:KYUSg_chr7.24917 transcript:KYUSt_chr7.24917 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKDSPGKFMLFVCSSCGHEKQLDFEFSWRETLVNGIRVLAQVKHQRNTTNCIFYAIAAALEAMMKFKMAEKGDNYHDTISIDDMLSYVAHCAREDPLCGNHKSGTFKFTCCENMLKTIGVLTNKGMQKPVDLREDSDYVKIKESHWHDDMAFEETCSLVLQGKMLIATVRDTEAFHSLKPDDVYECTAFPETTSGLKVMAKNDVAQIPHKHILKHWTREGSNVTEALPALSGVIFLKTQGAQVLSVWAHRPLEEHFQQTFG >cds.KYUSt_contig_2297.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000293.1:37454:39863:1 gene:KYUSg_contig_2297.11 transcript:KYUSt_contig_2297.11 gene_biotype:protein_coding transcript_biotype:protein_coding MARAIRLKALKSGDGGDALSTLVPPPFRTDELLSMAHACQRGNHVSMDVNSLSQLLLSSWNIRGLNDPDKCLDVKMNLSAQPLHVICLQESKLSSVPPQKEATFLPQGFTCFSFLPSIGASGGIVTAWDSRHVTHLSDLPMQYSLSSTFELAADGTRFTITNIYVPCGPERRDDFLSELQSLSDLGSDPLVLLGDFNIARCAEDRNNDNFDARATGSLNDLIDDLALQELPLLDHRYTWTNSRDDPTLVRLDHALINLAWGARLFNSTLHSLICTTSDHVPLLLMASSRAPKTQIFRYEKAWALHPEYRSLVASVWARLQNRLPPQASLRICNTLKWVRAESKHWAKQRRKPAEVVSNYNTKFFHACASTHLRKNQIKVLHDGGRVLYNHSEKAELLRTFYVSLLGTSTPPVWGFDLRATMRSVTGLQELDRPFTIQEAKDVDFYDGVAPLDGLNRAFITLIPKKEEVLTADGYRPISLQNCVMKIVTRILTTRLQQYIERIISFEQSGFVKGRNIVNNFLCAADVIPSCRTRKTPAVVLKLDFKKAFDSVNWTALDAILGARGIGPLFRSWISAILTTGRTAVLLNGVPG >cds.KYUSt_chr7.35777 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223453772:223465942:-1 gene:KYUSg_chr7.35777 transcript:KYUSt_chr7.35777 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSATPTRSNSKTKFSPSKTTAASAAAQMDFSTPSKPMPRRRSKSMASPPPMSPATPSTVRRSRRLLETPTKDLPETPAKDLAATPVKPKPTPTLKRKRAAPSPKTPSQAEPKRQRRLPKQTAHYRKVVYDGGEFAVGDDVYVKRQEGAESDAEDPEQEECRVCFRTSGGVMVECDACLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGRAIDRPKPPVGKRIRRTAKEKLLSSDLWAARIESLRREPDGAFWAKVRWYIIPEETAAGRQPHNLRRELYRSNDIGDIEMETILRHCFVMSPKEFRDATNEGDDVFYCEYEYDEHWHNFKRLADIDDEPETKEDPSDEPYNADNDYVSDTDEDSEYDEEDEPATRCVARKNQSHGLAANSRTGRIYGLQKIGIRKIPEHVRCYQKTALEKAKATLLLATLPKSLPCRDKEMEEISTFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSEFDSGALRPYCFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSDGIKIGKQANQPIVLLIDELDLLLTRNQSVLYNILDWPTKPNSNLVIIGIANTMDLPEKLFPRISSRMGIQRLCFGPYNYRQLQEIITSRLKGIDAFEDQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQLQQVGPTPSPGGNEELPKIWKNYIGCYGSRIIQKWPSCKLGESKIVLCEEGTKHKLQKLQLNYPSDDVTFALKESPDLPWLSKYLPNPAEEKTNQRTRHRPSSVMAKRSATPAIARRRANAVTLLPTNVPHRFLRLLAAIPKIVRRRRRQRSLIAVSPSSEKRRCGIQSRTPSPTREVEAPPKPKRPEAEQPPHEDPAAAAGKYWAHRHSLFSLYDRGVRMDAEGWYSATPEAIAAAQAARASPAGLVVDAFAGVGGNSIQGCYVIAVEIDPRKVELARHNARIYGVEDMIEFVVGDFFRLAPALKADLVFLSPPWGGPSYNQTPIYTLDMLKPKDGYMLFQAAQKIARNIIMFLPRNVDINQVEELSWLCSPPLDFEVLIPSICKGLTAICNPATLMELSGVFRTPLCVQKKKIRSSLISRLSMTDAHLAAAATSICAACSARLAACEATSAMHTMMDECIFSMADDVSLSALAFLFPMGQSLEAGVDVTTGSYVVLAFGESCPHLRPCVACLNLSEDVDELEFNIVVVFTVGGDHDLHLQ >cds.KYUSt_chr2.32865 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202668417:202674904:1 gene:KYUSg_chr2.32865 transcript:KYUSt_chr2.32865 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRKPKPEGYIEQSHSRLCGAENTREKRALRRAGIRRGNSLPEGEIDAIVTVIKLDIISITITIIFIIITAVSTAAPRHRCSNLEQSFAQLPPEPSGRNKSMGAHDQIPPIQQTPGNRSTVTFAGGAFRNSTLRPDREGQASCKSSSSSKRDPWTAAFIQDKYTLTSLVNTYRFQNTSEVSSMVPSNMEEPLVGSNIQKTGGPKESLVVTEVKKQLYLAGPLIAGCLLQNVVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLSGMASSLDTLCGQAFGAKQYHLLGIYKQRAILVLTLVSVVVAMVWAYTGQILLLVGQDAEIAMGAGSYIRWLIPALFVYGLLQCHVRFLQTQNIVLPVMMSAGVTALSHVPVCWLLVYKLGLGNKGAALANAISYLANVSILAVYIRVSPSCKSTWTGVSKEAFHDLFIFMRLAIPSALMLCLEWWSFELLVLLSGLLPNPKLEASVLSISLNTGSLVFMIPFGLGAAISTRVSNELGAGQPQAARLATRVTMVLGLVAGVSLGLVMILVRNVWGYAYSNEKEVVEYISRMMPILGMAFVFDDMQCVLSGVIRGCGLQKIGACVNLGAYYLVGVPAALCFAFFYHFGGMGLWFGIICGLAVQMLLLLSITLCTNWEKEASKAKDRVFSSSLHVDMTT >cds.KYUSt_chr4.22852 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143701962:143702918:1 gene:KYUSg_chr4.22852 transcript:KYUSt_chr4.22852 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSCPLHFPCTVRLVARSSPPSSATSSIHYNLAASLAPDLSTTQAMAMSMADEYYAGDLEDVVHRLLPREILADVGIVVPPSSCAVTTRRGDDHAAAVVEDLAAHLVGILGLDAAREQQRAPRPHLQHHRAGMGHGSRAPVGGGHGGAARHAPPASCWNGAAPHVPGYAMVADMNSHPPAMRQGAGTGVFLPRVEAYGQNRASSTSPSPRNGAKPPRVQRREADVVVAMRQQQLHLLQLRAMAAEMQRQREAFAAAFQFHGCPAIAPPQQWTY >cds.KYUSt_chr3.36741 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231107264:231112497:1 gene:KYUSg_chr3.36741 transcript:KYUSt_chr3.36741 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAGAAEPLLLPELSGGHSGGASVSGAVFNLSTSIIGAGIMSIPAAMRVLGVVPALVLIAAVAVLSDVSVEFMLRYTGWAPRGPPSYAGIMGDAFGRAGAAALNVFVAFTTAGTLVVYLIIIGDVMSGSAGAGEEHAGVLGELFGARWWTGREFVILVTVVAVLLPLVLLRRVDSLRYTSAVSILLAALFMLITMGITVYTIFSGTAKMPRMLPDFSRLSSPFELFTAVPVIVLAFTFHFNVHPIRAELSKASDMKSAVRISLVLCSAIYAAVGFFGFLLFGDDTMPDVLANFDRSSGTSGVPQALNDAARLSYALHLVLVFPLLHYSLRVNVDELLFPASRPLATDTRRFVALTAALMAGLYALAIAIPSIWTLFQYTGSTFAVCISLIFPGAIVLRDVHGIAKRKDKAMAATMIILAVITSSIAIASNIMSSIGGDAKVASYHVL >cds.KYUSt_chr6.25336 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160470787:160475740:1 gene:KYUSg_chr6.25336 transcript:KYUSt_chr6.25336 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPYRRRSDPDPWRPMRDPDPGRRVISVFVLVGAIFVPIGVASLLASNKVVEIVDRYDDACVPPNVTDKLAYIQNATTPKTCQRTLTVTKDMKQPIFVYYQLNNFYQNHRRYVKSRNDAQLSDASKANETTLCDPEKTTKDGLPIVPCGLIAWSLFNDTYSFKHNSKNLSVDKNDISWKSDREHKFGSDVFPKNFQKGPLIGGKSLNESKPLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKENDTITVTLENNYNTYSFGGKKKLVLSTSTWLGGKNDFLGLAYLTVGGLCFFLAFAFTLLYLIKPRKLGDNNYLSWNKHPAGHAGH >cds.KYUSt_chr1.2407 pep primary_assembly:MPB_Lper_Kyuss_1697:1:14187633:14190107:1 gene:KYUSg_chr1.2407 transcript:KYUSt_chr1.2407 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIRLLHSTTTPVSRFCILVRRSSSSTSPPSHSWSPEAAFAAATEHARAGTLSPEDAHNLFDELLGQATPVHSRSLNGFLAALARAPAYDDRRSGPSLVVTLFNRVCREEAGPLVAPLTVHTYGILMDCCCRAHRADLGLAFFGRFLRTGLRLNRIFGNTLLKCLCYVKWTDEAVDVLLRKMSELGCAPDVISYNTVLKRLCEDGRSQEALNLLYTMAKAGRACSPNVVTYGTVIHGFFREGEIGKACNLFHEMVQQGVVPDVVIYNSVIDALCKARAVDKAELVIRQMVHNGVQPNNVTYSCMIHGYSTLGRWKDAAKMFKEMTKRGLIPDNFTCSSLMTSLCKHGRSKEAAQVFDSMTAKGHKSDIVSYTILLHGYASDGCFVDMINLFNSMKDNGIVPNCHVFNVLIGAYAKCGMMDQAMLIFNEMRGQGVSPNVVTYTTVIATFSRMGKMVDAMEKFDEMIAMGEQPNQPVYNSLIQGCCMHGDLVKAKELVSEMVNKGIPRPNIVFFTSVINSLCKEGRVMDAQHIFDLVIQIGERPNAITFNTLIDGYGLVGKMDKALGVFDAMVSAGVEPDVVTYNTLVNGYFRSRRVEDGLALFREMPCKRIKPDTVTYSIILDGLYRDGRTAAAKRMFDEMIDTGISVSISIYRIILTGLCRNNCVSEAIALFQKLGAMNMKFDIAIINTMINAMHKVQRKEEAKDLFAAISANGLVPNASTYGVMIINLLKDGAVEDANNMFSSMEKSGIVPSSRLMNDIIRMLLEKGEIAKAGEYLCKVDGKSISLEASTTSLMLSLFSRKGKYQEDMKLLPAKYQFFDKFG >cds.KYUSt_chr6.12696 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79238513:79240210:1 gene:KYUSg_chr6.12696 transcript:KYUSt_chr6.12696 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLVSYCVSVPKPVIAFCKLLAVIKHALFLALCRAPPSRSVTAESAPLPEDVKERLPAVEFRSLMLPQARRPDPSCRDVRAAPATCIVCLERLEAADEVRRLGNCAHAFHRACIDGWIDLGRTTCPLCRSRLLPRPPARAGLFARFWSTAQSATHVDGLAKALWEAAPRRCCKAKRPVHLQQDGAASSAGHSCFKGRRRAGALAPQRCYKARPAVLLSQADDASSTGNSCCKGRRLGCEPRAQTVAAELHMLFGVAEVLRPAVGVAAYAVGCSCNPPSQELQRAEAGAANPHPRSCNGR >cds.KYUSt_chr6.5847 pep primary_assembly:MPB_Lper_Kyuss_1697:6:35093640:35096150:-1 gene:KYUSg_chr6.5847 transcript:KYUSt_chr6.5847 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPDAPEDADPPPPTPMDQDGDQAAAAAAAAEPMEDEAAGGDAEAAAEDEAAGGDAAEPMEDDAPTSSPTPSAPSATLAVDDSTVARKRRRRKKQFLDMVPTEGVRVLRASSSSAAAAAHLTGIPRRRGRPPTNSSLRLARELDSEAHIAIAAGFPADTLSEDEVAAAVLPRIGGAEQANYLVVRNHILALWRSNPLAHVASSAALASIRAEHAPLVAAAHSFLSDHAYINFGLAPSIVSLPPRPPPSLPAPSVLIVGAGFAGLAAARHLMSLGFKVAIVEGRLRPGGRVFTKTMRSSAAAHPDMVAAADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPDGRPVDSDMDARVEATFNQLLDKVCQLRQVVADSVPHGVDMSLGMALEAFRAAHGVAAEPQERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSQFIRALADGVPIFYGQNVRRIQYGCDGVMVYTDKQTFRGDMALCTVSLGVLKKGDIDFVPELPTQKREAIQRLGFGLLNKVVMLFPYDFWDGRVDTFGHLTEDSGQRGEFFLFYSYSSVSGGPLLVALVAGESAINFEKKSPMENVEKVLDTLRKIFSPKGIEVPNPLQAICTRWGTDRFTYGSYSYVAIGSSGDDYDILAENVGDRIFFAGEATNRRYPATMHGALLSGYREAANIVRAARKRAKMVHSSEDIHVNNEVKVNEVNVIVKDDNIDLDDLFHIPDVAFGGFSVLHDPSTSEPDSTSLLRVGIGARKLGSGSLFLYGLIMRKNVAELAAMEDDEQRLSTLYRDFGTKLVGLDGLGDAGESLISRIKAASRK >cds.KYUSt_chr2.45930 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286660937:286663321:-1 gene:KYUSg_chr2.45930 transcript:KYUSt_chr2.45930 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWAWQSHPAYGLLLLFNRDEYHSRPTRPAEWWPAAGEEGEQILGGKDEVGGGTWLGCTKAGKLAFLTNVREPSPRADARSRGELPVRFLQGRQDPLEYATEIAKEADQYNGFNLILADVHLGTMVYISNKPNGASVVQTVSPGCHVLSNAAIDSPWPKALHLGQSFNRFLAVHDDAEVSLKQMVEELMTDTAKADRSAVPDTGVDSDWEYQLSSIFIDTEKGQARYGTRSMAAIAVKLDGEVAFYERCLDNNSWKENLMRFQMEVAK >cds.KYUSt_chr2.12932 pep primary_assembly:MPB_Lper_Kyuss_1697:2:81895070:81901735:1 gene:KYUSg_chr2.12932 transcript:KYUSt_chr2.12932 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMHKYGLPNQPPDIPQILQDAQNRWLRPTEICQILSNYKKFSIAPEPPNRPPSGSLFLFDRKILRYFRKDGHIWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLDIKGGKQSFIRSKEAEDIARLSSDDSPACSNSFASQSQVASQTMDAESPYSGQLSEYEDAETDNCRASSRYHPFVEMQQPVDGAMMDNLLGAPAPSTSLNNLGYQNEKQAITANISNNFGTHHGVASVFNETGAGLRTDSKTGLDSGHFSEPFSSYPTGFTEPTLYSSVATMGSNNLDGNSRLETLMTEALYTNNLTQNEADALSAAGMTSSQVHNDGYTDASMRYPLLKQSSLDLFNIEPNGLKKFDSFSRWMSDELAEVADLDIKSSSDTFWSSAETVNVADVSSIPINEQLDAYVVSPSLSQDQLFSIIDVSPSWADTGSKIKVLITGTFLTNKEHVENCNWSCMFGDVEVPTEVLADGSLRCYTPLHQSGRVPFYVTCSNRVACSEVREFEFRDSETQYMEAADPHITGINEMHLHIRLEKLLSLGPDDYEKYVMSDGNEKSELIRTIGSLMLDDNFTNLASPSDEKVLSAAQDKNREKLVKDKLYYWLIHKIHDDGKGPNVLGNEGQGVIHLVAALGYDWAIRPIITAGVHVNFRDARGWTALHWAASCGRERTVGALITNGAASGALTDPTPQFPSGRTPADLASENLHKGIAGFLAESALTSHLSALTLKESKGGNVEEICGIAEADGFAESSSGHLASQDSQAEPLKDSLSAVRKSTLAASKIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSLKNAKSGQYDMPHSAAVRIQNKFRGWKGKKEFMIIRQKIIKIQAHVRGHQVRRNYRKVVWSVGIVEKVILRWRRKGRGLRGFQPEKQIEGPSQIQPAEEEDEYDYLKDGRKQAEGRLQRSLDRVKSMTQYPEAREQYSRLQACVTELQESKAIQDKMLSDIADVDGGDFMADLEDLCGEDDTPMSTIS >cds.KYUSt_scaffold_1700.658 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:4179344:4179655:1 gene:KYUSg_scaffold_1700.658 transcript:KYUSt_scaffold_1700.658 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIGVSHQSGMLGDGSRCYLSPQVSHYVALNHHHHVQPPLSLAELPHPLEALLQGQLVSEPQLDGAVSWGRANFKHNNLSSFVRQLNSNTVGRDGRGRRRRR >cds.KYUSt_chr5.14928 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96549706:96550769:-1 gene:KYUSg_chr5.14928 transcript:KYUSt_chr5.14928 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTLSSAARCSLLLIAALTLVLSHGAHAYGGSRAGLSSSFYDNSCPDTRNIVKRVIQDARVTDARIPASLIRLHFHDCFVNGCDGSLLLDDDVYFRIVSEKKAPGNNQSARGFKVVDNIKRALEKACPGIVSCADILTLAAEISVELAGGPSWSVPLGRRDGTKTNIKSAVDLPSPFDPLDVLLEKFKNMGLDDTDLVALQGAHTFGRTQCQFTQQECTVGQDEGTLVNLDAATPNVFDNKYYGNLLRGRAPLLSDQVMMSDPRAAATTAPIVRRFSSSQKDFFKNFAASMIKMGNISPLTGRDGEIRKNCRRVNKKPY >cds.KYUSt_chr3.8284 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47817974:47818960:1 gene:KYUSg_chr3.8284 transcript:KYUSt_chr3.8284 gene_biotype:protein_coding transcript_biotype:protein_coding MLQREVAKILPLVPPLQVGVGPVLTVQATVLRGGRGLAVSLALHHAACDGASSTRFLHTWAAAAGTGTGAPPATVMDRTLVDDPSGGRPLYKLRSTDEMEYVKMADDQLVATFTLSKEDVQRVKDAVGAAAGARPPRCTSLVATFGFIWLSYQRAKDDAASNGGETYFSCPIDQRSRMKPDPIPDEYFGNCVGAAMQAAPKNQLAAAGSDGLLAACTAVAAAIERAVRELGSPEKMALWVERIREARASGGGVLSVAESPRFRVYDVDFGFGRPAKVEIVSVARTGAMAVAESRQSSGGMEVGMSLPPAGMQRFQTCFHEAIKWLHQQ >cds.KYUSt_chr1.28607 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172920273:172922585:1 gene:KYUSg_chr1.28607 transcript:KYUSt_chr1.28607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble gibberellin receptor, Gibberellin signalin [Source: Projected from Oryza sativa (Os05g0407500)] MQKQIKRDPEFLTTAGRRSIQTSRNRPKLKIFTKKNQEEEREKIKNIGREVGVGAGSGPTPRERVLLFEPPGFVVSSVRSSFRPPPITSLLALVSSYSPVRLSVPLLHATAHRARRPLKPPLRLLVLSLSPPNLLPWLLLLPELLRWRRIRSAPEEIGAGGWGSSRTSVPGILSRGSWLLLEAHALSEAVGSVPRGDLAAAAAAEEERRPNPSGADMAGSDEVNRNECKTVVPLNTWVLISNFKVAYNMLRRPDGTFDRDLAEYLDRRTPPNAHPAEGVASFDHVIDNSVGLGVRIYRAVAPAPNANGAAAATLPILQFLTGAPSADPLPVIIFFHGGSFAHSSSSTLIYDHLCRRLVKLSKGVVISVDYRRAPEHRYPCAYDDGWTALKWALAQPCLRSGADARLRVFLSGDSSGGNIAHHVAARAAGDGIKIYGNILLNAMFGGTERAESERRLDGKYFVTIQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLKGLPFTKSLIIVSGLDLTCDRQLAYAEGLREDGHDVKVVHREKATIGFYLLSNTDHYHEVMEEITDFLQANLI >cds.KYUSt_chr1.42674 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261123971:261124348:1 gene:KYUSg_chr1.42674 transcript:KYUSt_chr1.42674 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFNFLLIVIAAIIYVIGAPATGCGEPFGNQLWNTNGWQPIKNINEKHIQELGAWAVLEHGRYVNCRLWFHEVVSGKQQLVSGMNYELIIDASDDAGKHGKYKAEVYEQESTNTRKLLSFSKAD >cds.KYUSt_chr1.701 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3770233:3782785:-1 gene:KYUSg_chr1.701 transcript:KYUSt_chr1.701 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAAASQYVFSYRGSRYWKFRDEGFNRFAFRFFWIVAVPTRTWAASHDLPEPHYISAESNPTLYETHTRLPVSSSLRLRLRLPHPVRRRAPTAGTVGLRNPASREPVRVRGNQVFGERSGATTGITTSSSDDEFLHTDNFFPDLSDFFDNLNMGDNDAAANPGWMNEDEDACPLPSDLLWREPLFDELIANRYKPGEPPPCPVSSGVEEMGILSLITGKPGASGFGSASTAEQVTDGVDASALTVVVTGASSGIGLETARVFALRGAHVIIAARNTGAASEAKKCIIESNPTAHLDVLNLDLSSVKSVRAFVDQFNSMNLPLNILINNAGVMLCPFQLSEDNVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSIAHHHTSPKGIQFDELNDKKRYNDKMAYGQSKLANILHAKELSRRLREEGANITVNSVHPGLIMTNLMRHSLAMMKAIRVLTYMIWKTAPQGAATTCYVGLDPQLKGVTGKYFADCNEEKTSKLAKSDALAKQLWEFSEELIRSA >cds.KYUSt_scaffold_1854.259 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1396167:1396631:-1 gene:KYUSg_scaffold_1854.259 transcript:KYUSt_scaffold_1854.259 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLKILAVLMLFCLCKGGDASEQCGLSDLKVNQTAVGNQVGGLQEYSVQVENKCICTQTNVKLLCPGFNSSIPVDPSVISLDPDGKFCTLVNGGAVRRDDVVKFNYAWSIEFSFLPVSSGIACS >cds.KYUSt_chr3.11939 pep primary_assembly:MPB_Lper_Kyuss_1697:3:71241933:71242789:1 gene:KYUSg_chr3.11939 transcript:KYUSt_chr3.11939 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGSAAFTNVARVLVCLEEVGVDYEIVDIDFHVKEHKSPEHLARNPFGQVPAFQDGDLMLFQSRAISRYVLSKYRTDEVNLLREGSPEESALVDVWLDVEALQYDPAMSSVFFQHRVVPALGGMPDEGIIGESVEKLTKVLDVYEARLTKHRYLAGDFLSLADLSHFPETYYFMEMPYAAVFDSYPRVREWLEDLFARPAIKKVVSLMAKDFSG >cds.KYUSt_chr6.32852 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206948953:206955578:-1 gene:KYUSg_chr6.32852 transcript:KYUSt_chr6.32852 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFNLPPEENINKARQMEEKVKEFALKKMAELFKNHKKRLHQEFVKQKKTPDFTGAYEKIKDHWPEFLKYTLSEEFKKRSETNKRNAALKKYHQVMGPDGYAIAVVDEVTIGYEPLMLDHPAGEDGEITELGEALRTTVLWRKENIVFPGSKPSRPPPAHSPPPPPPPQSPPREQSPPREQSPPHDHTPPPPPRQETPPTLRPKQKRKSTTTPKRSSAPKRSKTPELLPYERTDEQNDEIVKAQMKAHFAPKKPPSKLFIALNTVKHFVEMRAKQAELPADYDRSLGQSSRVRKAKTIAQLGQQENQSLPPLVVHSYDDPEMAGMIERTARELGAVVQMKMLEWKRGGLYDIGFIDPSTIHEVTLNRFPKDTEHNMLRFLQKQQHKEEIFFPYNFKFHYILLIIQLQKGVVLVMDSKRLGNGSSTLLRVNGNRSLHLKIALHLLNRVSSNSVIGMISWLDMLYNNICISVLIRSCLLRIGGQFPNMLLEESVVVAVHLVVNDILPMGLEALYIRCPQAKKGCASSCPAIMEPAAAWDRPCELQLANWTVEEPVADLPLPVEYASIDIPGDCGIQAYEYDVQQLLVEYYSAASRRYSTSEKAKISNDSHARSVVNQVLGEFEADMNMMKEKMHRYPTCLGVVDKSYTMPRIVAIGPYHHGLEHLKQAEKVKHIAACHCIGDVQLLEDMYQKFVPVADEARGFYDKDVMEGISYDDFRHMMFFDACFLVQYMAMRGTTRRDRIDGSLQRFLRPNRHDIFHDVMLLENQLPWTVVETVMSFVADASIPIKFVSRLRYCMQPDDHREPPEEEESFHWNDKYRPPHLLGLLRYYIVGRRSDIHEENPKPKPKNMSFSVSALDLAEIGIKLKANKTMQLLDMHLNQEGAVFTELSLAPLSLDRDRASYLINMAALELCTIESFGAATDEDSAVCSYVLLLANLVYREEEVQELRERGLLQRGGGLSNEEALRFFTSFQHLRFGRCYHRIMEQIEAYRENNWTKTKLHAFLHNHKKTIAAVVTGIGAVGGIIGTLLSIKKSV >cds.KYUSt_chr7.30503 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189890185:189891497:-1 gene:KYUSg_chr7.30503 transcript:KYUSt_chr7.30503 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSDWSTSDDSDIDDLLQDDDVEMMSLLVEGVLLLDSSSIDGTMSQRHVVVCGCCSAARKQGKGEATAADWWREGELVATENCGVPVVPRGSGNKMAAIEFSRFVR >cds.KYUSt_chr5.5781 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36059002:36067813:-1 gene:KYUSg_chr5.5781 transcript:KYUSt_chr5.5781 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWLHLAQTPILPSGGQWRKTKFLHLSSSLTARRPGYISRRMEDEDVLKRILDGAEDPKDLPLALLQKITGNFSSDRIIGKGAFGEVYRISPHFSEDDMLGIKPLELLLPSELKNKTSRSVELTNGTRNCIAFNIELPSLRYIALPEKGIVQPEAKCTVKITVQPRDNSATGSDADKFIVKSMKVSQVLMDEDITQRMFEEAGEVVDDVNLVVAYEPMKPLKLSREDTNMSAEEVPKAKKREIVEFASGKGKNKLGSREKEETDSMDISSRVQGQCTKQTNQHNFYPPQSFSRNYPTNMKYLGGESDRAVDVTSGAMGSLLDKLGKLVTEDYSLDMSIKSDIESLSVDLVMIHLDLPELENVVRAKFFIDEVRELSYNTEDMIDSFMVHVDPDSSSSGFREIMHESVELLQNGTPTYQQIGDVIRDIKGKVQAVADRKNKYIKNVVANASIKATTNLRISAIYEHKERLIGIKAPRDELIRLLEEDGDASKQNLRIISIVGMGGLGKTTLVKAVYDKMKAYCPKAFVSVGQNPDVKTVLKDILDKFVEYFKAENLDVGELIEKIKEILKDKRYFIVIDDIWDSTAWYFINYAFPRNKHGSRVITTTRNHDVALACCENASKYVYRIKPLNDEESRRLFIIRAFGPEILFPDTVRKQEISKYILKKCGGMPLAINSIASLLAGEKDTTWEYIWKSLHALTKGITALENMKKILDLSYTCLPGDLKTCLIYVCMYPEDREIDKKDLLRQWVAEGFVTRKGLLDAEDVAENNFKALINMCLIEPGKIDRYNDEVLSCSVHDIILELIRSKSSEMNFIHVIDGLKDVSGQIRRVSVQHNDKEDSRVLETIKGSLSHARSVLLYRGSLWADFLKYKYVRVLYLENWSYLEGLDLNGIRWLFLLRYLKVQNLGSSVVNLPDQIGGLQQLETIDLEGIQEKNYPPDIVSLPWLRHLSYGRRGSVLPDGIDRLKSLHTLEGVCFHRSSVDNIKGLGELINLRKLEFISSEHKLLNKSFNMRMVALHSSISKLSTSLRILTVRTGFRLDDWNWSRLDACGWSSSMFPRVSNIRELNLSFCILQRCPGWISQLGNLCKFTIYVREVADGITIVAGLPSLAYYSVVSTNPGEKEESVVIHSGIFQSLKHLLFACPKTSLTFEVGAMPKLEKLQIWFRYHMSRRFLPVGVGLLQAETLKKITIVMFEDDMENKSFNYLRSFGYISYHKCRFGSMLTRAFKSHYPDADIKIIFDDNELYDDNKCDAIPDNDEDSCCSEDDDEVVDYEDHDSEDDDEDVDDEDYDSEFLKKKDYDSEDDDEDVDDEDYDSEFSKKKDYDSEDEDEYYDCEEYGGASP >cds.KYUSt_chr1.9289 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56754681:56759360:-1 gene:KYUSg_chr1.9289 transcript:KYUSt_chr1.9289 gene_biotype:protein_coding transcript_biotype:protein_coding MGANADPSGSLGGPRRHSSPAAVALQHGLAASTAAALRHDPGLAMQWSPEEQAVLDDGLAKYALDAAIVRYAKVALSLPNKTVRDVALRCRWMSEKVDSSTKEPAHLARSNVPPYSVPVLPMDDDDGSYKAIGGPTGELLEHNAHLLNQIYKNISNMQVQENLSLLCETRDNILTVLGQVGNAPEIMRQMPPLPVKLNEDLASTVLPRPPHPRT >cds.KYUSt_chr1.28523 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172319479:172323611:1 gene:KYUSg_chr1.28523 transcript:KYUSt_chr1.28523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 1-deoxy-D-xylulose-5-phosphate synthase [Source: Projected from Oryza sativa (Os05g0408900)] MALSSTFSLARGFLGVLPQEHHSPSAVELHARPLKPRRRSCISASLSEREAEYHSQRPPTPLLDTVNYPIHMKNLSLKELQQLSDELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNTPQDKLLWDVGHQSYPHKILTGRRDKMPTMRQTDGLSGFIKRSESEYDSFGTGHSSTTISAALGMAVGRDLKGGKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPAPPVGALSGALSKLQSSRPLRELREVAKGVTKQIGGSVHEIAAKVDEYARGMISGSGSSLFEELGLYYIGPVDGHNIDDLITILREVKGTKTTGPVLIHVITEKGRGYPYAERASDKYHGVAKFDPATGKQFKTPAKTLSYTNYFAEALIAEAEQDSKIVAIHAAMGGGTGLNYFLRRFPNRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTFMACLPNMVVMAPSDEAELLNMVATAAAIDDRPSCFRYPRGNGIGVPLPENYKGIPIEVGKGRIMIEGERVALLGYGSAVQYCMAAASIVEEHGLRVTVADGRFCKPLDHALIRRLAKSHEVIITVEEGSIGGFGSHVAQFMALDGLLDGKLKWRPVVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNIIGQAREALAIMTVQNV >cds.KYUSt_chr4.7282 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43129624:43129935:-1 gene:KYUSg_chr4.7282 transcript:KYUSt_chr4.7282 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr2.55345 pep primary_assembly:MPB_Lper_Kyuss_1697:2:345090868:345094014:1 gene:KYUSg_chr2.55345 transcript:KYUSt_chr2.55345 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRRNSPEMGGRRGLYGFLLLFAAVLLRGHAQTNQTCDADDLAALRAFSDSLDGEGAGWGRDGACCSWAGVSCHLGRVVGLDLSNRSLRGDVSPSLASLTRLTTLNLSRNALHGRAPRGLAALPGLRLLDLSANALSGAFFPSTDLGGVFFPAIEVLNVSFNEFAGPLPAFPGAGNLTVLDVSGNAFSGSINATALCGGGAPLRVLRLSGNPLSGEFPTGFGQCKALAELCLDGNGLSGSLPGDLFAIPGLQRLSLQENSLSGGIDNLGNLSQLVHIDLSYNRFTGFIPDMFGRLRRLEYLNLATNGFNGTLPGSLSSCPTLRVISLRNNSLTGEIAIDFGLLPRLNTFDVGTNRLSGAIPAGLSRCAELRTLNLARNRLEGEIPESFKNLSSLSYLSLTGNNFTNLLSALQVLQDLPKLTSLVLTNNFHGGEIMPVDGISGFKSIQVLVLANCALSGEIPRWLQTLESLNVLDISWNKLHGNIPPWLGNLNNLFYIDLSNNSFTGELHESFTQMRGLISSNGSSELASTVYVPLFVKKNSSGKGLQYNQVSSFPPSLILSNNLLAGPVLPGFGHLVKLHVLDLSWNNFSGEIPHELSNMSSLEVLNLAHNDLNGSIPLSLTELNFLSQFDVSYNNLEGVIPTGGQFSTFANEDFVGNSALCLLRNASCSGKAPIVETAHRKKSKASLVALGVGTAVGVIFVLWITYVVLARVVRSRMHERNPKAVANADDSSGSANSSLVLLFQNQNNKDLSIEDILKSTNHFDQAYIVGCGGFGLVYKSTLPDGRKVAIKRLSGDYSQIEREFQAEIETLSRAQHPNLVLLQGYCKIGNDRLLIYSYMENGSLDYWLHERADSGALLDWQKRLRIAQGSARGLAYLHLSCEPHILHRDIKSSNILLDDNFDAHLADFGLARLICAYDTHVTTDVVGTLGYIPPEYAQSPVATYKGDVYSFGIVLLELLTGRRPVDMCRPKGTRDVVSWVHQMRKEDRETEVFHPNVHDKAIEGELLRVLEIACLCVTAAPKLRPSSQQLVTWLDDIAENWTLIQ >cds.KYUSt_chr6.14501 pep primary_assembly:MPB_Lper_Kyuss_1697:6:90975469:90977477:-1 gene:KYUSg_chr6.14501 transcript:KYUSt_chr6.14501 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNGKSRMQYKMFGDAITFDTTYRTNQYDMPFGLFVGVNNHFQSIILGGVLLTNEKTETFEWVFKEFVSLMGGKPPITILTDQCRAMEIAIDAVLPDTTHRWCKWHVLRKAKEHLGSFYSKAVGFRDEFHKILEYMITVEEFEQAWSELIEKYGLEDHPYLTQIYEVREKWAKPYFAGVFCARMTSTQRSESANHMLKGFVPPGSSINMFVRHYGKMQFDRDQEENYQEMRARLVMINLGVTKIPECHIMRRWTRDAYCDMPEHLMLYQKDSATTKSTSFRHSALYRTAIEIVQMADSNPESYEVAMSHFLDAIPILSETSKIKDGLGLEERVQAAVRVNPSVIFESCSLRPDIVAPPKRKDMGRPTSARNRPGYEKVSVPRTKFCTICRSRFHRANCCPSNQNKKPRREAHCSNCGLAGHKKNNCLTPNY >cds.KYUSt_chr4.17760 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111212891:111216467:1 gene:KYUSg_chr4.17760 transcript:KYUSt_chr4.17760 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGDACGDAHTRGTTLSTGCRRAGGVEQQRPWTSYQQLPRPQIQELDHLEELIQDLEDAFNRQGLLFPFPLFGAGPNKLSSLQLSGRLVAEQGIRSWADIVAAPLPCEGRKDHAADVAGGGQNERQDKAAERTDCRQGPQGVHTPSPQDSLCASPPRAPSIDCTAVTVMGLRHAFAEQARCLRDDLNAALDKLLQPFKDEVAALRSWLDRTSSFLEQVEKLATRLDNTSPAFARLPCSPGEGPSPPMAVRPSSASMGPFVIQSLVPLHGEDDSWSEGTRIAEGASPTFTSITPSCSPPSPVGSRCVLDLSLGSPYPVRDISAEIEPAPWGMPVHVSPPLPPFMSTPAPKKTKCLMLASPRRSGRIAQQKKKKKLPSLKSKGGPKKNNKPYD >cds.KYUSt_chr1.34565 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210419230:210421048:1 gene:KYUSg_chr1.34565 transcript:KYUSt_chr1.34565 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEATISGLSGLAGGGGGHRGRSRSTVHELRDGVDIVVQESSWASLPPELLRDVVRRLEASESTWPSRRHVVSCAAVCKAWREMCAEIVTSPEFCGKLTFPVSLKQPGPRDGMIQCFIKRDKSKSTYHLYLCLSNAVLMENGKFLLSAKRNRKTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIVYDTQPPYNGAVVPSVGRSSRRFNSKKVSPKVPSGSYNIAQVTYELNVLGTRGPRRMNCIMQSIPASSVEPGGIVPGQPDQIMPRALEESFRSMTSFSKSSIMDRSMDFSSSRDFSSARFSDIGGGAIMGGEDGQNKERPLVLRNKVPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAPSQPPAGAPTPSQPAPAEQDKIILQFGKVSKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >cds.KYUSt_chr5.38860 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246030459:246035274:-1 gene:KYUSg_chr5.38860 transcript:KYUSt_chr5.38860 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLVAALAPSPLPPRREPRKPPPPAAHLARGVALAAVAATVAAAAASPPALAALAEPANALSLPTWAVHVSSVAEWVTAMALVWDYGERTGLKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEKCLDVFNQGTMSRAIQLLAYYLSHSTQAICFQDNLRHSQLLGELDRTTRGQKLCSIRISNKREKMRRQQQKKPDVSVMTLRRKRLRLISRRRRAAGAELGLIRRRRRRAAEADMAMLNLKLHLENRRILAENERLRERAGVLRRENLALRENLCKTVAEAAPPAEATNGC >cds.KYUSt_chr2.45805 pep primary_assembly:MPB_Lper_Kyuss_1697:2:285762276:285762668:1 gene:KYUSg_chr2.45805 transcript:KYUSt_chr2.45805 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTGPTFRRPRTSTELLYPADVLVEKTLRVWAKSRWRTEVELTRDFLDEGFSHLPPGSPVMYYVNESREGVALKLLTVTFANRFDAYALLGEVFWCGCESIFFTTYNVFTDCDAIFFSGKPMHCLPYYK >cds.KYUSt_contig_1307.89 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000126.1:624332:625724:1 gene:KYUSg_contig_1307.89 transcript:KYUSt_contig_1307.89 gene_biotype:protein_coding transcript_biotype:protein_coding TAGLAVTYGLSLSMLQGWAIAVLCSLENRMISVERILQYMTIPSEPPLIISESMPGCQWPAKGEIELRNLHVQYAPHLPLVLKGVTCTLSGGMKTGIVGRTGGGKSTLIQALFRIVNPCIGKILIDGIDISTIGLHDLRTRLSIIPQDPVMFEGTLRSNIDPLSEYSDEQIWEALDSCHLGDEVRKNELKLDSTVTENGENWSAGQRQLVCLGRVILKRRKILVLDEATSSVDPKTDSLIQETLKQQFAGCTVITIAHRITSVLDSEKVILLDNG >cds.KYUSt_chr2.52867 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329809190:329809453:1 gene:KYUSg_chr2.52867 transcript:KYUSt_chr2.52867 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALDLAAARGFATAQHAPGAVAGLVFALVAAAAVVAIAVFGCADDGKAAAKRRRRDRWASGAGAGYGGDGGGGGCGGGGPGGGGG >cds.KYUSt_chr2.820 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4814512:4815418:-1 gene:KYUSg_chr2.820 transcript:KYUSt_chr2.820 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDRLVAGNLEAFFAGAPLLTPVLEPCVLHHTPCLLWWLYSLCPSKRFKPDKLLLAGLIAKIIMENMTPPPPTNDERPLVLLAQPLFPDFAAALAGRFRFALAADADAGDTAEGRVLLVGVKPVTDEHLAVFPALELVAGISVGVDHVDLAACRRRGLSVTNAGAAFAIDSADYAVGLVIAAVRRVAAAEAYVRGGRWPADGDYPLTTKVSRSTSIYTCTPSSTSRFYLN >cds.KYUSt_chr7.11066 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68008910:68019531:-1 gene:KYUSg_chr7.11066 transcript:KYUSt_chr7.11066 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPDLHDEDQRALKHFAKDSEATQFSNKLVTAAKPDAQNWGIDVFAPPIVHDVGTSPVELARAYMEAQTSATVHESQKRKFRALSHGVEIDNSASKLFPKVAVDSTRTGNLDTYSNSSVRPQLSTPFSVGSKAILEDRTTTTDDVLGVQPSTSSERACADTDGTNTPFFPRDGSAAMKNPTFSLERPHGKGTIDSGSAIGRIPAVDNSRRAALSVHPKSSQTAQKILQHLERTIPSPTAKPLDLRRTAKKTTPVVISSPYKFPDSITGNGPRQSSVNERGSAYQAISDVKVQEPPSSSNCEVPAPKIQSHVVNSEVAEVTSSQHPSKTNLTTAPAAMVLEKSTNNGFMFSFPVTKTSVSLPEPPPTPSFFSPPKRSLPADVEDIPKFTFGSSGSADNLIFTVDPASGSAGADEVAPTFSFGSEKKRELSFDVAGKGSLSFPCGYQPFLPGVVGASANKAIPFWTKFHAHFGDCTQVSSTYQKQMPKTTKSSDNTGGGVETSAKIARSRAGSSRACPASERPLWPVYGSCRPGPTPRPGTDKARRSCPSDPAAAPGAGLLLRSCSGPASCGGPAVMGPRQYARWMVLLLCTLLLAFPSHGHRPAESFVGAYGINYGRIANNIPSPDKVVALLQSSKIRNVKIYDADHGVLDAFRGTGLNLVVAVNNGLLKDFAANESAALDWLNGNVKPYLPQTRIVGITVGNEVLGGDPSLAEPLVGAIKNVYSGLKKLGLEDRIELFSPHSEAVFATSYPPSACVFKEDVMVYMKPLLDFFQRIGSPFYVNAYPFLAYISDPDHIDINYALFQPNPGILDPNTSLHYDNMFDAQVDAAYAALQAAGYRDMEVRVAETGWASSGDQNEVGASVENARTYNFNLRKRLFLRKGTPLKPKTPVKAYIFALFNENSKPGPASEKHYGLFNADGRISYDIGYSGLLPSSASSSLLPMKVSIYWSSICLNAH >cds.KYUSt_chr1.23789 pep primary_assembly:MPB_Lper_Kyuss_1697:1:141705317:141706539:1 gene:KYUSg_chr1.23789 transcript:KYUSt_chr1.23789 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAVVSALLLHAACVAEAQTLRLAYYNQTCPSAEAIVFDEVQKAYKVDNRTAAALLRLHFHDCFVNGCDASVLLESGDNQAEKNADPNKSLRGYDVIDKAKARLELACKQTVSCADILAYAARDSVRVATGWFNYKVPGGRPDGTVSKLSMALANLPPHNQRNVTLLAKSFTVKGLSVDDLVVLSGAHTLGMSRCGTFQYRLANNNDKGMNATFRDDLRRRCNYNAANVAPLDAGSQYGFDTSYYANVLANKTLLESDAALNSPSTVNRVRQLKNDPSTFMRSFELSMGKMGALRGSSQGKVRDNCRRVRM >cds.KYUSt_chr2.25126 pep primary_assembly:MPB_Lper_Kyuss_1697:2:153750328:153772171:1 gene:KYUSg_chr2.25126 transcript:KYUSt_chr2.25126 gene_biotype:protein_coding transcript_biotype:protein_coding TQGTSAVASTEKVDFLKLQNGSDIRGVAIAGVEGEPVNITEPVTEAIAAAFAAWLLNKKKSDGLRRLRISVGHDSRISAHKLQNAVTHGITAAGHDVLQFGLASTPAMFNSTLTEDEMNHCPADGGIMITASHLPYNRNGFKFFTSDGGLNKADIKDILERASRVYEESSHGDKQEQTGVVTQVDYMSLYASDLVQAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAVTSGSQFLEPDGLFPNHIPNPEDKAAMEAITQAVLNNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTVVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSTGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAGARTLNPNIGSKVLTDLVDGLEEASVVVEIRFKIDQNHADLKGGSFRDYGESILKHLENVISEDPNLHKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNVEAQSKDDAIKLGHSVLAAVSEFSALDTSALSKFLQQ >cds.KYUSt_contig_1948.77 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:468503:471150:-1 gene:KYUSg_contig_1948.77 transcript:KYUSt_contig_1948.77 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHAGLSTGRLRIYTPALYDDGSPWHKEREQDRSQLLLKIQSHYKKALERLAGSDVVRRFLPSGVCVGLLDPVSNIIVNALLAATADKAYSPPVAVDLGDTERRSLDGLVTFLTCFFPYLADWEAVRYLLLADADPLVAARIVVDDRGTKCFRPDSAATNGALRLALRCATVAAKHPQLADAWLSLCSSLHKAVPLLSPVHPNYYHHNIFNNLHTLFKPKETAHVLYPPPTGSGLIGPWELAATRRTHITKVPYQHSWSLRRVLLDAIHGFYLKALARMPGGSRYHRSMLKAGHCYGPFDPVSNIILNTIWYEANFPPLTPQRELDFVGTWSLMRIEALSFYGLLSFLCTCHQDLSMHEAMRILIETDLNLAATKHYSSVMEEQEAFRAAAIAAWYPHRNPDAQAGFLSSCKKPEVLSLLLSNGAQQQLSSQAVHQLATLLASGMTTKQQQPVSVSKWMFDDTMSEGSERRRAHKRICKKVKDALRRYEKQNSGDNTCYQLYVVCGVNESVSGPDNSEEYIMKRYDTDDDDDDDEYYHHTHANFLVTRNVGSVCSAPVLFFAELSNDGDDDQLLCCPVDIPPPGAEPVRCLFCEQAGIRIVHPANQEGFHGRTLEFEKMVRGEDFYDNGYYPEVYSNHRILHRSESIANWRHGGEEEDCMYLGRNDFTIKEDDGDESEDYEDG >cds.KYUSt_chr7.307 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1766949:1769760:1 gene:KYUSg_chr7.307 transcript:KYUSt_chr7.307 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVRSESRSTMEGVKFGSEAAAAANTNRRALRDIKNIIGAPHQHLAVSKKPSAADAKNQAAGFAGHRPVTRKFAATLATQPANAPLAPIGSERQKRNAETAFHAPSDMECTKVSDDLSMTNELKEIDMEDSEEEVAPDIDSCDAGNSLAVVEYVDEIYSFYRRSEDLSCVSPSYMSHQTDINEKMRGILIDWLIEVHYKLELLGETLFLTVNIIDRYLARENVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRADILEMERTIVDRLEFDMSVPTPYCFMRRFLKAAKSDKKLELLAFFIIELSLVDYGMLKFQPSMLAAAAIYTAQCTINGFKSWNKCCELHTKYSEEQLMDCSRMMVELHQGAANGKLTGVHRKYSTFKYGCSAKSEPAIFLL >cds.KYUSt_chr2.17462 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110089304:110093336:-1 gene:KYUSg_chr2.17462 transcript:KYUSt_chr2.17462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske [2Fe-2S] region domain containing protein [Source: Projected from Oryza sativa (Os07g0556200)] MASTALSTASNPTQLCRSRASPCKPVKGLGIGRERVPRNITCMAGSISADRVPDMSKRETMNLLLLGAISLPTFGMLVPYGSFLVPAGSGSNAGGVVAKDKLGNDIRVEDWLKTHGPNDRTLAQGLKGDPTYLVVESDKTLATYGVNAVCTHLGCVVPWNAAENKFLCPCHGSQYNNQGKVVRGPAPLSLALVHADVDDGKVVFVPWTETDFRTGENPWWKSGTFSAQFYYEESQNEISSSESYQEMLMPGVVIKDKLGNDICVEDRLNTHGPNNRTLAQGDPTYLVVESDKTLATYGIKLSARILVVPYRGTLPRTSSSALATDPSATRARLSMGLAPLSVALVHADIDDGKVVFVPLVETDFRTGQNPW >cds.KYUSt_chr6.3454 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19955364:19959340:-1 gene:KYUSg_chr6.3454 transcript:KYUSt_chr6.3454 gene_biotype:protein_coding transcript_biotype:protein_coding MMALDRSRRVAGAVRVDPFQVIVIDDLAVTSTMAPLHLLRKDLDWSTLPHELLEDIGRILPLRHEAIKFRSICPVWRVVILFAKYITPLGILSFDPEQEFGYLLNYHNYRISQSLWLKELKKSTIRQIVLSSLSPGSSDCVAMAALADSKTVLFCGVDVDKRWTLLDTNLLLYPITSLLPFEGNRFLPIYDGNLEGHG >cds.KYUSt_chr7.6436 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38848131:38849816:-1 gene:KYUSg_chr7.6436 transcript:KYUSt_chr7.6436 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGGGSPEHGQHAAAPVCVSGATGYVGSWLVRTLLRRGRRVHATARDPAKAWRTLSAVEGTERLRVFRADMAEEGSFDDAVSGCAALFHVAASMDLHLAPDQHHVEERARSQVLEPATRGIINVLRSCVRAGTVRRVIFTSSVSTLSSAAAAGAEEGVVDESCLRDLDDVWATKPIGWVYILSKRLAEEAAFSFARDNGIHLVSIVLPTVAGPFLTPAVPTSVQLLLSPVTRDPKLHALLASVHARFGCVPLAHVQDACDAHVFLMDAPAAEGRYLCAGGSRGMAQIARLLSSHYPPFKPAERASEEFDGSSPSVVSSKRLLDMGFRFQHDVEDVFRDTVAQCVDHGFLEQPDDGCD >cds.KYUSt_chr5.41022 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258847503:258850725:-1 gene:KYUSg_chr5.41022 transcript:KYUSt_chr5.41022 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRALLLLAALAAAAASGTEALSLDVHHRYSSTVREWAEAAGHPGHRAPPAGTAEYYAALAAHDLRRRSLASAPAPAPAPGGPLAFADGNDTFRFNAFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCVKCAPLSDPTYGDLRFDVYSPGKSSTSRKVPCSSSLCDLQTQCGAASSSCPYSIEYLSDNTSSNGVLVEDVLYLTTESGQSKITQVPITFGCGQVQTGSFLGSAAPNGLLGLGMDSKSVPSLLASKGIAANSFSMCFGEDGHGRINFGDTGSSDQLETPLNIYKQNPYYNISVTDVTVGNKTFGTKFSVVVDSGTSFTALSDPMYTEITTSFNAQAKEKKNQPDSSLPFEFCYTLSAKGDINTPNISLTTKGGSIFPVNNPIIGITDTSSKPIGYCLAIMKSEGVNLIGENFMSGLKVVFDRERLVLGWKTFNCYNVDNSSKLPVNPNPSSVPTKPALGPSSSNPEATRGPSPNITQIDVPQPSSSSSIQWHSSRTLIAGIALLCLASL >cds.KYUSt_chr3.29547 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184902869:184903180:-1 gene:KYUSg_chr3.29547 transcript:KYUSt_chr3.29547 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQSVASSSKCTAWAQERRPFTRPIDIPSVSGAVDKWGASCRRGGEEEEDGERGGEVEPPHVLMARRRAAFSVCSGQGRTLKGRDLTRTRDSVLRMTGFIEG >cds.KYUSt_contig_2810.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000516.1:112002:117668:1 gene:KYUSg_contig_2810.25 transcript:KYUSt_contig_2810.25 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAKPNNTGEEPSAEAAPSTTAAEAASAGPESEDVGDLVEKVAELVDEIAAISDFRNAYRRQFCNLSRRIRLLAPMLEEAKEGPRPLPEASVAALRQLRDALAAAKDLLRLGSTGSKIFLVLQRDKIMQTFQDITSRLEQALAGISFDELGISDEVREQVELVHAQFKRAKERPDTSDDILFNDLISVYNSSATAIVDPDILQSLSEKLQLVTISDLNQESLTLHEMASGGDPGAVVENVSMLLKKIKDFMQTQDPVIGITASAANLSPNDNSTFPVVPDDFRCPISLDLMKDPVIVSTGQTYERGCIERWLEAGHDTCPKTQQKLPNKSLTPNFVLRSLIAQWCEANGVEPPKRPAQQSNAPATCTASEHSKVIELLQKLSSQNLADQRAAAGMLRQLAKRSAENRACIGEAGAIPILVSLLPTTDVSTQEHVVTAILNLSIYEENKARIITSGAVPGIVHVLKRGSMEARENSAATLFSLSLVDENKVMIGASGAIPALGNKGKAIRAGLVPILLELLTETESGMVDEALAILAILSSHPEGKAAISSAAAIPILVGVIRNGSARNKENAAAVLVHLCNGEQQQQHLAEAQEQGIVTLLEELAESGTDRGKRKAIQLLERMNRFLKQQSQAQGDAMAQALAQAQTRSQALVQALLADAQLEEPLLPNSSHLPER >cds.KYUSt_chr5.2939 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19254084:19259436:1 gene:KYUSg_chr5.2939 transcript:KYUSt_chr5.2939 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNTHLFFFSSLILLVCFLTHETFAGTTETSYSAVAADFGGCERRCGGATPVPYPFGFSDGCPIALSCNASTSAPSLPSGGGDNGTSYGIVAFNSTIATILVAIPSSCRRSIPDARRVLSGTNYGVSARTGLILRGGCRETNSTSCAVPVAVMSRLLRTAQCGDNDTASAAGAVACVTSSSPNATSAGVFLQWDKTDNTECDDMLTSALFGETQDGTASMEFGLAELAWWLNGTCAGEDERCAANATCSDITTPSGSAGHRCACVPGMAGDGFLAGDGCYFLGRSRLNVKGIVERILLFSMFALILLSIGISMGILACFLINRRKRHNATTKRTKHLRKAGAATLFRGAPVEDELDQGVGGPRRFSYNELTTATNNFSEDTTLGRGGFGSVYQGFLSDMNREVAVKRVSETSRQGWKEFISEVCIISRLRHRNLVQLIGWCHGGNELLLVYELMHNGSLDTHLYRADFVLAWPVRYEIVLGVGAALMYLHQDTEQRVVHRDIKPSNIMLDTSFIAKLGDFGLARLINDGRRSHTTGMAGTLGYIDPESVMAGRASVESDVYSFGVLLLEVACGRRPAVVHENGDVVHLVQWVWDLYGGGGILGAADERLRGELDDREMEYVLVVGLWCAHPDRSMRPSIRQAVNVLRFEAPLPSLPARMPVATYGPPTNLRHHITYHELVAATDGFSSGNKLGEGGFGSVYRGFLTDANLHISVKKVSKSSCQGWKEFVSEVRIISQLRHRNLAQLLGWFNGGNDEDLLLVYELMPNGSLDAHLYKPDHLLPWTVRYEVALDLGSALLYLHQEMEQCVVHRDIKPSNIMLDASFKAKLGDFGLARFKSPAAEGLSWPQWVWEAYTQGAILEEADVQLDGNFVEQEMERVMVVGLWCGHPDPRNATPHGLIRDVAATPAELAPTFSLIGIEAAASSSGTPTRGVALGKSALTLTLA >cds.KYUSt_chr6.6587 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39710431:39710907:-1 gene:KYUSg_chr6.6587 transcript:KYUSt_chr6.6587 gene_biotype:protein_coding transcript_biotype:protein_coding FEFWENYGQTAGWDVLYAIAAVASPEWNPSSVLAGTSPEQHLVWYSWLGNPDDRLAIRLTRRRAVFALVANPIPNQWMN >cds.KYUSt_chr7.7661 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46250395:46251192:-1 gene:KYUSg_chr7.7661 transcript:KYUSt_chr7.7661 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDSQAAADRIKAQAMSNAKGLSRAQAERAAEAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVRLGVKPNAVPTSNAGGQCQKCFQTGHWTYECKNERVYMMRPSRTEQLKNPRLKKPYLPASSQFVNPDLEKEMEEERKLMREKLKKEKSERRKVKSKSKSKRKHRASVSDSDSDSESSVTGSEYSSDSGSSSDSSSDSEDNKKRRRKTKQKKRRHRRDSTSSSASESESESDSDSEDDKGSRRKSKKRSDKRRS >cds.KYUSt_chr2.38140 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236124762:236125565:1 gene:KYUSg_chr2.38140 transcript:KYUSt_chr2.38140 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTNLPPGFHFFPSDEELVIHFLRRKASLLPCRPDIVPTLPLNRYDPWELNGKALKAGNQWYFFSHATQSRTSRNGHWNPVGADELVSSGGSNVGLKKTFIFSIGEEPFQATKTNWVMNEYHLLDGNGSSSSSGSSRKRSHKKKGHSDKESSNWVISRVFESSCNSHASFQEEDMELSCLDEVFLSLDDYDEVSLPKN >cds.KYUSt_chr5.6930 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42971709:42975737:-1 gene:KYUSg_chr5.6930 transcript:KYUSt_chr5.6930 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVPIQLHMYWLSGMSYGGCTASIKRILEIEPQVQSATVNLATETAVVWAVLEDTSVKDWKLQLDEKLASQLTTYGYKSSHRDSSKESSQKVFERKMDEKLQNLKQSELSADMDDASVLPVFGAAYQPYMSQLFSFSIERLHKEPELLRVDGKRVWRQMYEVAVENYAAFIAASKALSFV >cds.KYUSt_chr3.36571 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229948117:229953298:-1 gene:KYUSg_chr3.36571 transcript:KYUSt_chr3.36571 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGDSTAEQAPDTVPHEPPEESSGSPDQPDHGEVDFEEEDGDAEELDGPAAYEERERAVLRRLYGEPVGIRVHDIIIEGNFKTRDELIEAEVADLLRSAGTVQDLVRASTLASALLRRLDVFESVCITLDAGPHELPGTANVLIQVVEAGTFGGFGFAYYSRAQARSGSLEGSLKLRNLFGYGDIWKASGAYGWHQSPELGIGVCLPRLKSIPTPLTARASVLSQDWLELSSYKERLLGLSFGLLSTRHHDLSYDLTGRTLSDPSQMASKSEFDVRGAVPFGFYNAALNLGISAGVILPLGRGFMELSSPVPDRFYLGGRSSPVCSLGRLSSLLGFETRGVGPSEPRRFVPSGSATDDSAASPGRDYLGGDLAVSAFADLSFDLPIKLFKDTGIHGHAFLSAGNLAKLSESEYKNFSFPEFWRTFRSSAGIGIVLPTNLFRLEINYCYILKKREHDRGETGIQFSISLP >cds.KYUSt_chr2.17994 pep primary_assembly:MPB_Lper_Kyuss_1697:2:113357075:113360141:-1 gene:KYUSg_chr2.17994 transcript:KYUSt_chr2.17994 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCELAGVKKGPWTPEEDLVLVSYIQDHGPGNWRAMPTTTGAFLTSSVPVSFRVSFSDSDRSAPSRDPAGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNSRCKIISIGMTNTHEDELLRNNLEVESLKITRATSLSGKMHAGEPEDNSNESVVQI >cds.KYUSt_chr3.27055 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168854796:168855518:1 gene:KYUSg_chr3.27055 transcript:KYUSt_chr3.27055 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDFCNPWRIAEKMLLDVVLELRCHSRSPAAASSPSLVTTLELEEESKEVARGQTKAAEGPIPTFPRLHLGAEGEAELQHADEASGDSPVYLPWHALLMEMLASPFTTCAAGSDPSATAAEQSFEIPWPWPPKTRELLQNATRDQTTYRQILDLQLVHLHRPLQAANCKERLRICPGRWKKEAGKERGEREKERGES >cds.KYUSt_chr2.50065 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313166927:313168945:1 gene:KYUSg_chr2.50065 transcript:KYUSt_chr2.50065 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSTCSSSLQFTYPSFDAASKADFSFTPDSSISNGSLQITPNAGNMTHRSGRVIYARETLKLWNRQRTALTSFQTDFVLNILPQKGGAGEGMAFILTNHPALPSDSSGQWLGVSNNRTDGAASNRIVALEFDTRRSSEADVDGNHIGVDYNGVRSVTQYPLNNLSIVLSRGTDLRVSVVYDGALFSLVAVQDGLAYTGAWPVDLSRYLLDDISLGFAASTGEFAELNQVRSWNFSTSGDEIAGDKGRLVLFLAVFIPLVVALLIMALLLWRRLTRRTRLAYRNLEKMIDAHGPVRFKLRELRNATANFSDGRKLGRGGSGTVYLGYLRRMGMEVAVKRVSTNVNSNRGEKEFVAEVNTISKLSHRNLVKLIGWCHKKGELLLVSEYFPMGSLDKLLYARERTASSSTSLMSASTDTPVLTWERRYKIIRGVASALDYLHHGSSKRILHRDVKASNVMLDEEYNARLGDFGLARVIQHDGVTHHSTQAVAGTRGYMAYESFFTGRASLDTDVYAFGVFVMEVVSGRSPSSAVLYQEDDDKEYSSGGQGWQGVPPMHIADWAWMLYGEGKALHAADPLLGGEFEQAQVDCAVRLALACCHPNPRERPSMRVAVQVLIDGAAAPEPPLHKPAFVWPPGGNRQEMELPDVGLLFTGGAGQHSSYCSMSCSISGR >cds.KYUSt_contig_686-1.272 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1732875:1733618:1 gene:KYUSg_contig_686-1.272 transcript:KYUSt_contig_686-1.272 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGGCSAPAASRKGTTPVRSHSEAERKRRQRINAHLATLRTLVPSASRMDKAALLGEVVRHVRELRGRADDATEGLAVVPGEGDEVGVEEDRSWLRRPDDDDDESRWQRRVRAWVCCADRPGLMSDLGRAVRSVGSARPVRAEIATVGGRTRSVLELDYHHVCDHDATATDKDRAVALSTLRAALRTVLLNRDELLATASAADAEGYKRPRLSSLV >cds.KYUSt_chr7.34700 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216645625:216654190:-1 gene:KYUSg_chr7.34700 transcript:KYUSt_chr7.34700 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLHHFLLVAVLLAAAPPPAHPLTELESSQIRRFQDYLRIRTAHPTPDYAGAAAFLLPYAASLGLGTTTLHFTACKSKPLLLLTWPGTDPSLPSILLNSHIDSVPAEPEHWIHPPYAAHHDPATGRVYARGAQDDKCLPIQYLEAIRGLRAAGFAPTRTVHVSLVPDEEIGGEDGHEKFVQSDEFRALDVGFMLDEGQASLTDEYRVFYADRLVWKLIVKATGAPGHGSKMFDGAAVGNLMDVIETVAGFREAQFGKVKAGKSGPGEVVSVNPVYMNAGTPSPTGFVMNMQPSEAEVGFDLRLPPTEDIEQIIRRIKEEWAPAHKNLTYQLMMKGPVRDVAGRPLVTLTNESNPWWSVFEQAIISSGGKLAKPEILSSTTDARFVRQMGVPALGFSPMTNTPILLHDHNE >cds.KYUSt_chr7.12299 pep primary_assembly:MPB_Lper_Kyuss_1697:7:75628222:75632189:-1 gene:KYUSg_chr7.12299 transcript:KYUSt_chr7.12299 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSIMIAMGCFVFASLCLPYSASLEHVATLSTAETATRSASDHQVLMSFRSLIKGDPLEALNSWGNQSIPMCRWHGVGCGLRGHRRGRVVALDLRGLNLLGTIASSIGNLTYLRRLDLQKNRFYGTIPSALGHLVGLEHLNLSSNYIGGNIPPALASCVHLQVVSLVQNNLQGVIPSALGVLSKLRVIDLSYNMLKGSVPRELASLQNLEILALRYNNLTGNIPVEIGNLKALMILDLGSNMVVGEIPTQIANLRNLTDLFLDSNGLTGPVPTSLAGLQKLQTLYLSRNQLSGPIPPSLGNLSSLLVIEFKRNGLTGSIPESLGDLNLLKSLSLTLNSLTGSIPSTLGKLSSLTTFYLNNNLLEGSIPPSVYNLSSLQAFSVQFNNLSGSISDDLGNKFPELQQLSMDNNQFQGPIPESLCNASMLEVVQLAHNFLSGVIPKCLGTNMKSLWALILSQGQLKARNDADWGFISSLTNCSMLQILDLSSNELEGVLPNSIANLSTNLKLFGVDYNMLHGNIPEGIGNLVNLQYLHLQNNFLHGNIPESIGNIGVLGELYLFNNNLSGPLPPTLGNLTTLNSLELGQNLLTGPIPSSLRSCPLETLSLQNNQLVGPIPKEIFLISTLSLSLELEGNMLTGIFPPEVSNLVNLGYLDVSDNRIYGTVPVSLGQCRSLEYLGLNGNQFQGTIPASMSQLKGLLVLDVSRNNLSMSIPVFLGDMHGLVTLNLSFNNFEGEVPDRGLFLNASAALIEGNYGLCGGIPQFNLPPCSSLTSKKWSRKLVVSISVASAVLFIILVLFALFAQRSLRSKFAKRRVQSLHGGQHMRVTYAELVKATSGFAPENLLGTGSFGSVYRGTMMEGDQEVIVAVKVLNLQQRGASQSFVAECETLRCVRHRNLVKILTVCSSIDFSGLDFKALVFEFMPNGNLDQWLHSHLLEDGSHEVLSLIQRIDIAIDVASALEYLHNYKPVPIVHCDLKPSNILLDNDKVAHVGDFGLARFLHQDDTSLPEISSGWATRRGTIGYAAPEYGQGNEVSVNGDTYSYGILLLELFTGKRPTDSEFLQDLNLHRLLMLRESYWGSKTNIAHTYRLKVNPSRSLVALDSLGLVFIWFIKTEDGASFVAAIQ >cds.KYUSt_chr4.43449 pep primary_assembly:MPB_Lper_Kyuss_1697:4:269432644:269434866:-1 gene:KYUSg_chr4.43449 transcript:KYUSt_chr4.43449 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTGASPLPSPPQLPPPLTSFKNSSSHLLHPMDGDEDDSHHGGRALALSKMAGEARAIGRLSVPMAVTGLVMYSRSFISMLFLGQLGQLALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKLLALALHRTVLLLLAVALPISVLWITSTGHILRLLGQDEGVAGAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSLFSVLLHGPINYLLVVRLRMGVAGVALAVALTDLNLLLALVCFLAISGAHRDSWVGPTTDCLRGWGAMLRLAVPTATAVCLEWWWYELMIVLSGLLANPRAAVASMGILIQATSLVYVFPSSLGQGASTRVSHQLGAGRPAGARRAGSAALSIGLVIGAVACTFMVSVRNHWGRMFTSDSDILRLTAVALPIAGLCELGNFPQTAGCGVLRGSARPASGARINLASFYLVGMPVGLALAFGAGLGFAGLWLGLLAAQAACAVWMARAVAATDWDVEVARAKELTKPTATTNHAECNANTSNSSATTSAKTAMSSTSSNAGGSGNNGYVPISEGGSEDDPLQKLEEGLMTMASSSGGATGVSGSNGDTNAVDRDSKRSSSSSGAGCTTVEENDQRQDDDVSERAPLIRVGDEQEEEKAHDGDSHGGGYV >cds.KYUSt_chr2.47403 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296629917:296630489:-1 gene:KYUSg_chr2.47403 transcript:KYUSt_chr2.47403 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQALRVSARGAVRLLPLFRCRLRCRHRSRASVLLPVPIVAYVAVAQHLVLPAIPVPAPPLRGPQGTPLPSAPPPSAAAARRPPRPRGGRCAPQPPPPAPAPGVPHLISSLATIPEWTGDLRFLAIIAPGSPRIRRAPVESSWTKSSYGLELNDKIRTKIGFHTRRRTQSAPISAPPLDSSDFRCQLS >cds.KYUSt_chr5.17934 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115963382:115978273:1 gene:KYUSg_chr5.17934 transcript:KYUSt_chr5.17934 gene_biotype:protein_coding transcript_biotype:protein_coding MNCAAYAEAAVYTPSAVRRQATESGVPGSSSYNSSDDEYDQEEEENISLLLAYRAVKKPKFCGSVFGRNKLWRERIEGHEKLMRSYFNENPIFPESYFWRRFRMSLNLFKHIATEVTKYDRFFEQRRNAAGELGHSTYEKVTAALRMLAYAPNAQDKARLLEINANRGFPVCLIPLIVCIGVGRIVQRHGMDNSKDLQCGEGPLVEFEANGHKYNYGYFLADDIYPRWQTFVKPIIQPREDDRGKDVDHTHYDLMGVPVQVRSSGNSQDTPNSLVDKDEGLIIGVVNDLKEAGMAVELKEGMAIELKEEGVAIELKEEGAVTIELKDEGVSIELTVEGASTKMKEGVAIDLKEDRTAIELKEEGADSKEDAAAIGLKDEEARIKLEEETRLSQKRDYEQAIKLKGDGTKLFQRRDYEGAASIFDEAIKLLPKEHGDIAFLHCNIAACYMHMNPEDYERAVDECNAALEASPKYTNALLKRARCFEALDRLDLAFSDVEKVLSFEPNNITALELFESIKEEMEEKENILEDQVVSPVEHKTVFAKEKIKRKVSRKFRNSIVQEEVWLIHDDDIQENDEDDNEENCDEENHMKIDLSNEENDAKEMQSRHNHDEDKCSTEQNHVKHDENKEGENHENQQLQHTSWDMEEMHRKEMQNQNKHENPLKEIKVRSGQSQQETHTAQNQVGDVDKRQKHIEEVHTTSQSKQETHTDMYERFINGNQVKNSLEQHTSRGEDKQENQSAVKLPSHGRDEQKHTREKSTYANDGETKTAKFVLGDDIRIALVPENCSLLQLINIARCKYSPHLKAMLLKFQDIEGDLVTITSSEELRWVEDLKQGPARLYIKEVSPEREITRDIVMPSISTATLERKQSISECGSSRIAEEKNSSYADDWMMQFARLFKNHVGFDSDAYVDLRDLGTRLYYEAMEDTITSDEAQEIFHAAEAKFQEMAALALFNWGNIHMSRAKKRLCLSEDAPKESVLSQVKRAYELACAEYVKAGKKFEDTVDVKPDFYEGLIALGHQQFEQAKLSWRYADACKVDMGTEVLELFNRAEDNMEKGMEMWEGIEYLRLKGMSKSKKEKILLDKLGLDGHQKDLTSDEAFEQASNMRSQLNISWGTILYERSVVEFKLGLASWEESLTEAIEKFKTGGASLADISVMIKNHCANEKTQEGLSFKIDEIVQAWNEITGVRELFLVKYEILTGAKHVGISAMSSRNMGTEPIPDMEYGMEFEVLFGVSDGIQDITRSSGMVRRITFIYRKSLSKFGNDPVNLWKVVSRIIRNKSLWKEESLRDSTNPNQPTKWEGGVHGGLHLLGRPSKGGRGESLSL >cds.KYUSt_chr2.1939 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11653013:11654317:1 gene:KYUSg_chr2.1939 transcript:KYUSt_chr2.1939 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAASLVLCSPSSDDRFWDGLRTRVDTILEDRRLVVSSSAAATCGAASERPKRLREDSLMLVRGLDSVAASLAQLSDTLTAAQKGVSALATCSSQARECEEPHAKRQCGASTELAALDDAQKDVGAADAQPRDETSSGDVRASSELAVSMAGRAANLARELKTIKSELHFMQERCGLLEEENRRLRDGYDNGGAAPEEDDLVRLQLEALLAEKSRLAQDNANLARENQSLIQLVEYHQLTSQEDLGASYDDVMEGMRLDFDGEEDEFDGDGVPVTPGNKLSVLASPDA >cds.KYUSt_chr6.13267 pep primary_assembly:MPB_Lper_Kyuss_1697:6:82957520:82961571:1 gene:KYUSg_chr6.13267 transcript:KYUSt_chr6.13267 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEPEPESRHETETPAPSREPPLEPVADAAADRALQPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPIKREKSATKEAISILGEYISHASSHSSENLEPCCMEAAPKKRKLCSEASEIEHSEDTVANGRSDASESTGCIEVEASSCHSKTSEDVDRTSNLSLVKLSMSGLLFFSFPSGGVHVIQMLTEVFHSLRSGKLKSPQWCHRIFPIQETCILSETELHATVSKLFLDFFKNKRDQHEPIKFAVGYNRRGIDETVTKTQKNDDNSSTQQALMHREQCFKVVAGAIKSVSEGAIVDLKSPEVAILVEVLPISGVPIGSSVAGVSVLPAELFSAKPRLCVKALVSDTKAKKK >cds.KYUSt_chr5.29764 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188759434:188760795:-1 gene:KYUSg_chr5.29764 transcript:KYUSt_chr5.29764 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTCSRNCLAELLRRHGQTRCPSQLSPARSMTRGRSVMERSKKKRVNDLEVVIERCKVVSKVLTVLDALKTEEEHVTPLKRLEILRPQLGLAKPHKVAHFVHRSPQLFQVCRDGRGVMWAGLSPQAEALVEEEARLLEEHSRTAAQYVARLLMMSVGRRLPVDKIAHFRRDMGLPHNFRTRWVHMFPELFRLVTLEDGDYLELVSWNPDWAVTEHEKNVAALAGDTNAKSNAGAPGELSLPFHMKFPPDFKSYYKFRGKAHHYVKTGNTEQFQKTSYLSPYAEATGLTPGSQEFDKRAVAVMHEILSFTLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGIFYVSERGKRLSVFLTEAYDGTELVKKAPLVRWKEKVLQLTGYRGKNKNIGKVHESSDSDDNLFGGDDDDILNVESEDSDDVLDDGTLADDDEMDLGDLSDYTEDVSVKSS >cds.KYUSt_chr3.13378 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80605344:80606771:-1 gene:KYUSg_chr3.13378 transcript:KYUSt_chr3.13378 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGPPSPSGKAYSRKSGPKSSKPSAARSLDAHNGSVIPTVTFPFPSSPAARRELRRRLSSELSQVRGAYKRINSLPNAPAPSSALSATDPSTPLPPHPKHKSSKKAPPNPAGSAEARRKLYAPVFKSCALLLARLMKHKHGWVFNVPVDASALGLHDYHTIIVKPMDLGTVKQRLAEGHYKSPRDFATEVRLTFQNAMKYNPKGQDVYFMAEQLLNMFEEKWPEIEAEIAQLSPQPPTPSSAAPPKKPREMENSRVLERSDSTAHPAGLEATPKQQTGTGTGRPPVLKKPKAREPNKREMTFWEKQRLSNDLQDLPAEKLDNVVQIIKKRNSSLNQHDDEIEVDIDSFDVETLWELDRFVTNYKKSITKNKRKAELSVARQDESEHEPDLEKIEHARQDIEGEQDQMINAQQTIPEPEAVDVVDVEPPMVDAEPSKEIAAGKPK >cds.KYUSt_chr3.19178 pep primary_assembly:MPB_Lper_Kyuss_1697:3:117969970:117975912:-1 gene:KYUSg_chr3.19178 transcript:KYUSt_chr3.19178 gene_biotype:protein_coding transcript_biotype:protein_coding MSCINGTCTKVGSIKQPERKHGRASISNQEKDTDPALPTRKRISLARMSESSVWVTGQSGSIYERFWNGVMWVIAPHELPTSAGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTDMTFSYEQLPNLGERTQSQGTHIRNGVVSRNGRKLFLSIMNGSLLEVTELQPLRWNCHGRPAGADVSYISDAENARPGTVFTCSSTGDLYEFDRETKPSWKKHIWSEKTTPNVSLSSSVGCALHGLLGSNSVSLFLVTKDGILVERRLHRRKWKWDKHGAPKGQRLSSITEVQQDESNDATSMFFTTTTGKVFEYQFPKYTGGSPSNKIRGLWINHMSPDHAKVARSVRGLQVQVGRLIFQLDDGRLGELHLPGMGGDHFGPGQQNTIRRKVSNKYEWSILDTPETEGWNAEYCTEEHGPTNCITGAMNVAADTEATILSNAPPRRRNEEEKQQYLHVHSHESDETESYNFLSRSIYLNFHMRVMHADRSLFLITDNGFTLEYLNTNGVWLWLRHEHATAMKGTLGSYNGSLYLVDLHGNLHIRERNGDDLSWINCTAMRKGRQVASGPPWDGIPGLSRRVTTDDVLFFVNKRGRLLQFTVALRKFRWKDCHNPPDTKIAFIVDQEVFRRNIIFAVGRNGRMYQYNRITELWHRHYQSPHLVLSRSPGTAMRPSPLSLAGSIFMISEHGGLVEYHFSPQDGWEWVEHGTPHRDVTLVVAPGPCFDGTQLFVIGSDGHVYRRHLDNRTWRWTSHGHPSEPSTMAPDSAGGEQSCATLGTTDAHYASSFSGSCDEKVAAMRPMPFSEDAVVFELRDGRVSKQDKGHAVLPLLLAASPES >cds.KYUSt_chr2.870 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5164601:5166082:-1 gene:KYUSg_chr2.870 transcript:KYUSt_chr2.870 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSMYYHLLLQFVVAIAISRSSCVVALDVGYYASTCPGAEATVRQAMEQQFYNDNTIAPALIRMLFHDCFVRGCDASVMIIPTAARSSERVAIPNQTLRGFNIIDRVKKVVEAACPGVVSCADTLALMARDSVVHLGGAEYDVPLGRRDGLQSNAWEVNLPAPFAPLDDVLAAFASKGFSAEETVVLLGGHTVGATHCAAFRYRLRNADDGTMDENLRRDMTAACGMSDMAPEDDPTEFLDPGTPFVVDNACFGQMMGNRSLLQVDQEAATHAITAGHVAYYAASPDAFLLRFSEVMAKLATVGVLEGDAGEVRKVCSAYNK >cds.KYUSt_chr2.47902 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299494863:299499209:1 gene:KYUSg_chr2.47902 transcript:KYUSt_chr2.47902 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKIGQRFEIACFPNKVLSWRTRVEKDLDEVLQSHTVYSNVSKGVLAKSKDLIKAFGTDDLTKICVEILEKGELQVSGKEREAQLSSQFRDIATIVMEKTINPETRRPYTMTMIERLMHEIHFAVDPNLTSKEQALKVIKKLIEHFPIKRAPLTVRFTAPKSNLAGLMEKLDEWNAIVISKDESGSQSSLVCEIEPSILHSCEERLKDVQGRVEVLSVSAHAEGGSSTDQYDNVEDNVEKVHSVPATKEAVAIAQLSETMQKQSLSSEVETQGQALGKNQKRCKECAIVVEDKSYRDHCKSAWHKHNYTRNKNGLPPLSQEECLIEIEMAESNRGMKDYDY >cds.KYUSt_contig_1105.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000102.1:22776:26387:1 gene:KYUSg_contig_1105.3 transcript:KYUSt_contig_1105.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSLPAPLLRSLRLKTKQQELLLRVSALALIYVLAFAVRLFSVLRYESMIHEFDPYFNYRTTLYLTEHGYAEFWNWFDSESWYPLGRVVGGTLFPGLMGVAIFALLLTFYLFVRAVNTGSLAWALAAAFGYFYMVSAWGGYVFIINLLPLYVLVLLVTGEVFFFLDWVKYMLDDVKLFKSFLRITLTCVISVGTLALGIAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMGAVDQPLPFQHNAAIALLLGAFYLLSRYAIHCTWVTSEGLLFSFYCSVRKGS >cds.KYUSt_chr3.856 pep primary_assembly:MPB_Lper_Kyuss_1697:3:4414829:4415696:-1 gene:KYUSg_chr3.856 transcript:KYUSt_chr3.856 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAIVEMDINWFRCPDCSLVLTPPVFQCKEGHLACDGCRAGKCQRCNGTFNTRNKVVEHIISVSKFKCPFTGCHGFFPFRDLRAHREACLHAPCFCAEPGCTFAAPPPTLLRHLVVDHLWPAHPVVYGQVLRLRAPLLEPRRLLHAKEDGGVFVVVIGALGAVTAVSVVCVRKAGGPSQPCYTAELRASDPPLPGAGAASMIRMPMETVTSTDRPGEVSVEKLPWVMSVPSTYLLAGVDGDGASKKLHLKIQIKKIS >cds.KYUSt_chr2.53032 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330906089:330911226:1 gene:KYUSg_chr2.53032 transcript:KYUSt_chr2.53032 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPTRRWALLPLLLVASSVPAGLCDTNPQDASALRSLMSQWSNYPSTWTSSGDPCDGSWDGIMCSNGRITSLRVASVNLQGTLSDSIGQLSQLVFLDFSYNTGLTGPLPASIGNLVQLTTLIVAGCSFNGGIPKELGSLLKMSFLALNSNQFTGTIPASLGLLSNLYWLDLADNKLTGSLPISTGTAPGLDMLTRTQHFHFSKNQLSGPLTGLFNSNMSLLHILFDANQFSGPIPSEVGTISSLTVIRLDRNKLVGPVPNLTNLVNLNELNLASNQLTGSLPDLSSMNMLNVVDLSNNTFNASVAPNWFTTLTSLTSLSISSGKLTGEVPKALFTLPQLQEVVLSKNQLSGTLDMSGNISQRLQSVDLNTNSILSANVTPNYKKALVLLNNPACFDESLSGGPFCSVQQPNVIAYRTSMDKCALTTRCPSDQNQNPVNCGCAFAYSGKMIFRAALFKDSSDSVMFQQLEMSLWTQLNLRAGAVELSDVHFNSDSYLQVQVELFPSSRTSFNHSELIIIGFLLSNHTYKQPEKFGPYIFIADKYIPFLVAGGNKSKFSTGAIAGIAAAAGGLLVIALILTGLFAFRQKRRNQKLKVQTNPFARWGTMPKESGKAPQLKGVRFFTFEELKSCTENFSDSYEIGAGGYGKVYKGTLVDGMRVAIKRAQSGSMQGAAEFKNEIELLSRVHHSNLVSLIGFCFEHGEQMLVYEFVAGGTLRENLVGRGSYLDWNKRLRITLGSARGLAYLHELADPPIIHRDIKSTNILLDENLKAKVADFGLSKLLANGEEGHVSTQVKGTMGYLDPEYYMTQQLSEKSDVYSFGVVMLEMVSGRQPIEKGKYIVREVRQVLDPADRDYYGLHAIVNPAIRGAARTAGFRRYVQLAMQCVDESAVARPSMGTVVKEVEDMLLKEPDMDGPNLAKSSDTEFDGTGRGAPTHLYSDVEITTGGDSVSEYMPYLEVKPK >cds.KYUSt_chr1.10165 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62349846:62350436:-1 gene:KYUSg_chr1.10165 transcript:KYUSt_chr1.10165 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCRVSLFPDMPTAEEDESWGSFWSDRRRHREEVEVSDVSITGNGDSEGGPERGDCRAVEGHVAGRFELLGAQRTARFINGDNALAEEDIARVHPGMHEEPGEEPHTGGRTAALDESRVRRGDAVETVEPIEVARKQLVLGAATMNQTPLVSICGQGDPGDRVLEGGQLALTGSSHSRHKDVDDATAKSISDRHL >cds.KYUSt_contig_1658.191 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1242575:1243951:-1 gene:KYUSg_contig_1658.191 transcript:KYUSt_contig_1658.191 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSVADLRQLIAATAEANKATAEAGQANAARISDINAVLDRLVLSQQEIVRNTTKVDTTLDRVIQANTALDRSVVDLKQSMELVASRLDIMEKARTTLSTPGTIDLDPGSLRPERRRQQHNHQGACTGEDRTSSRALVRGELTDTNPENYVVSDEEDEIAETENTYTPHHRSHSGPRLPRFIAGLKHDIRRAIALQNPGTVDLAYSLAQTQETLLVEDTTPSSNKYTQRDALRVKFKQHGQLPGFLGAPPEEKKAGDKPAAASVSKFDSLRAQRRARGECFKCGEKYAPGHKCPSQVQLHVLEELLEALQIEHPTPVEDIDTETSESGAEDTDTE >cds.KYUSt_chr5.41168 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259864586:259865887:-1 gene:KYUSg_chr5.41168 transcript:KYUSt_chr5.41168 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYNAGAAPATEYIPLSIFDRVTFDMQMAIIYAFASPAPSTAAIEKGLAAVLAQYRVFAGQLGVNPDDGAPSFILNDRGARLVEASVDADLIDMAPAKPTPELLKLHPDLEGELEEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVACGRATRGLPMGAPPVHHHKDLFKPRSSPRVEHDHRNREYYLPSPTNVESHHGDVADNIVIHKAHFTKDFIAGLRGSASEGRGRPFSRFETILAHLWRTMTRARDLSPEETTTIRLSVDGRHRLGKPAEYFGNMVLWAFPRATVGDLLTRPLKHAAQVIHDEVARVDGGYFQSFVDFATTGAAEKEGLARSAMCKDVMCPDVEVDSWLTFPFYELDFGTGSPSYFMPSYFPTEGMLFLTPSYIGDGSVDAFVPVFQQNLQAFKECCYSTQ >cds.KYUSt_chr4.43550 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270091485:270091760:-1 gene:KYUSg_chr4.43550 transcript:KYUSt_chr4.43550 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSSIRYQRNHAQELKLNGGDVQELNGGDGLKLHSGDALKLHGCSSSLISAAPSYSFAVHRLHSSHEVLLRCRSAEERDRLLPAPARL >cds.KYUSt_chr1.42875 pep primary_assembly:MPB_Lper_Kyuss_1697:1:262278839:262279582:1 gene:KYUSg_chr1.42875 transcript:KYUSt_chr1.42875 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDGPENWGKLSPAYKECGEGKAQSPIDIVTANAVPNPSLDNLTRVYAPTVATLNNNGKDISMTFQDHEGHSVSPGTILVCNPDGSMKAFGFKMIHWHSPSEHTIDGQRFPLELHLVHASEDGHLAVIGILYKIGDHDAFYDQLEDKLRELKTEHRVAAGLVELKSLQKRTGSYFRYMGSLTTPPCTENVIWNILGKVREISAEQLQLLTAPLPHKDNRPAQPLNGRTVQFYSPPNSTVSFQNISQ >cds.KYUSt_chr2.53726 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335160358:335163562:1 gene:KYUSg_chr2.53726 transcript:KYUSt_chr2.53726 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTCCSDRFADDAEASGSGEGVAVEDAEIDKGDDGIDTISSGRSVDGKHDAEDTGCRADFRYYITRATSAKENEMTSAMSSSKKLRLLLLPFFATSHIGPFTDLAVHLTTASSDAAVEATVAVTPRNLPLLESLLQRHGRAAARVKAATYPFPAVDGISEGVENLSKAAPGDAWRIDAAAFNEALMRPVQEPLIRAQSPDAVVTDIHFYQMGLL >cds.KYUSt_chr1.42195 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258389942:258390520:1 gene:KYUSg_chr1.42195 transcript:KYUSt_chr1.42195 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRQAGKRLGGDVVQRSRSAITSEKGRRLPARFLHNPTMATAADDSTAVARFAEILEKKEELFDLVADFHTTCRTPLSMSWGHSQLLHRLSTQIEPRPMDPLWRACRRAQRETSFFTALGMCTAGISGVYGLHWLKKKYARAEVSTNGALHP >cds.KYUSt_chr2.23912 pep primary_assembly:MPB_Lper_Kyuss_1697:2:145839893:145849487:1 gene:KYUSg_chr2.23912 transcript:KYUSt_chr2.23912 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAAGQRLKLVIRAAMSGCSFGDRGGGELLQLWGSRRAASSYSCSYRRVPWQLWLVPIGLALSRYLSKEEIERGSPSRQDDMGVGKEAQLQATYCSFIRDVGLRVRLRMGGGCALEEKRPCRRPWRACSPRMRCVGCGHGKGWEKAEEESVSSLWSIGKLGRERELRCSGGVVDEEETWWASSREGRKQERGDKVEAGTEAAQPCFPAQEEEKAAAKAKKKASREKRRAESAARKAARKEEEKKNGAGPSTIILSSSFSFEWTSTPVSETTSSSHSSDYDCESKLTAGSRDAAEDLKMQCNSRYWSYRPHQRPQTPNGSGALALIGGSSSSTFLLEISSLGKSLRHWLSQCAGENSSDSQKISYGMS >cds.KYUSt_chr2.3198 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18944436:18949298:-1 gene:KYUSg_chr2.3198 transcript:KYUSt_chr2.3198 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTGGDAGRASRHRKRRLLLSKLYTYACARRPSAVDDEGSRIGGPGFSRVVHANDPAAAAAAEGGGYRSNYISTTKYSAVTFIPKSLFEQFRRVANIYFLAVALLSFSPIAPFRWQTAVSPLVLVLLVTMVKEAIEDLRRKQLDVEVNNRKIKVLQDGTFHQTKWTKLRVGDVVKVEKDEYFPADLILLSSSYEDAICYVETMNLDGETNLKLKQSLDVTSHLQDDDSFTSFGAVIRCEDPNAHLYSFVGNIEVEERQHPLSPQQLLLRDSKLRNTEYVYGVVIFTGHDTKVMQNATSVPSKRSKIEKKMDGAIYLLLCSLVLISVIGSVFFGIATKDDLREDGRMTRWYLRPDDTTIVFDPNKPAISAVVHLFTAMILYGYFIPISLYVSIELVKLLQAKFINSDIQMYHEESDTPAHARTSNLNEELGQVHTILTDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERAMAKKKGSPLIADMEIGIGGFQSEGKSAVKGFNFADERVMDGKWVNQDHSGVIEKFFRLLAVCHTCIPEVDEQSGKISYEAESPDEAAFVVAARELGFTFYQRTQAGVSLHELDPLSGEQVDRFYKVLHVLEFNSARKRMSVIVKDEEGKTFLFSKGADSIMFERLSSSESTYSEATQQHINEYADAGLRTLVLAYRQLEEVEYAKFDRKFTAAKNSVSADRDELIEEAADSLERDLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQITITLDTPDIIALEKGGDRAAITKASKDSVVQQINEGKKLLNVSASESLALIVDGKSLTYALRDDTKGMFLDLATGCSSVICCRSSPKQKALVTRLVKAGTRKVTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISSMVCFFFYKNIVFGVTLFLYECYTSFSGQTFYNDWSMSLYNVLFTSLPVIAMGVFDQDVSARFCLKYPMLYQEGPQNLLFRWSRLLGWMLHGVASAVIIFYLTIASLKHQAFRSGGEVIDLSTLGATAYTCIVWAVNMQMAITVNYFTLIQHICIWTSIALWYVFLLAYGAITPSFSTTFFMVFSEALGGAPAYWVVTLLVSVAALIPFFTLSVVKTWFFPDYHNKIQWLQHKAKADDPETELGMVLRQFSVRSTGVGVSARRDAKLVRVNSRVFQADSSSQGDQLP >cds.KYUSt_chr1.11992 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74073558:74075913:1 gene:KYUSg_chr1.11992 transcript:KYUSt_chr1.11992 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFSLLAAEAALVVVLLFRTPARRLALLAVDRSKRGRGPIMARTVAATMLIVLGSSAYSIAKIRRREGEFAQLTPTDQVLASRHLLEASLMAYSLFLGLVIDRLHHYIRELRTMKKNMEAVTKQSRVLEEAKLGGTEEIQGYQKKIDSLTEEVQLLKQQSVSKTEELKTAERNTLALRKQSEGLLTEYERLISENEELRKKLQTVEVRLSNSDSKKNT >cds.KYUSt_chr2.12996 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82361461:82361763:-1 gene:KYUSg_chr2.12996 transcript:KYUSt_chr2.12996 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAQAEQAWDAVNGAEAYRWEERCEPIGAAATPELPHEAAHWRNSMAGLGKSPRCRFSLHADPLSATLPMRPCLEHNGTCSRDDERLATRRWEALSVSE >cds.KYUSt_chr5.3350 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21679714:21680508:1 gene:KYUSg_chr5.3350 transcript:KYUSt_chr5.3350 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGRCSRKRKSAELGSPEVGAASEGRGPGPTAGDQVPPPPPPLPGAEGGGSDRISDLPDAVLGEIISLLPTKEGARTQVLASRWRRLGAPPLNLDRRPYLFDKDARDAIISRILAIHQGPGRRFCAPVYHLHGHRAEAWLRSPALDNLHELELCSYHPRFPFPPETQHPPPAAAFRFSDTLRVATIGECHLTDSTVQALLFPKLQKLALKRVSISETSLHTLIAACPALECLLIHDSTGFRCVRINSSSIRSMGTLLSTMSA >cds.KYUSt_chr2.53036 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330936744:330938070:-1 gene:KYUSg_chr2.53036 transcript:KYUSt_chr2.53036 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLSAASPDSTPELAKPSLPTTWLILHALFCATSMAVGFRFSRLVVFLLFLPTTPLNPAAHLVSLVTPPLTLATANATATITTTTTTTTTVTTTTTVAETDAFANAHHQVHHGPVFVGRHAIRVRKWPHPDPSELLKAHHILAAVQSAQRSSSRRGNGPPRPVIAVTPTATSALQTPSLTSLAHTLRLVDAPLVWIVVEPGHRTDAVDAVLSRSSLDFHHLVGPDAASTAQLRMHALREIRKQKMDGVVVFADENGILRTELFDEAQKVKSVGALPVGILGEDEGTKESFLQAPACDEAGKLVGYHVSDETLLPADRSDMLLSSRLEWAGFVLNARVLWESGASAERPEWVRDLDAVGDAHLDSPLALVADAARVEPLAGCAQAALAWSLRSDALREVKFPHE >cds.KYUSt_chr6.3291 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19185288:19185857:1 gene:KYUSg_chr6.3291 transcript:KYUSt_chr6.3291 gene_biotype:protein_coding transcript_biotype:protein_coding MSISIGIGSSDRGGEMWKLRHGGRSQEDVAKSRRVQSNEHSSRSNRILPDFFQTLIWSLGPSPDLSSLASRVSALFWGQIDGRGIRPDGWATTSVFRGLLRLHTAGAGTDGCHGAEGWRGAAPSAGEGDLASRGFRCLKPERLAAEAIARCPRLPPTRPSWRLELVLNPVSSAGNDEKSTRFMRPRSSA >cds.KYUSt_chr3.25391 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157640217:157642007:1 gene:KYUSg_chr3.25391 transcript:KYUSt_chr3.25391 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGGAAPSCARSLAELLVALSAARALPKGQQLHGHLLKAGHLPAAASSHALLAHHLLTFYARCSLPDFSLRAFLDLPAPPSAAAWSSLISSFAQNGLPAAAFHAFRRMLAAGVPPTDRSIPSAAKAVAAAADSSRPALAPHALHGLASKTPFAADVFVGSALLDMYAKCGNLPDARRLFDEMPERNVVSWSALIGGYADAGMHHASLLTFRSALTHAVQVNDFTVSCIVRVCAAATLFELGAQVHARSTKTALDASPFVGSSLVSLYSKCGLVDCAYRVFSAAAERNLGIWNAVLIASAQHGHTAMAFQRFTEMQTAGFRPNYITFLCLLTACSHAGLVDQGKRYFSLMKKEHGIEPQAEHYAAMVDLLGRVGRIPEALELIESMPMEPPDSVWGALLMACRMFKDADTAAIAAKRLFETGSRSSGAHMLLSSTYAAAGRHVDAALARKAMRDAGVRKETGLSWLEAAGEVHTFVSNCRRHPRSTEIYSLLEKVGAKMEAAGYVADTAAVVKDVDRDEKRATLGYHSERLAIGLGLLIVPEGVPIRVMKNLRVCDDCHNAVKYLSKCTGRVVVLRDNRRFHRFEDGLCSCGDFW >cds.KYUSt_chr2.30441 pep primary_assembly:MPB_Lper_Kyuss_1697:2:187640774:187641805:-1 gene:KYUSg_chr2.30441 transcript:KYUSt_chr2.30441 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEQPATKRAKLSDGGGGGGGDDLLSALPDDILIHILLRLGNADVSARTSVLSSRWRRLWAFLPGLHFPPDTDPDTIRAVLAAHQAPALHCLRVLARDAHADFVAAWIPIAARRLSGDLTFINIASPDIVRNEAGDRGAFELPCFENATSVSLQLGFLRVAAPASGIAARLTDFHLDNFRLQPPCVLGDVFSSPLCPSLQRLAIHDASGVDKFTIRSESLLELELMNLDGLQQLAVVAPALEELRVAFCFLNFRNPNQPVANISAPQLVSLEWRDAYDPCSVQLGEMPHLQRLGTGIFLVYGPDDFKPNRDCLSFLGHFKVVHSLTIPLLYNSVSSVFML >cds.KYUSt_contig_1253.473 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2891023:2892305:1 gene:KYUSg_contig_1253.473 transcript:KYUSt_contig_1253.473 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKSSPSPHALSAPASGSYPDWILLDTLASGAKHRWRSLSSSAPASASSATTATASVKLENGAEAVVEISFRLFEPPDVSSFSVRCGGVRDDGTPYHACVVGAEGNVVLLYIILDRYEPGLFFVYTASHGSPSLDVLPRPSCSFNPTQSVGILPLAGGRGYLVAASACAQFLEGVFKCQLFLYSSETKAWSTKLAPVLGDRDTDWEAGILVCNLLSEEEPVLSFIDLPDPMLGNEDDFGITSARSIRDAICVGDLIRLVEVDYHQHEDAVTLGIADTDDYGWKAKIWTRMLASRDWHDSFAVDTDEIPVTAEVRSHLFPDPWDEKLEEQSLNDLIYSAPTLSVENGVLYMMSKLDFDPMNPVAWLAVVDMRKNSLELVSITAELISHCNMTHRPCDFSKFLR >cds.KYUSt_chr7.30777 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191562227:191565184:-1 gene:KYUSg_chr7.30777 transcript:KYUSt_chr7.30777 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGDGCAPPSSASKGKARMDDDAEAAEGVPCGICLTDSRRAIRGELDCCAHHFCFVCIMAWARVESRCPYCKARFRTIRRPPVPGRLPDERVVTVAQRIQVWHPLGNESSVVGADPSANSTCSVCSCTNDEDLLLLCELCDVAAHTYCVGLGTTVPEDDWFCKDCATSKEEHSRCQIDDGSGSSDESELEITIEVPSAEPVTDPSLSDIVDEGYSRSSVRPTNVRSSGPVPVPSIYGNSDGYYGSNLARPADARSSGPFQVPSIYDDVDEDYGTSPVHGTNVQSSGPVPSIYDIVDEDYEANSVRRANLRSARSDRTSEGTSSDESICLGSPQKRGSGRTFLHAHARFGIERARTLRNSRNLTRRITELRENWSGLREGSVGFATHLRNNRRENSAATSSVNEHKQSRMPSTKETPTISGYANKIAPKETRHVRSQSGTTVNPVEPPAVQVTTSSETGTAAATVEVRKTSGSDRHGSKRKLRFETSDDQGSKKLRKRSKIAKGEISSLALRELKLLKIDKTYGSERFKEAARATTHTVLASCGLEHSPELAVAVPKPVCKHKPPRPSVIANTCKECLCDFVKLAISSVLSGHQMDQAAPSC >cds.KYUSt_scaffold_869.86 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:472971:487846:-1 gene:KYUSg_scaffold_869.86 transcript:KYUSt_scaffold_869.86 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPSTATCATALHFPHPSPPWSSVGGAGHVQFFRRRCSSLRRRGGGEVAPAALGGLLGGMFGGGGGDDGEAARRKYSDTVALVNRLEPEVSALSDAELRARTSALQERARAGESLDSILPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEAFEQDIHYTVDEKQRNVLLTEQGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLRDVNYIVRSKEVLIVDEFTGRVMAGRRWSDGLHQAIEAKEGVQIQNETITLASISYQNFFLQFPKLCGMTGTAATEKQEFESIYKLKVTVVPTNKPMIRKDDSDVVFRATNGKWRAAVVEISRMNKVGRPVLVGTTSVEQSETLSEQLREAAIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRIVDPIDGVIVSKKQLPPRKTWKTNESLFPCELSKDTLSFVKDAVEVAVKEWGEKSLPELEAEERLSYSCEKGPTRDEVIATLRNAFMKIADEFKIYTEEEKKKVIATGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASGSLESLIVEYAELTMDDILEANIGPDTPRESWDLGKLIAKVQQ >cds.KYUSt_chr4.12399 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75740864:75747642:-1 gene:KYUSg_chr4.12399 transcript:KYUSt_chr4.12399 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAQLVIGPAGSGKSTYCSGLFQHCETVGRRIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLENYLDDDYLVFDCPGQIELFTHVPVLRNFVEYLKRKNFTVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELSHINILSKMDLVSNKKDVEDYLNPEAAVLLSQLNREMAPRFGKLNKALADLVDDYSMVNFIPLDLRKESSIQYVLSNIDSCIQYGEDADVKPTVPYRDDRLCMPARVNPGLADLTPPSLTSLVQKSKTTMYDAWEEAALVATVEAVEEEPQLTEYEAWEEAALAVTVDAFVADEQQQDAERRHQEAVRRQLSSCGSSGGRSLRGDGRCGRSSSVKMKSMSGGAWRRCSCGWRCNRGFGGRRWSTVGGGRSWSSICARRRRPRIERPTWRSRRREWRGSTMEEQRRELAAQQRAIVHLSIEPGGQ >cds.KYUSt_chr2.3540 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21191147:21193812:1 gene:KYUSg_chr2.3540 transcript:KYUSt_chr2.3540 gene_biotype:protein_coding transcript_biotype:protein_coding METNTGPADGHGGGGHVFLLAFPEAQGHLNPMLQFGRRLAYHGLRPTLVTTRHLLATLPPPAAPFRVAAISDGFDAGGMAACPDFEEYGSRLAAAGSDSLEALFRSEAAAGRPVRALVYDPHLPWAGRVARAAGVPTAALFSQPCAVDVIYGEVYAGRVGLPVVDGSALRGLLSVDLGPDDVPSFVAAPDSYRMFLDAVVGQFDGLEDADDVFVNSYHELEPKEADYLASTWRVKTIGPMLPSFYLDDDRLPSNKTYGFNLFDSTAPCMSWLDSQPPCSVVYASYGTVADLEPAQLYEIGNGLCNSGKQFLWVVRSVDEHKLSEQLRDKCKGKGLIVSWCPQLEVLSHKATAKYVESAWVIGVRVNRDTEGVVRKEEVERCIREVLDGVRKEEYKKNAYSWMTKAKKAMQEGGSSDKNIAEFAAKYASS >cds.KYUSt_chr1.34003 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206815614:206817721:-1 gene:KYUSg_chr1.34003 transcript:KYUSt_chr1.34003 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTAESAATTAMLRQLCDSLSGVKQQLTELSTQVADANTAFRENQERTAALEARTEEIAQWSPPAAAPTSSATPGVVYTTNTPPGQAVLPAPLTSAPGASHTANSPPGQPATQIRVDPGRGSASRPPSPPHLSMPTPSWSESDLGQKATATLDFTGVMLLGSLGSRRHPRAQEEEMELGKRRAGHRAEHKDFIKSGSRYTSDKSKLSRHEEDKKTDTSKPEDRLESLRAYRREVQQTAQVSDQTSVSLHIVEELLEVLQIQSDISSGHSDDEDLMLLSGNQTSKGKRKRCFRIQGGGVTHMVQVTPAKKYRNTPVTVPAIAEIAVSHDQIPEGVLTLLQEFTDMFKTPSDLPPRREIDHRIPLILELNQ >cds.KYUSt_chr3.35134 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220479833:220482836:-1 gene:KYUSg_chr3.35134 transcript:KYUSt_chr3.35134 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKFFVGGNWKCNGTTDDVKKIVTVLNEAEVPSEDAVEVVVSPPFVFLQQVKGLLRPDFAVAAQNCWVRKGGAFTGEISAEMLVNLQVPWVILGHSERRALLSESNDFVADKVAYALGQGLKVIACIGETLEQREAGTTMEVVAAQTKAIAEKISDWTNIVLAYEPVWAIGTGKVASPAQAQEVHDGLRKWLHANVGLAIAESTRIIYGGSVNGANCKELAAQPDLDGFLVGGASLKPEFVDIVKSATVKSSST >cds.KYUSt_contig_1790.298 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1498152:1498397:-1 gene:KYUSg_contig_1790.298 transcript:KYUSt_contig_1790.298 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVVFSVMKRYGLRSSCEGPIEASLLMATSALRGCFNIQHFVRAFAFTPKASLGLRPSAESLHEDCDCSLHQSRLCIISL >cds.KYUSt_chr2.41855 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260572234:260573842:1 gene:KYUSg_chr2.41855 transcript:KYUSt_chr2.41855 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHPHCGVRASASLIPALFSVAVARDSVCLFSAYHLLDRVRCPFRLDETSEMHFRLCAMTGGTKKSTGAVTGGRTKKSAFEKSLRNAVNWPTPEQLARSSLAEASKKAVRSSLAEASKKAVTKDDNKPGSKAQAHNDDAAGGSTSDEDA >cds.KYUSt_scaffold_6468.974 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4726169:4726396:1 gene:KYUSg_scaffold_6468.974 transcript:KYUSt_scaffold_6468.974 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAQPPVMETPVSTAWERPLDRYVRSRLEASAAEEAAMAEQRAAAERDLFVATTAEEAAVPNDGPVATSCQGL >cds.KYUSt_chr6.21038 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132779097:132780893:-1 gene:KYUSg_chr6.21038 transcript:KYUSt_chr6.21038 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGSGWGDALAIVVYIVGLGFVLVGPLWRLGVEHQILCVLWESFFILMSWWRVMLSTEKIIPGISDNKAIESSFCIFFVMLPRWKDEMQSLDASALVRRHRYPLRLPPQICGRYFGIYGRLVSLKWCCCASTLLVHLLSMFFVAFLGAMVVIHIEVDRAAVIRWVWSSGTRLSWSFFSATKFWELKSLAMKSHIRLDMISGKISTSTGRPFWSSGIEGLVRLETSGSVPVSSQHGCSELISQLRRGEEKGLDCFPRFFTLIAMAAAMKITLLALAAMAILSTVSAATYNVGEPAGEWGFGINYGSWASSKQFIPGDSIVFKYSPQAHDVLEVSKADYDSCSAASPMTTLKTGNDVVALPAIGTRYFICGFAGHCTAGMKVAIDVVSASSPSTPSSPTPASSPSASNSPPPPSPSAATSVRVTAGLGLVVLLAGLLA >cds.KYUSt_chr2.34796 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214736086:214742129:-1 gene:KYUSg_chr2.34796 transcript:KYUSt_chr2.34796 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGAPGGMRRSASHNSLSGSDDFDLTHLLNKPRINVERQRSFDDRSLSDVSYSGHARGGAGGGGFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTIAAYDHASEEVLNYDQVFVRDFVPSAMAFLMNGEPEIVKNFLLKTVLLQGWEKKVDRFKLGEGAMPASFKVLHDDKKGVDTLHADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAEKPECQKAMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQSLFFMALRCALLMLKHDNEGKDFVERIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCEGGFFVGNVSPARMDFRWFALGNMIAIVSSLATPEQSTAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAASIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGKYVGKQARKFQTWSIAGYLVAKMLLEDPSHLGMIALEEDKAMKPVLRRKDSCSGARCLIAWDNVVLSKQEDSFGIKDLHRQNMCLLLNFVHKLHQSNPLPGKKWFFPHSGHDLGEYSTYPSFLEKIVEECLPLYRVIISVEVVDDCSTSFWLNKWLPDAPLAERYPALFYHYTHRHATVASVVALGLDLQARLTSEAELLLVRRFVNNTSLGPNHDRRSIESPSTPRFFSHEAHRMISPPHPRDASACVAWSLWLPRKLKIFAYLANINRPSTRANLFYKNCTPLMFALLAPPWKLADTCSSAASWLGRRDPGWMSQSLLDGSSFVIFRPLPPLLPPPGA >cds.KYUSt_chr1.9544 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58210156:58211091:1 gene:KYUSg_chr1.9544 transcript:KYUSt_chr1.9544 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPADSIAAGRSRRFEAPSPSPRPKRVRVFFVDADATESESSGGEEAEPRGRRRLLEVINIDVKAVSTSPPSPWAAPPNPKRIVLARRRVAKASDRRRFRGVRRRPWGKYAAEIRDPSLRKRLWLGTFDTAEEAAAVYDDAAVRLKGSLAVTNFSSSSDSGAATKTTRRPRQQVKAAGLPVETAAEAPASASPSSPASSPEPEPPSQTDDAAESFDQLASPAPEPVEPSQPEDAAESFNPFASPTSVLRRAADEAVPPALDILYGELCELGAAPASKAAEFDWQLQWWENEEFVAPAAGLTAGSAVSVQ >cds.KYUSt_chr7.29064 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180814439:180817076:-1 gene:KYUSg_chr7.29064 transcript:KYUSt_chr7.29064 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSSGVGRTRRTVRSTVGRTRRPVGRTVGRTVGRTVGRTVGRTVGRTVGRTVGEDLEEDEGLGGMPPELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGRKYFKRAEGKEIACDVILHELCRVRVTGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQGVLSCDGHMVDIPRVRPEAREGKQKRSEMGGGSHVLGSKNLALTLQDEEVKTGVAPNLFGFFQKSKTRKEPHPETGSLWVNDLAEGQCGAYRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIADGLVDPSTIPSLRQIRRGRTSEQPRVETRPRASDLAIEKLRAEMEERERRHQEEQMQMQQQLRENMQMQQQMLQQMQQQQQMFQQMFMTSPPGSSAPSTSCPPMFPHFIPTPDPAVMALLQQAPSQSPLTPGLTVNNTGIIRSLQQFLGGQGDGGQGGGEGQGSGGQGSGEG >cds.KYUSt_chr6.31544 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199356846:199357319:1 gene:KYUSg_chr6.31544 transcript:KYUSt_chr6.31544 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPVSLRMRRARASWWRPRGREAPGSASLSAPPPLLAITRSKAFLRMSSRSCKCKNHAKKSSLDARGGGRRGPGGGGGGRVRQRADDEAAPPARRTCAVIRADDEADNGGQHEKQSATMLLDRAQGGVAAERAAVPAAAAEAALHRRAIHTVIAN >cds.KYUSt_chr6.27819 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176495269:176495628:-1 gene:KYUSg_chr6.27819 transcript:KYUSt_chr6.27819 gene_biotype:protein_coding transcript_biotype:protein_coding MREPVPDPDEQDEKGGAVSVVAAGARLAAAGYVVAEHLGDVAVSPQREERAGPELRRRLHARRHLQRGHHQAQRRLRRRVIPRVASLRLLPPPPGPRRLKRSSRSRGRERARREEVLMG >cds.KYUSt_chr2.54676 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341098471:341101657:-1 gene:KYUSg_chr2.54676 transcript:KYUSt_chr2.54676 gene_biotype:protein_coding transcript_biotype:protein_coding MDDENLTFETSAGVEVVGSFDAMGIRDELLRGIYGYGFEKPSAIQQRAVLPIITGRDVIAQAQSGTGKTSMISLSVCQIVDTSVREVQALILSPTRELATQTERVMQAVGQFMSVDVHACVGGKSIGEDIRKLEAGVQVVSGTPGRVCDMIKRRTLRTRAIKILILDEADEMLSRGFKDQIYDVYRYLPPELQVVLISATLPHDILEITSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRTNNFTVSAMHGDMPQKERDAIMNEFRGGSTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >cds.KYUSt_chr7.4927 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29571018:29572136:-1 gene:KYUSg_chr7.4927 transcript:KYUSt_chr7.4927 gene_biotype:protein_coding transcript_biotype:protein_coding METARKNITNVVRLEKLLTIDGYCATKTMGHGDCVRSSASIGGYDWEICIYPAMLPRAPDGTPWVAVKLAFLSETCPSILRANLSCRLVDSRGELRPSDAKSVSCIFNRSWIRRCLEMCSPFVNEDCSLPVRLMSRGDLAKSSFLRLDSFTVQCIITVLKEDLPAPAARVLAKDVRVSSPSLQQHLAELLHSGLGADVTFLVSGKTFAAHKHILAARSPVLMAEFFGHMKETSSPRVEIKDIDAVVFKPLLYFIYTDSVEESDRLESDRQYEALAILAQHLLAAADKYGLDRLKEICEGKLSDGITVDTAATTLALAEQHNCPQLKVKCVEFIVSTSEILNAVLATDGYKHLEASCPTVLPDLLKSARGRKS >cds.KYUSt_chr3.47862 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299889438:299894933:1 gene:KYUSg_chr3.47862 transcript:KYUSt_chr3.47862 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGDGGDGVAADEVVLEITDSSSHSTTSTPPPPPIPVSALDGPLPSPTVAVRADRSRLIESSSYFRALLGGSFRSAPSPSEPLALTIRPISRARELDSSGGCSESGSGYVQISCNLDAAVQVLRYLFEPPAITHENFLPLLEGALFLAVENLLLDCERWFRATGSHSSSMLVPLDFIIEVWYFGQTHGVTFVQDVCPGYLAQNFVVKSNYVKQFYFGFPQGFDPMNNWSPTQQIANSFFLARLGYAFYLWGVRQVYLLGIKRNWVEFGNSAVCMILNLLKDSLQALLDDGISKLLLNCTKIHSMVLSYTSFGNQSIQTLCSLDYLDPSDSFPYHKDEGPYAMASRLQELHLEGCKGICCAGMSQLVSNLSIVKSLCLRQTSLTDGALCNFVGSSLEYLDISETVVSMVSLAPIIRRSSNLCCLKTTGCRNLLSEHGEVQSVNGNKYGSFLQEITSTCCLEDVELGWAFCPVRIDDLIPSFSKVRKMTVGLGTTIPDNILHALPDICPFLESLVLRFQVISDNVVRNLLESSMNLQVLCLQYCLGSFTSFGFQTKAPTLRILCLQWVTPWMTNDDLTILAHNCNLVELCLSGCKLLDSSSQEIISSGWPNLTCLHLEECGQITVDGVSSILNCKALEDILLRHTGRGIGRTIITDAIRELPLLRQLALDLCDASEGGYNTPNNPEGKMMRSVRMSRCKSARSCFDPRVEVSSSSKPVHKETIVLEWTSKQLRTTVVEERL >cds.KYUSt_chr3.28526 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178045367:178046164:-1 gene:KYUSg_chr3.28526 transcript:KYUSt_chr3.28526 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSPGSSALPHRRSGRRSRREGIGRLPRWKSADAFLDASPQCHKRRYGHLDVCLKKKVLLPLDGRGGEEWKRCCFSSSASVRWSIEFWLQLRASWDLLQAYLLRPPPPTRGRCGEFDGGSFCIDGIGEDSSAASSAKLLWSWEAVFWSTTSAAGYSRLTSKAFSWPIYKPVQNSGESSTSIGRPLLISAPAYYVCTTISGFVPAAEHDGGDAELWLGGGDRGGPNCILTSFNEVFSATSGDLYVIFHPYGVLCNILYIHYLILM >cds.KYUSt_contig_2071.36 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000249.1:266134:269177:1 gene:KYUSg_contig_2071.36 transcript:KYUSt_contig_2071.36 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEEEQLLDTVVAVRFITSFTGQFLLHFQMHTMRLIKISNVFVVAIGVVYGIYSESRSGLSPRKRFEKEKVIYTMGVPKWSLRFS >cds.KYUSt_scaffold_1854.111 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:553814:555091:1 gene:KYUSg_scaffold_1854.111 transcript:KYUSt_scaffold_1854.111 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFMQWAMNSLDQQQHHAVAPPAYGGTGGYGDGGGSSAGAGAGAGPGPAAFPSLQALRRQSTAAGDGFRADLTVQVDQHRASNSWSASSCDSPCAAAMDYDATAAAAAGWSSHTASARTSRPMSWNFSAASAQTTEASLPSPAAAAARGGHSTPTAGRRAGGLLAATASHGQGAGGGGGTAASSSASASAGGPVQDHIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKYVRELQEKVKTLEDGDSAAATTTNIVRSAVLVNKKKPCLGQAGGGDDVGEQSHGGGGDGGLPEIEVRVSDEKSVLVLIHCKNARGLVVRVLAEVEALRLAITHTSVMPFPAATAIITITAKASEIHNWY >cds.KYUSt_chr7.27491 pep primary_assembly:MPB_Lper_Kyuss_1697:7:171668684:171671307:1 gene:KYUSg_chr7.27491 transcript:KYUSt_chr7.27491 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEQGLMAGRDLFGLPKSQPAPAPAPAPAPAAPPSSAAMQSVRMAYTADGTPVFAPVSSAAAPPGYQPQPVAAAPRSNMSPAVAAGGNGVATLPGMGEPLGKKKRGRPRKYGPDAPMSLALVTVPTAPGSTVGSQDAQGASGPFSPTPPANFVPSASPPDGGKKRGRPKGSTNKKPRMSVAGPPGVGFTPHVITVQAGEDVSGKIMSFSQNGTRAVCVLSANGTISNVTLRQTGTSGGTVTYEGRFEILSLSGSIFVKDIGGQRTRTGGLSVSLAGPDGRLLGGGVAGLLIAASPIQIVIGSFDPEGKKQPKQQVHSEPVPLKIVPGTGMGGNIPSTGMGANSPPSRACPGNELSILDQIQTAIVCQGTALLAGSRNVTSV >cds.KYUSt_chr5.4911 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31077545:31079109:1 gene:KYUSg_chr5.4911 transcript:KYUSt_chr5.4911 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAASADEEACMFALQLASSSILPMTVKNAIELGLLEILVAAGGKSLTPTEVAAKLPSAANPEAPDMVDRMLRLLASYNVVTCLVEEGKDGRLSRSYGAAPVCKFLTPNEDGGSMAALALINQDKVLMDSWYYIKDAVLDGGIPFNKVYGMSAFEYNGTDPRFNRVCNEGMKNHSIIITKKLLELYHGFQGLGTLVDVGGGVGATVAAIAAHYPAIKGVNFDLPHVISEAPPFPGVTHVDGDMFKEVPSGDAILMKWILHDWSDQHCATLLKNCYDALPAHGKVVLVECILPVNPEAKPSSQEVLQLDMIMLAISPRGRERYEREFEALARGAGFAGVKSTYIYANAWAIEFTK >cds.KYUSt_chr4.47548 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294329537:294330361:1 gene:KYUSg_chr4.47548 transcript:KYUSt_chr4.47548 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRLSFRRWLSEDLQIQWCGLVNILNQRGAHSDAEAAQLQQGATTLINLALGDGGRNIDGNAAMINPQLRLEQGGEDMDIEDQVNTDADAGNMQS >cds.KYUSt_chr4.5770 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33669516:33674673:1 gene:KYUSg_chr4.5770 transcript:KYUSt_chr4.5770 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRTACSLVNCLVTKIPSPLPAFLPAPNATKISLDAYVAVVDQGTGSVESEGVGDVSKNAADVTGAADDVRCVDMIPTDGANCRGCSPAMPTMRGLGLTESCRLARDISNQTNGERDLPRFGTLDEPMGYGGGLAKWQGFYALVLILRWFYVSDIQIRCKPANNRDMGVDHVNKANCIASGRGRTVCVTGASGFIASWLVKLLLEKGYTIHGTVRNPDDVAKNAHLRALEGAAERLTLFRVDLLDKESIAAAFRGCEGVFHTACPVTDDPEQMIEPAVTGTRNVINAAADAGGVRRVVMTSSIGAVYMDPSRSLDEEADETCWSDLEFCKNTKVSITYSYFVGSTISTTTCISQVDIQLQWMQNWYCYAKTVAEQAAWELAKQRNLDLVVVNPSLVLGPLLQASVNASTWHIVKYLDGSVQTYANAAQAYAHVRDVADAHARAYEAPAASGRYLCAGRTLHRAEVCRILSKFFPEYPIPTICKDETGEMKKGCRFSSRRIRELGVGFTTASQCLYETVTSLQDKGLLPVATPICLHDHFS >cds.KYUSt_chr1.6661 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41055052:41057651:-1 gene:KYUSg_chr1.6661 transcript:KYUSt_chr1.6661 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMNVQMGNLGKQLEITQEGVDEVRKKQVEVVPTQPTPVGPNDPGPSANMTRQSALWWHAFKRGCATVDWGAFASAVTIEFSTEEFDTQMAKLLQMRQIGTVMEYRMTFEACMYHLLSLDETLNNKFFVAQFVLGLKDELRTAVRLHAPSSVTRAMALARIQEEELENHRPRGRPMAGHKLPPPALVVPAIQQGPQRQDWPKRAGNDNYNRERQLRDFRRANNLCFRCGEKFGRDHQCKKPLQLLTIHVGEHGEIFTEDTVQALELLEEPAAPQRECHLMFSQHAEAGSEGSGTMKFRTLVGNQVCPILVDFGSSTSFVNANFVARAALPTVQVQPVSVKVANGELMQSNTQVSQLAWWMQGHTFYTDMRVLPLGAYDAVLGMDWLESHSPMTVDWILKFMEVPSQGKMVRLQGELPQQQRQLAMMTIDDVQKSFKGNDLWAIALVDFSADTTSSTTITQLHETEILHCLPSSNGRPVRARESVLGALFALRCAVHDCTGKWKRWLAMAEFWYNSSYHTSLGCSPFQALYGIEPNFGALPNLGVKATPTIKEMAEERQGFLQLLKDHLLRAQARIKAYADKHRTEREFQVGNDALLKLQPYAQTSVINRPCVKLAFKFFGPFKILERIGEVAYKLQLPQDCSVHPVFHVSQLKPFTPNYTPVYDKLPPPPDITAAAAKPQAILERRMVKKGNAAIPQIRVQWSHLPADHTTWEDYHVLRHRFPMASIWAEDSSQGEENVTP >cds.KYUSt_chr4.52440 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325277407:325280987:-1 gene:KYUSg_chr4.52440 transcript:KYUSt_chr4.52440 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKEEKIGEGTYGVVYRARDRLTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVKLHDVIHSEKRIWLVFEYLDLDLKKFMDSSPEFAKSPALIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPTEQTWPGVSSLPDYKSAFPKWQAEELATVVPNLGSLGLDLLSKMLRFEPSKRITARQALEHEYFKDIEVVQ >cds.KYUSt_chr1.25116 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150577613:150579474:1 gene:KYUSg_chr1.25116 transcript:KYUSt_chr1.25116 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIWAINRAAAPRPPPHSSTGTKHTTITPPPLHSPDPHSTRRGMGTLSSLAVLAALLSFAAVGGAVDAAVEYDTSTDLATAGADWNASDATKYWGPWTPARATWYGQPEGAGPDDNGGACGFKHVNRYPFMSMGSCGNQPLFKDGKGCGSCYKIRCRKDQSCSGRTETVIITDMNYYPVAPFHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEYSNPVYFAVLVEYEDGDGDVVQVDLMESRGPGGGRWTRMRESWGSIWRLDSNHRLQAPFSIRIRNESGKTLVANKVIPANWRPNTFYRSFVQYS >cds.KYUSt_chr1.31825 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193106740:193107826:1 gene:KYUSg_chr1.31825 transcript:KYUSt_chr1.31825 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGALFFLLSSLCLLARHAAAGGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGAACGSCYELRCDSAGRSCNPGSIMVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLHIAQYRAGIVPVAYRRVPCVKKGGIRFTVNGHSYFNLVLVTNVAGAGDVRTVSIKGTRTGWQAMSRNWGMNWQSNADLDGQCLSFRVTSSDGRTVTSNAAAPAGWQFGQTFEGAQF >cds.KYUSt_chr5.3952 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25561400:25563845:-1 gene:KYUSg_chr5.3952 transcript:KYUSt_chr5.3952 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYRRLLLLSRLSQAPSHLLTRAAAQPALPSPLLTPSRHFAFSSAEEAAAERRRRKRRLRIEPPLHALRRDASPPAPRDPNAPRLPDTTSALVGPRLSLHNRVQALIRSGDLDGAALASRAAVSSRVRPTVFTCNAIAASMVRAARHDDAVALFDFFFRRSNIVPNVVSYNTLILAHCEAGRVDQALLAYQEMLDGATSFSPSAVSYRHLTKGLAAAGRIQDALDLVRDMLSRGQGADSLVYKNLIDGYIRLDDWDRAFELFAELREKTTVYDGVVHTGIMEGYWSKGMDKEAMDSYRSLLDMNFKMTPATCNVLLQTLFKHDKHKEGDELWETMIDNHNPPSFIGVNSESYTVMVNRCFNEGRFHDAIEVFHRLPRKNVQFDVACYNNIIGKLCENGMLQEAEKLFEEMESKSVLPDVYTYTYIVDSCFKAGRVEDTMQYFHKMADGREHGPKFNIAFFNRMFEGLTEGGRIEDALKVYGRMSDKEIKPSATTFEILVKAACKEGELDKARDLVRDMARGGVVAPDEFREYTVESFALGVHLRDYRDSLHLIRRGVATHLNKVSQAMVLLNHSIMAMVYLKCCNLRGYLRNPNSLDMALLGRNHQQMLLIRLSILGMAHLVNGRQGMALTKRNSLRMALNKCSSQGMSLTSRNSQVMSLAKRNSQGMALTMPDSLGMVLTRCNSLGMALTRRNNLGMALTRRNSLGMALTRHSSLGMALTRRNSLDIMFIKCNTLIKRNNMDMTLIRRNGLGMVHLSHHSHLLLLNHRNMVLALLGVYHAMAR >cds.KYUSt_chr2.55572 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346650204:346655332:-1 gene:KYUSg_chr2.55572 transcript:KYUSt_chr2.55572 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQRRSSLSPAAGSKCSCEPATVEEAWRRHCKFSAAGSRRAACKYIRSEWMPLTLRDFAEGGRYHKVDRPLKPMSGGDFEKWRSAQTEISYRLVRTTILLRPTDRSLPISELNLRRTLVVFAAPQTLPPPIDPNGHPPPAPPRAPRRRRRYLAQLPVLLSHPPPIYDSPISPAPPPPVADVLLWRRRNVSAAAVAGATVVWFLFERAGYSLPSVLSNAVLLLVVILFFWAKSASLLNRPLPPIPNLEVSDVVVQKAADRALVWINRLLAVGHDIAIKRDRTVFIQVILALWVVSCIGMLFNFFTLIYIGAIFSLLVPPFYERYQDLVDEKVGLAHKVLSRHFDTIISKTGKPDKQKKAEKICPLDKEDLQLSSAVGLILRVSIPGNHH >cds.KYUSt_chr4.27399 pep primary_assembly:MPB_Lper_Kyuss_1697:4:172066055:172068395:1 gene:KYUSg_chr4.27399 transcript:KYUSt_chr4.27399 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHHCIQLCTGSVPAASEPSDDGMMSTQLYLAVCEGRNDQALALLAAADQVADIVSGERNNVLHLAAEQGHTELIQELYKSFGGDVVRSLLSSRNSVMETPVHCAARAGHDMVVTLLIQFARGCGEDTISSCKNKAGDTALHLAARLGHDKAVEALVNTTPELASEVNSSVPGGDEQVRGRRMVEVLLAWKPSLAGEADGGGSTPLHFASSDGDLSVVGAILLAAPSTVRTRDSGGLSALHIAAGMGHARVARALIEACPDTAELLDERGETFLHAAARGGHSKVVQLAIATEKPTLRRLLNMQDGDGNTPLHLAVAARAPDIADVLLRNGKVRADVMNTDGHTPFDLAAGSTSVFSVLGLVLTLAAFGGQSRPQRRDHVEKWSGHDIAKRIEKTSDSLAMVAVLIATVAFTAAYSLPGSYEQADDQAKRVVKGMAVLQQKIVFKFFLVLDSFALVSSVAAVVLLVFGKASRSDGSWKSFVVALQCIWASLVSIILAFSRLCLS >cds.KYUSt_chr4.20749 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130636540:130637536:-1 gene:KYUSg_chr4.20749 transcript:KYUSt_chr4.20749 gene_biotype:protein_coding transcript_biotype:protein_coding MANKPSVLSTLPKELPLDFLKTSTDQFSEDRILGVGAFGTVYLGIMPDGQKITVKKLVENSPLGRDKVFSNEVTNIMALHHKNVVKMVGFCHEGQKKVVLNNGRYIVADIVESLLCYEYLSQGSLQKNLFEVDIKMDWDTRFKIIKGICDGLAFVHSINVVHMDLKPESILLDDKMVPKIADFGLSRLFDQEQTRMNTQNVVGS >cds.KYUSt_contig_1158.135 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:813899:824533:1 gene:KYUSg_contig_1158.135 transcript:KYUSt_contig_1158.135 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPRVPRLPLASHSAGALRCCGRAAASAARCQATAAGSVAAGSQSSSGLEAIRWGSAKLQGAREEMEDEVVLRPESILHGFSFAAVLDGHAGFSTVQFLRHARADYLLLCAAISHASRPLDPEFSWCCRDELYKECAAALDGGAVLNTKNLEVITESIRRAFATVDANLSTWLEQMDKEDDSGATATALFLRNDVLVVSHIGDSCLQVVSRGGRPQSLTNFHRPYGNNKTSLEEVKRIRAAGGWILDGRICGDISVSRAFGDIRFKTRKNEMLVKGVKEGRWTEKFISRIKFKGDLIISSPDVSLVELGPDVEFVLVATDGLWDYIKSTEAVAFVRDQLRQHGDVQRACEALGEKALDQRSQDNISIVIADLGLGDIDMLHAVSSLEASPGECGARASLRLHCSLPLVPERYSLRFATPLAQENARLPQKHINYMREGELGFWEAFCATAQTLHHGSPSVQVGRCCPVYFDPSSTTLSLEMDAATSSATPPPDRISTTQELQLNRI >cds.KYUSt_contig_1989.84 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:483004:487027:-1 gene:KYUSg_contig_1989.84 transcript:KYUSt_contig_1989.84 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGELGDEVLRGGRAPPPNRARRSSDRARRRVRGEQGEVRAALADVELEQGERAPEEGNHTLSAMSVSAGVSDSAIAVRDKLRGKIGQTKVKRYWAGRAPEWGGEAEDDIDIRTARVSLDKTFPKHDDGDGDRSPKDDPRLRRLAETRAENKEELRADHRRIRQAEIVSTAEEERDRQVAELEEEDDEEAQEERRRRIRAKQLLREQEEEELLPQEDEEPVLDDDVDGDESEYETDSEDEQMGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIESRKIVVEEIKKDEHVQKALNEEASTEDVDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIDKVRNMTEEERREWDKKNPKPSRTSKQKWNFMQKYYHKGAFFQESVDDVSQSVGRDAIYTRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPYVLFPVALSFST >cds.KYUSt_chr2.33889 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209468224:209473402:-1 gene:KYUSg_chr2.33889 transcript:KYUSt_chr2.33889 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDIPAFKPQWLMQGQVTATGAASLWAAASSRKDSQVKSSTSRNRSSGHNRDQSSRQSSSRRSSVSSGPRRLDRDETGKTRGYANFGRNKDKERDKDFDSRDRESRSVPAERDGFQSFSSCRPERDRLNRARSKADTSSKGVVSLNNGSTSRSNTAGVVFEREFPQLSSEDKNGKQDISRVPSPGITTPIQSLPPFTPSDGWTSKLVGAPVSVEPKKNLVASSVPQAAPSKKPEVALNSGTGLSMAETVMQAPQRISFGPQLSIDAQKIEERTLRQNTLRPMTSTTSKSSVTISSKRKGTRNGDLAGPSKAIQQSLALPANGSVRAPVKTKLSLSGSLKILSREQNGTTQTPKDSPSNPVSPPAHVASVELQKPPVSQKPKVSTHDPPLMQSPSGLVPRLKFFESLRTKSNGSSSAVESSCEPSPSSVVDTKHDSCPNSGMKCTGNGNCFCEETNSSEGSQRHLSDNEENNSSFESADIAAVGSQQLLVENVESDSSSELADTGDEGFQVSISDNAEGSSSSALADSDDGYKNSQSGNDEASSSSEATEPEDEEYAADAIFTAEDLDFMISLGWSKDEEVQPLGAEEIADYVRRHKGLEQRLLSMEANANIKIILLLCGQS >cds.KYUSt_chr4.47098 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291407121:291410463:1 gene:KYUSg_chr4.47098 transcript:KYUSt_chr4.47098 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMLSPSLLLSPIPTRHRPLLLPSLPPRAASSHLLRARRATTRLRVAAPTSVPGEADRSEQAEPSTSAPDSGERFVWRDHWYPVSLVEDLDPRVPTPFQLLNRDLVIWKDPKAGEWVALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGAGACTKIPQAAPEGLEARAVRSPRACATKFPTLLSQGLLFVWPDENGWEKAKATKPPMLPKEFDDPAYSTVTIQRDLFYGYDTLMENVSDPSHIEFAHHKVTGRRDRARPLPFKMESSGAWGYSGANTGNPRITATFEAPCYALNKIEIDTKLPIVGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFTMPGKAWWQLIPRWYEHWTSNLVYDGDMIVLQGQEKVFLSASKESSADVNQQYTKLTFTPTQADRFVLAFRAWLRKFGNSQPDWYGSPSQDALPSTVLSKREMLDRYEQHTLKCSSCKGAHSGFQTLQKVFMGATVAFAATAGIPAEVQFRILLGAAALISAALAYVFYDLQKNFVFVDYVHADID >cds.KYUSt_chr3.25455 pep primary_assembly:MPB_Lper_Kyuss_1697:3:158006023:158008260:-1 gene:KYUSg_chr3.25455 transcript:KYUSt_chr3.25455 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYLQLCVLLVAILSAVATAERWREISGSNQWNGLLDPLDIEVRRAVIRYGELAQATSDAFIGDLASPYAGSSRYGPSSFFYKVQASNPGEYRVTRFIYATSSVRLPDGFMTRPLPEGAWSTESNWMGYVAVATDASAAALGRRDIVVAWRATRRTVEWVSDLDFVLVPAAGVVGPGQGWSQPAVHRGFLSVYMSKNPTSRFNKQSAREQVLTEVGRLLDTYKNENCSITITGHSLGAALSTLNAVDLVANGLNVRGSSRIPVPVTAILFGGPRVGDEQFKKAFDSMAGAGLSLLRVRNALDIVPTILPSLLYRDVGAELLVDTRKSPYLKNPVGPAQWHNLECYLHAVAGTQGAGDGAGFSLEVDRDVALVNKEEDALKDQYPVPAKWWEENNKGMVKNATGHWVLNDHEEGNLAL >cds.KYUSt_chr5.6823 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42259228:42262912:-1 gene:KYUSg_chr5.6823 transcript:KYUSt_chr5.6823 gene_biotype:protein_coding transcript_biotype:protein_coding MDAICLQGFMSEQQVRSARGEPSNRAPELRTVDERLATRSSARVWEIGELRKLPLCPYVSSLSPVSSPPLPIEWSAAHSPPLLSPNHVRPPFPFVPIVGRRLTRPCSSPLSIHAALALLGAGAKGDTLDQIVAFLGPAGGRAHAALALHVALPVLSDNAGDDGEPTVRFANGVWVDDAMRLKAGYTAVVSEHYRSQTRPASFKAMVSPSPPLSIVAAFSQEFAPMLDSNLSQLICFMPEEARAEINQRFESVTAGSRSSCPKAPSTATWWLSSGMRSTSRAPGAASSTFYMHAFGHHVRAPFMSSGKRQPAVPATRCSGSRTRSMLGSRPELLDDSSSLMTQVPVGAFKVPVVRLVESGR >cds.KYUSt_chr7.37530 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234172358:234173987:1 gene:KYUSg_chr7.37530 transcript:KYUSt_chr7.37530 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRWVVQMHRDVPRAARFYAEGLDFSVNVCTLRFAELQSGQLKLALMHTNDSTLATQRAYSSMLSFTVPDISSTVTKLMALGAELDGPIKYEIHGKVAALRCIDGHVLGLYEPA >cds.KYUSt_chr6.30925 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195956272:195958750:-1 gene:KYUSg_chr6.30925 transcript:KYUSt_chr6.30925 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIFVSGFTAQLWRRDADCDSWVPGATIQLDKLLPPDSQNEHPSMVGYAEETNAVFFETVAGVFMLHLESLQLKRLSEANSIRCHHPFELVYTPGKLIFCIPYSTPPHTLELAAMAPKREFEASANDHEAAS >cds.KYUSt_chr4.12365 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75526168:75527473:1 gene:KYUSg_chr4.12365 transcript:KYUSt_chr4.12365 gene_biotype:protein_coding transcript_biotype:protein_coding MARANRGFFSGMPKPIDSRFLRFVNHIGLEEVSKIFSDSVDLDETRKAFAKMVSDFNSKPLVVDGYDEEHQDLEDEDMDESDSEEEEEDEADGKACELFGDTKRARLQKTVRAPIEDEQEPKKPRIAEGPCVQGNKTEAAGIKDSSQQDEETNREQQINSYCITTGSISLQ >cds.KYUSt_chr4.46653 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288388046:288393395:-1 gene:KYUSg_chr4.46653 transcript:KYUSt_chr4.46653 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGSPTASSSSSDDDGDATWKAAIESVAVGGFGYPSSNGAAKAGGEDNHGLEQPPQEEKAQAPGLKLYQIKVRNMLDDMLEKNLEIVSNPCSNLADPVETGGGIKLFKKAPPGIRMDAIDKYHVQLKRPRILPTPQIDEKSKKFRHMVRSVVVDGNDVLVSAKEASQISLAKMEARETAAKAKAKREEERVTKLKKVRGEKWLPSIAREMKVNKRVLAVTEPPPPLLPMDTPPFSASPAAASDPDSALVASVADALVSASRLPAPPPMPTLLAAYLPRLTASHHPRVLSLAASNPALASPEPLLAYRSLVSPPSCLPSLLPLLPVLPYRHLSPLLLSFLPLDPLRHLHRHLLGINLTSTPLADAALSAYSRLRLPHLAAQLLHSLRRRGHVRPSLQAANAVLSALARSLSTSPQASLDAFRSLVALRLHPNHYTFNLLVHTHCSKGTLADALATLSTMQGFGLSPDAVTYNTLLHAHCRKGMLGDARALLARMKKEGIAPTQPTYNTLVSAYARLGWIKQATKVVEAMTANGFEPDLWTYNVLTAGLCQAGKVDEAFKLKHEMERLGTLFPDVVTYNTLADACFKFRRSSDALKLLEEMRDKGVKATLVTHNIVIKGLCKDGELEEALGCLKKMAEDGLAPDVITYNTLIDAYCKAGNAAKAYALMDEMVGRGLKLDTFTLNTVLYNLCKEKRYEEAQVLLQSPAQRGFVPDEVSYGTVMAAYFKEYNPEPALHLWDAMIDRKLTPSISTYNTLIKGLSRMGRLKEAIDKLNELMEKGLVPDETTYNIIIHAYCKEGDLENAFQFHNKMVENSFKPDVVTCNTLMNGLCQQGKLDKALKLFQSWVEKGKKVDVITYNTLIQAMCKDGDVDTALQFFSDMEVRELQPDAFTYNVVLSALSEAGRLEEAQNMLDKLAESGKLSQSFSSPLLNSPPLDKTESVKDLQVKTEDETGGNPQDSILEDYTKRLNELCAGGQLKEAKAILDAMLQKGMSVDSSTYISLMEGLIKRQKRLTHAAG >cds.KYUSt_chr5.28438 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180072393:180078268:-1 gene:KYUSg_chr5.28438 transcript:KYUSt_chr5.28438 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPEATAPRRLAVADLLLIRLPTTGASSLFSSSPSPPRATSTSQPRKKPKLSPPNPAPTTAPFAPIPHPVLLAGTLSLPPASCSDGCRNHCLSLADPSTAVSVCCYLLDFDPAAIGREILILAWNYLPSIRHNEAGVLEVVRWRLAEVSGPAPGPSFLTSILLNCVDAEPDPATRGRAFGVVTSVSVVFSVPHTAQKSKAGDLVGFIAEMMCCGCRQCKASQPESGQDHKFEVEKFVYFVDLTSRWRPVLARLIGRPVSVTGLRKKMVSVGKKGSYTMLVSSANTMVAWCPSYAGVPQSDELPGKCAGVYCGIVSGIYMHGMLVELDGIAWLLIDDKQLVPPHSLRIGAVISVKKLRLVRLKFAWTTVVLLGTCSKTSITINSFSLMDSKFHMRAENKGLSGKYLNSLDLSARFWTLLLTSCFKQKFKLGYEKEIWGSKNHDVLVKFCNHNCDIKSSGSNLEACKLVIPFANFICKCESLWISIMLKCWNGMEKVGNNQGLNQFLCDGLPYPGTTKRIISTADLGFVLVGSMKISSLPRRLQLVDDTGCIDIVVPDLPPNVCLDGIYEINDCKLALEGPVAYLDHYGVSDPLSCKAVFQNLSFRKKLHHLKIFVIVNWSELSRIGPSSEISSQTNNRGRLFHLLKLSHIFPAINNHQDTRGPSMYAEAMILPYNLKFTGQDDCIEHAESFRMSSTRSLCDSKVPMEKPCYIPCSLSFRTTSLSGTLVSSYSCGSDGTILIDTSCEEQGCPSRILLEFKEGRLLEYQV >cds.KYUSt_chr4.43673 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270714969:270718736:1 gene:KYUSg_chr4.43673 transcript:KYUSt_chr4.43673 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGTRPKVSAADIVAAIEGHFGIDHNIFHVVPHYPEDFLVTFKYQHHREQVTALPGRFSYGSQAFGNLDFHATNWRLNAHADVKTLFYHVHLCIENVPLNAWTDYVASRLLGPDTMLHYFDIATLRKEDASVISLWAWSSNPDSIPKIQWLTLTDNPTAGLGGTAASTVTGRQGLERRTLMHLDLLEDFSPDADGVVPRRTRNEPPYPWRLGVVCGEKHIREKERQKTPACRCNDEAERRARNGRDRRDDDDDDGRHGRQDSHSSWSQRLFRSRSRAPQDRGGEYRRDNRDDRRRDDRDRRDGRDGPSEVVFDGRASWVRRVLPCFGAAVRPVALKSFPWSTVITTSGSSPTEVQITAHVPASVTMPKEVLLLHDEGSSGLPPPPPLTRDGPLPQRLFITEVATAPLSRSPTPCSPEHHQQQNNHVDDMVLQTDAPNMLLQTDAPNSEEFQVQTHVHVPAAHPTLTNTTLQQSSPLFVEVQPPLLPEPNSTPPRPPTTRRKTLAGASAFAGFPVQRSSPRLKAKNRSMPIAKLAEKVLCQRLGIVGEGEAVTEEAINMFVQMFNGRLPDIALAALRALFRLDCDLATAVEEALVEHGGAAAIDQAGDIATAAEAPGLD >cds.KYUSt_chr1.18930 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111142339:111147118:1 gene:KYUSg_chr1.18930 transcript:KYUSt_chr1.18930 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGITGVAPHYIPPPSTFNVLLGSSWFDKPWFLSEGKLAADYTAKLSDFGLAKEGPKGDDTHVTTRVMGTHGYAVPEYIMTGHLTAKSDVYSFGVVLLELLTGRRSVDKNRRGREQNLVDWARPYLRRADDRLHRIMDPGMESQYSTRAARGAAAVAHSCLQSLPKARPRMRDVVEALEPLLAQDDDVPMGPFVFTVGGRAAESAPAAAAANGVGDDEPPWRPPSWTSTGTMAGGDGDHGGRRACVGDG >cds.KYUSt_chr6.30904 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195838493:195838960:1 gene:KYUSg_chr6.30904 transcript:KYUSt_chr6.30904 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEPLGKPIEVEHTGNPIDCDSQETNGEGFVEGHPTFDFATQGTDATTPSPSSSNRKRKRVSNLSEEDAVQVNNMSDALRNVASAINNICHTETHPDLCKTVMELTGFEMDQRLAVLDYLTEHKGKGLNFIAMEVDVREAAFRRIIAKNPDLV >cds.KYUSt_contig_1181.261 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1678287:1686355:1 gene:KYUSg_contig_1181.261 transcript:KYUSt_contig_1181.261 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLLALLLLAAAVAAAAGDGPSSAFCPFHVEEPHDHHDHGHSCGGAGAGDPHHEHHHHHHDHHHHQPDEIRRLLPEELAEEADLELEGFGHHHHHHHHHHHHDHSHSDVFQPETSPMGLWLKAMGCSLLVSLASLVCLVLLPVIFFQGKPSKAMVDALAIFGAGAMLGDSFFHQLPHAFGGGHSHSHDHEDHAHAHDESHAHSLEDLSVGMSILFGIVLFFIVEKIVRYVEDNAQNGVHSLGHGHHHHHHKREDTSDKAKLDHKKSDDEVKDTDQADKQTLHDGAIDKIDDADHKESNATIRKRISSSSSAANKEPVSSGTDSAPSKPLPSEDSTVSNSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEQASSHAGSLMAGYMTISINHVAVYEIGESVERREGVRPGRTPASYTKTELAVHAHGKLHGRLPTPRQNSQCTLMGSSTGVWRSKPVT >cds.KYUSt_chr6.1888 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11301318:11304606:-1 gene:KYUSg_chr6.1888 transcript:KYUSt_chr6.1888 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKTLLQAARDAGVNFFDNAEVYANGRAEEIMGQAMRDLGWRRADVVVSTKLFWGGQGPNDKGLSRKHLVEGLRASLRRLDMEYVDVVYCHRPDATTPIEETVRAMNWVIDQGWAFYWGTSEWSAQQITEAWGVANRLDLVGPIVEQPEYNLFSRHKVESEFVPLYNTYGIGLTTWSPLASGVLTGKYSKGNIPAESRFSLDNYKNLANRSLVDDTLRKVNGLKPIATELGVSLAQLSIAWCASNPNVSSVITGATKESQIVENMKALEVIPLLTPEVLEKIEAVVQSKPKRLESYR >cds.KYUSt_chr1.34249 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208400023:208401257:-1 gene:KYUSg_chr1.34249 transcript:KYUSt_chr1.34249 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNIGHIRFGYKNTVHHMKWMELQDVAVVKEWRATTIFLKQEVCAYRKNRLSVRSTAVPHHVASYVRDGARGPGQGVAGLLVKVWEKRVGKQRRDPGQQRVVGRLAGEAGHEQWPVYETENREGLWTN >cds.KYUSt_chr2.3537 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21166370:21167965:-1 gene:KYUSg_chr2.3537 transcript:KYUSt_chr2.3537 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPALLSRPAKWLAAAVFTFLDVLDVLLCLVYSALDAFLEESPVACYCHRTHGAEGVSDTLYLRRSAFRDALLALLRTAGVGRRTTNASPEKARSPRWSDCGCARCLAWRSAGAGGRLHFVVGKEPAPTKGAATARSERGPGDDAIFIHGFTSSSSFWAETVFPELAAAENRRLLAVDLLGFGDSPKPANCAYTLRDHVEAIERSLIEPLGLASFHLVSHSMGCTVAIALAARNPARVRSITLVAPPYFLPCEERASQVALTRLAEKKLWPPLVFGTAVMSWYEHIGRTVCFVVCKNHRVWEWLIRILTRKSGVDFVVRDLTRHTHHSAWNTMHNVICGGAGVQDRNLEVLAAAGVPVRVVHGDGDQVVPVECSSRHLKLKLPRAELRVLGGRDHRTVVFGREKEFAEELREFWSGCEN >cds.KYUSt_chr5.3950 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25548199:25554020:-1 gene:KYUSg_chr5.3950 transcript:KYUSt_chr5.3950 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASAAEHEMSEIPAGIASDEQANPATDAQEEPEMPSDEELKGQTNEESGDLTEEAQADVNDGNTEDQASLEEAANVVAEAEQLADVEMEEKKWPGWPGESVFRVLVPAQKVGAVIGRKGEFIKRMCEESRARIKILDGPPGVPERTVMISAKDEPDALVPPAVDGLLRVHNRITDGLDGESEQPQRGAGPVGPTRLLVPASQAGSLIGKQGATIKSIQDASKCALRILENVPPVALNDDRVVEIQGEPNDVHKAVELIANHLRKFLVDRSVLPLFEMQMKVHNVPREQPMPAPQQWGPPPPWSHPPNIPPSGPPGYGGNQHFMPPRPQDNYYPPPDAHPVEKQPHYGISSYGRDAHPTGAPPSGNQHLPHGSSQIAQKMQVPLSYVDAVIGSAGANISYIRKHSGATVSIQEGVPGEMTVEIVGSASQVQTAQQLIKVFPFVLLRLHQFPGAFIICIQAKKYRISWLKLLRKFLHLVLLPPNHLTRATTHTRSMEDRRMDPLQAVQVLPLTMEEAMARTTRQTMVTSYGIKNTRV >cds.KYUSt_chr7.8076 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48854637:48857914:1 gene:KYUSg_chr7.8076 transcript:KYUSt_chr7.8076 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVAQESDLWKQIDDAECYLVSGSFDKAVLTALSVSDQIRADAAREVHDADELLEMLELAGMVLVQALKELRRTSEMFIQLKAMFGSVASVPVKIFLTGATMLMAEGSGPDLRPVFEEYLAQWRYTDDQVYVLNGGQERSSNGLIVTSTMATEEYFEVAELYTVTFLGIASNEPASAIAWVEKAELTDQDQQELLKKLHTLQAAAKKSSAATGAKQSAERNLSVSVNDKTPPTPEDGPTSTTRAPNGKTHGLPKSIEPTLQHVTNKFNPVFWWFHSVRVKVGKTHIVLPSGKLMLLFSLLFSALYVLRRKGAGLKRAIFQQASSLRRAFLDALQLAFSVQMNPLAAVQQTPQAPRGSW >cds.KYUSt_chr4.1002 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5198553:5204058:1 gene:KYUSg_chr4.1002 transcript:KYUSt_chr4.1002 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACVNKDEGGGGRDRDRDRDGGGDTPTCRDPVKSLTSQLKDMVLKLSGSTQRQGGGPKRGGSPPPRGRATTSLYRSGYYRPGVVQDDMAVPPATYLGHGAGTMSSASSTPAWERPPSGNGNGNGGGGEAAVREWVAQVEPGVQITFVSLAGGVNGAGGNDLKRIRFSREMFDKWQAQRWWAENSERVMELYNVRRFSRHVLPATPSHDGGGGGERESFYSQSQADSTAASSPAATPAPSWARAPPPVVGGAGGAGRQQSFRGPLSPPPPSSSNPSERAWHQLRQTGGVEAEAVEPARTTTGSDSCRDDVSVSNASEMEVTEWVIQDEPGVYITVRELPDGARELRRVRFSREKFAELNAKLWWEENKERIHAQYL >cds.KYUSt_chr2.855 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5079522:5092231:1 gene:KYUSg_chr2.855 transcript:KYUSt_chr2.855 gene_biotype:protein_coding transcript_biotype:protein_coding METASHPPPSWLTARSCSLPSGGILSTCSLEVLLSAVSAGFLAAALCLALRKLLVSRPNGTINGNAHTPLLDSEAVPRPEVRIGARYIVALAASRILASFYAVLLVMSSLAATGGGWEAAFLALQCAAHLAAAVLVASERRSREMTTHPNTLRLFWLTVPALTALVAATAVARVASGAAALPDDALSIAALVLSLPLPVLAVSGATGITMNAADNDARADENVTAYARASWLSRASWAWMNPLIKRGYHATLNISDVPALAPQHRPERMHELFVSHWPSSCSSGSTSGSGCARTLLRCFWPLVLANGALALLRLAVMYVGPTLIQSFVDFTKAAPERRPLWEGVRLVLALLAGKAAEAVFSHQYNFQCQKLGMQVRGALITALYRKGLRLSCASRHQHGLGVIVNYMAVDAQQLADMMLQMHNLWLMPLQVFVAIGMLYLYLGPPVISALVGLLGVMAFIMLGNRRINWYQFSLMRERDQRVKATSEMLSYMRVIKLQAWEEHFHARIARFRCLEFGWLSRFLYSNSYNMIILWSGPVFISALVFGTCVLVGVRLDAGLVFTATSFLKILEEPMRSFPQSLIQVSEAMISLQRLDSYLTSAELDDGAVERESCDGRVAVLVRDGVFAWDDEEVLRGINLEIHGGALAAVVGMVGSGKSSLLGCILGETTRVSGKVKVCGSTAYVAQTAWIQSGTIEENIRFGQPMHRERYREAIRVCCLEKDLAMMEFGDQTEIGERGINLSGGQQQRIQLARAVYQDCDVYLLDDVFSAVDAHTGSEIFKVMNDGMIVQSGKYSELLKAGTNFTALVAAHDNSMELVESATPEARKGDRQLPISHQPSSKTNRSSNVNVGASIFVTAVVKSKKASARLTKDEERASSHVSFDVYKQYMTKTWGWWGVVAVIFMSVVWQGSVVASDYWLSYETSGEFQPSMFIQVYVIIAAASVVFLSVWFFLVAFIGLQTANRFFNQILHSILHAPMSFFDTTPSGRILTRASSDQMIIDLVLPFFIWMAVSMYISMISVVIVTCQVAWPSVIAIIPLVLLNLWYRSYYLSTSRELTRLESITKAPVINHFSETVQGVITIRSFRKGESFFQENLNRLNSSLKMDFHNNGANEWLGFRLELLGSFVLCFTALLMVTLPKSFVKQEFVGLSLSYGLSLNSIFFYGIWVSCLIENKMVSVERIKQFISIAPETEWRIKECLPVANWPSKGDINIIDLKVRYRNNTPLVLKGITISIHGGEKIGVVGRTGSGKSTLIQAFFRMVEPCEGKIIIDGVDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLEKYSDEEIWQALDRCQLKEVVVSKPEKLEASVVTNGENWSVGQRQLLCLGRVMLKRSRVLFMDEATASVDSQTDAVIQRIIREDFAACTVITIAHRIPTVMDCDRVLVIDDGLSKEFDQPINLIERRSLFGALVQEYANRSSDAS >cds.KYUSt_chr2.337 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2072684:2073748:-1 gene:KYUSg_chr2.337 transcript:KYUSt_chr2.337 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRAAAIILVAMICGTMHYHPAAAMKVDTTGVAVADGLSLGFYQDTCPFVEHIVEFLVGEAFKKDVGIAPALIRIFFHDCFPQGCDASVLLNGTASEQIQPPNQTLRPTALKLIEDIRAAVHSACGPVVSCADILALATRDSLVDAGGPSYDVALGRRDALAPAVPDITNTLPAPFFTVPQLIKSFGDRGLNVTDLVALSGAHSFGVAHCPAFEDRFKNGTDTNPPIDPKFAATLKAKCAGDNPVGTLTQKLDVRTPDKFDNKYYFDLVASQGLFKSDQALILHSATNRTAVRFSLNEGAFFTQFAISMVKMSQMDVLTGTQGEIRNNCAVPNKRVGIETAAGNNEGLTAEM >cds.KYUSt_chr3.11555 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68889449:68890268:1 gene:KYUSg_chr3.11555 transcript:KYUSt_chr3.11555 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCFLLIALLALASWQAIASDSSPLQDFCVADNSSHVLVNGFVCKDQKDVKAEDFFLAAKLDMPRDTKINKVGSNVTLINVMRIPGLNTLGISLARIDYAPLGENPLHTHPRATEILTVLEGTLYVGFITSNPENKFLSKVLNKGDVFVFPEGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPAISDDVLAKAFQVDKKTVDWLQAQFWADNHN >cds.KYUSt_chr4.43991 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272691494:272695909:-1 gene:KYUSg_chr4.43991 transcript:KYUSt_chr4.43991 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFPAGGQHALLRLVDSCCSPAHLSAVRAAHARLLLLQDPSSHPSPAVARVKLIQAYAACSALPAARAVLASSPDRTNVFYNVLLRALTRAALHRDALLLFASMRPQGASCAPDHYTYPLALKSCAASGSLLLGLQIHSSIARTGLVANLFVAHSAISMYARCGRPDDAYQMFGEMPHRDVVSWNAMISGFAHAGLFGRAVAVLRELVALQSPRPDAGTMASILPAMGDAKPKDITFLRGVFDEMRFRGLIAWNAMLSIYAFNELHVKAVELFMRMEKDGVEPDAVTLATVLPSCGEVSAFSLGKRIHEIIKRKKMCPNMLLENALMDMYANCGSLKDAREVFDSMSARDVVSWTSIISAYGTRGHGTEAIDLFEDMQGQGLEPDSIAFVSVLAACSHAGLLEAGKRYFDCMTSRYRITPGVEHYTCMVDLLGRAGSISEAYDFIMAMPIEPNERVWGALLGACRIHSNMDIGLLAADSLFRLVPKQSGYYVLLSNIYARAGRWADVNSVRSVMASKGIKKLRGGSNVEIGDQVHTFHVGDRSHPQSEMIYEKLDELLGRIRGMGYNPEVEGALHDVEEEDKEGHLSVHSEKLAIAFVLINTSPGTPIRITLNLRTCSDCHHAAKLISKITDREIILKDINSITHCADDVIQNSDRILELFSNGMKESDRMHPTLRIADLEENSLMELLSFMYNGKLTATDPILLLDILMAADKFEVLSCMRHCSQLLTSLPMTTESALLYLEHPYSISMAAEVQALTDAAKEFLANKYRDLAE >cds.KYUSt_chr1.21865 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129102633:129103385:1 gene:KYUSg_chr1.21865 transcript:KYUSt_chr1.21865 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYRFDNQEKVRVDEAEAARQDQLQREATRRRESHSRLVALRRNRGLQADSPSPPRAPSPPRSADQVAPPPPPPPAARHADPLPVASDGDHINLFSGGGGAADFAALASASGGRGAAREREPAADSKPNPKKRKKEEETRTAGPDDEKYRLGYGLAGKGVAAPWYASKPLASSSKDRRDCAAGSGEKRSGGKKSIEELREERRKREAKEKERERALLTTTSRKKERQPDRGYSSR >cds.KYUSt_chr7.4433 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26841348:26842487:-1 gene:KYUSg_chr7.4433 transcript:KYUSt_chr7.4433 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYKSVVPLPFALASCEFSLRNAPASSSCALLESNSWSSMEEAPEKATLGSLGLAGICRETLRVMRSCPPCFNCLCVMVIALSLSLLAHVAVSRVLFSHTVAAAASDTTGAGFVRLASHWIPFLLAEAILLWVIHFFHDTSVTFCVFSVVPLYSADADRDARSVARDLSGLPRSEAKNFVSVFPANARLMARLARTGAEARFNATVRDGFLLLLGYTALFGAAAVLMHLPRAALLLVGGTAYLAGAAYIGAVWRVACMLSVLEEDGAGGFRALHASDELLTRARKFWAAAAVFTTLDFYAVATQLGFGVLVVDNRMGLGVWLRVAAGLAMAAALWIAVVAGLVAPVVVYFVCKSSGSTTKSPTDVGRKGRATRNRKGW >cds.KYUSt_chr3.22913 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141675809:141679948:1 gene:KYUSg_chr3.22913 transcript:KYUSt_chr3.22913 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSSYSRLAGDDEAAMVSGGEDYDSKKLRLLGYKPQLKRNLSLLSNFSVTFSIVSVLTGITTLYGTGLEFGGPVTMVYGWPIAGTFTVIVGLAMAEICSAYPTSGGLYFWSAKLCSERRWGPFASWLTGWFNIVGQWAVTTSVDYSLAQLIQVIILLSTGGANGGGYLASKYVVIAIHAAILLSHAIINSLPISLLSFFGQFAAAWNMLGVFVLMIVVPTVATERASAEFVFTHFNTDNSAGIHSNLYIFVLGLLMSQYTLLGYDASAHMTEETKNADTNGPIGIISAIGISIVVGWGYILGITFAVKDIPSLLSPDNEAGGYAIAQVFYEAFKSRYGSGVGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWQKVNKHEVPINAVWLSTFISLCMALPSLGSLVAFQAMVSIATIGLYIAYALPIFFRVTLARKYFVPGPFNLGRYGVVVGWVAVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGGLFILVLGSWIFSARHWFKGPVTNLGG >cds.KYUSt_chr2.34208 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211374538:211374846:1 gene:KYUSg_chr2.34208 transcript:KYUSt_chr2.34208 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVPVFCKPLTNDQILSRGYDQMRRPPLALVRGPAGGRTPVFAGLFTSASSPTPWPAEREIEDERETERWEKEEMRRTYLRRDCGGDCGSCSFSITPQPLA >cds.KYUSt_chr1.8925 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54822895:54825656:-1 gene:KYUSg_chr1.8925 transcript:KYUSt_chr1.8925 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPQPLLSFSFASPNLPILRLLRRPLKSSTTKCFLHPHRFPRRRNQPVARLPDDDVHDVEADMRSSPASSSGAHLVAPLDDEEGGDGWGPANPNGDGAEEGGQLSEYEAGEWDPPVSPFRPQAQEHNLQEEEEEDDDEDGGDCPWWDPSFFLPNQEEASTTTTAAVEEILAFARSPAAADGSGFAEFLAGYSRRALGEDECVEVMMRMGEEGLALGCLHHFFRWMTRTLEEQPMSSSPQAWLVALVLLGRARMADDVLEILGSLPLERGFREVVLYNAAMSGVAYCGRYDDTWKIFELMEKNNVQPDHITSSIMLMVMKKRKASAKDAWEFFQRMNRKGVKWSLDASASLIKIFCDEGLKKEALIFQLEMEKRGIPSNTSIYNMIMNAYCKCSQIEEAEGLFVEMKEKGLKPTTLTYNILMDAYSRRLQPEVVESLLLEMHNLGLVRNARSYNCLISAYGQQKKMSEKAEDAFLRMKADGIKPTSSSYTSLLRAYAVNGQDEKAHTTYTDMRREGLKPSLETYTALLDIFRRAGDTEKLMDTWKSMIDEKAGGTRVTYHMVLDGLAKHGLYVQARDVISEFGEIGLPPTTMTYNILMNAYAKGGQHYKLPQLLKEMSILELKPDSVTYSTMIYAYVRVRDFSRAFYYHKQMVRSGQVPDAKSYRKLLNTLDVKSARKSIKNKSTIVGIIKGKSSLKHRKEKKDEFWKNSKKRSMTQVNGYQRKRFL >cds.KYUSt_chr5.11758 pep primary_assembly:MPB_Lper_Kyuss_1697:5:76236791:76237856:-1 gene:KYUSg_chr5.11758 transcript:KYUSt_chr5.11758 gene_biotype:protein_coding transcript_biotype:protein_coding METSKKSSADRSKNRKPGAPYQVYQAARAIQQNMEMLDPTVDSILQIQANVKEDSTSKQATHATNYLPAQGTTIRTDLVITGNKIFSDASWKNMKMSGRDDSQATGIGLFLQVQIGQHKCDIMIQASAEQVPTPFHAEAAALLLAAKVARSLQLQQVTFLTDNLSLARASATNSISSQQVPWELRDVMASFFQISTQIQAAIFHIKRDLNGVAHDCAQQALKTIVSEPIFRCNSSTHSNSLCPISDALISADIQGYVLHSVYCA >cds.KYUSt_chr3.2520 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14487497:14488813:1 gene:KYUSg_chr3.2520 transcript:KYUSt_chr3.2520 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVISKSPPVLVMPSSDPATSATFDHFSLISLTSYDDPNVGRPVTAFLVFERPIDDPAETIRRGLSLALVPYYPISGRLVGAFVGDHVFTAIHCSNVGDAGVPFIAASADAALRDVDLRGHLQELAVFYPAEGDPLLRPLLLLQVTVFSCGGFVVGVTWDQAIADGAGMSQFLQAVGELARGMSSPTVVPFRHDLALAGMPLAIQRVDRLINSLQPSLLTLVHVSVPYSLVTRIKHEFAAVNNSQPCTVFEAVTAVLWRCRTRVVMQDPSSPALLGFVADTRRHAGAKHGFYGNCCFLAPPVVATSGAVAEGDINDVIKMIQHAKGRIPSLYSASSSSTTDYDEDGRRLQELADAGKLGYGNMLGVSCWRNLGMEKADFGGGTPARVMGYMKEGMGRLPSCVCLPSVDGDESFSVMALCVKKEHAAAFLIELATFN >cds.KYUSt_chr2.7078 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44321495:44324135:-1 gene:KYUSg_chr2.7078 transcript:KYUSt_chr2.7078 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSTFESELADVIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCISCNDHVALTHPDLDKATEELIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPTAIVDDMRKSLIDSYV >cds.KYUSt_chr6.31450 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198884028:198888681:1 gene:KYUSg_chr6.31450 transcript:KYUSt_chr6.31450 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRPAMLDCAAVKAMTFSQLLSNAELTFPGVGYHRMETKKADLKGHVSGRVLAMGNHVLDIAISPSGQLCRNSIRLCSTQLRFKGIIVRWCPEGKERWRAVGLEEVSEGGAYDMCKPNRIICKIIQMPLHGKLHAAGTILPVENSKIQKDGIKGTYIVDVGIPTDDIGWGPDKFPKETPLVFYKGGKPIEPPLDIFNGLKVVLKSVASYIEREVPSKTLKLWRTQSPRHFDGGEWDHNGSCMSDRLLEEHELDSWFVPRFGGVNKEARLVNSAIQEVLVNTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVWGQDCMHWCLPGVPDTWVDILAVRISHYFKQGKG >cds.KYUSt_chr2.8015 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50346834:50349381:1 gene:KYUSg_chr2.8015 transcript:KYUSt_chr2.8015 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVNFYRNYGKTFKKPRRPYEKERLDSELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGAALLRRMNRYGLLAEDQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGKQLVNIPSFMVRVDTEKHVDFSLTSPLGGGPAGRVKRKNQKKASGGGGGDGEEEEE >cds.KYUSt_chr4.53438 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330721667:330724192:1 gene:KYUSg_chr4.53438 transcript:KYUSt_chr4.53438 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGTNAGHLHHHCLLLLLLLLLPCHQFAFHLVDGGGIPTTLDGPFTPATRAFDRSLRQGSADVPLSDPRLAPRARPPSPEQIALAASAHPTSLWVSWVTGRAQVGSHLTPLDPAAVRSEVWYGERAGHQPRVATGSAEVYSQLYPYPGLLNYTSGVIHHVRLVNLTPSTRYYYRCGDSSLLLEGGLSDERSFRTLPAPAPDAYPRRVAVVGDLGLTGNSTSTVDHLAKNDPSLILMVGDMTYANQYLTTGGAGVPCFSCSFPDAPIRESYQPRWDGWGRFMEPLTSRVPMMVIEGNHEMEPQGHGGAMTFASYSARFAVPADESGSGTKFYYSFDAGGIHFIMLGAYVDYNRTGPQYSWLEKDLQRLDRGVTPWVVASWHSPWYNSYASHYQEFECMRQQMEGLLYQHGVDIVFSGHVHAYERMNRVFNYTLDTCGPVYITIGDGGNIEKIDIDHADDPGKCPSPGDNTPEFGGICHLNFTSGPAKGRGPSRCRVPLFVIE >cds.KYUSt_chr5.42256 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266319768:266320256:1 gene:KYUSg_chr5.42256 transcript:KYUSt_chr5.42256 gene_biotype:protein_coding transcript_biotype:protein_coding MLILEGSDSTTNFLLASTAGREIMQQSLPAPRAAPATRTSASQIQKRQISHPLLQTTMAAKEMSKRALHLIISALPLATTAEQECFIDADRSGTSRSSHQLIEEGGAAAAPASLQPQEQKKGEKQPYHGLDPATEIHATASTTGHTPKPRHEAENQQENLQI >cds.KYUSt_chr5.4138 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26550653:26552314:-1 gene:KYUSg_chr5.4138 transcript:KYUSt_chr5.4138 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPEEILSDVVRNILRPHPPATLSLDRPVIRLPRPAASHADWRGLSAFALGVLFQQLPVPDLLRLGYLFTPRWREVADAIANVLEDFVDGFEGEGVEEEDIGGIHVHRSGVYSFRVESTEWRPEHAARWCAALQRGGASEVVLFNRGVSGQPDPVLIGVPPLLLQCTAVSNLHLAFFTVEAGELDALTGVIDLGLYGCACRPGVVEGVVAACSHLHMLWVQDCAVDSVVVRSVLQLHRLSMLRTVSRSLTVDDAPNLRELLPGTTAALSINGAPELSSILRLNLPATLEIDGVDIAVGRQDMEPQMRSVSRLWLALDYTALRGMEVHMVARVVQQMLRRFPCLNFLTIERKDAVPQEEGMASRDDHHTHYLTLDGSIDQCLHHLTLFDFRGGKAELALLKAIMHSTHALWTVDLVYNARRQEPQLWHATQEALAGLKRFALMSDNHTLRNPLVGVRPMRTREFIGYGWCGSDLF >cds.KYUSt_chr6.21342 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134732315:134733646:1 gene:KYUSg_chr6.21342 transcript:KYUSt_chr6.21342 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSAECGASKRAEIRKPITAPAYRAVAPRPPAVPFAAVLTFLSTSQAAMVRQGQSSSLQRLHQIEKRIVLMVELAGAVMEELGKSQGTLTEAVAFQCCKFMLSVKEIQTTLREEIKSAYVYRPFEKCDCSARTANEICCKKLEYVNEKMDSMQLSIEQSTNEV >cds.KYUSt_chr2.7930 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49657730:49661984:-1 gene:KYUSg_chr2.7930 transcript:KYUSt_chr2.7930 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAGTYTPAAEAGGKRREKREELRRHLAEDADWPRADGRSIHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCSVSYTTFATGIRGQGLENKEYSGMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCLGKNVIIDPTSDEEAWQDGGLMVSYMPTRREITQLTLTGEWSDGKITNAVELCMDACSKLCEILRERLKDTAVLEENE >cds.KYUSt_contig_2887.109 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:531980:534799:1 gene:KYUSg_contig_2887.109 transcript:KYUSt_contig_2887.109 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTLGRARETGYLRLLEDGGSTLSFGDSSDECQVKSVLRVHDPVFYWKVATESDLGLADAYINGWCSFLDEKEGLLNLFLIFIANRDKSSSSIVSKRGWWTPMILTAGVASAKYFLRHLSRNNSITQTRRNISQHYDLAKVQRDHQVLDIGSGWGSLAIQLVKQTGCKYTGITLSEEQLKYAQRKVKEAGLEDHVTFLLCDYREIPARKYDRIISWYM >cds.KYUSt_chr5.10351 pep primary_assembly:MPB_Lper_Kyuss_1697:5:66442058:66445281:-1 gene:KYUSg_chr5.10351 transcript:KYUSt_chr5.10351 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRGSIAFFGTYRPPVPLDIYSCPANPPPSSAEDELHLTDGVSYNQNGRAIPAAALKELLTCLSKKNPKLAAECGASPDDADKGRVTGLVFVSERDNGLETLHVALRFTADDVVKVLRLADIYGADTFGGARLEDSGCIAGSFKVGSRTVGHSLIYVSTKEPVKKRRTPWTVVYRTNLNDGKTERLTPQDQYDLSPAVSPSGKMVAVANFRFNKWTGEIERLNTDIVVMNVDKQVQGGLRRKILIRDGGWPTWGSDNIIFFHRGIETNLPSGMATVWGVFRYNIATKETIRVTPETFDAMTPAAISETKVAVATIRQKSLQAVMTVQRVDVQYRHIEIFDVTALDQPVQISRKTRPKGDHYNPFVVDGGSHIGYHRCRTDNLLKDGSLVPKSFDKMQSPLKDVGLFRVTGVFPTISKDGSKLAFVDNEFKAVWLADSQGLRVVYEKRGSNSVFSTAWNQNPDMDTLYVCVGPSFSAAKPLEIYAISNASGPAAGRKVQRLTSGNFNNAFPSTNAQGDKFVFRSTRDGGREKFHKNLYIMEDAEEGEFGQGTVTRLTNGPWTDTHCSWSPKGDWIIFSSTREKPESAPEKAFLDAGFFAVYLVKVSDPTVVVRVVQSSATLAGHINHPMFSPDMKSIVFASDLAAVSNEPISMPIFLHSVRPYGDILSVDLRDTDDIAKNKDIEEFDRITHSRYEYSTPTWTKFTTEDPNEQWNVLADKGGSKLKPACPYMYPDGGEGWHMAGHLTIPKRCC >cds.KYUSt_chr4.15480 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95629764:95629982:-1 gene:KYUSg_chr4.15480 transcript:KYUSt_chr4.15480 gene_biotype:protein_coding transcript_biotype:protein_coding MCYQVKCGTCGKSTWAGCGRHVPSVHRQIPEGQHCACRDWPGVAAAGEKGAVGSGSGAGTGEGEGSSTCTIL >cds.KYUSt_chr1.15242 pep primary_assembly:MPB_Lper_Kyuss_1697:1:88686396:88689278:1 gene:KYUSg_chr1.15242 transcript:KYUSt_chr1.15242 gene_biotype:protein_coding transcript_biotype:protein_coding MCLMGRHVYPIDRSNKDSWPSPHAYWASPFVLFTGQTKTFVPAHMLTGPLPPPTGRSCPSSSTTADREKLPVFIDTFYNRKASLEAPQPCSSLAPVPPHPQRKDESARLAGGGAAVDLDREHRCDREDEEEVCGMRLAAEQHREEHVDGSRQWQGRWSRAATRFHWDLIAFSFLF >cds.KYUSt_chr3.41152 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259738978:259741007:-1 gene:KYUSg_chr3.41152 transcript:KYUSt_chr3.41152 gene_biotype:protein_coding transcript_biotype:protein_coding MRIREERMAGGGGASRGRRVRCFACAVASALPPAMDDHKEKEKEHTGGNPDVPEEEEEDEEAKRAVLLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTQNLGETAEPEVKVLNLTILSPDRPDLVLPIPFVADDKGYAFALKDGSTYSFRFEFIVSNNIVSGLKYTNTVWKTGVRVENQKMMLGTFSPQAEPYTYAGEEETTPAGMFARGSYSAKLKFVDDDGKVYLEMSYYFEIRKDWPTFQ >cds.KYUSt_chr3.35956 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225926913:225935576:1 gene:KYUSg_chr3.35956 transcript:KYUSt_chr3.35956 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSPGGAGDEAIWRKLRDAGFDEESVRRLDKAKLIGYISRIESELNDYQHNLGLILLERKELTSEMEQLKASLLSAEIMHKRERASQQSALGEARKREETLKKNVGVQKECVANLEKALHDMRAETAELKVSYETKSAEALELMDIAQKKFDEAEERLLAAKSLEAESTRARNAALRSLRDMEEREDQLRRNRISSESAYEAKEKEINSQRKSLNDTKKMMHEKEQVLLKEQALLNQRDENILERLAYVTRSEKKLEEDKLILEDQRMVLMEEKNKLDLKMEAVASREEAIARKESVLDKRESELLILQETIADKERVEIERLNQEQEMALEKRKSKFETEIENKRLSFEAEMERMRTLLDQRERALSERELAFAQRERNADLRLAELASKEEALSGRSDELKEEEGKLLSDREALHIELQKEREEIQKMKLDLEKEKAFFEEVKLEAIHAQQNLAITQAERDNVLTLQMDLKEEIDNLRAQQKELMADADRLQGEKERFEIEWEFIDEKKEELQKEAARIAEERRVITEHLKNQSDIIKQEKENLCAQFKSNSETLSRDHEELMSKMQLEHASWLSTIQREREDLTRDIDNQRMELLNLAKARQMEIDSKFREREEEFEQKKSKELEYVNSQKETIKSKLEHVALELQKLEDERKEATLEREKREQELSEIKTTIEALNNQREKLQEQRKLLHSDREAITEQIQQLNVLEELKIDSESKQLSLIECGKSKMNENGVLPSGENHHATPRNCSPKLLDRKLEVSPSAPTPISWVRKCAQVIFKRSAEKSADHDNVHNGVPRNLPKPVDIANQLGDEAGEVPQVGKKSPSILEEKCSKNVHDDLAFANGKVDESDFADDDEPSEDITVSATEPSNGLLDKQEDDANGEEEEEDEDEEEDEEEEEKTSPGKKLSIICMSTFRIEQWHVVGRQVKTGLGRIGSSQLHAIRELEKVEEEEFRLPPPHKLNPRAVKRRGPHKTSKIIDEYLDGSSALHDSFFPSEATAINPLKGGNDSMSFYPGRVWLDTSGNAIQAHGGGILYDHRTSKYYWYGENKDGPTYQVHPEGARRVDLIGVSCYSSEDLWSWTNEGIVLPGERTNITHDLHKSKVLERPKVIYNDYTGKYVMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFQPHGFDSRDMTVFKDDDGMAYLFYSSRGNTELHVSPLTKDYLNVTLAMRRILIRRFREAPAVFKVEGIYYMITSRCSGWAPNPALAHAAHKIMGPWETLGNPCVGGNHFLRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSDLRDSRYVWLPLSIGGLADEALDYSFGFPSWSRVSIYWHRKWRLPEGWRWSYT >cds.KYUSt_chr1.31537 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191211567:191213329:1 gene:KYUSg_chr1.31537 transcript:KYUSt_chr1.31537 gene_biotype:protein_coding transcript_biotype:protein_coding MRATAAGGVLLLALLLVTTNVARAEDPYVFFEWHVTYGTKSLLGVPQKVILINGEFPGPRINCSSNNNIVVNVFNQLDQPLLFTWNGIQHRKNSWQDGMPGTNCPVVPGTNYTFKWQAKDQIGSFFYFPSIGMQRTVGGYGLISVVSRLLIPVPFDPPADDLQVLIGDWYNKDHTVMASLLDAGKSPGRPAGVLINGRGAKDAANPPMFTFEAGKTYRLRICNVGIKASLNFRIQGHDMRLVEMDGSHTVQDSFDSLDVHVGHCLSVLVDADQKPADYLMVASTRFMVEPSSVSAVIRYAGSNTPPAPNVPEPPAGWAWSLNQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLMVTRGHLEGKLKYGFNGVSHVDADTPLKLAEYFNVSDKVFKYNQMGDSPPGVNGPMHVAPNVITAEFRTFIEVVFENPEKSMDSLHIDGYAFFAVGMGPGKWSPDLRKTYNLLDAVSRHTIQVYPRSWSAVMLTFDNAGMWNVRSNLWERHYLGEQLYISVISPARSLRDEYNMPETALRCGKVVGLPLPPSYLPA >cds.KYUSt_chr1.22485 pep primary_assembly:MPB_Lper_Kyuss_1697:1:132950947:132954841:1 gene:KYUSg_chr1.22485 transcript:KYUSt_chr1.22485 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLSQTQRYAAGALLALALRQAQIHQRVLLGSHGLDEDPDLDTAVVCSADPDGRDLWTHDSRGLLFPVLRFLEIDPKAWPGVEKTAATSDPKHHIGAFLRKVFEDEDDGEKAAADRSDLEFALAKAVDAMAMGLENDVAPGDLFKQQVFGECSNKDEQEDDEGSPSGGRSSKDYRKMAVLYMLLSACVADVNMAEDGTGSPRIRKGYDARHRVALRLIATWLDVKWIKMEAIEIMVACSAMAAAKVEEQSRECVSPRSRWQSWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFSALVPTLHALVPVIGASGFAAMATAAGHTAGSVAVAASFGAAGAGLTGSKMAKRIGNVKEFEFKTIGENHNQGRLAVCIMVSGFAFNDDDFSKPWEGWKTNLERYILQWETKHIIALSTAIQDFLASRVALELVREGAMQTVLSGIISAFAWPATIITAAEFIDSKWSIAIDRTDKVGKMLADVLLKGLQGSRPVTLIGFSLGARVVFKCLEELALSGNNEGIVERAVLIGAPVSVNDELWAPARKMVAGRLVNVYSTNDWILGVTFRASLLTQGLAGIQAIQVPGVENVDVSDLVVGHSSYLGLMQQILEQLELNTYYPVFSPSTPRSK >cds.KYUSt_chr4.7755 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46200402:46210379:1 gene:KYUSg_chr4.7755 transcript:KYUSt_chr4.7755 gene_biotype:protein_coding transcript_biotype:protein_coding LTEFRLMQKREEMEQRREEEDVWLMATPDPVVTMVIAVSGSPCLQVWLLAGNNVSEVDVLHPLLKLKVENFTAALPPNPDSGGKKGSEWHLNLLLVISNQCFPGAETGAGGRIRDTHATGKGSFIVASTAGYCVGNLQMEESFAPWEDSSFSYPSNLASPLQILIDASDGASDYGNKFGEPLIQGFTRNYGSRLPNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVIRACAEMGENNPIISIHDQGAGGNCNVVKEIICPKGAEIDIRSVIVGDHTLSVLEIWGAEYQEQDALLVKPESRCLLRSLCERERVSMAVLGEIDGSGKIVLIDSAAVEHAKLSGLPPPSPVVDLELEKVLGDMPQKTFEFKRVSRLSEPLDIAPEVKLMDVLKRVLKLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPLKGLLNPEAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVAMADCMIELGIAIDGGKDSLSMAAQCDGELVKAPGNLVISAYVTCPDITLTVTPDLKLGKNGVLLHIDLAKGKRRLGGSALAQAFDQIGNDCPDIEDVPYLKKVFEVVQELLSERLISAGHDISDGGLIVTILEMAFAGNCGVNLKIELKDNDLLQALFAEELGLVIEVNRADLDVVNQKLQVAGVSTNVIGEVTAAPEIELLVDGEMRLKEKTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKSRTSPSWHLSFTPKFTDKKLLTSSSKPKVAIIREEGSNSYREMSAAFRSAGFEPWDVTMSDLLNQKASLADFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQDFYNRPDTFSLGVCNGCQLMALLGWVPGPDIGGSLGAGGDMSQPRFTHNESGRFDCRFISVTIGDSPSIMLKGMEGSSLGIWSAHGEGKAFFPDENVLSDVVNSNLAPLRYCDDVNNVTEVYPFNPNGSPLGIAALCSPNGRHLALMPHPERSYMMWQYPWYPKEWQIEKDGPSPWLRMFQNAREWCS >cds.KYUSt_chr7.33390 pep primary_assembly:MPB_Lper_Kyuss_1697:7:208351906:208352139:-1 gene:KYUSg_chr7.33390 transcript:KYUSt_chr7.33390 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKPTMVVAANSMRDGRRPREVKAAGGVMPLAAGRGVMPRGGDSAMLSRSGAGGVIPLGADGDGERPYKETAVRYR >cds.KYUSt_chr6.33457 pep primary_assembly:MPB_Lper_Kyuss_1697:6:210175580:210176020:-1 gene:KYUSg_chr6.33457 transcript:KYUSt_chr6.33457 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAWEEAALEAAVEVVEEDPQLAEYEAWEEAALAATVEAFAADKRQMLEAGRRHREAERQRRWEVRRQQGWEQLALRQEMREQQQRDDEVYERRLLAEMHLRLRRQEVEHRRWREELEQQLRQEAGATDRAAYLTFVAERAAGDR >cds.KYUSt_chr4.33994 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208623680:208625059:-1 gene:KYUSg_chr4.33994 transcript:KYUSt_chr4.33994 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLCKTGRIKEAAELFYSMAEKGHKPDVVSYNTLIDGFLKEGEISKALDLFHEMKQQGVVPDVVTYSSIIDGQCKARAMDKAEGVLRQMVDNGVCPNTVTYNSLIHGYSTSGQLEEVARLLEEMKTQGIMWDVFTCNSFMDYLCKTGRIKEAAELFYSMAENGHKPNAVSYNTLIDGFLKVGDISKACDLFHEMIQQRIEPDVVTYTSIIDGLCKASEIDKAKVVLRQMVDNGVRLDTVTYNSLIHGYSTSGQSEEVARLLEEMKTQGIMWDVFTCSSFMDNLCKTRRIKEAAELFYSMAEKGHKPDAVSYGIMLHGYATEGSLVDMNDFREQMVRDGVVPSLSVYNILIGAYAKCGKMDTAMLVFEDMLKHGVNPDQITYLIVIAAFCRMGRMDDAMDKFSEMIDMGVPHDTDVYECMIKGYFRQGDLVKANELFTEMKNKGIRRRPQKGSGRTHYV >cds.KYUSt_chr3.30200 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189082641:189087411:-1 gene:KYUSg_chr3.30200 transcript:KYUSt_chr3.30200 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGREGRRGGSLCSGSKRIGRGSVGRNNTGADGHAAICDETLHCAASESCFHPYLGIILGIIILKTNTGNSWMVKLRGVKGTTCLDQGWPGFSIAHQVKIGYFMTFKVLRGDVFKFTNFDYTMTEVLQRVRPTTTHVVSGTAKSASFRLKKTKKPRAHGHASDSLRASNAAKVFNAKVSLCSSLHDLPPAASDGTPKYNPEIPFFA >cds.KYUSt_scaffold_869.1971 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:12299960:12300944:1 gene:KYUSg_scaffold_869.1971 transcript:KYUSt_scaffold_869.1971 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLWTVFQGPMLVEYLPMLLNAAVQVVFATVQSFLMALVMERDFSRWKLSLDVGLVAVIYCGVVVSAFSNYLQLWLIDKCGPVFVAMTGTSTFVITIILSLLIGEAVTLGSVMSGALMVGGLYNVLWGKRMEQVALGKQQGRSAGNAARFDFEEQERGAPVPATQDLIKPLPGVKKLLLHVCPREAVPDLFTASQL >cds.KYUSt_chr3.47780 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299428229:299429674:-1 gene:KYUSg_chr3.47780 transcript:KYUSt_chr3.47780 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLVGLLAAAFVATAAAVVPLSKYGGITPGARLIMGKRDEEYCGKKKCVNVKCDSRCPDQCFVLCPSCKTLCICDFYPGISCGDPRFTGGDGNNFYFHGRKDKDFCVLSDADLHINAHFIGSHNADIGRHFTWIQALGIRFADHRLLVGAKKTVKWNGDIDRLEMALDDETIDIPTKIGAWWESAVVRGLSVTRTSMANGVRVQLAGVFDIMARAVPVTEKDSRIHNYGVAEDDCLAHLDIGFKFHDLTDNVHGVLGQTYRSDYVNKLNLRTSMPVMGGATSYVSSDIFATDCPVARFGRHDVISTVTTSDG >cds.KYUSt_chr7.9428 pep primary_assembly:MPB_Lper_Kyuss_1697:7:57443273:57446222:-1 gene:KYUSg_chr7.9428 transcript:KYUSt_chr7.9428 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAHSWRNKSYVDDIGQRCEPSDHPFSLCKSEAAAYGYPCEDHTVTTEDGYILSLKRIPYSISDGANSTNNTRQPVLLFHGLMVDSVSWLLGTPKQSLGFILADGGFDVWFANTRGTNSSRNHTSLSPNDPAYWDWTWDELAAYDLPAFLQYVYDYTGGQKVHYIGHSLVRSDVPLKYFDELRLGTWESKNHNQKFKLSIVESLTFLFPIWLQPVIRSEGVRRFDYGSTKENMKHYNQPRPPLYNLSSIPTHVPMFLTHGGQDFLGDVPDTRHLLRTLVRRHDSDNMEVLYVPDYAHADFVVGFNAPQLVYKPMVDFFQRH >cds.KYUSt_chr3.43869 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276914315:276915418:-1 gene:KYUSg_chr3.43869 transcript:KYUSt_chr3.43869 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRAAAAYMLAVAALFLGVFATIPAAVQSIGVCYGVNGDGLPSASDVVQLYKSNGITGMRIYSPDGDSLQALSGSNIDLILDVGNDQLANLAASASNADSWVQANVQPYKDVKIKYIAVGNEVPDQGGSTQDILPAMQNVHDALARAGLNGIKVSTAVNSGVRTGYPPSHGTFSATHMPPIAQYLASTGAPLLANVYPYFTYTGTPGIDLNYALFTSPGTVVQDDNGLQYQNLFDALVDTFYAALDSAGAGSVGIVVSESGWPSAGDTAATTDNAQTYNQKLINHVGQGTPKRPGAIETYIFAMFNENRKNGAETEKHFGLFNPDQSPAYPISF >cds.KYUSt_chr6.2871 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16938457:16950259:1 gene:KYUSg_chr6.2871 transcript:KYUSt_chr6.2871 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLRPAVLPVCAVTSGGGGGNDKWAPRQQRSWWGRSKQSLPHQPGGRGGGGALDQVLGVLRRDGEFLQAAAAGGQLRDALWLRFLEKKKKQQQHQRGKQPKPKPVNEEEAAPPPPRPAAFPAYPPGLSCVELVMADLQALKVYADSSKQEFLLRFLGSKQQQQQPQSERQSAKPKPVFKKQLKPKPKPKPKPDEQQKQQQALQAPAFPPHSYPPGMSCMELMMADLDALKLYVNYFLAILTTPLPQHYDPDLLAQYFVSRPHILVFRMVEILFAFLVGAVKVQMFKRANLATDATHSSSVSNKGFNASQYMVGQLLKDTFIDLGPTFVKVGQSLSTRPDIIGSEISEALAELHERVPSFPREDAMEIIEGEFERPVSQVFSYISDEPVAAASFGQVYQGRTIDGALVAIKVQRPNLLPSVLRDIYILRLGLSLVRKVAKRRSNISLYADELGRGFVDELDYNIEAANATKFLETHSRYSFIVVPKILKQLTRKRVLTMEWVAGENPRELLSLSKGISGNTTALSEKQKLEAKGRLLDLVNKGVEASLVQLLDTGLMHADPHPGNLRYTPDGRVGFLDFGLLCEMERKHKHAMLSSIVHIVNGDWASLVYDLTEMDVVPPRTNLRRVTMDLEDALGEVTFQDGIPDIKFSRVLGRIWSIALKYHFRMPPYYTLVLRSLASLEGLAMAGDGTFKTFQAAYPYVVRKLLSDNSLETRKVLYQVIFNRRKEFQWHKIAVFLKLASMRGNFRQNTGVLPERKGVDVSNLAEISDASSLDGATPEKALHTAHLCLKLLLSRDSIVIRRLIMTANTKSLAQDLISKEATIFRVLLSRVLADVVCQWMVKVTGLKRARRSTSTAVSSLQEAVGDRRLKVIFSKFLRELRQEPALMVRVGWSMLVVSAVSTAIGAHRFVVLLSEEYLPMLWTSTPLPPRLVRI >cds.KYUSt_contig_2097.193 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:959773:961936:1 gene:KYUSg_contig_2097.193 transcript:KYUSt_contig_2097.193 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAGGPGPGPGYPESTDSSPRSRGGDSWDEPFPSSAAAAARLRLMCSFGGRIVPRPTDKSLCYLGGETRIVAVDRNATLADVHARLSRSLLAGHPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRVAAAAASSSGGAAASRTSRIRLFLFPAKPESSSSLGSLLDDSSKSENWFVDALNSAISGSFDGIPRGISTDSASVNCLLGLEDDASQHSRSGPPPADDPRKLPAPAAAAAGRHDVQSVPDSPMLDKNSSFGSTSSAPSLSNLPPIRVRPDERQLAPPVSVEDHFAQMGISEQQPPPVMMGYTMQQQPPQAPIPAMGVPVPPEAPTRVFSDDERSDHGGGARMPQPPKQEVPTTADPNNRAMYYNDMSPRNDMKRDMPVGTDAASYRAPAQASDAAVAAAAAQQPPPGYVYAQMQPQQHLQQPPQQQLQQPPQQQLQQPPQQQLHQPPPQQQLQQQPQQQLQQQQQPPPQQQHQPAPQQIVTAGNQHFIHNPATGTFIPIQSYYHQPVPQAAPQQQAYDPNTGMYYIPMRPNAPQQYSMPPPGAAAPMPAPTLVESAPKPTVPIAQQPVPQQYMKPELQQPGMYRSAVPAAPVPGTNTAPGYPGMGYHHVMQTHHHPAQQPAATMAGNYGYEYADPRAQVFYSQAGAPPASLPPQYNPMGSPDASQADLNQNRGS >cds.KYUSt_chr3.47764 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299314348:299315628:-1 gene:KYUSg_chr3.47764 transcript:KYUSt_chr3.47764 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLLMAAATPSTATAATTITTAAAANNTTSRTSTSPASTIFSLTEDDLREIFLRLPDLPTLVRAALTCRPWLSAVRSSRPFRRLFRALHPAPLIGLFLKIGSGSAPSFLPLCRSDPVVNAALRRGDFFLTSLPPSPKGWSLADCRDGYVLLWNASQHRPSVAALNPITWAVDVLPPVPGQMTAGSRRDVSVLGFHLVSSEESPCSFRVTCVCTDRRRVRAAVFSSNTSEWAIGPWVRVGGYCSLKYMAGTLVGGSVFWPYHGEARMVRIHTATMDVSFVDLPPGVHKSGHNIGIGETKSGELCIVYASDFTLHVWIRRPSMDGTEIWAPPTVLQLDERIDQLTHASVLDMQLHLKIVQVSPGLVHFSATCTTHIGTLRCWFFSLSLETMVLDLLLQGSFDGVAHLYNMGWPPCLVGDGENIGHE >cds.KYUSt_chr3.34285 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215055337:215062106:1 gene:KYUSg_chr3.34285 transcript:KYUSt_chr3.34285 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSRDEEEAKKMEAGGDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFASLGWTAGMTCLVVGAAVTFYSYNLISRVLEHHAQQGRRQLRFRDMATDILGPAWGRYYIGPIQFLVCFGAVVASTLLAGQSMKAIYLIANPGGTIKLYVFVAIFGVFLVTLAQLPSFHSLRHVNLISLLLCLSYSLCAVAGCIYLGSSERAPPKDYSIRGGTSTRVYGVFNAIAVIATTYGNGIIPEIQATVAAPVTGKMFKGLCLCYAVVVTTFFSVATAGYWAFGNAAQGLLLNNFMVDGRPVIPEWLLLMAELFTLMQLSATATVYLQPTNEVLEGLLSDPKAGQYAARNVMPRLLSRTLAVAFGTTIAAMIPFFGDMNALIGAFGFLPLDFAVPAIFYNLTFKPSKKGVVFWLNTAIAVVFSALAVVASVTAVRQIILDAGTYKLFANV >cds.KYUSt_chr7.21183 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131271505:131271723:1 gene:KYUSg_chr7.21183 transcript:KYUSt_chr7.21183 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCPNEEEARKFRGVVDADGVRRPERRDDGWSEMEMGRLRVDETVAAEEEVVVSFKALGWYPDVPGPHVHY >cds.KYUSt_chr7.38344 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238999242:239000701:1 gene:KYUSg_chr7.38344 transcript:KYUSt_chr7.38344 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVCRLLVAADSALTVTIVVKEEWHGLLASAGVPHTLPDRIRLATIPNVIPSEHCRWADMAGFYEAVRDKMGEQVERLLDRLALERRPEALVIVADTFLTWAVAAGARRGVPVCSLWTQPATFFLALYHMDLWPPVDGGDSEQELNTKSLEQYVPGLSSVRLSDLKIFSAIAMERLMKIVAEAFANVRKAQCVLFTSFLDLEARAFSTIAESLPCPAYPIGPSIARMPLHGDKIGDEEHRDWLDAQPRNSVMYVSFGSYVSMPPSQFEELAAGLRDSGVRFFWVARDKAAGLRQTCGDRGLAVPWCEQLEVLCHPSVGGFLSHCGWNSVLEAVCAGVPMLAFPVVWDQLVNARMVADEWKVGMDLTRQRSDDGTVSRAAISAAVTKLMDFDSAVGREMRRRAGELREDSRRAVQEGGSSHRSLTSFLQDLVEGKSEVMETSP >cds.KYUSt_chr1.29332 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177511767:177514626:1 gene:KYUSg_chr1.29332 transcript:KYUSt_chr1.29332 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGGGGVDWDSLAEAASGAVGALVSTTVLYPLDTCKTKFQADVQTDHGGHKYRSATASLPSLSLLPLDFLAELLVSAVHREIRNLSDVFWEAIKKKQFLSLYQGLKTKNVQSFISQFVYFYGYSYFKRVYLEKSGAKSIGTKANLLIAAAAGACTVVVTQPLDTASSRMQTSAFGKSKGLRATLAEGTWLEAFDGLGISLMLTCNPSIQYTVFDQLKHKLIQRQKRKAESAGDSTPVALSAFSAFLLGAISKSVATILTYPLIRCKVMIQAADPDDDDEDESERPGHSRPPKTMLGAMCAMWHNEGIPGFFKGIHAQILKTVLSSALLLMIKEKISKFTWISLLALRRYLFVSQKRIKSA >cds.KYUSt_chr4.3691 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20998632:20999453:1 gene:KYUSg_chr4.3691 transcript:KYUSt_chr4.3691 gene_biotype:protein_coding transcript_biotype:protein_coding MREVWNLPADNLLIHTGVEWLLQLLHQITEEQRVMTLMVLWRIWFAHNETTHDKALPSIEGSKRFLMSYLDSLLLIKQHPMADLEKGKMVISQAGFQPNKHMCRGEKKNKQKWMPPARGVLKLNVDGSYANDSAGAGIVIRDHDGTVILTACWQLQHCIDSTEAEIAAMELGIAQAMTVTTGRFMIESDCVEAITLIKEGTPNLTKYASRIQVVREMIREREVQVAKVDRTSNYVSHLLANLGRTHGWTRVWLRHFPQEVAGALDIDCNSTQS >cds.KYUSt_contig_959.53 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000088.1:326774:332040:1 gene:KYUSg_contig_959.53 transcript:KYUSt_contig_959.53 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSGPCKLAVAFVAAAWVSVASSVPEQVGSSQKAVNCMPCSRTYIADTYLDALTGQLSEHRDLTEVSDTADLCKGLTDDLDVPMVSEVHRQLVGEGSHRRLVYSVKFGNCKDAMVKFLDGYDANLVIIEKLPSGVFADPFELQHFVERKVFLDVGVFGDTNLELPSALSNRSYHADGHVADADSSSDEESNQSTHTMATVAASILHEYNASQTPVHRGSVKGRSKNMPRNRVEGHLRLHNDYFHRTDPVFKEKMFGRRYRMSRDLFKLILRGVREHGR >cds.KYUSt_chr4.4305 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24670544:24672502:-1 gene:KYUSg_chr4.4305 transcript:KYUSt_chr4.4305 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGEGMRKTRAEGELHLDRPLQVIAVVLISVVIMSTAATPAMAAGRSLPPFFREEKPPYALKPAPCLVPPCH >cds.KYUSt_chr7.4288 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25666229:25673100:-1 gene:KYUSg_chr7.4288 transcript:KYUSt_chr7.4288 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQDWIQVEVQVRCEGAAQYGQTGGGAVESRGIEQKIGISRYPIRIRVSGSIGYVSAYQWFWYFSVVASHKWLSKGSGTLGCRTRTAESAATPAWSRRIGGFWQHRGERRVDSSLLWFVVSWQAEYAGGLRRKIAGGIGCKVFKWIDDPLDARHKELVRDLRDAVWDRDEEIERLR >cds.KYUSt_chr3.10789 pep primary_assembly:MPB_Lper_Kyuss_1697:3:64222916:64224963:-1 gene:KYUSg_chr3.10789 transcript:KYUSt_chr3.10789 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGGGVWIRGAVAVAAGGAIAARAVRRKSVDYTAVFVGVPAMVAHTVAGYRFAGLLLVFFFTASRVTRVGEARKRALDPEFKEGGQRNWKQVLSNSGIATVLAVLITLITGGKDRCLDSKESGLVTALIGGVIGHYSCCNGDTWSSELGILSKSEPRIITTFKRVRKGTNGGVTIDGILAAAAAGCSIGLAFVLIGFLTTQCASDVFWRQLLVIPLATAAGLCGSLIDSLLGATVQYSGYCSVRKKVVGVGGPTVRRISGMNILDNNGVNVVSVFFTTLLTAFACTFIF >cds.KYUSt_chr4.967 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5006844:5007470:-1 gene:KYUSg_chr4.967 transcript:KYUSt_chr4.967 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLCSPRALEARLLLRGREVHALCAKLGIDAMPYVANTLATLYARCGDNMRNMLGDAAKGRSSYRPERALPWLAWRSMSASWRLARCSNASSSSWCTYAFCALLRCCGTHSTPASSILTSWQMEFTYTVAPPTPPVCPAVYAYTLSPTSHCPACFVVASHIPSVMPSPIISKTEQNQNRTKPSMICKSDHEEALGKLISIRTMRFKY >cds.KYUSt_chr4.6376 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37504462:37507772:1 gene:KYUSg_chr4.6376 transcript:KYUSt_chr4.6376 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPALAAEPWRPPHPAAEPSSAVTGQSSGSRGAGGGGGGRRRQREPPASEDDSARLVSTSGADLVVCHIHIVVLDAAFDKDILVWLVQAVIHFQLLSMERMYVISRVWVCIFTLGLGYDPWAARLVVGRADSEVKRFKANKSSVSDDNGSFRKDAEDSRNASKAVDQNPPPPEPPKQDFIHVRARRGQATDSHSLAERARREKITERMKILQDLVPGCNKVIGKASVLDEIINYVQSLERQIEFLSMKLEAVTAHVSNGAETFPPKDYGAPTYNTAPGLTFDPQTPREYAQGSPASEWLHMQIGGTYERVT >cds.KYUSt_chr5.17653 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113929218:113936071:1 gene:KYUSg_chr5.17653 transcript:KYUSt_chr5.17653 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVRSLAHSLGAGEGGGRNNLFRTLGPALFISIGYIDLGKWVTTIDAGSRFGYDLVLLVLLFNFSAVLCQYLSICIGMVTTKNLAEICVQEYSQPICAGLGVQAIISLLTAEVTMISGIAMGFNLVFEYDDIVTGIWFASFAVNLLPYAISHLDKKVAGTLNTCIAGLALVCFVLGLLVSQPKVPLDMDVMFPKLSGESAYSLMALLGGNVIAHNFYVHSSFVQAQKRSPVTLGSLFHDHLISILFIFSGVFLVNYVLISSAAVGSSDALLLTFQDVVELMNQIFMNPAAPVVFLVVLLLSSHIISLSSIVGSHAIVENFFGVNLSLSAHHLLLKVFAMIPTIYYARIAGSEAIYQLLIICPVIQAMLLPSSVIPVFRVASSRSLMGSYRISSSVEILAFLSFLLMLFTNIIFMAEILFGDSTWTNNMKGNTGSPVVLPYTLIVLTSCVSIVFTLFLAVTPLKSASNEAETLELSVHSQREPLGSAHHREELFLEDVAQEEIQRSSTDALREQSESHQESALEHTESSDTTAESDHDSQQSTAYTVSTPKAQPSPPVYHEEPKPVCVADWTESIPKVSTPTAVEHINAENIKVKSTTEKDVEVVAEVCTDKDNITPRNLEYEKSAVGRAPFNPDGPPSLTFSRGKDTDAGNGSGSLSTLSGLGRAARRQLAATLDEFWGHLFDYHGKLTQDANDKRYNFLLGLDSKTASSAVRADNQSIEASKSPLMRDVMRGSPTSLNSWDSMSREKEIRGLDWNSGQQMGSMGSSNWSQSMNLPYTDISSPSSSLLEQNANYYSNFSNVPSYTDNQFYQPATIHGYQLASYLKGMNASRSQYSNIPLDPRRVPRSSEYSFPNYPDSPLHARSQNVRGSLGANPLQNPTMNRLNTSVERPYYDSFSVEESESGGSSAYSKKYHSSPDISALIAASRKALLNEANLGGAAGNQSYLSKLASERPQYVDPTARSNAQAAFNERSQHNLQRDVLSMQLGMNPNAKSLWAQQPFEQLFGVSSAELNKSEVNTGQRSSGITNDDSSYTECEVELLQSLRSCIVKILKVEGSGWLFRQNGGCDENLIDQVAAAEKYSQETTENLLSPELRRMPSDKSSQPLRRNDDRAANCMHGLPNCGESCVWQSSLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGVLEPAFSKPRKPLTGCTCLQIVGPVARPISGTFTTSAVILETIKDVEQAISGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKPSAGQ >cds.KYUSt_chr7.1874 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10796295:10797255:1 gene:KYUSg_chr7.1874 transcript:KYUSt_chr7.1874 gene_biotype:protein_coding transcript_biotype:protein_coding MKATWAGRFQPYLDQSQWPEYHGLDLWPDPALKVVKRGRTQTKRFRGDMDDWAGGYRRQWGNQHFAEPRDTTRCGQCNKEGHNNRGCSQRKRSKEHNNKGDDGGHGGGHGGDGGGHGGDGDGHGGDGRGHGGDGRGQRGGRHCASGSGSGSGMASGGWRNYGKKAPVVEKPKNKWEVPEIPSWEQLQYRKRYAEATRKIEEEKAEWKRERERRSREEAHKVFKKMMDDYHLKRKKMIEDDKKAKEWELKREMDRLAKEKAAEEDAKKRKGKYGRCTQ >cds.KYUSt_chr7.10480 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64328300:64331601:1 gene:KYUSg_chr7.10480 transcript:KYUSt_chr7.10480 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAASPSSSLLLARRHGASPSALRAGASRLRAPRCVLGAEQLRVVEAGKRTGGGADPSAAAAWTPKVPAQEARLFALPQETPDSRLKIFSGTANRPLAQEIASYLGVDLGKILIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFIMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLAANLLTEAGSDRVIVCDMHSAQALGYFDIPVDHIYGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNLSEVMHLIGDVKGKVAIMVDDMIDTAGTITSGAALLKQEGAEAVYACCTHAVLSPPAIERLSGGIFEEVIVTNSILLPEDKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ >cds.KYUSt_chr3.142 pep primary_assembly:MPB_Lper_Kyuss_1697:3:817406:818836:1 gene:KYUSg_chr3.142 transcript:KYUSt_chr3.142 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHRHGHGHGRRDDDDSDDRRAPAHGYGAPAPAYGRDDDEYGRHAPAPAYGAPAPAYGRDDDYGRHAPAPAYGGGRDDGYGRHEPAPAYGGGGGYGAPSPAAYGGGRDDGYGRHAPAPAAYGGGGGGGYGAPAHGNVVHVSHESGHDERPHYGGYGNETRPHQGAGGGGAAVTTAEKTYRILCKAGEDGFSLGTRGDKVCFLRTDQDDHAQHWIKDMKYSTRVKDEEGYPAFALVNKATREALKHSLGQSHPVLLTRYNANSLDESVLWTESRDVGNGYRCIRMVNNIYLNFDALNGDPNQDNGGVREGTTLILWEWTEGDNQRWKIVEWC >cds.KYUSt_chr2.10896 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69200288:69201505:-1 gene:KYUSg_chr2.10896 transcript:KYUSt_chr2.10896 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQPPPAASSWSDLPRDTILEILLRVPAKDLCRLRAVSPSWRALTCDPRFIADHKSRHTAPLLAVAYRDQDMANGVDVVDLSGNVVRRIPSIESDILTTDKSGNVYPLIRTSEDSIRVVRTHLDLICFTRQYHPLGIWVLNPATGATLVLPKEHSEELARDDDVKRNYGRGQMESCALGQVSSTGEYKLIRISSVRDRQLCEVITLDGANYGSWRGKKSPPSPICAGPGEAMKCVVIGGVVHFLMNFYSGYCNTGVMTIEPGSIASFNLATEEWMATLRGPSPVRTFVQDNKGFIYADLTLQLSLAELDGSLVTVQNIHYISIDLWLLTDVENGIWEKKYNIPSHVAQLFVYPFLVLNDGRILFSHGEKYVTSYDPKTGTYVYALEVRDSRSIGIYTGSLLSL >cds.KYUSt_contig_2638.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000430.1:21758:22634:-1 gene:KYUSg_contig_2638.4 transcript:KYUSt_contig_2638.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCRRPPPQRAAAAAGGVGCVGGEPGGGSIVANIMEALRWLCGAGEVSSSSLSQLRQGWVFVSAVATYRSCCQQPHFRAPVADHLPSSNHTGRGDAGCEGGYREAVSVANTIGSILKCSVEPLRWLRID >cds.KYUSt_chr4.38994 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240715219:240715992:1 gene:KYUSg_chr4.38994 transcript:KYUSt_chr4.38994 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMNKMGSYWLGQKANKEMSSAGDDLESLSTSVGDGAKWLVNKMKGKMQKPLAELLKEHDLPVGLFPREATNYEFDPETRRLTVHIPAVCEVGYRDGSELRFDTMVAGTLDKGSLAAVEGLKAKVLVWARVTAVKADAAKVYFAVGINKSRSREAYEVVRGAITVDKF >cds.KYUSt_chr6.5683 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34118528:34118800:-1 gene:KYUSg_chr6.5683 transcript:KYUSt_chr6.5683 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTCRTLSYGHAAAPLAIEGLEQGRTGGGLLLEQGSKVAPLPFRFRPRSHVPVFAFNRSSPSAVSSSPTTPPKHQSFSAAPSTQPPAVS >cds.KYUSt_contig_990.434 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000093.1:2192413:2195082:-1 gene:KYUSg_contig_990.434 transcript:KYUSt_contig_990.434 gene_biotype:protein_coding transcript_biotype:protein_coding MALRITDRIRKREEEEEEEEGDDDDMILFLLPMLHLLGEPRGKKPRHTSTIRGEEVNCLGAIDGTHVPMNINGDIATPFRNRKGTLSQNVMMVCDFDLNFTFISCGWEGCVTDARVLRSAIRKGFRVPEGKFYLVDGGYANTKFFLAPYRGVRYHLKEFGRGHRAPQNYQELFNHRHAVIRNHIEQDLGILKKRFPILKVGTHHTIQNQVKLPAAAAVLHNIIRMHKGDESWGRHVYHDECKTISKDGNARQWWARASWNADLEKALVDLLHEHNTPQYRGQNGWSTDVWNRITKKFHDNHPYKNYTKGQIQDKEKELKREYKMLKEARQQSGVSWNKKRCMIEADPELWDNLIISFPKIGKFRSNKAFPLFDALGELYDGHLAEGNYNFTSTEPTQHTQVEVNPEVSSVEASHSHDDIAETVVDDTQGGMQEASMMENFVGNGEAQPTVSAAPSTSTENEPKKRRSNGDIAAMMEKYIEIKTKQVESKQIANMDEYSIKNCVARLNTMGLSREDKVKALKVFMNADNRELFLCVDMDTTLMWLQGEMA >cds.KYUSt_chr7.2231 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12984132:12997671:-1 gene:KYUSg_chr7.2231 transcript:KYUSt_chr7.2231 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNHGRVPVSFLAGCRTAMETEAGGPGFGSKKRKRHAMRTKQGGRGPGSVSKKRKPAPVATPAAAEVRAASDQGPPPGSGEYAAGRISLIPDAILGEIVSLLPTKEGARTQLLASRWRHIWSSAPLNLDSGSLAAPIEVDCGGEATRKSDLDGVVSRILTSHQGPIRRLCIPTGPTAEAYWLQSPTLDNLEQLEFSYLQDCGPKRSSWDDRILPVPPPCTFRFAATLRLANIGKCHLPDSIVHGLHFPQLKHLRLHWVCISEWSIHHMIAGCPALECLMIYFVFGVNRLKINALRLRTIGMRTYPHDYWSTGEPRLENFVIENAPCLTRLIRADQFDATRVSVISAPKLETFGYVNNDHPSFSRNIERLRDDSMTMAAQLVKTLGVRMSVLDLDKFFVLKATELELMRIQVETMEEEFIAKQEKLLQLENKASRGYHEKEDQIEVEEKEWKLHEEIAEVWAVMRMTRRDPPLHRSKDQTNPALLNATGEIVANEVEEEASPAPGFSPLCTLSVGLTPAAHAVAALALRGADLGPTLSAKLHRLLMTTRRSLLVSKVAGPDLGLGMDFRATLCPRHPDRTSSHSGTRSSWSTRDASGSIPKGLRGAQLAARPAAALGVAGSVSELMDKEELSCSKRTEASLLSTGKHLRNDVEVRWSKYGLKFTGGEIICANQKGTVLPATRQPLEEGWKRLEGMASGDRRHGKEPAAVEEDNFPEGLRVLAVDDDRVCLRVLEAVLRECKYKPTGVMDGRTALKLLREKGEDHFDLVITDVHMPDMDGFQLLELIGLEMDLPVIMLSVNGEKATMYKGIKHGACDYIVKPADIKEIRNIWQHVVRKNHVAVIHNRSDSDDADQRVARPVIGKGGAKSKKCSKKKRNDGEGSDDDKRGRRRNTWKKPRVSWTGELHNRFLEVVYRLGVDRAVPKAILEMMNVHNLSRENVASHLQKYRLFLKRVTDDPMKPNHMGDSSESRRNASYMGMSHQGVLPSSALCPCGSHNLNAAPPSILGPHGLSIQPRNWAMSTVGNGGLMPDTGSRHASGPPVGLFANTSDQPMQDAFPRIHFRSGKAYHSVLRQKLMEVNTSVVPSSHPGTSSVAAEMPNGGQLEPANQFLVQPREQIGHFSGPMGMAPSAMGTHGDTQLPYLAGNCTNPWQNNVAPSSFAGSMVGAPLLPSSQVNVNLPQINQTIFAPSSSEMAVFQNEQQNQMAGTNINNTTSVDIYSQQMTPLFNMASNAAPVEMTNANFSPMNQMMVNGGSTSSPSLNLQAGNPVAPPAQMANGGGSSSSALPGHLDSSVVPPAQMVNGGGSSSSSLPSHLGSSVALQTQMLNGGEGASGILPVQDDPARWHDSDHQPTYSTSNFLEDIFASMASQDFNPDALW >cds.KYUSt_chr3.7337 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42457106:42462531:-1 gene:KYUSg_chr3.7337 transcript:KYUSt_chr3.7337 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLLVLAASILALSRASASPTNAAAADRITLLPGQPPVNFSMYSGYVTVDAAAGRALFYWLIEAAVEDPASAPLVLWLNGGPGCSSVGYGASEELGAFRINSDGTTLSSNPYSWNKRANMLFLDAPAGVGYSYSNTSSDLFTAGDNKTAHDSYTFLVNWLERFPQYKHRDFYITGESYAGHYVPQLSQVVYRNNKGIEKPVLNFKGFMVGNAVIDDYNDFVGTFEYWWTHGLISDDTYQKLQLACDFDSSTHASDACNKIIDVAYDEEGLIDAYSIYTPTCKKSSLDKRRLIKGRRPWLPRGYDPCTEVYSTKYYNLPEVQKAFRANVTGIPYAWTGCSDDLFEYWKDSPRSMLPIYRELIAAGLRIWVFSGDADSVVPLTGTRYSIDALYLPTVTNWYPWYQEEEVGGWCQVYKGLTLVTVRGQVSEGENNCLMIAFSREEVDVVLASMKVDMAPEPDGFPVAFFMRFWHLVKPMIMDIANGFALGRVDIARLNFGILSLIPKVPGPEDIKQFRPIALINVIFKFVAKAYATRLSLGVDQEEGLRIAHMLNCKHGSFPFSYLGLPVSDRALAATDCGPLSAKVGKRVDPWMGKLMSTAARLTLINACMSSLPLHAMVSGL >cds.KYUSt_chr6.28136 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178334366:178335402:-1 gene:KYUSg_chr6.28136 transcript:KYUSt_chr6.28136 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGERHGWGRNSSDSDDRRGGGDELCIQALERILQDHPLDYDSHIEVPILDFRFRACSFSSGPLWCWFCHWNFLLDVLRVLHDICSMKFLTVAYTNRTMLPQALEKKSLDIMQKLLSRHVEIIETIDEEDTYAH >cds.KYUSt_chr2.45615 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284460061:284461524:-1 gene:KYUSg_chr2.45615 transcript:KYUSt_chr2.45615 gene_biotype:protein_coding transcript_biotype:protein_coding MSNADVEGGGQARAAAPTTGIKPPPGRYNSSNVEGQHAPVSPFYYDHAEQHERRHHTWLVPLVVLANVAMFIVVMYYNDCPRNGADCIGRSVLRRFSFQPLKENPLVGPSAATLEKYGGLDRYKVVHGNEAWRLETSTWLHAGLIHLGANMISLIFVGVRLEQQFGFWKVGLVYLISGVGGSVLSVLFIRNGVSVGASGALFGLLGAMLSELITNWTIYSNRIAAMANLLIIAAINLAIGILPHVDNFAHIGGFVTGFLLGFVLLIQPRFGWSAQPFGAKTKSKYTACQIVLLVLSVILTIAAFAVGLLMVFRGVNGNDHCSWCHYLSCVPTSSWKCDN >cds.KYUSt_chr1.8950 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54972103:54972519:-1 gene:KYUSg_chr1.8950 transcript:KYUSt_chr1.8950 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGPTLNGEDTSSSNSENPASILEVNGVVTSDEKPAGAPRSAVLQSCTLTSGLLLASGLVLREVSHIASSNGWPFADSTVVSCQ >cds.KYUSt_contig_2486.808 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000356.1:3107655:3112926:-1 gene:KYUSg_contig_2486.808 transcript:KYUSt_contig_2486.808 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATAPSRKTETYTDTKRRDDVRGANIAAARAVADAVRTSLGPRGMDKMISSGDQEVIITNDGATILSRMSLLQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLAAGAHPTAAADSLHRLAARAVEVLQGMAIPIELSDRDSLVKSASTALNSKVVSQYSSLLAPLAVDAALSVVDPAHPELLDLRDIRLIKKLGGTVDDTELIRGLIFDKKASHAAGGPSRIENAKIAVIQFQVSPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFREDKLGHADLVEEVSVGDGKIVKITGIKDMGRTATVLVRGSNQLVIDEADRSLHDALCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELHGMESYCIKEFADALEVIPYTLAENAGLNPISIVTELRNRHAKGEKNTGINVRKGQITNILEENVVQPLLVSTSAISLACECVRMILKIDDIVTPLSMFMRKGDSPVRQKEQDGNAIRQKKSRKKDRI >cds.KYUSt_chr3.24234 pep primary_assembly:MPB_Lper_Kyuss_1697:3:150383401:150391177:-1 gene:KYUSg_chr3.24234 transcript:KYUSt_chr3.24234 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLMCSDTDSLQRRGPSPARVEQENRDSEATIAARDEAVAAARDRGSLVADVAAIRAAVQANEDAAAVRRRRRRRRPGGGGGDGYQGGHCPGDDLWDGSLAEALERRERQDEMSSHDGKSWDGPDQSESDPPNLSRSSSAGPTKQSLMKAHEISISPGRRTGLSASPSVAVVATPPRLHGDGVPPTPSRLSLSTLHSPRRSRVLSDSPYLVGMGSYDITGPAADVNMMGYANTEQIASGIHFRLKSRAFIVAEPDGKRVVFVNLDACMASQLVNIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEQSIVEAHNNLRPGKIYVNNGDLLDAGVNRSPSAYLNNPAEERSKYQYNVDKQMTLVKFVDNEMGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQNGVSKQTGHANSVDFGSLHMSSVLPRRVSTIIPEPNEITDDLMQLASSYVASGGRRLAASNITRRIRSTQENTAKFVSAFCQSNCGDVSPNVLGTFCIDTHLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIASRQFLKAADLFNSASEEIQGKIDYRHTYLDFSQLGVSVSTSTGGQQVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWRLVRNLLKTPGKEQVECQAPKPILLDTGEMKEPYDWAPSILPIQIIRIGQLVILCVPGEFTTMAGRRLRDAVKNVLISGSNGEFNSNIHVVLAGLTNTYSQYVTTFEEYQIQRYEGASTLYGPHTLSAYIQEFEKLATAMVANQEVPTNIQPPDMLEKQIGLLPGVMFDTTPIGTNFGDVSSDVPANSNFRKGSTVNATFYSACPRNDLLTDGTFALVEKLNGKDWVPAYDDDDWSLQFKWSRPSKLSSKSFATLEWTIPEDAASGVYRLRHFGASKPIIGSVKHFTGASSAFAVR >cds.KYUSt_chr1.21116 pep primary_assembly:MPB_Lper_Kyuss_1697:1:124708611:124717252:-1 gene:KYUSg_chr1.21116 transcript:KYUSt_chr1.21116 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGNRLSWSDLQSLARRKPAASSSDRDAAEAAADGGNGRGLAKALSVPHLTAIGVGSTIGAGIYVLVGTVAREHAGPALTVSFLIAGIAAALSALCYAELSCRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSTVARGISPNLALFFGGQDNLPFFLAQVHIKGLDTAVDPCAAILVLIVTALLCLGIKESSLVEGIITIANVAVMLFIICAGGWLGFQNGWPGYNVPKSYFPNGASGVFSGSATLFFAYIGFDAVASTAEEVKNPQRDLPLGMGLTLSLCCFLYMMVSVVIVGLVPYYAMDPDTPISSAFAQHGMQWAVYIISSGAVLALIASLIGAILPQPRIVMAMARDGLLPPIFSAVDHRTQVPTLSTILTGICSAILAFFMDVSQLAGMVSVGTLLAFTMVAISVLIVRYAPPDEMQMEGPDPGSLESLASQAGQSERDEDILGDPFGNVQEGPTASEVVNMEDIPTELLPPSLQDDQVVDVKLKFNKVIIGPITRSYLNNRSSVAREEEQLDMVLDVKTIHRRTTEEREACAKEVDTGIAGLCRVALYQVHLGTVVGTAGPTTPALPVSLPIRRYLGGGILTEGRRSRGHQTKSPRDGDLTPANFLPESFKRHRSKAFLDPSCSRLIGKSSPPPICGLVGGLHVKSATFFLAGVTGNLHTIAVPGVHDGLPAFANKPPEELASWSFIPALAQYPELDKMARRISWLVHDGLTGMDLTLSWFTCRIQPLKFNKRLICEYSGVDDQLRLIKDNLPTVISQDMGLQK >cds.KYUSt_chr3.3743 pep primary_assembly:MPB_Lper_Kyuss_1697:3:21312821:21313976:1 gene:KYUSg_chr3.3743 transcript:KYUSt_chr3.3743 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIGAINNYTAQLLTYGDSPKSPYNLTESLMFLAHFVGDVHQPLHVAFEEDEGGNTISVRWYKRKENLHHTWDVSIIETVMKNLYDRDLDTMVEALQTNLTNGWSDDISQWENCENKKATCANDYAVESVGLACKYAYKDAANGTVLGDEYYNSRYPIVGRRLAQGGIRLALILNRIFDRTTKLDTLPLLQVHKFANSVIRITYS >cds.KYUSt_chr3.35827 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225195976:225197244:1 gene:KYUSg_chr3.35827 transcript:KYUSt_chr3.35827 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSVWIPGVPVSCKFEPTKMTRLEETSVASSSTGQQLVQHTAADTPSHDDPFAIFQSKALELEHDNDQWGNKMHRYPASIRELGYRYTVPTIVSIGPYHHGLDHLKKAEEAKHVAAYSCIKHAGLSVQEMYDAVVSAAVAGEARRLYDKDTMIGTEDDNFLPMMFYDACFLVQFMFWMASEKGTMQMHPWLDFFLKSNKGDIFHDIMLLENQLPWVVVETLARFTSVNLENFAKGFKLHNIPSHTPLMDDLEAKSCAVHDSTAPYNPPHLLGYVRFYIVGTNKSQMYQPHKEAKVVSFSLGAIELTAMGIKLAPSKTTELADMGFKEGSFSAELFLPPIVLTYSRASYLFNMAAFEMTSKLRPGHGEDSAVCSYIQLLSMLMDREEDVQELRSRGLLPGGGGLTDKETLDFFFFLRTRYID >cds.KYUSt_chr4.16234 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100696660:100702404:-1 gene:KYUSg_chr4.16234 transcript:KYUSt_chr4.16234 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGDKDVDRFSKDLSVKYLEKLVRKISSLSKKDTIQSSCRVEPYSGTNALPKKHQVISSLPPLPEGGEVKEWTIVTDDTQGTSRPESEIAGSHRSAASSETDADSEASESVHSLPSPASPQNKRKRGEVEDSGTSKAGESLAEETSPEEEEAFNPYNDALSLMGQFIRLGTQFIEYKVLNTVDNLKEALAKANKRADDLALKLEQSEKAREKAELDAASVESLRKRLHDAETALSDNTTQQTAREEDIIARLESQNRRFVRKMSQDFELEKPEDDRLLDALSLLEIHGDEPNTFAALLKHFLPEEDLGLNLRQENLKIGVEGTIALVTESQQSVDWTKVGEVKKMKTERWQSLIRAAKPYSKKIISFLGYKPTPSPSSSKPEAQEALALKEATVTEAAKATSREDYMLQLMNDSSLDMAGSFLDTAAEDQRVEARMEHKRNGDLKVRYEQELFGRRRNHTLPAYLDLTSEAINAGQARVPLPLKSSTPSRQRTYADGELDVFSAERYFKGAMDHDRKEGSAVPVETVARSAVPVSKPAWTCASAASTGSGASASSQSVLLRDARRRPGYSGKKCCLQVGELLRPCSGKRAVRIDDGGAAKEPAESSNKTAARRIEWYEDLRMEKANLERAGDGNRGGVVASGPQPNLNLGAAKVAAIWREEKAAEYMSGSFRRGSFTLQAPVKVSGGRGGGRDDDNDSGSESSSDLFEIKSLMIDDCVYEPSEASIQWSVVTASAVDMSAPSERGGGYAGARGSGRGRAPVTIRQNRERPVGLLTGCVSRRAVNVSAITSVRRLPDSPVRRRIDGQSKAQNANP >cds.KYUSt_chr3.25976 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161433511:161436550:1 gene:KYUSg_chr3.25976 transcript:KYUSt_chr3.25976 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIRPCLLAVAFFAAAWVSTASSVPQQVDNSQKSFKCMPCSRTYVADAYLDALTGQLAQHRDLTEVPETADLFKGLDDELDVPVLSEVHRQLVGEGSHRRLVYSLKFGNCEDDVVKFLDGYDANLVLIEKLPSGVFADPFELQHFVERKVFLDVAVFGDTNLELPSALSNRSAVEIHFDLKPSTTMDCHLVVELPLHARYPPLDASGYATVEFGSPDLLLRYRKKDTHPDSCIWVLKNLDAEPVDKAVWRVPCGDGAHTGFVSSLTFISALVCSMSVVLAASLIS >cds.KYUSt_chr4.5592 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32437699:32438265:-1 gene:KYUSg_chr4.5592 transcript:KYUSt_chr4.5592 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSLLAVLILIVLVSLQRDAAAATTRVHHHHPFGREKITTLRFYLHDTLSGKDPTAVLVAHGANATSRPGDPTPFGSVYATDDVLTEGPERASRVVGSAQGLYVSSGKDGRLSLVMGMDFEFSDYNGSSFVVFSRNPVTNDHRELAVVGGRGKFRMARGFAVLRTHYLDTGNGDAIIEYNVTLLHY >cds.KYUSt_contig_60.328 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:1932797:1934687:1 gene:KYUSg_contig_60.328 transcript:KYUSt_contig_60.328 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVAAPLPFRHDVRGPLGWRSPRSGLPGALAGLDWRHSTRRLVEPARARGRNNKSGGRGATKDDERSEELEEPESVLLIDGEEDEEFEDGDLSGFRGLVLDLSYRPVNVVCWKRAICLEFTEKADVLEYYDQTVSSPSGSFYIPAVLRVPQLLQVMKRRRVKQCLSRKNILYRDDFTCQYCPSEDDLTIDHVIPTSRGGKWEWENLVTACSRCNSRKGNKTLLQANMKLRKIPKAPKEFDIIAVPLTKSAFRTIRRRQGLPEEWLQYIAGSSP >cds.KYUSt_scaffold_869.324 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:2033811:2037239:-1 gene:KYUSg_scaffold_869.324 transcript:KYUSt_scaffold_869.324 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGLMNGGGSVSATKISLRLQYYVLLAGVGAVLVVACLKYMPAAAAVAAAGYGSWPGAQGSGLAVATRAGEAAASEKASGGRSPVVIFNFGDSNSDTGGMAAANGMNIALPEGRTFFRRPTGRLTDGRLVIDFICESLNTPYLSPYLKALGSDFSNGVNFAIGGSTATPGGSPFSLDVQLHQFLYFRTRSFELINKGQRTPIDGEGFRKAIYAIDIGQNDLSAYLHLPYDEVVAKIPLVVAHIKFGIETLYAHGARKFWIHGTGALGCLPQKLSIPRDDDSDLDGNGCLKKYNNVAKLFNERLAEACNQFRQRMADATIVFTDMFVIKYDLVANHTKYEIERPLMACCGNGGPPYNYNHFKMCMSGEMQLCDMDARFISWDGVHLTEFANSIVASKLLTGDYSKPRIKIASLVNSTTPHDG >cds.KYUSt_chr5.21051 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137032664:137034851:1 gene:KYUSg_chr5.21051 transcript:KYUSt_chr5.21051 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIEVIATAPDARQWRPRSPCSMKTVAGNVFGEGDEDFGFERQSQYNPQFSATSCSVIGFAVYVCYAVVAFGAFFTDTAAGPDFSTSGRVLLEGGEPAKSTGKPKKGVWCSKCSDNSHAAKDGKVKHYCYICDKKAHPTARCPVLKMSRPSVFVSRSGLLETYFTAFPDSVVNEDLDLSLSPITLVTVTGDGVPADVIARQVARCCSDCPNWKWEAVPHADMQFLVSVPSFENHDIVDDI >cds.KYUSt_chr5.15964 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102839459:102841108:1 gene:KYUSg_chr5.15964 transcript:KYUSt_chr5.15964 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLKHVPFKFAHCYALLEHNLKLKLREQEAPPPKNKLIELEDAEQEDDVLEKKKNKDKRPNGCKAAKEKIKRQEEAASLSLKIDVMFKSKEAMMIKTLAAKKEMEAKAREKEAKWATLREDAKRKADIEEKRAPCHGGAHRGGECHYPGRLTRELQGRSRTYVPAPKQKRAYVRVRGAAKQQAMAHSPSATSLKRKHPDSDSSSPTGMCPSGCGFFGAAATGNMCSKCYKEKAVPASETTAAQTSVFVPAPASPTAPPEKKAKTIVSVASSDGAVASVKQPTPATTNRCATCRKKVGMLGFRCRCEGTFCSVHRYSDKHDCGFDYKTAAREKIAKNNPKVVADKIATRI >cds.KYUSt_chr6.2471 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14405159:14406929:-1 gene:KYUSg_chr6.2471 transcript:KYUSt_chr6.2471 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGDACSSSAVSPAFRAAADSDAVWDRFLPRDHAAVLARADDGGHRECSKKELFTRLCNQPVLLDGATMSFGLDRRSGAKCWMLSARALSIVCGDDPSCWTWTADLPGSRFPEVAELVDVCWLEITGKLQLSSLSPRITYVAYLVFSINDDSYGLECHIGMLPPKATVTVVVSSNTKPTSTSTEHTLCLQHTQGEEEMTMHRRRQEYVRPWKNYGRKVTREADMDIRCPRRRGDGWKEVELGEFTVAGDEGVVEVSFKEVECRRWKRGLIVQGIEIRPKHAN >cds.KYUSt_chr6.557 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3461857:3463593:1 gene:KYUSg_chr6.557 transcript:KYUSt_chr6.557 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFVARVSLFPALLLAQPAPLAITCRLRPGSARGYHRVLAAARPAKRMLGSVRYGTGATALRAEEAEVAVPPSVPVRVAYELQLAGHRYLDVRAEGEFGAGHPVGAVNIPYMYSTGSGMSKNSHFIEQVSANFGRDAEIIIGCQSGRRSLMAAAELCSAGFTAVTDIAGGFSAWRENGLPVSR >cds.KYUSt_chr4.8937 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53736045:53738086:1 gene:KYUSg_chr4.8937 transcript:KYUSt_chr4.8937 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSYSGYVAQNLASSFGLRCTAAAGTAGAAPGAGCRVLQDALSRPFCLFSSSRRLDHHNDAEDHNHPKPLPPTPTAKALPARRLTRTLVASDGGSYSLFVSPPGSPKSDDPPPSLAVGLLSVLASGVGGGASSLSASPSISSGLNPAALLPFLQASKWLPCSDLITGASSRRSARPATAPTPPTPAPSRRQPPVPSPAVAAPSKAGVMALLGGSGGPSKMGVKALLGSSGGAGAAPSVAAPSVVSSAAASGAAGMVRKSTAALGTGASVSRRNSWLSRWANSCSDDAKTMFAAVTVPLLHRSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPDICDIVIFRAPLVLQNMGYSSSDVFIKRVVATGGDVVEVTDGHLLVNGVVQDEEFVLEPANYEMGPVRIPPGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSKITDTIYDHDVLLGAAGIA >cds.KYUSt_chr7.22004 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136313604:136313793:1 gene:KYUSg_chr7.22004 transcript:KYUSt_chr7.22004 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEEGCSAEMEDGLELDDTVGVVRKRKLLSACLSVSVSASAGGPQQQGPKPLASVVWIDG >cds.KYUSt_chr4.34351 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210831910:210835890:1 gene:KYUSg_chr4.34351 transcript:KYUSt_chr4.34351 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGDRLGTYRGHNGAVWSCDVSRHSTRLITGSADQTAKLWDVKTGRELFTFRFDAPARSVDFAIGDHLAVITTDSFMGNMPTAQVKRIADDLQDQTDESALVISGITGRINRAVWGPGNRTIITAGEDATIRIWDSETGKLLKESGKEEGHQKAISSLSKSADWSHFLTGSLDKSAKLWDARTLTLIKTYVTERPVNAVDISPTLDHVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRRLQLRDLPCPLERT >cds.KYUSt_contig_6451.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001307.1:128312:128953:-1 gene:KYUSg_contig_6451.21 transcript:KYUSt_contig_6451.21 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRECCSSIRENILCYCLLTVAFVVLTAVAVIIAAFGVLRQVTITVDDASLTRFELATAPATGLAYNLSLVLAVRNKNWAMTMTNEEALEAAYFFDDQQFERVQLSDKGHKYDASKTTLHRLVSGSDSSVVTLGNAGVAEFGKQKANGTFELKVKVTGKLKYTARYTKCKIEATCSPIKLKVVAPSSANPEPGVVVLFEKVKCKLAKAEKYC >cds.KYUSt_chr4.48507 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300441081:300442676:1 gene:KYUSg_chr4.48507 transcript:KYUSt_chr4.48507 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSSTQRRQPPLWRRCRSLRQIKQVHALLVLRGFLSDPSALRELIFASAVAVRGAIAHAYLVFDQIPHPDLFMYNTLIRGAAHTAAPRDAVSLFTRMNRRGGDGVRPDKITFPFVLRACTAMGAGGTGAQLHAHVVKLGCQSDAFVKNALIGMHASCGELEIAGALFDRRAREDAVAWSAMITGCARRGNISAARELFEECSVKDLVSWNVMITAYAKRGEMAQARELFDRVPDRDVVSWNAMISGYVGCGSHMNAMELFEQMQSMGEKPDVVTMLSLLSACANSGDLDVGRRLHSSLSENFSRTGFTVVLGNALIDMYAKCGSMKSALEVFWVMRDKDVSTWNSIIGGLALHGHVPESIDLFKKMLKEKVRPDEITFVAVLIACSHGGMVDRGREYFNLMQQQYRIEPNVKHYGCMVDMLGRAGLLREAFEFIDTMKVEPNSVIWRTILGACRVHGEIELAEHANRQLLKARSDDSGDYVLLSNIYASAGEWLESEKMRKLMDDSGVNKEAGRTVVDGSAKDAMQSFR >cds.KYUSt_chr3.4869 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27679340:27680254:-1 gene:KYUSg_chr3.4869 transcript:KYUSt_chr3.4869 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTHCHRPGLFARDPHLVDARSGARRMVFPAAAEGKGGPADRKGDEMQPGRGVDAPGGCTQEEPTEEGNEESGGRAPDGFAQERTTG >cds.KYUSt_chr3.34996 pep primary_assembly:MPB_Lper_Kyuss_1697:3:219492590:219494939:1 gene:KYUSg_chr3.34996 transcript:KYUSt_chr3.34996 gene_biotype:protein_coding transcript_biotype:protein_coding RGCRTDDGDNGSLLSDQDLHQAILNLIVGFYKAAFDRLPRASENMTELIDLLDDGGLCLGLLDPVSNIILNILALLPYAAAASSAPPAAKRSKREAWADFPKPNDADYVWDVMAGRSYRSLVNFLVGYFGCLTEEQASRYLYWARADLPLAVMLVQHDLYEHDAQHQPQGLDPDSARTQAAFKWAAQEAGHPAPDTLVQLMAIRLYPDAFALLKKQFSEVSLSSENVRAIHRLLHRPYDAQMTPRGTTTTTNVSADGSTTTTITTTVRRAAHRITSLRQHIDHTDMSAKLSSCLTQGYAQKHRLKTPCSRDACNYLQSLEMYLHGMLHHFYIRALTLLPTPSGSLMRSFLMAGHCYGSMDPVCNIIVNSIWYDRHGCPLPESERTKIEQYIDILDPLSLLRVEMHSLKGLTELAAFADPQLSVAACALENLCSAKCDIATMLPSSTERFEKNPFHEASMAAGHPLPLQLGELHQQLLLMPVERNKLLSLMKEAQTGGTVLPIDDIASILDMVLSRSRAPAPALVQAPELCVKALSVVSRNRSCYEERRRWFRSKLERILKEYATQHFWEPKYTLDYICGVEKSGEAPPKFHTCYHVNFMATCELRPQKTLFFAEFRLVDDDPNKPSFCCTLPYPYAGRCYYGVRTARKIVYPDRAEYIGKDITDDGTRSVGDMLQMDHVYFCSEGDVELSKNLNILPSSESRYDSF >cds.KYUSt_chr4.24494 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154235931:154236321:1 gene:KYUSg_chr4.24494 transcript:KYUSt_chr4.24494 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRRAALCFLLVLVILDANPTSVAAGDDDRPEVCKYRVPNIPFCKGWSCKAECWMEAKVFLARVQEHRCIRGGWKGKCYCLFCGKHLASRTNLLSGRIP >cds.KYUSt_chr2.17881 pep primary_assembly:MPB_Lper_Kyuss_1697:2:112597915:112603066:1 gene:KYUSg_chr2.17881 transcript:KYUSt_chr2.17881 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVKAARPAFRGAHDGVAFAANAAFLAAGYSLCAVGPAALTDPPPTVDEEVGIDGWNSMENCYAFLYSKEEEGKKKRILMKCLVIGDFLAMDVLDLEVQHKEPFNVQINVKDFFSEEQTKNYKDMYKNFTGFIDTLNSSLLVKLDGKDSVASQKPDVESSSSMNSSDNVLRENPTRVTTEPAGLIYPPVAPFGHDDLFPAPGAGFYPHSGGRGGGGMHVGPNDPRFFPSIPFAAPPGGLGSVPPGGRYDPIGPPDVPGFEPGRFVRRPRHPGGSTHPDLQFFQQDPDF >cds.KYUSt_chr2.8165 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51392089:51394050:1 gene:KYUSg_chr2.8165 transcript:KYUSt_chr2.8165 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRPLASAAVAAGASAPFPPSWAHRIRSAASQGHHFHAIALFLQMRASTPAPPRSSVPASLPAALKCCTVLGLRALGASLHALALRSGAFADCFTANALLNLYCKLPPPSSSPSPEMHGAAGQSESAAFHSARKVFDEMPEKDAVSWNTLVLWCAENGRHQEALGLIREMWGNGCKPDSFTLSSVLPIFAEWSDVRRGMEVHGFATRNGFADDVFVGSGLINMYANCTRTDYSVRVFDNLPGRDAILWNSMLAGCAQNGSAEEALEIFRRMLRSGIRPMPVTFSSLIPVCGNLASLHLGKQLHAYVIFGGLDGNVFITSSLIDMYCKCGDVSTARHIFDRIQSPDIVSWTVMIMGHALHGPTREALVLFDRMELGNVKPNDITFLAVLTACSHAGLVDKGWKYFNSMSDHYGIVPSLEHYATLADILGRAGRLEEAYNFISEMPIKPTASVWSTLLRACKVHKNTVLAEEVAKRIFELEPRSMGSHVILSNAYSSSGRWNEAAHLRKSMRKKGMKKEPACSWIEVKNKRHVFVAHDKSHPWYERIIGALNVFSEQMARQGYVPNTEDVFQDIEEEQKSHVLCGHSEKLAMVFGIISTPPGTTIRVMKNLRVCVDCHTVTKFISKIVGREIVMRDANRFHHFKDGNCSCGDFW >cds.KYUSt_scaffold_1700.402 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2621791:2623334:-1 gene:KYUSg_scaffold_1700.402 transcript:KYUSt_scaffold_1700.402 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRASGCPPASAACPRSRRRDGSRARASPRASLLPAQGPRRAQPLPKLVHFPVAALAAMFLGAVVTKAAAVVSCVLTDGQEQGGLDQIEARLAGVDQIEAQLTGVDQIETGENLD >cds.KYUSt_chr6.12447 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77785376:77785615:-1 gene:KYUSg_chr6.12447 transcript:KYUSt_chr6.12447 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAAASRVDKLVHIRRDSRSRVNGASTASSSPASAPDEDGPARLFGRGGNSSGGNERNASIHLDPATLDPPSLRLNL >cds.KYUSt_chr3.22962 pep primary_assembly:MPB_Lper_Kyuss_1697:3:142037430:142044472:-1 gene:KYUSg_chr3.22962 transcript:KYUSt_chr3.22962 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAASAAALLLALAASLALLASAEPPASERSALLAFLTATPHERKLGWNTSTPACRWVGVTCDAAGSTVVALRLPGIGLLGAIPPATIGRLPNLQVLSLRSNRITGTIPDDLLQLSALRAVFLQNNALSGAIPAGVGRLGALERLVLAHNNLSGPIPFALNNLTSLRSLRLEGNRLSGKIPSISIPGLAAFNVSDNALNGSIPQSLARFPEDAFAGNLQLCGSPLPPCSPFFPSPSPAPGMSPSDVPGAASGKKKLSGLAIAGIVVGAVLAALLLLALIVFCAKKSRQQSGARQGPKAAAAAGQTRGVAPPETGMTTSSSKDDMGGGGGGTGTSGSAAAAAVAAGAGTGEASRLVFLGKGAGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVARREFDAHMEALGRVEHRNLLPVRAYYFSKDEKLLVYDYLPNGSLSAMLHGSRGSGRTPMDWDARMRSALSASRGLAHLHSAHNLAHGNVKSSNVLLRPDYDAAALSDFCLHPIFASTTTRVGSGGYRAPEMVDSRRPTFKADVYSLGVLLLELLTGKSPTHASLEGDGTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGSGHGQTTTEESARGGTSEEERSRGTPPAVPTP >cds.KYUSt_chr3.2081 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12115572:12115841:1 gene:KYUSg_chr3.2081 transcript:KYUSt_chr3.2081 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASLSGIGGKAARMVSWNRRSPSGGSSDDDEGAAVEDEAEDAVWRKAIIMGDKCRPIEFSGHIAFDSDGNQLPPATAKKTAADVAQN >cds.KYUSt_chr7.35336 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220750515:220756369:-1 gene:KYUSg_chr7.35336 transcript:KYUSt_chr7.35336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MKDQGSAGASPGPPEGEKKAINSELWHACAGPLSAMPPVGSLVVYFPQGHSEQVAASMHKEVDIIPNYPSLPSKLICKLLSLTLLADSETDEVYAQMTLQPVSKYDRDAMLASELGLKQNKQPVEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQEIMAKDLHDISWKFRHIFRGQPKRHLLTTGWSVFVSTKRLSAGDSVLFIRDEKSQLLLGIRRSIRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDQVRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFYRPKLPKQPGMPDDESEVESAFKRAMPWLADDFALKDVQSQLFPGLNLVQWMAMQQNPQMLPAGASAVQSPYLTSSGMAMQDGMGTGNEDPTRRFNIQGQNIGLPNLQVGSKMDHSVMAQHQQQSHQLSQQQVQQSQQSSVVQQQQAQLLQQNAIHLQQQQEHLQRQQSVPLPLPQQQQEHLQRQHSQPQQQQEQLQRQQQLNTAASMQSMEQHKLREQQPQGGQAISQAQLLSQIFQPSSSQLQQLGLPRSPTQRPGFPGLPTPGSMQQQALTQTPQVQQAAEYQQALLQSQQQQLQQLSQPEMQLQLLQKIQQQNMLSQLNPQQQSQLIQQLSQKNQEFLQQQILQHQLGGSDAMGQFKQSQQTPSNHITGSLTPQQLVRSHSALAESEDPSSSTAPSASRISPMNSLSRAHQGSRNLTDMTTSPHIENLLQEIQSKSDNRNKNDMQGSKESTPVPNRYPVSDQLDASSATSFCLDESPREGFSFPPVCLDSNAQVDPRDNFLIAENEDSLMPDALLSRGMASGKGICNLPSEQRDHREVENELSSAAFSSQSFGVPDMSFKPGCSGDIAVNDGGIPSQGLWNNQTQRMRTFTKVQKRGSVGRSIDITRYTNYDELRHDLACMFGIQGQLEDPYRMDWKLVYVDHENDILLVGDDPWEEFVSCVKSIKILSCVEVQQMSLDGDLGGIPPQTQACSASDDANAWRG >cds.KYUSt_chr5.10949 pep primary_assembly:MPB_Lper_Kyuss_1697:5:71070285:71073745:-1 gene:KYUSg_chr5.10949 transcript:KYUSt_chr5.10949 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGKPILYSKWFSSCSQRVRIALNLKGVDFEYRATNPMTDPDYEKINPVKFVPALVDGDLVVSDSFAIILYMEDKYPQHPLLSQDLKNKALNLQIASIVCSSIQPLQSHAVIGSFLGTMDADESLQMVQQYIDKGFRGDMQISNVLALVMRKPLFMISFYWYFLWQSKYPILARLQAAYNEHPAFQAALPKNQPDAPPS >cds.KYUSt_chr4.3812 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21762092:21762724:-1 gene:KYUSg_chr4.3812 transcript:KYUSt_chr4.3812 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSTARTVNLLAFSISVLFSANLLVAATSTSGSVKVACAKSPDPSFCAAFLAGIPESSTADARGLAELAIRAAAKIGAELGTAARTQLNVVTVKGAQWQCMDSCVADVEEAVSHLDVDRGKATTAMEDAKFNDARDYIEAGEKDGLAWNCDLCREGLPAPVKTGLLPKGNEFEKVMGVTGALIKRAVGSAAPAPAPAPSSTRRREI >cds.KYUSt_chr2.40175 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249490944:249491348:1 gene:KYUSg_chr2.40175 transcript:KYUSt_chr2.40175 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTDYTVAERLPTEEPRRPTHRAALEEQLYNQCVLLTGGDMHLHAVYAAEVAVGAGSATFQGHVHHLEIRDAGCFFRHLHTPMYAIVASAAADAAFSTELGMDSIGLVGWNRWYWWRHFAQQTEPSGRETLLG >cds.KYUSt_chr7.28730 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179113695:179114102:-1 gene:KYUSg_chr7.28730 transcript:KYUSt_chr7.28730 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGAEGALKRCSAAKRKADQEAAPGCSEAKAVVAAPSRPPSRNMMPTERVEYLLNCKSQPYHRTGKIDPVADRYDELAKEMEENREQLRKEFEEKGYVYVPDGYEEEIHRCNDAAFKSAYLEVYGCLPPEDGD >cds.KYUSt_chr7.3378 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20173311:20175731:1 gene:KYUSg_chr7.3378 transcript:KYUSt_chr7.3378 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTGRGVFPNKPTLPTAPKKRPSPSPQTLLPAAPPPQSPTLPLDSFLLHLTATPAPAPAPVPRRHHHHQHQQNHHATPTPAHSFLSPAAQTLVLELSSTPLPSLPAFLATRRADLLRAADLPSLLKALELSGHWEWALALLRWARADGVAAAADAPALEMVLRALSREGRHGAVCDLLDEMPLPPGSNLDVRSYTTVLHALSREGRYERALALFAELRREGVQPTRVTYNVVLDVYGKMGRSWPRILALLDDMRAAGVHPDGFTASTVIAACGRDGLVDEAAAFFADLKARGHAPCVVTYNSLLQVFGKAGNYTEALRVIKEMEDAGCKPDAVTYNELAGSYARAGFCEEAAKCLETMVDKGLLPNTFTYNTIMTAYGNAGKVDEALALFERMKRSGFVPYVNTYNLIIGMLGKKSRFAAMLETLGEMSRSGCTPNRVTWNTLLAVCGKRGMESYVTRVLKGMKSCGVELCRDTYNTLITAYGRAGSRASAFKMYDEMTAAGFAPCLTTYNALLNVLSRQGDWTAAQSILNKMKNEGFKPNDMSYSLLLQCHAKGGNAAGIEAIEKEVYQGTIFPSWVVLRTLVIANFKCRRLEGIERAFQEVKARGHKPDLVILNSMLSMYAKNGLYSKATEMFDLIKQSGLSPDLITYNSLMDMYAKSNEPWDAEKILMRLKSSNKLKPDVVSYNTVINGFCKQGLIKEAQRVLSEMIADGVAPCVVTYHTLVGGYASTEMFSEAREVVNYMIQRKLRPMELTYRRVVDSYCRAKRYEEARDFLAVVAETDPNADQKMLSTLSARVESAQFSR >cds.KYUSt_chr3.31297 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196663847:196667891:-1 gene:KYUSg_chr3.31297 transcript:KYUSt_chr3.31297 gene_biotype:protein_coding transcript_biotype:protein_coding MMEESRCKVDAEAIQERHEKSLLKSELENLQKEIRAMREQSKRPLHCTNCGVAAGSGGDFDAAVSNKEQQLQLENARLRAEVEKLRGERGKAAADGALSPASPPFCVGTTQTKDNRSPLEFYGGGLTGRDKQSILELVGRALEELKAMSSSGEPLWVRSMETGRDILNYDEYVRLFRRDDDDAGDQDQRGTWSVEASREGGVVYLDTTQLVHAFMDAMLAEVQTLTPMVPTRELCFARYCKKLAPEKWAIVDASFSKAGGGADASSPVITSWKNASGCIIEEQTNGRSRVTWVEHAKHREPAVVPSTYRAVTASGLAFGARRWLAALRLQCERMVFSVATNVPTRDSNGVSTLAGRRSVLKLAHRMTLSLCRVIGGSHGLAWSRAPRAGAGDVWLTSRRNAGDPGEPQGLIAAAVLSAWLPISPTALLAFLKDEYRRPEWDVTLHGRSVQSRVSVAKGKDRGNCVTAYAPTSAEEQSGGAWVVQDVCTNSCESIVAYAPVDAAVLQPVIGGHDSSGVAVLPCGVAIMPDGLESRPAVITSRKEDGVAGATGSLVTVACQVLASSSPMAALSPESAETVTSLVSCTLRDIRKALGCYDR >cds.KYUSt_chr4.7533 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44873764:44875592:1 gene:KYUSg_chr4.7533 transcript:KYUSt_chr4.7533 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAVVAALLLAAAAAASAREDTNGVYEPCADATVQRGDGFTFGVVFAGRDAFSSGGVQLSPCDGRLKLADARVPVALFRPTIDEISLLTVNASDANALASAGGYMVAFAGRKYAARSLPVFVSNSSYSVTAFTLVFEFQKGILQNLFWKADGCSSCSGDSNFACVDESCAIKTSSCRGRGNGQVDCSPGIQLAFSGTDKHEDVFNSWYEVSKLKQYSLFGLFSNLKDSLAGQFSQFF >cds.KYUSt_chr7.17479 pep primary_assembly:MPB_Lper_Kyuss_1697:7:108290448:108292339:-1 gene:KYUSg_chr7.17479 transcript:KYUSt_chr7.17479 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAQQIHPSPPQPSPPPPCRRRRPGANPVGWEHGGRGLGAWRTPWTCRWTTSSPNNKPSHSRGRGRRNPASAAGGPAPARRYFHSCAANRSAAAPYHQLNFQPQQVPPAFGYVAQLTAMVTAPSTGLEITPTKLYISNLDYNISNEDIKDLFSEMGEIKCYSINYDKSGRSKGTAEEVFSTKAEALAALKKYNNVQLDGKPMKIEVIGINIEAPASAIFSFA >cds.KYUSt_chr2.44223 pep primary_assembly:MPB_Lper_Kyuss_1697:2:275037227:275037541:1 gene:KYUSg_chr2.44223 transcript:KYUSt_chr2.44223 gene_biotype:protein_coding transcript_biotype:protein_coding MRDCAPPEPSPTRVSSARKSYSSARASPHRRTAAGQHEQEATAVSAASFSGEIELLFGTRVLHELSAVDLASSIHKHAASTPAGRSSCLHAAAASRLFWIKMRG >cds.KYUSt_chr1.18050 pep primary_assembly:MPB_Lper_Kyuss_1697:1:105248112:105248543:-1 gene:KYUSg_chr1.18050 transcript:KYUSt_chr1.18050 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILQSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVDEIRVDKETMEMLAFLGMGDLPGVDRAPEVTSSAPAFRQPFNGPRGGNRA >cds.KYUSt_chr5.13570 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88219802:88221304:1 gene:KYUSg_chr5.13570 transcript:KYUSt_chr5.13570 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSPPHHQQLQEQERDQDGCPTMKKKDPGWAEAAARAVAGEARAQRGIALPLIGMNLMWFAKQAITTAFLGRLGDLELAAGTLGFSFANATGFAVLTGLCGAMDPICGQAHGAGNAALLRRTLLMATAMLLAASVPIALLWLRVDAVLLHVFGQQPDISAVARRYVVCLLPDLAISSFLGPLKAYLSSQEVTLPTLFASAVGLAAHVPLTVWLSRTRGVEGVAAAVWLSDLATAVGLAAYVALLMKKNNARAEAPRCGRWWWPDPDRTADWVRLLRLAVPSCLNTCLEWWCYEILVLLTGRLPDARRAVAVIAVTLNFDYLLFAAMLSLSVSASVRVSNSLGAGDAAAARRAATISIMGGILAGAAGGALMLASRRQWARLYTRGAGVREGVAKAMTVMAALELVNFPLNVCGGIVRGTARPAVGMYAALGGFYLVALPVAVALGFRARRGVEGLLAGLIVGATVSLAVLVVVIARMDWKAEADKARARAGVCAVGDD >cds.KYUSt_chr2.27624 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169734250:169735476:-1 gene:KYUSg_chr2.27624 transcript:KYUSt_chr2.27624 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVRVSSHQTPVQKLGDSQMKLTPKFRLATASALPSPTLTSDIDQSSWETPLIPGLPDDAALNCLLRLPVEAHDACRLVSRRWHHLLADKARFFTQRKAMGFRSPWLFTLAFHRCTGKIQWKVLDLDCLTWHTIPAMPCRDRACPRGFGCIAIPSDGTLLVCGGLVSDMDCPLHLVLKYDVYKNRWTVMTRMLSARSFFGGGVIDGRVYVAGGYSTDQFELNSAEVLDPAKGAWKPVASMGINMASSDSAIMSGRLYLTEGCAWPFFSSPRGQVYDPKIDRWEAMPVGMREGWTGLSVVIDDRLFVISEYERMKVKVYDAEMDSWASVNGPPMPERIMKPLSVSCLDSKIVIVGRGLQVAIGHIKKQLGSGSSCNRRTSSYLICWQDVDVPRAFSDLTPSSSQILHA >cds.KYUSt_chr3.13595 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82018340:82021643:-1 gene:KYUSg_chr3.13595 transcript:KYUSt_chr3.13595 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQAFRHLARRMLSNVPESTVYGGPRPQEAAGARRVTVTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPISLDVMLEHCRAVARGASRPLLVGDLPFGCYESSSTQAVDSAVRVLKEGGMDAIKLEGGAPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAIKVVETALALQEAGCFSVVLECVPAPVAAAATSALKIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFGNVGSVINKALSDYKQEVETRSFPGPSHTPYKITATDVDGFANALQKMGLGEAADAAAAAAENSENEGKPSENS >cds.KYUSt_chr2.48301 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302007383:302008998:-1 gene:KYUSg_chr2.48301 transcript:KYUSt_chr2.48301 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSNLDLLLPPLDVSVFFCYLHPAPMAATLKEALAKVLVKYYPLAGEVVANTAGEPELLCHSRGVDFTEATADNAMLRELRLGMVDEGVQMLVPAKKAGVISVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAVSRGGSTLPDPSFCRSLVSPRDPSPRTPFTNALIDRLFSPLSSTPLPPASTSVNRIYHISADDVAALQASAGPGCTKLEAFTAHLWQLCSRAASECERMCCMGMVVDGRSRICPFPDNTMKAYFGNVLTIPYGVISMDDLHRRMSLADVAGDVHRWVREAATYEHFRGLVDWVEALRPEPAVSRAYFGGTGGVEAMTCIMSSGMGFPIGEADFGWGTPAFASYHFPWPGGAAYVMPMPSARGDGDWIVYVHAAPELMKVMEEKQTIFKTLKNSYVFG >cds.KYUSt_chr4.5575 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32338094:32341212:1 gene:KYUSg_chr4.5575 transcript:KYUSt_chr4.5575 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSSSSPSLLRIVISMWLAFGHLLPYLELAERLASRGHRVSTPRNIAHLPPLRPAAPLLTTSPTKCGSSTLRPSTASPRPSPSSCLPGRRVRRRGHEASLDRCRPLPPLGPRPQGSVQVQSTKAGQFIPQILKISSMDGQVPFAVLLPTAAFLATVPRPPLEHADSAVASVFEQAAARAVPRYEREETVTFLTGHGASSGMSNLQRCLLTQESAPQFIAGDDNQQFVYSGFANANLTLDGTASVTPRGLLELTNGTAMSMGHAFYPAPLHIADSPNGIVQSFSASFVFGIISIYDLLSSHGLTMLVAPNKDFTKATPVQYLGLVNGSNNGNGTNHIFAVELDTWKNSEFGDINNNHIGVDINSLSSVQSHPAGFFHDQNGTFKNLTLSSQEAMQVWVDYDREKTQIDVTMAPLDMSTKPRRPTVSTRYNLSAVLKDVAYIGFSSSTGKINTRHYVLGWSFAMNGPAPAINVTMLPDLPRHQTQGHRSWVLEIVLPVATAVVLLSLGTIAFILVRRHFRYAEVQDDWEVEFGPHRFSYKDLFHATEGFEDKNLLGVGGFGRVYKGVLPRSRLEIAVKRVSHDSKQGMKEFIAEIVSIGRLQNRNLVQLLGYCRRKGELLLVYQYMPKGSLDKYLYGKEDNSVLSWAQRFWIIKGIASGLLYLHEEWEKVVVHRDIKASNVLLDEEMNGRLGDFGLARLYDHGIDPQTTQVVGTIGYLAPELARSGNATPPTDVFSFGMFVLEVTCGQRPVNHQNTQDNQLILVDWVIDKVQKGSFGDTVDARLKGRYDVGEAYLALTIGLLCSHPFANARPTMRQVMQYLDREIEPPQLSSEMLALMQNEGTEQYIISYHVSTTTAGTISHISGGR >cds.KYUSt_chr2.4348 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26807096:26807955:-1 gene:KYUSg_chr2.4348 transcript:KYUSt_chr2.4348 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKEAAAAAGPVEEGRSKTVVVVGVDDSDHSYSALEWAVRYVATAGVATELVVVHAKQAASSVVTMGGAAVAGDMVRYVEEDLRKRADEVVEKARSLCVANSVEGVVEVIDGEPRHVICNAVEKHGADLLVVGSHGYGAIKRAFLGSVSDYCAHHAHCSVMIVKQPKHKK >cds.KYUSt_chr5.13546 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88066998:88068470:1 gene:KYUSg_chr5.13546 transcript:KYUSt_chr5.13546 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRADAVDIVPVLPDAVDMDKELATEVPLPVDAAQLGLSAPSSLSGASLSSNKEDDKMLAYASSDPAVLIEGLGFLSLPPAASGGPISAGVCSVEDHDGEEFASPLASSKGTVSDSEQVIAEPCSDLSAAAAAFDDEEGWTQVGRGCRSSRAPLSSLREGLDRSLAFKRWARGRCFRCLERGHQVNSCRGSFRCIRCRRPGHRERFCRARSPAARSRSPEARARSPVARAPCQRSRSPSAQPRRPSSPLSWAGVLGHSSLHPVVQPCCKDSVSSVESQFALLRMEVLQKFELLRSEVQDALAKLQVASVVPLSPEIQTGSVDEGFECCFGEFSPRALHTSSSVLTTVVATEVVAPVEILPELQDHCGKSSVVLPVELGHLEPLAVDIAPSPSPSESCELPSSVDSGGPSIRLPLFDREAMLASIDQAVFVKKLSGLLACLEAASPGSGEAIACLIAEEASTGKIKKVKKALRSIGKKGGAIGKAPATA >cds.KYUSt_scaffold_6468.856 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4163690:4164160:1 gene:KYUSg_scaffold_6468.856 transcript:KYUSt_scaffold_6468.856 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHTLAPKLAPHIVDSAHHVEGDGGIGSVRHYNCSSAMPFNVMKKKVEYLDVDNCECKYTLECDGVETSTWHIKIRPTTNGGSVAKVECTSKGTEGKDMMLKAKESATEMFKTVEAYLIANPDAYN >cds.KYUSt_chr4.23330 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146907962:146908693:-1 gene:KYUSg_chr4.23330 transcript:KYUSt_chr4.23330 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVLKQMKRTCGGRTTPQAMGRYIMRTGSMGLGSMRFTSPRITGHRHRSDRSSVAAELLRNMPRPPQHSLCGVGVSASLPVRNVAHVIRPASGVTQSVGDEGGDAAPGMPGKPGSGACCLPAPHGGEPPGAGGADGDGGVSLPAGTDGGDSLPEGGGESLPRGKPGNPLGLRLVPGNQSTRESARCLLNASAGCCSTAAITAAVTTTSKTTLLRFDAAIATFLFFPTKLLGSCTKKRRSNA >cds.KYUSt_chr7.13977 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86363414:86364256:-1 gene:KYUSg_chr7.13977 transcript:KYUSt_chr7.13977 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCPNRARYGNCGGLSPACPEDGAAACGIRRSARGLVRRPPSGRRAGRGEVSVSSEAHGCTGGGGSELDPTEADVRRRRTPCGREEARPEHVDRAIRRLLAVTGSSSSAAACYAALCPAVARLGSPLDGLASDADVAAASHSAPSPDAIVGSPAAAV >cds.KYUSt_chr7.34782 pep primary_assembly:MPB_Lper_Kyuss_1697:7:217195055:217198018:1 gene:KYUSg_chr7.34782 transcript:KYUSt_chr7.34782 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDDQEGGSESQQQQQQRRKRYHRHTPRQIQTLEAMFKECPHPDENQRAQLSRELGLEARQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAMREALKNVICPTCGGPPVAEDYFDEQKLRMENARLKEELDRVASLTSKFLGRPYTQMPQGMPQMSASSLDLSMGGGHHHHHHGLGLGGPNLDLDLLSGAASSSDMPQFHLPPPVTEMERPAMAGMATRAMDEVIRLAQAGDHVWVKIPGGDGREILNVETYDNIFSKPGSSFRAADLRVEGSRDSALVCMTTAALVEVFMDTVMTDDSLLTSNWIEFFPSIVCNARTVDVLVNGVNGRSESLILMYEELHIMTPVLPTRELSFLRYCRQIDQGLWAIADVSADMQRDVHFGAPPRSRRLPSGCLIADMANGYSKVTWVEHMEIEDKVSIGPLFRDIVQTGAAFGAQRWLGSLQRACERCASLSSLGGMHADIAGVTAEGRRSMMKLSQRMVGSFCASMTASQHHQWTHMSGTAGNDVCVRVSMHRNGDPGQPNGVVLSAATSVWLPVASDHVFAFVRDESTRSQWDVLTHGNQVQEVSRIPNGANPGNCISLLRGLQSSQNSMLVVQESCTDASGSLVVYSPIDIPAANVVMSGEDPSGIPLLPSGFTIWPDGRSGAGGGASTSAGGQVAGSVVTVAFQILVSSLPSSKLNAESIATVSELINNTVRNLRNALNCG >cds.KYUSt_chr4.44274 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274340283:274341195:-1 gene:KYUSg_chr4.44274 transcript:KYUSt_chr4.44274 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLPRQFLLGVCTVALAIGLADAVHGETVPVVVGLARCSDCTRKNMNAEAAFKGLQVVVKCKSSKGEYESKAVGQLDKSGAFSVPLAADLVGVDGELKHDCLAQLHSASNMPCPGQEPSKIVAAPSHGGKTAFMALAGKVHEPSAECASAFLCHPPMIKPPKHDHDHPHLPGIKPPKHDHDHPHLPSKPIPPKHDHDHDHDLPPAGKPIPPKHDHDHDHALPPVAMPPKPMPIYGPPSERNAVTDPQLFKKMLPFLKKLPFFPPAEQNTKP >cds.KYUSt_contig_528.297 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1817439:1818980:-1 gene:KYUSg_contig_528.297 transcript:KYUSt_contig_528.297 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQVCLTQRLEFLGDAVLDHLFTVYFYNQYPECTPALLTDLRSASVNNYCYAHAAVKAGLNKHILHSSSELHRKMAWYLEKFVQSFTGPSHGWEAGIGLPKVLGDVIESIAGAIYIDSKYDKEVVWKSMKQLLEPLATPETVECDPVKELQEYCDRRSYIKSYTTTHKDGVSSVVAEVKVEGTIYSATQTGHDKSVAKKYAAKLLLKDLKEKACQDCISQP >cds.KYUSt_chr4.34316 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210587320:210591685:1 gene:KYUSg_chr4.34316 transcript:KYUSt_chr4.34316 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKVDGSGAKDLPVDPSAFGFPQNANQEYYNRALQYGYSASRQGFEDKGFLPTGPNFVLNNASAPPGEYQQFDHFSRPLQPAGSDGMQVQNNRTSITHRSRPSDVSCLDHLEETTSHDTDGYDDRAISFGSSCSTGILCYPYSSPLQSDNCIADKQDGTWAALMQMQEALEAPNEECSDLTFNNTELSGGNTMQHQVVWDNGCLASPSFTSNFLPFPGEAEATVTNTSRICNLQNSVDLPYDNDEGISSFGLNVPEYNRATTSHLCERRDEMHSADLRTYPAHGESFDLMPVTQGRQNDKSHEQFSSFANSVDGYVDSGMNKLHGFYDCEEQMEIDSLLNSFGASSDTSPQTYEIFQKGEKIVDLDKKVILEESISATFASTMASYTAQAGATESAVYDGSSCQQYQSTSQSCGLLYSPACQWKSMPSSVFPLGGCQNGVSVSNPMTALGTNGKELGLYSGHFSVQQQQNVSSDAKLELVDNVANPYQEFAMGMDGQFCSKRTNPYQNEVLATQGIWGPQRDMMINFSNPTGQSDMQLLMTQTPPGHLHAPSFFKYPNSSFIETELSKVDQHHSDMQLPMTETCQVQLSATSLSKDPDTSLIEGPELKKVEKHDSEIQLPMTQTSHVQLPATSLSKDPNSSLLGRTKLTKVEQHDSDMQLPMTQTSHAHLPAPSLSKDPDSASLGGTGLKKVDQHDSDMQLPMTWTSHAQLPATSLSKDPNSPLIGQTELKKAEQHDSDMQLPMKQASHAQLPAPSLYKDPDSASLGGIELKKVDKHDSDMQLPLAHTSHGQLPAMSLSKGPNSSLIGRTDLKKVGQHDLDMQVPVTQTSRAQLPAPSLSKDPDSASLGGTELKKVDQLDDYSQQSILLSATKPSNSSGSPINKLDGEVVSRPIKRKRSTAYVLTSHEQVISGGGKMQCLSGPELDCAHATERLPEKVDGENATFAENSTVVSRAQRRLDLTTSLIQYVLPVPPARLLAANVTNSSETVVYHISKLVVSDMYRTDNAMQSEYMPPNETSTSGKEDNNIVSEVLETFNTRFDELERSVSRAEKALTFQDFASEVRDIERWSILHRFVKLRMLQEYRRLHAGGSLDSTPHPFSTSILKHHEDLSMPLDSLNRVRCRLLK >cds.KYUSt_chr3.39463 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248605882:248622424:-1 gene:KYUSg_chr3.39463 transcript:KYUSt_chr3.39463 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPHHHLKPVLQAPSPMPRKQSYQRIQRGLTGQLRTRKNRFMLGFFHSKDSVAGNCKTGNSFWGQIAATFNSTSDPARNRTAKQLKDHWNVYNREVSLFNAYHIQEEALRQSGADDAMVMKAAMARYANDKRVTQPFRRHHWWEAVRNEAKWKGQHGPGSGSDSTAKRSRMGVSGEYSSSEATTEEEHPTGRDTFHVSAGIPGVAPYYTPPPTTFTCSLTPTGLNFSSRNLLDSAAGERAPQGKKVNSVEETSSLSDKIDAIMSMLVNGRSNVDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSGNNYRPYPSHNSNGYGNSYGNSYNNNRSVPSGLEAMLKEFISTQNAFNKSVEEKLGKFDILASKVDSLAADVDLLKLKIIPNETKDIKSFATSNAIQVRINENIRLMAELRARWEKEENAKENNVAKVWTITTTSNANASHVATPPTINGKIIGVGNVSTPNAKRAKLPETAKTAETACDKTAEIFQNIGDNDLIAVDHNGLDFDDCHIYEVIKFLQKLARSPNASAINLAFTKHITNALIKAREDKLKLETSIPRKLEDGWEPIIKMKVNDFDCNALCDLGASISVMPKKIYIMLDLPPLKNCYLDVNLADNSTKKPLGTIDNVRITFNNNLFPVDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEGQASKDDQPRTRVSDGRALKRRIYSACAANHALIKARHTSPYFTNLILIYSLYMDYQGNKVRDFLHANGNVVLERVDNNSDLRSFTQKEIEDITDGYCTVLGEGGFGKVYKGKLDYHRPVAVKRYKNGTNKKEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFVCNGNLESILHCSNANGPAAFPLDKRLDIAIESAEVLSCMHSMYSPVLHGDIKPANILLDENLRPKISDFGIARLLAAEENQYTRSVIGCIGYVDPLFCQSGILTPKSDVYSFGVVLLEIITRKKAVDGNIILAQSFAEALRKGKKVRQMFDVEIANDRKNMKLLDDIAKLAAECLKLEEKMRPEMVEVADRLRTVRKAFHQRKGRNSTGTNSGLTRSVKADNTLPLVVSTISMDELKEITNNFSNRALIGEGSSSEVFFGVLKDGHISAIKKLHPTKEIILELQRRSPGRQSGTFACASGLPRAISRQAPLRTPAPPRSASNHIVALPLQARWARPAPPPRSSRLPPAGRFRKENRGVVAPKPATTAALGPTLCVNAASSSKARPVAALAVGVDTPASSAAPALAATTLLAEVHVPPPRSNVDKLVPLSSIQEQRPQHLTAPRPFRGPLLLMTMTSMMSKSSWPPARL >cds.KYUSt_chr1.5907 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36401873:36402651:1 gene:KYUSg_chr1.5907 transcript:KYUSt_chr1.5907 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVKVYGWAMSPFVARALLCLEEAGVEYELVPMSRQAGDHLQPDFLARNPFAQVPVLEDGDLTLFESRAIARHVLRKHKPELLVGDGSGSPEAAAMVDVWLEVEAHQHHAPTAAIMVQCILAPLLGGARDQAVIDENVPKLKKVLEVYEARLSKSRYLAGESVSLADLSHFPMLRYFMDTEYAALVEELPHVKAWWEELKARPAARKVTEFMPVDFGLRKKAEQ >cds.KYUSt_chr3.43019 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271952369:271953136:-1 gene:KYUSg_chr3.43019 transcript:KYUSt_chr3.43019 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHHNWSDDMCSVRLRCDVILSHGSRRFGPNGWFIDVGDPVVMKLDQRVKVYHPSCFILTELCDELIHRMLAEAPATSRCDLAAGNWVDSIFCTPLEIANAMGDYVGREMDEILTAARSAVPAVRPVVPGYDIDIEVPQLRVSMVCTELKELLASCKEPAAMDTVAARVSARRRKRRRDPAGELCAICLLDFLETEQDDAVRLPCSHPFHSGCIEPWFHRALTCPTCRRDIMQCFSFATRTAHRWLKRRRAIPT >cds.KYUSt_chr4.16583 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103030482:103034686:-1 gene:KYUSg_chr4.16583 transcript:KYUSt_chr4.16583 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRVELFLPWRQGILLELQRQEAQQATLVKIEVSGAFYPDRIRHLQTIGGLKFQGPVHMDEASTQASLLVRDDAGQKGPLQPSDGLHWAMLQTYDLLGSDLAESLFCHDMDVPTPPFSSTIEGMAVRFSESEPHTFEATILTVEKQPTLSVVHLEPTSVPPYSACIGDRIMLRSGLITWEGTVIYFGDHQTPPDVTALENRICIHVLDQHVPQGPRCLPHPHCSVFVRPAQGPPANIAISHLGKGPQRKRKQKQIEAIQKKIKVAINGYSDIGRALARVALDSEDVELVAINDPTITDQLSCMFSDSKCDFEVKSESRNPGILSFGKKEVNFFEESDPGAVPWYMASTKLIVKSRNSSPEEEVSCREFAAEHGQDLDVNLSGPLEEMLHSCNPFCTTPNSSRFPILYIRPITPILYIRPITASDAKAVSDCFPLWRGNQAASVVRFQGVDVIFALGAYADYHQLPTSTLPSINMKQLVRWCLHMLSQTPAGLCNVEISKPSTYF >cds.KYUSt_chr7.32052 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199532568:199534381:1 gene:KYUSg_chr7.32052 transcript:KYUSt_chr7.32052 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAGAVDAEVGVEAQVDDGDGRRLNPIYDRGSFGMDTAGLHVMVLPLPAQGHVTPLMELSHRLVDHGFEVTFV >cds.KYUSt_chr5.11999 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77939275:77945233:-1 gene:KYUSg_chr5.11999 transcript:KYUSt_chr5.11999 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSRGGGGGRTRLLLLLALAAVLSAASPRRASASESDHKFKEGDSVTLWVNKVGPYNNPQETYNYHSLPFCHPSENPGHKWGGLGEVLGGNELIDSQLDIKFLKNVEKRSICTLELDSKKIQQFSDAIESSYWFEFFIDDLPLWGFVGETDKNSENKHYLYTHKNILVKYNDNRIIHVNLTQESPKLLEAAKKLDMTYSVKWVPTDVSFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDLESLERDVNEESGWKLVHGDVFRPPRSLTFLSALVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSAGLYSRNGGKNWIKAMILTASLFPFLHFTIGFALNTIAIFYGSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPERKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTMMFCLGLGILCGAIGYLGSTLFVRRIYRNIKCD >cds.KYUSt_chr5.26299 pep primary_assembly:MPB_Lper_Kyuss_1697:5:166621223:166623965:-1 gene:KYUSg_chr5.26299 transcript:KYUSt_chr5.26299 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGELDAQDWRRGGSPRLASSTTASSARCHQLDASELGGGAWSLRGLGEGERAIKRRVRTQPPGSFTSVSLRHPPPRSASFPLCSTSLASPLRLHADEMAPHRRSSFGYRSVHARPNDTFYADICSGDVRIGLETFEMAHEAARFSEDVTAMEAFYDKKEEEKAALKVAKKADREERRAKAAAEIPNSPYAGPLVNGEAYTAPSRRRRFLCPFPASLLLHNLAA >cds.KYUSt_chr7.25776 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160858262:160858657:-1 gene:KYUSg_chr7.25776 transcript:KYUSt_chr7.25776 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRRSHRRRVVRVDDALSVAVHGRPELLVGEPRLRPGAVLDSKLAEELNLAISLEEARDNSGQAVSAPGEYGDKGGSSPRFQETAGRPLARAVLAGALRSLACRIHHGLQNRPSRGPSMVNPTGIGQNR >cds.KYUSt_chr3.29987 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187774406:187775851:1 gene:KYUSg_chr3.29987 transcript:KYUSt_chr3.29987 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTVVLYPGAGVSHVVAMTELANVFLKHGYDVTMVMVEPPFKSSDSSAAAIQRIAASNPSISFHFLPALPAPDFAASGKHPVLLFLQLFLDYNQLLEAFLLSFPRKRLHSVVLDMFCVHALDVCVKLGIPVYTFFASGASCLSVLTQFPALIASRQTGLKELGDTPLDFLGVPPMPASHLIKELLEHPEDELCKAMTNIWKRNTETMGVLVNTFESLESRAVLSLRDPLCVPGRVLPPAYCIGPLVSEGAKGGDGTERNECLAWLDSQPDRSVVFLCFGSKGTVSAEQLKEIAAGLERSGQRFLWSVRTPSESQDAKKYLEVRPEPDLDALMPEGFLERTKDRGLVIKSWAPQVDVLRHRATGAFVTHCGWNSVLEAVSAGVPMLCWPLDAEQKMNKVCMTEDMGVAVELDGYMTGLVKAEEVEAKVRLVIEGEDGRQLRARVAARKQEAKAALGEGGSSRAAFVQFLLDVDSIGEQIAE >cds.KYUSt_chr1.1069 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5703270:5713625:-1 gene:KYUSg_chr1.1069 transcript:KYUSt_chr1.1069 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTEHGRGLLASRRRTLAVVSGALVAGGALAYARSGRRRRSEAAANGDVGGDALGRNGERLGHGGADGRVAASKRRKSALKSLHFLAAILLKKIGPNGTRYLLGLMLTAVLRTAVGHRLAKVQGYLFKAAFLRRVPTFTRLIVENLILCFLQSAVYQTSKYLTGSLNLRFKKILTDLVHADYFQNMVYYKMSHVDHRISNPEQRIASDIPKFCSELSELVQDDLAALAEGLIYTWRLCSYASPKYMLWILGYILVAGGAIRNFSPAFGKLKSTEQHLEGEYRQLHSRLRTHAESVAFYGGEKREESHIMQRFQALVGHLKHVLHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGDLRPDSSTLGRADMLSNLRYHTSVIISLFQSLGTLSISSRRLNILSGYADRIRELLDVSRELSGVRDRSLNHNSSAGNYISEANHIEFSGVKVVTPAGNVLVEDLTLRVETGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQEIVPLSYDGMVDLLKNVDLEYLLERYPLDKEVNWGNELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKKVRAMGTSCITISHRPALVAFHNIVLSLDGEGGWDVQHRRDDSSFYTEESDFTSLETDRKSDALTVQRAFMNRAKSNASLRSEHSYSTKVIASSQKLEREQTVRTPLIPQLQCSPRPLPLRAAAMLKILVPKLLDKQGGQLLAVALLIFSRTVISDRIASLNGTTVKFVLEQDKAAFIRLVGISVLQSAANSFVAPSLRTLTARLALGWRIRMTNHLLQFYLRRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVGILYAYMLLGLGFLRAVSPDFGNLASEEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFMKLQNHSKVLLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFVAFGDILELHKKFLELSGGINRIFELEEVLHAAQRDTPVPSSAISTASEEIISFHEVDIVTPSQKLLASKLSCNVVQGKSLLLTGPNGTGKSSVFRVLRDLWPAFSGRVTKPSEGMFHVPQSPYTSLGTLRDQVMYPLSREEAEMKILSLYKDGSAPELLDDHLKTVLVNVRLVYLLEREGWDSTPNWEDILSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYRLATNMGITVITSSQRPALIPFHSVELKLIDGEGNWELCEIQH >cds.KYUSt_chr7.21821 pep primary_assembly:MPB_Lper_Kyuss_1697:7:135309607:135312581:-1 gene:KYUSg_chr7.21821 transcript:KYUSt_chr7.21821 gene_biotype:protein_coding transcript_biotype:protein_coding MARVETACAVLAVALLACLLGAGADGSDHRYKEGDRVPLYANKVGPFHNPSETYRYYDLPFCAPDHPKDKKEALGEVLNGDRLVDAPYELNFNEDKNSKTLCQKKLSKEQVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLEKDKEQGGGKYLLFKHIHFDIMYNNDRVIEINVQTDPNVAVDITEDKEVQVDFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPEQKSLFAAIIGSGSQLLALAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFSFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHKWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLVFVRHIYRSIKCE >cds.KYUSt_chr1.18642 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109171883:109172452:-1 gene:KYUSg_chr1.18642 transcript:KYUSt_chr1.18642 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASTAATVSIFFLVVLTASHVASSLRPSLGVCHASGYLPGKAGNCERSNDPDCCEDGKRYPQYHCSPPVTASTKAVLTLNSFEKGKDGGGPSECDKAYHSDKEMVVALSTGWFKKMVRCGHKIKITANDKSVYAKVVDECDSVYGCDGEHNYEPPCDNNIVDASPAVWNALGLDQNVGMEDITWSEE >cds.KYUSt_chr4.21734 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136829682:136830751:-1 gene:KYUSg_chr4.21734 transcript:KYUSt_chr4.21734 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHELLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGMDPHTHRPLNAIAMPGQPQLLPAAHERPHFAAAPGGSHHHFQQQPQQDLSSSAEPACSHSSEDDEPSGSGATPPPPPTGRHLGIDLNLSISLAPYQAEDEPAVKQEPASHNAAVCLCLNRLGLQGAGEGCSCGGAAVASSSMQQQQQASTQRMFRFIAPLEGGQ >cds.KYUSt_chr2.3401 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20353259:20355241:1 gene:KYUSg_chr2.3401 transcript:KYUSt_chr2.3401 gene_biotype:protein_coding transcript_biotype:protein_coding MFLARRPSGLSNISRLCILHTVSWILSSRRSRFSAGSDNATTNPVGRLSELFRPVRMEISGVILRALERGACSEPVELERLDVELDPFVVNLVVRGLSDSETAVRFYRWAESRPGFGHSQFAIAYIASLLFIDGNFALLSEFMGTVRGQGVALHRSLYRILLSGYVRAGKFDLVIETFDEMVMSGCREFGVDYNRYIGVLIKNCCFDLVEKYYGMALEKGFCLTAFTYSRWISALCQSNRIELVQELLADMDRFGCSPDIWACNIYIDSLCKQNRLHDALQMLEKMRGEGTSPDVVTYTTVVGCLCNNKQFAEAVGLWEEMVKRGLKPDVVACGSLIFGLCKNSKVEEAFELASRMLSLNIELSVSIYNALISGFWRAGDIDKAHNIISFMRTNGCEPDVVTYNILLNHYCTVGMIQKAEKLITKMETSGVNPDRYSYNQLLKGLCKTHQLDKAFAFVSDHMEVGGFCDVVSCNILIDAFCKAKKVKSALELFKEMGYKGMQADAVTYGTLINGLFSVGYHNLAEELFEQMLKAQIDPNVNLYNIMLHHLCKVGHLRRAQKIFAHMIQKEVLPDTVTYNTLIYWLGKRSRAIEALDLFKGMKTRGVEPDSLTFKYLINGLLDEGKSTLAYEVWEYMMENGIILDREVSERLITVLKSKNK >cds.KYUSt_chr4.11075 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67254178:67254537:1 gene:KYUSg_chr4.11075 transcript:KYUSt_chr4.11075 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVQEARMLFFPVLDDAFAKTSMPPSVVGALVINCSGFCPASTLTSITANRYRIHADDMTSNLSSMCCGASSIGVDNTTGVLRAHCMSTVVHSYRMPPLTSREKMQTICPIDSFIFYT >cds.KYUSt_chr7.27826 pep primary_assembly:MPB_Lper_Kyuss_1697:7:173688942:173694499:1 gene:KYUSg_chr7.27826 transcript:KYUSt_chr7.27826 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEPEPAGAVVAAAGGEKRAALLRQITEEGGFAFVASAEKAAAGDLRAAEAAREMAWEQLHSGPWRGVEPAWRDAYALACLHVASIRAGDDRRAALRALDMGLIMGGDLLRGELQAAVARVPAYRNGDSNGDGNGIDAERWMEGLSRNRDIADVFKVLPVKSLSCKQIERRTCISLEAFIHDYFLRESPVILSGCIDHWPARTKWKDIKYLERIAGDRTVPAEVGKNYVCNEWRQDLITFSQFLERMWSPDCSANLTYLAQHPLFDQIKELREDIVVPDYCSAGGGELQALNAWFGPDGTVTPLHHDPHHNLFAQVLGRKYIRLYSASISEDLYPHMETMLSNTSQIDLDNIDVKEFPRMEGLEFMDCILEEGDLLYIPPKWWHYVKSLSISFSVSFWWRTPILPSEGS >cds.KYUSt_chr4.4811 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27518736:27523150:1 gene:KYUSg_chr4.4811 transcript:KYUSt_chr4.4811 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAKNFQSPSKQDSEKKYQGTLIASPAKAISPKTIKHILPRQLVLSRESTGQVAAFLAKVIALETELLELSAGFRKSTDDFSTMQKLSTPLLFLSVTSTLGDLSSKQDDEPSSTTGAPDLPSESHETASTSDTREAADGDGTQDIVVSENWLVLLFKELENQGITLPERFSEDELRRFHMAANGDFSSLLSSVKKTIRWRETFHILTLQELEKWSHLVFWHGFDTTLRPCLVIRLGLACSSIAPRDRPRFGQAVVSQIDHGIIHLTNEEDPRITVLLDCHGISPFRFPMQMMRSFVTIVQENYPNRLGVLFVVRLPPVVRIIAQTFIQLLNQSTKQKLRFEGESYKKTLAEFLQIVPAFLGGKCNCSRCKKPREGSLIQAGEGSQSKSHLSTGSGSPITDMDFDEELELPSPYTCENAIRAAIIGLLMVWIFIAFLAGMNDPTPVS >cds.KYUSt_chr3.23313 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144221585:144224555:1 gene:KYUSg_chr3.23313 transcript:KYUSt_chr3.23313 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARLAASALAAGALAALLLAMPAPTAAGGVCFDRIFSFGDSLTDTGNFILSVPDDFPDPAKRLPYGQTFFGRPSGRYSDGRNLLDFFAEAFGLPYVPPYLGGGEFLNGANFAVGGATALDYSFFRERGVEPTWTPHSLNEQMQWFKKLLPSIASTESERSDMMSKSLFLLGEVGGNDYNHLIVRGKSLDELHELVPNVIGAIGSAITELINLGAKKFVVPGNFPIGCVPLYLAIFPSEKEGYYNKETECIEWLNEFTELHNQLLQEELEKIRNLHPDVSIVYADYYGATMNIFRAPLQFGEFRFQAYSNNKIGSML >cds.KYUSt_chr2.54837 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342078823:342081967:1 gene:KYUSg_chr2.54837 transcript:KYUSt_chr2.54837 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEASSSSGGEEGSGSGAMSMPGSASGTWTREQEKAFENALATLPDPDDEAAMWDAIAAAVPGKTPEEVRRHYELLVEDVDGIEAGRVPLLVYAGPDDAAGSGGGGGKKGSGVDKGSSKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNGEASAAQGPITGTNGQAAVPAKSPKQPAQTVNPPPGVDAFGTTIGQPVGGPLVSAVGTPVTLPVSAPPHMAYGMHAPVPGTVVPRAPINIPYPMPPPSSR >cds.KYUSt_chr6.4890 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28785382:28786197:1 gene:KYUSg_chr6.4890 transcript:KYUSt_chr6.4890 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRPRGTGRKKIEIRPIEREEARQVCFSKRRTGLFKKVSELSTLCGAEVAAVVFSPGGKVFSIGHPSVDSVLDRFRTSYSSEAQTVAAVDGGAGDRNPAQAELNQKLGELQAQLAAIKARNKAIDEFLDKARIEGCQAAAWLEQANDVSQMKEEDRAAFAAALEKLRVDVAVRCDQVLRDVLPVGPLVGGGGEFELGGTSASGGMEMMQHQLMMELVQQQGKQQQQMMMEMPPPPQTGFVDAGMEQQHQMVMGMLPPPQCWGLTPEWRR >cds.KYUSt_chr2.18349 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115622378:115627115:1 gene:KYUSg_chr2.18349 transcript:KYUSt_chr2.18349 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCRRECLLAPWPCIISLLLIKPTVCQGLLVGASDMMSPPALTPPFIKQVDDWVEHAWLKCGLDKKSLQDVKTYFNYNHVLDILHRISDNKDTSPVIEQGTSPLTPEIKQTLLICLSKQSFEVTKNLPDGYIKTLIAAIRRDLTLGIIANQAVKPAAGKPTDSVSSETAPAKKAVPATKSATKKDSDQGDGMSTTTIIGLSLVVVALLALLASSTSSHVNQIDVNKLGALPLKSETGQNGYVDQSLHESADTDQNGYVKLSSQEVPHTGQNSPVDLNSQEGQNTGQNNHVKLGLQESANTDLAGYNSSSETMADSVSSVQGSIPVPQQAVSLPPTVPAPPKALPPPASQAPASPPKASPVPPSGPSPPPAPKASAVPPTGPSPPPAPKAAPPPPPSKSNGPPPPPLKSNGPPPPPLKSNGPRPPAMPGSSKTRPPPMKNSGNKADDDADSSEAKTKLKPFFWDKVTANANQSMVWDNLKAGSFQFNENAIETLFGFNADKKSGDATKDLKTKEATQLVRILDPKKAQNLAISLKALSVSAQEVCCAVKEGNELPSDLIQTLIRWIPSSDEELRLRLYTGELTQLGPAEQFLKAIIDIPYIYQRLDALLFMSILPEEASGVKQSFATLEVACDELRNSRLFLKLLEAVLKTGNRMNVGTFRGEAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRSARAAKEQTSSGSSTDDSTENTEDEYKQIGLQVVSSLGDELQNVRKAAILDADQLTMSVASLGHKLVKTSEFLNTSMKSLNEESGFHHKLVCFVEKSQADVTSLLEEEKKIRTLVKGTVDFFHGSTGKDEGLRLFVVVRDFLAMLDKVCKEVKETSKVVAPKKTKPGGNLPSQPPKSFQDSRRNLFPAIQDRRADSSSSSSDDES >cds.KYUSt_chr5.4733 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30139793:30143119:-1 gene:KYUSg_chr5.4733 transcript:KYUSt_chr5.4733 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVALFATVLLLLFAPLAATSTSADDTKTKTDEQLLELFKAAVRNKRELGGWTRGDSACQFPGAGCVGSRLSSLSLAGVPLDVDFRTVAATLLRLGSVQTLSLQDANVTGSLADASGGRWRCGHTLAQLDLSGNAQLGGQVVDAALLAGACRGLRELNLSGNALVRGSRKGDGGVAGFAGLDVLDLSYNRIAGDLGWIASAVGVRQLGLAANRISGSIPSLSNCSRMELLDLSGNNISGEVVSGVLSGCRALVALDLSNNHLTGAFPPDILGLASLSYLNLSFNNFSGGLPSGESLAAGLPRVTTVSLSFNYFNGSLPDTMGALAELTTLELSSNALTGTIPPSLCPSTGISKLEVLHLQNNYLTGGIPLAISNCRNLQSLNLALNFINGSIPTSLGDLRLLQDLMLWENKLEGNIPASLAGARGLQNLILDYNGLTGSIPSGLVNCEHLIWLSLGSNKLSGPVPAWLGRLGNLVNLKLNKNSFSGPIPRELGDCQRLVHLDLNDNQLNGPIPPELARQSGKIPMVVFTGRTIAYLRNQEPRSNDCHSRGDLLETSGVRTDDLNRMTSKKLCNFTVMYLSSGEFTFSSGYLDLSFNQLDSEIPKELGKMNYLTVLNLGNNLLSGAIPDELGDAKKLLALDLSHNQLEGPIPGSFSTLALAEIDLSYNKLNGSVPVLGPLVTFPESQYANNSGLCGIPLPPCQPISSLQGGHRSGHNYHLMKMTILLAGITLAIGVIAFCLYLGIVKKTEKGEVRASVDYPTDPAGHKFISHLELVRATNHFNEDNMLGSGGFGKVFKGQLSSGLVVAVKVLDMRFKHATRSFDAECRVLRMARHRNLMEIISTCSNMDFRALVLRYMPNSSLDTLLHRSQLRERQFGFGERLGVMLDVAMALEYLHHRCHEVVLHCDLKPSNVLFDEHMIAHVADFGIAMLLQGNDSSMMASNMPGSVGYMSPEYGSYGKASRKSDVFSYGIMLLEVFTGRKPEDAMFVGDLTLRRWVQQLFPAELVHVVDTRLLHGSSSWCELHDSFLVPIIETGLFCTKDSPNDRIKMSDVVPRLTKIQMEYTKWETRHNDV >cds.KYUSt_chr4.16375 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101750961:101760214:-1 gene:KYUSg_chr4.16375 transcript:KYUSt_chr4.16375 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPREKAKSETRDWLNSVVSDLENQIDNFEAELEGLSFKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAPSILIKGVSAVSTTAVSSTKSPTATSPTQATVPTTSQGTSQDQAEETASLESNPDSVPQTPPPKGVNLGPSVPVVPTAISTTVAAVSVLADTISSPGPVRPSIPTTVPAIFSSATVRIAPESMPTVPSAPTNSSSALKDDDGMNFPPRRPSPAITEIGLGRGITRGLTGQALGPPPISAGPVPGNGSISALPAINDLSKRNILNTDERVNSGGLSQQLASPLANKVQLQPVLRTNDAISSDSNNTSESTILGGRVFSPPVVSGVQWRPQAPAGFTNQSESAQFRGRPDVADHREKYFPRLQQPQPQGNAVNASNASGTNQKQFSTQQPNSLLQQFNSQNSSISSQVGIGMGVQGPDAGHTKSEEQPGLAEDGSVEAAATTGANKNTNEDDTKTPYSNPSASIAEGTQLSRDSDLSPGQPLQPGMPSSGVGVIGRRSVSDFGAVGDNLSGTSATAGHDHLYNLQMLEAAYHRLPQPKDSERARNYTPKHPSPTPASFPQVQAPIVTNPAFWERLGTDTLSTDMLFFAFYYQQNSYQQYLAAKELKKQSWRFHRKYNTWFQRHVEPQVTTDEYERGSYVYFDFHLADDGNGWVQRIKNDFTFEYNYLEDELSVQPN >cds.KYUSt_chr4.26949 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169208480:169210432:-1 gene:KYUSg_chr4.26949 transcript:KYUSt_chr4.26949 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVFKVNIHCDGCQKKVKKILSKIDGVYQSSIDAEEGKVMVSGLVDPDTIIKKLNKAGKPAVLWGSKPGGVANQFQKLHLDGGGGGKGQQQKDAGGKGHHKDAGGGKAQKGGGGGGGGKDAKGAVPPQPTAQQIQQMQQIMQMKGATPQQIQQMQQQMQMQMKGAPAPAPTQQQLQQLQQQLQMKPQQLQQLQQQMQMKGMKLPQFMDAGAAAKAPFQAAPAKDPKSVKFSLPDDGWGDDGGSEFDDEFDEFDDEDFDDDCYDDDDIYDDPKMMMKPMPAPQNAGKGGGGGNGGKKGGGGNEIPVQIKGNNGGKKDAGGKQQQGGNGHGGGKNGGGGGQPNNAKGGGAPVQGKKGGPAAGLGGPMGMPPLQQQQQQQAMFRPNMMGGAGFPGMGGPMGHAHAGGGAQGGRAMQGMPPAGFYQGAGGGGGGMPSGAAEMLQAAAAAGNPMAQQQYIQLIQHQQQQQQQMALVQQQQQQQQMMMMNGQGGGGGGAGGYPQMGYGYGRPPMGSYPMQTAYAMPPQPPAGEPYNYFSDEDPNSCSVM >cds.KYUSt_chr5.9974 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63685808:63689196:1 gene:KYUSg_chr5.9974 transcript:KYUSt_chr5.9974 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVPLLATVVAAAASLPTNNDTDLAALLAFKAQLSDPLDVLRHGWPPNTSFCGWVGVSCGRRQRHRVTALELTGVPLHGPLAPHLGNLSFLSVLNLTHTNLVGSIPAELARLRRLRYLSLGHNSLSNSIPSTFGNLTGLRVLDVGNNMLSGQIPTDMQQGLHNLAYIALHANYFSGPIPPHLFNNTPLLSYVSFENNSLSGSIPQSVGHLPVLDVLDLRRNQLSGPVPSTIFNMSRLRMLYMVSNNLTGPIPVNISLPMLQAISLSVNSFTGPIPSGLASCKYIRVIALALNNFTGPVPAWLAELPLLTGLLAGGNELVGQIPREIGNLTMLTRLDLSFCKLNGEIPVEFGKLRQLSILYLSSNGLTGSIPSSLGNLTSLSSIGLARNLLTGSVPATLGDMKSLQHLDIGSNHLQGELVFLDALSNCRDLHFINLQVNDFTGGLPDYTGNLSKKLVVFDATGNKLTGGIPSTISNLSAVSSLILMDNQLSQSIPESIMTMENLERVDISGNSFVGPIPAQIGMLKRLVQLFLYNNKFSGSIPDGLGNLTLLEYISLSYNNLSSHVPASLFLLNNLVELNLSSNSLTGALPFDLGHMKQINKMDLSTNNLLGSLPDSFGQLGMLTYLNLSHNSFQNSIPYIFGDLISLTTLDLSSNNLSGTIPKYLTNLTYLTSLNLSFNELQGPIPDEGVFRNITLQSLIGNFGLCGAPRLGFSSCLGNSHSVNNGHLLKFLLSSVALALAAIAICLYWLIRRNSLKQGEVTTDVHGVEPISHRLVSYHEVVRATENFSEEKMLGAGSLGKVFKGQLDDGLVVTIKVLNMQVEQAIKSFDAECQVLRMARHRNLIRILNTCSNLDFRALLLQYMPNGSLEAHLHTENSEPLGFIKRLDIMLGVAEAMEYLHHHHCQVVLHCDLKPRNVLFDEDMMAHVAGFGIAKLLLGYDNSMVSASMPGTIGYMAPELAYMGKASRKSDVFSFGIMLLEVFTGKRPTDPMFVGESSLRQWVSQAFSAMLVDVVDKKLLQGEEISSRDFHHQINTTPSALPSTACNSDFVVSTFKLGLECSSDSPNERVSMNNVVVRLKKIKKDCSASMAATKG >cds.KYUSt_chr2.10923 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69377682:69378176:1 gene:KYUSg_chr2.10923 transcript:KYUSt_chr2.10923 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHCATKRKAEEVTDCLWSCATKRKLEVPKTDVGGDGDDGVEAPVREQVIRLPHEEIDRILTRPMGPGTVEDPEDCEDEDTKELLRENLEAMEAHWEEYSKYQDWVRREYAAKGFVEVEADYFTRREKTRLALSEDFDRMIAEYRLLVADFNEENDPTIDDMI >cds.KYUSt_chr2.30149 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185608461:185612501:-1 gene:KYUSg_chr2.30149 transcript:KYUSt_chr2.30149 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKLHPKDYTMGDINNSHVGVGAAAAAGATFPIAMEYVFTTYTQLPLPDQQLEAQTSSKTMGLCMSSSGAAVAAVQADGAPASTAMVLLTTGELREYPPRATAAQVLQESVEAGGGWFLCDCDADAMGFEGAVSAVGGAEELRPGQIYFVLPAEAGRNGLGREDMAALAVKASAALVKKAISGRRGRAGSVSPLVFAPPEEKIDQSAAYKTVPALAAKRRQVARAKSAGMMQTRFAPDLTAIPECAE >cds.KYUSt_chr7.25049 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156271963:156273891:-1 gene:KYUSg_chr7.25049 transcript:KYUSt_chr7.25049 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPYLFCNSIAECTFVFETVPKSWGSSDREIAETVLIPAQFVASKIVASSKLASLCTVTIALGGREAGDRPESSGDTPLVSSFAEVSTQPLPGCRPHYLTLPNESLSCLCLLISTLHLPQAKAWFLDQFGVLHDGKKPYPGAILALEKLAGNGAKMVIISNSSRRSSVTMEKLSSLGFDTSCFLGAITSGELTHQYLQKRDDPWFAALGRKCIHLTWGSRGAISLEGLGLQIVSNVDDAEFILVHGTEALGLPSGDPLPKSLDELEQVLVLGSEKRLPMVVANPDYVTVEARELRVMPGGLICLMTR >cds.KYUSt_contig_319.415 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2668050:2668559:-1 gene:KYUSg_contig_319.415 transcript:KYUSt_contig_319.415 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSFTGAVVVIQGAGGRFAARSPALALRRRAVAVRAQADEPSTPPPNKPKASTSIWDALAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYTVAVLSVASLVPLLQGESAEGKAGAVMNANAELWNGRFAMLGLVALAATEIITGAPFIST >cds.KYUSt_chr5.40206 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253946498:253947517:-1 gene:KYUSg_chr5.40206 transcript:KYUSt_chr5.40206 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPNSKGTDSKKNPLDAMGAFFSAQVDRRKLVTTQKQALATRCSSSGDCAFPCSDHRPADRKTWMAELGPERLRVHQVVWPGSHDSATNKIGIPFITRPFAQCQSLSVYEQLATGCRLIDVRVQEERRVCHGVLATYSVDVVLADVKRFLAETVSELVVLEIRTEFGHDDPSEFAKYLVEQLGEENLIRQDDGVFWKTVAELLPRRVICVWKPRKSPAPGHGEPLWSAGYLRDNWVDTDLPETKFESNLKFLGQQPSVADRRYFYRVENTVTPQADNPVLCVKPVTRRIHGYARLFLAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVDGAA >cds.KYUSt_chr3.13455 pep primary_assembly:MPB_Lper_Kyuss_1697:3:81133697:81136857:-1 gene:KYUSg_chr3.13455 transcript:KYUSt_chr3.13455 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLRRKIPHSLSLRVSLQAVGKPRDPSGDQPAQPLGAAALGFGMEPEEAAAVLETIWDLHDKVSDAIHALSRAHFLRTVRRRAGDRPAGLVYIKGVPDEEADLHAVAEEARSLHAIRAALEDLEDQLECFLAVHLQQEAERDVALQRLQQSHIMLSIRLKEHHGKNCKVIEEASEFINNVYHDIWPSLSVNKPEKSRSNAGMNATGKSEKGSNFLGWMVSSSLDLARNSLNMKNIGGLLGNSAVLAVGMVTMHFLASGEQSPPCRKYSYRRINHDNSSQFEASSLAVGSRMGHLDVFLAKS >cds.KYUSt_chr2.4463 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27634629:27635222:1 gene:KYUSg_chr2.4463 transcript:KYUSt_chr2.4463 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCCVCTSLVAAVFLVGFVLLCFSAVPSRDYGVTVDSVSGLELPPSKDLALDPRFNLTLRLASSNPFRHECMDADTYVQVSYRCVPLAASAAAPHRLCVGPKKSTNVQIVAEGTGVRLPGFLMDSLAADMRSGTAAFEVRLKQSGSTWVASCGARRAGDGGARCGDDWDMCTHHDHTSSSNPVFIPPPIFVPPEE >cds.KYUSt_chr7.2360 pep primary_assembly:MPB_Lper_Kyuss_1697:7:13664854:13665285:1 gene:KYUSg_chr7.2360 transcript:KYUSt_chr7.2360 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPRHGRAGGLAPRDRGPTRPPVRGTGLRQGPGLLIATVFRVAAVSGGAVIGHGEAGRTEWRVRNPGCGATDTHGGLTLKLMMSELVLEGSGEGFVGREEDALLCTHTRVVFHESGSNDQVLVWVFLTSGASMVRAENSRL >cds.KYUSt_chr7.17242 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106862154:106862588:-1 gene:KYUSg_chr7.17242 transcript:KYUSt_chr7.17242 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSSLRAPFSLRAAAPPAPRVALAPANLALTAAKQVRGARLRAQATYKVKLITPEGEVELEVPDDVYILDQAEEEGIDLPYSCRAGSCSSCAGKLVSGSLDQSDQSFLDDEQMEEGWVLTCHAYPTSDLVIETHKEEELTA >cds.KYUSt_chr5.36821 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232824084:232824915:1 gene:KYUSg_chr5.36821 transcript:KYUSt_chr5.36821 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRESFLDLILIPLSLLLPMLYRAVRRHPLSTAFGIYSTSRRVWISGMMKDNDDKKGVLVVQSFRNVIMGSTLMATTAVLFCTGIAAVLSSTYAIKKPLSDAVFGAHGEYMMALKYVAMLLLFLFAFLCHSLTICFLNQASFLINTSSLSGGGGNLVTGDLVAEILERGFTLNFVGNRLFYAGVPLLLWIFGPLLAFLSSLVMIPILYNLDVVNVSTGAGGKGEHSSGCVNANKKAENNGNGCMHV >cds.KYUSt_chr2.14762 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93000720:93001926:-1 gene:KYUSg_chr2.14762 transcript:KYUSt_chr2.14762 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDPSISPRRRSCYSDSGDSSCSEPFSDCGSDDSLSTSSALNPAAAASIHRLLLSCAADASPTTLTTLIATLTTPTAPLDALRRAAMELRLLAKHNPDNRVRIASSGAIPPLTALLAHPDPLLQEHAVTALLNLALCDANKALLLDAGAVRPLVRALKAAASPAARENAACALLRLAQLDGAAAAAVGRAGAVPLLVNLLEAGGPRGKKDAATALYAICGGARENRLRAVQAGAVRPLLDLMADPESGMVDKAAYVLHSLVGLAEGRAAAVEEGGVPVLVEMVEVGTSRQKEIATLSLLQICDDNAVYRTMVAREGAIPPLVALSQSSSARPKLKTKAESLIEMLRQPRSASMRARPAAMVAAE >cds.KYUSt_chr1.31073 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188336844:188340624:-1 gene:KYUSg_chr1.31073 transcript:KYUSt_chr1.31073 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAGLLSGEPPSPASSRSRSSSPRTSSEARTSGFACHNHARLVFVRSGEAGPWESHGGNPSRISPNPLVSLLSVASGRPARGSPPPSLPFFTRQGRRCSAAVFTAGEQADGFHGAAIVFSLASKAEPTVHNRSAANCLRVEEQCHLLNSSAPMVMNSTADHHLKMPVAPATSSEVVVLLEIVAREETEPTRHTTD >cds.KYUSt_chr7.34411 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214873982:214874356:-1 gene:KYUSg_chr7.34411 transcript:KYUSt_chr7.34411 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTGGGGGAGGGIGREAGRRTIGLLASAAKRKDGFVQLLLMSGILMISLRSLSQKHRVRDLGHDAAELGREGELISLRMRELQDALHREAGADESGALASHLRRIFAAHPPTPKAAATADHH >cds.KYUSt_chr2.44790 pep primary_assembly:MPB_Lper_Kyuss_1697:2:278894880:278895752:1 gene:KYUSg_chr2.44790 transcript:KYUSt_chr2.44790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Homeobox-leucine zipper protein HOX22 [Source: Projected from Oryza sativa (Os04g0541700)] MDRADYHHQQHQFMMPPPLPLQQRQMCVPVMDDDDVDEQQFSAGRGGRAERKRRFTEEQIRSLESTFHARQAKLEPREKAELARELGLQPRQVAIWFQNKRARWRTKQLEHDFAALRAKYDALHSRVDSLKQDKLALTAQVHELSERLRERAGGGGATAATASSSSCNNDELDDDKRNVVAGCVDLDVEPLESCVLGGTACATPADVSLSVESDCGDHLHYDDGAAGGFPPDSFCATPELWEPWPWPPIELYT >cds.KYUSt_chr1.20491 pep primary_assembly:MPB_Lper_Kyuss_1697:1:120860828:120865987:1 gene:KYUSg_chr1.20491 transcript:KYUSt_chr1.20491 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMQRHSTERWVTQMIRKSHEREGKEAPGTVMGHGITDAVNYGDDATCSAGENRYPGWPGTTVFRVLISATKVGQIIGHKGEKVIIFAKEQPDEPIPPAMNALLRIYQHIINDDGLDMGSDSTAVARILIPAEQAVSLIGEQGLMINSIEEASKTNINVLDCDLPLVALEEDRIVEIWGQPARVRKALELVASHLRKYLVDRGVIPLFDPHVSLPMLHMETHYSDHHEGMPPFHYSDHPEGPLQAVSPVCHSEDHQREPPWTQTCYSRCRSMNLVEDDVLEYRWEAPISFGRYRSVTPPCHGIGESHPSRVSASAAADLPPTLGMSEYELQASPSMRVYPPATVENLLHCRVSACGPEAPPHVVEHPLTIKSPAITSQVTEKMQIPIIYAEAVIGPTGARIDYIRRVSRSSILINDLEGDAMSIEINGSSATDVQTAEQLIKSSGGASLSHATPAMPACKAASAVPPIQRMDD >cds.KYUSt_chr1.21685 pep primary_assembly:MPB_Lper_Kyuss_1697:1:128052838:128055862:1 gene:KYUSg_chr1.21685 transcript:KYUSt_chr1.21685 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRFETHPVLGFHLGCRLLLVLAVAAAAKFVFKHAASGSGTTKIAASCWCSPPPVEESLTTPPPAQARPRAPPPIGDDHPLDENLYCAVGDQTATLQRCRWVVVPCAAAGNPRSSGQLDSGPDLTFMPVMAQRCQEEIGQGVEPASTMSGGYYWQCLGGIGILLSGLAASALVRAGHASGVQHEAKHVNPRDANCVHVTQKENENSELFMQFLTGGEATVESSVCVG >cds.KYUSt_chr7.244 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1351526:1352344:-1 gene:KYUSg_chr7.244 transcript:KYUSt_chr7.244 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFGRSISFPLTPGRASSKPRHSRSISLPARTTSSHPLLAELNTRIAAVRSLTHSSLTASLTQIHALHSALADLLLLQDPQDALHRATNVGDRLLDAFLLLADAHQGFQECLLVLKHAVAESSAALRRGDAARAASATRSQRRAEKELARLAVSVSSKCARLSLVGTEDAEMAVALMEAAAASSAASALVFSAASSMSSSSAASSCKKITSVFSSFGKKATAPETTTEQALERLHALEQCFDECDGLCDMVFRSIVQTRVSLLNIMTPTI >cds.KYUSt_chr1.2163 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12603109:12603651:-1 gene:KYUSg_chr1.2163 transcript:KYUSt_chr1.2163 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTFAFEEKEMARVLFLVSQEHAMQMPMPMPVLMDMRGDRAPERAFMCKTCGRVFPSFQALGGHRASHKKPRVDGDGADLKHKLHCCSICGREFAIGQALGGHMRRHRAMGGVMAPLAVIKKPRGVAVGRGNKRGLWLDLNHPPCGDDADHGECGHDAAAAGYKFHQFLDTGIMAVDCV >cds.KYUSt_chr5.5979 pep primary_assembly:MPB_Lper_Kyuss_1697:5:37091641:37092018:1 gene:KYUSg_chr5.5979 transcript:KYUSt_chr5.5979 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLAAASSSSSRCALFACSGGASHQPAQAHAPTAAPSSGHGCVRRLVGRAAGLVADGIAAAFFASLERCACLEVRTVSGREEATPLVQQDDDGAGRKSKTAAAGAGAGKGRRGGCFGCRENVN >cds.KYUSt_chr2.53991 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337026625:337030699:1 gene:KYUSg_chr2.53991 transcript:KYUSt_chr2.53991 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEDTALTLRLPGSDPDRKRASTSDPDCPSPTAADSPPSPKYVPRSVRNPPCSFSGADTTRLVNLAVQGAGGDLAPVARSRRCSLGGQRLAIWCFDRICHGIYHCKNLRVLCISMHWLIDILILFFGGLKLRSGSTIVYERDLADLWSIQYCPLLASCDGLLLLGVGGVEPEQYLICNPTTRQWSDLPRPSGYAGQEEHRESGFYFHEPSGEHRVLYYVSERRSTTAYYCVLSAGADVPRRLGVQATPIEHTVAVASHGGHEFGSLHNLMTPAVLHGHLHWLQHMEAGLSGQMVAFDTVAETFRRMPPPPVTQKKNSNLLAADGSLMACEPGHLFIDLWALDGYAGAAATGKERWERRHRIEVPWKAYRLVLAAGDDEGHVVVGMQRGVVAYNVRSGTVRLVAGVGASGGGQAVDPSRHVLRESLVRHGFFERRPHPGLPFFSFCT >cds.KYUSt_chr4.51387 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318799918:318800394:-1 gene:KYUSg_chr4.51387 transcript:KYUSt_chr4.51387 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHLCHRIGEVNTCLSLVPHCNQQIMPPIVPVAVVEKLPSPPSKRSSPRSCCRNQPELEMQPTEDRADERAGRRLHLLAVHLARRRRFAMAVPQSLRRAGLREGADQVLIDSIARSRASGRGVRLDLVRLDQYPTWTKTSVRRVQNQSQNSQLASGG >cds.KYUSt_chr7.11854 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72796054:72799267:1 gene:KYUSg_chr7.11854 transcript:KYUSt_chr7.11854 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRGTGLLCLLLLVMARTSSSEDDEAALVAFRDEASPGSTLASWNGSTAFCSWEGVACSHGRNPPRVVGLSLPKRGLAGTLSTAIGNLTFLRALELGFNALHGNVPTSIGRLRRLRFLDLGYNAFTGEFPANLSFCIAMETMFLDSNNLGGRIPPELGNSMTHLQLLRLKNNSFTGPVPASLANMSSLYYLDLANNKFDGVIPPGLADITGLQLLDLSVNSLNGALPLSLYNLSSVRVFHVEGNWLHGSIPSDIGSKFPAMKDFSLANNMFTGGIPSSISNLTNLTSLQLSLNGFTGLVPRDLGRLQRLQILYMSYNLLEADDRAGWEFITSLANCSQLLQLSLGYNSFHGQLPSSVVNLSATLQYLYLSDCSISGSIPQDISNLVGLSILDFSNTSISGVIPESIGPIPASLGKLRNLYVLDLSANYQLNGSIPKEIFLPSLSSGLNLSYNSLSGPLPSQVGYLINLNQLILSGNQLSSQIPDTIGNCIVLESLLLDDNMFEGSIPQPLKNIKGLKVLNLTANNLSDGIPDALRSIGALQELYVAHNNLTGLIPAYLQNLTSLLAFDASFNDLQGQVPNRGVFGNLTAISITGNTKLCGGIPQLHLPPCSTYPVSDRKKHRSKSLAVSLATTLAVLLLVFSITVVTIWKQKGPKSQAPPPAIIEEHFQRVSYQALLRGTDGFSESNLLGKGRYGSVYRCTLEAEDTPVAVKVFDLQQSGSSNSFEAECEALRSVRHRCLIKIITCCSSIDNQGREFKALVIELMPNGSLDAWLHPKHSNYIPNNTLSLAQRLDISVHVMDALDYLHNHCLPLIVHCDVKPSNILLAEDMSARVGDFGISRILLESASKTQQNSSSTIGIRGSIGYVAPEYGEGAPISPLGDVYSLGILLLEMFTGRSPTDDMFRESLDIHKYSEAALPDRILEIADPTIWLHNDANDKNTRDRVQECLASVIRIGTSCAKKQPKERIRIQDAAMEMHAIRDANLMFTSSLEMEHEGEGEADTRM >cds.KYUSt_contig_2767.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000487.1:60566:61219:-1 gene:KYUSg_contig_2767.12 transcript:KYUSt_contig_2767.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGDHMGGDAKAEADRQSFELAAKDHALYASIDLTDDLNAKLAEKERAFRHLCAAHEDLKASLRERTSGWEAERRFLRRGLL >cds.KYUSt_chr3.26771 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166958974:166962809:-1 gene:KYUSg_chr3.26771 transcript:KYUSt_chr3.26771 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAVGASRAAAVRPLRASAASGEAAAPAAGDQTAERRPVKIILPKKKPEKWSTGMEPGSYGGGPTTVKPRKYWMGKEDRDPVGNKDDFIWNKNFMPHMERVIANGGTDTPATIARVKQADEGFLSFNRAMSLDSVDVDLSKELLAPTKSILKTQVNAARRGLSTGPAPEAVNRSTYVRWKLAPTRREQEQWDRATRATTGGIDVILRESQQKVQQKVDPKVSSAEAREQYLKLKENLQLLTLGIGGVGLVSAYVSYTPEIAASFGVGLIGSVVYLRMLGTSVDSLAGGTKAAAKGAAAQPRLLIPMVLVMMYNRWNAILVPEYGFMHLELIPMLVGFFTYKIAMFTQAIQESIPDVGNREV >cds.KYUSt_scaffold_869.1677 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:10791879:10792319:1 gene:KYUSg_scaffold_869.1677 transcript:KYUSt_scaffold_869.1677 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRSTLGSSRPRTALCIRLPPAQRCCCAPEYPPPQSTGSSRPRAAPSSVCRGGRRVGGELDCRGWGRPTRDGSEKAPGRATSRACRLQLAPERRVHALSALVTAMQDPHRTDSGEVLEVVDCETTEFDSSLSPPGVDAALGHGD >cds.KYUSt_chr7.11588 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71279013:71281964:-1 gene:KYUSg_chr7.11588 transcript:KYUSt_chr7.11588 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEEAAAAGAAGASAEADLSGGGAVLSFEFAFNSPNFSDRVLRMEIVAGENAPGSGEDTSGESIADHRKEKGYKGQSSGSSSIVVGAPVLREKTIYINSAVLAARSPFFLKLFSNGMKESDQTHTTLRIADSEENAVMELLSFMYSGKLTTTEPAHLLDILMAADKFEVVSCTRLCSQLLTSLPMTTESALLYLDYPCSISVAAEVQALTDAAKEFLVNKYKDLDKFQDEMMSIPLVGIEAILTSSDLQVKDESFIFDFLLEWVCSQYPKLEDRSEIFCSRLLPLVRFEHMSWIELGKVLTFIYNIMDHEQAVTKRIIEVLAYKLDPSWQQTSRAADSTTCWQLPERAYTYNPVEVVSFDRPRPQAIVYMDLKRDECSRLFPSGDKYSVPFHLVGLQFFLTACCELDQQSNYNSFGLWFGIDEEPDKPISLTIDLEFAARVKSSGQFVSKFEGSYDVTDDSMRGCYDLFDVPWSSFIADDSFFIDGVLHLRADLTVVKQPADLQT >cds.KYUSt_chr4.12009 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72959367:72960761:-1 gene:KYUSg_chr4.12009 transcript:KYUSt_chr4.12009 gene_biotype:protein_coding transcript_biotype:protein_coding MELCSVASIMSLGSLAIQRTHLCSLVFRVLPKLLGFTPNSLKKLCKDVHPPQSETIVGTSPDLTQDILMDIFATLEIPDLIRAGAICPSWHSAYTSLQSLELYKLSQTPCLLYTSESAGDSSAYLYSLSEKRSYRLTLPDPPIRTRCLIGSSHGWLVAVDERSEMHLVNPITCEQIALPSVITIEQVKPIFNDYGFLHKYELSWHTGMRAGYNSPSIFDLDKLRDELHYKAFVFPDTSTGTYMVVLIHNPKRQLSFARVGDNKWTWLPPHDLYEDCTYKDGMLYAVTATGELHAFDFSSGPVVTVEMILQMRNVYDCGYMYVVQAPWGDLLLIWRLCEDHDLEPEPGASVFWNTTKYKIYEFDITRSKLKEIDCLHDHVLFLGHNQSLCLSAEEYPSLKANHVYFTDDNVFWTLRLKNNHRDMGILNLDDNSKEEVVSQIFSNFPAPIWITPDLRKMNVASQVD >cds.KYUSt_chr7.4132 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24659203:24660037:-1 gene:KYUSg_chr7.4132 transcript:KYUSt_chr7.4132 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSTTASPLSRILLSLPKPNTAQPSRCAKAPTTDSAAAAGAKKKNLVLSRREAAATALSTVLSRVLPAVAAETDVASAATGECALETTPSGLAYCDRVVGAGAEAVKGQLIKAHYRGMLEDGTVFDSSYGRGRPLTIMVGVGEVIKGWDLCIAGGEGIPPMRVGGKRSLKLPPELAYGEKGAGCRGWEPTSCVIPPNSTLLFDVEYVGRASS >cds.KYUSt_contig_257.415 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:3062391:3069075:-1 gene:KYUSg_contig_257.415 transcript:KYUSt_contig_257.415 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGYHRPPFNPWFRSAEERREDERRWEEERRRDADRRREEEDRRYEAEQRRRSEERRRSEERRSEEEHRRAETIRITEKTARERALAERQRKEDMAATNNRDRWAHRQEMAGARVDSSVNSFATAPSSAPLNQTTVVSSVNPIRTSDPLESNAVRSANTASIVPLASTAPTGSCVPPSAAVASTEAPLSSLPFQNVRNEDFLGCLNCGKNHHISICQERDPWEYRAPYYGSPDFGQGFYMIPAVEAEVQSLELLNYAQITVVRGDVTFRDMEHEFQVWSDTMGYKWRFYVKVAAENQFVTRFPNAKCIEELSHFGIFFMKTVPDAIIKIEKWAGPALVPSSRIGTIKKGFYEFQFTREVIDPSSTPANYYAAVVENIDGGTQQSSPKRQRTGREEERNASEGNGTVNTSAIGGGSSQTNQQRASNTETEKGKKVVVSSSSISDELSESFATKVNRAMGLTSISIEGNATSSSADVNRMSTSTENPPLQDVHRDVVTALAQELPTDMSDIPVPENNESFRKFLDTLTKNGSDKVFMHQKTYQKVMDPIIEDQEMHVPEQQDGVNDNLDMVDYGSSGEEASEQGDEEEGEFVQGVIMGLAVPSLEHERTVVVIPMDGPQPGNEHLQDEMQESLTREENLAINTRRQDGRDILRVQEEQPIRASSRIAGHSTTTSRTDDGTRHHNQAGSIPGTNLNSVNSFDVLNDDDICARALEMGVAPGSFDLEKNNHIIALEVARHNLADKIKKNVNVQETENVTAGAHTLFLGFGKEEMDGEGYTPFISKKNKKRMKSACKIQRSLEKNRQRRMELGAQSGLCAAQEKLFGPVVDSGVRLDDSIRDENEKLNENDRNILNIPFTEEEIHHAISQMEKNKAAGPDGIPIEFYQHCWSVVKNDIMRMFIDFHNHQINLERINYGIITLIPKSDDAEIIQKLSVAEMHFMGEKMKKSMGGWMGGAMSIGGAHFDTEAAQLQHGASALINLALGNGGRNRDGNAALINPHLRLEQGGEDMDTEAQANTDADNMQS >cds.KYUSt_chr5.23882 pep primary_assembly:MPB_Lper_Kyuss_1697:5:155409784:155410047:-1 gene:KYUSg_chr5.23882 transcript:KYUSt_chr5.23882 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSGVLPCGVVEEENEKVFRGMDMDVECIYADAKGCDHCLGDLRGHGLHVVNLRRAQEDKYGDVSAVEGLAVGVRGSPTSSARGTT >cds.KYUSt_chr1.7566 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46379772:46384655:1 gene:KYUSg_chr1.7566 transcript:KYUSt_chr1.7566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OsGA2ox1 [Source: Projected from Oryza sativa (Os05g0158600)] MVVPSTTTPARQDTVALLLPPPSPGGGAIPTVDMSAPRGRVALSQQVARACAEQGFFRAVNHGVPPAGPAARLDAATSAFFALAAHEKQRAGPPNPLGYGCRSIGFNGDVGELEYLLLNANPAAVAHRATSIDTNDPSRFSSVVNEYVEEVKQLACDILDLLGEGLGLKDPRFFSKLIKETDSDCLLRINHYPPSCTTHKLDHDDQCKLKRIARTKASNGGNPTAVGGRIGFGEHSDPQILSLLRANDVDGLQVLLPDVSGKEGWVQVPADPSAFFVNIGDLLQALTNGRLVSVRHRVIATACRPRLSTIYFAGPPPHTRISALPEMVTAVLPRRYRSFTWAEYKTAMYSLRLSHSRLDLFHIVDGNNDGSKEK >cds.KYUSt_chr7.17715 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109712972:109713322:-1 gene:KYUSg_chr7.17715 transcript:KYUSt_chr7.17715 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGGSKAVRLTVDEDVKFQEAVKKSEAGEASSTTAAGKGHHHSAWTWHKKQKSKTEQEAELVNVTGHFHLDEKIMQGPHGEKIEVLSEDEDVRFEEADNKEEASEKSKTRINKF >cds.KYUSt_chr2.25397 pep primary_assembly:MPB_Lper_Kyuss_1697:2:155285630:155287650:-1 gene:KYUSg_chr2.25397 transcript:KYUSt_chr2.25397 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRASYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSGCSPGAAWTTVNLGHFAITYHFFHWKKGTPFAADDQGIYNRLTWWEQIDNGQQLTRNRKFLTVVPVVLSDMKYLIASHLTDYNQPMLFLNTVAVLVLVIAKMPNMHKVRIFGINADI >cds.KYUSt_chr2.14859 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93686572:93696930:1 gene:KYUSg_chr2.14859 transcript:KYUSt_chr2.14859 gene_biotype:protein_coding transcript_biotype:protein_coding DISLLHGLGVNFVLVPGTHVQIDKLLSERGRKAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVIGHSHGLVDNVASGNFLGAKRRGVVNGIDYGFTGEVKKIDVSRIKERLDSDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICVVDGQIFDEHGRVIHFMSLEEADMLIRKRAKLSDIAANYVKVVDEEEHEPPLNRRGHLNGYAPSFQNGLGFNNGNGIYSGEQGFAIGGEERLSRSNGYLSELAAAAYVCHGGVQRVHIIDGTVDGSLLLELFTRDGAGTMIARDVYEGTRMATEEDLHGIRKLIHPLEESGVLVRRTDKELLEALYSFYVVERDGSIIACAALFPFPEDKSGEVAAIAVSEECRGRGLGDKLLDYVEKEALSRGLRKLFLLTTRTADWFVRRGFSACSIESIPEQRRKRINLSRGSKYYIKELQPKHAGVTVNNFVGR >cds.KYUSt_chr1.7271 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44530362:44531951:-1 gene:KYUSg_chr1.7271 transcript:KYUSt_chr1.7271 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAGTTNSGVLQVIPPGRRFIPYRAVQDEERSRLLSKIDSLYREACSRIGGGGVPSDVARLLVSGVVVGLLDPVSNILVNTLFTSDPITHPCPAGPYMDEAKLGEMVRRSLDGLVAFLVYFFPYLAGWEAVRYLLITDADLFIATRLIVSYRGMTRFSITSPASAEAFVAALRLAAQVAGHPEPPRLVHAWMSRQSGLTDVGDVLSVSRMELPKLPDLREAWDLTAYRTCSQPDINIPDMLCETTRSLRMVLFDTFRCFYLRALARLPRHELRTRYHRSLLKAGYCYGPMDPVSNIILNTIWYDATFSGGQRPVLDMIGPRSLTRLECRSFFGLASFIKTRYHNLSDHEITQCLVASSGYLSLADPNLHADATRATHLSFGDINLSGDGAVWKTAQHRQQCVDCYGAIDKLKNQSPCTRTQEAYEAAATAAWHPNPEAHAAFLSSCKAMLPVSVALLLQSGERLTSVQVYYISSLFSPKQPTPEPIKMKNPCPVRVGKRRSEAQQRRITAKVKAALERHLLCDGVGN >cds.KYUSt_chr6.26510 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167898859:167909064:-1 gene:KYUSg_chr6.26510 transcript:KYUSt_chr6.26510 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRRNRKRLLTCVGAASVGALLFFGAHSSSIGLGGGTRPQQQDQLFRSPGPPPPETLMSMPRQTSQADLSFARRLLPNRHHSPPQLREDAVLLPDREVLVLSADPAVGSAMCVFQGGASSPARALGRLPGPGRHAYLCPLPGSEQPLQPPPLLLSSSSYSSSAAPPATAPAPAADFHKLLSWNDSLVFDSAPLPGGDLLLFAKGTNSRQGVIKTATSNIQCIYSRDSDGTVASSPATTSSQQVIRCPPPPAPLSSSNLHVTVALNGQEPLPSLATYDPQNTALPVTPERKSICACTMVRNVAKFLPEWVRYHAAVGVEKFFLYDNASEDDLAGQVSSLNSAGIDVSTVAWPWTKTQEAGLSHCAATNQPSCEWMAFMDVDEFIFSPNWNEVEKPSKSLLDSVVSVDPEVGQIFLPCYDFGPSGQTAHPQEGVCQGYTCRLTRAERHKSLVRLDAVADSLANSVHHFTLKSGFHKMWTTLARINHYKYQAWTEFKSKFKRRVSAFVADWTDPVNLQSHDRAPGLGVDPVEPVGWAESFCEHKDYTMKKLSEKWFGIGSGGRGGITEFNSNGYWHASVRFASPVLLGADYNFPRDPTIQGARAKRTSPFSCVEITENVYKELEPSTKKLRFSLPYLLLAFPVYLWYRSPGKNSSQFNPSSDLFTPNERRDVIILTTCWFTVIALLIAMVYVFGPMPVLKLYGVPYAVFVIWLDLVTRASLGIAARVVWPEPETDIAEASSNNLSAAGAWSNWSGAVVLEIDRGDLGRGSRSSNQVLLGSEEEDRAELRVLGILATWGSRGPSDNLGRRSRAQVWRPGGALATWGGGEARRPGDPFSVRLLGEGSWRPGREFDFLVVYDWEELLLSEREVSPVTPCVSLFSPQYTGKTRFGPYFCQPIIAGLGENDQPFICTMDLYWCQYMVLVSPCINQTWFVYLIEKCHPECHKRPLKFRRECSREGWFAEVQERVLKGRM >cds.KYUSt_chr4.17861 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111841829:111842310:1 gene:KYUSg_chr4.17861 transcript:KYUSt_chr4.17861 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRAATGKGGRLRAVHGRLTVADMPPRLTAAGPAATGSGGRRHASPGRAAATWSVLASNLLYLGNHRFCTARFFAIFHGSVELNEHILDMVAVLTGVEIVNGQANKAKLQMIKHKTKTYIFEGCSIEAVF >cds.KYUSt_chr7.31551 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196522676:196522954:1 gene:KYUSg_chr7.31551 transcript:KYUSt_chr7.31551 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGHSSWVGRKGRTMKNSMPSIPEESNIRRRDFIRTYGEEEARLQSYEENKWMNQHLDEWLHAVSNVDDLEISQPNTNEEPQHPFAPRASI >cds.KYUSt_chr3.28722 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179274416:179275729:1 gene:KYUSg_chr3.28722 transcript:KYUSt_chr3.28722 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNNNDAASASASTSDDALAPPEDTSIEALARRVQEHMTLANNPSARRHKFWETQPVGQFRDLADGSLPDGAIEPPAPLSEVRADPYPLPAAFEWFTCDLDDDALLADLYALLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRAWHIGVRAKESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPDAPLTPGFRQMELRDVAAVTRLLRSYLARYVVAPDFDELDVEHWLLPQEDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNATYSTLKAAYSYYNVAVKTPLQQLMNDALIVAKQKNYDVFNALDVMENEGFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL >cds.KYUSt_chr2.50899 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318384241:318385110:1 gene:KYUSg_chr2.50899 transcript:KYUSt_chr2.50899 gene_biotype:protein_coding transcript_biotype:protein_coding MGELASTSPPPPATMATAVLQVVFVDGERTVDLGTVTVQPSLGVRKLQAVVADRVGVSPHQISASLARPRRPRRAPLDDGADLAAAVAREGPGCYVLAALRRSRRDRRGGRSRRDRKAGAGILSPSQQPPLLVPSAEMTILKRLPPTDLASLASSPPPPAAFGGWDYDYDAQLRELQRQREWYLLSTAATDPYHLPAPLEDPFWYGSTTPRSSPCPDCEAAAAAMRAPAFHWCVRDAVTVAFRSPVGPIERPKKTPSTSPSPSPTPSPPPPRALSPAYSFAAGLMPVCY >cds.KYUSt_chr1.1848 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10668631:10674622:-1 gene:KYUSg_chr1.1848 transcript:KYUSt_chr1.1848 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPKLVQFETEYFAVRENAKKKKEEEARKAGPEPGPARALSKVPSPNYRRTAEYLFLSKASGGVCSTPRRHRPHPFSLPSPPLHRRCHMATDTPAAASGPGTAYKLLLSCPAGLPRSRVSVKFDPSFDRVPHPDSSLEESIDEIWNHRLQENSSLYSGTKFRPEEVGILAHQNDIEDVGRLIDRVSDEMFDGIIREVVEETGVPASSLTAPIFIGVSRRELNVRPAAFFFIRCNLDSSTFIELYSRAQDGYESTKLLWAEDPHLSGHPSLNHGLLRIWSKDAQLVAPHPAAQPVAVRPAAQPVAVRPAAQLVVPWLSACCGCSAGRDMPSTKVSSVAPPRSSRRPPVGRFRKENVGVMASRVAVSKPSMGTAPVPTPTTPAAIADVVSDFLPPTAGGCDVPAAEAPPVLTLMSADLAAASPSLHFASSPAADPAVLVEGLGSLSLSLSPAALGGPAEVPLADDVLPAPSLLWVASLGSGSDEDDDDEELAPRSPLAGSVHVEEAPMEPCSGLGDDEEWVQVGRGGRPSREPSSLLRKEGLERSLAFKRWARGRCFRCLERDHQVSSCRAPFRCIRCRRPGHRERFCRARFPAARSRSPDAHARSPETRAPCQRSRSPPAQPRRPSASRSWAEVVCHSSSPAASPPRPSPRCCEEFSGNTCFDSHLQCQFALLRLELAQLVANRVEEASRPLREEVASLKLLLARVGVTSEPMEVCSSGGQELATVQASFPVSSVEQKSSMVEITPKLHELCAESVVPELLELGGAEVMPPSVKEVRHLVPIDVGVAKSDLLATVPEGVIAREVCDFLATFAVTYPGSAVD >cds.KYUSt_chr2.25622 pep primary_assembly:MPB_Lper_Kyuss_1697:2:156759333:156760611:-1 gene:KYUSg_chr2.25622 transcript:KYUSt_chr2.25622 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEVVIGGRGSGRGAYSPASERSPSPKEEMYCFNDKFFKFIVLITEDPFCAKILMGKFVQFLVGRGAPAGSQLRLLPVAYPSHVRWARSDVSPHRLEESARIGCLARPEPDRSESMANAGLRAYREVLRLVGRLPADVRTYYAKYARENFVNYRHLSADDDLAALLRRAHDHSAWVLSKYSIDAAEAARLKEVCVEHAAE >cds.KYUSt_chr5.10004 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63892102:63896592:-1 gene:KYUSg_chr5.10004 transcript:KYUSt_chr5.10004 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRLQLRARGAPLAVPPRMATGPFPITMEGKTVHFRCRCQKYGGDVAKVDRGDGEDGSSSTVLVQLSCRPPKRPFAFGERAFIETPVGSWPGTVAEFKGTSDASLYTLVPVSVADFGFGALGMRLAEALVDSEFIDLVAIIIDCPISDMQRDWKKSAISLRIEDDRTIVFKKQNKGADEEVLVRVVRDRVSWEQVSIDYVVDSLHIVTHNKHVIHSMAYEGEDKAKAYSLVHDDEGTCSQIPKVTAAMELKFKYVKIVVTTAGTIEALKSNLAEWDHEGSSARICFLAHNNPTERICDPFTDKSDNNVDASNRASALLRIFIDLIRHVPISGCQLEL >cds.KYUSt_chr7.723 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3967544:3969927:-1 gene:KYUSg_chr7.723 transcript:KYUSt_chr7.723 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKREFHLETQPAIEGLVVATSLIGATIITTFSGPVADVVGRRPMLIASSLLYFLGGLTMLWSPNVYMLLLARLVDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSFGMCMSYCMIFAMTLNPDPSWRTMLGVLFAPSLIYLLLTVFYLPESPRWLVSKGRMKEARVVLEMLRGREDVSGEMALLVEGLGSAGETEIEEYVVGPADGDQEQRDTVTLYGPEQGLSWVAQPVAGGRGSMLGSALGLQPGSARQGSMFDMMKDPVVALLGSVHERLPGDGAGSMRGSTLFPNLGSMLSVSERPGGGGAAGSGASGAWDEESVAPDDDDEDEYLSDDDDDDQAAAPGGLEAPLLSRQSTEVETRANNKNEVPRSQSSMQRFSSVGGGVDAASTMGIGGGWQLAWKWTEKVGPDGVKRGGVKRMYLHEEGVPGGADGAAGAGGPGEYVHAAALVSQSMLYTKDVLIGQSPTEPAFANPPEAVATKAAASGPPWRELLEPGVRHALFCGVMIQILQQFSGINGVLYYTPQILDQAGVSVLLAGLGLSADSTAILISGLTTLLMMPSIGIAMRLMDVAGRRSLLLWTIPVLILSLLILIVSNVVPMDTNVHAALSTVSVILYFCTFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWICDIIVTYSLPVLLNSIGLAGVFGIYACVCCLALVFVALKVPETKGLPLEVIIEFFNVGAKGLPEKALD >cds.KYUSt_chr1.42355 pep primary_assembly:MPB_Lper_Kyuss_1697:1:259285481:259285774:-1 gene:KYUSg_chr1.42355 transcript:KYUSt_chr1.42355 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHRLRLPCSRFIRVQIRLCRDHRADDDRPDAGSIDDGGTRSDRRHAGLSTTAFASNRLHRCSNIDDRHLLHIVRANCSSPSFHSHRIYWIGEAKT >cds.KYUSt_chr2.4333 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26665984:26669512:1 gene:KYUSg_chr2.4333 transcript:KYUSt_chr2.4333 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWSRTIYVGNLPGDIREREVEDLFYKYGKIVEIDLKVPPRPPGFAFVEFEDPRDAEDAIHGRDGYNFDGNRLRVELAHGGRANSSSIPNSFGGGGGGGRRGGVSRHTEYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGEGVCWQKQPLLFTKPQQKPKSQLQQKQESKQVSKEKTFTPFSVKISVEISVFSFTSRVKGTFSIKIHPTSVLVTRPNQNKAHAQLQAVGE >cds.KYUSt_chr7.13866 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85673382:85674131:-1 gene:KYUSg_chr7.13866 transcript:KYUSt_chr7.13866 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPSDDHVAAVAARLPPVRYYVPYEEEEEELVQDPDSPQSPSQVCHSPLKIVNRGQSDYWANLEILNDDKNVMDDDNEPAQLEKEPAPAARWSPEDKELYCPVDVNDDPESMRKLWELQSKRYAVHALRHYNADQYNGGVKYELVEATGSQGIVLDSGHIIGHVTFTARATAGHDPTVPQQADPRPFFAEVHAPDLAPTCMISMDDGEERGEDDDLCQFCGANLKHPKVHPDLHRRRKRSIRACTLV >cds.KYUSt_chr7.7164 pep primary_assembly:MPB_Lper_Kyuss_1697:7:43121084:43122619:1 gene:KYUSg_chr7.7164 transcript:KYUSt_chr7.7164 gene_biotype:protein_coding transcript_biotype:protein_coding MQKASNALKTSKLRSKKNKDLAGPAASAVASVKQASSSSGEIKTEPPPRSLPVQEEVTVEHRRPETTTEASGGASGGIKIETARALPVEVQQHHPSETVTEAPLNVQAEVAAEPKATENQPEEATSREVISTDHVKTEEDRGQEEQVTTEEPRRDADKGKAPVEEKAIPEESVVAVNVHMSAAEEKPQTGQVEKKVIPEEPPEAVKVHTPVAEEKLQTGVVEEASEKEAERNTEDELPPAAVVAESAKKPAASLNLQDEPATSAAEEEVVVETNAVERQQEEPPKPEEIREISEESVIPEQITVNPEKSVTPEEITVRSEKSVATAEVPKEQPAATEKQEEAAEEPQMVAAARASSLPATSLEEALEQMDATAKAQASTSRSEPVTPAKEAISKDKAVIDTLLSTSAPTTPVKGAVQKGGATSSPLLARIPEEMRFSFKGSRVKTAMEKRPEEEQPKKKEVARSNDVLEEAKSKLLEKKKSKVGALVGAFETVMDSPRVSTPGAKSKPTLR >cds.KYUSt_chr4.13308 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82066754:82067371:1 gene:KYUSg_chr4.13308 transcript:KYUSt_chr4.13308 gene_biotype:protein_coding transcript_biotype:protein_coding MESRHRRHHHIEAPKPTWIVTLKTTPPAPARDNSTRKLAAAAYTPPLFLSPSVWQRAQNGRKSRAEGGRDDAGSRGSVPDSPRIGCMGQVNGIRRCSSERGAPAARRSASKPDYACGSLAGLMAGLFGRRKGRKARAYSKVTDVPSDSSSSSGSARGACAPPAVRRFDPPLPVPAVRRPAVEENAPSLWERRRGGAMALEGLQLA >cds.KYUSt_chr1.35224 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214724092:214724463:1 gene:KYUSg_chr1.35224 transcript:KYUSt_chr1.35224 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLIPFLLTLALVAASASTERADAWDDDLPQRQDAMAEAVKVFSGYNPASTDPEALKRAVATVNGAMAPLRPIFKAISKMPVSTAAEVRAKEEARAAANELLTRHLGQLLPGGSVKISNEL >cds.KYUSt_chr7.34382 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214735455:214737240:-1 gene:KYUSg_chr7.34382 transcript:KYUSt_chr7.34382 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELEVRIRCEWRFMCARPDAKLDDPRICPTSAGAATLLAAMPCGRVMVAVAEDDFLVTKGRAYHAALLASGWRGEAELVDTPGQDHVFHLLRPGTEAAAEMLEEKRWRCTGGPCSPASACRVLWGFWTRAASTETSGVLQRRRELWPAKRPFFNLQDEGPTAHYSSELNTSPPTSGSSPEEEEWPADQMLRRKNAVEQLGIVSRRERLEIAGERWR >cds.KYUSt_chr2.51796 pep primary_assembly:MPB_Lper_Kyuss_1697:2:323484222:323484572:1 gene:KYUSg_chr2.51796 transcript:KYUSt_chr2.51796 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRSHLCSSLSSIPAPFRVRPPARIRCSNDVVPGTRFPQDPSDEDVHGGSCSVEIAVLTSMDGVGIRGTRPLVPPNPAVSCISALPALEEDDLCGCVPNSTATSSGGILLLVWP >cds.KYUSt_chr3.32779 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206056340:206059096:-1 gene:KYUSg_chr3.32779 transcript:KYUSt_chr3.32779 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFRGLFLNKDAAINYLEGVISKLHDTKESRVEEPILYVKMQIANYLLEKGSQKECKKLLDEGKTTLDSMVDVDPSVHSTYYWICSQYYKVCQDYSEFYKNALLYLAYTTVESLSEPFKQNLAFDLSLAALLGDNIYNFGELLAHPIIHSLVGTAVEWIYHMLQAFNSGNLAAYQELCKVHGAALAAQPALAQNESRLFEKINILCLMEIIFSRASQDRTIPLSTIAEQTRLSVEDVEYLLMKSLSAHLIEGIIDEVDGTVHVSWVQPRVLGIDQVKSLRDRLDTWVGKVHTTVLSVEAETPDLVSS >cds.KYUSt_contig_786.83 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:517136:520306:-1 gene:KYUSg_contig_786.83 transcript:KYUSt_contig_786.83 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHPAMKATTSVGQLFILVLLAYCTHGVTCSSLLWNETDRLSLLGFKDAITLDPQQAFMSWNDTTHFCNWEGVTCRAKNTLPHRVTSLNLTSRGLVGHISPSLGNLTFLHSLVLTENTLTGEIPQSLGHLRRLQTLNLSNNTLQGRIPSFANCSKLEVLDVSFNNLVGQFPSDLPPHHLQVLQIAVNNLTGTIPASLANITTLTVISCTLNHFKGNIPSKFADLSGLQYLQASGNRLTGRFPQAVLNISTIIGLNLAYNGLSGEVPPNLCTSLPNLQFLLLDGNFFLGHIPSSFTNASNLNTIDLSGNNFTGLVPTTIGKLTKLSLLNLEDNQLRAHSRAEWEFLDSLSNCTELKIFTMSINRLSGHVPSSLGNLSNQLQELYLAENLLSGDFPSGIANLRNLFTITLGENQFTGVVPDWIGTLTKLQEISLFKNFFTGSIPSSLSNLSRLGMLDLYSNHFTGHMPSSFGNLPMLQGLDISHNNLHGRIPMEIFRIPSLLLIDLSFNNLEGQLPTTIGNAKQLESLALSANKLYGDIPNTLSDCESLEAIELDSNVFSGSIPTLLGRITSLNVLNLSTNNLSGSIPISLGNLQFLEKLDLSLNHLYGEVPRKGIFKNASAVRIDGNKGLCGGALELHLLACDVVPSNSTRLKEPLVLKVVIPTASIILLAMVLFGIMLWRVKHKSKSISPPSFATKFPQVSFNDLARATQGFSTSSLIGGGGYGSVYKGKLVGNQNEVAIKVFNLETRGAHKSFIAECNALRNVRHRNLVCIVTACSSIDSNGNDFKALVYELMPRGDLDKLLYPTRDHESTSDLDCITMAQRMSIVVDVADAMEYLHHNNQETMVHCDLKPSNILLDDNMTAHVGDFGMARFKDDSTTLSLGNPNYSSLALRGTIGYAAPEYAVGGQVSTAADVYSFGVILLEVFIRRRPTDAMFKDGLSIVKFTEINFPDRVLEIVDPQLLQELELCKETPLDLKESGLGYLISVLNVGLCCTKPSPGERINMQQVATKLHGIRDAYLREN >cds.KYUSt_chr4.46557 pep primary_assembly:MPB_Lper_Kyuss_1697:4:287824795:287830160:1 gene:KYUSg_chr4.46557 transcript:KYUSt_chr4.46557 gene_biotype:protein_coding transcript_biotype:protein_coding MENYLNENFGGVKPKHSSDEALGRWRKVVGVVKNPKRRFRFTANLGKRSEAAAMKRTNQEKLRVAVLVSKAALQFIHGLAPQTEYTVPADVKAAGYGICAEELSSVVESHDLKKLKAHGGTEGLLSKVSTSESDGVSTSSKRKLASRQEIFGINKFAETESRSFWVFVWEALQDMTLMILAACAFFSLLVGIATEGWPKGAHDGLGIVSSILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVTRSGYRQKLSIYDLLVGDIVHLSIGDQVPADGLFVSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLTEGGDDETPLQVKLNGVATIIGKIGLVFAVVTFAVLTESLFRRKIMDGSYLSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKVKEVDNSSETKSLFSELPDSVMTMLSQAIFNNTGGDVVVNKDGKREILGTPTETAILELGLSLDGDFQAVRKATTLVKVEPFNSAKKRMGVVIQLPGGAFRAHCKGASEIILASCSKYLNDQGVVVPLDSATVAHLNATIDSFANEALRTLCLAYIEVGDGFSANDPIPEDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGLAIEGPDFRTRSAEELNELIPKIQVMARSSPLDKHTLVKQLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRTPVGRKGNFISNIMWRNIMGQAIYQFIVIWYLQTEGKSLFGLKGDNSDLVLNTLIFNCFVFCQVFNEVSSREMERINVFKGILDNNVFVAVLGSTVIFQIIIVQFLGDFANTTPLSFKQWFSCIVIGFIGMPIAAIVKLIPVGSN >cds.KYUSt_chr4.42697 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264345971:264361603:1 gene:KYUSg_chr4.42697 transcript:KYUSt_chr4.42697 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTANLVLKPACDACGKASDLYGTACRHATVCSSCGKAMAQARTRCAVCAAPVTNLIREYNVRVDTTSEKALSIGKFTTGVPPFSKKKIAGNHWSLRKDGPEGRHLTATMREKYNNRKPWILEDETGEHQYQSQLEASQSATSTYYLLMMHGKEFHAVPAGSWYNFSKIAQYKQLTLEEAEEKMKKRRSSATGYERWMMKSATHGPAAFGSDFKNLDEANDKVESKKENTNKDGNHPDKGEEEREERAPRRNAHGLTTKGVDDDDEEGGIEDFDFDAEIEIGDDWEHEEAFSDDDETPYVDPEERPDLADTENPAPPEIKQDDDEDEQGVGSLSKSGKELKKLLRRADGQSESDDDDQEDTDQEDEPPSPVLAPKLKDECKCEPQENNPAKLTATGLAICTPHAPSSNQKRRSGGDDSKTSNGPALKKPKKELETKTLAVKEEPLSSLQPISKAFASAGSVTNTSTVTEEEVRRVLIATAPVALQDFASRFKPRLRTPEDKKHFFEIVKKITKVDKTKGSSYIYLREEYNFSKIAQYKQLTLEEAEEKMKRRRGSTTGYGPWMMKLATHGPGAFGSDFKNLDEANDKVQSKKENTNKDGYHPDKGEEDEEARAPRRNAHGLSTKGVDDEEEEDEIEDFDFDAEIEIGDDWEHEEAFSDDDETPYVDPEVIPDLSDTENPAPQEIKQDDDEDEQGVGGLSKSDLSIAASFTLFRLPSKKKIVLQDSDLISIVNSVWSKSNYSQQYGQRYLAFIKKVGCYIEPVCLLFYFHAEHVKFKDKKHFSEIVTKITRVGKTNGSSYIYLREAFATAGSDTNTSTVTEEEIRRVLLVIAPVALQDFASRFNLRLRTPEDRQHFSEIVKKIAKVDKIKGSSYICLREAFASAGSDTNTSTVTEEEIRRVLIETAPVAIHDFVSRFRPRLRTPEDDDEDEQGVGSLSKSGKELKKLLRPADGQSESDDDDQEDTDEDEAPSPVLAPKLKDECKCEPQENNPAKLTATGLAICTPHVPSSNQKRRSGGDDSKTSNCPALKNPKKELETKTLGVKEEPLSSLQPISKAFASAGSVTNTSTVTEEEVRRVLCDCSCGTTRFCLKI >cds.KYUSt_chr7.7622 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46027425:46032137:1 gene:KYUSg_chr7.7622 transcript:KYUSt_chr7.7622 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQSLAPSPSSAARAARPKARRAALSRVVAGTGESARRLVAAFDPAIPLASAVPPPSGWYTDPEFLQLELHHVFLRGWQAVGHIGQVKNPNDFFTGRLGNVEFVICRDANGELHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGILLKATRISGIKNFNKNDFGLIPIKVATWGPFVLARFDDSSQNTVNDVVGDEWLGSASDLLSGSGIDTTSLPHIYRREYIIECNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETSTYERVSIQRCESAPAEQEDIDRLGTKATYAFVYPNFMINRYGPWMDTNLAVPLDATRCKVVFDYFLDESLLDDQNFVDRSLKDSEQVQMEDIALCEGVQRGLESAAYSVGRYAPSVEMAMHHFHCLLHDNLSG >cds.KYUSt_chr3.13675 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82494790:82497036:1 gene:KYUSg_chr3.13675 transcript:KYUSt_chr3.13675 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAAHKPETLMEKIADKLHVGGDHHSSSSSDSDADERPRPSAPPAPAPAPAASEFATASFADTASVAAADAKDKMFRLFGRQQPIHKVLGGGKPADVFMWRNKNISAGVLGGATAVWIIFELLGYHLLAFLCHGLIFSLGILFLWSNASSFINKSPPQIPEVFIPEDLVVNIALSTRYEINRAFANLRQIALGRDTKKFLIVIVGLWLLSILGGCCNFLTLIYIVLYEKYEDHIDSYGEKGWIEVKKQYAVFDAKVLSKVPRGPLKDKKN >cds.KYUSt_chr1.7938 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48696986:48699422:1 gene:KYUSg_chr1.7938 transcript:KYUSt_chr1.7938 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMDHMNQPRNEPPPFGQKLFMHPRSDAPNGAGPSGYGGTAVRSNDLPSSSYASQSYSQQSGAPGTFHASHVYPPAGSSSSGYAPYNTQQHLSYPHRPEDNFISGIHVDDRRVALKRRNPITHPADGVSARGYYPGSSSNNQFSGYMPPNPVPTPESCPPQITSNMGSSHWSDHHFINHEGSQRNVRGRHDHNSIHSEYNTPTACPSSSIHLPPYRPNANAPFGSAPVQRDRAPLSLPPRIVPPGTDGSTSIAFRERQYYPAPQGTNIGAHMPVRPGSSDSAPFVHGGYAPRSAHHTTVRNYLPPAFATSSHSSVVRCEPSNPHYQPAIPSYPPATSAASSSVQPLHAEAAASLRHPRHVSVGHTGSARSRRMRDSFHCFNPSTIEDNNLGRSAAERFMMLDQLVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGNVNTGLADEKISGCVMELACHSSYANTHNDQDNARCVICLEEYRHRDSLGRLKCGHDFHVGCIKKWLEVKNACPVCKADAANETT >cds.KYUSt_contig_2686.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000449.1:16483:18280:1 gene:KYUSg_contig_2686.3 transcript:KYUSt_contig_2686.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVPITASSVLPSAATPSSTPSARPLPSAAPSVPPARPPPLLGLFFDPEGPAIPIFAPIRRRSDPDHTAAVRGADFFLTCLPDEDDASSGWVVRDCRDGYVLLHNFSSEQFAVYNPLNRALDLIPHPPNQLFDDSHGDAAYLECYILSSKEGDGTFRVVAACHDESRARAAVFSPGSREWQIFPWSEPVTPHPEDKYWLKTATMVNGFIYWIHRNAAYMLVLNTATLQFFQMDLPPCLEGQDYLFQVGEAKDGKLCLVCPIDFDLCVWFWRSDDDGIERWMLDQSFQLESIFESIRCTMEHVELYIVGTINGFVYFSTGETFKSADSPSWFLSLCMETVQVDKLFQKPLDSHVVPYIMAWPPSLEDNKAHPRLQGA >cds.KYUSt_chr4.47341 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292941540:292944179:1 gene:KYUSg_chr4.47341 transcript:KYUSt_chr4.47341 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVSAPSTATLPDEKVSLWSPFFERNQITNLWSYIVSGDYIIELNIAQHFRLEFCKDIKTGDSENSEHPKSGLCKMIGSIVQNCVLKGSDVCGNATAKGSVLEPVLASSDMTKEVSTSKTPVSTRGEFANISLQGSMGIASSPLTDARPTVEEVIAFGGISRPSGESLPSKFSIVDIPESEFLNRANSLGISLGKSQEEIVKSIRGIKLLEEERILTILQKNVEENVNREEDPSNLVMSKVSTLCEDLIEDDGIPLDLDDHLEHLV >cds.KYUSt_chr4.36855 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226691144:226692257:1 gene:KYUSg_chr4.36855 transcript:KYUSt_chr4.36855 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQADKREALAEAAARSAAEEIARSRDERVMQAEQDARRAADEIARARADREHGVGAHADNTHGAGAGGGGILGSIASAVGRTFGAAKDTTAEKTYQAADYTGEKARETNDSLARKTSETAEATKNKLGEYKDYTAEKATEAKDTVAQKTSETAEATKNKLGEYKDALAGKTQEAKDTTAQKAQEMKDATAQKARQATDTTKQKTSEYADATKGTAQEAKERTMATSQTAADKARETAGAHDFDRGQQQGTGLFGALGNMTGAIKEKLTLSSGAQPHEGAGHAVRLGSEDDRAVKERAAEKAASVYFEEKDRLARERAVEKCVEGCAGSSCAHRKGKM >cds.KYUSt_chr6.18065 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113748727:113752696:1 gene:KYUSg_chr6.18065 transcript:KYUSt_chr6.18065 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCIDERSAKSVSHFVAPIRAWWTGETVAVVTGANRGIGHALAVRLAEQGLSVVLTARDQARGEAAAAELRARGFPSVRFHQLDVVDPASVAAFAAWIRDDLGGLDILVNNAGVSFNEIETNSVEHAETVLRTNFFGAKMLIEALLPLFRRSSGTSRILNLSSQLGLLNKVRDPSLRNMLLDEERLTEQEIEAMASRFLAQVKDGTWQEHGWPAVWTDYAVSKLALNAYSRLLAARLRGAVAVNCFCPGFTRTDMTRGSGKRTAEEAGRVAAGLALLPPADLPTGKFFKWRTPQLYSKL >cds.KYUSt_chr2.34552 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213340437:213344272:-1 gene:KYUSg_chr2.34552 transcript:KYUSt_chr2.34552 gene_biotype:protein_coding transcript_biotype:protein_coding MTISDGLHRVGGPQRPLPGALQHEPADTESTLPAAQSLRAGGPQRPLPGALQDEPADTESTLPAARAFELAVLSDHSRVLFSTSRRTQSPPCQRPRAFELAVLSDHSRVLFSTSRRTQSPPCQRPRAFELAVLSDHSRVLFSTSRRTQSPPCQRPLAFELAVLSDHSRVLFSTSRRTQSPPCQRPRAFELAVLSDHSRVLFEHEPADTESTLPAAQSLRAGGPRRPLPGALRARAGGHRVHLAGGPSLRAGGPRRPLPGALQARAGGHRVHLAGGPEPSSWRSSATTSGCSSARTGGHRVHLAGGPSLRAGGPRRPLPGALQHEPADTESTLPAARAFELAVLGDHFRVLFGTSRRTPSPPCRRPEPSSWRSSATTSGCSSSTSRRTPSPPCRRPRAFEPAVLGDHFRVLFEHEPADTASTLPAARAFELAVHGDHFRVLFEHEPADTASTLPAARAFELAVLGDHFRVLFEHEPADTESTLPAARAFEPAVLGDHFRLAVLGDHSGCSSARAGGHRVHLAGGRAFELAVIGDHFRVLFEHEPADTESFVASSGCTFAASSGCALSGCDLAASSGCAFVASSGCALSGCDLSPRPAAPSPPRPAAPSPPRPAAPSPPRPAAPRPAAPSSSRPAAPCPAATCRLVRLCLRRLVRLRLRRLVRLRLRASCGCASSGCDFVASSNYTFAVLSGCALSGCDSSPRPAAPSPPRRLRLVRLRPCRLVCAAPSSPRPAAPSPPRPASSGCASSGRAFVASSGRAFAASPGCASSGCDFVASSGCASSGCASSGCASSGCDFVASSGCAFVASSGRAFAASPGRAFAASSGRAFAASPGRAFVVWLHPRRIVRLRLVRLRLRRLVRLRPRRLVRSRLRRLVRLRLRRLVRLRLRRLVRLGPRGHRPAAPSPSAAPSRLVRLRLVRLRPVRLRLRHHRPAAPLAASSAAPCPAAPSPPSSGCAFTASSGCALAAIVRLLLVRLRLRRHRPAAPSPPHPAQSLAAIARLRLVRLRPRRPCPAALRASFGCALAALVRLRLRRHHPAAPSPPRPAAPSPPRPAAPSLPYAWLRSALPTARLAMVYIDIAIIIYIINIEFGTLHATRLLFESHAGSGANVGGITPGMAKSSSLQARSTSRIKKRRHGSKDMKENGNNDTLGPTDRARADSGFSRPDPAPSCARPAQALRQAGFRLRACDRSRPHWPPPPGPPLAYVAGSRARSIERSIDMAGSFSYVLAWPAAPRKHCGGQLLQGHARSHSYSQYMAG >cds.KYUSt_chr2.1976 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11901530:11905206:-1 gene:KYUSg_chr2.1976 transcript:KYUSt_chr2.1976 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDIQRNEMLLAPTMAFKKVQMADKYPKGQSRGRQWKHLRFLLQAADATSLPPDRPNYLNIQSPPSIYPPKRYCDVTGFEAPYVDPRTKLRYADPEVFKQIRNLPDEYVQRYLAVRNAAVVLR >cds.KYUSt_chr5.27328 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173014074:173016824:-1 gene:KYUSg_chr5.27328 transcript:KYUSt_chr5.27328 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPFHQQWPPAAAVLAPPAVPPPPPVPGAPDATARPGSDEVRTIFITGLPVDVKERELQNLLRWLPGFEASQINFKGDQPMGFALFSYADHAIAAKAALQDLVFDAETNSKLHIEMAKKNLFIKRGVGADANPMDQSKRLRTGGDYTHSPYAPPPFHPPPPAVSMWGTAGYIAAPPPYNPYAAYPVPPVPMTSPSPVPPTAYAPVQNTKDNPPCNTLFIGNLGETVVEEELRGLFCLQPGFKQMKVLRQDRNTVCFIEFEDVGSASAVHHTLQGAVIPSSGRGGMRIQYPLLVYNLVS >cds.KYUSt_chr6.25774 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163287173:163290450:-1 gene:KYUSg_chr6.25774 transcript:KYUSt_chr6.25774 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFNRPPEETDADEEGGNGCLSREHVFVHDDADEDREMMWVAILEPEPKQEHCKAIWELRKFTATRMMQRGGGTENQAGSEPSAFELPVTLGIEKMTPKPSYTTDIDGWSSRDDWLADYGLDKVGQYTLTITITITGKPNIKWNYVAGYKSTK >cds.KYUSt_chr5.27807 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176004660:176006123:1 gene:KYUSg_chr5.27807 transcript:KYUSt_chr5.27807 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEAMLTEILKKITRTSDLNSISLVSKQLYRIEGHQRGAVRVASTLCTATEALKSLCLRFPNLRKVEIDYSGYWAPGHGNHFENNGLFVLSSNCSSLIDLTLSFCSNINDTGLGYLAYCKTLVSLRLNSAPQITSIGNFSVAVGCTSLSALHLIDCKKIDSVKWLEYLGRDGSLEELVVNNCKGINHHDLLKFGSGWMKLQKFEFEGYREIGGVRQGGVLYDPSYDAHSRDIYDFSCESLKDLRLAHINTWRKIGLRVVLGKCKALEKLRLEYVHALNDNDLIALSRSCSNLKSISLWLNLQRYSSDVSYCETRTSFTDNSLYALALNCPMLQTVDLRFSGCAADRPSEIGFTQKAFLVFIQSCPIRVLVLNTANFLDDEGMKALSYSPYLETLELILCEAVTDAGMHFIAHTPCLSNLTLRLCHKVTDVGVAELGHAHKLESLVIDCCSKVSLQAAQGVTMLVHYSRDLSNALVKTIGFSSAK >cds.KYUSt_chr2.42603 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265392250:265393508:1 gene:KYUSg_chr2.42603 transcript:KYUSt_chr2.42603 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSMGFLIAIVVCACALSTLAARDLTDDSAIVVRHEQWMTKYGRVYNDAAEKARRLEVFKANVAFIELVNARNDKFWLEANQFADITNDEFRATHTGFKLPLGGSKGRRMTSFRYANVSLDALPTAVDWRTKGAVTPIKDQGQCGCCWAFSTVASMEGIVQLSTGKLISLSEQELVDCDTSDNGCGGGLMDNAFEFIVKNDGLTMETNYPYIGADGTCNSNMASSAAASITGHEDVPANDEASLQKAVAAQPVSVAVDGGDDLFRFYKGGVLSGECGTGLDHGIAAVGYGVSDDGTKFWVMKNSWGTSWGEDGFIRMERDIAAEQGLCGLAMQPSYPTA >cds.KYUSt_chr1.34993 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213226819:213227484:-1 gene:KYUSg_chr1.34993 transcript:KYUSt_chr1.34993 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQEQASYRVGEAKGHTQEKTGQVMGAAKDKAYEAKDRVAGLAGYSSGQGQGATDAAKQKAVEAQDMTSEMAQAAKDKTVESKDNTGSFLGEKTEAAKQKASETAQYAQERSSDAAQYAKESAVAGKDKTGGMLQQATETVKGAVVGAKDAVVNTLGMGGNNTTNTGKDNSTVTEKITGRDH >cds.KYUSt_chr7.19611 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121537881:121538954:1 gene:KYUSg_chr7.19611 transcript:KYUSt_chr7.19611 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGLASVFVGGLLKTARLHVCQGCRELAAAPTAFLGFGSRPEQQLLPAEPWWARGIQVVRVLAAAGRFLNSPAAHDVVEVTKDGYLPCTESKNISSTLRTGQDTVDLDGLRTCYFMCSVQGHCAAGMKLLLRLVPPGCQQPVTADGDEQGRQVKTAHQVACA >cds.KYUSt_chr7.17699 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109608802:109611065:-1 gene:KYUSg_chr7.17699 transcript:KYUSt_chr7.17699 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFSLANKLDIVPSQGFQHIVRQIVNGLAELAANGEYHGNLRLENTYYQIVGDDFVVKLAGFTRKDLKNVDEGLMLDLNGLGFELEVILNRIRSENKQYQCLLLQDLVDKLKSFTLELVPNFREKILDYVFFWDKKKRTAFFTCTVPNRLNDPTFQKKVIQFHNCNIPWDSSHFHGLKDAMNDYQFRKFKSKYNVESWFSFLKQSEGYILIMDALLDVSTKDGKRQTIRVTVPDVKAAPPSAEFRSCKSQPTPKPAPLPPQEK >cds.KYUSt_chr2.47652 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298058872:298064802:-1 gene:KYUSg_chr2.47652 transcript:KYUSt_chr2.47652 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQRGRGRVGRGGGQVGRSDGRGRGGGGGDGGGDRTDEEENSRGGGDRTYGGDKSRGGGGRTYGGDKSRGGGRMTYEQSRGGGARTYAGDPSRVEMSSGEGSGPGGGDDGWIPAGRKKSGGGNNRGREDQNHAGGSSNRSRGGWTCQEAQSPGRGGWSGRGAYSPDGGGFFDRSRGPGGRGSDREHQYQQRRNSFPPEYAPAWPRKGIALREAASSSSSGPRPRHGQAIVSKEVLPVKLLVNHFKVEFKASTIFRYDIKIEKDSSSSSGIGLKTNEDFAKANFFKMLQKPPNPAVAYDGKGGLFSFAELPECSYPVKSHSHTYTMSAELNQKLSLSQLSQQPVPREILQGLDIIVREASSLGKIIVGQTFYSPLKTESNKPGDFTVRLKGNKQTLKPTAQGVVLCVDYSLMEFCQAQSSVLELVEHLLKRNNSPKLLDPRTYLSEQERKYLEFQLIGLCITVNYQMQGSQGKSNWTTSRKYKVQGLTPEPAEQISIVNFDSGNTEKLVDYYHKQYGKVIKYKMLPCLNLSRPNRLIYVPMELCILHGRQKYPKDKGSTQKPKDKLPNSDARKLEILNMVSAPDGPCRGEKFEIALGQQMTEVIGKILPPPMLKLGGSSKLSIALLNRQWNLHGRQMFEGKHLKHWAILDFSSQPSHFKQEALDMDYFIDCIFRKCTSLGIEMNYDPCFVQTSSMSVLSKPEDLRELLYKVTKDVTAKLKEQKLQLLFCPMSEQHPGYKTLKLICETQLGIQTQCFLSNIANKPQGQDQYMSNLALKINSKLGGSNVQLSDKLPKVADTHFMFIGADVNHPPPGDKESPSIAAVVASMDRGASKYVARIRAQGHGCEMIEELGDMCQELIEVYEKRNGSKPQKIIYFRDGVSDEQFKKVRDEEVKPMRRTISVEDVYSPTITVLVAKKRHHTRLFPKDKNEQQTKNGNVLPGTVADAQVVDDSPEEDFFLCSHEGLHGTSRPTHYYRLDDEHGFETVELQKLVYNLCFLFSRCTKPVSLTTPVKYADLAAYRGRDYYDSMMASPSLVQEPGPSSSASNGNSKYLKLDLHENLKDSMFFI >cds.KYUSt_chr5.33565 pep primary_assembly:MPB_Lper_Kyuss_1697:5:212947217:212948318:-1 gene:KYUSg_chr5.33565 transcript:KYUSt_chr5.33565 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSFPIIGMGLLSGEERPVAMDLLHDACENWGFFQVLDHGISTELMDEVETMTKAHYKRVREQRFVEFASKTLQEGGGKAAENLDWESTFFVRHLPEPNIAEIPDLDDDYRRVMKRFAAELEKLAERLLDLLCENLGLEKGYLARAFRGSQGVPTFGTKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDDRVGGLQLLKDGEWVDVPPTRHSIVVNLGDQLEVITNGRYKSVLHRVVAQTDGNRMSIASFYNPASDAVIFPAPALVAKEVEAGGSGKYPRFVFEDYMKLYVRHKFEDKEPRFEAFKSMESESAKLIAIA >cds.KYUSt_contig_1993.495 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:2809263:2814263:1 gene:KYUSg_contig_1993.495 transcript:KYUSt_contig_1993.495 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPGGAHPAGGAAADLMQVDEPHAAAAAAAAPVVEKHGASIIQGSDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMEHCNVVCLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYSNMNQRMPLIYVKLYVYQIFRGLAYIHSVPGVCHRDIKPQNLLVDPLTHTVKICDFGSAKMLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPNLRCTALEACTHPFFDELREPHAKLPNGRPFPPLFNFKQEGYKLTMDDGLDALQVPKLAGEEEDLPRTNLKAEAVMIM >cds.KYUSt_contig_49.59 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000023.1:446521:457894:-1 gene:KYUSg_contig_49.59 transcript:KYUSt_contig_49.59 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLVHLAGGIARAASSRRAPHLSRAALASPSGAHWLVPVRDHGGHSHPHGQDSGEASEKIFRLGLAADVVLTVGKAVTGYLSGSTAITADAAHSLSDIVLSGVALLSYKAAKAPRDKDHPYGHGKFESLGALGISSMLLITAGGIAWHSFEVLQGVMSSAPDIIANTSHMNHNHGSGGHNHAIDLEHPVLALSMTTLAISIKEGLYWITKRAGEKEGSGLMKANAWHHRADAISSVVALVGVGGSIVGLPYLDPLAGLVVSGMILKAGVQTGYESILELVDAAVDPSLLEPIKETIVKVDGVKGCHRLRGRKAGTSLYLDVHIEVHPFLSVSAAHDIGETVRHYIQKTHNQVAEVFIHIDRIQFEIMQAKKLDSSSHQEKFFSRRGGTEIQAPPCGSCRLHADLRENLMNESQATAAPHSATERGAPGRQERTTTKHKQVVIFDHGAIATTLLPSSLTPASRRHGHQSNTPAAAIAIFGFKYREKCPFRKEGNSIQPTGLATRPGHPPGQTRNSLQHQRRGRRRTAAANHTDKEEEGSFSRPSGRFCRRHVRPPPPLATKAGRKVLVISWTPRNMSMKTRRKVGA >cds.KYUSt_chr3.19118 pep primary_assembly:MPB_Lper_Kyuss_1697:3:117572590:117576242:-1 gene:KYUSg_chr3.19118 transcript:KYUSt_chr3.19118 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQRLAYYNMQWYAGSPHLRCLNVGKPDRPTYLPLEVCQLVPLQRYKKSLSTMQRSKLVEGSRQKPLDRKLSLSRALRDNNYDSEPMLRECGISIAREFMQVEGRVLQAPQLSAARDRELYTPNGRWNFNKDRFIQPIKVKTWGAVNFSARCNVRDLVKRLIQAGIMKGIQMEDCAADVIEEMHQMKWETPAKRVEDVFQQIKSSFKQQKPGFLLCVLPEKNSDIYGLWKRKCLAEHGIVTQCVVPPANIKDQYLTNVLLKINAKLGGLNSLLKNETTRAIPLVSKAQTIIFGMDVSHGSPGSNVPSVAAVVSSLGWPLISKYRASVRTQAPRKEIIDYLFKQVGDDDQGLIKESLIDFLNNSKGQPPEQIIIFRDGVSEGQFNMVLTDELTKITEACKFFGSKHFGGKWFPKFTVIIAQKNHHTRFFLPNERNRNEVNNVQPGTVVDKGICHPRNYDFYMCAHAGMIGTTRPTHYHVLHDDIGFSPDDLQELVHNLSYVYQRSTTAISIVAPIYYAHHAAAQVAKFTRLDDMSETSSSHASQAEPAPVPELPRLHPDVASSMFFC >cds.KYUSt_chr4.4159 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23864532:23869175:1 gene:KYUSg_chr4.4159 transcript:KYUSt_chr4.4159 gene_biotype:protein_coding transcript_biotype:protein_coding MWVDLLLDDIRHVTRDALLEEEDEGESDTSQANQEVVYKVGQPMEKHAAKIYTRTMFEKFQDFLYKSGSYYVDQVVPGERYVAKHFDSDIREKWCKVQYEVSLYLNYMEVVRLGDVNVDAYTTSMESIKVVEPKLVKVAVEGDGLGLEERLSAKKARLEGAAAQIFVQHIPQDNGGGDAISLDAALLAPSKNISGGRPTSSRDRPLFAYGPGGWLPLPPVLTSRITASYTAQIMTSVKDTNGVM >cds.KYUSt_chr5.30731 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194785399:194795501:-1 gene:KYUSg_chr5.30731 transcript:KYUSt_chr5.30731 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIRPRFLSPSPASSRREPLLPTLSAPPEPSRTFSSSYSSSARPPRPSPNERPLPNLRRLTARIVDLTRRKQLAQIMAEVEASKRRARSGRGGGTNIIVMNAVLEACVSCGDVDLAVQLFDEMRGPMGCGVDAVSYGILLKGLGIARRIDEAFEILESIEKDTSVGCPSLSPHLICGFLNTLIEAGDMRRANALVARFRQVLYEGQSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIIFACVKSEEIEKAFQFLKDMKEEAKRDDNPELLPDAVTYTTLLKGLGNSQDLYSVLKIVVEMKSSLILIDRTAYTAMADAFLACGSIDGALCIFGELIKQVGTNKDLRPKPHLYLSIMRAFATIGDFDMVKRLYKRMWPDSAGSISRSAKQEAVELLMEAALNNNQIDVAKGLLRRIVKGKEHFSWTSRVGLVALKVETLSGFTNSPLRPHVFPQILLNDPVEKYMLPFRETRPLCGDLILGNVVMRFLKDSVVPLVNDWGACTGIVHSHDCTE >cds.KYUSt_contig_554.161 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:986804:987325:1 gene:KYUSg_contig_554.161 transcript:KYUSt_contig_554.161 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGNLTNQFEEAAPGDGAQEVNLPDDIMPDVEIPFISADQVIEEQPAKRRSKWGPVQPVRQSNRIDRSKNIMEKAQEMKRINNLEIPRMKGIMSNNPFNVLQVDELDNAAKIVGINLDVESDSMHSACFSPRAASLSDKDQQEELAEEWIDVIRKSRGKHPKNFIHDLCLLE >cds.KYUSt_chr2.47087 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294569750:294570166:-1 gene:KYUSg_chr2.47087 transcript:KYUSt_chr2.47087 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEPGADTAAKGEQAPEKNLTRLPQAQIDSILATVMDDDRLPRDFRALKRHSPDLIPSPEEEADEELVSFYDVVREFYAVGEEFREFQAWVRCEYAKKGYVEVDDEFLAKRAAGQVIIEEARKEALKGFDFSRFVD >cds.KYUSt_chr3.36576 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230002139:230003284:1 gene:KYUSg_chr3.36576 transcript:KYUSt_chr3.36576 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITKRTDKEKQRNAGSEKLPQPQLLDSPLPTPRRSCASADAPSSLLRCRAGAASPLRTQVPFSWESSPGVPKSGRDARAAREEMPPPKPPPGRWPPQGPASRTNWCYTSEGSSDDCDASSFSDAVDRASSSPERIGSFDRVTSKRFEDIFLGRAESFAAPDRSCGHALAAAGGADIARSGRHSMHWRRRGGSTRHRHDDNDMERQKSRQSNGPVQVLPRADIIAARVEQMAPGACGLMVFFPWSAKPAVSGFRSSSTRHGAPRSGATDHSISHSRRNTTLRDALQVDNKTDDIDVQDSPPQPRREKRGREERQGARAWGVSSLLHTSKRYCTDARKALSKLSIGMGADSGSPRLGRDRSSVKQDAVMAAKLTKLKINRN >cds.KYUSt_chr3.17420 pep primary_assembly:MPB_Lper_Kyuss_1697:3:106691675:106693291:-1 gene:KYUSg_chr3.17420 transcript:KYUSt_chr3.17420 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPLVQKVLSRATSSKKAKKASALSTAGEDGRVGILSFEVANAMSRAASLHRSLSDAESARLLGPLCLGSPAVRALVEPDDDARLLALALAEKLDALNRVAAVAARLGRRCTAPALQGFDHVYADLLAGRSGAAAPASSATPSLFRQLDRLAAATAALYAELDALADLEQSAQKLPTDEARRRFLAQRRRHDVRRLRDASLWGWTYDKAVLLLARAVCAIYHRIRLVFGDPMLGLDLLLARRHGQCDQSRRLFSGPVTAPIRSSRNLNDDDAKSGPIAKVDLVDAPRPASSNCGGNMFMECLSLSSLASWKNDGGFEDDFSEDASCISTIRSGMLVPFSGESTPPPATTKKRRPRFGPKSTATSLAPPSTVGGSALSLHYANVIIIIEKLLQYPHLVGEEARDDLYQMLPWSLRASLRKKLRSYVRSTAIYDAFAAHDWRETLEKTLAWVAPMAHDTMRWQAERSFEQQQVVRPDGKNVLLLQTLHFADRDKTEALICELLVGLNYICRYEQQQNAMLDCASSLDFDDDSMEWQLQ >cds.KYUSt_chr2.36712 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226722778:226723540:-1 gene:KYUSg_chr2.36712 transcript:KYUSt_chr2.36712 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSYAGFNSTSEQYGWLARDLARVDRRATPWLVVLVHAPWYNTNAAHAGEGEAMRKAMEKLLYEARVDVVFSGHVHAYERFTRVYNNEANPCGPVYITIGDGGNREGLAFDFQKNHKLARLSLMREASFGHGRLSVVNSTTARWAWHRNDDVDSTIRDDLWLESLAANAACRPQAINLAHDSWSDEL >cds.KYUSt_contig_2887.113 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:557308:559019:1 gene:KYUSg_contig_2887.113 transcript:KYUSt_contig_2887.113 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPHELLAGILRRVVPLRHLAECRRVCGRRARHHRRPQAGPPAPPAGRAARGLHQLHGRRLERHLLLRARRRSGGVDARLADAPTTWCTAFVDHRNGLLLCEATEGARFVYNPATRRSATLPPPPHAEPWGVSSAAYLVFDPAVSLHHEVFLLPELPGEPEPPKPDDPPPPTFNVGRLFFADSAAPPHIDSDEDDGEDWLYTDDEPRWRSRAGRREHLQAKEAKDTLGLMEWPPSLYVAQVFSSMTNRWEEMAFVREGSAAGTVAGMWSDPPSPNYGCVVPQCGPRRRHAVYWRRSLLSLLTGKYAVIKTPEVAKLAGHRWATPYLSKSRKGVYYTAVADVNLWIWVLNEESTMVPPRWEMVHRADLRPSLRQLSYESNQEKNKSWILDDPLRRESMEQAFREWDSDDDDDDDGGANVAEQAGNNALNQYMGWLDVLGYHPHKEIVFFGYQHKHCAFAYYLRTSKLEYLGRPEPISDDHCFPAYVQESFVYTPCRIDMLSDRNGTSVDLSNWV >cds.KYUSt_chr6.26577 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168365388:168366011:-1 gene:KYUSg_chr6.26577 transcript:KYUSt_chr6.26577 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKRRGNHHSTGGLKKMTMLTLGDAILSMHAGTRKLSEGALLSKDTTKVLRDILTSRISTEHANGIKVHTTHLVRLAVAGAIYVYANAVKTKTHFVTPLANDLRSSIFKLQQAAAWSSSLLHELP >cds.KYUSt_chr3.9424 pep primary_assembly:MPB_Lper_Kyuss_1697:3:55389801:55391606:1 gene:KYUSg_chr3.9424 transcript:KYUSt_chr3.9424 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTLRPSRSTLISVDWLGRLEQEQQRRNPPPPQHLPAPQITAAPAPPGAATAPPGAAPAPPVAADTSAGEKHLPSPPRHPSNSRSWTCRYCFGSVLDVLKPSRSGASPPHARMCLLRGHGFVLVQERDQLWQKIPEVQAPVSGYVCTHLAVSPIGVASGVLTLIAVCDFNGIDFSNFHGQDLLESFKGLLLHEVLSIRGNNAKTPQQFVQCIDLE >cds.KYUSt_chr7.2614 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15355669:15356232:1 gene:KYUSg_chr7.2614 transcript:KYUSt_chr7.2614 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASLRPSLKPSFPQRSPALEMDTVAGDRNTSPSVPRRHWRWRARTGAASADGEHRRSNCRWRVLRMPAPVATSVGPLCYNHGRSFDPRQWMLRLPMADAATGGGGAANPRYHLADCKADATTGGGGAASRRGDAAIRHGGATTGGGVLQAGAAKLQSGMAVLRLVAGCCKTARRRCNPAWRCCDR >cds.KYUSt_chr1.1464 pep primary_assembly:MPB_Lper_Kyuss_1697:1:8003930:8006567:-1 gene:KYUSg_chr1.1464 transcript:KYUSt_chr1.1464 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGWGAKISSDTTSSSSPSGTNSRYAGRNGAALSNSGSYASVASVPRSEGEILESANVKAFAFSELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTKPGTGMVIAVKKLNQEGYQGHREWLAEVNYLGQLSHPNLVKLVGYCVEDEQRLLVYEFMPRGSLENHLFRRSSHFQPISWNLRMKIAHGAAKGLAFLHSDMAKVIYRDFKTSNILLDANYDAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVFSFGVVLLEMLSGRRAVDKNRPNGEHNLVEWARPYLTSKRRIFRVLDARLGGQYSLAKAQKAASLALQCLSVDPRHRPTMEQVITVLEQLHDAEGGSSPRPQLQRKPSSNRSLAGSRSSSTKGSNKPASPRPA >cds.KYUSt_chr3.11537 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68787569:68788297:-1 gene:KYUSg_chr3.11537 transcript:KYUSt_chr3.11537 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSMCTVERRGRVHLITITGAGEHRLGLPLISALRAAVAAARASPGAGALVLAAEGKYFSNGFDQAWARTVPLHLQVSMSEGFRGLLADLLVLPMPTVAAVTGHAAAAGCALALAHDTVVMRGSRGFLYMSEVDAGIKIVDYFAELLRQKVPDAATRRDMLLAGRKMTAAEAVRRGVVDAAADGGVEDVVAAAVAAAEGLAARGWDGEVVAEIRKAMWPAVWGKVKDYGGAEAAAARPRL >cds.KYUSt_chr6.28436 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180156570:180161371:-1 gene:KYUSg_chr6.28436 transcript:KYUSt_chr6.28436 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPYYIPPPSTFNVLLDSYCVCFTRHQCFVVGVPGCVMVLFVFVVDDMVMLVTGLDIGVMVVFVFVIGDIVVLVTSVTGGVMLVFVFVVGDVVVLVTDVTDGVMLVIVFVVDDVLMLVTGVNDGAMVVFIFVVDDVPMLVTGGVMVVFVFGRRRGDASDWRERRCHGGRLLRGRRRAKLVMGEPTLPIPHLHPRLPPPPYSRRFPRAVDFVAVPFLGRLSPLQEDRGREDMRKPGRVRGDGGAAGHFFCTSDVCLAPQAFDINPICRSGNSNMCWFKYGSRGSRLLYDLADFEGTL >cds.KYUSt_contig_1861.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:53098:55086:1 gene:KYUSg_contig_1861.7 transcript:KYUSt_contig_1861.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQTHTEGMAQRQRRRWRERQHLARLCDLVADSLLPYLEPEPPGTRRPELLREEERCVLLMLARVNKAIRGWDEEEEVVDDGDASDEPRISCLVSY >cds.KYUSt_chr4.34298 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210452724:210455423:-1 gene:KYUSg_chr4.34298 transcript:KYUSt_chr4.34298 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEETAVAGEKGCTTKRKAAASNDDGGLKEEKLQVEVKPLTLILDSEINQPEELDTLISNSSEPDESKTLSPNGSVSKESDADCSEPEDLDECVSDEYMSAKWADMMAELGFEDSDFAEYRDLFVVDNQQATAEMLVGCHKRRVPTIVLKLDFRKAFDSVDWAALDRILKARGFGELWCSWIRAILESGRTSVLLNGAHRGDSSPNRMLHPLVDDLPCPVIQYADDTLLLLRADEDQILRAKELLSSFSRATGLQINFQKSAFVPIHVPDDRASTLASLIGCSPAAFPQTYLGLPLTARKLRVRDLQHLVVKVEKRAPGWKSALLNLGSRLTLTDAVLSALPTFAMSVIPLPVTTLDHMDRPRRGMLWKGKSSCSGGDCQVAWHDVCRSRSEGGLGVRDLHCHNVSLLLKFIHKLVRGDDTPWTRWVQRWYGVGSISSPPSLTDTPAWRGFKRLFATYRGLTNVHVGDGASTSFWFDNWHAAGPLFACVPSLMTHCTNPSLTVADALRHGRLSLPLQQRLTITAQGQLGSLEASLVHAALADEPDSRLLPGGAKFTAAAAYRIMCSSGVVALESPRVLLDSSAWEHKDARAPSPAWVPALPVLSVL >cds.KYUSt_chr6.29070 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184056820:184059702:1 gene:KYUSg_chr6.29070 transcript:KYUSt_chr6.29070 gene_biotype:protein_coding transcript_biotype:protein_coding MHRALELTDIEGISLGPSCPPIHSLMFADDLIVCGSTSNNDAQNIAQIARGNSSIWSTPWCQDWKEIYDHLISQPGLEERHTSSKDQDFCLETDSTGIAFRAVWFTSPLGFRTDSFDPSCYPSNVIQFLLASSHAVVSLQYVFTIMWMIWKARNDLLFNAKQWSLSQVLCAANALLTVGPIAYCDAAFNPIMDTRTAGLGVYLHNPDRNAKIFIQAVSCMASSVLQAEAHALLLAVRVVHALGWTGVSFLSDNKMLVDAASANDLLSATGHWSIRPVLADVLNRRNQFAERIIKVPRSENKVAHALAKRAFRDHSDSRSLFRFKGTAAEGVTNYTTKTSCVAVCTQHGKLQIQML >cds.KYUSt_chr1.34536 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210245122:210245592:1 gene:KYUSg_chr1.34536 transcript:KYUSt_chr1.34536 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKPIPLQFNGALKPTGIAAATPKKACCKSRLAPPTSSWTRLLSVTRREQRITTNWPTARLNVRDGHDNTPTIEPAVPVEGSNKDHEVVEVAPAESAQLQRRAEAPASRTVHSEKPALQSMLEGIYLCTYVLCSRLCEPIGEGIHGVVCKILEKL >cds.KYUSt_chr4.41122 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254239202:254240605:-1 gene:KYUSg_chr4.41122 transcript:KYUSt_chr4.41122 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTETSSWMKQLTSSSRQGRHGHVLHLFFTRLCLQPSIRGTVDPYPAAVPTALRACAHLAEAAAGRLIHALVLTRPALAWDQVVATALLDMYAKCGLIASARKVFDEMPARDLVVWNALLAGYARHGLPEHALALSVKMRGRGLTPDLVTWNATISGFAMAGDDKMASDLVGAMQEDGFQPDVVTWTSLVSGSVLNFQYGRARALFRQMVAGGARVLPSSATLSSVLPAFGIVGDVKHGKEVHSYAVVTGVERELTVGSALVDMYAKSGLVHEARHLFDKMSERSTVTWNSMIFGLANSGHCQEAVGLFDRMTREGAKPDHLTFTALLTACSYGGMVEVGKALYRGMREEYGIEPRLEHYACMVHLLGRAGMLDEAYDFIKAMPVEPDCFVWGALLGACRSHGNIELAELAASRVRTVEPGNAASYVLLSGALASAGKQNDVFKIKRLVKRCRLKRLDGCSWIETS >cds.KYUSt_chr3.48073 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300999342:300999935:1 gene:KYUSg_chr3.48073 transcript:KYUSt_chr3.48073 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDSDKVEVEAELEAPDAEECTASGDPKACADCYTTKTPLWRGGPTGPKSLCNACGIRYRKRRRVAMGLDPEAKRKPKRDEAAAAAAAEANDEVDGGGKPRAAAKTQTVELHMVGFAKDAVLKQRRRMRRRKRSCLGEEERAAILLMALSSGVIYA >cds.KYUSt_chr5.37079 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234625332:234628368:-1 gene:KYUSg_chr5.37079 transcript:KYUSt_chr5.37079 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRCRDTTHHRHALRGALAIPEGACRIVDHRAAPGACTPSRHKEAWGGGVVDPGRGQTKKLLTDPSVHLTNAPSAADGSVTSAAKRKASLCPQDDHSRRGKKLRYSGPTLPEDIWHRIHSLMGLRDAARVSLVSRSFLRSWKSFPNLIITRETLCLKGSEYGRSLKISSGLAEKTNDILEKHSGKGVKVLKLQINDFPMFSTSYDLNRWLRITVKPGIEELDLWLELHSRDAAVYDFPCSLLLNGSGKSIRHLHLSNCAFRPTDGLGCLRSLTSLDFCDVRITGDELGHLLANSVALDKLRLVSCNELVFLKIPSLLQRLSHLIVNECENLEVIESKAPNLYSFRYVGDLVQLSLGDSLRNFGIHASGWDFVHGACEYLPRFLPNLETLDISSRYVREIPVILDKYLHLQRLCIGYFTPDYDYFSLVSLLDACPSLETFVLYVEPDRVEQESILVDSSRDLRQMPGHLHRNINDVQIYGFCSARTIVELTCHILENAMSLEWLTLSTLYLGELKCSNGKYDKCFQMSRDMIMEAHKALLVVERYIVEKVPSYVEFKIVEPCNRCNAL >cds.KYUSt_contig_988.504 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2908418:2909710:1 gene:KYUSg_contig_988.504 transcript:KYUSt_contig_988.504 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQQGGGDDGCNSGAPSDSPPPFPSTESPSSETKQKLEQEDEQAGGGASLPEDALVEILSRVPYRSLRRFKCVSKPWLALCSATDIRRRSPQTLSGFFHFGVDGLMFHNLSGRGPPMVDPSLPFLRRNYKRVTVEQCCSSLLLCQCAGKSCSEEYDYVVCNPATERCHLLPPIVLPDGQPHILDPSNIFLGFDTAAPSSFLVFAPMSSYCDEFAEVAIYSSDTRRWTFVQSNWGGKTFLVGNPECVFLNGIMHMTTHHSSVATVDAEGKVWRTIEIPGVMPNRYDNAMASIGQSQGCLHAWRIDYHHDCQLYVWVLVDYDSGKWSLKHTVNVLELFRTHCRKDVESYTMFAIHPECDIIFLTDGEEMTMSYDMDNQKVHVICTSEEFLGGLPYTPCFAE >cds.KYUSt_chr5.10477 pep primary_assembly:MPB_Lper_Kyuss_1697:5:67298807:67320409:1 gene:KYUSg_chr5.10477 transcript:KYUSt_chr5.10477 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSPPRLRALRRPLFLPLLLLLVCHLTASASAAGELRQVVGSGADDGPFFEPFNVTYDHRAVRIGGQRRMLVSAGVHYPRATPDMWPSIIAKCKEGGADVIETYIFWNGHEPAKGQYYFEERFDLVRFVKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPYKAEMQTFVTKIVDMMKDEKLYSWQGGPIILQQIENEYGNIQGKYGQAGKRYMQWAAQMALGLDTGIPWVMCRQTDAPEQILDTCNAFYCDGFKPNSNNKPTIWTEDWDGWYADWGGPLPHRPAEDSAFAVARFYQRGGSLQNYYMYFGGTNFARTAGGPLQITSYDYDAPVNEYGMLRQPKWGHLKDLHAAIKLCEPALIAVDGSPQYVKLGSMQEAHIYSSGEVYRNGSMAGNAQICSAFLANIDEHKYVSVWIFGRSYNLPPWSVSILPDCENVAFNTARVGAQTSVFTFESGSPSHSSRHKPSILLPEVGGSYLSSTWWTSKETIGTWGGESFATQGILEHLNVTKDTSDYLWYTTSINISDDDVTLWSSKGVSPALTIDQIRDVARLFVNGKLAGSQVGHWVSLKQPVQLIRGLNELTLLSEIVGLQNYGAFLEKDGAGFRGQVKLTGLSNGDIDLTHSVWTYQVGLKGEASMIYAPEKQGFAEWTGMQIDDIQSPFTWYKTMFNSPEGTDPVAIDLGSMGKGQCQPVIIVQSKCEHVADAVLFQPLEIGGCITYHENGCMSQTIFWYRSGDTPLQPLGRVPEHLRDDVEGPGPPAVLEASRLFVLLNHYSPVGFGRQHILARPVGQSGQSSATTTSTSEMAEEPIKYEDLPAEHKKKYDDLKATFEADLIGSFEKTRSHGIKFKGFQPEGALEGLDLSLPSEERTRALRQEINYAVAHSLHRHSESLVNTLERVALNVVQEIMKHRYSPSGPALGTHQGEIPFYTRPPLPYTVAAPQQQGSPAYVVYKVGGDPGNYQFLYEPPKEIPHGYVCTYMPDCNNWMSQVTAGGTATAGGVAGTGVVARAGNSSGADAEKHAWLAKYATGTSHERSNSAAPTVDEITAILRDQFGILPKKRMIGYSKPYPNEYDLIPLPPKYRLPDFTKFSGSEGTSSIEHVSRYLAQLGMVSASDELRVRFFSQSPMGPAFGWYTSLPPDSVRTWKQLEEQFHIQYHSEATEAGIADLTQVRQRRGETVSEYIQRFRTVRNRCYSVRLSEKEAVELAIAGLSAPLKDVTFQADYNSLAHMVQKLTSYEQRHPELYQDKFKRVALIDTEQDEDSAGDQEVAVAEWTRGAKPVSCKWVKQPGPAKGFDFDLSKTEQIFDLLLKEKQLKLPEGHKIPTLQEMNGRPYCKWHHTLTHATNDCKVLRGQIQMAIEQGRLLFGQFAMRVDTQPFPDVNMVDLSHCIRREPGFSFDINMAGLADRHGKDKPESSC >cds.KYUSt_chr5.15129 pep primary_assembly:MPB_Lper_Kyuss_1697:5:97746853:97747707:-1 gene:KYUSg_chr5.15129 transcript:KYUSt_chr5.15129 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGGGTAVTGKRQHKAHIQTLAREGSLYNLTLSEVEGHLGAPLFSMNLDDLLRSVLPDDMNLPLPNGVGNSGSQSASASGLERQGSSITVPRELSKKTVDEVWRDIQQDQESSDEERSSGCEAQISLGEITLEDFLHRAGIVTGQYQKDADKLVGPARTGEGAHLMTRVQDFPQGTSAIDAYIARQSIAQPLSVAIPSTMDAIYPDSQMSISPSLELSDLQTPSRKRMSSGDVVYKVVDRRQKRMIKNRESAARSRARKQVNCFRCLFAVQFMAIELFSSLA >cds.KYUSt_chr1.38042 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232280959:232281213:1 gene:KYUSg_chr1.38042 transcript:KYUSt_chr1.38042 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKPKTFWALLLVLLCLATHLQGVGGRGGGGFSGNGESGARSALPIIAGAVVRSTTRTAPLNCVAVDSTGGSLVPPTSLLPRR >cds.KYUSt_chr5.30986 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196141737:196142906:1 gene:KYUSg_chr5.30986 transcript:KYUSt_chr5.30986 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase [Source: Projected from Oryza sativa (Os09g0424300)] MEMSLADCWSSPAPSPIGFEGYEKRLEITFSDAPVFVDPCGRGLRALSREKINSFLDLARCTIVSQLSNKQFDSYVLSESSLFVYSHKVVIKTCGTTKLLLSIPRILELAEELSLPVCSVRYSRGTFIFPGAQPAPHRSFSEEVSVLNGFFGGLKSGGNAYVMGDSFRPKKLWHVYHATEMPEQPMVTLEMCMTGLDARKAAVFFKNSADGGCSSAKEMTKVSGISDIIPEMEICDFDFDPCGYSMNGICGPAASTIHVTPEEGFSYASYEAMNVNPGSLVYSDLIKRVLSCFRPSDFSVAVTIFGGQGFAKSWASGAEVGSYMCDDLVEQELPGGGLLMYQSFTAVAPGAESPRSTLDGWNSDGMEFDKEMCIGWEVEKKAAKRGLVA >cds.KYUSt_chr1.34415 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209557282:209559272:-1 gene:KYUSg_chr1.34415 transcript:KYUSt_chr1.34415 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLELPRNAAESAVRSIGLGYDVAADVRLKFCKQRGEPLIELDRDGVQDIVLPGSLTVAGVPKSIKCDKGERMRFRSDVLSFQQAMWPWGGSCIQGQCRAPSVIELYLRLLGGVATAVGLGAARCQAKEARSWGCEILGRSSTRSWDDLPRCLPEEIRAVLTGGEGAAGVSDGSVG >cds.KYUSt_chr6.2021 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12003177:12005072:-1 gene:KYUSg_chr6.2021 transcript:KYUSt_chr6.2021 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENRYIAVRHHVECSPSEDDFEVKSAAVHWTAESGEVLVRNMYVSIDPGQLNRMKRHSASHHSVGPIVPGERIVAHRVGEVLASACPEYEVGDVVAGVLAWEEYTLFRPSPVMCKVDASSGVPLSYHVGVLGMSGTAAYGGFFEVCRPQSGEKVFVSAASGSVGSLVGQFAKLAGCYVVGCAGTQAKVDLLKGKLGFDDAFNYKEELDLKSALNKYFPDGIDIYFENVGGEMLEAALANMNTYGRVAVCGAIAEYTDPAGRRAAPSLLEVVYKRIALRGFLSYDFMAQFHEYNAIIRNWIREGRIQVIEDVSNGLESVPSAFAALFRGENVGKKLVKLS >cds.KYUSt_chr1.30201 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182804782:182805684:1 gene:KYUSg_chr1.30201 transcript:KYUSt_chr1.30201 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNLPAASTVVGMFKSNGINSMRLYAPNQAALQAVGGTGVNVVVGAPNDVLSALAGSPAAAASWVRNNIQAYPKVSFRYVCVGNEVAGGATQNLLPAMKNVQGALASAGLGHIKVTTSVSQAILGVYSPPSAGAFTGEANAFMSPVLQFLARTGGPLMANIYPYLAWAYNPSAMDMSYALFTASGTVVQDGAYGYQNLFDTTVDAFYTAMAKHGGSGVKLVVSESGWPSGGGQAANPANARIYNQYLINHVGRGTPRHPGGIETYVFSMFNENQKDNGVEQNWGLFYPNKQHVYPISF >cds.KYUSt_chr1.18656 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109258115:109259009:1 gene:KYUSg_chr1.18656 transcript:KYUSt_chr1.18656 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEPQDRSEYVQSGGDGTAEALDRANGGVDHTQSSLERATRMRCRHRCERGGRGALPRPRRLARARRAPQPTLPVAADQGVDDPPSSTTPPPVAERVRPQRQATTPVVVILDEEEEVAAAHASSVVKHVAESSHSSQSSDALPDFSWQGMSAFDEVAAHPTIPVPKFEAEQFPFFFDDGAYESLDSLFSERGAGTLEEQLCADAREERRRDLTRCDAEEATCDGRHESAVPTTSSGLQDQSVHHWSIDRLILLLLLSKLRAASSSLM >cds.KYUSt_chr2.47655 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298095892:298107787:-1 gene:KYUSg_chr2.47655 transcript:KYUSt_chr2.47655 gene_biotype:protein_coding transcript_biotype:protein_coding MMETPADVEDDAPMEEEEAEAEETEVEDDDDEFEWSDDDGPHPDETARSTTRARTKRRVYRAAENCGTERFIADLCQLTSEPVQAKGRSVVGPRWLPPPSGMTKVNVDAAVSKNNPVVAIAAVARDSTSAFLGASSLALRGVTCPEVLEAMACREGLALATDLLLQQVRVASDCLNVIRSIDEEGRESYGHVIQEIKTWATEFQHVQFVHEGSSLHLAGTQSQEKSEMDISFPRLRSAAPRWSTTRAAAGEPAVAGRAVAVRAEDTALLGAETDGVAEAAATRLAAEVTLLAEAARGGDEALVLREAATAAEEEATVEARRPVGAVLLAADVERITSKEVAKVQPSAPPVAVSPSGGRVPMRRPDAGGALFQSKVKLLVNHFIVNYQKVSTIFHYDIDIKFDPASQKASGKELSNADFLSAKAELFKDESFRQLSSAVAYDGKRNLFTSAELPEGLFRVRVRSKTYIVSVEFKKQLPLSQLSELPVPREVLQGLDVVVREASCWRKIILGKGFYSPESSYDLGRGVVAMSGTQQTLKHTQKGLVLCVDYSVMPFRKAGCVLDHVKQFIRPLDYRTALNKTQLKNLEYELKGQRVTVSHRRTNQKYTIQGLTDLPASQITFSDSESGQAKRLVDYFSQQYGKVIEYQMLPCLDLSKSKDKPNYVPIELCILLEGQRYPKANLSKETDKQLKGMALIKADDRKQRIMDAVRARDGPCRGEIAQQFGISLDLEMMEVTGRVLPPPTLKLGGTTTGGQPNKCSVDQNCQWNLVRKKLVEGKELKCWGIVDFSAGPSHSMQQSLNGPMFVDYIVRKCCDVGIRMYKDPCFVHLSAMSVLSDPRKLYEELNNAKQAAVKKNQKLQLLFCPMSEQHPGYKTLKLICETQLGIQTQCFLSNVANKPQGQDQYMSNLALKINSKLGGSNVQLLDRLPLDTGAPFMFIGADVNHPGPGNVESPSIAAVVASVDRGASKYVPRIRAQPHRCEVIKHLGDICRELIGVFEKKNGVKPQKIIYFRDGVSDGQFEMVLNEELADLEKGIKVNGYSPTITVIVAKKRHHTRLFPKDKKEAQLKNGNVLPGTVVDTSVIDPMAYDFYLCSHNGLIGTSRPTHYYSLMDEHNFSSDALQKMVYNLCFLFARCTKPVSLATPVYYADLAAYRGRQYYEAMMASQPQARSSSSSASSVGGSEFGNFPTLHVDLQDNMFFI >cds.KYUSt_chr2.27098 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166021786:166032366:-1 gene:KYUSg_chr2.27098 transcript:KYUSt_chr2.27098 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPPSSAAAADLYETASQPDPSASAAGDAYTFLEFNTQGDDFEYPDFPELSQPARSAPPPPAPVTSSPSSSWPPPPPPPDASPDPDLAPQDSTPPASSSSPSPRSSASKARASAADGLAAGVASLSFEEPPGAGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAENVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQPVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSLTVRWDVGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGGTSHPAWQSVGHVIKLTAQEEVALELRASQGVPVELNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPKRFGAPGLPELNASQVLAVKSVLQKPVSLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDNSEKSEMHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSEFPSNCFYEGTLQNGVTVNERQTTGIDFPWPVPNRPMFFYVQMGVEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVVLGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMHGSTFGAAGSVDKRSGRGKGHPFVPFGPPNGAHKPGVHPSGYPLPRMPFPPFPGSPHSQPYAIPTRGSLHGAIGAVPAVPQPGSRNFGAPRANTAGPIGGHLPPHQQNSQQAMGPTYNYPGLENPSSQPSGGGPMSQPGLMTQMPVQGLSQTFRDGFTVGGMSQDFFGDDFKSQGSHVAYNIADFSTQASQGGYGVEYTQGPQSGYPGNYLNQSAHPGYPHMGATNDIVSQLGYAFLLYNAEVLVHSYQLQAKWTSIFWCTTYQMFIGSGLYEMAVATDHTPLVRNSRIATHLLVVVVLNGD >cds.KYUSt_chr7.25421 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158633901:158636449:1 gene:KYUSg_chr7.25421 transcript:KYUSt_chr7.25421 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMQGKVQQLSDSNRRIMEADAAPPRRVYQAWKGSNIFFLGGRLIFGPDVRSLIATVCLIVIPVIVFAAVVPQQLANGYQNQIGGWVASVSIIFTAYILVLLLLTSGRDPGIVPRNAHPPEPEDIGESSNLSDWPGGQHGSAGLPLTKDVVVNGAIVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFLMFVSSATVLCIFVFAFCWVNIGKIMDTHDCKLGRAILKSPISAILMLYTFIAVWFVGGLTSFHLYLISTNQTTYENFRYRYDLRTNPYNRGLVQNFVETLCSRIPSSRNNFRAKAKEDSAAFTSSLSMGRVLSPPKMSVDLEMGTKRQAVAVEDMEDIHSQIGSSMRLEQCGTEPPHLVGRKGCSEIASDIGTFAEEFGMEGRFNERKKIEMHTNDNP >cds.KYUSt_chr1.26106 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157020248:157020877:1 gene:KYUSg_chr1.26106 transcript:KYUSt_chr1.26106 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPAAAEPEVPAGLGLTAAEYAELQPTVEAYHRYAVGPGQCSSLVAQRIEAPAAAVWAIVRRFDCPQVYKHFIRSCALRPDPDAGDELRPGRLREVSVISGLPASTSTERLDLLDDARRAFGFTITGGEHRLANYRSVTTVSELAPAAPAEICTVVLESYVVDVPEGNSEEDTRLFADTVVRLNLQKLKSLAEANATNAANAAPPAE >cds.KYUSt_chr1.7335 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44925381:44930790:-1 gene:KYUSg_chr1.7335 transcript:KYUSt_chr1.7335 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQNSEYKNLFNHENIYLSEHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYVLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLANPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLAGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFVEWGPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESREIIEGLVDEYKACESPDYIKWGMEVLLAITNMRL >cds.KYUSt_chr4.54539 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336937095:336940386:-1 gene:KYUSg_chr4.54539 transcript:KYUSt_chr4.54539 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLLRLLVSSEQAKWETCLPSRSRQGIADRRWGSLVITLRRSAGTRPGVGDEEVLGGAMSSILEVFYDDGAVDGRGVVVGSGLENHDSGLDDNGMATEDTNDGSEPLCEPFGERAVQLLAICANFPISKIIGYDWQHTRCIYVQREGEVQEEGMVDLVPIGPRDILMAYGYFGLQVYTDNNQSDPPITDAWDAYEDDEIEEYTRTICAGPGRKLEITYLVIPDAIEAKVEVKLKLKGLLGSRSRAVYGKIKASATDYRNKSVHLFSCERGMSLSFPSGSTSILPLSPSMVAVPCRWRLELHV >cds.KYUSt_chr2.9549 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60235700:60237064:1 gene:KYUSg_chr2.9549 transcript:KYUSt_chr2.9549 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAEKLNVLVACALLLLAVGCQASPYWPLEIGFYHDKRPQAESVVKGVMEYAISKNPGNGAAMIRMLFHDCFVEGCDASIFLDPTPFSPAPEKLSPPNHQSVRGFELIDAIKEAVEAVCPGVVSCADILAFAARDASCILGKGNFLVPPTSNLSDLVDSFVVKGLNTEDLVLLSGAHTIGRSHCSSFVSDRLNTPSDINDGLAWFLRSQCPADATPGGNDPVVMQDMVTPNVLDRQYYKNVLEHTVLFTSDATLLTSEETARMVEDNANIPGWWEGRFAKSMVKLAGVEVKTGHQGQIRKNCRAINYH >cds.KYUSt_chr2.46246 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288865758:288866430:-1 gene:KYUSg_chr2.46246 transcript:KYUSt_chr2.46246 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAGNGKEEAKKKVLEGTGLSLPGGSSGSVRSAGSDSQLRQMLDSLKSSKSPAVINYGASWYVTLLSVYLYLFLTVRSLHCAVFAVRFYHISASSAMNSRI >cds.KYUSt_contig_786.263 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1453515:1458958:1 gene:KYUSg_contig_786.263 transcript:KYUSt_contig_786.263 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEEEVRLEVEAVEAMYGEDCRVVCDFPPHLVVHVRPNTADDSSQQYPKEPPHVCAVESKGLDENRQAYLISSIQNKAKELSDYPMLVTLCEEAGEILSNMNHPAGDCPLCLYPLVPEYNDSSALSFMKLMSCYHCFHSDCIMRWWGWLQNDDDDADSKKNDTASLTVDLWEDDKELLHSEAEKKRRENFGSLFNLQQERNGLIEPKKDLAIQPGMYVSLPPSEPTTSAGDGANSCEATTTSTSETDSRSMANNADGSKKQNSSGPRRRNRASAPRRQPQGQAGGQQGQRDQAAGQQGQRDQAAGQQGQRDQAAGQQWQRGQPAREQRQRGQPAREQWQKKDADTSHQ >cds.KYUSt_chr5.36573 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231130807:231134475:-1 gene:KYUSg_chr5.36573 transcript:KYUSt_chr5.36573 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAATCVSAPPLHVPLFLSALIQKIGHISLISLRGSQSRGRGWARRGDMAPPRRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPCDPKNVHLKQLDRASDNLHLFKADVLDCETLTRAFEGCEGVFHLATPVPEDKIIDPEAEVMAPAVKGTSNVLKVCLAMKVQKVIVLSSTAAVDFNPNWPQGRLKDESCWSDKEFCKENEDWYSVAKIVAEQASREYAEKNGLTVVTLCPPLVFGPLLQPMVNTSSKFLIYVIKGGPDVMNNKLWHMVDVRDVADALLLVYEKAQSSGRYICAPNNIFTKDLVDLLKKMYPKYSYVNNIIDVEHKAPITSQKLRDLGWEPRKLKETLWDSVQCYEKAGLMQDSAGRPFRLPHLFRLAGDQ >cds.KYUSt_chr5.37175 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235137800:235139462:-1 gene:KYUSg_chr5.37175 transcript:KYUSt_chr5.37175 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPDRFDVIVVGAGIMGSCAAHAAASRGARVLLLERFDLLHHRGSSHGESRTIRDAYPQPHYPPMVRLARRLWADAQRDAGYDVLTPAPHLDLGPRDDPALLATIANGGATELPANGGARPAWADAFRVPEGWTAASGDLGGVIKATKAVAMFQALAAKMGAVVRDKAEVVDVARKQGEGIVVRTSSGEEFHGTKCIVTVGAWTNKLVKSVTGADLPVQPLHTLICYWKIKPGHEHELTTEAGFPTFASYGDTWIYSTPSMEYPGLIKISMHGGPPCDPDGRDWAIGPGEGGLVDPVARWIEKVMPGHVDTAGGPVLRLPCMYSMTPDEDFVIDFLGGEEFGRDVVVGAGFSGHGFKMAPAVGRILAEMALDGEARTATEAGVELQHFRIGRFEGNPAGNATSF >cds.KYUSt_chr5.9801 pep primary_assembly:MPB_Lper_Kyuss_1697:5:62546525:62546869:1 gene:KYUSg_chr5.9801 transcript:KYUSt_chr5.9801 gene_biotype:protein_coding transcript_biotype:protein_coding MANGAELDERNLYVLISVVEYYSLRNRRSDAYRFVLLNVEGDWSQGTYAEVYSALVPLHASQSTFATRLLMGMHAYTIVFAWRCFWSLHETSKLAGIKCQKVAELRRDDTIPGW >cds.KYUSt_chr1.23856 pep primary_assembly:MPB_Lper_Kyuss_1697:1:142152396:142153404:1 gene:KYUSg_chr1.23856 transcript:KYUSt_chr1.23856 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAMPAQSCWTGNNCDGLSTELAPILRSVISVNMTSDWLHLTGGTLTIMFDKSIPQGLRVAAVVKMFTPYLTKRIPVVIEAGFHHVHQKEIKAEKGSSVMPPPARKVEMQGAIGAPPGFPPL >cds.KYUSt_chr3.41279 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260447845:260448897:1 gene:KYUSg_chr3.41279 transcript:KYUSt_chr3.41279 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRRKTEPAAAATDALLSLPTEVLDDILARVGLRDAVRTSVLSRAWTRRWEFIPSIDISAEDGGMWKAPSAVDGILLRFPGRVRRFHVSLEKATSCRVNDWLPTLSRRGVESLSVKLRSHIQRDFRPPRLHESVFYCSSLTVLSLSTCTMPSFPMDWGFPNLRSLILSNVRFLEEYGHDQLQEIIETCPLLEDLRLVKVDLYGDIEFVMQAPKLRFLTLGFIEEFGCILQELPRLHSAALDMWNYVPSNFAELLAALVQARNLQLRLCTEGGWEDGWVHDHWRPTIPRKLPCTFHNLKSLKLHMDFSEPTPIELTFLLLKSAPNLQILKLVVMVNDRVDWFSYFTMP >cds.KYUSt_chr3.28193 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175891807:175892607:-1 gene:KYUSg_chr3.28193 transcript:KYUSt_chr3.28193 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRADLEEFWSELARVDPLLLGGDVFQTWTAVESCWAPLTVDDQFIWPADKLAVVPWTGPSPDVLGLDEQLFGQVAPMPPSPGLPAPDLQAGADAMASFESATPPAVGAATMEMLADRMLSLEVDGNTTFLSKVFGMLPASIMGAPPAFAPFEQEVPLMVPTPPSAPASAPQPRRASGRIAKGPKGLTQEQKAQARLAQQLEFIDAPRKFNADVRAKYVDRYKKPLGSLTKKLARAAGLDSAACIRLPDEDLAVLAGEALGGLA >cds.KYUSt_chr3.25547 pep primary_assembly:MPB_Lper_Kyuss_1697:3:158588036:158593893:-1 gene:KYUSg_chr3.25547 transcript:KYUSt_chr3.25547 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKYVVVSGGVVSGLGKGVTASSIGAVLKGCGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEADLDLGNYERFLDIKLTRDHNITTGKVYQAVIDKERRGDYLGKTVQVVPHITDEIQDWIERVAIKSVDGKEGPPDVCVIELGGTIGDIESMPFIEALGQFSYRVGNGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRSLRGLGLAPDILACRSTEPLEEHVAVKLSQFCHVPISNIVNLHDVSNIWHIPLLLRDQKAHEAILKVLDLQRIGKVPREPKLAEWTERANKLDKLKTPVKIAMVGKYTSLSDSYLSVQKALLHASVAMGRKLVVEWVCSCDLEDSAAKETPEAHQKAWKLLKGAGGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQVAVIEFARSVMKLSGANSTEFDPATPSPCVIFMPEGSKTQMGATMRLGSRRTYFLGNNCKSSKLYGNASSVDERHRHRYEVNPEMVPELEKAGLSFVGKDESGRRMEIIEIPNHEFFIGAQFHPEFKSRPGKPSPLFLAAASGQLDRLLQRSCGIASLNSTPRCTTNGTVVPPVKKPYTAVKPLKILVNGYYANSNGIQI >cds.KYUSt_chr4.20377 pep primary_assembly:MPB_Lper_Kyuss_1697:4:128167070:128182444:-1 gene:KYUSg_chr4.20377 transcript:KYUSt_chr4.20377 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIELRQGICAWVKELARWSDGHLHGRTMRTKWRNKRGEPDPDLKIVCRQISPIIPHNYQESSYPAAVFTFTVTNSGNTAADVTLLFTWANSVGGKSELTGYHSNSSMIEKDGVHGILLHHRTANGQPPVTFSIAAQEKEDVHISECPYFVMSGSSDEFTAKDMWTSVKEHGSFDHLDPVKTSASSRPGTSIGAAIAASVKLAPQATRNVTFSLAWASPEVKFCSGKTYHRRYTKFYGTDVDAAASLAHDAILEHRTWEMKIEEWQNPILQDKRFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTAIGEKKFSLDMSNGETDDDNEMISRTNTATDILHQMASVLERMHASLASNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLIMLFPKLQLSIQRDFAAAVMMHDPEKLKLLHDGKFAARKVLGAVPHDLGLYDPWFKVNAYTLHNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGVSAYCGGLWVAALQAASALAHEVGDKASEELFWNKYEKAKSVYDKLWNGSYFNYDDAGTKVSTSIHADQLAGQWYAKACGLSPIVDKDKSQSALEKIYSFNVMKFKDGKRGAMNGMWPDGTLDMTSMQSREIWPGVTYALAATMIQEGLVEEGFKTAEGVYHAAWSPEGLGYAFQTPESWNNDDGYRSLCYMRPLAIWSIQWALSTPKLHKEPETDVTQDSFPKNQFSYARVAKLLQLPEDDSSKSYLRVLYEIVRSSEAGTCEEARKTYTNFYCGHDPCVEACHKEGFTDGRCHMALARPVVLQCICDYIAWNYTKNGLFTVKSAYHLKMQQNAPQVSTSLSTDEHRGWLALWSANVPGKAKIHVWRLIKNGLAVGEELQRRQIKEGVRCVVCDRVESLIHRFWCCPHSLEIWECVRVLTGMRYRGPPTRLGRPGDLHWCMLEWIAGLDEKELEVGIMVIYQMWLARNEAREEAKIDDPLSIAKRSLHLVEEWLAIKQNPVSEAHRGSEQWSTPDVGWHKTNADGTFSKVSMHGGWMRCGFKESPW >cds.KYUSt_chr3.24646 pep primary_assembly:MPB_Lper_Kyuss_1697:3:152934613:152934999:-1 gene:KYUSg_chr3.24646 transcript:KYUSt_chr3.24646 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKTSLPTTTTDSTNHIRWYTTFPYSTATTFTRGRHRSIAGKALDRTFEAVGDGPLPTAFVGVRSSDLLALLLLLSIAGAASLSIGSSDAALGVLLRRRDDDDFLGIVRFRIHSATLPRAIKSIQVE >cds.KYUSt_chr3.15450 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94607793:94609016:1 gene:KYUSg_chr3.15450 transcript:KYUSt_chr3.15450 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAETAVEAAAEGSDLRSFLTKRGVDTAEVDDQALKELEEKYIIVRDLDADTVLSKIQNLLGLRHKEDSIVAYEGLTYQLPSRGMEHQEYEPLLRQLCLRRGKVDCCAVSDPKTSRWAKAITAVLSAVQKQLLCGKRTSSHFLCYGEKLFGKGHKTVDDALLDICCLLECTRTSLNLYHVSQGMVIGPVIFTREDGVVIPCSSLGPDGAYIQPKFSKITAAPGAEVNFILVVQGASVFDTFARGRGDQFLREFSCVLVTGGDGQPDITTRAFLRKLKDQLSVPVYALVNPDPEGLSIFCTYKYGSPEGPFDNAGLTVPDIEWIGVHLGDALDLDIKEGLPLTKQDVSILEGLCANKYVMGDDLFRTNIHFMLYRGLKSKIEALFYRQYPPSDYIRKEINNHEDT >cds.KYUSt_chr1.26548 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160067464:160067895:-1 gene:KYUSg_chr1.26548 transcript:KYUSt_chr1.26548 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAARCPSSSALALDHRAALLKEPEPHPPPPTAADALPPTRPGRCHQPPATATTTRAACRQEGFRGRRPCVPAPRKGAPPLRSSHHHATFGAGPAAAAAIASDDGGEEVKSGRAARREVGTPRCGPDEPHGGGGEVEAGRG >cds.KYUSt_chr7.12663 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77925264:77925944:1 gene:KYUSg_chr7.12663 transcript:KYUSt_chr7.12663 gene_biotype:protein_coding transcript_biotype:protein_coding MASFARASRFMVLLQLALFVVSVVLLSSSVCHGADSISGPGTLDPHRGACIGRCPSRVYKGNKARYSTVDKRALSFKVEAVKP >cds.KYUSt_chr4.52925 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328231403:328231792:-1 gene:KYUSg_chr4.52925 transcript:KYUSt_chr4.52925 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLVLFLAVNLALLATTAHACGPYCPTPTPPPTCSIDTLRLQVCANVLNLLKLNLPVPANEECCPLLSRLANLDASVCLCTALKAEILGIKLNVPVAFTLLLNQCRKTCPRQLHLLHLIHHPSQKH >cds.KYUSt_chr6.17083 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107635451:107635885:1 gene:KYUSg_chr6.17083 transcript:KYUSt_chr6.17083 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRGGALGKRKERDYFSPPPPPSSEQQKAPLPPLPRKPEQRNKPLQLSRFATKPTTMPPPPPPQGANSKLLAGYLAHEFLRFGTLLGERPPAPARKGYSAAPAPDPARRYAEASTLLMMAGGPRVPGVVNPTQLGRWLRIKE >cds.KYUSt_chr1.39331 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240653182:240654627:1 gene:KYUSg_chr1.39331 transcript:KYUSt_chr1.39331 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDVGGDMMRSRKRKSVIQSCDRTVKMTVPVFPSNKRISVCTLLLPHDMMLEVLLRLPIKSILRFRAVCRSWCALFSSKGFCSLHMAASKVLLPAQKLLVVSPTSRLNSSAVYACSPSGRRDDLLLTFDSARNDSVEVVTPSPSYGLTLLFDAAARAYYVCNAATRAITRLPPYRYPVRDFTVGLGFDDQTWNYKVVRLINGYNHEKEAVICDVYTPGADCWRPAAGHVPFRLLQFAISAVDHAVLDKIPPVFANGFLHWLICPSFSLRRPRAAIILFSVAEETFGSVRSPPFWGPRENKRPWSQSEGEHLAVMDDQVCIVRNLRNRTPNGSALEIWGLLDYVSGDWSLKHRIEMFGHIGRALSDPQVVRVIGSVGNCRTGRRIVITTSKHWVHDKFQKKVYTYDPRCQVLQCIRSVTETHTAVSHLTPGSRFSLFEESLAPVHKSDEDLALPSTLAKATIKKLLLQSESDILPMFQCK >cds.KYUSt_chr7.33109 pep primary_assembly:MPB_Lper_Kyuss_1697:7:206667162:206671097:1 gene:KYUSg_chr7.33109 transcript:KYUSt_chr7.33109 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSTPRSTSRVNYSNEIHDLSTVQDGAPSLAPSMYYQEKSLADFFPPHLPKKVISEVVATFLLVFVTCGAASLYGEDVTRVSQLGQSLVGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQFTGAMCAAFVLRAVLHPISVMGTTTPTGPHWHALVIEIIVTFNMMFVTCAVATDSRAVGELAGLAVGAAVCITSIFAGPVSGGSMNPARTLAPAVASGVYTGLWIYFLGPVIGTLSGAWVYTYIRFEEAPSMNGPQKLSSFKLRRLQSQRSAADDFDHV >cds.KYUSt_chr2.47762 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298745449:298752751:-1 gene:KYUSg_chr2.47762 transcript:KYUSt_chr2.47762 gene_biotype:protein_coding transcript_biotype:protein_coding MASARPPLRRLAAMVYGRVRANQRLLTSSTAERASPSPAEPEAVRMTEGCVRRLKELHAKEPSAEGKMLRVSVEAGGCSGFQYSFSLDNKKNSEDRVFEKDGVKLVVDDISYDFVKGATVDYEEELIRSAFVVIDLAPNLVAKVTAHRKKSRTVKEGLTMAWLLDCGPDMGEVALDEFFGDVLANVQLTLRQEDVMVWRWPRDRVYSAKSAYGAFFLGTPMAPGATKFGGRGRLTAASSPLASVEIGVGKLTDFSVVAASLACPLCDQGPETIQHLFLGCVVACEVLSWALRRWAKL >cds.KYUSt_scaffold_1854.507 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2704963:2705753:1 gene:KYUSg_scaffold_1854.507 transcript:KYUSt_scaffold_1854.507 gene_biotype:protein_coding transcript_biotype:protein_coding MDTALLDTLVEHHNNGDHAQNGWKPHVYNACIKHVKETCNVDITKDKIVGRIKTFDKHYEIISKMLAQSGFGWDSENNMVEVDSEEVWSRYVEANKDASSYRNKVVMNWQAIQTIYSPDHATGVGARTAAESVQEEETIVLEDSPDLPPKRQRTGEAILCMMGQMKTSFDDALKTTEPLPMPKVTPPTEILDALKKLQMENCDMLRAYGKLIVNERLFEALTALPDELKKPWLLTLP >cds.KYUSt_contig_6320.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001280.1:47781:51573:1 gene:KYUSg_contig_6320.10 transcript:KYUSt_contig_6320.10 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLPEIPSHLLAQIFLRLSAPEDLARTSAACVAFRRLVTDGSFLRRFRRLHAPPLLGFLDLEGFHAALPPHPSAPAASSFAAAADFTFSFLPPHCGWIVQEIRDGRVLLARDHGEDARSPVFKELVVCDPLHRRYVTLPPLPSALADSVYRPAPVARMPWCEPCLAPLGEDDAATTAFTVICVVHCETKLATFVFSSSTGQWQASACKGWRELFCGRGESTVNSPSNSPLDPMFLRRHYAYGCFYWESTMIKRKELLVLDTRRMEFSIADLPSKGWSTFGVAILEAGEGSVGLFGIRDEPAGGKRDLCYTIRQNKGKRSSQWQMVKAMTLGSGCLHNIKASTERFDGAIMRSTFLIQSNSDFNGVEFSGDVITLSTRQSTLLHLVVVVSIVSVN >cds.KYUSt_chr1.40762 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249925757:249936190:1 gene:KYUSg_chr1.40762 transcript:KYUSt_chr1.40762 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAALSRSRRSSRSWGSNLSSSIRNQTIGLQPDTDDPFRRGSASSSRRHDEDEENLRWAALEKLPTYDRMRRAIPLANHDLGAGGSNGLVEIELLASGDGGRALLERVLQDDSERFLRSLRDRLDRVGIELPSIEVRYEGLSVEVDAFVGSSALPTLWNVTANFLRSLIGRLASSNKKTINILRNVNGILKPSRMTLLLGPPSSGKSTLMRALSGKLDKSLKVSGNITYCGHMFSEFYPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGIGSRYNMLTELAKRERNAGIMPDPEIDAYMKATAVQGHERNIVTDLTLKVLGLDICADTLIGDDMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYVKQLVHVMNETVMISLLQPPPETYNLFDDIILLSDGYIVYHGPRENILEFFEASGFRCPARKGVADFLQEVTSKKDQEQYWYREQEQYRHVSVPEFADFFKSFHVGQQMLKDMQIPFEKSKTHPAALTTKKYGLSSKETLKAVMSREVLLMKRNSFIYIFKVSQLIILGLMAMTVFLRIKMPSGQISDGTKFFGALTFNLITILFNGFAELQLTIKMLPTFYKQRDFLFFPAWTWGLANIILKIPVSLMEAGVWVTLTYYVMGFAPAAGRFFRQLLAFFATHQMAMALFRFLGAVLKSMVVANTFGLFVILVIFIFGGFLIPRGDVRPWWIWAYWSSPLLYSQNAISVNEFLASRWATEMKEQGFAESRLQLLTDISGAFRPGVLTALVGMSGAGKTTLMDVLAGRKTSGSIEGSITLSGYPKKQETFARISGYCEQNDIHSPNVSVYESILYSAWLRLSSDVDDKTRKMFVEEVMTLVELDVLRNAMVGLPGVDGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVEIPGIEKITEGYNPATWMLEVSSPLAEARLEVNFAEIYANSDLYRKNQELIKELSIAPPGYEDLSFRTKYSQNFYNQYVANFWKQYNSYWKNPPHNAMRYLMTLLYGLVFGTVYWQKGTKLDSQQDLFNLLGATYAALFFLGAANCFTVQPVVAIERTVFYRENAAGMYSPLSYALAQTSVEIIYNIVQGCLYTLVIYPMIGYDWKADKFFYFLFFIISSFNYFTFFGMMLVAITPSAMLASILVSFALPLWNLFAGFLVVRTMIPIWWRWYYWLNPVSWTIYGVVASQFGENAGTLKVPGGEPVLVKQFLDDNLGIRHDFLGYIVLGHFAYVVAFFFVFGYSIKVLNFQKR >cds.KYUSt_chr3.39925 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251616045:251620126:1 gene:KYUSg_chr3.39925 transcript:KYUSt_chr3.39925 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHLLSPHHPSPVLSSHFSPPPTSPCRHRHRRAFHPPLSSLRESDKGTLRKASPNVPFRFGGGAGGSSPKERRPAADQKKQKKEEEREEEDGGFGGSGALTGTLLAGAVLVGVVGGFGAAGYVYKDQINAFLTHFSGFIEGYGTAGYALFILAYAGLEVLAIPAVPLTMSAGLLFGSVTGTIVVSISGTLAAAVAFLIARYFARERILKMVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGTWAYVSAGAFGRAIIQDETEIGLGGNNQLLTLGIGLLVTVVAATYVTRLAKDAVKDIDE >cds.KYUSt_chr7.14639 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90413575:90414357:1 gene:KYUSg_chr7.14639 transcript:KYUSt_chr7.14639 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGILVNTFEALESSAVAALRDPDRATPPVYCVGPVAAETEKQRHHPCLPWLDAQPKRSVVFLCFGSRCTVSLEQIGEMAEGLERSGHRFLWVLRAPPGSTDGEEDAALSLLPEGFLARTADRGLVVATSSWVPQVEVLRHASTGAFLSHCGWNSTLEAMGAGVPMVCWPLVAEQWMNKVYIVEELKVGVEVRGYKRGGLVTATDMDATVRQIMDMEPECRRAVEERLAEMKESTAAAWKEGGSSRTAFAEFVNQME >cds.KYUSt_chr4.2604 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14590477:14593211:-1 gene:KYUSg_chr4.2604 transcript:KYUSt_chr4.2604 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRRLTSRSIDPPPHRLSFSEAPSSSASPPVPQFDPGNYVEDEDIPMLAAKLKHAAPTPMAGDFVPDSVLGTVTKLVEDQTHRDADKKAEWLREQAMQDACYIEVDYSDSGFYGLIKHALILCAIDCRNNAPSSIYSEHEKNTFPSSKLLLDLVCPLALRLIVHFILSRRPAASTDNVPIVRPRHFTTPPLGLIDLARSSSAPLLLSTSVGRHNAPPRSSLALPSSAPLLLPPSVGRRNASPHSSLAPPPSAPLLLPPSVGRHNAPPRSSLAPPPSAPLLLSPSVGRNNARQRSALRGQLLVEVFAAYSDFPFRGTITIMDGTASNCIYNRPGRDADADFYSQKNEWLTTHADDSEFKSKLRLTGPGCSISAYWGFSIIAFFPGIDEEYTACYDSPDDYRSMLNHPDTITITTNMGSLYVTCAVLSDAVQANLKLIVRLPFLYVFADMYGHVMAYIGTFQIGTTIYSREDKDSEDLTDYQDGEEFGPKLCLPLDQSLLAVPIGSCLHIKGELVLTGSQIISINYSIPTQSHFFETGWEEDEEEAFFETGWDEDEECHFLETLWDEDEDAQFKVQTAIRLNLRSVEVEI >cds.KYUSt_chr1.30117 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182267968:182270307:1 gene:KYUSg_chr1.30117 transcript:KYUSt_chr1.30117 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIGCFPIRWVVPHWQTAVRFAVTIVCLDPEIWEIRIHFDGVDNIERSIGRDDITVMNLVALIETKGYGFSDSIYCRKDGDLQLTENNTQIYELLEHFIEDQVLSLIVIRGRRKKHVAKEVINVDEGTAASQQSSCLINYTPAFVYDLSPPPVFSVDDQGLIFPTEGCSQNPYVCTQQSINDQKGKGIQLSDIDDDHEERAMNNEAYEDFDMGEEDFDMMQEMRRKEQIEINERIEEMRKQREDPLLHCEGDTDIEDIFVIGDDTADALEPVALPQKQISPPKQMKMKHPSRKGPTLRSHSAVHIDDVLDWKPSSDEDMCSGLLDESDDDLFQPLCMVPPKGRKSRSKKMPLRKWYDERRLKAHEQLCVKMCFTSVHQFRDALINLHIAQSRNYRYHRNSNVRVIVQCIKETCPFYCVASEIKAENTFVIRKMHIDHTCETTTDSTRVSARWLARTYENAFRSDPNASIQTLIDSCDGSAGYKRTRTWGYFNCGYVPEEGGEGSNATGY >cds.KYUSt_chr6.17174 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108144489:108145094:1 gene:KYUSg_chr6.17174 transcript:KYUSt_chr6.17174 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSIAVFTEMRDEGIEPDEVTFVGLLNACAHGGFVDEGLKYFKLMCDELRIEPEIEHYGCIVDLLGRAGRFEDVMHVIKDMKVQPDEVIWGSLLNACRTHRQLELAEFAIRKLLQLNPNNANYVVMLANLYSEGGFWEEVTRVRKLMQEEIMGKKLPGCSWIEVDRKTHIFYSGDDAHPESENICNILEELAASMEICQD >cds.KYUSt_chr6.18123 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114087859:114090264:1 gene:KYUSg_chr6.18123 transcript:KYUSt_chr6.18123 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDVLVKAAAGRPTRSATGPYINGEYVSAADLSLGLKLFHLQVALEHFKGWKIPETLTSVHAYTEALFTKESFVKTKPAREHLIAGWAPKLQCMEGEVQLREMQSRVDKANKDIQEEMAHRYAAEEASARAKDLVRAEINNATSSFSESNKIGTGSNGTVDEGYLKHFDVAMKQPHSNDSTSTKHINQEIEVLSRIHHPHLLMLLGACPDSGCLVYECRENGSLADPLQCINGTPAIPWFHLFCMA >cds.KYUSt_chr5.36570 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231113449:231115081:1 gene:KYUSg_chr5.36570 transcript:KYUSt_chr5.36570 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYLVFVSNVTVLLAFCYVIIKKAVGGSRNKLPPSPPSIPLLGHLHLLGRLPHCSLRDLHSRYGTDGGLLFLQLGRRRTLVVSTAAAAADLYKNHDLAFASRVPSATVHKLSFGSNNVSFAPYGDAWRRSKKFAVVHLLSPRRADSFGLVRAAEAAALVAGVNLAAVAGEVVELRGLLYGYSNAVVTRAAAGTAGATAEKMKQLMVNSTALMSGLQLEDVLPDAAAKAVRWATGLEKRLDRQVEAWDELLSEMIAEHLEKKRDDVAGEEDLLDVLLRLRKEGTAGLELTDDRIKSIVQDMIFAGTETTSVTLEWAMAELIRNPRAMAKLQDEVARVSNGKPTIEEDDLSRMEYLKAVLKETLRLHPPAPLLVPHESTTAAVVQGYEIPAKTALFINAWAIGRDPTAWGDAAEEFRPERFLDGGGVTGIDLRGSDYQLLPFGAGRRICPAISFALPALEIALASLVGHFDWKLPIGTRLDMSETPGLTTPPLTVLRLVPECKTLLMA >cds.KYUSt_chr3.38208 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240522745:240528217:1 gene:KYUSg_chr3.38208 transcript:KYUSt_chr3.38208 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHIPHRAPDLPAKFKSMLVPGKIQHIICTGNLCIKEIHDYLKSLCPDLHITRGEYDEDARYPETKTVTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATMHG >cds.KYUSt_chr2.5288 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32826662:32828528:1 gene:KYUSg_chr2.5288 transcript:KYUSt_chr2.5288 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRTTPGPVVAGTTVAAPAPVATMAECYFCGDMPAVVYCRADASGLCLPCDRHVHSANTVSSRHARAPLCAACRATGASVRRGGAARFLCSDCDFEERSRGGDPVMHDRGTVEGYTGCPSVAELAAILGVFVHGCGGKKAQTKEGCRPVWEEPRVLTFEDVIVPTTSCHGLQPLVTSSSPKSPPCGEPDGEVIRQLSELAKSEVAAAYVEAEPTCDLMPPWASSGYGFGHGDFGALGTEAVSEEAPSVVVPCQHHEAWIAVDRSDVSPDKHEQVPASSPAEPSLSVFVEMAEVCPALSRSSSIDVANGSHDDHPPAPVAAMTAPEPELAQPKSGGYHIAYPDRSMVISRYKEKRKNRIFGKQIRYESRKARADSRPRIKGRFAKSSDI >cds.KYUSt_contig_554.55 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:524038:524882:-1 gene:KYUSg_contig_554.55 transcript:KYUSt_contig_554.55 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQVCSSLDYRTVILVHISENAKKGHIQHDGEGDVVGLQDRDALFLVHISEHAKKGHIQNTRWIVDCIMSAFAEAYTSARNISGLTGVIDDTARQLKDLLLRKSELCSDPSLRYLFLLNNSNFVEQLEETRLPPHYWKLTPECEKYMYTYLDVSWGNVLFCIPRSHFPARLPRCRWISTYKLAKFELEFNKTYRAQKFWKVPDPQLRDVLRRAIADRVVSGHRDYLKEHPELAEDVYRRNSTPED >cds.KYUSt_contig_1181.1009 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:6676962:6677594:1 gene:KYUSg_contig_1181.1009 transcript:KYUSt_contig_1181.1009 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDGSGKWSSTNEVKLETAVILPDPHIPLGPSPARRIVRDGVDLPGAAARLGLPLKEEIHLCPRHVLQRRRRRTCSVEDGERHERKWWCWGSRGVGAALRMGIEGVAATCAEEKKDLKDRSMKTSSPVDLDPAFVDGPIGDGERGRKRGRRRGPAALWRHLRSDRVRLRAVKMGLVGLGPVSCARRVNDEVKDDQNFDVWLGGQNKEPL >cds.KYUSt_chr3.14421 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87592747:87598028:1 gene:KYUSg_chr3.14421 transcript:KYUSt_chr3.14421 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRRAVESYWRSRMVDGVTAEDDKVAPVYKLEEICELLRASDAGIVRELADFVLKRLDNKSPIVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGLPDPLKGDALNKAVRETANEAIAAIFSTEDPKAVVVTEGLGKRIQGFGNTNYEPSRDDKKSFLSELSEVVGIGGASIKQGLSNFAATHSITNENGSMYKSPIRRSLTTETDRYGRYDPSEIQGESRATSGASKNASSGSWGPSPSSSVSAGDTGSSQSGVKTREERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSRALENKLNSPLWQVRMKAICVLEAVVRKQDTDPYSIVASYFSENSASVVRCCELPQVSLREKASKVLNLLVGEQPTGNKHLSESKTTLPTVQMPDLIDTGDQDDLGTPSSAQDISEQIMGNSTHVSSVDDLLGGEPIGNISATSNGNGSDPFADVSFHEEEIKETNDLFSGMTVEEKSSAFVHDNSVMDKNAMPDIFGSSPEPLFQESVDDKGTVDDLMAGLNLNGTAQAQPGVKAETHSNLSGSQFFDMNSQTSHVASAAALNGILGQSSFYQQVPLQYNMSPQMFGQSFPGQQLNYGAMEALLAQQQQLLQNMGNFNAGLGHLSFNSMNGANAAGMPDIFNSSNQPQHHVAVMSNSKKDETKAFDFVSDHLAAARGSKK >cds.KYUSt_chr2.52996 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330674310:330679933:-1 gene:KYUSg_chr2.52996 transcript:KYUSt_chr2.52996 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPLLSSVPSRRAKLLIPLVVWMALQLLAAAVTAAAPVALPGCPEACGSVTVPYPFGFRQGCFHPGFNLTCDETHHPPKLFLGDGVEVDEISLAGGTVPVQSRISTFSGSTYRNLTAQTADRGSPVKPSINSSGLWSGGLTSTGMQLAVSTEHNVFAAVGCNFIAYLAANPGGSEYVSACAALCDNSFPAPEDTSCSGVGCCRTSIAQGLPAYGVKFKDLDQTDDSPYTRNVSGGAFIVDREWFNGVNVGALQNNTFDQFGSYVYGSRGHQKHNTTSPNVITSVPTVLDWWLDLQRNRDLLVLNPGSGSALRCISLNSFAAPLVADDSKVRCNCSNGYQGNPYVINGCQDIDECLQPDVYQCLHGTCINLPGTYRCSAKKSIISLPGLITVIAISACLGLLFSILGVSKITNKLKQRRAKKLRQKFFKKNHGLLLQQLISSNKDVAERTRIFSLEELDQATNKFDHNRILGGGGHGMVYKGILSDQHVVAIKKAKIAVQREIDQFINEVVILSQTNHRNVVKLFGCCLETEVPLLVYEFISNGTLSFYLHGQSENPLSWKDRLRIALETARAIAYLHSAASISVYHRDIKCANILLTDTLAAKVSDFGASRSIAIDETGILTAVQGTYGYLDPEYYYTSRLTEKSDVYSFGVILAELLTRLTPVFSSHSSEVTSLASHFVSLIRENRLSDILDTQIVDEGGADDAEAVARLTEACLSLKGEERPTMRQVETTLEDVLNSKVNLSSQITRVNQNASNDHSYKGTNAGEGTRLYSLEKEFIQSSEIPR >cds.KYUSt_chr4.40399 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249338708:249339562:-1 gene:KYUSg_chr4.40399 transcript:KYUSt_chr4.40399 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVVLCLMVALAVASCAFAGRVLDEHPAVKPPVQAPLPVDPLQVPTEPSADPVVVPVPGPAAALPLPSNAAGAAGVAPTMAEGVTPNGGVAEGDHPPLTFFMHDILGGGSRPSALMVTGVVASAADLANDNGVFGSTSANLVADNSNNNSPSVNAGGMPATAAPQNALFGTTTIIDEDLTESHELGAAVVGSAQGFHVATSKDGTSKTVVLTAMFGGGEVHGDTLSFVGVHRMAAPASHVTIIGGTGKYQNAKGFAAIQTMQTDDQPTADGAKSLLQFYVHLS >cds.KYUSt_chr3.11347 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67594788:67597261:1 gene:KYUSg_chr3.11347 transcript:KYUSt_chr3.11347 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRVFRRRPDASTGRLLPSWLLGPSPKSQVGDAAAGLAVGRRAYASQLQVPSLLPVRHHGSTPTSTKQPNSLERTEVREAMEYVQDVLAKLQDIDKSVARMKKNLWIPIGLLALSMYPFFRMLYLGMLEVAILEVRVEELEKLEELGNALG >cds.KYUSt_chr1.24582 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146976160:146979570:1 gene:KYUSg_chr1.24582 transcript:KYUSt_chr1.24582 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAEARSTDLLPYWQIDLLCKIVPRQIKAQNAVNIIRNTVEELITKCRAIVDAENEQIEGDEYVNEADPSILRFLLASREEVSSLQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRKAQDEVDRVLQGRLPRYEDVKELKYLMRCINESMRLYPHPPVLIRRALVDDVLPGGYKVKPGQDIMISVYNIHRSPEVWDRADEFVPERFGLEGPIPNESNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIVVQKMDVQLVADQKINMTTGATIHTTNGLYMNVSLRKVQQESDLALR >cds.KYUSt_chr5.16191 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104254495:104255175:-1 gene:KYUSg_chr5.16191 transcript:KYUSt_chr5.16191 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPPLLPVSVLPAATTTTTTIVIPVPDTTSSAADQRAYLARLLDSAKRSLSGARPWAELLDRAALSRPDTLADAAARARKNLAYFRVNYSLLVALSLAASLLAHPFALAALAALLAAWCVLYLLRPADAAPLAAFGRTFSDRETLGGLLAASAFVVFLTSVGTIVFSAVAVGAALACAHGAFRVPEEQLFLDEDVQVAGGGGTSFDLLSFFTNAAGGGGGGRG >cds.KYUSt_contig_2175.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000268.1:54772:59134:1 gene:KYUSg_contig_2175.5 transcript:KYUSt_contig_2175.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPQLTPGAVKEIWDLPDGPGNIKPVLQVADLRPVTTKSAAAAAAAAAKQSERFRLLLSDGVHSQQSMLSTDHNHLVRDGSLRVGSIVHLQDITCNTIQKRRIIIVVKLDVLRSECDMIGTPKIYEKKIPEGQGPNLPANSAQANSGIYSGAPGMLGNAGSERVEQVANNLSYGAPYNGVHGPVNPSIGQTVEPVPNNVLSDGSYGTLSAQNTMNVNAVQPNAQRPILNSHQNQRFAVPGTGGSSGPSGNIYGRSAQSSYQQPAPAYRNSGPVARNEAAPRAIPISALNVYQNTWTIKARVTAKSHVKHFTNAKGPGKLFSFDLLDAHGGEIRAVCFSSIARYDQFYDLIEVDKVYLISRGALRPAKKQFNNLNNDYEINLDNTSSIEVCSGDDSSIPRQQFNFREISEIANMDKGSMVDLLGVVTSVSPSSTFMRKNGVETQKRVLQLKDMSRCSVEITMWGDFCNAEGQQLQSLCDSGLNPVLALRSGLVGDFNGKSVGTISSTLLKVNPDFSDAERLRQWYITEGENTACTSLSVGGMSSMGRTDARTTVRQIKDDNLGRSEKPDWITVMGTISNIITDTFCYPACTAEVNGTRCNKKVTNNGDGMWQGEKCDHSSPNCEYRYMLQCQIQDHTGTTTFATAFQDAGHDIIGLTAQDLFSMQHEDGEKFAGIIRQTRFELYIFKLKVKEETFSDEARVKATIVKAQKLDDTAKESRFLVGAIDNLLVDDGSGSVPGVNGAAAINTGFTSNSTYATNMGGPNQFGQQASLSARMASTPSATRFAQACAVCGSNEHSVQNCPAVAMDMQQPTASGITPNSYGGSSAGNANARSDLCYKCSQPGHYSRDCPGQQATSYAASAGNAGARSDLCYKCSQPGHYSRDCPGQQAASYGSSAGNANARSDLCYKCSQPGHFARDCPAQAGAPQRQGYGNGAASGGYSRQSYVGSS >cds.KYUSt_chr6.27945 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177262701:177264155:1 gene:KYUSg_chr6.27945 transcript:KYUSt_chr6.27945 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPLFTVTFDLTSSSRDDYGAFIAGIRARLGNPRHVSHGRPVLPPVEPGIPPRRWFHVVLKTPASALTLATRADNLDLAGFQSSDGTWWELSDGRKRGAMVCVTRGSRGLIPGSSSLGFGGTYRDLVGGAREAIEFAVGRQQMTEAVDALAAHTAEADIAPYGAERQALVVLRYMVHEATRFLDVSAAIAGLMRSPDVGTTKSIKLTLKGSPDDLGWEWSSSLLLSADSLSGRSRGDYGRTYPAAWERGDCLARAVARVGILLFVATEYGIKAKRVLRLFDGDLCRRAGTDGGAATVQAKEDVRFLVSGVSVAAHRYILAGKSVVFLRQFFYGIRDKSPCVEVKDMDAAVFKAMIHFIYTDKVPEFDQEQPGMEAVAVFAHHLLGAAHRYEVDGLKLICKRKLQSGAIYVGMAATTLALAEQHNYRRLKAMCIDFIVSTRENLHAVLATEGYKHLEASYPSVLTQLLKSVRVTARVSWEIQT >cds.KYUSt_chr5.43334 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273118970:273119510:1 gene:KYUSg_chr5.43334 transcript:KYUSt_chr5.43334 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQHGHETNKVEEYGQPVAGHGGVTGGPTGTHGAAAAAGTGGGQQLQPMKDDHKTDGVLRRSGSSSSSSSEDDGEGGRRKKGMKEKIKEKLPGGGHKDTAEQQQTVATTGAHGSGTGAEATGEKKGIMEKIKEKLPGGQH >cds.KYUSt_chr5.2496 pep primary_assembly:MPB_Lper_Kyuss_1697:5:16748911:16750791:-1 gene:KYUSg_chr5.2496 transcript:KYUSt_chr5.2496 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCLLGIAALALVIVFQYSVEFSDSRPASCTMLDVAVAIVGMLALFLSGWFLSICAVLVIEWYRGNPEEQSMAPMFLGRNWCIRRAYCAGVDLRWSEQTTGSTRYGISVNKASFAHPLIWDALLLLLFLLAYRGDWSMAPMFLGRNWCIRRVYCAGVDLRWSEQTTGSTRYGISVNKASFAHPLIWDALLLLLFLLSYRGGEERRRSDGGFASPGWWGLVPALGSGAGWFLSELPSELPW >cds.KYUSt_chr1.35392 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215818309:215818818:-1 gene:KYUSg_chr1.35392 transcript:KYUSt_chr1.35392 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTYEDWENELAIDALVIVYNHLYLAGKSIRREPKPQIEPEIESVAWNSFEREIKQRVDEPEVKPGRAALSGSRLEMCDELFRRRCDLHLVCRCILSYARRIVETGCLDWKMGLEIATRALFRHNTDLQTEYVDWEAEEMDWEREDEDEERMIQRSNLVICNPIFMNW >cds.KYUSt_chr1.34972 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213087106:213087643:1 gene:KYUSg_chr1.34972 transcript:KYUSt_chr1.34972 gene_biotype:protein_coding transcript_biotype:protein_coding MANTVVIEIHQQRLASSYGAHCLDLSAVDERSNTLAAFVQSNSMTIFTCPCPDSILMPIRAALASASESKLILMIPANGIHTTWRHQEWPFSFDIVFGHSPKLLPIDIGAMRAKGSAGF >cds.KYUSt_chr2.409 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2497333:2498225:1 gene:KYUSg_chr2.409 transcript:KYUSt_chr2.409 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNTVIMTFTNGAMSSPGSLLDLFLESFRVGDKTEPLLKHLVVINTDNKALEQCKLVHPLCYRLDIGGGINFTAEKWFMSRDYLEMMWARNRFQSRVLEMGYGFVFTDVDIVWFRNPLLQVPVAADIAFSCERYNDQADPYDLRKDANGGFLYAKPNMRTIRFFKSWYEARAVYPGRNEQYVFGGVKRELSVRHGITLLFIDTAYFGSNCQPKKDFQRLCTFHANCLKGMSNKVNLLRGIMGEWKHFRNNTSVSH >cds.KYUSt_chr3.38148 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240118465:240120225:1 gene:KYUSg_chr3.38148 transcript:KYUSt_chr3.38148 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRRNRKRLLTCVGAASVGALLFFGAHSSSIGLGGGTWPQHQDQLFRSPGPPPPETLMSMPRQTSQADLSFARRLLPNRHHSPPQLREDAVLLPDREVLVLSADPAVGNTMCVFQGGASSPARALGRLPGPGPGRHAYLCPLPGSEQPLQPPPLLLSSSSYSSSAAPPATAPAPAPAADFHKLLNWNDSLVFDSAPLPGGDLLLFAKGTNSRQGVIKTATSNIQCIYSRDSDGTVASSPATTSAQQVIRCPPPPAPLSSSNLHVTVALNGQEPLPSLATYHPQNTGLPVTRERKTICACTMVRNVAKFLPEWVRYHAAVGVEKFFLYDNASEDDLAGQVSSLNSAGIDVSTVAWPWTKTQEAGLSHCAATNQPSCEWMAFMDVDEFIFSPNWNEVEKPSKSLLDSVVSVDPEVGQIFLPCYDFGPSGQTAHPQEGVCQGYTCRLMRPERHKSLVRLGAVADSLANSVHHFTLKPGFRKMWTSLARINHYKYQAWTEFKSKFKRRVSAFVADWTDPVNLQSHDRAPGLGVDPVEPVGWADSFCEFKDNTMQKLSEKWFGIGSGGRGAITEFNSNGDIAPSPSLT >cds.KYUSt_chr1.23349 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138655309:138655602:-1 gene:KYUSg_chr1.23349 transcript:KYUSt_chr1.23349 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPGLPDLGHAVDIPIKYTHNTHAGAKREDGGSGRRRDIGEHLANDLDDRRGRRRQGCGLVHVIKEDDVVYRGDGSDRRHQWRELLRGRLKSLHRQ >cds.KYUSt_chr3.37713 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237294308:237295345:-1 gene:KYUSg_chr3.37713 transcript:KYUSt_chr3.37713 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDQMDCLPDALLLLILNKLEDVRSLGRCSAVSKRLNGLVPLVHDVYVKIDRVVAVDGDADDALNLASPKPRHIFSHFLKLMLFIIVKPFHHMRNPASTGRPLFPHLATHSPVQVLRSFSHVRNLRVELPAGDVGTEEGVLLKWRAKYGSTLQSCVILGGTLVGRKPTGTAGHEPLPLADDSGSMPESFYTNGGLKLRVVWTISSLIAASTRHFLLRSIINDHPTLRSLVLADADGQGVLSMGMEQLEEFRENQLSASACSNRTQVPACSMKLKYAPYLELPGGLALQGATLVVIKPSADVTGGCHVSRKETEAFVSGAFDGPFRFAAKALMKRRTYLLEMNGF >cds.KYUSt_contig_815.292 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1735347:1736768:1 gene:KYUSg_contig_815.292 transcript:KYUSt_contig_815.292 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLLAVVLLGFFASIRRRSYSASRRAPAPPAPPAVHRVRDPDVAHRALVEDADGFSTRPVMPFFVNLAKMRGGRRNENISTVPHGPHWRALRCNITAETLHPSRLGLGYLEPLQREAIQDLVAALQPAGAVHAAGEQLIRDHIRSAVFRVIARLCFGDSVDECHVRDICCQVHGMQVAIGEIKPSPRSSMLAKLAHWRRQRRLLAFQGRVNDLCLPLIGARRRRPREDGGLRPYIDTLIDLRVPEGDTEHDGRRALRDDEMVDLVLEFFGAGTGSVTVCLEWTLAHLVAQPDVQEKLRREVDGDAPSRSQLMRGMPYLHAVILESLRMHPPTPMALRHVQADAAAGVLVGAPANTDLIVLFMLGDMGRDSKKWKDPNEFRPERFLPGGEAESVGPLPRRKETSRMMPFGAGHRFCPGVGLAMLMLKCFLAALVREFHWAAPTDAVIDLTELDGFLKTMKKPLSARLTRRT >cds.KYUSt_chr4.44912 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278004907:278007593:-1 gene:KYUSg_chr4.44912 transcript:KYUSt_chr4.44912 gene_biotype:protein_coding transcript_biotype:protein_coding MASITLLSLAPGAAFLHLPASTTSSSSHFAAIPRSLTGRRALPLRARAPRRVTVVCTAAAAAEAAPVEKFALDNLSPQKGSRRKPKRKGRGISAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRLPKLRGIAGGMHIGLPKYVPFNLRDIAQGGFKDGEEISLESLKSKGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSSSAKEKLEAAGCTLTVLPKRKKWLPAAYVKNQARAEEYFKKKNGGAGESDATST >cds.KYUSt_chr5.14259 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92527848:92529350:-1 gene:KYUSg_chr5.14259 transcript:KYUSt_chr5.14259 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGPSGSRRWFAMVKEDEAEDLLSTLLLRRGPGRHAQPCARGIPGGGSAVERPQPARRMALTISRPEAPRVEFAGARRGLGGRRGPQPKRQRHRAPLLSFSVPAGLAGLCFNCAEPGHVAGCCTGPRRCLNCKSEEHVARQCPVPPPPVAGEVAVGAPPPPRAAPPPPPPPRVEPSTSAAVEHAPEAPPAAPYQLPAHLRLGGRDPAPDARPSIKDHLGGLELPLVVLLMPGNRTWRAKRDILLAADPFDGRYFSLCFGVWNRQRQATRHTMRYRVHLEVVGVPAVAWNLTTARMILGSSAWVERLGTETANKEDMGSFRITAWTDDPKLIPKSKEIWVDEPYALAMRMTTSSSWLRP >cds.KYUSt_chr2.16895 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106399412:106404050:-1 gene:KYUSg_chr2.16895 transcript:KYUSt_chr2.16895 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKLVTRVAQELQLQLDGETLAVSAIDAERHRAFFVSSANFLYSVHLLASTQQPLQWGKTSLDSDVEEVALEPGDFIVSMDYLMEKESLLLGSADGCLLLYNVEERTTEVVGRVEGGVKTVASSPDGALLSVTTGFGQLLVMTHDWEVLFETSIDPQGTAPCEIDDSGGQIQSSVSWRGDGKYFATLGGLDGSPKKLTVWERESGKVHSSSDIKNFMGQSLDWMPSGAKVATAHERKTEGKCPLIVFYETNGLERSCFSIDEPAEVVIQTLKWNCNSELLAALVSCGQYDVIKIWSCSNNHWYLKHELRYTKKEGVNFSWDPTKPLHLICWTLGGEVITHRFTWTTAVSETSVALVIDGSRILVTPLSLGLMPPPMSLFYLAFPCAVNEVSFVPKISKNHLIAYLSNGSLCAVELPVADTWEEFEGSGISVDPCFSDFSLNNCMHLTLIDTRTLIGICRYRDYCSSTPISSSEASNLEEKHDSLFFVNEIKLVCSEDSLPGSVSSSGWQARVSKRVPLEGPVIGVSRNPAKGGSAFIQLSGGKIVEYCSDVNMSRMTALIHGGEVSPDFDFPASCPLMNAVLCHENGLVRTLLFGLDDSSKLHLGKRLLSNNCSSFTFYSSAYGATEQVATHLLVTTKQDLLFIVDVNEILLKNGQVTVDSHVNSHPRAKQSKEHITVWEKGAKLVGVIHGDEAAVIVQTTRGNLECMYPRKLVLVSIVQALVQRRFKDAMDMVRRHRIDFNMMVDYCGWKAFIKSAADLVQEVNNLSHITEFVCSIKNENVSSKLYETYIPFPDQCTTSVDNENSYGVFSENKVTSVLMAIRKALEEQTEESSARELCILTTLARSEPPLLEEALNRIKVIRELELLGVDDARRKLYPSAEESLKHLLWLTEPEAVFNAALGLYDLNLAAIVALNSQKDPKEFLPFLKSLECLPPAIMRYTVDLKLARYESALRNIVSAGNEYHEDCMGLLKSNPQLFPLGLQLFSDPDKRHQILEAWGDHLSEEKCFGEAAITYQCCLSYQKSLKAYHACGDWRGVFTVAGLLKFKKEEILQLAQELCDEFQALGKSGDAAKIALDYCSDVDRGVGCYISAREWEEALRVAYMHGRQDLVETVRDAALECAALLISEYQEGLLKVGKYLARYVAVRQRRLSLAAKLKSEDRFMDVEDDNISEVSSSFSEMSAYTTRSTKESSASVVSSSVSKSRGSRRQKKAGKIRAGSPGEEMALVDHLKGMSLATGAQKELRSLLVVLTQLGKEDTARQVQLAGDSFEVSQMAAVKLAEDTISTDKIDENAHTLEHYTKMLRAHQPTTGETSSWRIKALSPP >cds.KYUSt_chr4.24881 pep primary_assembly:MPB_Lper_Kyuss_1697:4:156569111:156569425:-1 gene:KYUSg_chr4.24881 transcript:KYUSt_chr4.24881 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVTKLVSERAVVVFTSSKCSMCDSVTFLLSNLGVHAAVYELDKEQLGRELERELARRLGRGSPVVPAVFIGGSLVGGINKVMALHLAGELVPMLMNAGALWL >cds.KYUSt_chr1.27072 pep primary_assembly:MPB_Lper_Kyuss_1697:1:163244422:163249493:-1 gene:KYUSg_chr1.27072 transcript:KYUSt_chr1.27072 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVLGKLGGLLAQEYTLIRGVNRDLQYINDELSTMQSFLRVVGGSHGHDDRMKDWMKQIRDITYDIEDCIDDSGHRLHGLRTDMCCYFLVNSVYEVLTWWPRRDLSTKISTLKMRAQQIGERRERYGVNNPDISGSGGGPSTATGFDAADNQDSNLQLVAAKDPVGVEEYMGELEKWVTNENNSSGVLYIVGFGGVGKTTIANALYRKFMDQFDHSAVVTVSQSSDINTVVTNIKNQVMPQSNSHKQQGDDGIPSRIDLEEHFGENSYLVLVDDVWSATTWDQIKKVLPPSRKRSRIIVTTRFQAVATTRRGEGGDHTHKVIPLGVKESEKLFKQAFFESEGRQDIITRSQAVFTTSKRVEDSYLIKLSLKTKGHEDVMVPEEVWKMCGGLPLAIVIMAGYAACNPRKTRDDWLKICSSLFPESGKDHWKDGEKDLTQEEVGRIISYCYNDMPAEIKTCSLYLSIFPKGHKISRKRLTRRWIAEGFVVEKQGLSVEDVAETYFNHLIRRKIIRPVEHNSNLKVKKCIVHDMVLEHIVVKASEENFITVVGGNWLMPPPSSKVRRLSLQGSDYKHAKDTEKMNLSHVRSLTMFGSLNQLPSHSFKIGIVQVLDLEGCTGFRKHHTKQICKMLLLKYLSLRRTDTKQLPKEIGKLKNLETLDIRETNVVELPKTVCHLEQLVNILGGDKRTRRALKLPEELSKRKKMKALRILSGIEILGGSGDFHHLTELRKLVIYKLKTIGDNVSFQDLSSSIEYLGGYSLHTLVIDDESSGFIESLHYLTAPPKFLAALELSGKLVMLPSWIEKLGALNKLTLSVTALRTDNLENLSKLEALISLTFSFRAKERDPQTLSILAGNKLYSKGEIKVPDRGFKSLKLLRFSAPLLPLLSFPKYAMPQLEKLEVRFSMLEGLFGVENLAKLKTVHLTSDNKAGEDMTREIQRELESKPWPTVRADVATSRRTAVRARVSTVTCKHGAVAARFVAFDGCWTGRRFLGCAGHDGEPACDFLLWVDGEWPPALRKSLAKLWDLYGQEKQGRVNDALDNMEKRFKLKDEIEKMHIDLRNSQEEMKKIVEEKQVILALKAQAEQGLIDARAELEQKKALDASSSNMHKCMRIKAEKERDQLKEEKRKLEYIIGDLFKLKESTRAKLKKIKEMCEE >cds.KYUSt_chr4.52480 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325475991:325477792:-1 gene:KYUSg_chr4.52480 transcript:KYUSt_chr4.52480 gene_biotype:protein_coding transcript_biotype:protein_coding MDALYIRRCVELARKAAGHTSPNPMVGCVLVRDGQVVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALIKARVKEVVVGMTDPNPIVASKGIEKLRNAGINVRVGVEEALCLRLNEAYIHRMLTGKAFATLRTTLSMNGIVINQIGNGADQPGGYYSQLVKEYDGVIVSSDMAKTTSLPVSREAGAKQPLYIIIAQGESSTLQIPFLDDESASNAIVLADSPVTVEPAGVSVSVLDQMNLESILQLLADRGLCSVLVDFRDIGGSLASLLNKFHADKLVQKVVVEHSPVWMVSPGPSDLAFGGSHSFRLKNVERKEVNGTLLLEGYL >cds.KYUSt_chr3.37913 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238561288:238567024:1 gene:KYUSg_chr3.37913 transcript:KYUSt_chr3.37913 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAEETGGGGGHTTGRKLVPWSSWAEWRFVRDAIFSPYPDPDAALRRIAAWRSRGCLPIPVEVTAALFEIRLRDPFFLNGAAWNGKLESDEMLALLHSMAIIRLVNGFLGQPQKKTGRSIAELAETVGIPRILVDIRHESSHQDLPSLRLLHLAAIKAFDWVKCNYWDSQTKAIPDARLELSSVLHDITKILKDKDTENAKPGSKRKQKEPRVLLDILKSVIAMIETRDVLECKGELYACLPAESPTTKNLCSWVLWIVTSIKELKDSGRIGLVHEIGVLSSDKNSVPRFCLAKLLQKFLNLSVIGERCIADAALLLIEMAGSNNMKEKLRKLPTLSLQKSPKDRALIESRIMANGQESVESASEKLEMFKLQLRKPDYACLAEDGTEGTLNTSMPVKRNRWSIAKSWTPCPLGMIPCSYSSTAVLPSLDVVDHELKDVTAEHGISEHDGQTEMFDSYLQPEKQLYSEGVQEISIPQPEDEICDMPEVTSLRGALLVGGVWKKVTEEELLSIKSSMKILL >cds.KYUSt_chr7.40626 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252159155:252162548:1 gene:KYUSg_chr7.40626 transcript:KYUSt_chr7.40626 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSGKYSSRDLAAHTSLKPRKEGQQTQEEVQKRNLRDELEERERKHFSSKDKSYVDERDRRKSSGLLLEGSKRDEDKIVPREIDADDSDVEPRSDDESDDDDDDDDTEALMAELERIKKERAEDKLRKDRQQAEEEAKLKEAELMRGNPLINMNNAGSFNVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLHRLEG >cds.KYUSt_chr7.7041 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42377887:42381556:1 gene:KYUSg_chr7.7041 transcript:KYUSt_chr7.7041 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLERHFDGDRNMEISLYVHFTNPFDAFERLGRVFYLRPVSRESYLGCVKCRSAAIQTKDIAFQPIPLKDVAGAIYPRKLGSSRPSFTPVASHSSKIGLPESMLLSCHHYPNLILKILPPEIISEMNVMYKGELRGFLDMISGNEGKESNSKFLVSPAVDQNSAPDRNAKLYGYGERRDSVHLGKQNDIKVTIDQEVQAASASCSRACELGDTNSVTRLDFMPNSLSQADFTVLQELPEDVKVDLFNVLPLPRSKDPTCSTSNVTQSKSPNGEGTDDPTCHLPGSSINWVEQLKVSSSVILNAIAEQHTYSIFTYEFFPELHNSLLPLLQESSWPQQG >cds.KYUSt_chr1.21990 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129985351:129986208:1 gene:KYUSg_chr1.21990 transcript:KYUSt_chr1.21990 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQNLEVCKLVEKISRLHAAISKLPSLSPSPEVDALFTELVATCVPSSPVDVTKLSPEAQEMREELIRLCSAAEGHLEAHYSDILTAFDSPLDHLDRFPYYENYVNLSKLEHGFLARHAAAPARVAFIGSGPLPFSSLFLATNHLRGTRFDNYDRCAASNDRARRLVAAAEEGVRARMAFHTADVADLTAEELGSYDVVFLAALVGMASEEKAEAIAHLGKRMADGAALVVRSAHGARAFLYPVVDLDDIRRGGFEVLAVYHPTGDEVFNSFIVARKVEISGTK >cds.KYUSt_chr1.28892 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174807068:174819341:1 gene:KYUSg_chr1.28892 transcript:KYUSt_chr1.28892 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKQQRQQKPQRPRRKAQKRRLDDEAAASAAAAAAAAAAAAAAASAASSPLGSADADDDNEDDDEGSAGPEICCRHSQAAVAREVRTQVDALQHCFSWSHADRATGKRATTVLAELAKNEEVVNVIVEGGAVPVLVCHLKVPPTEAAAGEEQQPRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPLLVSLLRRHKNATNTRAVNSLIRRAADAITNLAHENSNIKTCIRIEGGIPPLVELLESQDIKVQRAAAGALRTLAFKNDENKTLIVDCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASAESECKVHIVQRGAVRPLIEMLQSADFQLREMAAFALGRLAQDTHNQAGIAYNGGLLPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFVKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLVYLMRVGEKSVQRRVALALAHLCAPEDQRTIFIDNNGLDLLLDLLVSVSPKHQQDGSVALFKLANKTAALSPMDAAPPSPRSQVYLGEQYVNSSTLSDVMFLVEGKRFYAHRITLLASSDAFRAMFDGGYREKDARDIEIPNIRWDVFELMMRFIYTGSVLVTSDLAQDLLRAADQYLLEGLKRLCEYTIAQDVNLENVSDITVLFHVKFSGYTLAQYLCLPMESSTTDASDKHLESYLTLGLTVSQSKKRDCKVPKVLSLLATFFNNAFYARVGGISTIEMNRLELDLLFNLDFRLKVNLETFGSYCLQLEKHAMASPERLPVQLLCVNGSKDLSYGSSADEFCQSKLVRQSYSSQALQGCS >cds.KYUSt_chr5.13726 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89225411:89229433:-1 gene:KYUSg_chr5.13726 transcript:KYUSt_chr5.13726 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSYPQWPFSSGLSLRRKYAEPSPPVQPPAASRRKITPPSPSLPPVQPPQASGHRIPNLGPPSPSLAPPVQPPQASGRRIPNLGPPSPSLAPPVQPPQAPHRKLPVPRSTGAGPHRRPFAAPSPSPSHSLDQGKERRDKVLTSSPAQAPQAPLRRTVTIPLSTTASTFPSLESLPPGQIHNISVLRPATTSGEGHRGVGASHSEKNKEEPDYETEEDDYYSEEDDHYSEEDDHYSEEDDYFSADDDDWNTWTILRGPGLSGDQSFDKCCIFGNEVQLTCKQRDQLCSIVEELPMPEMKHYVCTLMKNNVIPGEGKLDVAVVVNSSTSSLNKYCRMRYDGSRKAKISVGWTKTVEAFKLKEGDVCKITFKDEREIPYTRRDQFAWLRMAVPCKFKEQVSKLASSENWMVAKCPMRVFDMYLEKDGTTTTICGPYWRSLVRLYDIQLGDVVSFTYMEEENRFHLNVYQIVNTDKVEKPYVREQVVYDVIPRIRMQLHKTIFTNIRAITEEHMAAITFGLTKVVLAAPNQELDENEFYESTKELQFFAHIYNEENVQFDALYLNPKPFNHVGSPVNGFAHLWKRDLEEPVVAWYHIEGDDHIAITIGWDNFREQSHIVEGTLLLMIATPRAEAIVLDFVEIINP >cds.KYUSt_chr3.44129 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278595663:278596125:1 gene:KYUSg_chr3.44129 transcript:KYUSt_chr3.44129 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLQSPDADHLGLLAHPTPSAPPPPPHRPRWPQRLRHRTVPDHHSSASSPPLRASRQPDVNSISFLDVEDVQRGGVGEELRSAGAHGGRFWEGRPVAVAFVVCFRRTVGSGSAAV >cds.KYUSt_chr4.1742 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9303555:9304133:-1 gene:KYUSg_chr4.1742 transcript:KYUSt_chr4.1742 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQFPTKPSAAIAGAKRPAFLPTAGSPPPRKLARPASAPCLPALAPKKPAPRPPALPAARPRPPPPAPASRHPKKPAPAPADAEAAPCRAAESVHPSRRLACGTAVTVRTRLLLDHHRCCLLLWLPARVVSSSDAYHCTVKYAAHLNPIYAGKIVRVPAADVRQAPSSQRPSSAKPEVHVKSRPQPTAVTK >cds.KYUSt_contig_988.86 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:558121:561252:1 gene:KYUSg_contig_988.86 transcript:KYUSt_contig_988.86 gene_biotype:protein_coding transcript_biotype:protein_coding MITQCPIPPNKNFTYRFNVIGQEGTLWWHAHIGFLRATIHGALIIRPRLGPNSYPFPKPDQEITVVLGEWFDMDLFELYEKTENRIYGDIPLSPTINGMLGDFNNCSGVTEDSYKLDVEQGKTYLLRIVNAGVDKAYNLKIAGHKFTVVAADANYVKPYTTDIIAIASGETFDALVVADAPPGRYYMVAQAVQTTEPITQKQVLMSRGIVSYNPMKWLGDDTLEIMAPELPDWHDESPSFYFHGNLTSLLPQTVPSNIDEHMSMALRSGYNCLYGGAPHCTVTMINNISFQHPSTMSLLQAHYYHTMRNISSLLEFPIGPPMLDFNQTRTTTATLVKKLRYNSTVEIVLQGPPGQMSYSNPMHLHGHDFFILAQGLGYYDPEKDVQKYNLVNPPVKNTAHVPMNGWTVIRFVTSNPGMCNYTFLIDICVKRSVF >cds.KYUSt_chr7.27243 pep primary_assembly:MPB_Lper_Kyuss_1697:7:170267557:170268501:-1 gene:KYUSg_chr7.27243 transcript:KYUSt_chr7.27243 gene_biotype:protein_coding transcript_biotype:protein_coding MPPERIIDAKTTPSPNPKRSGSEISLAALIGATQLCNPRNIETSHPHHLRSATDKLQRAFPMVEAQRAGPGRQDAPRPDLPGETFRSRRNSARTRSQPPTTNHGREEQASSDCWYREQRKAKRWSVPSVKAWKIIPMKL >cds.KYUSt_chr4.50971 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315985025:315987868:-1 gene:KYUSg_chr4.50971 transcript:KYUSt_chr4.50971 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGCRDESPAPPVTGKSPSSSSSWPNKEMSAGSSSGTSGAGTSGGISGSMSGVSSGSEDYRQWPSTGAEVGGRVLDAPKLRVFTLAELRAVTRGFKPEMVLGEGGFGRVYKGWADERSLNPAKSSAGVVVAVKKLNHESVQGLQEWQSEVDFLGRLQHPNLVKLLGYCGEDRELLLVYEFMPKGSLENHLFRRGAAFEPLTWETRLKIAIGAARGLAFLHSPETQIIYRDFKASNILLDADFGPKLSDFGLAKSGPAAGRSHVTTRIIGTYGYAAPEYVATGHLYVKSDVYGFGVVLLELLTGLRAHDSNRPSHQVNLVEWARPYIASGGRKLTGLMDQRLAGQYPSKAAANAARLAYNCLCGDPKSRPSMDEVLAKLEEIEARASAQGSRDALPPRPAPSARRSPYRGSSRP >cds.KYUSt_chr5.41671 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262920148:262921902:1 gene:KYUSg_chr5.41671 transcript:KYUSt_chr5.41671 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGDNPRSISEKKAALRESPKQSKNAAVNQQARAPPFPKDKAAETVGIKRPQPNGPLSATNHHVPGNPGANGHLVYVRRKVETDQSKGGATSGAESATSLSSKKPGICGPQEQSLKRQSSVPNTQSAPVSASPAASASIPALHSASLPANLSFGKQSPGKVSAQPSVAVTASPPQRNVVSTGMPQNFTAANTSSLPQCNIVSTTMPQNFTAANNSSLPQRNVVSTAMPQNFTAVNASSPPQRNGVSTAMPQNFTASNTAGLPQRSVVSTAMPQNFTAANTASPPRRNVVSTAMPQNFTAANTPSPPQRNVLSTAMPQNFTTASTASPPQRNVVSTAMPQNFTAANTASPTHRNVVSAAVPQNFTTVSMAHCNVAATSTASRDAVVTTTTRSPASLQRSSNQDWKERFIRLQEFLKNNEQSGQEEYIRMLHSLSSVGRSKHAIELEKRAVNLLIEEGKELQKMKSLNVLGKLPPADHPSVPTQPTFAMRLPFQPFPPRR >cds.KYUSt_chr2.30287 pep primary_assembly:MPB_Lper_Kyuss_1697:2:186380758:186383071:1 gene:KYUSg_chr2.30287 transcript:KYUSt_chr2.30287 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLQNESVDGSDEHDASRENLSAAAVPAGASLWALLLDHSIEIGVASSIGFVFDAGDSEWKKASDRERLRRNG >cds.KYUSt_chr1.8618 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52799487:52800346:1 gene:KYUSg_chr1.8618 transcript:KYUSt_chr1.8618 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLGVMGEQRKADDVLHEKSGELFIAGGRGDGDGPDESSGSRVALFSSTSSLMVEDDHDEIESSLVVADPTTRSSSLAEHQLDGLSPLLANLSLRSGLSKYYHGKSRSFAHLSPEISLKDVPKKTTTYSTRMKVRREQASAEPRASRSSTLCHIPGPHSNIIRKKAMPRGLLSRTSNRNHLHIEARKSA >cds.KYUSt_chr7.37666 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234971634:234975126:-1 gene:KYUSg_chr7.37666 transcript:KYUSt_chr7.37666 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMCAYREGRPFTHVAKKKKNGGDMESNDRGEHQSPSIKLRRGGSDVTSLVNGRWVISAAVTVFLFIAATLLAVSSSLSMNPISASFYTFVSATRASFAAPPTPPPGADVPRLAYLISGSKGDLDRLWRVLHALYHPRNLYVVHLDLESSVEDRLELVARVDNSTVFQRVGNVDVIRRSNMVTYRGPTMVANTLHACALLLHRSREWDWFINLSASDYPLMTQDDILHVFSTLPRNVSFMEHTSRLGWKANVRGRPLIVDPGLYMSTKQDIFTVTQRRELPTAFKLYTGSAWMALTRDFVEYLLLGWESNLPRTLLMYYTNFVSSPEFYFQTLLCNTPRFVPTVANHDLHHIQWGKPAGQHPTMLRLADKDRMVSSNAPFARKIGRDDPLLDAIDAELLLGRGKNATAGMFVPGGWCGQSGNCSAAAAAGADDWVLKPGPGAERLGRLMDRIVRSEAFVNDQCK >cds.KYUSt_chr3.43352 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273791545:273791955:1 gene:KYUSg_chr3.43352 transcript:KYUSt_chr3.43352 gene_biotype:protein_coding transcript_biotype:protein_coding MISQPATMAEATRPRSKLLNRGLPDEIVIWEILLRLPPESVLRCRAVCRAWRLATSTRDFLLAHHRRQPSLPIICGYGDRRNILVLDHRATDGQLQRVARLDNTFYPKASFDGLLILSKYDMNGALVFSVCNPVTR >cds.KYUSt_chr3.31709 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199277358:199279382:1 gene:KYUSg_chr3.31709 transcript:KYUSt_chr3.31709 gene_biotype:protein_coding transcript_biotype:protein_coding MGISVAVPSLLLLLLLPPAAAHFSFTYNFTAASASDSAPSGISFQGDAFFNKYIRLTRDERVGPLTSSAGRAYFSRPIALSDPITRRLASFASSFAFSISAPEPSAASGDGLAFFLSPFPSVLPNSSAGGLLGLFNSSTRDAIIPLVAIEFDTFRNDWDPSDDHVGINLGGIVSDATADWPTSMKDGRTAHARVAYDGDTKNLTVALSYGESPPTDVVLWFAVDLREHLPDAVAIGFSAATGEAAELHKVLYWDFTSSVDPEKGTIMLWVALGVCGFLVVLIGAGVVWFAKEWRKAGEPVLYGDIDIDDAMGYEELGDDEFVVESGPRRFRYAELASATKNFSEERKLGQGGFGAVYRGFLKELGLEVAIKRVSKGSTQGRKEYAAEVRIISQLRHRHLVRLVGWCHEHRGDFLLVYELMPNGSVDHHLYGKGVLLTWPTRYDIALGLASALLYLHEECRQCIVHRDIKPSNVMLDAAFSAKLGDFGLAKLVEHGSQPYTTVLAGTLGYLAPECLTTGKSSRESDVYSFGVVALEIACGRRPAELTEEPSKARLVPWVWDLYGKKALLEAADWRLKGEFDEKQMEHLMVVGLWCAHPDYTHRPSIRQALNVLKLEAPLPVLAPKMPVPTFFPLPDLATSVVSVEALSSSDYPGVNEYESSARSGPSSRDRLLEP >cds.KYUSt_contig_2431.47 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000336.1:298420:299821:1 gene:KYUSg_contig_2431.47 transcript:KYUSt_contig_2431.47 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHRTVLGVILLVLLVLNPNGVEARPAPADGHQKKLSSYSLFVFGDDFADNGNLPLTDPVTQMSRQWAYPYGSSYVDADGNPRPNTPSGRFSNYKIQSDFIATILGIEEAPPAHALTAEKTCDPSGMTFAHGGAGVLETTSAHKVPTLVKQVDTFKKMVKDGIISEKQLSRSVALVAISGNDYHANTGVIGLTTPNDINAYIAKVTKEIADNVDQLQKLGVTKVLVNNLHPVGCTPSQTRTSNYTACDVFGNLGASVHNNNLKQVMEAKKNVHVVDLYTGFSNIVDNVPGKGQELSKQFKRKLSPCCESFDSKGYCGQQGESSELLYTVCDKSSKFFYWDDMHPTHAGWEAVMKQLEKPLREFVDQD >cds.KYUSt_chr4.2835 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16126986:16130065:1 gene:KYUSg_chr4.2835 transcript:KYUSt_chr4.2835 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLAPTAMNIKVASATPPSAASLAHCPKTCGDVSIWYPFGVGPGCFRQGFELICDTATQPHKLFLGNTTTQVTSLFPSGTVLASVMYTIPPMALGTGTYNLSWESPGRNLNIVTYNYLAFLGCGVGVYLFHPDTGGLVGHCTVKCASVASMTVATEGGICNGMGCCTVTFPVLFRRFKVTIIKNNETVPQPFANITIKAFLTFRSYKFSIADLLSDKINASTVGASSAYLSTVITDKPNCARALSDDNTQYACGSSDCVDVPNGGYSCTCSGSSDDGNPYLPDDCKQEYNPTPKLNCSRSCGSMNIPFPFGIEPGCYATRRFQLNCASNRTLIGRPPAKYEVTNISLDDGLLYVNKLSEFEDANTKYLSVYYGGSVYFSQQLVYGLDKSDLSEEFAVWRWSATNLTCENAKSGSAYACRSTHSECLGVTNGKLYIGYRCKCSLGFEGNPYVQSGCIDIDECLIPNNCNGICYNLKGSFRCCPHDTYFDLIGKQCTPNKRQNLILGVATGIGSGFGVLAIALSTIVVVRRWKRDTQKKIRRAFFRKNKGLLLEQLILSTSESVTHTTRIFSLEELEKATNNFDLTRILGHGGHGTVYKGILSDQRVVAIKKSKIVEQSEIDQFVNEVSILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHDLLHGDPGAKCSLTWDDRIRIALEAAGALAYLHSSAAMPIFHRDVKSANILLDDTFTAKVSDFGASRSISIDQTHVVTIVQGTFGYLDPEYYYTAVAELEKNPWAGQA >cds.KYUSt_chr1.32644 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198244935:198247408:1 gene:KYUSg_chr1.32644 transcript:KYUSt_chr1.32644 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAASACPLWRASRLAAAGVQPTSCSAADDNTIGARLPDCCIAAVAEDLSSGSASSSTRIWSSSSSEWAAIAPPNHMIHCNVLMLAMPSSSTIMDLILLELDIAINWPFLEFFSDGPILDAIDYVARVQLHDPNVTFMEAHHQITAPRLHADRPADLGHAANPRLPAFFVQVESHDLRALAVPPYMEQPLIRKYKLKNNAPPVKVTISECGEEKLLSRCGAQLQQAEQSRASGAPIPGPRRPTPDALELLLARAGSHAHLSRASPQSPFPRFLAKSPGHPLPALWLCRCTQRAIQIRAWPLGVLRFGSMAAAVAEEVLQHVGPDPRAEQANNVAGEDEFGGDDEEILRFMDSADGYLLLMDSLSSVLRQASHAGY >cds.KYUSt_chr6.13228 pep primary_assembly:MPB_Lper_Kyuss_1697:6:82689032:82691553:-1 gene:KYUSg_chr6.13228 transcript:KYUSt_chr6.13228 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCPACGMLLQIQPATGGHRLRLFCPTCPYVCPIKNKIVKKARLAKREVESAASAPKTDGEAKQSAPKTEAEPKQSAPKTEDDLGSCQQPDEDIPTTPLPPSLINEDEPVVKLKSNEVRIGPITRARAKLLKQQVNMFLNGTLIDENFILPKSYYLCIIRYQEETSIARGVEEQLDMKTDVKIDVKLDMELDMKISHGRARGEREACARGEVDVQAGPASG >cds.KYUSt_chr4.3517 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20049831:20051294:1 gene:KYUSg_chr4.3517 transcript:KYUSt_chr4.3517 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYADISRREGTQILRSGQGKTNHQFPNTTATMSNIHSFATPPPAPATSNSRYPGWVLLSSTVLVSDVQNETTAGTLTRAGLPIQASFVFADPPRLSHFCVYCLNSYEISFVNAPSVVCSVEDTALIKVMITAKDSPHYFLYRTRGPSGGPSLDLLPDIRQYSKTERLFPSLGFVTHGNGKQFVMAALSYGAKHGQYNLHTIVASQPHATWSKKLLKVEIPNGHTAKSVTVQPTKLIALGGGLLGWVDLWKGIVICDVLDPRAATASFAPMPKLLPSNSELFGNQYSARSIRDVAFSCGYIKCVEFEELVKLRPAPEPIVVDPSNMDELLDSELAVSPPQEEEEEEEVYDVVGWRLVTWYRELTWNRWRKGSLVHSDHLAATVSLPQLGGGAALNVTFKSLKTASPTLRADADIVYLVSMLDEDDDDQTLWIVTVDTRTKSLGEVMPFSAHPSRIYDPPFIPCVLTKYLDTKSGNYTVTCLSYDTD >cds.KYUSt_scaffold_869.109 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:594254:594484:1 gene:KYUSg_scaffold_869.109 transcript:KYUSt_scaffold_869.109 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQGTQAAPAAPPSPTAPLQPAFHIPPMDWLLGGPAAPFLGEEDDFDAALVPPPQGIFLDNGMDASGVAFCPEHG >cds.KYUSt_chr6.9798 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60415278:60417695:-1 gene:KYUSg_chr6.9798 transcript:KYUSt_chr6.9798 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPLPPEMRTLRSTLNQRGLGDPLLLPPADWGVLPDAGAGADDGGPLRRAVEAVRAVAWDMWAFARKDPRKPVYAAKVATALALITLLVFLREPTDIVSHAVWAILTVVVVFEFSIGATLSKGLNRGLGTLTAGGLALAVAESARHIGSLDTVFLIICTFVVGFGTTLVKQHPKTKAYEYGLRVFLLTFCYVTVSGYNTGEFTGTAVSRFLLIVIGAAVSLAVNIGIYPIWAGEDLHHLVAKNFARVAESLEGCVDGYLTCMEYERVPSKILTYQASDDPLYSGYRAAVEAQAQEETLLGFAIWEPPHGPYKMMKYPWKNYTKVGGALRHCSFAVMALHGCILSEIQSPPENRKVFSVELHNVGNEAAKVLRELGQRVKTMTRLSSPNILAEVHHAVEELQKKIDQRSFLLVNTERWGEAVAACKQNEPPPAPEHAVVINIGGAGVHKSDSSTSLARHAVLTNAGAAVHKSDSNTSLARFDSSASGAAMGLDFSLFKPQASWPFRLPPMHPGLPFEDAEARTYESASALSLATFASLLIEFVARLRNLVDAFEELSDKAGFKDPVEEPSAAGREESGGGFVDKIRRLFRLKR >cds.KYUSt_chr4.52039 pep primary_assembly:MPB_Lper_Kyuss_1697:4:323001901:323006380:-1 gene:KYUSg_chr4.52039 transcript:KYUSt_chr4.52039 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESAAVTSTNHEVDLGHLMAYDPSHHLAAAPSSRAELREECLRKATELAQAVADALFALPATEGRDGPVVRLPPPANRLPREKHLPRPAPPTKWEAFAKQKGIVNRKKNKRTWDEQTNSWKRNYGYDRVNDDRDIPIIEAKMTDEPGVDPFAKRREEKKGRVDKQEKNRLGNLRNAAKVGALPSHIQLAATAIPITGTKADLPRKSKKEDLENVAGMASSATASGGKFDKKLPGEKPLKKAGKHRKFLPVAEGKGMGNLEKQQNDKILNSLLAKNFEEPLDVSKAITMYKVKKDNNRRKEKKSSSGSDKVKPGKKIHKKSSKKSA >cds.KYUSt_chr1.29288 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177272757:177278436:-1 gene:KYUSg_chr1.29288 transcript:KYUSt_chr1.29288 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKAYPQEEVCKARVLVSSFIRAGEDVEKVIEKAAERGELTELVLMVIWNRLDVARRDDEKDAIRSLDLLYRRVETEILRSEATPAMRLLDELLNIHDGSDDDKWLKTCRKHMIEAFPREDPFTMVFPAGFNMEKHDGQIKLPPQGDDVLLRVDFVREVDELLKEVQAEQEKNKLQTGYDPGSVATMLKQQEKMRTIRQVEALLELAATLKWKLSEANPSPSRPDIGQVARPCTSIGTCVPGALRAVARGTRASRSSELFPALRVASRNSPVGFGRQHILARPVGPSSTSTASPSTSEMAEGTPVTYEDLTEELKKKYDEVKAILEADLIGSFQRTRSHGIRWKGFSPEGALDGVDLSTPSEERTRSLQIMRHRYSPSGPALGTHQGEIPLQSRPPLPFALAAPEVPSSPAFVVHKIGDDPSDYQFLYEAPKEIPHGYTCTYVPDCSNWTLTNQIATTGTSGTAGGASGSDLEKQTWLAKYATPTNLQSSTPAAGSDREKQTWLAKHATPTNLQSSTPIASSELEKQAWLAKYATPANLQSSTPAASTADQISTILRDQFGMVPKRRAVGYSKPYPNEYDLIPLPPKYRLPEFSKFSGSDGSEGDMP >cds.KYUSt_chr3.36528 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229650153:229650656:1 gene:KYUSg_chr3.36528 transcript:KYUSt_chr3.36528 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEASQPIRWRYGGDVEDGNFVVRGVPVLVAVVAILVCFVCVCVYLRWACRRYSPDPTLPSYSSSSSATGGALSRVGLDAAAVASLPVTLYRRPPDGEGADAAQCPICLGEFEEGEEVKALPPCGHRFHPDCVDAWLRSQPTCPLCRGELLADTTNKTDAGSEAV >cds.KYUSt_chr2.49391 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309143246:309144535:-1 gene:KYUSg_chr2.49391 transcript:KYUSt_chr2.49391 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYRLLFLLAVSLAAVSAARAHNITAILEGYSEYSLYNSYLSQTKVCDEINGRSTVTSLVLTNGAMSSLVSNLSLADVKNALRLLTLLDYYDPKKLHSLHGGSELTTTLYQTTGDASGDMGHVNITNLRGGKVGFASAQPGAKFQATYTKSVKEEPYNLSVLEVSDPITFPGLFTAASAASTNLTALLEKAGCKRFAALVVSSGVIKTYQAAMDKALTLFAPTDDAFHAKGLPDLAKLTAADLVTLLEYHALPQYAPKASLKTIRGGIPTLASTGKGKYDLSVVARGDDVSMDTGMDKSRVASTVLDDTPVAVHTVDSVLLPPELFGGAPSPAPAGASADTPASAPAPEAASAPAPAPTKQHEKKKPKGKSHSSPAPPADSPDMAPADAPDGDEADKADDKSGAVAVGTGFAAMVASVAALVGASLL >cds.KYUSt_chr2.2112 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12826505:12827527:1 gene:KYUSg_chr2.2112 transcript:KYUSt_chr2.2112 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAGAASLSLTVRLIVGQPAPSAKEDSAGDPHAILPEEEAHALPPGAGAGALLHPAALAAVAGSAKRLLALAGASPGADVGVLGRAMAELERLAAGGRGTAAAMDHAELSTSTSSTSADMDIDSDQLGGGGAKRKRDARRGGDAPQRPTKRRILAWRVRQYRSSVAKAKATARRARSRRAMRRKTGGWKSVQQQLARATLSDGLHRWSAGGGGGSGPAEHWIGFSIQALSWIGFSIQALSWIGFSIQALSWIGFSIQAQHPNAADHRVIDRLQVKNQLFK >cds.KYUSt_chr3.3220 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18435552:18437857:1 gene:KYUSg_chr3.3220 transcript:KYUSt_chr3.3220 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIVENDQQKGYVKEIGFGSFLSMGEFEMNNALTLWLVDKFNCDSEALEFEGGISIPVRPLVKRVLGIPSGPIQVVEGLDVDDALYCQYTCNRRAKNAMEVANEMCIITDKEPFCIAFMMAILGIYLAPDTSGAVNRALLGAVKQVDKLKDMDWCNFVATYLFKGIKEYKESNTTCVSIKGCLHILSVVFIDHAAFEVPVGFPRLGVVTTKHINWVVSHPFTSLMVCRPEESIYAAVLDIMPKDNIVEDGKCVDSETNTDALGDKLATTNTDQNNNKDPVSAEIDTAITSPSTTSSAIVEHAELDNPECPEQ >cds.KYUSt_scaffold_6468.897 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4339204:4344830:1 gene:KYUSg_scaffold_6468.897 transcript:KYUSt_scaffold_6468.897 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPSPAHHHTPPPQQTRQNRKGAAPASSTTAATKSKVATKSSAVKKAEDLLPYERTGEDNALIMAKDLKRQLAPKWPPPKQYIASGTVKRFDETRAKLADLASEMKIIECKRGQIYDIGFIDPNTVHEVTVQQYPNDTEDNLLIHNNGLHEQLDPRVGVKGVRSTHVEGDGGVGSVRHYNCGSAIPFNVMKKKVEFLDVDKCECRYTLECDGVETSTWSIKMKPTANGGSVAKVECTSKGVQDNDMMFKAKDSAAEMLKNVEAYLIANPDAYNTSRQQTFCPAPLGAIVPV >cds.KYUSt_chr6.31806 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200983883:200984489:-1 gene:KYUSg_chr6.31806 transcript:KYUSt_chr6.31806 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGGVEQSACRIMPIIDEVSESESDIVLETTTTMKGAATGAIAERGKAVVARMRELLRRAVAQSSSPAAAAPQSKLAATARKWKRAVSFRSRDHQRGRRAEGDRMSSASAASSVSSGRNSLDSRDATFFPSPSRTPHSPATTQQQHHAQWITTDSDCKLAESSKV >cds.KYUSt_chr2.29459 pep primary_assembly:MPB_Lper_Kyuss_1697:2:181249391:181252836:1 gene:KYUSg_chr2.29459 transcript:KYUSt_chr2.29459 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHHLPLLVLLAALAARDAAGGDAEALLAAKAALEDPTGSLASWSTNATAGPCAWSGVSCDARSGAVVGVDLSGRNLSGAVPSALARLPFLARLDLAANSLSGPIPPSLSRLRLLAHLNLSSNGLNGSFPPPLARLPALQVLDLYNNNFSGPLPLEVVGMAQLRHLHLGGNFFTGEIPPEYGRWGRLQYLAVSGNELSGKIPPELGNLTSLRQLYIGYYNNYSGGIPAELGNMTELVRLDAANCGLSGEIPPELGNLAKLDTLFLQVNGLTGRIPPELGRLGSLSSLDLSNNMLSGVIPASFADLKNLTLFNLFRNRLRGDIPEFVGDLPSLEVLQLWENNFTGGIPRRLGRNGRFQMLDLSSNRLTGTLPLELCAGGKLQTLIALGNSLFGAIPDSLGKCRSLTRVRLGENFLNGSIPEGLFDLPNLSQVELQDNLLSGGFPDVVGTGAPNLGGISLSNNQLTGALPSSIGSFSGLQRLLLDQNVFTGAIPPEIGRLQQLSKADLSGNSFDGSLPPEIGKCRLLTYLDVSRNNRSGDIPPAISGMGILNYLNLSRNQLYGEIPATIAAMQSLTAVDFSYNNLSGLVPATGQFSYFNATSFAGNPGLCGPYLAPCRPGGAETGHGTHTNGGLSSSLKLIIVLILLAFSIAFAAMAILKARSLKKASDARAWKLTAFQRLEFTCDEVIDSLKEENIIGKGGAGTVYKGTMPDGDHVAVKRLSAMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKKPVGEFGDGVDIVHWIKMMTDSNKEEVIKIMDPRLSTVPVHEVMHVFYVALLCVEEQSVQRPTMREVVQILSELPKLTSKHGEELPSSGEGDDSGPVQGLSENVEAAANEANEQHHQQQPRSQSSPPSKLISI >cds.KYUSt_chr7.21253 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131598693:131599259:-1 gene:KYUSg_chr7.21253 transcript:KYUSt_chr7.21253 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVLVNGFVCKNPMDVNADDFFKAANLDKPRMTNKVGSNVTLINVMQIGGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPENKFLSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKNTIDWLQAQFWENNHY >cds.KYUSt_chr6.31879 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201398632:201401403:1 gene:KYUSg_chr6.31879 transcript:KYUSt_chr6.31879 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAINKRLLVVLVAACATVSSVGAAVCMPPERDALLAFKRGITSDPAGRLASWRRGGDCCRWRGVLCSNRTGHVLELQLGIDEKMYYDQSYFSGKISPSLFSLEHLEHLDLGDNSLDFPSVGYSSNLLLGSLKRLRYLNLSNIRFDHTLPAQLGNLSKLQYLDLSYTISSVQPTDLSWLTRLPSLQHLDLSGVNLSKIGMLNNLTYIDLGYNNLTGFVVGLWVVFCTMLFKKTWKVAYFTLFDKSCDKLYVFGVLTWTRLAEKTLKLIRKLG >cds.KYUSt_chr3.30375 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190320538:190328787:1 gene:KYUSg_chr3.30375 transcript:KYUSt_chr3.30375 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMGGHFDDFHADDRRAEVIDWLGGLLPEFNLPLDSSDEELRDYLIDGTALCYTADKLMPGVLEGVWGGYASDQRSNVKKFLSVVAEMGLPGFSVKDLEEGSMSSIVECLLTLRDNVTTGFGENLSNYAAKTPTRPVVSVSTPGRRSPGEDRRRGLWDPKSPQRSPLLSGQKANEVFQFKRGQYADLPASKISEMIQSNSLDNAPTQSLLRVVNGILDESIERKRGEIPHRVVYLLRNVIQEIEHRISIQADHIKNVNVIKTREDKYRSKIKALETLVNGTNEENEMAINRLELVKVEKSKIDERRKLGEQDMVRLMQEKENAENTVSNLQQEIQVMSRMFEEYREQMEAKTSQMEEHLTLRAKEAEFLLMQSKKRVEEVEAASELKSQLWSKKTNTFRSYMDNQKLCIKDIRISSQSIKQEMFALQMKWRDEISNIGLDLKGLVDAAENYHGVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKSTAVDYIGENGEILISNPSKQGKDGYRMFKFNKVFNTHVSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGGQAKTLMFVQVNPDVESCSETISTLKFAERVSGVELGAARSNKEGKDIKDLLEQVASLKDTISRKDMEIEQLQVLKDKTKSPSSLTDKSGSNMLKQSASSSSLTMASQQNQLLSGSMGSGETEYEDNASDDGCSVGETEYSVGSASEAAERMQKGPSRLTRFFLTKNGQPAASRPKPKEPVPKATGEATDTPKRKLVRQKEIEDQD >cds.KYUSt_chr2.47685 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298301546:298310950:1 gene:KYUSg_chr2.47685 transcript:KYUSt_chr2.47685 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPSEPTHHLRARPRPPPDRYSPTHYGLSATLEPTSYRDALAHPEWQLAMAKEIAALERTGTWDVVTPPSSVRPITCKRVYKIKTRSDGSLERYKARLVARGFQQEHGRDYDETFAPVAHMTTVRTLLDVASVRHWSVSQLDVQNAFLNGELSEEVYMQPPPGYSVPDGMVCRLWRSLYGLKQAPRAWFQRFASVVTAAGFVSSAHDPALFVHTSSRGRTLLPLYVDDMIITGDDPEYIAFVKARLRDQFLMTDLGPLRYFLGLEVSSTSDGFYISQEKYIQDLLTRAALGDERNVETPMELNVRLRSTNGDPLPDPTRYRHLVGSLVYLVVTRPDISYPVHILSQFMAAPTSVHYSHLLRVLRYLRGTISRRLFFPRSSFLQLQTYSDATWAGDPEDRKSLSAYFSFLVALSLLGRPRSSTGAISIARDPVKHELTKHIGVDAFYVRHAVQHQAVLKFVYLGIGAAVVSFLQMTPGQIVSRMSGDTVLVQDAIGEKVGKFQNLVATFIGGFIIAFAGGQSAAHGLFTTIRKEPEINPDDKTGKKLEDIRGDVELKDVYFSYPARPDQLIFDGFSLHVSSGTTMAIVGESGSGKSTVVSLVERFYDPQAGEVLIDSINIKSLQLDSLRRKIGLVSQEPLLFMTSIKDNITYGKEEATVQDIKRAAELANAANFIDKLPNGYDTMVGQHGAQLSGGQKQRIAIARAIIKDPKILLLDEATSALDVESERIVQEALDRIMLDRTTIVVAHRLTTVRNADCISVVQQGKIVEQGLHDELVLNSDGVYSQLFLLQETCEEKKMDPQFSDPRSKSASLSMKRSISGSVGNSSGHSFTLPFGLPGTIELSEGNDTHGENHRDHSADGEVQMKAPLVRLAHLNKPEVHILLLGSLAAAVHGVLLPVFGLVLSSAIKAFNRPPDELRKDTSFGGLMCVVVGIISIIAIPAEYFLFGIAGGKLVERIRSLSFQSIVHQEVAWFDDPKNSSGALGARLSIDASNVRRLVGDNLSLIIQIISTLFTGIVIALIADWKIALIIICVIPLMGIQSYVQIKFLKGFSQDAKMMYEDASQVATDAIISIRTVASFCSEERITRIYDQKCEASMNQGIRTGIVGGIGFGFSFLMTFLTYGLCFYVGGQFVHHGKSNFGDIFEVFFALLLATMGVSQTSAMASDSTKAKASAISIFALLDRKSEIDSSSNEGLTLDDVKGSIDFQHVSFKYPTRPDVQIFSDFTLHIPFGKTVAIVGESGSGKSTVIAMLERFYNPDSGTISLDGVEIKSLNINWLRGQTGLVSQEPVLFNDTIRANIAYGKDGEVTEEELIAAAKASNAHEFISSLPQGYETSVGKRGIQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDRVMVGRTTVIVAHRLSTIKAADIIAVLKDGTIVEKGRHEALMNMKDGVYASLVELRSVSS >cds.KYUSt_chr5.35752 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225807622:225815267:1 gene:KYUSg_chr5.35752 transcript:KYUSt_chr5.35752 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRRSIDSHPQSAMVMDHAAAAGSAATPDRLSNLPDCLLHYILSLSSMGARRLVQTSTLSRRWRHLWRTAPCLDVSPNEFQAAGGDELENWARFNNFAETLVQQHGTLGLDLLRMRVIEPPKNRDRRPTEPDGNRWVQRYLVRYSPAALDIHNRSPGAHVPLRPMSDDTTVLRRLTTLRLTGVMLCDGFERLLGAAGCPLLVHLELRDCLIGFDEVVMSPTLKTLVVDSSNMSMKQSPFRLARIVAPGLASLHLMLLRWQVDLWNFEMPSLVEATVQMKRVCYYNEFDLLCSLHNVTRLEISSFPPLDLVMEDYDDRDLPEFHNLTTLILDECDFTYDEPTLLEYFLQHAPNLEKLTLKNCEPTLRGSTTKPVRKTWRGQSSTAQRCGKDQHQDGTCPDHPVQILAETLDRIEAAQSTTAQRASAVHHPFLVTDPVEALRPRPHPAAPPMALRHRPPTPLNSGRENHLPCPPPHRTGRRRHHRQRRWQRRPGEGGEVMEDYGDRDLPEFHNLTTLILDECDFTYDEPTLLEYFLQHAPNLEKLTLKNCELVPSSENMAERAMSMEISGKHSNLKFVEIKHPENDDDVCQLIEYLMRVSENLQKADIVVLEGLEKPWYYAALAAQGCYR >cds.KYUSt_chr7.3068 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18193685:18194332:1 gene:KYUSg_chr7.3068 transcript:KYUSt_chr7.3068 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGPEFVTMSHQGAPMAAPGHGLINGTAAVHSPWQSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDAGAEGGRDGQSGDAAGGEKGSGSGASRPAAEFQEHVVVIMAGDERPTFLARPVTSRAAVEVELALAVPAASASAGDGQEKKVEDCDVSSAQLGGDASDAANQSRDQHDEATPSRAHQQSRAHHHHHHDHESSSSTTALQESSQ >cds.KYUSt_contig_915.274 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:1811034:1815091:1 gene:KYUSg_contig_915.274 transcript:KYUSt_contig_915.274 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGGRLHGGAPPWRRPRPCSCLASPPASSSSSGGGHGEGDPEKARPVLSERYRDGAAKRYLLDGDSKLQVHWEKHDSSMNSAEDENVKSSIPRAIRDFVLPAGFPESVSDDYLQYMLLQFPTNVTGWICYTLVTSSLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRILIGGRFGTLFDDDPKKWRMYADFIGSAGSIFDLTTPLYPGYFLPLASLGNLAKAVGRGFRDPSNRVIQNHFSKSGNLGEIAAKEEVWEVGAQLLGLSIGVLVLDTPGIQSSYSTLTLTWLGVRLLHLWFRYQSLIVLKFRTVNLKRARILVRSHVAHHTVPGYVACNEGENILTWERFLRPQISFGVPMERILGGEDSTNMVNRLVKLYKNEKYILYVEQLGSNEPAFLVTFKEAATSMSVLRSLWQAHWLHEHQLKRNDDVFDWLEESLTALGDEFDDFIDQMEGAGWDQSQIFLKVPKEPVLVLEHLDQEAEETNFGV >cds.KYUSt_chr1.975 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5157267:5159021:-1 gene:KYUSg_chr1.975 transcript:KYUSt_chr1.975 gene_biotype:protein_coding transcript_biotype:protein_coding MALAATSTPPSPAAAAADDLHSFLRHGLRSRAAVLQAHAFLLRRGLLLGHPVPSGLLLAAAAATATPAPHLARLLLRHLPPPLPLFSLSAALRALAPRVPFPALLSLFAHLLRSHSPSGFPDAFAFPPLLSAATRHHLPAALALHAQLLRRGLLFAPPPHAANALLHFYAAAGRLPSARRLFHEMPSRDIASHNTMMTAYASAGFLPSAQHLFDGMLLRNAVSWNVMISGYLKAKRPERALEVVRRMAGAGVRGTATTMVGAATACARLGRLRSGREVHCAYLRRFEEDNLLFWTSLVDMYGKCRRVGAARKVFDRLEARNLVSWNAMIVGHCVYGEPADGIQLFHEMIGRGKNGSDGQLVLQPDEVTFIGVLCACTRLGLLDAGKVYFEEMRSTYGLRPTFAHYWCMANLYGSVGLLEEAEGLLQSVPEELKARALGGLLGLCRFRGEWELGERIALRLIELEPGNCAHYALLCSVYASAGRWEDAHRVKAIIKESDERFSPGHRLVDLNEIANQFKVRERQPENQDVYVILDELVSKVKLASRPDVQTEPGLK >cds.KYUSt_chr4.40 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308328:309915:1 gene:KYUSg_chr4.40 transcript:KYUSt_chr4.40 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDYKVDMINDGMQEFYVHFHGPNDSLYQGGVWKVRVELTEAYPYKSPSIGFTNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNIFEVFLPQLLLYPNPSDPLNGDAASLMMRDKNAYDQKVKEYCERYATPEDVSLEEEESDDEELSDAEGYGDDSADEAIMGTADP >cds.KYUSt_chr2.48588 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303908168:303910343:-1 gene:KYUSg_chr2.48588 transcript:KYUSt_chr2.48588 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAAKVVVPESVLRKRKREELWAADKKEKAVAEKKQAGENRKVIFARAKQYADEYDAQDKELVQLKREARLKGGFYVSPEAKLLFVVRIRGINAMHPKTKKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNKVIEEGLGKHNIICIEDLVHEILTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINQLVRRMN >cds.KYUSt_chr5.20354 pep primary_assembly:MPB_Lper_Kyuss_1697:5:132254594:132256746:-1 gene:KYUSg_chr5.20354 transcript:KYUSt_chr5.20354 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDTQRRIIAIWERKEETPTRKNRRIRNDPILGPGSIKYPSQGGYLRLRSPARGILATACLIEMDLWAKAKTGGKDLKIIAGGLQALSKFEPWKLNHVLGLNGAIIFESYVAPKGVEATIELDFIEVPAGGFHVRRMGGTTVLSRISYSFIDNERRRDAVGLISTTGKHGQRFVVAVDLGDTLRIDFMEKRRRVDVLLFSASKHGDERQLYRFNNGALVSVQVVWSTILYDTDWENE >cds.KYUSt_chr4.20039 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125957184:125957684:1 gene:KYUSg_chr4.20039 transcript:KYUSt_chr4.20039 gene_biotype:protein_coding transcript_biotype:protein_coding METLNTQFARLPKCKLHPLPRVGTKEVSALPHSPPPLPLPRVDADPSLRKPFPSNLTGRNTPAASVPPSLRLHRLRIPRNRSQIRCLHGCLTATKIDPCELFLMEDSSSSNDSDLEDLLDDDIEQTTIILAA >cds.KYUSt_chr3.39919 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251592732:251593400:1 gene:KYUSg_chr3.39919 transcript:KYUSt_chr3.39919 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRSQRETGKSVPPEAPGQADLEFCKYMSYGHSWEKLVLPDKFGSALMGRELREVKLRVAGGGEQRAWDVEVVADEYGDVYLAGGWWEFARTNGLELGQLLFFRYDGVALITIRVLEGKPSPDQDEEEDGAGTLRSPETFPLVCLLLQFTVVRMWSN >cds.KYUSt_chr1.31136 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188816845:188819562:-1 gene:KYUSg_chr1.31136 transcript:KYUSt_chr1.31136 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKKLILDTPLLAWFPLLLFPFLLFVHRWLTAKTGKAQQREHRLPPSPPALPIIGHLHLVGSLPHISLCGLARKHGPDLMLLHLGAVPTLVVSSPRAAEAVLRTHDRVFASRPRSVVADIILYGSCDVAFAPYGEYWRQARKLMTTHLLSAKRVQSFRGAITEEVSMAMAKINEVATTGGTVDMSELLYSFSNNMACRIVSGNFFLKEGQTKLFRDLINDTSHVLGGFNLEECFPALARVGVLRRAICAKAERVRNRWADLLDKVINDRVSKKKSNFDHKDADFVDILLSVQHEYDLTREHMKALLTDVFFAAIDTSSNTLEFTLAELMRRPGLMRKLQDEMRSIVPPGQKIVTENDINNMAYLRAVIKESLRLHPVSPFLAPHLAMADCNIDGYMISSGTRVIVNAWAIGRHLTSWEDAEEFRPERFVEGGNDVHVNFKGNDFQFLPFGAGRRICPGINIGLTNIELMLANLIYNFDWEPSRGVEIKDIDMTEVFGLTIRRKEKLLLIPKVHM >cds.KYUSt_chr7.19881 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123246553:123251453:-1 gene:KYUSg_chr7.19881 transcript:KYUSt_chr7.19881 gene_biotype:protein_coding transcript_biotype:protein_coding MGARPRGAIIAGRRLSSAAGVRAAGGVADIHHVRHSRLGDKAGCMLRRLESPSWHARYYISLYAAGVLVEYNYPKFIAKKPRVIKARITMTHWKLQGGDTDLLNNKSTTSLGDIKNETVWLANITPNISRKTLQDVQFKGQPHSRHGPGGGQGERRAMRRCAISRRSTNGSTSRRRPWRGCNTRRRKKTTGPGGFHGRVEGHTAHNRSVDRQHYRGVFGGPQARLASRRTRIQGSLDKCSSKTEGIQE >cds.KYUSt_chr4.28278 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177666924:177668123:-1 gene:KYUSg_chr4.28278 transcript:KYUSt_chr4.28278 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWWWARATGGALTKRQDENAAAIDPSFKSVALVVGSTGIVGTSLLDILPRHDTPSGPWKVYAVSRRPLPRWSRPPSPAVTYLHLDLADVAAVAEALAPLTDITHVFYAAWSNHPTEAENREANSAMLRSVLSVVVASCPALVHVCLQTGRKHYVGPFDAIGKIPANDPPYTEDMPRLDHPNFYYDQEDVLFDEVSRGHGAVSWSVHRPTTIFGFTARGAMNLVGSLCVYAAICRKEGATLRWPGSRVAWESFSDSSDADLIAEHEIWAALDPLAKNEAFNCSNGDVFKWKRLWPILADRFGVEWAGYEGEASRFRLAEVMADKEAVWEEIIKENKLIVTKLEEVANWWFVDAMLGIEFEHLDSMNKSKEHGFLGFRNTVNSFKTWIDKMKVSKIVP >cds.KYUSt_chr1.29679 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179715001:179722665:-1 gene:KYUSg_chr1.29679 transcript:KYUSt_chr1.29679 gene_biotype:protein_coding transcript_biotype:protein_coding MSADEAALKACDERTSLSVAASYQAENGFITTVGPAESAKAAYAFLPQTPTESTDAHLVEFAEAMRTVAQALRQVAEGKAAAQAEAAEWKRKYELEKAVKAHKHHTVIKGCSNCVKDKLEHLTSKLTLETASADQTGCCGDHGICSRQILQDQSPGPNQKLDDKTVGRKAPFRLSWGCNEDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSNAVHALCSEMVRWLKEHNNMNIFVEPRVSKELLIEDSYFNFIQTWANASEKYRECLDNVLKRPFTITLRSRLQCHVIRDAAKDEIVTEEPIIVLNEVTIDRGMSSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPLNSRGQAWASFDGKGRIQLGPGDALICSVSPWPVPTACLMDSTTDFLRSIHEGLHWNLRKSQSLDGPA >cds.KYUSt_chr3.35998 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226258059:226258754:1 gene:KYUSg_chr3.35998 transcript:KYUSt_chr3.35998 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRKRRPKLSPSPASASCRPASHRGQGISPELITPSCFMLLARPPPFPQPPSPSRPPHPLQPRTAPPADLRRRQKHHRAPHGHPKPPAAPVTHSTTGTAAMPPRHSNTPLGGPPPWPTDARRAPAAADQGGSAQHRSTAPLQPPKHPRHMASRREHDLHHAAAACIPELLQGSADAPNSSHAAWNSRQRCRNSPRAPPPLHAELPLQTRELALLRCRHLHSTLAPASTP >cds.KYUSt_chr2.44539 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277133000:277133242:-1 gene:KYUSg_chr2.44539 transcript:KYUSt_chr2.44539 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRASLPDGRRGTSSCSFGWGEQKREPRRRPSREHRGAADALECAGETDLENNPETSGKANSDPGSRGCADRRAAIMQ >cds.KYUSt_chr4.22225 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139675326:139679117:-1 gene:KYUSg_chr4.22225 transcript:KYUSt_chr4.22225 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDISKEFPVASERARLIFGVHMPTLPALRKEYGELLISCGLLGEALNVFKDLELWDNLIYCYRLSGKLADAVSLINTRLLITPNDPRLWCSLGDATNNDDHYKKALEVSNKKSARALRSLARSAYNKNDFYASNFFWESALALNSLHPDGWLAYGTSAWKDKDLEKAVDAFSRAVQIDPENGEAWNNIGCLHMIRGKSQASVQAFREAVKFKCVSPPITYVF >cds.KYUSt_chr5.24398 pep primary_assembly:MPB_Lper_Kyuss_1697:5:158481422:158487561:-1 gene:KYUSg_chr5.24398 transcript:KYUSt_chr5.24398 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSARYGPATTFTGPVRKWRKEWVPIAAATGSATSTAASSTGAGSRGNNLVLFKWTPLNGANGRGGGDEELTAPAEMATRRRRYVPVSVAEDQRQESAKSDDENKANDGEPSSTETEQSNGKTNIDDTFMDESQASDEVRDSGDNVGGTDLNLNFGPKDPNGDSECVTEYLAKLPLLLYVQSLMRVCYVSLEYKVHQDPGEEDTPWSREGEEQRDMMMGTKLDPTSNRSAQRKRRSWPAQDPVDRPHDRTTRSQARNGDDPRDGEVLRNTERLATQHNLWTQRQEFKEQLTLFETRIDEQYDEVAHNFSVVNQDLALLREATDNLNGQMAANDANMERRMDSLERAITNLAPPQGMKTILDLIAHMFVMKTLAPTLGEENSIAMLVHMSVLHKTKSSNKIVTKRIAMPTMGVTTNPKTKVLKINLGEISDVTLAMTNVEEEIHQMIKMRGPTMSIVNNLDKIFNNIITVSQVKLVSNFNANPMLWLAVEDLP >cds.KYUSt_chr4.24123 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151818703:151822559:-1 gene:KYUSg_chr4.24123 transcript:KYUSt_chr4.24123 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHSCIGIWEERKVFGTRIESLKVEILGENPPTLDNNGNSSNPSPNPTSDLKAAQKDSSTLIKELTLSGMPENVAVAYQSLVDQYFDEDTALNNCKTTANVLEKMDKDVDNACIHGIPQASSLITDLEEQEAILKKCIQQLESVDMARINLINQLKVALNEQEAKSELLRTQLQFSCVMKSERQ >cds.KYUSt_chr5.28378 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179721157:179721791:-1 gene:KYUSg_chr5.28378 transcript:KYUSt_chr5.28378 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRWWRWSLGFSMLPAAVMQLLVVLRWSEPDASGGTTASSSPIKAFFESPDLGKIVVDPPLSSHRGGGDWERISDDPAFGRSAGSPLDWRRRPRLCFGYCDDLFCNWIHGDLKNPIQFASWVARLLLWLPQDSPELLLAPSFNLRREALFTLPFDGKDAAGDGGKCIAGEVLPAVL >cds.KYUSt_contig_2595.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000405.1:67595:73462:1 gene:KYUSg_contig_2595.14 transcript:KYUSt_contig_2595.14 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSAIHPTIHLARSNAHIIASACAPPLALAELRRALQPRQSGAAINTPQDPRPQSAHCVCSVASGAQTPTAFRQEWSCRVPMAAWCGAAGGGVVSVLVLWLLAAGGAAAHAVGAGPGEGDAQRALDRVEALPGQPAVTFAQYSGYVTVHEGHGRALFYWLTEADGADAASKPLVLWLNGGPGCSSVAYGASEEIGPFRIKPNGTGLYLNKYSWNKEANLLFLESPAGVGFSYSNTTSDLNTSGDERTAQDSLQFLISWMSRFPEYQNRDFYISGESYAGHYVPQLARKIVEYNRASPHPFINLKGILVGNAVTDNYYDNIGTVTYWWTHAMISDRTYKAILKLCNFTSTNVSSACNRAMTYAMNHEFGDIDQYSIYTPSCHTSSDTSYSNSTAATTRHRPVLRFKDTLIRRRSNSYDPCTENYAERYYNRLDVQKAMHANITRIPYKWTACSDVLIKTWKDSELSMLPTYKMLMKAGMRIWVFSGDTDSVVPVTATRFSLSHLGLKTKIRWYPWYTAGQVGGWSEVYEGLTFATIRGAGHEVPLIQPRRAFRMFVSFLAGKLLPAKN >cds.KYUSt_chr2.11761 pep primary_assembly:MPB_Lper_Kyuss_1697:2:74888806:74889042:-1 gene:KYUSg_chr2.11761 transcript:KYUSt_chr2.11761 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPELASRVGAAHLSERSHLFDKGWLELYGAATERFSWWLSSGKPELLLIDSYDANGKPGILALVVSAASVVARVDV >cds.KYUSt_chr6.32773 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206512030:206513310:-1 gene:KYUSg_chr6.32773 transcript:KYUSt_chr6.32773 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTWSSARLTDPDANHLPESRLVLPPAGHLSPFPPGRRRGGAAMLRRTILIRLLSSPSTSPVASLHRLLSAAAPAVSPNPSFAVEDYLVGTCGLTRAQALKASAKLSHLKSPTNPDAVLAFLTGLSLSSADVASAVAKDPQLLCANVEKTLAPVVAGLAGHGLAQAEIARFVSLGRPISRCRSVVSNLPYYVSLFGSVENLVRSLKKSASLFGCSLEKVVKPNVVFLRKCGLGDCDISKLFLSAPRLLGSNPERVQAMVASAQGLGVPPGSAMFRHMLHAVALLSEEKIAAKLEYLKNMFRWSDAQVRIAVCKAPLVLTRSKESLQSRSKFLISDVGLAPAYIAQRSVMLTYSLEGRIRPRYYVLKFLKEKGLLPQDRDYYSVLMISEKVFMEKFICPHKEAAPKLAQDYAAACRGKMPTRFRFT >cds.KYUSt_chr6.23438 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148056987:148061984:-1 gene:KYUSg_chr6.23438 transcript:KYUSt_chr6.23438 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAHRRGQGGGEGRRRREEEKGGAGRWCSAGHRRRARDGAGPSPMSFPSVFDLFRGSGGDDARSGGGDPRSGGGDTVAAEVPRPGDAHLHSGWAVDRAIVAEDEWLVMIRFSHDWDEPCLQMDETLAGMAEKIRNFAVICPWRLILQVRVQMLAAIIMWISVTTFTNTACCTLGPKVTLDFLASSEKVLGRQLSHKAQADVVAKKKNA >cds.KYUSt_chr2.31252 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192883144:192886694:-1 gene:KYUSg_chr2.31252 transcript:KYUSt_chr2.31252 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHTADVVDLTADELGVYDVVFLAALVDMASEEKAERIHVKINSEDLLRAVDHSAISGDPYIIVAEDHGSAAILDVLPLLEFSINDDELCAQVKEKDKLFQCQEEMINTKMVEDARQEIRDNKYEEKDKKLKRREFKRRTRR >cds.KYUSt_chr7.35532 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222043926:222044596:-1 gene:KYUSg_chr7.35532 transcript:KYUSt_chr7.35532 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEDLIRAIELWLRIAKEQVPLIDLNLDPVLLVPAIGGSILEAVDQAWNKELVWVRILAADHECHEKLWAKFDAATGLQSKK >cds.KYUSt_chr2.7301 pep primary_assembly:MPB_Lper_Kyuss_1697:2:45838545:45840556:-1 gene:KYUSg_chr2.7301 transcript:KYUSt_chr2.7301 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAVMLVSPSPTGVDWQTWTWPEQARFDMDLIKQKNHWKLIWRNVTVEAGHDGHWKSDRVKNKKAGKTIDNVVTEELEVPSGINKSGRKVFDDVAMWVGSDRLGFLLRPLI >cds.KYUSt_chr5.35284 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223014059:223019585:-1 gene:KYUSg_chr5.35284 transcript:KYUSt_chr5.35284 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRARKALWRARKALWRALAPKFPARPPAIKDGGGQRLHHTLSAVLSPSTFSGAMPRTLQATSPWPPGWVPADGGGGARGRAVGRRRRRVPRCCRGGGEEGRGHGDGGGGRGRPARDRGTAGYEAVAAAEEEPVNEEDLALANINAAIEERLTDLMRVTKEHEATCRAGRRRLGDLLGRKNEAARYASIPAPHPDALARAAHREARCVGGARRQERMWRRNGNHEARAADRVRTGGARRRGTRRLRRSGAMREAGGAASGGGARARPRRSSEKEEDEVLRHAAQLISWSGILTQGEMPSPDAAEASFMAAMKRLFKMDSVTGMASVELWVLMTTLLLVVRFLLDCFGPWNGDRTMSTAIQVIQMLNYSMVHYTMGLMTLSAKRVNDYFQVWAVLLVTLQYSVKTGRLYRRSKQTPLLDLMASLWAANLIRMQTILLLSIFLWLIWALNAIRIISYFASSDRAVTINQENMRLVSDYMRYEHILGSSSKAASSDDEFSMQSYKYLVCGEDLVLHESQQERRTKSAQYRIRLDPDNKKLVTLEKIWNVGAGSSRLLGGNKDTGNRLKDVCLSFALYKLLRRRFYNLPIHEASQEKTRRLVFDYILQENTNNYERAFRVTSVELSFLQDLFYSKHAAMFADGFPIWGMLISLFLVGATGYLAYPVRYIPQRMDQADKNIITHGVTVTRVIVGLIIAKELLEAYIYVFSQWNKVLMICRYTKHRCLQHWMVEAAMRMVFWFIRGKWDQKIFQYNLLISCSHKLKKSPRRIKLESEVKTAIFESFKGLQQHPERLESYFLNAFGSKEGLMQQTTELEADTHRILVWHIATCFCEVHYTDEVQELRVLFLENRLLAKKSSTPEDVWPHYLTAVSLSNYCAYLLTKALVPDNGIIVRNVLNAVRKETFRGTYCSLSQSLQDVYKKLMNIASEPSKESEAEITQEGEAPESSAIYEEALRMSPDEEDPNGAGNGDIKSSITNDQVPNREDTTKGDDDIYNSITQMGAKLGKQLIETYKEDRVGLWKDLAVFWTGFLLHLAASTRAAKHKTRLAGKGELITHLWALLSHAGFLGNTRHGQMLLDPEDLEDVDPLS >cds.KYUSt_chr4.20543 pep primary_assembly:MPB_Lper_Kyuss_1697:4:129365442:129368550:1 gene:KYUSg_chr4.20543 transcript:KYUSt_chr4.20543 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLGLGRQPPPLPLFPAAKKASPYATLLRSLRRFLPASRLLLLLAALSLVAPVFFHLRLRRFHRVRPRSSPPFEISFPSGCVHLSRVGSNFSVAPCVSQMRERTCGWIASPPMMDALRMALDVSRSSDGTLFALHDRQVILDVKVGPPSFEKGLAEDVLSIVGYIVMVDWSTGRRTELVRIEGAKVAGVYHSLINGRLMKTMHRNDRKFMHGLLTISTP >cds.KYUSt_chr2.48920 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306115347:306122518:1 gene:KYUSg_chr2.48920 transcript:KYUSt_chr2.48920 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAKPPLKSSSSHNSAAGAVAGKTIEEMYQKKTQLEHILLRPDTYVGSVEKHKQKLWVYEDGAMVHREVEYVPGLYKIFDEILVNAADNKQRDPSMDALRVTIDVAGCCISVYNNGDGVPVEIHQQEGIYVPELIFGHLLTSSNYDDHERKTTGGRNGYGAKLTNIFSTEFVIETADGRRQKKYKQVFSGNMGNKSKPEITKCKQSENWTRVTFKPDLEKFNMSELEKDVVALMKKRVVDMAGTLGKTVKVELNDQKVPVKCFSEYVQLYIDSANKDGMERQSLPLKVNDRWEVCVSLTEGQFQQVSFVNGIATIRGGTHVEHVANQIASHVIEAVHKKNKNANMKLHTVKGHLWVFVNALIDNPAFDSQTKETLTTRQGSFGSRCDLPLDFLKKVANSGIVENLLSWADFKLKKDLKKTDGTKRSNILGIPKLDDANDAGGKESDKCTLILTEGDSAKALAMAGIGQVGRDHYGVFPLRGKLLNVREASPKQLMENAEIQNIKKILGLQHDKKYDSTNGLRYGHLMIMTDQDHDGSHIKGLLINFIHKCWPSLLKVPSFLVEFITPIIKATNNRSKSVLSFYSMPDYEEWKEKLGGNASAWTIKYYKGLGTSTAEEGQEYFEHIAKHKKDFVWADEQDDISIELAFSKKKISERKNWLSNFQPGTCLDQREKRIKYRDFINKELILFSMADLERSIPSMVDGLKPGQRKILFCSFKKNLVKEAKVAQFIGYVSEHSAYHHGEQSLASTILGMAQDFVGSNNINLLEPLGQFGTRNQGGKDSASARYIYTRLSPVTRLIFPKDDDVLLNYLNEDGQSIEPTWFVPIIPMVLVNGSEGIGTGWSSYVPNYNPRDIIANLKRLLKDEPAEPMDPWYKGFKGSIEKTIKATGVTYTMTGIIDAPNDSMLKITELPIRRWTGDYKDYLESMCAESGKEKDKEKGKDKNKDKTKKKDKDKDKEKEPPFLETVRSYSDDVNVDFEVTLSEENMNIAKQEGLVKKFKLTSTLAITNMHLFGPDGKIRKYETPEEILEEFFTLRLEYYVKRKDALFKNITLEMRKLDEKVRFILAVVEGEIEVNNRKRAELFQELKQKGYESFPKNKKKNEPVAAGATDDDDGNEESPADGADAEDASGYDYLLSMSIGTLTREKVQQLIAQQENLSLEVERLRLTEPKALWFKDLDALEKELDKLDAIYQKAQEKRRAAQEKNRKKKEAETKAAPKRQPKKASVKSEKAGSDDEDYGAPMPKAAAQKKKPAKKASAPVKEEEEEMLELKDRLAAYSINDSSPDLPMDIETTEGQQKGKKGRNAPIKRGAAKKAMSSLIELSDEDIAVPTDESEDEEFAMTEAPVEKKARGRKPAAEKPKNTAARKRAPAPSKGMMQKVLEETFKPVDDSNTSAPSPEKKVRKIRASPFNKKSSSILQRASTSTEDADAPPSGSSAEPVAPRRTVRERKTTLTYVESESEDKDSDDEDVLDVSNDSEYSDDD >cds.KYUSt_contig_2619.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000416.1:55785:57168:-1 gene:KYUSg_contig_2619.10 transcript:KYUSt_contig_2619.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTVGGLLFTKGGSILLFREDSSRPKPGRPQSNGCKGARHLADKGRPTHRAAAAAAADTPVTPASRRSQPLRKPPQGSSNAVSSCSETDNGATREATAPVAGRDLLARLKDRVSASRKRSLAREMSSPSSSSSGFSASSSGGGGARSSVSRPSHRAASRVRKGDGGENAGGGSAGRVRRDNTASGGGGGGARRNSDDLVRPEPAALRERQTPGEGYFSGFLARYRSSLQERGSLVDGAEDSSGYWRFDVEGSEELENFFMRSDRHRAMRMDIDGMSYEELLALGDRIGTVNTGLSEDALYKCLKRSLYMATAPETHQDCDRKCSICQEEYSGGEEVGKMACMHFYHIACIQHWLRQKNWCPICKSVAAKTN >cds.KYUSt_chr5.19904 pep primary_assembly:MPB_Lper_Kyuss_1697:5:129135921:129136790:1 gene:KYUSg_chr5.19904 transcript:KYUSt_chr5.19904 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRILHAAGYPAPPDFCAPGGWRLSAGGVPIPPPPTGGDLLETAINEVLVTLSDEQRADPRFFPDNHESWIAFFRRRYERELRAYDGHPPPPARNNAAGHRRWLSAPGRTLENVLAHIEDGNSPVLGMPPPVAVTVSRRHGSSWMPRRMAPSSSSSGSRSAYRSGGSTPATVKQEWASLSTVKKEPASPPPTRGRSSGALVIRDQPSSPLSGRKRKSSKKEAAANQLAEEEAKRAEDAAAAEAIARSLKDLVPADNSLPEDAALEWSRRD >cds.KYUSt_chr1.40186 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246206997:246207743:1 gene:KYUSg_chr1.40186 transcript:KYUSt_chr1.40186 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTAAPMSSPKVGAGSIATPWQQLQGSESWKGLLPSSEHPDSLDADLRASLIAYGELAEAAYDGLNADESSWDAGSPLYGHAGLLAASGVSHPEHYRVTKFLYATCDLRVWPWKTSKSTRSVGKSMFVRPAQVARAGPWWWETNWIGYVAVATDDGMKALGRRDIVVAWRGTVQTSEKLKDAIFPYASVAEGLDLSAENKFADANVHSGFLSVYTTNNPRRNYRDSQIDIVFDTSPRDEVNPSLLLL >cds.KYUSt_contig_3132.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000602.1:1790:8833:1 gene:KYUSg_contig_3132.1 transcript:KYUSt_contig_3132.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAKDPQQQLHPCDSLLLELNVIWDEVGEPDAVRDKTLLELEQECLDVYRRKIDQANRCRAQLRQSIAEAEAELAGICSAIGQPPVHVRQPNQKLHGLREELNAIIPYLEDMRTRKSDLSLRKLEELTKELESLQKEKLQDFASTMLELWNLMDTPIEEQQVFQNVTCNIAASEHEITEPNTLSIDFLSYELFVDPALVLEQIEAHIATVKDEAFSRKDILEKVERYAFSMLDEYMISRQEKEHEKKRQRPTTPNGNINLEYENEKIRSVSKFQTHSFPYQGAEGDWKVFNEAAC >cds.KYUSt_chr5.36936 pep primary_assembly:MPB_Lper_Kyuss_1697:5:233686497:233693057:1 gene:KYUSg_chr5.36936 transcript:KYUSt_chr5.36936 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPSSSHPPPLHSDVPVVLSPAEITAALRDLATAVQEIHLYLVGPYGPPPAAAPAATTGPLLLPWHPPRSPPPPPPWLPWPSQPLMGPVAVVASARRSEPAPPPPRLPWPSQPRGPRCGRGPAAIATAAAATATKAAAAAAVLTDAVPAVGWAAATAAAAVATNTVEATAAATDVVPAFRRSGSDLGPGLYIDTARDALPPGPFPSVAVTAFGLDRYPPRVASVERSLFFASITMLVGNGLFALFWEDRWLGGRSISEIAPLVYACIPKRRRKTRTVADGMLNHNCAREIHGVIGLHEIGQYLTLWCMVDTVVLTGAPYQLTWKWTASGNYSARSAYLATFQGSMTCPAWKRIWKAWAPPGKLGWEVVRMAGLLLECIRYLLTRPEIKKLQYIMLTRPEVKKLLTRPEMKKLQELYLKLIEWTRTWLEKNKVEAKIDWIIIEICSRVVSATSEPCSAPKCPSTLAVDAREPPAATKPPAATKPPTATKPPAATKPPAATKPPAATKPPAAIKPPTATEPPTATERPAATKPPATTERPAAMKPPAEKKPPAATKPPAAPQRPSLKELAVLDCRPFSSRMQALRCFQISKGMSSAVVASPLRICFGVACLATIHQSLSEVVCIQRKRNRTVRDWLAYSAPVAVAMSAAGLGTPSPEESALYFLQHLEDALRRLQHKDLVQGDCSQNSLDRKPPRPVHQPGLPCRKFCDGLGH >cds.KYUSt_chr2.9577 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60435982:60437532:1 gene:KYUSg_chr2.9577 transcript:KYUSt_chr2.9577 gene_biotype:protein_coding transcript_biotype:protein_coding MQERNRVDSQCQWRPCSPRVPRYLRTAAALAAAVQTLINAPPPRPESQSLHAQLLTSGLHPTADLSVKILILHLRCGSHRNARAVFDGMPAPTHAAHNYLVAGYFRLGLPGEALRIVRRLAGITGRLDVFALSMALKLSGALALPRAAREAHARAVRTVLQFDDILFAALVDAYVKTASLGYARRVHGTMPAPSVVCSTALIVGCMNEGLYRDAEAIFDGTRDKDVVVYNAMVEGYSKTEETAESSLEVFKAMQRARFRPTVSTCVSVLGACSLLSSPELGEQVHCHAMKSNVVSDIKTGSALLDMYAKCGQVEDARRVFNGMTDKNVVTWTSMIDGYGKNGLSDEALQLFDEMRRQRVVRPNHATFLSALSACARTGLLAQGQEVFQSMESEYSLKPRMEHYACMVDLLGRFGSVRQAYDFVRGIPARPNSDVWAALLGAATLHDEVDIADVAAKEVFELSRGGRPGAYMAFSNTLAAAGKWDGVHDVREMMKRRGVLKDAACSWVGSDNSSPAD >cds.KYUSt_chr6.30019 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190209751:190211744:-1 gene:KYUSg_chr6.30019 transcript:KYUSt_chr6.30019 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSRARSSSSSKRASAPPKVVAVLAGLLERAATRGDADGSSSAAAFRSPGGRKPEIGVRRYAERIYRYAGCSPACFVVAYAYLDRLAAPAPEEGEGEVVAVDSYSVHRLLITSVMVATKFMDDIHYNNAYFARVGGVEVSEMNGLELEFLFALRFRLNVTPDEFASYCGTLEGQMTTTTTPSLSPTQLLMISPEEEESRRQEQGKVDAAAMAGITTFTVARLSVVEITQ >cds.KYUSt_chr2.11074 pep primary_assembly:MPB_Lper_Kyuss_1697:2:70335532:70336983:1 gene:KYUSg_chr2.11074 transcript:KYUSt_chr2.11074 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKAAYHRFVDNFQPVLLVSLAAASLVALMRLGTEELTSLVRELQPVHLFFTGFLPAAAVIFYLMRRPCTVYLTDYACFHSSFNHPLARIPMASFVEHNKKTPSMNERSVRFISRLLERSGLGEETCLPAAHNFVPTHEYCTLDNARAEFELVVFSAIDDLLAKTGIAPDAIGILVVNCSLFCPTPSLVDMIIHKYNLRGDIRSMNLSGMGCSAGLISVGLARNLLQVAPRGSHALVVSTETITPNYYVGNERAMLLPNCLFRVGGAAALLSTSPVKARFRLKHLVRTHTGAGNDSAYRCVFQQEDDEGNVGINLSKDLMVIAGKSLEANITRIGPLVLPLREQLLFALSLVARKVFRARTKPYIPNFSKAFKHFCIHAGGRAVIDELQKSLSLSDEQVEASKMTLHRFGNTSSSSLWYELAYMEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIEPARNADGPWATSIHRYPVVIPDVLKH >cds.KYUSt_contig_257.278 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2198323:2201080:1 gene:KYUSg_contig_257.278 transcript:KYUSt_contig_257.278 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDLFGSGVPARVLCLEPGADLWVDVPPSVLAHLQHIAALGEHLFRTPLADGRWHVFDFARMIRYDEHGPGVSTAAALAWYDEAGRAFFPPGKAHLQVQPSEAFTVDEHQAVEIVRTWTMGTAVVTGAERCVKGDVAMRIYRDTEQEFGGNVKLAWYGAPPEDVQIAGKTLFRCPNWNLIGRRRAHGHGLHLSPLRFPHLSGSWFENAAYVLVVGLPEAIHAGSDQSINTRPEVKSSGAVDCLPNPSWYVVWTEHMNVCVVPLCLVRFMKRPALVLGPMITCSPVFLNINKLRREIKRILPSSQLQDLDRYFDSDTGNQYNFSKCVSDLIGLDMFCGAVLKTLEL >cds.KYUSt_chr2.12095 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76712921:76714559:1 gene:KYUSg_chr2.12095 transcript:KYUSt_chr2.12095 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEQLSYGSFGSIAIATILFSLVLRLALGGKDTGVKLPPGPWNLPVIGSLHHLASTKLLAHRALLSLSRRHGPLMLLKLGEVSTVIASTPEAAMEVLKTNDLALAIRPSGPTVDAISSGGKGLIFAPYGEHWRQMRKVCVVELLSTRQVWRIASIIQDEVAYLVGSVAAAPSVVKLGEALAKLTSNVIARAAFGSKCPQQEEYLRELERMTNLLGGFSLVDLFPSSLLVRWLSGNERDMRRSHARVHRILGDIIEERKQKKPSTAAKRDDEDLLDVLLRLQKEDTLRLPLTSEIIGAVIFDIFGAATDTTAVTLEWAMAELIRNPHVMARAKLEVRERLGKGRSTVTSADLGDLRYLRMVIKETLRLHPASALIHRSSQQNCQVMGYDIPKGTTFTINAFAVGRDPTHWGKDAAEFLPERFEESGASSVEYKQGTQMEFIPFGAGRRQCPGALFATTTIELVLANLLYHFDWAVPYGAGPDALDMSEVFGIVLRPKSSLCAEAVRSSHSTEALVCVS >cds.KYUSt_contig_3828.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000743.1:157508:158353:-1 gene:KYUSg_contig_3828.26 transcript:KYUSt_contig_3828.26 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFVLVLVLAVSGCAAGDDEAMLPRLKLRDVSMNGCGSFVSLLNVTANATDTSHFQRRILLGGGGGFAVFCPDVAWQGLVGLMSKNGCGSFAGLLSATASAAEIFQEHLLGGGGLTVFCPDDKAVAAFDPTFRSLAAGDRVAVLLYHGVAACYGRERFKGFNYVSVHTLAEDAATKKNQAITVRDEGGALALWPAPPSYPNGGAWVTKTASEEAPLAVYVVDTVLLPSTVACIGYLGWLRCSIAPFSDWIMPICIMSSAGGLVGALLGVLIAEFLIPID >cds.KYUSt_chr2.52369 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326723653:326726497:1 gene:KYUSg_chr2.52369 transcript:KYUSt_chr2.52369 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRCRPRSPAVKTPLEDDDLLSEILLRLPPRPSSLPRASLVCKRWHGLASDPGFFRRFRRHHRRSPPLLGLFDKHDVPTFVPTLEAPDRVPPGRFSLQRRDGDRFMSLGCRHGLVLIVNFTPDQILVWDPITSGQHRLTIPPGIATHAEKTIINGAVLRAGAHFQVVLTVADNQDKQRRRALACVYSSETGFWGDLISTPLPLGVPRSDHPIFQDFAKVSTFFTGKPAVLAGNSLYWVLAGNFQGILEFDLGKQSLAVIRVPPLHMLENGHFWNMRAEGGSLGLLFSMDTSIQLWKMKTDCDGVASWALATTIELDKLLSLESQRVSVIILGYAEENNVLFLWTCGILYMVHLESLQFKKLSESILPSNCHPFESVYTSETSIGDEHAADLLQQT >cds.KYUSt_chr2.7729 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48470317:48470772:-1 gene:KYUSg_chr2.7729 transcript:KYUSt_chr2.7729 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVLMATNRPPRSQYQRLRGDLSGGDGEEAAASAACRWTRARRPLRLRKRVGVAPRSAKKGAVRLLMRMLLVLVPARRAAALLAELVRRIASAGAAAAECPAIVFSSQWGLPALSHSASGGASRRARLRAFYLERSLSASSSSGAASPC >cds.KYUSt_contig_686-1.545 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3300688:3306370:1 gene:KYUSg_contig_686-1.545 transcript:KYUSt_contig_686-1.545 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTASKCMHGGRGNQSMWEKAYAGKSGTKLAEDGGDLGDIDAGWVFLGKSDIVPPDLAAAAAVRGGLHSSSGIPTWARWVLGGVVLTVVPFYNRVRNVEEEAVGYVETAVEVVEHIAEVTEKLAANVAGQLPQDGSLWKAAEEVEYIADIVDKDAEKVEALAKKIDNISDKIDAEVEPVIEELEKELNQ >cds.KYUSt_chr2.2407 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14554735:14556426:-1 gene:KYUSg_chr2.2407 transcript:KYUSt_chr2.2407 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGEIPARRQPVLSTATLEVAVTSSRCWHDPDQTSSSSIGKTLGPACWTGQRRQHCSPLDGPANVEVEYLLLQLDVGDACLQHRLLQHLPGTSPMIATPVPARPCLPLDDSVGTRWVRGTLAWAVLELQLSLEATYRSCGTCVKALPRSFDSAKAMRTEFQGDALLELLCCLPLDTRRKMVAAAGSMGAMVAAGL >cds.KYUSt_chr3.22415 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138434033:138434425:-1 gene:KYUSg_chr3.22415 transcript:KYUSt_chr3.22415 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAVRSLRPHVGRDFATTTLIWASGRPFTVAGCPFSWWSFTPPMVVPRTGGTDMSVLRTFVNNCSGLNIKDLAASPLEIADCFADIRFVSSSVFRYDFFPHLLHWRNRRFRLLSPSIIGGRNLAKGNG >cds.KYUSt_chr2.2904 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17152193:17157258:-1 gene:KYUSg_chr2.2904 transcript:KYUSt_chr2.2904 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSLVPVASALLLLSYLIAGNNGVAAAGTSTSSDDLKLNYYSESCPRAEEIVKEQVKSLYEEHGNTAVSWLRALFHDCTVKSCDASLLLETDAAAGLISEKSSTRSFGMRNFKYIDAIKSALERACPGTVSCADLLALAARDGAAMLGGPADIPMRTGRRDATESHYGEVESYIPNHNESVSAVLDRFASMGVDAEGVVALLGAHSVGRVHCFNLVARLYPAVDGTIEPAYGAYLRGRCPTADAKEDTRDVAYARNDRVTPMVLDNMYHKNLLKGRGLLLVDQRLATDPRTAPFVRKMAADNAYFHDVFAAALVKMSENGPLTGDHGEVRKDCRFVNKRNEMNMLLVRNNGVAAAGTSTSSDGLKLNYYSESCPRAEEIVKEQVKSLYEEHGNTAVSWLRALFHDCTVKSCDASLLLETDAAAGLVSEKSSARSFGMRNFKYIHAIKTALERACPGTVSCADLLALAARDGAAVLGRPADIPMRTGRRDATESHYGEVESYIPNHNESVSAVLDRFGSMGVDAEGVVALLGAHSIGRVHCFNLVARLYPAVDGTIEPAYGTYLRGRCPTADAKEDTRDVAYARNDCVTPMVLDNMYHKNLLKGRGLLLVDQRLATDPRTAPFVRKMATDNDYFHDVFAAALVKMSENGPLTGDHGEVRKDCRFVNK >cds.KYUSt_chr7.40044 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248700307:248706637:1 gene:KYUSg_chr7.40044 transcript:KYUSt_chr7.40044 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLSFFLLSLGENPRSSDRAVAALRCRDLLEDIVLESTTRSSPKVVWRRWLRLAIFGKACSVPFPGRASLVLLLGLESVAEEGPCKRTCDVSGSTASPSSGSHAWDNLLDSMLHQIIALISSFHDFLAFTGTCRSWRAAASSFPSVYTFAFPPLHLEPDIGHGILNSNPKWKLVDPAKKALSLRCSVPRITPCRMRYLGCSNGYLVFSDREHCHLVDVYTGAKVTPPKLQSDGNSLIYGILVAPLSSPNSHLILFSKTSILRWQVGTNSWTVYPLVGEPILQVVFFKGQVFAMDFVQRLHTIHIVPQLSIQEVAVVWEEGMLVGMHSKPWLVVCGDMLLLVDLSVSMDLLFGFPGHFQIFRLDFSDEPAKWVKMQKLDSWALFLANDRRTSTFSCMNPERWGGKRMCYMCSSDATIVVFAAGIARSLAAGCVQLRSGVGHRERDESKVFMVVGYWKRSAMIIRAMRTCYDLASSVFAASVPQGWAELLDDLLQFIVERTRPLSSLCSSNPFSIPTLNNLSRMTAGSFSVSADGNWLTLLPMLLSPVEVCFPRVSSEIMPFKGKTYALGSFGWFTVIHLSPGLIIQKFEVEFEEKISEYL >cds.KYUSt_chr6.7541 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45858259:45869189:1 gene:KYUSg_chr6.7541 transcript:KYUSt_chr6.7541 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSLQYENQKLVQQLEAQKSEMHILETKFTELRNEQCSYDDTLISLNKMWNQLIDDLVLLGVRVGGDLDNLQALDHEDVSEDSAESFPSEEIFLFMLLKSKNSRNNDGSSLLKFVKEALASRHSATVALMRSLQEAIAAQQARSESLSLALNGEKSNEDVIDALQNHNNYLKEVVGNVRQAISVVNEKHKRYLDEIEAFKNNYPEELRETKRLSGELEETMAELEESRRKLVIQQLQRHGGLLMNGSGSNGVNGLSTDKSSDKSMGWGDLKDAVDEAKTLAANRLFELHETQEDNLILSRQLEDLQGQLKDEKYIFTSKPCTILSDQLHHLNAEIERYKGLVEVLQNDKNQFLQREKEICAKGESVDNIKQSSTTCEAKIEELENQILKFMAEKNDLEIKVEESLQDSGKKDFKDEIHVMAAALSKEMEMMENQLNRSKDAASEAVALREEAESLRTLSAKKINEHKEISDRYNTQLTEIKSLKALIETLENDKQKLEYIVDMYGKECSESRTIAEIKESGNQARKQAEYLRTSLEEHSLELRVRAANETETACQQRLSFAEAELEELRTEVDAFERDVLELNEAIKIKEAEGDAYISEIETIGQAYEDMQTQNQHLQQQVADRDDFNIKLVSDSVKTKQASASLLSEKHLLQKQLHQVNSSLDSSKQKIARGEEQMKSYVAQAIKTSLENRHHVINLEKTLMEVSDTEKELKWLRSTVGSSEKEYELNQKKIAELRVELERERGEKRKLEEEYEEVKNEVMELTSENEEATIQKLQDEIKDCKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLELRHRKCPGCGTPFGQNDVREVKI >cds.KYUSt_chr2.45198 pep primary_assembly:MPB_Lper_Kyuss_1697:2:281721469:281722200:-1 gene:KYUSg_chr2.45198 transcript:KYUSt_chr2.45198 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQVLVVPQVSNDDSCTCSGSGSTTTSSSSLNASSPSSGDDGAVVAVGKKRPRRDLKHPTYRGVRMRTWGKWVSEIREPRKKSRIWLGTFDTPEMAARAHDVAAIAIKGRSAHLNFPDTAHELPRAASAAPKDVQAAAALAATASPSPPDITAVVQDHEDLEPAAVPEQESPDCVIIENAAPQDNGGIGLAADFSFFDVPDALLEFAFTTLPEPPSYRGSPWDDFVDDDLFLGEPLQLWEH >cds.KYUSt_chr7.24724 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154117889:154122998:1 gene:KYUSg_chr7.24724 transcript:KYUSt_chr7.24724 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALRAAFASLYLLLRRTMLCFPCAAARSAHAAGWVPLAPPPRCSSRAEVMGYAAFGGSEVEPSGRFLGRDFSRANLIGDGLGPAAASLDAAICPHAPSSPPPPAQPLARLLHRVAHLPPALYHRNAALVATSRRGSRLDGAIGGIKLHRPAATIPPPPASEDELEDDEEEDEEADEEAEEEEEEQEEEEEDEEAEVADDEEDSTSSDEEVTSRKHRRHDDEAGPSRKK >cds.KYUSt_chr7.24966 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155889444:155894562:1 gene:KYUSg_chr7.24966 transcript:KYUSt_chr7.24966 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARKEWRAVPDAPLRSNGAEDAGERAKMGQSDGRPIYEDETGGLEDFCSITIDGSGGLSDDILQQRLQSIMRQREELQQVEIDLRAQAIAHPQIIDLQRRFGEATKEHVVAAEKLKEQLHEREKYILELEMKLDATHRELDALKIDHQTVWANQDLLREQTKELASFRRDRDNIEAERAQHLKQIHDLQEHSREKESQCLALEEQHRVAQDNILYKDEQLRDAHAWIARVQEMDILQSQTLQSELRERTDQFGQCWATFQQQYIEMQQSFLHTIQRLQLELFELRDRSGEQEDGSQAPQEGSGESSYVQSKGSNMDPNGSALADGSQSANVFPKGYNAHPLPAVPSPLLGVGGFVPPGQMAGMHSYMLHPQGIPQSLASPNSGIPQFGTFQPTIQPSLHWPNQQEAQTASQTPDATNYQPSQSDQNAIQPAASNNADLSSKQSQVTHPEHLAAHVEQQQRSISVVAESTHEPKVMESNVTEDLVYNEEQKAQDSSSNASSTGKFEHLEEKVELKGEKVASGKQSVEQLPRKQNQASDFAGSTTQIHSNNVIPELKSNVANQGVTHTSAGGGAGSPLPYAPKEPVLLDERSLLACIVRAVPAGSDNRIRISSTLPNRLGKILAPLHWHDYKRQYGKLDDFVASHNELFVIEGDFIHLREGAQQIISATTAAAKIAAAAAASASHSSLLPSVAVTPVAQITRQKRGPVGDSRTANSMPSGNGTTTARFGDQHQANGFSDEVRAGQSSRHTTAANGGRHDKGPRHGYGGKQQGRSAGLESNSRR >cds.KYUSt_chr5.18393 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118851709:118856592:-1 gene:KYUSg_chr5.18393 transcript:KYUSt_chr5.18393 gene_biotype:protein_coding transcript_biotype:protein_coding MFNWGRKVAPHAVLVGTILNRGAAFSSCSKLFVGGLSYDTNEIALKDAFSQHGHVIEVKVICHPVTGKSKGYGFVKFSTEDEAAAALEKMSDEAVMVNWTIGVPRYKLAIIDADDEDHDVGDSVGKRADVLVVQSYQVPMAQCCSRCNRGDMVGERADVLVVQSYRLAGAFTVRAIRSYWEDLMSWMSPYVKLVFAYSFPKKNMRLLPIMNQAEKNELLSQTLVLRLPDCRDKLKKQIHKQAEKLTVIESSPESSASRGDLFG >cds.KYUSt_chr3.45949 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289298705:289299662:1 gene:KYUSg_chr3.45949 transcript:KYUSt_chr3.45949 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGQGRNWGRMGGGYGGAEDVAGLRETRWRRKVRRRGQPPDDGAVQGRARPVLLRPVREPGVVHVFTLGDASWRDVQAGPDARCSLGRSSLVDVDGMVYWMTEFTARVIAFDLEDERVTRTAPLPIHARPSTCRLTKVHARLGVAVSGGDSLTVWVLEGESWSRRYVLEAYKLRKQELAVPHFAHGDYVLTHGRSGETSVLYRHKMSGAARLQGGGGVVQIERKDKGDSVTSLVQSIYRTFAYTETNESLSMYNATLMTGRRSAGS >cds.KYUSt_chr1.20329 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119808738:119814998:-1 gene:KYUSg_chr1.20329 transcript:KYUSt_chr1.20329 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMFRNKVLVRAGPSSSSTPPPPFLLRQVSFSTDAPSVDIEILCCRLSRRHRLACLPQPPADALLVYQVSLHCLALAFVRFLTKKRNLWGFRSMQRCQSAAAAEAASEIAAAFLDASVGEEEEVVCSGALVAKAVECGLRCLMLERGWRFVGGSIFVDSTFAASEERTDLRALNVEVRSGLNDDYEFVVSPDAFRFTALKHGLEMNYSYHAAVKLTCGVSWEKQWLPSPLVLQGSGLQPALKSVRASKAMSSLQFFVELLTAWNFFGQNQFVVKVSVHPKRKRAEFLENSDKGETAKDVPHDTKLMLDIKDVLSAKTIETKSKTMVGKDEINFMTKSKRKPAVVKEELTKKVIDHQKDVTQKVAKAKTVLAKDELTLTAKTKTKPDVNKNESAEKAIDHQKDVTQKVAKAKTKPDVNKNESAEKVIDHHKRGEMRLLTVAELKCFLSIKKAKVGGTKEVLIQRANELLS >cds.KYUSt_scaffold_6468.553 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2652901:2653698:1 gene:KYUSg_scaffold_6468.553 transcript:KYUSt_scaffold_6468.553 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATGALGSLLSKLVELLADEYNRLKGLRKDVEFLESELRSMHAVLRKVAEVPRDQLDEQVRLWANEVRELSFNMEDVVDRFLVRVQGPDDRIKSSHKLKRLMKKMADLFTVGRTRHQIAHAIKDIKGQVEDVAARRDRYRINDIVVNPTATTTIDPRLLALYKDQKELVGIQEACSELINRLANGNNDVSTQELKILSIFGFGGLGKTTLAKAVYHGIKEQFECMAFVSVGRNPDLKKLVKNILFELDQKKYENFNEAGLDER >cds.KYUSt_chr5.38646 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244566142:244569494:-1 gene:KYUSg_chr5.38646 transcript:KYUSt_chr5.38646 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPAEAAEASASPPKVSPGGAGVGGIGGAAPPGAASHETNTLWVGNLPTHAGEDDVMAAFVPHGALDCALSRAGARSYAFVLFRAVAESRAALEALRGSRVKGSAIRIEFARPARAVRNLWIGGISPAISKQELQEEFQKFGKIEGVAFSRDQTSAYIDFEKLEDAISAHRALNGTELGGKELCVDFQRSRGRAERPEAGNFNSRGSVPPGEMGVGHVKASAGVRAREADPSNVLWVGFPNTHKLNEEALRRAMAAHGVVTNIKVFPERQYAFVEFATIEGAFKAKNLLDGRLFNDNRIHVVFSNSGLAPSKLDNLTPPAGLPGSDMYSDSPYAAPDYFGPGRATSQGYDPRHGRSGYLDYGAVPVPGGILPAPEHGSSFSTGRSAQNAFDPRDAKRTRMDGGADRYYVRAGSEAVHPVRFAHQDGVALAEESLSPVIRIQGTVHQTSSFGHFWRGSIAKGGSPVCRARCLPIKKGIEIPLPDVVNCSARTGLDMLAKHYGDASGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDSGTTLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQMSTAAVQRPLLTGPESQPYYGMVSSNDNHHQDAQYRGSLREEAVQSSLSSFPMNQIAGHQAQASLKPDIMATLAQLMPNVQSAVPATGQMGSLQQPGQQASAAHLRSYGGMAGAQEQLTQHTAYNPEMTLNLPPPPPAPSHAPNSVGSQQQYQPEPYYASQNNYGSVQQQQNVASGSSQAPDEADKNKKYQATLQFAHNLLLQLQRGSGNQP >cds.KYUSt_chr5.39510 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250050825:250056883:1 gene:KYUSg_chr5.39510 transcript:KYUSt_chr5.39510 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAALLRALLPAPPLAAPRCRRRVGVPVRCAPGEGAAWLSSAVGEKVDELLRREENRALLDGVEAAERRVELARAALADIERQEAEARLASDELRILQKRRDEIAESQRELQQAREMINEAQLSLTSSLEDRGFWDTSSGEDIDKDSERLESVKAAAISSIIGVLASLPISSYEVQSLPQLFIRSSVVLISCALFGVTFRYAVRRDLDNIQLKTGAAAAFAVVRGLALLESGRPFELSTDALLSLALDGAVFNWLNRKMHSNVEYCTIDENKTMETEDPVRESVAEQDTEALLLRDVLLNGILAIGTLGHNVNSLCAEACHEQHEFISMDREKVEQEKYEEEKSGDEDDTSAMPPSEPEPAVEPAQMRSSSTNEYNFTCSVKEEILMCEVEVEDVAKIQEQPLLMVEKVEKVRTTLADLFAAETFSSSDTGEKSYQKSVIIAGASASRATLCMDKMHQKKPTKPMPDPLKATRKLSRVMRKMLGKKIHPEQLNGRSNAEGPPLLDA >cds.KYUSt_chr4.38740 pep primary_assembly:MPB_Lper_Kyuss_1697:4:239197946:239201464:-1 gene:KYUSg_chr4.38740 transcript:KYUSt_chr4.38740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit 4-like protein (26S proteasome subunit AtRPT2a) [Source: Projected from Oryza sativa (Os03g0298400)] MGQGASGGLGKQGGLPGDRKPGDGAAGDKKEKKFEPPAAPSRVGRKQRKQRGPEAAARLPPVAPLSKCRLRLLKLERVKDYLLMEEEYVASQERLKPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDETHGIVSSSTGPEYYVSILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGEGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDANSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFLIHTAKMTLAEDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >cds.KYUSt_chr7.2129 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12280238:12285000:1 gene:KYUSg_chr7.2129 transcript:KYUSt_chr7.2129 gene_biotype:protein_coding transcript_biotype:protein_coding MADPISISAAVGWGVTAVGWLASPIIPRLLNKGFALLELNAPEKLKILDIQVLQLQRVMEVVDESTYRVRLEPLLEKLKSAFYEAEDILDRVEYQSLKKQIQGAKLDASMPPRKMDFLRNCLPCAMPSSPLKDEESGMSKLQLEKSLKKIGSAISDACEVLEQLNLPGVRNDSARRAVATSSRSAVTTAGPPLRVIGRDQDRDKIIAMLHEKEYHCQVNTTSGTCYSVIGIHGIAGSGKSTLAQCVYDHEKKCKQEKMEGHFDILMWIHVSQNFALDSIFSEMFEGATHKECPKFSSLNILKESLEEKLRGKRILLVLDDVWYNIRNSEDREELQKLISPLHVGKTGSRILVTSRTEAALLTLGAVKGRCIPISDLDDEVFLEMFMHYALRDARVSDNDRIILEMIAEDIAKKLKRSPLAARTVGSRLRETQTVEFWRSEKDRDLLNSTMGALWWSYQYLDEQVRRCFAYCSIFPRGHHLKRDELVKLWVAEGFIKPSKPEEEMEDVAKNYFNELLSASFLQLGGKTLHEVGTGAYVVDYFTIHDLLCDLAEEVAGRDYFRIEKGSREEGPQDVRYLFVGAYYSEMLIEKISGLKNLRTLIIYSTEWKVFESVFNILRGLRKLRVLKLGFSGSDPNDRLISIPDSIGRLNHLRYLAFQMTAALPKITVPGTFTKLYHMQVVDFGWCRSLAFSGDMSNLVNLRRVISTSALDFPGIGRLTWLQMLVHFTIRKEQGYEPHQLKHLNKLQDRLHIRGLENVQSKEEALEVNLAGKEKLTEVVLQWDSGNCGPEDHDDVLEGLCPSKYLERLGIWGYHGMTLPNWMMGEHNGGPKNLQQLIFGGWSQQGLAPDLGPFIHLHSLYITRCSWDALPGMEHLKSLKILDIYACEHMQSLGTLPKSLEKFTVEYCNPELERLCNSWAESRKDC >cds.KYUSt_chr3.35987 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226196937:226197434:1 gene:KYUSg_chr3.35987 transcript:KYUSt_chr3.35987 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGGCLCLGLGSPMRALSRACNSACDLYVRGISGCASHMPTGAVAGGRGPGFGRSATAMYVRASSDRADDLVRASSKQRRRVAPEPAAATKVSVGQEPAAAVQLRRKGAAMETIAEDAPCEFGACTLMRPAQRRRGAAAGRLAARSGGFGAIKVGSEALPRHA >cds.KYUSt_chr7.19187 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118825191:118826417:1 gene:KYUSg_chr7.19187 transcript:KYUSt_chr7.19187 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAGGAPQLPEELIIWEILTRLPVKPVLRCRAVCRSWRRCLTSDAKFLRAHHSRQPSLPLVTTQEGQEKRIDAMDHRAGERRPVARTTATATAEDLDVLASCDGLLVLIAHGGLYICNPATRQLAPLPLLSRVNCIAGLYPHRPSGSYRVLCCVETDGRAVYHVHALGSCELRCVGEPPEPWASGDVVTMMPMMEFLNPHVLAGGRIYWHPLKLPVKGSGKVNNMLVFDTIAESFRHVMSPVDGACIELFETTNATLGLYDYNGATADLWVLQDYERWVWSLKHRIKLQMMPFFLLPDTNSDMIVLSEKGENTGLRWQYLQNISGIDGSSPTRYEWNVFLKLEKLRFKQSLVRHPFFSMEDNNDGAVDEKPLFEGLSTVKVDLDDDYEPHTEESMVPKSAAGASHP >cds.KYUSt_chr2.2151 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13027738:13031563:-1 gene:KYUSg_chr2.2151 transcript:KYUSt_chr2.2151 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQKHFTVKITNVAVERMAGFDAVTVEAIFTLFCYATAMFNSALSLLPTYASCKRRALGPGGRLPDPIVAALLANAAWCAFGKIEKSKEAIWINVPGVLAQLLNLVTYAVLCNHGERVGLLKRLGYAAAYLAFIVWVGNMPGNVELFKVYCTLAGIAAAGVPWFITVYVTEPKTIDILPHWAVSAFSIVNGVAWAVRGFKYIWNVPMAFQNGVGAFCSFWLLALLYKWRKQPENKYPPLIDRRGTSKSSDNETSDNETSDSDNDTKNKSPDGNGGDSDNDTKKKSIGSPSASAYKDGCSNIRDDDDERSTADSQKTPMDVKKELGDNGAAPITTSPAPAPAAPVSAPAAAEAEIVEEAEAKIDVKEAEAKIDVKEAEAKIDVKEAEAKIDVKEAEIVEEAEAKIDVKEAENVEEGEIVEE >cds.KYUSt_chr5.29898 pep primary_assembly:MPB_Lper_Kyuss_1697:5:189608025:189608543:1 gene:KYUSg_chr5.29898 transcript:KYUSt_chr5.29898 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATTDSAARRFAVACGVLSRLVKAAGPVPMPMPMSAYGAAARVGALQGPDGPPADGAQQLTIFYGGRVVVLDGCTPAGAAELIRYAAAAATPPAAAAATALVDIPIARKASLQRFLSKRKDRSVTALEGPPYSRPEEAAPPPPAKKRKTEASSWLALGSLGDMHAQRSIA >cds.KYUSt_chr6.5926 pep primary_assembly:MPB_Lper_Kyuss_1697:6:35530963:35534514:1 gene:KYUSg_chr6.5926 transcript:KYUSt_chr6.5926 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSSDSEARMAKVKKIQERMAMTKAFLQRQQKLPTKKKANDDESADPSSTNLVAIQDNHLDKGKSALAKKTSDEEALDYAWYRLNWESNCCKNCDHFENLTVLSSMQFTHYTPGIIPYNSAGAAVDTLQIFSMKLKLTDDIAGGFELPLSVYGVVAVRDTVDSSRNILFSCDRTMAQELTQDDPFLHLTGPSRAIVSMDMLYFEIQLKVKGAAESQDNSLITCARGYTGGCGSGISTLCFKNVFCTLELCLQPVKRTVQATILTVQIVKKKELWPLSFAYGGLVACTPLPRTTMVSADSGVSSNQIVLIESKGRPMPKGVNGHVHLWRQVVSVERGGGLDVVIQAYSKSGAVHVEGRVHFTSQTCSVSQQECVVGEAQVTVDVAWSLVPDDMDDVVSFQADRGSVVGKPEDFLTKVGKAEAPVTEAGKAEESVTEILKAQESDQEASTVKVKKIQESMAMTKAFLHSQDKLPTKKKAKAKDEESADSSSTNSMTIQDNHVYKGKSALAKKTADEEAADYAWYRLSWESNCCKNCDHFENSTVLSSMLFTHYTPGIIPYDSAGAAVDTLQIFSMQLKLTDDIAGSFELPLSVYGVVAVRDTLDSRRNILFSCDRTMAQELTQDDPFLHLTGPSRAIVSMDTVYFEIELKVKGAADSQDKSLITCAQGYTGGCGPGISTLCLKNVFCTLELCSQPVKRTVQATILSIQIVKKKELWPLSFAYGGLVACTPLPRATMVAADCGVSSNQIVLIESRGKPMLKGARGYVHLWRQVVSVERGGGLDVVIQAYSKSGAVHAEGRVHFTAQNCYVSQGECIVGEAQVTVAVAWSLVPDDMDDVVSAEATRALCLLFKLDDAQGIAVKEAMLNRGGVAALDQFATTGDINLDNV >cds.KYUSt_chr2.15395 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96928670:96932380:-1 gene:KYUSg_chr2.15395 transcript:KYUSt_chr2.15395 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSLEARDASEGMVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRAIGVRIKEEAEIIEGEVVEISIDRPVAAAGGSSGAPAPAGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVVALDKASGKVTKLGRSIGRSRDYDAVGAHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITTIRGTNYRSPHGIPSDFLDRLLIITTQPYTEEEIRKILDIRCGEEDVEMSADAKVLLTKIGTETSLRYAIHLITSAALACQKRKGKVVEMEDISRVYSLFLDVKRSTQYLMEYQSQYMFSEVPGEADGDDAMLS >cds.KYUSt_chr1.27434 pep primary_assembly:MPB_Lper_Kyuss_1697:1:165388217:165392487:1 gene:KYUSg_chr1.27434 transcript:KYUSt_chr1.27434 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLACAAGSDDTTKRRATKSKSFKDVENYEVLVLGKNCGCKFKSLRYLLIAIISATFVTLLTPTLYERQLQSSSLYVDVDWIWEKTISDPRYVSSVDVQWDDVYSSLEDLKAGNQKLKVGLLNFNSTEFGSWSRILPESHVSIIRLEHAKESITWPALYPEWIDEEEDSEIPSCPSFPEPNVRKGVSFDVIAVKLPCTRVAGWSRDVARLHLQLSAAKLAVTSSRANRKVHVLFVTDCFPIPNLFPCKNLVKHEGNAWLYRPDLRAIKEKLRLPVGSCELAVPLKAKAQSIRQAGSTRDLVILVDDTISNHHRRGLEAAGWKVRIIERIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKQMNFLKHFWEGDSEAMKAKKTQLFGADPPSLYVLHYLGVKPWLCFRDYDCNWNNLMMREFASDVAHDRWWKVHDKMPQKLQSYCLLRTRQKAGLEWDRRQAQKANVEDGHWRRNITDPRLKICFEKFCYWESMLWHWGETNRTKSTPVLSTPTVSLSSS >cds.KYUSt_chr3.27553 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171951372:171953154:1 gene:KYUSg_chr3.27553 transcript:KYUSt_chr3.27553 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQESLVYAGVMRGHNDQVTAIATPIDNSPFIVSSSRDKSLLVWDLTNPIQATQDSSSEYGVPFRRLTGHGHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGATTRRFVGHDKDVLSVAFSIDNRQIVSASRDKTIKLWNTLGECKYTIGGELGGGEGHNGWVSCVRFSPNNFAPTIVSGSWDRSVKVWNLTNCKLRNTLDGHGGYVSAVAVSPDGSLCASGGKDGVTLLWDLGEGKKLYSLDAGSIINSLCFSPNRYWLCAATHDSIKIWDLESKHIVQDLRPEITVSTKQLLYCTCLSWSADGSTLYAGYTDGTIRIYKISGFSYSS >cds.KYUSt_chr6.11450 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71032150:71034434:-1 gene:KYUSg_chr6.11450 transcript:KYUSt_chr6.11450 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTEEQNKLFERALATYDKDTPDRWQNVARAVGDGKTVEEVKKHYVKLLKDLQRIHGGSQGSHYSTSGASSSNSNSSGGANEDHSIVVIWLLVEISLRWSTHGVDEASLISSWLFFHQSFIDEDLVPPLLLLTVVYQYKGVVALEFENEIGACCLYVM >cds.KYUSt_chr3.48357 pep primary_assembly:MPB_Lper_Kyuss_1697:3:302475217:302476789:1 gene:KYUSg_chr3.48357 transcript:KYUSt_chr3.48357 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHLLLIGAALLSLTFFSGSALAGEVGVCYGMLASHLMQPPAVVQLLKNNGITKVRLYNADAGALRALANTGIKVAVSLPNPNLVEAAGTMSYAVEWVKNNVMAYPGTLIDSVAVGNEVFHQAPELTPQLLPAMKNIQAALASVGLANAVKVFTPIAMDALKVPSWPPSVGEFRDDLAQSVMGPMIDFLDQTGSHLSFNIYPYFAYKEDPKIDVDYVLFRPNKGQTDKVTGLIYYNMFDAMLDAVFHAEEKLRNSLGHARGRMLEASDSSATIHETGGEAKVGSTNHTVTGQMSAAPAGWDAQAYNSNLISKVLRGTGTPYRPNADINVYIFSLFNEDLKPNEEERNFGLFYPDGTPVYKVDFQHPGPSPGPGTSWCVANAAVGDKRLQDALDYACGIGQADCSAIQPGGRCFDPDTKVAHASYAFNDYYQRNGRSDQSCDFGGCGSVVHQQPKFGNCLL >cds.KYUSt_chr4.7141 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42286521:42288096:1 gene:KYUSg_chr4.7141 transcript:KYUSt_chr4.7141 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLVSPSLIKPRADTPSCTDFRSAFWSQSLIAGEEFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIICKKADIDMDKRAGELTTDEMDRVMTVLQNPRQFKVPDWFLNRKKDYKDGKFSQVVSNQLDMKLRDDLERLKKIRNHRGLRHYWGVRVRGQHTKTTGRRGKTVGVSKKR >cds.KYUSt_chr5.36001 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227437486:227438214:1 gene:KYUSg_chr5.36001 transcript:KYUSt_chr5.36001 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTRGGRPKGIPPNLLQLALKFNESKMVCRKCYARLPPRATNCRKKKCGHTNELRPKKKFV >cds.KYUSt_chr1.28578 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172688978:172692825:-1 gene:KYUSg_chr1.28578 transcript:KYUSt_chr1.28578 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDSGGGGAAADVDVGGPLWDWDNLLNFAIDNDDSLVLPWDTPLVTNADPAEAAPFPTPAPLHPADADAEPAPHPPPPPPPAVAGGSRRGVRKRDPRLVCPNYLAGIVPCACPELDEMAAAAEVDEVAAEMLAGPRKRSRAAAPSRGSGAAARSGAGTVAGRGGAAEMRCQVPGCEADIRELKGYHKRHRVCLRCAHASAVMLDGVQKRYCQQCGKFHVLLDFDDDKRSCRRKLERHNKRRRRKPDSKDTLEKETDEQLDLSADGSGGGELREGSLTGKLRELVDTAVRRRVDVLCVQETKWKGQKAKEVEDTGFKLWYTGTTSNKNGVGILVNKSLGDGVVDVKRQGTG >cds.KYUSt_chr5.34429 pep primary_assembly:MPB_Lper_Kyuss_1697:5:218293455:218295765:-1 gene:KYUSg_chr5.34429 transcript:KYUSt_chr5.34429 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTHTLFFSLLLVCVSLTVDGSQEDQLTRFIQSKAKKSHTVRATSAIGPAENDPWADPSSFSHLPSKCPIPPAGTKEADKIMALPGQPPRVNFDEYSGYVTVSEVHFRELFYYFVEAPYEAASKPLILWLNGGPGCSSLGYGAFQELGPFRVNPDGKTLSRNKHAWNNLANVIFLESPAGVGFSYAANDTNNNDNVGDRRTAEDTFVFLLNWLERFPEYKGRDFYIAGESYGGHYVPQLATVIEFMNQLHGSTLINLRGIFVGNPYLDDYKNEKGELEFLWSHGVISDEGWAGILANCSFSPSDDWPCTVAALKPRKGHFDHYNIYAPLCLQEPLTGTFYSSSYLAGYDPCADHYVEAYMNNLVVQEAMHTRANTSWSSCSEDVGLEYNPGPVSVVPTISRLIKRGLSVWIFRIKVSDQRENIFLSISGDMDSVCSISATRFSVHDLNLPITSPWRPWYTPDTEVGGYVQQYSGGFTFASVRGAGHTVPTFQPKRALVLLYSFLKGMLPPDDIPN >cds.KYUSt_chr4.13540 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83381395:83393578:-1 gene:KYUSg_chr4.13540 transcript:KYUSt_chr4.13540 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAEADAAAVLGADPAPLTALLADLVSPANDARSRAERTFHSLRATHPDALALRLAHLLLSPSHPSAPMAAVLLRRLISPASQAFAYPAMSPATQSSLRALLLSASSTPTLPKSISKKLSDAVAELATHLLPTNSWPDLLTFLYKSIASPSSPPALHESALNTLARLAPHLAAIFPDLHNHLLSSLSHPTSPDIRVAGLNAAISVIQSLPTAAARDGFQDLLPAMMRALADSLNCGNEGSAQEALEMMIDLAGAEPRFLRRQLPDVVASMLHIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRRLPRYVGRLFAVVMAMLLDVHDEPTWYAAVSEEEDAGETGSFVFAQECLDRLAIAVGGNTILPVAAELLPSFIGAEEWKRRHAALITIAQIAEGCAKVMTKNLDQVVGMVLNSFNDPHPRVRWAAINAVGQLSTDLGPELQNQLHHVVLPALASAMDDSDNPRAHAASAILNFSENCRPDILTPYLDVIVTKLLVLLQSGSQMVQEGALTALASAADSSQEHFQKYYDAVMPYLKAILMNATDKSSRMLRAKSMECISLVGMAVGKQKFRDDAKQVMEVLMSLQGSQMEADDPITSYMLQAWARLCKCLGQEFLPYMNVVMPPLLQSAQLKPDVSITSAGDDGESDDEGVETITLGDKRIGIRTSLLEEKATACSMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHDEVRKAAVSAMPELLRSAKLAVEKGQAQGRDNSYLKQLSDYIVPALVEAINKEPETQICASMLESLNESIQMSGTLLDEGQVRYIVECIKEVITSSSNRRTDRTERSKAEDFDSEEDELLREENEQEDEIFDQVGDCLGTLVKTFKTYFLPFFDELSVYLTPMLGKDKTSEERRVTICIFDDVAEHCQEAAVRYYDTYLPSLLEACTSENPDVRQAAVYGIGICAEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNSVSALGKICQFHRDSIDASQVIPAWLSCLPLKNDLVEARIVHEQMCAMLEKKSEVSVVRSRLARISFVPKSPPNPPPIPNLNPPPMAAEDKKITLKSSDGEQFEVDEAVAMESQTIRHMIEDDCADNGIPLPNVNAKILSKVIEYCSKHVQAADGAAAADGAPAPAPAVDLKNWDAEFVKVDQATLFDLILAANYLNIKSLLDLTCQTVADMKGKTPEEIRKTFNIKNDFTAEEEEEIRRENQWAFE >cds.KYUSt_chr2.39895 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247681771:247689356:-1 gene:KYUSg_chr2.39895 transcript:KYUSt_chr2.39895 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQFSPLLLSLPVATSKPRHGRSRPPPIRASAPAEPELLAKSALRRISDKLRSLGYLETSPAPAPSPAPAPNAPSPGEIFVPTPTQLPRHRVGSTLDPSWATGDGEADAARRGRRDAAAAPPSAAELTLPRDELRRLQGVGIRLRRRLKVGKPGVTEGVVNGIHERWRNVELVKIRCDDISAMNMRRTHQILERKTGGLVIWRSGSTIILYRGANYQYPYFHGQQKLDDRLKDESSEQSSPDGDLGIASAEQCRSEEDAGNHAEDDSVDYEDEEGDNFGIVYGEQRSIGEEHGNHGNVSVLQSIIREKDANHPTLSSKGPVVHRQKVDLGFRPTAKNNDGAANKQHARFHTSTNADRPSEVRPSGRPTLVTGVGSPNKFRLQLPGEVKLAEEDDKLLDGLGPRFSDWWGYDPLPVDADLLPAMVPGFRRPFRLLPSGVPPKLTDREMTILRRLARPLPYHYALGRSSNLQGLAASMIKLWERCEVAKIAMKRGSRCIDSELVSEELKDLTGGTLLARDNESIVLYRGKDFLPPAVSLAIEKRRKHDNSTISKLKPEIEESLPTQDASELKMASDASVHRHECYEEKNVVETSGTEYKTESPNTVPHNVETRLSQALAEKVKAEKLLEELEKASQPSIAETRESISEEERYMLRKVGLQMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEHSMEDVKYAARTLEAESGGILVAVERVSKGHAIIMYRGKNYQRPSTLRPKSLLNKKDALKRSVELQRRKSLKLHVLNLSKNIDYLRGQMVNKGHENKGMHDLSNNSRTLEETSEEVPEVALEFFEPEGEECTSDGTDRALNLTRSGVPLDDTQKLCLNVLKDDSSEASRPYPNESSSTASSDNLIKYQKRLSFPVAYHSDSGSEGDFKDAKTLGPSQALDETVMDQRCKDAMTKGNDMFADLFQHYRHQVLLFIVTDFSNARALVLRRL >cds.KYUSt_chr5.2154 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14706905:14715270:1 gene:KYUSg_chr5.2154 transcript:KYUSt_chr5.2154 gene_biotype:protein_coding transcript_biotype:protein_coding MPHARADPGSDVEPAAESPTFRSASPFPRRKRAKLLTEELPHGEPLGCSVSTCDDPRENLIAGCSEERQIASCSGDQPQSSAPFVAMQENVSSVDNGGGIYPQTEVEYSNGQNGYIAYPQHQPVEGCMYMNEHGHMCGPYAPKQLYEGLSTGFLPQDLAIYALVGGKMVNPVPLSLLEQFLSQWNSAAAVSTPNESNENKTVARADKMALRDVISSDEPCWMFEDADGSRHGPHSLAELSYWHHSSYLQDLSMVYHVDSKFGPFTLVSLVDWWSGGHTEHPEATENGSGSFSAVMGDIVDDIGNQLHAGIMKSARKALIDEIFSSVLPEIIACRKTERQLAAKLKTKAVKPANVSSKKASALKGKVDTRSTIHRKENSYNTIQATSSVAIQSVAVHAKFADILSAVWQTIYYESMKNFWDGILYDPVMDYCGEWLKRNRLSSLPCTIVPGASSDNRNMKEADEPKAICDSEALECDMDFPPGFGPSLECAESSLSRPFLNIDSCEDKISRKPACSTIYFDPSSGVQLMLANELYVSAKDTLFHHFREVIAEEITNWLCFGLEDITDQELIRTPVHAPDSPSSTGMDIHETPIPPEMALDKLLDAAKMATDAISSPSVMALDEVLVTAEMVTDAVPSPADITTDETSCVAEAEPDKMLTSHVEHQSPSASYASIFEKLDVSEIAELDESFDEVPPGMEMGLPSVVVMDKNKYRPPKSVNSVPVISRYITLAVCRQALHENVVKEWASLLSDAISRCFDSWYTKRNVVSKNIDESLRPKEYAYYRKRKLRSSCEAVPSKKPLEKPMDEQLSKPLSELVDRRVHLKNVQVTKKAGKSKKFSKSPAKTLDNDVHTSNIKQDFKRLSSDVHTLKGGKSKKLSKRVLDNDVHTLNIEQDLKRLASDVHTLKAGKSKKLSKSHAKALDNDIHTLNIKQDLKRLSSDVHTLKAGKSKKLPKSHAKTLDNDVHTLNVEQDLKRLSSDVHTLSIEQDLKRFSSDVHTSNIEQDLKRLSNDVPKRQRTSHLTRSHLVDSKVPIENENESMPTKLAKKRKPKNMSTDTSQKAKPLILCPVSDGCARASTSGWEWRNWARNATPSERTRVRGYRVRSILSTSANNVWKSPQVKGTSARTNRVKLRNLLAASEGAELLKITQSKARKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGELIRRPVSDIREAQYEKSGIGSSYLFRLDDDYVVGANYNAIWYTSVQVEMQGITAFTLKFDNTTTTPRITTGGQYQVVVPSGVRSLIPGADAELYFAQPVCRPYPRC >cds.KYUSt_chr3.44930 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283142827:283143597:1 gene:KYUSg_chr3.44930 transcript:KYUSt_chr3.44930 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAVKVIGAFDSPFSHRAEAALRLKGVPYELVLEDLRNKSELLLTHNPVHKKVPVLLHGDRAVCESLLIVEYVDEAFDGPALLPADPHERAMARFWSRFLDDKCSKPFWLAMWTDGEAKKGYVKEIKESFALLEAQLEGKRFFGGDAIGLVDIAACGFAHWLGVCEEVSGVTLVTDDEFPRLRRWAVEYVSDEKIGACLPADRAVLLEHFSAKKEMFMAMAKSMLPK >cds.KYUSt_chr2.46533 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290856341:290857092:-1 gene:KYUSg_chr2.46533 transcript:KYUSt_chr2.46533 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLWTVLTHLHTLAGPGVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFVTLVEMVLESLIYWIPIWYELKLLFLAWLALPNFRGAAFIYDRFVRDQLRKHGLTNHPGSGSGSSTSVSKKENGAKEKSPSTSPKEKENAKSKFLSFVGSKKDHEKH >cds.KYUSt_chr4.40124 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247712023:247714710:1 gene:KYUSg_chr4.40124 transcript:KYUSt_chr4.40124 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSWLTAVPPDPRVYGNLIQLCADSGHLAAGRQLHTRLVTLSVIPSNFLASKLISLYSRTGRLHDARRVFDAIPQPSLFAWNAILIALSLHSPDPSAALRLFAGSGVSPDEVTISALLKSLAASGPGLSPLVAGELHALAFLRGLGADQFVSNGLITAYANAGDMRAARVVFDEMPHRDVVSWNSLISAYSRGGWYRECLELFHELVQARAGGGVQPNSVTVTSALHACAQLKAFDFGVDVLRIADEIRLDMDVAAWNSVVEFYAKCGRLQHARQLLERMPKKDAVSYSAMITGYMNSGHVDEGMDLFRQADAKGINTWNALISGLIQNGRQSDVLGLLHEMMGASILPNAATLSIIMPSVPLFSTLLGAKQAHGYVIRNGYVQSNHVVSALIDSYSKAGFLDIARKVFELNENRSTFAWTSIISAVAAHGDVTEALALFDQMVSAGTRPDTVTFTVVLNACAHAGMVAEARELFHSMQAVFGISPVMEQYACMVSVLSRAGMLKDALELVNKMPFEPNAKVWGALFSGAAAAGDVELGRFVFDRLFIIEPKNTAHGDITEALALFNQMVAEAHDFFHSMQTVFGISPVMAQYACMVSVISRAGMLKDALELVNKMPVEPNARVGVPCLVEQQQQLLI >cds.KYUSt_chr1.40427 pep primary_assembly:MPB_Lper_Kyuss_1697:1:247707249:247707938:-1 gene:KYUSg_chr1.40427 transcript:KYUSt_chr1.40427 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKSDCDCQSLGVFRGPLVSGSHDFDEHCMFGNDMYLTKGQVDFLNEHCNHFPTEEFEYYVYRMTKSAVIKNKCKLDIGKKFTAKYLKRFIDDAPGNDVTLSLEYTDSNARFKVTMHEHGKGEGQECNHSNWLVKGYEYL >cds.KYUSt_chr7.22346 pep primary_assembly:MPB_Lper_Kyuss_1697:7:138415691:138416407:1 gene:KYUSg_chr7.22346 transcript:KYUSt_chr7.22346 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQMNSSVYATTTATSPPPVAQGKKDEGREALAVVKIEVEEQDDAAAGVDYADRAQWLRAAVLGVNDGLVSVASLMIGVGAVNQSARAMLVSGLAGLVAGACSMAIGEFVSVHAQYDIEVAARRLRRKRGLEEEPGKLPIPAKAAAASALAFAAGAALPLLAGGLVRPWAVRVAAVCAVTTAGLAGFGAVGGALGGTSPARSGVRVLLGGWAAMAVCYSVLWLSRLAMGVQVSGATC >cds.KYUSt_chr1.38226 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233617464:233618128:1 gene:KYUSg_chr1.38226 transcript:KYUSt_chr1.38226 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFVRWGAVERVMHGGAMEEQARRRRWLVRELSLVALLLPPRELLKQSSSPAAGKKEQGVRKVPPSKEKAAREPTLMLFDLLELALNRVLEEVSPASLSAMACVCARLSRSGPSGHAFVHCQLAIQTGLGALFLWFTRELTIS >cds.KYUSt_contig_319.1403 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:9396454:9401086:-1 gene:KYUSg_contig_319.1403 transcript:KYUSt_contig_319.1403 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPRKRLGRAILVLAGAGYLAFLLLFELPSLPFSSPSSSSSSLPTHRSRRRELEASASTSLFSPARPSNPAFPSAASPAPSPPPLPIFSSLLVLPRSNATATPFDGTAADAFSAAKPHLAHLRTAAAAAASAASPPPSSPTCPPSISLDADNLPAEGVRTVELPCGLAVGSHVTVVARPRAARPEFDPKIAERKDRKTPLMVSQFMVELVGTKVVDGEAPPRILHFNPRIRGDYSGRPVIEMNSCYRMQWGRSQRCEGFASRPAEETVDAQLKCEKWIRDDDNKSEESKMKWWVKRLIGRPKDVHISWPYPFAEGKLFVLTLTAGLEGYHVNVDGRHVTSFPYRTGYTLEDATGLSLNGDIDIESVFASSLPSSHPSFSPERYLEMSEQWRAPPLPTEPVELFIGILSAASHFAERMAVRKSWMMYTRKSSNIVARFFVALNGKKEINAELKKEAEFFRDIVIVPFMDSYDLVVLKTIAIAEYGVRVIPAKYVMKCDDDTFVRIDSVLEQVKKVRSDKSVYVGSMNYYHRPLRSGKWAVTYEEWPESVYPNYANGPGYVISADIARYIVSEFDNQTLRLFKMEDVSMGMWVEKFNVTRRPVEYRHDVRFYQAGCFDGYFTAHYQSPQHMICLWRKLQSGNTHCCNLNSLIRAQYRLNSAAEYTSQLVSRKCCTQLSKHLMLIVRHEVGSYRIWMARIAGYPPVLAKLPLASVVLKP >cds.KYUSt_chr6.23075 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145813731:145816124:1 gene:KYUSg_chr6.23075 transcript:KYUSt_chr6.23075 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQREHAESGCGLDAVRHQRADAPAGCSLAVPMMGSDGDGRGQASTISHQWRARAASVVWASNCASRGRGLHTILMASREYGDGEVPADEDEEDMDERHDDPDSDMDLDYHHPPLEDSGRRSIQGTRVKREFTGTETKDQDAIRATVEHRGQEPKTEDCGPSKQVAVSPPSFLFVNFPPVDASAMAVDEPGNIKTEQGSSTKLPDPTAIYQKP >cds.KYUSt_chr6.32681 pep primary_assembly:MPB_Lper_Kyuss_1697:6:205852231:205853798:1 gene:KYUSg_chr6.32681 transcript:KYUSt_chr6.32681 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNRNTVADEKPHAVCVPFPAQGHITPMMKLAKVLHSKGFHVSFVNTEYNHRRLIRSRGSDAVAGLSGFRFATIPDGLPLSDADATQDQEALCYSTMTTCLPHLKKLLGELTDGVPPVTCLVADSIMSFSLDAAAELGVPCALFFAASACGYMGYHSFPFLIDEGLVPLKDKEQVTNGYLDTPVPQALGMSKHMRLRDFPSFVRTTDRGDIMIEFVLHEHQQARRAAAFIVNTFDELEGHALDAIRATLPCPVYTIGPLSLLTEQLVPDDGMLATIRPSLWREDHFCLDWLRGRELRSVVYVNFGSITTMSNQDLVEFAWGLASCGYDFLWVVRNDLVKGDAAVLPSEFLEATDGRRLLASWCEQEAVLRHEAVGVFLTHCGWNSTLEGLGAGVPMLCWPFFAEQQTNTRYACTEWGVGMEVGDDVRREVVEARIREVMGGGEMGREMRRKAAEWSDIAARATAQPGGRSVANLESLLKDILLMDRPPAGNKA >cds.KYUSt_chr6.12792 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79894123:79894434:-1 gene:KYUSg_chr6.12792 transcript:KYUSt_chr6.12792 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTRTTPSPAAHALDGDMAAAATRSVPASLVAASLVVVGLGGGALLVWWAAAFHRANARLWMVPVGLVLLGTPLVVWLSVFASGPCDRSSSRVPPPAASRP >cds.KYUSt_chr3.14331 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87027067:87027654:1 gene:KYUSg_chr3.14331 transcript:KYUSt_chr3.14331 gene_biotype:protein_coding transcript_biotype:protein_coding MCMEAGGRVGRRGGKKAAEQKAAKQPQRGLGVAQLEKIRMQNQMIAAYRSGMPPSPPTHQQHQVPFPAAVPTAGHASFQPYLTGCFDAMDRRIADAQYSQYCAENLLPYGSSRPPATSPLFVVHDSPPSSHRQQQPPQYHNWMRPSHESSGRGNAGGTEELDLELRL >cds.KYUSt_chr3.5767 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32618061:32619338:1 gene:KYUSg_chr3.5767 transcript:KYUSt_chr3.5767 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVPLVLSCTVCTVDDLNRRYSLSKDAAKAEPPASRPLSIVSWQGFGSKEGLRLDAHLAEAPALSYLTVRREGSFGGAVQAVDDNLIVLDSYDGCTCAVYLVYDAVEQSLTKIHSHPSSLSPVQPGMKLDISPALRVLIARLPGDDGRSYALVKMAQKAMYNIQDKSTEKQDVLYMWRSRSRQWFEIRAEFPPQFKGDYVARSNMTLLPFTCGGHAFWANLFRGIMYCRVDAMLAALAPHSRPLKFGFINIPVELSTPCPISCALEMRSDMYRTIGRSGESSIKFVTIDGFVQLLNFSDCMLNVWSLSPDKDMHRWTKSSLCLGSLVAQFKNDDLPIDMVPMYPSLSAEEDDVVYFMLGEYTKCCHAHRGSKNRCNGYIPRAKNPRYHIRVDMCRGVLLSYARLPDPVSPSMAITSTSVVPCQ >cds.KYUSt_contig_2368.28 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000311.1:153156:157112:1 gene:KYUSg_contig_2368.28 transcript:KYUSt_contig_2368.28 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRDLAEEREALGADECIALTLNPQEFLLPIEVPINDMENTHKHMYFAVVTWEQYLAMEDLAGARVNHKWWGYREAKQTQERSGADLKTKRHIQDNQKIHVNTWNITGSLCRRRRYMVDPVDYQRITGEPLPMPRIVILQWMRCPKMNRLLRAAMLNNEPEPERPRGMDYRGPLPPLLPPVQPQDEGEPQGEGNPGGNNGQQQQQQLELLTGTAALTFDGLMCTEDMSFVKCKLESLVINHHKLEEQLAAISTRYASFHELIEKAPHVIDEICSFKDNLNFLAMKHNLIKEQVDTISTGLSSIEGAVECVSLKQKKLEEKMDTIWSWFLSSEELVEKAPQVVSEVFSLKGEVECVSLKQKKLEEKIDTIWSWFEENYKVLSEENDNVKEEVLALKENCKVLSEENDNLMEEVISLKENYMVLSEENENLKEVVLSLKKVLPPSMGKANLIGVSVKKAVSIIGMRKAKGKNKEEGLALCKLNTSIKKDILGLKKMVSLLWKANVVFSTVVPGNVFEREVVQGEGGVRTTVGAP >cds.KYUSt_chr5.8773 pep primary_assembly:MPB_Lper_Kyuss_1697:5:55595938:55597086:1 gene:KYUSg_chr5.8773 transcript:KYUSt_chr5.8773 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTFDDLSSMYPQVKHLAVEQSNVMPAVLSRYGVRSLPTIVIAHHPYVFWPLVPKDLNSLLDFYIDVTEQEPVAYLGPRKWNTTAESPQYAKIWNGSFSESVKREPYLAFSIVFICLRIFLFFFPKCFACVKGLWTQYFRHANLGVLAKLAQLLECVPQAVDVRKMWSKWRLMVGAMNTRVWASSLASVSLGGQSSPRAAGLD >cds.KYUSt_chr5.26282 pep primary_assembly:MPB_Lper_Kyuss_1697:5:166470022:166471702:-1 gene:KYUSg_chr5.26282 transcript:KYUSt_chr5.26282 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDRIPLFEVAQNLDRGNVDPIPRPPAACVKWPRSFPSSTARKGSERLAHPFVTQPKEETQRRREEAQGGGGSAPNHWRTVAWSNFRQQFADDIRMAEPKSKKGTSRNYLAWTPEMDTALLAVLVEHHNLGDHAQNGWKPHVYNGCIKHVKDQCGVDITKDNIVGRIKTFDKHYEIISKMLAQSGFGWDWDKNMVSVDSDEVWTRYVEANKEAKAYKNKVVRNWDDIQTIYSKDHANGVGARTAGECLQENDTQVAEDSPEVPPKRQRLGDAILCMMGDMTKSFDEALKTTEPLPMPKVTPPTEVLAEVKKVDGLGDSEIITAYAKLTANERSFECFMALPEDMKKAYLLALP >cds.KYUSt_chr5.27460 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173846841:173847071:1 gene:KYUSg_chr5.27460 transcript:KYUSt_chr5.27460 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAVRPHGGGSLEGGIHERDVAVEMPAECSGMWVNSGRATKEAASLVAACPAFWEVVFCDLEVAASLVDVAAAS >cds.KYUSt_chr7.1868 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10760037:10768571:-1 gene:KYUSg_chr7.1868 transcript:KYUSt_chr7.1868 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPPYDHRRHYPDHHHRGHYPDHHHHQHFAAGVAPVRSRHDQYDPYDHPPAPYTHNHLHSHHHHQPPPRVHHQHQQLPPPPPLPPPPPHRPTYAPPPPPEPYAAPPPPPPPYPHPHYPNHQRHGDEEIRRAAGHHHQPQYQHQQPQHHQHQHQQQHLQHHHHPQQQPQPAWKEAEPEDRHRYAPAHQEPEVDRRRYAPPAQQEAEVDRRRYTPAHQEPEVDRRRYALVHQEAEEDRRRYAPVHQEAEEDRRRYTPAHQEAEEDRRSRYAPAHQEAEEDRRSRYAPAHQEAEEDRRSRYAPVPELRLSPSEPRKRQRCALHDGGGDLESTSSSGQPHSRHLRQQPHPNYSPVDSYADRGPAHPGYSHESFSTHSDSKGGSRKVEMPARMTHSGSPRATITAQPRGTPPQKPAAPRRLSVWQRIEESPASVGQLPKISPSKSSNAGSASKELASVISVDCKAKSTGSNDGDSTKSVKKDAGKKVLSSVLVKPSPPESKEKERGAEKLPGKQDNNVQRIASVSPSKSLGLTGRPVAGVKKVKKIVIKKIVRKIDGTVVSEKRDTIDANANASEREEGEIITSSLEKDVVSEHNLVSTSGTAGPSNGVNLQKGENNNSINLRKRKATSAIEPKKILDPASLSGSSKHPGKGNNRSTRDPGDNKAASAVKSREALATRHSERPGKKDRNSMDSGVRNATAVFTNGNHQKEEGEILSLSGEQNAAVASKPVRISDRREDSSRGSKVPKDVNKENTVSLNGVTVNHDTAENFGSENARREDNDIFTDTNQEDVRPVRNSIVVPSTTEVTMCERKGAQKNEGMILTGLGGQDTWEEEGNMHPMSTNRRGILNTMEISANEDIQNKEGRTPMESSKGNVSVTHHVKASNTRELDLNQDNRNKESQIPVEFCQTNTSKITHHLETPNTSEAIMSKFVGRDFFNLPSSRNVESRNVVPLDNDRLEDSAGDVISNSSVARGAKAQVAELINLHRGHLSPEIDFPLVLSRESLSVSGNSEQSVPTALTLGSNFYFSNTESEGHHEKSLELLEGQKGLDDSLVKRKSVTDDDLIGVGAQNWLTLPPAVNSISMSGQFLTNDATVREDRIGLDHSMDNDASISQDHDIAQDMDQCGSVDAFSSQVNSINLSGGDMPRSDPPSPKETSGDVENNGGIVLSGLQSVSSINVVDQYAHQMVDIPAGNPNEPAIQAVESTDVMDAELVSPQVSVEPDAELVSPQVSAEPGAELVSPQVSVEPDAELVSPQVSVVPGAELVSPQVSVEPDHTYDSNAEGPVVDSSTKQDLLSSWIESIVSEAKKEHQPCKSTLPSIFLPDKLLAPKEDSRRSVLDSVGNPVVKPPLMNCTSSMPSKVAPKQVNLPSSSREPPRASSNPRHKTWHRGDMAPSTSLPGPQPSGLPPKQPPRRNDKTSNSYIRKGNALIRNPATGNLPHSSSSSSLDTQNKLNKPVTRRSMNFVRKVDSSGSVARSNFTVERPKTPPLPLHAKSINSATNLSEQLSKTLPKQLVPETEKEDPVGQLNSGADIPSTQSAQKSEASDVGKVVYVRPKSNQLVAAQRQHPDDPINSSMDKNPKEVTPAENLNSGENKGVQIVSSNSAISVLKGRPHKDQYSPSVAGNQLRNNNQGSSDLTKSSSCNEYVRVSKGNQLVRNPKKVLPERMQDCSYFVKGFCTNPACPYRHVKVNSNAPVCEDFLKGYCADGDECRKKHSYVCPVFEATGECPQQSTCKLHHPKKTIKSNKRGRPDTPQNSSWGRYFDTSLGQGSETSKVSSDQDDRPKQQRVFSDGDFMDFITLDTDGDEVDALDSLQLMGLGSGDLSAEAQADNLDALIKPLRIMRTARV >cds.KYUSt_chr2.9628 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60881778:60882359:1 gene:KYUSg_chr2.9628 transcript:KYUSt_chr2.9628 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPRNVSVAERALRGVADLIKLLPSGTVFMFQFLSPLVTNNGHCAAYNKVLSGALVALCGGFCAFSSFTDSYVGSDGRVYYGVVTRRGMRTFSVDPDASNGRDLSGYRLRAGDFVHAALSLLVFATLALLDRDTVSCLYPAMEASERTIMAVMPPVVGGVASYAFMMFPNNRHGIGYQPTRATEDFEHKH >cds.KYUSt_chr5.1988 pep primary_assembly:MPB_Lper_Kyuss_1697:5:13619005:13626468:1 gene:KYUSg_chr5.1988 transcript:KYUSt_chr5.1988 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAESREEANLEVQLHQHQHHPELLMAARAGDWAKLRAILRDDDAATRPVVFAPEVVVDIERVDIAVDRVETLELDSILHVVAASSAVGHGRLTCATMIHAKAKHLLDAGNRNGDTPFHYAARAGGIEMLSHLISLARAEPGGGGDARVNEVLRKTNKQGETVLHDALRLGDKKSMKKMVNKLMEEDAELACIPRENATSPLYLAVSLGLDEIADLLHSKNSDLSYSGPDGQNVLHIGVLRGKAMTKKLLDWNNVLTKQVDQCTGSTPLHIAISWGSRCKAVIKLLLERDESPAFQGDNLGLFPIHVAAMRNSWSTIRILLKKAPTCAELRDTQGQTFLHVAIKNQRPSMFGGWHNHKLFPSILNVQDNDGNTPLHLAATVGNQWSFYLLIRNPKVQLDLVNNLGQTPMDIAWKMVPQGLNYVLHPRNRIYVLLKGAGAKTGTYGRCDLFLNRHVQQINEKEEEKKITDSSQIIGIGSVLIVTVAFAAAFTLPGGFRTEDLKGKPNTAGIAVLAEEPIFQAFIVFNTLALVCSGLATMNVMFAGVPAVDIRTRMSAFVISIVFVYISAKSLAAAFMLGLYVVMAPAAPVTTYISCAIVALFLFLDVAWFIFMVAVGEPGRVRLPSRRFLHPRIHGDELGHNIVKHEEDSKCSHDAGDDKVEVSLEEEAPQDFEFMGMHTSLPGDINDGSLFTPFQMMVSSQMQR >cds.KYUSt_chr7.8791 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53255876:53258038:-1 gene:KYUSg_chr7.8791 transcript:KYUSt_chr7.8791 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPLTLLLPLALLLVLAVTPGEASFRSCTSQIISPFGTSCNRAACQFQCRIGFTQIPCDNGATDCTWTGECVGAGCNFTFCATFPQTPAPVLIPELDQSTGWGGRSGTTAGRIRGGAAARGTVQHDGATLGCGVGDHLGPIWAGGTWRLRPVAVAKSARQLPYPRCAVAAQLLVGMRMVWS >cds.KYUSt_chr5.28731 pep primary_assembly:MPB_Lper_Kyuss_1697:5:182014112:182015478:-1 gene:KYUSg_chr5.28731 transcript:KYUSt_chr5.28731 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVWAAAAAALNPALLPHSSSPRPTRSAPSTRLSSHLRLRSRRPRPAKVVCRRAKSAAFEDYKFPDPIPEFADQETSRFREHMMWRLEQKKEDYFGEHVEEIVDVCTEVLSNFLQHDYCGPGTLLVHPFLDMKGEIKEKGLPGAPQATRAAIAWAEKNIDNDWKAWTGDY >cds.KYUSt_contig_319.828 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:5328038:5330490:1 gene:KYUSg_contig_319.828 transcript:KYUSt_contig_319.828 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRADTSTCLPPTCCRQRSPEVAIGQSLPFSPSNAPSIWWRDVAAPCNELGTTMEDEVLDCPVVVPVRWGLRVPGLMLLFRYTGVSAVWHKGSVKLLLRVFRSGDIDLIYACLPRFVAVLGTMVGWFLYRRVIVVLSLCFGSLGDQEPFFFLEQLICVQELGAEFSTDMVASTPVCSGCFSTSVWRSFITVGAESFARTKASGLVPALVHGCNALRFLLRSGEEGGLDCKVKKFSKVFSAFAGDPYMFFSFLGVLCNLFMYLCC >cds.KYUSt_chr4.37622 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231923223:231924395:-1 gene:KYUSg_chr4.37622 transcript:KYUSt_chr4.37622 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGDGSLDLRRWIQAGLGLLLALSLLRWSEGGAAGSGAVFFFNKAAATGSSPSLCGEDEAPQAGHGGEVGTLLRAARCASASLLAGLGGEEEWMRGVLFLDRGGGSGLLRRRGVWFVVAELQLLLPGHGGQKRSGGEALATTASRFLPAGCYGDAEKALLRAKYMVLFLDTVILGRQGGPSTTSIAEALLRSVRRSSALLHRQVVRPRRRRSDRRIRSFAGSMLLSNLASYLDGNVWRSPASGGGDSQGPDCFPRFCPRVFFVMLEDLSSNSWLLCAIDARGENKACFWAPAPPKGWAAGPAPCVRCVGRDRKEGRRHRAERAVKEKIREER >cds.KYUSt_chr3.30731 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192817570:192832330:-1 gene:KYUSg_chr3.30731 transcript:KYUSt_chr3.30731 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCFLPADFFYDPRQPTTPLQHSHALSTTPSSTPPLMQSWQSGGGTPGSPLPALTGGTFGYDELAAATDRFSEANLLGEGGFGHVYKGTVRGQEVAIKKLRAGSGQGHKEFRAEVDIISRVHHKNLVSLVGFCIHAEQRLLVYEYVPNKTLESQLHHGSSRATLDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYNYEPKVADFGLAKCQELEQTAVETRIMGTFGYLAPEYAATGKVSDRSDVFSFGVMLLELITGRKPIMESSDHQPETLVSWAKPLLTKAAEEENYEELIDPRLETNYDAYDMARLIACAAAAVRQTARSRPRMTQIVRYLEGELSAEDLNGGMAPGQSAMHRSSGGANTDEMRRLRKMAFGPGSGTVGGTISEYASSEQSAPTSEYGLNPSSEYTASSAATTEDMTDAPYRTGSGEARPGTTERLSRRTTASRQVGRPSRG >cds.KYUSt_chr1.13981 pep primary_assembly:MPB_Lper_Kyuss_1697:1:83235674:83235925:-1 gene:KYUSg_chr1.13981 transcript:KYUSt_chr1.13981 gene_biotype:protein_coding transcript_biotype:protein_coding MPGERRRNGDHPGVLFIVEGVAERVVDVEEEEGPRQTTVFADARVQNLAARLREGVEDEDEVDAVPFPCSDGVESGHGDGGAG >cds.KYUSt_chr7.23502 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146376250:146376621:1 gene:KYUSg_chr7.23502 transcript:KYUSt_chr7.23502 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRAPRRLPLQPPWRKGSGLSSPSLGRWRRGGGLGALLFQVFSGGFKRRKGVCIVADGAAAVRRYDPCLLLLYVRLSHFGEDLGSVQGSSHSAAGNLTLMEGAMGRYPTPPSASTWPWATP >cds.KYUSt_chr7.38276 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238661584:238663005:1 gene:KYUSg_chr7.38276 transcript:KYUSt_chr7.38276 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRVRVLDVTHVSPEETANRPRDHAAIKLSPFDTFILALPPVQFIFFYDDESLPPFPSLVSSLRTSLAATLALFAPLAGKLTACPNDDVVVDCSPDAIRPGVKFVEAEYSGDSADMRRLARDAEHETEAFLQLVPELELGRLPAPVLAVQGSTTAAGIVPPTFDKTRILQHPKAETSARMFTRLSAPDLPTVNMLPDPDWTRQSRRTYLLSAGQIQALKRRILESQAAKNGDNQPSPEPPTTYVALAALLWTSIARAVDRHADDADEMYFQFPADCRRRLRLEPGFFGNCIKVCYARATAGEICGNDGDHALASAAAAVRRAIREQKEEEDPLGDADRWVEINRGVPQERVAKHWSNDRFMAYEVDFGWGQPSRVEVVSVFSQEMATLIGARDGAVQVSVALDRECMDGFEATFLSQVSASMIEHQMKSRM >cds.KYUSt_chr2.29986 pep primary_assembly:MPB_Lper_Kyuss_1697:2:184559561:184560993:1 gene:KYUSg_chr2.29986 transcript:KYUSt_chr2.29986 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAADEVGEVAPGESRKLFVGGIPSSAQDVELRGHFARFGAVRSMVLMRDKETGHSRGFGFVEFDDEEAADKALGDGENPKHFICGRLVDVKRARIRPPRNMGEQPFHQQEQGPYQGHRNNQPAGNATDASTDNVSYASKKIFIGGLRDNITEEEFRAYFEAFGTVTDVVVIYDSMTSRSRGFGFVTFDSEEAVRKVMGQSFHDLKGTRVEAKIAIPKDAQYPRNGRGRGSRTFGGRGPAGYDGSTYQPYNNRPNFYNGYMPQPVPPHPYYHGLYLGMPGNPYANAYPNQGVMPNVPSMVARRPVYTPYPPMFPGYGFAYRGAYAAAAPSAPYAVNGATDFINDQDSTNLHQLDSAATVAAKFEYMKLGSQ >cds.KYUSt_chr5.15933 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102680766:102685293:1 gene:KYUSg_chr5.15933 transcript:KYUSt_chr5.15933 gene_biotype:protein_coding transcript_biotype:protein_coding MACVSKGLHERDIFDLFLPEFDKPWVIHLSPTYGLRFIMCVNVKNHTQGRGHGGMEEKKEEECPRPHPRAFALSPSSSSSRLQRCIEKTERQQCPRLPALGLLRCRRSFVPRRHRLHPCSSATDSTMPTSLPRDSVQLAVANMDVARHLTLRVSGHHALDSDAQSTAR >cds.KYUSt_chr4.17221 pep primary_assembly:MPB_Lper_Kyuss_1697:4:107673826:107680294:1 gene:KYUSg_chr4.17221 transcript:KYUSt_chr4.17221 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGGGGGGGEEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRAEPAGKDHSVQKMILGTHTSDNEPNYLMLAQVQLPLDDAEADARHYEDDHADVGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSVFKEGHLLSGSDDAQICLWDIQSNGQKKTLDAFQIFKYHDGVVEDVAWHLRHEYLFGSVGDDHHLLIWDLRSPSPTKPVQSVVAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVLASVAEDNILQIWQMAENIYHDEDDLPSDEPAKASLNP >cds.KYUSt_chr5.15631 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100959164:100959841:1 gene:KYUSg_chr5.15631 transcript:KYUSt_chr5.15631 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNGGGLPTHSAGTTKPPVDDLHREKQRLRRRRGCLCCACLLVTLVLLGVVLLILFFTVLRVRDPKTRLVSAKLVGLAPRLTFPALSIQLNVTVLLTVSVHNPNPASFTFLSGGHTDLTYRGVHVGDAEIDPGRIPSKGDGEVKMALTLQADKFVSSGGAMAQLISDVEAGSLPVDASTRIPGRVAVFGVFKRHAVAYSDCSFVFGIAEMGVRSQQCSDHTKL >cds.KYUSt_contig_534.121 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:859979:860683:1 gene:KYUSg_contig_534.121 transcript:KYUSt_contig_534.121 gene_biotype:protein_coding transcript_biotype:protein_coding MISAAADGEKSSAHLDQPLLGQSQHPQPYYAYPAASYAYAPPPPPPPPPPPTLYLLPSSPVFVRIRRLRPRRIPCIRRFSTRTLPLLLVFALLAGLAFLLYPSAPAAHVADIRLDRFRINPPPLPALDFKLALSLGVRNPGFLLPLRYRAVSAAVSYRGHLLGSATARPGSGELAARGVTYAYSEVWVDAGRVLDEVIELIGDIADGSVPLEIVTEVVGTVRVFRIDIPVKVID >cds.KYUSt_chr7.13751 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84901191:84902985:1 gene:KYUSg_chr7.13751 transcript:KYUSt_chr7.13751 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLIRAPDEEEAAVLVPPPAAGAVPCKVVDDDNAALGVEWQSSSSSSSSSPGGATKKAWWWTALLSPTTGAVGMVIGGLVVLALLVGTTWIDLDAVREGQVPARHVEIVGLNEPCGRRHCLVL >cds.KYUSt_chr6.13339 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83442359:83456683:-1 gene:KYUSg_chr6.13339 transcript:KYUSt_chr6.13339 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAWPDSADTYRSRSRKKKKDADIVTELLTRVEALERNQRAPGQPLFLQDPQDDAAPSQRRSSVGSSHLDGCGGSYPVDYVTEKTDCELHMLFRTASVKVAVGYVYPSEDGAMHHHMPIPPGCVCVGVDEVVPGFETVELDIPREENAEAVQAELKKFFAPKVPEIPFEKTLDPVKVVRTVQNLYDPVPSPPSDYARSIERSYDKMIEATKPVKSGIREIKGIHQVYQLGQQPVQSVAPLKVFDGKTVQSSRQDATDYAFAERAYQFVQGKDLVENLRKVPTCMRNLHSWYLHASKEGIETIMVRVREEHYFQEYCVNVDFAELFQLYNLWALDKSIISCYCLSKMLECKRDKITDIGFIDPHTMHVKTIENPLYNKDTPQTLLRLGTNRKEQLAFGDGCTMLLLLFLHLLLMSRDLELIDDSLQLGEADLAEQVNGCNGTIEMIVEFDPVMQEHIRRIQNNEIHHHYLGHRIQNELISLLADAVKKVILKLIKDAKYFSVILDCTPDVSHEEQMTLIVRCVNLSSNIPRVEEFFLEFFKVDDTPGLGLFNVLLDTLDSFDLDVANVRGQGYDNGSNMKGKIKIISALKELAKVSTDDAAAVSDAQVTALSLRLQASTMVAGFRRTISFPAPRSSSSSAAAAAAGSNGKSAQGYRVRSASLPCRFHPLVLQLDEDVSALRDLAGPLASAPTARAIGAAAEQLGRVLASLSDLLHHPLAQDPLRRLARSPLAERLLDDFLRLADAHGSFRSALVALAALQAEARAALRREDAARLASAARGLRRSGRDLPRIASAARAVATKAPPPAPAGLPSDAAALAAAVVDAAAAVASASAAVFSGVSALSVAAATARVDVVGAPPCWMPSPARFASSTPRAAHHHHHVVVTTRPSSSMRVWWVADLMRWMSRAKRRSATKQHNDAVSSNQQPQHDATAADVDPEEEDRKAAFDRMDNLGRCIADVEGSGEQVFRALVNTRVSLLNILSPTI >cds.KYUSt_chr4.22379 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140593220:140594524:1 gene:KYUSg_chr4.22379 transcript:KYUSt_chr4.22379 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAPSAAAAAAASPSGSSPASADHTTPSWWESVSQARSRILALASILPPQASPDVAALADSDRPARALLRSAAAYDALSGALRAGGGADDPACNWLYDTLHSPDPDLRLAALAFLPLLSSLYLLRLPSPLSGFEAVLLALYSSEAKNRQGKPVLVRVPDLSVPSLYHTPQSTPSSKSPRRPHPPPIPPPQANVVVGVLSPPLEPQAAVKSTKRAGIIGVAFEAYYAKISQMPPASKVDACNAVAAWAGQYCRCRFELDEDELLEVEEVDSAGSMSPLSTEAENGNGKVLEEELARMRINGDSSGRNCGKEEAREARVQLPWELLQPVMRVLGHCLLAPLNPVEVRDAAAEAVRVVYARACHDLMPQAILASRSLIELDKSARKAAKAAAIAASGIMVTSGTSGSTASSSRPSSKPNTPSKQRKPDMLLLSK >cds.KYUSt_chr6.23527 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148585981:148594471:-1 gene:KYUSg_chr6.23527 transcript:KYUSt_chr6.23527 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEAENRNWHFGISSIDMEYGMEFEVLFGVSDGIQDITRRSRMIRRIRFIYGKSYSKFGNDPVHLWQVLEGDTACGGASSAGWSEVIGSLASLRASFGHTIRMDAGFFEDLEPSLQALLVGGGSGVDDVEAVVGCSSRPAEATPVAAPGDSTGSTSGESVAGGQSTARVDLGVRADVSVIDGEAVGERAVVSGWKRRPRVGKAPPERPLNSARVTALERTLREFPARSTGEVIVPEVGVTFDSVGEAYDFYNLYSWERGFGVRYGKSRLNVDRVKCMQEIVCGCSGRPRSTNSQSTRCMCPALIRLLRSKDNGWYICEHRDEHSHELSPSFGERAHWPSHRHIDSYTKDLIKQLRENNVNLGKVYNIIGSFFGKMENIPFTKRALKTMCGKISSEQADDDVRKTIEVFSEMGAADPEFTYSVQVDDDSRIMNLLWTTGKGRAQYHYFGDAIIFDTTYRTNVYDMPFGLIVGVNSHFQSVIFGGVLLREEKVENFEWVFREFVKMMSGKNPLTILTDQCRAMEVAIGNVLPNTKHRWCKWHVLPMHVFVKQFNKLLFDRDAEESFQEKRTRLGGIVYKVGEPIEKHAAKIYTRTMFEKFQESLYKSGSYYVDELVLGEVYGATHFDSESREKWCKVKFRITISGGYYTCECGMYEHMGMLCCHVLKVLSHLRLKEIPAAHVLKRWTVDARDVLPMHLVQYQKDQGLVTSFSFRHSQLYLNCMEVVRLGDVNVDAYTTAMETIMVLVPKLKMVAVESDGLGLEERLNAKRARVGYQSTQGVGQIIQSANVGSDAISLDAAILAPSKNRSGGRPTNSRDKPHYETTSKRTRFCTVCRLPGHKSTTCPDRPPGAAKPRKEAKCSNCGLPGHRKTSCVSKTQVV >cds.KYUSt_chr6.12767 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79743451:79745854:1 gene:KYUSg_chr6.12767 transcript:KYUSt_chr6.12767 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANPPPAQLLADAAGGDDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNELGYALKTDPSSMLRNSGMNVAVSAWTHSSIPPIVPSSLVKEDLSAGSMDPNMFCSSSNEGPPRAWQPGETTDQLNQVPPLRIMPDFAQVYSFLGSVFDPSTKGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQ >cds.KYUSt_chr6.14607 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91596721:91596963:-1 gene:KYUSg_chr6.14607 transcript:KYUSt_chr6.14607 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVENPDPNDDGLMVKVAERSQFTRFTAMCMLHFLCLALLAISLLLFVLFDYISPGTKLAVVAPVAGSIGFVIVFTCTE >cds.KYUSt_contig_402.363 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2226513:2233637:1 gene:KYUSg_contig_402.363 transcript:KYUSt_contig_402.363 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEERELQVRALDGRSTALTLAAAASVRDLKAALRSSFPPAQISNNFHLFLKGAKLRLDAEIDSLAVSHGEFIVLVPFTRKSAQSSPAATPGEKQSANPPISPEVAAEANSAWQDIMDDLSSIPSSPHAADAASKDLCSGSYAEDVSTGKGPSTGRSVKKRKIFKENGTSSRDTSGVDSASEQPSMNKKNGFVKSAASSCHDKHPLGPAEMVEHLKKGLGKEGQIVHIEEIPCRGASYAELPPDLSEAMKEALQSIGISRLYSHQSEAIQSSISGKHVAVATSTSSGKSLCYNIPVLESLSQDSTTCALYIFPTKALAQDQLRTLLEMKKAFHADINVNIYDGDTPREDRLWIRDNARLLITNPDMLHVSVLPCHGQFQRILSNLRYIVIDEAHSYQGAFGCHTALILRRLRRICSNIYGSHPTFMFCTATSANPREHVMELAKLDNVELIQKDGSPCGSKYFLLWNPPLYRTKEGGSKGSSVTRRSSPIVEVSYLFSEMVQHGLRCIAFCKTRKLCELVLSYTREILEVTAKELVDSICVYRAGYIAEDRRKIEADLFEGKLRGVAATNALELGIDVGHIDATLHLGFPGSVASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKSPHKLFGRPIEHCQVDSHNPKVLEQHLACAAYEHPLCLQYDENHFGSSLDSIMTTLKEKGYLINNPPGPFSSTMWNYIGPEKRPSQTVSIRAIEHDKYSVIDKLNNRLLEEIEESKAFFQVYEGAIYMHQGVNYLVEELDLSSRTAFCRKADVKYYTKTRDYTDINVIGGDFAYLPTVTCKTNCLKTTAQANDCQVTTKWFGFHRISKSGNKILDSVELSLPPYSYNSEAVWIRIPQSAKIAVEERKLEFRGGSHAASHAMLNILPLHMMCTSSDLGTECVNPHETRGMPERILLYDKHPGGIGIASKVKILFGELLLAALELVSTCSCTSDAGCPNCIQSFTCSEYNGVLGKEAAILILKV >cds.KYUSt_chr3.25155 pep primary_assembly:MPB_Lper_Kyuss_1697:3:156212193:156213542:-1 gene:KYUSg_chr3.25155 transcript:KYUSt_chr3.25155 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGDPLLPGEDGGRRCDFLPQSVRLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFATGFLFGIPMPVQPMKSIAAVALSSAHLTIPQIMAAGLAVGAVLIVLGATGLMTSLYRVLPLPVVRGIQLSQGLSFAFTAVKYIRYSQDFSTSSSASTSTPRSLLGLDGLVLALVALLFIILATGSGDDEDGDGTNRRRRRACSRVPAALIVFALGLLLCFVRDPSIVQGLRFGPAPLRLVKITWDDFKTGFWEGAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSISVGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAIGKLVLGVVFGNSIVTIIGQFPIGILGVMLLFSGIELAMASRDMGTKEESFVMLVCAGVSLTGSSAALGFISGILLYLLLRLRDVDYKGLVGRWSPAIGEQR >cds.KYUSt_chr1.39743 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243209106:243210066:-1 gene:KYUSg_chr1.39743 transcript:KYUSt_chr1.39743 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSTAAGGIPIMRKDHYKSLGVNKAATAGGNGKGTGGIPLTGMDHYEIVGVNKVATAAGGDGKRLAFADGSGGVVGGAKGAARAVAVVPGGAKGTAGRRLGGASAFSSTDHSTCKTALRPLKIINEYHYEEENCGALEDDGTTLSYTQRSTIKTAVKVVNEYYHDHEEENRCLSEDGGKEDQGKDIQYDDELLAYEDNDGAEMGHGGSGEGGYNAYTRIGDDDAGAGDVDDFLNGDNYYYDVAATGFDGYYEDGGDGADGWW >cds.KYUSt_chr2.53642 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334546869:334550057:1 gene:KYUSg_chr2.53642 transcript:KYUSt_chr2.53642 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSTVSSPFLRRRHSTSSPVKLRWSLAGGAGIVRFGRVMLGYRGVVGLPAEQPNAGDRRQLAVGQPFPATSTPPWRMMWLGPHQSLTRLPLPQSRPRRSRRDDAARISRRAAVVPPGHTKSSSAASSAAARSPPISDRGPALSGCGRAAHPTARCCSSPPPEACSPDHARPRRRRPGLLRLLAPPPDAGAPGSRDASAVPWRGGALEKVVLQPIREISGAVQLPGSKSLSNRILLLSALFEGTTVVDNLLNSEDVHYMLEALDALGLSVEADKVAKRAVVVGCGGRFPIEKDAKEEVKLFLGNAGTAMRPLTAAVVAAGGNATYVSVMFLMEYQE >cds.KYUSt_contig_1253.272 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1807559:1811197:1 gene:KYUSg_contig_1253.272 transcript:KYUSt_contig_1253.272 gene_biotype:protein_coding transcript_biotype:protein_coding MSARAFGVLLFLPLLAAAASEGACPKPPAAAAILPRASGSCPTADSPGLWVHHVGVVEGDDGVLQRAVTLVLQNREDFVAILFHASWCPFSKIFRTDFQKLSSFFPKIAHFSFEESRIKPRMLSRYGVRAFPTLFLVNSTVRVRYHGSRTMSSLVMFYNDVTGINPVSVDAISLKGMQDTVHIVENESESENEKNTELEDSLFLWARSPDRLLHEDTCLAFASAFVILRLFFFLLPKLNACVKQAWRMRFHELKRLFPSLS >cds.KYUSt_chr2.46814 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292691388:292691828:-1 gene:KYUSg_chr2.46814 transcript:KYUSt_chr2.46814 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGFDPHLGGALWGVVGELPAWVSPVWSWRGGLHQLPSFCPPGFFLVRSLVAPAATASLWRRGMGALVFQALVVLVQETGFGRSNLMPFCYGVDLREKVAVCVFCSGFGWRWRGRGCGGSGEFPRRCSFIVFKSGVIAGWILRFF >cds.KYUSt_contig_973.505 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:3691291:3692064:-1 gene:KYUSg_contig_973.505 transcript:KYUSt_contig_973.505 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLRSVVLGVVSRPNDSGYSLFLALVIDRLHQYIRELRGLKKNVEAASKQNKMLEEAKHGSSEEKLKYQEEITTLNEEMKKLKLQVQEKTEEVHIAEDKTLAIQKQSETLLLEYDRLLEDNQHLREQLQSIDLRLSGS >cds.KYUSt_chr6.30452 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192877789:192883640:1 gene:KYUSg_chr6.30452 transcript:KYUSt_chr6.30452 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHVFSSTVEPPLLRLAGDAGGRHRRTFRPCLVTSPGRESQALSDDFDFQEGVNSVKAMLHQNQKDKREVVANIDHLKRLCIDHYFQDEIDEAMTTCLDLVHSDDLLDATLSLRLVREAGYDVSADEVLRKFADVNGDYSLDHSKDTKGLLSLQDISNLNMGEESLYKAKEFSRKHLASAIQHLEPNLGRYVQQSLDHPYHVSLMQYKARHHLSYMQSLSSTNIGMQELAVAEFQLNKLLNQREMQEIKRWWMGLGLAQEIPATRDQLLKWYMFPLTVVDGQAFSRYRIETTKIISLISIVDDIFDVVATQEEVSRFTEAIQMWDLAAADSLPSYMRSCYSALYTITNEIADLVEREHGLYPMDQLKKAWATLFDAFLVESKWLSANQVPSLDDYLSNGVITTGAPLALLHLFFMAGHDPSTAGSANLNSGHIPPIMSCPSKILRLWDDMGSAKHASKEGLDGSYKNLYLKENPGGDAEGHMLGLIKREWEELNRECFSRRSYPSILNQFSLNFARMVTQFGYDDEQKRPIVEDYVKTLLF >cds.KYUSt_chr4.8902 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53453530:53456556:1 gene:KYUSg_chr4.8902 transcript:KYUSt_chr4.8902 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYLMSLFDYGTVVYHPDVMEALHDGYVTWRRRRRRRRRTAANAALSLPKSGATAPHPLKTARSSGVYLLPFAVPPLPHFCLGGGGGLPPISAHGLSAGVADRLDMAIGMREAINIEGLALIMFME >cds.KYUSt_contig_60.375 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2210766:2213113:1 gene:KYUSg_contig_60.375 transcript:KYUSt_contig_60.375 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGVRVLTRQGQNFNKRVLASIIHVVLTAVVAEYNAGQLLAHREALGNEIKRLLIDRAMNMKISLDDVSITTLSLLSEEENSEKAEQDSSSGIIRAQVLD >cds.KYUSt_chr6.26885 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170438514:170439822:1 gene:KYUSg_chr6.26885 transcript:KYUSt_chr6.26885 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRKTAAKAKQASSGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGIKFGEAIWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIIDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVNNNAWAFATNFVPGNRWLTDQKHQEPEWFHFCETPTPASELVHYSSAHLGTVRLDAGEQPATPCHLLHLLARLDSMEHAA >cds.KYUSt_chr3.6327 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36269119:36269613:1 gene:KYUSg_chr3.6327 transcript:KYUSt_chr3.6327 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQEGETEIAKAMGKGIAGSHRWPIAESGLSGGGQAEELAALKCQKLAEGDTAEAGKRKKIARVSQKYIDILLKEKATGTGAYKVSKAKEIVEKYKGHYDVRAHVAKGDAIMEELRAGDAKILEQYERHGYAFTEVEEDNSFIYDEKIFGPIARSSPPVPQA >cds.KYUSt_chr2.31472 pep primary_assembly:MPB_Lper_Kyuss_1697:2:194229965:194230291:-1 gene:KYUSg_chr2.31472 transcript:KYUSt_chr2.31472 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVWVFEDGIVRRADSEAPGRSSGGAPPGKALVHVPSGEVVTSYEILERRLRELGWERYLNDPCLLQFHQRSTVHLISVPRDFARLKLVHMYDVVVKTRNVFEVRDA >cds.KYUSt_chr4.45029 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278829853:278834940:-1 gene:KYUSg_chr4.45029 transcript:KYUSt_chr4.45029 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQHGTATATVTPRVLNLSRRSSAGGRPSRAAGRAQQPRPAATKPVVNLGALFEMERRVRGLESAPASPRPRPCSRAARRPPPQDEEEEEGGEREEKWRFQAEILRAECNFLRMEREVALRKLDCHRGQMEAALKTAVETLASGRKKIDGRGEAGVAAALDEGIEDLEGMMEELRVEKESGRRAMSGAGARELRRSHGRNFDRQASSLRRRLEKMPSADAEHAVCKDICEIAHPVAPTPPRPAEHSSRDDHGPSANLSDVEMLRVKMEGMSNGMRERMAEYSRRLEAVAGGDNNAGCQSRKCGGRHSRKPSACSQRSWSGSSNASNTGSAAAAFRHSGTSVASEKHQQQQQQQKVVAENCKLMGSGSCCDCKEIVGRIMKQVRAESEQWTEMQEMLEQVRLEMQELQSSRDTWQRRAIASDISLGSLNSQMLEWKQRAQASEQHAEDLQKKVTELQGKLHTFKSHFPAPSLPNRAWSEACKMENPRGAKAQQHHRAPQDCGKEKEKHVLICRVKHSPSVIPRRSPLKEIGNISLPRQWQR >cds.KYUSt_chr2.7620 pep primary_assembly:MPB_Lper_Kyuss_1697:2:47769219:47775396:1 gene:KYUSg_chr2.7620 transcript:KYUSt_chr2.7620 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLTTSEGGGPWLRSANGFLGRQVWEYDPDTGTSDERAEVDRLRADYTKHRFHRKESQDLLLRLQYAKLNPLPVNIPTLKLDKSAELTEEILSTSLRRALNRFSTLQADDGHWPGDYSGLLFIMPIIIFSLYVTGSLHTVLSLEHRREIIRYIYNHQIIGVYEWSGNNPIIPELWLVPDFLPIHPGRFWCFTRLVYMSMAYLYGKKFVGPITTTILALRDDLYNTAYEKVNWDKARHSCAKALLRLSKISPKLVGDPISQERLYDAIDCLLSFMLRCVFEFYG >cds.KYUSt_chr4.47656 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295016567:295023384:1 gene:KYUSg_chr4.47656 transcript:KYUSt_chr4.47656 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAEPRAAVAGAGSPPPSLSPKRAWKRPENGPAPVLTAPGNPIMDAESWPALPGQLPPAPTTPPKASPKATAPPPCLMIKLRSIHLVPCQFDYVPSDEIVLSCCGDYWSQEAAITPVSLGNSGVKDGDEALLRGPPVRRALVMPAGDGLVACAPAPELSPVYLPNGRSNGGGLVMPAGDGLVVRAPAPELSPVYSPNSRSNGGGLVMPAGDGLVARAPAPELSPVYSPNGRSNGGGDHNQNGRFGSHPHGRNGSYGGGNRRGNGGRHGHEHHGGFDGQRRGGGRRDGHGPGHQQRNHQPTYIRSPSPPLAVIAAAPPPPPFVGSANPQTPPYGAPMGFPDMAPHLYYFAMPTSDGLQALPFVPPPPTPPAMLISPLEQLQRELLVQIEYYFSDENLCKDIYLRQHMDGQGWVPVSLIAGFNQGDKIRRRARWEMWLLRRSNYSAGNSSGLFSPVTSNIDALTSQIQSVGLEGTTYHPSVRPFASPAMDSDEEEEQMFVDLMREEMIAAAQDEEHMLILGCLSSMYAGVATGRRGGSAPGRMKCKPRQRMEGYCMLYADYFADNPLHGETVFRCRFRMSRKLFLQIVYVIQDFDPYFRCKADCTGLVGFSSLQKCTLAMRMLAYGAPGDGADDYLRMAESTALDCFYRFCRAVIAVFGGFYLRSLTVEDTQRILTTRFSRDAWKH >cds.KYUSt_chr5.38382 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242648847:242651857:-1 gene:KYUSg_chr5.38382 transcript:KYUSt_chr5.38382 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSLMIFRLSISVVVVFAATVLAPKHASALTRHDFPDGFVLGAGTSAYQVEGAAAEDGRKPSIWDTFTHQGYSPDGSTADVSADQYHHYKEDVKLMHDMGLDAYRFSIAWPRLIPDGRGQTNPEGLEYYNNLIDELILHGIQPHVTIYHFDLPQALQDEYGGLLSPRFIEDYTAYAGVCFESFGDRVKHWVTVNEPNIEPIGGYDTGFQPPRRCSYPFGTNCKGGNSSTEPYIVAHHLLLAHASAVSLYREKYKETQGGQIGVTLLGWWHEPATNTAQDAAAATRMTEFHIGWFMHPLVYGDYPPVMRRRVGARLPALTAQESEKVRGAFDFVGFNHYLIMRVRSIETGSSQNPVDYYGDAAVQNPSEDISKSEVETAPWSLRKLLEHLKLSYGNPPVWIHENDATGTLSEADYDDDDRAEFLQDYVEALYLSMRNGSDARGYFVWSFLDVFEYLFGYRLRFGLCGVDMGAQARTRYVRNSARWYSGFLRGGELRPVARSQKSDVQ >cds.KYUSt_chr7.35993 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224934067:224936283:-1 gene:KYUSg_chr7.35993 transcript:KYUSt_chr7.35993 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRSHGPPLPFSPPPVSRFLRSLRSCSRRAVTSAGASSPPPSPAGCRRPLRYAVLGAGFAGLSVAWHLLKVLSSFSVLAFFHCQIQHRLKPTDGVWLQHSPKDSRVSVDVYDENGVGGGASGVSGGLLHPYSPKGLLHHCPCNHFNTSVTQFCSNYALFCACQNMAEEASVSPTEQKEFKLYKEHIDNLQKLSGDYDSVIVCLGAKATLLPELTNKLPLRNCRGVIAEFQLPLETMEKYGSQSPSILSDAWLAFQGPCTVSIGSTWQWKPDNYASSVSDEEALTATQELLPKASAVYPGISKWDYVGARAGIRAMPPLTANGSLPLLGCLNDVIGERSNCAFWLVGGLGARGLLYHGLVGKLTAKAVVSGDETIIPSEFTCWKVSKA >cds.KYUSt_chr2.45504 pep primary_assembly:MPB_Lper_Kyuss_1697:2:283728483:283735324:1 gene:KYUSg_chr2.45504 transcript:KYUSt_chr2.45504 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLASSDRGLADHTASRSGLIAAIVLDDEPKASKVFTDLYPQHSWLLHDEKRKRLDVVYRRPGEPKHMNRKSRKRQFTFSYAELEYMKTDSAKETEIEGRKEWGREKKGQPRTPALHPSQGQTWRAAVLLASDPAAPSAARS >cds.KYUSt_contig_2073.72 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:406358:409550:1 gene:KYUSg_contig_2073.72 transcript:KYUSt_contig_2073.72 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSSMPIYVQYPSDATLMSLQGEDSVSSNAGPAAAGMSVLGDLVAEGAGGVEDAVRVGCALPDRTHCVDMQSALEKLVRCYPEKLGDNVIDPSLGTSFDSLSEAYDFYNLYSWEHGFGIRYGKSRLNAEKTKCMLEIVCGSSGKPTAENTRSCRCECPAMIRLLSGAVVRYNFTIERHASKVYTRSMFEQFGKMIFEACAYKVEEVEKNRLYRTTHTDASRREKWSRVFDVNILDEGNSSIVSAECLHTWGMLCGNAVKVMDYVGATEISKKHILKRWTRDARGVLPEHLRHYQRDQAAGKHFTKRHSILYIQAMELVRQEIQVPQLVTS >cds.KYUSt_chr5.29597 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187731200:187733382:-1 gene:KYUSg_chr5.29597 transcript:KYUSt_chr5.29597 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGVHGSHHEAAARLLPPWFGGPAAFAEPVGAGGGGSFSLAGSGGYACDVVGQGGGMFGFGFEAAVAAAQQQHQQQQRAAEAAAAAGSSKAVVSGLLGSLQAELGRMNAAGEIMDAKALAASRSHSEAERRRRQRINGHLARLRSLLPNTTKTDKASLLAEVLEHVKELKRQTSAMAATAVEDEHAARPAQMLPTEADELCVDAAEDSEGRLVVRASLCCEDRPDLIPDIIRALSALRLHAHRAEITTLGGRVRSVLLITQDDREGEGGDEDAYDDECAASHRRHESIASVQEALRGVMDRRAACSNDTSSSGGGGGSIKRQRMNYGSAQEQCSV >cds.KYUSt_chr6.15697 pep primary_assembly:MPB_Lper_Kyuss_1697:6:98637446:98637907:-1 gene:KYUSg_chr6.15697 transcript:KYUSt_chr6.15697 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLAELKPGTHRSDADGEHLRRQAAVSAGGALESERKNEKRTGRCVGSPRCCRMGRGVRRRPDGDAIIVDMARPELRNGDVDVDIRAPWLDFLHPEMEGDEGVLVVLSGRRGAAGIDDKVEDTATGAVVLWGGKGPLLLVALQKKRGGSRWG >cds.KYUSt_chr2.50282 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314374628:314386797:1 gene:KYUSg_chr2.50282 transcript:KYUSt_chr2.50282 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPELRADYDRSLGQSSRASKEAKKVAQLGQQDIQAVPHFIVEPYHDPETASMIERAARAQGASVEYEDYYPMAQVVNKYRYGSDLVKPGELARLGTRMRRLPEWYLKALSKRMKKLEYKIGKLLPLGFIDPNTVHEVTVRNFAKDTEDNIVMFLEKQADKEDIFFPYNFKFHFILLIIDLHLGVVKVMDSKREEYAEWADMAAILQRAWKRFINTVPGKWKPELTFEDYPLATDNFPDSLYPQWDSGRDEYGRSVRAAFFSHTTSSRICLWRHSSLQKGVSPLYMNMSFSLASGLAQSAHAFIAGMPRSPAGSASKKKALATDATMSKKRGRTKRSLTSLKVPPNGRKVQLEPNGELQFKYVGTISNAYKYGTQLGVILKREYPGLVERLFEVTEPNRAEANRILEGCARRKVKDNMYQARVDAVKIYYDNQGEELDDKLACSRELTLEQYLASRVDWFSPTDAYCDGLKKAHPEDWQDIDLDESVLYNTSGGMPHGRLSIATGAVKKAHIISAAKATNPKPSSSVAYHNVIQENQQLRSTNEILTRKTEMHDQMFRMLFAEMGRELPEWFQQGRTQAHTQGNTDLEDAAMDDLVGHGNNGGSNDGENICGDSNDFVYNEDGDGNYASGGGSH >cds.KYUSt_chr2.34303 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211877988:211880333:-1 gene:KYUSg_chr2.34303 transcript:KYUSt_chr2.34303 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSKSGVGGVAAARAAAAGPRTVLITGVSRGLGRALALELARRGHAVVGCGRSADPIRSLEAEIASPSRHFLTVADVRSDSSMAELAKAVVEKKQIPDIIVNNAGTINRNNKTWNVPAEEFDAVVDTNIKGTANVLRHFVPLMLQKKHGMIINLSSGWGRSSAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLASCFGTSSALYQSTEQ >cds.KYUSt_chr6.1990 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11804649:11822798:-1 gene:KYUSg_chr6.1990 transcript:KYUSt_chr6.1990 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYSGSSSCDDTYKSARLGRSPSLVTVAEGDSLGEPGRPTSLVSDLRVPPPPAAAPAAGGWGTRGSRPVYSVGLGWAADGVAMEVVVAAQRTFWAAFFPSRGGAPWSFTVRWPSSSSWRISGSAELGVPRPDPEWRWIRRSNKKEMVLKTCATATAIQRVGSVDPAIGDFPSATGLAPIQGVKRSSGSGAPPTAPVLRPRRDLEEGLLCNFFVFLGLSDEMDDEMVYESEEEEVKLEMHKAYDRVEWIFLENTMRKTGFAERWISLMMASVSLAAQASIAAGSQVWAEDPDEAWIDGEVVNVLGDTVTIKCSNGKTVTAKASHVHAKDPEESPCGVDDMTKLAYLHEPGVLQNLKARYDMNEIYTYTGSILIAVNPFRRLPHLYDVQMMEQYKGADFGELSPHPFAVADAAYRLMLNEGVSQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGRISGAAVRTYLLERSRVCQTSEAERNYHCFYMICAGSPEERERYKLGDPSTFHYLNQSNCYKIDGLDESKEYLETRQAMDICGISSEEQEAIFRIVAAILHLGNVEFAEGDDVDSSKPKNEKSMFHLRTAAELFMCDEKALKDSLCQRIIVTRDENIVKTLDPEAAKGSRDALAKTVYSRLFDWLVNKINSSIGQDPNSKCLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIDKKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNHKRFVKPKLSRSDFTICHYAGDVTYQTDLFLDKNKDYVIAEHQALLGASGCSFVSCLFPPLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNSLLKPAIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFLEFIDRFGILAPSVLSGSSDEITAVRRLLEKVDLQGYQVGKTKVFLRAGQMAELDARRNEVLGRSASMIQRKARSFLAKKSFIALRRSAVQIQTVCRGELARSVYRSLRREAASLKIQTSYRMHNARKAYTALSASALIIQSSLHGMAARKEIHFRRQTRAAIIIQSRCRQFLARLDYSRTRKAAITTQCIWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEESKSQENAKLQAALQEVQQQYKETKDTLVKEREAAKMVAEIAPVIKEVPVIDTELMNKLRDENDTLKTLVSSLEKKIDDTEKKYDETNKISEERLKKAMDAESKIDDLNMAMLRLQEKISNMESDEKVQRQALLSTPVRSMSEHLSIPIVPKNLENGYHEVEEPKEPQSAPPVLKDYANGDPKLRKSSAEKQQENVDALIDCVAKNLGYCEGKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIENEDDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFANMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKKELSSLISLCIQAPRTMKASMLRISGRSSGQPQSNHWQKIIENLNVLLKVLQDNHVPPVLAQKIFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATAEYAASSWDEIRHIRQAVGFLVIFQKFRISYDEIVHDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVEDITNAIQEKDFSDVKPAEELLENPAFQFLQD >cds.KYUSt_chr2.39723 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246572024:246572800:1 gene:KYUSg_chr2.39723 transcript:KYUSt_chr2.39723 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVATPSLAAAATTTVTAPTRCRSSSQPCLPGFLPVRPCLYSGPTRTSTRPGDLAPPNWCLHYGRGSCPCRAHPAVAPVEASLRPTLPPKGGTAVVDDEEEEVVLLLPSQIGAGAPLLMLPPVARLACKSVRAPLAFVSAPTGASALAFGFGVSSSFRAAPVDAVAPPRMPSPTPVIHPPSPRTGGTHRLLAFSLPVPSPSSSGRGRPRWRLEPVTLGWRMVSPPAPTSPVTPPTRTSAAGTNSAVVAGHPRRGGG >cds.KYUSt_chr7.13530 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83350181:83352223:-1 gene:KYUSg_chr7.13530 transcript:KYUSt_chr7.13530 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRESFGSLSRRGFEVRISGLPGLSGHHRGKSVGSLSALHDRAAIVDQNGWAGLPPELLRDVMKRLEEGESTWPSRKDVVASAAACKAWREICKDIVQSPEFCGKLTFPLSLKQPGPRDGLIQCFIKRDKSTLTYYLYLCLSPAVLSENGKFLLAAKRNRRTTYTEYVISVDSKNISRSSNGYVGKMRSNFLGTKFIVYDTQPPYNAGSLASCQRASRRISSRRVSPKVPTGSYPVAQVNYELNVLGTRGPRRMQCTMHSIPASALEPEGVVPGQPKQLLPGPFDESFRSTYPSSRFSITDFSSSRFSEVSGVVQPDEEGGEAKERPLVLCNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLTAAPQPAAVPSESSQPPHQQQAQPSSSSSSSSDHEKVILQFGKVTKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >cds.KYUSt_chr2.5171 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32104956:32108115:-1 gene:KYUSg_chr2.5171 transcript:KYUSt_chr2.5171 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIPPNWPENDDEGGRRRLRPVVLASLARSTVVAGPSGLCLVLGTNMRLKAFCQFLVKTGYEEELEGKPDQDTILERRLDKCGNLCELGIANLFWKGTTLVFKDMKDKQDPKLPYNIAFFTFCLLWMTMGTVASMFPQTAPFPCFMTGMGALMAIISMFGAYHLDLLAYFPSAHDCCISMALTSATFTLYWAYAVQEPWIIHLLARMTNALWSLICWMWIKSGRKGETLIKMMINLHAFWPSLFKTKYGEDLEGKADRDTILEKRMDRCSNLCQLGYSPVGKVEYCSLGFDLLDVH >cds.KYUSt_chr4.47853 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296213198:296217351:-1 gene:KYUSg_chr4.47853 transcript:KYUSt_chr4.47853 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAKRGAKGTKWIRDPRLGDLVLARVKGYPFWPAKMGYHSDEEFSVAGDKPEVEFIDYQNDNTFQDYQSDDGPVVVTTPFPFENGKPKSVLVGDTSADTICIENTSSEPVNLWSVRIFSSNPEDSYLLSMMTPPTNDADEEAKKAFLGLTSVEDRTLQPGQTLTIWLSCMPKEIGLHTSIVHVDIGDEKIERVAFLLADDNVSKALFSDKPYSRGRGSQGKKFECSTFVPGTRPVRQHTQGFKYKLPQYAIPVEVRELIECKQRPDVLSEELSIRNYAKFFSTLLVMEEINLEEEMRTYNMEGVSMRRRGNGFLSLEVLGLAEKRPSLISGDYIVARHAGSNDRPYQGFIHKVEADEIFLKFDDSFHNAHHDRNKYDASFTYNRLNMRRQYKSVHEAELIGPDILFPSQSRYRSVKKVPFKPLNPDINTEQADAVGMILGCRGVPPYLIYGPPGTGKTMTLVEAILQLYTSNRRANVLICAASNSAADHILEKLLSASYLIRPSDIFRLNAPSRQYDDVNPDFIRFCFFEDTVFKCPPMRALMRYRIVISTYMYSSTLQAEGIRRGHFTHIFLDEASQISEPEAMVPVSAFCGRDTVVVLAGDPMQLGPVVFCKQADKDGLGTSYLQRLLCDFEQYHLEDPNYVTKLLRNYRCHPAILELPSQLFYGSELIACKGDEVPSVYDCIGLPNKSFPVLFVGIQGCDEREGNNPSWFNRIEASKVVNIIRTLTRDGEVREADIGVITPYRQQVVKIKRALETFEMPDLRVGSVEQFQGQEREIIIISTVRSTVKHNENDKIFNLGFLTNYRRFNVAITRAKSLLIIVGNPHIITKDRYWDKLLRYCADNGSYQGCPLPPPEEEFGYSGYSDTRDQPAAGWEYNQEPSDRGFRHGSAPSSTEKVLEWSDRPDEDYHSAPNAGAEPQEETLQQVDEEAGQDDVQPGQNSANDDSAVDTAYVQKYTFPPGWGDVSSIPATGWD >cds.KYUSt_chr1.1288 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6971406:6971627:1 gene:KYUSg_chr1.1288 transcript:KYUSt_chr1.1288 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSTDAVVAPKKTSWPEVAGKTIKEAREIILKDMPDAEIVVLPEGSPVTRDFRTNRVRIFVGTVDGTPHVG >cds.KYUSt_chr6.11772 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73261171:73262410:1 gene:KYUSg_chr6.11772 transcript:KYUSt_chr6.11772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homodimeric diiron-carboxylate protein, Cyanide-resistant respiration in mitochondria, Reactive oxygen species (ROS) scavengin [Source: Projected from Oryza sativa (Os02g0700400)] MRSRVAGSVLLRHLRPRVCGAPAAASRPLLVGGEGGLWARLLASSAAEAAKEEAAASKDNVGSTAAATAEVIQAAKEREKSGVVSSYWGIAPAKLVNKDGAEWKWSCFRPWEAYTSDTSIDITKHHQPKVLLDKIAYWTVKSLRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVADPKWYERALVLAVQGVFFNAYFVGYLLSPKFAHRVVGYLEEEAIHSYTEFLRDLEAGKIENVPAPRIAIDYWRLPADARLKDVVTVVRADEAHHRDVNHFAADIHFQGLELNKTPAPLGYH >cds.KYUSt_chr4.44800 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277241228:277242796:1 gene:KYUSg_chr4.44800 transcript:KYUSt_chr4.44800 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALLLLLPLFFLGAFLVLHAARSVNKKAGLQPYPLLGHLPQFLANRHRVMDWMTEVLARQPTCTLVFRRPGAVPGVITANPANIEHVLRAGFDNFPKGPRFGSILHDFLGRGIFNADGEAWRAQRKAASYEFNTRSLRVFVAQSVHSELHGRLLPLLRRAVSSGQPVDLQDTLERYAFDNICRVAFDHDPRQLPDGDEDGTSAGPEAETASSRFADAFRDAANLSAGRFRYAVPGFWKIKKAFNLGSERRLRESIAMVHGYADRIIRSRRKEMSMGCEKHDLLSRFMASHNDSYTETALRDVVISFLLAGRETTSSALTWFFWLLSSRPDVERRIRDEVAAVRARRARSDLDNAGFDLDELREMHYVHAAITESMRLYPPVPVNFLQAKAADVLPDGTAVGAGWFVAYNSYATGRMESVWGENARAYRPERWLDTADGTFRPESPFRYVAFHAGPRICLGKEMAYIQMKSIVACVLEEFKLEVDGGYRPRQVPSLTLRMVDGLPVRVKARGIFESNNQN >cds.KYUSt_chr6.17101 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107719339:107721876:1 gene:KYUSg_chr6.17101 transcript:KYUSt_chr6.17101 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDRSPIHAPEKKEEAAATAGAGAGFDDLVDPRFQCCVCLQNQGSTLDGNCVVAWPSISCGDLASFPYKPIEV >cds.KYUSt_chr2.37240 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230525788:230527110:1 gene:KYUSg_chr2.37240 transcript:KYUSt_chr2.37240 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKDTTTTMRLPPQHQGLEVKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMQPLPSTDLVPNLTLRSLITHWSNSAASCSPVAGSATFFAGPSPAALVRKVASSGTNPSPALRELAAYLSDDDVDEFEKNALVGAGTAAETVASVLRRKGEQISVDGLEAAVRVLAAIVALDGIEDANKKRVATGLAVDAAASAASLARVLRGGSGLEARIDAARLVEFLLANAVAETKAAVAQSSDLVAELIRLVGPVDEKGSLDKKAMDTGLSCLATIAGSRRAARDEMVRLGVVPAAVRALHATTEPSSSAKVLRILESAVGCAEGRAALCKDAEATVTAVLDRMMKSGRDGAEAAVAVLWAVCHKYKDRRASDAAAASEGGLTRLLLLLQSGCSPAARQMALELLKIYRVNAKSCLAGYDSKTTHIMPF >cds.KYUSt_chr2.15894 pep primary_assembly:MPB_Lper_Kyuss_1697:2:99978512:99980376:-1 gene:KYUSg_chr2.15894 transcript:KYUSt_chr2.15894 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLLILLFNLPTWFVHGEVANGGHQDLPFLLSFKSYNNAAALETWVGPNPCSGSWLGVRCSRGRVAGVFLDDAALLGSVAPLLGLTQIRVLAVRNNSLTGTLPPLNNCTSPRLRHLLLSHNNLSGSLNLSLPSLVTLRAEHNSFSGSLHELRVPIITRFNVSVNKLAGDISSSLSMFPSSSFGGNLDLCGKPLPKCVHAYNAAQGSTSNATTGSIAQSPTTGTNASNSAMDSSSNGRFSKLGMTALLATGIGNAVLVTVSLAISVATFIYIRRKLRSSPMEDATKSNAALGFEQEDDDKVRSGEDKCQKSGALVCFEGGEELRLETLLKASAEVLGKGVSGSTYKAVLEDGIVAAVKRLSALQFPGRSKAFDRHMRLVGRLRHRHVVSLRGYCSSNGERLLVYDYLPNGSLQSLLQINGGGGRTRSLEWGTRKGIMFDAAQGLNYIHTFPARPALVHGNVKPSNILLDERGAACVSECGLMRYAVNLQQSVPQGPEVFLDRAAGGGGGWRGYAAPELAASGARATQESDVYSFGMMLLAVVTAKGGEEEGGGEETMGMVRIGVLCTAEAPEERPRMSQVLTMMSEFI >cds.KYUSt_chr5.40061 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253099310:253105569:-1 gene:KYUSg_chr5.40061 transcript:KYUSt_chr5.40061 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGPAAPLYPPIAADVPTPAAASTRPTSDDAFVDATDTLPHPPPPPLTSSSSTLRRRPRRAKSVKEPDQVPSPSASASSAVTAADEPVKAGPDSSEATSAASAASTVDEPTKAEPESSEATSAAPAASTVTAADEPVKADPHSSETTIFPRSPPPPEEELDKKDSSETTIFPRSPPPPEEELDKKDSSSSSSSDDEEQAVALYHRPPPAGPPGMLESLAVLIIKAVAFQVSALIAFITFPVRLLQWWYLFVTDPVGSARRAHDWALGAVGQATGAVAAQLGGGEGVGRVAGRLAWGSLWAVYVCVVLCALLIMAFLGGGLLVGKMVEEPVQVTETLNFDYTKPSPVAFVPVRRLVPPHQRMQLEVSLTLPESDYNRRLGVFQVRAEFLSANGKVVSATSHPCMLKFKSVHMHFIETFFQSFSLLTGYSSESQVIRLKMSGIKEAFEPITGVTIMLEQRAEFSPGAGIPEIYAASLLLEAELPLIKRILWNWRLLMVRVCCAETLVTLPLPGQHSMVEVHWLRLASDTDA >cds.KYUSt_chr2.38011 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235232606:235237691:-1 gene:KYUSg_chr2.38011 transcript:KYUSt_chr2.38011 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCIKGKEDDSGFIFFLNRAYCTWGGCDGDDAADSTYGGEVSQRGVLQLRRTWALRRNCIREKVDVVDKSAIQWLNLENCGIILVKHDNISLKELEQKMCEAWDANWPWQVRQLEEKKFLVHFPPGKKVIDLVDIPSINLKEGSDLERVTVKIMGWEGDMPDMGVVRVKLAVKDTRRIPEERGYVMKKKFYWFLFTVENEGEASGSNAMDDANSDEQDEDHAEDDGFDGLEDVNETHEDENQTPSNGATLGNIPPAPTAQRHGAKIWAVGNKCVGYNKFQVMVDCDLVSEEDVYEEIMIDVADVTGSLLDVALAEDSSDGFKNGNAEMEEDGESMVTKNAEWTSNTQADGDNIDEDKEGMAKDGVSISFKGCGAETNGGWDAISKHSGSYTS >cds.KYUSt_contig_1991.49 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000237.1:283490:286600:-1 gene:KYUSg_contig_1991.49 transcript:KYUSt_contig_1991.49 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVVVEYNPLDPRKAAAVELLAQCNGRKAKDSNPACSVELRRLPTPPESEDPKAQPPPRVLVTYVNGSEEAFVAADGATAQGMRDQILSRGRLLETEQLFREAGEKWPVVIPEEELGMSFPGIKPKKAEEKPQA >cds.KYUSt_chr2.13142 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83318516:83318929:1 gene:KYUSg_chr2.13142 transcript:KYUSt_chr2.13142 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRGRAAAAAAVVVEEESDAPIDISSDSDAESADSGSEEEEEPSSDEDYIDISDSGESYVDISDSGDDDDGERSCDGNEGEAEAGPPGADRSEDASTKIADLLRRNHPYPFQLFATYSVTVVSLPNGKWSSRPVD >cds.KYUSt_chr7.33811 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211091687:211094584:-1 gene:KYUSg_chr7.33811 transcript:KYUSt_chr7.33811 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPRVEPGGEPTTPKIISTKEITSDELVLKLCKYLLLLASLVATVTYAVGFNPPGGVWQDTPAGKLAGEPIIRDTHYRRYLIFFYSNAAAFGSSLVVVVLMLLLDFLYKNKYTWLIYKPLRFVLLLDLLSLMVAYAAGTCRDRFTILCSALLVALFFVFLVFQMYRASTTDKKTIENLPDVEKANSQDEEKQRRLRKHKVLMVLATFSVSVTYIAGLSTPGGFWDTTDGRHHPGDSILRERHNIRLSVFFVGNTTAFAASLQIIVVLLDKKLVFKLGKAYVFITVALVGLVVAYVAGSCRETDTTVYLVILVAACIAFQMALFILHHRSKKKKLTPKVAIQSGVAVGRLQGLTDVARRTKKSTDDRTVRDEQKKKWTLRSAIQSVVAAGKLERLGAVIDRRTKRSTDDRTVRDAVDKARSLVLLLATLAATITYQAGLNPPGGLWLDNGDGYMAGDPILLTTNARRYRTFFYCNSIAFATSLVAVVVVQIKLLLSYHVLEVAMVLDLFGLIGAYAAGSCRDISTSIYVLGLAGAVLVYALIHVVLFTLGEKDTDTRTKEDDELVEKTRKRLLLFAILAATITYQAGLTPPGGFRLQDDKSGHHAGDPVLLYNFPRRYHAFFYTNSVSFMLSIALIILLVNPHVYRPAIRTHALSVCTGVGLFALVGAYAAGSTQHLKTSIYIFLLVAVALFFVVALLTGFLVKKGGNTGTINKETKKTKKTEDKRTRHAKRKYLMLLGILVASVTYQAGLTPPGGTWQSNGVGHEAGDPVMHDNRRARYLAFFYSNSASFAASVVVIILLLPKSLQKDNESLWLGAMNTMIVLDLLGLLGAYAAGTGRGWKTSVYVLALVIAVLFYIAVHVIVSLFIDFRRQENDTQPLTLDSSRENGEQQANGPYHDALAAA >cds.KYUSt_chr1.34740 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211590507:211591363:-1 gene:KYUSg_chr1.34740 transcript:KYUSt_chr1.34740 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTTKIVVAVVGSLGLLSTIMGFSAEGTKLTASDVLIGDGACYYPRNSSLALAICAALFLVVAQVIFAAVGGCCGCCKSRTMPSEKNRIIGVVCAVVSWITAVIAFALFVDGAAVNGEGLRETDTFGQCFILKDGIFAGAAILTLAATALGITSYVLLGRQTDAAAAAAPKAGGGIAMGQPQFPQQSPPQWYGQAPPPNYPQYPPPPAQGYGAHPPNQQFPHPQGHAQV >cds.KYUSt_chr7.1041 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5623786:5628528:1 gene:KYUSg_chr7.1041 transcript:KYUSt_chr7.1041 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAPQVSDKRLTSHMQCKGLVRDTDNRFSEDQLVHCPVYVFPENRDNKFTVFSRGHSSDWRLAQFSSSIQEINRTSYLTSGIAATEGFELIHWLDQLNEKNMQDRDIFLKPLPNGGTTLGQQRHRKKVKNSLTTCPTCHVVANTSSGLVTAPLISYSDTLHDDAKPPKRSSKKRRNKSKHCRQATCENHSLLPELLCEERIDAASPLEVLLPDLLAEKLSDTSSSASLLVKDTHMGKDNAESSNGYVEHRTIQTLSTVESDGKDGPGCTGSSNITVGERVSCEGAPYLNVGERVQCSSEACSSKLFLPVSSERSGRRSRKTSSYNTNRVVGSNRHIHSGKDNPVSVWQKVEKLNEEKSYGAGHEDKNAQEDTNRSMDKHRCRKSCKHHSPDVPVEMELTTENSALNYCRKISRCMYKKQAPFLYAPKNCIPKMPKNHSEQIEGLSMLQQLVCAHNLDSHLVPQSTSKEACTLVIQDDAHSPCHENKVILTDLDSMNSCAEEPKDVKSFSASAHMPHKWVPIVKRDKIHFDLPEVSVVEVSVPANDVSVYANIDVQRNVSDVPASTKREGSEVATEVPAKLNSSGQPDLECHGHIETVTAFSKITEAVSDAYRAQQRAEDIQLLVGRPLADFEQFIYSASPVLHCTPCLTGCNSSSQECITDRSCFHQTADISLSSIWQCCSIVWATQENHWVKELIDGAKQSNCQISGDPKNLELNLHDLHPASWYCVAWYPIYRIPDGKFQAAFLTYHSLGHWVHRRSSSDQAGHGHVVLPVMGLQSYNDKGEWWFQTSRSTSEDINSTDSSCSQVSQVLRERVSTLKQAAAAMARADMPSKDRMRSRNRHPDYEFFLSWCR >cds.KYUSt_chr3.25287 pep primary_assembly:MPB_Lper_Kyuss_1697:3:156994214:156994873:-1 gene:KYUSg_chr3.25287 transcript:KYUSt_chr3.25287 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRLRESPSPPSPILPKKPKSHAGADLAHPLERIRMLLDKLLQHEDGWVFAKPVDPLSLGLSDYYSDIPDAMDLGTVSCRLEGNRYMDLHSFARDVRLTFHNAMVYNDKGDDVYESAAELSEIFESGWASIEQVLPSPPSITDRRMKLKDELPRLSKALQRRAVIIMKDINAWLQEANGRVQVDFEKADEATLDKLERLVLLGAMQQVITVSIWCPL >cds.KYUSt_chr4.45606 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282209084:282215736:1 gene:KYUSg_chr4.45606 transcript:KYUSt_chr4.45606 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITISSSNGVVDSMKVRVAPQPPPPPPPLALPGAAASGRRGGGGGGGGGGGTAAWYLRAVAFPAVVALGCLLPFAFILLAVPALEAGGSKCSSIDCLGRRIGPSFLGRQGGDSTRLVQDLYRIFDQVNNEESTSDKKLPESFREFLLEIKDNRYDARTFAVRLKATMKSMDKEIKRSRLAEQLYKHYASTAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRASSVPEKVVFHVITDKKTYPGMHSWFALNSVSPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGTVSSASDNPRVLASKLQARSPKYISLLNHLRIYLPELFPSLNKVVFLDDDIVVQRDLSPLWEIDLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVIERSLDPEECAWAYGMNVFDLEAWRKTNIRDTYHFWLKENLKSGLTLWKFGTLPPALIAFRGHVHGMDPSWHMLGLGYQENTDIESVKKAAVVHYNGQCKPWLDIAFKNLQPFWTKHVNYSNDFIRNCHILEPQYDR >cds.KYUSt_chr4.51312 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318260111:318260395:1 gene:KYUSg_chr4.51312 transcript:KYUSt_chr4.51312 gene_biotype:protein_coding transcript_biotype:protein_coding MCCRGSIHDAAVDLLLGVMSSVVSIHGSTPSIAPASQGRAHHRHPEGAISYRCELFCLTLDPSSGEGRGAGDVCRPRRPLQAAAKTQHRDPRPS >cds.KYUSt_chr4.17917 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112305655:112324568:1 gene:KYUSg_chr4.17917 transcript:KYUSt_chr4.17917 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLGAATKLLDNMMINYSRMAHGKSSTRSNVDANNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSGNNYRPYPSANGNGYANAIQVRINENIRLMAELRARWDREENEKLAKENNVAKVWTITTTSNDNDSHVATPPTINAAEIFSNIGDDDPIAVAHNDLDFDDCHISEVIKFLQKLAKSPNASAINLAFTKHITNALIKAREEKLKLETSIPRKLEDGWEPIIKMRVNDFDCNALCDLGASISIMPKKVYDMLDLPPLKNCYLDVNLVDNAKKKPLGRVDNVRIMVNNNFVPVDFVVLDIECNASCPIILGRPFLPTVGATIDMKEGSFQGSREEYDIPRSRGVKALSLGMPRWFTPAYFKKTQASKLGDAQGIPFFIDNIIRPNPTHHVLSPDLPQADPVLLLNLYQATTAGATQTPAAISAVLVAHTVAAIAVWLQLVIASLLTAPRSCAGCEHLSRMGIAKEEDPKGIKNHQDAVEVMEMKVAKFSRGKAANLANLRDKKLKGQLTGKEKLIGLSAKAAAQTEKWFLPIEGGYLEPEGFEKTYRYDQQSIVQEVDISSSKKPFDMILPVLGPYTLEYTSNGRYMIVGGRKGHIAMMDMLNMDLIKEFQVRETVRDVAFLHNDQLFAVAQKKYPYIYNRHGTEIHCLKEHGKALKLQFLEKHFLLASINSFGQLHYQDMSTGEMIANYRTGLGRTDVMRVNPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHNGPVTAVAFDRGGHLMATAGVDRKIKIWDMRKYEVVHSYPARAQSLDFSQKGLLAGSNGSLVEIYRDCGGQDYKLYMKHRMVKGYQVGKVLFRPYEDICGIGHSMGLSAILVPGSGEANFDTFVDNPVETSKQRREKEVQALLSKLQPETIMLNPNMIGSVRQPKKKEKKTKKEIEEEIEDAVDAAKNTRVKKKTKGRSKPSKRAKKMEEVVLRAKRPLLDQYKEIEGQPDKKQRVDSSRRGRRKERGVAARRRKFHKDDLSTRGLSFTETEEETANKANEKRPIKLTLGLFAIGSRPTLNKYLVSFVQSYRHARHFSTQLLEGVPRFSKPTCVRYLLPNASPYQIWSRSFASENGDLVEAVVPHMGESVTDGTLANFLKKPGDRVEADEVIAQIETDKVTIDVSSPEAGVIEKFTASEGDTVTPGTIIATISKSAAPSETHAAPSKETSQKETPPPPPPEKPKVEEKTPKVESVKKQASKLASPSEPQLPPKERERRVSMPRLRKRIANRLKDSQNTFALLTTFNEVDMTNLMKLRSDYKDEFVKKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYIDISVAVGTSKGLVVPVIRDTEGMNFADIEKGINGLAKKATEGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVNGDILARPMMYLALTYDHRLIDGREAVLFLRRIKDVVEDPRRISVIKVCKGKSCAMTRYGYSDHLLQHLVPSNSVIGNRNNSSKRATGVSTCGTGLRTN >cds.KYUSt_chr1.37026 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226027755:226029120:-1 gene:KYUSg_chr1.37026 transcript:KYUSt_chr1.37026 gene_biotype:protein_coding transcript_biotype:protein_coding MHYEARIQCVRDWHADRFVHMTKEDARDTLMQPWQYLQVLSCDGHVVDMPQYLKKHEEGKKKRAEMRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQCTSYVSKFKQKYGEDANPETEDFDPEVAVLAGEGLKHGRLWFGDGCVDPAKVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEQRAQMEQQILEYQQQQTQMMQQMQQQQQMMQQQQAQMSWLMSQTVLTSPPGSLPAPPPYSMPWMPPPPTQTPWTPITVNNMNIIRSMNRGESSCAQPATCTCSSVQYANVHSINLLIDYLSQGNDDEAGGSGGGQG >cds.KYUSt_chr7.38458 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239666398:239667717:1 gene:KYUSg_chr7.38458 transcript:KYUSt_chr7.38458 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSLHVLLYVLATVAVAAAAETAVHKPPPTTNVTSDEEYWAKRAEEARAYSRAAYVADPVAELNRFNQDVLRATTRRSLARYRGPCLATNPIDRCWRCRSNWASDRQRLATCARGFGHSALGGAGGRTYVVTDPSDDELIIPKKGTLRYGVIQDRALWIVFARSMVIKLSQELIVNSNKTIDGRGAQVHITGAQITLQGVKHVIIHGVRIHHSVPHSGGMIRDSKHHYGHRTRSDGDGISILSSSNIWIDHVSMWSCADGLIDVVSGSTAITVSNSHFTKHDHVMLFGASNSETQDAMMQITVAFNHFGKGLVQRMPRCRFGFFHVVNNDYTHWQMYAIGGNRDPTIISQGNRFIAPDDGNAKEVTKREYAPYSEYKDWVWKSQGDVMMNGAFFNESGGQNERRYGQMDFIPAKNGKYVGKLTQFAGTLGCRVGKPC >cds.KYUSt_chr6.30253 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191677401:191678954:1 gene:KYUSg_chr6.30253 transcript:KYUSt_chr6.30253 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETATAAAEPAPHVTSDLISGLPDDILGAIISILPTKDGGRTQALSRRWRHLWRSAPLNLTVCSQSFIFPNFILPSAVSKIIAEHPGPARRFFFLGFSDLYSELESWFHSRALANLQELTVQCFKPPASSHLLLPSLLRSASTLLFVTISHINFPDQIAPCMRFPLLKQLSLLSLSISGGVFHDLLSRCHALESLFVSEVRAEGCLRVSSATLRSIGLEGNHFRKMELVIEDAPRLKRLLLPRGNGCRTIRIMRAPKLEILGPFSRDFSKIQVFKGLSPVSMTNSMCTVKVLAVGSCSSQLNAVLSVLRFFPCLEKLYVTLCSRYEMDKKCEPQYDPLHPIECLQTHLKEVVLRHYSGHEKQVDFARFFVLNAKVLSKIEFQAYGDYSNEPVAYQHRLLQVENSVSRDVQFEIRSNCRWHDCHLKEHIHNLSVWDPFKLMPGRCAIICRPCACT >cds.KYUSt_chr4.36850 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226662930:226668902:-1 gene:KYUSg_chr4.36850 transcript:KYUSt_chr4.36850 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRKEEERNERIVRGLLKLPPNRRCINCNGIGPQYVCTSFWTFICISCSGIHREFTHRVKSVSMSKFTAQEVEALQKGGNQRARESFLKDFDIQQMQLPDSRVVYVERRYAGVRSSDKPPRDNKQIQKPHEEEHRRSSSYHSFSQSPPNDYQYEERRSGKQPAMLTRKPGSDRGHDGKMSYRSNSLQERMSEDQFANETRESRTSDCSSSSVSDTVRTVPESPNFFDNGCSSAPFQQYQSDMLNSNGITQSQRTASAENIASTTLKSGNSSLADLIFGSETAHGMQESNNFIAPSFVAFSDAINGAQEDLFSRPNLQQQFVTGLYPSVDFFANMPPTISSSDKIPLEAPSLDNAGWTTFDTPPKDKQPGVTGPSLVVSIDKQTLGHDLFLFEPSDRPASFLTSKDKVSVSNQSGATSHDTSCSQESEDNDCHKVFMEELAPGAPFVAFLEPSPISASPSLGRTSADKAMLNPFDLPFDTDSEAPSLIVKSSRKHATVIVMGVGSYVSRQWGSPFMDVSTLQAGLPNLPSSFLDGLPESWFSNNTSTYVPSGSHGGLSCLAEQAPNSPLSDMLLGLLKRSAYDQLTCSFSSVNS >cds.KYUSt_chr1.29040 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175652437:175653027:1 gene:KYUSg_chr1.29040 transcript:KYUSt_chr1.29040 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHYNNSGNYLTYQSSGSYMRSHGATNVNQLSNGAFPRCRGVLGNESYRASHVGHLHNNGNHHGNSSGYGNANANANGYCGKGPENRSMVSAPPSFNQAQQVQQTMATPGLVPRFSYHGSTRGIAMLGDGDDMVRSYQLGEIEAIQNNAATCMTQGIHEADAAGQASASHAQDFTDFLNWESTCGNGITGPSDAM >cds.KYUSt_contig_1158.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:79584:82357:1 gene:KYUSg_contig_1158.14 transcript:KYUSt_contig_1158.14 gene_biotype:protein_coding transcript_biotype:protein_coding MKQERQGKCSTAMAAGAPPSLSGTALQQHQAAAYFGLSCALAALRRVAPSKDAGGCDLGARQRQCRWSRAREAALQGKIGELELQVEELRRRRAEDARANEKVAGIFAAHEQRWFAERKSLRRQVQAVVAAARAREVKHDEATAALARRLEDQRREATEQEARRREEAQERLRASEQAAEELRERARKVALEHAADVKRHKASYVELASAQRQLEAELARAARRADLADAELEAALERRDEAVATAAELSLEAARLRRDAEHKDKILSAMLRKSKIDMEDREMLVREVKMCKARRKQAELEAERWRKMWESRHRRGSSRSSAQRCAAVDHTGCSDRMAPDAPDAKILFVDHVEEGKNGRLAPVTKELTTVVDCYGSNVDDKPVVEEYKDLQEWFHMETGKYTSMIRQRHSAELEAFTEQMRLKDEKLEAFRWRAVSMDAEAARLRCRIQELEVRLSQNEQHGAGMEALLLDRENENTSLKEELVAFRSEALDSSEPFSPTVADYEEGSRNSSEHCSPVKIQQLTDSREANHVEGNEIIEPNESSVLINDTYAAARRSIDEGRRVPTDQSCRSEIEEEKEACTDAGKKRARPSSSSAASASSDEARSEAPEHKASACRVDIHALAVSYKIKRLKQQQLVLEKLAADAAAAAAEGGKEGATTSSEANGSGGRQDPRSYQLMISFVSKHVKRYQSLEDKIEGICSRMEESKRSCGRGREGDREQNVALARFLEETFQLQRYMVATGQKLLEMQSRIATTLARAAVAGGGISDGGDGVDTARFMDVVGALLRDVQRGLEVRIARIIGDLEGTLTFHGILHTTF >cds.KYUSt_chr2.48784 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305212475:305219025:1 gene:KYUSg_chr2.48784 transcript:KYUSt_chr2.48784 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPLHLLLPNPRRSFPGLLPNNPDHHLPASRLRFCLRHRKPKAKQLAFSRQPPSPRDSQLGTPGRGGWDLARSASAAALALHLAVCSLLILSPTSARACVHPPPPAAAAVEAKEEEDDDEEWEAALQQWKSKTYALSVPLRVVALRGSFPPTWIKDFVEVQGKRLKFSPEFRPSIDALFSELSQCVDKGQVQPKSAMAADVVSIGDSWLGYAIRKGLVEPIKNAEEQDWYKSLSDRWKVHLCRNQNGEADSNGSVWGVPYRWGTVVIAYKKNKFKQHNLKPIQDWEDLWRPELAGKISMIDSPREVIGAVLKQLGSSYNTIDMETEVSGGREAVLNSFTQLQKQVQLFDSMNYLKSFGVGDVWVTVGWSSDVIPAAKRMSNVAIVVPKSGSSLWADLWAIPCATGFQTDRIGGRTRGPSPLIHQWFDFCLQSARSLPFRQEIIPGASPLFLENPAPETGDAAIGLLAYDYRKSVQLDVLFDVEESDAQACLDAVNLATAWVHGLVIIKSDCSAII >cds.KYUSt_chr2.11499 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73117387:73123328:-1 gene:KYUSg_chr2.11499 transcript:KYUSt_chr2.11499 gene_biotype:protein_coding transcript_biotype:protein_coding MTPECQATGLGYVFTAKAPHESRHTYKIVPPEEAMAGNAARASASSSMSTPVKLEHTIRRSRKKSTTQEHLKLKVVKVDPMNPAPPKPVRRKTVKRKPASSTKDGSLAGKKRKRGSGQLAIVPFEETFSALVPIGVKRKRPNLKVIGLTAETKRAHAALVEWEKSTSNDFEGFDIGSGPEWDEIRRDYERRQRNLRPWGGSVIDSVMGAFLTQNAGDHLSSNAFMFLEAKFPNKPQENAQHVALIGHLNESFNLTPHHGALVPANNRTRINAKKKKATIESEKVDWEALRKEIYRSSDISRVTPIPDKVDWQAVLDASVYVVADTIACRGQQLNIAERMQVDVNVCRIAVRLGWVELETPWSDLEEDIFHLVDEYPLLRDVQKYLWPRLCTMDKEKLYILHCGMITFGKVICRKKTPKCTACPFRAKCKYNNSREKVCPTLPPIVTPELEYGEYHTSLVIHGNTNRAYNYEPIIEIPSSPEYEDLNDQLSDNYGPIIEIPPSPEYEEHNDQLSDIEDAAEAYYQAKTDRCFGFWKPENRKEMVRTRPQQQTNKLKTISRLRTEHLAFKLPDDHKVLEQVWFLLPPLIHKRKKLPPSFYGPYPVAEKNLDRMYRLKLPQCALLDRDFHVSQLKPFNGDPPTELPLLPPQRVLVSRTSLGVRKVLVKWPGCPYSAATWEDLTKFQANYPQFKIQDKLLRDDVMFGLVYRRRSLTRLAKYGRVYVRRARPAVSN >cds.KYUSt_chr5.14984 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96804950:96805630:-1 gene:KYUSg_chr5.14984 transcript:KYUSt_chr5.14984 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNHKMDLDLPLSSSVSNEDAGDTQTLTGRAVCHAGCGRPSRVCLCPHLPPSPLRTSATVVVLHHPHALRRNPLSTLPLLARCLANLHLLPGRRLRPSSTPLLSSTPSPNPVLLLFPSPAAADLASWCRSTPPSARANPTLLLLDGTWQQAKEMHTASLPFLSSFVVPVSLPVDSGVDGDSMFESELVVKKEPHKGCMSTMEAAARALRLLEPEGRGAEIEGTMH >cds.KYUSt_chr7.22067 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136696153:136696509:-1 gene:KYUSg_chr7.22067 transcript:KYUSt_chr7.22067 gene_biotype:protein_coding transcript_biotype:protein_coding MANIARAAVAASIAQGRRPPLPASISQVRRPPLPASIAQGRRPPLPADANNVDAAAWFEQHLSKYSTMVIEPRSISETVHCINRSSLMMDSSSLAALNPDEKVLDLGSRNFFLCAIRF >cds.KYUSt_chr4.41848 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258780912:258784572:1 gene:KYUSg_chr4.41848 transcript:KYUSt_chr4.41848 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSHHHLQQPHPAAAPPPPQQHPAPLPFRNALPVPVDGQIPAPYAFFNPPPAFPDQAGQPQLVDPVGLTAAAGMGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGGSGAGNSSGDSPLLLLPMLDDDLSREVQRLDADMDRFIRAQNERLRQSILEKVQAKQFEALASVEDKILRKIRDKESEVQNINKRNSELEDQIRQMAGEVGAWQQRAKYNESMISALKYNLEQVCAHQSKDFKEGCGDSEVDDTASCCNGGAINLQLMPKESNHSKDSTACRVCKSSEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIYM >cds.KYUSt_chr4.16320 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101433349:101435627:-1 gene:KYUSg_chr4.16320 transcript:KYUSt_chr4.16320 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRQPEVLWAQRSEKVYLTISVPDAEDVVLKIEPQGILSFSAIAHGESFSLTLELFDSVLPESNKEFLAEASVGSDNDYDDGENEEIDGDDGLLCYIDEVPRKVPLAHEH >cds.KYUSt_chr2.40459 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251435451:251437952:1 gene:KYUSg_chr2.40459 transcript:KYUSt_chr2.40459 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPYVFHAQAPRARAEEYKAKGAMPQPQPQVREQWPAGGGGGSSTRARGGGAEWMGIGSGDRPLASAYDLVERMHYLYVRVVKARGIPVGAVTGACSPYVEVRLGNYRGTTRHHEGKTSPEWNQVFAFSRERVQATALEVLVMDRNAVARDDCVGRVVFDVAEVPVRVPPDSPLAPQWYRLETARHGGGGGGMVLQSEVMLAVWAGTQADEAFADAWHADAASVRGGVDGVAAALQSARSKVYVTPKLWYLRINVLEAQDVVMGGGLFVGDKVRPHVEVFAKVQVGGMMLRTKPCAMRNPASLAWNEELVFVVAEPFEDPAVLIVEARAHPGKDEIVGRAVLPLTIFEKRLDRRAIHSQWFSLEPFGHPLRQQEARFAGRVHLRACLEGAYHVMDEPTMYVSDTRPTARQLWRPPVGVLEVGVLGAQGLTPMKTIDGRGTTDAYCVAKYGQKWVRTRTAVDSCSPRWNEQYTWEVYDPCTVLTLAMFDNCQLGNAGTAAAGISTVRDQRMGKVRIRLSTLEMDKVYTNAHPLVVLHPSGVRKNGELCLAVRVTSVSLSSVVFLYGQPLLPKMHYLQPFTIPQLDALRRQAMSIAAARLGRAEPPLRREVVEYMLDADSQAWSLRRSKANFFRVTALLSGAASMVRWLVDVCHWRKPATTVLVHLLFITLMCFPELILPTMFLYMSVIGVWNYRRRPRRPANMDARLSCAEATHPDEIDEELDTFPTSKPNDVVRLRYDRLRSVAGRIQTVVGDVATQGERVRSLLAWRDPRATALFTALCLVAAVALYVTPFRVVVLVAGLYVLRHPRFRSRMPSAASNFFKRLPSRADTML >cds.KYUSt_chr7.3189 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18946228:18949032:-1 gene:KYUSg_chr7.3189 transcript:KYUSt_chr7.3189 gene_biotype:protein_coding transcript_biotype:protein_coding MALATPLRHLIAGQPAAPESTGAPASLTAQRAFLPHHRGRLHARFTASPSAGTTRVVATAAAGAAGRPTVLVTEKLGAAGLELLRSFANVDCAYELTAEELRAKVSLVDALVVRSATRVSREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAVALLAAMARNVAQADASLKAGKWQRSKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMDVIAHDPYAPVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTTKLFDDETFAKMTKGVRIINVARGGVVDEEALLRALDNGTVAQAALDVFFEEPPPKDSKLVHHENVTVTPHLGASTMEAQEGVAIEIAEAVIGALKGELAATAVNAPMVLAEVLSELSPYIVLAEKLGRLAVQLVAGGSGIKGVKVVYSSARDPDDLDTRILRAMITKGIVEPISSAFVNIVNADYVAKQRGLRIIEERILLDGSPEVPINSIQVQLANVESKFAGALSDEGDIRVEGKVKDGTPHLTLVGPFSVDVSLEGNLILCRQVDQPGIIGKVGSILGKMNLNVNFMSVGRIAPGKQAIMAIGIDEEPEKEALKLIGETPSVQEFVFLKL >cds.KYUSt_chr6.23132 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146257531:146261433:-1 gene:KYUSg_chr6.23132 transcript:KYUSt_chr6.23132 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSAFSTASHSGEPEDGKDSATIVAVDRDKNSQQAAKWAVDRLLARGSTLQLVHVRTNQMEAGRGVDMDAEMSQMFISYRGYCARKGMHLNEVILDGTDISKAIIDYATGNAITDIVVGASTRNTFIRRFRNPDVPTCLIKMAPDYCTVHVIHKGKAIQVKAAKGPAPFTTLPPKQTSQPNIESDAFARSSRDWRKFSNPSSPRTSRTSVDRLSAYAKAPTRDRHLLSGRQAPQKDFDDYIDFIAPPRPSVTRSSFSDDVDFPMSMELNSMDYADSMELSSYVSMESLSSTGKDVEAEMRRLRLELKQTMEMYNTACKEAIDAKQKAAQLSQIKVEESKMYQELRSSEEEALALVEMEKAKCRAALEAAEAAQKIAELEAQKRLRAEWKAKRESEERRRATNTDLRYRRYSIDDIEAATHKFDRALKIGEGGYGPVYKAVLDHTNVAIKILRPDASQGRQQFQQEIEILSSMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRKGNTKPIPWNIRFRIAADIATGLLFLHQAKPEPLVHRDLKPGNILLDHNFVSKIADVGLARLVPQSIAEVTQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSFGILLLQIITARSPMGLTHQVEHAIEKGAFQEVLDPTVTDWPVEEALAFTQLALKCAELRKKDRPDLGKEILPELSRLRSLGQEYEAAQVSSTSTTCSSSAPYSFNNDDVSTP >cds.KYUSt_chr1.6850 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42109512:42115949:-1 gene:KYUSg_chr1.6850 transcript:KYUSt_chr1.6850 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAPLDARHAGVARAQVAASLPRSVLLLSLVNAMTLLAGSCEKRARTGGYEPAPNAGKDGTEFRIRLLPLLAALPSSPLTITVDPTTRKRLIAALLTAGEYDLKMPARCVSGAAMRIFDLLELSGEASGKEAVAKVAKEVVPRSLSKDEKKQLYELKKK >cds.KYUSt_chr4.39335 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242689444:242694468:-1 gene:KYUSg_chr4.39335 transcript:KYUSt_chr4.39335 gene_biotype:protein_coding transcript_biotype:protein_coding MENVAVLIVGAGQAGLATAACLTQFSIPHVIVEREDCSASLWRNRAYDRLKLHLAKEFCELPHMPYPVDAPTYIPKALFVKYLDDYIMRFNIQPKYLTSVESSTYDNDNKCWSIVARDMVESTTMNFSAKFLVVASDENSAENIPVIPGLDSFLGMEIAYDLATHCANTSIVIRSPIHVLTKELIRLGMTLAHHLPLNLVDNLLVMAAKFIFGDLSRNGITMPKMGPMMLKSKTGRSAVIDVGTVGLIKKGIIQVEGSISKIMGNIVKFQSGDEISFDAIVFATGYKSTANVWLKNGESMLNDNGLPTKDYPNHWKGENQLYCAGLARRGLAAHEILSDEEKRKNYDLYGDEKGNPGIGDGHFGNHEGFTGGGPKTTYFKSGDGWQAMGGPGNGKTFSFSFGGNPGSDGGNPFGFDLGDVFSNMFGGGGGSMGESVLEDVARSLDGAVRAGKINCEKEKAICKKAGVSVGKLARLFIYSYITTEKGSLHEYSGENDAKSLKTFCQEHLPRFSRRVDISQFSFPSQALPNLPQVLLLSTKKDTPVMWRAVSGMFRNRLIFYDAEVQDVSIPLLKRLGVKNVPAVIGQNINGEEQLLKDGISVKDLRSGIKELKTLLESFGKKNKKLASNQAKKPEPTENKIPLLTASNFQETCGEKASVCIIGIFKSNKAKEKLETVLSEKTLIRGQRYNSGNAIAYALLDGNRQSAFLSSFDKSGYQLSENLLLAYKPRRGKFAVHAGELTMEEAEIFVASVLNGDVHLSATRKKPVLESQL >cds.KYUSt_chr2.27943 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171442771:171443586:-1 gene:KYUSg_chr2.27943 transcript:KYUSt_chr2.27943 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKTLKARILRVLRSSLPAPAASASPPPSPTKPDRFVVVAVDALSDDASFFDAHETPTKINLPARPIDDDWELVDQQDGDDGDTLASAPARAPDLDDLLREFPARCPPGGEEAVVLYTTTLRGIRKTFEDCNEVRALLENLAAPFQERDVSMDRGLREQLWAATGDKAVPPRLFVHGRDIGDAAQVLALHEDGRLMSLLQLSSHATAASGNTKKGKCAACGGLRFVVCGECDGSRKVFDGEHGGVRCGGCNENGLVMCTRCSYPSSSQAN >cds.KYUSt_chr3.21293 pep primary_assembly:MPB_Lper_Kyuss_1697:3:130564316:130567230:-1 gene:KYUSg_chr3.21293 transcript:KYUSt_chr3.21293 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIPSRVGGLRSFTREALTYFLSVSEGQGSEEQGKEDMEAFNSMIDKVKTNPDVMEKVHSVLDKVKNHPEVMEKVADVLHLGKHESKEKEPEAEAEKKAEEGETSVDKTEDSNILEQAVEEIQAVIAAAHPHETARDETEVPVETAAETETETTAEGEKPEEAKREVEKDNPKNRIDFVGFFAMLFERFCSPADKKKD >cds.KYUSt_chr3.9120 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53126710:53133054:-1 gene:KYUSg_chr3.9120 transcript:KYUSt_chr3.9120 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRFGGPRRSSPRRSNYFGYRPRAGSDADRRDEQRRWEDERRREEDWRREDENRRREEERRHADQERLRFEERRRQDERRRLDEERQREATRISERVARERALAEKSRKDEELRARDRWAHRSEMVPGTTSNFNATRSAEVSPVAPSLPSSNTAAADVHSPQLQNRNRSAVVADNSQSLVSLPEPVGSSVPPPVPASTTAVTRRADVPLRNLSCFYCNGDHHISVCQERDPWDYNAPFFGSEEFGSGFYSIPVPEEDNYPVEQLNYAHITVEKGEVNCRNIEHEFNVWAESMKINWRFFAKEVSATEFRTRFPSAKTIEELAHFGKLFMRTVPGAIISLEKWAGDIEPISIMQEAWFRIKGIPMKFRNKSTVYYAASLVGKPLALDKNYLRHFAYVRVKIGSQDLALVPNSRIGEIKKGFYEFQYSRELFDPSSNTGNKTVVPTDAQGGEGDQGTPKRQRTGMQDSDAGSQSAPPKVSGNYKVSHRQTAMHEFPIPRKYTGKRKLFELELPCAPEKNLVPTSCVPDSSPPLSEVHKEVVGALASLPSQSSENSSSQRAADSYKQFLTSLARSNSDKAFTIQKEYKNLLDPIAENVNEESDPVDELVDYDSSDNSQDSDTPYLTQGQGILALAAPSLISERTAVVIPVDGPQPEPDSQEEPLSQVDNPIIDIPVLATPLLPGGNQRHHCSQDEFRVGARGTHTSRIGSSVMENIEASNIPGTNLNTHNSFALLDDEEILARALEMGVCPTSFSLENVNYLKDLEIARHNMGEVQNSVVNSNDVDSNPILLLGLGEEQSESDRDIEEEAFTPVLSRRKRRNKKSAYTLDIMVKKRDFRFEKRWLKEDNFLDRVKRCWEQPVHARDSLDRLMKKLKNVKKTLKGWGANLRGADIKKKKDISNELRELEELEETVPLSPSQRIRRSTLQQDLLSVLDNEESFWRQRSRENWLLHGDSNSAFFHRAANGCKRKRTIFSLKDRDTIIQGDAALLEHATAFYKDLFGPVTDSGIRLRDDVWTEREKLNTLDCVELDRQFTLEEIKDVIDHMEKNKAAGPDGFPIEFYQHCWEIVKFDILHVFNDLFDHKIGLDRINYGVITLIPKSADADVIQKFRPICLLQVFFKIVTKTLTVRVSPVMDKLLLPCQTAFIKGRYISDGVMLLQEILREEKTRKKQGVVLKIDFEKAYDKVNWGFLFDCCKQKGFSDNWMQWIKKSVAGGTLSVKVNDKVGPYFTSHKGVRQGDPFAPFLFNMAANSLAKMIHLAQDNGLITGLADNMVQNGVAILQYADDTILLLQDDVQQAINLKLLLYIFESMSGLKINFDKSEAMMILDDSLHGEQDASDLRAGADGLLHLAEVAGVRSSGNDGRGGLLRLEDNRTGEDANDDDVA >cds.KYUSt_chr1.36558 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223067872:223071973:-1 gene:KYUSg_chr1.36558 transcript:KYUSt_chr1.36558 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSSRARLLACVAVAVLLLVASGNVHAGRHGHGRAAHRHTKGLRPGKAPAAKAAKPYPANATSIERDFTRWVQFMGGLEHSVFQRALNRAPLPTTRTVVVDRTPGAGDFTSIQAAVDSLPVINLGRVVIRVNAGTYTEKVNISPLRAFVTVEGAGADKTVVQWGDTAGMVGPWARPLGTFASATFAVNSQYFVAKNITFKNTAPVPRPGALGKQGVALRISADNAAFVGCNFVGGQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEGCHVHAVAPQYGALTAQNRKSLLDDTGFSFLNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMYVRPWKAFFSDTGRPGFSRRVLTLWWCFGWDLYRTVFYGQYKCTGPGANYAGRVDWSRELTDEEAKPFISLSFIDGLEWLRL >cds.KYUSt_chr5.38962 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246711484:246715528:-1 gene:KYUSg_chr5.38962 transcript:KYUSt_chr5.38962 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPQRRPDRSAAGDGRQRNPNRLRIPSRSKGYYFNYKLLKARVKVYTEQTKEGNHDRRRVLKDFSKLLDDEIERIVLFMIKQQGLIAAWLEELGKRRAVLEDIPLVQEIAELKEDYRAVGLDLVRLLKFVDLNANAVRKILKKFDERLGYTFTDYFVRSRSNHPFSQLKQVFKHVGIGAVVGALSRNLGDLEEREGSYLNIYDENPLAIPKDPIIDMIKATADKLTNSTNFLRFLGQHALIAEPDDAAGTEEEHTAAAEEKYHYISLVLNLANTFLYMVNTYIVVPTADDYATSLGAAATVCGIIIGSMPVAQVFSSVYFSAWSNRSYFQPLLFSSVVLLLGNVMYAMAYDFNSLTVLLVGRALCGMGSARAVNRRYISDCVPPRIRMQASAAFVSASALGMACGPAMAGLLQVNFKLYAFTVNAETLPGWVMAFGWFAYLIWLWISFQEPALGETYEQVHRQVSSAGSSSTKHLKHLLEQDAHVDNDQEEHLLKQDAHDGNDHEEEAPGAAAAAPSVVEAYRLLTPSVKVQLLIYFMLKFSMEILLSESSVVTSYYFSWTTSTVAIFLAMLGLTVLPVNAVVGTYISNMFEERQILVASEVVLLAGVLLSFNLLSTYTAAQYVCSALLTFVSAEVLEGVNLSLLSQVMPARLSRGTWNGGLLSTEAGTLARVAADGTITLAGYLGQGMLLNATLLPSLLICVASIAATVSTYNSLFY >cds.KYUSt_chr6.18004 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113282467:113287645:-1 gene:KYUSg_chr6.18004 transcript:KYUSt_chr6.18004 gene_biotype:protein_coding transcript_biotype:protein_coding MPVATSHHLRPSPPAAAADRRPGRASLRPWAQPPRRRAVALAAAASAGGEASAAVSAVSPGATAGAKRDPARRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDRVLKQVIEQLNKLVNVLNVEDLSKEPQVERELMLIKLNAKPDQRAAVMLVANVFRAKVVDISENSLTLEVTGDPGKIVAAQRSLSKFGIEEICRTGKIALIREKIGTAARFWGFSTASYPDLIEASPRNPLLTSPKKTVNGSFDQPSSAGGDVYPVEPYESMSMNQVLDAHWGVLDDEDSSGLCSHTLSILVNDCPGVLNIITGVFARRGYSIQSLAVGRAEKEGISRITTVVPGTDESIEKLVQQLYKLIDVLKVEDLTHLPFAERELMLIKVSGNTAARREILDIGQIFRAECLDLSDHTVTLMLTGDLDKMVALQRLLEPYGICEVARTGRVALTRESGVDSKYLRGYSLPV >cds.KYUSt_chr1.16316 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94806133:94809967:-1 gene:KYUSg_chr1.16316 transcript:KYUSt_chr1.16316 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSQSHEFETDVPASELWEVYGTLRVGELVPELLPHIFAKAELASGDGDVGTIWRLTFAPGIPGLETYTEKLTKVDNENYIKEAESIDGDILKLGFLYYMVRCEIIGKGLTSSVIRSTIEYEIDDGHPELEAMLWRASLTSLADVLQCSLYRYSGTFLAGSGIPLLGYRPALKISKSATGMRPETSEDATRTSTPPQPSPVPSSAGNSSASPLGGNTSTGRAAPEPSDHRTEEELFSPPEAQDTGASNIGADTKDAGRAEPQVPPVPKKKKKKTPVSSPSKTVPDSSAPAKDAPEAPAPTKIAPTPPPATSAGKPAPAKPTPPEGGKLTAQQLAAVVTAATAPSSGSQTLILHVVRAAVAAGETASAHVGRITELHRGGADLRHLLDYAVKWNQADLSPATRGLGKDKLPVTDPAGPRSTGQHFGRLRRAPLALGAPGNTLAGCGALSKSLTTRGTMPTPMWR >cds.KYUSt_chr2.19904 pep primary_assembly:MPB_Lper_Kyuss_1697:2:125257644:125260344:-1 gene:KYUSg_chr2.19904 transcript:KYUSt_chr2.19904 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNISARPVQVGRRGPPDSLWTALRSCCRSSRTRPGMAADAKLPTGDRPIIGACERRFTEKQPEKSNRRRMPIQNDRRRMPIQKLVSLCAHYTRSECPLRRNGMGTEDHAVARDGDDRKPGRWDRNSGVVPSWMLLPKISVLMRNLKSFALLMKTTLTSGLPQIGPDKLCDSRIELPKTLPELMNKFRDVDQIHDFVKAQLLAGARFAMIMLQICHLKFDMSNTVELCHARLKKRRRNVDKINDEVTPIAEKMITDLLRMDTEYFSEGHYADSMDAHAEGERIQIHDLIGGE >cds.KYUSt_chr2.44188 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274825862:274829516:1 gene:KYUSg_chr2.44188 transcript:KYUSt_chr2.44188 gene_biotype:protein_coding transcript_biotype:protein_coding MILISVPDEDNQHHKDDQDMTELVINLLLSSSPYLIVTNPSISVILLLELEVFHIMEGAISSPSNTRVAVVTGGNKGIGFEVCRQLANGGVMVVLTARDETRGREAVEKLKGLGVTGIFFHELEIIDASSISTLADFLKSSFGKLDILVNNAAVGGVEYDQGLDTNEEKFGGLDFHQRVEWMMKNAQEPIGAAKKSVQTNYYGTKHVTEALLPLLQSSSDGRIVNVSSDYGLLKHISSEEVRQELNDIDSLTVERLDEMLNKFLKDFEAGVLGPQGWPTKFSAYKVAKAAMNAYSRILARRHPAVRVNCVHPGFVKTDMSMRSGVLTPEEGARNVVKVALLPDDGPTGAYFSKGEEASFLKKEDMTAEKFIANLKGFTFEVADAGKKVDDDELKDYIINGLDGDYNSLVPSINAVPNTSLFVIFGTFQQCLKM >cds.KYUSt_chr6.25175 pep primary_assembly:MPB_Lper_Kyuss_1697:6:159580701:159583219:1 gene:KYUSg_chr6.25175 transcript:KYUSt_chr6.25175 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLGEADLKGKKVFVRADLNVPLDDAQKITDDTRIRASIPTIKFLLDKGAKVILASHLGRPKGVTPKFSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLRPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSSKIGVIESLLAKVDILILGGGMIFTFYKAQGKAVGKSLVEEDKLELATSLIETAKAKGVSLLLPTDVVVADKFAPDAESKIVSADAIPDGWMGLDVGPDSIKTFSDALDTTKTVIWNGPMGVFEFEKFAAGTDAIAKQLAELTGKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKPLPGVLALDEA >cds.KYUSt_chr3.32915 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206804995:206808176:-1 gene:KYUSg_chr3.32915 transcript:KYUSt_chr3.32915 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPESSDLSLGLHPHVNAHARAHARAAPLRLFDDSEDTKTEGSVGGGGAAHDADDDQHFSLLGHSLCVKRPRQGIHWSAAATAAGEVSSSSSTSRPAKRQTTAADLDTRRAAVRAWGNQPLADADPDVHALMELEKARQVRGIELIASENFVCRAVLDALGSHLTNKYSEGHPGARYYGGNQHIDAIERLCHARALAAFGLDAAGWGVNVQPYSCTSANLAVYTGLLLPGDRIMGLEPPSGGHVSHGYLTPSGKKVSGASIFFESLSYKVNPQNGYIDYDKLEERAMDFHPKILICGGSSYPREWDFARMRLVADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRRGKNLRKRPGSFAQGSESDYDFEDKINFAVFPSLQGGPHNNHIAALAITLKQIATPDYKAYIQQVKKNAQALASALLRRKCRLVTGGTDNHLVLWDLRTFGLTGKNFEKVCEMCHISINKTPIYGDNGSISPGGVRIGTPAMTTRGCLEDDFEVIADFLIRATQIAGSVLKEHGKVQKEFLRGLENNKDIIELGNQVEAFASQFAMPGGFDV >cds.KYUSt_chr3.45745 pep primary_assembly:MPB_Lper_Kyuss_1697:3:288088244:288090979:1 gene:KYUSg_chr3.45745 transcript:KYUSt_chr3.45745 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSTAYSGYIGKGWELYHAHPASHGLLYWEKVATGFTCDLCKVAGLPDDLRFRCRTCGVQMHIDCATLQEEQPSAVHRGDHPLKRILEPAPGCPKPVCVVCKYPTKGMRTTRGKVGVVLVFVDALLQAVGAVVVGVEEEEEEEVSGVEGEVAGEEEEGVVVEAVLLAAVVVVGVVVEEEEEVVVVVEEEVAVAVAAVIVVVAAVVARLHLRSAHLRPFQDMLSQHEDRM >cds.KYUSt_chr2.47977 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300023408:300025572:1 gene:KYUSg_chr2.47977 transcript:KYUSt_chr2.47977 gene_biotype:protein_coding transcript_biotype:protein_coding MRARHQHPHPAGQARHFLPGAARRGHTSLIATVLRAWPRKLPLDLVLLHVRDLEVTVVARSRCAGPGVLIPVSFVVQAIDMGGGTSVEMDRGAPLCSVVVTIETKLKNMGSLDHVSGILGIYCKGNGSQIRVQKKSLSEVGGIT >cds.KYUSt_contig_786.232 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1289734:1290159:1 gene:KYUSg_contig_786.232 transcript:KYUSt_contig_786.232 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRREEDAKLEHVTSTIHCGRRADADAVPVLKLAVTVLSPRPQSWWRGVAEPQPDEETLKGEHMLLPISCLWWEAWGCCHSARPGAEQRLFVVEMDFHVQKFLTPHLSSW >cds.KYUSt_chr7.8887 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54015982:54017847:-1 gene:KYUSg_chr7.8887 transcript:KYUSt_chr7.8887 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGRTTTTACVTGAGGFVASWLVKLLLSRGGSYAVHGTVRDPGDAKNAHLPALDGAAERLRLFKADLLDYGSMAAAVAGCDVVFHVACPVLANSTPNPEADLIAPAVTGTINVLKACSEAKVKRVVVVSSVSAVMVNPSWPEGKAMDEDCWSDVEYCRTTENWYCLAKTLAELEAFEYAKKSGLDVVTVCPSLVIGPLLQPTVNTSSSVIVDFLTGDHEVKTKIRNFVDVRDLADALVLVYENPEVSGRYVCNSHATKVSHVIDLLKSMYPAYKFASKFVQVSDEPSFSSRKLEMLGWKVRPLEETLRDGVESYRTAGVLD >cds.KYUSt_chr6.11541 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71691595:71693666:1 gene:KYUSg_chr6.11541 transcript:KYUSt_chr6.11541 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTFRKKKDMQSLRNATLEEFVRQMSDLLRVERYVELEFTQEELNATTMLENNSEPPKPVEYLVTHVQAQQEQCDTICNLNVVSSSTGLGGLHLVLFRIEGFLRLKVPASHNQSASE >cds.KYUSt_chr4.11319 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68801977:68803098:-1 gene:KYUSg_chr4.11319 transcript:KYUSt_chr4.11319 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFVNLVTLNWAERVYSVRRMNPYKELFYESAKAAIEAADEANIKEPFPALQTLQLPNPAMNFTATDSGGTLDMFSLFSPRATSEGRIVYANAMGEAGLYDADKHVHSTLGVFNVPKGTRPMCLSTAPHPGANQDSMYVLDMFPGKADSRCFEVLESVSSGRRDLSNFKSTWRWRLLPPPPFVSKPGYQPSSITAYTAVDDGKGCSTIYVSCGGGIGTYRFETARHDPSCRQGWSPSEEWSYVGAWKLPFVGRAQYVSEFNLWFGFLEFGPNHLCAVDLSAIDSERPPTVLQNWQDLNPPEGQEWLPTYLKLVHLGDGKFLTAKIFELGETCEQFAVLTGIEMIAGDQSLKMVKHKCAHYGFGNESIEWVL >cds.KYUSt_chr3.48507 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303422112:303422631:-1 gene:KYUSg_chr3.48507 transcript:KYUSt_chr3.48507 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKAVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKSRIVPRHLLLAIRNDQELGKLLAGVTIAHGGVLPNIQSVLLPKKAAEKAEKAEKSPKKKTAAKTPKKTAE >cds.KYUSt_chr1.17221 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100009151:100009471:-1 gene:KYUSg_chr1.17221 transcript:KYUSt_chr1.17221 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPGSGRKRKCSDAATSASPPDPSAAGSGGNRLLAGYLAHEFLARGTVLGERRGPGGPDTGSEATQGLGHAARYEAVAALVQGGGARVPGVVNPAQLAAWATTR >cds.KYUSt_chr7.38278 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238679032:238679552:-1 gene:KYUSg_chr7.38278 transcript:KYUSt_chr7.38278 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQRLAVAKRCSRDAAMAGAKAAAVATVAAAVPTLASVMMLPWARAHLNPTGQALIISTVAGMAYFIVADKTILSMARKHSFEGAADHLKNTSFH >cds.KYUSt_chr2.13608 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86243160:86246404:1 gene:KYUSg_chr2.13608 transcript:KYUSt_chr2.13608 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVACSVKCRPHYRLTAPQPPAALELLPRAQAAAGELRATRCRSPPSLSFARASDHQPDSPRAPCRSPPSARRARAGVAGEEAGRSDSAAALLGGAQSLHAIFRDELVRKAFYAAEAAHRGQMRASGDPYLQHCVETAALLAELGAGPSVVAAGLLHDTVDDAGLDYGSISEQFGAGVADLVKGVSNLSHLSKLARRSNTASRTDEADRLRTVFLAMEDARAVLIKLADRLHNMRTLDSLPKIKRQCFAKETLEIFAPLANQLGILNWKEQLENLCFKHLYPEQYEELSSNLHQFYNRDMIAAAIRRLEQALQVSGLSYRSISGRHKSMYSIYSKMARKKLEMDEIYDVHGVRVILENKADCFTTLEVVHHLWPRIPGKFKDYVNSPKPNGYQSLHTVVLSEEALPLEIQIRTGDMHLQAEFGIAAHWRYKEGLRSCSSCSPEMVDWVRCVVTWQCESLHIDHPSPLGVGPSPRTTCTFPSHSDDCPFSYSKQYDHSGPILVILLENEKMSVQELPQKSKILDLLKRASSYDMQLSLRLNCHAVHNLNQELKMGDVLELIPSTPCKSGSYMRGFHRFRHLDRHRTVDLVNIDMTEQLII >cds.KYUSt_chr3.19934 pep primary_assembly:MPB_Lper_Kyuss_1697:3:122892475:122894764:-1 gene:KYUSg_chr3.19934 transcript:KYUSt_chr3.19934 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSFHRCPVKGVDEVVVVGAAVSPPPAVATPKAESLVDLLEVPDLLKTSSQTLNFVPNIRSGSFADIGPRRYMEDEHIRIDDLSDHLGSLLVCPAPNAFYGVFDGHGGSDAAAYMKRHAMRLFFEDSEFPNAIEEDDFFSESVENAIRKGFLSADLALADDSVISRSSGTTALTALIFGRQLLVANAGDCRAVLCRKGVAVEMSCDHRPTHDAELQRVTDCGGYIEDGYLNGVLSVTRALGDWDMKMPQGSQSPLIAEPEFRQTILSEDDEFLIIGCDGIWDVMTSQHAVTLVRKGLRRHDDPDRCARELAMEAKRLQTFDNLTVIVICFASELSGCLPPSEQAPSRRIRSCKSLSAEALCNLRRLLESDQ >cds.KYUSt_chr4.51841 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321895433:321895912:-1 gene:KYUSg_chr4.51841 transcript:KYUSt_chr4.51841 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLRLHHQLRRRRHGATPALPRLLSSSSSSSAPAPAVDKVLVANRGEIACRVMRTARRLGIPTVAVYSDADRAALHVRAADQAVRIGPAPARQSYLNAAAIVDAALRTGAKVSEPERASLLRFWRMLPPGSAGEHRALAALGISAGPLPGNGASPD >cds.KYUSt_chr6.17880 pep primary_assembly:MPB_Lper_Kyuss_1697:6:112467998:112469418:-1 gene:KYUSg_chr6.17880 transcript:KYUSt_chr6.17880 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPGKLMDAVRRPVSAASSLHQSAVNHLQPLVTLAQRNGVGRRSLLTLLASAAAIPEASESRKALLQDYVKRSKENKEKNDKERLDDFYKRNYKDYFGFMENSVREKKEEELTESEKGILAWLGFAESPPSAPPRGHLALLQLAAAPRRAWPPQHGEELPGSPPASAPPPPARPRPGAPPPPLPPRTAPPPPRPRRHALHTHAHTKAGAAPSPLLQRLGSGLSGLTRGCSGRERSAGDWGGEETWVGEERRSGDWGEWIGCVPI >cds.KYUSt_chr7.1697 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9653740:9654099:-1 gene:KYUSg_chr7.1697 transcript:KYUSt_chr7.1697 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIKGYWESARDHLAAFRDMWRVAYDLKAGGCHQELFDALEAFHTRLVDKTLTDIDACVKATAALRQCMQCRPDIFREQIIAMDKSIEKEERLRREPDAKVMEDSPRLSWWIGMRNN >cds.KYUSt_chr5.41039 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258944651:258959984:-1 gene:KYUSg_chr5.41039 transcript:KYUSt_chr5.41039 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEYLFGVPDEIPDITRSSGMVRRIRFIYRKSYSKFGNNPVHLWQVLEAQTFKERVIKEGPWEEGGDADNMWMKMATCIRKVASEEFGVSRGSRSKVRDIWWWNQKAIKEKKDCFSLYLDRSADNMEKYKMAKKAAKRAVSEARGQAYEDLYQRLGTKEGEKDIYRMAKIRERKTRDVDQVKCIKDGADQLLMKDKEIKHRWREFFDGLFNGETKIYIIELDNSFDDTSRCFVRRIQESEVKEALKRMKGGKAMGPDCIPIEVWRGLGDIAIIWLTKLFNSIFRANKMPEEWRRGILVPIFKNKVDVQSCTNYRGIKLMSHTMKLWERVIEHRLRRMTSVTKNQFGFMPGRSTMEAIFLVQQLMERYKEQKKDMHMVFVNLEKDYDKIPRHVMWWSLEKHKVPAKYITLIKDMYDNVVTSVRTRDGDTDNFPIKIGLHQGSSLSPYLFDLVMDEVTRDIQGDIPWCMLFADDVVLIDDSRTGVNRKLELWRQTLELKGTWSLYCTTKRNSQTARVFTPAAVSRRRRHFSPIPRPRPPPPATSTEHLHQQIAAAAAELDLGPRLAAVQIAAEQLPDSNQGSGPWGPAQGARPYRPGRRWMDLNLYLGLPPLPRPPGRLGAAMDCPAPAPSPVPRPDDEPAAGSPAPGSPPPLPLPAAYSPPSNALSTPEMPPIDPILVDWLDGPSTDDSEDYAGDPAVPTDLSSDDDGLGTGSEDALDAGQPALPSDASSSDDDGLSTDSEYYVLLPDLVIPEISTEDDEPAGLLAAQEEVMPLDEVADTWGVDFPMIFAQSDGLSTDSEEALGSPAVGFDASSHDANASPPPPQQLPLAGLEGVRLEWVERLSRPDRAARAEMVSTRQSVGGAIEDTTHELRLQRVIQVSEQHHIVRAGPASRNQRATSPDAERLAQAIQRSHNSLDASRRQNLDANGKVGGKGAVRNDGNYCGCDASFECNICLDAAIEPVVTPCGHLFCWPCLYQWLHAHSTHSECPVCKGEVLEVNVTPIYGRGGGERDASSSDVPPRPSANRSESLRQELQMPDSIGIASMVRQLIENRDQLGGQAAPPVGDVEVAVLSEGGTRVTRTVRRRASPSLASPTPLIMRHVRRNAAPESGNRVQLPSSNSDSAATALPQQSSSVEQASTSSTVAVIVGQAAQSRRNRPLLFATAHNQVRLSRVREVSTVCAIMFPDTEAENFESSSVEQASTSSTVAVIVGQAAQSRRHADSRGASLRDQAAALLHWKSSLNFSSKHQLGTWRDDGMYPCNWTGITCGDTRSSGGTTVKVIRELILAGAGIAGQLHTLRFQSLTYLVNLDLSDNYGLSGTIPPSIGSLSMLSSLNFSGDQLSGHIPVSFFNLGRLTYMDLSSNNITGQIPLALGNLSRLAILYLDGNRLSGTIPWQLGHLQNMRELDLSLNILSGAIPSAIANLTNLNFLDLSANGLSGPIPKVLGDIHTLQVISLSVNNLTGTIPPSLGNLTMMKIMVLYRNQLTGPIPVELGMLSSLTKLDFSHNHLTGPIPSSIAGNLTSVSHFLLWSNLITGSIPHGFGNLVNLEILDISMNFIVGSVPTSIGNMSSLRDIHIGSNNLSGELPSDFGNLENLEYLAAYKNQLSGIIPQSFGNLVNMIEMRLFSNQISGSLPSSLSNLTNLVSIQLSNNQLIGHLPELCQSKKLQSLILHYNNLDGHVPKGLRDCSSLISLAISGNQIEGDITEAFGVYPHLTKISLYSNRFIGRLSPNWGACQNLTWIDFSNNMIEGNIPSEIWELKYLAKLNLDNNKLTGEIPREIGKLISLYWMDLTNNQLSGQIPKQIGQLGNLELLSLSSNLLSGKIPEDIGNCLKLQLLRMNNNSLSGSLPRNLGHLASLQRMLDLSMNNLTGPIPLELSKLELLMFVNFSHNQFSGAIPISIASMKSLSVFDVSDNFLEGSVPKGIHNASVEWFLHNKGLCGDLVGLPPCDLPPATHNIKRIKIILSIGVPMFAATISIVSAVIAFFICRKKVSQNTDDVRKRDVFSVWSFDGRMAFEDIINGTENFDDKHCIGEGSYGSVYKAELQDEQVVAVKKLHAGNEQVHDEEIFQHEIEMLTKIRQRSIVKLYGYCSHPRYRFLVCQFIERGNLASILSNEELAIQFHWQRRTTLIKDVAQAIAYLHHDIHPLIIHRDITSKNILLDADYKAFVSDFGIARMLKPDSSNWSALAGTYGYIAPEFAYTSVVTEKCDVYSFGVVVLEDSHLMITQNITDTSNRISIRIGTIHSGPGKP >cds.KYUSt_chr4.15719 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97169248:97170429:1 gene:KYUSg_chr4.15719 transcript:KYUSt_chr4.15719 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTMTDEERADPRHHPENYTRWNSYFLQRWERELAAYDGPPPPPARNNAAGRRRWWSAPERTLANVIAHIEGGNFPVLTMPPLSASRASASRRRGSVWQPRRMAASSSSSGSASRSSLAPVKREEATSPSTPVRVKKEPASPPATRGRSSGALVIREQPSAPQSGRKKTKKEAAASQLAEEEAKRAEDAAMAEAIARSLHDMEEEKRADDAALDWARRDWEREEAEQQRRLLDLAAARQLAARAAPTANDDVARYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQAAQPKANDDGSDDDGGDYTVFYRHFGM >cds.KYUSt_chr2.49476 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309652262:309657117:1 gene:KYUSg_chr2.49476 transcript:KYUSt_chr2.49476 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHPSGPGLIIIRLLESSEGQTEASQNVTYGKSYSNNLDVVPENDDEEDSEDDHELLIDTIAREQMEKNQQHDGDIVRVCEDAIVKESIPDCKEPCKVASDTASDFQQKAPVIDAAPKVDDTSALLKAPATPVVSKEIVCLGYHQNTYERSYASVVCNKERSSIPVVTEVGQDYHYEPAVSPAYTVQSPEEESGEKSSIKTVDNNDEELEDGRRSMRNQQQKMEKVMDQAAALSKKRNLEVKEIWERYVRSDDPIDVFNIKLKRVKKFLKGWGSNIFGNNKKKKQSLKQELSHLEAVEEAAGLYKAEDAEKIRGGAKKLITMAAELARKKQETPEPGLLMIEDRDRRTAQI >cds.KYUSt_chr3.47551 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298194132:298198600:-1 gene:KYUSg_chr3.47551 transcript:KYUSt_chr3.47551 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAIVVLCFSLLFFCSSAVVSLGSNNARTDELALLAFKSMLSSQSDGLLATWNASTHYCSWPGVVCSHRHPERVVAVRVESFNLSGRISPSLGNLSLLRELDLGDNQLTGEMPPEIGQLRRLQFLNLSTNHLQGSIPVTVGGCAELITVDLSSNQLQGEIPAELGALKNLVRLSLHQNGFSGEIPQSLSDLVSMEFLSLHDSRLSGEIPQALACSSIDTRGNDFKAIVFEFMPNGSLDGWLHDATNDQTEQKYLNLLERVTILLDVAYALDYLHCHGPEPVVHCDLKSSNVLLDADMVAHVGDFGLAKILVQVSSSFHQSTRSIGFRGTIGYAAPEYGVGNTVSTNGDIFSYGILVLETITGKRPSDGGFRQGSSIRDYVKLGLHNQVMDIVDIRLFSDLKNGLRTISDSSYQSKIDCLASLLRLGMSCSEETPSSRMPTGDIIRELRATKELVSVLGNAEHEDAGTELS >cds.KYUSt_chr5.40259 pep primary_assembly:MPB_Lper_Kyuss_1697:5:254210846:254213500:1 gene:KYUSg_chr5.40259 transcript:KYUSt_chr5.40259 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDAGALQLLAAAVAIVVFAVVFRWFLAGRAAGGRKQRPRLPPGSTGLPLIGETLRLISAYKTPNPEPFIDERVARHGGVFTTHIFGERTVFSADPAFNRLLLAAEGRAVSCSYPSSITTLLGARSLLLTRGAAHKRLHSLTLTRLGRPASPPLLAHIDRLVLDTMRQWEPAATVRLLDEAKKITFNLTVKQLVSIEPGPWTESLRREYVKLIDGFFSISFPFASLLPFTTYGQALKSRKKVAGALREVIRKRMEERTQDSGGAPEDADVKREKKDMVEELLQAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTVAVKFLTETPAALAQLKEEHENMTRMKGENQPLEWSDYKSMTFTQCVINESLRVANIISGVFRRANTDIHFNGYTIPKGCKIFASFRAVHLNTEHYENARVFDPWRWQSNNKLQNEVGANLFTPFGGGPRLCPGYELARVVISVFLHHLVMRFSWEAAEEDRLVFFPTTRILKGYPINIRRRS >cds.KYUSt_chr1.35293 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215156679:215161195:-1 gene:KYUSg_chr1.35293 transcript:KYUSt_chr1.35293 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESVGGDVAVSTDPLVDDAAECGSRLSSNLWISLPTTSSSSAACVSSLHALPGSVRGIGHRRLQFSTSIDDSILVDLAAALASEGVNVFRFDFAGNGESEGVFQYGNYRKEADDLRSVVSYFAEQKYDIIALVGHSKGGNAVLLYASMYHDVAAIVNISGRFALEQGIDGRLGKNFMQRIKKDGYIDVRNKKGQFEYRVTDESLRDRLSTDTLLSSRSISKDCRF >cds.KYUSt_scaffold_6468.472 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2249164:2251611:-1 gene:KYUSg_scaffold_6468.472 transcript:KYUSt_scaffold_6468.472 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRPLMRGIRPPRVFAGSRNGRASPYALALAALLVASAFLLALIAFGVFSLPVSAPTNLATTGDTETTGSGAGAGEAADGSSSRRARGRRDLSEGLGERGAQWTEVVSWEPRAFVYHNFLSKEECEYLIGLAKPRMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRAIEKRIADYTFIPAENGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRMATILMYLSDVEEGGETIFPDANVNSSSLAWHNELSECAKRGLAVKPKMGDALLFWSMRPDATLDPLSLHGGCPVIKGNKWSSTKWLHVNEYKA >cds.KYUSt_contig_2073.90 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:523158:525457:1 gene:KYUSg_contig_2073.90 transcript:KYUSt_contig_2073.90 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDIFTWLASYLRDEGLILDSRIKVEEKLAFFLYMLSHNASYEQMQLEFKHSGWSFSKYVREFFDIIPTLTTRMVKPLNLYETHPKIATDRLFFPYLDVVVGVHKTTVKIPAATAIFHNIIKQHNGDEDWLEDQDDNINPANFVDLPGDDDEDVVQQPDDVGHLPGNQVEGNNLRDQIAMQIFCVTLHELDMHTKVSSMVQSSSNTKGSNMVQSSSNTTGKKKQSPKQGKKIRKRNSPKGTKPRAQWNALLEKSLVEILHEHDNPYHRGQNGWSGESWTEMVNMFHQRNQHVRFDKSQVHDKEKELKRDYRMLKDAREQSGVGWIASEFKLDAEPFLWDNLAISFGPRILKFKKKSFPLYDTLADLYHKHIAEGNFNFTSTAQQKPHVEIKSDDDAIDDDGDRGIDLEVLDQPEVGHIEVNQRQSRVEHVEVNQRQSRVEHVEVNQRQSRVEHVEVNQTSGVGPSTNKPTKRKRSPKIKPKSSGEALVGVMDRFVNIKEKEVNNEAAQQFTISKCIAALRTLEGFDPAEKPKAFVVFKTVENREIFLSSIEDNDGSALDWLRIEMAMLP >cds.KYUSt_chr7.32440 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202069770:202072143:1 gene:KYUSg_chr7.32440 transcript:KYUSt_chr7.32440 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEALRGHFPVPAACRNRDSCPPDLGFAMAAALEETTHFPPCRPSSQGAARAPACHLLPQNYQSTPPGRREACSRTSTPRRRRAGTVHRRRALSPSPPSLLHRADAQVQYAGHNGSGKLTRDLVGQFVLPALLIHAVGLEDSGSKADDEVAPGFVVEEEHVAESMGAGGVGLAVGLVQLEDSDSSSDAGYQDVDEGDDEVLSHRRRFSDDGVRNLMQGRGCARLV >cds.KYUSt_contig_319.876 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:5618332:5622142:-1 gene:KYUSg_contig_319.876 transcript:KYUSt_contig_319.876 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSASFSLMKALFLEQLLDGGDKRWWGIFDLIGLEMAEKEVGRIFVGGLSWDTTERTLERAFSDFGKVIETQVVTERETGRSRGFGFVTFSEPRAVDAAIREMHNGELDGRTISVNKAQPRLSTDDGGYGYGGGGYSSGARGGYRGAADIVPAASDECFKCGRSGHWARECPYADGGRPARYSPPSRYGSGAGGRGDRFGGPDRYANRYVDDRYDGGRYADDRYGGGRDRYPPAADRFSGDRYGGADRYASGGFARERSYERDGGRPGGSYYRDEPRATGGYGRSGPRVANGDRYGSGGPARVGGSYRDRPAPYDRPSRGARSYDDRY >cds.KYUSt_chr5.31217 pep primary_assembly:MPB_Lper_Kyuss_1697:5:197791427:197792563:-1 gene:KYUSg_chr5.31217 transcript:KYUSt_chr5.31217 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSLPSNVCVHGIQSVHASHNLLATRTRAPRPIPNGSRFTNRRRSTPRRPAMEATATADDTDFPVYISDEEEDGLSILGASYNAEEIQIQEAILLSIDSSRAATAAPSSSSSASSPVPPAGPDVAGPSGESTEESPRDRKGKRQLSSEEDDPSESRKRRKRSRFRCAICMEKVQLSEQFLVSHCQHAFCRGCVGRYVAGKVGENAGSIPCPDPECAEGLVEMEPCRGIVPPELLDRWSVTLCESALGNEKYYCPFKDCSALLIKDRDEPVKIRDTECPHCHRMFCARCRVPWHDGIKCKEFRKLGDDEKGEVDLKLKKLANKKKWQRCPSCQMYVSRVDGCLLMQCR >cds.KYUSt_chr6.31140 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197356282:197365438:-1 gene:KYUSg_chr6.31140 transcript:KYUSt_chr6.31140 gene_biotype:protein_coding transcript_biotype:protein_coding MATVYRGRRQQEDDDGAMQGLILPRRHERRPRSSTAASAGLDDLPAKRRRRDETQVGGVPAAAFTTSRTYRHEHTPGRIGARAGRSAASPWDNVCSSLPAPLRPSGSSSKSKGSSYSSCRDTQVADRRPSAAAADRNPKITEEMMQEMDYDADRAWYDHEEEHGTMFSADNCLGDDASFRINKTAKLTRQDGTRMTLAQTKRLSHITAENARWEDSQLCRSGAVRRTGVQTEFDDENERRVTLLVHDTKPPFLDGQAVLSEQTEPVTPLKDPTSDMAIIARRGSLLVREIREKQSMNKSRQRFWELAGSKLGDILGVEKTAEQVDTDTSVVGGFKEKLKFSQHMEEKAEAVSDFAKSKSLSEQRQYLPIYAARDDLLEVVRENQVVVVIGETGSGKTTQLTQYLHEDGYTRTGLVGCTQPRRVAAMSVARRVSEEMGTALGDEVGYAIRFEDVTCPNTKIKYMTDGVLLRETLKDADLDRYRVIIMDEAHERSLNTDILFGILRKVVARRRDFKLIVTSATLNADKFSKFFGGAPVFHIPGRTFPVNILFSKTPCEDYAEAAVKQAMAIHITSGHGDILIFMTGQEEIEAACYALAERMEQLAKIFQKAQEGTRKCIVATNIAKTSLTVDGILYVIDTGYGKMKVHNPRMGMDALQVFPCSQAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLLNPVPEIQRTNLANVVLLLKSLNVENLLNFDFMDPPPQENILNSMYQLWLLGALNNLGGLTSLGRKMVEFPLDPILAKMLLMGKELGCLEEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESNHLTLLNVYKQWELNQCRVDWCSDHFLHVKGLQKAREVRSQLLDILNALRIPLTPCCMEWDVVRKAICSAYFQNSAQLKGIGEYVNCQNGIPCHLHPSSALYGLGYTPEYVVYHELVLTAKEYMQCVTAVDPQWLAKLGPMLFSVKEGDTSFLDRGRWHDEEKSTMDEMEKMRQEQVEAAGRENGRLEKRGKRQQVAMPGLKKGDPPAEDESDEVKAIYATRKTRYSQVQCAILCDLESDLQKRFEHHDPHELMNELKAIFETHAAVECYEASKHFFSCMMEEGSSVSEHMLAMTGHAKKLGDLGIVIPNRSGINRVLQSLPPSYKNFVMNYNMRNMNKELPELFGMLKAAEIEIKKEHQVLMVNKTTGFKKQGQV >cds.KYUSt_chr2.44470 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276703596:276705188:1 gene:KYUSg_chr2.44470 transcript:KYUSt_chr2.44470 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSKPAAMEMEPASKPKFRIPVDSDNQATEFWLFSFARPHMSAFHLSWFSFFCCFVSTFAAPPLLPLIRDTLGLTARDIGNAGIASVPGAVFARLAMGTACDLAGPRLASAAIILLTTPAVYCSAVITSPSSFLLARFFTGFSLASFVSTQFWMSSMFSPPKVGLANGVAGGWGNLGGGAVQLLMPLVFAAVKKMRSTPFTAWRVAFFIPGAMQTFSAIAVLAFGQDMPDGNYRKLHRTGDMHKDSFANVLRHAVTNYRAWILALTYGYCFGVELAVDNIVAQYFYDRFDVNLHTAGLIAASFGMANVISRPGGGLMSDWLSSRYGMRGRLWGLWIVQTIGGVLCDVLGVVDYSFAASVAVMMLFSFFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQVIFFRGSKYKTETGIVYMGIMIIACTLPLMLIYFPQWGGMLAGPSTGATAEEYYSREWTEQEREKGCNAATSRFAENSVQEGGPKSASGSRSTDQDIPSPSMDHRPTCELNPGVRVSQRVQ >cds.KYUSt_chr4.6270 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36833177:36835057:1 gene:KYUSg_chr4.6270 transcript:KYUSt_chr4.6270 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLLLLAALALALAPCLCQSHGGGGFYDPARVTQISWRPRAFLYSGFLSHAECDHLVKLAKGRLEKSMVADNDSGKSVMSLVRTSSGTFLAKREDEIISGIEKRVAAWTFLPEENAESVQVLHYEVGQKYDAHFDYFTDKINLKRGGHRVATVLMYLTDVKQGGETVFPNAEGGHLQLKDETWSECARSGLAVKPRKGDALLFFSLHVNATTDPSSLHASCPVVEGEKWSATKWIHVRSFDNPPDVMTDLPCSDDNEMCPRWAAVGECFRNAKYMVGTKDTLGFCRKSCGVCDA >cds.KYUSt_chr5.6195 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38258761:38259576:-1 gene:KYUSg_chr5.6195 transcript:KYUSt_chr5.6195 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKASMADVIGDNDLLHEILLRLGLPAFLVRAADPKFLRRFRDRHPPSLLGFYVHDARYRRQQFVPVVSEAPQLHAAVSDPSAGLPVDCRNGRLLLKVVGESTTGHYLVRRPMCPTQGVIPILPPPQLPVDWAPTPPQLRCKSACLLSRDSSDSIVFVYLLLGGSRKLSVQVYVLQSGGVWGEVIVAQGELHALSRDVWVEMVAHGKLYMASDNGCIHGLDFAAPRPQFFTIKLPNEVGDNNYELSSGDDGGFFLIHGERFQLSVWHHA >cds.KYUSt_chr7.2528 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14797673:14798107:-1 gene:KYUSg_chr7.2528 transcript:KYUSt_chr7.2528 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGGAVLQLRVPSAFEPVRRRRTQSVRCASVRGARSAGAVEDDHYRTLRLAPGATRREVKKAFHRLALQYHPDVARRQGEDNDQGNVDFQRINVAYQRVMANMREAEARLEYWRARYDLAEEDLDRYRRHLHEDDDWFADL >cds.KYUSt_chr5.18837 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121815155:121818199:1 gene:KYUSg_chr5.18837 transcript:KYUSt_chr5.18837 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAQGRGMTRLGVLKVVVASGTNLAIRDFTSSDPYVVVRLADKHAKTKVINSCLNPVWNEEMVFSIEEPVGIIKFEVFDRDRFKSDDKMGHAFLDLQPVAGAAKLRRALKLTAGETKLRKVAPSADNCLLSDSFVTYADGEIVLDSRLRLREVESGELFVIIKWIEADAK >cds.KYUSt_chr6.23426 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147964303:147965603:-1 gene:KYUSg_chr6.23426 transcript:KYUSt_chr6.23426 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRANIQFDNGSACSRNLSNALTYELARLAIGPPVWLSNQQVFLLVASAHMAPSSRFSLAPRGSFLLTAALLVLLLSHGAHGHGAGLSSSFYGGSCPGTRDIVRRVIQDARVADARIPASLIRLHFHDCFVNGCDGSLLLDDDPQAEIMTEKNVPANDNSARGFGVVDNIKRALEHACPGVVSCADILALAAEISVELSGGPSWTVPLGRRDGTTTNIQSAKNLPSPFDPLETLQEKFRNLGLDDTDLVALQGAHTFGRAQCQFTQRNCTAGQSEGALVNLDGVTPDVFDNKYYGNLLRGRAQLPSDQVMLSDPVAAGTTAPIIRRFSGNQKDFFRNFAASMVKMGNISPLTGRDGDIRKNCRRVNKKPY >cds.KYUSt_chr6.3156 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18506914:18512637:-1 gene:KYUSg_chr6.3156 transcript:KYUSt_chr6.3156 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCVPTRNLDASVHARKVVVAGDDAARALVQLVEDHGVAELVMGAAADRAYTRKMRAPRSKKAVMVQRKANTNCRIWFICKGNLVCTREASEEQSRAEPSTASTSPRSSISDYSRSKASLHGDGDGEPSGSMHDPATATVALRRTPSRDGSDSAEDSGHEEAAAEAGPSAATRRVQDVNEDPPTPSHDGSDDANEMDDALREKLRDAIMEARNLKQEAYEETRRRQKADRDLAEASRMARDAERSWQAEARRRKEAEERLARERAAMEQDRRELDAILEKIRAVDGRSAELELEIAGSERAMGELGARMSESCTVLDALRLERSSAPVEVEVEVGADQGLGFLRLGFSELEEATDGFDESARIGGGVYRGTLRGMGVAVKVISPDIAVDEARFVRAVDAMARARHPGLVTLVGACPEARAVVHELVPGSSLEDRFSGKAPPLPWHARCSVAYRTCVTLAFLHSTGTVHGDVRPANILLEDERCSSSKLAGLGMRSLAAPKGVGDMALAYVDPRWLATGEEPTPQCDVHALGVVLLQLVTGMPAFAAKKAAREAADGNAPWHEVVDTSAGGWPMERATEVALLGLRCCDDDGAPARGRSPGEMLEEARGVLEAATSAAPGRTWSSLSSSSAAASDGGAPSYFLCPILKEVMRDPQIAGDGFTYEAEAMREWLGSGHDTSPMTNLKLPSDELVPNHALRAAIQEWRHTRPRSSSCGRFL >cds.KYUSt_chr4.49469 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306334382:306339431:1 gene:KYUSg_chr4.49469 transcript:KYUSt_chr4.49469 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAASLPLLLLLLACVWPQQQILVAADTDASDGNQFTGNLPYSIFSMNNLKYLNLNHNQLQGKMTDVFSNLGSLTTVDLSFNSLTDDLPEGLSSLSSLKTLYLQNNQFTGNINVLANLPLSDLNIANNRFTGWIPSQLKKINSLQTDGNSWTTGPAPPPPPFTAPPSNRRKSPGQNSDSSSSSGGKSGIGGGGVAGIIISLLVVGAIVAFFVIRRRKRKPKMAEHFEQHQPFTSFPSNEVKDMKPFEESTTIDVESLASPASVAQKPPTKIERNNSFEDDDFSNKRVPKKSNAKHIKAIVYSVADLQIATDSFSMDNLVGEGTFGRVYRSQFDDGKVLAVKKLDCTVIPFQSSDDFVELVSNISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDEYSKPLSWNSRIKIALGSARALEYLHEVCSPSIIHKNFKSSNILLDSEFNPHLSDAALASFIPDAEFQGAEQSAGCTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPFDSSKPRSEQSLVRWATPQLHDIDALDSMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVEALVRLVQRANMTKRMLDGDNSSRRGDDQDQDFI >cds.KYUSt_chr2.16560 pep primary_assembly:MPB_Lper_Kyuss_1697:2:104148293:104149104:1 gene:KYUSg_chr2.16560 transcript:KYUSt_chr2.16560 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGYSSRRSSAMVSRLRASAEAAAQVMEGRTRQQQALVARRVEYYDEESVAGEARYDVFINHRGVDTKRTVARLLYERLARDGVNGFLDNMSMRPGDRLEDRISAGIRECSVAVAIFSPSYCDSEYCLRELAMIVESRKAIIPIFYNIKPSELILPQALADSDVYLPRDLERFRFALREAKNTVGITYDSATGDMAELVSAAAEAVFYNIEKMEKVQRREMIVSRL >cds.KYUSt_chr2.10209 pep primary_assembly:MPB_Lper_Kyuss_1697:2:64673453:64677553:-1 gene:KYUSg_chr2.10209 transcript:KYUSt_chr2.10209 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERPAVVFFIGVGIVGGSTSCPSFFAFYRSDVLAASRSDVLVASLTSFLFGGILVQEKRGRGRLPKVPPVPKQQSSDEETADTEEDEEELREDEVIRITNNFETMLGKGSFGEVYKGVLEDQSIVAVKRFIHNVKEDFAKELTVHSEINHKNVVRLVGYCPDENALMMVTEYVTKGNLSDALHRDNTPIPLDTRLKIAIECAEALWYMHSQMYTQVIHGDIKPANILLDDRLNAKLSDFGISRLVNTESTLYTLNVIGSIGYMDPLFVRSGRITPKSDVYSFGVVLLELITRKKARTEDGEVGLVESFAQSLARGIRRVREMFDAEIAIPGDVKTVEEIAKLAGTVGTTFKTTLHESGVELVVKRVDGVDLSKREFEKRVTMIGAIQSEHIVPLRAYFHVKNEVLLLYDNFPMSSLEKALHGIRVYGLDPLNWEQRLAISLDAARGVAHIHSAGPSSCHGNIKSSNILLTGTHDARVSEHGLITLGKFSNASGYHAPEVTNHRRVSQKTDVYSFGVLLLELLTGKAPVKTYLKEAVDLPRLARSLTPAYRMWEAVDKLAPPLFFESARPFLQLAIRCCSEDANLRPTMFGVVQQIEEMPPFISSPFADIEEHFIFSKVD >cds.KYUSt_chr5.15519 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100239962:100240771:1 gene:KYUSg_chr5.15519 transcript:KYUSt_chr5.15519 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMPTVAMLLVQIGFAGNNLLSKMALDNGASPYVLISCRSLIAALFLAPFAVYFERLVDSRSTPFFFRLLLSESLQRSIHLKFLPLLDILETRVRAVRRLRELLTTKFPPGTFPVKLSSAAAVGDISGGDEGEACGCRTIGLGV >cds.KYUSt_chr2.12870 pep primary_assembly:MPB_Lper_Kyuss_1697:2:81500462:81504805:1 gene:KYUSg_chr2.12870 transcript:KYUSt_chr2.12870 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSYGESPNTETRSCLSPLLLAAVFLLSAVLLCSGHPPTQTADNNPRLQRAYVALQALKKAITDDPKNLTEGWCDPDVCAYFGVFCAPSLDDLCVRTMAGVDLNHGDLAGTLPFELGLLTDITHILCLPNVKFVDIRFNNLCGPVPPAIFDKKIDVLINNNHFDIELPDNFGNSTASVIVLANIRLRGCIPSSIGRMGGTLNELVLLYSGIRSCIPPEIRALREFTMLDLDVAHNELSGHIPEGICALPRLSNFTYSFNYFSGEPERCATLRRNDDRQNCIAGKPDRRPTDQCMAFLHRPLVHCDGHGCFAQHY >cds.KYUSt_chr1.24456 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146032984:146034204:-1 gene:KYUSg_chr1.24456 transcript:KYUSt_chr1.24456 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQPRLPPPPPPLKKKSPPAAPTTISDLGDDLLREVFLRLPSLPSLVRAALACPAFLRAVRSSPAFRRRFRDLHPPPLLGVFLDIHDPSIPVFAPIRRHSDRDHAAAIRGADVFFTLLPEDDNGPDPQWSMEYCHEGSALLVNWEIKKMAVYDPLTRSLDLFPVPPDEICCDMYVEFHMLASEENHRSFQVVSVSHEKWGAQAAIFSSDTREWKVFPFSEDGYSSLNGTLVNGSVYWTLPSGANIRVLNTATLQFSQIEPPLHTQGHDEFKHGETKDGKLCLVCAVQLQLMLVVWVRRPDNNNGEDRWVLDKTFSLQDDTDNISRYCLDDDVSLNVVAIIGGFVYFYTFCEWRPNSCHFMCFCLETEELSKLCTVSHSDISYPYIMAFPPSLVCNKVIPQLEGA >cds.KYUSt_chr2.54527 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340169085:340170385:-1 gene:KYUSg_chr2.54527 transcript:KYUSt_chr2.54527 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCRGGGGKRLRMSPSDGEGSPRRPRRSSRRRTPSAYSLSPSPYRSPSPLPSPSTYRSPSPSPCRSWSQRLPSGVEEDADDRSRSRGSDEIDACGRPLWRPNGYKGAGEQGHGDVFSVHIDGFDYDRLFTCKCCRRMLSSPVFQCPFGHLTCSRCDGEFRDNRCGSCGAADGYGRNRAVEEFLARICFSCRNKEHGCTALLVHHEMPAHEESCLYEPCFCPIPRCGFAGRSYALKAHLIGRHHWRTVNFRYGESFHAHARESTIMHSKDDGELFFLDSFREGRGTALSMICIRPDNAVTQEFAYELKTPIGNGKRRHKLQMQSTARNTSLRNGMGDKEKVFLLVPNDMPCTEDGYVEVCIRKDAAGGTP >cds.KYUSt_chr7.8382 pep primary_assembly:MPB_Lper_Kyuss_1697:7:50745157:50747866:1 gene:KYUSg_chr7.8382 transcript:KYUSt_chr7.8382 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSEQREQTVALLNRPTIKAEEIYTSDGSLDFEGNPALKHRTGGWRACRFILGTEFCYCLAIFGIGCNLVTYLTAVLGESNVVAARSFSTWAATCSITPLAGAIVADSYWGRYRTMVIAGSVGVAGMLMAALSAYLPLLIRNDMSNMVSAQEFILFLGLYMIAIGSGGLRPCLMSFGADQFDDGDPSECSAKGPFFNWYIFTINCASLVSSTGIVWVEDHYGWALGLTMQVALLAAGLSVLVAASQTYRFHRTRGNPLTRVFQVVVAAIRKYNVDLPANSSLLHELPEDNRAMKGIESIEHTTDLQFFDKAAIITTSNKEADEAAVPAAHNPWRLCAVTQVEELKILVRMFPIWATIVFFYVVSAQVSSTFVEQGTSMDATVGSVRVPPASMSTFDVLTVVVLVPLYDRFFVPAARRLTGKEKGVSELQRVGIGLAMPVLALAAAAIVETERLDAATAAPLLRTKISLLWQAPQYALVGVGEVLTTVGQLDFFYSQAPVAMKTVCTALGLVAVAAGGYMNSCLLTVVQWATTTGGSPGWIPDDLNEGRLDRFFWMMAGLGCLNLMAFVTCATRYKSRKD >cds.KYUSt_chr3.14181 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85961968:85963233:-1 gene:KYUSg_chr3.14181 transcript:KYUSt_chr3.14181 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATTTVSSGGSSRPTWILLNRYAKIGENTNETTTHCQVTDDMKICASLVRERPPLPSNLYVHCSGAALAERPSSLAMVDDLILFHVYVGSAYRVRSALPSYFFMYRADPNRPSLELLPHPPPQDRVRMYHAFGIFPRGGEEDHYTIASMIPQDRKDGIFTLRLYDSETKTWSSKKLSVESPQDDFPVPIPVNYRLLLSHNMSTVLTLGGTIGWVDLWRGVLFCDMLSGHHTLRGVPLPLPLKLTKPDGDPSDEELTSGRTSRGIAFVGGHLRLVEVRFKAAPVVFVYDQETGSPRWRIENWTITTWTNKEMSNSYDDWRMDGTFQASDIFLGDHLESELPPIDLQNLYVSDPVIGMNGNDMHVVYLTARENWRHPKSWVLAIDTRDRKVQSVVATPGPLSEEMRYYDANYCTCTIISK >cds.KYUSt_chr4.48993 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303507425:303508339:-1 gene:KYUSg_chr4.48993 transcript:KYUSt_chr4.48993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Positive regulator of auxin response and transport, Regulation of grain siz [Source: Projected from Oryza sativa (Os03g0175800)] MERWGEQGTAAARARRHADQPSFSSTLLDAIYKSMEDDPAHTGADTSTKKKQQEALHYSYYYRPSLAGSYRARAPGPHATTSSSSDCSSYGGFSSSEAESSNHRRLRPIRTAASGGGAPNPPAPDQKKPKKPAGASSIRAKLRDLRHKPASPGARLAGFLNTIFAGKRSAPQTPAAAAEYACSSASSYSRSCLSKTPSTRGQAGRTVRFVVDSDADAAPERQRVPTQAVEQMLLRRMEMESDEDDDDEDEESSDASSDLFELENLAAVAPRGGAGYSDELPVYETTRVVLNRGIVGHGRSARVV >cds.KYUSt_chr4.16774 pep primary_assembly:MPB_Lper_Kyuss_1697:4:104260265:104264473:1 gene:KYUSg_chr4.16774 transcript:KYUSt_chr4.16774 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHIIRRSSWSAPKADAAVWSSPLVRRMAKNTGVDALSNPLRASICNPWESMGVATGGATYRRRHGCHLLHLHLLIHGRCERAGSSHAGSSIQQASSVHTWQSLGPPSGRGILESKNVLQDILEMVTRANYERHIVMDLTETLELKGMEEPLPDEEDDDSSIRSYTKDYGKSHLSKMRRFAIDYFPASYENGKVEDFLLLWMLLKPQ >cds.KYUSt_chr7.40533 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251690748:251691308:-1 gene:KYUSg_chr7.40533 transcript:KYUSt_chr7.40533 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQELNRTFMAAAAALVLLLATTARAGIEEACRGAVSRDSAVDYAHCVSSLSSDPQSRRDAADMHALAAAATRMAIEHAAATEARFDGLGEAEESPRARARLGHCLEVYGAATDVLRDALDNIRARVYGRAVEQIAAALGAAERCEDAWKGEEEQRNVPAVATEHDREYGRLATVALGLTAGIA >cds.KYUSt_chr7.35464 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221577095:221581195:1 gene:KYUSg_chr7.35464 transcript:KYUSt_chr7.35464 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKKTGLGEGSRSGENPYPHSGSPSALLEKESSKISQLALMYCRPLDGNGRDMVHFDDRMLAQLNRVILELAIMQSPSKLPDTLVCESVAVSGLQGWADLPDGLLQSIIALSGSFIDLLAFAGTCRSWRVAFSSYPSKSSIRTMLPPLLIRPNVRVKAPHLPSNNGHRKLRICQVLDLSKQNTTFRCQIPKETLQMMHFAGCSYGHLICCRRRYCVVVDVFSGAEVSPPRLPSSNDCEEFYYSGTLTAPLASPKSHLLISSQTSLFDWPVGSDTWFELQLDDARIEQIVEFNGKFIAMDYHYRIYTLQLAPRLGLQEIKTEWWDGMAECPYLRPWLVVCGDMLLIVDHCINLSYGAPVTYRPYRLDMTTKLAKWVEVKKLDNWALFVGGDVRSTPFSCMNPELWGGKRNCLYYAHYSQPMSIHGFGDQADVVWDRSTDPDLLYKRNWYRQLQALWVYPSMFYSDDE >cds.KYUSt_chr4.34970 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214828435:214829473:1 gene:KYUSg_chr4.34970 transcript:KYUSt_chr4.34970 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLILKVDLDCCSCYKKIRKILCKLQDREGIRTISFDEGSKTIAVAGPFDPHRLSCKIRCKGGKVIKGIEIIQHGGGGEPQGNMAGPPPTKSGKNKQKGKRKETEMEPHPPPVHEQEQPPQAPQPPPVENPPPPPPPAQPPAPDSHISTVMPPTIEEQKQWERPAELEQQYEPLPPAPPVEQEKQRDQRLYHPPAGPVWPVDVKPTTAEIEIPSWPAPPQPIGTAGSSYGCSCCQPCYRGYYEGCRCCSCGRVYGYSVGVLPTPAGCYAGGSVYRPGCQLYSEEDPAGACAVM >cds.KYUSt_chr2.18813 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118353578:118354162:-1 gene:KYUSg_chr2.18813 transcript:KYUSt_chr2.18813 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRQSPAIRCLKFLCAVLLTLVLVAGVILFVLWLSLRPHRPKFSLADFSIPNLNRQAGAVNLPVKFTVNEHNPNQKIGIHFDKVDGSVYYGDELIASGPAVSPFYQQPKSDTPLQGELSASGPTPTDPAWPRFAAEVGAGSVGLRLVLTSTVQFQVKLWDTREHHMKVDCEFRVGGDGSLLQEYKNTPCKLYF >cds.KYUSt_chr2.15080 pep primary_assembly:MPB_Lper_Kyuss_1697:2:95037787:95041891:1 gene:KYUSg_chr2.15080 transcript:KYUSt_chr2.15080 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRVADRKIARFEKNITKRGSVPETVKKGNDYPVAPIVLGFFVFVVVGSFDDLLKKAPRKGSAICDVQRVPVKRIAGAGLILPDMAKATRRPVSVNKNAVATAVLSAATPLFLGYDLAMVSSTAVLAEADLKLLACTVVLSSLMGALAAVEAQCLIGDRRTVLLSAALLCAGALARGLAADLAAFTCGIFVNGVGMGLALMIVPAYAVELSPSSLRGALSSHPDGLVYLGCILGSIYYSTGLLRLPARIAWRVTVASGTAIPALLGCAVLLMPESPRWLVANDQVAEARRVLSRTSATLEEAELRLLEIKAELGTLHDVCEETGKTSGTRSRWKEERAIWRELAARPTEPLRRAVVSALVAKVFQQASGIGSMSQYVQRAFRDVGVASGRQMPRALVAFGLVVVGSFSLSLVLVELGLLLVTALAGGCTRRASLHSPLHRSGMMMTRRQEQVKRSRSMSATMLFSLMALVWIALGPAQWADASSLSSGGGCCPRWLRAAAAAVNRTVSAAILSSFAWVYGATSVTVQGSLLVCSAVGVLVWLSFCACLLGAKRRR >cds.KYUSt_chr5.13131 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85632564:85634451:-1 gene:KYUSg_chr5.13131 transcript:KYUSt_chr5.13131 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEALLPQPEPPLCAVDHLGRRVSRGSSGGWPAAIFIIGVEVAERFAFCGIMGNLMIYLTGPLGQSTAAAASAVNAWIGAAMLLPLLGSAVADSWLGRYRTVICASLLYMLGLGMLTLSTVLAPGERAGCAGKAPGSAGCSTSSSAQVALFFFSLYMVALAQGSHKPCVQAFGADQFDENDPGELASRSSFFNWWYFASYGGNTVTVSVLNYVQESISWQLGFAIPCAAMALALAIFCLGTKTYRFPQLPSAKRMPHDSEHSPLLMPMPTGDHGATALLKLFPIWASCLIYAVVLSQWFTFFTKQASTLDRRIGTLVVPAASLQNLVNASLMIFLPIYERVFVPLARKHTKNPSGITALERIGVGLAISILMMIVAALVEMKRLRVVTECGLLDKPEVTIPMSVMWMVPQYMLIGLSDTFAIIGLQEFFYDQVPDSLRSLGLALFLSIVGAGNFISSFVVYAIDRMATNAGESWFSNNLNRGHLDYFYWLLALLSAIGLAAYMYFAQMYVPKKKVLSVQ >cds.KYUSt_chr4.48979 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303449769:303455941:1 gene:KYUSg_chr4.48979 transcript:KYUSt_chr4.48979 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAATSTAFSLLRTSTPTTTSLPFRRRLRLAAMATAASSFRPEAARSPPAVEPPAPPLSKFKVALCQLSVTADKARNIARARAAIESAAADGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSYSMMSDVARSLQITLVGGSISERSGNSLYNTCCVFGSDGKLKGKHRKVHLFDIDIPGKITFQESKTLTAGQDLTIVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARATDNQLFVATCAPARDTSSCYVAWGHSTLVGPFGEVIATTEHDEATIIAEVDYSLIEQRRQFLPLRHQRRGDLYQLVDVQGSGSK >cds.KYUSt_chr6.13626 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85366545:85368917:-1 gene:KYUSg_chr6.13626 transcript:KYUSt_chr6.13626 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRELRLGVVFFFVASWLLAGVVVGHHDHGVHKNYLILVRRPYEYDRRVYRDVSSWHASLLASVCDQAKEELEADPANAPRLIYSYRNVVNGFSARLTTEELGKMAQADWFVKAVPEKTYQLMTTHTPRMLGLTGPTIFQSGVWNRSNMGEGIIIGVLDGGITPGHPSFDGTGMPPPPAKWKGRCDFNSSACNNKLIGARSFFESARWNWKVGDDPVLPIDESEHGTHTSSTAAGAFVPGANAMGSGMGTAAGMAPRAHLAFYQVCFVGKGCDRDDILAAIDDAMEDGVDLLSISIGDDNAGDFAADPIALGGYSAIMRGIFVSTAAGNSGPDVATVANEAPWLLTVGAATTDRRAVADVKLGNGLEIRGESYYQPSTYVSKLRPLVRDTAADGTCSDKSVLTSEQVSGKIVLCYTGGNLTNLQKGSILHDAGAAAVIIVSQDWAGSVISPKAHALPAAQIEYNSAEKIQAYMNSSKNPTARLVFRGTVLGNRVSPIAAPFSSRGPSKQNPGILKPDITGPGVNIIAAVPKPEGLAQPPNELAYKFDIMSGTSMAAPHISGIAALIKKAHPTWSPAAIKSAMMTTTDIRDRRRMPILDYDGHHANMFALGAGFINPTRAMNPGLVYNLSAHDYIPYLCRLGYSDHEMNSIIHPAPPMLCAQQPVVEQKDLNYPSITVVLDEEPYAVNVSRAVTNVGNGKAVYTASTDEVPPTLSVTVTPSRLRFSAVNEVQTFTVTISSKNVRLKKRITEGQLKWVSGKHVVRSPIVVSSKKFFQNQKNTTSTDQHTAG >cds.KYUSt_contig_973.432 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:3336371:3336757:-1 gene:KYUSg_contig_973.432 transcript:KYUSt_contig_973.432 gene_biotype:protein_coding transcript_biotype:protein_coding MATALVSRVESWVRDQAARLPPWAPPLPVPRWPWSPPRAPSWPGDRRRQRERMFREEFERRRIQLCELCRAVRVDTVAELQELLCAMVLAECVYKVPNLPHPSVSVIPFPFRWVDGAGARLFWLVDTNV >cds.KYUSt_chr6.2174 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12828723:12831547:1 gene:KYUSg_chr6.2174 transcript:KYUSt_chr6.2174 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLASSKFFAFRTEVNGKYLQSVYREDGGSRIEASAGTIIADPRAWFFVEPSRKGGFLHVRSCYNNKYWVPVQDSGRWIIGTADEPKDDMSKPTSCTLFQALPVKDNDNSIRFLHCGLQKFACVVSIGAKTYMQLDDGDDHGNKCDNFTVSFPQELPKHVAFKGINDKYLSIRACTMVMTQLSVKGSYSTGGFLRRFTDNTIQLVQEDDNDPNSWFEVVTGDGFVALRNLGNNKFCRSISPGDVLSACDDSISQWSKLKLEVPVKESEISVVKFHLDEARIYNKEPTNAVTITRNNKTSREVKATFSFAKKVEMVSTWETKVSLKRGQKSIFNLGPLTPTSREATVSLSKTTSEKSMDEVTVIEEYYIPPGTKVAGTFHAMRASRDVPYSYKQTDVLTTGEEVITIHDDGIYTIANNYDLHFTVTDDEEEIRKLGG >cds.KYUSt_chr3.18842 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115842425:115843393:1 gene:KYUSg_chr3.18842 transcript:KYUSt_chr3.18842 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSNYCADDVSGSESDSEIVEESKPKEESNFDMYGFEALWLLAGPPAGASVRGSVFFASVGLVGWRLKAMRVWAVGCGPCGSEAVPCRLSQLVPPLTRCLPRFLFQSILAASTQSCDSAVSFVQSVAADALANSSTNNALSCSEQPLRPQLNFDR >cds.KYUSt_chr5.37963 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239796866:239797570:1 gene:KYUSg_chr5.37963 transcript:KYUSt_chr5.37963 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGSEVADPIGWIWRRVVFFLDLMELRRSEPEMWRRREMRISVNKAVPRGSGTWRCCGGLLLSIHHGGEREEGCPPARRWLLHPQQGSSLASTWRIFTPPLSPYPRARRQPLHRRITTAPLPPFSMAEGRPLLARVTATGRPLGICNLRQAFMPTRRISSFVGVSSHLCTPSGSVPGGVEVDSGLSVKCTAPRNE >cds.KYUSt_chr5.29255 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185368620:185369036:1 gene:KYUSg_chr5.29255 transcript:KYUSt_chr5.29255 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASSSGRYRSCGRSPAPSSWSREVDPMEKKGWVQGAADSGGIALREESLAIARGGASRCGSPRRRAGVEAPWLARAAAFLAAGALGIAPAFRPLADAGGGASLRGSRRRRAGVQTPWPSRVRRDCGLDLGSGVRLW >cds.KYUSt_chr1.40009 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244962689:244984595:-1 gene:KYUSg_chr1.40009 transcript:KYUSt_chr1.40009 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNMLKTLERYQRYIFASQDAVVPTSDEMQNNYLEYMELKARVEVLQHSQRNLLGEDLAPLNTSELDQLESQVGKTLRQIRSRK >cds.KYUSt_chr5.36113 pep primary_assembly:MPB_Lper_Kyuss_1697:5:228327940:228333750:1 gene:KYUSg_chr5.36113 transcript:KYUSt_chr5.36113 gene_biotype:protein_coding transcript_biotype:protein_coding MENPYTTFLKNYQHHRHYFPVTAPAPTPTPAPPLLSAYSNPFFSRPTPAPPSSPPLREALPLLSLAPASRRSREHGHGQDSGVDGGDDEEGGQVTAPSSSSSHHNHHQREGRRLFTDLNSKAADDAMDVEAGGGSGEAGDVTVALHIGLPSTGAAADLISGISVARTAAAAAREEEDEDDDGGGVSGDADGEAAVVPLGFASTPIGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCRNNVDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRRCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGALGGGGLDDDDDCAVSDVEHDGGAARSL >cds.KYUSt_chr2.11130 pep primary_assembly:MPB_Lper_Kyuss_1697:2:70642581:70643156:1 gene:KYUSg_chr2.11130 transcript:KYUSt_chr2.11130 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFDVALARRSPWQTGSLDGLPSPKSPLDRSVARGWFHRETGGVGLGILAALEAQPSPAASKVSASIAIASPRRAVRLEISELGCSGRCTTSLCGAGEPFRVADFLGCCDRCQRPLDGKDIFMYGGDRAFCSTECRYQAIVNDEFQEEKERKRRARARAVAFDAPKEPETAGLSCADSRQIFFTGIGVA >cds.KYUSt_chr1.40692 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249583708:249585231:1 gene:KYUSg_chr1.40692 transcript:KYUSt_chr1.40692 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAGKRLLIGAAGTRPFAGAGGSSSSAAAPAVAARRGYHERVVDHYSNPRNVGAFDKDDTDVGTGLVGAPACGDVMKMQIRVDQASGTIVDACFKTFGCGSAIASSSVATEWVKGKQMDEVVAIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKPAKADE >cds.KYUSt_chr2.19757 pep primary_assembly:MPB_Lper_Kyuss_1697:2:124330928:124335193:-1 gene:KYUSg_chr2.19757 transcript:KYUSt_chr2.19757 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLPPPPPPASSTSLVSRARTAIHSAAARAERVLTDIKADLRDADGSGGNRAPSPRTSLDRQADAEAGPFATGQAPAVKPPLDEVLEVIPSEDESGLNIKPGSTSSNLAFPPSSVVKQLVAAIENGKKFNSMNDMKFSGDQCLKEKGGLSLSVVKSLVRREKEDRSSSEFYGDDETKSLMYSLFKFEEEFPHNESRCSPELLHATSLSRDIHGAPPGSFVHHLGEIIGSISSVYKMAFFWQSVVVELKKLWSDGQPVPRMSLDAAPDLNCCLLYQEIQVINCCIARKKRRKAAKESLDSLLKWENSQSNDMSNPWYSNGESRGSGIYASNCSGDYVLRLGADCASENLTLLETGEPVYSPTLQEGPIMTAELIKETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDCAASNATVGEGSSRRGRLSDRMQTKEGNLWKELWEAAKPIPAVEQTPIYDEDLAVESIFDALEVIEPVKLFQQLLSVILSVCFLAAESVLPANSNLSKLFYDCKDYIIGIYQDEMSKEKLDEICKVYETMEAILTHPEDALQIMQQPDEKSAENKNRFNLKLNFTGKDRQPLWKRAPKDEKKTSPKDEKKSPDEKNTSFFSNLRDKKASIFSKKNTKASEVPLPSPSSALGPLDETEWTIL >cds.KYUSt_chr5.13425 pep primary_assembly:MPB_Lper_Kyuss_1697:5:87379103:87381361:1 gene:KYUSg_chr5.13425 transcript:KYUSt_chr5.13425 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTCPASLRCRLCRRDVAPSHGPGGAVFGTPLSPWHALSIPTPPPAGRLQIDANIVPSAATMSSERSGQRQCRHGATGDVELDAAMALADMAGVPAAPSAGQQHPEPPPRQHAEEEEELASTRLSLELGKVGIQASPCSSSSSAGGCPSRAQQALPAATAGTAGYGPRPRHALTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNESMKKVVAKAAERESETAPVPMDTTPPPEQRSEATPSTTAQPDAPPGPSFLYTGAAPPAVPVPYLWGSWPGYEYDPRGSLGGVGAGHAPPPIGYPPCAWYYPVVADRRGSPATSYAAQPLYHEAASGGGSQGTGGGTAEEDTDDDPCSLTLGLDVTSAESSGGRAAAGGRERAAEARRRRKELTKLKQTQPGGRPGDE >cds.KYUSt_chr5.38739 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245234555:245238261:-1 gene:KYUSg_chr5.38739 transcript:KYUSt_chr5.38739 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGETAQAQAGVGGGAGHVLLLPYPSQGHVHPMLQFAKRLAHHGLRPTLAVTRYILATCTPDAAAIGAVRLAAVSDGCDAGGFGECNDVTAYLSLLESAGSETLAELLRAEAAAGRPVRAVVYDAFLPWARAVAQLHGAAAVAFFTQPCAVNVVYGHVWSERVGVPVEAGATVGLPGLPALEPEGLPWFLKVGPGPYPGYFEMVMSQFKGLELADDVLVNSFYELEPQEAAYMASAWRAKTIGPTVPASYVGDDRLPSDTKYGFHLFELTAAPCVSWLSTHPPRSVVFASFGSLSNLDPAEMREVAEGLLDAGRPFLWAVRSSESHKLPAGYADAVAPRGGMVVSWCPQLEVLAHPAVGCFLTHCGWNSTAEALVAGVPMVALPQWTDQPMNAKYVEAVWKAGVRVRPAAEDGLARREEVASGIKEVMDGKRSEEYRRNAAAWAEKSRAASREGGSSDTNIAEFVAKYAPSE >cds.KYUSt_chr5.22490 pep primary_assembly:MPB_Lper_Kyuss_1697:5:146727564:146728307:-1 gene:KYUSg_chr5.22490 transcript:KYUSt_chr5.22490 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFEDLPPAISHPAHTAHELKLVTTGGAPFRCDGCMQLGDDGPRYSCEACSFDLHTCCAVPTATLQHALFKKCTFTFHHEPPSGGRHCDACGDDVVGFVYHCHKSGLDLHPSCAQLPERHVQDGQVFELRKEASRPCRFCGKNGYRSEFWAYRSYCDGEVSYLHVACLKGAPRTRKVSSGGQIVLAEAPIIDGVLLSLPASTRRSRGFDRFRKIVGVVASVIIAVIFGNPMALIATVAGPSGLLRG >cds.KYUSt_chr3.29806 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186482660:186483271:1 gene:KYUSg_chr3.29806 transcript:KYUSt_chr3.29806 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARDLLPLLTLLLFAAAAPAPSAATKFVVGDKQNWAPNVNYTAWPDKYHFHVDDWLQFNYEKDMYDVVQVADEAAYQKCDPSNPVVRYDRGRNYVIQLNRTGRYYFICSRGYCWNGMKVTVLVEPRPAPPPSAIAPSASGAVPVTGVSSWALAAAAASLCAAVLDLPFAA >cds.KYUSt_chr5.23004 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150137591:150145457:1 gene:KYUSg_chr5.23004 transcript:KYUSt_chr5.23004 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAVLFLHTGPPLLPVQILPSLATPTMRASTTDADDRPLVELAVVAPTPSTSSPSPATGPAASAPGFSRAVRCNARSSASASASGTADGDGLSSYPGNAISTTKYTAASFLPKSLFEQFRRVANCFFLVVAFVSFSPLAPYRAVSVLLPLVVVVSAAMAKEAVEDWRRKQQDVEVNNRKVEVFDGVQSFHETEWKKLQVGDIVKVKKDEFFPADLLLLSSCHDNGMCYVETMNLDGETNLKRKQSLDVTVGLSEEHSFHSFKAFIQCEDPNEKLYSFLGTLYYGTLTCNSMEFVKCSIAGVAYGDSLTEVDISYGGIEEASGYNVRKDSTKSKRSVKGFNFTDGRLMNGEWTKESRRDAIEMFFRVLAVCHTTIPVTEKNSVEISYEAESPDEGALVTAAREFGFEFFSRTQTAISVHEYDPVLGRKVDRTYKVLNILEFSSARKRMSVILRTEEGRLFLFCKGADSVILERLSKDNEKPCVTHTKRHIEEYSEAGLRTLALACRELAEEEYAAWNKEYSSAKNSIHTDHDAAVEKASEDIEKDLVLLGATAVEDRLQNGGQMLLRDAARKAAECMVSCFIYNLANQSYFESSSSVPYEQIDRKLQDARRKISLKGTSTPFALIIDGNALTYALTGSLKDSFLDLAVDCASVLCCRMSPKQKALITRLVKTKTEKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNITFGFTLFWFEAHAMFSAQPGYNDWFISFYNVAFTSLPVIALGVFNKDVSSSVCLEAVRQDGRVAGFDILGVTMYTCVVWTVNCQLALYISYFTWIQHFVIWGSILIWTMSESRELTQKAGDGRLVK >cds.KYUSt_chr5.19638 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127437174:127444080:1 gene:KYUSg_chr5.19638 transcript:KYUSt_chr5.19638 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGDGGASAGGSKKKPSAAATKGKATGKGKAASKGSPKAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEITIEEITRSKFNTMIGLVDRERVDAALYDDFESAKAREKRLAKEARFQETQAKNAALGKKVKDAPAARGKGRGEASFFRVTCKDNGRGMSHEDIPNMFGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIDIKSSMKDQNYISFCRLDIDIHKNVPHIHLHEKHENNDHWHGAEIQVIIEGNWTTHRSRILHYMRQMAVITPYAQFLFRFLSDTAEKNLKIKFARRTDVMPPVPLLTKHHPSAVDLLLIKRLITDTTKPNLLQFLQHDFVNISKAHADRLIGEMGPDFSAKTTVNSLTSQQLVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELHPDLVATHASSPQVFEGHPFIVEAGISIGGKDVKQGLNIFRFANRIPLLFEQGADVITRTAQKRINWGLYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIAEAVKSALKQCCLQLKSKIVKKLQAREQQDRKRNLNKYIPDVARTIMETLGEIADESPPKRPRYDKEDEELLEKINSEEVTEMTFRDCLTQHVEQVDYEMALEYAMQSGVSNEPREALYLNSLEGSYKFMDLKSPTFLFRFIP >cds.KYUSt_chr3.13659 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82337250:82337567:1 gene:KYUSg_chr3.13659 transcript:KYUSt_chr3.13659 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFSGSSLTSVAPDSSPAPAAPPGTGTGANAQLIYIFNRNGVCLLYREWHRPLRTLARNQDQKLMFGLLFSLRSFTAKIDPTSYVFLYLFSSVSALEGGGCLGQ >cds.KYUSt_chr5.38160 pep primary_assembly:MPB_Lper_Kyuss_1697:5:241120345:241121070:-1 gene:KYUSg_chr5.38160 transcript:KYUSt_chr5.38160 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSADASPGSVLQNKISPSILFIVAVLAIVFFVCGLLHLLAFQGQLQQLFHLHDAGVDQAFIDALPVFLYHSVLGGGKDPFDCAVCLCEFEADDQLRLLPKCSHAFHLECINTWLLSHSTCPLCRRSLLAPGELSPTCSPVVRQLQRHGRACDACRGRRRAERHGRPRPWSRAAFCRIRGARPRLRGRRHAPCPQTRASSVVAWRRRRLKPEEARRRLLRRGGGSRSGGRHYQRRGGR >cds.KYUSt_chr3.34615 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217109687:217113376:1 gene:KYUSg_chr3.34615 transcript:KYUSt_chr3.34615 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPSTSSCCHDLSSVAHRRRLADVERDDDLDGAGGGRHRGVRALFSARRSYSSKRASSVVDQAWVRNVVASLLGLAVVVGLVSSHRAGGASGGRLLRRVDVRDEQDALGWREENLTALARRSSPDSPVIGTVAPVVKTMDDVDSEVEIWMMPDSEGHSKCIERAKNHYRTNNATAGYIMVDANGGLNQMRMGISDMVAVAKLMNAILVIPTLDHRSFWTDPSDFKDIFDVEHFKKTLEDDILIVDSLPPAYKKLKPYSRAPSSWSRASYYRAFARTLKKAKVVKFTHTDSRIVNNGLAPHIQRLRCRTNYEALKYKKEIEDLGNTLVDRLRNGSNHYIALHLRYEKDMLSFTGCSHNLTHQEAEELREMRLNVQHWKEKEINSKERRLQGGCPMTPREAALFLKAMGYPSRTNIYIVAGEIYGGHSMDELKAEYPNIYTHYSLATADELEPLKLYQNRLAAVDYNVALQSDVFVYTYDGNMAKAVQGHRKFEGFRTTLNPDRQKLVELIDKLDEGTVTWNDFQSTVKMHHENRLGGPYQRLSGQSPRQEEYFYANPLPGCLCKRTKMIK >cds.KYUSt_scaffold_869.1093 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6985753:6996539:-1 gene:KYUSg_scaffold_869.1093 transcript:KYUSt_scaffold_869.1093 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKQIQFGLVDFVPHPPTRLTAYANLGEAMDMTFGSFRFLVGKEGELLGYLVPVRGIEANPEKIQALLTMKKRTKLKEIQQLTGRVATLSRFVSRLGEKLYHSILFHVGAGIPGVAPHYTPPPSTFDVLLGSYCSVSPCGSYGHIEIRLSGQYRARFLRAFLKDFVKDEALQNGTQERLGHSVHEHSHPSVGLRGLHLTERSRRQARGSQLIEVVYEMDMTEHVGHAPGIGHHAVEREPHLFNIQVKVRDMYALQVPPKMKPILVKMPQPVSED >cds.KYUSt_chr2.32369 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199545587:199551153:1 gene:KYUSg_chr2.32369 transcript:KYUSt_chr2.32369 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSAPNCSSLNAPLFFWNVLETKDHLVELSITPCFVRSCKDDSSIEIATPLASANNWTSLQLSWGQASASSLYKPSVSKCIQKTEPALGSLALDSRSLPTEIKRALQRSSLSKDYSTNRIPVYYGHMENVLDRYNLRGNALDGRAHIVAELPQPRPMSLADLRLWILKLFRLHPETQDLFIMGFFREYSTNILSDSFVDVFTYSESVEVEPIHLESHLEGMEWDTRYISGDRCWTSFANKMKRKNMVQDFRLYVACHQLQHYDALRKAACDDVLPPRMENLPTDDSHGNPSGPVQGPKLTPEEFSVYLDGWYNKNISLPEAWRAKQEALEKKFGTFYSSYNSVPRLLQEIECIPGGFVDIQDSEVAGCEDFRVLHHIFWAFGHCIRAFRYCRPVLCVKGAPLCGKYQGVLLTALALDANDCLVPVAFAVAESETKESWLWFLRNVKQAVVKERSGVCIIHDCKAELVDAVDGIQNDPEEPHPWRDGILCLPLGAIVEVTFKRMAEYFNNTSAAANEAIKNPAIEFPQRVQDDMNLKMQKAHKHQVTICMNPKNKNVILGGDVVNLPPPLQRVLDEGTRLGASGTTLLSADAPKTVGTLAMAVKLVRLVTRSISTVPSASACPKLRTTTMQAQRATTEATIEQEPLTRIGH >cds.KYUSt_chr3.8368 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48336640:48347912:1 gene:KYUSg_chr3.8368 transcript:KYUSt_chr3.8368 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTQFAMIEELASLVRDNLYSKHLVLSTEEFLVTLLQRQYHEDDDDEHDRDMTGTHRGSVGNTIELQPTSSYNRLLLHRLADIYGFAHESVGEDDDRHLVLQRCPETAIPPVLVSDVLWKYDDSDGPSASVFLARNETDLQKTYETEVVQDTISVESLHLKTDTDAKPLKQPVPLSAASLKEREAAYQAARERIFSTHEAKGKDTSAVKPRHVPAVAQRMISHALGKRVEDTKERADTMNNRKEPVNGRNIQAARGNRLDGAIGGTELQRLASTIPCRRTTSRNGRPTKQDEEEAEEQDEGEAEEEEGEEEEEEESEDAAVRVKAEADAEAKAKVQPASTFGDEEDKSSSDVSTDTTSSEEVTSQKHHREDDEAETDPKKRGAEPPTEDGDQAANLPTPQDDAQAKLHQRLRSVQLEIDAVASTIGGAKPAAAKKKKSDRSGSAHTDVKEETEEGEGNAGEDDAPRGGALQQALAAERLRSLKRAKVQIQREILQSEPGTSGLSDKKGKTLAMLVEDEPRRKKSLKPPPGGPKRKKSPRRLKTVTYDDDNDFDAVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVERPGPSNRQNGSAELAEETEEASSIAKAAQAMQKMAQNRPTTKLLDAESLPRLDAPTAPFQRLGIPLKRPAPPGSEEQENKRRRRKTKRPLPGKRWMKANSRKESLLDDEDVGEAAAAASVSENEDEVIEGSDGLPPVILEGGLRIPGSVYGQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGALHDSGMYKPSIVICPVTLLQQWKREASKWYPKFKVEILHDSANSSSKKGKRYSDSESEASWDSDQEEVTHAKPAKKWDDLISRVVNSGSGLLLTTYEQLRIVREKLLDIEWGYAVLDEGHRIRNPNAEVTLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFETEFSVPITVGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTPEQRNTYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLKVWKDQGHRVLLFAQTQQMLDILENFLTVRDYEYRRMDGLTPPKQRMALIDEFNNTDEIFIFILTTKVGGLGTNLVGANRVIIFDPDWNPSTDMQARERAWRIGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKNPQQKRFFKARDMKDLFTLQDEDRHGATETSSIFGQLSDDVNIGVPNEEQRGDQSSSLPTSTEAEPCSSAGGEGKAELNSDQADEESNILKSLFDAQGIHSAVNHDAIMSANDDQKLRLEAEASQVAQRAAEALRQSRMLRSRDSIAVPTWTGRSGAAGAPSSVRRKFGSTLNTQLVSSSQPSSEGSNSRVQQTLQVGALHGKALSSAELLAKIRGTREGAASDALEHQLSLGPGSSQRPGSSENGRASSSSTSRSMIVQPEVLIRQLCTFIQQSGGSASSTSLTEHFKNRIQPKDMLVFKNLLKEIATLQRGAGGATWVLKPDYE >cds.KYUSt_chr7.16268 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100771560:100771844:1 gene:KYUSg_chr7.16268 transcript:KYUSt_chr7.16268 gene_biotype:protein_coding transcript_biotype:protein_coding MGACADLHHTLYTDHQEGCSAAGEVAPPPALSRGTFAALPVTLALSQHRRLAVSPTTAAGSTTVIRHAAPPQHRRRRLRFAAAATSQGSIAAAA >cds.KYUSt_chr1.28714 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173605151:173605423:-1 gene:KYUSg_chr1.28714 transcript:KYUSt_chr1.28714 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSTPRPRTVSIRGALGTPEKVEFHTKLSIEGLPEETQKPQAVNLLLASLDGVLYEMLLVTDLWAVQVTAWLRDPCSPCCLLQFIVSD >cds.KYUSt_chr3.30006 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187851228:187852595:1 gene:KYUSg_chr3.30006 transcript:KYUSt_chr3.30006 gene_biotype:protein_coding transcript_biotype:protein_coding MTELANVFLKHGYDVTMVMVEPPFKSSDSGAAAIQRIAASNPSISFHFLPALPAPNFAASGKHPVLLFLQLFLDYNQLLEAFLLSFALKRLHSVVLDMFCVHALDVCVKLGIPVYTFFASGASCLSVLTQLPALIASRRTGLKELGDTPLDFLSVPPMPASHLIKELLEHPEDELCKAMTNIWKRNTETMGVLVNTFESLESRAVQSLRDPLCVPGRVLPPVYCIGPLVSEGAKGGDGTERNECLAWLDSQPDRSVVFLCFGSKGTVSAEQLKEIAAGLERSGKRFLWSVRTPAGSQDAKKYLEVRPEPDLDALMPEGFLERTKDRGLVIKSWAPQVDVLRHRATGAFVTHCGWNSVLEAVSAGVPMLCWPLDAEQKMNKVCMIEDMGVAVELDGYMTGFVKAEEVEAKVRLVIEAEDGRQLRARVAARKQEAEAALEEGGSSRAAFVQFLSESR >cds.KYUSt_chr3.22193 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136761280:136784530:1 gene:KYUSg_chr3.22193 transcript:KYUSt_chr3.22193 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEREAALLARVAANHLFLAQFEPLRAALLSLRRRADPDLAADFLRAVVASGGRVPGVLWSAPPACPSPSHLAWLAALELAALPSTPNPEALRIKAEFLLLLQTVYDDPATGDEARGTLARLLDLGVARLKREVESCREATVSVEEVPLSEEVPLSEEDLRGLWGVILDNAELFDALCAGVSKQIGLDGGSGANVLTWLRRSLQLAHLDAMKALVVAGDFESATGHLRFLCLENGVDEDSYKVVVGDLVKKGWEKASNYCGTWFESRNRIIKVYGAALQSSSPQLVQLVQTVLDDILSEEFEDHSVSDAHWMPVPFKKFLETLWLDRDADSDDRTILTEAIISCKKDLYHYSRLSGKHVLEVVMETALSLIKREQLQEAVNVVSLFPLLQPLVAVLGWDILKGKTALRRKLMQLFWTSKSQALRLEEYSHYRMQTDETSCEEYLCDLLCFHLDLACFVSSVNSGHPWNLRNSLLFSQQEQDSVVNAEILDPFVENMILERLAVQTPMRVLFDVVPGIKFQDAIELVGMQPLSSATAASKRMHDIELMHMRYALQSVALALGEMEKCGDGNEHHYHIALSYLKEMQNFMDAIKSIPRKIFMGSIVLSLLHMDDSIKLPQAVHSDCDSNTEPEGKNMVISFVGLLLDILRQNVLLKGPDMDQLSSTGLSPAGRQALEWRLKHARHSIEDMDWRLSVLQRLPPLSGRQWSWKEALVVLRAAPSKLLNVCMQRANYGIGEEAVQRFSLPAEDKASLELAEWVAGAYRRALVEDAVNRATDNTSVAHESDILSFRAQLGPLTTILLCIDVAATSARSGDMCRFLLDEATSLLSEIFPGSSPKIGPTYWDQIQEVAIISVVKRILQRLSGILDLEGHPYLQVVFTEVSASLSTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLNGKLHNVARAIVDEDSDKTYSKEGIKFEKKDVLMSEKGVVLGHGLRILKQASRTDPTASSVHESSPEHKGSTNRYLGPVSTKPSTYLSNFIIYVATIGDIVDGADTTHDFNYFSLVYERPKDLLTRLVFEHGSTDAAAKVADTMGVDFVHEIISACVPPVLPPRTGQGWACIPILTTLSNTTSGNRSSVPHDSSLSSRQDPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSSDNESCPTYVKDAMQATEVERSFYEFALEQSERYPTLNRWIQMQSNLHRVSESAVTVKTENEVAVHQSKGKFSIKRAREPDSDAESELEDVAINGNAASSTLESPEHDAKLEPTAFISFDWENEGPYEKAVERLINEGKLTDALAVSDRCLRNGASDKLLQLLIDQSEEVSLGTGQFRSYGSRNLGNNTWQYCLRLRDKSLAARLALKYLHSWDLDAATNVLTMCICHLPENDPVRSKVLQMKQSLQRYGHIMNADDRYTRWQEVEIHCEDDPEGLALRLAAKGAVSAALQVAESASLSIDLRRELQGRQLVKLLTTDPLSGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGNLSDAEIARLNSWALGLRVLSLLPLPSQQRCSSLHEHPHLIVEVLLMMKQLESASSVLKEFPSLRDDKLIIAYAKKAISVNVDSTTREPRLTISASRAKQKKVAAPAKTNFVQSFGNFQREARKAFSWVPRDGGAKTPPKDIPRKRKSSGSGGDRSSWEAMPVVQEEQTPTYPSEGQDRLPFVSAPEEWVLTGDPDRDNTTRACHRYESSPDITLFKALLSLCTDELVAGKGALELCMSQMKVVLSSLQLPLNASMDNVARAYHATETYVQAISYAKNRIKRLMGSSYLSSGSERSRDADDISVDAGSSSTGSQHQDELFDLLAQADMWLGRAELLQSLLGSGIIASLDDIADKESSTSLRDRLVSDERYSMAVYTSKKCKIDPFPVWVAWGHALVRMEHYAQARVKFKQALQQFKGDAPPVVLDIINTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADSYLNVLYMPSTFPRSERSRQSRDSLDSQISSASSYLEDGPRSNLDSIRYAECIHYLQEYARPQMLAFMFRHGHYAEACSLFFPSNEPTVEGEASLSSVPRSDPLTTDYGTIDDLCDLCLGYGAMPVLEDTILAVTQSPTYNDTAVIQYMNAVLTRICNYCETHRHFNYLYSFLALKGDHVASGLCCIQLFVNSMSQEESLKHLGHAKTHFEEALSVRDRTIEATKLVSRTARNKSASEKLTREMIMKFSTRVSYQMDVVKALNSVDGPQWKTSLFGNPTDPETLRRRCMVVETLAEKHFDLAYRMLHEFDLPVVGIYAGVAASLAERKKGGQLTEFLKNIRGTIEDDEWDQVLGAAINVYANKHKERPDRLIDMLISNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >cds.KYUSt_chr7.5090 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30386991:30387404:-1 gene:KYUSg_chr7.5090 transcript:KYUSt_chr7.5090 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRRLSRVAAADACTATGAYQPLRSDAAAAKGSSAAASSPSSSSFFGARRLGSSARVPEGHVPVCVGEEGGPVERFAVRAELLGRPPFAALLRRAAQEYGYGHPGALRIPCAVADFRRLLLGLSDADDDAPFYH >cds.KYUSt_chr3.386 pep primary_assembly:MPB_Lper_Kyuss_1697:3:2092327:2096354:1 gene:KYUSg_chr3.386 transcript:KYUSt_chr3.386 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDTIRTVIGIIGNGTALMLYLSPVPTFYRIWKKKTVEQYSVVPYLATLLNCMIWLFYGLPVVQPHSMLIITINGIGLVIELTYIMIFLAYSVGAARRRVLLILIAEVSFVAAFAALVLNLAHTHVLRSMVVGILCVIVGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLASGTCWTAYALIEFDLYITIPSALGVVFALGQVILYVIYYKSTQQILETRKSKADQIPMTEVVVDGKNGSTAGSGAGKGHC >cds.KYUSt_chr2.44951 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280017592:280019447:-1 gene:KYUSg_chr2.44951 transcript:KYUSt_chr2.44951 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKRRSAVAACGMERPCSNKRPRLIASAAISDEASSWASLQADLVRLIGSRVLAGDLMDYVRFRAVCPHWRSSSVCPRGRGIVDPRFHLRRWMMLPEGNGLHPGHGKLRGYIRFFNLSTGDIVRVLLPLFRNHCILDSVDGLLLLQRDEDTVIRLLHPFTGDIVDLPPLATLMRLPKANLNVRKTWFYFRNICATAVSVNAGGVITVMLVLKKLAMLAFATSRDHQWDVPSWRLSPTWKPISFRGKVYMLDNPTLRSPDGPGDTRIVQIDPPQYYEGMPTGSPTQKLVATCPISKMRAPRYLAECDSEILVIGFREGLSCHPLVYRLSDLILDRVVPVTSIGGYALFIDERILSVSTSAVNIAGDSIVSAHPRERNKGVIRYQYHNHNTWKVKRKWREGKT >cds.KYUSt_chr5.41080 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259249019:259249879:-1 gene:KYUSg_chr5.41080 transcript:KYUSt_chr5.41080 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAGGVARSLVAAAVGAAGTVIGAAAGLLTGFATEESEGLLRGALVGAVTGALVSVDLADSLLRVWTCDVSAMDTRIKRTRLLLQTFAAGRLLRGCAFPTTSGLGEHDDLYEPSSAVMAARRAVVESLPAATLTKETAAAWQQTTCPICLHEFQTGESARRLPACGHVFHLTCINSWLLWKPQCPMCRHAVY >cds.KYUSt_chr5.7740 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48714172:48715516:-1 gene:KYUSg_chr5.7740 transcript:KYUSt_chr5.7740 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGQGSCVPGRALLRRSRREGPGKSMRGLMAATSGRSRQGPADLGVGQPPARDGAHGAEQARPAAATAGQSRQGPADRGAGQPRARDGAHGAELAGAWDDDLGPVEARAWGGPGRASGGHVGVEQPAASGGHVAAEQPEAAGGEKRRWLDEEENNRSDDFAYVDAC >cds.KYUSt_chr2.5563 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34446676:34447875:-1 gene:KYUSg_chr2.5563 transcript:KYUSt_chr2.5563 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVYRLDKVVHLKYMFYFFFPPGARIYMSRIDKISDSKELSLLLKVAVHDYGLVPLNLQHVDDAREIDYCLCALEQALDHLSVADAVAVHEEAALELDFFTTRLSVDRSVCDDEGVHAVAKAVLEVIRAARSPRVDAVAVADPDLGRVVTAVRGVAHVVLTAVRGLVLAARSPRVEGDHDSLAEADLARSPRVEGTDNSLAGLARAALGEVRAARSRPVLLQEERVPLQVSSVEGLDEALRAAPPHTRGVWSAARVLDVRGVSHLSEAGEFEYELQLCSLLGWKVPLMKKVANARKLDANLVLLNYRLKCVFNGSNVQEDGTISRLLLESIAEETKQLVRVSTEDVMLFQAEVATIPDIISDLLAQSGNVAGVRKMANEAMVIIRWIRAQQLRFSCP >cds.KYUSt_chr2.40542 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251912603:251913598:1 gene:KYUSg_chr2.40542 transcript:KYUSt_chr2.40542 gene_biotype:protein_coding transcript_biotype:protein_coding MHACFHGGGGSGRTRTISIIRDLTKNMKAMSLKVKPGGGGAKARARRRRRQGNGAEAEEDLEMQDKDIATAASTSASAKIAPEEERGGKRPTRNCDNCRSALADVAEEDEEGEEEGTAAGAAERAAGEEEGSDGEWVAEPEPGVLMTLVSRPDGTNHLRKLRFREELFDGPRAAQRWWADNYDCIVELYSIVQSTGGSPDDGVEDVAEEDEPATPCQSEDDHHHKRRRWQRLGCDSASTSGGPSSGSGSGSGGGSASTVGSPILGLVVATPNSSKNKSPGPPERVQSHKHKCRSLHIN >cds.KYUSt_chr6.7391 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44941606:44942790:-1 gene:KYUSg_chr6.7391 transcript:KYUSt_chr6.7391 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPRTNETAEDVFSDEPPTAPQPLPPTEAQKEGEVEEEKLGELRCPSPNIDLGDDPSWLALPLPLQPQGGNMMGGRSSENALDPDGAQRQGAADAHGSLENGEHDKSGWLVTATREASINGVMPAVPLEPTEPLNMKEGIESDGPKSKRRRGPLVRVEGSGCSRVNGRGWRCSKPTMFGYSLCPHHVSKACQPRGAPKLGRTETINSGNNVSPSALAMVATSPSEAIEHAANITEEPSGDQLD >cds.KYUSt_chr5.39438 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249569124:249570443:-1 gene:KYUSg_chr5.39438 transcript:KYUSt_chr5.39438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Isoform 2 of Heat stress transcription factor B-2c [Source: Projected from Oryza sativa (Os09g0526600)] MAADHGSDGAGAGGGAEAPPPPAPMPGLAADAAGQRSLPTPFLTKTYQLVEDPAVDDVISWGDDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVVQSAAGLAAAAAAAAAGAVTVATAAIPMAVPVTHSGSPEPQLSSEEQVLSSNSGSAAEELPLAAAPSGSGGTGGGTGVGSSSGDAGDENERLRRDNARLTRELGQMKKLCNNIVSLMSKFASSQQLDGSASLSSVVNCSGESALAPAPQLPTAILDLMPSCSALATAAGLAAADGDGETDPSARLFGVSIGLKRSRDEEDDEDGRRRGEDHHPMEVDGDGGDVKSEPAANGRAGQSSDPHSWPIYRPKPVYRACNGQDGAGSDPDNSNSR >cds.KYUSt_contig_1253.525 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3236411:3237527:-1 gene:KYUSg_contig_1253.525 transcript:KYUSt_contig_1253.525 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTVDLRGLEHGGAGWGEARDAATASMVAHGFVVVAHDALGPELRQALFGRAMPEIFALPAEAKQRNASALGPFRGYISNIPGMNWESLRLADATDAGRVGEFADLLWPQGNPAFCDTIVSAAKNMLELLQTVEKMILEGLGVKEEHIDAHLDTLTHAIRLSRYGVPPDTNTSMSMQPHRDDSMITTIVQHEVEGLEVQAKDGTWLAVPPQPGTVTFVAGELFAVRSSPCILSCICSSSVCACPILTTPPPYVSSQVVTNGRVPPCLHRVRTPSNRERLSMLFGCRGKDGVLLSAMDELVDDDQPLVYRPCTNDDYSKFRHSDEGRKFSDPLQAFCGVEKDEIPM >cds.KYUSt_chr4.35592 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218589233:218590816:1 gene:KYUSg_chr4.35592 transcript:KYUSt_chr4.35592 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDRISHLPDDLLQHILYFAPAKEAASTSALARRWRTQWLSSGAVNLDTSSYPGLLYRKRPAFVRDADAALAARGRRWPLRKLTFRVEGNHRDNIRLFLRHGDDIKGIVLTHVAARSVEELCIDARLEGRPDGVYYDLNVPSLPSENLRVLRISGCRYLKIPPVSVPVALPRLEELRIHFCYDVQLQGLQRVIDSTPHLAVLDLHSVCIYSEYDVPAAAVDYGSPPPATLRCSSVTALVLSDCTWMDRLHGKDTTGVNLDAPMLRWFRYKPNLNCPVALKSQATSLTRLDIDFCHDPCAATHDQIWASLWQCVETFSNTRVLKLKWSDIEHVSGSETKKVQLPGSNMVLFHNLERLELEVHYMPGQSKAAGEAIAYILRCCPVISKLRLSLSTTLEKHHRYADAYRVKDQLDFGKSVDQFFSRHGRKNPMITYEVCDHIHGLSGESFACLQSSLRSVSLRFRREKTDIFGVRLVKFFAENAMVLEKMYIDDGNQKLHEHINHTVGRWVASSSSGFAVLPLGSHWQ >cds.KYUSt_chr2.8148 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51281528:51282886:-1 gene:KYUSg_chr2.8148 transcript:KYUSt_chr2.8148 gene_biotype:protein_coding transcript_biotype:protein_coding MALIDSDDTAAVLAELRRRKDEDDADHFHEYELDAGGQLKRLFWADADACLSMALIDIHDVVVVDTTFRTNKYSVPFVPFVGLNHHRRPVVLGCGVVTDQSPDSFAWLMRAFMRSTGQESPKSVITDGSDAVVHAVETVLPLSNHRMCSWQVEQGIREHLGGWSAQEGFRSLMSDDACSPVEFEQRWHAFLASHRTVANQEWLCRMYVKRELWAAAFVRDKFFLGMARDQRTECLATGLHTGLAEGMSLLAMLRHADSWTKHMLVDGYKHDSLADKSREKLTTDHYLEEDAARSFTPANFAILRPEIEAMGDFEIVDTLSSSSNGSGDKVYTVGYHGQHFTVLRCHDRVGGEDDNMQKKASVAFKCSCRKMEREGLPCRHILCVLRHEREPSIPKCCKLRRLLRRGDIRHERLDEMEDLGRQVFDLASQDAREFEEIKDFLEDWLQQRRARR >cds.KYUSt_chr1.2762 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16496541:16497698:1 gene:KYUSg_chr1.2762 transcript:KYUSt_chr1.2762 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPPGVGGDASVDADRESDLQAQFASLRMELLQLVSDRIEEVTRPLRDEASAIKLWLARAVGSWERVEEAATGGVGCAPVNASDARLGDAELLEFYGPFSPVRRPCDSSPLGFDVFRQPLEGCSGLAPFPPDAVDDKVASPEGPQSPISVDVEGFGLAEFFVEAFVPLSVEHSRLEASAFEPDDVVDVLAFPLVPFVEDPEVADSTKLCDFLANLASKKRAIMPPLGESLEEIPAAYVVVPENVPAEDIQVDPGDPAAVKLNAFLSSVFRPVPPPILASPPSRRPRAPKEVATTPRRSGRIEKQKQLRKDVTSQELLARVLGILKENAEFDDNALDAFINKFKTPLSPRSITMLGSLVKNVEKVKKAKGNKVGAKNKAVEIT >cds.KYUSt_chr5.42695 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268927353:268928366:1 gene:KYUSg_chr5.42695 transcript:KYUSt_chr5.42695 gene_biotype:protein_coding transcript_biotype:protein_coding MICKSCSGKGKKIPQNKTKREQNTTYTWEVADDLKLAVNHIACLDQSIPRSFLEFHQPPCRHRENKSAQTKIRSSRSKALEERGKYHEKESSVHLLERAKYGEVRQAFWQNGSALAVCASAVEQEEEIDRSSSSSSVREKRVGASHGSPRPETSPSPSTTPCRGQRRQAIGLLFMAARCAATRRLSSRLLRPTVVVADPCRLQPPASLLPAAAGAREPFPQMHRSQGRRRGAGSLCQGPVRASSARDRAGDLRRERAPPGAGCGRASQGAARRRAATTLCAATRLRAASCIVFSDLPPWSSSSGSIPRRCGAPPLERRRDEKRGLGKKGAQGIGAAI >cds.KYUSt_chr6.33699 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211466580:211473665:1 gene:KYUSg_chr6.33699 transcript:KYUSt_chr6.33699 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAVARAGCHAAAAACRPHCGLATLLPPRHDAALAGLLHTPTPAGSASSSSVGRRLRRASSAAIDHASRSQQSQQSQPTGHLRDGFDNCLDDFLDANAHEEAETHEEAETPEEADTTEVPEPSTKKFYETLFAAQKPLHSHTEVTQLDAIAHLMVFKSDRNLSRDGFDDLLTIIGSILPQGHLLTKNMYESNKILSALKMPYEQIHCCPNGCMLFRKEHAEGKYCIKCKSSRYFEVDSGNGQKRQIGVAQKILRYLPFLPRIQRLFMTEESAQQMRWPSLGHRYRKEKMIHPSDGAAWQKFAALHPKKAGDPRSVAIAISTDGFNPYGMSAATYSCWPVFVIPLNLPPGVVMRSQNMFVSLIIPGPKYPGKNMSVYLEPLVGDLLVGWEGRGVRTYDAVTNQEWEEIHTYVLKSMEEVKPYIEQFNEKYWKVRSRGPNYQEKDELFKKGGGFGFISWFSSLAKRDREMNPELRKIANGFEYSTASYNAYDVNGYRFHTHEYTQSHPNRKTINSGVLCEGSDGLHYYGRVEGIYELNYGFGKGLNPVVFKCHWFDPRRVKRKPEIGLVEVDRSSVYAGDDVYILATQAFQVFYLPYACKNPRKGLLNWDVVITVLTHNRPPLPNSEDYRRLDPSTYDGDFYQEEGLPGKFTIDLPSNEDMEEEHEATGMDEDTAQDEVEDVENQQDLTLLERFRAGLDANDLVGPPPDEERHVETRASEEEEEEEEEEEEEEEKSSSEEEAMAYDDDDGRQWVEPEEYVESDEELMNAPAITRRQRGTSGLPDLPYAHSHLELQPAGERVFAYKDAVTPPRAYSSILGCLMRRNFHGIVKIPSGERTVSWTWEDYRYAKNPSPEYRDMQEQMYFAMQSGKHDKCMAVLYKICIKMVTDMQYEARLSCVRNWYAEKRNVRITKSQAQNKHLDAWQYMQVVPQYVSSNNKCYAAIVKHWTSDEFKKKHEEGQNYRAMMYGASHVQGSLPLEVARRREAKKTGVDPKFFVFWETMHKRTKPHPTTGSMWVNKGSELRSTKFMQKFKEVHGDDIDPRTSDFDPEVAVLAGEGARNGRLWIGDGNIPPETIPTLSQLRRGRTSSQPAIEKRPRLGTIAMEEIRNEMAEERRRREDMETLVSQQQLVQQQQALIQQQQMMEVMRVQMQSLMPTGGTGPHPPPPPPFTFPWSQSSVGGSNHAAQGILK >cds.KYUSt_chr6.7369 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44786530:44787286:-1 gene:KYUSg_chr6.7369 transcript:KYUSt_chr6.7369 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVGKGSLPAVVWSARDHGANYVDLAGPSELPAPKEEKADEEEANEDGSWSFRPSSYDSDDLDFSAFDSRLT >cds.KYUSt_chr3.28366 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176954187:176955421:1 gene:KYUSg_chr3.28366 transcript:KYUSt_chr3.28366 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSLYEISCFAAGFAGNLFAFALFLSPVPTFKRIMKAKSTEQFDGLPYMLSLLNCFICLWYGLPWVSDGRLLVATVNGTGAAFQLAYISLFFIYADSRKTRLKITGLLVLLVCGFALVAFSSLTCFDQRMRQQFVGVVSMVSLISMFASPLAVMGVVIRTECVEFMPFYLSLSTLLMSASFAIYGFLLRDFFIYLPNGLGVVLGATQLGLYAYYSRKWRCKDSSAPLLG >cds.KYUSt_chr7.37940 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236564441:236565204:-1 gene:KYUSg_chr7.37940 transcript:KYUSt_chr7.37940 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFREGSGAELHGKESGNVAPEENRAVYRYASSESFAYEGTPPAAQQMMMDRQPVVLGADGEHDEGRIPASVFERDASDPGKDWSMMSTDSVFGLQVAPSCDFTGYFLAHPELMDITTPPRDSSAGAATPTAASTPRHFESIPELGDAAPSYSFAFPKLMEDKGYSSKKNQQSREQPLTPKATPTEPRTTTDTAQPEAETSSKPEAAPQQEAAKGGMFSWMPCCS >cds.KYUSt_chr2.3943 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23723417:23726709:-1 gene:KYUSg_chr2.3943 transcript:KYUSt_chr2.3943 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLHRRTPRIAQHLGDNVTGAAEAAPTPGQSQEDASIDNEVHSSLETTKRQRRFVSRSGRVWRRPEFVRTGPFLIIVTAAAFIAAGFAALLGHDIVTAAAFIAAGFAALLGHDLVSAAASAARFRRSSIIFAASAALVAASFLTLLAAAPGLAPAASSSPASAPKYAREHQLKLAARGLEPCISTRLASRKIAACGSKHPCAPTPCSSAREQNQQASMLQHSCSSTSPAHGCARTRGLQPRLPRQHPAARPLGPRATTSGHGRQQHNSTSSRPASNATIASNLGRARRQQPAPAPLRPARPRACVRQRPAPPTPAAARRQLRPRPRRPCLRRHAPRVPLPPTASLAARAPNSSYVRLAGQPPPTARRQREPAAPPAARPNLPARSSNLPLRLTAASSSSPRGNRSSGCATAGSAAPGNARHGHLWLPRTTQARFRPTPATPAAPCAPSAGCAAATRPAAASRRAITHVLC >cds.KYUSt_chr5.40334 pep primary_assembly:MPB_Lper_Kyuss_1697:5:254628656:254631734:1 gene:KYUSg_chr5.40334 transcript:KYUSt_chr5.40334 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWDWGEEERRLKAALEVEAEYHLHLCEDNKVRVTVMFNTSTLPVEGAPVYLSMSGIESGSYEIAEDSACVQAITYVEEATKTIIKDLGYSRLVEAKEENKILLHKLKKAHEYKKQLARGWFLSVRHMASFSKQMLNIAYLNYSGGQDSVDTVWNNLLTNLEDLGFRLRHAGAVLEKRLEQMRKDHFS >cds.KYUSt_chr5.30706 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194673360:194675812:1 gene:KYUSg_chr5.30706 transcript:KYUSt_chr5.30706 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAHPRAGEVLNLSSEAAPEHDRQEGGHTTPAKPPQPKDDQREAEKQSGATARDQSFCHQPHRASHSPGDASKEDTACSTSTPPNLRDFGGGVMEQLNTEQEDEFDLSLSLALRTSPEPGGFFLCVYCDRKFRSSQALGGHQNAHKHERSVAKRRREIAAATRAHGSAHEERPPGGGENFFLSATGKARRAEARKAASAALVPHGMARKGGGSSSEYGYGAVDRDDEVDLSLSL >cds.KYUSt_chr3.21087 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129366940:129373222:-1 gene:KYUSg_chr3.21087 transcript:KYUSt_chr3.21087 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIMGKVGGLWFSQNAGKEMNNVGEDLNLPFAVVHSLAIVEGKMQKPLPEFLKEYDLPVGLFPQDATNYEFDEETKKLTVHIASACEVGYKDSSVLRFFTCVTGHLDKGKLSEVEGIKTKILIWTKVTAVRTEDAKVHFSAGLNKTRNRDAYEVVRDGVTIDKF >cds.KYUSt_chr7.28561 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178182812:178185771:-1 gene:KYUSg_chr7.28561 transcript:KYUSt_chr7.28561 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVARRNKLEEWMKEGYIKACPNHGSQHRQPAAAAGVSKVLDDDDLLIKILLRVGFLTTLVRSALVCKRWLGHASDRAFLRRLRELHPPRLLGFYIEELGIRSPSFVPMLPQPPELAAVIRHASFVLDARAYRTSSMNAGTAASSSPQLMVYLYALQDGFWIPHHPLAIDRLPRPLFEPRSVLVGNKIYIPAGLSDIIVQDLMASILFTIQLPQGLEHGESGTAMLARAADASGVYLIHLEELELYAWLHKGDNWLLVDIICLREMCVNLRLSDEHTLLLLTRQVVDYGDFLFLEMGGCLLHLDIKCRTLCNVYQTKEDHLIGVNPFMMIWLPTFLALIMIEVLPLSFR >cds.KYUSt_chr6.17428 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109757657:109757989:1 gene:KYUSg_chr6.17428 transcript:KYUSt_chr6.17428 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHNQTNSTPARRTLCPPGNQSISSLSTDLHNDESSASSSSCFVSTPVSFSTLLTLEKTNGSTRARPNYMSLTESIKAKQKPFGAQRTTVSKVLDDRKALSVDLKVAKA >cds.KYUSt_chr1.24543 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146684122:146684719:1 gene:KYUSg_chr1.24543 transcript:KYUSt_chr1.24543 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTASMLLLVALAVATRADAGGNYGASKFTITGTVLCQDCTKNWNAYAYNAKPVAGSTVAVTCLDKHTGRTVFYGKDATDEKGVFNVEVPYQVNSCQLDPSECLVRLVASGDEGCAVLTNFNGGRSGEKPSRPYRSCPGEVAYRAGPYYSTLPQCDVDDDDKSCS >cds.KYUSt_chr4.913 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4749748:4750300:1 gene:KYUSg_chr4.913 transcript:KYUSt_chr4.913 gene_biotype:protein_coding transcript_biotype:protein_coding MEDATPLGFFQRISTCDVSLRKRQRQMRDRAMASSDPAAPLLLLPPPGPAPVSSEAMSKPSPTIVDNILGMLRSGQAFIRGAFPFWGNSGYATPPRQTPPPQGQNHHNRPGEIMKRLQRETFPDVMRLMDKHEQIERILSMYMNGKGTGGKTGFG >cds.KYUSt_chr3.17813 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109307937:109309836:-1 gene:KYUSg_chr3.17813 transcript:KYUSt_chr3.17813 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHAAAAAGAEGDGGRGADPDTGLEGSMWRMGLGGDGSGGGGDGDGARLPERPGEADCIYYLRTGACGFGDRCRYNHPRDRGGTEFGGGAKNEAALDYPERLGQPVCEYYMKTGTCKFGSNCKYHHPKQDGSVQPILLNSNGFPLRQV >cds.KYUSt_chr2.40941 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254426627:254428757:1 gene:KYUSg_chr2.40941 transcript:KYUSt_chr2.40941 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVCAAGAASGGCDCTTDTDGADKQGMTKLKLVAIASILNAGAAGVLVPVLGHSMAALRPDGDIFFVVKAFAACVILATSMVHILPAAFDSFTSPCLHKGGGSRSSFPFAGLVAMTVAMATMVIDSLVVGYYRRSHVSKAQPVDSIDIPDHAGDEEGRTDHVHTQGEVVIISSLEEASIADTIRHRVVSQVLELGILVHSVIIGVSLGASVRPSTIMPLVGALSFHQFFEGIGLGGCIVQANFKVRATIIMATFFSLTAPVGIVLGIAISSSYNVHISTAFIIEGVFNSASAGILIYMSLVDLLATDFNNPKLQTNTKLNYMSLVDLLATDFNNPKLKTNTKLQLMTYLALLLGAGIMSMLAIWA >cds.KYUSt_chr2.15229 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96056500:96061481:1 gene:KYUSg_chr2.15229 transcript:KYUSt_chr2.15229 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWHRYGLRGRAAPPRRRHAVPPRPLRPYAAYCMYAEPRLLKMVAVNLDNATSSDAGNKHPQLGFGCPEDSETATTAICRKGAGRTRMLIDVQLDYHNNRVYSVKSVAHHDAYLHIKCIKLLVCHELVLLVGTGLQHVVAQLALEVVEATTTNSRIFFGHCEGAFNLVNGSEEEDKEGSRAPSRKLAGPDASLPFRSGHRYRPSSNAGAPSSNNGGGWPESASGGGRRSWCSTRWVQRWDVRTAASTEHHSASVL >cds.KYUSt_chr3.16521 pep primary_assembly:MPB_Lper_Kyuss_1697:3:101318090:101320186:-1 gene:KYUSg_chr3.16521 transcript:KYUSt_chr3.16521 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPERGQAAGWNHLIAPVLLALRDLLRALGIVDAVSVSVRLSKLAGDDFVAAGRSCSPPDLVRTLRPHLRLRGEFLRLLELSTVSLVLPIMLVVLTAVVLCRVSLPAHICFFPARITKWCCEIRQDLRMFLGKL >cds.KYUSt_chr3.46957 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294974972:294985681:-1 gene:KYUSg_chr3.46957 transcript:KYUSt_chr3.46957 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSSSKALFLLQFLLLSQILEARILGDAHDYKRLVASSDGAVLSWPHLNPNLNLKLNMVNDDDNDALDRPSKYTPPAPKPDPSPHPVAPPRLLRHELRCITLGIKLKKWRQKEFEALEKEQQAADLKAYLASFKKDRQGVIASVEKPKLPAISIGVSKPLVSDDPFTPDHVAIIQHYVFQGTTHVYDLMTEHATAKKNTPPNWPSENQLVSRNRDNQLVSWPTRQPTQVVCPLGSEPALNQGYHVIGVGNGSSRMLSVNGIRSCIFDGSRPFPTDTRGPFSTSAPSQRDFFDQFGVSMVKMGQIKVLTGNQGQVRRNCSARNPGTVDGDLSWSSLAQTIVEAAVESLGL >cds.KYUSt_chr4.23584 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148439960:148443385:1 gene:KYUSg_chr4.23584 transcript:KYUSt_chr4.23584 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRHLAGATHNHRIAANSSSLFELQRPPSCLAVAAARPLRLAPLRSRSPTRLYALSSNDIKVGINLEVDGAPWKIIEFLHVKPGKGAAFVRTKMKNYISGNTVEKTFRAGSTIQEASISKETKQFTYKDGSQFVFMDLTTFEESRLNESDVGDRQKWLKEGMDCILLYWNGRIIDFDLPITVRLTVTETDPGQGDSAQGGTKPATLETGAVINVPSFVDVGDDVLIDSRTGQYMSRA >cds.KYUSt_chr4.26709 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167771902:167773266:-1 gene:KYUSg_chr4.26709 transcript:KYUSt_chr4.26709 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSPWSDEFLGLAIDGLHALCRAWGSASSPPWSDLPHELLGLVLAGLHHPADRVRFRSVCRSWYSAPSPPQLPWIDPVCRSWYTVSSRRQQSSVQSVCRLMETPDTPADVSWLCICRSIFSPRRRPWIVLPGGFFLDEMALKFSDCGPHPTASFPENLRCIGSTNSWLALDSVDDEKRHNYFLHNPFSKATVSLRKLDAIIGDASELFRIYKVLMRSTPDDLIAVTTNNCNRPIILIQSNKCMWFLNQTPFVSIIDVAFLGDRLYGITKEDDLVSLHITFDDVQNIPMVTVEWVIWSNIDDDSDVANNDEDTELNWKTGDGMIDGGITFEHGFLVFRYLLESCGNLLMVRRQLNGVKLNYKVEVFKANMSARRWIPMSAGGLGGQALFISKRFSKSISARGELEPDAIYFIDFGRVFSMKPHPTSSQGRYIGTFHHPTPLDSISTTWVFPPN >cds.KYUSt_chr1.6125 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37660119:37664954:1 gene:KYUSg_chr1.6125 transcript:KYUSt_chr1.6125 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASADGGDAGDGASSAGAAPAGRRIPPASSMPWVRNLRRFVGTGAGLGSEALMELETKRILLEIFKERQRKSAEAGSIPSFYKKKPEDGSISNRVQRLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDVTGSEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPSAFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTEIFVERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRIALTDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA >cds.KYUSt_chr1.40198 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246269671:246270695:1 gene:KYUSg_chr1.40198 transcript:KYUSt_chr1.40198 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGNAPVSSPKLGAGSIPVPWEELQGSCSWEGLLDPLDADLRASLIAYGELAEAAYDGFDADEKSPHAGSCMYTQAGLLAASGVSHPEYYTVTKFLQATSEPRGQSPESESTAIGKALFVQQPEKPGRTNWIGYVAVATDDGVKALGRRDIVVAWRGTVNILEYPKDVEFQYKSAAQVLAGDFPDAKVRSGILDVYTTNNPVENHIMPMIVRNSARDQVLAEVRKQVEAYKEEKTSITVTGHSLGASLATLNAVDIVANGYNVPGSRPEQTPCPVTAILFASPHVGDDNFKSPPSPPSLLSVPST >cds.KYUSt_chr2.41739 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259708040:259708705:-1 gene:KYUSg_chr2.41739 transcript:KYUSt_chr2.41739 gene_biotype:protein_coding transcript_biotype:protein_coding MHGELNPTPALTKFAGLQRCGKSCRLRWLNYLRPNIKRGNIGDDEEELIVRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNSTLGRKALPVLGVARPAAATDRIVVARPSASTGSGSSSAAGAPSTSEPLQAEPSSTAATVWAPKAVRCTGGLFFSRDITPPSPAVAQTRTGGSEDGDDCSGSSSGTSEFLAEPFDWMDDVRALASFLESDEDWVTSLKMAQ >cds.KYUSt_chr2.9968 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63115558:63116545:1 gene:KYUSg_chr2.9968 transcript:KYUSt_chr2.9968 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLALLLLLSLSSSAAHGYGPGRWINAHATFYGGGDASGTMGGACGYGNLYSQGYGTDTTALSTALFGSGLSCGACFQLRCAGTSSCLPGSILVTATNLCPPNYALPNDNGGWCNPPLQHFDLSQPAFLRIARYQAGIVPVAYRRVSCRRRGGIRFTINGHSYFNLVLVSNVGGAGDVHAVAVKGARTRWQPMSRNWGQNWQSAALLDGQALSFTVTASDRRSVVSRNVAPAGWGFGQTFTGGQFR >cds.KYUSt_scaffold_869.1246 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:7927627:7930017:-1 gene:KYUSg_scaffold_869.1246 transcript:KYUSt_scaffold_869.1246 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMELDAMVVEVKLDLEDHTGKMTNMAGAAMDSPSRVSKQRAGEDFLAVLAQSLEWKRSEEGVAGLWENPEIRVSGEPSSSHAIRNILI >cds.KYUSt_chr1.37726 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230322300:230322686:1 gene:KYUSg_chr1.37726 transcript:KYUSt_chr1.37726 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQHSLLTPSPLCCCSYALLHPRTRKPSPAPRFPNGTFSTEKPFLRRDFSERGGTLAWKMAGRRRLAVAGAGKGPFFGGGRRRQGSTARTVGNLAFVALVAYLAVSGQFRWLLDAIVSLWVRCLFF >cds.KYUSt_chr6.6892 pep primary_assembly:MPB_Lper_Kyuss_1697:6:41718201:41724197:1 gene:KYUSg_chr6.6892 transcript:KYUSt_chr6.6892 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARPQPLLQLGFVVLVAIHFKLTFLGARVVLSGSPESCWGCCARRGYGLVAAWRRRGQAAAVPSWVRMQHYCIQVLKRINILDDQDDQDSSLEQREYIFWFHQFSSPTEWSDHKQKYRSREPGTPHEHNDRCQKVRAHFTSIAKVEIGLLLALARRKLAQMGASVAAPSSHRCCQLIPLRIVPLLGDSLRCARGAVDTGGLIQAEFPKVFLFGMATLGFQVEGMATGGGREPSI >cds.KYUSt_chr2.44370 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276028087:276028329:-1 gene:KYUSg_chr2.44370 transcript:KYUSt_chr2.44370 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALLRSGRWRVRIMDLRADAALTPEEDDGLLGAALRDGRAVYISANVCELAQLTKGILTLSSMHSMKKVAYSIALLRI >cds.KYUSt_chr3.15523 pep primary_assembly:MPB_Lper_Kyuss_1697:3:95068021:95072559:-1 gene:KYUSg_chr3.15523 transcript:KYUSt_chr3.15523 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSLDWPRIDPRDAIITEEERSSGSRSLDSGCSRSSGGFHGGGGDELGPGEWTSSSDLVESPPLLGSGNQRMDVQKPLLVAYFDRPYESAAEDDPLDDATTSPPVSPKEPDTWVKRPRYGEIPDFRTAKNGRKSTLELKLRKFGNRNSDEIVVPEPGMEFDCLPEAFDFFNLYSWEVGFGIKYGASRRNKQGSLTMQEFLCGCARSLRSLCASISKDHSNDDVRKTYELFSEMKKIDPNFVDSCQIDKDGRIRALMWTSGKSRMQYKHFGDAITFDTTYRTNRYDMPFGLFVGVNNHFQSIILGGVLLTNETSEAFEWVFSEFVKLMGGKAPATILTDQCRAMEIAISIVLPHTTHMWCKWHVLRKAKEFLGPAYAKNAGFRDELHKICNHMLTVDEFESAWAQLIEKHGLHDHPFLTQIYDVRMKWAKPYFSGVFCARMTSTQRSESANHMLKIYVPPGSSMNMFVKGYNKLQFQRDEDENYEEKRSRLGRVLLNSGGPIEKHASKIYTPNVYNLFEVQIFQSASYTAEEIIRGSRYIVVHFDAEKRERWSRGSFEVRRDPQKDFFRCDCGMYEHMGIICSHVIRGELMSVYFVICVQVLSYLGRTEIPESHVMQRWTKNACRDLPEHLRIYQKDSPAMQSTSFRHTALYRTAIEMVQLGDSNPESFEVAMSSMLDAIPKNTEASRICDGLGLEQRIHKSGMGDNSNVISDHIDAQRWNSLKPDMIAPFRKTDLGRPTSARAKPGYEVSVPRTRFCTICRSRGHNSGTCPSNDKNNKKPRRESRCSNCGITGHKKNACVSRGYMVMNQ >cds.KYUSt_chr5.19152 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123924533:123927510:1 gene:KYUSg_chr5.19152 transcript:KYUSt_chr5.19152 gene_biotype:protein_coding transcript_biotype:protein_coding MDARVGGPAPEGRHGCVRPCGERAKDRGGAEMHPSSWWLFGTSTLGDGRGRREELGMPQEEEMVVACPELLLPAPATSPSCCCHPRLGHAAADDLPNLQLPSEGVLEQGRGRGGDFGSGAVMTIPTNLAKHQVNLQVAPIIAKIMAKVNDGS >cds.KYUSt_chr5.1060 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7314279:7318809:-1 gene:KYUSg_chr5.1060 transcript:KYUSt_chr5.1060 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEELDYEFDVEDLEPHVYGKSSVEKLEDKFEVEGFKPFGYHEATWVGWAKEEMERQRRNKEEKEQKEAENERRAKAHKEVRDSILEYDPKVGHKVYTRFFLRDFSVFDINEKSPVPPMRYTDSKYEDEFGLEDSANILSVGIVSSDVGFPLNIYGTVIARDSIDYKCIYLFQHGRDDCQPIKLKDEVLVLTGPGRGLVLVDFIYLEIDLKIKEDGVYPDRQFSKGLLSIDGRVLSRENDLVVTSDTLDSWLSSVEVRFATVLNSVEGTFEIKLLEGQFYGTITVGISGIDQSIVIHDSEADGVVTCDESGAITLRRRVMTLCLLSRKLVFHIHNKAGGVLGEQTIDFTPSRTGADQGETWCGDGKFQVRVDWSLMDYMQ >cds.KYUSt_chr4.27361 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171827824:171833891:-1 gene:KYUSg_chr4.27361 transcript:KYUSt_chr4.27361 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMGTKEVFRPTISCLLLLFCVGCKCIASELEATQTATLKVDASSQLARKIPDTLFGMFFEEINHAGAGGIWAELVSNRGFEAGGLHTPSNIDPWSIIGDDSSVFVATDRTSCFSRNIIALRMEVLCDDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSAEAAELTVSLASSDGLQKLASATVPVAGTSSWTKVEQKFIAKGTNRTSRLQITSNKKGVVWLDQVSLMPSDTFKGHGFRKELISMLLDLKPRFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVSTAAIAPFVKDVLDSLEFARGTANSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKYYLGNYLKFYNAIREAYPDIQMISNCDGSSKPLDHPADLYDFHVYTDSKTLFNMKGTFDRTSRTGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDIVQMASYAPLFVNDNDQTWNPDAIVFNSWQQYGTPSYWMQKLFRESSGAMLHPATISSNYSGSLAASAITWQEDSGNSFLRVKIVNFGSDKVSLTISATGLQASINALGSNATVLTSSNVMDENSFSNPTKVVPVTTQLGNAAEQMQVTLAAHSFSSFDLALSQSKLVAEM >cds.KYUSt_chr3.3385 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19306667:19307674:-1 gene:KYUSg_chr3.3385 transcript:KYUSt_chr3.3385 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNNIKNQCRLETLDVKNNNIEGQIPRTLTKCLQLEFLDFGNNHMVGSFPSWLGRFPGLRVLVLRSNQLYGSIGGDIHRGDKSREYFSSLQILDVASNNFSGALSPEWFEGLESMMADLNTTDNSFDGTIPVSLGGLISLLVLNMSGNAFTGDIPSEFGGMAQLEALDLSQNQLSGDIPEALTNLTFLGILNLCNNKLVGKIPRSGQFSTFQNSSFEGNLGLCGPPLSNPCGVSPAPPSAVHVEKSSNVDVILFLLVGLGFGIGFAAAILVRCGWIGEWFFKSVRSLRT >cds.KYUSt_chr5.1614 pep primary_assembly:MPB_Lper_Kyuss_1697:5:11004096:11004550:1 gene:KYUSg_chr5.1614 transcript:KYUSt_chr5.1614 gene_biotype:protein_coding transcript_biotype:protein_coding MPGICWAESSRMSLLLPPANLVGGAWDKAHYDRRNWAARAGAPPPSSLAAQPAATAAMSSEPPPASASASMEELETDLTASTISKKQLNKEARKAAKAEKSQAEEEDPFAANYGDVPVEEI >cds.KYUSt_chr3.30158 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188756425:188758121:-1 gene:KYUSg_chr3.30158 transcript:KYUSt_chr3.30158 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAKSPPVEVRHIGSSSSRVTSQPLTAVRADGAEGDLHEFKEFTDQELRSKIKRLTSLQRITPDGGKKSRRFIYRVQKELDRRRTAGPRKGEEDVEEFQNLTDQELKLKIKRVRSLCGLHCLPDGGKKLREYACRLENEHDRRHGVGPRKVDTDPSAAAGKSDGVHTVGSGGRQCGGRARPAAGGGAGGGSGGVLRPWPRCRRGRGGSSVARAAGRHHCGV >cds.KYUSt_chr4.49715 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307851296:307852378:-1 gene:KYUSg_chr4.49715 transcript:KYUSt_chr4.49715 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGQIALLLLPLLLLSAAQPSLAQQSNNGTSSHRSRTAGGFTPTTAIILVVLIAALCLLTVFSLYINRCARAHPLPRRPSRRAAPDQSVGDIAAAAGVRADQCRPNGLDREVVEAFPTAVYGDVKARMATTKSGPLECAVCLAEFADADELRVLPACCHVFHPDCIDPWLAGAVTCPLCRADLTEPAAAGSADLTLTGRQEMAVQEEEPQEADEESSVVSFTAESLSSFSTVWRHEFTGAEYNHYRRTQSAMDAPDRHTLRLPEHVMKELAAVRRHRRAASLAAEYPDTVDQRTPGWLTSFLRSMSWQRQRRADSDAGEEQSGGGGNKRIYPVTGAPSGSGSGGDEKKDSSDVDALNRV >cds.KYUSt_chr3.33246 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208635038:208642139:1 gene:KYUSg_chr3.33246 transcript:KYUSt_chr3.33246 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSGEPSSAPQANRWYELRLGSSCSHPSPTAKYCTLRYEFKPASIDKTQAGSLQKNKDNRVTVEFHNNLPGKPKVTFEGNQEEYKDNDGVLFFDGETFRLERLHRAVKRLRHVRVPGESATTSMAATTNGMGESHSPPSAKVVKLPAMNKPSMHSVPVEVERIEIGEPENPEPRSNNRSSTYQPVTADPFSFSPDPNDQDENLDILGDDDNGSPNNMATAQGTSYRGFDINLPNQLDMDDEVADVDVNDEADEGLNAAEALRAQVNAEGQQVEHASSSSSGSGSSSSSSGSGSGSGSSSSDSDGSDGDSASSGGDVDI >cds.KYUSt_contig_1991.157 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000237.1:888804:890917:-1 gene:KYUSg_contig_1991.157 transcript:KYUSt_contig_1991.157 gene_biotype:protein_coding transcript_biotype:protein_coding MITREEVEIMGAVKDKQELVEILEDDGKRSIDGRPLDLNESVDVESEEGEVGDDEDDEGDQEDDDDDGGSTTDVAGSRSSSNNSNTNDASEIQNGTGSGVHRVPSVRPYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHEGRLIRGANSSVFSPLDFHSMRGDRRFHDMFLQRAAVLSSRADNGGLFASRNGGLPNTTSRLYGILQHHHHRPSPVQTFGYKNCSFGNQEWAFSQHDMISRKDVKASSSSTMPHLFASSSLRRWPLTSVVAGAGEVRRDESFGYFTGSSSRTLSTPMAPAMSTVPGGGNHRLPFRWYGGDGGNGAKSTSSDPVVIDEALDFRLKQKHLEPGTPTTPVYEVHGKRPPETPNLKLSLSPATVDTTDGILSTKRKKSSASTEQEIEHGNKLSISLSLSPPAASMHMQRQEKTRGGSVEAALGQSTLDLTMSIRALE >cds.KYUSt_scaffold_869.793 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:4928920:4933235:-1 gene:KYUSg_scaffold_869.793 transcript:KYUSt_scaffold_869.793 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAEAPSEPTATASMSSSSPGSRGQAFFSVDLAAASRRLLAFLRSAAAGGAVGPRSVRRYEDLWLPLAAEAAGGGGEEPAMLVPPPDVQLIWLCHCFHHQSYFSYCISRFGRLIDRPSILDAENEEYAADCCRDLWAARYPLDPFDLDNNEFDGSNLNAIDNDNANTEIVKIVQTYAGLAARFASPFVSEGVYHVAAKRRYMRFLDLIREGVCTTRQDTRLVPSLDILLMWLAHQSFPVSYATDMTAMAIRDNVMKMVVSYGEVVSEEVVERTRVLWEEAYDEPYDLSGSELDARAVDTAREAFHWDTAASEEDANRLYKGLQPRFLMEVYVFLKGEFDSENISKEFLRLRAQRCYRSLKLDKPMSSLSCKNWQKTWHLYCEFATRGLTIEVRRSTSGCFRNSKILRNISFSWNDMLHEKSLILTGELDARMRVMASITPPIQAPYLLKCVPDRVTDDGGAMISDVILRMRSYRPQEGRWLTRTVLDYGGRECFVIRMRIGRGIWRRGPETPMAVKWEDRSIEVREGSWSYIASATSVGYAPEKVVGTTTPTKDQQENKVVWNFSTGDVLTVWLGDDLNFQLQNESPEEEARLLVGRRLSYSINKDSTSYNHNEEEQYITLVRTSADHPDGRATVLLNWKLLAVEFLPQEDAVFVLLLCMAITRTMTEIRREDVAGLLVRRRIHEPQVGQRDWGSVMLPSSPSLDPHLQPWYRNAVRVLSSAETVPNGVMPTKYSPTDGKDELYRQALIP >cds.KYUSt_chr2.36788 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227232625:227233155:1 gene:KYUSg_chr2.36788 transcript:KYUSt_chr2.36788 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSGYTGEGGDSIMSWPRSTSPTSSEVQLARQADDPWYIAYQDESTQWCSQRMDLEEKVANLGDELARKNLMIFELKRIVEEEKKNSELIRKEKLRVETDLAVFDQVVENLEHELKVMGEEKSRFICAVLLGVIPVLAVMWFW >cds.KYUSt_chr2.29370 pep primary_assembly:MPB_Lper_Kyuss_1697:2:180680709:180683091:-1 gene:KYUSg_chr2.29370 transcript:KYUSt_chr2.29370 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVVESDDYHGAVVEGKVVGEREEEQLLEEEEQDDDELVLPGFRFHPTDEELVTFYLRRKVGGKRLSIEIIKELDIYKHEPSDLPSDKEWYFFCLRGRKYRNSVRPNRVTGAGFWKATGIDRPINAGGVCVGLKKSLVYYRGSAGKGTKTEWMMHEFRLPPRPDVSPAHSSPCFQEAEVWTICRIFKRTITYKRHPQQQVTGRVAAVVAPQPESSSVTGSCSLESECTGEYEYGYMNCPQVAPSQIPDAANNVTSVYNDQNFQGLQHWSNTEVHPMATQPLPAMDSLSVPSSPGAANDAYWDDIGRMVMELTDPTVLYDCRY >cds.KYUSt_chr6.26771 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169726747:169728825:1 gene:KYUSg_chr6.26771 transcript:KYUSt_chr6.26771 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHSCSTEKKKRERENADQDHSKIPILQTLLLLVVVDGRCAAATMAMAMARQTARVYPQIIRSDLPATEEDPRMPAEMRHGLAPETLDPYMNFVLRFFYSFLLDSPVSTAASLSCAAASLSDVDRVSLLPDDLLRRVVSRLPAKDGARTAVLSSRWRHLWRSAPLVLVDTHLLPDTRAGARPARAGAVSRAVTAAVSAALDAHPGPFPFVSLTCSFLSRADRGVLARWVRLLAAKGVGDLVLVNRPWPLPRGVCLPVGLFSCASLRRLYLGAWLFPDTATATLPRDAGFPNLRELVLGCVVMTDKDLDFLLAVSPELETLAVVGNLTQLHARLASHSLRCAQFCLSTVEEVAVVDAPRLERLYIWGSLSHGQPRSKTGTRLKIARAPHLRFLGYLRPGVHVLQIGNTTINAGTQVTPSTIVPSVQMLALSLRFGIRNEVKMLPSFLRCFPNAEALCIESEETHEPTGNIRLKFWQDNGLIECIRSRLKSIVFREFHGHENEFAFLMFVAENAGALERMVLELKLGKYAAPVEIVIKIKALESAKWASGSNKLQLMFSKFPSAWSLAKGCDLSCDDPFLCLCYMPTYFEMRDSSGQVKPLGYL >cds.KYUSt_chr3.7715 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44344625:44345421:-1 gene:KYUSg_chr3.7715 transcript:KYUSt_chr3.7715 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTATSRTRPALSMKLLIDTKERRVLFAEANKDVVDFLFSLLALPVGTAVRLLGTESMVGSAGSLYASVTRLDGAYILPGADLDTLLRPTVSPQAAAPNSSLLTLPDSPSKGFFRCGHCHSPGHVTDVRDTRCPRCGALMKDAIQCMRPDSGGAKGFVQGVVTYTVTDSLTVTPMSAISSMALLNSFAIKDFAALQEKTVRLGSVEGLAILKASLQSKTVLTDVFLASQINLPPSI >cds.KYUSt_chr2.5317 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32990865:32993894:-1 gene:KYUSg_chr2.5317 transcript:KYUSt_chr2.5317 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRLQLQALAAVLALVAANGVSQGFEFHEATVEAIHLGFTNGSLTSMALVQFYLDQISRLNPLLRAIIEVNPDALRQAARVDARRASSGGRLTGGLHGIPVLLKDNIATLDTLNTTAGSFALLGSVVKRDAGVVVRLRQAGAVVLGKANPSEWSNFRTVDSRWSARGGQSLNPYVLSSTPCGSSAGPGVAAAANMAAVTLGTETDGSILCPSSWNSVVGIKPTVGLTSRSGVIPITPRQDTVGPMCRTVSDAVQVLDTIVGYDAFDAAATGAASKYIPAGGYVHFLKQDGLRGKRIGVPNGFFQGYGEAELRVYQQHLDAMRNYGAVVIENLDVAANLTALAVDIASNEFMAVRAEFKLSLNAYLADLSSVRSLADVIAFNNANPVQERLKDFGQRNLIAAENTHGIGSVERAAIRRLEELSANGLERLMKEQQLDAIVTPNSSASSLRAIGGHPRHSCARGDY >cds.KYUSt_chr5.9232 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58543863:58544360:1 gene:KYUSg_chr5.9232 transcript:KYUSt_chr5.9232 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGRGKAKGSKSTTRSSKAGLQFPVGRIARYLKIGKYAQRVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGAVTIAAGGVLPNIHTTLLPKKAGKAKGDIGSASQEF >cds.KYUSt_chr6.21348 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134759424:134763121:-1 gene:KYUSg_chr6.21348 transcript:KYUSt_chr6.21348 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHSQLPEAALWLLLATLALSIGSSAAASASAPRVPAVIVFGDSTVDTGNNNQIGTTLRSNFPPYGRDMPGGARATGRFGNGRLPPDFISEALGLPPLVPAYLDPAYGIADFARGVCFASAGTGVDNATAGVLEVEYYKEYQVRLRAYAGAARARAIVRGALHVVSIGTNDFLENYYMLGTGRFLQYTVAEFSDFIVDGARRFLSEIHRLGARRVTFAGLSPIGCLPLERTTSLLRGRGGCIEEYNRVAREYNAKLDAMVRGLRAKLPTLKLAYIPVYDAMLDFITNPGKFGLENVEEGCCATGMFEMGFMCNDQAPLTCDDADKFLFWDAFHPTQKINRIMANNTLNDCYQQGVL >cds.KYUSt_chr4.22322 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140199955:140202165:1 gene:KYUSg_chr4.22322 transcript:KYUSt_chr4.22322 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSMVVRASAYADELIKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTPPGLGNYISGAILFEETLYQSTVDGKKIVDILVEQGIVPGIKVDKGLVPLVGSNDESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEIMLDGEHGIERTFEVAQKVWAETFYYMAQNNVMFEGILLKPSMVTPGAECKDRNTPEEVASYTLKLLQRRIPPSVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVAAAQEALLLRAKANSLAQLGKYTSDGEAAAAKEGMFVKNYSY >cds.KYUSt_chr3.14400 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87503168:87503918:-1 gene:KYUSg_chr3.14400 transcript:KYUSt_chr3.14400 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWQYPSRTKLIKTLHKLIPTELDSSKKHTPYSRDQDDLRDGTLSKAGSSQSEASYPSLDSGDHDGLRERLLSKLRLSLKEGDVDLLEELFVLEGIISDPDSVVLDIDSACHHAASRQLTDENETLRHQLEVAQEIAARAQCAAADRSGGLLFGFVPAAVLRLVGFMPD >cds.KYUSt_chr7.16452 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101943678:101944646:-1 gene:KYUSg_chr7.16452 transcript:KYUSt_chr7.16452 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPKLTALALVLLCAATIMAASSTAAEDVESYSFPAFNVNTTDKLVVATNMTVATPASLLFQPERPFPEVNVSEGFLLLPDMINLWRAGAGAGGPPAREASFNTSFMVESSASPVSFVILLDRFPTFNNRVGLRGANGSAATGGAVPNATADGLAAVEVGTVKSYAPDSPDVGLNVTVTPKGTAAAAVWVEYDAVAHLLHVYVGAAGREPRPSRALLDTPLSLAGQGIPQTSPFGVFVGQGTTETALVGLFAGKVRDIFVGVRDWDLTVERLDGKKKKGTSWWVILIAVLGSVAAAIAIVSVVAWCFVSRRRARNMEPKL >cds.KYUSt_chr3.39835 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251089245:251097070:-1 gene:KYUSg_chr3.39835 transcript:KYUSt_chr3.39835 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVGIKRSPAATQTVTAPPPSPPDARFAVREAVKSTLADPAPGARLDAPAPAPALEGFLCLEEIEGRRWKYVVDAASGNSSRRKGRRGGSAVPLGASVRAVPLQSPLPPAEVSRSRTYNSEILPVVVVPGGGCVLVALGLEFDLGELVGGILGELIHGRCSHQPIWLFGTLILLWDCADSILPRQSSFRLLLSSFSVVTLRQGSSMVWKEIMAFVRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDNDVKQLRFSSDLLLEIGAGIELATAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYSRGENIGDVTAKGESVGNIADLLGTGLSIFITKRNPSLVTSFALLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGYVPTLKEGNSQETIFIHPWRHEPVEIGSRFGEAFQEPVSFVATRPLFEDERYMVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINKTHERKRMNPDRSDHYGSLHPRNMDFLAHIAESSKIVSSSYGTFRKKAKEQGYGLLALQAYSPSLHPPPCDSKAELNNCEEVHGWNATLLYAALYMCAFGDGTMRVCLPSLGADQFDHEDPSESQRQSSFFNWYSFGISLGGFIGLILIVWLENYKGWDIGFGVCAILILLGLLVVAAGLPFYRNQVPEGSPLTRVLQVLVVAFRNRKLELPKESSRGTWTGSVGALSETNNLKFLDKACITNRGNNGAWSVCSATKVEETKSVLGVLPLFLSSIIGYMPDIIVFTFTVQQGAMTDTRLGSINVSPATLFIIPTAFQMAMLAVYDRFLVPFLRRRTGLAGGVTHLQRVGVGFVSVTLSSVIAAIVERKRKDDLEKKMSLFWLAPQFLLLGVSDVTSFTGLLEFFNSEAPRGMKSIATALFWCDLGLASLMATLLVEVVNVVTRRGQQGGWLEGASLDDGRLDLFYWVVAAVGTLGFVNYVYWAKKYSYQHNPRSVEQSVYHDSS >cds.KYUSt_chr6.26252 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166370386:166374089:1 gene:KYUSg_chr6.26252 transcript:KYUSt_chr6.26252 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTALSPVAFKSSFSPLSSNSPRRINVEGAFCLPCSTWKRASNRSFRVYSLFGGKKEKDENGDEAPSKGGLFGNIGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIEGYCEGELIKVTLSGNQQPINVEITEAAMELGAEKVSELVNEAYKDAHQRSVQAMKERMADLAQSIGMPAGLGDGLK >cds.KYUSt_chr2.1622 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9498337:9500078:1 gene:KYUSg_chr2.1622 transcript:KYUSt_chr2.1622 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSESVNHGGGGGGGGANVFFLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVLTRYVLSCTDPPGDPFRVAAISDGFDAGGMASCPDHAESFSRMEAAGSETLRELLLSEARAGRPVRVLVYDPHLAWALPVARAAGVATAAFFSQPCAVNVIYGELWAGRMALPATDGRELVARGALSVELGPEDMPPFVAVPESQPVFTRTSIRQFEGLDEADDVLVNSFHDLEPKEAEYMELTWRAKMIGPTLPSFYLDDNRLPSNKSYGFNLFNCDAPCMDWLEKQEISSVVLVSYGTVSNYDATQLDELGNGLCDSGKPFIWVVRSNEAHKLSKGLKLKCEKTGLIVSWCPQLEVLAHRAIGCFVTHCGWNSTLEAVASGVPLVGIPHWADQPTISKYVESVWGMGVHARKSDIGFLRSGEIERCIKEVMDGERNGKYKRNAAKLMQKAKKAMQEGGSSDMHIVAFAAKYLSI >cds.KYUSt_chr7.20691 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128315683:128317430:1 gene:KYUSg_chr7.20691 transcript:KYUSt_chr7.20691 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQESPGSSSAGHGVSLDFINNLPDAVLCTIISLLRTKDGARTQAVSRRWRPLWRSAPLNLVADSTLSGQERKRVVYVSKILAEHPGPALRFALPYIRQRYCGKIECWLRSQALTNLQELDFGYDVEGYLPSSLPLPLPPSTLRFAPTLHVARFSSCRFPAQSLNFPHLKQLTLYGVTFPGDALHSMLTGCLSLESLLLQNCFGIGLLRISSPTLRSIGFSPPFKSEDATNFQELVIEDAPCLERLLPLYPDWSEDHPVIGLLRCFPCLERLYVISHIRKEMKNVQKYDPLDPIQCLELHLKKVVLKNYFGNRPDVNFAKFFVLNAKDEALNSEPAKCAK >cds.KYUSt_chr4.50826 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315013695:315017932:-1 gene:KYUSg_chr4.50826 transcript:KYUSt_chr4.50826 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCRSSLRAVTHPEKPPGRPSAPGAPPPGRPSFAANPHQAAPPPPPSSSAAGARGQVAPFAEFSLAELRAATGGFAADNIVSESGEKAPNFVYRGRLQGQGGARRAIAVKKFTKMAWPDPKQFAEEARGVGKLRHRRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVSYHIAEALDYCSNEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHALDMMRGNNLQVLMDSHLEGNYSTEEATALVDLATQCLQYEPRDRPNTKKLVTILEPLQTKLEVPSYEMLGIPKIEEEAPPSPPPPPPAPQHPVSPLAEACSRMDLTAIQQILLTTHYRDDEGSNELSFQEWTQQMRDMLDARKHGDLAFRDKDFKTAIECYTQFADVGTMVSPTVFARRSLCHLMCDQPDAALRDAMQAQCILPDWPTAFYMQAVALSKLNMQSDAKDMLSEASQLEEKKQKNPR >cds.KYUSt_chr5.40674 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256707500:256711490:-1 gene:KYUSg_chr5.40674 transcript:KYUSt_chr5.40674 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQTFLAASDGVDRMSSLPHEIRCRIVSCLPMREAARTAALSSRWRNIWRLLLINKLSPPSAMDGRRQFTNMEPSAQADLRRKSCDPPALAASDDVLVAKISPFTNMEPAAEADLRRKSREPPVLAASDDVLVAKLSPFTNMEPAAEADLRRKSCEPPVLAASDDVLVAKLSPFTNLDPAAEADLRRKGSDPPVLAPSDDDLVTKLSPFTNLDPESAAHLRRKGCDPHKVDQATEYTLRNIYSNLPKFPVDDARRLPPVLASTDGVDHMSRLSCQLRREIVSRLPIKDAARTAVLSTLWRAIWLSTPLILMDAHLLPERQGICPTPAAIIAAVSSILEAHPGPFRCVHLICCNMNSCLPQLARWLQLLAAKGVQELVLVNHKWLHQVPLPATFFSITTLTRLYLGQWKLPHISALRGVLFPELRELGICCVRMDHHREADYLIARCIKLEILNILGVVEGLRLHLVSPTLRCVQICFSMMDDFAVVKAPRLERLVLYRCRAPRGFCTRVRIGDAPRLNSFGFLEPAWDEGEHKKHCLKREGSESDCYWMLCSSLINSEKCDKPSRYLNRKFWEMSGPIENVASCIKVMSLREFRGEPSGVGFLEFFFQRARVLEVATIVLANSKLTPFPKDEVVSKLFASAAADKPDTLGQPPALSGSLCLLLLQHAASTLAVGGILGRLEH >cds.KYUSt_chr7.33795 pep primary_assembly:MPB_Lper_Kyuss_1697:7:210976179:210977486:-1 gene:KYUSg_chr7.33795 transcript:KYUSt_chr7.33795 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFRRWEDLPPDLLCRIGDTLELKCYARARGACTTWRCALPPPFPSLLVVVNRSPSAASLAINRSFELNAIPERARCIGSSNGWLALLADSKFSLFNPITATEIDLPLLIYNNSTQSTSRLVFAPNPARDDFAAVTIFDINVLAYVTAGARRWAILDPISLTRGDQLVDVFYHEKGRFYCLTRYGDVYILRLPERSLGKPIMVKDPSSGPARFKGRQTQKLPRRLPRSPFEGPDLNAPVTVAPLLAKGLGDLPFDPDSSFAPPYNRFALVNSAKHIVFCDGNLYQIWRNKSCAVTMQLPGGGRHRVAENEMLVLRYYPRRQPCWDAVTDLGGYSVFLGKNNAASMYAQGVPGLKGNCVYWIDEMGRDRCMVFDMVSKKSTPCLPIAAVGVTPQSTICWYFLTDMVKNFNNGGKRVYQTRARVRADRENQQDMEE >cds.KYUSt_chr3.25334 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157267457:157271229:1 gene:KYUSg_chr3.25334 transcript:KYUSt_chr3.25334 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTRITTLALLKDRGHERDRRSRLVSANSQRSPPSLPAPRIYFPVYPEQRSTAEKEGMASSTSMLVVAVALLVLAAAQPARAHPPCFSRLFNFGDSLSDTGNYRFIFPNDTNEPVLPYGETFFNRSTGRFSNGRLILDFIAEALELPFVRPYWSGQSAKDFARGANFAVGGATALSPEFFVENEAPLPNPNTVHLDEEIDWFRDLLKLLCPRSLAVVGLIPVPFIRMVLALSDLQPAAIHPSFHPTPPATMSYTSQLPSDTSSEGKSAGFRHWWDRTRTPSSGDDSLPALDSEEEWLGVEEDVEEEGSEEAAARAKVEADAKAKAKAQPASTGDDEEDTSFSDESADTASSEEVTSRKRHRDDDDKAEPSSKKKTK >cds.KYUSt_chr1.30310 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183511558:183517934:1 gene:KYUSg_chr1.30310 transcript:KYUSt_chr1.30310 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKEQAPQADRHYRHAPRHREDFGQAAAGAGLEANTGAVSSEANAGTEENREPYLPPPCDPEYCDEA >cds.KYUSt_chr4.40987 pep primary_assembly:MPB_Lper_Kyuss_1697:4:253410541:253410843:1 gene:KYUSg_chr4.40987 transcript:KYUSt_chr4.40987 gene_biotype:protein_coding transcript_biotype:protein_coding MQSILFMNNQNTVVRDIMSVNSKFFHIVLLQNNNTKMINLRISAPENSPNTDGTHIELSSGVIIADTHISTCDDCISIGQGKWGTTTSTSHASTAARAMP >cds.KYUSt_chr3.12300 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73426441:73427622:1 gene:KYUSg_chr3.12300 transcript:KYUSt_chr3.12300 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVTAEPASLLRGLPDEIVLWEILIRLPPKYLLRCRAVRRAWRAATSARDFLLAHHARQPSLPIFSGDDAWILGVHYHNILAFDHRAATEDDQLHAVARLDDAFRPVAACDGLLVLSKLSTLGSAGSCLSICNPATRQHALLGLHCDFGAMGMYLHRPAGEHRLLLQRKRCQAADQAGCYVFSLGSGQPPRYIGWPEMASLNFSVPVWVRDSLHWYPVYCPTETNPSLYESKLIVFDTISESFQLMREPTVLGSSYAFDMDGKLGTFTRNPSTKAIDIRVLHNYESEVWDLKYQIKLPVAEIRREFQDCGGYWDWELDVVSVDGGVLLLVQLPRWLLHVDSNDRMVNSFYRGRRSLSMTGFQLKQSLVRHTFFPALQGYAVNASPFIGPVE >cds.KYUSt_scaffold_869.966 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6128095:6151828:-1 gene:KYUSg_scaffold_869.966 transcript:KYUSt_scaffold_869.966 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIEKLQSSRASSLPFNSQGRKAPGLQRHHDEKDKAWVLGRDLRQQHHQEPHPDLAPPPSLCHLDDQDESGQENWGAPCLFREEKRGGRSKEIQSYSSPWIRQGGVNIGSKHILTPTKKGRKKKNSGSRSDHQVIHAWKKITLKNLQLLGTRPLSHPAPTTMATGGEGERGWRWEQRKRSRKRSSTRVFSTGTAFARVVIDVQAATGHKTLVVKTEPISLFPSPLRDATPAALLQPPRLCSACAVADPHTPPLPMELPWWLTTRTCDLPSAPATGFLQWAAFLFLSTCSHRLMLSAASAAFLLVVFCLAIHKVVSRRRDLVNGNGADKPLLDRGQPPRRVVRVGAGFVVALAASAVLAVFYGVLLVLSVVARGGGDQQVMEAVFLALQFAAHLGAAALVAHEKRFRAAAHPLTLRLFWLASSALTALVAGAAVARLASGAAAIPDDALAITALVLSVPLPVLAISGATGITTAVAPSAEEEEEEEADADGKEKNVTPYASASWLSRASWAWMNPLIQRGYRATLDLSDVPTLAPAHRPERMHELFISHWPSSWARRDNNPVRHALFRCFWPLFLANASLALLRLTVMYVGPTLIQSFVSFTSSAERRPLWEGMRLVLALLAAKAMEAFCSHQYNFHCQKLGMQIRGALITALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYIYLGPPVTSALLGVFGVMAFVLLGTRRNNRYQFSLSGERDRRMKATNEMLSYMRVIKFQAWEEHFNARIGRFRCLEFGWLTRFMYSISGNIVVLWSAPTIVSALVFGTCVAVGVPLDAGLVFTATSLFKILQEPMRNFPQAMIQASQAMISLQRLDSYMTSAELDDGAVEREPVASNDGGVAVQARDGVFTWDDEETEAGKEVLRGIDLEIRSGKLAAVVGMVGSGKSSLLGCILGEMRKVSGKVKVCGTTAYVAQTAWIQNGTIEENILFGLPMDGERYKEVIRVCCLEKDMEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSEIFKECVRGALKNKTVVLVTHQVDFLHNADIIYVMRDGTIAQSGKYDELIQRGSDFAALVAAHDSSMELVESAAPVTEKGDAPAVSRQPSANGHGSSTSNGDVSVVAAKAEKASARLIKEEERASGHVSLAVYKQYMTEAWGWWGVALVIAVSLAWQGSVMASDYWLAYETSAENAATFQPSLFIHVYAILAAVSVVLVSGRSFLVAFIGLQTANSFFKQILNSILHAPMSFFDTTPSGRILSRASSDQTNVDLFLPFFVWLSVSMYITVISVLIVTCQVAWPSVIAIIPLLILNMWYRGYYLATSRELTRLESITKAPVIHHFSETVQGVMTIRCFRKGDSFFQENLNRVNSSLRMDFHNNGANEWLGFRLELVGSFVLCFTALLMVTLPKSIVKPEFVGLSLSYGLSLNSVLFWAVWMSCFIENKMVSVERIKQFTNIASEAEWRIKDCLPVANWPTRGDIDVIDLKVRYRHNTPLVLKGITLRIHGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGVDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLQEYSDVEIWQALDRCQLKEAVTSKPEKLDASVVDNGENWSVGQRQLLCLGRVMLKHSKILFMDEATASVDSQTDAVIQRIIREDFAECTIISIAHRIPTVMDCDRVLVIDAGLAKEFDRPAALIERPSLFGALVQEAPGIRLIKAHRSRVYMESPSRNTSCLTTVQSLAVYVKDPPSPKCVLDPDTSWAFTDPASSVGRLGSGFLLLGWTSSFRIYSNWAARWATCLITNQWATRACRI >cds.KYUSt_chr2.45595 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284338336:284341943:1 gene:KYUSg_chr2.45595 transcript:KYUSt_chr2.45595 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLELASLGLITTATIPLSPRIYSTIFLQAMAAMAYISLWGAALAAVLALLHWVYRWRHPKSSGTLPPGSMGLPFIGETLQFFAPNPTCGLSPFVRDRVKKYGSMFKTSIVGRPVVVSADPDVNHYVFQNEGKLFESWYPDTFTEIFGRDNVGSLHGFIYKYLKTLVLRLYGQENLKAVLLTETDAACRGSLASWAAQPCVDIKEGLSTMIFDLTAKKLIGYEPSESSESLRLNFTAFIRGLISFPLNIPGTAYHECMEGRKNAMKVLKGMMKERMADPERKCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTLGVKLLAENPKVVDALTEEHQAIIRNREDPDAAITWAEYKSMTFTSQVIMEIVRLANIVPGIFRRALQDVEIKGYTVPAGWGIMVCPPAVHLNPEIYEDPLAFNPWRWQGKPEITGGTKHFMAFGGGLRFCVGTDLTKVLMATFIHNLVTKYRWSTVKGGNIVRTPGLGFPDGFDIQLFPKN >cds.KYUSt_chr4.12475 pep primary_assembly:MPB_Lper_Kyuss_1697:4:76287961:76293592:-1 gene:KYUSg_chr4.12475 transcript:KYUSt_chr4.12475 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGSLIGLVNRIQQACTVLGDYGGGGGGGGSLWDSLPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRMPLVLQLHKTEGGTEYAEFLHLPRKRFTDFAAVRQEISDHTDRITGKSKAISNKPIQLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYVDKPNSIILAISPANQDIATSDAIKLAKEVDPSGDRTFGVVTKLDLMDKGTNAVDVLEGRSYRLQHPWVGVVNRSQADINKSVDMLAARRKEQEYFQSSPDYGHLAHKMGAEYLAKLLSEHLEAVIKAKIPSIISMINKTVDEIEAELDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDKHLSLQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLSYFRGPAEASVDAVHLVLKELVRRSIAATEELKRFPTLQSDIAAAANESLERFREDGRKTVIRLVDMEASYLTVEFFRKLPTEPDKGANNNTPANDRYQDNHLRRIGSNVSSYIGMVCDTLRNTIPKAVVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPGLMEKRDSLVKKLELYKSARNEIDSVAWK >cds.KYUSt_chr6.25491 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161563543:161567210:1 gene:KYUSg_chr6.25491 transcript:KYUSt_chr6.25491 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVNDDVRSLRDKLMWMQAFLRDAEPRRRAKNDELIRVCLQKTRDAVFDAEDAVDQYFLQVDLSRPFAIVTKMPKHDAETDSNRAEFGHAPVDTETAHELAVTGFPAPSACH >cds.KYUSt_chr3.48071 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300989053:300989637:1 gene:KYUSg_chr3.48071 transcript:KYUSt_chr3.48071 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEMDSDKVELEAADAEEYTASGEPKACTDCYTTKTPLWRGGPTGPKSLCNACGIRYRKRRRVAMGLDPEAKRKPKRDEAAATAAAEAAQENDEDGDGKPPAAETKTQTVELHMVGFAKDAVLKQRRMRRMRRKPSCLGEEERAAILLMALSSGVIYA >cds.KYUSt_chr2.2411 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14572933:14573713:-1 gene:KYUSg_chr2.2411 transcript:KYUSt_chr2.2411 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKSLIVDLFSAGSETSATTLQWAMSELMRNPNVMRKAQAEVRENIKGKPNVTEDDLADLKYLRLVIKETLRLHPSVPLLLPRESTETSKVLGYDVPKGTTVFVNTWAICRDPKYWDAAEEFKPERFESGSVDFKGTNFEYTPFGAGRRICPGMLFARSIMELALAALLYHFDWELPHGVKPEELDMTEQMGLAVGRKNDLYLFAKTKVPLDGAI >cds.KYUSt_contig_2627.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000421.1:13893:14888:-1 gene:KYUSg_contig_2627.1 transcript:KYUSt_contig_2627.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRTIARSLWAGKTAATAAVPKTPPPIIPARRALPAVEDCPTLAFLRPRPTTARYSTVSVPLPHHCFPAFPVGDQLFNRLVDGLTPPTAVTRVPAEAGVTLQEARKVARAAEMEVARATLRGNAQSVVSVSEYAALCVDIAGGVEGGRRLARTLDESGVVIVLADAVFLRPDMVAKAIGSILPAPARAVAALADDEARMRELEVMEEQKAAIDATAAAQVRRELWCGLGLLAAQTLGFMRLTFWELSWDVMEPICFYVTSIYFMSGYTFFMRTATEPSFEGFYRSRFASRQRRLMRDRRFDVARYNALKQAERSVPAGQSECDADVCTV >cds.KYUSt_chr1.34999 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213253523:213254228:-1 gene:KYUSg_chr1.34999 transcript:KYUSt_chr1.34999 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQEQASYRVGEAKGHTQEKTGQVMGAAKDKACEAKDRAAGLTGNGSGQGQGATDAARQKAGEARDKTSETAQAAKDKAAGTAQAAKDKTVESKDNTGSFLGEKTEAAKQKASETAQYAQERSSDAAHYAKESAVAGKDKTGGVLQQATETVKGAVVGAKDAVVNTLGMGGDNTTGKDTSSTADKITGRDQ >cds.KYUSt_chr7.23476 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146195965:146200456:-1 gene:KYUSg_chr7.23476 transcript:KYUSt_chr7.23476 gene_biotype:protein_coding transcript_biotype:protein_coding MARANPAAATGGGGGEASPSPEGGRSPLAPLAELWRRTVQPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRSRFRKYSAVFEFTQACGICVGTPVRIRGVTVGSVVRVDSSLRSIDAYVEVDDDKIIVPRNSSVEVNQSGLLMETMIDITPKDPLPTPSVGPLDTDCSKEGLILCDRERMKGHQGVSLDALVGIFTRLGRDMEEIGVHKSFKLAEKVASIMEEAQPLLSRIEALAEEAQPLLSEVRDSDLVKDVETIAKGLADASGDLRKLKSSMLTPENSDLIKQSIFTLIFTLKNIESISSDISGFTGDEATRQNIKLLIKSLSRLL >cds.KYUSt_chr4.42905 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265787640:265793521:-1 gene:KYUSg_chr4.42905 transcript:KYUSt_chr4.42905 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTKFGFPPAAASPAPNPKRRRRRGGDGEAEADMREEAVERLRGVVRDSVGKHLYTSAIFLADKVAAATGDPADVYMLAQALFLGRHYRRALHVLNNDRLLRDLRFRFLAAKCLEELKEWHQCLMMLGDAKVDEHGNLLDQDDGSDIYFDKDAEDHEINIKSAICFLRGKAYEALDNRDLARQWYKAAVKVDPLCYEALECLVDNYMLTCEEESELLSSLKFGKEDGWLSAFYTCLIKKHEKEHVVEAKFKELERQSCSISSSSSGQMMKNNIDVLACKAEYYHQSGEYQKCFELTSVLLERDPFHLKCTLVHLAAAMELGHSNDLYLLSCNLVKDYPQKAISWFAVGCYYYCIKKYDQARRYFCKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYVRMHNFKLAEQFFMQAKSICPSDPLIYNELGVVAYNMKEYQNAVQWFELTLEHTSSSVNEMWEPTLVNLGHALRKLK >cds.KYUSt_chr4.6129 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35982757:35986777:1 gene:KYUSg_chr4.6129 transcript:KYUSt_chr4.6129 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSVANAEESAPATVAAPAPVANHQNSRPTRSSYVPPHLRGRSPDSLAPAPAPAGIQPSGPVPPTGGYAAAVGGTRWAAPPGAVSTGVGVTRQSGGGGGRGAGGGGGAGWNSRPGWGDRRDREPNPFGDSEPVPAVAADVDFEAQANTGINFDAYEDIPVETSGHDVPTPVNTFAEIDLGDALNENIRRCKYVKPTPVQRHAIPIVIGGRDLMACAQTGSGKTAAFCFPIISGIMKSRPPQRPRGSRTAYPLALILSPTRELSVQIHEEAKKFAYQTGVKACVAYGGAPIHQQLRELERGVDILVATPGRLMDLLERARVSLQMVNYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRLASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLIHAQKANAVPGKQSLTLVFVETKRGADALENWLYTNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPDVAHVINFDLPNDIDDYVHRIGRTGRAGKSGVATAFFNEGNMSIARSLCELMQEANQEVPQWLERYGARASFGGGGGRNRRSGGGGGARFGGRDFRRDTRGGGGGGGGYGGGGGGYGGGGGGYGGGGGGGYGGGASSSWD >cds.KYUSt_chr6.857 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5394456:5395474:1 gene:KYUSg_chr6.857 transcript:KYUSt_chr6.857 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCHNALQGLYKLPYEGRYEGVFSQEMEKLLFADFSALELHLTTMGHVFGAFVMNLLGMNPIRCAIQKLKIVLPRSKEREKACSANGCCDEPTSWKTQTFSLTDLQEVEIDGLNGDDHELDLLKLIFMCAPGLKRTTLKLWREHTTSNIMLNFLKFPHILIFCAFGEYGYTIYDDYDIDHGYIKIGYLDISIKKTSTTTQELQSTAFASSLAPTTLPL >cds.KYUSt_chr4.21990 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138288437:138288787:1 gene:KYUSg_chr4.21990 transcript:KYUSt_chr4.21990 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCRAAPALRSACTAAVAICLLPVIVPLLLIWLPPLSFAVAVVRFHRRRRWMVTTKRGGCCFRRGDGWSPPESQPEPEGHRVALLHKYLEEQMELVEADAGDAMAALLARNPWAA >cds.KYUSt_chr5.20477 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133080193:133082863:-1 gene:KYUSg_chr5.20477 transcript:KYUSt_chr5.20477 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETAALQSVSDLPVQDPPGEEFSAADLWWAKYASSEHHCEDVALIPYERLGAFISGECNNPEYPTRFHIERSRKRKRGDLKEFRSDEYLKYRLYWCSFGPENYGEGGEILPSRRYRVNTRNRAPRPQSMRGCTCHFAIKRLYARPSVVLIIYHERRHVTRSGFVCHGPLDRDAIGPRARKVPYVGSEIQQQTMSLIYLGVPEENILQAHIEGIQRYCGSDAKVDSLASQYVHKLGMIIKRSTHELDLDDQASIKMWVDRNKKSVFFHQDSTETDAFVLGIQTEWQLQQMIRFGHQSILASHSSFGVSKLKYPLHTLLVFDSRQQALPVAWIITRSVTKEDTLRWMKALTSRIHSVDATWRIGGFIIDDPTSEMDPIRNLPLASQESCGAIEGYHLKLKLKAYDDSQLDALQRVDWLVHKLTTELHSGYWLNLYADESGSFPQVKAEYIASTSWQRAMQIPDEDVLFDDQEPLSAKVASQKDASQKRTERIQKVAELATSDGIAQVAGKLPIQWVHKKGRRTAARRTSPLRLLPHSNCSVQRDLTPKKNRKRRRLATFSG >cds.KYUSt_chr6.11649 pep primary_assembly:MPB_Lper_Kyuss_1697:6:72449578:72450430:-1 gene:KYUSg_chr6.11649 transcript:KYUSt_chr6.11649 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGGRGLPCRPAVCGVVVLLCATAFSCSLAAEFRKVKDKDMKLDGSLCSLPKSPAFELGVAAIAFLTVAQLVGTTTAATTMRASNTSKPGKPAVTTGRAASVALLVLSWVCFALAVVLLATAASMNSGQRYGRGWMDGDCYVARTGVFGGAAALVVVTALLILGLAFATDPAPPRTTTTSCARGDAACTAASATIRVGAATDAEQPGGRSRQ >cds.KYUSt_chr3.4049 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23026613:23027685:1 gene:KYUSg_chr3.4049 transcript:KYUSt_chr3.4049 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYCVTGGTGFIASHLIRALLAAGHTVRATVRDPNDESKVGFLWDLEGADERLQLVRADLLVEGSFDAAVSGVDGVFHAASPVVVSYEDGKDAQEKLVDPIVKGAGNVLRSCARAAPPPRRVVFTSSCSCVRYRHHHGGAAAPALNESHWSDAEYCRTYGLWYAYAKTVAEKEAWRLAKEHALDLVVVNPSFVVGPVLGRAAPTSTALVVLALLRGDLAKYPNTTIGFVHVDDVVLAHVLAMEDGRASGRLICSGDVAHWSEVLGELRERYPQYPIPTECSGGKGDDRAHKMDTSKMEALGFPPFLSIQQMFDDCIKSFHDKGILA >cds.KYUSt_chr3.8578 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49774108:49782322:1 gene:KYUSg_chr3.8578 transcript:KYUSt_chr3.8578 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGFFGPSGWRIALTGKRKRLLCQEDGNSDNQAGEIIISSIPDLLKRKGLTCREYDIDDSQAGNTIRSSIPDLSVKIKGLPSQEDDNGDIQAGKIIRSSIPDLPEDILLRIHSLMPMREAARAACISHSFLHSWRCYSNLIFNKDTIGLKKTSRGENFHHKIDRILRNHQGISLKTFKLDYSGMCGFDGTSYLDRWLQIALKPGFEELTLELPETKRIYNFPCSYLFDSVRNSLRYLKLRHCALHPTVELGPLRSLTSLHLWFVSITWAELECLLSNSLALEHLDVCRCMEIRSLKLPSVLQRLSSLTVMDCLSLKVIESKAPNLSNFYVRGHWVDFSLVETLQMRKLDIGQQNFIRDARAKLPSIMPNIETLVIGSRWEVVDAPMLPTTFLYLKHLSIRMALGSSAARQYDYVSLVSFLDAAPALETLILETLQLRMLNESIFVDSQLRHIPKRHHGCLKSVKISHLNSAKSLVELICYILKNAVSLEQLTLDPIYGERCYHGNDGDEVVVHQVVRESGSSFGTNLQFPMLTSGEYTTWAMVMEVDLWAALLWEAIEDDHVARVDDMKTLVALLQSTPAYMHCMLIGKGVVQGGDQDPVLRTRSGP >cds.KYUSt_chr6.1457 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8933751:8934791:-1 gene:KYUSg_chr6.1457 transcript:KYUSt_chr6.1457 gene_biotype:protein_coding transcript_biotype:protein_coding MKQCQEEQLMSHLGVVLYKYLGGEYPEVLGSILGALKAIVNVIGMTKLTSPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPTREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNVENGVLKSLSFLFEYIGEMGKHLVNSKFNHLLKCPPKVP >cds.KYUSt_chr3.31279 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196551918:196556290:1 gene:KYUSg_chr3.31279 transcript:KYUSt_chr3.31279 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTEGIAALFSMYNDDDDDDEEDDADEPGPPSPPPPPPPAAAASPSTSPRSGGEGSNPNPSPEHSSRSLPPEEQAARKTLASPQLSPPPFAVPSPSPPRPALAAPPPPGLPPPPRRGALAIVDYAHDEMAMSPDQEDGEIMTDIGGGLGSDPQDSEGNLEERVLSGTVHILTPNILSETSQHSDAPEQNLGDVAMDATETETEDTKVEEASDISPNVQNDDPLSRFLPPAVTTKCSAALQQKINKFLAYKRAGKSFNAEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFNPYGYDKSDYYDEIATLRDAAFCPFQSVLAERGHCVNIFTTTVA >cds.KYUSt_chr3.7511 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43330109:43330956:-1 gene:KYUSg_chr3.7511 transcript:KYUSt_chr3.7511 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGVGGVSGRFSVSWLSTYQRFKLKDEIEKMHIDLRNAQEEMKKIVEEKQVILALKAQAEQGLIDARAELEQKKALDASSSNMHKCMRIKAEKERDQLKEEKRKLEYIIGDLFKLKESTRAKLKKIKEMCEE >cds.KYUSt_chr3.42103 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265921982:265926493:1 gene:KYUSg_chr3.42103 transcript:KYUSt_chr3.42103 gene_biotype:protein_coding transcript_biotype:protein_coding MRESMISEFKAVVFFKGIFAGIRFESFRGISACAIMAEDGIGHWVSKDRFAAKRLHALAAELDDPKRRIIEEQSAFRALLNVSPFNIPNALIDFVASHTSPGLREFKFHKKRIVFTKDMVRKVFGIRCGDRLVVQKKGEHPQLRQIYIEEGQSRPFIQHAVKLLKACDVTDDLTIIRTWDLICLATVIAPGSANLISLDYLDCMLDPRRTHEFAWDEHLLELAMQEVTKINSKTAEVVYMDHLDFPPNQHVINYSIPRFLELSNTPYGVAAFSNRDHVEEPVEQPVEESEVNPSASLNEWLVFPTSQDLEVPAAYKHPYEKHESIYGRDLDTTLKNFGVGLKQMHSQRMAALLIDIDAAKKESDGPSVHFPNRGGVEDENMDGA >cds.KYUSt_chr2.33978 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210035041:210035457:1 gene:KYUSg_chr2.33978 transcript:KYUSt_chr2.33978 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRPRRGSTAAEAGMVPCRVGDQQPGCRHTGELRAEAKDYAPAAEARLRGGAAWRRVVSATSSRGGHHTRELRVEAKDGAPAAEARLRGGGGRHGAVSCRRPAAGRPPHRRTARGGRGRCDLLSGCSMASGATASS >cds.KYUSt_chr1.7615 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46777525:46778695:1 gene:KYUSg_chr1.7615 transcript:KYUSt_chr1.7615 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAEVYDYAAALAEFDASRTTVRSLVESGIASVPPLFISTTNYPPTDILAIPTVDLSLPLSSLTPIVGAAAQTCGFFHVTNHGIDAGAAVSSVRAFHELPPAVRSAFYSVAAVEGMSYSTVPYMDRNSFDGPGPILPWRVSLRVLLQAADIGRLPAACRDALVVYLRCIEELGKKMARLLSEALGVGAERLETATQVEGWLMACNYYPPCPEPARVEGAVEHTDPSLFTVLAQDGIGGLQVRINGGDNDGQWVDVPPVPGALLVNVGDVLKLVSNNEYHSVGHRVMIKSSQDARASLAVFFNPAMSGGDSNLLGPLQELITTEKPAMYRSFTMTEFMDSRRKFGHGKLSTDQFRVALE >cds.KYUSt_chr7.8265 pep primary_assembly:MPB_Lper_Kyuss_1697:7:50056369:50063035:1 gene:KYUSg_chr7.8265 transcript:KYUSt_chr7.8265 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGGAKKRKCRKDELDAGVTPPASEKPEDGESPDLISNLHPDVLRRIVSLLPTKDGARSQSLSTRWRDLFRSAPLNLGVELRRDDEPAPSSLVSRILADHRAPCRRLSLTWYGYKSGFVSPLLSHWLQSPALNGLAEFDLWQNRKENGKEYWRVPREDPYMLPLSVLRFSPTLRILSIKCTGYMIRFPSMATLAGDVHFPHLKQLTFKGLIISEGDLHGVISGCPVLQSLVLSELDGVRGIRINSSTLRRLGVCTDLRDAPEEMLQEVIIEDAPLLEKLLLSGTDEELSIRVICAPKLDFLGSLPEGFSKTKLETTFFQRTSVASLMSVVRTVKVLILRMSPPSADDAIDFVTFFPCLEKLYAVLLRHSRFISIRHQQTLQTIYKMVIGNVDIWVLEDYQSEVWTCKHRAELPVAQLTLQFGEFNSTCGVVVSSQDGDVLLLVGLMETNRLTFIARVIFVLFRGAVAFM >cds.KYUSt_chr2.25747 pep primary_assembly:MPB_Lper_Kyuss_1697:2:157476891:157481308:-1 gene:KYUSg_chr2.25747 transcript:KYUSt_chr2.25747 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSPRRSGDSSPSSPLLPYPTSPTARAGPNGPGRLPSLRGAARFLRRTGSRRLMREPSVAVRESAAEHLEERQTDWAYSKPVVVLDVLWNLAFVAVAAAVLAASLGESPAVPLRFWIAGYVLQCLLHVFCVVVEYRRRRRDARGAGAGAQQDDAGDGDDKISIVKHLESGNTMFSFIWWIIGFYWVSAGGLALSEDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVADQEGASEDDINSLSKYKFRTMGDADKLVAGIAAPVGGVMTECGTNPPVEHILSAEDAECCICLCPYEDGVELRELPCNHHFHCTCIEKWLHINATCPLCKFNIIKSNLGSEEV >cds.KYUSt_chr3.36980 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232685027:232688100:-1 gene:KYUSg_chr3.36980 transcript:KYUSt_chr3.36980 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGCKEVAWIWSHYAHAPDPEATHTRRLKNCQSRVIREFWTYFTMDPDSKRDDCIQVAGNIARKKVTDAHYEGSVLSICNWYAEKRKLRMRKDQAREIVNFQPWQYLQEKETGVKSSLFKIWGDQRKKTDKKYGTVKWVSKIAEVKDKKYRSKFAQTHGDEANPETEPFDPEVAMRAGEGEKHGRLFVCDGAVDPKTIPSLRQIKGGNTSSSPAVEPRPTPSSIAIDPIRAELEAEKAQREKAEALLTQNQQQMAMQQQMMLWMTRKLSAHDAHLSLHASGGSNNIELHGPSTQDGNDNLMTPPTGGQPSFNNLGIVRRL >cds.KYUSt_chr3.33180 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208191540:208192511:-1 gene:KYUSg_chr3.33180 transcript:KYUSt_chr3.33180 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVAALVEMKRLRVATEYGKTEVTIPMSVMWMVPQYMLIGFSEVFAIVGLQEFFYDQVPDSLRSLGLALFLSIVGAGNFISSFVVYAIDRVTTSAGESWFSNNLNRGHLDYFYWLLSLLSAIGLSHGRKDHEAAYSRAPTAPAPLTLKPPVVPWKRVHVMVKVAHKL >cds.KYUSt_chr6.4933 pep primary_assembly:MPB_Lper_Kyuss_1697:6:29105294:29106417:1 gene:KYUSg_chr6.4933 transcript:KYUSt_chr6.4933 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGEGMRKTRAEAQRKSRCDRGLLDDAPKEVSDVRRRPSPYPTGPKLSPEEPDPSLEAEGAPRQCLQRGNNARRRSHRRPDIAGQTFARNIKATIPTQPAEASLQFHRPAHTCNHDRAIASRATTELAADEVDGPDPARSGDHLRGAADDQQQPAGRHPAQRNHQAGGGAPPHPNATSRSHRAAGVDAAGPVQGSRSSATGGATGESTAREGAPGRREPPDRPPPQGAESLPNRSRAAAPIRDCSAAARERPRRRRLPHGLCPAKSSGDGEGGWSLGAGVAAAVG >cds.KYUSt_contig_662.321 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1814493:1816089:1 gene:KYUSg_contig_662.321 transcript:KYUSt_contig_662.321 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAAWEQGGDEYDYLFKVVLIGDSGVGKSNLLSRFTKNTFSLDSKSTIGVEFATRTTQVEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKATTFENVKRWLKELRDHADANIVIMLIGNKTDLKHLRSVAAEDAAGFAEREGLFFIETSALEATNVDKAFQTVLAEIYRIVSKKALSSSEDSGAGVVGEGQSIQVSAGDPNGGVSSRCCSF >cds.KYUSt_chr7.8 pep primary_assembly:MPB_Lper_Kyuss_1697:7:44249:48174:1 gene:KYUSg_chr7.8 transcript:KYUSt_chr7.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKKKEEADKAELESAQSGKRVVAQLGEQSKQSIAPLIVTAAGPDAPEIIEAAAAQGLTVTSAREQAVNLGITLRELLGLDEAPVKEVVLSYVKNGPLVEPAQEEDLPPQMKGLLNWYKGYIQHKNAKDYIYAKVGYEHHFKQYSVQIHLSELFQLFNLRELDKSIISCYVLLKIKECRVRSIHDVGFIDPHIINGYTLKHHPADVEKDLWRFLEKQKLKSDILFPYHFGFLPANKKAAGSRSIDTLSQAFLPHLSNNEVDGESEGVRVSYAGTSLLVPWRGLASVFLAPNFSSSSCWNNFELLECFPLSPVLPLAMVAERENLGGTLLLSLVECNLSSSRLRHRGGGRAKGAMGSCTPREDQAAATGGVHQWRRRGAAVISGRRDHSVLWCFMRLMLFNLLAGEPYRRSLCVYVTAFFVALTPSGVVPGAGAGGRGMELIFHAGGEDQGPDCFFYISSRVLYAFSWDCVVFLLFCKEEDNVDHILSHCPYVKMMWFGCLKRLRSQLQEPQDNTNLERWWTETRKRLRREDMRGFDTLVLLIAWTLWKQRNARVFGNLERQLSTQQIIDTVLEEFSLWWATRGGEQRVMLRE >cds.KYUSt_chr5.11976 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77843366:77845946:1 gene:KYUSg_chr5.11976 transcript:KYUSt_chr5.11976 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVVPFLCKKFCNRRIKLPSHPSSPVSSHRRRRSRSQAEERSSARGMGAAAKNRSGAGTGTAASEEEKGRKRGHGSTALFVAVDYAFLLAFAGFLSYLVGSQILPSAA >cds.KYUSt_contig_319.1654 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:10930160:10931065:1 gene:KYUSg_contig_319.1654 transcript:KYUSt_contig_319.1654 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFQRQQQQQQCQYEAVPASKAAAKARSSKCKFVGVRQRPSGRWVAEIKDTTHKIRVWLGTFETAEEAARAYDEAACLLRGSNTRTNFAAPVHAAASPASPQPDSPLASRIRTLLTHKKLKKNTVPPPALSPQKAAVPFAPGTTTYRHASDIVAAGSNVSPGSTSSTSSSISFAVSGTGAADHRTPSPSLSSHMTYQWVNNGSEELHLTSQHLEHQPWPAVLTVPPLAGGNNPHCPVIANTRRTETQDDSASASASPDGAAMSGVVQGRDDGFDIGNDPCDSLWDLPPICQLSCRSIMY >cds.KYUSt_chr6.2449 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14307147:14307710:-1 gene:KYUSg_chr6.2449 transcript:KYUSt_chr6.2449 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRQMTSEAPLPSAAKQARQESPGNKPHATSPGVKAAAFLTSCAFCGKGLGPGMDTYIYRGEVAFCSHECRERHIQLMDHECSLSNIGTAPEQSAHIS >cds.KYUSt_chr7.29939 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186364548:186369514:1 gene:KYUSg_chr7.29939 transcript:KYUSt_chr7.29939 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVPAAPERVCAFEPSVWNDFFIQYEPETLEIPEECMRAKVDKLREDVLKLFKTLNGSMVDKLTLVDTLQRLGIDHLFQEQINMEMNEIHKSEFSGDSLYEVALRFRLLREHGLWVSNDVFNKFRGDDGSFNNDMVTIEQRGVLGLYNAAYLSIHGESELNEAISFSRNHLESICGVLKYPLSEQIKRNLEIPYPRTLKRIDAPHYIAEYKHEETCNPSVLELARLDFNLLQRLHQTELIAFCRWGNDLYDEVGLSYSRNRIVECYFWSYTMHYEEKHGQARTILAKLFALSSLLDDTFDMHAILEDGRKLNEAIQRWDEKAIPFLPEYLKKYYVRCMITFREFEDELKQDQKYRVLYCRKSESEWFHASYIPTFEDHVKCSIISAGTPSLIIGSLVGMGDEATDEAFQWAIGYPDVVKACGEVTRFMDDLAASKIWRDLYLNVSLASVVVHDDSGAGARWFFWSEDVGPEVVDMPFFSDFGDGRWCILVQDSTGTSPGRPATATCASLLAAGLFIDSQCLVGDGAFY >cds.KYUSt_chr6.31144 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197386810:197387946:-1 gene:KYUSg_chr6.31144 transcript:KYUSt_chr6.31144 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPVTLSTTARTYDRPNIRRWLAAGHRTCPVTMRRLPKSGSKEVLLVPNRTLKHLIDRWILTGRTLSISDLALPTLKDNLLAGMDDTTVMEETLRIVMLLSPPGFCALLLRLLLRHSRHDDDDDRAPAHALLVELALDCLLASPSAHELAASLQTGDVSSFAVVLRRGSLTVRTGLCRLMHIAADTMAEQQLCIMVLGRSEKVMGALAALVHDGGAASDAALRAMSSLCSSDQAIRETAVAAGAVDALLSYISYGAGHCRKWPPCSALLSRALETLEQVLVSVGSGRQAMYARYGATAVLVKMVFRVPFDQGSGSSDHAIGSLLVACRESAEVRVDAINAGLLTQLLLLLQSQRASPRAKANALALLKLLRTIWARH >cds.KYUSt_chr3.35408 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222351100:222351729:1 gene:KYUSg_chr3.35408 transcript:KYUSt_chr3.35408 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTPNPSYPAPSAPPLAMADLAPVEIPSSPNTPNSPTAPPPSEDILLRIPSTQLHLIDRHRSHPLATGDLTLLRIHAGATSLAAIAVLGPVQFPLTRDVAAVKLDPCHYSFSLTVPASADDPAPARSTTASRSRAPTRASTACSRPAPASPPTPWPAPRGSPAGARSRLPRTGPPSRRMWRSTAARSPGPSPREPRASPRGSSGVGS >cds.KYUSt_chr4.35057 pep primary_assembly:MPB_Lper_Kyuss_1697:4:215377928:215378296:-1 gene:KYUSg_chr4.35057 transcript:KYUSt_chr4.35057 gene_biotype:protein_coding transcript_biotype:protein_coding MNQASVEQQRQEFLVVRAPPNRFVGSPIDGVKFAFSDSCTGQPRADLLFSLAQALLLYSLSSAASHERPGGDLPATGNAGCRWGPGAGLLAGMESGTSRRRGWRQVRSGSAGWRPWLERGGI >cds.KYUSt_chr7.16073 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99681478:99683977:-1 gene:KYUSg_chr7.16073 transcript:KYUSt_chr7.16073 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGSRGHVPSVVLAVVGVGLPPVTTASCSKRRPSNIELRWPEIGRGDEATTRTRQMHKHMHMSSFMAIQLFSLLRAASRVESAVMTSYSILHTAHVSCTVELLFLELKVDVFEFPIDIDHVVAYFAIRNANFGMISSRSHDLVFPNCRPRARTMVAAILLKFLSVGNFKTGASFEMLCKTLRWREELKSLGFMAIDGKLLLEESLRCATVMEEGGKAGCLPRRVRAMAISQALLCSQSTRVCSRPTSSGANGEDSDSAEDEDADGLGVKDR >cds.KYUSt_chr2.23510 pep primary_assembly:MPB_Lper_Kyuss_1697:2:143537023:143542236:1 gene:KYUSg_chr2.23510 transcript:KYUSt_chr2.23510 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFGFGSDPLTASIVQQSYSVRPAQLLWSLRASVGLCQCGQRHLQACEYPHRPDLKAGAWTASASRGPALMAIDRFEITHEPAASWTGNMYVAAEEGQGVSRCPGHLWYHRDDMIRGAPVPSFPAKVIFVSVEFIHLHLRPADPSSGAMLSQYLLSRHASKRVIAPSHVTFCNVEQLHIYNRSWEATAKLISGRIKNNRGGDLIYHAILVDENGKELILASMPNATCST >cds.KYUSt_chr3.43280 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273473394:273474752:1 gene:KYUSg_chr3.43280 transcript:KYUSt_chr3.43280 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQLLLYVVFQTVLLAWSATGHLAVRTDLTHVDSARGLTKHNLLRRMAARTQARVSKRWSPPRSRPGGSATVTAAASRDEDNPNSEYLMHLGVGTPHPQRVSLALDTSSDLIWTQCACAVCFDQTSPALDTSTSGTQRGVSCFDPVCAHGGLPFSGCTLKDNLCFYAYSYPDNSVTTGKIYEDTFTFQAPGDEVAAMSVPSLRFGCGMYNKGTFESGIAGFGRGPMSLPSQLKVSRFSHCFTTIGDSRTSPVFLGTAPDNLEVQATGPIQSTPFAPSPDGPNSSLYYLSLNGITVGNRRLPFDASTFAVKGDGSGGTTIDSGTAITTVPSAVFQALRDEFLRQVPLPALDVSIDDTEVLCFSTTTSSSKQKLPAMPKLIFHLEGADWDLPEKNYVLHFDEDFNSGLCVVVSSSGDSDVTIIGNFQQQNIHMEYDLETNRLGFVPARCDKL >cds.KYUSt_chr5.17802 pep primary_assembly:MPB_Lper_Kyuss_1697:5:114918537:114919256:1 gene:KYUSg_chr5.17802 transcript:KYUSt_chr5.17802 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLDDAFKKVTAPAGVAVVLHKHWDFSRPFQQPHSPQKQQGAKHTAVTHEKPRLVDGEEAASASNFIPASPRTRCGPSSTDPRWKVCNEHRRTSTRRRRAQEQRCPGRANAGSRSRPCICCTATSAPLHPSSPQREAQIGPCSRRRESSRAAAPGASPPRRARPSAAVKPVWPLRRAPPPSPTLHSPRDARPRAKTSSWGALRTKSTPSVFDGRAPPPPPAPAAAAARGGTVEEAFC >cds.KYUSt_scaffold_1854.468 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2489109:2493006:1 gene:KYUSg_scaffold_1854.468 transcript:KYUSt_scaffold_1854.468 gene_biotype:protein_coding transcript_biotype:protein_coding MLPETGVPASRKRKIGTDDGAEGLFVDSFGNFVGLNCYSKEGNTFIPRSTILEFVARRARSADLRRKIGSQYELPMKKFGLKGRAIKLKAWSPSHMSGLEGSSTLEKKQSACHYCDPEGQSAPGDRLKRLFPGPSWPADGDGGGMRLLCNFEEEFAEDNWSKLTERVASHLSQSVVALASFRGDKRFFACTGIFIDCNELTTRVLTSASLVRNSDDEDKVADNLKIEVCLPDNEHATGTLQYQDLCYNIAIITIVGSHCTQTAQIYDQLQTEPHGEVAAVGRVYESGNLIATGGTLIDKPSELDCKELKMSTCKITKAGIGGPLIDFDGNFIGMDFYGLEETPYLPRNVVLEVLRSFDAKSGATDLINDHNPNRYYSFSDTYYLRFKE >cds.KYUSt_chr2.49561 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310150426:310151487:-1 gene:KYUSg_chr2.49561 transcript:KYUSt_chr2.49561 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRVHVCTEVVHALPLRQRASAMHRPWRPQQLRNPEARRATPHRHAGRRVPGEWHPGGRRRGTGPSQEIPGHAGGGVSPPVRVAHTTPATAHGTLHPTRRTPAPGPGPLYKPPPAPAAIPFLRPRTTTTAYHPSILLPAMGFPVGYSELLLPKQLLHLLLLLGYVRRCLLWAFQAVGLGDLLDLADDAHHHHLALHDHSYSSALQPHPHPPQQHRRSEFRPVPAMVIEEVLPVVRFDELDSSASAPSCAVVDCAVCLSAIGGRDEVRRLSNCRHVFHRACLDRWMEHDQRTCPLCRAPLIPDEMAGALWASAAGIPDASDFDFFYLPSGPSMPAPTLLRPHELLLTGLGGYQ >cds.KYUSt_contig_7414.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001511.1:92293:95169:1 gene:KYUSg_contig_7414.14 transcript:KYUSt_contig_7414.14 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPACSLAPACTNARGSVELADARPSSVTRCKEVVSSEEGNGDVRMHARQEDAPGARRVRRQPAFFMTIVPIFIREVKRPTALHLAALQATENVASLPWQMGDTSVEVTEQSDSSLEAMGMTPGSDYLICSRAATVVAAMVGLGYNLPGFVCPKEKSIFVSEQHGVERWRRRCTTALHDHSADRYQGSEQAHWPCWQLMVGLGYNLPSLWLYCGLGVKTLTWLFRIR >cds.KYUSt_chr6.8240 pep primary_assembly:MPB_Lper_Kyuss_1697:6:50534671:50543795:-1 gene:KYUSg_chr6.8240 transcript:KYUSt_chr6.8240 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHEMAAVGRYAELLAGCRGDARPIARIQAALTTSGLLRRSVELHDALIRALASSDRFRPRRLGPKPLSEGHASSPSAGAPANVAGVKPGDDELDVAIVGGGMVGLAVACALSNMPLTKHLRVAIIDSNPALKSRGYLKKDGVPDSRVGTVTPATISFFRGRWRLGDSACPRQRAAPLYLSIFARYMDIAFFGWSEIQVWEHHIDLIVAGSLRSDNDVIFSSQFGHVGLPRWTRGQQAGEIDNLTVSLGQSTLVVLCRFHVGTGIPGVAPHYIPPPSTFNVLLDSYWFHVGAGIPGVAPHYIPPPSTFNVLLDSYWFDKPWFLTEGNLLLYASHLPLGVPNERVLYASSISK >cds.KYUSt_chr3.45935 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289207219:289210260:1 gene:KYUSg_chr3.45935 transcript:KYUSt_chr3.45935 gene_biotype:protein_coding transcript_biotype:protein_coding MVYWMTEFTARVMAFDLEEERVTRTAPLPIQARPSACRLTKVHARLGVAVTGGDSLTVWVLEGDRELEPPLTHGSPCGKRPTSRSEAKAAEEAAQWGEQPQAPADPEQATILASLNVQRFWMLNEEERELVNDANLEHALEISRQRAATEEVGRLLMEAERQKLIELNAHRHAESFASEQARRADNEASRQRLAARGQGRRKAPATPAAMLHRQMRGARAERWARMQAAKG >cds.KYUSt_chr2.37987 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235119345:235121251:1 gene:KYUSg_chr2.37987 transcript:KYUSt_chr2.37987 gene_biotype:protein_coding transcript_biotype:protein_coding MINVVAVCLVLSTLAAAGVWSPAPPPPEVHHQGEHVLREGRRVVIVEYERELPHTPGHGAAKETRVLYPHGALDGGEAEDGSLSDKAREAVSEAADKASGVAEEGKEKLYNAKESTTGKVFGAVRRCKDKLCGAAKGAEDGASRVKEGAEDAARSTGETLSGAKDRAEDTVFDAASGAKEAAVSARDKVAGAAGEAKEKAAHIKDGAAETVWSAKHKVSEAAGEAKEKASQVKDKAAETVSNAKGKASEAAGEAKEKASQVKDKAAATATNIKGKVSEAAGEAKEKASHVKDKAADTVTSAKGMVSEAAKNAKDKASDIAERAEDYAEDAAESAAEKVARAEEVAKAKAGEVSKNLTDILRRAREVASDAGAYLLGAPMEAARTAMAMMHLLGFAIAYGTCVWVTFVSSHVLAAALPRQQLGVVQSKLYPVYFRAMAYCIGLALAAHVLGRERGSFAARAQSFNLLSALGLVLANMLLLEPKATKVMFERMKVEKEEGRGRDMADIIDPPAVTVATAATTTTTATPTAAGARTPVDGTATVRAAKTTTTKSPVMAPDAEMAKSKVVSLSKRLKQLNGYSSLCNVLSLMALTWHLVHLARRLQMSAAC >cds.KYUSt_chr4.16373 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101748428:101748808:-1 gene:KYUSg_chr4.16373 transcript:KYUSt_chr4.16373 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWIPTACDLPPEVLGLVIARLPNPADRARFRAVCRSWHSAARQHTRPQMPWIVLGNGYVFSPSSGRSHRLPSFPADGICVGSTDDWLALGLGDTYFSDDAYKYRFRSFVLHNTFSGSRCRSRC >cds.KYUSt_chr7.28602 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178369846:178370169:1 gene:KYUSg_chr7.28602 transcript:KYUSt_chr7.28602 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKWTAGFPSFAEEVRLGEERRRREKEAAMVEERRQALLRRKLLILQISLASEWAVEQMAKGWRPQISGQGLEEQVAAALAMIKLKDPEDPKHLMAEQGLEDLEN >cds.KYUSt_chr4.42828 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265260825:265261715:-1 gene:KYUSg_chr4.42828 transcript:KYUSt_chr4.42828 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLNRATHVVFLPGTCTYTYSYTETYDIVPDSECLFLTLHTFHLPPFLVSRDYKALYKYFLAAATNALDNAESLGLNREEACHNVLFATVFNSYAGLKVLLPGILARVAGAGEKFHQRLATEIRATVADAGGKVTMAALEKMELTKSAVWEALRLDPPVKYQYGRAKTDLNIESHDAVFAVKKGEMLFGYQPCATKDPRVFGATAAEFVGDRFVGEEESKLLQYVYWSNGRETESPDVGNKQCPGKNLVVLVGRLLLVELFLRYDTFTADIGKDLLGAKVEFTAATKATSGPEAA >cds.KYUSt_chr3.10633 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63117354:63118430:1 gene:KYUSg_chr3.10633 transcript:KYUSt_chr3.10633 gene_biotype:protein_coding transcript_biotype:protein_coding MHFIEGIVTAFNSVRLSNRYAYGKVGPPVSISNVTLVLPYDLCDKCEKTNFVSLICMRWACQLECKDNYPTKIIEDAFCTVRHKVIRYCNCLVCTKD >cds.KYUSt_chr6.667 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4184038:4184325:-1 gene:KYUSg_chr6.667 transcript:KYUSt_chr6.667 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDMADAELTSVLVDMQQAASACTPRRPSRATARLRTFAPVASTPHHSVAHEPPSVSHSLPPSSTRTSELESPMPRSLASPPISASSAFASKA >cds.KYUSt_chr3.45196 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284739183:284739686:1 gene:KYUSg_chr3.45196 transcript:KYUSt_chr3.45196 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPRGRSPLPQNPYALTELLLCGAGGNGLLAAYNHETAVASFLCNHFLRDIEQIRLRYRPLKNALRGRLGAGLADVSAALGKPFTALAATQGRLGDARLSSADLLKGLDAGRKAARRRIRTLARLWQALSVSFAAIVEWWRAFPKSASAQPCSRPFLRPPRHRHR >cds.KYUSt_chr3.31838 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199977959:199980348:1 gene:KYUSg_chr3.31838 transcript:KYUSt_chr3.31838 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVDRWSAGPNNIRLIMQEDKFHKLADDTIHDLLEKLEVYGDSQQMDGFDIDYGVSYSEMPFLTLRLGDLGTYVVNKQTPNRQIWLSSPVSGPARFDWDAASNSWVYRRTGVNLMRLLEEEIGELCGTPVDLS >cds.KYUSt_chr2.191 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1142944:1144209:-1 gene:KYUSg_chr2.191 transcript:KYUSt_chr2.191 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPTDRMDSLFSPRCVWVNGPIIVGAGPSGLAVAASLREQGVPFVVLEREDCIASLWQKRTYDRLKLHLPKQFCELPRMPFPASYPEYPTRRQFIEYLETYAATFDVKPEFGSTVQTAQFDETSGLWRVQSSSSSGESMEYIGRWLVVATGENAESVVPDIPGLGEFAGEVAHVSEYKSGDKYKGKSVLVVGCGNSGMEVSLDLCDHGALPSMVVRDAVHVLPREVCGRSTFELATMLMAWFPLWFVDKIMVFLSWLILGNLVGFGIRRPAIGPLTLKNMYGRTPVLDTGAMARIRSGDITVVPGVSRFTKNRAELTDGTALDIDAVVMATGYKSNVPQWLQTSDGFFGKDGYPTTAFPNGWKGQSGLYSVGFTRRGLSGASADAVRIAKDLGHVWREETKPTKRAAGACHRRCISVIF >cds.KYUSt_scaffold_2697.387 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2541127:2542683:-1 gene:KYUSg_scaffold_2697.387 transcript:KYUSt_scaffold_2697.387 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDAMANDASSPAVAREAKKKRVRGSSIWIEVFPIGWVGVLIRLSGGHILQGNRSAKLKQSKLDVRREQWLSQVKDVKEVKAVASPAAAAGASSGSPILASPHPPLPRRRVETPTRAEEPEENKDEHDVVNQEAGSSDVDSPVHSHVSYNSPGCSSQRKHCRIYSPSSGSSAWSSSRSVSDAEDEGTGGGDKDDDDDVLDDWEAVADALIDDNHNHQNLGLEKPPVAPVASVEPAHAATRPEPIKTQRRAWSPDDDTRPHTLPSLSKQASFPTSMGNCWVGMGIGAAQKGILSFQMSCPICYEDLDPTDSRFLPCPCGFHLCLFCHKRILEADARCPGCRKLYKSAPLNGEVGNGQETEKLAQLRLSRSCSMGPRY >cds.KYUSt_chr6.2896 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17054457:17057276:-1 gene:KYUSg_chr6.2896 transcript:KYUSt_chr6.2896 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDHAWQWDCRGGGAGRRDYAKEMEAAVRVVQVACTLCQRVQDSLLLADAGSGSVHSKLDRSPVTVADWGVQATVSWLLSDCFRDENVSIVAEEDDKTLSSSDGTALLESVVAAVNGCLVEAPKYGLRSPEKDLRAHDVLQAIRKCSSTGGPKGRFWVLDPVDGTLGFVRGDQYAIALALIEDGEVVLGVLGCPNYPMKKEWLNYHQRYYRLMSKVAPPTSGSWNKGCVMYAHKGCGQAWMQPLVHDFGMLNWHNSREIQVSSVSDPVSATFCEPVEKANSSHSFTAGLAHSVGLRNRPLRVYSMVKYAAIARGDAEIFMKFARSGYKEKIWDHAAGVVIIQEAGGVVTDAGGRPLDFSRGVYLEGLDRGIVACSGALLHRRILGAVDASWNSSTL >cds.KYUSt_chr7.3002 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17838214:17838648:1 gene:KYUSg_chr7.3002 transcript:KYUSt_chr7.3002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGERRRFDGRRYGVGGHIGRRQAGRIPGAPPRHGPRQNFPNRRRSGPATGVAPQPTPAAPVAPRAPPPAFWCSEHGWVICPLHGYGSAAGGDAVGQSPTSVFKFGSTSAALGDVRIVAQRLLSPTPAVVEIEGGRSAARRW >cds.KYUSt_chr5.26219 pep primary_assembly:MPB_Lper_Kyuss_1697:5:166084918:166089260:-1 gene:KYUSg_chr5.26219 transcript:KYUSt_chr5.26219 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKRRYKRNPTAGGPRHSAGAGRRRSLPELPSFVSPTSVAAAFGPSSSAGRGRGRGGRRGGASPSAAHAVPFSYTTPLRPCSASSGGATQVLEVGIDTAPCADPPASVSMYSYQVVGGIGLGFNGDDDAEDDEAGEHLGLGFLDRARGNGEMDNLPVEEASFVTPRRQPKAKGRQNGGYISIGGVRIYSEDTSSPESEGMGDSDEESDSEYEVGDRNADVDSDEEDSDDAEGDRDSDEDDSRSGSEEGLSIGDSSVDDDVVADYMEGIGGSEELLSSKWVAGMKLADSEEEEEDDDDEMNTDDDEDGFLKKGKEKLEGYALMRASEQYGMKRPNSAERRKGKSTIGRDCDRGLASLRVMGLEDVMMVKDVRMANRSRKGEKGSSSQLSSSWPNEGRKSKKYHSVPGEKKKHKKELIAKKRRQRMLSRGVDLQQIDTKLRKMVVNRLDMLCFQPMHSRDCSQVQRIASIYQLKSGCQGSGNKRFVTVTLTGQSSLPSADGQVRLDKLLGTEPEDFGVNWNSSKRPGKVKGLSAPGKLARQHDSCGKAPVKQVSFAERPVSFVSSGTMAETIAETVAVGSSGAEASLEKVVESNSFKLGTFEMHTKGFGSKMMAKMGFIEGTGLGKDGQGIVQPIQAIHRPKSLGLGVEFDSEAEAVKARLEPPSNAKPEPSKARSEQRGSTRPLQMNNVGTFERHTKGFGSKMMVRMGFVPGSGLGKDGQGIVNPLAAVRRPKSRGLGAMDKY >cds.KYUSt_chr3.20118 pep primary_assembly:MPB_Lper_Kyuss_1697:3:124137854:124144232:1 gene:KYUSg_chr3.20118 transcript:KYUSt_chr3.20118 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTGFQTGCPGAQPAYALVPSGRDPVSSEARPVKIRFQLRLKPAGPVTGPLQLNHKNLISTDELAAAVMASALTNVSLSTFAAAARGDVLARPQGPARVTFPAVSRVVACRAGGPATPPGISDKVSESIKDAQEACSDDSASGECAAAWDEVEELSAAASHARDKLKDSDPLENYCKENPETDECRTYDS >cds.KYUSt_chr2.40645 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252514197:252516458:-1 gene:KYUSg_chr2.40645 transcript:KYUSt_chr2.40645 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGNYGHKKNDGICNSVCGEPASKAVLAMSRLKCALRGFDFRVLLALLIGVPMVVLMIYAHGQKVTYFLRPIWESPPEPFKIIPHYYNENVTMENLCKLHGWKVRETPRRVFDAVLFSNELDILELRWNELSPYVSEFVLLESNSTFTGMTKPLHFKENRHRFRFAESRLTYGTIGGRFVKGENPFVEESYQRVALDQLIKIAKIEDDDILIMSDVDEIPSGHTIDLLRWCDDTPQILHLQLRNYLYSFEFFLDDKSWRASIHRYRSGTTRYAHYRQTDVLLADSGWHCSFCFRYISDFVFKMQAYSHVDRIKFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTYSAVHLPSFLLQNVDRYRYLLPGYCRRESG >cds.KYUSt_scaffold_869.827 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:5162392:5164954:-1 gene:KYUSg_scaffold_869.827 transcript:KYUSt_scaffold_869.827 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAALDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEAKRVFLSQPNLMRIHAPAKICGDIHGQFVDLLRLFELGGYPPTSTYLFLGDYVDRGKQSLETICLLLAYKVKYPDKVFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMAALIDDKIFCMHGGLSPELNSLDQINDIERPMEIPDYGLLCDLLWSDPSSDIPGWGESDRGVSCTFGADKVVEFLEKNDLDLICRAHQVVEDGYEFFAERRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPKETGAPHSRKPIAKVNCEASVDFISLSLPNILGK >cds.KYUSt_chr4.15965 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98831182:98832424:-1 gene:KYUSg_chr4.15965 transcript:KYUSt_chr4.15965 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTCAPVPISLSPCIGYVFGLGSASLPSCCAQLQAFFQSQGPCLCAMSKLAPSPFGLVLGQVQGMIPNVCNLPTDPCDDILGASTSTDDSTPATANATVPAAAQQDPTAATAPAAAPVAEPATTSSTGADAVPDDNSPAAATATGKATTELSHRNARQLQILMGHLIPSSAITVKYKAGILGWLFCLSTTQPASPRPSLNMVFRSITAS >cds.KYUSt_chr7.40515 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251553899:251559302:-1 gene:KYUSg_chr7.40515 transcript:KYUSt_chr7.40515 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPRNFRAVRSNSFESYLRRLISEGGLAAPGCSADDVAGALRARHPDLRRKQFAPLVAAVRRALLSLPPPAPADASDSDSDMDSSPSSRRSRRHDTSSSSTSYSEHGDDGGARPPSPPPAFDVTKDMLRTRYATLTPKKDPAAAAASQQLEIEVSTEKPRRRITSDGGGEQEAAAASEGGGGGGGKGPRFADLGGMEAVIAELMMEVVVPLCHPELPQRLGVRPVAGILLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRVLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEFHQNVGSDGGDLDSQSSERKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDEHARKQILTMLTQNLTLEADGRFDLFKIARATPGFVGADLKALVDKAGNLAMKRIIVKRKKESCGGEENNHDWWRHPWNESEMDSLCITMADFEEASTMVQPSLRREGFSSVPDVTWEDVGGLDSLRKEFNRCIIRCIKHPEQYKDFGVNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESDVRKIFTRARTNSPCILFFDEIDALTTKRGKEGGWVVERLLNQLLIELDGADQRHGVFVIGATNRIDVIDEAVLRPGRFGKKHFVPLPGANERAAILKAHASKKPVSEDVDLGALARREECNNLTGADLASLVNEAAMAALEERIEFLDNGTSSMSSSALIELSHFEHALSKVKPSVSEQQRKYFDMLSKKYSAD >cds.KYUSt_chr3.13696 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82575424:82576173:-1 gene:KYUSg_chr3.13696 transcript:KYUSt_chr3.13696 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGDASPPTAVVDVPVGAAPNAGMVSAMISATIPSKRKRFPKQFFEAHAAAAASPATASPGEAPPAAKKAGRMKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKATPSPPSVPSDAPPAPPPSTMDVDKVFDVESTTSYMDMLNGSAVNLDAGIDAFDGECNVEEIDEEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANTARSKTPSWSVLGVRWGWMRAPEWTKAASAIGSALRICTTS >cds.KYUSt_chr1.1968 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11345740:11349421:-1 gene:KYUSg_chr1.1968 transcript:KYUSt_chr1.1968 gene_biotype:protein_coding transcript_biotype:protein_coding MATQDGAGRAGAEAVPTVGLALSGSTSSANVLRWALAKFANNPAAPAAAAFRLIHVLTPVLAVPTQQLFRRCAVLTTCLLKCLFYGLAPVGNYLPIDQVRPEIAEAYVQEVWVKAQKMLAGCKETCDENKVDAQVVLVNGNDVADTISNLVAQHQIQTLVVGASRGFFNRTSSKICKGVPSFCTAYIVSKDGSSSVYAPGSGIDATTGSGVPKGNSSSSSTEVFSEDSSLVSDLNDNSLGQGLSGFPSLPRSNLASGNLQSTASSESHVSFTLYDHLTGRATVYNDNDRIAESSIASRLRGSGKVPTQDNSLRQLMLSDNKVVELAAAEEVMQKNRLLAQTSKDADKKPGLEQRSVLQGNSYLTFTWEEIDNATSSFSESRKIGTGSNGTVYRGHLNDLEVAIKVLHSNDKTSTKHFNQELEVLSRIRHPHLLMLLGACPDRGCLVYEYMENGSLADRLQRINDTPPIAWFHRFRIAQEIVSALLFLHNTKPNPIIHRDLKPENVLLDANLVSKIGDVGLSTLVPLKDTLSSLTVYKKTGVAGTLFYLDPEYQRTGQVSVKSDTYSFGMVILQLLTARPPIGLPEVVERAVEDAELLGVLDESAGSWPLKEAYDLANLALSCLEMRSKSRPDLRSKVAVELERLNNLVAALFEPVQAAAELPQPPSHFMCPILKRMMKDPCIAADGHSYERNAMEMYLCDIDVSPVTKARLPNKTLVPNLRLLSEITNWRAQAGI >cds.KYUSt_chr4.10684 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64882570:64884835:-1 gene:KYUSg_chr4.10684 transcript:KYUSt_chr4.10684 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIQSGGGSGGGQDVSSWHHLMRKPRPDSVPWKRSTHNMSSDGHALTVIDHVGVNANVSSAEYDEKKSHEDNSEITPSVSTHKFDANQLAAKILRLRMKGKHEEADQLKREMEALLEDEDTSVDEPRHEKGRSSVRHTIKPIAAESRKGEDEYDFDDAPRRKSEGTQEEKRSTHGHILTQKDRCLYCFENPSRPKHLVVAIGNFTYLMLPQFERVVPGHCVILPLQHESATRAIDSNVWEEVRNFKKCLLKMFAQQSKDVIFMETVICLAKQRRHCMIECIPVPNEVSDKAPMYFKKAIDEAEEEWSQHEMKKLIPTSGNLRQVIPDNFAYFHVEFGLDHGFVHVIDDDSRFSAGFGLDVIRGVLRLPGEDMHRRRRHASVDDQKQAVASFTKDWQPFDWTKELE >cds.KYUSt_contig_1993.498 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:2838248:2846841:1 gene:KYUSg_contig_1993.498 transcript:KYUSt_contig_1993.498 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGRVRAASLAAVAVRMVAATARPLSSAAPAAMVRGEDTEFGSASWWAYAGVSCFLVLFAGIMSGLTLGLMSLGIVDLEILLRSGTNAEKAQAAAIFPVVQKQHQLLVTLLLCNACAMEALPIFLDRIFNPVLAVVLSVTFVLAFGEVIPQAICTRYGLAVGASFVWLVRILMIITYPISYPIGKLLDCALGHDESAYFRRAQLKALVSIHGKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNVIGLLLVKSLLTVRAEIETPVSAVSIRRIPRVPADMPLYDILNEFQKGGSHMAAVVKAKPKNAPAPDKTEPYMEAAASTNLNAPLLSNTEERADIVIVDTERQHNTRSNTVASISEDIDDSPVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAVAASAVLRAPSYRKLINQKAAGTLGQPEQPTGILKKPTGDSNPSKHKVILVERHL >cds.KYUSt_chr6.31810 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201007140:201008672:1 gene:KYUSg_chr6.31810 transcript:KYUSt_chr6.31810 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAGSHGGRGTAAASKFTLPVDSENKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLAAPTVFCMSLIDDAAGYITVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIKKCGATAFVAWRIAYFVPGMMHIVMGLLVLTMGQDLPDGNLGSLQKKGEMKKDKFSKVLWGAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYYFDHFHLDLSTAGTIAACFGMANIIARPAGGYLSDLLARYFGMRGRIWNIWILQTAGGAFCLWLGRASALPASVTAMVLFSICAQAACGAIFGVAPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSQYSTGTGLQYMGIMIMCCTLPVTLVHFPQWGSMFFPANANATEEDYYGSEWTDEEKKNGLHLAGQKFAENSRSERGRRNVILATSATPPNNTPQHV >cds.KYUSt_chr6.31474 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198996361:199000642:-1 gene:KYUSg_chr6.31474 transcript:KYUSt_chr6.31474 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAASLALSGLSLAPLVINVNPNLNVVLTACLTVYVGCYRSVKATPPSEMMSKEHAMRFPLVGSAMLLSLFLMFKFLSKDLVNTVLTAYFFVLGIAALCATLLPSVERFLPVGWNNNVIVWRAPYFHSLSVEFTKSQVVASIPGFFFCTWYAMKKHWLANNVLGIAFCIQGIEMLSLGSFTTGGILLAGLFVYDIFWVFFTPVMVTVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYAAGITATIVVMNWFQAAQPALLYIVPGVTGFVAAHSMWNGEVKPLLEFVEKQAEEEGAGEGEDKDPKQSKKVD >cds.KYUSt_chr2.1060 pep primary_assembly:MPB_Lper_Kyuss_1697:2:6155317:6161632:-1 gene:KYUSg_chr2.1060 transcript:KYUSt_chr2.1060 gene_biotype:protein_coding transcript_biotype:protein_coding MRISQSEDHLELDLGAEKKSHGDINRMDIESARAPLRRDKTSASSSPSTRPRRPANTSERHPPSGRRRHPPSRAAALGTTQGDPTNCKAPVFTAERSPEAGDAGEGDPLPDRRQAAPLAASKKAYKARMAKLDKGNVADDDIQVISDQNDGNKKEESPPYDASKKEISPPRPIGSQKRKCGHRRKIETPKPINPVKDQCKLATDGLQREEHAGSQILGSNR >cds.KYUSt_contig_3636.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000702.1:275:1775:1 gene:KYUSg_contig_3636.1 transcript:KYUSt_contig_3636.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISNRKSCRWLNDSLLLELVPCLDADEIKGLFAPPPWGEEQPMSAFCMTSAAAGWDVFRTIDMDVQASFMQFHTKQSPADRKNSRRLDQDEAIALKAWHRVDGQTREAIKSNFLPHLLGIYEARVRAFIEDTSGDKDVLALDVQDPFQRLLLHGVCEFYNVVSETTSSTVRECGGEKLWKTTMITKRPGTAAPSRITLVDFLARRKNGSL >cds.KYUSt_chr3.17169 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105180063:105188541:1 gene:KYUSg_chr3.17169 transcript:KYUSt_chr3.17169 gene_biotype:protein_coding transcript_biotype:protein_coding MDDACAVCAEPLQWVAYGVCGHREVCPACVARLRFVLRDHRCCICMTHCPAVFATKALGDRTKLIGDFSALPAVAGEGKAGEYWYHEATQVWFDDVDQYRTVRALCQFSCTVCKDSAGTGTGTGTGSGKKGGGKASKAKHKKKIGSIEQLKVHLFDHHHLYMCDLCLDGRKVFSCDQKLYTKPQLNKHIKNGDSEVDGSKVERRGFQRGQEYFMTYDELERHNAVEHRERKSHAKRTATFKGVRRVTENTHPSGLRQQSKGIVNIHHSVQIQSPENTDSTPSGSRHSPSCPTLNPSPNISGSLSLTSAENERRAANNFLVEKVQTALGMDRDRLLPGPQKQKELADAYYASLRLRSLQGNGGGGTVSSRKNKGKGKLPDAAETTGAARVSLEDQILRAANKLQLQGGDSGVLLKEGALSPLAPSPSPFAARASPPGEPPPLLRQASPSFAARRFIAVRPLPPRRSSSGDLVSPSLSPDFLNDRELAAPPATVSFSFSAASEPGIFQTRMSSEDILSESSSNNHQSESSDGLSAEIARMEAESGMDQEAGSSGQASGVDLSGVTRGAWKGSDVNQHEIDWLYRSRRIPEGVSCRLPGNEIEPVLEPGEYVVFLAHFERGFGLPASDFFRQFLDFYQLQPHHLPGNAVFYLSCYATFMEAYIGVRPTRETFARFFSLRINSVQGKEIPKPKPPVQCGSCIIGSRQGSPFFKFSGLESCRLWQGTFFYVKNTGAPDLINLPAFNPAPPRKVN >cds.KYUSt_chr3.7147 pep primary_assembly:MPB_Lper_Kyuss_1697:3:41289407:41293015:1 gene:KYUSg_chr3.7147 transcript:KYUSt_chr3.7147 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRSSTGSSRASSSPSPSPSPSPSDILHESITEMSKIAFIDEIIPFFIFFHYNPSFSMLGPTIIAWHETVPKQVRTEEELKKAIEASLGPNKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >cds.KYUSt_chr3.2592 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14851681:14854021:1 gene:KYUSg_chr3.2592 transcript:KYUSt_chr3.2592 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRSLRLRPQIQASQDGAGMAIRRSPRLRPQIHAGEQGAGVTHRRSPRLHPQIQANGDGAGVTRRIRRRRGTSPASPTSLPDDDDMLREILIRLPPLPSSLMRASAVCGRWRLLVTDPKFIRSFRAHHRKPPLLGVFEISDQGIVFNPILDTPDRIPPQRFSLGRYTGICELLDCHHGRVLVKDWAWEEILVFDPITRQPHSVAVPPDLGFPFLHGAVLCADGDQGHVHGGCYLSPFKVVMVSVHSQGTRACVYSSETGICGNLISTEAPCEDIGKSAVLVGNCLYWLSIGEVIVKFDLDEHSLTVIRGPSVTNYIPYDNRQIIEVEDSTVGFAICDCSCFQMWQMNVNGHGATWVPWRTVEFHTILGFPPRIEGQVDMKGYDEDTGTAIVGGSNGAEFLHNSYDDWQV >cds.KYUSt_contig_786.292 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1634844:1638379:1 gene:KYUSg_contig_786.292 transcript:KYUSt_contig_786.292 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDWCGPTINTIITPCYNPLAKHVSYCFMAGSKVRDHRIEIEALKLKQVAVQQKIRDDEHTLEAVPTDQARLWLESANRVVSEEEANHLLFEQRYRLCGCFYPNFLENYKISKRADEQQKQVKSIMSNAPGDNNITRAPDPRRVESMLVDPAPMPQSREVILEDALRFIMSNDPNEGKIGMWGPDKDDNTNLLKHINNSFLEQSLFDFVIFVPSPSDCSVTNIQSEIISRLGMKQDGNEATRATRIHGQLENKNFLLIVDDLRQNLDLRAVGIPYPLGFVGEKKRKVVIMSLSGYRSVGNLMGVNKYIELPILQEEEARELFRQSINYQGDLYSDPRIGTHATDLVRAINGLPSELVRYGKSMHGIMDASSWKVAIDDAASKFSRLRSIKDTLCLIEDDPTLGVIGIWGPGGVGKTHLLKKILGFFRGRMTVIWVTASKECSMLEVQTQILDELKLEGDGNVGTQSSMIRGFLENKNLLLLLDDLWERIDLEAVGLPLPLGIEPLSKLKRKVVLTTRFRSVCGGMEVKKQIKVPYLQENEAWELFREKVGDQTLFSPGIEDRARILVTEMKGLPLALVTVGRAMYEKFCPDQWDSAIQHMKNSCCIDTNEDPLEMEKEVFRTIMFSYDSLKSERLKNCFLTCALWPEDRQIHREELARCWIGLGLVDVGDIQSPYTKAYSLMDDLIGACLLEGVGKSNHHVKLHDVIRDMSLWISCGCGENNGNWFVRAGVGPDENFGIPWSSAECISLMFNRMKKLPFICDPLKLRVLFLRGNMWDETIIDGVLVNCSKLTYLDLSRNRLKGISESLCRLTELKHLDLSDNRKIEEVPHSFGNLIKLKFLYLQGNDIEKIPNEVISRLEALEIIHVDLILVSDCIRSNVYRELATLNHLKVVNTLVGLSDTWTSLHDAADLPIRSLILAPSAEKKEFHLYDILSLDFAQTTLYELYIEGGQDLTDITLIRRPEQQPYSFGVLNKLIMRRLAALTTVKWMGTSPTYVFPWLTCLKVSRCTKLLNLSWAMYLPCLEKLNVRVSNSMRKAFTRYHVDNVWSGQESSQTFPCLKHLCLEYCKRLVTIADPDVAFPSLELLEIRGCPELKKLPFDMASLPQSLKVLRMDYTESWERLELEEDVRSFLQPRLQYRYR >cds.KYUSt_chr7.39692 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246743204:246749945:-1 gene:KYUSg_chr7.39692 transcript:KYUSt_chr7.39692 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGASAGGDGRRRGRSSRVVLLKLDYFELDAADAGFQASRLVLPDLADGVGFLPAEALPSLRIADRLRLSEDGLLLVAAVDHHCRAAQEHGLIVDDKLQTRSCKINGPLRRGLLRRRRRPVRRPRRSGVRDGDIGPAEASGGADQVPGDAAPGLQGRAAARAAGRWVCEVREPNKKSRIWLGTFASAEAAARAHDVAALALRGRAACLNFADSADLLAVDPATLRTPEDIRAAAIALAEAACPAAPASPCSSASAVQAAAPAMTMHQQGISMQEEAEAMAQYNDYAMCTEAWGTWTSIRTTTKHFGFFTTLTEVMTTSSFVVDFFVLVLVDVSLLSVPASAVDPLGGDVDILGADAAASDPLSVVVDPLADPTASGNLCAAAPDPLGAAFDPFSVVDPVDALVASGTFRSAATAEALRAIVDPLAATAASGIDGTDAASDLLIAVVDPLADPGAFGTLAAALVAFDPWTISGAVFWAHDVADPWNVAGVVFSACAAAFPGALKGDLNGADVDFNVVAVGTFNVL >cds.KYUSt_chr2.52416 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327047772:327051462:1 gene:KYUSg_chr2.52416 transcript:KYUSt_chr2.52416 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMGGVDPMDVLEATRRKACKHADIVTIISATMVPSSPKPVEKKTHDETVQLMHQCKMAVLAIAANSAKVGSEPETQVDRAIRKTPRARRAWELESISAGQTGPIPPRVGGKGKEGGRELEKQAIEIGIMGEEEAHLVPAPMAGEDDEADLLVERNEEEVVSRIRATAEDAKSAGLPMIKVAGDGKRMHLVSQQFINELKSGPPFYVPSRVSNERLLSFVRGDQAKYAIIIKGYEAMERVRDMEMDILEQYHDKGYAYFVISDDADEV >cds.KYUSt_chr3.4844 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27544190:27545471:1 gene:KYUSg_chr3.4844 transcript:KYUSt_chr3.4844 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRSGGVGVVPLLLVFSLAYVLGWMSSTSFQNLYIQNILSAPTPSPLPPETVPCILPPTPPSQPPPPPAPSTTPLEGRRMAFTDFLAPSAGVMHNMTDEELLWRASMAPRMNSQPKHVIAPKIAFLFLVRGDLPLRPLWDKFFEGHEELYSIYVHASPDYAGSPPPDSPFYGRMIPSQRTMWGNINLLDAERRLLGNALLDLSNAHFALFSESCIPLVDLPTAHAYITGAGTNFVDSVDRRDSRVRHRPYFAEHNISLAQWRKGDQWFVMDREFALEVISDETYYGPVFRDGKHGVGHMEEHYIPTLLNVLGLGDRNSNRPLMYSDWRHAQGPHPKSHNGSDVTEDYIREMRRGLSSWNCSYNGGVPELCALFARKFKPDTLEPLLELAPKVMGFG >cds.KYUSt_chr2.27145 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166437505:166439330:1 gene:KYUSg_chr2.27145 transcript:KYUSt_chr2.27145 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMCTTAAAALALVVAGILGAATANVGHTCSRSTGCGAGQWCLDCKPELAGSHCVRSTATNSFELVNNSLPFNKYAYLTTHNSYAILGEPSHTGIPRVTFDNQEDTVTDQLNNGVRALMLDTYDFNGDVWLCHSIGGKCDFTAFEPALDTFKEIEAFLSANPSEIVTVILEDYVNAPNGLTNVFRASGLQRYWFPVSKMPQNGQDWPLVNDMVASSQRLLVFTSMRSKQATEGIAYQWNFMVENNYGDVEWMLGNAQIVRNRRLSMTRESRWFS >cds.KYUSt_chr2.18900 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118887590:118888284:1 gene:KYUSg_chr2.18900 transcript:KYUSt_chr2.18900 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLVLDLVNAGVVATADEVLDLKLLVAASTHWGTICASIWSCSAHSRASPQGAAQGARPGRRPLESDVTTCLHYILLGRPLVSHSHHAALSALLPPPRDAADRIIDLPEGLLRSIVSRLSAKDDVRTAALSCRWRTLWLSIPCGV >cds.KYUSt_chr2.2744 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16394768:16395754:-1 gene:KYUSg_chr2.2744 transcript:KYUSt_chr2.2744 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMAPPAVADDEIVYESMPRLRVYRNRVERYPGADDFVAASADVNVAVASRDTTISARVSVRVYLPRARLDATKIPVLVYYHGGGFCLCSAFDSTYHAYLRRFAALADVLVVSVEYRLAPEHPVPSAYADSWEALAWVVSHRAGGDEDEPWLADHADFSRLYLGGDSAGANIAHHMAMRAGVEKGLALRGLVLIHPYFLGSDGVASDGLDRAARERLGWLWRVACPDTKGEDDPLINPLADGAPGIQALACGRVLVCVAEDDVLRDRGKAYYDRLLGTGGWRGEAEISQARGKGHRFHLFDPCCSEAIAQDKAIGAFLNPEGRSQV >cds.KYUSt_chr4.14326 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88303601:88304224:1 gene:KYUSg_chr4.14326 transcript:KYUSt_chr4.14326 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSNSKTAELDAPLHALGFEIEEVSPSRMTGRLVVTPICVQPFKVLHGGVSALIAEGLASMGAHIASGYNRVAGMQLSISHFRSAAVGDTILARAVPVHIGRSTQIKGQKARR >cds.KYUSt_chr6.7717 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47371800:47377746:-1 gene:KYUSg_chr6.7717 transcript:KYUSt_chr6.7717 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTSKGKRKRGRKSKAAEDHRSPSPDAPAAAPAAAVSDSPAPAAAGRRGRKSRRLEAAADADASRPPAKPVANGVDAELGPAGWEEAGRAVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHFTQVKLKKRGSDTKYLATVLAIGTECDIGACALSLSLPPLRGAIAGVADLFVEETRTWGTPSSRRGLQATGLLTLAMAPIHGAAGAARHGSQPHGFGAAVGLGLRVGKVSNDDEFWEGVSPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEVLSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGICVGIAFQSLKHEDAENIGYVIPTPVIKHFIQDYEKSGAYTGFPIIGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGDKAVIKVLRNSKVHEFKIKLATHKRLIAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHSMAQSPDEQLVVVSQVLVADINIGYEDIVNTQVLAVNGHPVKNLRDLVTTVENCKDEFLKFDLEYDQIVVLERKTAKAATKDILTTHCIPSAMSDDLKA >cds.KYUSt_chr6.29065 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184036072:184037820:1 gene:KYUSg_chr6.29065 transcript:KYUSt_chr6.29065 gene_biotype:protein_coding transcript_biotype:protein_coding MYEATDILNLCHLKAMEHGSSSIDAGCFNPLLFCMQNPSHAHVIGTHIKVLNLRLDTIKEWSAALSGVRGIGKMTLTQKVFNDEAIEGSDRMNQLSTLHTSPLFNECENATWLNGTKLHLSDGSDLGEYIIGDGGYPLLPCLLTPYRLENDLSLSDSKMEFNTRHSAATAITLRA >cds.KYUSt_chr2.34791 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214718764:214719396:1 gene:KYUSg_chr2.34791 transcript:KYUSt_chr2.34791 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTSRSDKIVNIATTLPLKLNFFTPEAYWYFFKVRTFGSTDATEHPKLASIAMEIARDLNGCFISANIFRGILKSSMNARSWSLALATLREFRKNNLSLFTAARPVVDYWDSSERPVRVPINSKSTCPGRHGFVILDNYETPSFQSDAEAPVVTVQDVLYGGARLQGKFDVIASRSHLPPHYYYVFNCEVHTLQRVVSKKKKNRKTARS >cds.KYUSt_chr5.3338 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21633152:21636334:-1 gene:KYUSg_chr5.3338 transcript:KYUSt_chr5.3338 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQGIAVEKSSDDKRLRGRHIVVGCSDDGLSGGRGLTGKKSSEPTYKPVYDPYRNVLYAYVSTRGHAEPPRYITLFSPVLFTLQRIHPKQSPNRGKTLGQEQHSSLSLVLARARSRSSMERGKGKEGKGKEAAVDDT >cds.KYUSt_chr4.35636 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218865134:218865976:1 gene:KYUSg_chr4.35636 transcript:KYUSt_chr4.35636 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSGGGKSSRQSSDDLVSPRSVLDRCTTTSDNSCTAGTSTTTGVVAEPSTPESPQGDEPPSQWKGAMEAWRARTKRRLASGMSFGIRGGGSGKWRWPTAGHVNVEQHDLCTLRLSFRTFSLSELKKATRNFSKENVVGRGGHAKVYRGVLADGQLVAVKKLLPAPEKKDRVESFLSELGHAVNVRHPNVARLVGVGLEGGEHLVFPFSRLGCLSRRLHGHGGAGEEEGAMPWEARYRVALGAACGLEYLHERCARRIVHRDVKPDNILLKDDYEPLVS >cds.KYUSt_chr2.53531 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333931986:333936973:-1 gene:KYUSg_chr2.53531 transcript:KYUSt_chr2.53531 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAASSVGVASVVLLLLVGANVSLADANVEGDRDLSEGQAASIVASSWRRSIVETPLPANNSLVLAAGRTRRSDPVGKLTMYEGGWNISDTHYWASVAYTGAPLFLVAVVWFVGFGVAMLVISCCCCFCRNRSDRYSPTSYIASLVLLVILTCVTIAGCFVLNAGQESFHRSTIGTVDYVEGQGNLTVDNLRNFAGSLAAAKNIGVDNIFLPVDVQQKIDIVEEKLNSSANEFSARIVQNSDKFKTVMDKMQYYLMAVGVIMLGLALLGFVFSVLGLQFLVSLLVIAGWVVLTVTISMAGGFILLHNVVGDTCVAMDEWVTHPQDHTALDDILPCVNVATANESLHRSEEVTAQLVALVNNVIVNISNRDFPPGFKPLWFNQSGPLMPVLCNPFNPDMTARKCGPGEVTFSTAPDEWKRFQCQVAGDAGSEVCTTVGRVTPPAYNQMTAAASISMGLYEFGPFLMQLQDCTFVRETFTSISVNNCPGLERYSGLVYDGLMLMSVSVMLSVVFWMVHTRQRRRRARCGKQPAG >cds.KYUSt_chr3.2706 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15595987:15596244:1 gene:KYUSg_chr3.2706 transcript:KYUSt_chr3.2706 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEEVTLLGHWGSPYVIRVRIALYLKGVHYTYVEEDLRSKSDLLLRSKPVHQSVPVLIHNGRPVCESQVILQYIEMKLQLI >cds.KYUSt_chr4.28607 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179730119:179733600:-1 gene:KYUSg_chr4.28607 transcript:KYUSt_chr4.28607 gene_biotype:protein_coding transcript_biotype:protein_coding MSENGNRRSDRLKKLEEQKKDDNDSVDACRVDPLQIIRDTIFSAARGKGKKNGEIQKLQGEASSSQVIHAGYTGNISGKGSMSGQIIEWILNTLELRDRFGLFAMPDDIQVCDYAERINRPGDFATLMQKNKDGMYQTLEQFENDVYMVFQKAISINSQETVPYKEAMALMDQAKQVFLSLKSRGMYSESDLLAWREKQLEVGPNKPVRDQEDGNEVGGSLDIAAARAQQRPNTTPVKKATGTSAEKRQQISPGKKASNVSADNGKARLKGAREGKSAPSMVKRAKKEAATAAAEAVEPGGPRVGKRRLTYRDDADGGRGMRMMMPPPLTLPAFGDRHARLVQHPQVQGHTYHDSLRRFVRHAGLRARLAAEFRSLECENRARQNPCPASYWNSFTTGGGSYYPPSPSGAALQTPPSGGAIIKQAHAPECNLETDRLLTLVTTMSRPEFLERGTPEFLERAKQVFCDARAEGSSKGGHVTEAAASTPIPSASAINFGPFAPPKLAPGHLGFGQFAGSSAPPFKPKPLPQKK >cds.KYUSt_chr4.17730 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111016474:111019573:-1 gene:KYUSg_chr4.17730 transcript:KYUSt_chr4.17730 gene_biotype:protein_coding transcript_biotype:protein_coding MPINGLGRLLLLHVAALSTAGAVAAAAVLRRRHRRKMKELLSAPAMTEMPKVVIAEHGHVEHIEKFSHYVARQMGFEDINECPQLCKVANNYLKKTKNCMDDIYDLLANAPDAESLYVKLIEELDKCILGYFAFHWDLCTTLISQALTVDSATKKKLRNLVLEATR >cds.KYUSt_chr6.3057 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17905761:17908873:-1 gene:KYUSg_chr6.3057 transcript:KYUSt_chr6.3057 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPEVQAPPEIFYNESEARKYTTSSRIIEIQARISERALELLALPNDGVPRMLLDIGCGSGLSGETLTEHGHHWIGCDISESMLDVALEREAEGDLLLADMGEGLGLRPGVIDGAISISAVQWLCNADKSSHEPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQTEMLVSFAMNAGFAGGVVIDWPHSSKAKKSYLVLTCGSPSVATSLPKAKGQDGEMCSSDDDDDDDDDESNDDQTVGTYGRNRSNKRRKVNNKNNGRGKDWLLRKKEQMRKRGREVPADTKYTGRKRKTRF >cds.KYUSt_chr1.306 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1699097:1699417:-1 gene:KYUSg_chr1.306 transcript:KYUSt_chr1.306 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSGAKPRASDDGGYARAAPPCLMHPMGNSDGSANAAPPCSANLTSSETGEMKSEYPRRPGVADCSYYVKFGTCRYGMKCWFNHPPYSSQQASNSSHHNKQQVRT >cds.KYUSt_chr1.17625 pep primary_assembly:MPB_Lper_Kyuss_1697:1:102509205:102509819:-1 gene:KYUSg_chr1.17625 transcript:KYUSt_chr1.17625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator, Regulator of meristem activity, Regulation of inflorescence developmen [Source: Projected from Oryza sativa (Os10g0478000)] MDLMPQPDSPHSDGSGGGASGTSSAVSSLSPVSPSPSRYESQKRRDWNTFGQYLRNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHSAGCPFFGHPVPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPENNPFGARAVRLYLREVREHQTRARGVSYDKKKRRKPPQQHHPAAAHDVHSHDGNGHHYHPHMPPPPPGAAA >cds.KYUSt_chr3.43129 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272627001:272632998:-1 gene:KYUSg_chr3.43129 transcript:KYUSt_chr3.43129 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSGARQKEIPLPEDRLNLAIVCTAIVSKVMNGLGTLATIWATVVLLGGFSVLIKQQDFWYVTIVAFVESIGILGGYDDPTQQLLLRAPEVLIKSKNAVEYERRISWWRRRRINQDYHQSGGERRARLQVGKQDDEQKKGCDGRTCLGMAIFVALRLAQIAAVATCIVLSLNRLKKQDYVDPQHKGAEDHRNIRWSLNIFYGLVFTQGIIFIVLRTPVVWALVFCTIIKYRLYGPSGRIVVDRYWRDNYLAFITGNWRATLNMNLITFAKQLAVSDIAVDDQLVGIRAMDRILRSEEYSSLALAKLRASLDTDVLGKLIDMLGFTGTRDEEDMRGHASRVLLKLAPDILLDTFPQAIYLITSSLLKSMASNMDVDFVWFGLRILDELTNIQQNCRQAEKLIDILPKIIDLTNLNLRCCHGSKRVISDLWIEQEIIPLLQREEDIPTTSIRKIDQEIIVGMALKILSKLVATPGDAGKKLRKEASANIHLLADTGIILGHVGAARVVACLALDEKEKIEIGRSPEIIKKLKECLLSKAAHVDTTKVAAKLLLLEYTNSEQLSQIKSSIQDKNINLPEDEGFSAPTTAFIEALDLDQLLPPWMQKQPTTLRILDLEDVLSAERVNHCEAAAKALAVLTSGCEENAVAVLEEITVKEMEMIVEMLFPRNREESRRRMYARLQPETIRLVNKIACATEEDRVRTTSVNAKLLQNLRAHGGTEKFIQHMGIIDQALPQVLKAIVDQVAKLEQPGCTNENLANYDGQWVEAGKVFESFIGLTVQICYCLDASGFAKALVVANLTVDTLVLKLKKILELYKYPTTDFPSIRRATLELMTWMVGNNKYRETILQCGVYEQLKEVAKTGGKLESFQLFHCDVGVGSDHTSSISSLVSNLRAQLELCPDFHERSRYYGEHARTITVLLA >cds.KYUSt_chr3.22648 pep primary_assembly:MPB_Lper_Kyuss_1697:3:139955601:139958720:1 gene:KYUSg_chr3.22648 transcript:KYUSt_chr3.22648 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVEISDASDLPPKDNAATCNAFVEVDFDGQKQRTATRPADRSPQWNETLLFDVRDDPDPGRLPSIPAVEVSVLHDRRLSDHNAVRPSAFLGRVRLTGDSFAPSPDEAVVQRYPLEKRGLFSRVSGDIALRPYLLDGRDRADGAAAAAANSSSAAPTMMNNVDQDQRRAEPAAASMDPERVVRNAFAAAPSEAARTPAAESMGKSSHEPRVFRSIPAASSEPRRATLHAMAAPPPPPGQTVVMPRPAGPPPAQPPGSAYGLVETRPPLPAKMGPRSSAAKIASTYDMVEPMTYLYVTVVKARDLPTMDITGSLDPYVEVKLGNFKGVTRHLEKNSNPVWRQTFAFSGAHLQSSQLEVIVKDKDVLRDDFVGRVVFDMSDIPSRLPPDSPLAPQWYRLADAHGNKMQHGGHHGYGEIMLAVWLGTQADEAFPEAWHSDAHSLSQEGLTNTRSKVYYSPKLIYLKLSVIAAQDLIPAEKGRPLAATIVKIQMGNQIRRTRPQSGTANPIWSEEFMFVASEPFEDPLVVTVEERVAAGRDEPIGRLIIPVNSPYVPRNDLAKSVPSKWFSLSRGMTMEEAAADVTSSIKNRESSKTFASKIHLKMSLETAYHVLDESTHYSSDLQPAAKKLRKSAIGILEVGILSARGLGGNKNPYCVAKYGSKWVRTRTLLFTAAPQWNEQYTWEVFDLSTVITVAVFDNANLHHGHGHEPSKDQRIGKVRVRLATLETDRVYTHYYPLMALTPSGLKKTGELHLAVRFTCTAWANMLAQYGRPLLPKMHYTNPISVLQLDYLRFQAMQMVATRLGRAEPPLRREVVEYMLDVDSHMFSLRRSKANFYRITSLFSGAVAVGRWFDGICKWKNPLTTILVHVLFLILVCYPELILPTVFLYLFMIGVWNYRRRPRKPPHMDTVLSHAELAHPDELDEEFDTFPTSKPSDVVRMRYDRLRSVAGRVQTVVGDLAMQGERAQSLLSWRDPRATAIFITLSLVVAIVLYVTPFQVVAVVAGLYLLRHPRFRSKQPSVPFNFYKRLPAKGDMLL >cds.KYUSt_chr3.35976 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226068555:226068977:-1 gene:KYUSg_chr3.35976 transcript:KYUSt_chr3.35976 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPRRRLLLLLLSLGLLPSVTWALLAPPRFPGPDARPPAGAGNGVGGYEFETRYFRQRLDHFSFPGVGDEEFFQQRYLVGRADGWAGPGGPIFFYCGNEGDIAWFAANSGLVWEAAPRFAALVVFAEANHLTNLHHLPL >cds.KYUSt_contig_5897.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001177.1:411:836:1 gene:KYUSg_contig_5897.1 transcript:KYUSt_contig_5897.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADDVGFATIHLHLDASSRAAAFPNPKGGRGGGGAGGMVAPGRSVHELLECPVCINSMYPPIYQEPVEAAETEVEVVQLPLSFMEVETKVELNSPL >cds.KYUSt_chr1.31503 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191035555:191038854:-1 gene:KYUSg_chr1.31503 transcript:KYUSt_chr1.31503 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSTWLDGVVGGGITETATRRVYDPALRAYVSSSYQEPNRLLDDLATVACTGAAVALCWAAWRYYLYSTSLGKYGRDLTASAGKADPVIGRDDEIDRVICILCRRTKNCAALVGAAGVGKTAIAEGLAQRIAAGTVPSALAGARVVEVDLGAMVAGTQYRGMFEERMKNVIRQAEYADGKVILFIDEMHMLLGSGGSLVHQSSTDAANMLKPALARGRIRCVGATTLDEYSKYIEKDAALERRFQKVHVEEPTTQATIAILRGLKERYEKHHGLQIQDAALVATAQLAARYITGRQFPDKAIDLIDEACATAAKRMMQIGIQEKQVNTVLTTSPNAVKEANVGPDEVAQVVSRWTGIPVATLDQEEKDKLIHLASRLHGRVVGQHEAVNKVARAVLRSRTGLDQPGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKMLVRIDMSEYVGAGSVWRLIGAPPGSSDHQDGGQLTEKVRRRPYSVILFDEVEKADPSVLNVFLQLLDDGMLTDGKGRLVDFKNTIIIMTSNLGSEHLLAGLSGESTMETARDLLMNQVRKHFKPELLNRLSAIIVFDPLSRDRLKEIVAIQMNSIIARVAAKGISLSASDAALDVILSESYNPMYGARPIRRWVQENVVTAISEMLVRGEAGAGSTISIDALDDRKGLKYEVAREVVDPAVGLLGDSGGRNDGLAMATPVMNVMKAAIAGSFKKENFNLLGTEGVLRPID >cds.KYUSt_chr3.10445 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61992346:61995652:-1 gene:KYUSg_chr3.10445 transcript:KYUSt_chr3.10445 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSRSAPASFRDRTNEFRAAVESARRHVGPSPAAASASGSGGGGPLDDSLSAASAQSEFKNRASRIGLGIHQTSQKLARLAKLAKRTSVFDDPTLEIQELTAVVKKDIGALNNAVMDLQVLCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENMKVHENRRQMFSSSAAKDASNPFMRQRPLVAREASDSAPPAPWATDSATTPLFQRKKTNGDHGASSSSTPAFMQQQQLAVQQDSYMNSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTTANVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >cds.KYUSt_chr2.32541 pep primary_assembly:MPB_Lper_Kyuss_1697:2:200728021:200730893:-1 gene:KYUSg_chr2.32541 transcript:KYUSt_chr2.32541 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEETMRAVEEEVDETMNEPDDGEPMDDLDGDDEDEADSPAAMKVGEEKEIGTQGLKKKLLKEGEGWERPETGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDQGIKTMKKGENAVLTIPPDLAYGQAGSPPKIPPNATLQFDVELLSWASVKDICKDGGIFKKVLVEGQKWENPKDLDEVLVKYEARLEDGTVVSKSDAVEFAVKDGYFCPALSKAVKTMKKGEKVVLTVKPQYGFGEQGRPASEVEGAVPPNATLHIDLELVSWKTVTLIGDNKRILKKVLKDGEGYERPNDGAVVRVRLVGKLEDGTVFIKKGHEDGEPFEFKTDEEQVIDGLDKTVITMKKGEVALVKIPPELAFGSTETKGELAVVPPNSTVFYEVELVSFEKEKESWDLKSNPEKIEAAAKKKEEGNVWFKMGKYAKASKRYEKAAKYIEYDSSYSEDEKKQSKALKISINLNDAACKLKLKDYKEAVKLCTKVLELESTNVKALYRRAQAYTALVDLELAELDIKKALEIDPDNREVKVAYKALKDRMREYNKRDAKFYGNMFAKWRKVEDADKLPAKQDAQPMAIDSTA >cds.KYUSt_chr2.9815 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62093215:62100204:-1 gene:KYUSg_chr2.9815 transcript:KYUSt_chr2.9815 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEILMTKQPSQQSQEEDAQSHSTAAQEHDTPQDQQLQHGYTAQQGGGATSSSHRRSKITLIPLVFLIYFEVAGGPYGSEKAVRAAGPLFTLLGFLVFPFAWGVPESLVTAELASAFPGNGGFVLWADHAFGPLAGSLLGTWKYLSIVINIAAYPALVADYIGGVAPAIAEPGRARTGTVIGMTLCLSFLNYAGLSIVGWGAVTLGIVSLAPFVLMTAMSVPKLRPRRWASQVKGRKDWRMFFNTLFWNLNYWDNASTMAGEVDRPERTFPRALAVAVVLIAVSYLLPLMAATGATDAPPDAWVNGYLADAAGIIGGRWLKYWTGAGAVISSIGMFEAQMSSGAFQLLGMADLGLLPAVFARRAARTGTPWVAIVASTAVTIAVSFLGFDDVVATANFLYSLGTLLEFASFLWLHAKHPELKRPYRVPLPLPALVAMCAVPSAFLAYVCVVAGWRVFALAAGLTALGVGWHGVMRVCRAKKLLMFNNAVVVADYREADAGETV >cds.KYUSt_chr2.7648 pep primary_assembly:MPB_Lper_Kyuss_1697:2:47946442:47946693:1 gene:KYUSg_chr2.7648 transcript:KYUSt_chr2.7648 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQLEECRGDEPHRDGGGKEQAAAGCHTPRRAVQTTGVGTVGECPPAPRKRRPVVAAPEVVARRRGFYSGADLEAFFAAHDL >cds.KYUSt_chr5.6196 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38261361:38262485:-1 gene:KYUSg_chr5.6196 transcript:KYUSt_chr5.6196 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTEAAMAAVVGVDDLLREILLRLDFPAFLVRAALISKRWLGLAADPAFLRRFRERHPPRLLGFYFHDACSPRPQFVPVSEAPELHTAVSRAGFDDCDPSVGPFGCRNGRLLLKPDQFAHGKYVVRSPLCPMRGLVPVSPPPLPEYLRGYGYSECFLSRDSSDGIVFVYLVLIDRKLSLQVSVLQSGSWGECILAEGQQLPLRFHNVWMEMVAHGKLYMRTDSAYIYMLDFVAPCPRFVTIKLPNEVRGNYKLSSADDGGFFLIHGEGFQLSLWHHETDDNGQDNWELVDRFCVRVAHDRCEEILVLGVGDNAEFVFLGLQETLKEEDDHDE >cds.KYUSt_chr2.12269 pep primary_assembly:MPB_Lper_Kyuss_1697:2:77692284:77693927:-1 gene:KYUSg_chr2.12269 transcript:KYUSt_chr2.12269 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVWPWLASLPPPGPDTPPRSSFSLAASDDGASIVLQTDFTSGSVGSTAESVLVAFSLTVDSANGVAHALWTSETFAADSLVASRLQLLGQLLDEVIALSPSIPSLGPDASEPKLDAEVVSAVIEATGTDGSAFFSLALLLRLFWLCALEAPADFGYLFFHAFGAEIERALGSCAPALGVFLLSIGPDVEDRFMRSLGYMLAKWCLLREMQAPTPAKAGTGVHPSACLSYATEVHGLWVLKGYAPVLAMPRIAGASSVPITALPHELPEEPALRYGLVHQQLEVVAQLEYAVSVRDKRFIGVGVRVDNIRVRVVRLGYRKNDADATEGDVEDDVMDGERHFPSRIRLWVGPRFGSSYATGPSLGRSTGNPEREVEATRTVKGAFSGATKLASSNGGPRVKAKMRTSARTRNRSWRWEQEAEGSAGVFEGVLCDPATGTEVSAWRTGGNGGAGEADPRNGMRRRYGGPGRAFIKMRGLIVASDELPEKVTWRVGREAEGRTMRWRVGLKVWVSYLPNQVRSRHFETRCVEWAHEVELPLVAANGDER >cds.KYUSt_chr6.22430 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141696083:141707388:-1 gene:KYUSg_chr6.22430 transcript:KYUSt_chr6.22430 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVVARWQAALPHVLGLIWSMASLGSHSAVSIVERGARCSINGCSHVRTGAGNREKWLPSTSSLIPGDDNIDWLHCLGFASSYSTFHVQDKKLSLLKILEATNNSNSYQSIITNNVQVTGHLTFLGESGEDLIGREADLLAPLTKGRHNYVPAAITAMVGKQCIVIAKVDQETYDADRGILFLTVSKAQLITDSMTALGSSTQTSLAIANKAIVPPDYPKSTQSHLPEGCGSQTTPPKDIIEPTDADEEEDMGKATKDKKRKNTNEKGPTRHYSNGSSNISLLSSRDTATQCSDSRMLDGHHSDWLHKNLTFISHVRSGQDLAKWIHISQEDMDKRASPNKVNIAPRNDSVILLIRNDNMKGIDQVDTNIDDESDPAGILEPFEQGATLEENLDTLQEKTTMHDDDDDDECRIFSGTGDVFDSYRVTNGVSTTNQNDDPYDYVYHNLPRKHHALKPVKDCEHCGAMMIQYEGPAFCCRKGKSPWIRRSSTASKTGVYTFRAQGALYYKMDDLVPGGQGPRHLQLYFYDTDETLEHRVKRSPDLDINIVRAILKILEDNPYVQTFKSIGSVPNLDEYRISLNTDIRLDQRRYNAPTASQVAAMWVEGSDPQNTFDRQVVVYGKGDRPIFIRAYYGCYDPLAYPLFFPRGETGWNRWMPYEKPPKVAKSKNQDDTPKVVHCENQNDNEHEHLQGVHQSSNVQLEENTDEVEENTDEVEENTDEVADDEEDQDDDATGGKRKFIGAVEYYRFKLQVEKDSLILYCSVHGDSKQWAVDMYIKMETMRLDFFSKPKNQKRIRA >cds.KYUSt_chr2.39007 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241853962:241858960:1 gene:KYUSg_chr2.39007 transcript:KYUSt_chr2.39007 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGEPSLKPEWLVRGIATPTVATTGLRPGTAPRADDQDRGASSRNRSSGRDRERSSQQASSRRGSGPSVSRRLDRDGTVKSRGYASFGRSNRERGCEKDSDFRDRESRLGLPDDSLHDGFGSFSSCRPESNNRLNRVRPKLDTLTRAAGVSLDNGNLSRKDAGGISFEREFPNLSSEENNGKHDMGRVPSPGISTPIQSILLATAHDGWNSVLAEVPGLSEPSNNYVSSALSHAGSSRQLEVLNCGTALSMAETVMQTPLQISTTPELLIDAQKIEERTMRQCALRPLTPSSNKISVSSLSDKFKIKGARAGDSNGAIKTASQLSAQPSSNSVRTPVKSELVKPSQSGCFQVLTREQNGAGNTAKNCASNPVSPILGRSSSVEPLKKSIVNQKLKGVTNGLPMHLQPGPFGERKSIAKDKHKFFELLRSKSLNGSSTGIESSSSLIDEQKNPSLDLSLFNGGIKCTEPGSKSCEDANSCDGSQRHLSDNDEIKPSLEPRDVFYEGLHGVDADNAEPNSSSDLGDVKDVSAVPQADKTEATVSIMPTDINCGSTKSDSSYDNARLLFEPIVAMEVEQYPSEDEPSPEEMAFLKSLGWKEDEIVPPLKQEEIADCLRHNVRLQQKLEECRG >cds.KYUSt_chr2.34472 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212936581:212945279:1 gene:KYUSg_chr2.34472 transcript:KYUSt_chr2.34472 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWWPGRKCRSKSKPKHSAALASAASSPPRNSVDAGTSSAYESACASPLPSTPRGAAKPHGLDSPAAAAARQQAGAASRCGGARGGTELRAEGHPLPRPRYKSAPLPLASSLAAACGGCASVSSASSSESFDEDEEEDRRTYRYTDPVVYSRGKTMPPDGLKGMVEGKQFASCSALQEHHKFFEVPINNVGEVHRQSFEPSTGEASRSLGRMPDDAFSARTGSLSPRPRGHAFSASDAGQRDFGFSPRSPLRRMDELRMSPQPLPLPPVPASSLPLPSSSIASTQSQSQWKKGKLLGSGTFGQVYLGFNRESGQFCAIKEVQVILDDSNSKERLRQLNQTDEALSIYLEYVSGGSIHKLLRDYGPFREPVIRNYTRQILSGLAYLHGRNTVHRDIKGANILVGPTGDIKLADFGVAKHITSFAEIRSFRGSPYWMAPEVVMNNKGYSLAVDIWSLGCTIIEMATGRHPWHPYEDRPGSEILPIFKIEFIHRYQYGVCEDEDGQVEVTPEQDWASMRYGCHTPSPASGRDLAKSSTSLARRALKRVQRQQAQRLAATAAIELNEGMVSPSSRPLGRSSSKIKVRPVLEPSVFHDESLHGWSVVHRRRWLPAIGKKRHDPLTSLNSNRDCSG >cds.KYUSt_chr2.21709 pep primary_assembly:MPB_Lper_Kyuss_1697:2:135006997:135010181:1 gene:KYUSg_chr2.21709 transcript:KYUSt_chr2.21709 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPRLTTTLHHGQGVSQVNRQPKPKPYTSRYKSNQGHEEEEDTPLFKEGRRKKKKEEKRRRREEEGEVAGASTKEGGRSLQAAQTGHHARPEPPGSSGRSLQALPGRYQFLEKVATHEKARPVLPAMHGRYYHPNLAGTSGLGTGPGIKTLAGQADRAPARSVTPGDCPSDCIGARALHV >cds.KYUSt_chr2.10415 pep primary_assembly:MPB_Lper_Kyuss_1697:2:65953887:65956807:1 gene:KYUSg_chr2.10415 transcript:KYUSt_chr2.10415 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGLLVLWSLFCLWVANTATGSKPKHPVEFDRLIYVPQKQHASVNSSTASSSVHGGSQDYLREKDKIREMPGQKEEAEFDQYAGYVTVDANAGRALFYYFVESPHDPSKKPLVLWLNGGPGCSSFGAGAMLELGPFSVHSDNKTLYKKIHAWNTVANMLFVDIPAGVGYSYSNTTSDYHNTGDKKTTDDAYIFLVNWLERFVEYRDRDFFITGESYAGHYVPELANLIISNNRASNTTNVKLKGVAIGNADLQYNLTLRATFDYFWMHAMISGKTYRTIQASCSFNDTYTNDCDNAMNLALKEKGNVDDYSIYTPICQDASSPARSSDSVVFGDPCTSHYVSSYLNRPEVQRALHANTTGLSYPWMDCSPVVFDNWKDSPETMLPSIKKLISSGTRVWLYSGDMDAVCSVTSTQYALDILDLPTETSWRPWRIDEEVAGYVVGYKGLVFATVKGAGHMVPYYQPRRALALFSSFLEGKLPPQ >cds.KYUSt_chr4.18781 pep primary_assembly:MPB_Lper_Kyuss_1697:4:117813975:117814265:1 gene:KYUSg_chr4.18781 transcript:KYUSt_chr4.18781 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDRVGTASWVAAHDHRSIQDYDWDLGSQNSGMHEHELSWCLASSKTCNRRDGRDSFGHGRDPDVDCDGKGGQSRGNKKYATMEKNSGRFGGADP >cds.KYUSt_chr1.41340 pep primary_assembly:MPB_Lper_Kyuss_1697:1:253601066:253603875:1 gene:KYUSg_chr1.41340 transcript:KYUSt_chr1.41340 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSDFLLITYSPEIKDGVPLYVSSNCLPVKACNKEPAGHSFHAVALKLRGLGEKEEAETDDRSVSSDDKSQDFSAGSDNFSSKGKKKSGSGSQQQDHYALLGLGNMRFLATEDQIRKSYRDMALKHHPDKQAALLLHETTEAGKQAKKDEIESHFKAIQEAYEVLMDPTKRRIFDSTDEFDDDIPTDCAPQDFFKVFGPAFMRNGRWSVTQPIPSLGDDATPVVDVDQFYNFWYNFKSWREFPHEDEYDLEQAESREHKRWMERQNSKIQEKAKKVEYTRVRNLVDNAYRKDPRIQRRKEEEKAEKQRRKEAKYKAKKLQDEEAARAAEEERKRKEEEAKIAAAAALNQKKLKEKEKKLLRKEKARLRALVAPVVAENHFGLSEDDVESACTSLDMEQLKNLCDSMEDKDTAEKASLLRGALNKEESSSNTSKEQKIHANGVAGSTPKPTAPKVIALSNYEKKERPWGKEEIELLRKAIQKFPKGTSRRWEVVSDFIGTSRSVEEILKATKTVLLQKPDSSKAFDSFLEKRKAAPSIVLPLSTRDDPAAAAVSTLGGGTESSKAAAQPTASSSQAANEKTGGDTVPDEAPSATPSAASSAAPSAADPDAWSEPQVLALVQALKAFPKDANQRWERVAAAVPGKTVMQCKKKATHIAFWRTYGILTSGWRQGLSSPSYQELTGLLATKPAKPTKLLMIEAAAEKR >cds.KYUSt_chr6.4868 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28657338:28660164:-1 gene:KYUSg_chr6.4868 transcript:KYUSt_chr6.4868 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSGGRWRLHLHGQRRSAAAFLAANKTLLAAVWVAGFTLVFLWQSASMFAGGGLLAPPPRPAPRLRPNVYNLTGFGGVGDGRAVNTRAFERAVEAVAALADRGGAQLNVPPGRWLTGPFNLTSHMTLFLAEGAEILAITDEKNWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITGYNGSINGQGEVWWLRHRRRMLKNTRPPLVQLMWSKDIIVANITLLNSPFWHFHPYDCTNVTVSNVTILAPISGAPNTDGIDPDSCQDVLIENCYISVGDDAIAIKSGWDQYGIAYGQSSSNILIRNVTVRSLVSAGISIGSEMSGGVANVTVENVRIWDSRRGVRIKTAIGRGGYIRNISYRNITFDNVRAGIVIKVDYNEHADDGYDRNAFPDITGISFKDIHGQGVRVPVRAHGSNTIPIKDITFQRMSVGISYKKKHIFQCSYIEGRVIGSVFPKPCENLDVYNEHGQLVKRAAMLNSTEVDYDI >cds.KYUSt_chr3.9953 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58565388:58565753:-1 gene:KYUSg_chr3.9953 transcript:KYUSt_chr3.9953 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRKAEYALFDCHACEPDVDESTVEASQKEDDDDDIISDEEYAAIMAESHARVVEKCGEMFARLGLRRRDYDDDDEEEEEEEEEEEEDEDEDEDEDGEEERLEESALGSSNRDGELIAV >cds.KYUSt_chr2.9487 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59809521:59820334:1 gene:KYUSg_chr2.9487 transcript:KYUSt_chr2.9487 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDDTPENENKVASDEDMPPAAVKLEDVVPGNYDEDVAMADAMAASLANKDANWPGREKLTPGSLMKAVIRSGSGDATPADGDQVILHCTTRTMGGIVVNSTRREHGGKGVPLRFVLGRSKMILGFAEGFPTMLKGEIAMFKMEPKIHYAEDDCPVATPDGFPKDDELQFEIEMLDFFKAKVISEDLEVVKKIVDEGKGWETPREPYEVTARITARTADGKDILLSKEVPYFFTLGKSEVPKGLEMGIGSMTRKEKATIYVSSTYLTGSSLMPQLEGLEEVHFEVELVQFTQVRDMLGDGRLIKRRVVDGRGEFPMDCPLHDSLLRVHYKGILLDEPKSVFYDTKIDNDGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGAHVRWEIELLGFEVPKDWTGLNFKEIMEEADKIKNTGNRLFKEGKFELAKAKYEKLLREYNHVHPQDDEEGKIFASSRNSLHLNVAACYQKIGEYRKSIEACNKVLDANPVHVKALYRRGMSYMLGGDFDDAKNDFEKMITVDKSSEPDATAALLKLKQREQEIEKKARKQFKGLFDKKPGEISEVGAESEGAKNVGDAAGSSEAVTSTDRDGSVESSPRAEPDYAFEEERPGIIGRVWPSARRIFSSLGLNRCTIL >cds.KYUSt_chr7.28456 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177555034:177555336:1 gene:KYUSg_chr7.28456 transcript:KYUSt_chr7.28456 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNQEKIEDAAPAAARSVVPDLNLPVPEEKEDENEDAAPSLTLPTPSPEARVLVRRLASAMAARPAGIRAGIWSLAKLGLTDHLGALRLEDPFEGTSRR >cds.KYUSt_chr4.52019 pep primary_assembly:MPB_Lper_Kyuss_1697:4:322909986:322914832:-1 gene:KYUSg_chr4.52019 transcript:KYUSt_chr4.52019 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSGDRRPSPPPPPPPQPQPHATLSYLSPSATPFTVSRPRDAIPDPTPNAPANPSPYPELPTAPSLYDSWVEPPASYMDLEAGATPGFTGFANSDGFLVSGNARNDMYPGNHFGTSMQPLPFATGSSEWMEEKYPGIYQRTSKAPSTDFGSAPSVSPNMFACLDTKPCSTPQPVNQYSPYSAYGNYTSHLPQCSTYPLSYDLSMPSVAASPEVGAATKPLSPTTDGRVLENTFQAPYANPCRLNLDYFDSIQNEQKGHFGYETSYEQYGGRSSSDNGTRIMGSHALSRSGVGENHLLGESSETGRPVQPGTYPLSRHGVGENYILGESSETRRPVQPSSEAKSGLNNLQASCSKVSLSEYSFPQPRELFIESPEVNNPVVDSPCWKGTPTAQQPSFGVVNGEASYFANGSVDPPDLHQSRKLSEFSANNSVLLPKRHDTSNPENDLSVPDYLYYLSAFGLPSGCSKSEGHDDKQPSNVGDVSGMEKSNHSHLSVDQGTRRDNHVTWCKTGDDSGNLVTPGQQGNVFLAENTIEPMLGRNGGSLLVSTSEESAKVSNNVSAAPVAQDLAKLPEENLDGDTKDAQIYKNLWIEAEASTCKLKYELQLARMKLATKNHSQQTATTPTGSLGEAKASNLHKAENSLCTGESDDSSKQQNPVKESHIHNAENSLCTGESDDSSKQQNPVKESHIHNATLPPQRGDADVFARLKVLKLRDESINCFHEANSELLTERSKYNRTGAVDDTVFDNDVDARINSLVEDIIKECPESSSSEGDEADGASTAALNVFLSCNNNTSSLDKDTNIEQPESSESKTHGAFMAKLKDLMSCSDDLSSSSEVNACQLQTASEHESSQFGQLEDGVMARLQVLKRRIDNTSSMEGQEVVYDSDDWVGHFERKPFGCGAHDELIEKTGIFDDAEFRALSDEADSKTTTQYVGSLLEECHVPSAPAEPATVHLHDEQLSHSPSAWEHVLKEDFFLPGKPLK >cds.KYUSt_chr6.16054 pep primary_assembly:MPB_Lper_Kyuss_1697:6:100981884:100982259:-1 gene:KYUSg_chr6.16054 transcript:KYUSt_chr6.16054 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIFPQPFSSGGFGITFKNRPPNSPRMGWCSPSTPRQLLSTISLFAFGAGLLSYGVHLSYVHIEPQRARTLARDQFVRDYLRRKHDK >cds.KYUSt_contig_3976.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000773.1:20014:20340:-1 gene:KYUSg_contig_3976.3 transcript:KYUSt_contig_3976.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHRRKGGRGTDPGEVEMGGRGTDRGEDEMGSTVKPSLHGLEAEGAGGLQGVVLDGASKKNKAQPEPWRAEPRRPRLQADRGAASKGSGVGWVGAGNGRGGWGGAGG >cds.KYUSt_chr5.38145 pep primary_assembly:MPB_Lper_Kyuss_1697:5:241005544:241009542:1 gene:KYUSg_chr5.38145 transcript:KYUSt_chr5.38145 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVKIGPWGGAGGDERNVQAMPRRLVSVTIHSAEGIDGISFTYVGSDYVQYTEGPWGRTLNTESTITIDPTDYVTEISGTFGTAYDNTIVTSLKIATFNDQYPVTYGTPNGTPFHIPVLNGGKVVGFFGRSGDLLDAIGQEKERMMVNEIVSKLTSECWDKCITGAPGSKFSSGETTCITNCALRFLDMSQLIARKLGGSQ >cds.KYUSt_chr3.24610 pep primary_assembly:MPB_Lper_Kyuss_1697:3:152756917:152769272:1 gene:KYUSg_chr3.24610 transcript:KYUSt_chr3.24610 gene_biotype:protein_coding transcript_biotype:protein_coding MHASMTRILYRGEYENLNACPVCSALRYKIRRDDPGDVEGESTPGKRVPAKVMCKPKKRKERQEDTSYDEPKRHIVLSGKRNIVGVEDKTDMSEDYNKFDDIPPFKILPRALAVVHAVEHHDVAAVEHHAVELRAVAVAIVRALAVVHAVEHRAVELLSLSARAGRFERESTFPIERMTSRHSLRGIPNTLDGVEALEKNQRPPDQPMFLQDPQADAAPSQRRSSVGSTHLDGCGGSYPVDYVTEKTDCELYMLFEPRLVKVAVGYVYPSEEGATHHHMPIPPGCVRVGVDEVVPAFESVELDIPRGDDERTLADVKHGFALWPKKYVVLLQRPPTPHSSPHEHQRPSTPPPSSPHEQQSPYLPERDPSMSPPPRDPPRKTAPGKRNGTPPKKRSRKEKPLPPIEKLPWEKTPEENQEAVESQRRAFFAKKEPEIPFEKTLDPVKVYRTIENQYNPEPSPPSDYRRSIERSYDQMMEAKNPTTSSGIREIKGIHQVYQLGEQPVKSVPPLKVFDEKAVQSSRQISTDYAMAKVVYQYVQGKDLVENLSKLPTSMRNLHTCLYNLRALDKSIVSCYCLLKMLECKRDEIKDIGFIDPDTMHVKTIEEPLYNRDTPETLLRFHFILIVIKMYEGEVEVFDSLTKEPIQYKSCFLMLKSVWETFIKEDQSHDWKPKPIWRANKRASSILREGEIDMGRLLRTSNCMGYLLVILALCCGGTGHQRAEASNRLAEHPAHGTKRNRILTTVSVMKPSYPTVTTPTSASYAMPASTPMDPSSMFPSLADANGGGGVAGGGIGGGGAAGGGVGGGGVGGGGAVGGGGGGAVGGGAGGGVGGGGGGTWCVASQSASASALQVALDYACGYSGVDCSAIQTGGSCFNPDTIHDHASYAFNSYYQKNPLPTSCDFGGTATITTTDPSSGSCQYPASSGGAQGNMMPPPSPTTLTPMSPFPMTPTTPMSPFPMTPMTPDTGTTGTPTFGMSPPDFGSSSPPGSGLGSDSPPDYNDVGAAPPTAMGRAALALVSILAATIYLSMAT >cds.KYUSt_scaffold_869.586 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:3733136:3735315:1 gene:KYUSg_scaffold_869.586 transcript:KYUSt_scaffold_869.586 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSGNNTAPAAAAASKSKSSAQAAAAPSAHGHQPSPATPAVAKSKSSSQAAAGAHSSPATPAASKSKSSAHAAASGQASSSSHHHHIPGGAEAAASSLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDMRKLHRATELLSMNEELKQARKAFDVNEETLVVTNE >cds.KYUSt_chr3.39789 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250717969:250721300:-1 gene:KYUSg_chr3.39789 transcript:KYUSt_chr3.39789 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVSGETDAMPEADALEFTPTWIVAGVCSLIVVISLAVERFLHYIGKVTSSSSPYVVLVSPGSCCSDLFCTNAHIQTLKKKNQKALFEALLKVKEELMLLGFISLLLTVSQGVIQRTCIPPSWTNYMLPCKKMDAHTVTAKVLALGVRRLLSERGPRSEHCQNKGKVPLLSPDALHQLHIFIFVLAITHVILSAVTMFLGGEKIRQWKRWEDEIEKNAGTGSKKLTHVQQFEFIRENFNGVGKESMILSWMHSFAKQFYASVTKSDYTTMRLGFIMTHCRGNPKFHFHRYMVRALEADFKKVVGIRWYLWIFVVIFMLLNVNGLHTYFWISFIPLILLLAVGTKLEHVIAQLAHEVAEKHSAIEGDLVVNPSDEHFWCARPRVILYLIHFILFQNAFEIALFFWMLTTYGFNSCIMDHVPLIVPRLVIGVVIQLLCSYSTLPLYAIVTQMGTFFKKEIFDEHIQQGLVGWAQKAKRRTESIKDGAGGGTHGPSSGLEMLRRAAAAIQGSRAPQR >cds.KYUSt_contig_1539.3219 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:13232337:13241043:-1 gene:KYUSg_contig_1539.3219 transcript:KYUSt_contig_1539.3219 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRVMAKWTSGKASQMVVDVMTAKGIAVVEADGVEEEASVATFIPLNYDRFGIGHPHNADGQQGMLDVQKAASIVDASPIQCTGAKECPYYMRTGSCKFATNCRFHHPDPTNVASTDSMLEHENGDIPQKNIQGSSQLNVSIWHADQRALNEHHAPFLAPAPSYSMGMIPPQGMSHWARITLLVSLFILFQLLLLIILCTGEQMYLDISKFLPMNTLRDLANLNVNILLKVGFASSGESASITIQAYLCLHNQQELLALSACHSNLYKAHQDPGEDETPCSREGEEQRNLEMNMTPKPTSPEERQGREGRWPVHDPVRPAHDRTPRPRARYIGQETSHGVQANGERAGNDEDGAPAHRPELPPSRTGTSGQIPPRCCQDAVQRPEKWNQPELPPPGTGTSAHPELPPKFRPSSESPSKRPWMLLQGNGLISELDRNLAGTSGRAGTSAFQDRNFHP >cds.KYUSt_chr5.41304 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260622499:260626992:-1 gene:KYUSg_chr5.41304 transcript:KYUSt_chr5.41304 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFLGSLAPNYVPPNPAPRVDPQTIGGFNFPFHVPGDGTRVGDFTLRGTVPEFLGSLAPNYVPPNPAPRMDPQTIGGFNFPFHVPGDGTKVGDFTLRGTVPEFYASYTPQPPPPGCEEKAQHVPGPSAAYNQQQNVPSSSLMPPGLPTYGGSFSSAYSPPPYHQLPPWLRPPGFLSPADLLGRPLVPSLGPPFQHRKQYEWGMDFYIRVDRAGCYHTYPNIGGPYEGLHEAENAIERYLDDRRHPEMFAKDDISAVDITVRHYLYLPDGRKRSRMEPINKISDNQRQLDLAYELTEVVCYKSFYEGDLRVWYHHINFTAKAKGAVGCKLFFAEVIFMQGELPVSCLCLVTPSDDGHCFSCSNNGNDLRHPKDVRYAGGHVMQTFRAFDGVKVTPGQCDDGQCDNDILQWEAKEKAREAKFRKTLEGCDWSKPPFVTGGLANVYTTTGTPVWKGGCWRMEDAV >cds.KYUSt_chr7.27032 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168961758:168962425:-1 gene:KYUSg_chr7.27032 transcript:KYUSt_chr7.27032 gene_biotype:protein_coding transcript_biotype:protein_coding MERHDYFLLVTTATCAALAVASFLILIVPSPHYVIPVELFQFMVASTGAQMMWCLMAIPVHICILLGWTAPQELFSYKSVVIHWILTAESLVWASVGVGLVHSQHFARSSCAGLSGAVCRTRWELSSWLALVMAALSIVDALVLRWATSHTHGAAQACSV >cds.KYUSt_chr7.31302 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194915147:194918680:-1 gene:KYUSg_chr7.31302 transcript:KYUSt_chr7.31302 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEATAMDGTKSKKKGGLRTMPYIFANEVAEKLAVVGFSTNMLIYLTQQMHMPLAKAATTLTNFGGTSAMTPLIGAYLADAVIGRFWTIAGASLVYQVGMALLTVSAALPQFRPAPCDTAIAGGSTCEQALPWQLAVLYFCLFLNSVGAGGYRPCIVAFGADQFDESESAERARTWGFFNWYYFCNGASMLVAVTAVVYVQDNVGWGWGLGVPAACMGISLAAFVAGYPMYRRLQPVGSPFTRLAQVVVAAVRKRRVDMAGGETGRLYENDEMDAPISMYGKLVHTSQLRFFDRAAIITDGDLLMAEATSGKQPPAPVPNMWRLSTVHRVEELKSVIRMGPIWAAGILVITASSQQGTFSLQQASTMDRRVVPHLSSFQIPAGSMTVFSLLPMLITLFVYDRALVPLARRYTGLDRGISFLHRMGIGFTISVAASLVAGFVERHRREAAAAGGTTDAGTAPLSAYWLVPQYALHGIAEAFNSVGHLEFMYDQAPESMRSTATALFWLSISLGSYVSTLLITVVHRWSAGPDGSNWLPDNINHGKLDYFYWVVTLLQVMNLVYYLICARQYKFKPVQHHKAGEGDDKSMVELQENV >cds.KYUSt_chr5.36409 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230104814:230105140:1 gene:KYUSg_chr5.36409 transcript:KYUSt_chr5.36409 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQEPAQRSTGQRPTTSAVIQDTPSSAFHTPSSKLRAYPSASYPYPHPADTLRQRPLLACTHRPCRRAAHSRSSPRLSTVHAPPRRRVSAGLDSLWYDVAPIAEQPT >cds.KYUSt_chr3.13585 pep primary_assembly:MPB_Lper_Kyuss_1697:3:81966406:81972567:1 gene:KYUSg_chr3.13585 transcript:KYUSt_chr3.13585 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSNAKKKSKKKKRPSPPATEGAPPPPPAGSPRSPLSETLTLAAAAAASEAESGSSSGGDVSAYSRSFTPSSSSSGAASTSSFYAPSSSSSSSAAGDERRDLAWLLDAFGSATIDQVETAYRDAGADPFLAAGILASTHPPPPPPPPPDLSPHTGSAARKPARRPRKLPVAASGMVADVIGKGYSRPATPPVSVPSGRKGTASNGWNDVRNGCGPGADPAYNVEEAEQFLCSMLGDSSELNMGVVRDVLGQYGYDVEKALDALLDISGMGWCTNAEDNSTRSPDIFPGYGIYEEISSAMIDQSSCQFPEETPGMSYNHFGIQHELFWGEQRSSYMEAVCEVQHPPTPPSRSAVVDSKMPQQVLESLFKVPGQVQRTHDPSKMDWKKIVKKLQSYNHPITANNQGRPKNGDGYQEFRGVSASHYDKMKGYYQKAALAYSKGEKSYASYLAEEGKHYRELGREEDEKASREIFEARNKHITNTVTIDLHGQHVKQAMKLLKVHMLVCVCMPSTLLRVITGCGSEGTGKGKIKRSVIELVEKEGIEWHEGNSGTIVLRLGGPREYRFLEHDSDSD >cds.KYUSt_chr5.4175 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26735269:26735958:1 gene:KYUSg_chr5.4175 transcript:KYUSt_chr5.4175 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLFRSVLPLGAGRPSLLIFVELPRWEIHGGTAKVGDPKNKSDGQRRSSGCVAVIPLLAGGGGEGKWRSCSVSRSAKVWHGGGLGLSRSFLSVSASSAGIGGFWFFRSRPWWATAEAGFLQSSLNKVEACGYQSMQRCYCVFLSLPTDRGGEGRRRCARFSADGKWEAIFLSTSKTTPWPIQLPVMVSGESTSFARPFLRFAVAYYGCVEASGSVPASSHNGGVADL >cds.KYUSt_chr3.1035 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5517640:5520131:-1 gene:KYUSg_chr3.1035 transcript:KYUSt_chr3.1035 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGSIHVTIARSTWEVLPELHCDSRFGPSDGYGRDILPSIGGDDDGPAYRVLLIGADKHKSQYNLHAFAAGEASWSAPVMCFDMMDRQIWSMEESHAVVCGGQAHWLFVSRSNHFHVLNVDINTNQVSLTELLLPTQQEFVPKDLVDSVKRGGGASLREVIMRALRDLACFDLLATTADGARLSLLVYRGGRLEVWTEQQMIDGDGHHRKFGGETEWLYTREIDHKLTELLQQLDRPSCFWSGEKGDTALVHCEERIYVAYLDTAALQDVTEHFDDLPRGMILPMEIDWPTFFMLRLGSLSTE >cds.KYUSt_chr5.19859 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128824078:128828395:-1 gene:KYUSg_chr5.19859 transcript:KYUSt_chr5.19859 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLCRWIPLVRLLRPLQTVAVASTTTLDSSAAQEMVAAARLLARASRQGATAVAASAARRWLCGAAAAAAQQPFASARCSARPLRYSTNIFQRFGFSSSTPQENDKEVNQPKDQESTAQEINAEASKEDSGSSGGAEEVDLSKEDLVKLVLEKDELLTSKDEEIEDMKDKVLRSYAEMENVISRTKRESENAKKFAVQNFSKSLLDVADNLARASSAVKESFSKLDTSEDSSGAVPLLKTLLEGVDMTDKQLGEVFKKFGVEKFDPLNEKFDPERHYAIFQMPDASKPSGTVAAVVKVGYMLHDRVLRPAEVGVTEGGPSEEDEPEQKSSGD >cds.KYUSt_chr5.38118 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240819563:240820411:-1 gene:KYUSg_chr5.38118 transcript:KYUSt_chr5.38118 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFAHLKITVLLSRFVNELCKAKLRHRNLVQLRGWCTDHGEMLVVYDHSPGNLLRNLVLHSDSETQSWRHEYGIIRALASAVLYLHEEWDEQVIHRNITSAALFLDPNRSPRLGSFALAEFLSRNEHSHHVVVPTGSGAARGIFGYMSPEYMETSEASTMANVYSFGVVVLEVVTGAMAVDVRSPEVLLVRKVQLCQEQDRDVVVLADRRLDDRYDGQELVRLAKLGIACTRSDPVTRPSMRKIVSILDGNGEVLQKFERRTESREEWERKNAAPRRAPSC >cds.KYUSt_chr4.11074 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67248898:67254065:-1 gene:KYUSg_chr4.11074 transcript:KYUSt_chr4.11074 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVGSCYGPRVARGAARQAGAHRRTRVVCRSLCGLVYFLCTRYDDGLSEHDAIRRLLDVDVDLHMDGRARYYLEYVDINMTFDPNAPFSLDSAPRGSGAFHFPINLNL >cds.KYUSt_chr5.42990 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270984653:270985422:-1 gene:KYUSg_chr5.42990 transcript:KYUSt_chr5.42990 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRLPKKSDNTKYYEILGVPKTAAQDNLKKAYRNATIKNHPDKGGDPRITAKGHNRLCGAENTREKRALRQAEIRRGNSLPEEEIDAIVTTIELDFIGIIITIISTTVTVISTTAPRHRCNI >cds.KYUSt_chr4.13675 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84301810:84307287:1 gene:KYUSg_chr4.13675 transcript:KYUSt_chr4.13675 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWLQTKGSREHTNSIVSKPCAPNILQRKKERPAEKRGARTAKQRRRAAAMWRLARKAVTTALALGVGGGAATIATSEDPAKALKICTHLPPRLLRDSVAAATIAFDYQYSLWGLDPGSKAWQDAKHDTHLRSANLLQDLCFRNGGIYIKLGQHIAQLEYVVPEEYVQTMRESMLKRCPVSSLEQVRGVFKKDIGELPEKVFAEFDPVPIASASLAQVHVATTHDGKKVAVKVQHDHLTDTSVIDIATVDLVVNALHYIFPTFDYRWLVDEIRESAPKELDFLCEAENSARCLINFRKLSPHIANSIYIPKVYLNLSSSRILTMEYMDAMEVTDVKGIKDAGIRPVDVSNLVNKAFAEMIFKHGFVHCDPHSANMMIRPLPQDSKKWFGWKRPQLILLDHGLYRELDNSTRINYASLWKALVLSDEKAIKEYSVKLGAGEDLHAFFAGVLTMKPWSSVIDPSVGHLILDGNNTDRSEVQMYASLYFPQISELLRRLPRVILLMLKTNDCLRAVNHALVGGSPLESFETIARVSCEAVFEAKRVERTFFLHRFIIWLEEVLMEVRLFLYFKMGPPFLSTKEAIARNSSLDSLNIGKCTGYHNRDL >cds.KYUSt_chr1.34515 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210053642:210055072:1 gene:KYUSg_chr1.34515 transcript:KYUSt_chr1.34515 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHNLGSNSPLKIRRNRAPIQAAATTDDTRRNHTAPPPDPQRRGQSPPRIDEGRDEGQKLQEPVIVSATGRRRLAMIEAGVEPGGGGLGLVDDHLHGEGLELELHDVAVMQIILVDRMRLVPPKTTWPPWSVTCTHSSHKRHADKGTLCGSAILIHSRNACWNHGGTTSMWMSFVDSFMTNRRDIIALAMCPSFGWLPRVGGGISTTSTLPGIFDISALVQWFMAMWSW >cds.KYUSt_chr5.8661 pep primary_assembly:MPB_Lper_Kyuss_1697:5:54852595:54853026:-1 gene:KYUSg_chr5.8661 transcript:KYUSt_chr5.8661 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGDASPPAAVVDVAVRAASNAGAVSAMISATIPSKRKRIPKQFFEAPAAAAAAAPAAASPAIAPPTTKRGGRLKTKAAGPRGVAPAKVRTKAISRIGLAPPPPSKATPSPPSVPSDAPPAPPPSTMDVDKVFDV >cds.KYUSt_chr3.42878 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270923007:270927977:-1 gene:KYUSg_chr3.42878 transcript:KYUSt_chr3.42878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable esterase PIR7A [Source: Projected from Oryza sativa (Os01g0934900)] MEGSSSGKHFILVHGICHGAWCWYKLVPMLRAAGHRVTALDLAASGAHPARMDEVSSFEDYSRPLLDAVAGAGEVERLVLVGHSLGGLSVALATERFPRKVAVAVFLTAVMPCAGTRLGFTLEEILGRIKPDFFMDSKRVVLNTDQGPRAAVVLGPELLAAKLYERSSAKDLALATMLMRVGRQFVDDPIMQDKTLLTEVNYGSVKKVFVVVKADACMSEEMQRWMVDLSPGTKAEEIAGADHMAMCSRPKELCDVLLRIANKYG >cds.KYUSt_chr2.8718 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54797415:54798005:1 gene:KYUSg_chr2.8718 transcript:KYUSt_chr2.8718 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANEHGKLAPLAEVPAEDSWDSSEEEDDESGSDMEFGGEEDDESGSDMEFGEFDLIGARKLLDQQTVAGYEDDDEDEDECGAQFSVRPFRGGALAQEMGNLQLSGFATRSDGPEITDQHELTSYDMRHLVHLALEGGGNMEDDEAYQRWPAARPCLVSRAPPWSGRRCSPRTSTNSSGPSRQARSFRIPMRTGF >cds.KYUSt_chr2.53898 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336415850:336418317:1 gene:KYUSg_chr2.53898 transcript:KYUSt_chr2.53898 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQRVHTKMEMDAGKHKPRGYTMSSTKRLPYLLLLLMAIGAATLSVGVLHKMRERRVFSILLQEREQQLMSLQALLENEKEISKEMRRKVDELQAKTSILSIERTELKNKVMNSETTTTYLTNTQKELEAALVEKENHINQMKEIVATSGPDQMVAIKELLQRKEDELEEIKTKFQDTKKPDTEVSGNAVLGTNNEDAASDTVALENSARSGDTKPAPTEEHQFYNTTASESNHQEERIVVNTNNEDVNPDTVILEEKPNSSDSIPAPVEELHSYNTTASESSHQDERIIDGTNSKDVTPDTVVLEEKTNPSGSIPAQAEELQSYSTTASGNNHQEDSSSEEQFIKFTTNFEDDALQAKAGDANQGDSDAPPNDVLQEKTGDANLGDLDAPPNNVLQVKTGDANQEDSDAPPKGTRLEESELHHLANSQEVDKEEQDDARKLVDPQGEVSNRSSESKLLEKEDGRVDREPEKEIKPDGDSKISKDSLSEANQDITQVVETMSVPADVNPSMPTNKDETKETSRRHRKRKSRSKRKKRADVAASNVDGEVTKER >cds.KYUSt_chr7.23974 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149365261:149366634:1 gene:KYUSg_chr7.23974 transcript:KYUSt_chr7.23974 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALATIPDELLEEIFLRLPTPADVACTSAACTSFRRVIKGHAFRRRLRSLHLPPLLGFLDAAGFHPAEAPHPSAPLAGALAPCAADYSFVPPVVSSSSYESFELDTDAVPRWRPRDGRVLLDWISRHPRVVHMWSYPEDGSPEDEGGSDISILMNCTEVVDYHAGARPTWTKRERCNAADFHLAVCDPLFRRYVLLPTIPEDLAAHPQERLFEFEPMLAPTTASGGEDESFKVICIARYETKLAIFVFTSTTRQWRLGICRNLSPLGNLSCFDCVRGCFYWTERRGWSDDLLVLDTHTMTFSGVDLLTGYHVQLRDLPDEDIAPRRPSAVVVGREGVLEMFSLVCQHGSFSLHHTSLKDNSHEWKLEKIIPLPDLYRDYSICSVGGAEGFLFFRGAPEGIINNVGINNENVDCYSLNVKTYEITKVCSKMEIFFNRKRALPYFSFPPLLLEPTI >cds.KYUSt_chr2.54334 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338983079:338988608:-1 gene:KYUSg_chr2.54334 transcript:KYUSt_chr2.54334 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQRAALLRESLGRSQAATDAVVSILGSFDSRLSALDAAMRPIQLRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQSFLDAVDRLRSIERFFSSNRSYSSSDRVLSHVNALLSKALAKMEGEFQNQLSQRSKPMEPDRLFDCLPSTPRPSAESRAEGGKHQPAGADGQEAAAYSPPALIEPKFVPLLAKLAQQLVQAGCQQQCAEIYSYQSTLKQLFQEFKREDGTGSELASATMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSVPRIYWAMTGFKGIKDCTAKTQINIEGLPGQRPLIENSKAPGKYVKHTPEQLEVFLGNLFEGKQERV >cds.KYUSt_contig_786.94 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:573424:576056:1 gene:KYUSg_contig_786.94 transcript:KYUSt_contig_786.94 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVATSSTAALALLRSAPSTSIFGPWAAQVRRSLLAPPLRAAPAANGSTVKMMESKVKSKKSKKGAGGGGLPAAIDLEIREAEQYLATDVQEPTPEDFPFEIVDEEGMSVVILKKDYKDEKIEVIVSMPNMDAEPEFDEDDDEDAAKDDDDEDEGSEDSSLSMKVVVSKGSGPKLEFTCTAFREEITIDEMLISEEAESDAEKFPFEGPEFTELPPNVQKGLFKFLELRGVTLKTTNFMHDYMVTKQTKEYVRWMTKLKDLVRQ >cds.KYUSt_chr4.20414 pep primary_assembly:MPB_Lper_Kyuss_1697:4:128472469:128478245:1 gene:KYUSg_chr4.20414 transcript:KYUSt_chr4.20414 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLAGPAQPKRVIFDHLVLIWESRTSFSSTREEERGEQTMATAKGGGGQKGLLWRLPEVTSKELGKIGPAFGLGIGCGAGAGIGFFGGAGLGYGFPGLTIGFGVGAGCGVGLGFGYGLGKGIAYDETKRHSNVGKMFREAPKFPTDTVAGLFDELVNFGSEAMAALAPTKMLGTRLNFAGSSTYATAAPKAQKIVSLFDRFKSKPAPKAKPAPVASTAVGIDDELAKWYGPDRRIYLPNGLLDRDEVPEYLNGEVPGDYGYDPFGLGKKPEDFAKYQAFELIHARWAMLGAAGFIIPEALNKYGANCGPEAVWFKTGALLLDGNTLNYFGSSIPINLIVAVAAEVVLVGGAEYYRITNGLDFDDKLHPGGPFDPLGLATDPDQAALLKVKEIKNGRLAMFSMLGFFIQAYVTGQGPYENLCAHLSDPFGNNLLTVISGAAERTPSL >cds.KYUSt_chr1.36907 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225147707:225148973:-1 gene:KYUSg_chr1.36907 transcript:KYUSt_chr1.36907 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRSRPFAATAATAAAASTSVTTAAAANGMEEATAGLLRTRVRTIGSGPDAHTAAVYAASAELRPVLFECWLANDIAAGGQLTTATDVGNFPGFPDGILGIDLMDRCRAQSVRIGTKIFSETATPVDFSARPFRVSSDDTVVHADSIIVATGAVARRLHFAGSDAFWNRGISVCVVVWDSEVVEAYGGSDGGPLVGVKVKNLVSGEVSDIQVAGLFSVDSSSSTPRATWTPSWAPRTPVGVFAAGDVQDKKYHQAITAAGSEQERQRLKMVLS >cds.KYUSt_chr3.42301 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267377642:267379968:-1 gene:KYUSg_chr3.42301 transcript:KYUSt_chr3.42301 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWGLAAIAVLVAATALFVAAEAQQGHQTERISGSAGDVLDDDPVGKLKVFIYDLPGKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTSNPEEADWFYTPVYPTCDLTPSGLPLPFKSPRMMRSAIELIATKWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPFAPPQKMQNHLIPGDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVSEEDVPRLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQPKDAFHQILNGLARKLPHGENVFLKPGERVLNWTAGPVGDLKPW >cds.KYUSt_chr5.41046 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259002947:259007643:1 gene:KYUSg_chr5.41046 transcript:KYUSt_chr5.41046 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGILTEAEKQQKEEQQGTNLLATVSFREFKFWFHDIPSREQSEGKLEDSLGYMEDDSCGQVYYCDDELMTACIEPLSWQAFVPAIVKFSIRKQYQWRRSQQLTCSSVEEGHEDQGRDLLHTITPDLVFIIDDKSHKVFTRAPSCEEASPSGSRDLGEAEWQQ >cds.KYUSt_chr3.38277 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241096353:241097243:-1 gene:KYUSg_chr3.38277 transcript:KYUSt_chr3.38277 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPPITSAPAPAMASKPKPKPAAVASAAPAPARATAPLKKPSLAPQPMKKPPLAHAQVPPLKPPPAHQFRQQQQQQGGRAPGKRQYRHHRGSSCSCRRVCCVATGLVLLACCLAFAAACLAYLYYHPRPPSFHLQPVSTTRFRVGNSTAVSAMDVTVGIKVVSWNPNDKVAFEYGDGEGRAALADSDGDVALGWAPVGGFEHAPRSVAAVGFVAAAKGVVVDEAVAGRMRDRFRRRQLAFKVVVDTHIGVRVGALRTGMVPVRLSCDSGIMAPRGVPAGTPMSKCQVYLFRMRW >cds.KYUSt_chr2.45881 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286371613:286371984:1 gene:KYUSg_chr2.45881 transcript:KYUSt_chr2.45881 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVYKKAKPGRLVFKGGEAATLRKPKKQKKNKKPAADLPADADPEAAAGEAAAPTEGAEGGGAGGDDYTIDAAKRMKYEEIFPVETRKFGYDPSNAVRASRDRTVEQALDDRVRKKADRYCK >cds.KYUSt_chr2.10411 pep primary_assembly:MPB_Lper_Kyuss_1697:2:65934074:65935286:-1 gene:KYUSg_chr2.10411 transcript:KYUSt_chr2.10411 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPKECLTSITGLMPCKDFLTNKTAPPPPYPGKCCDGLKSLLKDTPICLCHLDDGGFDQSHGRNSIKKGQKQDEAMAPGVSSVKISSVNWIMTTPSDRHPREAVGALLQHDLDRLSNSQDPWPPSPCPAARNFP >cds.KYUSt_chr7.29355 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182681955:182685514:1 gene:KYUSg_chr7.29355 transcript:KYUSt_chr7.29355 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTRCRADVASSLLATPWRLPTPSWGATLKIKPTAHRVVEAADAARRDVVAVAELWSHTDDDDGCRSRVDGHGLSCAVVAVVMKRGGDIALLFEIHATKKAAVASSPSQVETMHIAEFREEAELWVATNVVPFLPATRITHVLAGSDVLMTSSSGDARYSLVPAMLNLHTALVAAQLDGRVRVSTALSGVSLASAPSAVTGRLLRSMRDTGSQLFLTAARPSEATDARVNDAYAVMRVLGFSGIPVIAAEAGEELGGGAKLVYHSYSYMYGGRRGESARRSLATGTFCVALQNADPTALQAGLSWACEQGHADCSTTTSITTWRRWPPRPTMTSTRRTPTPALPAPSMAPLPPQARPDPSKQPFRFG >cds.KYUSt_chr2.34009 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210230548:210230921:-1 gene:KYUSg_chr2.34009 transcript:KYUSt_chr2.34009 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPFTTLLALSRRGYAASAGAEVQKRAPAMAGRVSGAEGGAGQASSGGDIFWMRDPKTGNWIPENRFADIDAAELRAQLLSRKA >cds.KYUSt_chr4.25911 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162957643:162958557:-1 gene:KYUSg_chr4.25911 transcript:KYUSt_chr4.25911 gene_biotype:protein_coding transcript_biotype:protein_coding MALARRRPACLLALLSILAASFLAAPAAAAGKTGQVTVFWGRNKDEGSLREACDSGRYTMVTMSFLDVFGHGKKYHLDLSGHDLNAIGADIKHCQFIGVPVSISVGGYGTGYSLPSNRSALDLFDHLWNSYFGGSKPGVPRPFGDAWLDGVDLFLEHGTPADRYDVLALELAKHNIRGGPGKPLHLTATVRCAYPPAAHVGRALATGIFERVHVRIYEGDDKACNVYGAWQDAWDKWTAAYPATRFYIGLTADQNSYQWIHPKNVYYGVAPVVQKKDNYGGIMLWDRYFDKKSDDYGSLIKSYA >cds.KYUSt_chr6.25050 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158707219:158710270:1 gene:KYUSg_chr6.25050 transcript:KYUSt_chr6.25050 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQGGWRRRGSISSLPGGHASNLLRKVTPPRSPLASSLRAPLAGSLRSSQGGRRRRASFSSKLVVVPAACTLQPSPAGLLLDEKAWTQGRSMSPPLPDPSLAIVPVDEATPQAHPGFCNTLQCLDLFLDELLMVIELLYLSYVKDQLAGGELYKLVSKRKKVPLSAKAAWRYNRMRREDIFLKPLIKMRQRFPRTREHDKTNEAQYGVIDLNLSPPHQMHEHQRCLDGVIDLNLIPPHQMHEQQRCLDGVIDLNLSPPHQMQEQERLEHERGKHDVPDLPDEMRLEHERGKNDVPDLPDRVRLEYERGKDDVPDLPDEVRLEHERGRELRDDERFAAYFALEVIRRRDRGFQTGDK >cds.KYUSt_chr1.932 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4934048:4935252:-1 gene:KYUSg_chr1.932 transcript:KYUSt_chr1.932 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDYQQQAAFDEWQQQQAPFMGGAGYTQGYTQHTASNPSWGASDQDPEHMDYYSTQQNYVGMQTPPPEPTQETQYDPESGSWIPARITRAPDRVSIGHGCPPFLYMCIHGSDGRPWLNLNRTLHAQVAGHGRDIDPEVEGRRHTAFGGRDSLIELENLGTEYIEELLCL >cds.KYUSt_chr6.26900 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170538107:170542778:1 gene:KYUSg_chr6.26900 transcript:KYUSt_chr6.26900 gene_biotype:protein_coding transcript_biotype:protein_coding MPMWSSPASARGAWWMVMSSLLLLVLPWSEPRRGSGELRPVLNNLAGLGPLSLLPSDLDGRDGLEAEMEQLWARSSLSWPWSSSFCVDFTVIFDIAAILGQKGGPSSTSNAEALARIQRRSSTPPGGQVVRPRVLGGGRCVDCFAGVEFSSYLLSELGGIASSSPATGGRAVNLLFQLEDAVSLATQLPTTRRLSGARLILFPTYTASLFQREGATAPMGVDGDAPMGVDAAASFTSVKDEPAASDGPPPAGVGVLRQRIQRRVERHGRDRRHAGGGDNAGSRRGAALLGVPGAAVAEHAQKRSRSVPAIAALAATLWLLVGDSYGRPNGAAGQGELNDTASVTDGEQYSKSREMRAAPEQSLELDEPAVDAVSR >cds.KYUSt_chr7.22145 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137113865:137115292:-1 gene:KYUSg_chr7.22145 transcript:KYUSt_chr7.22145 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKHMKPTGFEDITSDRISSLPDEILLHIMSFMTAREAVQTCVLSTRWRYIWLCLRCLNTEICQFTSKKIFVRFMDNILLRRGFVPLDSFSLIGWRDDVSLNHPRTNLWVCHAIRSNVRVLQIFEYHGLFDLDHSFFISSHLKILNLRCVSTTALFIEKLFSGCPVLEELSMVDCRVFATKFSSSTLKKLTFISHTPHGEDCVHDDFEDLVIDTPSLVSLHLEDLPLLNPCLVNVSSVVNASFRLDEESFASSDVNCNIISALSNVTKLKLYFGLHNIGASGLPLKTDNLESKATNISFNCEHLKKVKIRCPRGDKRAQNIVNVILANAISPPEIVIDQHTPWE >cds.KYUSt_chr7.39800 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247317143:247318492:1 gene:KYUSg_chr7.39800 transcript:KYUSt_chr7.39800 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQELAGAIGKPDVPIQYVVREYNEQQLEAAVTAPVPVIDLSRLFEQDGDEAAKLRSGLDSWGLILVSNHGVDAAVMDGMRAASREFFRRPLEEKQRYTNLIDGEQFQFEGYGNDHVRSPDQILDWTDRIYLKVEPEDERSIALWPAHPETFRNALDGFTKECGGVKDSLLRAMAKLLELDDDDYFIDQLGDRASTYARCSYYPECPRPELVFGLKPHCDGTVVTVLMVDDTVGGLQVLRDGVWWDVPVVPHALLVIIGDQTQIMSNGIFKSPVHRVLTNAKKERISVALDYSVDPEREIEPSAQLVNEERPALYRKVKVKDYTASLYDHFSKGEMVINKIHT >cds.KYUSt_chr4.16427 pep primary_assembly:MPB_Lper_Kyuss_1697:4:102145069:102147909:1 gene:KYUSg_chr4.16427 transcript:KYUSt_chr4.16427 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGSIYEEYLRVEQDKYLAKCSHVEYKRLKKVLKRCRVDRSLQEDVTNGDQLLDGTDASSDICECNSCTLCDQMFFTELNKEASDIAGCFSSRVQRLLHLHVPSGLQRYIWRVRQCFIDDQQVMVQEGRMLINYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTEHIELLQSPWLIELGAFHLNCDSLDVDEPVGFFKNGFFKNFSCDLAGTQPVMTMAISETIKYEYNLTCPICLDTLFNPYALSCGHLFCKGCACGAASVYIFQGVKTAPPEAKCPVCRAVGVFAHAVHMNELDLLIKTRCKDYWRCRMREERTEMVKESKEYWESQAMLSMGI >cds.KYUSt_chr7.21588 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133871178:133873755:1 gene:KYUSg_chr7.21588 transcript:KYUSt_chr7.21588 gene_biotype:protein_coding transcript_biotype:protein_coding MALDQLEAQARFVGVAAGNAGLELDDHQSRWVSLVRRRMESAAEELGAVAKVFDVPKLLRATKPEAYTPQHFAIGPYHYQRPELKDMERYKLAAAKRAEKLFAGDRKFDDLVQKFVGFKERIRAPYHRFLELNEQTLAWMMAIDTCFLLDFLESYHVEEATDMVSSATNWINVMVRDAMMLENQIPLFLFAGALELRHASEEVVADAMHSVLDRFIREVCPIKTTALAIAGDVAKYAHLLELLYHFLVPASEVFAEDTAAELPPLFPEELLSMDALEQQIPDYDKVKQACMQVSSLNIAPVRFIKKNLISKPLSAASSLPGKIMSKVPLLAVLAPMLGKLMASTDVEARLKGVNLGSIVNSPLVQEIMIPSVEQLAGCGVRFVPAPEGMAGIAFDAATATLTLPVVRLDGNTEVILRNLVAYETAAVRGPLVLARYTELMNGIIDSDKDVKILRESGIIFNGMKSDKEAADMWNGMCRAVRPSKVPLMDGVIREVNAYRNRKASVKAKRLLKRYVFQSWKILTLLAAIVLLLMTALQTFCSLYDCKQWFGGILQLPQLPAATGGGQ >cds.KYUSt_chr4.48255 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298816904:298819864:1 gene:KYUSg_chr4.48255 transcript:KYUSt_chr4.48255 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQTLNPASVSRTPLPRRHPPLPFPHLPPRRRLAGGAVRPRAAVAVAVSGAVNDEARWRPPPGAREGKDTDLATLGNLCVDVVLSVPCLPPAQRDERLAYMEGLAASPPDQKYWEAGGNCNLAFAAARLGLRCSTLGHVGEEVYGKFLLDVLQAEGISVVGMLENTDATACRHAYETLLCWVLVDPFQRHGFCSRADFSKEPAFSWIQKLPAETKTAIHHSKILFSNGYAFDEFSPDVIASAIDCAIDAGTSVFFDPGPRGRSLLNGNLDEHRALEHALRLSDVLLLTSDEAESLTNIQNPIEAGQELLRRGIRTKWVVIKMGSKGSIMITESAVSCAPSFKIRVVDTVGCGDSFTAAIAFGFLHNLPAISTLALANAVGAATATGYGAGRNVAHLDKVLHLLRESDINEEETTWTELMEGCSACPEVSVLSKTPINGVSKPFVNLVPVCGVVTDLLSMLEVAPERSVVQA >cds.KYUSt_chr3.35500 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222933338:222956848:1 gene:KYUSg_chr3.35500 transcript:KYUSt_chr3.35500 gene_biotype:protein_coding transcript_biotype:protein_coding LRSLSNPREIAQNGDILRIFLMACNVKSVKLSVIGLSCLQKLISHDAVASSALKEILATLRDHAEMTDESLQLKTLQTMLIVFQSHLRPESEEDMSQALGICLHLLESSRSSDSVRNTAAATFRQAVALVFDNVVLAESLPTGKASPARLSSRASSVANNLTRSFGQTLSQGFNYGELTMREGLTDVGKLGLRLLEDLTALAAGGSARWLHAQSLHRTFALDILEFVLSTYVPVFRTLLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCIEARTLRSLFQTFDINPTNTNVVENIVKALALVVATVQASDSSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDAGELESPRCEVDRMECSGQSALLCVAMVNSTWLTILDSLSLILMRSQGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPSEGEKKSSILLSPGSKKLETLMDQRDSIVLTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRTIHAPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLFESSSLMNVTAVKSLLSALHQLSSQHISGNSQLSGQQIGSIAFSVEHMTSILVNNLHRVEPIWDQIAAHHLELANCSNPQLRSMALDSLDHSICSVVGSENFQGISSASHQFKEYQLAEESETRSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRTVTDASEKDTISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGAQKTDINISLTAVGLLWTATDFIVKGLIGKSVQRANHMNEEEVQSGLSMKEGDTPTNEKDVNQNPLQQLVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLCTHGQKLSPTMWEDCLWIYVFPMLEHVSHLASTSSKDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLLLGGIARLLRSFFPLLQQLNKFSTGWEILLAFLKNSILNGSKEVALAAINCLQTFVGSNCPKGNLESSYVQSVLDIYELVLQTSPNYKSDSAEKVKQEVLRGLGDLYVQAQSLFNDDMYLRLMAIMHLMIKSSMNSIDYDSELGSIPPVQRGILEIIPMLHPTTMLSSMWSPLLLELLCYLNGEESPLHKSSKEVRGQNTDALANGTKRASVERGHINGGGTKGDTMVGCGWGILFVEKLVPIMANLFLEAPPHERFSAAPEIIQGLGRCMNTRRDNPRGTLWRVSAECFNRVVTDEVRQENAECRSDVNSYKLSRARFWKEVADVYETFLVGSCGRVLSSDVPSADSVTADESLEMTVLTVFGDCVLKQQKEAPVEVVQMFVLFQIFSGLFADDVFFMQLYIEDKLIPGSIRNQ >cds.KYUSt_chr7.29660 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184582485:184589034:-1 gene:KYUSg_chr7.29660 transcript:KYUSt_chr7.29660 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAEPAKGLLPYLQRADELQKHEPLVAYYCRLYAMEKGLVIPPKDRTKMTNSILVSLINQLEKDKKSLTLGPDDHLHLEGFASSVFAKADKQDRAGRADINTAKTFYAASIFFEILSQFGEVQPDLEQKQKYAIWKAAEIRKALKEGRKPEAGPPGGDKDEAPDSTTTYAQDIGRSQSFSSSQNGNGAPSQSVDKDFSRRDSFSPVQPGNVPSRQGTEKFNDHVSPQAHFSPPPPPPGSEFSSPQSQFSPPPPSSYSSPSYQGADYPPPDTHTPHPNYSSASYPTTDYPANEVHKPPSNYSPPPYTRTDHPSDDNYNPQSNDKPDISAYPHTYQQPPYTVEPQHTSQNYYATETPPAPYNYPNFQSYPSFHETTLPSVTTHQPPFHPTNDGATAPSYSPSASNHPAPMQYQPRDEATHHQVSPVVAAAAAPPASQYMYDSSYQPAVEKIAEAHKAARFAVGALAFDDVSIAVEHLKRALDLLTNPSAETH >cds.KYUSt_chr1.19319 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113380444:113395081:1 gene:KYUSg_chr1.19319 transcript:KYUSt_chr1.19319 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAADLLAALSSPRSGAGLHARFSAYLQPFSHYLLAANPSNPTPPPAATVRPLAKRFLPFLWSALQILSSSPSSAADELLDIYGLVLDCLAAISACLAGKPYAVLLQRIHFLRCLESRGHYARAEAEAAATLDALRRTLSLGAASLLPVPDPAGVAGEDPEITTLAVELTVRLANCASKGKVKEAAPYQRLLVLVHQLRPWLRWILTEESSRKYLTLLVNALSHCAVFLAAKSRFIAYSCTKRSEEEKERLRKQRATLLKSLVAAFKISFVTNDAIQKSLSSINRAISSTWIKLDDLIFLTTSMWNIGVALYNIGHLEEAPKALELCCQTTWAYARLSYCRLSSTAEGHIITDDLPKDTPEGIIAGVFARIERVVGILHRCGSKAIRDIVVKSLFESFVYGDTSDYQKNYLVLVELWVKEANHGGKVIFDSARSAVGLWSKMGTLHHCSPGVIFQQQSETLVPLLCSLVDLLAMKFDFNASVDEIDKVASSLVSDGPLSNQSTHLAGCIYYDLSERLLSRGQVLQVAVMNELVGNGAEAEVLLRTGKAISCFQGLPIFAVVFTSVLGKLYHKRQLWDAAEGELKHAKDLLAENAEFISCKTCKLTLQISVDVQAGDLFWNLFEKDLQKQSTGNLSSALGMYQSAVEKLSNSAFVLSRESPSLLQEVCRLLTCIFLLSTIDCTVQLPLYSKGSLSLNHWAAYFHQTSVGTYLNFHYLASLQALPRKTETKGVTGDFADKTDEVPKFLRFSSADMEHLEKHVIEFFHELPDVPIVCISMLGGDIVNVLGEIPFIPSPFPAWLLISRFDSTNKPTTMLLPVDPISKEAENRGSSIKELDNPTRTSDEKWKCPWSYSIIDYVAPTFKKLLEDNFRSLSGATHIPNDGQAQTIRWWSDRMKLNDDLNEILENIEELWLGPWKCLLLGHQLADQHSEAVMENLISGLESEFKLEVDPALIKAILGGVASVDELKECVSQLISYKSYFGRGGCCGGDRLRAFSCQTDAEALTTLEHLCNGIVDELSEPVDRNPVILVLDIDVQGNAGDAPTAEELVLALRNHDLFLYFGHGSGSQYVSGKEIEKLDNCAAALLMGCSSGTLHCKGGYAPQGAPLSYLFAGSPSVIANLWDVSDKDIDRFSKALPSSWLQENFKATKTCPKCCRSLTHEFETMTIAAKDNGRQRRKAHPGRSPPPSGYPALRRLDFFCPRLDLAVQYIAALLLPHAALLDLEATLVDMIPNGGEELQVAILVLHHRSVAIDNGEEMATVVERIWESVFPFRGIAHQTSGCPPGPLGAISRPAPDPS >cds.KYUSt_chr4.47922 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296751127:296751551:-1 gene:KYUSg_chr4.47922 transcript:KYUSt_chr4.47922 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPRRRGLTAPLLLLFLAAAIGACAATSRRTGAGGRALQQYSWDPAAAARRRELVGPGSSPPTCRSRCGGCRPCRAVHVAIQPGVSFPLEYYPEAWRCKCGDRLFMP >cds.KYUSt_chr3.16360 pep primary_assembly:MPB_Lper_Kyuss_1697:3:100389488:100391314:-1 gene:KYUSg_chr3.16360 transcript:KYUSt_chr3.16360 gene_biotype:protein_coding transcript_biotype:protein_coding METTSGTLKPCGQELSPKGAALADDDGSMLKASVAPNKPPPLLASRFAMLTRFHAGYFRISLALSGQALLWRTLSDSSTEPSALRPVARSLPSAAFALLWCLALLTLVALCALYAARCVLRFPAVRAEFRHHVGMNYLFAPWISWLLLLQATPFLRPEAPSYHLLWWAFSLPILVLDVKVYGQWFTRGRKFLSMVANPASHMTVIANLVTARAAAKMGWHEGAVAMFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWCSISMSFDTGCKMLFFLSLFLFASLVSRPTLFKRAMRRFSVAWWAYSFPLTVLALAAAEYAEGVREPAANVLMLALAVLSVIVTLALMVFTAFRTNELLPHDDPFSAATLPTRRTPSS >cds.KYUSt_chr6.31276 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197929252:197930191:1 gene:KYUSg_chr6.31276 transcript:KYUSt_chr6.31276 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFDRRPCKPRRVPERLPASFPQPWGGSTVARASRAVSQSALQPVEDPAVPSPIASIWRRRIWRPRRRPPHCRREGGLLLLRWVSFVAAMCHGLPPQLQHRRRLVEQRNPVLLHLGHGGVAVHVRDAERNQEGLAEERSARAAQGHALHVQAQGHLCSEMHSAFKDLRPCYIFFWKLQSIPTLQPCRPMTEQMPSNARSCFS >cds.KYUSt_chr4.1547 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8153412:8155180:1 gene:KYUSg_chr4.1547 transcript:KYUSt_chr4.1547 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVFHVLRRRRRRRLLLRPILQTISLRLHGALSNHPDQHPRLPANYTPAAGGLDEKPRRAYAATISAHLRSRDLPRAEALFLAAPASARGPHLDAVMLDGYLKAGRVDRARRLFDGMAEKNVVAWTGLVSAYGRAGRVAEARALFDAMPERDVVSWTAMLQGYVRAGMLREAREVFDGMPWRNAITWTVMVKAYADGGHVREAMALFDRMPERNSYSWLATISGFLRAGRVDEAVSLFERMHHKNVATWTAMMSEAQGLFDSMPAKDLVSWSTVIKGYARNGRKDDAMGLFLLMLRSAVYPDITTLTSVLVTSERTVEVGQIHGMATKIVHLSETSLGNALLTMYSKSGELRYAWQAFKMLREKDVITWTSMMQAFANHGHASCALQAFALMLRHGHEPSSSTFTVALTACRRAGLVEKGRNIFRSISAYGLKPVFEHRRILVRMVRAREATEGVAAMPPEMRDQEMEKKKSKKKSLEDTQLAIDEPVGVESAAAAATECTPTKGLFGWALAYLKAVVGK >cds.KYUSt_chr7.23318 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145125669:145131123:1 gene:KYUSg_chr7.23318 transcript:KYUSt_chr7.23318 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTCRIEGDKTLYPVLLSNGNLIKQGDLEGGKHYALWEDPFKKPCYLFALVAGQLECREDSFVTCSGRKVTLRIWTPGQDLPKTSHAMYSLKEAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNVFQSRLVLASPEAATDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRSYQFPQDAGPMAHPIRPHSYIKMDNFYTVTVYEKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAMCDANNTQLPNFLQWYSQAGTPTVKVSSSYNESSKTFSLKFSQEVPPTPGQPLKEPMFIPVAVGLVDSTGKDMPLTTIYSDGMLKTLSNDGQPIFTTVLRFNKKEEEFVFNNVSERPVPSLLRGYSAPIRLDSDLTESDLYFLLANDSDEFNRWEAGQVLARKLMLSLVADFQQQKTLALNPKFVDGLRAILRSTVLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELAIQLKDDLLAAVSSNRSSETYSFNHDSVARRALKNTCLAYLVSLNEPDVTELALNEYNSATNMTEQFAALAALSQNPGQVRDDALLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQNLLSHPAFDMRNPNKVYSLIGGFCGSPVSFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIISANGLSENVYEIASKSLAA >cds.KYUSt_chr2.20147 pep primary_assembly:MPB_Lper_Kyuss_1697:2:126653619:126654227:1 gene:KYUSg_chr2.20147 transcript:KYUSt_chr2.20147 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGLPSPLSVLSMNLMAGGGLGQKWLARRAAPASHVEIFSAAKICRRRSGVQAAFTRVHCKAAKQKKSHGASHRHRESKPKKFRPPERGHLWPQPTGKQQRKAAAAEDDFEAAFRMFDEDSEEDVMVVQDQVEPTQYRGVRRWPWGKWAVEIRDPVKGVRVWLGTFHSSTPPRPPRSPTTTPHALSAAPGPGSTSTPRATA >cds.KYUSt_contig_1253.752 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:4608534:4612541:1 gene:KYUSg_contig_1253.752 transcript:KYUSt_contig_1253.752 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGRDQAAVPPHPPPPVSSSDEEFDHDDSTDLLDPVRDAEALAEAEKDAEEERSAHAAVDAEMEQRRMATQTDARDYFWTSDVPRPVGDALTVHRVFFPPKTSTAAMAKIKQGKKDVDSYTIRGTTKVVRVGDTVLMRPSDTDNQPYVARIEGMETDGRGSVRVKVRWYYRPEESKGGRRQFHGAKELFRSDHQDTQSAHTIEDKCVVHSFKEYTKLNNVGAEDFFCRFDYNAASGAFTPDRVAVYCKCEMPYNPDDLMVQCDACKDWFHPSCVEMTIEQAKKLDHFMCSDCAEENDEKKPSNGYEPNELKGKEYQ >cds.KYUSt_chr5.21032 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136861407:136862581:-1 gene:KYUSg_chr5.21032 transcript:KYUSt_chr5.21032 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVGSGEKHLEDCTVSNALGTWVFSVAGALLAIPVGIKKKSFAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLGAQNLSASASVEGESLTESFAHVDK >cds.KYUSt_chr4.21641 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136274888:136275415:-1 gene:KYUSg_chr4.21641 transcript:KYUSt_chr4.21641 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDSKKMITLKSSDGEVFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVQAAKPASADSAAAAADSSSAAAPPAPAEDLKNWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTAEEEEEIRRENQWAFE >cds.KYUSt_chr7.2966 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17522566:17528708:-1 gene:KYUSg_chr7.2966 transcript:KYUSt_chr7.2966 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKEREWYFLKPSPGLDEYGNKFAVKKLNGLHGISDKKFEDELKIMRMLRHENIIRLVGYCHDKMHMALCLEHMPNGNLDELLRGGRDTYDWKTCYGIITGICQGLNYLHNGFEEPIYHLDLKPANVLLDEKMVPRIADFGISRLLRGCDAYLKFTDMSPGESFDEFKELMWEYWMNRMLENTYSEQVKVCIEIGLSCVENDRNKRKAIKDIVGILKQTEIECADAPKKELLWISKVDDEDEENLSLLRFGALWESAYSQDSLLVYSTGRSPARYKELKGQKPMLPTPDITIMSVGTEITYGEDMDPDDGWVEYLNNSAGRALDILAKRAGKGQALAYLLKKLGSFGKTPKDTLVCGDTGSDAELFSVPDVHGVMVNNAEEELVQWYAAKTEDNPKVKVMHATERCAAGIIQAIGYLKLGPNVPPRDFNFPCIKEDSFKPTAAVVKFYVLYEKWRRADVPKADSVKEYFKNVTDANGIIIQPSGLVLSIHSSIDALTSCYGDKQGKRYRSWVDRLGISQSASHSYLVWFDLWESEGNNAPVCCLTTLALNIKPETPEGFVVTRIHKTWLKGYSGDERPSQL >cds.KYUSt_chr4.4669 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26740796:26744536:1 gene:KYUSg_chr4.4669 transcript:KYUSt_chr4.4669 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDGELSVFPSGVRWPVMGVLLLVEAWCLRLLKFLFPRSKNKLEVEVMSLDLGFGVMVGDICRLVATTRDWCLLLVARHGGENGLGLLGSASELSDLRATLPPHSSATVYSGRRLQVYNNLQAKMPSRRPSYTSTEGSRRTIQSGLILAGLVHNFSFPGSPCNCASTAFVKKKSPNRVHAQRLKRDRVTCPPYPPRALLACPAVKLGRRSARPSTQFLPSLLSSHPSPLLPVGDHRTSTSRTMADSAAPRPTVLVTGAGGRTGHLVFTKLKERPDQFAARGLVRTEESKQKIGGADDVFVADIREADRLAPAVQGVHALVILTSASPKMKPGFDPTKGGRPEFYYEDGAYPEQVDWIGQKNQIDAAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILIWKRKSEQYLADSGVPYTIIRPGGLQDKDGGVRELIVGKDDELLQTDTKAIPRADVAEVCVQALQYEEVKFKAFDLASKPEGVGTPTKDFKALFSQVTARF >cds.KYUSt_chr2.51681 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322868916:322874707:-1 gene:KYUSg_chr2.51681 transcript:KYUSt_chr2.51681 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTAVPSAGYGADGIYRSPRPVAPIQTDPNLSMTDLLFRRADACPSALALVDAATGRSLTFAALRSAIRTTAVALATRAGVRPGDAVLLVAPNCVLYPVCVFAATALGAVATTANPLYTTREIAKQAADARVKLLITVPDLLPTVASLNLPVILLDSDDLPASATPNVTRYSDLVSGVEETAYRRPATKQSDTAALFYSSGTTGESKGVVLTHRNFIAASTMATSDQDQRGEGANVCLCFLPMFHIFGLAVITFAQLQRGNTVVVMSGFAMDAVMRAVQRYRVTHLLCVPPVMIALAKQGMSGRHDLSSLRFILSAAAPLGKDVMEVVAKTFPKADIVQGYGMTETCGMISFEFPTNGKARRFGSTGALASGVEGKVVDVETLRHLPPNQLGEICVRGPHIMQGYFNNVQATDFTIKQGWLHTGDLGYFDEEGQLYVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDTEAGEVPIAYVVRSPSSSLIEVDVQKFIESQVRLTTDSAKL >cds.KYUSt_chr3.26477 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164920034:164920318:1 gene:KYUSg_chr3.26477 transcript:KYUSt_chr3.26477 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVARRLFPGLPDGRTLRRLDAAEARQTAGCGGAAAGRCSGGGSPEVDGRKAGMLRRRGSGGGMADVGTMDAFTVVLLGRSLCNPVDSSGRRN >cds.KYUSt_chr1.30046 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181792366:181797100:-1 gene:KYUSg_chr1.30046 transcript:KYUSt_chr1.30046 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAGAASSLVPSTSPRRLPFRPIRRPLLAATPKNTLTAQPPRLLHPLAASPSSPPPPPPEDAETTDPVKLAFARAAAYKKERANPTPKPPPPPPPPTPPPPQPSAKESGGGGGKGAFERALEYRNGDGGGSAPLGASPTFGQSAFAGKDGAFGKAAKKKGGYVYDDTDFLGLDFFEKKRYQGPPPGLSGAVDPFSNDEDFPEVEIVIGDPSRFGKSRRLTENQPAVDDSVSEEASSSTSGQQNGDFKAGETPPSTALQPEDDEKSEFYKPRVTSWGMFPRPQDISKAYGGGRNISLGGETQSAEEKAAKDKRTRELLAAYMGGRNKTLDAKTKAECTQALKEGDELMNAGRLKQALPYYEKVMQAADFKTELHGMAALQWSICLDSLCRSKEAMSMYSKLKYHPNTLVSKKAKMFMFSFQAADFLKVDGIPVPRNTGYEGYFDQFGGQRNYYANPDEPEVGIRQIIPYMIFLASPIFFVAFVALRKSFML >cds.KYUSt_chr4.52927 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328237441:328237803:-1 gene:KYUSg_chr4.52927 transcript:KYUSt_chr4.52927 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLVLFLAVNLALLATTAHACGPYCPTPTPPSTCSIDTLRLQVCANVLNLLKLNLPVPANEECCPLLSGLANLDASVCLCTALKAEILGIKLNVPVAFTLLLNQCRKTCPDNFTCST >cds.KYUSt_chr6.17004 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107078499:107082731:-1 gene:KYUSg_chr6.17004 transcript:KYUSt_chr6.17004 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVRSETGVVLAKPFLDVCKQILPVLDKFGAAMVIVKNDIGGNITRLDNKYSSDPSKYVDLYTMVQEEVQNKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLDHPDWTMSQACTDSYTKTLKKWHGWLASSSFTVAMKLAPNKDKFMEVISGTGDIKADIEKFCTTFHPFLKENHEFLDKLDQMIKENETLTLKALETKLIITERKKEVKLAQLEARREDANRKAELEERMIKLKEAKAWNEIMAEEKEHMMMSKKDMDEDHLAWWKETKEDIA >cds.KYUSt_chr1.39122 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239191442:239192209:1 gene:KYUSg_chr1.39122 transcript:KYUSt_chr1.39122 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPENLDGGRRAIVIEDVPAGTGIADLVRAFAPFGPIQFASMGPPAVVGFENEGSAVHALLVSSMKTVSLLDVFKEKKAASADTPVINSAAISSPSEPAAPEAKITQIATAAAPTEAASPETIKTSPDKAAVTAPPAVIVDRETIESIRDSIAALEENAFVNQQMGNAIADLERHVGTMKQLLVEECNDEYEEEQDLDDDLDHDRAWTDGYNLGYWGNSNHYDAWMAGYQAGVKDFAWKEGDAPIYGNPMLTS >cds.KYUSt_chr3.9619 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56498225:56501237:-1 gene:KYUSg_chr3.9619 transcript:KYUSt_chr3.9619 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSFKVTRISEGPVKPVGETPDHTLPLAWVDRYPTHRGLVESMHIFRSGADAAPGVIRQALGKALAYFYPLSGRIVEQPEKGCPAIRCTADGVYFAEAEAECSLEDVKFLERPLLLPKEDLVPYPAEDRWGVEPHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFVKAVGDMARGLPEPAVLPVWDREKFPNPSIKPGPLPELPVLALDYIVLDFPTGYIDGLKKQYKAHSGQFCSGFDVLTAKLWQCRTRALNLEPEATVKLCFFASVRHLLKLDAGYYGNSIFPVKMSGTSKQVLESSVMEVIDMIREAKQRMAVEFFQFAKEETQQDPFQMTFNYESVYVSDWSKLGFSDVDYGFGPPMFAGPLVNNDFIASVVILKAPLPLDGTRILASCVTKDHSDEFTRGMKEDLP >cds.KYUSt_chr3.9776 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57474495:57474962:-1 gene:KYUSg_chr3.9776 transcript:KYUSt_chr3.9776 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAATPFLVPREPAPPKTVQLGQPGWAVWAILSLVTGGFAWGLYRARHDAHDLVYVVGDYCITYYGLWLLYVCLRKHQLLRGDDDDPAAATELRRVRLFPWRASLFLGCSMAFRVPSAVPNLRPKFGMWVLAVLAIGLGLYFAVAARRSHYITV >cds.KYUSt_chr4.14161 pep primary_assembly:MPB_Lper_Kyuss_1697:4:87200772:87201281:1 gene:KYUSg_chr4.14161 transcript:KYUSt_chr4.14161 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPLAILLLLAVAAPLAYGACEGEASLKGVANDVLLEYGLPKGLLPDSVVSYTFINATGDFKIELASSCYIWFGDHYTYFDKHLSGTISQGTIYNLSGIQAKKLFIWVYITSMVARPERGMIEFHAGFITEDVPMSLFQKVPVCSNGVGDQLRGAAGVMNLLPVADV >cds.KYUSt_chr2.43194 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268821533:268821772:-1 gene:KYUSg_chr2.43194 transcript:KYUSt_chr2.43194 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMSSMFPSQSLWSFNDDMKAVLYPWHVFGIVVACSEVLLAEERHHLILFLIHLHLRDKESSVATGIPQLGHRLADQR >cds.KYUSt_chr7.13306 pep primary_assembly:MPB_Lper_Kyuss_1697:7:81986198:81988594:-1 gene:KYUSg_chr7.13306 transcript:KYUSt_chr7.13306 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQFAPLLLLTSFHLLLRISAHDFLLPGSSLSVEDSSDILHSPNGAFTCGFKNISHNAFVFSIWFTNTAEKTVVWSANQLHPVHSWGSEVLLDMDGRMVVKDYNGQLVWENDVYSSSNAEQAQLLDTGNLIVMGQGDIILWQSFDSPTDTLLPNQNITAATKLVSADRLLVPGHYSFHFDDEHLLTLFDDQKDLSFIYWPKPDINTWAKQRYPFGTTTFGFLDSWGYFLGSDNLTFKAADWGLGVMRRLTLDYDGNLRLYSLSKPNGRWSVTWMAYLQTCFVRGLCGMNGMCVYTPRPACSCAPGHDIIDQSDWSKGCMPKFNLSCDGKEMEFVKLPSTDFIGYDQSAISSVPFHTCKKICLKDCSCKGFLYRDGSCYPKPFLGGGVSSPQLHGSFYLKLPKTLQVLGSSIPCSQPFGPRYVPNCNVNADSLDKPKSSQSGSPYSYFYGFLSAIFCVEVIFVALGCWFMLRRESRQLTGVWPAEVGYEMITNHFRRYTYKELQRATGKFKDMIGKGASGLVYKGVLKDNRAVAVKRLADINQGEEEFQHELSVIARIYHMNLVRVWGFCSDGPHRILVLEYVENGSLDKNLFSSKGSHILLQWTERFKIALGVAKGLAYLHHECLEWVIHCDLKPENILLDEKMEPKITDFGLAKLLNRGGSNKSVSRIHGTRGYIAPEWVSSAQITAKVDVYSFGVVLLELLKGARVSDWASDADEEVEMVLRRVIKMLAESLILEGGEQLWIDDFIDSRLDSQFDNVQARTMIKLAVSCIEEDSRKRPTMENAVQMLLSVDEAVS >cds.KYUSt_chr1.11580 pep primary_assembly:MPB_Lper_Kyuss_1697:1:71231812:71236457:-1 gene:KYUSg_chr1.11580 transcript:KYUSt_chr1.11580 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLFPLPIAAAAASSIHLAAARFRHPVVSVARREALFGGRAPARLATRGVSAGGSAARAGTVIGPEEALEWVKSDRRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYDIGSGFGHFGIAVEDVEKTVELIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAINFYEKAFGMELLRKKDNPQYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAIGTDDVYKTAEVVRQNGGQITREPGPLPGISTKITACTDPDGWKSVFVDNLDFLKELEE >cds.KYUSt_chr2.3998 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24210946:24214640:1 gene:KYUSg_chr2.3998 transcript:KYUSt_chr2.3998 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAYQSLGVVYGDLSISPLYVYKSTFADDITHSDTNEEILGVLSFVFWTLTLIPLLKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYRLEHPPETAAGHRSRLKDWLEMHTSLKTALLVMVMIGTCMIIGDGVLTPAISVFSAVSGLELSLSKSQHEYAVIPITCAILLFLFALQHYGTHRVGFLFAPIILAWLICMSTLGLYNIFRWNPQVYQALNPVFMLKFLKKTKKSGWMSLGGILLCMTGSEAMFADLGHFSYSSIQLAFTSLVYPALILGYMGQAAFLTQHHDFDSSYQIGFYVSVPVSRQILTVKNAEIVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSEKIHGQIYIPEINWMLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLMSLVMVLCWHRPPALALAFLVFFGSIEAFYFSASLIKFLDGAWVPLLLALILLTVMFVWHHTTIKKYEYDLNNKVTMEWLLALSDKLGMVRVPGIGLVYTDLTTGVPANFSRFVTNLPAFHRVLVFVCVKSVQVPRVLPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIRLDALFRSSDDAGDGAAGSDVDYDPLRERRSSSENALTVVGSNPLRRRISYEYDDSHDGASSVEIRVESPGTTTGGGTIELVTAPPRAVKKRVRFLVEETSPDVEDKRVLEELHELCEARESGTAFIMGHSHVKAKPGSSLIRRLAIGYGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >cds.KYUSt_chr7.7581 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45756981:45757310:-1 gene:KYUSg_chr7.7581 transcript:KYUSt_chr7.7581 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSLLFAAAIAAMYATAVLGARSPIDANRPDVQWLGHWAVAVHVHQAHDWIRFNRVLRAEIDEDSSLGKTLYLIIDAINRDGKDGKYEAVLNQRAAWRHSLVSFKPAN >cds.KYUSt_chr3.14542 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88511064:88514907:-1 gene:KYUSg_chr3.14542 transcript:KYUSt_chr3.14542 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHAASSRRFSSSSATTATAKRPAMAESAGGAKAAGPAAAQQQAKKRVALGNLTNVAAPGGRSGCGKIAVVAAGNARLNSATSAAPVKKVSLASARNASANRGSATKSASTRPAPAPVTSRHESTAQKESAPPRKVPTVVPIAVPAIVPFSSFASPGNSGDSISTDETMSTCDSMKSPDFEYIDNGDSSLLDSLQRRANENLRISDDRAVEGVKWKKDATAPMEIDNVCDVDENYEDPQLCATLASDIYMHLREAETRKRPSTDFLETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRFLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFKDEVLDMEASVLNYLKFEMTAPTAKCFLRRFVRAAQVSDEDPALHLEFLANYVAELSLLEYSLLAYPPSLVAASAIFLSKFILQPTKNPWNSTLAHYTQYKPSELCDCVKALHRLYSVGPGSNLPAIREKYSQHKYKFVSKKQCPPSLPAEFFRDATC >cds.KYUSt_chr2.11534 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73356281:73357393:1 gene:KYUSg_chr2.11534 transcript:KYUSt_chr2.11534 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSNKMGSSLADLTDDLLVEIFSRLPVKSVCRSKCVSRPVDCCNGLLLCLCSKDSTSNERNYVVCNPATEKWLILPDSGRHNEVFARRLCFDPAISSRFHVFSILEDVDDCITDVAIYSSEAGEWSHKENGWSQEIMLYDRSVFLNGMLYFKSYDSAVVTVDKEGKTWKTIPLLETMAVEISLVSNEALIGQSQGRLHYVNARDRDASTLSVWILDSYHSGKWIFKYNISTSKLFGHKGFRLSRN >cds.KYUSt_chr3.5980 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34098915:34099280:-1 gene:KYUSg_chr3.5980 transcript:KYUSt_chr3.5980 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWFSTEVKPEEAPSIQDRAIAAKDQAGTFIGEQTTAVTKAATDTADAAKQKATETAQYVQDRAADAVEYTKGPAAAKEEAAPGNVFQQAGGQVMGAAVGAKDAVMNTLGMGGDAAAEKK >cds.KYUSt_chr2.10892 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69172513:69173682:1 gene:KYUSg_chr2.10892 transcript:KYUSt_chr2.10892 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRPPLPHGLPRPPSHAAGLRRLSTRASAPSTPRTAAAPPSSSAAAGPAPSPSAVLAHLSAAGVSILPGLSESELAVAEAALGGLQLPPELRELLALGVPSGEGFPDYRSAAGLRLLRFSAQEIPAAVAATLPLLPGRRGGRAPPPPPLVPVHGRHYVPATPCLAGNPVFHVSDSGVAFAGANVADFLLRAFTAEPPPGAPLRRQLSAPVPPPAPSPAPPSTARRSLDSVTGRAPRWIEFWTDAAAAGDRFLEVRTDSPEATTTASHAPSWLRSYLEWAGSLLRRGGWGDDEVEEITGVSPSGGEATASALKVDWCCGELRRGGWGTEEVVEALGALLGPRRVRRASVALSPDVAARVGKLAEAVSLAVGSSGGGGQKKPVIRRF >cds.KYUSt_chr2.3138 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18421549:18421770:1 gene:KYUSg_chr2.3138 transcript:KYUSt_chr2.3138 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSEMWSLKETGFLSQPVRMLTYQHEKENLCAFVHIIAHEKEAACGVQCWSVHHRDSDFFKSIKSFDEDEWE >cds.KYUSt_chr3.19498 pep primary_assembly:MPB_Lper_Kyuss_1697:3:119923693:119931169:-1 gene:KYUSg_chr3.19498 transcript:KYUSt_chr3.19498 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATNTATRRASSTARAVSDYKAHNSVAAIDPSKVLFINWPLPFTSMRLRRVETAAVRWIIREIGSPLLRFLSPALLCHASHPWRLRNPLLHRSLLHQQLHHQRHLHERHPHSDSPHPVVSKIGFGCMGLTGVYNAPVPEDAGIAVIRHAFEAGVTFFDTADVYGPHTNEVLLGKALRGLPREKVQVATKCGIAGFDASGMCVKGSPEYVRDCCEASLKRLAVNYIDLYFLHRIDQLVPIEETMGELKKLVEEGKVKYVGLSEASADTIRRAHAVHPVTAVQFEWSLWTRDIEEDIIPLCRELGIGIVPYSPLGRGFFAGRAAVESIPSESLLSKHPRYTGENLEKNKVLYTRLEILSTKYGCSAAQLSLAWVLHQGEDVVPIPGTTKVKNLDDNIGAVNVKLSKQDLEEISAAFPAGEVAGSRLMGILEPYSWRVANTPHPK >cds.KYUSt_chr1.29307 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177365090:177366907:-1 gene:KYUSg_chr1.29307 transcript:KYUSt_chr1.29307 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSFSKSLDPYWAGFGGNRRGFVCCEVPDEEMYQPATNAALIILEKEGLNEEQLEDELKDLVDENWTWQVRKLNGSDYSVVFPSKESLRMAIRGGGITLPMSKTKAIVTVPTDDPLVVEKLEEVWVHLIGVPPPLRRADRLLLSTREVGRPIAVDVESLDHPNGPIKMAFGCQVPVQLQEHITLFVNMQGFRIRIVPISKDLAAETNNDPPSPPARNREDDKEEDQEETDEDRWDQRRKKHSDKGKNTPASAPAGGKEGFARKSVPQMTTEGYSSPCSPSACRSKDSQAKMITLPATAFTQYGSNLTENGDIFPTVANIIKQVLVSPPASSPRHSDLEQIQLSTSLSEETEEGQSYLTPGKALALGAEEKHEIGWHSPASGESTASALRASERRSKSNNDRPSRKLMLEAAGSQLFAEENDVPGMDVTKTSLHRALAIKDVSATPQALLEESPIPALGASVARAPRTKISTAEALRKSARSAGVADEPVLARAIRHAAVKNASPSAKPGNVDASNFTAFQSVPIDKLLSVAHDSCVIFPSSSLGPPEKIISLIQARELAQADLAAARHNAEIEAAKAQAAATTEQDPQVPHDKVLICQCLWIVG >cds.KYUSt_chr5.32934 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208843272:208854260:1 gene:KYUSg_chr5.32934 transcript:KYUSt_chr5.32934 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKAILDIRQHKSVELLCSVYSHIPHAEEDEDPTLNHLVLAHRSPEAAAHHMDSCKSLLTTMYLLHMKMRGEIEHMLTEFTDPDKVQTRMRDLRAEPQHTTPFFSLDSYVDLSDQLSQKDPLTPNFVPHYPHVSASYESGYGGDDSRNLNCSESPIENSTGWRFGEPYGDEGEPITCDIEDEGGAVNQNVNQSFGQEEKDTNSISLDLEMGLPKTSQTRGNMALVETEEARREREAREKEEADAAARAENAPPPPHPMMHPDFQQYMRAMEEDRRRYQESQSKNMQDFFTHVINDKGNEGKGVTLSDFQNARPLPFTSAPEPVDAEDWLMDTERKLKTVGCNDEEKIRYTTYLLSGPATSWWENLVAVHPPDKVFTWEEFKKKFRDAHVPESVVELKKREFDELRRNTRTHYASMFGISTELSGKSRRIGGRGLVSSQESTQLVNQHLIGVSNPDFDLLLVVNTTGEDGHIKPDCPNKASWSGQSSGRRGGNNNNNNNNNNNNNRNNNNRNYYNNNNNKRGKPYGKLNCTSLEQAEESDQTVLGMDWLTENGAVINCGDKTVSLRNSIGGRIVFQGDKYSELEIGLELNTLKEVRIEDIPVVNEFQDVFPKELPGMPPDREIEFTIDLIPGTSPIAQPPYKMGPKELVELKAQIDELEQKGFIQESVSPWGTPVIFVDKRDGGRRMCGDYRNLNNVTIKNKYPLPRIQDLFDQVQGAGVFSKIDLRSGYHQIKIKKEDVPKTAFVSRLWYAGSPQDSTLDVTGVTRCSGGDDDDGGGDDGDNDPKEVQLDGGDDGDDFPLREGISPADFSLPESSFLSGVFRPAEAAVTPRDYSLELRGSGEDGQLGMGECDEKDSAHCVAALDPYAVSAVVAGSRNSLAICQDGRLFTWGWNQRGTLGHPPETKTESFPAPVDALAGVKIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEPERKEDGTRALRRDIPIPQRCALKLKVRQVAAGGTHSVVLTQEGHVWTWGQPWPPGDIKKISTPVRVQGLEHVSMIAVGAFHNLALSEDGILWAWGNNEYGQLGIGDTQPRSQPILVEGLSDLSLVDIAAGGWHSAALTKDGEVYAWGRGEHGRLGFGDDKSSHMVPLKVQLLAGEDIVQVSCGGTHSVALTSDGRIFSYGRGDHGRLGYGRKVTTGRPMEVPINLPPPKSSTSSNGLWQANYVACGGRHTLAILTWTDM >cds.KYUSt_chr4.17708 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110896516:110903481:1 gene:KYUSg_chr4.17708 transcript:KYUSt_chr4.17708 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPPVARKVPRELAEHGDVRVDDYYWLRDDARADPAVLAHLRAENDYAAALMSDAKQLEDEIFAEIRGRIKEDDVDAPLRKGKYYYYKRTLTGKEYVQHCCRLVPTDSPVTVHDVMPTGPDAPAEHIILDENVKAEGHDYYSTGAFKVSPSGKLVAYAEDTKGDETYTVFVIDVESGQYVGQPLKGITSDIEWAGDDNLVYITMDGILRPDKVWLHKLGSDQLDDICLYHEKDNTFSLVLQASESKQYLFIESGSKNTSYIFYLDIPNQSKELVVLTPRVDGIDTTAGHRGNHFYIKRRSDELYNSELVACPLHNVAETTVLLPHRESVKIQDFQLFENHIAVYERENGLPKATVYRLPATGEAVGQLQGGRAIDFADPAYAVEPETSQFHSNIIRFYYSSMRTPPSVFDYDMDTGVSVLKKIDTVLGGFDASNYVTERKWAAASDGTQIPMTILYRKDMVKFDGSDPTLLYGYGSYEICIDPTFRGSRFSLVDRGFIYVITHIRGGGEMGRKWYEDGKLFKKDNTFTDFIACAEHLIENKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVLTSMLDPTIPFTTAEWEEWGDPRKEEYYYYMKSYSPVDNVKAQEYPHILVTAGLNDPRVMYSEPAKFVAKLRELKTDDNLLLFKCELGAGHFSKSGRFEKLREDAFTYAFILKALGMTPPTKASGGARGTQITLPGSPRISPSLPLAFAAAVVAPPATKGGRGSLFSWWMAGYAESADLGHGGGGG >cds.KYUSt_chr7.39371 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244824022:244825748:1 gene:KYUSg_chr7.39371 transcript:KYUSt_chr7.39371 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAIAGAGFFAIEVDPIKGKSNGETFSAVIKFKGTPLSPVQLSDELKDLVDELWDWQVCRLSETEFSVCFPSQATLRMGTRHGKLFLPINKVEVAIREAFLSPKPSLSLPSVWVQLSGVPEDLMEVDRLMAAMVLIGRPLEVDELSLRKFRTEPIRMRFQCRFPERVKGTVQLVVNGEGYDISVRAELGGRSGGNSSGPAPAPSPPGDDDQDDEDYDDLSPSEEEWNDLGKKDTEKRNKAVAEKSQDASKGKEHAQEVRGASLAHGARCVPPRPAELSTDSQVSDPAPEGSVDMGLDPGPAAASVGEPGQLSGDGVGDDDLSVGAGGGDGELPASPNHMVLSPPVGGVVPAGAAESEKAMGKLAATYSRALKKKKDNPLSVRKSSRHSKAAANLSVLEKAKKLAADKNLDSGTPPPTSLVSLPNDKLAHVLVDSCIIFNPSRGSPSEILDLVRARELAQASIAEAALKREKEVQLAAAREAAAQEAPLLVEDPGLGSPCGVAPGVRSKPKHASAKRPMLSTRKGRGKRAGLQ >cds.KYUSt_chr2.46390 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289847045:289852107:1 gene:KYUSg_chr2.46390 transcript:KYUSt_chr2.46390 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRTSDADARACHLTYTWSGSIGLGIEIRTSELCWITGIKAAYDFKFKEIGEVVGLLALATKAQHPTSPLIPGFGAVTRAFSSRSLWKGAFVDAFLSRIKNNRGAMNGKKIWSRRSSILPEFVGSSVLIYNGKTHVRCKINEGKVGHKFGGFAEDDGTRA >cds.KYUSt_chr7.14600 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90181308:90182156:1 gene:KYUSg_chr7.14600 transcript:KYUSt_chr7.14600 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSSGSIMVSSLGDGDRRLYLVACHGRLILVRRSFRLKHGRSHSSCPSAVYAFDDSGDSYRWVTVSTLEEGHAIFIGDACCTTFTVQASSGSRIRENQICFVDNELAALFNAGGGSVGRTPFRFLQSYDCDAVQRLPLQRRYETMAPPLPRTFSESQLWLSGVLDCLGAEEPDYTIDAAPGVRVNVTAVILDVTARVSISIPKTRTTTDHRQFLFAGRHQSGHQAAQVVAHKAVTFLRSAFSNTLDDSP >cds.KYUSt_chr7.30811 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191807681:191816896:-1 gene:KYUSg_chr7.30811 transcript:KYUSt_chr7.30811 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAMPPPPPPPRNPNPSSSMPPPPPAQPEAAADASMDEADGSTNPSPSSSMPPPPPPRPSPQVPSEPDASADGGAVPSPSVSGSSAEDAGDPRGDTEMAEADAPEERPRAPYAIPDWSAAPGHPFFLEVIKSGQSFQKLDVSKKGAYMFGRVDLCDFVLDHPSISRFHAVLQFRNDGEVFLYDLGSTHGSSINKTQIKKKLYTKIHVGDIIRFGQSSRLYLFQGPSELMPPEKDMQKRRDAKIRQDMLDREASLARAKTQAALAEGISWGMSEDAPEELGEDEADEITWQTYTGQLTDRQQKTRGKIIKRMEKVANMKKEIDAIRVKDISQGGLTQGQQTQIARNEQRISQIMEELENLEETLNDSIQESLGARTGKPKRGSHKASLEEEEVISDDDDFFDRTKKKSSNEQSNGQQSVETADSLLEKKDIITNDIEGKKKLLEEEKNKLAQKHDADLGDDLDAYMSGLSSQLVHDNITKIQKELSDLQAELDKVVYLLKVADPMGEAARKRDLKPSEANPQAPSHNPRPEAKKEEKTAQGKTSTEENSKNSCSTETEVDKPAEVETDASKNQESASKPAFIIPKPQWLGDKRIVEPEENCIKEANANAEEPDDFVDYKDRKTILSSSANEKDIEEASPGLILRKRKSAEQSAGAEAEPSSVESEASAADAVALLLKHTRGLQTSEEMENENEPQASKRKGKKTKQKRVLGPARPDFLEAGPDYETWVPPEGQTGDGRTSLNERLGY >cds.KYUSt_chr1.41903 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256919983:256920437:-1 gene:KYUSg_chr1.41903 transcript:KYUSt_chr1.41903 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVRSSLGQALRRAAAAPAPSSRLSSAAAGANTTAARTDKFDGIFGFAAFVATVIFVGLVQGDYHFWNRPNFDAGSRPPPRMARPGR >cds.KYUSt_chr5.31844 pep primary_assembly:MPB_Lper_Kyuss_1697:5:201945723:201948155:1 gene:KYUSg_chr5.31844 transcript:KYUSt_chr5.31844 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGILVEPSPLKLSFYFFELADITAEREQEPYPGSQETLNWNLTSRAHTLLNLLTKHFLKAPYSLLITTQSRNVGALSNAKYLLGTPRLTDVGRVERRKRHDLNDNDTRALCDREVESIPHLLTQCSFSKQIWHDILSGLNLLGYMPCAHESFNVEKTWSFIFWKKQRCGCLLGQKHFLAYLRIPGHLMTRQSGPSRANKWWYRALLIRSSCFGSLIESKLQQAMEELGKRMDAAEQQIQALREDLNRRFDQLVEMIRKGVPPTANDEESSKDGEDAQRRRKGGHLRAKTPQPHIVQRTSRRPTYDEISEDEENDEGLEELDLYAHPRVPNTTYVRDTYKVKAEIPTFNGNVDIEGCLDWLYEVETFFEVMNIPEDRRVSLVAYKLKGGAGAWWHRLQEDRGLERRTSCAILAANEEPFKGEIFACRL >cds.KYUSt_chr7.4590 pep primary_assembly:MPB_Lper_Kyuss_1697:7:27751226:27752041:-1 gene:KYUSg_chr7.4590 transcript:KYUSt_chr7.4590 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPQPAMGDLDGGSLVYPASAYGHAATVAIGVTPAGSQPTAQPLPSNPAQVSAQNQLLYEQSQQFQQQQQRQLQQFWAERLSEIEQPTDFKNHVLPLARIKKIMKADEDVCMISADALVIFAKACEMFVLELTLRSWMHTEENKRRTLQKNDIATAITRTDIYDFLVDIFPRDEMKVEGVGLPRAGQQAPADACQYYYAQQAQQQVPGAPMVYGGQQGQPVTYAYQDPQEQQQGPPAAHQSFDESGLGGETCAALKAEAASRFRNLNFV >cds.KYUSt_chr3.9820 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57750055:57751065:1 gene:KYUSg_chr3.9820 transcript:KYUSt_chr3.9820 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSNLQTDIVGSIVRKLAIPDYIRFRAVCTSWNRVCVCRDVSNDPRVDPWLMLPTKTLEDAKFFSIPERKNETIRILSTATIFGSLWTPVGSSHGWLVFFNLNQGTIQLVNPISGGQFELPPLGREHFSKAVLLDMSESNFSVAIVYGNRKGYKVTRKGSRSWSFVDSKHILIDIFKHRRQLYTIDIYGTVEVWAEPPRSWPDADGPLVDPYMHPSLAQRKFNCLVETPAGDLMKVKRQSQNKFAVWILSRETSSFESTEDIGDFALFVSHHNSFCFPTKDHLNLKANCVYFIDNYKNLCAFNLEHGTKELIQGLETPALPRQDAFLWFIPSLK >cds.KYUSt_contig_6118.73 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001233.1:368325:372484:-1 gene:KYUSg_contig_6118.73 transcript:KYUSt_contig_6118.73 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSEIALHAAYQGDLSLLKKMAMEVDLQGVKDTEGRNTLHLAASKGCLESCIFLLETCGIDVNSLSKSGILCISNRCVRHCEAIKLLLSRGLPVDVDQQDGTPLHAALGKDKAEAVKVLLEHGADAGADLNAHCSFGPTALTHAIFHGSMDCLKLLIEAGADPNIPDKAAASVDERIAAWKSQGREAYAKEDYRTAISFYGKVLDIHPTDAAMYANQSICWLRMRHGDKALEVARKCRKMQPRWPKAWYREGMALSFMKDYEGAADAFREALQLDPNNEEIKEELRKAEKAVEDPQRVGKISKG >cds.KYUSt_chr3.7578 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43652928:43653878:1 gene:KYUSg_chr3.7578 transcript:KYUSt_chr3.7578 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNELSIKLLVDAKGQKVYFGEAGSDVVEFLSGLLSLPLGTVTDLLTKERMVGSVGNVLGSMEKLDAKYKSKGLQLSPAVGAATLSRLQQLLGLRQLSNGNSSYDSHDDNGISNHQVLYTCKGKKQTCANFLSTVSGSACPGCSCVMNKATGQLLVNAKGSVYTVEDDLSVSPSANAVSGAVTLLARYGVKDLNEKTVKIGKEEALGILAASLKSKTVLTDVFLPKIYAGLKRKAFSQFFNGEDEDDEKEDEDDEKEEDDDDDDDEEEEEEEEEEEEDDDEDE >cds.KYUSt_chr7.18478 pep primary_assembly:MPB_Lper_Kyuss_1697:7:114396582:114397025:1 gene:KYUSg_chr7.18478 transcript:KYUSt_chr7.18478 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTWSSPPPMSPRRARNSLARIRTATSWPGAGSGVVGGALHGYGCTTALLGLAAMPSLEEQLPIARGSADMMEELKQPWMHNEMRVRKQFGKQARARGRRELGQASREQLRVTD >cds.KYUSt_chr6.25265 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160017775:160018594:1 gene:KYUSg_chr6.25265 transcript:KYUSt_chr6.25265 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVSKIARAAFSTRASKVRPAAAIRVSPSGGPPIGYERHLYVDDNARPIGYKRRLYVDDNALKSDEAMWAFYEHWCKHHGISRERHEMERRFKKFSERARAVHSAGGASGPMSLNIFADMTKEEAALLIGKPRGKGISPVHWSKS >cds.KYUSt_chr5.38555 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243951112:243955766:1 gene:KYUSg_chr5.38555 transcript:KYUSt_chr5.38555 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALKGLLRGPSFTAAGLPGRQNPPPHSRFRDHWSSAIPSLAWSMGGARRDTKLKAQLGRTKMEVTDQRKRRQEAREKRSRDLVDGLIEYISLDNENYNLCNGCLHHVHNSIMDFGNLIVGDIAHDLTDLENDLHTLNLWLTPNDEVTKSLHLMWGHKIDLDPEADKSNLFEQDSSKRFLKAYKVVPEMIKAAREFIRNLFFNPEKFPSFPPIGSAGDPKLAGFRASKDLERLYGGEAAVRQDFISHSDDTAGKLLDWLRRLHQLEQRS >cds.KYUSt_chr3.2957 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17005553:17009734:-1 gene:KYUSg_chr3.2957 transcript:KYUSt_chr3.2957 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAVGCLAIRSQAYLRGAAPSPPTAPPPSFRRPIIIPYRPFAAPPHLVKKAPKDDDDTEPRINNDITAPFLRLVTDQGHSVVPRHEALQQAARMGMDLVEVHRKSDPPVCKIMDFHKEKYNKDAKEKERLKTKSAIVLRGGDNKEVRFKAKTEIKDLKVKADAITRLMERGYRVKCMAMPAGNEAEDLGGPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHAKFATKKGGKKASQAMEDAGKGTPRSTAPESPATATDSGDVASEYRSEVDKTPSPRSSEPSMQRERPDRGFRRELNSNPGNNRESAHNMNAEGNRMNPGQAGPQSSPDRGLGASRSGNPHQTEKRDMAPELTNRYASRRPQPGGGDNQGRLPPQDPRRNENEGRYNQRPSEQPNQPPLPRFSQGGRSPPPQDPRRNERGSYMPPNNNQRQFQQPSQSAELAPGRDAGNPASAARSLGVFSTRTPSTPDVKKTDGVSAGKPEVKKSFGIFSAPKRGSGGKS >cds.KYUSt_contig_444.177 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000041.1:1140585:1145237:1 gene:KYUSg_contig_444.177 transcript:KYUSt_contig_444.177 gene_biotype:protein_coding transcript_biotype:protein_coding MDKENANPIVHDVVGSKRDASLFDAVPSMAIATAGGGRILMTHKYRGCIVVFSINPTQIVTAVTRCSGGDGGDDDGDDGDGDGDDVQLDDGDDGVDFPLREGIFPAYFSLAESSFLSGVFRPAEAAVTLRDYPLELSPQVEESRCRVPPAFRRLHCHLPTGLLRAAACASSAEAETSLVPPPLGREDWGTKLALDVPHG >cds.KYUSt_chr7.11572 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71131117:71138772:-1 gene:KYUSg_chr7.11572 transcript:KYUSt_chr7.11572 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKGPNPYGQQPPYGGQQSYGGQIPGGSSGFGASAAAGARAGQGAAGQYGGPYASVYGTQQVGGLGGKGPDSSSHPSLPAHPTSLSQASKFSSGPAGSNLARPNDDYMAVRGYAQKLDQYGTDYTSERRMYGEHSTNLGRRDGLTDLDRRYPDHIPAGHQIHDRVEQGSTMRHPPLLKAQLPVSDMRQADYFAGRSATIHQESQELGSYGRAEADHRNLSILGNVPYGGQQTSSLLAGAPRTNIDSLGYGQGSSGSGYGMSLPPGRDYASGKGLLHPSSDSDYRDSILPRGRPGISMVDERAIDRVGYRRELELRDEERRRDLLLEREKELERERERDLRDLRDRERERDRERERERERERDRERIRERERERERERERERERERLRERREKERERNKKHVADSRRERTPPRTSGDRRRSSSVRSEKPLRRPSPRRDAVHRHRSPIKEIKREYICKVLPFRLVDDERDCLSLTKRYPRLSVIPDFSKIVLNWAKESLNLSLHTPVSLEHAICEEDDKTDESALVSSVETSITKTSETIWNAKVLLMSGMSSGAFADITSMRSTEERVVHLNNILKFAVFKKDRSLLAIGGPWNAALDGGDPLVDCSCLIQTAIRHVKELVQVDLSNCTSWNRFLEVHYNRYGNDGLFSHKEITVLFVPNLSECVPSVDIWKNNWIAYRKSKTEREQLTMKKEKNPDDPKEQKQGEPSEAKSSNDDQLKDGDIAAKIEKVDADMEQQGKDGDTNLAGNDGENLDKVGEQVEKMVGVVEGNTSGDASVDHVTEDKKPMKKKIIKKVVKVVRKKPAGETSVDKSPQVGKDAVAETPGETAEKHIEPKIEDVGKEQAGSGISQQPEAKKSGKKKVIRRVIKRKVPASASESTALAAPAETSKQEVEVQPEKNDEDLTDAGNSQTKLEEGLKTPPEDISNQKKEEGLKTPVEDTSNQKKEQELEVKGHILTDDQKSNVDTVNQQEAVDQKDAKVDEKNGKNDKKKDDNEKKDTDQKVDSKKKSANDIKEKKKSDEPPKHPGFILQAKKSKEYKLRSTSLSLDGLLDYTANDTEESVFEVHILLWLL >cds.KYUSt_chr1.33512 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203677927:203678844:1 gene:KYUSg_chr1.33512 transcript:KYUSt_chr1.33512 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCSAMYQIHGRQARSPAESNDADAGGGGVGALPVAVSALAVPDDPLDGIMQCLGAEKGFAVGSDDCWATGAWFDDYRGGSMDMSTQAGVDYFEMLLDFPDATATPVLSAEGATEKTPTRAGAATVEDWPAMTTNLSPSLASSDEDNASWMSSSESSSEDDDADAHWLPGESKKSGGTKRRLQQHQKSASGGQSKPETQTVVRPPPLSVVAVVESGGGGDNNYWCHHCSATKSPLWRAGPDGPKTLCNACGLRKNKRGAPTTTKRLRVAKPIIGSAKSNSYSVMPLAEDATVVRKKRSVAIRIG >cds.KYUSt_chr5.23081 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150548397:150549484:-1 gene:KYUSg_chr5.23081 transcript:KYUSt_chr5.23081 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAIDVAASYDGFFGVGECKGQVEIDGEQVPLVLTPASQEVSGNHEALVAALVANREWVEEKVVTNSAVLLRGFGVRDAVEFDAIVDTLGWPDIRYVGPAPRTHIHGRVWTANEGPLEEFIYYHHEMVLIKEFPGKVILFCEVPPPEGGETPFVPSFRVTERALKEFPEMVEELDAKGLRYTFTALSKDNTKSMRGRGWEDAFATTDKAVAEQRARALGMDVEWLPEERGVRTILGPRQLTRVFPGRKGRRMWFNTVVGMHGKELSSATFADGSEIPADFVRRCGEIIEEESIQFRWEKGDVLILDNLATLHGRRPSGAPRRVLVATCK >cds.KYUSt_chr6.7864 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48196996:48198043:1 gene:KYUSg_chr6.7864 transcript:KYUSt_chr6.7864 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRAALLAFLVAALLPLGHGRGHHLPGHGLVHRHGQPGHAHAAHAPLGGGAWASAHATFYGGGDASGTMGGACGYGNLYSTGYGSNTAALSTALFNNGLSCGACFEVRCDPAGTEAGAPHACLPGSTVVTATNFCPPNFAQASDDGGWCNPPRAHFDMAQPVFERIALYRAGIVPVSYRRVACQKKGGIRFTINGHSYFNLVLVTNVGGPGDVHAVAVKSDRSAAWQALSRNWGQNWQSNAMLDGQRLSFRVTAGDGRSVVSNNAVPHGWSFGQTFSGAQF >cds.KYUSt_chr7.6211 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37328618:37329659:1 gene:KYUSg_chr7.6211 transcript:KYUSt_chr7.6211 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEPPPLSPGHERRAIESLPRNRPLAGYAAGSSPSIPSQSTRVDAFRLPAEPSPELPEDTLMTVFGTLEIPDLVRAGAVCTSWRSAYVTLRDLGKHKQSQTPCLLYTCESAGENVACLYSLMEKRVYRLTLPDPPIRRRFIIGSSLGFLVTVDERSEMLLTNPITGEQIALPPVTTIEHVKPIHDDRGAVYQYEISDIRYEGFLMPWTSDRCELRNFLHFKAFVFYDTTTGSYIVALIHRPIDQLSFARVGDDKWTWLPPHRLYHDCNYKDGLLYAVTSKGEIQAFDLTGPAIKMKIISCLDEKDLGICDLMYIVQAP >cds.KYUSt_chr5.38651 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244609363:244613954:-1 gene:KYUSg_chr5.38651 transcript:KYUSt_chr5.38651 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEPGPSPPSQAAPRKPACAVTFGRSTLLGRHLAAALAASGRWSAVAVLDPSPPPAAPPAAPLAHLALDLSDPAAPLARALAGVAAVFHVDPTSAAADGSFLPLHRLAAEGTRRLLAACRAGGVARLVYTGSADVVAAGALDVVDADEGSLTYPDKFGDAASELRAQVEMMVLSADGNGGMRTCVLRPSNLFGPGESSLVRLVAGYARSPLSKFVIGSGGNRSDFTYVENVAHANICAEQALCSDTDSVAGKPFFITNGAPVKTWEFMSCMMEAMGCQRPRINLPAKVVLFAAEFSNMIHHRLGLQMSSSPLLYPDTVYFLSRTRTFNTSKARKLLGYDPIVSLEDGIMKTAGSISELPDILDLLGKQSSSGPSKADKLLGGGIAADILLWRDEKKTFSYITLVFLLFYWFLLSDRTFVSSSAKILLVISLALYIHGVLPSKVFGFAVEKVTPDYFEVSDSTLRNPIVHLASLWNRGIHKLRVLAEGDDWGTFSKAVASLVCIKVMVNLQFRVLMGLALASLFIVFIVYEQCEEEIDALVAVASAKIKFLVDKVFLDFYCSCPRF >cds.KYUSt_contig_319.206 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:1484902:1485234:1 gene:KYUSg_contig_319.206 transcript:KYUSt_contig_319.206 gene_biotype:protein_coding transcript_biotype:protein_coding MTISRAYGFSLRRASARLQARRKKAPAAKKAEALLCSSLGIISNGQLVTEQALAEFSGRFKGQVSQEVVAALRALFKLDDPAYNAIDEALIAHGGAAALEANEEEDMSNV >cds.KYUSt_chr1.12139 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74998647:75004309:1 gene:KYUSg_chr1.12139 transcript:KYUSt_chr1.12139 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEKATSSVKPKAVALGALVSRKMPEIASTPQREAGVDGMERTEGLAAAHHQPMSECCGKPTRRGRPQRRPSHPRRPVHQGTTDGRRAAAAVAHPTRVCSAKHRGGGDAAHDMFIRSNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERVDGSLLLSTLCYRTIKTPEGNIIKAVDSSAAVVGRDTLAKTVYARLFDWLVDNINKSIGQDMESRAQIGVLDIYGFESFTYNSASKRASSVTLVTVLKRYLRRYYRSQAGYYRSSSDPKYRKVFS >cds.KYUSt_chr5.40175 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253738249:253741974:1 gene:KYUSg_chr5.40175 transcript:KYUSt_chr5.40175 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGDGYVGTAQDAVKIRRLEKQREAERRKIEELKSKNADGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLLKLQQEEEELQMQKRKKRRVRGDPRLSFFDEIENGSDDDEFENQETQKKQLGKDPTVETSFLPDREREAEEQAERERLKMQWSREQELVKNEPLSITYSYWDGTGHRRAIQARKGDSIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATKEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >cds.KYUSt_chr4.54269 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335488111:335488452:-1 gene:KYUSg_chr4.54269 transcript:KYUSt_chr4.54269 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMAVLAALFIGAMSTKVDLTVEKGSDVKTLVLNIKYTRPGDTLAEVELRQHGSEEWEPMTKKGNLWEVKSAKPLTGPMNFRFLSKGGMKNVFDEVIPTAFTVGKTYTPEYN >cds.KYUSt_chr3.13698 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82587838:82591366:1 gene:KYUSg_chr3.13698 transcript:KYUSt_chr3.13698 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYPILYGICAETNATRFSMAFVLKQMLWIGRIKELEEITLARDSADASAPEPDSSAVQVSAGSGGASADRPCHFVELASELKITQDTHESLATDAANYLCSQLQHLLAPISAAVNQGGPWEETSAMVRLAQKLQKSKRNKRWRKRKRKHVAELFQKESADFERIDQEADEWRARQIANDIAKRKMESMKQIAKKKANEERKRLESELELALMVEKLQELRSIRVQKLKKQGHFLPEEDDKYLERVKAAVEEEERQAVTAARTDAAKNAILTAEESRKNTHHTSAQEDGPEQPESGSAPEQNQGDASINEKSDHVNKKTEHVGQKVEIKGPGQSDSVTNLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRPGGSRIPGHWIQPPTPADEVWASYLVQPK >cds.KYUSt_chr7.24346 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151788215:151798484:-1 gene:KYUSg_chr7.24346 transcript:KYUSt_chr7.24346 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRASCSTVDFTERDIDRRTKALVGASPQHRDSQGLWDLDWLSVPSVATASTDPRTLSVSTPASFQANRYLAAMAGLGTGAGAPVVKVYHEKSMILPDVSRVLACLYEKNVEFETVKDSYKDILRLQSTRSVPVPFYDGPIFRQESRAICRYIAETYEQRGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHLAFPMLDEDMSDIDREKRKLEEVLEVYEQRLGESEFLAGNKFTLADLVHLPNTHHIVTSEKFAYLYDSRKNVQRWWNTISARDSWQQVVRDMQSVEEQNQIEELEQQQLEEQWQWETEPPPTSGRRILRIDPRQQTGTESRTVLVPPPSGGAISPLSFTVQQEQQPLHTETTSHGETSPNHRKGSNFFTTTDKTPAPSKQKTSTPQKPPSSVEGTRSNFFTPTSPPTSTKVSSKNNNEKSTSKDASKTSDRDFQARQTGEVVAPHKLHLGSHKAPQENSPDKSTEKSPSSVQNTTSSFFTPATTKDASSPTIPSQGSSKDNIKEARDADQKRSASATTRELPSGSQNTPQQSKAAPNLKASDLSPMQEEFEDTQGEDERFSTKRLRKMIQQSDPEVLKPQSTDLQTRPTQEETPSISKKPLYVQDRKGQAGNNPTDGKIDGIPSTGTRDPGTPSTGTSDPGTPTTADARRATTPPKGGVDPDGRGATEPRKLQSINEQQPAPPMPNRSQTSSARGASASSKGAAQDDDLAQSNIDQWRHTSTPANQEGAPDVAITDKLDKAADKRAQTQAPNKTSTGQSDKIAMQTPRRTTTTSDQAPVSPFSADRRKTGIDEASQTTKVAPDGQPAGRAPTNSVNKPISPKDNGKLTKETVYYSSSEISREMAPPGSETSMGQQKMQSDKSSISLQANGKQGSEAALLTNTDQNTGKTSGQDLQESQKQISPDTQQMKNNRSNSTLDGSSKPTQFDGNEGDVRETQRGG >cds.KYUSt_chr1.39739 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243188022:243190643:-1 gene:KYUSg_chr1.39739 transcript:KYUSt_chr1.39739 gene_biotype:protein_coding transcript_biotype:protein_coding MPICPPPSESSDSGSRAWIFHSLAIGAAAAAAAAAYLHRRPRGFRTLAVGVIPARYASSRFEGKPLALILGKPMIQVRRAYTPPHYPPCVRIYCCCFACGFGSDILSAHLPVQKIGRVKSGKVNPKFPYLLHLGISCFDSKFLKIYPELAPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDVEKIEALMRARNIQ >cds.KYUSt_chr4.39864 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246138127:246138468:-1 gene:KYUSg_chr4.39864 transcript:KYUSt_chr4.39864 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSASLLILVVLVATACFARLGSAARDVPAEKPVAVVEDAVKRPETFQEGTVLIPGIGRYELGTHYMPDLGGLDHSIPAAASGQFIPGADDTWVPNPGFEVPNPFRPGSESP >cds.KYUSt_chr3.762 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3899158:3903522:-1 gene:KYUSg_chr3.762 transcript:KYUSt_chr3.762 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGPSWSCRTNNVAVDRTILQTIGVGGQKVQPPHPRPWLRLFPDAVSIGPPAQGKPIVERRARGTRCGTSPTPSRRHGSLLASTAPLAEIGVLEGTRVSPNPRGLCGEDDRTEVLGFEIARDRPFYTSRARLYIGELVLFPRNILRGKGFHISKLRAGNTLALYRIDLFHGRLKRRSMAGAEVADDTSCGRLCRPPGLAPKWQGHGLGASVLVEPALAPKRQRASLRLGTELLSSAVASAASVRLVS >cds.KYUSt_chr7.1107 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5941182:5944666:-1 gene:KYUSg_chr7.1107 transcript:KYUSt_chr7.1107 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPLPATTASPSGCSFGSDAPIPEAPVFRPTEEEFADPLAYVARIRPVAERYGICRIVPPPSWSPPKALDVAALSFPTKRQPIHRLLARPAPADPDTFLLDYARFLKAYRGPPGRGLPKLPDGRPLDLCRLFHAVKRFGGYDGACKGRRWDDVVRLVDDRARRHVPECAKHVLAQLYHEHLYAYEKFTSKPVSSQEESGKVDLDDQPSVSGLKDQGRSQSVPGGEMMDAAEVSGVRSRKRRDAINKKAIGMASHSNNNGGTAKNAASAGPWKWKRRKVDDAEANKVDDQVCEQCDSGLHGDAMLLCDRCDKGWHLYCLSPPLESVPHGNWYCSDCMNSDSDCFGFVERRKTCLLDAFQRFDEKVKRRWFGQRHPSRVQVEKQFWEIVEGRAGELEVMYGSDMDTSVYGSGFPRLGDPVPPSVDLEMWQKGEPKCWYGVPGAEANAFELVMRNTLPDLFDAQPDLLFHLVTMLNPSVLQVNGVPVYSVMQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGIGAELYRMYRKAPVLSHEELLYVVAKVRECL >cds.KYUSt_chr2.37663 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233107540:233108304:-1 gene:KYUSg_chr2.37663 transcript:KYUSt_chr2.37663 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLERRVAAIGNEGHRGMLPPPAAAVFSERAQAEENTAIVSALAHVVASGRGPPGPAPSLVMPYHQGVGDPGPAEQGTEEQRGRKYRGVRRRPWGKWAAEIRDPQKAARVWLGTFATAEDAARAYDAAALRFRGSRAKLNFPEDAAAAAARRATDAATAAAAASARPAALLESQPQHGGDAMGYLDGALAPSNGMGWHFGGGANGRFLGSWSIGTSPTSSGSDASLAGPASAPLFQFGSGLKQSSDSSSCRDY >cds.KYUSt_chr3.8592 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49871803:49873215:-1 gene:KYUSg_chr3.8592 transcript:KYUSt_chr3.8592 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASGEMPLPTAALLARSHSPSAPAPAPQPAAASSRLLLFLTAALAVSTSYLLLLRPPYSAASPHPPLSAARPLSKLPKPVVLLVSTDGFRFGYQHKAANPHLRRLIANGTSAAEGLIPVFPTLTFPNHYSIVTGLYPSSHGIINNFFPDPVSGDEFNMGSHEPHWWLGEPLWATAADQGVLASTFFWPGSEVHKGAWDCPDKYCRRYNESVPFEDRVDAVLAYFDLPADEMPQFLTLYFEDPDHQGHQVGPDDPVLTDAVEHMDEMMGRLIAGLEARGVFEDVNIIWVGDHGMVGTCDQKLVILEDLAPWVQVESDWVLSTTPLLAIKPPEGVSPAEVVAKMNEGLASGKVENGEYLKVYLKEDLPSRLHYSESYRIPPIIGLVDEGYKLEKKRSNAKECGGAHGYDNAFFSMRTMFVAHGPRFERGKTVPSFENVEIYNVIASILSLSPASNNGSASFPATVLLPS >cds.KYUSt_chr3.1288 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7186099:7193816:1 gene:KYUSg_chr3.1288 transcript:KYUSt_chr3.1288 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYCAAAAAAAAADGDEPAVAVLPTTAARRPYGEYDRFSTKQVFDNLHGNISLDPLACEFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYQLSGEAMQNLQMNQGKELGIDRVDVQTVKLAGLLHDIGHGPFSHLFEHEFLPRVDPGSTSKWSHEHMSALLLDNIVDKHAIDIEPDYLKIVKEMIIASSKFSTTEGAKEKRFLYDIVANGRNGIDVDKFDYIDRDSRACGLGSNFQHWRLLQGMRVMGDEICYPAKDYLSVHKLFTTRADLHRTVYTHAKVKAVELMLVDALLEANDYLGIALHAEDPEEFWKLDDTIVKSIETAPNDELKKAKEIIQRIRRRQLYKFCNQYSVPKDKLEHFKDITAQDIVCSQKSSKVLLKEEDVAVSNVKIDLTRGRDNPLESVKFFKDSGCEEKFTINEDRVSHLLPACNQDRIVRVYAKKPELVEAVSEAFENLQVRMYGEKTQVHDTPTKKRRMRFD >cds.KYUSt_chr1.41682 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255499347:255503818:-1 gene:KYUSg_chr1.41682 transcript:KYUSt_chr1.41682 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKSRGDTAVNTIVNLAEEAKLAREGVKGPGHQVLTVCKSLFAGGVAGGLSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASRGVLYLYRQQTGDENAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRITVQTDKSPYQYRGMFHALGTVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWILRTNAFGLADNNELHVVTRLGCGAVAGTIGQTVAYPLDVVRRRMQMVGWNHAASIITGEGKEALQYNGMVDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEAVKDVLGVEMRID >cds.KYUSt_chr7.32858 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204780329:204783286:1 gene:KYUSg_chr7.32858 transcript:KYUSt_chr7.32858 gene_biotype:protein_coding transcript_biotype:protein_coding MATHWGSSPADEHDDFDEFDPTPYGGGYDLFVTFGRPLPPSDETCYPCSAPSTSYDAPHYAAEEPSPYGHHHKAQAAPGFRPQHEQPSYGGGGSGYGGSKPQPAYGFRPQEEEEQQHSYGGAGYGSEPQPAYGFRPQQEEQQHSYGGGGGGYGSDPPRRSEEDTYGSGYGRKPQQEEDSYGSGYGRRPQPEAGYGSGYGSKPQAEEQAYGSEYGSGYGGRKPQAEESYGSGYGGGGGGYGGRKVEDEVEGGEYGSGGYRKPKPYGEETQGSYGYGQSAGYEKPSYGGGDQYGRKKRDDDDSDDEKKKRYGGGDKYGRKKHDEDNDSDDEKKKRYHNRRHNYDD >cds.KYUSt_chr7.37144 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231849268:231853636:1 gene:KYUSg_chr7.37144 transcript:KYUSt_chr7.37144 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVRRRRMGTVRRRQRARRGGCGACVVLISKYDPATDEVTEFSASSCLTLVGCLNHCSVTTREGIGNTRDGYHPVQQRLAGFHASHPGAELTCSEAEHAVSGNLCRCTGYRPIVDTCKSFAADVDLEDLGLNSFWKKGADRADVDKLPAYSAGAVCTFPEFLKAEIKSSLEHQTNRVPAVIASDDGWYHPKSIQELHSLFDANWFDETSVKIVASNTGAGVYKEQDLYEKYIDIKGIPELSVIDRSNKGVEIGAAVSVAKAIEVFSDGTPVFKKIAGHLSKVASPFVRNTATIGGNLIMAQRLWFASDIATVLLAAGTTVTIQTASKTLCLTLEEFLEQPPCDAKTILLSIFVPDWDSDSVIFETSRAAPRPFGNAVSYVNSAFMARTSGDAASGDLIEEICLAFGAFGVDHAIRARKVEDFLKGKSVSAPVILEAVQLLKDVITPSEDTTHPEYRVSLAVSFLFSFLSSLGKDLVEPTKDIIPNGLGVNVIMNGSAESLTEKHAEVASDDLLIRSRQELVFTDEYKPVGKPITKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKGVDFKSSLAAQKVITVISAKDIPAGGKNVGSRFPMTGEEALFSDPVSEFAGQNIGVVIAETQKYAYMAVKQAVIEYSTENLEPPILTIEDAIQHNSYFHLPPFLAPQPVGDLDKGMSEADQILTGEVKLESQYYFYLETQTALAIPDEDNCITVYASTQIPEITQNVVADLLGLPYHNVRVITRRVGGGFGGKGLKGCHVACACALAAYKLRRPVRMYLDRKTDMIMAGGRHPMKVKYNVGFKSDGTLTALHMDLGINAGISPDVSPLLPAAIVGALKKYNWGALAFDVKVCKTNVSSKSSVRAPGDVQGSFIAEAIIEHVASTHAADTNAVRRKNLHGIESLTTAAAVERFNSSSRWKKRGISCVPITYEVSLRPAPGKVSILNDGSIVVEVGGVEIGQGLYTKVKQMAAFGLAELVPDADGLLDKVRVIQADSLSLV >cds.KYUSt_chr5.33510 pep primary_assembly:MPB_Lper_Kyuss_1697:5:212549328:212551564:1 gene:KYUSg_chr5.33510 transcript:KYUSt_chr5.33510 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTMVISLGGSRRRKRGEVLFRFDSFCQPGYPAQLAGAFRDNVRTLLGLAHLEAAAPGETRCWSFQLELHRHPPTVVRLFVVEEEVAASPHRQCHLCRVVGWGRHLICSKRFHFVLPKRESSVETDGLCYGVSGHGGGTEKASKGTATSRGHLLHGIVHLNGYGHLVGIHGFEGGSDFVSGHQIMDLWDRICSALHVRKVSLVDTARKGHMVLRLLHGVAYGDTWFGRWGYRYGRPSYGVALQAYQQSLHALQSVPLCVLLPHLSCFTQDLPMLVTKYQAISGHKLLNLGDLLRFMLDLRTRLPATSVTAMDYRGIMSDASCRWSAKRVDMAARAVVDALRRSSSDARWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRAMNPVTKVLEYCLEDVSSVLPAAAPGAGVATPGQGKMRVRFHLTRAQLMRDLVHLYRHVLKEPSQALTTGAFGAIPVAVRMVLDIKHFVKDYHEGIMAATSNGGIGHVYVSLCCTLVVRNGGPELVPPYETVTVPAHATVGELKWEVQRLFRDMYLALRTFTAESVLGIGAGQDASAVLGLIGVGSTVVVEGVVDEEQQQQAEDGDLKKEAPAICEGSGDVGERVVDCVCGADDDDGERMACCDICEAWQHTRCAGVADAEDVPHVFLCSRCDNDVVSFPALSC >cds.KYUSt_chr3.43186 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272977915:272980135:-1 gene:KYUSg_chr3.43186 transcript:KYUSt_chr3.43186 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVHVALDIDALLYGSAPMVKHQSSDTIYNSTLAKILVEYASAIYTADLTQLFTWTCDRCGDLIKGFEMMDIIVDVENCLEAYVGFASDINAVVVVFRGTQENSIQNWIEDLLWKQLDLDYPGMPEARVHRGFYSAYHNTTLRDGVVGGISKTRKLYGDVPIMITGHSMGAAIASFCALDLVVNYGLDGVKLMTFGQPRIGNEAFASYFKVYLPHAIRVTNAHDIVPHLPPYFTLFPQKTYHHFPTEVWVHDVGLGSLIYTVEQICDDSGEDPACCRSVSGNSILDHITYLGVSMHAEAWSSCRIVMEYTELQHKMDLYGNIVLSKQPDLHSAQ >cds.KYUSt_chr4.21075 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132803513:132804034:-1 gene:KYUSg_chr4.21075 transcript:KYUSt_chr4.21075 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPVLISLTLLVGAAATRNPPTHLEFYLHDIVTAVPSSPATAVRVARGVTPLPHNPTIHFGDMYVIDDPLTEGPDLSSPALGKAQGLYIFASRTDMALMQTFNMVFTAGPHNGSTIAVVAREPILDPVRELPVVGGTGTFRGATGYVLFRTYSANGTNSVIHVDMYVRARV >cds.KYUSt_chr3.43969 pep primary_assembly:MPB_Lper_Kyuss_1697:3:277598833:277600201:1 gene:KYUSg_chr3.43969 transcript:KYUSt_chr3.43969 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSSRISSGCGDLRIVKELHRQFILLLRLRDGCGLFDPFGDFPSAINNVRLAQGGAAAAARRRHGQERGKRRQEELEGDDNGLIREISRGGGALSSDHRSSEKHHQPRPALDLAEKPGFNSKPNRQSAENYS >cds.KYUSt_chr7.8993 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54729238:54730545:-1 gene:KYUSg_chr7.8993 transcript:KYUSt_chr7.8993 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISLARKLRSLCIAGELCEAVSLLHRSAVRPVPGAYALLLQECVNRKDARVGKRIHARMVATGYRCGDYIATKLLIFYAKIGELGVARSLFDGMPTRGVVAWNALISGCTRARLEAQAVEMFGAMRAEGLRPDQFTFASVLCACARLAALEHGRRVHGVMAKSGDIVAGNVFANSALVDMYLKCSSAEDARRVFAAAPERNVTMWTAVISGHGQHGHVREALALFQQMTRDGFRPNDVTFLAVLSACAHGGLVDEGLRHFSSMPSDYGLTPKAEHYAAVVDMLARVGRLRDAYELVKNLPDSQEHSVVWGALLGACRKHGGDLKLVELVAQRFFRLQPGNAGKYVVLANTYAACDMWDSVAGMHEAMKSLGIRKDPAWSAMEVHGKKHFFLSRDTYHDECSAIYEACNALARTITEHSMRPMDGISHRNWSEM >cds.KYUSt_chr7.30238 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188281821:188284372:1 gene:KYUSg_chr7.30238 transcript:KYUSt_chr7.30238 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDSKKTSGSTEYQLRNYLLLLATLVATVTYAVGLNLPGGIWQDTQDGHLAGGLILHDTHYNRYIVFYYCNVTAFVASLVIVIALFTYVYSEKKDRDKHKKEDTENKEKGLVKQDENPEKQGEDSHDHKCEACRLYIETVHLACLAHLTSLARLTCLTRQAHLELDTTIYVVSLIGAVVAYIFLQVAITNAITTKDPKWLQKIRKLSVEPEGPIGAHAAGSGTDKEDAKLDNRREAYPPPSITQGKAEANEAPGKAKTGKALNRADEAPASEALVKARNLVILLDTLIVSITYQAGLDPPGGLWPDDQDGHKGWRSNTAHNAPYTVQGMFGLMGAYAAGSSYSVRTSIYVLTLVAAVFAYVILQVVIFWIKNKTPEQVASSSNVPSDPENGSSPQDEEVNFSKEKKKEKGLREYLMLLGVLAASVTYQTGLKPPGGLWQDNSNGHTAGNSILHDINRSRYHAFFYSNSTSFIASIVVVMLLLPKTLHNHKLQLWPMHTAILLNMLGHAAGSTRDWETSKTVIYLAIPVLVYITAYAAYSFYQKKKKE >cds.KYUSt_chr5.8078 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51036818:51037177:-1 gene:KYUSg_chr5.8078 transcript:KYUSt_chr5.8078 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAASSITSTLSAAAAAASGGSFVRDRVVARDDAARVLRTEVLEGGKVRNQLKFLVNEVKFEVAGDDACVAKFRVEYERINGDGALAPEDQSVIVEGYLGILKAIEAYLVANPSEYA >cds.KYUSt_chr6.28747 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182130485:182133835:-1 gene:KYUSg_chr6.28747 transcript:KYUSt_chr6.28747 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFFRQDHGDNSATSSSSSSGSDSDPDLAEEEEVADEEVEEQQEDVEEAAEEESGEEEEEEEEDEEELERKQIEEEEASGYQSEDSSGHDVDGPSVGRSKYLLPILLRKGSLSDDDDDDENSSPMYEQDHAINLPVKKAFSRVNADSSKVAANKDDPLEADFNNYVLKCKSVYKCKLCPRIMCLSEDMVRVHLESKRHARSKKLLGNGRLKMMLNSDGELEEEAETHAERHARTIALAQQVQKPKKDSGRQRQLRRRQKKRSRNQLEKKQQPESSGKEGAAKPEKSDKKDVKPEKSDKKSTRREKNKSDKKRRKTEK >cds.KYUSt_chr7.35381 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220967775:220979553:-1 gene:KYUSg_chr7.35381 transcript:KYUSt_chr7.35381 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIRGLWGVVLGFGRSRLGCCDALQDFPARCPAPARDQSWLKSILFSTATTSSHHDEGSQDKEKISVTFVNKDGTEQTIRVPVGMSMLEAAHENGIELEGACEGSLACSTCHVIVMDVEHYNKLEDPTDEENDMLDLAFGLTETMLQRWWATFSFIVDSAPSCGGIRNLIVLLRFVGGRSLSQVKILLDASVADHGGAYGCHFLLGGVIMDWVVPPLDARGNNSESEVFRKERDELEEIFLRQPILKHDLPVEDLGTTPPPKEDPVFDLKPLPDNLKYAHIDDKKIYPVIISSKLTEFEEERLLEILKKHRGAIGYTLDDLKGISPSICQHAINMEDDAKPVVEHQRRLIPKMKEVVRNESIVEVFMDDYSVYGNSFDSCLRNLDKVLQRCEETNLVLNWEKCHFMVNEGIVLGHKISEKGIEVDRAKVEAIEKMPYPRDVKDRKGADNPVIDNLSRLENIAYDPVPVNDSFPNEQLALIKVSSRDSPWICFGSRAIMSSSETPKDSSCKDVGNLYMEELRMHPKELLLVEGELQIKDVQGPKGEGSLEDRMEKLEQEVFKYKKMAGREVDIFHRIVSELITEHEKETAKLWGDILSLHDTTNKLQAQLYDVQNQNCEYENRFKYISRAASFRIPETKMSFLDGEPLPWKFDDGSSSPPSPQE >cds.KYUSt_chr3.32878 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206508136:206510514:-1 gene:KYUSg_chr3.32878 transcript:KYUSt_chr3.32878 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNQNVAAAPQQQQNRALGGGVPAPGKQKAAMAGRPETNKPRRGVLRDIGNFGNVRVPEGKPQLQEPVNAHRPVTRNFGAQLIKKAQEKAKNPARLPRVKLAPPPAEHVIEISSDSDVSKSTEGSVSSVRKQSRKKVITTFTSVLSARSKYAAREVIQDIDKLDGDNQLAVVDYIEDIYTFYKVAENECRPCDYIESQVEINSKMRGILADWIIEVHQKFDLMPESLYLTMYIIDRYLSMQPVLRRELQLVGVSALLIACKYEEIWAPEVNDFILISDSAYTREQILRMEKAILNRLQWNLTVPTPYVFLVRFAKAASSSDSKNDKELENMVFFFAELALMQYSLVLSKPSMVAAAAVYTARLTLKKTPLWTDTLKHHTGFTESQLLDCAKILVTSHSTAPESKLKVVYKKYSSEKLGGVALRPPATEICK >cds.KYUSt_chr7.22809 pep primary_assembly:MPB_Lper_Kyuss_1697:7:141602324:141603058:1 gene:KYUSg_chr7.22809 transcript:KYUSt_chr7.22809 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFLGVCLRPAALRTGAVVSALRRLVSPSFPRSGEVGGLAGEVVESPVFCSDLASLAGLGGEGRRRWELMFWLLVVLVVFFVRAAPAGRGGEGSGRLKIGWLLLLDEVALVGLLRRLSRVDAVGDAAEVLTWLAMVGSGCSGPDRMRFELGVCRRPMFLQCVADPLLRNWWTLRLVKAFWRGVPPLPGFVFGGVFFAGVRAGGVQGRWREVEDEDGPQGSFLLHLFLVFLYFCAFGCRILTTV >cds.KYUSt_contig_402.298 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1777689:1785632:1 gene:KYUSg_contig_402.298 transcript:KYUSt_contig_402.298 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEERELQVRALDGRSTAVTLAAAASVRDLKAALRSSFPPAQISHNFHLFLKGAKLRLDAEIDSLAVSHGEFIVLVPFTRKSAQSSPAATPGEEQSSNPPISPEVAAEANSAWQDIMEDLSSIPSSPHAADAASKDLCSGSFAGDVSTRKSLSTERTVKKRKIFKENGSSSRDTSGEQPSMNKKNGFVKSAASSCHDKHPLGPAEMVEHLKKGLGKEGQIVHIEEIPCRGASYAELPPDLSEAMKEALQSIGISRLYSHQSEAIQSSISGKHVAVATSTSSGKSLCYNIPVLESLSQDSTACALYIFPTKALAQDQLRTLLEMKKAFHADINVNIYDGDTPREDRLWIRDNARLLITNPDMLHVSVLPCHGQFQRILSNLRYIVIDEAHSYQGAFGCHTALILRRLRRICSNIYGSHPTFMFCTATSANPREHVMDRRKIEADLFEGKLRGVAATNALELGIDVGHIDATLHLGFPGSVASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKSPHKLFGRPIEHCQVDSHNPKKRPSQTVSIRAIEHDKYSVIDKLNNRLLEEIEESKAFFQVYEGAIYMHQGVNYLVEELDLSSRTAFCRKADVKHMMCTSSDLGTECVNPHETRGMPERILLYDKHPGGIGIASKVKILFGELLLAALELVSTCSCTSDAGCPNCIQSLTCSEYNGVLDKEAAILILKV >cds.KYUSt_chr4.13602 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83760923:83766470:-1 gene:KYUSg_chr4.13602 transcript:KYUSt_chr4.13602 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGGLIDNLTGANKNGRLKGTVVLMRKNVLDLNDFGAAILDGLTEFLGKGITCQLVSSTAVDNNNGGRGKVGAEAELEQWLTASFPSLTTGESKFGLTFDNWDVSKLGVPGAVVVKNYHDNEFFLKTITLDDVPGRGKLTFVANSWVYPAAKYSYSRVFFANDTYLPSQMPPALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGEGRPILGGTADHPYPRRGRTGRKPNTNDPSLESRLSLLDQIYVPRDEKFGHLKMADFLGYSIKAITQGIIPAVRTYVDTTPGEFDSFQDIINLYEGGIKLPKIAAFEEMRKRFPLQLIKDLIPVGGDSILKLPLPHIIMEDKQAWRTDEEFAREVLAGVNPVMITRLTEFPPKSTLDPSKYGDHTSTITAAHIEKNLEGLTVQKALESNKLYILDHHDRFMPFLIDVNNLDGNFIYATRTLFFLRSDGRLTPLAIELSEPFIQGGLTTAKSKVYTPVPSGSVEGWVWELAKAYVAVGDSGWHQLVSHWLNTHAVMEPFVISTNRHLSVAHPVHKLLSPHYRDTMTINALARQTLINAGGIFEMTVFPSKFALGMSSVVYKNWNFTEQGLPDDLVKRGVAVADPSSPYKVRLLIQDYPYAADGLAIWHAIEQYVDEYLAIYYPDDGVLQGDVELQAWWKETREVGHGDLKDAPWWPKMQSVTELAKSCTTIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTDEYAELGRDPERAFIHTITSQIQTIIGISLLEVLSKHSSDELYLGQRDTPEWTSDPKALGVFKRFSDRLVEIESKVVGKNDDPQLKNRNGPAKLPYMLLYPNTSDRKGDAAGLTAKGIPNSISI >cds.KYUSt_chr1.10019 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61383337:61387012:1 gene:KYUSg_chr1.10019 transcript:KYUSt_chr1.10019 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSMEDGEITVSGYDTAWVALVPTLDGGEGPEFPESLRWILDHQLPDGSWGDAALFSAYDRITNTLGCVVALTKWSLGSENCKRGLAFLEENIWRLAEEDPEEMPIGFEIAFPSTLETAKGLGIPFPYDHEVLQTIYANREIKLKKIPMEVMHSFPTTILHSLEGMPGVDWDRILKLQSSDGSFLFSPSATAYALMQTGDAKCYEYINRMLKKFDGGVPNVYPVDLFENIWAVDRLERLGLSRYFKKEIKQCLDHVHRHWTEKGICWARNSEVQDVDDTAMAFRLMRLHGYDISPSVFEHFEKDGKFFAFVGQSTQAVTGMYNLNRASQVSFPGEDVLERAAAFSHEFLRRRQAEGTICDKWIIAKDLPGEVEYTLDFPWYASLPRVEARFYIEQYGGEDDIWIGKTLYRMPLVNNNIYLDLAKRDFNRCQIQHQLEWHGLQKWFTENGLEAFGVTSGDVLKTYFLAAASIFEPNRATERLAWARVSVLANVISKYLRSDSSGNKVVEQFMHGSLYEGETAVSGLNGDAKEEIIVGALEKLVDLMAQEAPGDGTMYVNNLLRCADAEKNDAIIRHMDKKIRFDMQELAQNILQTDDDEPSSKTKQTFLNIVKSCYYATNCPSDILDKHVSKVIFEHII >cds.KYUSt_contig_1467.184 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1207434:1207937:1 gene:KYUSg_contig_1467.184 transcript:KYUSt_contig_1467.184 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDLKKMIMLKSSDGEEFKVEEAVVMESQTIRHMIEDKCSDNGIPLPNVNSKILSKVIEYCNKHAQAAKPVADGAADGASALSPTEDLKNWDAEFIKVDHATLFDLSLAANYLNIKELLHLTCRTIADMMKGKTPEEIRRTFNIKNDFTAEEEEEIRREYQWAFE >cds.KYUSt_chr5.41137 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259591125:259601457:1 gene:KYUSg_chr5.41137 transcript:KYUSt_chr5.41137 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPELSLVRQTASVASLPGLPVTTAAVSGRSAGNEPPIRAPDAHLPLRSPATSCAKSSNTPVPRLVGYSAAEPQRDAARDGGCDGGRSLGFGWIGCGGRGRLKRPRGAAAAGISHGLGPDVKMRPTGAYPADRAGDQSETAAAPGRKQNKPFPSTAGLHQTTAAPLLSVGLHQITARRSPPSPSTSLPLPSTRPPPLPPAGCSSPSSLPPHSPTPPSALCTPQAAGQSAASSEARRQAGRPAAARRFKPPLRTRIAGGSSTHPRASTLSTGESWSCFGILRRANKQLALEIENAIDQRRFDDAWRAYEKHVHMDGFPRKSVLGKLITGFAVTCDAHRLNQSYSVVDHVSRDKIELLEREPLIYLSLILARCALPDLAVNVVRKLVKMEIYPPVAAWSAIVAHMCQTATGSFLAADMVMEIGYLFQNNRVDPRKKSNRPLLSMKPNSFTFSIVLTASLLFGTTKKAEQLLELMPRIGVKPEASLLIVMARIYEKNGHKDEIQKLKRHVDEACGLSESEFRQFYDCLLSCHLKFGDLDSAVDMVLDMLKKGKNAKRSLEAAKAVLEAVENNKIYLPYDKAGTENLGSPNKSVSTDSQMLNYVSFFKDKNFAKLELEARELHKLLSDKLQEQVGLVKSEHGILHPTETMYAKLVKAFLEADKISALASFLVKASKEDCPVSVESSFIVQVISACISVGLLEQAHDLLDEMRFSGIRVGSSIYSSLLKAYCKEGHHEDDITALLKDADQAGIQLDSSCYEHLIQSRTHRNNTTGALHLFKEMKNSNIQRSGHKEFEMLVQSCDNSEAALTTKLVEEVRSGHTVNHAIHDWNNVIHFFCKKRLMHDAHKALSKMRVLGHMPNAQTFHSLVTAYAAVGGKYVEVTDLWGEMKVLANSNSMKFDQELLDSLLYCFVRGGFFLRAMEVIELMEKCKMFIDKYKYKSLWLKYHRTLYKGEEDHYGQRKWEWTKTTLAQTMKMMYRVIAQELKAMNIENAKLQDYLNFYCLGNRGEPSTNGSPDSDKSSDRSAAVYRYRTSLRAEHLGVVDEHFKDRSSPDCQAHDLLDEMRFSGIRVGSSIDQAGIQLDSSCYENLIQSRAHRNNTTGALHLFEEMKNSNIQRSGHREFEMLVQSCDNSEAALMTKLVEEVRSGHTVNHAIHDWNNVIHFFCKKRLMHDAHKALSKMRVLGHMPNAQTFHSLVTSYAAVGRKYVEVTDLWGEMKVLANSNSMKFDQELLDSLLYCFVRGGFFLRAMEVIELMKKYKMYKGKAPKVQTEAQLIRREAALHFKRWIGLT >cds.KYUSt_chr1.10771 pep primary_assembly:MPB_Lper_Kyuss_1697:1:65906047:65911625:1 gene:KYUSg_chr1.10771 transcript:KYUSt_chr1.10771 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPAAGAAVGTHHPLQYEPPLGPAAYSPRGGDSSSAWELRPWSPVPAESLAPERAAAARPPRHECYWWPASFSSNAPPGGDSSSTWDKGERPRSPLPADPFAEYYDKLAGYFVEAARRLPLAQIPYLAHCIRLKGLAIGFADPVTNILLTTIDAFAHTEKYMIYPVDRTAIELTKHKITFADGARSSWVALNRFMVCYFRYLTGTQADLLLHKAGYDLRVAIEAVELHIAGPNYSELLQDSARTKTAFEDAAYPRCSAPNLLRLMTSSYSRSMVEPILEDLRRGGELTADCVYKLCTLLRRPWSSPPPRPPPPIPGTFRDRSGGATLIFSFGDDSFVTTRISKDGVATATVSSSSPTYAGCLEDTTNLVSMFPIHSGRLVSHTETLESPEFLPFLKSQLLDMIHGVYLKAIAILPARALREGHLLHSLVTAGHCYGPLDPVSNIVVNTIWYDATFPLSIASKVGAMDILDARSMHRIESRSIDGLVAYLRRSPSIDEQHAVTILCRNRLDNPILDLSNMRDVALAAKHPQPAAFGEFLEHVSIQELYNQYCLSRVQGNPDSAFEVLKTALLQETSQTTRVASRLKRCAHHMDASAALERSFFMSQQDHFRRVLETLLIGYGYTNPLVDFDTECAIWSQKKVLVSKFLATANMNVGSWEVPLYNLGVICGVTRQRNYSCTDVYHANFLASSDGGSSWKLFFAEFWNQPDERIEESKRPFCCPIPDYHEYPGYNKKGGWNDSVLRVLQTVASGVNEQSVSPTDAADHAL >cds.KYUSt_chr6.17525 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110347747:110349062:1 gene:KYUSg_chr6.17525 transcript:KYUSt_chr6.17525 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLRRLRKIDIQPLIDKIAARLGHWKGRLMSRAGRLTLLRAVLSALPVFIMTAHPLSAWAIAQIDKLRRAWLWAARDTCTPGQCRRFNNALRLRWLWQAKTAIKPWKGLLEQPSKADMALFTSMTEVTIGNGMTTSFWSDSWAGEPLRKQWPLLFEASRRKNKTVADALDDDHWLTDLRGRVSLDLLLDFVALRQVVRGCSIDPQVEDIFRWKSASGVYSASSAYAMQFDGSLKSPLRHILPAWAPPKCKFFMWLLLQRRVFTADRLLRFRMPNQYFCPLCRRNLETPAHLFAECPWSREVWERSAASLSCPAIRATDADDLALSWAVSKLAVFSPAIYAC >cds.KYUSt_chr1.2308 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13549831:13550673:-1 gene:KYUSg_chr1.2308 transcript:KYUSt_chr1.2308 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKKDKHEEKDMVLFPTMMHGGATMEGEKDKHGEKDMPVPTMMHGGRHGHPPKGYEYPPLGCPPPRVPYPCPDAYPLPIVYPPQYGYPSYYPARYHGAVMEDTWDHGYLEDIGSLMLGTDATVDIRDMEATAALAMGTPVTDITTVGMVTATTASLVDLQG >cds.KYUSt_chr4.11155 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67735594:67736272:-1 gene:KYUSg_chr4.11155 transcript:KYUSt_chr4.11155 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKEEQHGGAVGWMTVPTFGDWDMKNGPVPDYSMDFSKIREMRKQNKRELSRASLGGDDDLLAQQHKAAQAQAAKAAEFAPAADDHHRPLHTAHDDSPTVRVMINLQYLFYYIVTL >cds.KYUSt_chr2.40028 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248606409:248609752:-1 gene:KYUSg_chr2.40028 transcript:KYUSt_chr2.40028 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNDEFLHTDNFFPDLSDFFGNLNMGNNDAVVNILYPLQATKDLMGTRRSLHLSMLVLKTLLLMFYGASSTHCSMVHGNMTDLRSLLDFKEAIIDDPTGALRSWNVSIHHCMWLGVNCSTRHPGRVTALRLDYLNLTGQIAPSIGNLTFLRELNLTYNNFSGRLPPLNRLRKLDTLDVGGNFLQGNIEDALIALRECSKLERLDLSSNMLVGPIPASLGNLSFLRELRLSDNHFSGQLPPLNRLTKLEIFFLRGTSLQGSIQDSLVSLKNCSKLQWLDLSFNMLEGSIPRNIDYLSNLVGILLSENNISGVIPPTFSNITHLQYILLDDNQLEGNIPEELGQLVDMVVIRLGRNMLSGRVPTTLFNLSHLEELYLSSNMLSGAVPSDIGDMVPSLQYLILRDNNLEGHIPDSLGNASELQAIDLSYNYFTGPFPSSVGKLRKLQDLILDSNKFKARDSQSWEFLSALSNCPDLRILYLYGNQLHGVLPNSIGNLSSTLQGLDLGANNLCGTVPPSIGALKNLENIRLRGNKFIGTIPHSIGNLAKLKRLDLSDNQFDGW >cds.KYUSt_chr1.37126 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226834814:226836148:1 gene:KYUSg_chr1.37126 transcript:KYUSt_chr1.37126 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYHHHHDPPPPPCCSCACVCACSAPAPCGGGGGGYYPVPAQPAPASDQLLHAIAAHLLLNSAPPPPPPPPQSQAQPQPQQPPPHAAHQANLHSSYAYQYNHQQQQGPQPHSHPQPPAPPPQQQQGPYPQPPAPPQQHQPYPSDHGQLLLHSLLRRVAALETTLPHSYFPAPPPIPQPHPNPHRRHHRAAAHQEEESDDPPSPPPRRARERGGRRPPPPSERELAARTIQEHFRRFLARRSRTLRQLKDLAILRSKAASLRSSLSGSGPRRCKDPVAVSEAAMGLLLHLDGIQGGDPMIREGKRAVSRELSRILEFVDKVLVKDHEEMALDNGEYPEGCHGAPIVNRPSANKKVSFHCSEAAQNEEDESSESSSSAEADERKGTNGKNVANGKPGLAAPAPVHMESRRTAGEMR >cds.KYUSt_chr4.54412 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336201683:336205716:-1 gene:KYUSg_chr4.54412 transcript:KYUSt_chr4.54412 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKSLLKPKPTPQQQLREWQRRLRNEGRNIERQIRDVEKEQKKVEKAIREAAKRDDIGSAKALAKEVVRSRKAVNRLYENKAQLNSISMHLGEIVATTRTVGHLSKSTEVMKLVNNLMKAPEVAVTMQEFSKEMTKAGVIEEMVNDAVDSALDNEDMEEEIDEEVDKVLSAIAGETASQLPEAVRKEKEQVTQPSTSQPVERTAIAESVDDGDEDELEKIRERLAKFCRFWEHWTMLASKL >cds.KYUSt_chr6.31623 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199862123:199864630:-1 gene:KYUSg_chr6.31623 transcript:KYUSt_chr6.31623 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAADQGQSCADPPSEPAVLEQRHHSHPPRVLLPRHGLRPESSSLPIPDEILAEIFLRLPTPTDLIRASGACASFRRVAAGRSFVRRFRKLHHPPLLGFLDERGVFLPALPPYPSAPADIAADFSFSFLPAPARDWVVRDSRDGRVLLDRTCPLLKIPFREMVVCDPLHRRFLLLPPIPDDLAATVEDPILTDTSSSEAFLIPRCEDDEEETSFRAIWMSQCQTKLFAFVFSSNTGQWRAVPSRSWNDLLAGLLPIGSAIFYHRECLYGCFYWRTGRSMEMKMLVLDTGMMEFSIVEPLHEFRYSLDVATVEAGKGRLGMFVLADAMCNLSYSTRQNDGGGSSTQWQMEQTVSLGSGYLFSIIGTAERYLFLYRWQSSVSDFRVFAVDIKTFQLQQVCHVPKLCKLDAYINFPPSVLSLPTISSGVENEAEETLEQGCAPSSSA >cds.KYUSt_chr5.16048 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103398823:103406601:1 gene:KYUSg_chr5.16048 transcript:KYUSt_chr5.16048 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLASNERGICYAEVREFKLEVWELMESAHDQIEWTLAHEADLKEYDRTMHYLRKETSMQPRMQWAVVEGSKNLISLFKHNSDDESDDDCDDETPDGDYEEGESEEEKDDNYVDVEEQEQEEQDYADETRGEEEEEQGEEEEDQSSCHDDEIEELGSSDGSDNSFNSDQHNFIDFDSSAIPEQQFWGWGCSIVGFHPYKDVLLLKFSNTVVAYHLHTFRMQYLGEPYFPASSTRLVCHDSTSTHSVLAGSSDDLAENTSGPARPVRNIVSTVNMDYHLDLKQIALEARTAGYNLKSLSYDSSDDEYDQEEEENISLLLAYRAVKKPKFDGSVFGRQKLWRERIEGHEKLMRSYFNENQIFPESYFRRRFRMSLNLFKHIATEVTKYDCFFEQRRNVAEELGHSTYQKVTAALRMLAYGIPADLIDDHLAMRESTSILCVKRFVVAIVNVFGSTYLRAPNAQDTSRLLEVNANRGFPGMLSSIDCMHWSWKNYPAAWHGKFKGYKKDATIVLEAVADQETWI >cds.KYUSt_chr1.36475 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222444164:222453118:-1 gene:KYUSg_chr1.36475 transcript:KYUSt_chr1.36475 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLAVFRVDFGWLPPLGKEEQRRRPLRPLDSFFPQDMSAQGDGRKRRATGAGHLVGTYVLTAPGEITCFRPPASPLREFLWVLLISHPESEILFDFDFITCYELGIEVFYVVGISVKLFSVTAAWKLEKGTHSAPADIGIYIQMEHNQHCKQMYISAMSPPASSPLQAETFVLLLPTKLADILHMQAPRFFTDNSVLTSASAVTNIVAAPGHWMIMPLIVAIQSSNSFQANRISHLPKNSSVKAHHQARLATRLHNISLAFRCLCIVDVRESGGTVVDDAASFMQVAVLLEIAAWGLLLFPSWIYEFVELLNANAEENEDQARRPAGCTSGRLRLHGLHRRFFFAVIARDITDNVANDSDLRHVVHAMARVAPTLV >cds.KYUSt_chr6.2873 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16953502:16955276:-1 gene:KYUSg_chr6.2873 transcript:KYUSt_chr6.2873 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAIARPGGAEVLEEREVDDLMAPGEGEVLLEVAAAGVNRTDTVQRQGGYTLPPGASPYPGVECSGTILALGPNVPPRWAVGDQVCALLNGGGYAEKVVVPAGLLLPVPEGVSLTDAAGLAEVACTVWSTVFMTSHLSPGESFLIHGGSSGIGTFAIQIAKYLGNKVFVTAGSEEKLDACKALGADVCINYKIEDFVQCIKEETNGKGVDVILDNMGGSYLQRNLNSLAVDGRLFVIGFMGGTVTEVNLQPMLARRLTIQVAGLRSRSLANKAQIISEVEKNVWPAVVSGKVKPVIYKTLPLSEAVEAHKLMESSTHIGKILLIPVHDW >cds.KYUSt_chr4.42931 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265957790:265958383:-1 gene:KYUSg_chr4.42931 transcript:KYUSt_chr4.42931 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLVPASAVYVCLVVGILLLAITTVSTVGGVANAMAVASSSNTMKASVSKAPPAANNSDQYICYLCRQRNTLMIKRCPLDVDDCHIACLSSPASSRRALSPPGHHDGDTNVLGSGPGGADPDDCYVMKLYPDGTWVIVYAVSCKAVAGCYLVCGDGDDDVPGRNTSPAVPKGPMPHLHDAEFQRCGDQITAALPAV >cds.KYUSt_chr6.12829 pep primary_assembly:MPB_Lper_Kyuss_1697:6:80059806:80060594:-1 gene:KYUSg_chr6.12829 transcript:KYUSt_chr6.12829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator/repressor, Reguration of KNOX gene, Oskn2 (regulator of meristem function), Floral organogenesi [Source: Projected from Oryza sativa (Os02g0678800)] MAEENEGGSPQPPSKLPRLSAADSNAGAVTMAVSSPLVLGLGLGLGGESRGGVEAQAQARAKSALTFMQHQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVAASSFGPQRFPMIGLGSLCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVQGHKYCERHVHRGRSRSRKPVEGGAASASTPAAPAQNGGITAPPCGLGFSPAAARAT >cds.KYUSt_chr2.38680 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239767954:239768601:1 gene:KYUSg_chr2.38680 transcript:KYUSt_chr2.38680 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRYFMALCCIAVALAVAVVPAHGAILPWFGNSGGGRDDAMTSPLQEMGLLADPFRILEHVPFGFDRDDVAMLSMARVDWRETSDAHEIVVDVPGMRKEDIKVEIEENRVLRISGERRREVEERKGDHWHREERSYGRFWRQMRLPDNADLDTVAASLDAGVLTVRFRKLAPDQIKGPRVVGIAGGDDGAAAKTSIGDAGKAAGEERQAKKVEL >cds.KYUSt_chr1.36849 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224821510:224823984:-1 gene:KYUSg_chr1.36849 transcript:KYUSt_chr1.36849 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDIDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGLNVEEAFQCIVKNALKNEPEEELYMPDTVDVVGGNRAQGSSGCC >cds.KYUSt_chr6.10248 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63085742:63086180:1 gene:KYUSg_chr6.10248 transcript:KYUSt_chr6.10248 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRDVDQPGFGVQAESRHRSGSPVNVSYFDLHRHCKHRNILPWLSDLNRSLQFQIQLPTMTRLAILLLEDAASMRNGGGNEIGLLLSSWRRRPASGQQERTARQVERSRQQDTGAG >cds.KYUSt_chr2.48558 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303745213:303749704:1 gene:KYUSg_chr2.48558 transcript:KYUSt_chr2.48558 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVVQTLHGVVRDSRTVIVSIHQPSKKVEAGHVGYWKSDRVKNKKAGNSIDDVVTEELEVPSDVIGQNVDGRLDGYCKCAWTGFLREAPSNKKSDAAALLKEKDEIITQVMAEAQKEFYTNALNAAKEAEALAESRVNTEAKVELESLLREACEKENMLINTIEELRNALTRQEQEAAFMEERLKRDHDDLQKRYQASELRYNELVTQVPESTRPLLRQIEAMQVCHGFEQKEESYEGDLEEGGLLEAYKIAYVEGNTCRYCYLWLEGIGSKKG >cds.KYUSt_chr3.33592 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210940580:210940993:-1 gene:KYUSg_chr3.33592 transcript:KYUSt_chr3.33592 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARAMMALLLLLSTAAVFTAQQHDYGDALRKSILFFEGQRSGRLLPCQRVRWRRDSALNDAFRDHSCWERPEDMDTPRTVYKVDTTHPGSDIAAEITAALAAASIVFREADPAYSAACITWRSMACAAARRPSA >cds.KYUSt_chr2.45638 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284590913:284608385:1 gene:KYUSg_chr2.45638 transcript:KYUSt_chr2.45638 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAASLLEAYRRDRRRLLGFLLSAGAVDLSRVDLDAVSADYALDCVASGAQFDASEATRRYFHDRRYPIMTNSPSRNSYFLLSRPEASGSPPKKVAPDIGLVPPSEEKSSRTRKHSDSSRVATDLPGASNGTQDVSLADMSPPQAKEMDILSLGLPRLSTELSDDDLRETAYEVLLASLFVSGKMNFSEEKREKKPKFLKGLRSKTERSNAAPQMENYYTHHLDLVRVQMEISESMDILSKRALRHIGSKMVQGQLDVPNISLQLLSSVGKFDFPTERLRVHWQRRQANVLEELLLFSASLEYSMSETLRIVLSKLKNTEDWVVSVPDGRIEVLTIIERYNTRLSAAPKKFGLEGETYHWTRSYHFNSRLYEKLLCSVFDILEDGQLVEEADEILETVKLTWPILGITQKLHDVLYAWVLFQKFAQTGEILLLKQTDLQIQKLQLHNDVRETEMYINSFVCSVESCGSNRALNLVDSAILKINMCCRRQLESYHLYFSQANYSIFESMLNLLLLSAAILTDDDEETMLIETPLGSTPESTLVHKIVVRSIQTAYKHVLISAHGQSKAESKHPLILLASELKLLVEKECATFSLILQKYYPEAGKVALVVFHLLYGQQLELFLERTDDSENLKEILGASNNFELCIAQKLYSMCGEAVGSSLSNFLKPYMINRFSSPIILQWLHAQHENVLEWTKRTIEIEDWVPLSAHRKLATSMVEVFRIVEETIDQFFNSRLPLDIVHLRSLLIGITSSLQVYLLHMENQQVSRATLLPTAPVLTRYAESINPFAKRKLIEPAVREEKVVNKLNNLTVPKLCVKLNTLQYIRDQLDTIEEGIKQSWVDVQSAVGLLDYLSYTASDGVTSKDSPSDESIDELFTIFDDVRCSAVNTTDTILNFIGTRAVLWDMRDSLLFSLYRTSVESARMEIFIPTIEQVLDQVCDLIVDVLRDGVVLRVFQACMEGFIWVLLDGGPSRAFLETDVDLMKADLAMLKDLFIAEGQGLLSDVVEKEAKLAEPILDLYVLKADTVIDLLMKASEHMPHHLEPITARRRHAHDVHTLLRVLCHKKDNSASTFLRIQYHLPRSSDYDDAPVKDAPKVPLFSDMLNRGSSFNWSETGQQSYKIMKKKLQAASWQIFLWKYFPLLGLSQCNIQ >cds.KYUSt_chr2.17266 pep primary_assembly:MPB_Lper_Kyuss_1697:2:108817625:108819763:-1 gene:KYUSg_chr2.17266 transcript:KYUSt_chr2.17266 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFVAGEALTDGPALWRPRPAPPGAAADGTEVAGDAGQVRRPRPAPGKNCTRTAAWRKGRLCSDGPNRSRSGGVSDRTDSGPLPSPTAAVTARLYSDNGVDLHRPSSSTARHGRTRHTRDAYVRRSRLSRPRGLHRRSVFAVIARDIHDNVANDSNLRHVVHAMARTAPPSV >cds.KYUSt_chr2.5264 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32690734:32691403:1 gene:KYUSg_chr2.5264 transcript:KYUSt_chr2.5264 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPRPAVDAPVAAGHGDKATPPAHRQRMKTALDLLHGIRVELTSHENEKEVKAGLPQLLLVCARRQSQGQAARRTCCSWAFLDSDEDAQGYGVLDMADQEEELAHIDPYTTTPTNLKFERIFRAAEGLKLFLILSVSKVAAMEGLFKFLVKLFLLFLLCYFFPKTKLLCSNNVLLHY >cds.KYUSt_chr4.13479 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83096808:83100250:-1 gene:KYUSg_chr4.13479 transcript:KYUSt_chr4.13479 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDKKMITLKSSDNEVFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNAKILSKVIEYCSKHVQAAKPAAADGAADGAPAPAPAEDLKNWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTAEEEEEIRRENQWAFERLSLLQPPPSRSLGFPLVPNLPPIPNLHPPMAAEDPKKMITLKSSDGEEFEVEEAVAMGSQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCKKHVQLAAKPDGASALSSTEDIKNWEAEFVNVDKATLFDLSLAANYLNIKGLLDLICQTIADMIKGMTPEEIRKTFNIKNDFTAEEEEAVRRENQWAFE >cds.KYUSt_chr3.23473 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145091615:145092949:-1 gene:KYUSg_chr3.23473 transcript:KYUSt_chr3.23473 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSKKRKPDENGAATASPAAGAAALGLTRDDVLRLLEPLSRDQLADIAAAAALASGVALDAVRAAADRDPALRKLFVRGLGWETNSDSLRAIFSAFGDLEEAVVISDKTTGRSKGYGFVTFRHADSAVLALKEPSKKIDGRVTVTQLAAAGAAGGPSGGAGGAGGAPSADVSLRKIFVGNVPADMPSERLLAHFAAYGEIEEGPLGFDKTTGKFRGFALFVYKTPEGAQASLVDSVKVIEGHQLLCKLAIEGKKGKQQPQQSGPAGQQQQQMLQGGPQDMPGPGHGLGGPQMGGQYGGGPGSGMPPSFGGFGGPGLGGGHNPYGNLPSSMGGGGGGAGMGSMGNQMPSGMGSAGAFGPGGMGGGSFGGGSQFGAAGMGPYGGLGMGGASSLYRMQQGSGGLPSGYGEGGNYPLPGSGFRGQEMSPGPGGRAPPMYPNVPPYF >cds.KYUSt_chr5.17033 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109776738:109778592:1 gene:KYUSg_chr5.17033 transcript:KYUSt_chr5.17033 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLPFFSLLFLLLLLTPSIAHAQTSRRLLQSTLPPPPPPPPHHHHHHTPRSPPPSPPQLNPAPPAPTTPPKQAPAASIPPPSAPPPTPTPATPIPTPTTPPPTPTSTATPTPDAYPFTNYPFFPTASPPPPLPEQPSPDASRTFPANITNLVAPNSPTPSGPPRRFPLFQALLLAFLSLFLLLLSVLLSVLLFRRIRPAHHAPAPSHSAASSSRRDNHDDAEQGDDDDEGRSLKPPPMPTSSTNPSTEFLYLGTLASSATPPPTSTHPRPGSPELRPLPPLPRTGPPSGEFFAPRAAANPSSSSSSWLSPSSPSASSPTLGSSPARPPSIPQPRGRAPNPSPPKRRPSPPPSPPHHSWNPFAPAPPRAAPSSSSDGAESYTTEMRKSRPLHSDKLNPRSLHMKDEMIQLYLNNSAAAREVCLLGAPRCRGIGMVLGALGVSQEQVRDALLEEL >cds.KYUSt_chr2.33525 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207038416:207042917:1 gene:KYUSg_chr2.33525 transcript:KYUSt_chr2.33525 gene_biotype:protein_coding transcript_biotype:protein_coding MIALYSTLHGWFGDKSLSLRCQRIKNFIHIIKRHQLNPISANATRHSIRRSPVGTKVQSSATAIARSRSPTPRKKRKRRRWRDRSTKVDPRRHGKRPLPADEEEEQLPPPPSAAKHEQEEEDEQYHDLLAHAQQQQQQHGATTGGRSSGTGVSDPSPSPEAYAQYYYSARADQDATAMVSALSHVIRASPEQHQAYYPAGSVAVSVEQQQHAAAATAAAEEQGRKRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDHGFVVTRGIPDRSMQQQQLHYAAAVGTPAMRPPLHHQQHQTVVPYPDLMRYAQLLQSAGAGAGAGGGVHLPFGAMSPSSSSHIIDFSTQQLIRAGPPSPAVVAMSGSGTAAPSTSSSTTTASSPSAAWPYNEENKNNKDS >cds.KYUSt_chr5.2770 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18277744:18278550:-1 gene:KYUSg_chr5.2770 transcript:KYUSt_chr5.2770 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYMAKCCMLLLFLGFVLQVAGATSWSCHHDDLHALRVLAENLSGKGAVRLRAAWSGASCCSWEGVGCETASGRVVALRLPKRGLGGIIPLSIGELDHLRYLDLSGNSLVGEVPKSLQIRLKSLTTDSQSLGMGSINMLLHMSSNRRTLDEEPNTISGTNNSVGSGSNNVVSGNDNTVVSGNNNHVSGSNNTVVTGSDNTVVGSNHVVSGTKHIVTDNNNVVSGNDNNVSGSFHTVSGEHNTVSGSNNTVSGSNHIVSGSNKVVTDG >cds.KYUSt_chr3.25987 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161515913:161516638:-1 gene:KYUSg_chr3.25987 transcript:KYUSt_chr3.25987 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRDHQNLPESADDYVAVAGEEEGDEDGRGFTFPALPFAAEGCIVPVYPIFGRPPSPPAPAPETATVRLPLGRLLLEERDFRARQRDAEERPPPAPPDEVGGELEGVAPESYCLWAPGQSAPASPRVCRKSASTGSVLRWRSISDRFVGRSHSDGKEKFVFFSAGSGSGPPNQDESRRKGDGAVSAGDRGRSYYSKGGGGAGGGGGGGAAGRRRSYLPYKQELVGLFANVSGLRRSYPPF >cds.KYUSt_chr7.11158 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68541825:68544740:-1 gene:KYUSg_chr7.11158 transcript:KYUSt_chr7.11158 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAAYPEELIDEAILRSSSPFALPPWTSSEKPKIWSLVVAPIQPNQMTGEVVDGLIEGDAAPLLRRLPPILPPERSSDEEEDEDGPDLAQEIRHPTPRLHRDPQGYRNNKDLGLGR >cds.KYUSt_chr1.21981 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129906380:129916227:-1 gene:KYUSg_chr1.21981 transcript:KYUSt_chr1.21981 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQFAFIQPAVSAPSTTTENDGDRRQNATNPPNFRKSPPAAAAAMGDYGNAMMRNQDAGVQSRVKAQSRANLLQLKLIGQSHPTGLTTNLLKLFEPRPPLEHKPPLEKRKLPAYSGMAQFVTRFADPDDPEYAPPVPTCETRAEKKDRIRQLKLEQGAAKVAEELQKYDPQNDPNVTGDPYKTLFVARLNYETSEQKVKRDFEAYGPIKRVRLVTDKVTNKPRGYAFVEFAHTRDMKSAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGEDAAQKHSSRELQNAAGRPRSEEPRRGDRPADRDREKSRERVRERDRDERPRERSHDRTRDPREERPHHRDRERTRDRDRERDPERDRGRDRRDRDRHKDHGRDRDQDRDRKRERSHGRGRDRDRDYERSGHELDPARDRDYKRARHEHDRGHLQESDADYGNGDPSYRQHEHHRSHEQYGYGQPEIERPKRHEHYGDDSHSKMPTNYQGQPDDAEPEGPEEGAVLDFIKQWQHCKENHSNATSGSQYAVKHNTAGDMATWGKVPGANYTKAEGPPDWHRSLERSRRLSIAISYRQFRLERLGIDPKAHERLLTGVENERVKGDELWYALAMASAGSTTGAVDATQRQSKDACEIAQVAYELGLELLDPALVVSGGGALRWDLDDERRLLARPHLEVVRGRHSRQLHPKI >cds.KYUSt_chr3.43773 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276256592:276257506:1 gene:KYUSg_chr3.43773 transcript:KYUSt_chr3.43773 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDDAGDHGEARPPVVVRKGKRSKRQRVHAVPPVIAEAESSSSVKAWRRSGADQQEDEDMALCLMLLARGGKSGPSSSPVVVAAESTVKEGKFRSRRPADDCAGEFVFECRTCGRSFPSFQALGGHRTSHKKPRLLLPPTRPLTPASTPLSSSNQKRRPPALREEKAPSPLLSPRSPHAHADPTVLAIPAIRAMSGASRQQQQWRVHECSVCGAEFASGQALGGHMRRHRPLVPASLDSDMGAAPVISRNERSLLELLDLNMPAPCDEATHDPRGDVADDSVPGAGAGVAGLPLLAIKQRIGN >cds.KYUSt_chr1.37701 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230178944:230182278:1 gene:KYUSg_chr1.37701 transcript:KYUSt_chr1.37701 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPRPPSPSSSAPPPPAPAPADFDPVEAWLLDDFDFDFDQAMATELAKTFNLGDEAVPGAMEPMGPIGAVVPVPEDDGGLGVEPVKELEATAEKDGMLGLSDAPLGVELEVKKEALMLSGGLGNSDSIAKGDTFEGAIDAQMGTLAPVDSEMGTVAPVDAEMNAAAAAHADTSTVAAVDAEMSAVVPAHADTSTVAHVDADTSTIPAVDAEMSSGVSLNEEVGPVGGKGEVDSNHKVLEISDDEESSEASSSSDEESSEASSSSDEEEPVAKKQGGVIDLEAILEEGELMAEVDDDDEDETPRGPAKSKHEVEVLPPVPKIEVQLEPHHKPLPVGAISAIMGERVVVEGSVEHSPLTEGSILWITESRTPLGIVDDIFGPVKNPYYLVRYNSVEEVPGGISAGTTISFVAEFADHILNMKELYAKGYDESADHDEEADEPEFSDDEAEAEYKRSLRLAKRQTDRQVDSKKPSGDKKRGQARNAGFRNDMPPRIHDTPTRGHQSQRRFERSNMAPAVADSPTHRSGSQNFSMGTPSRDMPPRIHDAPTPDHRSQYHFHRSDMAPTGADSMTRPPGPQNFPMSAPTMLPPISMNHSMPSAVQLANQMGSCFINPSQQFSHQQPNMVWPGGLPHPPQPNMRVDGAALAANIMQNILIGASQYQQYLQNQNFGGFPNGMPMAPPQFMPGNGMPGNPMPFGGQPGNHPFGLASQLPMGQGNCGQLPHMTGDQGPPAGFPNAQGFGCFPSPHVDGGDQPPGFNMQGYGRMPSPHGDGGQPPMQFNSLPSPQGDGANGVQPPMQFNSGQFNQGSSSFRGRRPQQRGGRHSPGRGGGAGGGRNRR >cds.KYUSt_chr3.9574 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56188022:56189395:1 gene:KYUSg_chr3.9574 transcript:KYUSt_chr3.9574 gene_biotype:protein_coding transcript_biotype:protein_coding MVWHALLLVGLFLTAQLCGCTPYVGGGLSVEFIHRDSPKSPFHDPKLSVHGRVLAAARRSTERAAALARSYATIGSPVPDGAVSEITSRPFDYLMYVYIGTPRTRILAMADTGSNLVWLRCVNGSTASGGDAPADDDAFDVSTSGTYGRLGCHSGACIALPGGCDANSNCNYLQTYADGANTTGLLSIETFTFDDAPGGCVGCRDRPQLVVHEVNFGCATSINGRYFGNGVIGLGPGIMSLVSQIGASTPLGRRFSYCLVPYNVNASSALNFGSRASVTEVDAVTTTLVRSNFYSVALQSVKINNSTFTIPQDQSRLILDSGTSMTFLHQELLDQVVAEVNRTIKLPQVPSVGRILSRCYDATGMTEESILEKMIPDVKLVMGGGAVVTLKARNTFVQVDQTTVCMALLPVGGQSPFAILGNVAQQNMHVGYDLDKQTVTFAAADCTTAYTSHPASL >cds.KYUSt_scaffold_3611.109 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:602641:603126:1 gene:KYUSg_scaffold_3611.109 transcript:KYUSt_scaffold_3611.109 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPRSRRATQAEATPGRRGSTVRATMTTAPSCKETSQGPARRREAMPPDTGQAPRRCLQKGTATRRRRHRLTRRSPGLGFLPEHELTGAAQMCNPHSIRASRPHHLRSAKEEKRRALPMADWPRGSPDPQDAAGPDLPGEIFRSRGSPARTRSKPPSTGQ >cds.KYUSt_chr6.24969 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158202452:158204556:1 gene:KYUSg_chr6.24969 transcript:KYUSt_chr6.24969 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRHHKPPPAGRAVWVPRDAQPATVRGLGGAPQQRPAPPNPAAPRPQAVGRAVWVPRAAPPSTVRDLAGAQQQRPAPPNPAVPHRQAAVWVPREAPPATLRDIGVAQRQPLAPPNPTPTEPRSHPAVPRDGGAPPQPLTPPNPAAPPPDAGPDGEARDWAALPLDAISCVLRKLDHIEILMGAGQVCHSWRCAARDHPALWRRIDMRGHPELDRQVNLYKMAQGAIRRAQGQCEAFWAEYAADDDVLRLLGDQAPSLKSLSLIACQDIMEFEEEIKKFPLLEELEISLFTNIGGKQVFEEIGKACPE >cds.KYUSt_chr4.44648 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276532306:276533295:1 gene:KYUSg_chr4.44648 transcript:KYUSt_chr4.44648 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRQRRQLPHLTLPLDHFALRPPPAPPAAAPPPTDAPRLSDYERISLLGQGNGGTVYKARHRRTSHPYALKLFTAEDPSAAREAEILILATGAPHVVRLHAVIPSPSTHQPAALALELLPGGSLSGLLRRLGRSMPERPIAAVARQALLGLDALHALRVVHRDLKPANILVGAAGEVKIADFGAGKVLRRRLDPCASYVGTAAYMSPERFHPDAYSGDYDPYAADVWSLGVAVLELYLGHFPLLPAGQRPDWSALMCAICFGDAPEAPAAASEDFRDFVARCLEKKAGQRASVAELLLHPFIAGRDADEAQRSLAALVAEAAELGDQ >cds.KYUSt_chr5.4897 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31003723:31004094:-1 gene:KYUSg_chr5.4897 transcript:KYUSt_chr5.4897 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRIHFWCATDEAFPTSAGCSFNGNSGRCATADCAGALFCTLSGQPPLTLAEFTLGGEFDSYDISVIDGFNIGVTPRNRYPEDPSETAEIRTISIPTRPPTRRARQSTRDARGINTSGNITT >cds.KYUSt_chr3.39973 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251932530:251934118:1 gene:KYUSg_chr3.39973 transcript:KYUSt_chr3.39973 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGQELQLPPGFRFHPTDEELVTHYLCRRCAGAPIAVPIIAEIDLYKFDPWQLPKMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGTPRPLAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGGLEKPEPAEERKPVLSSSYAGHGSMVSSPPEQKPVLTGMMNTNGGVPAFPDYAAYYDRPSDSMPRLHADSSCSEQVLSGGGGEVQSQPKITEWERSFSTAADPVNPAAGGLGLGGDPLLQDILMYWGKPF >cds.KYUSt_chr4.54340 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335885311:335886693:-1 gene:KYUSg_chr4.54340 transcript:KYUSt_chr4.54340 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVHHSPLFLLDNSKAACPGEQASCPRSLQPLWPDHGTAVAPRGGSPGFPHDATISINYFHLSQSLIVIFSRSCTVRGVNPHVKDIYDLWMMKNIISASGFNYLVSAVLMSTLHAYGLTHHSSESARVTVPPAQSSSHNVIVAGQEQNA >cds.KYUSt_chr1.18822 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110466894:110468934:1 gene:KYUSg_chr1.18822 transcript:KYUSt_chr1.18822 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPRRELRLRRWLLVPWVLAVVVAGVTTADLSESELEAFRDERGGLVALRDGLRSAKDLHSNWTGPPCHGGRSRWYGVSCDDDGRVVSLALDAIQLTGTLPAGALRGVERLAALSLRDNAIHGKLPELAGLDRLRVVDLSSNRFSGPIPRRYARALPQLTRLELQDNLLNGTVPAFAQSELIVFNVSYNFLQGKVPDTHALRRFPASAFGHNLKLCGEAVNAACRSGSPSAGSRDGPVVRPDDESGGRPARQSRRFRLAAWSVVAIALIAAMVPFAAVLIFLHQTKKSREVRLGGRAAPAGGAADIKDKVEEGKKSGSGSGSSGRDAQAQLQFFRADKAAGFDLDDLFRSTAEMLGKGRLGITYRVTLEARGPAVVVVKRLRNMGNVPRKDFAHTMQVLGKLRHENVAELVACYHSKEEKLVVYEHVPGCSLFQLLHENRGEGRIPLPWPARLSIAKGMARGLAYLHRSLPFFHRPAHGNLKSSNVIIFSPHGGKHLHQHLVPKLTDYGFLPLLPHHAHRLAAAKCPEYTRGKRPSSRADVFCLGLVLLEVVTGKVPVDEADGDLAGWARLALSHEWSTDIFDVEIVGELDRHEDMLRLTEVALLCAAVEPDRRPKMPDVVRMIDHIGTAADEEGRWELAVC >cds.KYUSt_chr4.14504 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89543822:89545216:1 gene:KYUSg_chr4.14504 transcript:KYUSt_chr4.14504 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLTLTAGADSLHPPDCGVGEVCQKATSYQFAVLFIAFVFMVIGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWYYFTFTSAMLVSATVIIYVQSNVNWALGLGIPTALMFLASVLFFAGTRLYVRVVPEGSPFTTVVQVFSAAIAKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAMVASPEEVRPGGEVAANPWRLCTVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVFSALQSDRRLGSSFHVPAASFTVFAMLAQTLWIPLYDRILVPRLRKVTGKDEGFTLLQRQGIGIALSTVAMVISAVVEDRRRGIALNQPNIGKTQTGGGISAMSSFWMVPQLMILGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLTTIVNKTTAGGQNWLAQDLNQGRLDLFYWTIAGIGVFNFVYFIICARWYRFKGASN >cds.KYUSt_chr4.2584 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14473822:14475672:1 gene:KYUSg_chr4.2584 transcript:KYUSt_chr4.2584 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQGIPFFIDNIIRIKGPNTDRLVVAPPQKHLHRLVVVVPSTGNPAPNRGHQKHLLFLLISLAE >cds.KYUSt_chr1.841 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4477446:4477646:1 gene:KYUSg_chr1.841 transcript:KYUSt_chr1.841 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAASGDDGMAPLPRSPGEVVADEGESHEPPPRAAISPARALFPISRPYGHPHVSLLLRLFILCI >cds.KYUSt_chr3.28558 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178189858:178192289:-1 gene:KYUSg_chr3.28558 transcript:KYUSt_chr3.28558 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPNYRHGGGHRDLEAAMEMAQTEKATAKSGSRFGFTGGLEFTSLTYTVVKKQRGVGGEWEKKDVDLLHEITGYAPKGCVTAVMGPSGAGKSTFLDALAGRISSLDGRVALDGVQMSPSVIKHSSAYVMQDDRLFPMLTVYETLMFAADFRLGSTVSAADKKLRVDNLIEQLGLTTSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVIEKVHDIACAGSTVVLTIHQPSSRILQLLDHLIILARGQLMYSGGPKDVTAHLGRMGRKVPKGENSIENLLDVIQEYDQSEFGVKALAEFCLTGLKPRKLAAEGLSLVSSIPATPIGPGGEDFDHSLRSQHSKSPWSGTPFTPSRRPKKDQNRYGPEIVMGTPTPLSSISVYTVNEADYLSPAQRKTATGAPGVGVNALGHRGKFANSYAREVWVLMRRNFTNIWRTPELFLSRLMVLTVMGFLMATMFTKPKDNTQGITNRLSFFIFTVCVFFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGVITYLPFLLLQSAVYALITWWAIGLHGELLYFLVMLYASLLSTNSFVVFISSIVPNFILGYAAVIAFTALFFLFCGYFVDSNSIPRGWKWMNTVSTMKYPYEGLLLNEFHGHRIFSTVPPLDGDTILDNLAISLAEDRKWWMVLYLLGWAVFYRVLFYLVLRFGSKNKRN >cds.KYUSt_chr2.47030 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294141433:294141888:-1 gene:KYUSg_chr2.47030 transcript:KYUSt_chr2.47030 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGNRRITGSMTAGTAKGEEEEAPAKKKMIRLPQEDLDRILSRPRVLELPRYIQDLKSWNPDLIPSAEEEMDEEMVEFYDEARLFSDSAEAYREFQAWIRSEYDKYGYVEVEDDYLAKVEETRAWQAEFKAELAQDIDDDILGMLFKNQF >cds.KYUSt_chr1.40593 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248908880:248910449:-1 gene:KYUSg_chr1.40593 transcript:KYUSt_chr1.40593 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNEGALSHRWNLIKGSCSKFHGYYEKIKNRKESGKTMVDWMLHALEMYKHQHEDKDFPFMHCYNKLQGCKKWDDLLHTLLKDGEDGPVDPAGASTGRPIGNKRAKAERNAAPLLAAVYASLEKMINSFSVENKEASERAAVVWKAILDKQDMKIALEREKVEAAKLEAHVAAMKATNEATQLSLAKMSQESKILMADMEKMDPLARAWHEMYHERIGQEAAYLHDLAARFAAGDLSESSVAAMDEDALLAQLTKVKGIGEWTVHMFMIFSLHRPDVLPSGDLGVRKGVQELYKLKTLPKPEEMAALCDRWRPYRSVGAWYMWRLLESKGAAAKKAKKGNARA >cds.KYUSt_chr6.6091 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36527469:36527996:-1 gene:KYUSg_chr6.6091 transcript:KYUSt_chr6.6091 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGKKSKKPAADGGARCRRHRRQGPAPAGVCPLCLRERLSRLSLSTSLPSVVAAREEDAAAASYASASCSDSSTEASSGASSASGSGSPGFHREMRRAARPSLLMRHERVVAADGDGVVAVLRRRKERSTTSFWTKLLRAATGGGGGKKKVDGCSLQARSKTIQAAAETKWVLF >cds.KYUSt_chr7.19797 pep primary_assembly:MPB_Lper_Kyuss_1697:7:122777071:122778561:-1 gene:KYUSg_chr7.19797 transcript:KYUSt_chr7.19797 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGTNPAAAFSAFAADDKAPFQPLNPEDVRAYLHKAVDFISDYYTNIESMPVLPNVKPGYLQDELGASPPTYSATFDVSMKELRTSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQLLRLPTTFMNRTSSGRGTGGGVILGTTSEAMLVTLVAARDAALRRTGSVGVSGLPRLTVYAADQTHSTFFKACRLAGFDPAHIRSIPTGPETDYGLDPAKLLQVMQADVDAGLVPTYICATVGTTSSNAVDPVGAVADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLYVRDAHRLSDSLETNPEYLKNDATDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTTKLQEHIRSDVAMAKMFEDFVRADDRFEVVVPRNFALVCFRIKANGGMTEEDADEANRVLMDNLNMTGKAYLAHTVWSATGSCSASPWGRRCKRRGM >cds.KYUSt_chr3.39000 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245760087:245762065:-1 gene:KYUSg_chr3.39000 transcript:KYUSt_chr3.39000 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSTSYFSSQPQLPSSSTAGSSRSGGRQSRNRRAGSCVMLEGAASVGGAVVGRTRSLTEEDLEELKGCLDLGFGFTYNEIPGLCATLPGLELCYSMTRRFLDEQRAPGHLDLEPAAAAAPIPDWKISGPGDDPEEVKARLKYWAQTVACTVKLCS >cds.KYUSt_chr2.37139 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229848683:229849036:-1 gene:KYUSg_chr2.37139 transcript:KYUSt_chr2.37139 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSAKALRQLETATETMTVPPPANLAVSAAMKAAEGLRLELAENAAMLQVMHVAVTASLLADLVAQVKEIADCVDVLAREAHFKNPKDERRDVAVDTLSRGRSGPLPDVVVQCPE >cds.KYUSt_chr7.33929 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211920240:211922248:1 gene:KYUSg_chr7.33929 transcript:KYUSt_chr7.33929 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRRASFLPLLCLFFLLLAAAAPGCAAYNPRMLFLVKPDPIVLQDHHGSLLTGNLTVNILYYGRFAPAQRAIVADFVRSVSAPTTRAAQAGPSVASWWRVTSLYRGGGARLRLGRQLLDERMSLGRSLSLENVTALAKAAGHHRGAITAVLTAPDVLVDPFCMFRCGVHDHASAGAHGRARYTYLWAGNPAKQCPGQCAWPFARPVYGPPMPPLVSPSGDVGMDGVVISLAALLAGTVTNPYGEGYYQGDLGMEAATACTGIFGSGAYPGYPGTLLKDPATGASYNAVGLGGRKYLLPALWDPTTSKCRTLVYSSMDGVVITLAALLAGTVTNPYGDGYYQGDLGMEAVTACTSIFGSGAYPGYPGTLLKDPATGASYNAVGLGWRKYLLPALWDPTTSKCRTLV >cds.KYUSt_chr4.4604 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26442178:26445608:-1 gene:KYUSg_chr4.4604 transcript:KYUSt_chr4.4604 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMSSHIPIFVLVLLSAISDSSSSPTNGNDTDLKALLAFKAQLADPLGILAGNWTTATPFCHWVGVSCSRRRQRISALSLVNTPLVGSLAPHLGSTLGALEKLESLTTLDLSSNNLSGTIPIFLANFTYLTTLNLSFNRLEGQIPEGGVFSNLTLQSLVGNAGLCGSPRLGFPPCLDRSHSTNLHLVQFLLPTVILAFGAIAICLYVWSGKKLGNREDKASVEPTDGTGHQIVSYHELARATNNFSDQNILGSGCFGRVYKGQLTSGLVVAIKVLDMQLEQAIRSFDAECRVLRMARHRNLIRILNTCSNLDFRALVLHYMPNGSLEMHLHGSESTTTRLGFLERLGTMLDVSMALNYLHHEHYEVILHCDLKPSNVLFDEEMTAHVADFGIARLLLNDNSIISASMPGTVGYMAPEYGLFGKASRKSDVFGFGIMLLEVFTRKRPTDAMFSEQLTLRKWVHEAFPEGLLKVVDGQLLQDNSLSCILDDGFLASVFELGLICSSDSPDQRMTMHDVVVTLKKIAGEYTKRTSMTLCNSEK >cds.KYUSt_chr7.310 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1808173:1813388:1 gene:KYUSg_chr7.310 transcript:KYUSt_chr7.310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MTTGGDGLGHLPIYDLDPKLAGFKEHFDYRMKRYLDQKQSIEQHEGSLEEFAKGYLKFGINKEGDASVYREWAPAAVEAQLVGDFNNWDGSKHKMTKDSFGVWSIKISHVNGKPAIPHNSKVKFRFRHGGGVWADRIPAWIRYATVDASKFGAPYDGVHWDPPTSERYVFKHSRPRKPDAPRIYEAHIGMSGEKPEVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNRTDGLNGYDVGQNTQESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYNHNGINMSFTGNYKEYFGLDTNVDAVVYMMLANHLMHKLLPEATVVAEDVSGMPVLCRSVDEGGVGFDYRLAMAIPDRWIDYLKNKDDLEWSMSGIAQTLTNRRYTEKCIAYAESHDQSIVGDKTMAFLLMDKEMYTGMSDLQPASPTIDRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNGWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALDEKFSFLSSSKQIVSDMDEDKKVIVFERGDLVFVFNFHPNKTYDGYKVGCDLPGKYRVALDSDALMFGGHGRVGHDVDHFTSTEGVPGVPETNFNNRPNSFRILSPPRTCVAYYLVDEKAERRKKEAAVSWEENVTMGYIDVEATHAKERTDDEATSGSKKASTENVSGNKGMNFVFHSYDDGKK >cds.KYUSt_chr4.15900 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98363751:98364815:-1 gene:KYUSg_chr4.15900 transcript:KYUSt_chr4.15900 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQEGEETRNIMAANAGAMTLVREYRRGNWTLPETMLLIEAKKRVHDDRHPADQGLARWRWVEDYCWRAGCQRSQNQCNDRWDNLMRDYKKVRAYEHAGVAGRGGAPSYWEMARAERKERCLPSNLLREIYEGMREIIESRRMSCGGAGVLFLGAPATTNTNPVDIPMQASPLAQVLPRPLGTPHSLVVTAFLITRGFGETFVVLMETWLVSFLPNQDQETHCTSESPERKRLRPSLDGLPGSSTAATASGHGDHHQEPQGDQSSDDEEEDGLNGAIGRCAAILSGALESREAAEERRHREVMAVEERRNLARQTRREAGEQCMAGLAVAVSQLAGSMLALAAKRKGPAAPK >cds.KYUSt_chr7.10989 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67570704:67575297:-1 gene:KYUSg_chr7.10989 transcript:KYUSt_chr7.10989 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADDAAAARRRTVVTDYRNKLLNCRELETRVRTARENLKKAKKDYDKTEDDLKSLQSVGQIIGEVLRPLDTERFIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRTEVLKIHAAGIAKHGEIDYEAIVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKE >cds.KYUSt_chr4.45806 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283461213:283464099:1 gene:KYUSg_chr4.45806 transcript:KYUSt_chr4.45806 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNPTLDQMRQILELSFRNLPNHLKTCLLYLGMYPEDHEIWRFDLLRLWIAEGFVCPTPGFDAEDVAISYFNELINRSMIQPVYTNNGGEVLSCRVHDIMLDVIRFKIEEDNFISVLNDLEAVLGIHRNIRRASLQCSGEECRLTSAMVNGSLSKVRSVYALGGFSCQSVMLLKYIRVLHLDMGFDRNDVLDLTGISRLFLLRCLKVVGNTRIELPSQIGELRQLETLELALSISVSNLPSDIVSLPLLLHLCVSGHTGFPDGIGRLGLLRTLRLFNFEKNSVETIEGLGEMTSLSELVFQWTGKDLVEGARHMDVLRSSLQRISSSLRILEMLHGNWGSGELDGWTTFSPPPIHLREMWMLGCVFSMIPKWFGHLRDLQSLNFKVRGAGLKDDGVAILAGLPSLVFLKLHSEEHLEERVRIPGSGMAFRALKEFHIRCRHPLLTFEAGAMPMLKRLYLNCESGGPVEAPLDGIEHLPDGLRVIDIAISGERDEVREALKSSLKNAFEEHHPSAALKIQHWVAFVVLEVEDAWCASNATRSTSCLGTVGVLGRFRSGLDGGCTAARFFTGFIHLVCVVADMNGGGRGGRAGEDLNQGGGGGSGAGRGGVPPEPGARTFGRGNGPNQGSGFHQMAGNNGANPNFRFGESSGSANQHQYVNNQRILNQQGNYNGRNFNSNNFARGFQRGRDSNFNYRRNADFQAERDGIEHLPAGLREIWIDISGERREDREALKSSLKNAFEEHHPGAALEIHR >cds.KYUSt_chr1.19908 pep primary_assembly:MPB_Lper_Kyuss_1697:1:117319598:117325592:1 gene:KYUSg_chr1.19908 transcript:KYUSt_chr1.19908 gene_biotype:protein_coding transcript_biotype:protein_coding MASETVAGSVLADEKGPSGLRHYQAGAEEEEEHAGEGGGKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMVSGILFQLFYGLLGSWTAYLISILYLEYRTRREKDKVDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIGCASNIYYVNDHLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLLMTTYTAWYIAVASLMHGQVEGVKHSGPTTIMLYFTGATNILYTFGGHAVTVEVMHAMWRPQKFKAIYLLATLYVLTLTLPSASAAYWAFGDQLLTHSNALSLLPRDAWRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPAMAHMCTFRSPQSRENAVERPPKFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVQQVNTFGLFAKCYQCPPHPSVASPLLPPSSMAPSPSMPFIFNMTGTLAPMSAPSPAPAPLHFVFPHHHHRHHRHGL >cds.KYUSt_chr3.672 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3477765:3478133:1 gene:KYUSg_chr3.672 transcript:KYUSt_chr3.672 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASTLNGSPNPFGLARRRTLLTPLLLGKASAAATKLDPSCACGHAKSLPVWLNSAGMAPAATPPAARLVNYLNLDAGRTASSATPAASTCSCCVAVLVAVMIMNWWVGGYLVLDAGKMVP >cds.KYUSt_chr4.4641 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26608987:26609250:-1 gene:KYUSg_chr4.4641 transcript:KYUSt_chr4.4641 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMLSEYGGDGVAEEQGWETPRREECRIPVVPPCPPPPPRKKAAELLKAARREPPKGGYFQPPDIESLFMLAPLRRQYNAASTCV >cds.KYUSt_chr5.35133 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222179938:222182249:1 gene:KYUSg_chr5.35133 transcript:KYUSt_chr5.35133 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGCGMPPLHALHDELKPVLLDPPRLPGPSLPPLPQRPCEHLRVDSNYRSTHPVALARACNFMKVGKWVWMDMWQMLDKFRAEVVDSSSDEESDESTQTLATTAASMIHEFTSNPGPQHRGSVKGRAKNLPRTCRATEWKGRPASTRTTSTSLIRSSQKKCSGADTGCQGTCSWSFYGASESTTPTSNAGAMQQVR >cds.KYUSt_chr2.54327 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338901192:338903223:-1 gene:KYUSg_chr2.54327 transcript:KYUSt_chr2.54327 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAEANRIREKYNDRIPVIVEKAGKSDIPDIDKKKYLVPADLTVGQFMYVVRKRIKLGAEKAIFIFVKDTLPPTAALMSAIYEENKDDDGFLYMTYSGENTFGQL >cds.KYUSt_contig_1253.916 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5776631:5781268:-1 gene:KYUSg_contig_1253.916 transcript:KYUSt_contig_1253.916 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSCFRGRPGSASGDGRPGSVSGDGLQVRPPPLRFSKPLVLDPHGVDLFPLAQDPLVRASSLGDAFLDDRDDDAAKLAAGGDLDEDLGNCDDELRRETHIELVVSAGFVLFFDFVAGPFPAIAFGHVCWTTLPVGHRGREGNGVEWSIPRVQRCFELRLSDRHILKFSYACVIMVQFHAPIMDTSTKSFSPFGMEVFLLDMKLASESVPMDLGAPT >cds.KYUSt_chr3.13914 pep primary_assembly:MPB_Lper_Kyuss_1697:3:84262538:84262912:1 gene:KYUSg_chr3.13914 transcript:KYUSt_chr3.13914 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALSARGDAVKHRRGVRRDGLRRATGRRHVRALPHILHVVNGAMYLAFYHVWFARDESRLASPPRLRLPFPPGLRIDRALAGRGQELGHEFSESSTTSRSTLLPEIFLALREQDDHEAMDLH >cds.KYUSt_chr4.39989 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246941464:246944809:-1 gene:KYUSg_chr4.39989 transcript:KYUSt_chr4.39989 gene_biotype:protein_coding transcript_biotype:protein_coding MACGEPPIGLRPRRMTPSMSKAMPKEGLAGAAAEWDSRRPRAPWSSARVRAAARQSAERKPLMPSEKSCRTSENATWRGELWTLYFRAHWRAGHHPFCLLRLRGHSSRASDSRTPEYSSRSAAEAAEAPAEGLARSLQGVEVFDLSGKAVPVVDLWKDRKAVVAFARHFGCVLCRKRADLLAAKQDAMEAAGVSLVLIGPGTVEQAKAFSDQTKFKGEVYADPDYSSYRALEFANGLFSTFTPSAGLKIIQLYREGYRQDWELSFEKNTRTKGGWYQGGLLVAGTGINNISYIHKDKEAGDDPEMEDVLKACCS >cds.KYUSt_chr2.8156 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51329392:51331227:-1 gene:KYUSg_chr2.8156 transcript:KYUSt_chr2.8156 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLRSTPPLGRAAPPLHAVAAAAVPFSGAVHNSIEPISSSETERIRTEAMASEDVHMADLDATSTDWSSSDSDDSDIDELLNDDETEMMLLLFGLKQTEDRMKLLDQRK >cds.KYUSt_chr4.33285 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203908905:203910887:-1 gene:KYUSg_chr4.33285 transcript:KYUSt_chr4.33285 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYTLPSDSDSEGKSPGFLHWWESPATPSDPGSTPSYPTSTPSEDEEEGDGNGTESQEEDGGGAASDADDEEEEEDSDTKADDKAAATKKSRALARVARRHPFTDDDDGDGISSSFKSSTGASSSSSDDEKLLWWQEWWIGGRLKIGISNNKAILPSSSLYLAGSSSSVGCSLEMLRGDFCPWWMEWQWCGSEGTSANKLVFPFKKFNLEFAVCVLAAMEVGSAADSDCFCDWSVLEESGGDFCAASSSFFISAAGCCCYAAGAAVGRSSSMTSLSPIHQAEGRLLPPSLLAKIGQYKGSINLRFRRPCYLAVVSSRCGDPSGLVPGVSVVGHGAVRRRGEYGAGPDRVFRCNSEVRGAKHKDFVVISFSCEVLFMYCNAFARNQ >cds.KYUSt_chr1.7499 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45927235:45932455:-1 gene:KYUSg_chr1.7499 transcript:KYUSt_chr1.7499 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCKLEGPEVERRFSFVLKTANLGGVVQQGSIVRYIMFDVRKVVELSSVVVALVAGLARSLHCSLLKMGSKVAVTCATEGPNTIANSAFATTDEYGNFTIELPSRLHATPSLENACSVKVLELPPDSACRVSGSRSYGLLLTSSEDGRRVYTTGVIRLQHDDTRSDECVQEDSRSDGR >cds.KYUSt_chr4.38377 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236739053:236742909:-1 gene:KYUSg_chr4.38377 transcript:KYUSt_chr4.38377 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLESVKPHLLVEVQEALTSYEGIKVGIVKVDGVQSSMTLMLSPPPSRMPRKPKGEVIHGPGHAMDVMQHQAQYTAMLMLHISAHHVTHRFILLIVWHRPMSVCMSVYPRHQRVPVLPLPAVAIPAASVFAEAEAATTVYGDKEEGEEVDSWLLLERDSDDNNCTNNIDQYFNLFGYDMYYDKFSCNPGPGEEYRLQEQDVQNMYRENEIPFSSMEVGIVPDNTRPDVSNTNIQRTSEAMELAGHSLQMPVHFSSMDRDARVLRYKEKKQARTFQKTIRYATRKAYAEARPRIKGRFAKRSDIEHELDQMLTIPALPDSGHATVLWF >cds.KYUSt_chr2.41248 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256444886:256447071:1 gene:KYUSg_chr2.41248 transcript:KYUSt_chr2.41248 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSYGDCATTSAETICSFGPAADSGAAVLVGSVAELLRTLLPERGVGREQRRQVDLHLGPYHPRQSPQDGVHLDLAGRRCSSRPLSRPSLWRTGVGAKKGTRARGPAARRARLRLRPLGLLVVHPRNQRPSPEKLPEECDGGQYTTKQGLYPYLDIDLKKLCRLVVDEMPCQAATRPPTTLAIQVTAGHFLFAPMADMNSTIRY >cds.KYUSt_chr2.27998 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171801614:171803218:-1 gene:KYUSg_chr2.27998 transcript:KYUSt_chr2.27998 gene_biotype:protein_coding transcript_biotype:protein_coding MELTHTLYMAFAFALVIIVLSSYVFFRCGSRKALNLPPGPRGWPVLGSLGALAGAIPPHRALAALAARHGPLMHLRLGSYHAVVASSADTARLVLKTHDLVFADRPRTTAGEHAAYGYRGIVHTPYGAYWRMARRLCATELFSTRRVDSYEYIRTQELRALMRGLFERAGRAVEVREHLADATLRNVLRMAVGGKWSGCYGSADGEAFRRTLDEAFTVTGAVSNVGEWVPWLGWLDVQGCGRSMKRLSKVYDRFLERILDDHDEERRRRREATTYTAAAKPAAASKDLVDVLLQLAEEEDETVGTSSSEARLTRDGVKAFIQDIIAGGTESSAVTMEWALSELLRRPDAAAAATEELDRVVGRGRWVEERDLEHLPYIDAVVKETMRLHPVGPLLVPHMAREHTVVAGYDIPAGARVLVNVWAIARDPASWPDRPNEFLPERFIGSAVDVRGQHFELLPFGAGRRICPAHGLAMKVVGAGLANLLHGFAWRLPDGVSPEDVSMEELFGLSTRRKVPLVALPEPRLPAHLYAADD >cds.KYUSt_chr2.13874 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87836154:87836556:1 gene:KYUSg_chr2.13874 transcript:KYUSt_chr2.13874 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRVCVTGAAGYIAAWLVKKLLERGFIVHATLRNLRDEKKTALLKALPGAAERLTLFQADVYNAATFEPAIQGCEFIFLVATPLLHDATSTKVTPPL >cds.KYUSt_chr1.31446 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190694390:190694761:-1 gene:KYUSg_chr1.31446 transcript:KYUSt_chr1.31446 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKPLVAIALLLLVAVLMVADAQPAPKIDCPSACKARCAKNDRKNEMCNKDCNICCGKCNCVPSGTGQDTRYECPCYANLVDSKNGKPKCP >cds.KYUSt_chr7.20111 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124586308:124591266:1 gene:KYUSg_chr7.20111 transcript:KYUSt_chr7.20111 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPLPPDHFPRGISSSISSLTAQGRRQEDAAEEATDAEEGDPLVALKDDEDLNAIRHGTQRWLLVKAVEGNTVAHRTLIVPIPSKPLTISGPRFLAGGRLGLALSVELKQPLSLGHHEKMTCLLLGGPLPQDLDGVLEVGGVGLLEHLLSDPRAGFRIEGGQDRLLLQVTMELLLAARLCLLLRDLGIRIRDGHLVSLVKLGFVEEAIEVEVQLLELVVWLRRGHGLRLWRILLGRWLWRRKRHAAVPA >cds.KYUSt_chr2.37398 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231637981:231640045:1 gene:KYUSg_chr2.37398 transcript:KYUSt_chr2.37398 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMVAPVLLSPSHHCHCTTTATRRSGPLLSRCRPAAPRFRSRRRPRGAVLVARASAVPGPPEQSLARYETLGDAKAALYQALEGIDRGIFGVTSAKRSEIHGLVELLESRNPTPDPTDKLQDKVDGCWKLIYSTISILGKRRTKLGLRDFISLGDFFQIIDAKEEKAVNVVKFSARALKIFSGQLAIEASYNVTTKTRVGIKLESSTITPDQLMNIFQKNYDMLLAIFNPEGWLEITYVDESLRIGRDDKANIFVLEKTDPAEV >cds.KYUSt_chr7.38726 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241007249:241008961:-1 gene:KYUSg_chr7.38726 transcript:KYUSt_chr7.38726 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTYEIFNKLLEQQQQVKVFPEAIKYALESNPVRLSGLGNYVSWRRHAQLILSSHGYEYLLADNEENLKSSDTSARQINDRVLVWLLGSMEPIVRQQVEIITTVIEPVDKNDLAIHHKWFESLVAKLFLDGLNQEFDLRRQLLFAQPMWPSLDDIISSVLEEETRLGHCKEDDLKGGDDNAALSMRPRYVARPFGKSDNSKLYCDHCRRKGHTEDACFERNGFPSWWNKGRQWPGGVQAASKRQANHVTSVQDSLVPDSRDLEEFNSKGRLCEGASYSKGPYKAESTLLATSSQGKDKVRVADGSMAPIVGRGSVRCTMTLSLSPVLHVPKFPVNLLSVSSLNKSRHCRSWFDLTSCAFQDLGTGRVLGTGTEHDGLYYLDNGSDEVALTSCLSLGEELLLHHRRLGHLSFAALSRICPTLFKSCPRELLVCDACELAKHTRAPYPSVGLRSSKPFEIIHSDVWAPVRFNLS >cds.KYUSt_contig_1993.178 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:1100665:1103150:1 gene:KYUSg_contig_1993.178 transcript:KYUSt_contig_1993.178 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRRRVRSLLSLLLQVIVAASATASSLYNRSSNCTRLLPDAEIVRNAFLHVGNFPLPRRSACHPVRRLRFPSSNLTGVLRWEELANLSSLLTLDLSGNSLQRDIHAAFWRAPSLRAVDVSGNRLGGALRFEPSARLTSLNVAGNRFISVDGVDMLAALENLDLSGNIIAAVPEGLLRLTRIRRLDLSGNMMAGLFPDDFPPLDGIDFLNISHNRFSGKVDAHTVRKFGLSAFSQAGNASLFIEETEPAPALTPPTSGRKKHRRGVLIVVVVCTVVAVAALVIFAGCVACGFRRRKKDKDGKTVSWAEEEVVVGAVKVAATAPVVVLERPLMDLTLADLAAATSGFGRESQLADVGGRSGAAYRAVLPGDLDVVVRVVEGGVSGVEEDDDAAATAAGFRELARLRHPNILPLLGYCIAGKEKLLLYEYMEKGDLHRWLHELPTGSMVDAQETSIDTSDIKEDSQSVCDWPARHRMILGIARGLAFLHQGWAGSGRPIVHGHLVPTNILLGDDLEPRISDFMHQVGGGNETPESDVYSFGVLVFELVTGQSKWDEATVAWARGVIRNKKGENIVDAMLREVDAGAAGQEVKREAVECLQVGFLCTAPSPQKRPMMQQVVGVLKDIRPAPAD >cds.KYUSt_chr5.16252 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104654080:104657315:-1 gene:KYUSg_chr5.16252 transcript:KYUSt_chr5.16252 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVALVSAAAVPPSLDNQAEALLAWKATLSNQSQVSLQSWGNMSSAPCTWRGIRCATPRHRRRYQPIITSISLWGMRLSGTLESIDFSALSTLTTLDLSHNQLAGSIPSSMAHLQDLHALLLHGNQIRGSIPPALANLTKLSTLRLSNNKLVGSVPPEIGKLAWLKELNLSVNQLQGYIPTSIGNLTQLTSLHLHTNKLIGSIPQEISNLVNLEDLQLGLNQLAGSIPTNLGNLTRLTTLYLWGNNLSGHIPQELGRLTNLEELELSQNILTGPISNSLGNLTELNVLFLNDNQLSGCIPQELGYLVKLDGLYLQINTLTGPIPNSLGNLTKLAELYLHSNQLSHDIPYEIVKLKSLVHLELGFNNLSGALPPGLCAEGQLQYFNANNNSLVGPLPASLLKCTGLVRVRLEGNRLEGDISRMGVHPNLVYIDISSNRLFGQLSKRWCACFKLTMLRASENSITGVIPPCIGELPQLGILDVSSNQLEGKIPPGIGNITVMFNLNLSNNLLQGTIPQEIGSLKNLEYLDLSSNNLTGPIRGSIEHCSKLRLMKLSHNHLNGIIPIELGMLIYLQDVLDLSDNSFDDIIPSQLDGLIMLEALNLSHNLLNGSIPPSFESMASLLSMDVSYNKLEGPVPESRFFEEAPIQWFMHNNQLCGIVKGLPSCEITPSHGKTKTSRVVLLAIIPAVVSFVLIMAVITILHCKSKKSSARSDNEPQQRRLFEIWNFYGQDVYKKIVDATENFSTTHCIGNGGSGSVYRAQLPTGEIFAVKKIHMMEDDEIFTREIDALMHIRHRNITKLFGYCSAPQGRFLVYEYMDRGSLAASLKSNETAIELVWAKRLNIIRDVAHALSYMHHGCFAPIVHRDIKSSNILLDVEFRACISDFGIAKILDADASNCTRLAGTKGYLAPELAYASRVTEKCDVYSFGVLALELIMGHHPGDFLSSMANKSTPLQDFLDIRLPLPESEIVSEIFKVIAVAVRCIEPDPSHRPTMQQVIKVFITTEGPDDHLDYLHTGIVIPACWS >cds.KYUSt_chr6.12356 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77177450:77177909:1 gene:KYUSg_chr6.12356 transcript:KYUSt_chr6.12356 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYYYFPDSLETTAEIGTSFRVVHSSSHKRSSPSPRPRRTSSRRLEADELRRHYLHACFRCARVLAGNRDIFMYRGDTPFCSEECRQQQIDTDEAAEKGSKKSVAAKREQQTQQHPHRVPIWAR >cds.KYUSt_chr5.37890 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239332534:239334566:-1 gene:KYUSg_chr5.37890 transcript:KYUSt_chr5.37890 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPRPLVVAAAVLCFSLCSSLLPFGAEAKVRHYDWEISYQHKSPDCFKKLAVTVNGEAPGPTIYATQGDTIVVTVHNKLETENTAIHWHGIRQIDTPWADGVAGVTQCPILPGETFTYKFVVDRAGTYLYHAHYGMQRVAGLNGMIVVTMPESVREPFRYDDQHTILLEDWWHKSVYDQATGLSAKPFVFVGEPQSLLINGRGTFNCSKLANSTGLCNNSHPDCALPTQFTFVSGKTYLLRIGSLTSLSSLSFEIEGHWMTVVEADGHYVRPFVVRNLFIYSGETYSVLVRADQDPRRNYWATSHIVSRNPMTPSGKAIVSYTGNDPRKQPPTAPPTGPAWNNTGIRVEQSKAIVAHPSYIVPVPQRADRTLLLLNTQNNINGHIKWTINGMSLHFPATPYLVSMKHGLTDAYDQRPPLDTYDHMGHDISSPATTNGTIGSPVYRLAFDSVVDVVLQNSNALNNMSETHPWHLHGHDFWVLGHGDGKFNPASDTWRLLNVKDPIMKNTVPLHPNGWTALRFHANNPGVWLFHCHVEAHVFMGMGVVFEEGIERVGPLPPSIMGCGRSKELH >cds.KYUSt_chr5.34518 pep primary_assembly:MPB_Lper_Kyuss_1697:5:218886910:218899593:-1 gene:KYUSg_chr5.34518 transcript:KYUSt_chr5.34518 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVAALVAVAVVALATAAWRWLQCEGDRRDELEEASRLAWLASEELQYAEPEPYDYANHGPFWRAADMADAPLWTAPEAPAPPRVQVQEQQHQEAAATDPLVPDPTVPAATGKKGSCAVCRRQTSFRCKRCKGVNYCTFKCQIVHWRQGHKDECHPQSVDARKDGTVKASSAEKGVECNSSNEESVVEGVEPAVKTKSSIAVMPESSKENCVAKCLNDESKEMPFGKASNTVEASEHDNNMFTFCSVTEHTESADCSSFPTSGEACKVKGASVSENGSPSHIPADNSSLQADRSAGLESEMEQSSAQAPCIDNLKSSRSLPSLPTIEKASSSHAGAHFAAGNPSKKADNLIETSVRSESSVMVPNNLSMAKSLITQQTAPIFVSTSESYELFVKLYNFEKVELHPFGLCNLGNRCNSYERAKKKLTISEAPNILTIALKRYQSGMFGKINKAIRFPEYLNLSSYMSTTHDCSPVYGLYAVVVHRDINNASFSGHYVCYVKDSHGKWHEMDDSQVKPVSLEEVHLKCAYMLLYARCSPRAPSSLRKVVVQQDPSRRKKASQTVDPEPTSLEGGSYLSRHQGGQSYTDHIVYDNTYTLDTFDDSLYLVSESTSPSGSSSIFSNSDAGSTGTLGSDSTDSTRNSGSMEDYDFIFGSSDQINLGEVERLQQLNHKASRGVWNEGGENLSVFYPDQGAMYGGWDPGYDAHSM >cds.KYUSt_chr7.32347 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201524009:201525571:-1 gene:KYUSg_chr7.32347 transcript:KYUSt_chr7.32347 gene_biotype:protein_coding transcript_biotype:protein_coding MTETLNLVLDLSSKPTNDRFFGLHRTVHPPLPHASRSSTKCSTMALAAATPSSGDQGRCSPHLVFIPSAGMGHLLPFTRFIAALADTGRVDISVVTALPTVSEAEADHFAGLFATFPAIRRIDFNLLPFDEAAFAGTDPFLLRWESLRRSAHLLGPLIAGNTQRASAIVTDVTLASQLIPIAKDDLHLPCHILFSSCATMLSFLAYFPTYLDAASTNHLAGDVNIPGIGHVPVDYPPQVLRNPDSLFTKQFIANGRLIAEADGILVNTFDALEPDALAALRGGKVVPGFPPVFAVGPLKSTSTTGSDETVVGASSPIAWLDEQPVRSVVYVAFGNRSAAALEQIREIGAGLEASGCRFLWVVKTTVVDREDTAGLTDVLGGGFLGRVQGRGFVTKEWVDQEAVLKHPAIGLYLSHCGWNSVTESAMYGVPMLAWPTLGDQRLIATVIRSGGFGQWMEHWSWEGNSVVSGVEIGEMVKQVMGDEAISTRAAKVREEATKAVAQGGSSYRNMQEFVATLKAT >cds.KYUSt_chr5.37940 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239713512:239714458:1 gene:KYUSg_chr5.37940 transcript:KYUSt_chr5.37940 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSYLEVSECCRLKLIENKAPNISSFDFKGGEIQLSLGEALQVKKLKLNHWCAISYAIDELASSVPNLEALTYGLLVRRYGLAFQPFQIVNAPMVPSKFLHLKVLSTSPSRYDWIIGDPSTLRKMPERHHDKLMTVRITGFCCQKSLVELTRHILESARSLKRLTLTTIDEDYLLYGGHNRFRKCPALDKEFIREARKSNMAVKTYIEGKVPSTVQLEVFKPCSQCHAL >cds.KYUSt_chr3.48936 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305736069:305747311:-1 gene:KYUSg_chr3.48936 transcript:KYUSt_chr3.48936 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDSNKWQEAMKSEMGSMYDNKVWTLVDLPDSRKAAENKWIFKRKTDLDGNITVYKARLVAKGFRQIQGVDYDETFSPVAKLKSVRVYIEWCFAIGWASSKRCTVAKSSTRIGVHSGFGGFIEAVWMKRFIVELGVVPSALDPLVISCDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEEGEVKICKIHTDLNVADPLTKALPRAKYDQHQNAMGVRCVAEAPPPPLPLHLRLRRVASRRSPGSAASDACGNILLGKTLQGNTCLHISSSHDHQVFCTDVVALEESLLSSVNLDEETPLLAAVTNGYVTLASSLLSCCCQARLRQAILQQDRYGFNALHHAIRNGHTKLALELIEAEPELSQAVSKCNESPMFFAAMRNYTHVCEKLIETPSCAYSGGRHGRNCLHAAVKNGDEKIVKMVMEKCPVLARETDRDIRNPVRHAVLDGKVDVLRVMLEHDSTLGYEINSAGTSLLTDAAYRGQVAAARELLKHCPDAPYHNAKGETLLHTAVLHDQEDFIEFVLRMPILRKLVNVQDNKGKTALHYAVKKCIPTVVAALLSHEDVDATVLDNDGLSAAWELLYNMKKAKTLNWNEVIMLMSKANPQEADSLHNLHMEAKKLTTDESRKAAKSLTQTYTSNTSLVAILITTITFAAAFTLPGGYSSDAGSEGLPIMSKKFAFQTFLIFDVLAMCSSFAVAFICIIARWEDYEFLLYYTSFTKKLMSFAYLATTMAFSTGLYTVLASRLHWLAIAICVLVALLPIITWLLGKWPVLKLRFQLGKSVRSDFLDMV >cds.KYUSt_chr1.10935 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66885843:66886478:-1 gene:KYUSg_chr1.10935 transcript:KYUSt_chr1.10935 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGLARRLWHVVLAVCHMLRRGLGRKRLMMDMHLLVGRGKLAGRALRGLLVHPTSGGHHIAASSSTSTMASFYGHRAREVEFSCTTTPSYPQYYGLFPFKGRGGRGRGDYGGLDAAAVARAFEMMSADVESGRATPAVAGVATATPSPMVAWILGRSPAGVRPLRVTDSPFPVVPEGGSNERVDAECDDFIQRFYEQLRLQHSVATPECS >cds.KYUSt_chr2.19304 pep primary_assembly:MPB_Lper_Kyuss_1697:2:121404996:121405715:1 gene:KYUSg_chr2.19304 transcript:KYUSt_chr2.19304 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLAIAVALVAVVSSIAIIQLLTRVKKPCAANLPPGSLGLPVIGQSLGLLRALRSNTNDQWIQERIDRYGPVSKLSLFGTPTALIAGPAANKFMFFSSALATRQPRSAQRILGKNSIVDLHGADHRRVRGALQEFLRPDMLKMYVRTIDGEVRRHIEENWANRATVTVMPLMKTLTFDVISALLFGLERGARRDALAGDFDHVMEGMWAIPVNLPFTAFRRSLEASGRARRALEGITR >cds.KYUSt_chr6.33759 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211747032:211748153:-1 gene:KYUSg_chr6.33759 transcript:KYUSt_chr6.33759 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIILIIAYMLGIVLSALQALVLAAILCPLAIIYMLGILMSGGISLWRLVEHDYGGNSDEEANLAPAMDTLYYLAVIQSVIFCYRFLFNFVGKVLSEKAFQNASWSNVPPPDLQGVVRTYLNETRRGCEKDPSFVEGQNIIRQAVDMIGSNSPSNLITGVKMMYTAICNEERPLFGIQYMRSNVYSPLHSDDFSGQRMLMKHLVVSGASSGHILRRQLETLDSRSLYDGKTRYQAAVIVQSLAYDINLKEFPRGIQHISSLIGTFIQYSIDEEYKEYSRRRWKGNYYWERRPSKPVFHGIESRLDDARFLRELEKDYKELLLQGLCILEKLAADQDNCRVISDTPGLVSLIMAPITTTYSTTLPLIMVHGLR >cds.KYUSt_chr6.5658 pep primary_assembly:MPB_Lper_Kyuss_1697:6:33988668:33988883:-1 gene:KYUSg_chr6.5658 transcript:KYUSt_chr6.5658 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRRESPEASSRHIPTEMVRQILLKLPARDAIRSSCLSKQLRDVVKDPSFPSHHAADHAVTSPGSSDA >cds.KYUSt_chr7.28972 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180245214:180247576:-1 gene:KYUSg_chr7.28972 transcript:KYUSt_chr7.28972 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRYTAPPLTPGLTMEIPARLRRLLLLINGDLQFFIVDLEPQLEFLLYCYYVLLLLYLYLDVGGMVGKVEQEYQD >cds.KYUSt_chr7.17376 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107585612:107587306:1 gene:KYUSg_chr7.17376 transcript:KYUSt_chr7.17376 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWGGSIHEADGSDLKVAEAGLLVNASGSLDLTSGSYRNSKGWESLTGLPTAAGILQKGKLQVFGKEIEAQLSSQFWDIALFLDIFIVNGYILDQRTVKDRAYQ >cds.KYUSt_chr3.9236 pep primary_assembly:MPB_Lper_Kyuss_1697:3:54048564:54056801:1 gene:KYUSg_chr3.9236 transcript:KYUSt_chr3.9236 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLFEGLDENSNLSVKEMKSRFLAYDAEKKKKEDELQSQMAEMSTMLKNLTSGPSSTASASLESYREVNHNYPKNTSPMPHINHSGNVPHFDGTHFPFWKSSMESHIRSCSVQLWEIIVHGYREPQDPIRLTSTEFYNRQLNASARDKIRSGINRKNNVARWSGYTLLRDPHHNKGLAFSEKERDAHYLRGLLPPAVVSQELQVKKIMHDLRQYKVPLQRYVAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLGKVLEVLRNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNDEFYIGIRQRRATGEEYHELMEEFMDAVKHIYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLSSLKVVGGTLAEHTYLFLGAGEAGTGIAELIALEISKQTNAPIEECRKKIWLVDSKGLIVNSRKDSLQPFKKSWAHEHEPLTTLLDAVESIKPTVLIGTSGVGRTFTKEVIEAMASFNERPIIFSLSNPTSHSECTAEEAYNWTQGRAVFASGSPFDPVEYNGKTYVPGQSNNAYIFPGFGLGVVISGATRVHEDMLLAASETLAEQATQENFNKGSIFPPFTNIRKISAQIAASVAAKAYELGLATRLPRPRDLEKYAESCMYTPIYRSYR >cds.KYUSt_chr3.44389 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280076262:280077188:1 gene:KYUSg_chr3.44389 transcript:KYUSt_chr3.44389 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVCYGMVANNLPSRSDVVQMYRSKGITGMRLYSPDAQALSALRNSGISLMIDVGGTDQLAYLAASGSNASAWVRTNVQAYQGLTIKYIVAGNEVQGGDTQNIVPAIRNLNAALSAVGLGGIKVSTSVRFDVVANSYPPSAGVFAQAYMTDVVRLLSSTGAPLLANVYPYFAYRDNPRDIQLNYATFQPGTTVRDDNNGLIYTCLFDAMVDAIYAALEKAGTPGLRVVISESGWPSASGFAATADNARAYNQGLIDHVGGGTPKRPGTLETYIFAMFNENFKLGDLVEKHFGLFNPDKSPAYPIRF >cds.KYUSt_chr4.54224 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335289237:335289901:-1 gene:KYUSg_chr4.54224 transcript:KYUSt_chr4.54224 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNLFFSFFRYHGRGDWHVGKGKMRLVFCAVVLAVAALRVAADGGDDTLLALPPNGTTGRSLLQIKKNCPVNLETANYTEVTSRCKGPVYKESLCCAAFRDFACPYGVYINDMTTNCATIMLSYLRLYGSYPPGLFANTCKQDLKNGIWCVEQEISDTAGDSAAQVPAHANTAFTFCVYALIVILFC >cds.KYUSt_chr6.17205 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108331252:108335417:-1 gene:KYUSg_chr6.17205 transcript:KYUSt_chr6.17205 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDASSAAEAALGLSPQLFINEVLNTVDEVRCQAFEHGAPEAVGAATAAQKAQELERGVTEIHHLVRDVLDTRMSRWEAYCLRHCLTVPEGFVAPEDDNSSAKVLDKDGNHDLELDEELNLLRKKLEAANKESEELQREISSLERQNTYRSTLNSSIAEVVKLFEDKSVQDNIQALVNTLPKLHQKMKVMKRKKVEAESMVGQNVLNISGVRDQKRLALGSAASTEDIQEVNEAMNGLRKE >cds.KYUSt_chr7.3998 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23934598:23934882:1 gene:KYUSg_chr7.3998 transcript:KYUSt_chr7.3998 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRPDRQHGNHPDQDLEFSPNATKSGPDLHRRSRRRRRRVSATTPTRGAALVAARRRATTTALAAMAQISGVHHPSPPSGAGPAATAARAGG >cds.KYUSt_chr5.29487 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186919052:186924070:-1 gene:KYUSg_chr5.29487 transcript:KYUSt_chr5.29487 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGADPDADEKPDVWQLFRHYDKLYFRGALVNAAFTLEWTSPRTNNIKYVGLSLGHLMLLGAFGSCSFGKMSKTITLYRTNRTSADVKKALLHLMIHAIIFVKHGMTCLSSHGPVFRDWMDAINDCTVEDYLRPKGGYCITTNHDFSPEKLCGIQGNLWKCESCGNTLVRATRLGPPSDSCCIENVSQHATCGNMLCYWHNHKMKCDGKYVVPGKRGQKMVLKVKRETSEVVQESDSDEVQENSTVGKPNAEGKLLSQVGCRNARSQVPRKKILKQKQEVVASEKHELFSMVSCNNAKPLGSCSTKKAEEFSPSKLKRKHTSVASKKHEFISVGSCNNAKSSRSNTSSKADKWQEPEHVQKPSVQPPVSQKKPKLEQDLGVSDKYAVFSPGSYNTTKPPGSNTSRKEDRRHTPEGVEKCSVSVPAPQKKLKLETDLVALQQNKTNCSIKAGKRHTPEGVEKSSVPVAALQKKHKLEPDLVALQQNKTNSAVKAGKRHRHEGVEKSTVLITAPQKAHKQREHEQPQKPIARPTAPQSILKQQTKTNSSTKEGKQIPGYFQRTFVQPAVSHSKLKQPNHAAPGRPKRRSKTSSPARKKEYACVSVWANIYESECSSGSAEPLVNKRTERRKRERERAVQITYSRSRKRSAADEQASSRQTKPPSQGLEFIVIDAANKVVTQGPRDQFKAPVPHKDIVVVPPADQVTTQTLTGRSQPPAQCMDIVIPPADLAMTQTHGNLSIPSRHMDNAAVRPADQVMTRAPVHQSQPPAASAIATSQVVPPHSADPDSSNPCSSPDVIDISDDD >cds.KYUSt_chr1.33271 pep primary_assembly:MPB_Lper_Kyuss_1697:1:202069007:202070155:-1 gene:KYUSg_chr1.33271 transcript:KYUSt_chr1.33271 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRRRHARSPAAGPLDDDDLLCEIFLRLPPQPSSLPRASAVCKRWRRLVSDPGFFRRFRLRHRRTPPLLGFFDKYGGSPFRSSLEAPNCIPPGRFSLQRDDDYDRSISLGCRHGLFLIFLTKPHQVLVWDPITGDEHRIAVPAAFDEDKTVGLVNGAVLRPAGEDPHFQVVLAVADDKQQALACVYSSKTGLWGDLISTPLPSEANGSPIPTMLFIEDSVLAADSLYWKLTGNFQGILEFDLAKQSLAVIRVSLDMRGEAKGLTIMRAQSGGLGCLVVSYSDYAAQLWERKTDCDGASSWGLARTIELDKLLSVKSVCFLGIAEENNVVFLWNTIGVFMVHLQSLKFKKLFKTNIISYYHPFESVYSAGENMAYIPYAQ >cds.KYUSt_chr6.11350 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70342001:70346310:-1 gene:KYUSg_chr6.11350 transcript:KYUSt_chr6.11350 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDSMAESPRRRNGLLRDQVQLVKRKDTNRYEIVPFPEQLSFEKGFFVMIRAIQLLVQNNEGIIFVGVAGPSGAGKTVFTEKVLNFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLENVHGLKEGRSVQVPIYDFKTSCRTGYRTVDVPSSRIVIIEGIYALSDKLRPILDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLKTAHIRIINKFNPFSGFQNPMYILKSPRSLTPDQIKAALGEDQTESNEETYDIYLLPPGEDPEACQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATVKIDWLEQLSRRYIQVQGRDRLYVKSVAEQLGLDGSYIPRTYIEQIQLEKLMNDVMALPDDLKTKLSIDDELVSSPKEAFSRASADRRNKLMKSGLSHSYSTHGDKSMVKLDKLTDSNRRFGSRRTPEPPAINQGAIEQLSEQISTLNERMDEFTCRVEDLNSKFTLIKSSPSQQNLALPSETRNGSAPTNLFVSQLGNGTLIPHSSSSNQLSKESPLTEEIMVLSRGQRQVIHQLDNLTNLLHEHLVVTRQGNATGRNRIQEGIDMAICPLIILTIGTVGYFVFKNLNRS >cds.KYUSt_chr2.12394 pep primary_assembly:MPB_Lper_Kyuss_1697:2:78582994:78584246:-1 gene:KYUSg_chr2.12394 transcript:KYUSt_chr2.12394 gene_biotype:protein_coding transcript_biotype:protein_coding MCFIANTSRKDRISVPVYDVDAARLLASFKVFDGVRVHGIQPRGSPPADGLAVAVFGERRAKLLRSGLGASAVDLVGRTRWILGTDGARCGAAARQEAGGDWVGGRGAPPAGKKNGPSLLRRRPRQLLVHATTGSCRRPPEQLLASAFSPTAAMAATKRGSLYNLSLVLAFRVLENPNSYTLLMKNLYVWDYVASLGDLQSQMNPSVGEVDDDCDMAMELCHMQEPQKLGVLRFADEWRRRALK >cds.KYUSt_chr1.24362 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145402036:145407894:1 gene:KYUSg_chr1.24362 transcript:KYUSt_chr1.24362 gene_biotype:protein_coding transcript_biotype:protein_coding MECGTSYVQDPAVRGRHASSFGRLVAYPPEVRESRSNEVLNPGLAPQSPPPPLLSNGSLLSSPIFVSPLSPSTGCGQPVAGVAVRRAGGAASAVALGRCRRRASSTNKSRIRDRTGRISSCWSSSALVMCCSLLVVERVLPLASNRGRCSLGSCTRVHQTWAPVTSKVPPVCLGKGGRLRSLQELRWRRRTDWKGPAAREGAQAGRASDERGIRLRPRRSNMLFGCERFRPDEEEDVKARCHYRCVQIDNIV >cds.KYUSt_chr7.14873 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92054355:92055618:-1 gene:KYUSg_chr7.14873 transcript:KYUSt_chr7.14873 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRRLQRADGPATVLAIGTANPSKCVSQEEYPDYYFRVTKSEHLMDLKQKLKTMCQRTDTEKRFFHHTEELLDAHPHFLDRRQPSLDDRMEIAAAAAPELAASAAAKAIAKWGRPATDITHLILSTSSCAGSPGADLRLAALLGLRPSVIRTMLQLNGCAAGSASLRLAKDIAENNRGARVLVACVELTIVAFRGPEEDYPHTLIGQASFGDGAGAVIVGADAGVLYPAERPLFEMVSASQTVVPGTDHVLTMRLTEAGLDGHILRRELVPIAAENVERCLSDAFGQLGVGVEWNDLFWTVHPGLRAILDHIEKALRLEPGKLTASRTVLREYGNMLGATIIFVLDEQRRRMEEDGEGGEWGVMMGFGPGFTIETMVLHATSNLTKN >cds.KYUSt_chr5.39476 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249864112:249872185:-1 gene:KYUSg_chr5.39476 transcript:KYUSt_chr5.39476 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGTGIPGVAPHYISPPSTFNVLLGSYWHDPAPSMVCVDAKRLRRSSLPADTASKVINAENSSSWSAPLLDNDDLLEEILLRLRPKPSSLVRASVVCTRWRSILSDPKFLKRYRKHHRKPPLLGFFTGNSGTEHNFVPALNVKPNHIPAERFAIPRRSSPYDYWNFLGCRHGLGVLMHYYGREVVAWDPLTGQQHHVPFPPELRNARGDIYWSWHAAVLCADDDDGHVHGDCFSSPFKLVLIAAEQTQAFACLYESVSGLWGNIVSTLTTTTIHEIRHSVLIGNALYCLFRGGDILAYDINGQILSHIEKPTEAYHTRLGFQLCRTNDVCGLGLAVMSKLGIHLWECKMYSEGVFRWVLQPKIIQLEELFPQRIGSDHKKVYMVGYDEEANVIFLATYIGDFVLQLQSMRFRRISKRNCWDNKMHYPYRNFYTAGAHIPGTKGLFNRLVRPTIYRNITKAPKHVDNMDG >cds.KYUSt_chr7.27049 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169068002:169068220:-1 gene:KYUSg_chr7.27049 transcript:KYUSt_chr7.27049 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLCSLSAETIIGSDHAPLLLSSGDDLKKRIPQFFSEKGWLERPEFEDLVQRKWRELETVGGTFHDPIDAW >cds.KYUSt_chr6.930 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5852774:5853265:1 gene:KYUSg_chr6.930 transcript:KYUSt_chr6.930 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATSRPSGPVLSIPNHGCASPNRVKLAAAVGARSPGKSVRASSPSAGRSRQSCMCSPTNHPGSFRCSKHKACKQEAPAGHRKPASPPSASGACKQGTKRTGSALVLVGGGAVESGTWARRALAPSPQSQSRRRTAGCFRPRTTRLSAVSFAGDRAGDNRR >cds.KYUSt_chr7.475 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2571873:2576820:-1 gene:KYUSg_chr7.475 transcript:KYUSt_chr7.475 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASAMDGLIPWGWGANNAAAPTNPAGMSASKRTTSSSTTATTGKLSTASTFMPSTVSGCSTDDYPEGGEILESPNLRIFTFAELKSACRGFRAETVLGEGGFGKVYKGWVDMNPSKGSTATVVAVKKLNPESVQGMEQWQSEVNFLGRISHPNLVKLLGYCMEDSELMLVYEFMAKGSLENHLFRRGAVYEPLSWSLRLKIVIGAARGLAFLHSSERQIIYRDFKASNILLDSHFNAKLSDFGLAKHGPDDGESHVTTRVMGTYGYAAPEYVSTGHLYVKSDVYGFGVVLLEMLCGLRALDPSRPSEKLNLVNWAKPLLADRRRLSQLMDSRLEGQYHARGAFHAAQLTLKCLSGEPKSRPSMKEVVEALEQIELMKSKSKSREARRDSPSMPRGRGNSPRSDSVITMMFGLIMIMVNLTVAQNLFRGNWKKSKKGKVVKGRPFTLAHCYTVLEKEEKWRNRDMEVPIRAKMEPHLVGDDDEDEASSDGVKRSSMPSSVARPKRPMGVKQAKEMKGKNSGDNDH >cds.KYUSt_chr5.14575 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94501288:94502643:-1 gene:KYUSg_chr5.14575 transcript:KYUSt_chr5.14575 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQVQRTLVMPPPAAPSAEVPLTVFDLAAPTYHVTVLFAFSPPNPTNQALLYALAATLPCFPLLTAARLDRGGPGVARPCHVTGEGGAGALVIEATVPSSALSDHLPLAPSPGLARLHPKVARGAARHVLMLQINRFACGGIVLASSAHHQAADGHSMTTFLHAWADAVRGLDVGRAPVPYGPTALVSRRPPRCEFEHHAAEFLPLSSSHAAAREDKPPAEADDRHVDHSEIANVLLHDTSEFVAELKRRAQDKYTTFETVSAHVWRKITIARRLSTGDGDACTSIRVAVNGRGRLAGTDTLPAEGFFGNVVLTATCGARAADLASGILADAAALVRAGIRAVDGRYFQSFVDFGALHGGEGDEELEPACADEAGVLSPDVEADSWLHLDLHRLDFGCGGRLVGFLPGKVPQDGVVVLMPSLRNGGGVDVFVALWKKHASELNAIAYTMD >cds.KYUSt_chr1.1833 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10603632:10604312:1 gene:KYUSg_chr1.1833 transcript:KYUSt_chr1.1833 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASYRSRRVLAEIDPHSDWVHGDEFDTLIVDVSGFTKNQLKVQVEPSGSLRISGERAVNGGRQWSHFLKRFDLPATCDAGAIKVQLDKGMLYVQVPRASAAADDDDSEDYPEDTLPEEGHAGAYWSGGHTAAWRDDAHPGSRLAKNLGKHRYVILNVVLAVVLLWLIAFAQSRQIKSE >cds.KYUSt_chr2.5417 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33536633:33537693:1 gene:KYUSg_chr2.5417 transcript:KYUSt_chr2.5417 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSVAAMKRAAYVTFLAGSGDYWKGVVCLAKGLRAVKSSYPLVVALLPDVPDAHRRALLDQGCLVRDIQPVLPPDSQTKFAMPHYIINYSKLRIWEFVEYERLVFLDADIQVYANIDHLFDLAAGQLYAVKDCFCEGAWGEQCQEMAAWFPKPPPQYFNGGMLVLEPSLATAKALLDRLAVTTGFTPFAEQDFLNMFFRDVYKPIPWVYNLLVSMLWRHPEKVQLGKAKVVHYCALGSKPWRFTREEPHMDREDMKMLVNRWWDIYNDESLNYKQATDPLRVALTEACAVKHFSTPSAA >cds.KYUSt_chr5.3570 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23113554:23117492:-1 gene:KYUSg_chr5.3570 transcript:KYUSt_chr5.3570 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNDGGQSKRPIVLFWAMVVCLCFLFLYFSGSNGHTRSAAIEYGTKFSRSLGWGSDADGDDGLDESIFGTGDANDVKPRSFPVCDDQYSELIPCLDRNMALQTRLKLDLNLMEHYERHCPLPERRFNCLIPPPHGYKVPIKWPKSRDVVWKANIPHTHLAKEKKDQNWMTVAGEKIKFPGGGTHFHHGADKYISSIANMLNFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHENQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILMLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWREMSSLAERMCWKIAEKKNQTVIWVKPLNNDCYRSRPHGTNPPLCKSGDDPDSVWGVTMEACITTYPEQMHRDEGSGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVDNYWKLFRPKVKQDSIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRILRPTGFIIVRDKAPVIVFIKKYLNALHWEAVTVVDGEPSPESENEMILVIRKKLWLPEGGST >cds.KYUSt_chr5.4379 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27902873:27905172:-1 gene:KYUSg_chr5.4379 transcript:KYUSt_chr5.4379 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLAAAAPAAAPEQPAPETLEEVMSRHRKEKSKLQDKETNLKKAAAKGSKAEQKAKKKQVEEEISRLSAELEAKHAAELASFGYKAEGSSQKGNLDTLVKAIAGVSVSSNADSAKQPGKAARRREKKAKEEAAREQRIQEEQTNLVSDRMVEDEKLEKRLEPLGLTIHEIKPDGHCLYRAIENQLSLHSKGTTQYSHQELRQMTAKYMREHAADFLPFFLSEGKAEAGPDPSESFESYCEEIESTAAWGGQLELGALTHCLKKHIVVYSGSFPDVEMGKEYNKKLEAGGDPSIRLSYHRHAYGLGEHYNSVIPAELEA >cds.KYUSt_chr3.30033 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188013587:188014315:-1 gene:KYUSg_chr3.30033 transcript:KYUSt_chr3.30033 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAERAQTVGAKDEGGDDSSGKQLAQMRELGVACRRCSLPPCSSSPPRCSARATRRAAPSRPLPTQTADNNPRLQRAYVALKKAITDDPKNLTEGWCGPDMCAYFGVFCAPSLDDPCARTVAGVDLNHGDLVGTLPFELGLLTDIAVLHLNSNRFVGGLPDSLPKLSLLYELDVSNNRLSGGFPQHILCLPNVRFVDIRFNNLCGPVPTAIFDKKIDALFINNNHFDFELPDNFGNPLVWS >cds.KYUSt_chr3.32092 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201689476:201699012:-1 gene:KYUSg_chr3.32092 transcript:KYUSt_chr3.32092 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKTLNPLLRRTRALPNPSSPLSHHTFSAPSHPAAPPFAAARPHVLASSPEPLLLRSAPWMPSQSPRPFTAAAAAFRAKPHRARAVAGGGAQAVADAVRWEPKRVYGSGAADEGAGRGERFLNLPNLVSLGRMASGPLIGWMIVNGWYLPAFGTLALSGASDWLDGFLARKMGINSVIGSYLDPLADKVLICSVAVAMVEKELLHPGLVGLVVVRDFLLVGGAFYKRASSLGWKWNSWSDFTNLDAIHREKVEPLFISKVNTVFQLMLVAGALLQPEYGTEETQNYITYLSWLVATTTMASTVVILSFPFDEEISFPASMVMLKDYNSLGDEYATESDNESDTDWISELPGDILQEILSRVMIRAVVRMRRLSRKWRKQCESLQFIHLDYRDFQHWKYEKFARFVNNLLLIRRKVDLHTFQLHWDPHAPLNCNDVRMWIGYALKHNVKVLDVKLDLYDKTDLPPGIFTCRSLQELNLQWGEAPFRDYEHRQLVLPDIIKLPSLKRLTLRDVEVHEDALNSFIARSPGLEDLNLIDSAMYIDRIASKALKRLTLDGFLDGCNGFTISAPHLISFECTGCSLDDISWSSQPSLESARIDTCGSTFDGESKFTGVLAHAKKLALFGSDIKVMLEKELPTCSVFESLTNLEIGDWYLIEDLSIVLRFLQLSPRLEKLTLMHKSHDKGADTDAMSIDGISFQCPFLENVIIQCSKDDDGIDKLVNVLVVNGISADKISITFYEDTKKKEHLVRRRADEERLKELFIFEKMAKKNREWIDEDRYAVSEPDSDQNDAYSDEYDEDDF >cds.KYUSt_chr6.22774 pep primary_assembly:MPB_Lper_Kyuss_1697:6:143789012:143792144:-1 gene:KYUSg_chr6.22774 transcript:KYUSt_chr6.22774 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCRTLQPPLDVDDASVTPITTPSPPPNSFAPQLAANDIAPPTSLLLASPASISHGVRAAVDAIGLQDLLALIPNEAANPGTVADPKVVQEVESVLEKVLRVEARQDDIEKAIKT >cds.KYUSt_chr1.41452 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254248394:254253302:-1 gene:KYUSg_chr1.41452 transcript:KYUSt_chr1.41452 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLPQLRTPREGFGNATSFKRPTEPAKYMSGMKNLFVEQDRSKAKRAVPSTLLPLPTIRSSVQAGLQPTIFSSLESDNRAEPDITTIDGFSSTLKVSGEGFGFAYHIFFRSACGSQVGLAFKCLNPQSLQDKNKPFIKRFDVEMVELEYGMEFEVLFEVSDGIPDITRSSGMVRRIRFIYRKSFYKFENDPVILRKVLEDLVHVDAERYYRSRYRNRVQTLLDSKRYQSGTAVTSYGTWAVPWAVLQRYRNSYCGSISVQNSERYRGRYL >cds.KYUSt_chr5.40976 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258612914:258615722:1 gene:KYUSg_chr5.40976 transcript:KYUSt_chr5.40976 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSLLPAPASRVRAGPAFTAVNRNGGVSLRRRGARLGVRAEVNESGSALAIDALSQVKHVLLPITDRNPYLSEGTRQAAATTTSLAKKYGANITVVVIDDKPKESFPEHDTQMSSIRWHLSEGGFTEFGLMERLGEGKKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLMLPL >cds.KYUSt_contig_1181.626 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:4379755:4380477:1 gene:KYUSg_contig_1181.626 transcript:KYUSt_contig_1181.626 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAMRVSDVLPPRPSFPAFMSGRSFLPLSSGAALPPPAESEAEYPVFADDEYRALMLAEFGPLMPLLSRDEIQATILTDDAEIHAPPLLPEDDDLKVYPPIPPCPADSKKRKNPPPQPSKEEDAINEPSPRPSKRPKLLSARRTNKMMATCLLKRSHSAPEPWESALWCHCNELPRPCALHQNAPGWRWMKEHDQKPPVGSDDGITVPKSRTDGKRLALRYMRWRCRVWMPTRFYAEHA >cds.KYUSt_chr5.38514 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243617325:243620567:1 gene:KYUSg_chr5.38514 transcript:KYUSt_chr5.38514 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDALSAVLGKLDVAELLTRAVLVCRAWRRLVDNDTTLWRRVDMTHHGDLLETEEAEAMARAAVDRAAGTLEAFWADTFVTDGLLRYISERALALKSLQLILCDNVSNEGLAEAIKGFPQLEELDLTFCSVYGNVCESVGKACPQLKCFRLNERWNIVERGFAAYEEGMDDDTQALGIANSMPRLQDLQLIGSNLTNDGLMAILVHCPHLQSLDIRQCFNLRMDDAMKSRCARITNLKLPHDPITDFKYRGYIASTGGDYGSDFEVDMYDDLLDVVTDDDDAEFDDMDDFDDAGSDSAMYDDLFDV >cds.KYUSt_chr2.641 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3959858:3960247:-1 gene:KYUSg_chr2.641 transcript:KYUSt_chr2.641 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRFDFKRHLGVYPGFRALKLPYKNDGDDHRAPPQDAFYMLILLPDRNSATPGSLADLYCTYDQARGRDAGVCQEPHARPQMRSLPGARFVVPKFKFTFEFEASDDMQKLGVARAFGGGARLLARPR >cds.KYUSt_chr4.932 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4803450:4807815:-1 gene:KYUSg_chr4.932 transcript:KYUSt_chr4.932 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVKGRYEGDKATAAATLALSAAGDLRLRASATDAAFAAGPSLDGLTLTLEKPGSFLLDLKPNNQDVRFQFMNSAVVLDKKVSLTYTHSTSLAPKPAAIPAPATSPSAAPPPAPKAAAPPNRTALDLSIAFDPANKVSVSHALGSGGCRVKYSYAHGAGRLTTLEPTFDTAKNAWEFAVARRFDGGDAVRGTYQASTKQLGLEWTRSSSIGGSFKVATTFDLSDQSKAPKLVAESTWNYEI >cds.KYUSt_chr5.34220 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217061544:217065511:-1 gene:KYUSg_chr5.34220 transcript:KYUSt_chr5.34220 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAAATVPLGVLLRREVTSERMERPDVLCGEAARSRKGEDFTLLLAEAAHRVAGDPSTSFSVFALFDGHNGSGAAIYAKKNLLSNVLRATPSGLTRDEWLKVLPRSLVAAFVKTDKDLQAVAETSGTTVTFVIIDEWVVTVASVGDSRCILESADGSLYHLSADHRFDSNRDEVERVTACGSKVGQLNVVGGPEVGPLRCWPGGLCLSRSIGDIDVGECIIPVPHVKQVKLSNAGGRIIIASDGVWDDLSFEMALECSRGYPSDIAANRIVNEAILPRGLRDDTTCIVVDILPPEKLAPSPPTKWQGKIVNKMFCRKHPDMSFKTDTEYAEPDVVEELFEDGSAMLSKRLTTGYALQRMFEPSSCAICQLRLAYGQGISIHANPLQHEKMQGWQGPFLCRSCHEKKEAMEGKRRPIDPSAADAFSHVGGA >cds.KYUSt_chr1.36848 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224819171:224819743:-1 gene:KYUSg_chr1.36848 transcript:KYUSt_chr1.36848 gene_biotype:protein_coding transcript_biotype:protein_coding MHMAPVAHGALSARAVLSSRRQRFLASPDATAASTVRFGTRRAGGRSRAILCLAPGGGGEREEPAGSPWDGRLVDEGMDTLRRRIRQVRAESDPDDYEDEDEDNGGVDGEGLLPGEWTELERRHHASYVAGVREAGGVLLALLVRERPGLGAGVLALVLLGVPATVLLVSAELITRTLDSISAALHNGRM >cds.KYUSt_chr2.15474 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97465416:97466505:1 gene:KYUSg_chr2.15474 transcript:KYUSt_chr2.15474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Brassinosteroid (BR)-regulated growth response, Feedback inhibition of BR biosynthesi [Source: Projected from Oryza sativa (Os07g0580500)] MTSGAARAAAEAAAAADGSLGRTPTWKERENNKRRERRRRAIAAKIFTGLRAMGNYKLPKHCDNNEVLKELCREAGWVVEDDGTTYRKGYKPPPSSGPFSGVSSAGMSPCSSSQQLLSAPSSSFPSPVPSYHASPASSSFPSPTRLDSQSSACLLPFLRGLPNLPALRVSSSAPVTPPLSSPTASRPPKIQKPDWDVDPFRHPFFALSAPASPTRGRRYEHPDTIPECDESDVSTVDSGRWISFQMATTAPTSPAYNLVNINRAASGSNSNSMEIEGMAAGEIRGRSGGPEFEFDKRIVTPWEGERIHEVAAEELELTLGVGSK >cds.KYUSt_chr1.29438 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178141460:178147915:-1 gene:KYUSg_chr1.29438 transcript:KYUSt_chr1.29438 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPQHHHHHKPKPALSGSLSWIRRSPPPSPPHKKPWGAGAGGRGRYACRLVPLLVLTLYSLFTVLRIPSSSLVVNTADSERVERRDDVEAFKTHLPSNQNGLQARQETRSPASLPCSAFISGEAGGGGESSSSEEGVLCCDRSHYRSDVCYLRGDARTDPSTSSILLYDAPRGAAPEKVRPYTRKFEESIMRTIDEVTILPVASDHSANGTSSSTNSRDSLRRRCDVRHPPGVAAVVFSTGGYTGNVYHEFSDGLIPLFVTSQRFRGEVVFVVLEYHYWWLGRYGAILERLTNYKIVDFRYDRRVHCFDEMIVGLRIHGELVVDPKLMPNGKGLQDFQALLHQGYSGGKPTSSSSSAPAALPLGLPSRPCDHAAAKAAKPKMLIFIRKQNRVILNLPHVVTACRRAGFAPHVMNLRRQTPLPIIHAALASADAMVAVHGAAVTHFLFMRPGTVLLQIVPVGLDWAAESFYGKPAQELGLKYMEYKVAPEESSLAAEYGVNSTVVRDPSVISSQGWWEMKKVYMDRQNVTVGVKRFGELLRTARLHLKNATACGKAAALR >cds.KYUSt_chr2.13091 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83082260:83083891:-1 gene:KYUSg_chr2.13091 transcript:KYUSt_chr2.13091 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAAMQPKGTSVGSVGRRVVGSSFSSSRELAIEAWSSLVADGGTPPLGRVTLGTDETGRPAMEGTRDGREENLYKSSVSRSVYNTTLTRKRKILSGSSSGSGSDTVVYQPRDAVVAPVELNLLSGFADCTMKKIVDPQGVGSGVSPCSQQMMYAFPLPEDAEQGFRNMDNCFQDVHSKD >cds.KYUSt_chr6.9991 pep primary_assembly:MPB_Lper_Kyuss_1697:6:61533041:61534385:-1 gene:KYUSg_chr6.9991 transcript:KYUSt_chr6.9991 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGRLIFNTSGSGAGQMLFMDCGQGAVGAGAASMFHRGGMPMLGGMDEGRGVKRPFFTSPDDMLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKTLERDFDRLKASFDALRADHDALLQDNHRLRSQVVTLTEKMQDKEGPDGSFGAAVDASQSELPAAEAKAAVSGAAEEQAAAESLEVQQQLHVKAEERLSPGSGGSAVLDARDALLGCGGVVDSSVESYYFPGGGGTCADEYHDCVMGPVAGGIQSEEDEGAGSDEGCSYYADDPAAVFFAAAGHGHNNHHHHADDVDDQDDGQISWWMWN >cds.KYUSt_chr1.6472 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39839938:39842439:-1 gene:KYUSg_chr1.6472 transcript:KYUSt_chr1.6472 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEELHFFTSLTRRTHSSRRALHLRAPSDRLNFRRSASVRNTGRRGDAVLYIKKEFQLEDNPTVEGLIMAMSLVGATIITTFSGPVSDMVGRRPMMILSSVLYFLSGLIMLWAPNVYVLLLVRLIDGFGIGLAVTLVPLYISEIAPSEIRGRLNTVFGIAPQFSGSGGMFLSYCMVFGMSLLPSPDWRVMLGVLSVPSLFFFGLTVFFLPESPRCLVSKGRMAEAKKVLQRLRGREDVSGEMALLVEGLDVGGDTSIEEYIIGPNDGADDHVVDGDNEQITLYGPEEGQSWIARPSKGPSMLGSVFSSHPVMAAW >cds.KYUSt_chr2.16292 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102517083:102517511:-1 gene:KYUSg_chr2.16292 transcript:KYUSt_chr2.16292 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMYMPMPEMHSAFYWGHRAQVLFHDWPGDRGDVGMYILCLVVVFALAALVEALSAAAKGVSSRRPAAVLAITGIHAIKMGLAYVVMLAVMSFNVGILLAAVAGHTIGYLLARIGLFRRATPKDDAPRNGALAASEAEPRP >cds.KYUSt_chr5.3307 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21337235:21339094:-1 gene:KYUSg_chr5.3307 transcript:KYUSt_chr5.3307 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASKCRCVLLFLAPVFLVSVSLAVDLKEACSTTPYPELCVAVLSANPESKAAAADARGLALIAIRTAGKMADDASSAVDVELGANPGVIDATTMKNSNPTNECLLGCKGPIQSARENLYSKIGKDDNTTLATARYFFQADPTGAWNLGNCDRCHVNGAPKLPSIITKDGDFNRFTKVTWKLVMQSARENLYSKIGKDDNTTLATARYFFQADPTGAWNLGNCDRCHVNGAPKLPSIITKDGDFNRFTKVTWKLVMQSARENLYSKIGKDDNTTLATARYFFQADPTGAWNLGNCDRCHVNGAPKLPSIITKDGDFNRFTKVTWKLVMQVPGVLIPPPPPNEFLNGTS >cds.KYUSt_chr2.27270 pep primary_assembly:MPB_Lper_Kyuss_1697:2:167315545:167318765:1 gene:KYUSg_chr2.27270 transcript:KYUSt_chr2.27270 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARSPAAGVRRRKAFVPLMLLLPLVLLILFLIFPPRSIPAVSNAALRPQQTCDHAAGGWVPDASADSQIRYDHTCKEIFKGWNCVANGKRNGRALLRWRWKPAGCELLPRLDPHQFLERHRNTNIGFVGDSLNRNMFASLVCMLRGVSGEIRKWRPAGADRGFTFLHYNLTLSYHRTNLLVRYGRWSASPDGGPLESLGYKQGYRVDVDIPDQAWADATSFHDVLIFNTGHWWWAPSKFDPIQSPMVFFEKGMPIIPPLLPPEGLDLALKHMSYSCESRNC >cds.KYUSt_chr3.35619 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223772836:223776377:-1 gene:KYUSg_chr3.35619 transcript:KYUSt_chr3.35619 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCLVSTVGLPLPLEPAELLQLGGGGRLSVDPADLREASGDFGGFTRAEPLAVYHPRGAGDVAALVRAAYSRGDGLRVSARGHGHSISGQAQAPGGVVVDMSRHKAAARALPAYSSAVGGHYVDVWGGELWIDVLNWTLAHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDIVTGKGDTVTCSATTNSDLFFGALGGLGQFGIITRARIALEPAPRKVRWIRVLYSNFSEFTADQERLISQHGGHRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKQHTGVLYCLEVTKNYDDSTAATVDQEVDALLGDLNFLPGTVFTTDLPYVDFLDRVHKAELKLRSKGMWEVPHPWLNLFVPASRIADFDRGVFHGILGSRTSGGPILIYPMNKHKWDPRSSVVTPDEEVFYLVAFLRSALPGAAQSLDELVRQNRQILDFCAGAGIGAKQYLPNHKSQPDWVAHFGPERWARFASLKSKYDPRAMLATGQGIFPPPATDSAPLLSDS >cds.KYUSt_chr6.5504 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32967550:32970069:-1 gene:KYUSg_chr6.5504 transcript:KYUSt_chr6.5504 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKTDLHHHTTRSKLPRSDPFTQSIHPRADINRAKPRKGIQDRGDELEMTLQPRAPSTHAATAQYPQMITIVVSREGDHHPVNHGFEPYGDDSDHGFGPAGHLASDPYTRSWLPASMLFGGRSLSPITDGFKSAGYWLDDPYALELELRTGTLFLPVLTAQHALHPLPSVLEASRPLGGMQLIACAVRPPLCPVPLLSISAPPMKRRRVSNHPARELNTMASAPHSFSLTRLHDSVSQHLDPDHGQAADYPPAAAPSLGPPPHKIVDPSKDCGDACDLRCSANSRKNMCSRACLKCCSVCRCVPAGTAGNRETCGSCYTDWTTHGNKTKCP >cds.KYUSt_chr4.4986 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28542115:28542609:1 gene:KYUSg_chr4.4986 transcript:KYUSt_chr4.4986 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLQLSFGDEPEVDGAVIAQEVMLLLAAQNAAAGGEPMHHHQRPRAFECKTCNRQFSSFQALGGHRASHKRPRGGDQSTPARPPPPPRRHGCAVCGVEFALGQALGGHMRRHRTAGADEEDDTARVPVIAERETGETRGLMLLGFDLNATPSDGTSQALDLWA >cds.KYUSt_chr3.25010 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155200924:155205753:1 gene:KYUSg_chr3.25010 transcript:KYUSt_chr3.25010 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVTATDGALASDEDSLSRAMRRKAASNLDSTGSEPSSKSFLNFSTQSISAKLNSVGVSLGSSVGTVNVSANALRHMEFDRLKVTPKVSSKPDISPVDEDELYSDTDGQLLSHLVGEVVYRCTSLLRSWSLLQRMEDRDLFTEPDNLAPLTPHQTDMTSIVELHLPALQGPPAYAAPAHHQAPASLPHVVPQARLRFRPAMFRCTSGRALVVRSLPDAKFVHDIELDIMEEGAAAEVALCRP >cds.KYUSt_chr1.2744 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16403316:16407545:1 gene:KYUSg_chr1.2744 transcript:KYUSt_chr1.2744 gene_biotype:protein_coding transcript_biotype:protein_coding MELKISKSRISVFLPKSALSSPPRPAPRALQSSGSHGQQAGFGDAAASPPRPPPRRAPPHHGQGQPSQPRPAPFSPHRPPPLTSSSAPGQDVLSLPEVELMTLLDAGILTARAAVSHVSEFACPPCQTALALLEERVRLGGGGRLPTTLCGLDEALGGGIPLGKLTEVVGPSGIGKTQLCLKLALLATLPECYGGLNGRVVYIDTESKFSSRRMIEIGQKSFPQVFRQEGLAQKMAGRILVMRPTSLSDFTKSLEQMKVTLLQNDVKLLIVDSVAALTSSENERGTSTTGFTQHPLRWALSFLKSIAEFARIPVVVTNQVRSQSNDDGYHYSFEVKKMGDGNGAERIESHLVAALGIQWAHAVTIRLVFESHSGHRFIKVAKSPMSPAVAFPFIVESSGITLLTDEGIDVSGPEITSIRCQGNSLPILVLNLSVVVYGQFGASISEAMGNGACTTGPCTSDIRNTGRLPDVDVLDIIILLLLTPAHVKAFSKG >cds.KYUSt_chr7.13422 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82827356:82827861:1 gene:KYUSg_chr7.13422 transcript:KYUSt_chr7.13422 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAALGGSHAGLALAATAMALSGTLVLFSLCRAKQADQHHLVSSDASQSPARLRPCLSSSEKRKREKARRGSMKRVRFADDVVDNGPARSAPAPAAAPEPSCRGSAAMPANREALYRGMLRGRSMLRTTCSY >cds.KYUSt_chr3.47148 pep primary_assembly:MPB_Lper_Kyuss_1697:3:295943719:295944729:1 gene:KYUSg_chr3.47148 transcript:KYUSt_chr3.47148 gene_biotype:protein_coding transcript_biotype:protein_coding MTATMPIKEENQPSPSPSPPGSTNRVKEMALDLAPAQKLPPGIYFSPTKPESLLTYLNRWIAGVAMPDAVGWVNEADVYAKHPAVLAGEYLPASTRNDEPSWWFLCHCKLQPTRRSGAAPRAERGVLTGGCWKLEQKTEEVECVETRRILGHKRSFGFYVKKDKSQWLMEEYTSVAIPEDGVALAGGKRVLPALYRVYPTPRDHEEKEKKKNAKKKRGRDDDGEVNNAPMTAPPVRVIVPETYFDAIVEALLLQSSAGGVGQEQTHDTEAAPPDDDHTVNIHEKEMCAMPVERQGNTDDGEPPQLPDLLVVDDGDVVWKMGRDNVLADSSWIDEHY >cds.KYUSt_chr1.28684 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173372151:173378093:-1 gene:KYUSg_chr1.28684 transcript:KYUSt_chr1.28684 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIATQAEDEKDQVLWAGFDKLELSPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPLYSEETEGFRASHPMLLVVAGDETNGMGMVQGGRLSALIRDTNSEPQNGNCISTPTVVRFYSLKSHTYVHVLRFRSAVYIVRCSPRIVAVALAAQIYCFDAVTLENKFSVLSYPLQGSPGANIGYGPMSVGPRWLAYAPNGPVLSSTGRLSPQNLTPSPGVSPSTSPSNGTLVARYAMESSKQIAAGIINLGDMGYKTLSKYCQELLPDGSNSPLSSSPGRRSGKIPSSVHPLEADNAGTVIIKDVTSKVVISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTSIANGSGSKRYDWTASHVHLYKLHRGMTAAVIQDISFSHFSQWVSIVSARGTCHIFTLSPFGGDSSLQPQGSHSDGPPLAPCQSRPWWSKPSFLMDQQLHPVPSTVTNSVVSRIKNSSSSWLNTVSNVAASASGKLSVPSGAITAVFYNSIYQGSLPAPSKANALEHLLVYSPSGHVIQHELLPSSGSESSNSSPTVGPGAHLQLQDDELHVTAEPVQWWDVCRRTNWPERDQDIANVVFHNQQNSTMTADSSDCEDSDHSDFTPSNDGMSRKEVMKVREKSSWYLSNAEVQISSWRIPIWDKSKIFFYVMDHPATDSGESVSLVGGEIEVEKLSLHEVELRRRELLPVFKQFHYPEQNRNLARGQFQNASSDIGSTHHSLAKDNAVYGPKPVPQISGFYTDMRKTGNMNGLAGPLFSGPIPAVDLLPMEKFNTTESPEATDLTANHKVDNESIKASTIMPRSRESVDCVPSHIRPLTNYSLLDQTLDNGSSPASNESCTTELTNNSLVSNGAITDIPNGCHKSVGSGQDETPGSHNSGEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGGVFAFSEEG >cds.KYUSt_chr1.33699 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204694818:204705514:-1 gene:KYUSg_chr1.33699 transcript:KYUSt_chr1.33699 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILGLGFLWKPVAILAAFMTGFSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPITPVIRGRPSSKRSIHICGRPRWLFVLLFSAVSCMLWLTSCSLLTVLWALLIALLGPLCAPDDSRSFLYAYSAEAGVASCTKSAAQRSMIVYLYNQGRCLRYIPVGTQESLWFILHSVIEPCRINNRNPPPLMAASAGNRRFKIFAAADGFGEPLKDAIVAHLRAHSSVADVVDLGVDKYYSAAAAVARNVTSSSSDPSLEARGVLVCGTGAGVTIFANKYPGVYATHCSSVADAVNTRSINACNVLALSGTATPPETAAVIADAWLATPFRAPCPASGDAPWPEDIQQFFDVAPQEMASIPEAPDSTCAICSLREWMEFEPVDIMPGGEMRIVRETPTSAYVRFKAGSVEPAHHHTFGHDLVVTKGKKKVWNLTKKQNYDLVDGDFLFTPAGDVHRVKYLEDTEFFIRWDGHWDIFLDEDLETARNAIDADVGGVVDSDK >cds.KYUSt_chr7.20719 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128520662:128521831:-1 gene:KYUSg_chr7.20719 transcript:KYUSt_chr7.20719 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEALRAADLPGRGRALLAAREIIEGEVILSEPPILLYPSSLASLRSYCSACFRSLPPPPHAPAACPSCRAAAFCSPACAAASHPRLLCAALSRNLAAAPDAHQEALLFLLSAYSLPDPSLRALLSLSSAPSPSQQQQDAAATLHAMVSSLAPPHLLPPGFSPDLTPALLSKDRTNSFSIMEPYRPDVPLELRKTRAYAVYLRASLLNHDCLPNACHFDYADRPGPGNTDIVVRALHGISEGREVCISYFAANWRYADRQRRLLEDYGFRCECDRCQVESKWKFDDDDGGDGDDTMEEEHDKEDAGDGVDEGMEQEEGSDGGDDDFPHSFFFVRYLCDREDCYGMLAPLPPLPNGDLSHVFECNACGQLKKEEEDDDEPDAGESSMVN >cds.KYUSt_chr6.26069 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165127300:165128148:-1 gene:KYUSg_chr6.26069 transcript:KYUSt_chr6.26069 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRSRRSQVWLEDAWKWEGKRRAGLLADVANGNEYGWLVDVALDLIAGVHHALSGHNNEKSTAASPSCSCRQPPGGTEAALPRGLWTSPCLAGAREDAPELLLPKAVAPAARLVFVRGVEVADGRGDAGALRNSGGCRPRQPSCSSCSVPCPMRVTPLEELGQHPERERRDSDAG >cds.KYUSt_chr3.21938 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135190765:135195619:1 gene:KYUSg_chr3.21938 transcript:KYUSt_chr3.21938 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCSLRDKAQVEQLLRYVVEEVPDDAEKKRSFKFPFIACEIFTCEIDVILRTLVEDEELMDLLFSFVKPDHPHSTLLSGYFSKVVICLMLRKTAPLMNYVQEHPEIVVQLVDLIGITSIMEVLIRLIGADETIYSNYADTMQWLENTDVLGMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFCHALEGSRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNVSHGALVTASPETVDGMLESLGNLLNLLDTSAADNVLPTTYGCLRPPLGKHRLKIVEFISVLLTVGSETAEKELISQSAIKRSIDLFFEYPYNNFLHHHVENIIVSCLEGKRTELVEHVLNECDIVGKILAADKLSSLSTESNGPTVPSEGKTPSKIGNVGHMTRIANKLIQLGNSNSTIQAHLQDNSEWVEWQTDVLVRRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVVISSLRLGDEPDGSLFTNSNWFTFDGERGINDRLAASVPSSSPNSEEASPDTEETDDGQVIGTEDQMETLSLGNGPTEEAEDAAECIKQTNLNTEDEQLESAEGIDRHPDASNGDTEVSTDEAASAVAESSAPATEMQAEGTVDKPAGSSDLDNPVSEASPDTDANGNDIADSAISPEQAIPNKEVELPMKTDAAVDAQMKTDAVVDVKPETDAVVDVGAKTDAVVDLPSKEVSAVDVEAKTGTVEANE >cds.KYUSt_contig_1181.1117 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:7305029:7308717:1 gene:KYUSg_contig_1181.1117 transcript:KYUSt_contig_1181.1117 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRALLSNPHAVASPTAARARLAAPSRAAVPLARLRIRSAILSSPAAAAPVATASEPRRRISRTGSDASLRPKPAVLVAEKLGEAGLAVLRQFADVECAYGMSPADLLAKVAQFDALIVRSGTKVTREVLEAGRGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHGIALLASMARNVSQADAALKAGKWQRAKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMHVIAHDPYAPADKARAIGAELVSFEEAIAKADFISIHMPLTPTTSKVFNDESFKKMKTGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTVEPPPKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVAGALRGELSATAVNAPMVPAEVLSELAPYVSLAEKLGRLAVQLVAGESGIKGVKVVYTSARGPDDFDTRLLRAMVTKGIVEPVSSTFVNLVNADYTAKQRGLRITEERVSHDSGAAEAPLESIQVRLSHVKSKFAGAISDGGDIVLVGRVKYGVPHLTVVGPYEVDVSMEGNLILCRQIDQPGMIGKVGNILGQKNVNVSFMSVGRTFRGKQAIMAIGVDEEPDKETLEKIGAIPAVEEFVFLEL >cds.KYUSt_chr7.105 pep primary_assembly:MPB_Lper_Kyuss_1697:7:559206:560207:1 gene:KYUSg_chr7.105 transcript:KYUSt_chr7.105 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPCLALPDGRFYAFQLGFSVLKPDDIHPGSPDESYRGATSDKLLFLTDCYGLYSLVNPFTGSERLLPIPSGFRLHEEEIDEEEGYGSEEMPVRKMVVCSEGGLVAAIVGHERSSRAALCKPEAAWSWSLSALDPWCCYTDMAFFDGKLYALTGDEDLLALEVSYDSKSGEPRISAIERIIDGGANCYTLKEYTRMRYLVVRPKDGSMLMVCKVMLEYGTTTYELLVFQADLRSSRWVQMDSLGGDEVLFVGRLCSRAVRADRHDVRGDQIFFLDDSTRPEGLGLPLGDGLANIYDMKDGSLSELLPMQTHRDGEVPATWLFREDEDGDAEG >cds.KYUSt_chr2.8267 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51974083:51975515:1 gene:KYUSg_chr2.8267 transcript:KYUSt_chr2.8267 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRTLEFLSEMFGGGEGHGYDRRRKRRQMRTVELKVAMDCEGCERKVKNALSHMKGVRSVDINRKQQKVTVVGYAEESKVLKKARSTGKKVEIWPYVPYSQVSQPYVAGTYDKRAPAGYVRRAEPGYSQVSRQHDELTDMFNDENANSCSIM >cds.KYUSt_chr1.42314 pep primary_assembly:MPB_Lper_Kyuss_1697:1:259037227:259048688:1 gene:KYUSg_chr1.42314 transcript:KYUSt_chr1.42314 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYGVSVSTRSSPRSAAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFRFDHVESVSLQSTSLTDPIVEQNVISEAKGSFTFAASPHGDSVESSGQPGSSLCRETNTADNLMLFGGDNNGAVKEKVVKRGSRRTNVAQLRQTSDGHNNAKRSEDSGLSRLGAKSQAYVRRNRSKSCRESTNVTSVTSPVIAATVSEPKDSEGVIKEKQADDLGASHGSSLNQAEPKYENGPNTASDEHMITEFNGIQAVRESHCIAKFEAMQGDYDSKATETVPNDVNGNQQADKCGEIAEEGASLETPDGTSKTVLRSSYSSASTHDEIKTCAADEKFESDQLDGNMAHIHVGRQDNDRPILVCALKAATSPKNVMDPRCEETASTIDNHADGNNPVPMIIDRKSHEDNISGISSKTVKEGGQVEVFSRPTSVIEDSSSIPPEVSTIIQVKDEMEICDDAIGAEKDASCSYPRSIINNKGSPGLERIDSCHGDSNSAHPIGVGSALNTLPESAPSLKTDASNVESEIQKCGENVNQMTNKEYEDSILRKARLIEERLWRITAASQVCHWIASDGLAKFDQASILRKQKTVIRCLAKGIMSFWRSAEAVLTTGGRAKVMQKCDSDMPGETKPTGIEAEKEQVSDSVVLTEAPPTPDRLNDFGILKVSDQLSQGSLFYTVPPGAMLAYRESMESLFMYHKRIGNTELKYDYEASACDSAADWAQENMYDEDEGDASTYLSEAHDGGLLSKMAHKKKHIMHQRINSSRPYETDVSYEPCLESKSGNNQFYSNGKRPSSFVGIPTKRVRTAARQRVVSPFAASVGGTPRATSKTDVSSGDTDSYQDDQSSLHGGWKNMDYESTVDFDRKLPYDGSEEWTKASKKKKHKNIGYKTAQNTTNSRGTAVKGRIYDQRSQVNMTTQYEQKDYLKKRSETHQFYSNGTIVANGGQHASKKLKMMKQGMDMSQEASHVASHMSNMGNPGKVIKIITNNKDRGRKVKALKMSSGGGWSNFEDQALVVLVHDLGQNWELVSDAINHIVQFKGSARQLFQRLQGPFEEENLKSHFEKIILLMPQVQYRRRQVNSRELKPIVQPHSSHIVALSQASPNNISGGILTPLDLCDATPLSLDAVTPGSGYQGCHANGMTLSNQHVSAGPTTSTPIPNLNPRLPGSPGMVLGSNMSPPSTLSAPSRDSPKYGVPRPASLQGDEQQKVQYTQMPSGRNLQQPGGSVPGTCPAGVDRGPRMISGAHGVGMTTGHMEEHRRVVKPELHLQVSPGSSQAAHFSSMNPSFSKAAASSPLQQTQRPHLMSQPPNMMGNPHLSQTQGASHSSPHQQPYAMQLAKDRQYQHRMVSQQHNDLSVASVVPSVQKGPQIKQQNLASAVASTAASQPPHLKQQSAKNPPGNSALHNQPANATEPKQKKQPGQQQPRQNQQQRNQASQQAKLMKSLGRGNMQAAQTPTVDATPAGAVSTASKKQASGNKASISVTPQPGNQHKLYPSVPQSAKQFPDIGNQGLMQAPPSHTVLASQPPPLHAKFSATMQQQNQRQANPSQNSIQRMMMQQNTQMKPDCRVEAQIDQAQHTQVIPAVPISHGPESGSPGSVPSVNQQKPQASVHDPAAVTSTPEPFISPKGNSVGNETPLPSSSQGMLQRQLSGGLSMHGSPKGNFAGNETPLPPSSQGILQRQLSGGLPMHGQDAGGLSWHQQHFRPPHLLQPPHQQQAQHQQEASAVVDPAAVMSTSEPPISPKVNFAGNETPSPSSSQGMLERQLSGGLPMHGQDAVGGSWHQQQFRQPPQHQQQRPVAPGSLYAPSNSGSS >cds.KYUSt_chr7.35612 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222561780:222567337:-1 gene:KYUSg_chr7.35612 transcript:KYUSt_chr7.35612 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPSVPSSHPLLVEASEEGAISRWTRAGHSLANYAFEELDTFLQQSDDEGNLQNIDEEEEYRKFLAAVLSGGGDDTQACQGNETQDEDVNDADFELRIEGTLESNGHGNVESYENINGGNEKDAHRPRTRKNRPKLSRATKSNVRPILPNSSSALLAPQNDSPNINAPSSSSSVNGAAVVEGFTDEQLGHLHMMIYEHVQLMIQTFSLSVLDPSKQRVAVDIKKMIVELVGHRDQALARTNTIHRQFCFERQHLKSAIDASCESSQCQWNPVIRNPVMSILDVSPLHLALSYLSDVAASVVKHRKSLVDGTADKTHSRKEPLFASPVLSTGKDANNVSQDKSNNVSTASPALPGQLHPKKTLAATLVESSKKETIALVPFDIARLAQRFYPLFNFFLFPRKPPPAAMVQRVNFTDAEDGLLALGILELNSDWDAIQKRFLPCKSTRQIYVRQKNRTATNAPDNPVKGLKIFKNDWTSVWKFNLPYRDPAQLQRQWRVATGVQRSYSKSDALKEKRRAYEAKRRKRKASMPDSRVDREQEADNDASEGVESDDDLYANEALLADTENRSVNMMQTGTRPDDECGAAHGCFDQHSRNSLRCGLSASYIPFSSCASDGPSTKRVFDGTLDELQASQIGKEKGSHVVKLAPDLPAVNLPPSVRIIPQKEFDQNAAHFNGTSDNAEKDLFPVPPPTFTECVYTQLNLFPDHSTSDRLEQHGTLNGSVIEDGYEQDFLMHPLLFQYPLQDFLMHPLNENLSHSRNYDLFPFENVPVEKSNKQKAPANANTVDFHPLLQRTEAEMHGEVPEEVCHQFANQSDCHVKSISKLSTPLDGSIKGRASISNLDPGNACSHRGIEEPSEEAMQGVVMEQEELSDSEEDSQHVVFECEKIDDSEEEQVKDAEPCLTGNKRVLETDHLSTIHQHKSLVR >cds.KYUSt_chr4.13478 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83090693:83092083:-1 gene:KYUSg_chr4.13478 transcript:KYUSt_chr4.13478 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFASGLSVQLSEPGNLVVLFLESGRGKGVGKEGWLQGRRGSLFLLSWTSCGNGKRKLVQSLAVCALVEAMTRAAIMIGHSCRRCAQAEDAVGAQDPHCISISICTMQEVIEAAVVFRRLLGSRMLVHMPRKRWHPQEGLDVLRVRAVSVPWRMCTPTTGAPPPPPASRAPAAAAVAVADGRPLRPPS >cds.KYUSt_chr1.37446 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228653223:228657144:1 gene:KYUSg_chr1.37446 transcript:KYUSt_chr1.37446 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGDGSGVDGEAFRGHFPVPTACRNRDSCPPDLCFSMAAALDGFSYRGFSVSKDLAASLHISSPVSLSTHDDGFKIRHSGPQGGSCRCSSRHARCTFHRALRSLWRATAITTTAGTIATTSGAVATKSRMVTSTTTSSHTTAAGAVSTNSRSHTTSSGAVTTNSCTGTRTGDTIQYCDTIEGTCNRCRTEVFDACTAEGNCTGSCDCEDAAYSSCISPCTTRYCDLCRRGRADECGKNCTKQCSEANCIPYSP >cds.KYUSt_chr5.19172 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124114230:124115676:1 gene:KYUSg_chr5.19172 transcript:KYUSt_chr5.19172 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVLYCERTCPPLEPPFPSTPAGKKKPAAALQHGNAATAPLPDPNNQVYYEYESDSDGECPPTPEPATLESEDYIDGDEAFSPYLRNCPGYANIALEHYNSQKKNEIKYQLIKPIINCVIRYDGSYHHVNFTAKSTLEGSKEEFFFAELRYCHDTHAWVPVSLVSMEEDERVDISKYFQQLNQVKCKTSHIAAQRGVEDRGFEDLDMFLLPHVCCVKQQIALLGCSPPTAAKLLHRFPKKQEL >cds.KYUSt_chr2.37350 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231289993:231291064:-1 gene:KYUSg_chr2.37350 transcript:KYUSt_chr2.37350 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAVVCVEPEVVAGMESEAVVCVELELVVAMERVAVVSSEEGMVSEEVVCDETEVVVSDEQGMVGEGVVHFELKVGTGVVSEAVVCEPEVLAGMESEEVVVRSKLGVVVSSEQGMASEKVVCDETEVVASDEQGRVRETVVFFEPKTGTGMASEEVVCDEMEVVACDDQSEAVLCADPEMVARDELWPELVQLHAVGDGRCVHGACAPAHYGSRSLDLCSNQQKVFVWWGDAISIGSRRRRCN >cds.KYUSt_chr2.13721 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86963581:86967294:-1 gene:KYUSg_chr2.13721 transcript:KYUSt_chr2.13721 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIVSCMSGRNGRSPSASPPPAAKRRSSVSSRRGGGGKAAEIDEQALAAAAALVLGQRGAGGAFDRSASVRYAAKRQQQGPPLPRSSSTRPRSLADPELQPQHLLAKDLNTKDLETNIIVLVHGGGFGAWCWYKTMSLLEDSGFKVNAIDLTGSGIHCSDTNKISSLSEYAEPLTSYLKGLGDAEKVILVAHDFGGACISHAMEMFPSKVAKAVFLCATMLANGHSALDMFQQMDTNGMLQKAQEFVYSNGKDRPPTAINIDKALIRDLLFNQSPAKDVTLASVSIRPVPFAPIMEKLALTEENYGSVRRFFVETTEDNAIPLSHQQSMCATNPPEKVLRLKGSDHAPFFSRPQALHKALVEIATLPPAKAS >cds.KYUSt_chr4.39678 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245042609:245052336:1 gene:KYUSg_chr4.39678 transcript:KYUSt_chr4.39678 gene_biotype:protein_coding transcript_biotype:protein_coding MATDADADDAPLLAEEPLRPGSCSRELELREFRDRYVFRSLDGGGAFAVARADGSLRALSPEEAASGSDCKVSRIYGVAGMIRMLAGSYVLVITSRKDAGSYQASTVYHVNSMKFLCCNEAIKHLTSDEKRDEAYFMSLLRIAETTCGLYYSYDRDLTLNLQRASKLAAGRVHKPLWKQTEQFTLKDGPVRINLFSRRCNRRLGTRMWRRGANLEGATANFVETEQLVEYEGLTSSFIQVRGSIPLLWEQIVDLSYKPRPSIIEHEEMTKVVQRHFHDLSQRYGDTLVIDLTDKQGDEGNLSNAFAAEMEKFPDIRYVHFDFHHICGGGNFDNLQALYDATEEAIQKQGYFLMNSKGDILLDQNGIVRSNCIDCLDRTNVTQSFLARKSLDSQLQRMGALSSAESISQSDSINDIFKKLWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSKSSSSPFQIIGFEPDAAAAAAHAKEKLMERKEKLERMVQESAELESESENFATLAQQITKSMESKRWWNWRA >cds.KYUSt_chr4.48564 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300791282:300797855:1 gene:KYUSg_chr4.48564 transcript:KYUSt_chr4.48564 gene_biotype:protein_coding transcript_biotype:protein_coding MQWNAAVLCAKDQCDHVYCHGGPFRVALVGSDEEHTFASVYSSETQKWSDMISIEEPNAIMKSGHIAVVGDKVYFQCQDNDIIVEYNIGEQIISVFDQPAEDEDYHLPYAPLVGVEDGMLLFAYALEPRLYLWSMEAGPNRAENWARRSVIELEPLLPPPALFDVSVVGFAEGVGVIFLNTKAGLYTIELNSGQSKKVHRKTSGEKVMPYMSFYTRDRSYWHILDSWHGLGLFYTPKEHADFTIYNLVTNREWEIQADHKFSDIIRSNESKYWYELDEGLSWNATVLCTKDRCDHLDCHGDPFRVAFVGSDLKRGVTIASVYSSETGKWSDMISVKQQDVIKPTWHSAVVGNKVYFPCKQDFKIIEYDLGEIKYLNGKKAKYPIELSSGEIKKVHKKGYFTTVIPYMSFYTGGRKGFTSSRAPNLDKSLSPLVCEPMSRVSLQDSINPKPQSEGIAEEYPRQLAPSVGSLSPPDKSSAVPVTSAAFLLESPTPAEPRDSIRCGSFEFVPHHEAPHLIPAGSTGDMDATFGGVHFIIDSGGFLRLPRTSASGLKAAVSEDVPPKTTLKVPPRSMQESNQGSFDFMAGRRKRRRDTYREEEGRTATHLRQFEQGCHDALSIKGRTRSPYLSATEQLEAPCYLHSYIDPKDGREKSSHLLRNCRHFLEIRQFCDDLRAEAISRVHAMERRARSYSYPTEPYVPEPYVPAGGGDHVPTEVFPEPCGQVNMIHKTSFSKREIKKFSREVKYAEVAMVDTPDFIDWSDQNISFSRADHPKAVPRPGHAALVLEAQIGGYNMSKVFMDGGSGLNLLFASTMRAMGLTVDMLRESNTGFHGIIPTRPAYSLGKTSLDVVFGTPSNFRKEKIEFEVVDWESQYHAILGRPAFAKFMAVPHYAYLKLKMPGNNGTPITVHGSFARSDNCDREFQKIASKFGAKEERNAIDTVTDHTQPPADN >cds.KYUSt_chr5.4914 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31109795:31110310:-1 gene:KYUSg_chr5.4914 transcript:KYUSt_chr5.4914 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSALILLLAVSFAAGANATSFSITNQCPFTVWPAARPVGGGRQLNSGETWNLDIPAGTSTATIWGRTGCNFNGNSGRCATGDCNGALACALSGQPPLTLAEFTLGGEFDSYDISVIDGFNIGMGFSCSTGVALQCRDSRCPDAYQQPNDVKTKTCRGNRSFRIVFCP >cds.KYUSt_contig_1181.254 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1627037:1627860:-1 gene:KYUSg_contig_1181.254 transcript:KYUSt_contig_1181.254 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSWALLTHLHSVAGPSVMLLYPLYASICAMESPSKVDDEQWLAYWILYSFITLLELVAEPVLYWIPVWYPLKLLFVAWLALPQFKGASFIYEKFVREQLRKYRGRARKGDSDHKVHILKAEAADNVHMY >cds.KYUSt_chr1.42290 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258888380:258891472:1 gene:KYUSg_chr1.42290 transcript:KYUSt_chr1.42290 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFLYLIILATASSVNCANQASNGSCFPAEKAALLSFKAGITGDPANLLTSWRQGHHDCCQWRGVTCSSRTGHIVKLDLRNKSPTEYELYGDQDPKSHSLRGQVSLSLLALRRLRYLDLSMNFFLGDTMAMPGFLGSLKSLKYLNLSNMDFNGIIPPQLGNLSKLVHLDIGNEHNSNYQYSKDISWLARLQSLEHLNMACINLSRVDDWFHTVNALPNLGVLVLSYCGLNMSNAPSSLLHHNLTVLEELDLSGNPLNRLSASNWFWDVISLKSLNVALCELSGTFPDELGNLTLLENFDISYNYINLMMPGTLQNMCNLTSLDLSGNNINGDIREVIDMIPSCSWKNLQELKVFDTNISGMTLQFVSNLSSLRMLEIGHNQLSGSVPMEIGMLTNLSYIDLSNNILSGSLPVEIGTLTELTYLDLSNNNLSGSLPVEIGTLTKLTYLDLSNNNLSGVISEDHFASLVNLKNIYLSPSNLEFVIGSHWVPPFNLEMALFSSCYLGPKFPKWLRWQKGIDTLDLSDTGLVGRIPNWFWTTFSEASSLDISLNQLTGELPPSLEFMSVVVLSMQSNLLIGLIPNLSRTVEILDISRNSLNGFVENFRAPQLEVAVLFSNSITGTIPTSICRLHKLLVLDLSNNLLSKELPDCGRRELDQWITSNNNSPRVNSTSSFSLKITTLLLSNNSLSSGFPLFLRHCASLMFLDLAQNKFTGELPRWISEAMPSLVMLRLRSNNFSGHIPIELMALQVVQILDLSNNNFSGTIPQYLEKMKVLTGTATPFVQNPFDEFYGNVHSANVPGPSDDSLSVVIKGQVLEYKKNVIYLMSIDLSCNSLTGEIPEELSSLAGLINLNLSSNLLSGNIPYKVGNLRSLESLDLSKNKFVGAIPHGLSNLTYLSYLNLSYNNLSGRIPSGHQLDSLKTDDPASMYIGNPGLCGDPVPRQCPGPPRTPPTREDSTGWHEDGFSQMDFLLGSIVGFVVGTWVVFCGLLFMKRWRYAYFGQLDQLYDRLYVISVVTWRKWFMNTDGN >cds.KYUSt_chr3.1051 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5595859:5598486:-1 gene:KYUSg_chr3.1051 transcript:KYUSt_chr3.1051 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILDALTSKFLTKLVQLIENEVVMMLSIKKDIKRLKKNLEHFSAVREDAEALAMEDRRIEAWWKNMSDVMFDVDVIIDLVMVHSQKLLLPARSVCCNQSMVSCLGKLSFDPKVARRIKDINDKLDEIKMNTEMFNLGRTSCQQFQVSIVDRNKTSPVDELEVVGKQIKQSVDDMVQIIVSRFHENRSSVLGIQGMGGIGKTTLAQKIYNEQVIREVFQVHIWLCISQSYTETGLLKQAIRMAGEKCDQLETKTELLPLLLDTIKGKSVFLVLDDVWKSDVWIDLLRSPFMRASNCHVLVTTRNHDVLAEMHATHTHQVNTMNYDDGLELLMKKSFQPYEQISELKKVGYEIVKKCDGLPLAIKVVAGVLSTKRTTPEWKNIRDSKWSIHGLPEELAGSLYLSYSNLPPELKQCFLWCALLPPNFEIRRDAVSSWWVAEGFVRKEHELSMHEIAEEYYLELVRRNLLQPIPVFVDKGVSTMHGLLRSLGQFLTKDHSLFMNVQSNGAMSNLRRLGISDAVEEIPSLEEHKCLRSLLLFNNKNFKSVDKDIFRKLQHIRVLVLSRTSIQNIPESLGRLVLLRLLDLSYTEINKLPDSTGSLISLEYLSLLGCRQLDSLPAGLMRLSKLSFLWLEHTAIDHVPKGIAKFQQLYGLKGVFESGTGFKLDELRCLPNIQRLWIEKLEKAAPGGDLVLKDSHNLKELGLCCTVASTQEIIRYQIDEVERIQQVYDMLIPSPSLEYIFVVAFPGTMFPGWLGSKPELTMPNLGHMHLNVCISCLELPPAGQMPHLQVFQIEGADSVESIGTEFLGRGVGSPAVFFPELELLHITRMCSLKSWSLDTGNPCDIMEGNLPESLMPKLKRLLLVFRQREVSVG >cds.KYUSt_chr3.48646 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304081412:304082836:1 gene:KYUSg_chr3.48646 transcript:KYUSt_chr3.48646 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAMRYRLAARRARWKIERSLGASAQRPPRRIPGANMRRVCVTADGRSLCFVPLERVPDAFSPRAGHKARVCRRHLKDLREQAIYSKPRDLQAPRPAAASRPSSRPPQVQGQAQELLPPPPPGPAPPATVRAAMPRIGDPAARPSEGFVVIASTPAMVQAQESLANHAVIIRLGGNRPRVNAAEVTRAIEDHIKLPSEGYFRVVPTFPDDFFCTFTFQHHRELVTSPGRFYSRGLDLHINRWSKLAHANVAALNFHVHLCLEGIPFQAWTDDVVGRILG >cds.KYUSt_chr4.50264 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311374085:311376580:-1 gene:KYUSg_chr4.50264 transcript:KYUSt_chr4.50264 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASSDRGVSSSAAAPPTQLPLPGPPAKKKRALPGMPGDYSNLRLTKTARLIYLVNQQVLLPPMRAIDRSIDGKYDSCLLCRRNADPDAEVIALSPKTLMATNRFVCEICSKGFQRDQNLQLHRRGHNLPWKLRQRSGKEVRKRVYVCPEPTCVHHDKSRALGDLTGIKKHFCRKHGEKKWKCEKCAKKYAVQSDWKAHAKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEDAKARAPPAEDGTSAAGAPLALPPPPQQAPLLAAPVPLQQVPPPPAPAPAPQQEDNQEREISAAPEPVVQFTPPAPMMQSPPSVNGANVSASTSSVSANSQSLLGSMFAPSSVAPPPQYHPERANKPPPLCLATDASSSLFSAPASADRQQQHLAPPPSPSPHMSATALLQKAAQMGATSSSSSFLRGLGLDVSSSSQASTSSGQQLHGHQGGTMQQVPFPDGSLPQWPPRMEPEPAPMMSAGLGLGLPYDSTGGPAGFPELIMGQSSMFSGKPATVDFLGLGMSPTGATTSRGFSVFMQPMGGAVGMAGSGAGSTETFGVAGRGPQAKPWERNPSSSPIL >cds.KYUSt_chr4.23481 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147835986:147838070:1 gene:KYUSg_chr4.23481 transcript:KYUSt_chr4.23481 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLRRALQLRRALPSPSSHAASSRLLSTQQNTTATPIDLSSDESRRRLVNSLMYRSKQRGFLELDLVLGTWVEQHISSMDEPNIRSLLQVLDIENPDLWKWLTGQEKAPEAVNSNPVFVAMKSKVTDNLSKHSSPETRSAPGQPWVRGWDDKRGLDGPKYGNQ >cds.KYUSt_chr2.18725 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117881829:117890030:-1 gene:KYUSg_chr2.18725 transcript:KYUSt_chr2.18725 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKQFPEADIKKGKMVIDQRQGFKKVHQMEATKEKVRQKWSPPESNEAKLDVDGAFSTDGRAGIGMVLRYSNGVVIFAACRKIQQCQDATEAEIQAIKDGLQLALQWSLAPFSVESDCSEAIQMIKQSSPNISAHAFRINVIRELLRERDSRLIKINREANTASHELARLGRVQAPATMLLLFLLLLVAATSSPASGEGEAEPVVNDFSHVCSSTDGPQQLYLPNSTFAANLAAMSATLPRNASATGFSAGAFGRAPDTAYGLGLCRGDIPGDRCAACLAMAFNGAADLCRDSKDVTIFYDQCHVRFYDRDFLAGVRNGPKKAAHNMNNVSAWNVAEFDGLVTRLANAVADRASNASNSYATGQAGFVPEKIDLYGLAQCTPDLTTAQCRSCLAGIIGEIPKSLSGRVGGRILGVRCNYRYEKDIFFHMPDDIVTLTPLVSSSSSTGSKNTLWIVAITVPVAVLLCGFLACFLWIRTRRRRGRVGIPTMSMEMEQVLKLWKIEESDSEFSLYDFDQIADATGNFSDDCKLGQGGFGAVYMGELSGGIEVAIKRLSTGSVQGLMEFKTEIQLIAKLQHTNLVRLLGCCLQAEEKMLIYEYMHNKSLDCFIFDSTRGAILNWERRFTIIDGIAQGLLYMHKHSRLRVVHRDLKASNILLDRDMNPKISDFGLARIFCSNTTEANTTRVMGTHGYIAPEYASEGLFSTKSDVYSFGVLLLEIISGKRTPGFYQHGKFCNLTGYAYRLWKEGKWHEMVDQVIGTGYPVTEVMKCVQVALLCVQDSAEDRPNMSDVVAMLGSEGLTLPEPRQPAYFNVRMSSFPESTGSFTESPYSYISNVVLTDGR >cds.KYUSt_chr3.525 pep primary_assembly:MPB_Lper_Kyuss_1697:3:2800750:2810118:1 gene:KYUSg_chr3.525 transcript:KYUSt_chr3.525 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDFPTVINIVRLAPVREWRQRRVGGSLWDVIPVEDRFLLWVDYFRGIINSDPWQEMPELRHVSLTVEPDPWRSNNRGGPSYQSVCATNCGRAMRFLQVCPRCCCGFPSRTACVASRYAYTITTWALRMDDMMTWDKVGAIDSDDLWSLPRYHGIILRVRPEYPVVSLDDPYVLYLTVHKNMYHTDVEGDLGTWMIELDTRRMELCSICVDDDSFTLSSHFIASPISQYMDTSSRSCAPARRKDTRLEAMDKPSELPRVVASPEEMLATLREIPWDKSQHKFRSLLARDDILKAYGVLAWDKSQHKFSRHSSASVLHILVFVCVRCRKTRARHDLVDVVALALLRPSARSPTVKLRFLPPNAHVLRRLHASATDVLGTAWFSPYLVIGTTRRLPERPCSSSARSSPPSTTSNRVASTTTGVSFSVLAPCFYLACTSLLQAAFILLLQQGLHLLELVHASSVVYPGLPFVLVAGSPSFSSPTTPGRHSSASVLHILVFVCVRCRKTRARHDLVDVVALALLRPSARSPTVKLRFLPPNAHVLRRLHASATDVLGTAWFSPYLVIGTTRRLPERPCSSSARSSPPSTTSNRVASTTTGVSFSVLAPCFYLACTSLLQAAFILLLQQGLHLLELVHASSVVYPGLPFVLVAGSPSFSSPTTPG >cds.KYUSt_chr5.7532 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47523599:47525782:-1 gene:KYUSg_chr5.7532 transcript:KYUSt_chr5.7532 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGYVLGAIGGALLVHAAYATIQYRAVLKITEEEFSHPPFDVIIQLLVGLALCMWAGVSVPAKFLSVLPHSEENRIVSLPANLDFMIFNHRGRALPSDADLKLKI >cds.KYUSt_chr6.25725 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162991388:162991648:1 gene:KYUSg_chr6.25725 transcript:KYUSt_chr6.25725 gene_biotype:protein_coding transcript_biotype:protein_coding MRALYNEIRAMKVREVPAYLKPRLTWENVKKSTDKAVDGYIEKYIETNSPEPLFHICFGGMAFFYLVNLPVERRHLEHLEKHGGGH >cds.KYUSt_chr6.1999 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11889266:11891397:1 gene:KYUSg_chr6.1999 transcript:KYUSt_chr6.1999 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCRFLGPSSLGFVRLAAWSSATASTVSKVCTFPRSSPATRVKVLNKLALVPVKSQRFPLRLWTDLCARLPCGFVAAAAAILLWILSSGSAAVAARVPASLRSLGGVVQERGWRLLSFLAALSANSRRWRTRCWARGRRWAVLFGSVDYVDWLRQYGDLDKHGVFPGRCSPELATASFAASPNRGPQSSLSARMLLQVLAQWKLIFVSGVVFGGGGPASRVLVLYAEIPWGLFVFSCWLGVFCVKYRGVLALLERSGWSSTDVQGFFVHGYLFSVWRTETYLRRSVHDWRLRRGILFPYMGGSIVYGMKPHPHLRRFAIHRSDMYFA >cds.KYUSt_chr5.4511 pep primary_assembly:MPB_Lper_Kyuss_1697:5:28801695:28803312:-1 gene:KYUSg_chr5.4511 transcript:KYUSt_chr5.4511 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEMEAGGPSSRRRRSVSPGKDEDGGGVDHISALPDAVLGEIITLLPTKDGGRTRILASRWRHLWRSAPLNLECRDLGQLAVVLVSHILSSHQGPGRRFCITQGSIIYTSATTMDAWLRSAALDNLQELDVWYGPHRPLSASTLRFSNTLRVFTIGGCDLAYNTGQPLHFPMLKQLGIEHVSVSEHSLHSLIASCPILECLFIKYSVGFSSIRINSPSIKSVAISRCGTDGSGFHLVGQIHLHELIIENAPCLETLLDLCNKCLHVSVVSAPKLETLGFLSEQLSDGMDISTRLVFGYTAIQVDGLAMVVRTVKVLAIRMETLNLDVVIELMKCFPCLEKLYIQGWSTRENCLWRRKHRELIKCFDIRLKTIVISTYIGFWSEVNFATFFVLNAKSLELMIFEVNSSNEEFISKEQKKLQLDNRASRGARFRFTTDRCLRGRLDIDHVRDLDLADPFIRRC >cds.KYUSt_scaffold_869.1927 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:12096767:12097033:-1 gene:KYUSg_scaffold_869.1927 transcript:KYUSt_scaffold_869.1927 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDALVQLAVLAALCFLLIPQLNLLLLSLSTLIHPATPYLSATAIAGAAAALEACALCWALLQCQAHRCGKPRCRGLRKAVEFDI >cds.KYUSt_chr7.39678 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246672671:246682481:1 gene:KYUSg_chr7.39678 transcript:KYUSt_chr7.39678 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEKYNSYKAPGLRDAMLEAAHVSCLGDRYRLGDQLGWGQFGVIRSCADLVTGEALACKSIAKGRLVCPDDVRGVRLEIEVMARLSGHPNVVDLKAVYEDEESVHLVMELCAGGELFHRLQERGCFPEHEAAALFRYLMEVVAHCHSKGIVHRDLKPENILLVSNSPSSAIKLADFGLATYTQPGQRLSGTVGSPFYIAPEVLAGGYNEAADVWSSGVILYILLSGIPPFWGKTKSKIFECIRSTELRFPSDPWDRVSDSAKELITGMLRRDPRQRLTAEQVLGHSWIQEHADDSRESCGHCHEVGLRREEPGSCSFSTPLASCSRDVSFNTGGPITCQNLSEEPCSPTFACRSSFSVFAAASAPPASCAVSGFSFGDSPEPRKNPVFPLPVMSMPSFSFFCGLGSDETGPSAASASDDKGAPCDNATTASSSSALKRTAEASLRGVVIRANPSSRGPIGGDRRNHTIGASEREHHLDAMVTESVIRWASCTHLSTTLSLRASLTNGADLRGCTIRACGRKGFGVYSAAAETGATDGVVMVVPLDLAVTPMRVLQDPLVGPRCRALFEEGGVDDRLLVMLFLMAERLRPSSLWKPYLDMLPGTFGSSVWFDDEELAELEGTTLHRATVMQKRSLQTLFDDKVKGLVEELLHVDDSGSSIEVQFEDFLWANSIFWTRALNIPLPHSYVFPGSLDEQQTGTAGSLGDSSLTTQQESDTAVKNTRGDENSESCKTGSIWVEGLVPGIDFCNHNVKALATWEVDSVGNATGIPASMYLRLAQVDKSSVETGTEIYINYGNKGNEELLYLYGFVVDNNPDDYLMVHYPIEALRQVQSADVKMKLIEMQKAELRCLLPKSLLDSGFFGTSTSSAEDDNKKSASHFSSYSWSGQRKVPSYLHNNVFPQEFLSTLRTIAMQEHELEQVASLLGEVGSSEDTEPSDAEIRSAIWEVCGDHGALGLLGDLLRVKMAELEEGTGTEASDDQLLEKLDSSDPEDSLSSSAEENNKTKSKANIRSCIVYRRGQKQLTRLFLREAEHLLELAADDQT >cds.KYUSt_chr7.13830 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85454789:85459222:1 gene:KYUSg_chr7.13830 transcript:KYUSt_chr7.13830 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLPPKLSMFGAKAGFVIPKNKIPGSMAIRKVEAPAAPKEEDAKPPQRKTKWGPDLASDPAVRKAKALAYQTRVEQINKELTSGALEMGGNEGSSVTAKGSTSDGAENPKENEGKIELLELEKREIIGEILQLNPGYKAPDDYKPLLKETKIPLPTEAHPGQNIIGVLIGPEKNTQKRLQEETGAKIRVYGTKKGNGEKAEVRQSDIHEARAAYKDLYIHVSADSYDKVDAAAALIELLLTPVSVSSEAWSLFL >cds.KYUSt_chr2.19831 pep primary_assembly:MPB_Lper_Kyuss_1697:2:124872037:124878622:-1 gene:KYUSg_chr2.19831 transcript:KYUSt_chr2.19831 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFRKQANKFKEQVAKQQQAVIKQFSGTGFERSDSVVIDEVELQQHQRLEKLYSSTRSGRDFQKDIVRTAEGLVSIGSKHVEVGTKFSEDCFRYGGENNGDDEALTKAASLYGGALRNVEKEYEDFNRTLCSQTIDPLKAMAACVPLEDARGLAQRYSRMRQEAEILSAEIARRKARVREAPTAENTTKLQHSESRMVELKATMAVLGKEAVAALAAVESQQKRVTLQRLVGMVEAEKLFYLRLASILDDVEAEMSSEKQKRESAPPIIPSHKRAEKAQYFLAEAVHNFNGTTEKELSLIVGDYVVVRQVSVLIIILFVRLSYHMIIIAALRKH >cds.KYUSt_chr7.18819 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116565528:116568531:-1 gene:KYUSg_chr7.18819 transcript:KYUSt_chr7.18819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase iron-protein subunit (SDHB) [Source: Projected from Oryza sativa (Os08g0120000)] MAAAALLRRSPAARVLLSPALSSRLVASKPHSSSPAPPPPPSSTTTTKTFSIYRWDPDSPSTKPHLKDYKIDVADCGPMVLDALIKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKINADDKASTISPLPHMFVVKDLVVDMTNFYNQYKSVEPWLKRKDPQSPDKKEILQSKAERAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRWIQDSRDQYTKERLDSINDEFKLYRCHTIKNCTHACPKGLNPAKQIDTIKKLQLGA >cds.KYUSt_chr3.42314 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267495386:267497508:1 gene:KYUSg_chr3.42314 transcript:KYUSt_chr3.42314 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPGVAALGSTVEADLFFAGEGRPTDGVAGSFDLSPRSGGEVERRGSLRRLLSQVVGWRSATTVPKEVDNMTLRRFLRARDHDVSKASAMLLKFVAWRREAVPGGVMPAEQVRRELSDDKARMGGVDRAGRPVVFAFPAKHFSANRDMAEHKRFLIYLLDKICARIPRGQDKFMCVVDLKGWGYANSDVRAYIATIEIMQSYYPERLGKALMIHVPYIFMKAWKMVYPFIDANTRAKIMFIDDKNLDETLRQEMEESQVPEIYGGKLTLIPLADD >cds.KYUSt_chr7.40682 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252476772:252479140:1 gene:KYUSg_chr7.40682 transcript:KYUSt_chr7.40682 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAALLRRHGLAAAPANPVLFSAHGLRYRKLEVILTKTIDKLGKAGETVKVAPGHFRNHLMPKLLAVPNIDKFAILMREQPKIYQHEEEVVVKEVTKEDDDARQAEEKLKGYQAAAKRLDNALLVLRRFISEGNELRTPVTKDEIVSEVARQLNINIHPDNLHLVSPLSSLGEFELPLRLPRDIPRPEGKLQWTLNVKIRRP >cds.KYUSt_chr6.6622 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39927821:39933582:-1 gene:KYUSg_chr6.6622 transcript:KYUSt_chr6.6622 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAPGLGFPAPTPAADSATAERRHPHSRRRRAAPPPTPAVGPRGAADPDPGLHLHLRLNHGGLASRGTSTATSPRDDDHDEEEQGDYRVAASAAASAEAAGDSFSNSLRECQKQLQLRAEGAALVRPSATHEPNTDAFGGIELLVLSPRCLLGATVGGMSKSSTASSRSRSGTFPSPGTPNYSRHCAAGNINMQYTKGWSSERVPQGGSTNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGMGRMSAPAPHHRRPKSKSGPLGHPAGAPGAYASVSPMIPCFDGVLAAASFAAHSPFSAGVLMPDHVRNGDFSSGRGRCGDDGSSRSYSAEKEPYIWRSASTHAWTETLMEASAFANISEEAAQVADDSLQSPQGETSLISSPIIKKDVATQMSPDDSIISSSPKARHSCSSLPSGHAIREPNNHTPKVEVRDVEVDDQVTVTRWSKRHITRGSDKRSTNIVEWRKKTTEPRAPSFDEKERESCISKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDRILGKLRSAQKKAQDMRTTVSVSEDQCGEDQCASKSEERGVVDDTGVDVYSKDEEESTMIVDGPAPLFFYPFLAAFSSLSFFLAACFALLSSWSAFFTALASSFSA >cds.KYUSt_chr1.6009 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36914352:36915583:1 gene:KYUSg_chr1.6009 transcript:KYUSt_chr1.6009 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSRSPPLSPTTEISCETSKKQKQEDEQEQEPLGSIPEGPLVEILSRVPYMSLCRFKCVSKPWLALCSDHQVRKRCPQTLAGFFYKNTRRFCNLSGRGPPMVDPSQPFLRKHYTSDFPGHCCGGLVLCKCWISLYSKYNEEPSVVTVDTEGKVWREIHMPENMTESYKDISIGQSQGRLYAWCIGNLNVCQLSVWALEDYASANWTLKHTVNILELFGRHCRKEDEFYKMFAIHPDRNLIFLTDQKEKTISYDMDSREVHVISTSGEFRDAQPYIPCFAELPSDGH >cds.KYUSt_contig_1993.406 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:2238842:2239822:-1 gene:KYUSg_contig_1993.406 transcript:KYUSt_contig_1993.406 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFPARIFSLAVAAALLIAVSCPGCDAALSTKYYDKTCPGLQPAVRSAMAQAVAADPRTGASVLRLFFHDCFVNGCDASVLLDDAPGLTAEKAAGPNLGSLRGFEAVDAVKARVEARCNATVSCADVLALASRDAVSLLGGPTWTVKLGRKDARTASQAAANANLPGPGASLASQLASFAAKGLSARDMTALSGAHTVGRARCQTFRGRVNGGDTNVNVTFAAELQQGCPAANGVGDSSLAPLDAETPDVFDNGYFRGLAQQRGLLHSDQELFSGGSQDALVRKYGGNAGMFANDFARAMVKMGSLEPAAGTPVEVRINCRKPN >cds.KYUSt_scaffold_869.894 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:5642016:5642927:-1 gene:KYUSg_scaffold_869.894 transcript:KYUSt_scaffold_869.894 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHRGCGHLVPAATVLLAFILTPLSAGDHLGHFCGNSGNFTASSTYQVNIRLLNATLPKNASSSRNLFATGTIGTLPDIVYALALCRGDTSGANCSECVATAFQDAQQLCPYNRDATVFYDPCALRFSNQNFLSSTDDGGNALILMSTRNASAPAKVFDAAVGALINATADYAAANSSRRFGTGEEEFGNLDKINPKIYGLAQCTPDMAPADCRACLQGIIAMMPNYFSGVSGGRVIRLRCNYRYEQYPFFNGTSLLQLPEPSPDLAPSPAPANMTPLPARGGKPRETTSSYLLDSFFRQK >cds.KYUSt_contig_319.496 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3121341:3121844:-1 gene:KYUSg_contig_319.496 transcript:KYUSt_contig_319.496 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFAGAAVGLQGAGGRFAARSPALALRRRAVAIRAQAVEPSAPPPNKPKAGTSIWDALAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYTVAVLSVASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEIVTGAPFINV >cds.KYUSt_chr6.6096 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36590057:36599113:-1 gene:KYUSg_chr6.6096 transcript:KYUSt_chr6.6096 gene_biotype:protein_coding transcript_biotype:protein_coding MTALVVRLKDEAAAWKLAGAPNALVDQSRVLPELTTYWAPSRHRPRHLLFYVHTLWRVAITCAHNLLVESPDQVSVPNPEIEKCKRFVQEQEDAQKDVERHLVCFNHSGLLFGTLLEPRAWRVCGRFPILQTYTAMLGRRAAVSPDSLQHHRKFVGCLGFVVHALESGDKIVLPNDAALKRTHFTTMAPVASSCWALVVVFLVARVFPAAATSFTVGDKSGWTLGVDYTTWASGNTFKVGDNLVFNYAKGQHTVVEVSAADYLACAAANPLGSDSSGASTVPLKTGGKHYFICSITGHCAGGMKLEVTVSGSGSGSSSPSSPTPTPRSPNTPYTSPTPTTPTPTTPYTTPTTPYTTTPTSPSCTGTTPGTTPVTPVTPGTMPFYYYNGAGRLAPVGWASFALVCTAIVQLGLS >cds.KYUSt_chr2.38772 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240318562:240322244:1 gene:KYUSg_chr2.38772 transcript:KYUSt_chr2.38772 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLDIATTRKKLEDEVLGTWLWDKELDSIVKEQQERDEEGNYEYYEPDEPHEPYDDEEEELHYGSAGYGYMFRYEDGHPFYAADMEDRWENQMQFPPMPTAEKSHPLSRGASKLQPLLTAHQGIYAVGLVLIEYLLLIKDEERENDKVLIDGYSVYAPSFYADYERLHWHINTGHHGSVDLRMTTIPKAVLAVLECEVHNLGDNLFDWLTVTAVYRTMHQGAFPIFIGKLSVCKLPPVTVSVNYSEHLTIHLYTHNSHLDDDNSHPDGVVGDYNSPGRFDYDIEDIITDNLWFKPQKSGSCTKISSDMYGLVMSVKPPDDIPMLSIPEQSLDRMLELCSMGAPHLAELESRRARSGRWS >cds.KYUSt_chr2.18087 pep primary_assembly:MPB_Lper_Kyuss_1697:2:113943408:113945498:1 gene:KYUSg_chr2.18087 transcript:KYUSt_chr2.18087 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDIELGRLHADATAVYGPQHYFQEVSELGVLLENTGNMVQKLKAANLECSSVSGHDAIKEIKVKIQEEIDEVGQMAHNMKEKLNKIFQSALTPILNNLSKGRGYPVTLPKTMDPSAMSMTMELKIKLKEKENDFKVVHMITASNIVQMFENALQGINPEQVVPAMMDEIKERQAAAMDLDKKILELQQNFAEMGALLESREKMDKALNKVRKLTVRGQSTQKELRKEMAENDRLLEENGRLFTLVISLGISLCMIAIILLLQV >cds.KYUSt_chr1.27970 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168671434:168677803:-1 gene:KYUSg_chr1.27970 transcript:KYUSt_chr1.27970 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLNQLWKKFRGEEKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKVHKVPATDMEALKSPLMGIFEKRRARGFFIYVQDYNEADPRTHQGLDLTRMTTKELIAKHGLSDDTIDFIGHALALHRDDRYLSEPALDTVKRMKLYSESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLHKPECKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPSANDSHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSAEAETDNPQSELRPGIDLLGPVDELFFDMYDRYEPVNEPSLDNCFVSSSYDATTHFETTVTDVLNMYTLITGKTVDLSVDLSAASAAEEY >cds.KYUSt_chr5.15536 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100362722:100363069:1 gene:KYUSg_chr5.15536 transcript:KYUSt_chr5.15536 gene_biotype:protein_coding transcript_biotype:protein_coding METTNACYDVESTPSRCRAIDYPRLDVLSSSWSEEQNRETAGHGKSLETARRSTGAGSSLLRDALRFLGLVRAGVSREEASARPAARRGGRRRLGEEWKEGDWADKAARRLGYGG >cds.KYUSt_chr1.26267 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158239329:158244007:-1 gene:KYUSg_chr1.26267 transcript:KYUSt_chr1.26267 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDCQVLSSMAAMAGGSSSADALFASPLMQPSHNHAGALAGFMSSSSGMPFHHHHHHFSTNLIPKEEGIMGGIHLAKDEDLDLDMEMELSAGSGSGHLDGLLSFADVDDERDHKPQHSEPDAAHHNNSSAAAAAAGPGGNGKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVVLRAENEALKTDNFRLQAAIRNVVCPSCGQAAVIGDMSYEEQNLRIENARLKDELDRLACIATRSYGGRQPVMSSASAMSGMSAPPSMLMTPLDLDMNVYSRHFGEQPHGAMDLMVMQQQMAAGGHGAAPSYMQQQMAPVVVQEQDRQLVLDLASTAAEHLTRMCRAGEPLWAARRSAPGDVMDADEHARMFSWPGDAAKQQHGDAPLPVRIEGTRDSAVVIMNSITLVDAFLDANKWMELFPSIVCKARTIQVIHSGAASGHLGSGSLILMQAEVQFLSPLVPAREVVFFRYCVHNAEEGSWSIVDFPADGFQEELLQQQQTTSLVRCRRRPSGCIIQDAPNGYSRVVWVEHMEVVGEEKPLQPVFRDHVSGGAAFGATRWASVLQRQCERLASELARNIADQGVIRTPEARTNMMKLSQRMITAFCANISASSSQSWTALSDSTEDTIRITTRKNTEPGQPSGVILTAVSTSWLPYSHQQVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQESSTHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPMGFAIFPATNPSSASTSANTGHGESNPGTADEPTNGCLLTVGMQVLASAVPSAKLNLSSVTAINSHICNTIHQITTALKGGGASRAEPASGGSDQ >cds.KYUSt_chr5.43400 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273444663:273449599:-1 gene:KYUSg_chr5.43400 transcript:KYUSt_chr5.43400 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTASAGGEPPVEPQSLKKLSLKSLKRSLDLFAPARSLLFAPDAESKRTRVSCKVNAEYGAVKNLPADQGRSQGKGAAAPSSTALALTGTQDTTDARREGTSNAIIPAPLMLPKAPESSVPGKNTTVLSIPGSSDRFSTSALMERLPSRWPKPVWHAPWKNYRVISGHLGWVRSIAFDPGNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQVRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDTTIKFWDLVAGKTMCTLTHHKKSVRALAMHPKEKSFASASADNIKKFSLPRGEFLHNMLSQQKTILNAMAVNEDGVLATAGDNGSMWFWDWKSGHNFQQEQTIVQPGSLESEACIYALSYDNSGSRLVTCEADKTIKMWKEDLTATPETHPVNFKPPKDIRRY >cds.KYUSt_chr3.11276 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67226952:67228703:1 gene:KYUSg_chr3.11276 transcript:KYUSt_chr3.11276 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASLLGPLPRNTAGGISFPVLSSNNPCHHAQLHHPFSSLLTISLTRCTSRLPPCRVSLKEDDATPQWQLDFLGPDPQLRSDEEDDPLPAASNDWCVRARRSALRSIEARGLSPALERMVTPPKKIKNKKKKKQKKILSKKPRKEDELLGEDEVDGDVEEIFSVEDDLDLRVAQLADGVFDEKRQRNREHFIQTLSSFSAAPSNRNKEVSLNRDIVQAQTAEEVLALTAEVIAAVAKGLSPSPLTALNIATALHRIAKNMESVSMMQTHRLAFARHRDMSMLVGLAMLSLPECSPQGISNISWALSKIGGDLLYLPEMDRIADVAISKVEDFNAQNVANIAGAFASMRQSAPDLFSALAQRAAQLVHTFKEQELAQFLWGCASLNECPYPLLDALDAAFQDATSFRCHVDMPQSGAKEVSSEDYGNNSHALNLSRDQLGNIAWSYAVLGQLDRQFFSHIWRTLSQYEEQRVSDQYREDIMFSSQVYLANQSLKLEYPHLDMALRGDLEEKIAKAVKSKRFNQKTTSLFQKDVGRLLYTTGHEWVKEFTVDGYTVDAALVDEKLALEIDGTTHFSRNLGML >cds.KYUSt_chr1.41511 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254614373:254615119:1 gene:KYUSg_chr1.41511 transcript:KYUSt_chr1.41511 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATPTKLISKGFSKSAVVHDADAKAKAKNKTALNVDVEDGHDVDTAELPAEQGHRNRLLRRACCGLAALAAATAVAILVLSLTVLKVRDPDITMDSVAVERFHVDLGLPLRINATLSGAIVIRNPNYASMRFGASTTEIFLDGVPGSVGLGSAPPGEASARGASTVRAGMDVFLDRVAPAVVEEVLFGRGEVRLASRTAVHGRISVLGGLYGRRKVRVAMRCRVVLHVSAVVVVAGSPSCVADFGR >cds.KYUSt_chr1.6210 pep primary_assembly:MPB_Lper_Kyuss_1697:1:38161401:38163316:-1 gene:KYUSg_chr1.6210 transcript:KYUSt_chr1.6210 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAVEGAAAALRSVLSRAQQAAARSGRAPESVRVVAVSKTKPVGVIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKAKALLAGVPNLGMVESVDDEKIANRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCAGLAKHVKLSCPNLVFSGLMTIGMLDYSSTPENFKALASCRKEVCDELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >cds.KYUSt_chr4.8510 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51104585:51105877:1 gene:KYUSg_chr4.8510 transcript:KYUSt_chr4.8510 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALRMPVAPPAAGAGAGVHPFPSPCYCKIRLGKMPCQTAPAPLVPSDSTTTADQSPSGALAAAFHLSKPDLEWFARKPSLFSPSRGATLKVSVYAGRKGSACGRLLGKATISLDLKGAEAKPAVLHSGWIPVGKAKAKAAAAQLSLTVRAEPDPRFVFEFDGEPECSPQVMQLGGGGMMRQPMFTCKFACRTNSDLRRPPAAAAAHAAERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGAWLILRPAGDGAWEPWGRLECWRERGGAGSSDSLGYRFDLLVPGVDHSVPIADATIAASKGGKFALDLTSAQPLSRGGTPGCSPRGSGDFSQWPLGNYRGFVMSAAVQGEGKCSKPTVEVGVAHVGCAEDAAAFVALAAAVDLSMDACRLFSHKLRKELSHLRSDVLR >cds.KYUSt_chr1.25576 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153551412:153551723:-1 gene:KYUSg_chr1.25576 transcript:KYUSt_chr1.25576 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr4.48779 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302083634:302084722:-1 gene:KYUSg_chr4.48779 transcript:KYUSt_chr4.48779 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLDLNEPIDWDDLDDFDGEARELAGDFFFGMDSDEDDDSNGDQRRRMLDMNSSAGGGNGRGRRTLGLTGLRSAQRWNMDDSGSGGLGRGPLYVGESSSAAARRGTQAGMEHSSRATELAVAHSSRATEAATELAVAHSSRAPLAAAILAMREVTARLTSCPSLSSMASSCSLVPPSPHEPVGVLGAELLDEAVVGVELQDEVTVDAEQQGEVTVDAELLGAEQQDEALVGVELLGGDAVAVEVPMVAMTRRPMGKIRKLSRTEEKIAMVPLVEKRPFVPVPEGHSYRFCNRYKTSGTKAPPLSYRLLTNRYKRGLHVGHQESSGLRIFGTGW >cds.KYUSt_chr3.27340 pep primary_assembly:MPB_Lper_Kyuss_1697:3:170742866:170743175:-1 gene:KYUSg_chr3.27340 transcript:KYUSt_chr3.27340 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSISSSSGSAGVAIINARLPESVAAFPGSKRQGGDKQGNLHDGWVAEVAPELFRSDTFEQHIGGDPASRYLRQ >cds.KYUSt_chr7.37803 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235719630:235727032:1 gene:KYUSg_chr7.37803 transcript:KYUSt_chr7.37803 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRSGRRAGRRVEAARREERFPAAPPHYGDVERRLVEEVLYLHSLWRSGPPTAALHPGGGSFATHVRADRRKRRRLERRAEPADPGPDWPLAPSPPASASPGPWPDAPSSSSPTQQPEPSPARLAQRAALRAAEEFFSGNASDSDDDDEEEGSESEDAGDAAEGFFVGLFERDAELRGYYERSYEEGEFVCIGCAGRKARRGRVRRFRDCVGLVQHARSATLCGRPRAHRALASAVCRVLGWDIERLPSIVIDPRGTLGQALLARESAAAAAAPAHETKENVDSMENGNEDAAKEEDGLGRKSSSSSAKEEDADIGVNDSPLRAGAATKEDADTMKSGTSSNGDDREAHEQENVTETAEKDDTDPLGSKDTPMELRKSVQEEEGAIDDKQEHAMSADDMGDICNVKVENNTSKEEAATENKHEHTNNVVGTGDGDIGSPGHNLIDSTKAGIKDLRVAPKKKLSHGGLWGSNLHDFVKQAQARKAFHLVAVKIVIIELK >cds.KYUSt_chr3.41258 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260326295:260329291:1 gene:KYUSg_chr3.41258 transcript:KYUSt_chr3.41258 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGKKAPAGAGGIRRWLSTVVLSALALLLALVVISLSLGSPLPGASIHEYLTVRPSDPKGYDAAIDGNNDSTIAVPLSEKELQGGQEPLAARSDQSGGTLNSSEASLNARESDDTASDPAAVGNKILDPVVLDDATPKIEEGTIPGAPDSSSDSQRPDQGTCDLYRGEWVLDSSGPLYTNNSCPIITQMQNCQGNGRPDKDYEAWRWKPEQCVLPRFDGSKFLELMRGKTLAFVGDSVARNQMESLLCILWQVETPVNRGSRKWNRWIFRSTSTTIIRIWSSWLVHRSSEAVGIAPKGLDKVFLDIADETFMEHIPTFDVLVLSSGHWFAKRSAYILNGNVVGGQLWWPRQAGEMQINNIDAFGISVETCLTALATNPNFTGIAVLRTFSPDHYEGGAWNTGGSCTGKVKPLDEVVRNGFTDTMYGKQVASFRKAVQNSGKHSSKLKLMDITEPFALRADGHPGPYRSPDPNKKTQRGPDGKPPPQDCLHWCMPGPVDTWNEMLFETIRREFEGDSS >cds.KYUSt_chr7.37315 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232942411:232947440:1 gene:KYUSg_chr7.37315 transcript:KYUSt_chr7.37315 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKVFSSAAARRSSLRRILASPAFSAACLLFGLAGFLVAAVSLSRAPAPAPDPARGRCPDSSRPLSVSVAWDRRPEDAAGGATELPAHLATGSRGRHKVMAFVGIFTGFGSVGRRRALRRTWLPADRQGLLRLEEATGLAFRFVIGKSNDMSKMAALEREVEEYDDFVLLDLEEEYSRLPYKTLAYFKAAYALFDSDFYVKADDDIYLRPDRLSLLLAKERPHPQTYIGCMKKGPVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFNNEDVTIGSWMLAMNVNHENTHALCEPECTPSSIAVWDIPKCSG >cds.KYUSt_chr6.19446 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122384127:122385887:1 gene:KYUSg_chr6.19446 transcript:KYUSt_chr6.19446 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMAAELAVLPDDVLLEVLQRLPLHSLAACRWVCKAWRDIIYGRLRSRLLSPSVRGIFINYVIYSMSEFFSRPSAGPAICGGLDFLPCEGVEVRDHCNGLLLCTDWEDESWYVVNPATQGWARLPQRPPPHMQGLDQTAFLAFDQIMSPHYEVYLIPCVPYGELGDNMLPEAEWPPASHVMHVFSSMTERWDKKTFLRQGEAAGVVADMASSRSWYKNNHAVYWRGALYIHCQCDFVMRMCLSSYKYQVIKKPHQFGLYPSAHLGRSVKGVYCAIFHTRHGLQLWHLDESSCGQIKWVLKHDTSLASFDKKIHLDGDDVYAQQMDKQWILQDVNYRRGALTLALDGAVAYDWNSSKFQYLGRILPKDYQEIAMQCAGIDTCFPYTPCWMHEFPRKSSESQLEADEQLSGNSLESQEPTFSDMTSKGRSGKIDISKVLSKELITAITQSRLLDEFPE >cds.KYUSt_chr7.41109 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254684936:254685567:-1 gene:KYUSg_chr7.41109 transcript:KYUSt_chr7.41109 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWFAMSGKKRREEEKKKKKKKKKRGKERERESPNLALSSLLSLSFFYCRLARPLVASGLTGGPQSSEWWGHDPDSGAWARLVSEETGLDALVAVREHRLHWMEYAASRPLYHHRYYFSQ >cds.KYUSt_chr2.32017 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197472999:197473382:1 gene:KYUSg_chr2.32017 transcript:KYUSt_chr2.32017 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGVAPSCRSRFFGPLPVVWSRASESLTRTWRFRWLSGGDVVLQQSEQAANSPMAGISANKGSFRSLLLMQLLEAALLLLAGCVGEGEGRGGNKRCAVLIPPAGRGGERGIDMELHSLLRLEQML >cds.KYUSt_chr7.31717 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197574395:197577237:1 gene:KYUSg_chr7.31717 transcript:KYUSt_chr7.31717 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSVDTAGGKLKEEPSMADVYNSELTPLQQHAAFFDRNKDGIIYPSETYEGLRAIGCGVAVSALGAVFINSFVGPKTVPDNVQAPAFKFPIYIKNIQKGKHGSDTDAYDTHGRFVPEKFEEIFKKHAHTRPDALTSKELDELLQSNREPKDLKGRVGAFGEWKLIYSLCKDKEGYLHKDTVRAIYDGSVFVKLEQERIEAKELVKKK >cds.KYUSt_chr6.23105 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146000349:146003946:1 gene:KYUSg_chr6.23105 transcript:KYUSt_chr6.23105 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVAGAPAAAPQPPRQFKLDPQSELRVEVLPDAPLRVRLVSGTAEIFGTELPPEGWVPVPPRSKIAIFTWHGATLELDGLCESEYTSDETPMVIYVNTHAILDARRGAARAATAQEGDSKASQGPRVIVVGPSDSGKSTLCKMLLSWAAKQGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPLAYFYGHPNPSINPDVYKALMKELAQTLETQFSGNAESRAAGMIINTMGWVEGLGFELINSAIETFKADVVLVLGQEKLWKMLKDAVGSKPNINVVKLHKSEGVVPRNSKYRQKARSSRIKEYFYGIANDLAPHSNIVNFSDVSVYRIGSGHQAPRSALPIGAEPVTDPTRLIAVNISTDMVHTVLAVSYAKEPDEIISSNVAGFIHVTDVDIQRKKLTYIAPCPGDLPSRLLIASSLTWYEA >cds.KYUSt_chr6.3992 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23054355:23057267:-1 gene:KYUSg_chr6.3992 transcript:KYUSt_chr6.3992 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANSREDLDLTSSSGEEDDDDEYQDEEYDPRSPASGASQRLRADDPLRTTTPASIEFLDAKLKALDLKYKKPDNAARLYLHVGGASADARWVPALRRAVYSFVDKSDSDRPRWVLEVGPGRRVSAPVGPELQLKALPAQRRADFAASGSVWALRLPDDAAFRRFRDEYDRCLFENTYGVDATDDGRREVFGADFAAWARPAEADDAVWADAEDGLTPPPPPATRDLLEEFEEEAGDGTGIQSLALGALDNSFLVGAAGIQVVKNFSHGVHGKGVSVNISGGRGRTTTTTYSTPKKALLMRGETNMLLMSPGDTRSNGVHHVDIETGKVVTEWRFEKDGTDIAMRDIANDSKSSQLEPSGSTFLGLDDNRLCRWDMRDARGRVQTIGTSSESPVLHWSQGHQFSRGTNFNCFASTGDGSIVVGSIDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTVFKDKDGKDKTGFSGRMGNRIAAPRLLKLSPLDSILAGNDNKFHGGQFSWVTENGKQEKHLVATVGKFSLIWNFHTVKDSNHHCYRDQEGLKSCYCYKVVLKEESIVDSRFMHENFATSDSPDAPLVVATPMKVSSFSVAGRR >cds.KYUSt_chr2.13099 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83109133:83115726:-1 gene:KYUSg_chr2.13099 transcript:KYUSt_chr2.13099 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDPTETSLDTQRGLNVAAHETITELISQDRKTREVISIWGSDITQRTALVRSIYENQELDGKFQRCAWITVQHPIDHAVFLKSLILELDGENLEAEADKLVEELASLLKGQMYLIVLDNISTSIEWDSIVSYFPTEGCRSRIIVTTADGSVAKHCSEKHENTHELQVQEDDIEADSNKKKEFKAIGLHSSFTESDLESNSILESAKDNGATMEEPELIGREEEKSRIVKMLLRPDGGNQYCVTSVWGMGGVGKTTLVESIYHCPKIIEKFPRRLWATLLQPFNPDEFKSGLALQLQYRKIPNIIASVTRGSIQSYSIEETEQLLGNVTEKLLIVVDGISSEGEWHMVKTCLPENMANGSRIIVTTREASVAADCSVPRGNHIDLQGLKYADAFKLFKTKKRADSPLPTTMEVEAAKTSNSVFEKCMSSPRQGRRGSVRILQIHLTLRLMAVRARPNTISWCNEIAAGTERISAKRPALGIIDALQVGSLSAGRSIRGWNVAAVSFLIAKGMPRGVPKELQDTMHSTQFRLIWVAENNHIVRVE >cds.KYUSt_chr6.27395 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173975940:173977659:1 gene:KYUSg_chr6.27395 transcript:KYUSt_chr6.27395 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPTCESRNIVPVLQQFYVPRDECFNHVKKADFTSYLLKAISAGILPLARELFDTAVPREFDDFEDMYKLYEGGITVDKAITDGRFFILDHHDNFIPQLLKINSLENTFVYATRTLLILQDDDTLKPIAIELSRPHLDEIGTKVVGADSKVYTPPSSAGSESDNKVQDT >cds.KYUSt_chr4.25335 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159206721:159211576:-1 gene:KYUSg_chr4.25335 transcript:KYUSt_chr4.25335 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRLILPLLLVAAAASSVAARAPFACAPGGPATSLPFCRQSLPLRSRARDLVARLTRAEKVRLLVNNAAGVPRLGVAGYEWWSEALHGVSDTGPGVRFGGAFPGATAFPQVIGTAASFNASLWELIGRVRAPALNLITVANAAAGIGIGIALCGRSCSLGVHVNAGLSVSLPLAAVSDEGRAMYNGGQAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVSGRYAAAYVRGLQQPYGGGGHHGGHTRLKTAACCKHFTAYDLDSWSGTDRFHFNAVVAPQDLEDTFKSCVVDGRAASVMCSYNQVNGVPTCADESFLRGTIRGKWQLEGYIVSDCDSVDVFYRDQHYTKTHEDAVAATLRAGLDLDCGPFLAQYTEGAVAQRKVSDADIDAAVTNTVIVQMRLGMYDGDLATQPFGHLGPQHMCTRAHQDLALDAARQSVVLLKNDAATLPLSPGTHRTVAVVGPHAEATVAMIGNYAGKPCGYSTPLQGIGRYVKTALHQAGCTDVACQGGNQPIAGAVDAARRADATIVLVGLDQKIEAEGLDRTSLLLPGRQAELVSVVAKAAKGPVILVLMSGGPVDIAFAQNDRKIAGILWAGGTGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPQDYLQKVPMTNMAMRANPAKGYPGRTYRFYTGPTIHPFGHGLSYTKFTHTLAHAPAQLSVRLTGHHAATASSLNVTTHLGRVAADVRVSRARCEGLSIPVHVDVKNVGDRDGAHTVLVYASPPAAAAAAHGAPARQLVAFEKVHVPAGGVARVKMGLDVCNGLSIADRDGVRRIPVGEHSLTIGELTHSVTLGVEQLGV >cds.KYUSt_chr2.40609 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252254361:252260162:1 gene:KYUSg_chr2.40609 transcript:KYUSt_chr2.40609 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSASRASASHRRGKRPAATAHGCRLVVFRIGVKVILGAGEEEEATSPSTPVRVKKEPASPPATGGRSGGALVIREQPSAPRAAGRRRRKRPPQASSPRRRRARGGGRDGGGDRRVACRHGGGEARGRRRIGPGRARPGGAGEAERQRRLAGPAAARQLAARAAPTANDDVARYRRLATPPSGVAVPVVDLESSDDDGYKPSPGWGDAGQGSSSQAAQPKVPKVEDDGSDDGGDDYTVFYRRPSGANGQGDILSLRHPKLEEETQYLFIDGQLHEFNWFKERYGSWFLGDYVCEDGSVYYCTLVDPIFVLLPLFEAARMSSGKDLGKFRQLDEILYIEGYPGYQHLMSIAGNHMELVCEVKEVANMKFFRLDESKVLTWLCCKVHSIKEAITKLGKNYAAQGERELLKEALQIIRENLKDEPWLTVLCKKLQLDISEINDTAKANDTSFCADSSPIPGPPRPSEGNVGNGSTKSSKGRPAKKPKIEVGSKNIKDMFRRVTRNGK >cds.KYUSt_chr4.40203 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248179930:248181891:1 gene:KYUSg_chr4.40203 transcript:KYUSt_chr4.40203 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAYYLCLLSALILPLLLLKLFRKRGGGDGLRLPPGPWRLPVIGSLHHLASSPLVHRVMADLARRLDAPLMYLQLGELPVVVATSPEAAREIMRTHDAVFATRPWSATVKIMAAEGQGLIFGRYGPLWRQLRKICIMELLSARRVQSFRHIREEEVGRLVAAVAASSSSGETVNISERISVLITDSTMRAMIGDRFKRTEEFMQTLEVSVKLVSGFNLGDLFPSSRIASFISGTARLAEENHRKSFELMDYAIKQHEERRDGAAVQGEDLVDVLLRIQKEGGLEVPLTMGVIKAVILDLFNAGSETSATTLQWAMSELMRYPSVMKKAQAEVRINLQGKPKVTEDDLANLKYLKLVIKETMRLHPAGPLLLPREAMETCKILGYDIPKGTTVLVNAWAICRDPKHWKDPEEFKPERFESGMVDFKGTNFEYIPFGSGRRICPGMAFAEASMEIVLAALLYHFDWELPGGQNPDELDMSEKMGLTVRRKNDLYLHARVCVPLV >cds.KYUSt_scaffold_1259.118 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:871036:876638:1 gene:KYUSg_scaffold_1259.118 transcript:KYUSt_scaffold_1259.118 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRGIAKIFVLLSGQGLHKRRHSAGVLAWAAASVFDGRWWSLAGLCVVVSSGLNATIWLAKEKDLLGGKNAKGETVLHLAASQGCVGSCRFPVEELGFNVNCVSNTGVTPMLFAAFEGNVQVMRYLLDHGGDPAMPDEKGSTPLHLAAEEGHCEAVRLLLSKGVRVDPIDHRATPLHLAAAKDHDQVVKVLLGLGADPNRVVHHVFSPLMMAVRGKALKCMNLLIEAGADVNAHGYSGPTPLTEAVDDGLTNFVKVLLEAGADPNIPNQHGVIPIELAAARGRRKLVEILFPRTKPIRSLLDWSVDGIIRHFNSPNINPLQAAVSVKERIADLKSQGKEAFGKKDYIAAMYFYGLLLPHRLLILCSSVALENPSSTMDSSSSSASSGLSFQSSSSSEPEPEFDLMTAYEALAPEWWDERDWIFTDGSEDDASLTDGEDNLQFLVDGGLEEESDDDLFFWDGFSSSDEEEEREDDSSSDEHPPMKRFRAGSDDDDDDDEDEDEAPAKGFSSEEDVADSGADGDDEGSDGP >cds.KYUSt_chr3.26729 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166644255:166649585:-1 gene:KYUSg_chr3.26729 transcript:KYUSt_chr3.26729 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRATAPRRAGSAAAAPAPAPEPYNILPIHDLLAEHPSLRFPEVRAAAAALRAVGGLRPPPYSPWRADQDLMDWLGAFFGFQRDSVRNQREHLVLLLANAQMRLSSADFSDTLEPRIARALRKKLLRNYSSWCGFLGRRANVFVPDDDPRADLLYAGLHLLVWGEAANLRFMPECLCYVYHHMALELHRILEGFIDTATGRPANPAVNGDNAFLTRVVAPIYAVVSAEVASSRNGTAPHAAWRNYDDINEYFWRRDVFDRLGWPMEQSRQFFRTPPDRSRVRKTGFVEVRSFWNIYRSFDRLWVMLLLYLQAAAIVAWDDAKWPWQGFDRRAQVRVLSVFITWAALRFLQSLLDIGTQFRRAFRDGRMLAIRMVLKAVAAAVWVLVFAVLYKGIWNQRDSDRGWSQGTDARIMKFLYAAAVFLLPEALATLLFIIPWVRNALEKTNWKICYALTWWFQSRSFVGRGLREGTWDNVKYSIFWVLLLAVKFTFSYFLQIRPLVKPTKEIDSLGKVAYAWHEFFGQSNRFAVFILWLPVVLIYLMDIQIWYAIFSSMAGAFVGLFAHLGEIRDMKQLRLRFQFFASAMSFNIMPEEQHVNERTFLPSRLRNFWQRLQLRYGFSRSFRKIESNQVEARRFALIWNEIISKFREEDIVSDLEVELLELPPELWNVRVIRWPCFLLCNELSLALGQAKEVPGPDRRLWRKICKNDYRRCAVIEVYDSTKYLLLEIIKERSEEHGIVTQLFREFDESMQLEKLTVEYKMSVMQHVHAKLVALLSLLLKPNKDITKIVNALQTLYDVVIRDFQTEKRSMEQLRNEGLAQARPTSLLFVDTVVLPDEENATFYKQVRRMHTILTSRDSMVNVPKNLEARRRIAFFSNSLFMNIPRATQVEKMMAFSVLTPYYNEEVLYNKDQLYKENEDGISILYYLKQIYPDEWEFFVERMKREGMSDIKELYSEKHRLRDLRHWVSYRGQTLSRTVRGMMYYYDALKMLTFLDSASEHDLRTGSRELARMGSSRIGSSRRDGGAGGSGYYSRASSSRTLSRATSGVSSLFKGSEYGTVLMKYTYVVACQIYGQQKAKNDPHAYEILELMKNYEALRVAYVDEKHSSGGETEYFSVLVKYDQQLQQEVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNRKYGIRKPKILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLGRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTIGFYLNTMMVVLTVYAFVWGRFYLALSGLEEYISKNTSSTNNAALGAVLNQQFVIQLGLFTALPMIIENSLEHGFLNAVWDFLKMQLQFASVFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFLKAIELGVILVLYASYTSSSGSTLVYILLTLSSWFLVGSWILAPFIFNPSGLDWLKNFNDFEDFLTWIWFQGGISVKSDQSWEKWWEEETDHLRTSGLWGSILEIIIDLRYFFFQYAIVYRLHIAGGSRSILVYLLSWTCILLAFVALITVAYFRDRYSAKKHIRYRFIQAVIVGVTVTGIVLLIEFTKFQFIDALTSLLAFLPTGWGIISIALVFKPYLRRSETVWKTIVTVARLYDIMFGVIVMTPVAVLSWLPGLQEMQTRILFNEAFSRGLHISQMVTGAKKQGV >cds.KYUSt_chr5.16298 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104825006:104828624:1 gene:KYUSg_chr5.16298 transcript:KYUSt_chr5.16298 gene_biotype:protein_coding transcript_biotype:protein_coding MWPESPLLRDAGAAVLTAGAAMAVLRLWEEVGNRALLDQKLCRKLVHISVGLVYFLMWPLFSADDVYAPFLASLVIVLNIIKVTLIGLGVVKDDGVVNSMTRNGDHRELLRGPLYYACAITLTTIIFWRTSPISIAVICNLCAGDGVADIAGRRFGHKKLPYNPDKSYAGTIAMFTAGFLASIVYMCYFHLFGFVEQTWIMVAAFGITSLAAAIVESLPNPLLRDAGAAVLTGGAAMAVLRFWEQVGNRALLDQKLCRKLVHISVGLVYFLMWPLFSADDAYAPFLASLAIALNIVRVTLIGLGVVKDDGVVNSMTRHGDYRYMCYFHLFGFVEESCSMAAAFGVTSLAAAIVESFPVSMRLDDNLTVPITSVLVGGLVFYYAGGGGTGNGDRSSISAVAAMVFAGSR >cds.KYUSt_chr4.22348 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140402343:140405540:-1 gene:KYUSg_chr4.22348 transcript:KYUSt_chr4.22348 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIGKVIQSFFIVMKEDTYVLIRIQALVALVTAMFFAMFIVDYYRCRSRSSFLNKVFKTVDSMSDRMVVYLIGSMQATHFGNKMFPVWAIVLVSLRASLGYLSGYGIPDQSRRLSEFGNVIKFMGAGVLTGTRGLEFKRPLWSMWALLLLKSLYRFVAHEMATKSLLHGQNSEFLPEYMRNRARDHEQGSGAQVDGQDNNNNNNDEKFLVCGESNKNISLRRPSYTLHLEEVDARSLITLDKILGCTDPVLTSGSSSKYKDISLAFALSRLLRCRFEDVNLQTEGIDSTRNLITSRITDSPQQTAAEAVAETAPSETVVAVQMVGETASTPASAVAAAETTTVPEPEIAAETEGERAFKILEIELAFTRDYFHTLYPLVFWSGLGSMLFSLLLSMATFFVALWLAVDIRTVYNQVPLKKEDNFMLHVHGRNVDVIITWLFMAIMMLKEVWEMVTYLLSNWTRLLLVCKYLQSRPWFSWFCLDGRLIRLFYKSSICDAWHGCMDQYDFLESCNYKSLFQKAANTISMRNMQQELDGRKLGKSIKVPKCVKSAILNALRHVDLKKSQLPKEIPSLSGSLERFERYSWACLELHTCSQVILVWHIATSLCEIELARNCQIDLSKPGFFRSAWSGLKTLVSRTSKPSYLVNENVTGELETNYHTAISLSRYCAYLQVFRSALLPDSFVVPGMIFVETLRDVREQLKDCNLRKCSYSKLIAIAEEDELKKVDRVTGMNIVQQGAALGKALIKNEDQESRWKILAEVWADLLVHMAPSWNAADHKYQLESGGEFITLIWALLSHCGIEKSSLWDKEEAHGTNDLVHQENNGGISNNQPGPEQQESETSAQVPPEYYAETNNIQPGPEQQESETSAEVPPEYNGETNNIHPGMEQQESETSAQVPPEYYAETNNIQSGLEEQESETSAQVPPEYNAEINNIHPGPEQHESKTSAQVPPEYYAEINNIQPGPEQQESETSAEVPPEYNAEINNIHPGPEQQESETSAPVPQKYKAETNNIQHGTEQQEFETSAQVPQENDADIEELDEDGIESDEEPLNEENNGISQTSSAN >cds.KYUSt_chr1.5379 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33183208:33183504:-1 gene:KYUSg_chr1.5379 transcript:KYUSt_chr1.5379 gene_biotype:protein_coding transcript_biotype:protein_coding MFRWGKSSKTPGPAVAGDEVAVHKVEKIDYVNLVHTNPPVHGGGGSMGNASWKARPWTAARKPQSSGDDINSKASRFIEDTKNRWRMGLKSFRATGGR >cds.KYUSt_chr5.31312 pep primary_assembly:MPB_Lper_Kyuss_1697:5:198352937:198354307:-1 gene:KYUSg_chr5.31312 transcript:KYUSt_chr5.31312 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAPEGKKNVLQGRYELGRVLGHGNFGRVHAARDLRTGRGVAVKVVAKDKVERAGMVEQIKREIAVMKMVSHPNVVELHEVMASRSKIYLALELVRGGELFARITRAGRLREDVARRYFRQLVSAVDFCHGRGVYHRDLKPENLLLDEAGNLKVADFGLSALAGHARPDGLLHTACGTPAYVAPEVLGGNGYDGAKADIWSCGVILYVLLVGALPFRDENLMCMYRKMQRADFLCPSWVSRDARKLIARLLDPNPSSRIAVAGIIESPWFKKPSPIPPVSLGVPVPSPDARGKGEDKQEEAPEAMNAFHLISLSAGFDLSPLFDQGPPSSSSSGHGATREGGANMRFATREPASSVISRLEGLASGGAMRVTKSGARGVRLEGAERGRKGRLGVAAEFFSVAPSVLVVDVKKDGGDTMEYCSFCSDELRPALKDIVWAAAAGASAGDPPAAAAAI >cds.KYUSt_chr3.15267 pep primary_assembly:MPB_Lper_Kyuss_1697:3:93161952:93164157:-1 gene:KYUSg_chr3.15267 transcript:KYUSt_chr3.15267 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSWVILDALPCVSAPQDADLSLELAAPPRVSVLTVPPRVSPFQADPITGKSAWVVTADPASGLLILCAAKPPLPPTPPPPPVYRNGVRLPYVTYSEPRPPNYFVCDVASATAFRLPEPSHGDPRGFPSEINAMNLGVVAAPGALAGGGASRYMVVEFRYMFNDQHATLLCFSSDTGEWAWKPVHNPMGRWIWGSDGVIAHDGKLWWVDLAGGLVSCDPFAEAPVLDIVPLPDADCHLSDAGCAHCAGRSLAYRRFVQVSAGKLRCMEWSSSRRDVDDGAPMTVSMWTLNDPETKKWALEYKVSFQGIWADESYKAAGLSEKNPTFALLHPMNPDVAYFFLEEHLFSVDMPANRVVECGVHGLVVPPSGKPPNCFSVRALELPLALSAGSLPRDVGSGSNTEDSAPPASAAPSVSLAHDLVSLKIESEEDA >cds.KYUSt_chr6.27100 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171916717:171919165:-1 gene:KYUSg_chr6.27100 transcript:KYUSt_chr6.27100 gene_biotype:protein_coding transcript_biotype:protein_coding MCMRSCHPAAATGDGSGGSAAVGLAIASAAQKREGCHVPSKDRMGKAAGCIASHKRTVLQGSLLYSMDLFAALIVVGTPGRILALATDKDISCKNVRWSRMHKRLWSIAGVKTCCGKWKKINFGVSRFVVVQSHRPRKSNCQANHMEMHLAGASQEACLDLLEGSGGGMGGAMPRCMGCGMPDIILNDRDLMTTFGDPEDMVALQDGVSSFLWMIWFCHYEHD >cds.KYUSt_chr3.26515 pep primary_assembly:MPB_Lper_Kyuss_1697:3:165129413:165133290:1 gene:KYUSg_chr3.26515 transcript:KYUSt_chr3.26515 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVASVTDCIMVVFVLMVGEVVMLLAGVNDGAMVVFIYVIDDVPMLVTGVTDNVMVIFIFVVGDVSMLVTGVTGGVMVLFFFVVDDVSMLTTGSSLSVEEHSETFLVSPKADFSCGFYEVGRNAFSFSIWFTNTIEKTVVWSANPNSPVNGRGSMMSLNQDGNFVLTDVNGSITWDSKTSSGEGTTVSLLDTGNLIVKDSTGKMLWESFSSPTDTLLPLQTFKKGTRLASSYFSLYFDNDNVLRLMYDGPEISSLYWPSADFSVFGNGRTNYNSSRIAVLDTEGLFQSSDRLNVQSSDWGAGVKRRLTIGYDGNLRMYSLNESTGSWIVSWEAIAEMCNVHGICGQNGICEYLPSLRCSCPPGYEITDPHNWNSGCRPKFNKSCSKPEEFDFIKVPQSDCYGFDLDYNASVSLAQCKKACLDTCSCSAFVYKTGFALCYTKSVLFNCYTSANFAGDMYIKVPKTMGTSKQSGLTCNLGIPVVIQGSASMYGMNDVNRSYTTYYIFAAILGALVLLFIGTSWSFLYSKQNIPKSMEAGYRMVMSQFRMFTYRELKEATGKFKEEIGRGASGIVYRGVFEDKRVVAVKKLINISHSEEEFWAEMNIIGRINHMNLVRMWGFCSEGQHKLLVYEYVENESLDKFIFGDVSTERLLSWSQRFKIALGTARGLAYLHHECLEWVIHCDIKPENILLNRDFEAKIADFGLAKLSKRDSSSFNLTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLELVTGSRISSGITVDGKEVEIRQFAGAVKELLVGGDVKEIIDTRLHGHFNPEQLVVMVKLALSCLEERNSRPTMNEIVKALLPCDEHDNHPAYSW >cds.KYUSt_contig_686-1.871 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5356948:5359055:1 gene:KYUSg_contig_686-1.871 transcript:KYUSt_contig_686-1.871 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTSHHSSRQQHAFDKSSPNHHHAAARKKGSIDRKLQLQAMSHASKYLQRMLIYPPGLVGGGVRRSGSGLSLSSLSLSQNSSDSSLSSSNSSSWEPKVPLLYGGTFSPWGDVLVSLDRRRGEDDDDDDKVSGRDVEGEEFECGSEPGSLHRCSWITKNSDEAYVQFHDESWGVPVYNDNQLFELLALSGMLIDHNWTEILKRRDMYMEAFAKFDPNVVAKMDENDIAALSANKELKLAECRVRCVIENAKCIRKVAKEFGSFSGYIWGHMNHRPMVGKYRHHKYIPLRTPKSEAVSKDLIRRGFRLVGPVIVYNFMQASGMVIDHLVGCFRFSECVRLAERSWGITNVAT >cds.KYUSt_chr5.15143 pep primary_assembly:MPB_Lper_Kyuss_1697:5:97866331:97866750:1 gene:KYUSg_chr5.15143 transcript:KYUSt_chr5.15143 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRTLHGAADRPAPPQPHCPRRSRSVTPAPRSDRVLTDFAGTTRTNPLFDRGTGASPPPPPPPPGPAEERGRKESKGRGAGRARSVSVAPPQRRRAAASAETDGGCGGRASRARSAAEPFRSSEVGNFVL >cds.KYUSt_chr1.41572 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254903140:254908022:-1 gene:KYUSg_chr1.41572 transcript:KYUSt_chr1.41572 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSLLASAVGVGVGVGLGLASARWAKPVHAAEGGGGGGAGAAEVEAELRRLVVDGRDSKVTFDDFHHVHCYLSEQTKEVLISAAFLHLKQADLSKHIRSLAAASRAILLSGPTEPYLQSLARALSHHYNARLLLLDVTDFSLRIQSKYGSSSKALVHNQSISETTFGMMSDFIGSFTMFPKKDEPRESLRRQTSSADSRARGSDVASNDASLRKNGSMSSDTSDVSSQCSGHSARRTSSWSFDEKVLIQSLYKVMISVAESDPVILYIRDVDRFLHRSERTHSMFQKMLGKLSGQVLILGSRLLNSDNEYNDVDGIVSGLFPYHVDIKPPEEEIHLNGWKTQMEEDAKKIQIQDNRNHIIEVLSANDLDCDDLSSICQADTMVLSNYIDEIIVSAVSYHLVHNKDPEYKNGKLLLSSKSLSHGLSIFQESGIGGKDTLKMEANGEPKDGLKGAAGSKNAETDKSPVKDGDTPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALDDIKESLQELVMLPLRRPDLFKGGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFIDEVDSMLGQRARSGEHEAMRKIKNEFMSHWDGILSKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTQESRELILRTVLSKEKVDNNIEYKELATMTEGYSGSDLKNLCMTAAYRPVRELLKKERLKEMERREKEAKQKAEDSDKPADNKTLSSDIKEDSSEKANSDKKEEISGTKADTLEAKAEGDKEVAIDLRPLTMEDLRQAKNQVAASFATEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >cds.KYUSt_chr5.14233 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92340117:92341890:1 gene:KYUSg_chr5.14233 transcript:KYUSt_chr5.14233 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKIKRKNTQGITYFGLVWKKHKSDTSVLRAEDVIPRSKNGVVSSMRPTCCLCDKPYSPDLMYIRCERCRKWFHGDALRLEEKRIIEIVSYRCCRCRRRAIPGCPHSDDYYCPEPEPIIQESSANIPSSEDTADEDPSFASFGRFKQTVKETIHADSSVHMESFVPGSNQEMNFVDDSSHSARPFDKEPKPYDACFTWYTPGSGTCRRLDPVDDVRPPVPTARTSFGKDQTTTLRRAYGGFREIAAETGSLHERVRQGDYITNDEIMGTLDKLQQIALRHMKNIACHDVVYPESEASAQPMHNASTSTTRPSDHQSSSRTSAPDRNGTLS >cds.KYUSt_chr4.2499 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14022072:14026562:1 gene:KYUSg_chr4.2499 transcript:KYUSt_chr4.2499 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSERARAKSDRPSAETNGSSGKGIPSTAFGSPPRPLVSHHHHRSPPANEMLAASLKPPPFPSTALAPAPATTSFAALRLPRGGRLHLSAAATAESADAAVSQENAASVSAAFDEARVAQFAADWQAVRADKAQGKILTLPVLRSNTGGVILKYSTMQGFVPNPLLSPAHWCKDPKRPIQEVTKDLVGSSISVKVVEANEGEKKLVFSEKDASWSTYASQVKIGDTYDGIVGSVFHYGAFVHLRFPDGNYHLTGLVHISEVSWDLVQDVQDFLSEGDTVKVIVVNVDMEKSRIGLSIRQLEEDPLLETLDKIIPLDPDLSPDAETTSSPAEIELLPGLEGICNELLQEDGITDVKFGRQALEKRVVSQDLELWLSSVPAKDNQYKLLARAGRQVSAEDNQYKLLARAGRQVQGVYLTTSLDQEGIKKAVQRVPCRVP >cds.KYUSt_chr4.12563 pep primary_assembly:MPB_Lper_Kyuss_1697:4:76942619:76942825:-1 gene:KYUSg_chr4.12563 transcript:KYUSt_chr4.12563 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRTDAAASIFFLSDARQAPLATHAGHLQRQTPKDVAELEHELKHNDDIRLDSATELLGDTRRAPP >cds.KYUSt_scaffold_1700.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:123088:125869:-1 gene:KYUSg_scaffold_1700.10 transcript:KYUSt_scaffold_1700.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVMRTAAKVGIAGGAAAAAAGGRFRNVAPAFATAPAAEAAAAASLVSAAGEIPAAAPAGSHWASWEADDWEFADWREAAASDAEEAVVERPRLVFAPPSFEEAEEATSELKDAIDRVYFSETPVQVVKEHDQELNKLGADALIPAMPGHVVQAFTLLKSSSEAQSVVASLASDKNVWDAVLRNEKVMEFYRSHQQTLVNTFPEDTGSVDSPEKFGETSTDFASSGELPTGSALLDFVDNAKKTMMEVVENITNFFQDLFHNTADTEAGPSSSTKKGSSPSFAELAAGGSFMALAMAVILVVLFKRA >cds.KYUSt_chr5.27407 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173516127:173517262:-1 gene:KYUSg_chr5.27407 transcript:KYUSt_chr5.27407 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKRGPWSPEEDAMLKTYIEERGTGNNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSTICKLYISIGSRWSIIAAQLPGRTDNDVKNYWNTKLKKKLLGGRRKDSRGTHHRQGPGAANELDGANDGERPLSASAMERIQLCMQLQEMQNPIGVSHHNPLQLWQPKLTCQVAATHSNNSNSSDSSFNVTVAEQGQSSSLNDQHLSGQQLASPSSVENSNVVTIEAELQELLYSATTTDSVVTTQQGEVDWWSYDQQGKSPVNCWDFTPETSSVYQDYTSVVYDM >cds.KYUSt_chr4.9660 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58321355:58324372:1 gene:KYUSg_chr4.9660 transcript:KYUSt_chr4.9660 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRSTAAAILLLLLPLLAVCASSSSSSSHRGQEQDRSALLQLKNALPSAELLRRWSAHSIGTDHCSWPGVTCDAKSRVVALHVPPSLPRSPPGSGIAGELPSSVGRLTELKELSLPARGLFGEIPAEIWGLEKLEVVNLAANSLQGALPPAFPRRLRVLNLASNALHGEIPASLCSCTDLERLDLSGNQLNGTVPEVLGGLLRLKQLDLSRNLLAGSIPSRLGSCAQLRSLRLFSNLLDGSIPPEIGRLSKLRVLDVSGNRLSGLVPLELGNCSALSVLVLSSQFDAVKSHEFNLFEGGIPGTVTALPKLRLLWAPKVGLNGNLPSNWGSCHSLEMVNLADNLLSGVIPRELGQCTNLKLLNLSSNRLSGSLDKDLYPHCMDVFDVSGNELSGSIPASANKGCSSQLLLDGMSSAYSSLFLSQAIAELSLGYCDSGECSVVYHNFAKNNFDGCLTSLPLSADRYGHRAMYTLTLDHNKFTGSLDTILLEQCSNFYGLIASFRDNKISGELTEEISTKCHAIRVLVLAQNQISGVLPANIGLMASLVKMDISKNLLVGQIPAGFKDLKNLKFLSLAENNISGHIPSFLGQLRSLEVLDLSSNSLSGNIGDLNPSASLTVFNISFNNLAWPLPSNVRALSETDGTPEADNQSNDSGGFTKIEIASITSASAIVAVLLALIILYIYTRKCASRPSRRSLRRREVTVFVDIGAPLTYETVVRAAGSFNASNCIGSGGFGATYKAEIAPGILVAIKRLAIGRFQGIQQFQAEVKTLGRCRHDNLVTLVGYHLSDSEMFLIYNFLPGGNLERFIQERTKRPIDWRMLHKIALDVARALAYLHDNCVPRILHRDVKPSNILLDNEYTAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLQKGRAREFFIEGLWDLAPHDDLVEILHLGIKCTVDSLSSRPTMKQVVRRLKELRPPSY >cds.KYUSt_scaffold_1700.359 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2402505:2413297:1 gene:KYUSg_scaffold_1700.359 transcript:KYUSt_scaffold_1700.359 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPASGRLRPRCPCSAPPWLSSPFLRRPPLPRAFPGRRRQDYSNSSDMGVRERSMCGLGLYANMNGQSNEHEWALESKRMFYLRIANNASHSIHNGATPLRVGNPNHEPSGNHRSSNYPSMHNLRERLPSYSIVNRHANTDLAECNLTNEFAHALSTPMSDVNDDIELLSMPSSSNVEISAHDCSPMEAPLPNISNMEATSGLDGKTNVGYADKHKLISQKVVPSFPDRTFLTKESTSKRKTLAGVYDKVLVVDNIESAKNVVQLLTTKYRNFVHACDTEVANIDVKKESPVDHGEVICFSIYSENSDVHADFGNEKTCIWVDVLDGGRDVLMEFAPFFEDPSIKKVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSNRKTDGGYSLEGLANDPRVMSDVGKDLTKIGKSSMKTIFGKKKIRKDGAEGKIIYIEPVENLQREDREMWICYSSLDAVSTLKLYESLKCKLETKEWIFDGCPRGTMYDFYEEYWRPFGDLLVKMETEGMLVDRAYLSVIEKTAVAEQKRAADKFRNWASKYCPDAKYMNLNSDVQLRQLFFAGIKNRNKSGETCPQSKTFKVQNDENVAPEGKKASKFRTIKLYGIVKDLKINMFSPSGWPSLSIDALKTLSGKIPMDQIYTMDDDQEHDEYSNGSELPEQDIDESSSYGTAYKAFGGGKKGKEACHAIAALCEISSIDKLISSFILPLQNQPAHEKDRYKIRQAFVAAPGNSLVVADYGQLELRILAHLTSCKSMLDAFKAGGDFHSRTAMNMYEHVRDAVHGKRVLLEWDSQPDQQKPPVPLLKDAFGAERRKAKMLNFSIAYGKTARGLAQDWQVTVKEAKDTLNLWYRDRKEVKAWQNRQKELVREKCEVYTLLGRSRRFPNMTHALHVQKGHVDRAAINAPVQVHDEVILEGPTESAETARAIVVECMSKPFYGTNILNVELAVDAKCGKSWNNILSDRPSTERAFGYMVWRNEYPLYTMSETRL >cds.KYUSt_chr5.24118 pep primary_assembly:MPB_Lper_Kyuss_1697:5:156775159:156777827:1 gene:KYUSg_chr5.24118 transcript:KYUSt_chr5.24118 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRQHRKPPDRRDAEEWHGLAMDRRDAEARAAWTKDASYAMQMNMCGRRPGSTSWPSCMQVRRPPRVPQAIAATPEAAAAVRHCIRQKAPDSRDAVVEGHIDWLDQPKVCGFFTRRSFASALLRDRSSISRAGELKWVEQQKEQLLLESGDWPSGKTTAGVLADRGIDQRREFDPQSREKSGVRCHGGFVTEPGGHDCGAVR >cds.KYUSt_chr5.29787 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188864176:188866830:1 gene:KYUSg_chr5.29787 transcript:KYUSt_chr5.29787 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTFLREAELRLLRCTLPAAASQRPPPLPPPVHPLGPVAASALAAVERGDYAAALVSAAPHILHAHGSTEAADVSPAQFYADLAAAVDAFLQGDAGGAADEGLECRCALVLSAAVAALLAFTQQNVTGPPGKYSPFPFLTPSLDERWYSDPGGKWDAWASSHFVASFGSHVHGRFSLLQFIVFAEILFTSMMSLDSSGFRSVPWWLCRVSMSQQNILDELSSSLFDQVQVYKNKMLTHFGDLEKVSSYWSSSLCDGEGSSLVSAAYIEAGIAEYKYGRVDASRLHLDSAQEACGIHLSLTGILGFRRVHQVDAKSQMVLVAKTTEPELKGALSDVMDLRNTRSSVPAESDEFCDILRTPRLAQNGNNSRGESMTCASTQISLTPIQQAAVLAECLHVSRRSRNDEMSGWEMAPYIEAIDSQDESYFAVKSLGDVLRIRWESTRSRTKQRALLMMENLIEDIGKECPVASQRAKLVFGVHMPSLPTLRKEYGEFLISCGILGVALDVFKDLELWDNLIYCYQLSGKLADAVSLINARLSVTPNDPRLWCSLGDATNNDDLYRKALEVSSNKSARAMRSLARSAYNRNDFYASKNLWESALALNSLFPDAWFAYGTAAWKDKDLEKAVDAFTRAVQIDPENGEAWNNIACLHMIRGKSQGAVQAFREAVKLKRNSWEVWENYSKVALDTGNIRLTLEAVKMVLALSFNKRCNVDLLDKVMTTLEEQATNLGDTEEAESIGKTSDDKNKDTMQLSQLLGIVGDILQKLVGSEASSPEIWGLYARWSKSKGSLMECSQDLVKQIRSLQGSGVWHDKKKFTKFAQASLQLCKVYMEISSTTENRQELLLAEMHLKSSLKQASDFQDTEEYRALDDCLVELQDLIGAA >cds.KYUSt_chr5.4153 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26631941:26633270:1 gene:KYUSg_chr5.4153 transcript:KYUSt_chr5.4153 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAAISCLLLSLLVAVMPLPGAGEERVRVGSVLIKCYDPEPAALTNATEFRARLLPLLAKLPSAAAPTGFASLNSTGRAFVRGMCFGQATVPSSDCLHCLSLAVRNLTSGSGSGSGCGAATRRAGIWTERCYVAYADTNASSNAEYAFRSRVLLTGNDAAPDAPTFYSYYLHAWLLANLAQPAALSAAANISAPRMTATAHAATPADDAVRSAVHVLAQCARDRTAAECATCLQDSARALDWDLDADRRDGGVAAAVVGFNCYLRFNVSTAVKTYPDQGLNYISGDQSKQAPGNKTLC >cds.KYUSt_chr1.26308 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158533760:158537746:1 gene:KYUSg_chr1.26308 transcript:KYUSt_chr1.26308 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSLRHLKSVESVQKDFIDAKCLAAQLVLDILASVVPHEERILVKLLETFDIELKDMAHALCGSELGDEDLTKTREHLKQYVQSFMKSESYVTAVALMTRFNIQCCDESFLIKLIGSNQLKAAEEWAAFMGKEMIILIIQKYLDIKMLKSANELVKQYDLTEEFPDVNYLYKESSLKKLAEKGCWEVAEVRAKKETKLMEYLVYLAMEAGYMEKVDELCERYSLEGYVNSLVPEEVLSRSDYLDLKKLILEEIVWVDEINGLINATSYIEACKIIGVDCEWKPNYEKGSKPNKVSIIQIASDKKAFIFDLIKLYEDDPKELDCCFRRIMCSSNILKLGYNLQCDLHQLSQSYGELLCFQSYEMLLDIQKLFKETTGGLSGLSKKILGAGLNKTRRNSNWEQRPLTQNQKEYAALDAVVLVHIFHHVRGQPQFGVNEGRQAEWKSHIVSRVNRARSPLRF >cds.KYUSt_chr3.39389 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248131978:248133812:-1 gene:KYUSg_chr3.39389 transcript:KYUSt_chr3.39389 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSAAAAIAVVVLCLSGICRGAERLGTRECEELGFTGLALCSDCTALGEFVKDQELVEDCRKCCTEDDSDDSISKLVFSGAIIEVCMRKLVFYPEVVGFLEGDKDDFPFVQTRYAYGSPPKLIMLDDKGDQKETIRQVLLNSRF >cds.KYUSt_chr1.35490 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216431200:216433464:1 gene:KYUSg_chr1.35490 transcript:KYUSt_chr1.35490 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMLRVRLASFFAGAAAAAAGGGYFLYKDYKLAHDSTALKVKGLYDHVDTRYKALDKRLAALEAQKSAERSPVVDAPLD >cds.KYUSt_chr4.14400 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88766126:88772593:-1 gene:KYUSg_chr4.14400 transcript:KYUSt_chr4.14400 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEGNLDAVLKEAVDLEHIPIDEVFENLRCSHEGLTSEQAQQRLQIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPATKGPGDGIYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCICSIAVGMLIEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFEKGVTQDQVILMAARASRIENQDAIDTAIVGMLGDPKEARAGIEEVHFLPFNPTDKRTALTYIDGDGKMYRVSKGAPEQILNLAHNKSEIERKVHSVIDKFAERGLRSLGIAYQDVPDGRKESPGSPWHFVALLPLFDPPRHDSAETIQRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDELIADLPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTNFFPVRSTKKTAQDDFQMLASAVYLQVSTISQALIFVTRSRSWSFLERPGFLLVFAFLVAQLIATLIAVYADWGFTSIKGIGWGWAGIVWLYNLVFYFPLDILKFLTRYALSGKAWDLVIDQRVAFTRKKHFGKEERELKWAHAQRTLHGLQPPDAKLFPEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >cds.KYUSt_chr3.34214 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214560891:214562159:1 gene:KYUSg_chr3.34214 transcript:KYUSt_chr3.34214 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIPDWSRLPEDLILVVMKKLDIPAELFRAGTVCSSWYAAYSTARRVRIPIKDTAPCLLYSCQADDDPSAAALYSPSTGACFRIRLPDPPLRGRALVGSAHGWLVAADHESNLHLVNPLTGTQLALPPVTGLHHAESFLDHQGNLMYNLQESLDLDTVQEGPVSYPARRLRHFLYRRVIVSCSPSMGRRCIVLLLHRPDGQLSFARLGDARWTKVACSDSLKWNIGYRDALYNDKDGLFYLLACDCSITTLDLHGSLPPVAREVIKGSTLWDDPTKYIVLAPWGDLLEVWRVRFMEEPTTTAKSSMELPSKVVTEEFWIYKVDTDEQKLVRIMSIGDHALFLGFNSVLCLSTKDFPTLRPDCAYLTDDFHEETCTHEHNWREIGIWDFKTKSYTMESLGDVQSLHPWMNWPSPIWVTPSIN >cds.KYUSt_chr3.42744 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270226213:270227415:-1 gene:KYUSg_chr3.42744 transcript:KYUSt_chr3.42744 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKEKKKGVEGSQVDKLTDDILADIISRVPYKSTCCCKCVSTRWRDLFSHPDHRKKLPQPLAGFFYESYNRDRFPKIARYFTNVSGEGEPLVDPSLSFLPKYSSIDILDCCNGLLLCRCWKFTDPKMLDYVVCNPATEKWVVVPATDWSSNVDVARLGFEPAVSSHFHVFEFIGEEIWGMDESEQSDWDGRIAAVATYSSKTRVWTHQIQTVEINHFAIPTHSKGVFFNCVMHLAAFDRMVVTFDVEGNLLYIIGTPHSPPYYDDSPVNDVFVSQGQLHFASNTRSESDLSNLSVWVLEDYSLGKWTLKHTVSHLQLFGTDYSALDYNVISFHPECNMIFIVYGQENTLVSYEIDCMKRRFLRQLGRDCQLEHLSVDAKTPFIPYVPLYMESSADGP >cds.KYUSt_scaffold_1700.202 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1409506:1413752:-1 gene:KYUSg_scaffold_1700.202 transcript:KYUSt_scaffold_1700.202 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVTVSMGVIKPLLSKLFKLLGEEHAKLKGVHRDAKFIGDEMHSMQAALETLADEEQLDPEMRIWRDAVRELSYDMEDCVDDFVARVDHDHDGRTAFKKFVDKLKKPKYQHRTANEIGKLKTRATEASERHKRYNIVRPTSDISTCAIDPRLPALYVEADDLIGIDGPKDDIIDWFQWEATSTQLQVLSIVGSGGLGKTTLANQVYHAIRGQFSCAAFVSVSRKPNMRKILRDIAKGVGATDNTQDDDVQQLIDKLRRHLKDERYFIVIDDVWSAEEWKTISLALLNNNCGSRIITTTRNNEVASRCSSRVGRVYEMEPLSFGDSKSLFLRRAFGPEDSGYSHLEEVSNEIVRKCSGIPLAIITVSSLLAGQHAEDEWKRVLAAIGSALAKDPGADKMTKILSLSYFDLPHHLRTCLLYLSMFPEDSTIHKQHLIHMWIAEGFIREEQGRSRHAVGESYFNELINRCLIQPVDAEFDQVESCRVHDIILDFITCKAAEENFVTSFNDVEHGHNSHRRVRRIFVGNSNNEKVTIAASPILSHVRSLIVCVQYPQVSLLAFPPALRVLDLGKCWWLEDHHIASIEKLFLLKYLRLANVTLLPRKIGELQYLETLDITKTRILELPSAVTCLQRLTSLYIHVHTSFVDGMIGKMQSLEELMTFGVHSYEQGKSLQELSQLTKLWRLEVLLGFELWEGTGQIEDLHNNIGTLLSSCNLRHLHIRKWSSPLAVKTYFPLSLESWCLATPCSLREFYITYCYIDKVPNWMRLLGNLRELELYVVTVRPEDVVILGSVPTLLFLTLKTFNGTDGRILLHGFSSLKYLCLELLYCGTSLEFEPGSMPRLEHLKLEFRVHRIDCLNGSSNFGIQHLSALRKVEVGTDYEKEDEVGQDAGAHHWHVGGNHPRISFGYTQNVISQYSSTPEGVFFRWMTLMETHLLPRPVIHKRRRRTALEKSTLRTSIHVAARDSGRPDSTIRRCARVLLRQMGIFQEDEEAAISEEDLDRYWREFDHPLAEPQMAALAALSSWSLP >cds.KYUSt_chr4.7136 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42248334:42250926:-1 gene:KYUSg_chr4.7136 transcript:KYUSt_chr4.7136 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPQTVSDAEEEEPVELEPGAFVPVDLRRPNPNDIEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFDYIDHIFCLVRPRKLLYLAIVVHEHPVMLIAYQIDPVITITAVISSVFIILASGLITMAILAICKNQCPWVKEDDKDDLHHFDDQEFMKKSS >cds.KYUSt_chr1.24993 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149684112:149684777:1 gene:KYUSg_chr1.24993 transcript:KYUSt_chr1.24993 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCGLFLALNLLVFAVTSACPTCGGSGNNGGHGSSGGGHGGGGGGGYGGGGGSGHGGGGGYGGGSGGSGGGIIGGSPGGGIIGGSPGGGIIGGPVGGIIGGGSGGGGSGGGGGGYGGGGGGGSGGGTSGWYGKCPTDALKLHVCANVLDLIKAKVGVPPLNDRCCPLLNGLVDLDAALCLCTAIKADVLGIHLNLPIHLSLILNFCGKGVPTGFMCPN >cds.KYUSt_chr4.3353 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19108408:19116120:-1 gene:KYUSg_chr4.3353 transcript:KYUSt_chr4.3353 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFFRIEDLENRNWHFGISSIGVAAMALLIRAPLTSFDDDDGGSGSDEYGSSLSSGASSPSRSPSPPTPAAPRPRAAALLGAPRVAAQLSSSASDDGRSDGSDLYDDAADGLGDEVLEEVSNGFFRIARVPPPLPSPSDEDGPISSSGDDPPASASGESEYFGATEGSSDEGFSAATSAAGDVFDAGAPTGKGAEGSLDGSFLSSMSALDDGAFADSLNLSDGSLMKVVGAEAVDDVVPPEPSVGGDAGSIDDGGVRIADATEGNAGEQPEQEVAESAPGVNSTEEEEYASLEFLEPNATEAEGTGPELGNSDSDAKDNTPGHVVLTHAEDASPEHVATRDATVQLAEVHNSVDSPHPYADGQSKIGGETHGHYEASDDPASAPTFGTGNAMEFPGKETEDDVPASKGTRFGLDDSDEDQLSDDYEEDELSGKDVELFDYAALAELLRAANTSPAQGKAKVFPFENSESVQPSPTVVSVPRTSVASAPASDVVADPESAMTDEERKLYAKVDIARIKYLRLVHRVGYDTEHRVPIQVLYRLSLVEGFRRIRMADHSLELENAWNRALQLEAEGIDNLEFSCNVLVLGKTGVGKSATINSIFGEDRSDTNAFLPATCTVKEIAGVVGGVKFRVIDTPGLGTTVMDEKSNRKVLKSVKKYMKKCPPDVVLYVDRIDTERQGANDLSLMRCMTSVLGPSIWQKVIITLTHSAADPPEGPSGSPMNYEMAVNHRTRALQQSIRQTTNDARTENPVALVENHHLCERNMEGEKVLPDGLIWRRLLLLLCYSLKTVAEIDSLSTRRAASASLFGVRLQTPPLPYFLSSLLQSREHPRHASEQKLESVDSNADIDEMVDEDQEDEEYDYDQLPPFKPLSKSQVAKLSKEQQKLYFDEYDYRTKLLQKKQLKEQRRRLKEMKKSEGNNHVVPENNDHPDDEYDNDRSPMPDWALPSSFDSDDPVYRYRCLEPTANLLVRAVTNPEGWDHDCGFDGVSLQYSHDIANSFPGLLWVQVNKDKREFSINLESSISAKHSEYASTLAGFDIQAMMDQHAYTLRGETKFKNAKKNATTGGLSMTSVGNTMVTGAKFEDKFSVGNWLTLIANAGAVSMKGDTAYGLNMEANLLEKDYPMGQGIATLGASLVRWHKEWTMAANMDSQFSVGRTSNMAVHVDMNNKLTGRVSIKANSSEHPKIALLGIFSVAMYLWNRMHPCADPDYE >cds.KYUSt_chr6.20776 pep primary_assembly:MPB_Lper_Kyuss_1697:6:130998588:130999882:1 gene:KYUSg_chr6.20776 transcript:KYUSt_chr6.20776 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHYTAQLKSGYLRLAIIGTKDLALSEPALCHEDGFTLPAISRDAALAFIFRLFSARSSLPFEAELDDSGKMEEALIAALLSFLLLSNFEANFTEAAAAASAADGGKSRTPSPIFLADFFSSATLFFWLYINRTRGG >cds.KYUSt_chr3.21416 pep primary_assembly:MPB_Lper_Kyuss_1697:3:131398309:131401682:1 gene:KYUSg_chr3.21416 transcript:KYUSt_chr3.21416 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLGSQSEASLLKEIYRSDPERVIQIFESQPLLHSNSSALSEYVKALVKVDRLDDSTLLKTLQRGLAASERAEGSLGSVPASKSAGQVTKDGIIGTANAPIHMVTAETGQFKDQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEASVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILEVHMTKVLKGDDVDLMTIARGTPGFSGADLANLVNVAALRAAMDGAKSVTMTDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHSLVAIHTDGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLASLDVCMGGRVAEELIFGESEVTSGASSDFEQATSMARAMVTQYGMSKQVGLVSYNYEDDGKTMSSETRLLIEEEVKNFLERAYNNAKTILTKHNKELHTLANALLEHETLSGAQIKNLLAQADNKQPQEHGVEAPQKTPASPPSPAAAAAAAAAAAAQKAAAQATGVAGIGS >cds.KYUSt_contig_6659.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001347.1:69808:72549:-1 gene:KYUSg_contig_6659.4 transcript:KYUSt_contig_6659.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQVRLLAARAAALPASLRQRPSRSPLSAPSRAQTQTAASNSSWAILASIPQVAAADGADVSLALTPPPRVSILTVSPRVFPEPVTPQHFPFVLAADPSGLLLLQATLGRPWTREATLGPDGYFKSVTWHNSLPRYFVLDPAADSASAFQLPDPDDAIMHQALLGLIASPPATCKPVHYPLPPRHLAPINVLSLHGRLWWVDLEWGVITSDPFADHPVLRFVPFPPDRVLGCREAWGVADIYRCVGVSAGKLRFVDTMYMGPIIGGTPDITVWTLPGPDATEWTLEHQVSFGDIWADDTYKATGLPVDIPALALIHPDDPDIVYFFLEEHIFAVDVPARKVVDCKVYHLVAPPRCKVASRFVRAWKLPHPLPSGMLDWSNDPILTERDKAPHGIYPLEGHSMLCRETFKMMLGYWNGIDRLVYQLLGWNTDAQSSLTVVNCGASVDHLKLLQ >cds.KYUSt_chr2.44794 pep primary_assembly:MPB_Lper_Kyuss_1697:2:278954280:278958730:1 gene:KYUSg_chr2.44794 transcript:KYUSt_chr2.44794 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSSIPSSNYQVEKMPRGFDFWFGIDPNGASDQDFAPGSNDNEAGSSHAPPVSESTPDQGTVPGSNSNAAGTSHGGPNWENVDATGPFGPYSAGQSSDAQVDPKDTSDRGTNAGSGFQTGVTLPSEDSGEEGEVQSTPEGFSPKTPFLGMKFDTWEAALSHYNRYAHHVGFSVRIESSRKSTKDGEKDKCLFVCNKAGNNSLPPTPVKIRNRTITKLADCKAKMRIKRSGARWEVTQFVEEHTHEFVEKFALKKFLRSHNKIPKEEKKFIDLLSDVNLSSGRIMEIMAELYGSKQNVPYSTKTISNYRAQHSEERKIKDIPELLKYFEKLKEDDPRFYYDYKLDDDNRVENIFWVDGAARDVYKLYNDCISFDTTFMTNQYNMPCAPFIGINRYGQSIQLGCGFLRNERVANFEWLFRTFLVAMDGLHPLNIITDQDVAMRTAIEMVFPDTIHRNCRWHIMQKVQEKIGPMAAKREDLRRDFNDVIDYSVTEEEFETRWAEMIQKHDVVDNDHFKDIYGLRKCFVPAYFMKRFFPFLQTTARSEGFNAVLKQYIQPRASLLNFFKQYMKLQEKIDSAEDGHDFMGMDKVVRLWGDFPMEDQILQTYTLPIYNIFQLELRKITSYNARDCGGGCLRFSQCKVLSMVMAEEPMWLTLILKISFSEKTKEIADKHMIALSKELAALKKANADALKRRKSKSVATEDISDSSPFEGRMDEDVSQSRNKKAKDPLLLQLKEDHAVKGRKVESS >cds.KYUSt_chr2.53749 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335314281:335317058:1 gene:KYUSg_chr2.53749 transcript:KYUSt_chr2.53749 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRCLGATPRAWAGHQNLHGFPPATVPAVRLTPEKPAWRPLVWGSDSRRSTDPIRGAGLKSAGTDRVHYSADEALVLKQKAEDVLPYLNDRCVYLVGMMGSGKTTVGKIIAEVLGYSFFDSDKLVEQAVGIPTVAEIFQVHSEAFFRDNESEVLRDLSSMHRLIVATGGGAVIRPINWNYMKKGLTIWLDVPLDALARRIVAVGTASRPLLHQESGDPYTKAYVKLTNLFEKRMDSYANADARVSLEDIAFKQGHNDVNVLTPSVIAIEALLKMESFLTQKAMVRN >cds.KYUSt_chr4.19622 pep primary_assembly:MPB_Lper_Kyuss_1697:4:123370312:123372448:-1 gene:KYUSg_chr4.19622 transcript:KYUSt_chr4.19622 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGAIPFLPPSPRRRRLLPDDLAGHDAVVLYRTSFPAPLDPRRPVPPRNPSGASGCWSSVEDHPPGAGPRSISFTSSASSSPASALGTRLRSAAARPPRRASCLAPSCDAVCRCRATPRTFQGRCVLLFLAPRLRSKIEELLFLAAHLCSILAASASASSPKSAIKGDMKLRVVVMDSEAAAVTATERSTAKEKLCSLFQECQLRCRTAAASVDVFAFEDLTAAELKRLTEGAADGEGAAADEKGSAVADALSEERWHAVVRTGRCCLESDVFWNVVKLNRVSVKRGSSWPHPYNIA >cds.KYUSt_chr6.30225 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191523471:191540818:-1 gene:KYUSg_chr6.30225 transcript:KYUSt_chr6.30225 gene_biotype:protein_coding transcript_biotype:protein_coding MATARWQVEEQKLRCTKEPYVDDAGAQRIKSIRFSTLSGNEVRNSAEAQVWNNRVYDVTRKPVPGGLLDTRMGAANKFGECTTCYGSYAECPGHFGYIKLALPVFNVGFFNNIVNMLKCICKRCSRVLLADKDRLGFLKRMRNPRAEDLHKSTIAREVRDKCRPCRCPYCGFMNGVAKKGPKGGGLTIVHDCSKTLDPSAEELRSALSHKKEKLSFPMVHLLDPQTVLSLFKRITDEDCELLNLGDRPEKLIITEIAVPPVTIRPSVFKSDRMSNEDSITIILKGIVNLNSGIKQTLQTGEPLTKCFNLWQQLQLKVVEYINSEAPALDKNRDLQNRGLIQRLKGKAGRFRANLSGKRTEYTGRTVISPDPNLRITEVAIPILMARVLTYPERVSCHNIEKLRQCVRNGPSKYPGANFIIQPDGSKMDLKYGDRRISARDLKDGYKVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEAFMLMGVQNNLCTPKNGEILVASTSYFSLLCSYLGDSMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVEEKIYMKRKERDKKTITVLEETMCPNDGFVYFRNSELLCGQVGKKTLGNGSNEGMFSVLIRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGESLNQKKKITIDEGYEECHKLIALYSKGDLVPQPGCNRAQTLEAQISRVLNKLRETAGDDCMSTLHWRNSPLIMSQCGSKGSPINISQMVVCVGQQSVGGRRAPDGFIDRTLPHFPINSKTPAAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLTKGLEDLSVCYDKTVRNASGGIVQFIYGDDGMDPVKMEGKGGRPLNLDQLLMKVMATCPQRGHDTLSPEVILQMLNDKLAEEDTASGGCSDSFKEMLTKFLEGRIKMVRSTRRALQLDENRVGRRNSSIEEGIAADISGISAKQLQVFLDTCLSRYHSKIIEAGASIGVIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIREIINAVKKISTPIITTELLSERDESFAVKVKCYIEKVVLGEVAAAIKIVLKSSQPCLVVKLDMQRIEAQGYMGISSDSVQLSILNHPKAKLKSEHVRVIDEAKLRIYPTGTDKSKLQFELHNLKSMLPKVIVKGIPTVERAVVNPVLRRDGTLERYSLLVEGTNLLKVLGTPGVNAERTKSNHIMEVHKTLGIEAARRSIIDEIQYTFESNNMMIDRRHMMLLADLMTYKGMVLGITRDGIAKMNSSVLMMASFEKTSEHLFNGSCAGREDEIKGVSECIIMGIPMKLGTGILGVRQKCTLSPDKDKAFRKATVLFVGAVLSVFGDKLVDAYMHIRDRKEPWDSFDAKFGDADVGRELYTVEQFNNYIIVENQFVVEHARELQIMAKGLEFLKSVLPNKSEVYFGQDRAIEERDACPFYQDNLVSGSCLMKDGFKLVFESNKVILSNWESDPIPETPMDFSEESESSDSDEEDDNEAPTKSKIQMTAKSFDYWKEAVQSEMNSILAYGTWEFTEHLDHSFWYNKYDLPEGFSDAEDDEQDEDIEEGSGSSVDQSDEDSGEDSGDASAYVASEDEDHVSE >cds.KYUSt_chr2.32882 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202754355:202757714:1 gene:KYUSg_chr2.32882 transcript:KYUSt_chr2.32882 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEQTGRVAADHVRIISRRMIKPPSDSEEAVNIHLTPWDLCLLTIDYVQKGILLPKPPAGAAGERLVDTLASSFARALGKFYPFAGRLVAEPHDGGTVGVLLRCTGEGAEFIHAVAPRVAVADIIGSLHISFVVCELFPLNHVLSADAATESLPVLSAQVTELADGVFISMSMNHSVGDGTAFWEFFNTWSEINRGGVDLQEVRTPAPAHQRFFIDTSPVPIRLPVSKLQDVVRRFERPTVQECFFTFSSASIQKLKARANSETDATVVAISSLHALLAHVWRAVSRARRLPPGQETSHSLLIGCRGRVKGIPEGYLGNAAVFGKASSTVGEILDKGLGWTAWQLSRVVASFDEAAMADWVDRWTREPNFVYAGDKSVGGAGAAVATRSSPRFDVFGNDFGWGKPVAVRSGPGNKTDGKVTVFEGGEHGGSMSLEVCIAPDALQRLLVDEEFMDVVTVSMPYVDIPSSLVEIVEVFQVPSDSTMGTVVLPASSTGTVVLPASSPGSPASPTSVLHVVPLTLVRCGVCFNMGIKEQQMKKVAADVLAFAGVHVTTLQLYKHIRNWKTKWSVIMKMKSDRILDWSEDGCCFYGGDEGAADEYIMRYPKHRQYVRTPITNYAQMKTIFTPRFVCKA >cds.KYUSt_chr3.44131 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278605141:278612977:-1 gene:KYUSg_chr3.44131 transcript:KYUSt_chr3.44131 gene_biotype:protein_coding transcript_biotype:protein_coding METQSCLLQVIPECFVKYFGRKIPESVILEAPNGNIYDVGVTESMNRTILKSGWAEFVAANIIEENYSLMFRYLGNARFEVTIFDSNGQEKALCCAGMKTASDVKTPNSHYVGNSSSSRHGTTQLSSGEGSDSDGCPKEISCHYCESAKMAALSYTSDEFSEDNPSADASMEFDGLQMLPKDCVLSGRCALTVAQKTKIHAFVAEIQPKIPVLVVMMKKTNVEPKTDLVIRKDYALMHFPCESQTITLQVPGKSKDWQCNLRVRPDVGCNLRLGNFVHDNSVKVGDICLFQPMTNAKQGTFKMIVHLLQKASIDHSPGGRTDIGLNDGWTSTEVAGVKDEPPADGEEISFSDREKHGASKSSEGNSVESDYRQVLPDDIVLSGRCYLTEEQEVKIQALVSRMRPKIPVLVVVMKKTNVKPYGNLVIRKDYALQYFPCEDTNIILQVPMKKTTWKCKLQVRPSGVSDAGRRNLYLGNFVRDNHVREGDIWLFQPMANGKNGRFKMIVHFLHKASIDHFTDRRTGIGSNYGRTSTNVTGVNEQPPTDGEETSSSDHEEHGTSESSEGASDPPFILPGRIRLTPAQEKKVLEKVEEIERELPIYVAIMNKINVCRRNTSPILNFGVRYATTYLEKKHATGHHGKEGVISLVLQRDRKRGTWATEMRWSTHRTGPQLRVVKGWPSFSRANHLREGDLCLFKLMNNEELLKMVVYIIRREKCVQSIGVCYGVIGNNLPSRSEVVQLYRSKGITDMRIYFADGQALSALRNSGIGLIIDVGNDQLGSIAASASNAAAWVKDNVQRYQGLTIKYITAGNEVQGGDTRNIVPAIRNLNAALSAVGLGGIKVSTAIRFDAVANSFPPSAGVFAQSYITDVARLLASTGAPLLTNIYPYFAYRDNPRDIQLNYATFRPGTTVRDDNNGLTYTCLFDAMVDAVHAALEKAGAPGVRVVVSESGWPSAGGFGASADNARAYNQGLIDHVGGGTPKRAGALETYIFAMFDENQKTGIETEKHFGLFRPDKSPAYAIRF >cds.KYUSt_chr2.11605 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73831355:73831924:-1 gene:KYUSg_chr2.11605 transcript:KYUSt_chr2.11605 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIANISAVVTGAVQAAVASTVEMLPTDATRDAVRETAKASVAWLVAARDGAVENFPPAAEAARRAAGSAVETAEPWVEMAYKRLLGLYGRLATASATGGRADQHVVLVGLLLVVFTVWALSCRTMKGPGLGGARMPRAMFEASPKTYYAAVRTGRRAQRDASGAGWTLLLAAAVACGAYLAAKTLY >cds.KYUSt_chr4.28144 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176866842:176867735:1 gene:KYUSg_chr4.28144 transcript:KYUSt_chr4.28144 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSASSLLHRRPVRRHGYKSLPDRHDEEEKQEKHEEFIFFEEERQGPAALEARGAMLRDRARRREGTVFDTGKDLRESALCYLVALNWGKAGLAFAEQAMYGLELGDGPGAATALLRSAKCYVEMEHMSQNNVAFVERALEEATALFVKAGDLELAAVSCLELAEFYMDRQDLGSALDLYEQAAEYCRRMGGGHLEGWRQCTYKAKVVRNLLHNEDALRLQGVLPVEDYKRKSAGYIRLSDPDWRLRVSELYLSTTHPVVGRPVV >cds.KYUSt_chr3.8198 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47175308:47176441:1 gene:KYUSg_chr3.8198 transcript:KYUSt_chr3.8198 gene_biotype:protein_coding transcript_biotype:protein_coding MTMYRSCVVQFANFMFSVYPATSSMATLIVLLLIVATALYLSLKTNYNKEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKVKVGQGGFGSVYKGELPNGVPVAVKVLENSTGEGEVFINEVATIGLIHHANIVRLVGFCSEGTRRALLYEFMPNDSLEKYIFSNDSNVYQDLLVPEKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFTPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDQGLDSQNEVYLPEWIYEKVITGQDSVLTREMTEEEKVKMRQLAIVALWCIQWNPKNRPSMTNVVNMLTGRLQNLQIPPKPFVSSETYPVQ >cds.KYUSt_chr2.47255 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295659551:295661681:-1 gene:KYUSg_chr2.47255 transcript:KYUSt_chr2.47255 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSAGCRRTDALTCTKKSRFVNLTQMNIPDKFESLPNKSIDECASKCSSNCLCTAYAYAKSSSNDALVDRSSCLVWAGELIDTGKNSYYGEILHLRLADFPASKRQKKEIHKRLMLGYLNSSNEIEGENVEFPFVSFEDIITATDNFSESKQIGRGGFGKVYRGMLEGVKEVAIKRLSMEIMGRWKSKRTSALFSY >cds.KYUSt_chr4.19000 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119349158:119351062:1 gene:KYUSg_chr4.19000 transcript:KYUSt_chr4.19000 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVVSNPPIGATVDKYDRIADARYRDMAGSKGKRFTMRRCFYVIQHLPKWQLRDEKVAPKKAAMLALDDTEDEKEGRNIDKPKGNKKAKERLKLEGEAALLRDKFDQMMRSNEMIAAKTLEIKLVIIEKKKEVSLANVEANREEARNKAKLEEMRINVKKAKTMKQLLAEEREIMMMNTKDMNEQQMEWWMEVSAEIMERRKQAREEASGGGDDGHGGPTLLLLRCIGSLLELAYFFSAPVLGQYMFFLELETRLAIEDGVLAWCRGLRVEVDIEGKTWAQRRNLVLRPLADENEEISAMLTISVFCCPKMVGGDLRLAARRKSRFAIRRLIAGGLVLLDNEEIRVMSWSCPCLPLLQDRRRGPEAPAAYRRSRCRCLRLRFQLLQGCRRGPEALSAGNVSVSALCCCLVVLVVLCWKTVSRLA >cds.KYUSt_chr2.9208 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57959655:57967972:-1 gene:KYUSg_chr2.9208 transcript:KYUSt_chr2.9208 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVNFIIRPPRADYSPNDDLLEQEFMLKGRWFQRKDIEVINGQGKKLQCSHYIPAVMPEGKALPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSEGEHVTLGWNEKEDLKAVVNHLRTDGSISCIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVELMMELVDTYKYPLPKFTVKLAIQHMRKIVKRKASFDIMDLDTIQVAKRCFVPALFGHATEDDFILPHHSDKICESYVGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPDVPEEHYFMSPHGSLGQGHWDTEHDIEYRIAQSPTAPAATTEDAIAQLRSRRLMSRMEVPSGATPEGRADRTEVLDSDVGPSSSSASTATPPNGRNGRMLTPTSDDGEYVEYSFDSVSDMPYTEEDEDRMLMQAILESLKDLDKSNSKDTKTVASDAVSKESSVAKDGNHTTDVATLETDASSIYVSATDVPAKDVAVCNSVAKKADVQSADRSAATDAAVYVNAAGTSESNGSTQAVNGKSGPAESQKSKQNSSGEDGTRATLVVQKSRTGSLMDGLSQKWGSFFKNND >cds.KYUSt_chr6.30009 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190160921:190163500:-1 gene:KYUSg_chr6.30009 transcript:KYUSt_chr6.30009 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEPLIVKNFLVETARLQSREKTVDLFKLGQGVMPASFKVHHSDPTKKTEILLADFGEMAIGRVAPVDSGLWWIFLLNAYTSYTVDKSLADTPDCQNAMCLILKLWLSEGFDTSPALLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALILLKGSGNDFVSQILRRIKALRYHLHSYYWLDFQRLNDIYRYKTEEYSETALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGEQAEAILDLVEGRWEELIGQMPLKICYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHIGRNALELMEQRLAKDDFPEYYDGRAGRYVGKQARKFQTWSVAGYLVAKMLLDDPTKIGIVSLPEDGQIREPVLKRSNSFP >cds.KYUSt_chr4.23156 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145815030:145823461:1 gene:KYUSg_chr4.23156 transcript:KYUSt_chr4.23156 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGQFGLTTLPKLLALSPDSLQKFRQGSPRIPTETLLPELPQDVLMDIFSLLEIPDLVRAASVCSSWCSAYTFLRSHPELYRRPQTPCLFYTSESSGDNVACLYSLAEKRVYNLTLPDPPIRSRYLLGSSHGWLVTADDKSELHLVNAITAQQIALPSVITLDSVVPIFDNTGTIVNYEVWDAPDDSDSDTEMVDRELLFHAPPDELRNHIYKSAFVFPDPSTGSYIVVLIHGPGCQLSFARVGDRNWTLLPPGWEYEQCIYMDDLLYASTRYGRIDAFDLTSPTITRNIISDEIDYSIGDHWGHLYFVQGPCGGLLEVCRKSSGHLDAGYEKPIRKTDKILSHKIDIAAKGLVKINGLHDHVLFLGRSQAQCLSAEEYPQLKANSVYFTDGGEYNWQSKNIPRDIGIRESSRRHNAAAAAAAAGEATKHHPLLIQNNLLLPPEAQLAGATFCLLTAATQSYTRRPQTPCLFYTSESAGDNVACLYSLAEKRVYNLTLPDPPIRSRYLLGSSHGWLVTADDKSELHLVNAITAQQIALPSVITLDSVVPIFDNTGTIVNYEVWEAPDDSDSDTEMVDREMLFHAPPDELRNHIYKSAFVFPDPSTGSYIVVLIHGPGCQLSFARVGDRNWTLLPPGWEYEQCIYMDDLLYASTRYGRIDAFDLTSPTITRNIISDEIDYSIGDHWGHLYFVQGPCGGLLEVCRKSSGHLDAGYEKPIRKTDKILLHKIDIAAKGLVKINGLHDHVLFLGRSQAQCLSAEEYPQLKANSVYFTDGGEYNWQSKNIPRDIVGQFPVQYIGLPLSVTRLSKSDLQPLVDKVAGHVPTWKASLMKKSGRFDSLGLRSDGYLHLHHVVPGFTPAVLQVHRHAAEGVSGQPPRKLGEDSVWCRGSVWFGHQEPAFAESCPTHEVINPGKLGEGMTGSQSYVCCGCTPRPEMDCGDH >cds.KYUSt_contig_1181.830 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:5609626:5609988:-1 gene:KYUSg_contig_1181.830 transcript:KYUSt_contig_1181.830 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFTLVSITLLLTVTTRALPSAAVDVHALPFPLLGEATDAAADCWQAVVQAESCAPDILRWLTAPDIQVSAACCSVLRTAGDRCIHELFPASTFGQLYAPLVSKACGVPKKETPSGRQ >cds.KYUSt_chr6.23032 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145522471:145523639:-1 gene:KYUSg_chr6.23032 transcript:KYUSt_chr6.23032 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLQWSRPASLLLFAAAFLAAAAVASAGSSSPRRTLSEKKKKGKYSGPCLATNPIDRCWRCRKDWATDRQRLARCAKGFGRETTGGLGGKVYIVTDPSDDYKNPVPGTLRWGVVQIEPLWIIFARDMIITTTQEIVIQSNKTIDGRGAQVHIADGGSLTIQNQRNIIIHNLHIHDIKKTEGGDVAVSPNHFTPRTKADGDGVSLFNATDVWIDHLSMSLCEDGMVDVVAASTAVTISNCHLTNHNDVMLFGANDNTPEDKVIQVSVAFNHFGRGLVQRMPRCRYGFFHVVNNDYTHWLMYAIGGSSNPTIISQGNRYIAPPNMAAKQARLLLVIPH >cds.KYUSt_chr4.48331 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299343876:299345809:-1 gene:KYUSg_chr4.48331 transcript:KYUSt_chr4.48331 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTRPRRQEAPTRRQQPPTRAQYNDDDDDRDDHDDDDDDDYIETLAYHNEEVKDYSDDYVVAVFHEWQQAMAEGRNFEFPENMTDDEMAKLGVLVSENDAPVQPPLPRYATGVMPPGLSEDEALRQALQDSAAPQPPPYNPWAPPPHPQPWAPPPPPPQPYPWAPPPLPQLQPWAPPPPSPPARPAYAPPDGNWPRAISELIVLDSDDEQQWALATSRSRALPLHARRNKNSSSDDGAEPKVITIGRPGKKSRRRGDKKKDQQLLPVDQEDLADDEEEEDEEEERDVAIPEVVTNRMMRRVGASVGLPLALGLAFFPAFYYLKKVAKVDVPSFIPYGLSFVFFGAALAGVSYGIVSASWDPAREGSLLGWNEARRNWPVFWESFRGGSPDPPRRR >cds.KYUSt_chr6.32062 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202543872:202544801:-1 gene:KYUSg_chr6.32062 transcript:KYUSt_chr6.32062 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTAENPSHRKGQELQGSELYNDANKKGYDARRRYRRRHRPELVQGFHPEFEPKREAWGAAGRQEDHVVDHRSPPQDHPPMHLTPRQMPCMAAPKAAAAAPGPPPRHPRPPLEHASRRRKASPSSGHHPSISSPAYARPGSVFPRSDRQAATASTMARLAQAEPRSGRIATSAMEARDESRRHGFPNPVCSPSRPEEKRVLPPPAPRALCRTEHTGDGREGGGWGCGGVWPGFAPPALSPRGGSDARASNAKCPVYVLVTIGSALSGIAIPFQM >cds.KYUSt_chr4.1854 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10046573:10049301:1 gene:KYUSg_chr4.1854 transcript:KYUSt_chr4.1854 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEIMTGHNNYHEGTSDEQFTEQSFKFHRHVLAETEDTLVIGIDLGTTHSSVGVWQNGRVEIVPNDQGKRTTPSWVAFTDSERLIGERANNQVARNASNTVFDVKRLIGRSFSDASVKSDAKYWPFGLIQGQDDRPLISVSYLGEKKEFSPEEISAMMLVKMKETAEVFLGPGTTIKNAVVTVPAYFNNSQRKATKDAATIAGLNVLRIINEPTAAALAFSEGRKWSDDEERTVLVYDLGGGTLDVSLVVVKKGVLHVKATAGDTHLGGEDFTNSMVDHFVKEFKKKTRKDISGDIRAVRRLKNSCEKAKIMLSSYAQAAVDEDALFEGIDFHSTITRSLFEKLNKDLFRRCMGPVHTCLVDAKMDKNKVNDVVLVGGSTRINRVHRLLKDFFNGKEPCKSLNADEAVAYGATILAAILMGHKEHDINLHLIDITPLSQGINVKGGRMSVVIPRNTTIPTIKEKTFVTTEDNQSSITWGVYEGESAMTEDNSLRGKFTLSGLTLAPKGVTKVNVRFEIDEHGILNVSAEDKKAAQKENITIMNDPGRFSMEEIKRMVQEAQEYKAADEEHLKNVQARNDIDDLLYTMRNSMKKLEDSAERLLLHPEATREEHETIKKLPDTIKKLQECLENKKLTTSDMIDHMNQIEGEYRSITSQFGSASNLNVQ >cds.KYUSt_chr3.9805 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57632396:57632851:-1 gene:KYUSg_chr3.9805 transcript:KYUSt_chr3.9805 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEAAKSSPVARDPPSAAAHMDIEAATSFPVARDPPPRPAPQTAQLGEAPEKRGWGRWAFLAVVSLVLTGEFAWDAYQVRRSPRKLAFVVVTYDLVVVLCCCLVKQNLLRRDDPAVAPERRRVTVGVWVVSVALAIIIAARVALVIVGWR >cds.KYUSt_chr1.2231 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13077614:13083781:1 gene:KYUSg_chr1.2231 transcript:KYUSt_chr1.2231 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRLLGVCPWLMMSLPGTEGGWLARDQASTVCRSMPEGPLRLPGRCSGDHRHQQQRSKFSLWPPRRLEVIISIGVEVELLHVIDFVGQLQNTEIHSSSLADAYPVKVESMSEEDLKGENRRRSGTGRRSFESSPAARLQAERLAWEERVDEAGDVDEDDGFARAFNETSWHFFEVDDHVDQRKEISPEALSFQKLSL >cds.KYUSt_contig_2792.98 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000505.1:440429:440779:1 gene:KYUSg_contig_2792.98 transcript:KYUSt_contig_2792.98 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFVCSWYEGIDLDALATLRASAPTMTNPVLQEQHQQRAYQIARYASVSKFIPAPPDAEDEESDEEETDEEAVDEEITAEDSTSIPVDPATQDPASSSQAPGSSPPDAPEAGAA >cds.KYUSt_chr6.10784 pep primary_assembly:MPB_Lper_Kyuss_1697:6:66832377:66845320:1 gene:KYUSg_chr6.10784 transcript:KYUSt_chr6.10784 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKGGKSKAKAQAESQGASVEPEAPVAHVVEEPKPENGQVTEAAPAADGGAADVEKEEGDAAVAAQAAEKPAEGELHLYPVTVKTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHTKDGLAHPLEDYNEISEIADITTGGCTLEMVAATYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQAKNADAGKTAGQELDGLNFMEDNTVALTSLLASAPAEIKCVDSIIFSSFNPPPSYRRLHGDLIYIDVVTLEGNKCCITGSSKSFYVNASNGSILDSRPLKQSHEASTLVGLLQNISAKFKKGFREILDRKASAHPFENVQALLPVTSWLGAYPVQEHRRDAARAEDSVVLSYGTELIGMQRDWNEELQSCREFPHGNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDHEHISKDQKPDCQNGPGRSTPVPSPDLGAKADRDHAAVDSKTLEPNSVLEASAEAQIADSEQATYASANNDLKGTKSYQEADIPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNEAFHAKVVEAAKRLHVKEHSVLDGSGHPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGLQHRFCVLRPELVASFVEVESTKKSPVQKVPDIPAELNGPESKDQHDSASDATARSVDRDDKPDESPAPTPAESNDSNDEILFNPNVFTEYKLAGSPEEIAADEALVKKVGSYLLDTVIPKFVQDLCSLDVSPMDGQTLTDVLHSNGINVRYLGKVAGMIKHLPHLWDLFSAEIIVRSAKHVVKEILRQSADHNIAPAVAHFLNCFAGKVLAASTKGSAGSTQSKTQKVGVTIAARKYELNSAAPFQPSDILNLQPIVKHSVPTCTDARKLMEAGKIRMAEGTLNEAYALFSEAFSLLQQINGPMHKDAANCCRYLAMVLYHAGDIAGAIVQQHRELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDASNMSTALRYLQAALTKNERILGPDHVQTAVCYHALAIAFSSMGNYKLSVQHETKTHGILVKQLGSDDSRTKDSENWLKTFKEREKQVNAQKQKDPLLQAHPGLYQAVQAAAIKYGDGSANVKKSLNAAVVGETVPRGRGVDERGAKATAEVRKKAAARGLNLRNGPAANRASELNHILNLINSAASASAASPTVNTQRTESEGPQSNGPALNGAKEAKDTNRLSAKVDGQTPVGLGASLELKKQKSKQKA >cds.KYUSt_chr6.7464 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45395207:45400189:-1 gene:KYUSg_chr6.7464 transcript:KYUSt_chr6.7464 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGAATPPRFKRTSPRKKLQPHSRRLAINVAAGEAEAARASVAARATPLLPATLVVPAQSLGSIPLPREFFEVDALDLAPRLLGKLLRRDQVVLRITEFGPGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPVSGLDIIQQRRGQQTEKPILLTGPGKVGQALGLSTDWSNHPLYTPGGLEVLDGPEPENILVGPRVGIDYASSEHVTAPWRKAIETATENREVAEAIGAPILRGPWYDASVVLGHRRRSVSCTFPVTGPQGSGLFQIEAIRNGGDGVLSFLRHHDWEIRSMDAHLEVASDDGELKKVTINLVSSSDDQSSGGQCEAEGLSC >cds.KYUSt_chr3.32790 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206101564:206102900:-1 gene:KYUSg_chr3.32790 transcript:KYUSt_chr3.32790 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASPFLRSSFVSSTSTSSASTSSLSAVVSASLAFRSASSFAGSSLGIEFSYNRLTTRRPRGLQIRAGKAALCLTKRSRSRKSLARVHGFRRRMRTTAGRKVLKRRRDRGRKILCTKTNSPTGTKF >cds.KYUSt_chr5.33676 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213804161:213808867:1 gene:KYUSg_chr5.33676 transcript:KYUSt_chr5.33676 gene_biotype:protein_coding transcript_biotype:protein_coding MNLATSATTTTPRHGLMPLNPSSSSAASSLRRPLLASLRKKISPLTTMASAPASASAALSTAAPADNGAGAAKPAEKQPVQVAKRLEKFKTTIFTQMSMLAVKHGAINLGQGFPNFDGPDFVKEAAIKAINAGKNQYARGYGVPELNSAVAERFLKDSGLQVDPDKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGADVKAITLRPPDFAVPLEELKAAVSKNTRAIMINTPHNPTGKMFTREELEFIADLCKENDVLLFADEVYDKLAFEADHISMASIPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQSAAAAALRAPESYFEELKRDYGAKKALLVDGLKAAGFIVYPSSGTYFVMVDHTPFGFNNDVEFCEYLIREVGVVAIPPSVFYLNPEDGKNLVRFTFCKDDDTLRAAVDRMKAKLRKK >cds.KYUSt_chr3.11240 pep primary_assembly:MPB_Lper_Kyuss_1697:3:66973945:66974235:-1 gene:KYUSg_chr3.11240 transcript:KYUSt_chr3.11240 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIWAAFASSVPGLVVSALSVSDLMTCLCLVGKASGSLLHRKKTIRWIMTTPRRRMDDVDVDDDVDVDVGVDSMMTSESLSSAYIAGDVDKEKQR >cds.KYUSt_chr4.48434 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299914560:299920376:1 gene:KYUSg_chr4.48434 transcript:KYUSt_chr4.48434 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRVALEPLAEEPGGEEDSASRRRTGLHATLHRWARILSGAGGAGDDARPSADLRVLLSVLACPLSPVPILPRLPRHVASSAQYIIEQFRATTRCGKLEGKAKSMYAAGKVRLAMLHEPGGGGGAAAPAGGRCHEGSFVVWQLAPGMWIVEMAVAGHSVAAGSDGRVAWRRTPWLGAHAARGGSRPLRRALQGLDPVMIASIFSTAEHAGEKQVDGEDCFVLRLDVGPSTLSSWSDGTAEVIRHGLTGFFSQRSGLLARLEDSQLTRIQAPGAPAMYWETTIASTLGDYRAADGAGGVHVAHAGRSTAYLARFGVGVRAARVVTRMEETWTIDDVAFDVPGLGPDAFIPPEEVRRSRFYDAMAAGGK >cds.KYUSt_chr3.14893 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90580402:90581004:1 gene:KYUSg_chr3.14893 transcript:KYUSt_chr3.14893 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASHPLLYACTFRDSSLVAELAHDPEAAGGAGTDDLPALAAALAASAPPHHQYVTHSAAGRAHALLLAPPLALAAVSRAPQLPASHLLLFLRRLRCLPEFRMRDEMERLALRLPFPDEGALAREAADVAAAEAQAEEATRREADLARGTPKRDRGARGGAGRAWRRHLWLIILADLVILGILFAAWLAVCRGFSCIGR >cds.KYUSt_chr4.5370 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30856688:30861148:-1 gene:KYUSg_chr4.5370 transcript:KYUSt_chr4.5370 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEVIGEDLPKPLSAKDFIISLGKRPSTITQGLENVEDVHTTKVITRYTYVPPAKSVEDETRPSGDAIFGELSSVDKENLAAAEIPDYVPPMEIFPNSSHRDGSIYCGTDDWKVDYRIADRNETRDNLNPLLNYIVNFSRDDPIIVKQGSLINMAGPKRGIELVGTILIEYDMKIKAGEHEKEDLQVIDGVSCLDNIDTWDRRPFTLRIHGDCGAIDVGVSRLNFAYEATIEVVVSQVQSSFSMRLGCFTSGLHEEIRLFDGAIGEPGGLKRSVVAVASNDQMELKFKVAADSCIPAEYCCCFEAKQHGRATQEINTGFALIAVKVTWSTLIEDEE >cds.KYUSt_chr1.25668 pep primary_assembly:MPB_Lper_Kyuss_1697:1:154135098:154137142:1 gene:KYUSg_chr1.25668 transcript:KYUSt_chr1.25668 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSSTSFLSPLDPASKLLHKPEDAPPSCVAMPQPPPASARLRALRAALQSPPAPMEAPAQTELLHGQVQQSHPGGGEPRRGGVPVYVMLPLDTVGPGGQVLRARALAASLVALRSAGVEGVMVDVWWGVVERDGPGRYDWEGYAELVRMVERAGLRLQMVMSFHQCGGNVGDSCNIPLPSWVLEEVSANPDIVYTDRSGRRNPEYISLGCDTLPVLRGRTPIQVYSDYMRSFRDRFSGYLGTVIAEVQVGLGPCGELRYPSYPEADGTWRFPGIGEFQCYDKYMRASLRAAAVAAGHENWGTGGPHDAGEYKQFPEETGFFRREGTWSTEYGHFFLKWYSGMLLEHGDRVLAAAEAIFRGTGTTLSAKVAGIHWHYRTRSHAAELTAGYYNTRRHDGYLPIARMLARHGAVLNFTCMEMKDEQQPGHAGCSPELLVQQVRAAARTARVELAGENALERYDEQAFEQVASTAASAGLSAFTYLRMNRNLFDGDNWRRFVAFVKTMADGGERTALPRCDTGHSDLYVGFLDAAKERRAPEAEAAAAL >cds.KYUSt_chr2.3607 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21815735:21817094:1 gene:KYUSg_chr2.3607 transcript:KYUSt_chr2.3607 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVTAVMELGVEEPRLELPPGFRFHPTDEEVVTSYLTPKVLDKTFSCLVMADVDLNKCEPWDLPSQAKMGEKEWFFFVHKDRKYPTGMRTNRATESGYWKATGKDKEILRGKGRAAVLVGMKKTLVFYMGRAPHGTKTPWVMHEYRLDGRLPAHLPRSAKDEWAVCRVFNKDLAAKNAPQPAHAAAGGLLDRTDSFAFLDDLLASADLPALVDSPFGAVEDDFAGASSSTSGAAAPVEPDMGFYHEVKTEQHVAPPPPQPQQTQGPYYFSMPAAPTGIPSGVRAGYSQYHQGEGSQQDAIRRHCRPQAPSSSALLGPLLGAAEAEMPSLMPPTRQPSFLDLDDLSSDPLMDYTNMWKY >cds.KYUSt_contig_528.329 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:2078358:2094784:-1 gene:KYUSg_contig_528.329 transcript:KYUSt_contig_528.329 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEMEAAGEQVISSRGGSVLGKKTILKSDHFPGCQNKRLTPHIDGAPNYRQAGSLRVHGVAMPTMKGIVNVLNHIGEQKKGKQTRVLWHSLREEPVIYINGRPFVLRDVERPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPNGQMVDQWESVISDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVHRISQVDMETEIVFNCQMGRGRTTTGMVISTLVYLNRIGASGIPRTSSIGKVFYAGNDVDDYSPSSEEAILRGEYAGGVEGKRQVDKVIDKCDSMQNLREAIATYRSSTLRQPDEMKREASLSFFVEYLERYYFLICFAVYVHSVSSAHQATSSEVSFSDWMRERPELYSILRRLLRRDPMGALGYSSSKPALPKIIESADGRPHEMDVVAAMRNGEVLGRQTVLKSDHCPGCHNLNLPERVEGAPNFREIPGFSVYGVANPTVDGIRAVIQRVSTSKGKRPILWHNMREEPVIYIHGKPFVLREVERPYKNMLEYTGIGRDRVERMEARLKEDILREADRYDGAIMVIHETDNGEIFDAWENVNNEAVLTPLEVYKRLDSEGLPIKYARVPITDGKAPKSSDFDTIAMNVAAACKDAALVFNCQMGRGRTTTGTVIACLLRLRIDHGRPIGMPASKNNHENATDPDYSSGEETIDHNGHLNSDAWKPHTLTELQSRFDINDILLLRKITRLFDNGIECRQILDTVIDKCSALQNIRQAVLQYTKVINQQNMEPRVRRVALNRGAEYLERYLKLIAFSAYLGSEAFDGFCGQGETKISFKNWLQQRPEIQTMKWSIRLRPGRFFTVPDEPKATCQPPQGDVTMEAIVKARSGSVLGKGSILKMYFFPGQRRSSSMNFRGTPHVIKVDGYPVYSMATPTVDGAREVLSYLSSKDTTGTSIAQKVENIEARLKEDILSEVKQLDGRLLLHQEEFNAATNQCSVLGYWEHIGLEDVMTPAEVYSTLRDQGYCIDYKRIPLTREREALAADVDSIQSSINESSRYYLFISHTGYGGVAYAMAITCLRLGADAKFVMEQTAETHFVSSSLTKSVSVKTFTDIALRQGDYRDILNLTRALIHGPKSKEEVDKVIDRCVGAGDLREDILQYRKALRDCSHDDDDDEARSYLMDMGTKALRRYFFLITFRSYLYCTSLHPVTFASWMEARPELGHLCDNLKLDRYEDEPPEADIEDGVEEDPENNEDAPDDVVGGEGEEKEQDKTPRPRHTTKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG >cds.KYUSt_chr3.35583 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223422497:223425468:1 gene:KYUSg_chr3.35583 transcript:KYUSt_chr3.35583 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKPAGTMAGRELAGRGWYLNSRRVPVPPPPPPVPREGRERRDEVRRRRAVLPPDLREDPAYPLNSYNWISFGMWEFDARRRAAYLADVDYFERKIAAEEEENDHEDADEGDDVTMLQYDHDDGGPTWDPETQPSNISEEEAIAMALANSEQDELNELALWDGLAIQLRESALRLLLQRGIPGHSLLPVWRYLLHRWQNKMCFFSTQILLLLLLPILASLLLLARRGSKGKLAPGPVGLPMLGNLHQLGPLPHRNLRDLARQHGPVMLLRLGATRILVVSSASSAREVLRTRDADCCSRPAGPGPKLLSYGFRDVAFAPYGEQWREMRKLFVTEFVSMRRVKAAWGARQAQVEKLMAGLTPNTPVALGERIYGLVNGIICTVAFGNVYGAEMFHRVLGEALELQASFSAEDFFPNAVGRLVDRLTGLAASRDRSFAAIDTFLEVVIEQHLEPNSEREGSDLVDVLINLSKEHPAFTRDNVKAILMDTFVGGVNTTSVTILWAMSELIRNPRVLKKVQEEIRVAARGNNWVQPEDMSKLSYLKMVVKETLRLYPPATLLLPRETMQHVKIGGYDVPARTRVAVNVWAIGRDPASWGEGAEEFDPDRFEAGESHGEVDLHGAHFELLPFGAGRRICPGIAMALMNVEFTLANLLCGFDWALPEGTEVEDISMEETGAGLTFHRKTPLVLVPTLPQRA >cds.KYUSt_chr1.33369 pep primary_assembly:MPB_Lper_Kyuss_1697:1:202808017:202813328:-1 gene:KYUSg_chr1.33369 transcript:KYUSt_chr1.33369 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPLPAYGIRRAGWTRIGAAVAEAKLQPGDLDPRHHHRGPHGGDGVGGGGAGGQQEAADEDHHHHYRLLQLHQEEQVQRDQEPPQVPVFQLSHLQAASVRQPGAEYALLSPMGDAGQSHHHHHGLQTQLLSFGGGHHPHMHQFTAQPQPTQTRARGGRGGGGELVPAATPARVRGGGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDAIDSLDTLPAWQPTAATNAAAAAPPSSSTHHDSADNSDDQAQAITIAHTSFDFAGSGGGGAHGGGITFPPSLDSDTIADTIKSFFPMGGTAGGEASSSTVAANSSAMSFPGYTPDLLSRTGSQSQELRLSLQPLPDRMFHHHQQQEQQHRSHGHDGNGTAQQAIFPGAANYSFGGGGAMWGEQAQQSQRMLPWSVPDPGGGTAGGGYLFNVSQQAAHMQAALSGQSQFFFPRGPLQSSNQPSDRGWPETVQADNNNNQMQQGLNPSIGFAQGVSFSGFRLPARIQGDEEHNGGNGDKPPSVSSASHH >cds.KYUSt_chr4.5213 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30015737:30019314:1 gene:KYUSg_chr4.5213 transcript:KYUSt_chr4.5213 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSCGCKFADPDSAAVRPDTMSCGSDGCGSGGGGGSGEFRRAARPSKVAADDSVEPARCSDAGAASPASWIDRKLLVDPKMLFVGSKIGEGAHGKVYKGKYGDQIVAIKVLNNGSTPEERATLEDRFIREVNMMCKVKHDNLVKFIGACKEPLMVIVSELLPGMSLKNYLNSIRPSQLDIHTALGYALNIAHAMECLHANGIIHRDLKPDNLLLTANRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLQRGEKKHYTNKVDVYSFGIVLWELLTNKMPFEGMSNLQAAYAAAFKQVRPAFPEETPKDLAFIVESCWVEDPAMRPSFSEIIRMLDAFLMTIPPPPPSEPEEDAESEDTTSSLSSKSSSVSSIVSRATSKLSVVRHLFASKKAGNGRA >cds.KYUSt_chr5.18346 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118596512:118598586:-1 gene:KYUSg_chr5.18346 transcript:KYUSt_chr5.18346 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEYLSLSSSPSWKDNGFEDDFSADASFIRTIRPGMLVPFSGKSTPPPATKKKRLHFGLAIDDRRLGACATLRQHIPLKRKLGLMVSFKIEASGYVEDPGCIERRAEGYFCKLWPNHPVTWGFEKILGGCRHCQHGIVR >cds.KYUSt_chr5.42459 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267602503:267605688:1 gene:KYUSg_chr5.42459 transcript:KYUSt_chr5.42459 gene_biotype:protein_coding transcript_biotype:protein_coding MAERFSFFGVSFNLITYLTGPLGEGVAEAASAINIWNGVAQLLPLLGAAVADSWLGRYRTILLASVIYILALAMLALSTLLSSRSDKCGDANTPCPSPSTLQMAAFYTSLYMVGLAQGGHKPCVQAFGADQFDQSDPREAASRSSFFNWWYFSISAGTAIMLVFLSYVQDNIGWGLSFGILCAMMAFSLVVFLLGTHTYRYYSVFGSKQCRLVATACEAFTSWTSSWRKQKLTNPLLATSTLESRSHTDDEEQEVVITHAHLLEEAKSFICITAVVLLPLYDRALVPLARRYTGLSSGITMLQRIGTGMVLSLLSMVVAALVETRRLQVAMDAGLADLPDAPIPMSLWWMLPQYVLVGAAEVFTMVGLQEFFYDQVSDKLRSLGLAFYLSIFGIGNFISSGLISGIDRATTARGQSWISNNLNRGHLDYFYWVIAALSALDLLMYVFFAVTFKYKTKASVIVTRIY >cds.KYUSt_contig_1781.92 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:556622:562067:-1 gene:KYUSg_contig_1781.92 transcript:KYUSt_contig_1781.92 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKGNGRAFCAGGDVVEVAQSINKGGWKYGADFFRTEYLLNYIIATYRKPQVSLLTGIVMGGGAGLSIHGRFRVATENTVFAMPDTLLGLFPDTGASYFLSRLPGFYGEYVGLTGARLDGTEMVACGLATHFVHLNRMSLLEESLKRVDTSDPFSICGIIDQFSHQPSLKGSSALNRLEIVNKCFSKRTVEEIISALEQEVPSLADEWVTVAVQSLRKASPTSLKISLASIREGRLQTVGQCLRQEYRMICHVIRGDFSRDGFEGGRAILIDKDRNPKWMPPSLGQVHDGVVKKYFSKVDDPLWKDLSLPARLSHGRRIVPRL >cds.KYUSt_chr7.3532 pep primary_assembly:MPB_Lper_Kyuss_1697:7:21075671:21077636:1 gene:KYUSg_chr7.3532 transcript:KYUSt_chr7.3532 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLAQPPQSPTREVVVSAANRGSARDALIGESSGGDCIKESNSYLLVSPYSHPDLTSASWLFSMAASSSSPLEGSSSVASSTDTNTSQSKCLHHQSHGVLPNPSLPLHHDPSGRDIRACAIQQHVTSTGSGTDTGSMCTGTGTGSIGTRTDTGSILSGSISLGTGSISSGSIAPRTGSIAPRAGSITPRAGSIAPRAGSTAPAPASIAPALGSITPAPASIAPVPTTPPPRRMGMASRELCCRRIHEKPVVNTVNCLCTTFLQFNVGVNVEVANKVNAILEICGTTRVSNLVCH >cds.KYUSt_chr4.6844 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40453093:40453855:1 gene:KYUSg_chr4.6844 transcript:KYUSt_chr4.6844 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIDAELRLGPPGSGGDLAFGASAAQKKRPSSSSVAAAARSEASGTDDHDATPASKVQVVGWPPVGAYRKSTFQSATSTSTSTADKDKGAGEAAAGKRPGAGGGLYVKVSMDGAPYLRKVDLRTYGGYGELRAALDRLFGCFSSPDASAGQFAVAYEDKDGDLMLAGDVPWDMFICSCKRLRIMRGSEAR >cds.KYUSt_chr4.9887 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59740091:59740874:1 gene:KYUSg_chr4.9887 transcript:KYUSt_chr4.9887 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERAVASGVKPGGGGLATTMTKVVVAVDASEESLHALSWALDHVVRHHPGASLVVVHAQHPADHFVYPVAAHGLAYIPPTAMETMKKTQEENSRKVVARALEVCAQRQVVAKAAVVEGDPKEAICQAVEEMHADLLVLGSRGLGMIKSCLTFFVVRCFVALQGVAGQRERLPRPPCELPRSDREAPQSARQGNRKLYLKLLLNRLPSVDQNQ >cds.KYUSt_chr2.48967 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306410760:306423683:-1 gene:KYUSg_chr2.48967 transcript:KYUSt_chr2.48967 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMDLDDVVLSWSLPDITDHALYRDKIETIPCNFKSLDHYLGSYRVPLIEETRSDLCSCLELIREAPSSKILSMEVAGKSGSYLMDVDFWDNEAGFSTEAYAARNGDIFILSSMKPEAAEDLNRHGVTYCLAMVTEVSMDDEYQKGFRVKVTKDVGLEEDLNKLKHAIFLNNITTNMRIWKALTLDTHMNGNFTVIKSLLTPTNLVEDVCGICVKQDGGCLASFTEQLLSIKLNRSQMDAIESVISAVQCRHVNLMKLIWGPPGTGKTKTVSTLLWVLARLKCRTLTCAPTNVAVVGVCTRFLENLKAFNEDNGLPVSLGDILLFGSRSNMDMTDDLQDVFLDFRVDELVECFSSLSGWKYRIASVVSFFEDCASRYDLLLEDAGKIDPVCFLDFIKKEFHATAIALERCIMNLWVHLPGFCFSPDNVINISTLLNMLKKFGALLCDVELTDEGLRRGLGCLSTENSVCPQPISSIEKELDGARSTCLKLLKDLLHSLNLPTGVDKNWIQSYCLRNATLLFCTVSSSYRLHHMEIAPLDVLIVDEAAQVRECELVIPLRLHWVKHVVLVGDDCQLSSMVKSKVCKEVGFGTSLYGRLVMLKFEKHLLNIQYRMNPCISLFPNAQFYDKKILDGPNVLSSSYSKDYTCLPFGTYTFINVSDGREDKEGTGNSRRNMVEVAVVLHLIHTIFKSCRRTGQGLSIGVVSPYNAQVDAIKSRLGKKYDTCDGFHVRVKSIDGFQGEEDDIIILSTVRSNGRGVVGFLADNQRTNVALTRARHCLWIVGNAHTLYKSGTEWTDLVADAERRNCVFNATNDAAICKLILQVKQELDELDDLLNADSAVFSNTRWKVILSDEFRKSFTKLKSPQLRREVLQKLIRLGAGWRTTVKNLDIPGVSHLAKVYKVRDLYLVWSTDVEKTERRYFQIIRIWDLLSQQNLARTIQRLENLFSMYTDDCLDHCRRVQTLGKLEVPVVWDVEHDIIRYRKDCKVDAQEEHGIVDTSHAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEERVIIQFPFTSFILGRSGTGKTTVLTMKLIQKEQQSLIASQGLNFDGADLSVPLRNGEESFVKQVFITVSPKLCSAIKNHICKLKRFGAGDVSDQASILHMHDIMDDLQEFTEIPDNFCDLPHEHYPLTITYRKFLMMLDGTRRTSFFDAFYGEMKSSIERGHTKSRAVQTFIELKEVTYEKFATFYWPRFNADLTKKFDASTVFTEIISHIKDAYQASRPYTGKLGRQDYVMLSDKRFSSLNSEKRDRIYDLFLEYEGMKCSAREFDLSDFVNSLHSSLLSEGYSGDMVDFVYIDEVQDLTMTQIALLKYVCRNIKEGFLFAGDTAQTIARGIDFRFEDIRSLFYTAFLAENEAFNQGPKHGKKVHLSDMFQLSQNFRTHCGILRMAHSIMSLLYFFFPSSVDKLNPETGLVYGEAPVLLESDNDENAIMTIFGESKSKHGNLHGFGAEQVILVRDDATRKQIIDLVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLRNKWRVLYGYMKDKDIIAHSEEISHPDFDRSKHCLLCSELKQLYVAITRTRQRLWICENTDDYCRPMFDYWKKLCLVEVRLLDSSLIQAMQKGSSSDDWRLRGTKLFNEGQFEMATMCFEKAGDPYREKWARAAGLVSTADRVISSNLELGKASLQTASEIYESIGMHEKAAMCYIKLGDCKRAGMVYMQKCGNSRLEDAGDCFARAECWPEAAEVYFKAKCYTKCFSMCSKGKRLFNLGLQFMQQLEEEHSLENSKSLEVSAVRKKYLDNCAQHYFEHGDIKQMMPFVKAFSSMDHVRTFLNSRNLVDELMSLEMEMGNFLEAAAIAKHKGDVLLEVDMLEKADLYEEATRLLLLHIVVDSLWSSNGRGWPPRRYTEKEQLLARAKEMAEKVSESFYSFVCLEADALSDANKSLAHLTCTLLEGRKCTILLVELIASRLILDIHLQSRASGYNLELGPESEDENSCIDLLACNQISPQTLLYIWNHWKSIIIKVLSHLRHTDDPELKNHGNLSAKYFGLRKDGDADRYLVLNMNSSWISNAGRNSLQQDGNRCLLDASQCHSCAQYFWMNELSTVGLSVLKKLESIVQIPPKPASSYSLVKTILFINEIAKFLEEPEFSLPKSSMKLRSFFVLCERRFFELVFLVWRDGTSRSLLRLLDSPAAYGLIADSLGANLRPTNKNLTYGHLGRITMLVLHAARLDNALVSRLLKYLDNSSEWAEFFRSLKKFLDSGLDRSSLIMNYRVALDFTFNVKWKDEVDYISPMCFVGLMECLGFLASSYLLQKDFICCTKSLLLNMLECRTSKVYLDSSVLSDSSPDAELERLALSSGRFIYQTILGILMNKQSLQEWVQKTSTTSSSSSYKPVLLRLVITLYPLILTLSLGSCYEVTNNLLRCEVFKDLPLEFSQKIVHALQMRNRTPGNFIKVLADALAAIGDDMVIIGSPKGQTICRNVDAYMISKADISDVPKVMALLRPEEPSSAKQETPLPEKSDGNNVTSGKIPKAVRGNTMESTCEIDLSDENTPFWEKFENFQVNKQVQKDARIVLQFLRSALPWLEQTGFHAHLLEEVRHVCSEFEERSARLSPWSSENLSSLSKLVVINRKEKIASPTLQNLYSVWLDGENKLQAIIRFLHSQKASMKEGERSNGAAAVVQSHTDGGHRQAECSDDEDDNEGVAGAASTSTKTTQKQKSKKKSKKAKGRGRK >cds.KYUSt_chr4.27063 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170010578:170012425:1 gene:KYUSg_chr4.27063 transcript:KYUSt_chr4.27063 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPFGLLQARKLHATLLKSGHHAHAYRCNLLLGAYTRGGALADARALLQHMPSPTLVSYNTLLSGYASSPALLDAALDLLDAMPHRDSWSWNTAISGLARAGRTREALRRFQQMTRGTVAPDAFTYSIVSPCCGGDLGTARQVHARALKAGVLADACVGTGFVRLYAAELRAMDDARKVFDCMPLRDSMSWNVLLDCATRSSGEAAGSCMQDFLRMVGTGVRPDQFTFATLLNGFADRYAGLEAMQLHSLILKTGYLKDLFLCNSLLNVYGRCGHVDLAKNLFDAMIQKDVVSWTAVISGLAASGHQADAFGIFRQMLKAATVPNSFTFGSVVSSCASVNDLGSGRQCHALAVKHGLELVPVVASSLLDMYSKCAEMDDAIRMFGIIPQRDIVSWNAMICGLAQNGQSARSLDLYDEMLRGRHQESVTPNSVTFVGVLTACSHAGAVQKGCTYFTQMVTDFHIQPVSEHYTCLVDLFARAGWLDEAEEIISNLPFKHDAVVLGTLLNGCRKYGNLEMAKRIAKRLLAKNSDDESSIFLLSNMYIANEEWDDARDLRDAVISRGTRKVTGNSWIDVGGQVQCFRAGFSPDAQFEQTYDVLQQLRLMMVDADKLVT >cds.KYUSt_chr7.13480 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83123204:83125845:-1 gene:KYUSg_chr7.13480 transcript:KYUSt_chr7.13480 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVRTCRQGANQALSAWPSIDPPVRAREARRRMSAEWRLHPAASAPVSYPIGRGSTDAPGRPAKTRMGPKGPGSGRGTPPHPRSAPPPIGNGNAGSPPVAAPPEDSRRCRSHPCPRSTAAEGEDPAPPLPTHGEEPLRRRRHRCGLCPAACAGGGGWGRCYDCKYFSHYCTVTLFLLFAPAVEGSGVNGVIYTVEPLDACSPLSKRAVEGPISPFALILRGGCQFDDKVRNAQDAGFKAVIVYDNKDHGVLVSSNVP >cds.KYUSt_chr7.3721 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22174690:22179230:1 gene:KYUSg_chr7.3721 transcript:KYUSt_chr7.3721 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVVGVAGGEVLLRRLAELKNMKNNSRRRLGSGELPVNSGAAEEELGGGAVREVKRGANERAEHAYLHVLKFGGHALHSVSNHLKLSAVMASMATNENLPPTVIRQLAKELKNLDDSPPEGIKVIVNDEDFTTIFADIEGPAGTPYENGIFRMKLILSRDFPHSPPKGFFTTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYEDFARHARLYTSIHALRPKNKSKSSAALNKDQPHTILAPISTSTATKAFGLNSQDQNAAPSTVLGGASAAPKKDGPLSVKVPVDKKKMDARKKSLKRL >cds.KYUSt_chr4.50337 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311834838:311841868:1 gene:KYUSg_chr4.50337 transcript:KYUSt_chr4.50337 gene_biotype:protein_coding transcript_biotype:protein_coding MRQERWSGGGPDIVSTGFSAVASATGVRWIWVGLLSATDAVRRQMSSLPHQMSSTPLTMAASLWPGSLPMDGPLRLPLLRVHHPRLRLRRAGAQEAVEPELEESADVCMPQELVSALTGVQGWCSCRREHVRAFKEVQCGIPWRLWWHSCGTAAGGAGSAAEQVRVSQEPVRAFRDVQSPSVQVGRCCLPSYQRRLLRPVVPIVNNVVNNNVTAATSSATSPSPPPPDRQFHELTMAGFADALRPDKFTGVHFKRWQVKATLWLTHLKVFEVSNGLPEGTISDQDQNKFKENNTLFVGCVLSILADRLCDVYMHITDASLDVEEKARAKDNTEKGEGQSSANMVQKKPYNKNEGNNKPSFNKPMKTTTFKKKKMINCYHQNLTESEVGRDQDWA >cds.KYUSt_chr1.33719 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204890790:204891202:-1 gene:KYUSg_chr1.33719 transcript:KYUSt_chr1.33719 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSPNAKADRQTAAAIAAAAALNPALVRETLKKMDRCMARLQELQYTVAGGAKVVSGVSLSPRSTRGYLRTSIRCKQETVRQASPSQSHPVPSDHNWDFTD >cds.KYUSt_chr4.49783 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308354170:308355642:-1 gene:KYUSg_chr4.49783 transcript:KYUSt_chr4.49783 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSFILCFLHMPQSLAHFQPQQHHYGLKVLAPVVVQHFKNSLIQARRMQEVQPDMDRQVAELRGELRKVREERDRAHRVLEVTEWKALESANDRTTIETLEAERDASRESESRMLESLSLQTKQLEITMMELEEARLEIASLRDTVQSIEASACAAAAAKPRGRYDRDLQRVSGELRVALATEEKSKRAMEELVLALKEVKAELYATRQQLARAQREAETARLESDRLHVSVKRKDDKLRALSDEVARLRADAEESAANWRAKEAGFTACMKSTEAELAESRRENSRLLESQRSGRNEVAKLRDILKHAVKDSKLVKEELEEAKSENAKLKEMVGNKDNAVKCTKQELDCLRVSEAAARDSVKELQSLLVATSSSPTASVAASTGRSSDLEEEPSSPQLGMDHQLPLPAGCENRLSTDAKVKPPDGMVLPRQMSENFEGSVYDIFGTVDEQKGEQLGVFTRMPSLPGRRRVVMRRVGSLFRWKSFNNK >cds.KYUSt_chr5.33578 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213082509:213083825:1 gene:KYUSg_chr5.33578 transcript:KYUSt_chr5.33578 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAAAAASGSASDASDAEPDAPHHHHPPSDTDPSNPPPPNPNPKSSAAAAAAAAPSAPADSAPARSDSGGSDSDSDAAARPRRPAPRPAAPSPKPRPRSPGINSDSYDSHAAAGFDSDLDPAAGADSDSDLSPPRPAARHNPRAEAAIVKPISSRPMDPPRRGGAKRPRSAAVPAPPPQPPSSEKRPARLWSPDDELVILRGLATYRAKSGVLPGSTNDIGKLHGHIRAHLSVKVSTTQLSDKVRRLKQKYQLLATRARSGRDQELHTPHDRSIYEHAKKVWGTRTTTDDDDIADGDSEELPATENSDDDDGIDSGRDDRYRIKNRKLMPIAMANGHSIRRAPPIPPNGRTKTEFEKGKDAYPYLWETVEELAREHPSGAAFRKAFEVLEGSKAQVMEDKLRKFRLTEIRQQLRRMDLMKDTVRMVLDALERAD >cds.KYUSt_chr5.16922 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108958651:108961579:1 gene:KYUSg_chr5.16922 transcript:KYUSt_chr5.16922 gene_biotype:protein_coding transcript_biotype:protein_coding MHACMDGRLSQWRRPEFVWTGPFLIIVTAVAFNAAGIAALFGHGFTAAAFNAAGIAALFGHGFTAVAFNAAGIPALFGHGFTAAAFNAAGIAALFGHGFTAAAFNAAGIAALFGHGFTAAAFNVAGIAAPFGHGITAAAFNTASFVSAPALAAASFFSIIANAAATFPVDAAASFASAASSASSYPTPTSPTTPGAFELAHLALLALSAGLRPHAIVPRPASPRPPAPISKHQQPIRLTAGSSSARYVSARPHPASTTAGCAQGQLRLGCSTTARPRRPLRLRPQTTAPPAARLVDLHVAPRVPCRPAPRASASTLATRAARASTGCAHQQWPLCSTSAPGPLQEAASSAAPTAGSRHGRLIAASCGCATTDLADSDSVRCQLFLGCAAPCAARASPRPALAPPGSRVGRTIYGSPRLARPPAAPQHGHLRLLHTRSRPSRLRPRRGWSASVSLRPPPPAAAPHLRVINDSPAAPAPRVLAVPRGCTSPTRGPGSSRAPLGSPRPACSGDAPPAAPVPAAA >cds.KYUSt_chr3.34365 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215570298:215571317:1 gene:KYUSg_chr3.34365 transcript:KYUSt_chr3.34365 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTAADDEADGGTVKLKPREEEGGAENNDPAVAGVAVADGTVDAVVAETEANVGVGAAKDAPKRELADELAAAPVLENIEGADAACEVAKEKPLAGVDEAAGALLENEKAEAEGADENREDVVLPDDGAKPNEGAEAVVAGDNEKPRDGAVVAGDEAAVVPNRGAGEVDPNKVEPVAAPNPRAGVEAEVAAVLDAAGALLKPNDGVGAVVAPDADEPKPKPGVDAAEETAPNRLGVVAAAVVAPKIPGVVAGVDAAPKRLGVLAADEVAGAPKRPGVVAADEVADAPKMLGVVAADEVAGAPKMLGVVVVVPNMVGVVAAAEVAATPKRGEADEEGG >cds.KYUSt_chr2.6213 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38724610:38725233:-1 gene:KYUSg_chr2.6213 transcript:KYUSt_chr2.6213 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFSESEDAFSDGSNGAHIRITRARPSSPSASNGAVAPHVPRVIRTAAAASRSTAASRPGYSPKVSVDMAVAHSWAPYTAVVSTLRSVSLLALKGSVREEAREAVAGLYAHPTPFGAAQRFPAGEVYVCLDRSPLARTMHGIQESLMKAEAGYGDKCVSACSSCIYGIGSALEELTRATRDDDGGAPVLYDREVFESAFLLTWTEP >cds.KYUSt_chr4.51065 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316513319:316514987:-1 gene:KYUSg_chr4.51065 transcript:KYUSt_chr4.51065 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSFPLPCLVIDHDGAGGEQCTTVLDISKAEYQYRTCNDIDVLRRNNRRWATPDGWVLSWDPSTLSTFLWSPQTSEKIVLPPLTQEITRYSACSLSDKPTRNAGFTVVVVEPEDTVIWYCRVGGTTAAGSAGWVRYEYDLGSVMVLGLGPIKGRITRLTACRGRLYFVQSIDELGVLEFAPEPVLTCLSVPAVKRPAAMTSMAVSCVELDGELYLVSAFLHSALGVDTSVLGCGVYRMDFAARRWRRVRSIGDRAFLMCRSHFGGWCAATGSGLRPNCVYWMAPCYNLNLLHVFDISGSTYEVHDPFIGFLGVSGLCRRFGRSAPSLKAELRIPAAGSMKLLGWLLLVLLLLRLEGRRSVGYAAFPNNLVAPDKGVKWDPTFVPLVV >cds.KYUSt_chr2.54675 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341093373:341096196:1 gene:KYUSg_chr2.54675 transcript:KYUSt_chr2.54675 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRPLWRSAPLNLHVDYSLCQDGSKRCSIVSKILSNHLAPTRRFYFNDLIRHECVHLYKEAKRYTEDPAAHIESWLCSGALVNLEELDISFGILKCTRKTCFRPLPPCVLRQCASTVVVARIGFCSIGKEMPPWPCFPFLKQLTLRFVSISEDVFLGMLSSCHALESLYICKFYDVGCLRVSSPSLRSLGIGRLYLEKEEELIIEDTPFLERLLLCSREVKGVIRIIRAPKLEIFGPLWCCISRIEIESLVFQELIPASLSHSICTVKVLALEYSAPCLNVVLDVIMCFPFLEKLYVTWDKFLKPGMKSVRRYDPLNPIRCFQSHFKILVLNSYAGGEQDVDLARFFILNAKVLKAITFLVKGVIDKVWVDDHCRLLEVETRASRDAKIEFRRESGYRGLNAHDLSIDDPFSVSYGVYRGNIV >cds.KYUSt_chr1.3566 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21715523:21726312:1 gene:KYUSg_chr1.3566 transcript:KYUSt_chr1.3566 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAAWPEPVVRVQSLSESGAATIPDRYVKPEHDRPSPSDCSSSAASASSTTNSNGVIPVVDLSSPDARRAVSEACREWGFFQAVNHGVPLDLLRRARAAWRGFFGLPLELKQRHANSPATYEGYGSRLGVERGAVLDWGDYYFLHLRPPSVLSAADKWPVLPPDLRDATEEYGREVAALCGRLLAAMSSGLGVGEGRLQEEFGGEEGAGVCVRVNYYPRCPQPELTLGLSSHSDPGGMTVLLADERVRGLQVRGHGGEWVTVDPVADAFIVNVGDQIQALTNATYRSVEHRVMANAGAERLSIATFYNPRSDLPLAPMAELVSPPERPPLYKPMTFDEYRLYIRRKGPQGKSQVESLKADRH >cds.KYUSt_chr3.31863 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200118400:200121639:-1 gene:KYUSg_chr3.31863 transcript:KYUSt_chr3.31863 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRYIPLEDEDVDYENCDEDNPLGIPKYCSKEEERRKNNKKTKKKTLPVPQHQIDLVLAYKRIPGLHMLAVADSDPSLAALMEEAHESILARQEIIRQEVEATGRATYVAEVTDSEGEEATAHQTTLGGSQVTDTEEEEATAPQATLGGSQVTDTKEEEATAPRGDLNGDGGATAACLSPTVARPGALCTVPSPSEGMGRSFGRFWALAVSDDEVEGEDPCRAVEVVAEAGSGDSPSAEGRRRPVTSVTLEDFILRAEELGGSLRHRRRRAFAPGGKGSRFSAGVAPRFARLGDAGGRRGGRVSSAAASSAVRGLVSGDRRLGTRRDAAAATAPPEAGSDGERSVSLPSAACRSGGLDLGRPASAAVPGPEEFPQLPEERRCGGASPDSPSTLFAPQIHRRSFGLLRRMAMGGEGRNKRRLDGDGGDGRRLEGGGGGGAGYWQEGDSSGGGGGHRLEQGGGRYGYGTNNWQGPGYGGSEGGHRQEGGGGGSFRQESGGFGGGGGGGFFRQESGGGGTFRQEGGRAYGGGGAYRQEGDSHGHGGGGSHRQEGGSSFRQAAGGGGSGQFRPEGEAGHFQGGSGQNGFRPEGQGSGGGGGYQQQEGWVQPLASHAQQRREAQRRPAGENRARFPNAGRQGPAAGKGGAGKHKGKAGAAGLAGAAADAECFRCGHPGHFQTSCTFEPICVICGGEGHASANCTSRGKFLRLQTMGHAIAGAGFFAIEVDPIKGKGTGESFTAVIKFKSTPLSPLQISDEFKDLVDEQWDWQVCRLSETEFSVCFPSQATLRMGTRHGKLFLPLNKVEVEIREAVLSPKPTLCMPPVWVQLSGVPDDLLEVDRLMAAMVLIGRPLEVDELSLRKCRTEPIRMRFQCRYPDRIKGTVQLVVNGEGYDITVKAELGGRGGGTSGSGPAPSPPRDDDQDDEDYDDLSPSEEEWNDLGNKDKDKTKQAAAAKSPEAAKGKERAAEVPGGGTGAGGVPQRPSAWRRGVEAALHL >cds.KYUSt_chr3.40987 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258718152:258719432:-1 gene:KYUSg_chr3.40987 transcript:KYUSt_chr3.40987 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKAEAGGERPPSRGRATSRAARHHNASTQREEPAVPAAVAVAVAAMREGGVGSSSTAMAARPRRVPNEYVTFREAGTSEASVHHQGGPGHGGGADAVHDSSAMPPPTGMPYYIPAWQRRRERPPEERRPAMRPVGAPPLGPRATTKIVERVSTIKPDDDGGHGGADQAAPLALPPGHHVGSPPPHHQGHDRPASHAPRLPRHHHPWTPTRHSEGKSPVILSPDRRKKRRRPVAFCFTLCCILFWLAVILIGAAVLTVYLVYRPQPPRLRLTDASLNAGYVDDLTVPGGPPRGLALNADLTVLAAITSPNTKINVVLWYMQLDLYFEGHMIGTQTVRPAPVRESPGGYVLRSVDIVVSEVPISRQNAYAWRNATTHGGPVVLQLAGRFHTQLNFGHWLPYRYWVNPRCTLWLDPPPNGRLRRARC >cds.KYUSt_chr3.4044 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23002389:23007120:1 gene:KYUSg_chr3.4044 transcript:KYUSt_chr3.4044 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLPKLGALLGEKYKLPKGVKKEIASLCDEMSSMKAVLVELAKMDGELDAQHKAWRDEVRDLSYDMEDCVDTITDDLDCAGARALSLNMITTLMAAHKIAGQIKGLKSRVVEAGKRRDRYKLGERAGASCQSPVAIDPRLCALYSVSDRLVGIDGPKNKLMELLRAEQHGARALKVVAVVGFGGMGKTTLATQVHEKVAGQFDCAALVSVSQNRRMNEIFSEILSKVGDSVPSCLTDEHQLISRLRAQLNDKRYLIVIDDLWTIEAWDTIKCAFVENSLASRVIATTRIEGVAQACCSDFDGHVYKMKPLSDLDSRRLFHRRIFPSQDDCPEKLKDVSTEILKKCEGVPLVIFSVASILASQKEVHSKELWENIKNYLGFQLAQNPEIHLMRHVLNLGYINLSLDLRTCMLYLGIFPEDSKIMKAELVKRWVAEGFVTGKHGYSPEEIAEYFFNELINKNMIQIAELDDCGHVLSCRVHDIMLDFIIVKSTEENFITVIKGSPAVSDPPCMKGHLQARRLSLQFGTSEGNKDLLRSMAVTKARSFSFWGPAQRMPSLTSFQQLRVLHIDACGSRNEQYDMPPICIFTKLIYLRIRGIGCKKLLTQLWKLRNLKTLEIVGDDIRDSLHLEQLPTTLSHLTVPHTMQPVGKISRMLALRTLGELSIDLKDVKNIRGLGDLCDLKELKLVLRRGISKEACNDLAPSLCRLGRLQSLTIRMYGSLETDDVLACWSLPSRHLRRLHVLGLPFSTVPQDLVGHLDNLRSLKIHVFSLPRDGAEVLARLTLLVHLTLHVKKYVHEERVVFRTASFPNLQDFVFRYEEVCLVFEAGAMHKLQSLTVECYEEAERHAGELLDGIVRLGSLVSFKAIFYKKERKGDCIILQSARTGNASFQSYAPPQPKFWDRDSLEAQLRKAISKHPGTPHVCTQSV >cds.KYUSt_chr7.17485 pep primary_assembly:MPB_Lper_Kyuss_1697:7:108324464:108329917:1 gene:KYUSg_chr7.17485 transcript:KYUSt_chr7.17485 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAATELARKGVTLLLLDVPNHTVLGVDTQVIVRKWHAQEERLVTISEEEARKLKTLLETTFVWNLENSAASLIDENDEFAPVLVKTDGS >cds.KYUSt_chr7.2521 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14754477:14754680:-1 gene:KYUSg_chr7.2521 transcript:KYUSt_chr7.2521 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWASMADNDPLKRGSSSSPLRRMSPTTMAMGGLLVVGTLGYFMLSKDDRRKAADDRHNERLAHRP >cds.KYUSt_chr3.25224 pep primary_assembly:MPB_Lper_Kyuss_1697:3:156691781:156697866:-1 gene:KYUSg_chr3.25224 transcript:KYUSt_chr3.25224 gene_biotype:protein_coding transcript_biotype:protein_coding MRACGPWGEGGSGAVGDALEREMSRDGSHYSLSSGILPSLGARSNRRVKLRRFIISPYDRRYRLWETFLIVLVVYSAWVSPFEFGFIRVPKGGLAATDNAVNAIFAIDIMLTFFVAYLDRLTYLLEDDPKKIAWRYTTSWFVLDVASTIPSEFARKILPSKLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLLADRYPIPKETWIGNTMEDFHQQGLWIRYVTSVYWSITTLTTVGYGDYHAENIREMIFNIFYMFFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATSFALRNQLPPRLQDQMISHLSLKFRTDSEGLQQQETLDALPKAIRSSISHYLFLHLVQNIYLFQGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVELLELQNGAEHGAEQVVGVAKSGDVVGEIGVLCYRPQIFTVRTRSLCQLLRMNRTSFLSIVQSNVGDGTIIMNNLIQLLKDKKEDGVMVGVLKEIENMLARGRLELPITLCFAVTRGDENLLHQLLKRNLDPNESDQDGRTALHISASMGNEQCVKLLLEFGADPNARDSEGKVPLWEALYAKHDTVVQQLVNGGADLSLGDTGLYCCIAVEQNNIELLEEILNRIPDANRPSKDGNIPLHRAVCDGNVEMVKLLLKHRADIDKQESSGWTPRALAEQQGHEEIQQLFKQQPAPRKYNSNGRVAPMLLGRFSSDPSMQNVIRDDTEPPSKVLTQKLGRRKVSFHNSLFGVISSPHPHRDTDHLLSRGLAATGGPSYRQDHHKPLIRVIISCPEMGNTAGKLVVLPRTMQDLLQLGRKKFDVMPTKVLTFEGAEVDEIELIRDGDRLILASDNWVPDVTQTR >cds.KYUSt_contig_1467.38 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:300895:307966:1 gene:KYUSg_contig_1467.38 transcript:KYUSt_contig_1467.38 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAGAGDYSASYYPPYPASAPAPAPPPPTFHPYDNSASAPPYSPYPTHDYPPAPAPVPYPTYPPPPAAAADHLPHHYAPPPPTTAPPQPYYPYEPSPLPSQHHIPAPSPSPYPSLDRPTTYGGYGSGDGYAPQQGQLYPAPPPPPPSAGGWSDDGAYAYRGGDAPEPYGARGTAPRSGSGSAAAALFDDYGRSIGPGGKERGAGGGAASPKVVRAVPKAETSEDVRGGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPNTSRTLRIYSLENVTRWDVLDSSIFAFWSKSSVDHEARRIRLKSSSYTTNTILDTVTAASVQFKEMGGSSISRSKAVSDAASPSEPQTEKRKNFLDWRNLMKPMIEEKDHWVPDEAVNKCTGCAGDFSAFNRRHHCRNCGDVFCDKCTQGRTPLNSDADSQPVRVCDKCMAEVSRRLNSAKEAANRPIVHSHEDLAKKLQEAMDINKKTSSGPGIGFRDNRVRRMPTSFPRQLSLNSTVPSSVSGEILAPMKAAASIDILKRLQRQAFYDIMQLRERQEKVEKVLTLFKSSKIGPFAEDSTRVKGLVNFSGALALKNKQATAPDTSEANPGISSQFAFHTTVRKKDSLVAELATDSRFLFQENDLVGSPLVLSKVMYLANVNDNFSVAAVPVGARCDDFSTDPSTREGHWLPSFRSSLRPPLLRKRHQHAAGLILKSQNFAASLAELVSTAMKSSSDEASSIFTGFGQISCRIHDEMKLTMSAAVHRPCVIPRKRKPTAGGCVDLELKLDEDSRIGAWVEVKRSNPKQLKWAVALSDMPDDDLGWGVSVRRGCSEGAPEQIQLEGFLNLHLGQKATLQPGLVFSLDGRRCAPSFVLQSSWFL >cds.KYUSt_chr6.10600 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65664089:65667562:-1 gene:KYUSg_chr6.10600 transcript:KYUSt_chr6.10600 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGVCGHYHKCEEGEICGVCGHRPAAADAVAPARLDSAFPSEVLPGFLFLGSYDNASRSEVLKTLNITHILNTVPDCHNLYRNSFTYHCLQDEKTLDFDGATQFLEECERGQSRVLVHCMSGKNRSAAVVTAFLMKSRGWRLAPSFQWVKDRRPQVQLTEASQSQLTEYEQKLFAPNAGTLAQTAVLTESFPSLGFGFPKPSGDIQVPAFNQTAVPSIFERAGPNNVPSNFTFGAEGSMGVNPAGNNNGAVAPTSTDNQMDSS >cds.KYUSt_chr6.20303 pep primary_assembly:MPB_Lper_Kyuss_1697:6:127929924:127930298:1 gene:KYUSg_chr6.20303 transcript:KYUSt_chr6.20303 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSRGKTDGAGSSFCLALNQRILPRLQLAGVLGRHPWQRRSRIHGGDEHNQLSGSIAAETVRHRMRKMKSRLGQCKLRLCPSGILLLVVINCGGRGNRGGRLLDFRMGEIPIRSDFGSGMRI >cds.KYUSt_chr3.38131 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239930786:239931649:-1 gene:KYUSg_chr3.38131 transcript:KYUSt_chr3.38131 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAYVRTGGVVALICCVLAIGADALEPSGWVRAHATFYGGSDASGTMGGACGYGNLYAQGYGTRTAALSTALFSDGAACGQCYKLVCDRKTDKTWCKPGVSVTITATNFCPPNWDLPSDNGGWCNPPRPHFDMAQPAWEKIGVYRGGIIPVIYQRVPCVKKGGVRFTISGHDYFLLVLPTNVAAAGSVRAMDIIASKSGGDWMSMTRNWGANWQRGGYLNGQTLSFRLTITDGQTIVFSNIVRSSWTFGQTFASNLQFK >cds.KYUSt_chr7.2005 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11463809:11466154:-1 gene:KYUSg_chr7.2005 transcript:KYUSt_chr7.2005 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAGGATAPLLLLLVVAAGAAANDQIFTTSGVPFGKNSREPRYRVEFHPADAPYHPENGQESEPMTSHEGKHYTCYLPVEETKTMKSIVPQNATNVIVESERKVKPKEPDELLEILKDQCFYRHEGWWSYEFCYYGKIRQVHVEGEKVIQEYVLGEFDADATDAYHENRTSESEDEDHVKDTSKRYHVHLYSNGTVCDLTDIPRYTEVRFVCSEPTVLISSIKEISSCKYVLTVQNPMLCKNPLFQQEKRTFFIHCNEVLAEVDTTEDEDSLPKEAQMSIVSDPDELHSYAAYAT >cds.KYUSt_chr6.4308 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24927180:24927575:1 gene:KYUSg_chr6.4308 transcript:KYUSt_chr6.4308 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAPVLLVLAFAVVTAHGCSKTDLCANNECCSQWGFCGTGGSYCGTGCQSGPCYRTILHAVLGEPTCGREAGGRQCPGGDCCSQYGYCGTGGAYCGFKCQSGPCYGAKLPAQVAGAVTEALINQVVPEV >cds.KYUSt_chr2.55298 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344735572:344736171:-1 gene:KYUSg_chr2.55298 transcript:KYUSt_chr2.55298 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGPESIARRTLALTDEWHEVHAEQLNVPTARPRERWCPPEEGLLKFNTDGSYTLTGKYGGGGVVVRNHNGCFVAGASHFFPNAIDPEGAEVMACKRAAELAKEIGCRGFVLETDCANVVKKLNEEGRDRSVLGPLIEDLKSTLRDFDQVKIQAVRHTANVVAHKLAREGCSNNVCKTWVSQVPDCIVLVLSDDLIDA >cds.KYUSt_chr6.25834 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163700071:163701361:1 gene:KYUSg_chr6.25834 transcript:KYUSt_chr6.25834 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRERLVAPVRRAWLAVARRARNGGSTGVVDLHRDVQTCGYNDVQVMWNMLNSENQTYGFRPPAPEKPRRRQAFCWRPSFSHLRRPHRAAAVS >cds.KYUSt_chr1.6402 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39460074:39461523:1 gene:KYUSg_chr1.6402 transcript:KYUSt_chr1.6402 gene_biotype:protein_coding transcript_biotype:protein_coding MASPILPAFTVRRGEPVLVSPAAPTPTETKALSDLDDAEGMRFYSSGIHLYRADPSKQGVDPAAVIWEALARALVPYYPLAGRIREEAGRKLVVDCEAQGVMFVEADADLTAEDFGDVQSPPFPCFEQFILLEATTVAGVEPVIDRPLLYIQVTRLKCGGFIFGQRFCHCMVDAPGGMEFEKVICELARGEPGPSVPPAWGREMFMARQPPQPSYTHTEYSEPAGGADRMLSTPAGDMARVPFFFGPREIAGLRQRAPPHMGRSSRFELVAACIWLGRTAALGYGADEEVRLSFIVNARGRADVPLPEGFYGNAFAFSVAATTAGELCAGGLGYALELVKKAKSAVTYDYLLSVADLMVLRGRPLFALSRTYIVSDVSHAGFKSVDFGWGEPVYGGPAKGGEGPLPGVTNYFSRSKNGKGEEGTVVPICLPKDAMDKFQLEVQGLTAEIV >cds.KYUSt_chr5.13493 pep primary_assembly:MPB_Lper_Kyuss_1697:5:87716630:87718427:-1 gene:KYUSg_chr5.13493 transcript:KYUSt_chr5.13493 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFKLMAWCLDPAMIPREVDLHVVEPDEPPSAADMAAPAEAVVPPHINTLAYPLLIHVTRTVDFRHPALRDGNDAGGHAGGFDGGRGTGWPARRQYPYTPGVPDVLPGAGAGGDIAPAREAGGGRGGAASRTLASGVVVGPRDQSTSRSTGKRKGRKRGGRKVRERRAASQAAVLGAGNQAAAAGEARALCSAEPGADVAEPLVGAATAIEDDTEGGTAHAAHAGAEVRVGSLVVLPAAASLVASRSLPSVQVAGHAAALTTGRPLEVLMGPFVPRSALGLDAFAGHAAEAQELVGQTDEGSDTGGASHVLELAVISSSPEAAATGGTVDADEDDVDEEFVGASDPTTPVLELALDVTTTPTAHGDAACPDLDEEQVEEETVVVWPPARGIRDEEQVGQEIEADLPPARGIRDEGQVTSPLVVTPTVCRFASPPLVFNRSRPPARPRTLGEFLAAAKSRSDALLHTPAVRRRLVELNFQPRRSSRIAGQPGGLNSEMKAVRNLMRKLGLLKGDEAPSLAALEAYHKMYELPLTDDMIEAIAEFYGWSMDTIRGCSPPLLGLSGGRLIEA >cds.KYUSt_chr4.49712 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307788661:307790779:-1 gene:KYUSg_chr4.49712 transcript:KYUSt_chr4.49712 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHSRAVDPYIQRIRLQILAIRSGREDGESADTWRTRRPWWLRRKYGEAMALPRPPIHCPHTPGSDLNLQRYLSPSSFLQPPNEGVPEAPSPCPSPWVRIRLLQLAGDTVDFWLLLTAAQLQVGIMHKTDVQSCHGVKVWS >cds.KYUSt_chr1.381 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2053008:2053666:-1 gene:KYUSg_chr1.381 transcript:KYUSt_chr1.381 gene_biotype:protein_coding transcript_biotype:protein_coding MDERFSTSGIRYKIDRYEIDGAVAAPMALTKLDYRLLDLYKEVTELVGIDGGRDGELIKLLDKDGDVSENKLKVVSVVGFGGLGKTTLVNAVYNKIKSDYDCSVFVPVGQNADVKKVLRDILFDLRCTNENLQLMDVRQLINEVGKLLENKRLT >cds.KYUSt_chr1.7585 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46557218:46557673:-1 gene:KYUSg_chr1.7585 transcript:KYUSt_chr1.7585 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAGGPNGGGGGKMVSLRLQYYCVFAAVGVAVIVLSLTFLSPSAMGAVRQNLGTVVANSGAGERQARQAVAAVVAKPEPEPEAEKVEEKKEPPVVLFNFGDSNSDTGGVAAASTSCHPRAAPTSATPPDASPTAASSSTSSVSCSTTTS >cds.KYUSt_chr3.44024 pep primary_assembly:MPB_Lper_Kyuss_1697:3:277874235:277875348:1 gene:KYUSg_chr3.44024 transcript:KYUSt_chr3.44024 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRAAAYVFTVALALGVLASIPAAVQSIGVCYGVIGDGLPSASDVVQLYRSKGITGMRIYFPDSNALRALSGSGINLVIDTGNDQLRNLAASTSNAAAWVKANIQAYQGLTIRYITVGNEVAGSDTQFILPAMKNVNAALSAAGLGGIKVSTAVQSGVTQGFPPSKGTFSSSDGSHMPPIAQYLASTGAPLLANIYPYFAYKGTPSIDIKYALFTAPGTVVHDDGSGKDYQNLFDALVDTMYSALESAGAGRVPIVVSESGWPSAGDAAATTGNARTYNQNLINHVGNGTPKRPGRIETYIFAMFNENRKTGLETERHFGLFNSDKSPAYSINF >cds.KYUSt_chr7.24752 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154313602:154319689:-1 gene:KYUSg_chr7.24752 transcript:KYUSt_chr7.24752 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLLTAQEEVSNKRKKDKKSKKDKKRKLAAEAEDEAAAVEDAAKRSKKRDESDQGGAVEKSVAVTGKGFDDPKYAPLKSFAAAALPPKVLDCCKGFDKPSPIQALAWPYLLDGRDFIGIAATGSGKTIAFGVPALMHVRKKLAEKGAKKGMPRCLMLAPTRELAQQIADVLTEAGAPCGINSVCLYGGTSKGPQISALKSGVEIVIGTPGRMKDLIEMGICRLNEVSFVVLDEADRMLDMGFEPEVRAILSQTSSVRQMVMFSATWPFAVHQLAQEFMDPNPIKVVVGSEDLAANHDVMQIVEVLDDRARDSRLVALLDKYHRAQSNRVLIFVLYKKEAGRVEAMLNKRGWKAVSVHGDKAQHDRTKALSLFKEGKCPLMIATDVASRGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFMQENKGLAGELVNVLREADQVVPPSLMKFGTHVKKKESKIYGSHFKEITADAPKSTKITFGDSDEE >cds.KYUSt_chr5.13340 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86943445:86950032:-1 gene:KYUSg_chr5.13340 transcript:KYUSt_chr5.13340 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPRDPAHHDPTGLETMKTFDSEGNQNGDALDPRIRSKNVRGVEANFALKLANNPVKRSKLDEHKVAMLGKKRARQTVIINDEDAKQAGTGTISTPRRQSRGIGEGAAESQNQLLIRDQRQAERMGAEWSNSAAPDDQNTESNGDLDLASQDWSKKMNAEESPSDGYEQSVPRQFRQTMDSNQFKGRPVSSQRAVLTGQNTSDQKPANKRSLVSKKQASVNNTQYHDTSIERLLQEVTSDKFWHNPDESELQSVPGSFESAEEYIRVFEPLLFEECRAQLYSSYEESLEAVSRDAHVMVRVKTVERRDRGWYDVIVLPAHECKWTFKEGEVAILSFPRPGPVAQSSNNSNRKTFGSNEDTESLCGRLVGRVRRHMPYDTRDPIGAIIRFYVGNPSDSSCGTDILGKLQPQSTWYLTGIGSLATTQREYVALHAFRRLNVQMQNAVLQPSPEYFPKYQEQPPAMPDCFTPNFSCHLQHSFNGPQLSAIHWAAMHTAAGTSSGAVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQRYYAALLKKLAPESYEEIGDSSANSSETVAAGSMDEVLQNMNQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMRVYRPDVARVGVDSQSRAAQAVSVERRTEQLLMKGRDEVIGWLHQLKGREHQLSQEIAILQRELNMVAQAGRSQGSFGVDPDMLTQRDRNRDILLQKLAASVESRDKVLVEMSRLLILESRFRAGRNFNLEDARASLEASFASEAEIVFTTVSSSGRKLFSDLSHGFDMVVIDEAAQASEVGVLPALSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVVNLPDEAYYRDALMAPYVFYDMSHGRESHRGGSSSYQNVHEAQFAFRLYGHLQKFLKANGGRRASVGIITPYKLQLKCLQREFAEVMNTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVIGNANALMQSEDWAALVADAKARKCFMDLSSIPSDFLPMNNSSNTRGMNFSNNTRNMRASGPRPMHFSMLSEPRIGMRSGEDDQSNSFPRNGSYRNPDVRPGDRRFHFESRPMAAGEYGHFHFETAMAERGEGGGDIGGSRNKIGNNVPWISQTSVEIQVEYVVVELGFTLIFPVI >cds.KYUSt_chr4.10457 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63444812:63454599:-1 gene:KYUSg_chr4.10457 transcript:KYUSt_chr4.10457 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDGDGGGVDGGAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGLLPKNNIGFLCMNKVDEVAFEAERSGAEEEMAGKGGKGLLAAKTTAAKTAEKDKGKKAPISRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >cds.KYUSt_chr3.27303 pep primary_assembly:MPB_Lper_Kyuss_1697:3:170486361:170487150:1 gene:KYUSg_chr3.27303 transcript:KYUSt_chr3.27303 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEDLDVVLVPLGLAVVAGYHLWLLYAILRHPTRTVVGLNALARKRWNTEKNGVLAVQTLRNNIMASTVLATTAITLASVISVFIGATAGRSPTSPSSSSSSPMLVYGSKTGQVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPEEGAAEDFAAYVARTVNRGSHAWSLGLRAFYVSLALFMWTFGPIPMLACSVLMCAALLPRHHQGLCQGDTAYAP >cds.KYUSt_chr2.38822 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240655959:240656348:-1 gene:KYUSg_chr2.38822 transcript:KYUSt_chr2.38822 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTARPPRRPATLTLLLLLLLLSSPSLPFSSADSPPSTPAPRHAQVASDDADQLPSPPRHGGHHHHHHHRHPPPPPPKRRLNFGERLGIAFAGVAAAMQLLLAAFLALRAWQLRRLDRAEVSSSTPLT >cds.KYUSt_chr3.46197 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290733443:290736442:1 gene:KYUSg_chr3.46197 transcript:KYUSt_chr3.46197 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGPGQLNLDEFPSWGSRGVDCFEKLEQIGEGTYGQVYMAREMETNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPERDEQGKPIDGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMKQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGSTRYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLTKIFELCGTPDEANWPGVTKMPWYNNFKPPRQLKRKVKEAFKHFDRHALDLLEKMLTLDPSQRMPAKDALDAEYFWTDPLPCDPKSLPSYEASHEFQTKKKRQQQRQAEEAAKRQKLQHPPPHTRLPPIQHPGQSHQIRPSQHNAPPVAAGPSHHYAKPRGPGGPNRYPQGGSGYNNQNRGSQGSGYGSGPYAQQGRGGPPPFPAAGGRGAAGSGYGVGGGPNFPQGGPPYGASGPGRGQNCPQGGSRNQGYGSWQ >cds.KYUSt_chr2.53727 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335163926:335169068:-1 gene:KYUSg_chr2.53727 transcript:KYUSt_chr2.53727 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDLRVDKHLITSTIFVIIDTLIIVTSLILKGVATEVAGEEANIAFGAAAADRRRVSRSSPPPAIRHSCEARTFRGIVETLPAGLGWGTSWKVLRVQKCHSGMQTNGYGDELVERKENVSSNEPAPPSSAGKHNEENKNSNSNGNADDFDLDALAEALEQAATVASNTKKKNKSKRANHVPAKCSLVKEKVNDLNIPVLPCFYIYYDKEQSRGKSNVCSSSKETVLAEDILDMGNDEEEKWEGEKYEYDSAPGADRTFLKFKKRLDAYPQQCFRVAVLHLAVENRAIAAGE >cds.KYUSt_chr6.17259 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108695452:108696567:1 gene:KYUSg_chr6.17259 transcript:KYUSt_chr6.17259 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFGWGREEGGWRKGPWTALEDKLLLEYVRQQGEGRWNSVAKLTGLKRSGKSCRLRWVNYLRPDLKKGKITPQEESVILELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKAKASSKNIERARARFLKQRREIMRAGGHHEHLQRDDVQDDDGAASPDNTTSGPGPASHAGEATWPAPLTRDDDDDLVTMGGMPDDVDEFLRYQPMPAYFLDGGAASVSGSDEGSSGEIDGACATWGSLWNLDDVADVDGGGGACCLWDSFPLPQDQGLAFY >cds.KYUSt_chr6.15488 pep primary_assembly:MPB_Lper_Kyuss_1697:6:97258877:97261207:1 gene:KYUSg_chr6.15488 transcript:KYUSt_chr6.15488 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYQLVVREAIQRHRSSSSSSRDFSVMAGEGAKKATPAAPEAEKKDVAEEKAVLPPPPGAKDKTPPAGDECKALIIVESEWHFRMRFHLLAVQPSVPLCDSVAEVAEKPRAEKKKTHRGSLERDVALAKVETEKRGSLIKAWEENEKAKAENKAAKKLSSILAWENTKKATIDAQLKTKELELEKKKAEYAEKMKNKKAIAHREAEEKRAMAVARRGEEVLKAEELAAKYRATGLIPKKLLGCFGA >cds.KYUSt_chr7.17889 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110804881:110808214:-1 gene:KYUSg_chr7.17889 transcript:KYUSt_chr7.17889 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTRDSRAHCLLMNLLLHRRSGCVARLVGSSRVEAAHRDAEAGRSVVRNNRPPYCPIKMRIQHPPPSVVLPEKVADAARSHHMPADKGASPQGCGSKDVSISDGSDKQSPWTCRPQPPGGGLLRLRLEVCTAPRRPRLDLDPDLGSTDRAPPRQIRLPEEREKPNF >cds.KYUSt_chr2.24671 pep primary_assembly:MPB_Lper_Kyuss_1697:2:150882460:150885024:1 gene:KYUSg_chr2.24671 transcript:KYUSt_chr2.24671 gene_biotype:protein_coding transcript_biotype:protein_coding MQVITWNRSFKGIPQPEQVKNDLDDDEWETHATILEKLLAWEKKLSHEVKEFEVIKMEYQQKLAALNKKKQRGASSSSLERAKSAASHLHTKYIVDLQTMESTIAEINRLRDQQLYPKLLELVKGMWHMWDAMYAHHKAQFKIISELKSFDISVALRETSEQHNERTVQLWHIVQEWCSQYDKFMRYQKEYVGNLYSWIKLNVIPIDTNLKPNSSQPVETTPPIKRLLHAWHDILEKLPDDATKKAIHTFGEVIHTILVHQDDEIKLRVKIQETRREHEKKKRHFEDWAQKYMEKRAGIPPEAGNSDGTRADPLVERKATVERLELGLKDLEEQYTKQCRVVREKSLSLLRTNLPELFRVVSDFSLQSAAMFKGLWSITSTSDQLDD >cds.KYUSt_chr7.38273 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238648699:238649268:-1 gene:KYUSg_chr7.38273 transcript:KYUSt_chr7.38273 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTVTRAYLEHKLALAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKANLNPTGQALIVCTVAGMAYFVAADKKILALARRHSYEQAPDHLKDTSFPAAAARRSPAFFSP >cds.KYUSt_chr5.34306 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217574152:217574388:1 gene:KYUSg_chr5.34306 transcript:KYUSt_chr5.34306 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKGGDFHAARWASSGLSSLAWGFRLSSLAGRALRSPLPASRRRSPAASSSRCSQRVRAFVGVETVEGAGIGGRRT >cds.KYUSt_chr2.5309 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32948704:32949807:-1 gene:KYUSg_chr2.5309 transcript:KYUSt_chr2.5309 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISDLAFSFARFVAREHHPRRASARTAARRNHSHWYFGPRRHGRPDWNGGLRHRGNRWVPRRGGKRRGLSLRGGGLRRRLHSNRLRGNRLRSNRRTPQHHNRHWMRRHRAPGDPGPSTTAVVRREQTPVVAAEPAVVVAAPAVAAEEVVDAVYEDEASASNISADIDELMPVPPEFALPPMEWLLGGPSAGWLVDDPERDFGDEELLVPPPPPASPPTMYYCMRHGFQPCLPSPTPSDKDRAHFAPPVYDPVPDFFAPPNAVQPEAAMEEVEAVAPAPAAPVLPDLNLPAPEKEENEPVLALPTPSPEARVLLRRFASAIAARPAGIRRGTWSPEALGLTGRVAELRLNEASHHSSSSAEGPRRC >cds.KYUSt_chr3.18153 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111504419:111506104:-1 gene:KYUSg_chr3.18153 transcript:KYUSt_chr3.18153 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMGSLLVLCLACPLLLAGTVRANPWYSLFPQFYDHSCPKAKEIVQSIVAQAVAQETRMAASLGCDASVLLDNSSSIVSEKGSNPNLNSLRGFEVVDQIKVALETACPSTVSCADILALAARDSTVLVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRLGLNIVDVVALSGGHTIGLSRCTSFRQRLYNQSGNGLADSTLDVSYAAQLRQGCPRSGGDNNLFPLDVVTSTKFDNFYFKNILAGRGLLSSDEILLTKSAETAALVKAYADDVHLFFQHFAQSMVNMGNIMPLTGSQGEIRKNCRRLNNYH >cds.KYUSt_chr6.28871 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182954479:182955001:-1 gene:KYUSg_chr6.28871 transcript:KYUSt_chr6.28871 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTKPAATAPRPARPTSGVARLLQAPAVVALAVVLAVASPAQPQKASEAAPKDTFRNVPGTLSGEEGKEAERIKHPRSPEAARCTSKCVSTCVLGGAGAPGVGGPFNVRRPLVVFKDGFRSRQYWYVQ >cds.KYUSt_chr5.22702 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148229325:148236701:1 gene:KYUSg_chr5.22702 transcript:KYUSt_chr5.22702 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDEFDLSDSSSSDESDLDKLLQDDEMEATMLLLSVKDLEDRAKLLNRRAAPRYRMRRSLFIRIVKACELHSNYFKQRRNAAGVMCFSAFQKIYAAMRVIAYGIPADYTDEYLRIGEDTTTKSVRRHDMDNTVARAKMQPLYLRSPLFAKLANGEAPTCNYKVMNNEYTMGYYLADGIYPDWATFVKSVKDPQDRIEAEFAKVQEAARKDIERAFGVLQARFAIVRGPARFRDKKTLVNIMTCCVILHNMIIEDERDLSLPCFYDNVGTRVEPQHNPDHIEPFLETHRQIENANTKRIEQTLSGSSSKCKVARTWIMMNRSRSVHVSGASGWKLCRHVPALRWSDEQVLAPDGISPELYPLLLIDVQGCRRQYVTGLLRHQAGGSRVGVVVSQLNPLLLSLPNWPRQLNTLGEEKTESEQPNFGIQKDLASDQRYLFSREIDEKEVEGSTPESKHEEPLLYETANPDNSDDVFGPPWMISMDYWKDYSETCSEELNIIDGYFQVPLKCRGNLSVNDCLCDNEKFLDFKSSGPPPPKNPPKANFDTGTSWKEGEKAQPWWQITDENELASLVAERAMQNIENCDLPRPAQTVRVHGTESHKKENMGEYAGSSSPTGRVSHSYPGQLKNIQSSSSSTDELDLSNDGVWQQQETNNAYRSGHAEPESKRKCSNPSERAQLLEALRHSQTRAREAEMSAKEMYNEKDDAMQLLLRQASHLFACKQWLKILQLENICLQLKHNHKGHQIATLIKELPWLALTEKPVPDQERKDWTKRESKRQKKGGGFCDALLFAFGLGLAGAGLLLGWTLGWLLPML >cds.KYUSt_chr1.7867 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48345975:48352471:-1 gene:KYUSg_chr1.7867 transcript:KYUSt_chr1.7867 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSLPSNASEFTSYLSETSLETSKNELDKYLDEANESLLNKSFDVLLWWKLNAHRYPVVAKMAKNFLTIPATSVSSESTFSTGGRVLDDYRSSLKPAMVGALVCASSWIKGAHNDNKVSLGVSKMARYDDRYGRYDDRYDRYDDRYDRYADRYDRYDRYGGNTKLYVGHLSKHTRTQDVELLFGKYGRVRYVDLKYDYGFVEFSDPQDADDARYGLDGREIDGSRIIVEFAKGTPRGPGGSREYTGRGPPPGSGRCFNCGIEGHWARDCNAGDWKNKCYRCGEKGHIERDCKNSPRNLKRGRSYSRSPSPHRERSRSRSYSRGRKSYSRSPSPRRNGREEMVSPGRDGRGVGRQERRPRSSSYSPRSASPSGNNRSPVPNGKSHPPREQAEANGSYHSPRGNSQSPARDNHPATNGRSPSP >cds.KYUSt_chr1.33058 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200606400:200607621:-1 gene:KYUSg_chr1.33058 transcript:KYUSt_chr1.33058 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKVPTMAPAWPEFGGGHHHRHHHHHQRSPSMGAFVAAPMPPLPPPAPAAAANGAQQPSWVDEFLDFSAAKRGAHRRTVSDSVAFLDDAGNAGAVGAHEFDRLDDDQLMSMFSDQLAAPPTTQQQQASASSPSDHNSINDDKGDTEEAQSDCNGDGRQPSSPATADPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQIYQQQSLKNAEHPAPDNNNSNNNGFIASEGTAAPS >cds.KYUSt_chr4.45410 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281089506:281094030:1 gene:KYUSg_chr4.45410 transcript:KYUSt_chr4.45410 gene_biotype:protein_coding transcript_biotype:protein_coding MYEGAVKADGRGQTIWDKFAHTFGKISDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWARILPNGVGQVNQAGIDHYNKLINALLAKGIQPYVTLYHWDLPQALEDKYIGWLDRQIVNDFAAYAETCFKAFGDRVKHWITVNEPHTMAVQGYDAGLQAPGRCSVLFHLYCTQGNSGTEPYIVAHNIILAHAAASSIYRSKYKAAQNGQLGMAFDVMWFEPMTNATVDIEAAKRGQEFQLGWFADPFFFGDYPATMRRRVGERLPRFTPQESALVKGALDFVGINHYTTYYTRHNDTNIIGRLFNDTLADTGTLSLPFRNGKAIGDRANSIWLYIVPRGMRSLMNYVKDRYNSPPVYITENGMDDSNSPFISLKDALKDAKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYFVDYNDNLKRYPKNSVQWFKSLLSSS >cds.KYUSt_chr5.18703 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120976465:120980012:1 gene:KYUSg_chr5.18703 transcript:KYUSt_chr5.18703 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGVESVTMSDMESVATLMESTSLKIQQLQHAFAELESQSAVTMSFKWKQLEDHFRGLEQSLKKKFDELKKQEQEFQETVAKSQKMLEQKEAVVVAKELTALERLQEKRDAALAMIFGKSKLSLNVNYNVPKTKPQSNFTTADAKWPPKQDNAHMQDGSASAAPKPRSELAVLCEAMNVKGLHKFISDNRKNLTSIREEIPSALKGASHPYVLVLESLEDFYSGENLVLDGKKDGDLLGVRRTCLMLMESLVQLQADAVTGLLSEGQMLAADVKERAKKIAFEWKSKLDSLDIDASNGNCLEAHAFLQLLATFGIFAEFNEDELCKLLPSVSRRRQTPELCRLLGLSQKMPGVIGVLVDSARPIDAINLAYAFGLTEQFEPVQLLKAYLREVKKLSHAKNGKMSPGAQNEMNERELSALKAVIKCIEEHKLEEQYPVDPLQKRVVQLEKAKADKRRAVEAAKPQSKRPRANGSAYAPRLTSFPEKSFYQATPERHPYPYERQFVYGAEAHHHPTMINAAAAPYTISPAHTPYYGNGYPMQYQVPYIH >cds.KYUSt_chr4.12344 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75390540:75394522:-1 gene:KYUSg_chr4.12344 transcript:KYUSt_chr4.12344 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPTVSTARRRRAAALLLALSLLLAAVGAAAAATAATPQDAEAMRAVAKALGADKSLSWNTPDPCSPKPWDGVGCDADGRVTDIQVGKRRLTGTLAAEVRNLTALTRLEVFGNALSGPLPTLAGLSSLQHLLLNDNGFTSVPAGFFDGLVSLTDFSIDHNPLDPWTLHPELARCVALSNFSANSANVTGSLPDFLAALPSLERLNLAMNLMSGPVPPSLGTAPQLQVLWLNSQIRGGFSGPVTFLATITKASQLWLHSNRFSGPLPDFSALTSLVDLKLRDNDLTGPVPQSLINLKSLTILNLTNNMLQGPRPAFDTKQVALDMADNQSFCLQDPGKPCDPRVNLLLDVAAGFMYPYKLADGWKGNDPCAGYLGVECDSTGNITLLNLGSKGLNGTISPALGNISTLQTLILSKNNITGTIPKELAALPALKSVDLSNNNLYGQLPPFRSNVLVNTDGNPNIGKDPPAPTPGSDGHSNSTAPGGGSGGSSSGGGSSSHSAGVIVGSVFGAIAGLGLIAALGFYCYKRKQKPSGRVQSPHAMVIHPRHSGSDPDMVKITVAGRNSNGGAATNEAQSQASNGPRDIHVVEAGNMVISIQVLRNVTNNFSQENILGRGGFGTVYKGELHDGTKIAVKRMESGVMGNKGLNEFKSEISVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGPVSQHLFEWKEHNSQPLEWKKRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMRAKVADFGLVRLAPSDGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELVTGRRALDDTQPEDSMHLVTWFRRMQLNPDTFRKAIDMTIDLDEETFASVSTVAQLAGHCCAREPQQRPDMGHAVNVLSTLSDVWKPTDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFLASLDNTQTSIPTRPPGFADSFTSADGR >cds.KYUSt_chr6.1919 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11501720:11502778:1 gene:KYUSg_chr6.1919 transcript:KYUSt_chr6.1919 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAAEKGGGGEDGGRRKKLTQGRKKIPMQRIEDANRLQVCFSKRRKGLVKKAFELSVLCGAQVGLIVFSPAGKPYTYGHRSLDAILDRLRERSRPSPDEEEAAARQTELRKLLRQEEELIKARDAELRRGEELEAKMRDAGVRIDGDVGSWELPELHAALGALERVQAEAAVRAHEIFAQDAMMQQCTGGGGGLLGYLGSGPSYTPSGSHEEAAMDNTMKLMGVGAGNNLFDYLGPFAAADGTGGHAMTTDTMMRLPGSLFHYNGPGSFVMTHGTDEVIVDTTMKLMGGNVGHALGPMVPPPLPPLPLPFNHGYGYNNLSAGYGYNQEGDHGQDHGHGAFYEYGTTCNFFP >cds.KYUSt_chr5.2956 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19357860:19361251:1 gene:KYUSg_chr5.2956 transcript:KYUSt_chr5.2956 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPSSADPHLQFRRTERLPSFIHSNLPDPPVTTTARLRAARTSGGVDRISHLPDALLREIVSRLPAKDAARTAALAARWRGVWRSTPIALVDTHLLPSGASASSLDVTAAVSQILAAHPGPFRCVHLTRGRMGEHPAHLRLWLRLLAARGVQELVLVNRPSPSGDVPLPRTLFAISTLTRLYIGVWKFPDAAGLAAASFPHLRELGICSVAVETGDIDLVVARSPALEILNIQGTMNGVHLRLISKSLRCVQICAFVLESVEVVSAPCLERLILSECLGPAGGSCTTVKIGNAPKLSLFGKLETGKYALEIQDTVIMVHFQSSLEYLSILFNLLLQCANFMAELGPFQAGIKTGPSMMVTSVKTLSLKVRFGVHSDIKMVPAFLKCFPCLEALHIMSGKCDHPAGKLNLDFWEEVGPIVGVRLRMKVMTFLDYHAQQDEIAFLQYIFQNAQVLKYVLVSAVNPRYTALSADDMFNTLYGLSDDLWLSKFSFAIGGTHGPEGAAGPETHQQKPQAGKTSQQKPQAAKTRQQKPKAAKTRQEKPKAAKTRQEKPKAAKTRQQKQKAGIPCN >cds.KYUSt_chr2.6637 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41391266:41394049:1 gene:KYUSg_chr2.6637 transcript:KYUSt_chr2.6637 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWPLASWSWSWQWSWAAAVLVGAACLCVHAAAEALWFRPRRLERHFARQGVRGPGYRFFVGSSIELVRLMIDAASRPMAPPDSHDILPRVLAFYHHWRKLYGPKHLIWFGTKARLTISSPELIREVLLTRAEHFDRYEAHPLICQFEGYGLSNLHGDAWSRHRRVLSPAFHTDNLKRLLPFVADTVGRMLDDLAAVASGNAGGEAEVDVVEWFQRVPQEVITFATFGRRNYEDGSVVFKLQDELAGLAADAHSKVFIPGYRFLPLRRNMRVWHLVREISKGLAAFIANLQQAKPDDQEDGKGGMRDFMSFMAPAMTPEEIIEESKNFFFAGKETLSSLLTWATVALSMHPEWQDRARQEVHAVVGRHDLPTKDHLPKLKTLGMIVNETLRLYPPAVAMIRTAKQDVELGGCVVPAGTELLIPILAVHHDEEHWGADATEFNPARFGDDRPLRRHQMAFMPFGGGERVCIGQNLALMEAKMALAVVLQRFTFRLSPSYVHAPQVLMILQPQHGAPVIFRPL >cds.KYUSt_chr6.30922 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195935025:195935405:-1 gene:KYUSg_chr6.30922 transcript:KYUSt_chr6.30922 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRQHYGVIRAEGGRMGFIFVSEFTAQLWRRDTDSSDGVVSWARGTTIQLDKLLPPDSRKEHPSMVGYAEENNAVFFETVDGVFMLHLESLQLKRLSEANIIRCHHPFELVYTPGNIYHAFDLLE >cds.KYUSt_chr2.52549 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327832911:327835587:-1 gene:KYUSg_chr2.52549 transcript:KYUSt_chr2.52549 gene_biotype:protein_coding transcript_biotype:protein_coding MALRITDRIRKREEEEEEEEEDDDDMILFLLPMLHLLGEPREKKPRHTSTIRGEEVNCLGAIDGTHVPMNINGDIATPFRNRKGTLSQNVMVVCDFDLNFTFISCGWEGSATDARVLRSAIRKGFRVPEGKFYLVDGGYANTKFFLAPYRGVRYHLKEFGRGHRAPQNYQELFNHRHAVIRNHIERDLGILKKRFPILKVGTHHTIQNQVKLPAAAAVLHNIIRMHKGDESWASWNADLEKALVDLLHEHNTPQYRGQNGWSTDVWNRITKKFHDNHPYKNYTKGQIQDKEKELKREYKMLKEARQQSGVSWNEKRCMIEADPELWDNLIISFPKIGKFRSNKAFPLFDALGELYDGHLAEGNYNFTSTEPTQHTQVEVNPEVSSVEATHSHHDNAETLVDDTQGGMQEASMMENFVGNGEAQPTVPAAPSTSTENEPKKRRSNGDIAAMMEKYIEIKMKQVESKQIANMDEYSIKNCVARLNTMGLSREDKVKALKVFTNADNRELFLCVDMDTALMWLQGEMA >cds.KYUSt_chr2.23579 pep primary_assembly:MPB_Lper_Kyuss_1697:2:143913032:143914002:1 gene:KYUSg_chr2.23579 transcript:KYUSt_chr2.23579 gene_biotype:protein_coding transcript_biotype:protein_coding MMATNPRIFLEKFQQQRCRSRSWLYNGAKISRRDLTRCKVAEACRVRGGGVLTLVIAEGEEEAAETDGDEEEEGWPGQMVRRRADLGAPASVMQTSRKTSSPWSHSHAQMLFEKKKEGKEYEEIPPYHI >cds.KYUSt_chr7.12497 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76979208:76980293:1 gene:KYUSg_chr7.12497 transcript:KYUSt_chr7.12497 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVLGRHREALEICEEQGLTPLMTFSHNYSKEVICQFYATAVFLEDEGGFRTLKWMTREHVMEATWEDFARGIGYELPDYDINYFRIHLQPKPMAKEKMANLYIPGRMLCGSAYNLLPVYDIMKRIYRSTINLKHTNHDEVHGFLVNLLVRTDEMRGRGKQLDVMDYIWHEMRDCAFLRKLPQYAPYVMKLICLKWEQEGRGDLLEQCRPNITIHKEKSPLVKNHDLPRFGKNAPKDKEEEEADSDDSDFVPNSVKTKGLFAKLTARLKKSFCFKRDLEYRMYQAHHDNKKIRQRQKAMMRHMQLPISEGSEDNITLPGEWTSKLTWSSSEDSIPEPPHGKGHAQDEDEDEEEEEDDDDE >cds.KYUSt_chr3.3682 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20874690:20874899:1 gene:KYUSg_chr3.3682 transcript:KYUSt_chr3.3682 gene_biotype:protein_coding transcript_biotype:protein_coding MCIIHLDDDDVAAVALDRKTTTGDEADEVAANLSRTDFSHPLYVSHLLVMEYEIRMEIPDLEESNWHLS >cds.KYUSt_chr4.46343 pep primary_assembly:MPB_Lper_Kyuss_1697:4:286521911:286523406:1 gene:KYUSg_chr4.46343 transcript:KYUSt_chr4.46343 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGKVEIREYDPSDRAGTEAVDGECELGQPGGMSLHADLLGDPLARIRHSPAFVMLVAETSVPGGRRIVGVIRGTVKSVATGKSCPGAPALASVGYILGLRVSPSHRRKGIALSLVRHLERWFSLMGAEYAYMATDKSNEPSVRLFTARCGYSKFRTPSLLVHPVHAHRLRAPRRARIFPLDAREAELLYRRRFAHVEFFPDDISAVLGNKLSLGTFLAVVDHGYQWHGVEQFLASPPASWAVASLWDCGGVFRLEMRGASLLRRAAAAASRALDRAAKWMRVPSVPDFFRSFAGWFAYGLGGEGADAPVAAEALFATFVNMARGRAAAVAVEVAALDPLRLRLPHWRSLSCPEDLWCMKQLRGSTDGWDWAKSAPGLSIFVDPREV >cds.KYUSt_chr3.4971 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28313000:28314808:-1 gene:KYUSg_chr3.4971 transcript:KYUSt_chr3.4971 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMADGGNSTDEQAAASTVNVIEDGSSSEEEDAAAHAVNLLWFGCSEEAEGDLAGWAPAGSSKLSTHSDPAPPPLSATAEDVPGECKQKPSGRYVLAAHRTDEKDGLSREITQAPKPKITYRVSGWVSIQGAAACTDGGHAVHVEVRTGDGSAAGGGVVLAEAGKWAEIKGAFRVDEHPRRAQVYVHGPPAGVDIKVMDLRVCAVDRIARLRHLRKKTDKVRKRDVVLKFKPRSEDGANDHEAAVSVNGASIRVVQVDNAVPIGACISKSAVQNPAFVDFFAKHFDWAVLENELKWYYTEAIQGQVSYADADELIALCDRHKKPVRGHCIFWAVENSVQPWVRALNPGQLKAAVESRIKSLVSRYNGRFPCYEVNNEMLHGSFFRQRLGDDIDAHMFRETAAIDPAPALFVNDYNVENANDPNATPEKYVALITDLQKRGASVGGIGVQGHVTHPVGDVICDALDKLAATELPVWITELDVSAADEAVRADDLETVLREAFAHPAVEGIMLWGFMQGHMWRANGQLLNADGSLSEAGRRYVGLRTEWTSHARGTVDANGNFKFRGFHGKYVLELAAGAGGKVRRAFDVQKGDAPLVLDMNL >cds.KYUSt_chr2.37244 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230562235:230563195:1 gene:KYUSg_chr2.37244 transcript:KYUSt_chr2.37244 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKCFNSRHKHVQFTKSQIQDKEKDLKRDYRMLRDARKQSGVGWDEERCMIQAEPHLWDNLEISFGKRIKKFRKNGYFPLYDLLGSLYESQIAEGNLNFTSMAEPSERDEEITTIESDGEHDDGRESEKVVPVDEDFQVTSERDESTSAVGVAKEKLKIYKKPKRSPKKPNQSSGDALVGVMKRFVDIKEKESNKDDTVDFSITRCMAELRNLEGVTGDLKVKCYDIFRCPKSCEIFINAVAEKDGSALAWLKSQIGTPLPN >cds.KYUSt_chr6.1319 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8255012:8257328:-1 gene:KYUSg_chr6.1319 transcript:KYUSt_chr6.1319 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPTVKVALGTASFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFNVITADEAYAAVDLPILGLLFGTMVVSVYLERADMFRHLGRLLSWRSQGGKDLLVRTCVVSALASALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGDFVFGILPATLVGVVVNAGMLLCLYWRELSDEKCVDVAHDDAVEVVEEDDVTSHRFTPATMSHPRGRGARLIDGSSGSPDAAGGCEAHCCCCCEPEKPDADATKVGIHQRRGGAVGVNGAVVAKEEEAYNSVEEKEELAMEEWKNKLWKTCVYVITFGMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSAFWEFMEPYARIDTPTGVVILALVILFLSNVASNVPTVLLLGARVAASAAAISPAAETNAWLLLAWVSTVAGNLSLLGSAANLIVCEQARRSRQFGYTLSFFSHLQFGFPATLVVTGIGLLLIKSN >cds.KYUSt_chr7.7636 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46130273:46133237:-1 gene:KYUSg_chr7.7636 transcript:KYUSt_chr7.7636 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTESQLVILQAFIGQVNTHNVGDKTFEAWLGQVTNVAHQVEDIIDEFSFLTSQAAVINNFFKRKFHQAKSSAAWQNLSNQIDEVETRIQRISTMKDRYAKSIGESGKSSTLQNARQLSLSDSAYLSDDAELVGNASEIQRLKQWLLSEQKDRSIMSILGMGGLGKTTIASRVYKNQQISRVFDCYAWVTLSQNYQVEGLMRQIMKQLIDQRSHMASGIEAMNHVVLIEKLQSYLRDKKYLIVLDDVWDTNDWLFFNSALIRNNCGSRVLVTTRKKDVASVANDGFVVELKILPYTEAWHLFCQKAFRRLDDKICPVNLRPWAEKIVKKCQGLPLALVAIGCLLSYRELEEQEWSSLHNQLSWQLANSPELSWIMSILNLSLNDLPGYLKNCFLYCSLFPEDYKIRRRWICRLWVTEGLVEERGAGTTMEEVAECYLNELTRRSLFEVAERNVHGRARSFQMHDLVRDACLTVANREKFAVVYGASGINQVTSEARRLFVQKDARSLKVAAASQIRSFILFDTQVASTWIHDISSNFRLIRVLCLRFANIHQVPGVVSDLLNLHYLDLAHTKVKHIPASFGKLRNLQVLDLRFSYVEQLPWEITLLTKLRHLYVYKLHDVQERIFDCISATNMLGNICCLKNLQTLQSVSANKDMITQLGELTLMRSLAIMKMRQNYIVELWGSLAKMPSLSRLVIFANSKDEILNLVMLKPLPNLKFFWLRGRLYDGVLPQMFAGFERLATLKLDFCFLKKDPISSFAHMLNLVDLKLYKTYDGEQLKFRAGWFPKLSSLELGGMEHLNLIEIEECTMKVLHTLEMVGLMDLNAVPRGIKHIKTLQKMLLTDMPKEFIDRLQGADSYIVQHIPNIQSFESSNSEAVNKLVLLPHLAKKYGTCWWELS >cds.KYUSt_chr7.7533 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45420235:45421118:-1 gene:KYUSg_chr7.7533 transcript:KYUSt_chr7.7533 gene_biotype:protein_coding transcript_biotype:protein_coding MEREDLGAWLGLAIGGGEGGGGDVSHGHEDERRPDPVRFDALFSLCGNQERDPDEHAARKTGGKGARKRVGDDDGRSSSNSPCSSDGARRKKLRLTKEQCTLLEDSFRARNILSHVQKQELARQLNLSSRQVEVWFQNRRARTKLKQTEVDCESLKRWCESLTDENQRLKQELVELQRSAAMAARAAGSPLFAQLPRAAAMMNFCPSCEKVDVKN >cds.KYUSt_chr7.6903 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41636817:41637854:1 gene:KYUSg_chr7.6903 transcript:KYUSt_chr7.6903 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAVNRNGSSEEANVPLALFAVECNSKKRLLFDVSSGNIRGIRSTLFPDAFVEFENSGWLLMVRHKPFYFREQIVFLVHPSTGRRIDLPVLHSPNKGYFVFYVDPRGTPLVVACVQIMSVVPTVHVVCPGDVYWCLHKHTSNLQLSEAMCRPIDNTLIMDVALIGTWVVCAEFLGQILIFDITEMTWRTASCPEWSIQDAHYLVASNGEVVIVSCLRATGGSAFKFFKLDMEALEWSPLDGQELDDTSWFLCKGQSIRVKEEGERKVYTFYPRRCSGSVTESSDGTNATMYFTGTSGPATLKTITNIYAYDLVAGTVETVIPTSIVTEVRRWVQPGIFATPTK >cds.KYUSt_chr1.29390 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177864246:177869877:-1 gene:KYUSg_chr1.29390 transcript:KYUSt_chr1.29390 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSARRRARGSGGTPAPAPASSTPAPRRRRPGAIVIDLDDDDGGGGAAPPKAASRGASSSYSAAPFVGSVATRTRSRSVAMQPPAEAAPTAKRRRKGTRADAHADADGSGGSKGAAASKPASTGNRRGRPRRAPEPAPNTRDAESESEAEAEAPACGDWVEVPRADGRETGGNASDDYQNGEPRAGEARGIGQGNGEHRGSAGASAVAEASRVVPHFEKKCSTAGGSRISGHRGDVVPAASSSGRAMNEVVSGNANEVRPLGNEVGRPGVRPDAVEELVDEQATENHQNTELIPTAASEDEYDEEMLEERLVADLIRAYSNGGNPDANDGDPDASGVDSEAEDEMGFTDDGGDDPSTHDQEECGVSEPTNGNAKVGMQDLVQHNVVDEPRCHEEEDEEEDVVKNEVEAQREIATGFKQGDSHIEILDSDEEVKLLDSAGDSFKRKPPLRQAKAPVVPCVAWRTRSSWGDRVSYNAYFEELSDEPKEEDDDDTEVELDDEEDGDDDDGDNSASCDDEEEKEEAQEAEEAENRKRKREIYSSYRGIRAGPMPFYISSGKRIQKSTLKRYSNSKARRRPKTHRRTDSSDDEIIDDTVAHGFEWEVDEHPGIQPLDFDEDDSARTAWNDKPTYQQKQSQFTWDLERRKRLKLEGTKKERKLYERHLDSDSNSSGSGQNKKHGGDSNSGRKKKHLSTKSGKKSSSSVMLKRQSLLKLLMDKMSSDKDGEFPPFYQHPHFDHNFKDSQPLVFSFGDEDPIPADSSDQNAELDPLWAEFDFALESENIGTYYDDEHQGERNVLDLGLASTTPCSRGKHEFIIDDQIGIRCKYCSLVNLEIRFVLPSMVSNYAEKPEWRNGSFLKDALMYHDLCEQAASVDGKSQDFHLYGTVWDLIPGAITTLYHHQREAIEFMWSNLVGDIRLDELKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEEEFKKWDVNVPFHIMNTTEYSGKEDRDICSLIKKKHRTEKLTRLVKLLSWNKGHGILGISYGLYMKLTSEKAGGTGENKVRSILLDNPGLLVLDEGHTPRNERSVMWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTKAGRRHNASKKPRDKFSDKYEKGVWASLTSNVADDNAEKVRSILKPFVHIHNGTILRTLPGLRESVIVLKPPPLQKSIIRKVENVGSGNNFEHEYVVSLASTHPSLVTAINMSEEEASLIDKPMLERIRSNPYEGVKTRFVIEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKFFKWREGKEILQMDGKILPRYRQTSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSAEDEFDNVRNMLSKAEMDQCSKLISQDKVLQEMASHDQLKGMFLKIHYPPTESNMVFTYNQISPEVI >cds.KYUSt_chr1.8793 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54101569:54101772:-1 gene:KYUSg_chr1.8793 transcript:KYUSt_chr1.8793 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKSSWPELLRATSAAAKQKILRDRPDVRVIVLPVGSFVTADYNPKRVRVFINSSGYVAKVPTIG >cds.KYUSt_chr3.10586 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62843778:62844014:-1 gene:KYUSg_chr3.10586 transcript:KYUSt_chr3.10586 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGTSRGKRPISREAVRLPYLGGERKEVLAAHSSPLLRANGGIDHGLDMGDLAEEEHDDHPLSRLASAEQGRRLKG >cds.KYUSt_chr6.8228 pep primary_assembly:MPB_Lper_Kyuss_1697:6:50472669:50475873:-1 gene:KYUSg_chr6.8228 transcript:KYUSt_chr6.8228 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLEEKAAAGESVDEPKIKRSHSINLNSLPAVSASTAEIEVLHAAVAPEANGSSTQKGDDHKTSATDQKKVPKNEQVDEAEVQVCAYVKNNSVDPLNSESHAELKDALVVAVPENEGYADGGNDYERVKVLSIVKKDESEEELVEAINPVTIAAYRDEKGASGSTSGITAVRTPGTRSSCFHGVTRHRWSGKYEAHLWDSTCRVEGRRRKGRQGSYDTEKKAARAYDVAALKYWGLNTKLNFPISEYEKELADTKDMSPEECVTYLRRRQKDGRWQARIGLIAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYMEKGMHCIEGAGLNLLATKPE >cds.KYUSt_chr4.16609 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103168633:103171887:-1 gene:KYUSg_chr4.16609 transcript:KYUSt_chr4.16609 gene_biotype:protein_coding transcript_biotype:protein_coding MELCCSGVVSSGGGAGAGTPRSQKPRSSPGFVVAPPKRRPSSRAGSRQLCDERVAGRPGAEDVVHMLRSAADPAEALELFRSVARQPRVVHTTASCNYMLELMRAHGRVGDVAQVFDLMQRQIVKANVGTFTTIFGAVGVEGGLRSAPVALPVMKEAGIVLNAYTYNGLIYFLVKCGCEREAMEVYGAMAADGVVPTVRTYSVLMLAFGKRRDVETVVGLLGDMEARGVKPNVYSYTICIRVLGQAGRFEEAHKIFRKMEEEGCKPDVITNTVLIQILCDAGRLTDANDVFWKMKASDQKPDRVTYITLLDKCGDSGDSRSVSEIWNAMKADGYNDNVVAYTAAVDALCQVGRVDEASDVFDEMKQKGIVPQQYSYNSLISGFLKADRFKHALELFNHMNVHGPTPNGYTYVLFINYHGKSGESLKAVKRYELMKSKGIVPDVVAGNAVLYSLAKSGRLGMAKRVFDELKAMGISPDIITYTMMIKCCSKASNADEAMKIFSEMIETRCVPDVIAMNSLIDMLYKAGRGNEAWRVFYELKEMNLHPTDYTYNTLLAGLGREGKVKEVMHLLEEMKSKSFPPNLITYNTVLDCLCKNGEVNYALDMLYSMSMKGCMPDLSSYNTAMCGLVKEDRLNEAFRMFCQMKKVLAPDYATMCTILPSFVRDGLMKEALHIVKEYILQPDAKVDRSSLSALMEAILNRAGTEKSIDFAENIASSGIHLDDFFLCPIIRHLCKHKEALAALELVKKFENLAVSLKTGSYNALICGLVDEDLIEIAEGLFAEMKSLGCDPDEFTYNLILDAMAKSMRIEDMLKVQEEMHNKGYKSTYVTYNTIISGLVKSNMLDEAINLYYQLMSEGFSPTPCTYGPLLDGLLKDGNIEYAEDLFEEMLECGCKPNCAIYNILLNGYRIAGDTEKVCELFENMVEQGISPDIKSYTVLIDTLCAAGRLNDGLSYFEQLTDVGIEPDLITYNLLIHSLGKSGRLEEAVSLYDDMEKKGITPNLYTYNSLILYLGQAGKAAEAGKMYEELLARGWKPNVFTYNALIGGYSVSGSPDNAFAAYGRMIVGGCRPNSSTYMQLPNQML >cds.KYUSt_chr7.24633 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153589705:153590459:-1 gene:KYUSg_chr7.24633 transcript:KYUSt_chr7.24633 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQPCYLGEEATDREIYPSLVLATAAATGGNSQQGPDNQTTKIQHLASLPSTLPWCHLDLTDLANGYDAKVSVSLYSRICHVCWFTTHAPFLFVKV >cds.KYUSt_contig_824.92 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:592941:602085:1 gene:KYUSg_contig_824.92 transcript:KYUSt_contig_824.92 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAAPPLEVGGAGAAHTRCLLRDRGGHGAVPADPLPLYGDDAATTKFLLERVLRGSSIAPYLPSSASSASSSTAAADADPAEREDEDPEDHRCLLRDLGGHGATPADPFPRYGDDAATTKFLLERVLRGSSIAPYLPSSASSASSSTAAVDADPAEREDEDPGEPASGLVPSARVRGDGTTDASGAGAAPETALQRPLESAASAPASCLPALLGPEGRILIVANRLPVTVKRLVDGWDYSDSSGGLVSALRGVTGVKMTWVGWPGVSIPDKEEQTIVMNKLMTLRCFPIFMDQELVDQYYSGYCNNILWPLFHYMGLPQDYRFNKTKDYKSQLKAYEKANQMFADVVCQIYEKGDIVWCHDYHLMLLPSLLKKHDINMKVGWFLHTPFPSSEIYRALPNRMDLLKAVLKSDLVGFHTYDYARHFVSACTSLLGLESYLEGVEVEGRVVKVEAVMLGVDRFDMIKGLLQKVLAFEKYLEENTGMNEKVVLLQIAVPTRSDVPEYVALVTSLRDGMNLVSYEYVACQESNKGVLILSEFAGAAQSLGAGAIIVNPWDTAEVANSIKAALAMPAHDREERHRHNYKLVSAYSAQNWAEDYVSELHKTATKAPVHTKQPIVVLPIEEITSRYAQSRSRLLILVQSFEIGASDQTASMKLKLNPEFKGPLKTLCDDKDTTVIVVSGYGRIILEKNFGEFEMWLAAENGMFLRRTTGEWMTTATEHLEIGCSDSVKKVIEYFTRRTPNSYLEQRGKSFVWNYKYSDDDFGRNQAKDMLQHLGSYSSSNRSADIVQGRRSIEVRPVGVTKDEDVYTLPYFIQRESEAKAGQEDCTSIMFDGLKAENYFSCTVGRECSRAKYKLEGTSDVVTMLRGLANPEASLDIVDDDGSQL >cds.KYUSt_chr2.48150 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301116954:301117232:1 gene:KYUSg_chr2.48150 transcript:KYUSt_chr2.48150 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVDDRPVAEGAVRDKAVEVGGVMESMVYREWAPSETSIRKRSDCSAPAAPLTAHVLYLQHRLDRARILVELQAQGLPRRLHRIDSAAARA >cds.KYUSt_scaffold_869.202 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1238694:1241251:1 gene:KYUSg_scaffold_869.202 transcript:KYUSt_scaffold_869.202 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVAAVVALVVVVCLRLPAVAHGQLQVGFYNTSCPNAESLVQQAVASAFANDSGIAAGLIRLHFHDCFVRGCDASVLLVSANGTAERDAPPNKPSLRGFEVIDAAKAAVEKSCARTVSCADIVAFAARDSINLTGQASYQVPSGRRDGNVSLDQDARDNLPPPTFTAQQLVDRFANKTLTAEEMVILSGAHTVGRSFCSSFLPRIWNNTTAIVDAGLSPAYATLLQTLCPSTPNANTTTAIDPSTPAVLDNNYYKLLSLNLGLFFSDNQLRTNATLNTSVNSFSANETLWKEKFVAAMVKMGNIEVLTGTQGQIRLNCSVVNNGSTSVAAPGIAMAHLYPGSTASIDNIATS >cds.KYUSt_chr2.46563 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291051993:291055163:-1 gene:KYUSg_chr2.46563 transcript:KYUSt_chr2.46563 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLKEVKGTISLDKGSRSATSGPSRVLKDDVFKVTIFDYNSTEVVMSRTGNLAARSSMAAAASSATSVHDFTVKDASGKDVDLSVYKGKVLLIVNVASQCGLTNSNYTELSQVYDKYKDQGLEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGKEVSPLYKFLKSSKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >cds.KYUSt_chr2.1173 pep primary_assembly:MPB_Lper_Kyuss_1697:2:6859592:6862141:-1 gene:KYUSg_chr2.1173 transcript:KYUSt_chr2.1173 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVNTTEEEPMLAVVRFTAELAWADAGPDVAEPEVARLCAEAQQHVLAARWLDMASLMLASADLLLLSPRVPDKGQLTPPSLSPRPISLLTPRSPYHRAADLECVLAIICNLVTKAGSDEEALHIAEAICAKLVQQPGEKPALRVKVLFSLYNLLPSSYGKAFVYRKALEVAAAGKAADCVVPSFKNIDAFVGDWGIGKLEQRDLFLAVARILKDQKGMSKEYFNFLNKYLATFDGSSDDAEVIGEAKEEAAAAIIEFVKSADLFQCDLLDMPAVAQLEKDEKYQSVYELLKIFLTQRLDSYLEFQSANSTLLQGYGLVHEDCITKMRLMSLLDLSSHCSGEIPYSAITKALGINDDEVEYWIVKAISSKILDCKVDQLNQFVIVSRHTERIFGIPQWKSLRSKLGVWRGNIANAISTIQANKVAEDGAQGMQGVATR >cds.KYUSt_chr5.29649 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188018101:188021629:1 gene:KYUSg_chr5.29649 transcript:KYUSt_chr5.29649 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAPIDVEEEAPMEEEEAEAEETEVEDDDDDEFEWSDDDGPHPDETADQQRALIESFESEKKLQDDARAREEAQIRRAVELSLQEAQQGTAEDARRERNRLATAERKERRRAQEELRRRGGDDGAGPSNAPPGGEVEAKITLPGAESEEAGRKKETMELFPQSASLDGVQDAATADGYSAPGKHQWFRYDHYSANLQLV >cds.KYUSt_chr5.22721 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148376122:148376814:-1 gene:KYUSg_chr5.22721 transcript:KYUSt_chr5.22721 gene_biotype:protein_coding transcript_biotype:protein_coding MTAESWWCWPLSAWLSSGACFLFFNAIVCVVAVLSWGRGGGDATLSARRKRLTRSASSMVMERLRSMSTMFSFNYTSDEYDSITPPASQFHHVQGCYDAPQEEVGHEMRQAASEVEPEPAVLPAVESVTATMARPAPSAPVAAAAAAASTSGNGKEEPETWEEMSTPAAAKGVATFERLRKSKVPGIVERRAFAEIEEMAEVNARAERFIRQFREDLKLERLNSILARKC >cds.KYUSt_chr3.23261 pep primary_assembly:MPB_Lper_Kyuss_1697:3:143892189:143892632:-1 gene:KYUSg_chr3.23261 transcript:KYUSt_chr3.23261 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGVVPVGRPRLCTLHRIEGLQLAAEQRRRMAWRSDQRRTPDRGAPARRREAPTRGGLQCRGKGGAGWHLATATTTSSMTAALRLRLRRRCSFFPRTHPSRTNAMAVSLIIGRAGVTSASVSSFADLRLRFHSMGSSPSSFGWSLW >cds.KYUSt_chr7.30614 pep primary_assembly:MPB_Lper_Kyuss_1697:7:190522759:190532963:1 gene:KYUSg_chr7.30614 transcript:KYUSt_chr7.30614 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRRALGGRPELRLAYGARARPLGRAILELLPPPPPPGTPCPACRGAAAGCLACRRWAHLLRADDPVAYRRLVTRAVCAVQQAPDAPPPPRYTPGNAGHSQAQLVRETTKWILADRSCKTTNVLCNGIRQGGLGKHASNLVSSSSWDILLNRVGDLLMCYILRHSSVFLPVKKSDYIQVTGVPLNIVLQKRISTTMTVRNKQSRSIKAKCPTCYAWRNAQMAQSLSGGNPISNSKAEFYNSDNTKKVDALPSSGSCDAVGAIKPKCSGDGCNSSNCFTQKPRKRKRLYSWQRRSKQKQVCYEDRSTELSKLNKSNQAAEVKNQRHSLQPTADNTFLGANNGNNFPQIKEPGNVPVLSSRKSPGSVLDIMPSQGLSCGYSTPGDQSTRPQVGLSSYLQLKFGPVCFNCLMLNASKCVSVDSPIGRHGIFYNKRVSKNVFHRNNFASKRKGTDGLFVIKRIFGIKECCINFSHCDCDGSPTRNTNCLCHWLLQQVKNLIRNSKRCQYKKLFMKHCSANSKVLLDNNVANERSIQHSMGGKSACSDGSFAQLEAYSTHQQVVSFVWAVLIRIIPQPLLGNPSSKRSLRLNIWKFIRLRRFETFQVTDCIGELKVSYYSWLSKIGYTSCSCLVPMRKYTEVSSGMEEQRQNNLLRCWISWLFSDIVIPLINTYFYVTERETKRYDVFYYPKSVWRNLTSNAIASLHAQSFRTLCGTSRRAIRHLYRSSTVRFLPKTKDMRPLVNFKAQPKDVILSKCHLVLKKVRDENPEMFGSSVFDYDSIYKNLSSFISSVRTQLKELKVYIVVADVSKAFDCVNHDMLLKIMDDVLKGDEYVLRKCKKVIYSRSKNAVYRFDSNVSVSNGNSSHDFSIQPSSSGGILVDQGTVSTIRKEDLQRVLFEHVKCNILKIAQNFYLQQVGIAQGNKLSPNLCSLYYGHLENSVLLNVLHDGKINSGESVSAPEYLLMRFIDDFMFISFSKTHALNFYNRLRRGFVYYNSYMNGRKYGFNFNVGSSEHCHNRLYKGDDGIAFIPWSGLLINCENLEIQADYTRYSDIKIMSTITVNMHSSMKDLSSKLSHYMRPKCHPIFYDSNINSPETVRLNIYQAFLLCAMKFHCYIRNLPYSSMSKPEVLHIIKSSFRYMHSLIISRMQGVELQSNVHPVLKLRRKETTWLGLSAYIRVLQRKHSCHKDLLALLIAEVGGYGCMDRDSDSLRYAVDDSHSSMFWRFRY >cds.KYUSt_chr7.23878 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148737596:148739864:-1 gene:KYUSg_chr7.23878 transcript:KYUSt_chr7.23878 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRAVLLLRERFRSSFFAHHRDRRTSIHRPRAVDSPHCSSAATYSSSRSRASPRPAMEAALAHNGLWSSGASEKNKAMVEQLQRYGVIKSAKVAEVMEAIDRGLFVPPGASPYFDSPMAIGYNATISAPHMHAACLELLEDHLQPGMRALDVGSGTGYLTACFALMVGPEGRAVGVEHIPELVASSTENIKKSAADPQLKDGSLSIHIADGREGWPELAPYDCIHVGAAAPQIPEALIEQLKPGGRMVIPVGTVFQELKVVDKKLDGTVSVRDETSVRYVPLTSKDAQLHSN >cds.KYUSt_chr7.23877 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148735215:148736950:1 gene:KYUSg_chr7.23877 transcript:KYUSt_chr7.23877 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMSLAMAAATDASLLHPAFPAHHKLAPASAALPLLFSRSPLLRSTRPRLPLTPFVASSDAAEASLDWTEAEEADEAVPEEEPAAAASGGDAGYASEPPEEAKIYVGNLPYDVDSEALAQLFDQAGVVEVAEVIYNRESGQSRGFGFVTMSTIEEADKAIETFNRYDINGRLLNVNKAAQRGSRVERPPRQFASAFRAYVGNLPWQAEESGLVDLFSKHGNVLNATVVYDRETGRSRGFGFVTMASKEDLDSAIEALDGEEMDGRPLRVNVAAERPQRGF >cds.KYUSt_contig_686-1.618 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3713637:3717266:1 gene:KYUSg_contig_686-1.618 transcript:KYUSt_contig_686-1.618 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEPRRPSASAAGGRKSAPGQPWSDAETMHLIDVYEDRWTKLRRGQLKAQQWEDVAAEVTARCGGGQRKTGTQCRHKLEKLRKRYRTEGARPVTSLWPFFRRMERLERGPVALSTFAASPPAAASDDEDENQDDDEEEDDNDAREDEDEEEEQVLVPRSSSNTRSINGILRDGGAGFRGFAPRQSPQRQHQRHPPSPPAVTLSTAPPRKRVAYEAAFHSRPAEDKVEEAPFGGRPGTQISAVLKDFGEGVMRLERRRMEVQWEIERGWKETETSSNRMLQDAQRQLQDVLAGASASAAPAPGKKPRRDHGGGGADGLWSYIKQETCKFCVVVDHVAVHSESGTGVVAVVASAFERFKETHKKSFYMVHYSWEKLKEAMKWRVGYVTYKEAVKNDKTTAVVGSEDDEPGQNALPPCPR >cds.KYUSt_chr3.6863 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39431442:39439366:1 gene:KYUSg_chr3.6863 transcript:KYUSt_chr3.6863 gene_biotype:protein_coding transcript_biotype:protein_coding MACRQRQYFEQKKRQQQQGPGAGNQDDADGAGGQAYGDQAPRSLDVLSLNNLAASFARRNGSENSAVPHVDRAIYNASPVEALKKIASAYNTNPKETSSHPRLPSPVGHQDVTAAVNPHEDPLGCKISTSENYVAKKRNQNVDPYSGGLGHVQMETPPQSPRSTKRILPLPPKAMRYTQNKARRSMPFDAAKGLDSLMNGISMMKERNASHEMGSIVDESSYERRKHCYFPPSFENYSDNLYPEDEDMFCKPQAQEGWQSKRGRIDDNLPDVNSERPWKIGSFNSDDHFHTPRVDEFDTFDYDFKEQRTSTRPSTRFQTSGRDIFSDQSLLDDDDDMLRFDWERQPPFKKIPNSNSNFGPYAWPSEMADDSERRKSPLSEESCSSAAAMKDRTSKPTLSAKREENNMNEKDDFHTHLDKFDIPKMDDFDGISVFKDQEEYHKRSIEQKNLEADYWPDNAMEQQRTREPSCRLSLEEKFAGWGSSTSHQKGSSGPNNPSSCAVMREDKPFNSIPDMGGYQTVGSTERRPASKVHPVFHRPDGAVLYDDIHLQDPVSDIFGDKVEFSDPFGAKGLQGDIDMCTFLGQKVDIKKEDKFDSFKNRNADIFHHAGSVSQTVCGQRTACSQQSGKDSRRQGFDPGIDFQESRQNSFWEDGHVSDVTFQGDVDLSTLWARKSGEKNRDEIEKFEKRETKMSRQTSQRSADGRAEMSEAGTCSDGSEVTNYPGVQKETSSESAQLPANLSLQETSRGMFQMHAQVDCIRKETMCTREIPGDDFKAPLHLKNKIDDVGDHTKSTSIFQSPFMAGYGLQDDGEWICPPQ >cds.KYUSt_chr5.42636 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268606463:268609536:1 gene:KYUSg_chr5.42636 transcript:KYUSt_chr5.42636 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPDPAPVEAPAPAPAAAPAAAPAPAPAPAAAPAAAPQAPAQGQGDAGPNAAPPGVRMRAPIRGRLVVRLVQALLAAAAVAAMASARDFASLTAFRYLVSAAALQCLWSLALTILYFYALLVGRSYRNPRAIAILCVGDWITAGLTFTAACASAGITTFISDDVEACYDNHCPSFMSATAMAFLSWFTVAPCCLLNLFSVVHKLQRL >cds.KYUSt_chr4.54507 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336773501:336774253:-1 gene:KYUSg_chr4.54507 transcript:KYUSt_chr4.54507 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSVGVVDEDAMAARYGGDDRTEKRSRVCGGRAGLIPLEEKFDAATSGKWGILGWLYNQGATPLIVIDSFSQASSDLVDIQASVLFQALRCEKRYLRIQDDELKGNTSSVDVSTPVNLNGLIDVCKALLKRTVCRVDVETGKSVPDVNRGTNEEELVRFASMLSQERKARFQKKGITTVTQ >cds.KYUSt_chr5.11488 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74496934:74497860:1 gene:KYUSg_chr5.11488 transcript:KYUSt_chr5.11488 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVSLSCGCWSLSEEAARVRSRGETCTRSWWSSVSTLCMPEAAGTSSIAILQCKKEFIRGGHHYSEPFDVDASNIKIMLVVDMITGWKNFIFNAVGYELHFGRTKMPTLWYGVGQRWLNFQCPSGIWALALLQHLWRMCPSALWIVSTCLFTELHCIASGCGTSSPWQATAHNAYVIFVCRRRVRASLMLILRFNGQDPYESSWVVAISYLQLIPLPHMHFPMRLLVEPLALRLVRLREAMEDYDCRYFVSEFSPDPLAGSGCILQHMQLFVSSVVFLISVQLGIGVFFSVKQSECYNDCIVISCYT >cds.KYUSt_chr5.11821 pep primary_assembly:MPB_Lper_Kyuss_1697:5:76668776:76670453:1 gene:KYUSg_chr5.11821 transcript:KYUSt_chr5.11821 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMLHGSTYTGHQQHGPLVGKRRSSGCFRADVRHGEGKGTRQRWGVRCTYPIEVVVTSLASRELLSWLSSGGPETERPRGDLHPCSSETTESEELCVLARVPPCRPPSPACRPQICEQRWQRRALAPPYTTLTAKTPPRLLVASSSRSRSPRRTPHRRITADCGGWGDPKQEAAASEDGG >cds.KYUSt_chr4.42578 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263531740:263536950:1 gene:KYUSg_chr4.42578 transcript:KYUSt_chr4.42578 gene_biotype:protein_coding transcript_biotype:protein_coding METQLAAVLASLALGGALIVLLFGKWWQPLADGDKRVKELDDAVEALLQLRADLLKQLDGAPEPEQPRAWLRRVQDAQDEVGSIKARHDAGQLYVVRLLHYFLTTGPVAGLAEKQLKIVRAIQEQGAALLEAALATPQAPPPLLLQPEELELPPGTGSARACLNDALRFLGDCDAALGVWGAGGVGKTTLLRQVRDVCGRVAPFFDHVFLVAASRDCTVADLQSEVVAVLGLREAPTEQAQAAGILSFLRDKSFLLLLDGVWERPDLERVGIPQPFGVVAGRVRKVIVSSRSEAVCADMGCRNKIKMECMNEEDAWSLFEANVGEEAIRGGTQISTLARQVAEECKGLPLFLATIGRAMSNKRTPEEWGNALDKVKKSQLMSTTLSDKSTQALVKFCLDNLESDTVRECLLTCALWPEDHNISRDELVQCWIGLGLLPNHDDVDEALRFGHTVVSVLESSRLLEQGDNHRYNMSPSDTHVRLHDVVRDAVLQLAPSKWLVRAGVGLREPPRDEALWRDAQRVSLMHNVIEEAPAKAGGALSDAQPVSLMLQCNHALPRKMFQAIQHFTTLTYLDLEDTGILDTFPMEICCLVNLEFLNLSKNRILALPMELSNLSQLKYLHLRDNYYIQITIPPGLISRLGKLQVLELFTASIVSVADDYIAPVIDELETTGARMPSLGIWLDNTRDVQRLARLAPGVRTRSIQLRKLDGARSLELLSAEHAAELGGVQECLRELVVYSADIEEIVADAHAPRLEVVKFGFLTRLRVMEWSHGAASNLREVALGACHSLTHMTWVQHLPCLELLNLSGCNGMTRLIGGVADGGSPAGELLTFPRLRLLALLGLPKLEAIRSDGGECSFPELRRLQARGCSRLRCIPMRPVASGQGKVRVEGDKHWWNGLQWASDDVKSCFVPVLL >cds.KYUSt_chr5.36041 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227718691:227719889:1 gene:KYUSg_chr5.36041 transcript:KYUSt_chr5.36041 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKEGTSLDTIVPDSVFIIDDKSHSVFTRFETCYQIALATKEVEDPEAGGIQVIHIDEAAVREGLPLQVSSLNVMRYSEIFRHPDTAGI >cds.KYUSt_chr3.2907 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16694195:16696144:-1 gene:KYUSg_chr3.2907 transcript:KYUSt_chr3.2907 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDRLSELPDDLLRRILHFAPLKEAASTTALSRRWRAPLWLSSAAVNLETTVTCLETWDRGIRRSHAAGFLSRRSAFVSAAVAALDDAAAAATAGDEHVKRLTLRLESDREAWIGSFLNRHGDVDRNLVDLVLSHPAARRAEELRLWLEPNEWSSDADYDNETYRRPETIYTVTIDALPFETLRVLELTNCTRLTQPEATAIVLPRLSSLRLRHCAQDLNSLQRVIDAAPALADIHLESVVIDATKEAPSRSKHGGADWFRQYEYNNYDRQGYMDTQGNWVYAYKEEKDDDNDYDNDYETGDEYDDDYETDDDNEEGFTPPHPKEAAPRGLRCQTATVLVLDKCNWEEKDHDGNQYGYRNTNRAALIDMVIHAPRLRRFTYKGLLRPFTFSRPPPELEHVDLHFFPADDNFDKTKNRDIATFWQFAQSFTSTKEMRLRVDHLEDIAVVREATQVELLPTFRHLERVELQGAHRPKGKTAAVAIGNLLRCCPLLSALRINLTTQYHNVSKDYRWTDEFLERKFRSDCNKSVDRLDRCGFQPNTISQEGEYDDEVCEITTLSPGLFQCLRNSLKRVGLQFRLEQSNCLGVKLIKFFAKNAMVLEEMHIDGGNRKLHEHMNPKIERWVTNSAERRNSGATSFVVLPLER >cds.KYUSt_chr3.27956 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174444506:174447861:1 gene:KYUSg_chr3.27956 transcript:KYUSt_chr3.27956 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLVLLMPCAAARLAPTRQQQSNTTDLAALLAFKAQVKDPLGILASNWTATASPCSWVGVSCDRRGQRITGLEFDSVPLQGSIAPQLGNLSFLSSLVLSNTSLEGPVPSELGGLPWLQNLVLSYNSLSGTIPSTLGNLTRLEALYLGSNNLFGGIPHEFQNLNSLQLLTLSNNDLSGSIPYGLFNNTPNLGIVRLGSNRLTGAIPASIGSLLKLESLVLEVNLLSGPIPPAIFNMSQLQAISMTRNNLSGQIPGNESFNLPMLEFFFLGQNHFDGPIPPGLSACQNLAQLSLEVNNFTGPVPSWLATMPNLTIIYLSTNGLTGEIPVELSNCTRLLGLDLSENELEGGIPPEYGQLRNLRFISFANNQITGSIPKSIGYLSNLTKIDFFGNSLTGSVPPSFGSLLNLRAIWLDGNNLSGNLDFLYMFLKCRSLKIIGIADNRFTGRLPSYIGNLSTVLETFRVDNNRITGTIPSTLANLTNLLILSLSGNQLNGTIPTSIATMSNLQGLDLSNNSLSGTIPTEINGLTSLTELHLDINRLSGSIPSSVSSLSQIQIMTLSHNLLSSAIPTSLWQNQKLTKLDLSQNSFSGSLPADVGKLTAIIMMDISSNQLSGGIPASFGDLQMITYLNLCGNLFEGSIPDSLGKLLSIEELDLSSNALSGVIPKSLANLTYLANLNLSFNKLDGQIPEGGVFSNITLQSLRGNSALCGLPRDGIAPCLNRKHSRSKQRLLQVILPAVVTLLILAACVYMMVRRKTNKQGKMSASSDTDLLNYQLISYHELVRATSNFSDDNLLGAGGFGKVFKGQLDDESIVAIKVLNMQDETASNSFDTECRALRMARHRNLVRIVTTCSNLDFKALVLEYMPNGSLGDWLHSSEGRHISFLERLGIMLDVAMAMEYLHHRHFEVVLHFDLKPSNILLDMEMTAHVADFGVSKLLFGDDNSIVLTSMPGTVGYMAPEFGSTGKASRMSDVYSFGIVLLEVFTRKSPTNPMFVGELSLRQWVSRAVPHKLSSVTDCSLLRDELRHGINPTSNPSEERSTILNTCLMSIVKLALVCSRTAPDERMPMDDVVDRLNKIKSNYCSKLAN >cds.KYUSt_chr3.15556 pep primary_assembly:MPB_Lper_Kyuss_1697:3:95290167:95291216:1 gene:KYUSg_chr3.15556 transcript:KYUSt_chr3.15556 gene_biotype:protein_coding transcript_biotype:protein_coding MANRFLGNDVANNSFRPAVAAPMGGEAAAHGGLPGAAGHPRARRLTPERGGVPILSPPVGGDALDAEPRFFPDNYTPRGTTSSGEAGSDSNSSRKRSPTTAFPVPAGGYRIPRNRRRVSYLPGEASSSSCEARRGGTQSADRNPSPSPSPSGIGM >cds.KYUSt_chr1.5287 pep primary_assembly:MPB_Lper_Kyuss_1697:1:32432963:32433295:1 gene:KYUSg_chr1.5287 transcript:KYUSt_chr1.5287 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCDGEIGDGCSEDRLSALPDDLLIHILLKLRNAAAAARTSILSSRWRRLWRLLPELCFKTTTDPHGIRATLLSHEAPVLHLLAVRYATPLRSPWRSGFQSPCAASAAI >cds.KYUSt_chr6.32538 pep primary_assembly:MPB_Lper_Kyuss_1697:6:205231117:205231698:-1 gene:KYUSg_chr6.32538 transcript:KYUSt_chr6.32538 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTFLAQRGDRGGNCGRRGTRLRAPSPEQLDAPKAPVVRVGRGGGRGGRRRRGRGRRGPQVPTPPQWQIPTSLEGYEFFVNILCTGWTCLQLPSMFAQAMRGRRPHCMLVKVEDCCRDAFVMRIYHDDAGDLFLGRGWAQFSDEHHLNLGDILFFTFDGRDFVSVKVFSVSRCRVMYDTSGAAVEAARVPEA >cds.KYUSt_chr5.7259 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45434463:45441482:-1 gene:KYUSg_chr5.7259 transcript:KYUSt_chr5.7259 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATATPCPRLLLFLLLLLLWSVSPGHGQPDALGFISIDCGITNGTSYPDESTRGLRYVSDAGFVDAGAGTNAGINPPYSDRDLAPRYLNVRYFPTTGAGARARSCYTLQGLSPGARYIVRCSFYYGNYDSSNTLPTFHLHLGVNPWATVNFTAADDTVILEAVTVSPADFLQVCLVDIGQGTPFISGLDLRPLKAAMYPEATVNQSMFLLSLRRPAARFALNRYHFWRPASSYRIYRYPFDQHDRIWQSYGDVTAWTNITTADTVDVSNSNSFNVPTVVMQSAATPVNGTQLDLSWSPDPSMINDNSSTSYLLLLYFAELQQLPGNALRRFDILIDGASWNGSRSYTPKYLSAEVVERVVVQGSGQHAVSLVATPDATLPPILNAFEIYSVRNMTELGTDNGDAKAMMEIRTTYMLKKNWMGDPCAPKAFAWDGLNCSYSSSGPASITALKLPSSVLNGGVDPSFGDLRSLQYLDLSNNNLSGPIPEFLAQMPSLTYLDLSSNKLSGSIPAALLQKHQNGSLVLRIDNNANICDNGASKCAQDGKEKNRTLVVAVAVPIAVATVLFVGAILILHRRRNKQDTWMENNARLNSHRERSNIFENRQFSYKELKLITANFREEIGRGGFGAVYLGYLENESPVAVKIRSQTSTQGDKEFQSEAQHLSRVHHRNLVSLIGYCKDKKHLALVYEYMHGGDLEGCLRGEASLATPLSWHRRLKIALDSAQGLEYLHKSCQPPLIHRDVKTKNILLSADLEAKISDFGLMKVFADEFMTHITTQPAGTLGYLDPEYYNTAHLSEKSDVYSFGVVLLELITGQSPAVSTSDTESIHIATWVRQKLSEGNIESIADSKMGKEYDVNSVWKVTELALRCKEQPSRERPTMADVVAELKECLELEVSRGIGSYSSVTSSTNNLNATSADLHSDAQASDLRQESIIELGQVGDDSPTHTGPVPR >cds.KYUSt_chr5.31201 pep primary_assembly:MPB_Lper_Kyuss_1697:5:197727852:197733168:1 gene:KYUSg_chr5.31201 transcript:KYUSt_chr5.31201 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFHSAGADVRSVVSDLATDVIVHVGEVRFCLHKFPLLSKSRKLQKLVVKATEEGTDEIHIDDLPGGGKGFEICAKFCYGMVVTLSPHNVVAARCAAEYLGMTEDMDKGNLIFKIEVFINSSILRSWKDSIIVLQSTKELLPLSEELKVVGRCIDAIASKTSVDPANVSWSYSYNKKGLPCTEIIESAGKTSVAPKDWWVEDLCELDVDLYKRVMAAIKSKGRMSPDLIGEALKAYAVRWLPDSYDALVADDYMRRNQCLVETIIWLLPSDKSSGCSCRFLLKLLKVAILVGSLDHVKEELMRRISFQLHKATVKDLLLPAASPSDGVHDVRLVHNLVQRFVARTALSHNGDFVEKSDEKMIELNFEQESTLALGELVDGYLSEVASDPDLEFSTFVELATAVPEAARPVHDSLYFAVDAYLKEHPNINKADKKKICSLVDVKKITTDACVHATQNDRLPLRVVVQVLFFQQLKAGSSSALALTDSGDHACPKPVQEQSEQFERRIPRHPNKLSKQVTSLSASGEREQRNIELRGGRNSFKDQLVGRNSFKDQLVGRSSFKDQLGGLLLQSRSRRIFDKLWSSKGHGENGKGSETSGSSQSPPMTAKPTEVKPSPLPPLRNRRYSVS >cds.KYUSt_chr1.16330 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94876259:94880622:1 gene:KYUSg_chr1.16330 transcript:KYUSt_chr1.16330 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLHYSPTPYTPILSAAPRRRRCGFLCSSLSNVARGAVAANRVVLGCGLVTLDYLATVDAYPRPDDKIRSGELQISGGGNAGNALTGAARLGLNTRLISKVASDEIGGTVLSELQEAGIDVSHVIISDGGNTTFVYVIIDKKTKTRTCIITAGYPPMVPSDLSMSNLSAALEGVNLLYLDGYSHKMALSVAKQADLMKIPILVDAEPERTKAELGSLLGLASYVVCSGKFPEKWTSISCIPSALLEILVQYPHVRFMIATLGENGCMMLERSEGDHSEIDASDIEDVAESLRLKVLKDDNLPTCVSSKFMELSSRGHGTVTTIFGRLLIGTAEKIPSPELVDTTGCGDSFIGAVLYGLSTDMPPEKMLPFACQVAAIKCRAIGARTGLPW >cds.KYUSt_chr4.52908 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328170772:328171134:-1 gene:KYUSg_chr4.52908 transcript:KYUSt_chr4.52908 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLVLFLAVNMALLATTAHTCGPYCPTPTPPPTCSIDTLRLQVCANVLNLLKLNLPVPANEECCPLLSGLTNLDASVCLCTALKAEILGIKLNVPVAFTLLLNQCRKTCPDNFTCST >cds.KYUSt_chr2.4634 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28621072:28624257:1 gene:KYUSg_chr2.4634 transcript:KYUSt_chr2.4634 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSVVRFGPAGPVPGPVGPALALGCPARGPVDRVSREKADVSTLNTPCPSHRGNQSSATAALSCWGTEMLKNVSEFEKLSKMVYDYYTSGTEDKWTLMENWFRPCVSVDVSSIDMVTNILGFDVYHDLSLSHEDNDSS >cds.KYUSt_chr1.35987 pep primary_assembly:MPB_Lper_Kyuss_1697:1:219213692:219215065:1 gene:KYUSg_chr1.35987 transcript:KYUSt_chr1.35987 gene_biotype:protein_coding transcript_biotype:protein_coding MPATVALLLSLISVAAAAMDPAEREALLRVMEAVSSDRDWRSSSGPDPCASPWPGLECKPDPSSAARLMHVTRLDFGVPPNPACKPSAAFPSHAFSSALPHLQSLFLVDCFNNPSTPTRLTLPPAANLSASRLQQLSIRSNPSLSGTLPPQLAALRSLQVLTVAQNPLVRGEVPRGIGELENLIHLDLSYNSLTGPIPARVGDLRSLAGLDLSYNSFSGPIPRELGGLAQLQKLDLSSNNLTGAVPPALAGLRSLTFLALSNNGLSGRLPAGLAGLRDLQYLIMENNPMGVPLPPELGAIARLQELRLANSGYSGSIPETFGQLASLTTLSLQNNNLTGRIPAGLSRLKRMYHLNLSKNGLDGAVPFDGAFLRRLGRNLDLSGNPGLCVDDDRAVVKDVGVGSCRGGNGNGYDDDTSSATAVVRATTRGDVIRSHDDAPWFALLRPSASVLLCCLLL >cds.KYUSt_chr1.26127 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157107037:157108608:-1 gene:KYUSg_chr1.26127 transcript:KYUSt_chr1.26127 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGSAPPAAGSGMFVPTHTAGTVLCCMCGVSMQPNPANMCARCLRARVDITEGVPRNAAVVYCPDCFSYLQPPRSWVKAGPESPELMQILLRRLKHPLARLRVSLSGAEFVFSEPHSKRLRLKLRLRREVLNGIVLEQTHPVEFVVHDRLCDSCARAQANPDQWVAVVQLRQHVPHRRTFLYLEQLLIKHGQAALAIRVASAPGGLDFFFGSRSHAARLVDFLGTVAPIQTNTAKQLVSHDTKSFIYNYKYTFSVEICPICREDLIALSPKASRDLGGLGPLVLCIKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVEYMVLDIEQESPEITVDGSKYQLAYAQVARMSDFGKNDTVFTVRTHLGHRLNPGDLALGYDLYGANMNDDDMDKALLRQNLPEVVLVKKSYEKKPRTRRWKLKRLPVEEDLGNKAKGEEERREDEYVKFMLDLERDPELRFGINLYKNEDYRSEMASTIGDDVPTIPIEELIEDLSLGDDEDDEEEEGSAAPAGMVE >cds.KYUSt_chr5.16293 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104806030:104807243:-1 gene:KYUSg_chr5.16293 transcript:KYUSt_chr5.16293 gene_biotype:protein_coding transcript_biotype:protein_coding MYRYAPFVVCAAEDGESRPFYGGLYTVGGHASPLLLAATLRPFLDVVRSEEADSVVTSASLAALHKVMALTGQSLSGSGLREVVDAVASCRFEAGQRPTPRRPC >cds.KYUSt_chr4.20241 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127271207:127271578:1 gene:KYUSg_chr4.20241 transcript:KYUSt_chr4.20241 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTVLRRLNCWEHMRNRRVMLAAKRGLDPDLTAPSVAPCRPDGPPAARRLRAVLDLNPAQSRLPPQRAWAGPPLLCSVEKMRSSTAGVLVENPIRLDDAREVGSGVLDQTRCQESSGTSA >cds.KYUSt_chr5.38140 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240972609:240977823:1 gene:KYUSg_chr5.38140 transcript:KYUSt_chr5.38140 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRADRHRDHSTAAKAADELLAAAQDMADMRNCYDNLLSVAAAIANSSYEFCEALQEMGTCLVKRVTPNKEGINDKVLLLLGKSQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKRQCDEKRDLFEYMLNAQKEKGRSRNSKGDNSASEQQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGVKSLEAVEPHVRLAAEQQHIDHQFSALEEEDYSVEDENDDDYNDSHDGELSFDYGENKEAAEAGHASRSPREEFFDRSKGDYSSFASERQRLVSQSAPLFPEKKLETAERVKELRRSATRKLNTYVLPTPNDVQASPQIVAGNPTGGSPVGSKVMKLTVKYEGDRIVMSMMP >cds.KYUSt_chr1.32742 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198871755:198879368:1 gene:KYUSg_chr1.32742 transcript:KYUSt_chr1.32742 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGAGPAAGSDAARAEVSGMAQTNQPAYPPLASGDHPWSSSTGAAAVSWNYPVDSQKQDTVYYDPQRDVSVSGDNQTVASSAPPTVQSTMSLPNASHSQVPYSNSLQYGYNPAEYGNYYYNYPQATNNYSAQQGGTNQHSGAAYQPLTSFQNSGSYVDPTSNTYYNAGGHQTAPGYATNNYYYQNDTHNDGSSGNNYAQSYQNYSFSGTNAVQNSSTVPANSFSYQPQYNQWPYYHNHSAPSPAVNPVAGSSNIDNRVVNTTSGYSYPSAEPPPPGTTSWKSNSGASVAPPLQAPSVQEPQNQYVQHAQETPALQNQYPYQAPGVPVSHNYYTNQAPAYQQTAYPQNNMNANQVPVNNHGDQQKSGSLTTDSSSENKIQIPAIPRIAPGFSMVIPKSEKKIQVADLVKKPAYVSVSMPKNDAKAVQHGPDARSVPFSLRNYGMRNLSRCKNDAQRAACQSIMQQITSKALSDGTLLTKNWDTEPLFPLPENLLTMTETSSANNSSPLSKSTPKKRLKSRWEPVPEEKVTEKVEPLAKTLMNGNANHNLEAKNTTGNNWNFGKFDHCAHTPLNKITQRPFKKQKMGSYSSVIRNGNTSSDSDKEQDLTKYYASASALANSPEEKKRREHRSKRFEKSKDSSSKSRNSAVNKDVHTRRPISALATRSSENGSSLAVEDLDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEHVLEKALSMVETSQKNYLFKCDQLKSIRQDLTVQRIQNELTVKVYETHARLALQAGDLPEFNQCQSQLKRLYREGNKGCDFEFSAYNLLCVMLHSNNKRDLLSSMASLSKEAKQDGAVKHALAVQSAVSSGNYVIFFKLYKQGPNLNSCLMDLYVERMRFEAIKCISKSYRPTVPVVYIARILGFLLNGDDRLEECEIWLKAHGAILSLDNSGELQIDTKTSATTLYMPEPENAVAHGDASLAVNDFFARTSEKLKRLKNASGVGGWNKDRMPHCIWPVHLWLQLHTCTPVNCRAPELEHYPTQDLLLSVERQISTGLLL >cds.KYUSt_chr4.2148 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11837145:11838275:-1 gene:KYUSg_chr4.2148 transcript:KYUSt_chr4.2148 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDGVAPAAAAAPGLVVSFGEMLIDFVPDVAGVSLAESAGFVKAPGGAPANVACAVSKLGGSSAFVGKFGDDEFGHMLVEILKQNGVNAEGCLFDQHARTALAFVTLKSNGEREFMFYRNPSADMLLTEAELNLDLIRRARIFHYGSISLITEPCRSAHVAATRAAKAAGLLCSYDPNVRLPLWPSAQAARDGIMSIWKEADFIKVSDDEVAFLTQGDANDEKNVLSLWFEGLKLLIVTDGEKGCRYFTKDFKGSVPGYAVNTVDTTGAGDAFVGSLLLNVAKDDSIFYNEAKLREVLQFSNACGAICTTQKGAIPALPTTAAALELISKGSN >cds.KYUSt_chr7.38141 pep primary_assembly:MPB_Lper_Kyuss_1697:7:237809791:237813038:-1 gene:KYUSg_chr7.38141 transcript:KYUSt_chr7.38141 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRQHDAYPEETLETRELAMRLDCSSVCRYVNTCMMETAESRPWSDLQPELLGLVLSRLPSLADRVRLRAVCHPWRSNSLFQSIPRPFPWLSLPDGTFLSISSSQIHRLSVPEGASCQGSIDNWLFLMHNDDACSLMNPFSKTSLELPKLANVWKPAMHDPNFGFNPISYKLVVPSPLDSSPCPLVAAMIKDNGRYGTLCISQPPGVIDIFKDERHPIRHLGDVAFFDGKLYVLNGFGQLFIFESDKDIGISTMECIIDSLGDLGGTPQSLSSEVVYNPSLS >cds.KYUSt_chr6.14677 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91933622:91934370:-1 gene:KYUSg_chr6.14677 transcript:KYUSt_chr6.14677 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCGLECVLCVGCVRWAWKRLTYIGAYDSDTWPPAAADDFRPVPRICQIIMAINEDDLANPKFAPPGLGYADIDAAGIVKRTTYADVGADCPPYIVYVDRRHNEVVLAVRGLNLVRNADYQVLMDNKLGKQMFDGGYVHYGLLRAAQFILEKETDALRDLLRKQGAGCRLVFAGHSLGSGIAALMTILVVNNRRAFDDIPRSHVRCYALAPRPPRRRTPCGRR >cds.KYUSt_chr2.51962 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324338764:324340788:1 gene:KYUSg_chr2.51962 transcript:KYUSt_chr2.51962 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNGIYHFFYQYNPREATWGDGKLSWGHSVSGDLVNWAALENALDATAHFDINGCYSGSATVLEGGRPAILYTGMNADMEQVQNVAFAKNPSDPLLREWEKPSCNPVIPIPADVTGNNFRDPTEAWRGRDGLWRVGVGAEVGGLGSILVFRSADFLRWERNAAPLHVSSQEIPELECPDLFPVAEQGTVGLDVSTPSGPGVTHVLKLSDLAREDHYMVGRYDDAAETFLPGEPERGVDCRNWRRFDHGHLYASKSFYDARKKRRVLWAWVDETDGGGVARGWAGLQAFPRAMWLDSDGKRLVQWPVEEIETLRRKRVGLERATEVEAGGTKEIAGILSSQADVEVVFEIPNLEEAETLDPKWLLDPPGLCAEKGASVHGGVGPFGLLVMASGDLEEHTAVFFRVFRHLDTYKVLMCTDLTKSSTKEGVHKTSYGAFLDVDVEKDKFLSLRTLIDHTVVESFGDGGRTCMTARVYPEHVATSSSQLYVFNKGTCAVKVSKLEAWELATAAMNGGASGSVEPDVGAL >cds.KYUSt_chr6.5685 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34122295:34123883:1 gene:KYUSg_chr6.5685 transcript:KYUSt_chr6.5685 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRFPPVSAYDPSLRMRRTAAADLDGTLLASSSAFPYYFLVALEAGSYLRALALLLVSPLLLVLYVGVSEAAAIALLVFVSFAGLRARDVEAVARGVLPRHYAAGVRADTWEVFRGAGAERRVVVTASPAVMVGEFVREFLGAEVAGTELETFAGGKRFTGRIKAVLVGKRKREVVEKLFAGGDMPDVGLGDRESDHDFMAVCKEAYMVPTDKRAPRASPDSLLSRTIFHDGRLVRRADPPQAFFALAYLPLGFVVALLRVFLNLPIPPHLVHHTYALMGIRLAVRGTPPPAPCPGTPGSLLVCNHRTALDPIILNIALGRPVTCVTYSVSKLSTAISPIPAVALTRDRATDAARIAALLDSGRDVVVCPEGTTCREPFLLRFSALFAELTDRIVPVALEAQQGTYYGSTARGWKFLDPYFFYMNPRPGYEVTFLPALRPEETCGAGGRSAVDVANHVQRVIAKQLGYDCTTLTRKDKYMKLAGNDGRVAPVAGPGSNAKKLP >cds.KYUSt_chr4.31170 pep primary_assembly:MPB_Lper_Kyuss_1697:4:194812566:194812889:-1 gene:KYUSg_chr4.31170 transcript:KYUSt_chr4.31170 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRGIGAKLSENREKVTSALLLGSFVALAVRSSEQQRELDDLEARKASIRAANSAMSSAMWAWREELFALAAKPSPPITAARLRHIYGEEEPVLPAPKQQGGYLT >cds.KYUSt_chr3.25870 pep primary_assembly:MPB_Lper_Kyuss_1697:3:160622986:160625064:1 gene:KYUSg_chr3.25870 transcript:KYUSt_chr3.25870 gene_biotype:protein_coding transcript_biotype:protein_coding MEFISGEELVVMLAPVAVYWFYSGMYEALGSSKALDKYRLHSRRDEVIKNMASKKDVVKGVLLQQAIQAAISVAVLKLTGSEQDGGIDVKSPSLSAATASFLDTVARFAVAMVVLDAWQYFMHRLMHSSRYMYRRFHSWHHRVVAPYAFAAQYNHPVDGVLTETLSGAAAFLTSGLSPRAAAAFFVFATVKGIDDHCGVLVPWNPLHAVFQHNNTAYHDVHHQLGGGRRNFSQPFFVVWDRLLGTYTGYTVEERHGGGLQVKITKDHV >cds.KYUSt_chr3.21550 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132275359:132277125:-1 gene:KYUSg_chr3.21550 transcript:KYUSt_chr3.21550 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEIQESVGILKADKGANKFKGAEEPSGVLKGAQNAWDMLSEEQLQKHISTGSSDLNGILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPMDYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDIMEYFPYHHDKSSSGQGNLQPERFLAGIYYFRICSYTEQIAVINYLEKFLGEHKDVRIVIIDSVTFHFRQDFDDLALRTRVLSGLSLKLMKLSKTYNLAVSFLPYVMTLA >cds.KYUSt_chr4.5859 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34295369:34295884:-1 gene:KYUSg_chr4.5859 transcript:KYUSt_chr4.5859 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFFVLPAYYLRTPSPDHDDNLLCRGIEEGADVRQQPPPTQPNPANWRLFKIFARCLTAMVSIGMIVWIFVARHLNLDPDLQDPYKMAALLVLSLIPVGFGFMITQGDIQTNMPAQGEEHM >cds.KYUSt_chr4.27814 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174757766:174764978:-1 gene:KYUSg_chr4.27814 transcript:KYUSt_chr4.27814 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGEILNGIGVHSGFGGFIEAVWMKRFIIELGVVPSALDPLVIYCDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEDGEVKICKVHTDLNVADPLTKALPRAKHDQHQNAMGVRFHVGAGIPGVAPHYISLPSTFNVLLGSYWFDKPWFLTEGKLAVEDQQQRRRTGATMDFLFKTSCWLMLCFETVAPPKGLNELAIMEGLCFGTDQSAACFRALTHRVAAASAGGSQHRCGSCKPGSSRAAVVRGLERAELWLYGSAVESLR >cds.KYUSt_chr6.13665 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85589134:85592082:-1 gene:KYUSg_chr6.13665 transcript:KYUSt_chr6.13665 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHLLSRARLLISHRLRPTILPPALTRFVFGGAERTQPEDKARAAAAAAVALEASAKRAKREGSDDDEEDAGLPWRAWRPDVAWLTKALEPALQVYKQYNWKPFASTSGGNSIPESTRSFSEILSDLQRSKVSIKDWSLSDLTVGLYLIYLSQASAKNAEAFKGVQISSNKKVQELIYHLELARGCYKSSADVLARHSMLRKRNVLKFVKDSSILRPGYYIAIDPRAKLVILGIRGTHTVYDLVTDLVALSDKKVSPRGFSTHFGTYEAARWYLRWELKIISQCLEKHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGFGVPPCVSREIAESCASYVSTVVLQDDIVPRLSAASLARLRNEIIETDWEKVLEKEDWKHIVDIVTNAKLVVTSIQDVANKLADYAKVVTTSASSDVTTKSPPPRLQSSTEVVPKPDSEEDVYVPEDLFLPGTLYYLKRDVEVVNGSEDESYTLWRGDAGENFQRILLSGNLISDHKCENIQHALRDVLKTQPLQEE >cds.KYUSt_chr7.38947 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242456496:242459934:1 gene:KYUSg_chr7.38947 transcript:KYUSt_chr7.38947 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTIQSVKARQIFDSRGNPTVEVDIGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVSNVNTIIGPALIGKDPTEQVDIDNFMVQQLDGTSNEWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHNLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFFSEKDKTYDLNFKEDNNDGSHKISGDSLKDLYKSFVSEYPIVSIEDPFDQDDWATYAKMTSEIGQQVQIVGDDLLVTNPTRVAKAISEKTCNALLLKVNQIGSVTESIEAVSMSKRAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGEAAVYAGANFRAPVEPY >cds.KYUSt_chr2.36286 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224115534:224119226:1 gene:KYUSg_chr2.36286 transcript:KYUSt_chr2.36286 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGGATNGAGGEDEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSILREARRIIQDSDIMKEDDSNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSNDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >cds.KYUSt_chr7.10934 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67195327:67198002:-1 gene:KYUSg_chr7.10934 transcript:KYUSt_chr7.10934 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVKEMARSSKRKISATSSCGVTLCNKRSKSAAVSVSLPDEMLMQVLLRLPIKTILRFKAVCRSWAALLSSDEFRTLHMEITRTAPEELKILIVSPTERFDSTGIYSCSPLGPRRNDQLLFTLDHARRDSVKVLTPIPCRGLTLLFDDVTPAYYLCNAATRAVTRLPPFGEAFDSFSAGLGFDVRRRQHKVVRLINEMGMVKCEVYTPGSDCWRPAARGVPFRLCCVATSALCNAEDNTASPVFANGCLHWLVAPYNLNAKPRTAILSFSVAEETFTSVRAPTFGPTVRTLPFPFIASGGLTDQWFPWHWAGRASEEHLTVVDNQLCMVRDLRTNPYGSTLEIWKLLDYSAGHWSLNHCINLSGRAGRDLREPQIVSVIGNCRPGKKIIIGSSTHKVHDTFKKQVHTYDPSSGALKTILSVTETHTSLNETPPGLRFGLIEESLTPVHKTGEEIALSLTLAKVTKEILLRLPAKSAVQSKAVCKQWLRLIESENFIETYSKHKNMDKRPKVMLIGKGSGHLGFSFAPLNGWIREAPKHIALLCRKVICSKPCHGLNLISTETDNYLYNACTGFYRVYHSKKPKMHLPSRACRVHKAEQHAFTVGNKNVGLTFDPLTREHVVVEMSYNLKDYKSRQYNLECTLRWCNSRGHARENFVPVPPLPVNEMPPAYVEGVLYWMSEPRLGRSHKRVIVSFDITRNKFDVIPCPPCIAMWNSTSSCRAYVVELEGVLCAILTDPLANSLDVWKLEHGQWGRSYSICLKASPDYSLGTNVVVPLAVDPYDCRILLNSGRKVGLYDPVEQTVETLYSLDQVHDAASTTHLSVCQGSDINKYRHPDPSNPSLSGSNLTCSRDQQSGEINRLDTEFLPLVPMLYEESLKWYPSVVKARMSR >cds.KYUSt_chr1.30374 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183905211:183907267:-1 gene:KYUSg_chr1.30374 transcript:KYUSt_chr1.30374 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCYRLPRRAGSAIPATSAIQVLLPRGPHVDRAGSKSDRSSDTSSCVTFFCGRGEITHSRGEGNRQMELSPSPPPSPEGRWADLPDDIAVAIACRLQEADVCALGGCSRSWRRACDANFVWEGLFRRRWPAAAAAVAAGGGAGASRVQGWKALYINHHGRTAVAVSRVVEFVESSSHDGSLEAEYYLKAMSDLALMKDIGFVNVQFFLLSRNRSAIINLIGLHYSIACLHMLPNEVEKALQACQVAERKVCVSLLKLGRWFYGFRLPDEYESHKFSLSGLTSDEGAKVLVILNRGAVHEVFRLQISLLGANN >cds.KYUSt_chr5.10455 pep primary_assembly:MPB_Lper_Kyuss_1697:5:67096661:67108595:1 gene:KYUSg_chr5.10455 transcript:KYUSt_chr5.10455 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPPGDESPLRQVPEAISRIPRDGIRGGGVSGKVFRIVALGTGVFATEALSRRKGRSHLDEFDCPEAVEIRDGRVHEKGRHEGGRAVHEDPPELMAPPPPINRGAPPQLVFNIISEFLPAIWLDMSLDACKSTDKYTVPIMSAAGNSSNLCSSRVGERDKNSTAKLPPSAAGEMLVNPDNAGLSLTDLKLQHLRSSVKAFLDEYIASEDLDLGSEKEHVGSDGFPYVDWADLRGIALYSLHAFFKTAVETISQEGYSEDAVIHAIRDSALCYQFDGPITKIADSARAMLKSGRQVDSPPSENLDMHLHMLGLYVLSCASSLLKKYFPFFTWSDALWCIMLCDMDISVAHAFSVDMSSYGNEQSDWLVVNNQNANDPSGSYSCSPSESPGGAALPQSEQLEQWRAALAQSPERMWSKVLADYIAIQKCTGRDHVSSSGQDHVSSSGQDHVSSSGQDHVSSSGQGHACSSSQPEKPSSLARTVVTHNKKAAKGTSSKRSLKESRSVMAFLEAANSTLTATSKAAKSLKSSTSFTTMHLSNLSLLKKIDAPTVVSSQPASSPANHASSSSSTGKTVTKRQAQPGDLVYFSLPPVNNSSSSSSTGKTASKQQTEPGGLIHFSFPNTPADGFDFEFSHDGMRTSWVPKDRKEEIALDLIRRLGELKLEVKVWTDWASERLLQSLKRLAIEQPSLALLRKEKETSECGVLTQKLEETKKSLCDISVELDCVDSLELKLTDEVELSKRERDSGKLQEEQSAARLADILRKENASCVKLKSTETEKILLQEKLAAERSNLCRLLKNLEQAEGYKNVLEKKLEGGKKMMDETLKQVDIARNEVERVKLSARRKSNNVLVNAENEKKRLQASAKELQKHIQDLEFDLARGRPQRLAVLMGSPVLLPDSVEQERECQMCLDEDVSVVFLPCGHQIFCISCNQLHQDKVTSPATGVECHQTSSITQIAPELCLRLQAATASPLLPELKVNCEGFDGRSQPHSFLDQIRCQEPLAPDTN >cds.KYUSt_chr5.43276 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272816449:272820949:-1 gene:KYUSg_chr5.43276 transcript:KYUSt_chr5.43276 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDDAGALSDVDEDPLPPPPPPSSSSIPSSKPQPPSQPNHAEAQQRLLDLTADLEEERRLRRAAEDALASSETRLGRLKAFAQDALRKRDESAAAAAASARALQALQSEAATASSMLASGLERISAKACPSAPPAPLPTSHKYSAGLPALAYGVLKRANDIVDDLLAQIAAARRDRDDRNYQIAIEVSELEAALASRAAEAESLSKSLSDRDADLSALRDQLTALTPLLADQIGCASRLYDEVRQLVALVDPDAAGALPDSVFVWKEADIEESLKVSLEGTRMALDIAAMALHKVAAWRDRGSSKVSELQGKVEELVREKEHIGVLLRSALQANTSEVLKVAEDGLREAGIEIGLNGHRDHRPGSAEKDEVYTLAGALENSMKESQIKIIELQHLVEAQRAESGLLRTRMEGQEKEIGQLRKQIKHLEEKERLANDSVEGLMMDVTAAEEEIKRWKMAAEEEADAGRSIEQEFQIQLTSLHKELEEAKESMLELENKLKFKEETAAAAMAARDAAEKSLKLADTRSARLRERLEELNRQAEESEGRADPSSGSGRHRYMCWPWQWLGLNYVRLPPAETEEASNEMELSEPLI >cds.KYUSt_chr4.10524 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63881881:63886168:1 gene:KYUSg_chr4.10524 transcript:KYUSt_chr4.10524 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFRIAGEGLPTGSASSIIAGAVSGYHLLKIVGYSRTKEVPNGKGIDSCPFGAGGRTWHVRYYPNVDPKNVDCISLYLTLDDTVAKAEAVKAQAKFSLLDQHGKPVPAYTKTTAIRDFSVGNNSWGFDKFIEREALEKSDHLKDDSFTVKVDVTVIGECHAQKISSIVVPPSHMYRLFGDLLSSKTGIDVEFRVGGEMFSAHRLVLAAWSPVFRAQFYGPMKEGTTTEAICIDDMEADVFKALLSFMYADALPDMKQEEEYAMTLNLLVAADRYDLERLKLLCEDKLCNHINTSSVSTILALAEQHHCHELKAACMEFLSSPVNLDEAMESEGFDVLAKSCPGVMKDLLSLGGKLCLVRDPAPSSKVNSPTDCPVGVHARRAGNDGAVGAELESTPKFQKGYEGYMASLVGSKTAKDPTVIDLDGGQRCGSSTSRASRPRGHKATKADMKHDALARQLLGTLKEMYADKEMSTEKRDERRRREKEEDMKIHGKEG >cds.KYUSt_chr1.40926 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251013241:251013662:1 gene:KYUSg_chr1.40926 transcript:KYUSt_chr1.40926 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTATRLLAVGGDTTKLGLLVTNLRLRGYQVTSSTDPAAAMAGLRQDGLQSFNFDVVMVDTDSEYPGRARAALNKLFEWFAVREPLLCAYGN >cds.KYUSt_chr5.13696 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88978966:88983741:1 gene:KYUSg_chr5.13696 transcript:KYUSt_chr5.13696 gene_biotype:protein_coding transcript_biotype:protein_coding MALATSHHRLVVAAAAAAAGAAAAAPRGSLRFGAPRTPPRRICCLSVNSANVLGASSATADDPVPQPVVLIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGSVSTDSSVTQTKFHIMRLGRKVEDPDMLETIRLTIINNLLQYHPESSEKLAMGEFFGIKAPENKIDVEVATHVIVEDDGPKRSMLYIETADRPGLLLEVIKIITDVNCDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLVNCLRYYLRRPETDEDSY >cds.KYUSt_chr2.27898 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171201002:171206928:-1 gene:KYUSg_chr2.27898 transcript:KYUSt_chr2.27898 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPWLCHSRPGDDLPFVRRFKPREIEAATSGFSTALETGGPRGTAYRARFADGLVATVRRVAGGDRDHDHQEQHGAFYRELQLLGRLNHRHVVRLRGFSEGHNRFLVFDQMENRSLKECLHDPLRTPLNWRTRLQVAIDVAAALEYLYYFCDPPVFHVTVNSSSVMMDADFVAKLSDVSVVAHESTQESEGSQRIQQRRTELVFQYGVLLLELVTGQSPGGGDGELVQWVQEPGFAGSMQRMVDADLGGTYDAGELRDLVIVARLCTRPGNGSAVVSIPQITSLLYLLRVNAKQCDDLPFMRRFKPREIEVATSGFSMALEIGGPHGTAYGAHFVDGIVATVRRDHQE >cds.KYUSt_chr3.19773 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121694226:121694973:-1 gene:KYUSg_chr3.19773 transcript:KYUSt_chr3.19773 gene_biotype:protein_coding transcript_biotype:protein_coding MARFHLPVLCAAVLLALAAPSLAGDPDMLQDVCVADMASPVKLNGFPCKANITADDFFFAGLKNAGNTNNAAGSVVTAANVMSFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVIEGTLEVGFITTANKLFTKTITVGEVFVFPRGLVHFQQNRGYGPASVIAGFNSQLQGTQAIATTLFAAAPPVPTDVLAKAFRIDNGHVDAIKAKFK >cds.KYUSt_contig_1467.358 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:2009732:2013602:1 gene:KYUSg_contig_1467.358 transcript:KYUSt_contig_1467.358 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGWLAVETCLAGEVRMKIVICSLVCAITLALAADPAILGRASSVRAFVKHGEDSSHVRLSLRGDAPGRDIHITRKIDTNNKSEWLLHAPTIGIRATKIRGGGATHSMGDGGQRNEGGGGAMLLDGHDVVIGVEKASCTSKGDCGVMLRRQGDGGVMPLQPTTAPAANSMRCGGRQREVKVVGGVMPLAAGCGAMPRGEDGGKMLPREGDGVMPFRRYCGVIPLRRCMAARGRHKNRRDAGQKWRDAIHDEDDKVLMVDEPALL >cds.KYUSt_chr3.9713 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57125585:57126721:-1 gene:KYUSg_chr3.9713 transcript:KYUSt_chr3.9713 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTNGTEIKARADGPVQGEGTIVLKRMRHVTMGMEVLDCPVCAAPLGPPIFQCSLGHIVCSLCRDKLPGAEKACGVCSKPVSDRCHNMERVIASILVPCSYAAHGCAADVMAYHEMAEHEAVCPHAPCFCPEKGCGFAGTTAALVDHFTTQHKWPMTVFKYFEPFEVPVKAGRHVLCSHSEGKDDGGFFLLRVSWPESPVHVVSLLRVQPHEPESRFGCSVGFSWFQGHYQVTREDTITSTSLSGGMPTDYLCIVAEAGLGGRGRVVLSVTIDREMVYGDDYDKLFEEDGDDESYIYDEDEDDDGSSDGDESSEEEDDEEEEEDDDDGEEEGGDEVVDHTDH >cds.KYUSt_chr7.4862 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29289552:29289776:-1 gene:KYUSg_chr7.4862 transcript:KYUSt_chr7.4862 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAVGQHGRWRRAVDYLGLGRRRPRPGPWEVQDVTPAAEQEAAPAWKSTARSRIMEERSQQPETNLAAWRR >cds.KYUSt_chr4.10324 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62521328:62522694:-1 gene:KYUSg_chr4.10324 transcript:KYUSt_chr4.10324 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFKFNGIVKEEEFEYFTGAAADGYPPSTWAAADGSSPSSWDGAAGAMVELPRPMDGLGEAGPTPFLNKTYEVVDDHSTDTIISWGVAGNSFVVWDAQAFSTVLLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFAAEGFLRGQKELLKTIRRRRPQSSAPPALLQQREQGAGPCLEVGQFGHEGEVQRLLRDKGILIAEVVKLRQEQQATRELMEVMEARLASTEQKQQQMTVFLARAMKSPSFLQMLVDRQDRQARRRELQDALSKKRPRPPIEYLPPRNGATNSSSASYSPAAMHGYNPGLADGAVRADGGERGRANGGGEDTERFWMELLSLGLEEKQRETGGGGSAEVDDDVDDEVDVLVQVLFSFLAIVEMEKEGGREQLVGDLQDGEVRLLHYSGAHPRRRFDGLQPSCRRLLWPKGGCSEL >cds.KYUSt_chr4.37709 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232452883:232453194:-1 gene:KYUSg_chr4.37709 transcript:KYUSt_chr4.37709 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr4.40639 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250835901:250836615:-1 gene:KYUSg_chr4.40639 transcript:KYUSt_chr4.40639 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLPLAGSEADSISSNGRLRNRSRSEGEEGRKAGGPRKKSVTRSVKAGLQFPAGHIGRYLKKGRNDEELGKLLAGVTIAHGGVLPKINPVLLPKKTAEKAAKEPKSPKEAAKSPKTRIGWIN >cds.KYUSt_chr3.43576 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275021432:275022682:1 gene:KYUSg_chr3.43576 transcript:KYUSt_chr3.43576 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVLLLLLVLAASLAWPASCKTLPVVVPVTKDPATSLYTIPFYNGANLIVNIAGPLVWSTCQRGHLPAKFTCQSDTCKLAKAYPVPGCRAAGCGRDPRKDRTCTTYPYNPVTGSCAAGSLVHTRFVANTTDGKNPMRQVSVRAVSACGTTKKLLASLPRGASGVAGLAGSGLALPAQVASSQKVARKFLLCLPGGGSYGDGVAIFGGGPLYLLEGQPELTQSLEYTPLFIKKDSPAYYITVKYIALDNSRVPLPPRALGTGGVALRTTVPYTTLRGDVYRPFVAAFGKAMAAQWQYARAVKPVAPFGLCYDARTLVNAHSGYMVPSVTLALDGGKKWTMAGVNSMVDVKLGTACLAFVEMKGVKAGDVNAPAVVVGGFQMENFVLQFDLEKKRLGFLRLPFYTPCSQFNFTRNAK >cds.KYUSt_chr5.42606 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268361871:268364985:1 gene:KYUSg_chr5.42606 transcript:KYUSt_chr5.42606 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRPSSAALRSRPLSIRTKPRRAAPPAAAGADESATEGEPLSPTSRLVDNLYIVVTIGLGSRLNKPAFSAGIARLIAPHPRFNSIQVTDGSEDGNPRWVRVKVKVEDHIVVPALDAAAVAADPDKALEDYVASLSTLPMARSRPLWEFHLLDFPTSEPEVASTVAIRIHHSLGDGASLMMLLLASARSATDPASLPAMPTRAGAIYARRPRSAGGALAFAALVWSYVVLAWNTVVDLWCFAAMVLFSRGEPHTVFSPPADRGVLPEHHNNNRRRFVHRSLCFDDVIFIKNAMNCTVNDVLIGVTSAALSRYYFRKSGGTNAKDICLRSVIAVNTRPLTSLQVYADMIESGKNNEAAWGNQIGYILLRFHLAMCDDPLAYVRKAKKTMDRKKNSLEVAFTHKMCEFFLNTFGLKGGAFIFGGMAENTTIAFSNMVGPTEQIDLYGHPVVFIAPSVYGVPQKFKTSIAF >cds.KYUSt_chr4.47104 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291449682:291450973:-1 gene:KYUSg_chr4.47104 transcript:KYUSt_chr4.47104 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLATPLVSVSSVGEGENEEEAAFTLCIEESLHDLHQPQLAVKGFRIASVVHSLALLFTEEVWYCCNLTTSFGRQVLLLMLEIRGSCGGACWRSERDALLGIGEMAMRIREVGYELLGAGEKAMRSREVGRGGGV >cds.KYUSt_chr7.14640 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90416104:90417243:-1 gene:KYUSg_chr7.14640 transcript:KYUSt_chr7.14640 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAFRSQAPGLRDFLRSLPAVHALVADMFAVDALDVAAEVGVPGHLFYCTGANNLAVFLQLPSFCSRSGGDLKDLGDAPVSFPGVRTMPASHLVDGVLDSGTELYAAVLDVFGRMSAARGILVNTFEALEGSVMAAIREGRCLPEHAKTSVYCVGPLIAEGEREEERHPCLPWLDAQPERSVVFICFGSRCTVSLEQISEMAKGLEKSGHRFLWVLRAPPAFAAAEPDAALSLLPEGFLARTAERGLVVTASWVPQVDVLRHASTGAFVTHCGWNSTLEAVVTGMPMVCWPLEAEQWMNKVYIVEEMKVGIEVRGYKPGELVTADNVDATVRSIMDMELEGRRAVMERALAVKESAAAAWKEGGSSFAAFTEFVKQME >cds.KYUSt_chr3.28188 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175870442:175878269:1 gene:KYUSg_chr3.28188 transcript:KYUSt_chr3.28188 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEIVGRHALLFDDDAAAEVVNSGGSLVPWSAAGATNLLLDRHDVRHLLDRVPPRPSRAYSVALLAVPSPDGVSEAELDRERFLDLHAADDGTVEGPSSGNGTDTGQSDYNAVPFSYGGPAGSGDPNESVSFYRPSFIVPESLLNKLVNGWSRDEVPTSDAHCFLMIYLDPPSEKVHQIIARTALFVSEHGGQSEIVLRVKQGSNPTFGFLMPDHHLHSYFRYIVDHPQLLKDGSDADTNKGNTTVMSENEHAAPSSGALSLLGAVYESGGEDEDVLPASSKSTDSGNDAVLPEKGHKGCASHIHDKEMKKEPTVTEEALTADKDKPIFTKKNPAITGNSITAAHREKVKGAMVALTTSTKSENSKLSVSDTKEVILEPPSFMKGTVEKIVEFILRNGKEFEEKLIAQDRMTGRFPFLLPNNPYHSYYLKILQETQESKSRGGSSECKDRRSSSERRDRRGSSERRDRRSSSERKDSGHEKEVSKSKGRGSANKDSSASDRSSAEPSEKQLNEKGKFQLVIGGVKKEPPRKVTADEAAAIVMAATRGLGSMDPQSNTVKDTRDIGRMQDPGEVSKPVSSSEVCTSLTSSGQVKKEGIGIIDDDWISNTIAKAVAVAASKEADSSEASMTNAQKLKAERLRRARMFTAIIKGGGSKGDPVTSDPVNESAKVSPADLNLPGPDTKPLATEREGSSVPSEREGSNMKKQEKDSDDEQNKARKYRKHHPESNEDIDDLEEESYKHSRKRHRSRGHSMDAHKHKQRQHSKDREYMHENSYSSSEDERRSSKSRHRHRHDHYYAEDAEHRSSHRHRRNHGSGSKRKHKDDRAEPSQSTSEQKYGLEQPPGDTAQSSNASTEHSLDDKRFRKNERQLIAVISKILSRHQGSTRRLSLSHNYTSDIHDKLHGWFMSPALCHLQEIEILHHRVDESDEPLALALPLLVLHRFAPTVRSAAFDFCSFPEDAPHGHTFPHLKRLVLQDVAVSQGTLQGLLSGCSVLETLLLNDVRSDESVIRRVLINSPSLRIIENGYTVEVVIENAPRLERLITFWAITELITLTPLCSSGYPTHRKLDLGLVKIHQGSGSGKLQSNHAQREGFGSQGFWTKS >cds.KYUSt_chr4.20893 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131457990:131458609:-1 gene:KYUSg_chr4.20893 transcript:KYUSt_chr4.20893 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSSNSAYRKRKRDLNANGGPSSPESKRRLFPADVAEPNRRAAKVAKMDLSPNELLLLVKQATDLATAASATWRLYAMRQRRGYGELAPLLEFQSHISAMRAKAAEIRANTAGWPTVEMQPDLSGPAMADGQLAGASLPPSLNESHEIRVHGDVGTAPRAVAEAGSGSAE >cds.KYUSt_chr5.19661 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127569700:127577077:1 gene:KYUSg_chr5.19661 transcript:KYUSt_chr5.19661 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAEPLNPAAKINGGAAMAVMLANGGNPQQSSPRRPDQQQQGAAGQKVTTGCPNAAPLLPNSGKDNKNSATLIKKEGTSSGKGATSSSTDPEREGVRRTQDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIRLSQIEQQVQAARVQGVLLGTGDQHHQGLSSGPSVAGMFDMEYARWVDEHSKLIVQLKGALNDHAPDNQLQVIVSGALAQHDELLGLKAAIARTDIFHLLCGVWASPAERCFLWLGGFRPSEVIKVMLKHVELEPLSEGQLLGILNLQQWVQEAEGSLSHDMGNLQHSLSDSVASPDLAGSNFMGHMNHALNKISSMEGIVRQADAMRQQTLHKLHQMLTIRQAALCFVSIAEYFHRLRAVSTLWAARPRLDGQGQGPPPS >cds.KYUSt_chr7.6583 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39787900:39789982:-1 gene:KYUSg_chr7.6583 transcript:KYUSt_chr7.6583 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRKRPAPAPFAGFSTFARSLLFSPASGFSRLPLPVAPAAKPQQETAGMPPPLPSKRAKLAELPSDDEELPSSDGESDTDSSRSDGDSSSSDGDDASQEMETVQADFAFFDPKPSDFHGVRLLLKTYLDSKPWDLTGFVDLILEQTTVGTVVKMAEDEEEGEANGSDGGDNDDLFGLITVLNLGRYGKNRCIKDLKDYLLGVCGDKDTKKKLRALLEEKASTVGLLVCRRFVNFPYEMVPKMYDSLFDEVSWATEDEPTQELQDSFRFKQYLLVVRIFERKTPPKQKSKGNKDYDEPVIYSKLEDEVFRELSSWSFTFPIRAEQSAQQELKNYREMGLVMCVKAEAIPKFRKKLEALVSE >cds.KYUSt_contig_1861.124 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:564392:570734:1 gene:KYUSg_contig_1861.124 transcript:KYUSt_contig_1861.124 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHCTRRQWSAWSRPIHGGLGTVELKISLASFQFSSVSSPVLRPWRRIIADHGFRRRYGEFHRTPLLLGFFANLARKPHSQAVFVPTSAFCPAEDNRPQWIVIDCRHGRALFAPYHWKTRGAASFDLVVWDPMTGDWHPVPLPESLDLSVGFSAAVLCTAGGCDHRGCQGGPFCVAVAFSRRQVNRASACLYSSETGEWSELTSVHHAHALVKNTPSILVGDELYFSCTTRHIFKYQLNSLCLSLLELPLASQDNGRLITMDDGGLGYAGIDGTDLILFSGETGGGGETVAWAQRRVIDINSLLPVGALLDPAFAGGSLLNPRVNGFAEGTQVIFVGTSVCVYMVELQFGRVTKVLDHDADVVPYMSFCIPVFSAIPIAFGKVIFSENHTLTKVSLPIPPIHFSLPPSLLCPSRRRYPLPIHGVADRPQKMAKKTAIKPPGNETKGPKAPFAKSRKAPTVKKKPEGWTEDQWQQDCLRRKLSTAERKGRRAAQLEKKTVVMHAHQHALAACIAASSASPWSTPAPVYIAGVLSPSTSKLVAAVRGYAAAWRLQPQCCVRLSGVRPSAPAQDRPRADSAPFTGRRGQLEFVGVGGDEEGEGGKGWRRTRTRTKRVATTRTRVSWRMQMISWRLTRLA >cds.KYUSt_chr3.4877 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27708841:27709762:-1 gene:KYUSg_chr3.4877 transcript:KYUSt_chr3.4877 gene_biotype:protein_coding transcript_biotype:protein_coding MIARANRPKPSSWQTVDNKATTRTSRPKSGPDRTRAAASSPRRRRPPPASRSPSPRRHIRRAKPARRSTSPSGCAGGTRLLRCPPPFGGMGAATAASAGDGSGLAGGVEREAGQLRVALMAKVPNITLVDGEEGSGGEGASGKREKCPLARRTAKPIDPPLKVYSDKIMTVADAAREQGLTVAQLLGIEDVPNREEAWKYVYGQPLV >cds.KYUSt_chr4.48665 pep primary_assembly:MPB_Lper_Kyuss_1697:4:301373550:301375522:1 gene:KYUSg_chr4.48665 transcript:KYUSt_chr4.48665 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYRSAAGGGGDCQIKLFGKTIPVPDASAGDVHKQDLQHSGSSTTQPRVKEIIPQDSTDSPPQPEVVDMEDPSAVKNSSEDQQEEQDDTANQKEKLKKPDKILPCPRCSSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSITAASHFLQRIRAAMPGDPLCTPVKTNGTVLSFGSSTSTLDHTEQMKHIKERMPITRIENTDDPSVGSCADGWAKAEESNQMNSRERVAADEPANVQHPCMNGGTMWPFGYAPSPAYFTSNVAIPFYPAPAAYWGCMVPGAWNTPWQQQSQSQSGSSPSAASPVSTVSSCFQSRKHPRDGDEERNANGNGKVWVPKTIRIDDVDEVARSSIWSLIGIKGDKVGTDDSRGCKLARVFYPKDAAKTTTHRVINSSPFLKGNPAAQSRSVSFQERS >cds.KYUSt_chr6.18048 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113635720:113637174:1 gene:KYUSg_chr6.18048 transcript:KYUSt_chr6.18048 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFTLLCSRMPDRIHDEVRHFITKWTPLVAAYFNPYVHLTISEQSDEQFRRNELFDDISAYLTDKCAHGARRLKAELGNDGVLPEVTLDDEVQVTDDSDGARIWWYATNKGPSYRSPSPVFSFFAADTEPRLFRAVFHKRHRQFVLNTYLPRALAKGHELIANMTRQRRLFTNHRQGNRSTWCHVPFEHPATFDKLAMDPVQKEEIIDDLEAFMDGKQYYSDVGKAWKRGYLLYGPPGTGKSTLISAMANKLKYDVYDLDLTSVKNNSELRKLFIETKGRSIIVIEDIDAIEVDLAGNRKVADKKSGSSSCCDHLPLDPNKDDGSKVTLSGLLSFVDGLWSASGGERVIVFTTNRVDMLDQALTRRGRMDMHIEMSYCRFGAFKVLANNYLRVRDHELFDEIKRLLDDTDTSPADVAHNLMPKSNKRKRDADMPPSEVAYYLKEDTDACLAGLVETLKKKAKRESTTAPTIEVVVPAQNGTSK >cds.KYUSt_chr5.40961 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258537246:258537635:1 gene:KYUSg_chr5.40961 transcript:KYUSt_chr5.40961 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRQREARPAKAMSAPAEEAFTARERDAAEQLIHLSESSSSSGAPRTAGRAPAVSSRSSSSPRSVNTSSVLAPAAPPVLLGCCAGPEEDGEQEVAGSKRRVKRYRLIAEIYAATEEIGGRARQPEEQD >cds.KYUSt_chr5.33664 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213704117:213705343:-1 gene:KYUSg_chr5.33664 transcript:KYUSt_chr5.33664 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSFDVIVLGAGIMGSCAAHAAASRGATVLLLERFDLLHHLGSSNGLSRTIRDAYPKPHYPPMVRLARRLWAGAEADAGYRVLTPSAHLSIGQRSDASLLAAVRNGGGAEVDVGERWPGVFRVPVDGWVTAVSELGGGVLNATKAVAMFQALAVSKGAVVRDNTEVVGIVRNHTTGGGNGGVRVVTSKGEEFHGAKCIVTVGAWTSKLVKSVAGVDLPIQPLHMLSLYWKIKPGHEHELAAEAGFPTFSSHGDPHVYSTPSLELPGLIKINYDSGPPCDPDRRDWSSGVDDAATRVAQWIEEFMPGHVETAGGPVVRQSCMYSMTPDKDFVIDFLGGEFGQDVVIGAGFSGHGFKMGPAVGIILAEMAIHGEARTAAEAGVELHHFRINRFEGNPTGNNNSNNAD >cds.KYUSt_chr4.43113 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267067149:267069948:1 gene:KYUSg_chr4.43113 transcript:KYUSt_chr4.43113 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGEGLRGGSFLGSLSSHNGDSVKSTLAYAKQIIHREGTCGSDSADDLLSEKHKTHTEEAQTCRRLLAGVVVLLCMCTAASGLSCDFYDDSCPDLDSIVQARVSAAMKAEARMGASLLRLHFHDCFVNGCDGSILLDGPNSEKLALPNLNSVRGYEVIDAIKADLEKACPGVVSCADVVAYAAKYGVLLSGGPDYDVRLGRKDGLVANQSGANNNLPSPFDSISVITQRFKDVGLNTKDVVVLSGAHTIGRSRCVLFSSRLANFSATNSVDPTLDSSLASSLQQLCRGGDGNQTAALDAGSADAFDNHYYQNLLARKGLLSSDQGLFSSPDGVNATKALVQAYSTNSQRFLCDFGDSMIKMGNIPPLNASAGQIRKKCSAVN >cds.KYUSt_chr7.25844 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161305802:161317900:1 gene:KYUSg_chr7.25844 transcript:KYUSt_chr7.25844 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFLYKDDGHMSVRVLDDSSCCRHYHNDDSDKDIDDGDDQLADGKRSHRRHDLTHAITVATMSMSQGSSSKGEGQYKDYLDACLEGSFTSKEVKAKWDLLETIQSNTKDWDNDKGYDNGLLNPMNTSCFYCDDMITPNQHDELTLFLGALAATVPRLRDRVVARSTDAIEAGTLLAESDNPLRRCLSWVDLAFLGFGSVVGSGVFVLTGQEASFDAGPAIPLAYAAAGFSALLSSFRRRGTRWPRGPRVEGGGGRRAHGR >cds.KYUSt_chr4.22381 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140613417:140614907:-1 gene:KYUSg_chr4.22381 transcript:KYUSt_chr4.22381 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSSSSPMDAVAAAEKMSRDGGKKQQRQSGSSFWGAMAFKNRSQPKGGEAVQSKNSGKSKTTTTTPRSISIGRSITCPGSICGTKESAVLSRGSRRDRNNSSSRSLKAPDNDTLSMSMAASSAVVSASSSFNSETTMATTATTVSSSSSSSPLSSIGAGSRSFRKLSGCYYECHSVVDPGASHVGDAAMAMLPCADCDEFFVKAESLELHRSTRHAVSELAADDTSRNVVEIIFQSSWFVRKPRAAPVCRIQRILKVQNSGKTVERFEQYRERVKASAAASSADALARSSYPRCAADGNELLRFYCTTFNGCSLGLAGSTVLCRSPSQCKLCSTIRDGFRVDGDGKIATMATSGRAHDTARVSLLDGGGAEKRAMLVCRVVAGRVKKVVSGSDSSDEFGCDSVSSSSDLDEMFVFNSRAILPCFVVIYTVATES >cds.KYUSt_chr1.41503 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254571070:254571676:-1 gene:KYUSg_chr1.41503 transcript:KYUSt_chr1.41503 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMDKAKEFVADKIAHMPKPEATLDKVSFKGMTRDAITVHSHVNVTNPYSHRIPICDITFSLKCGGKQVASGTIPDPGWIEDSGEVTKLEVPAKLPYDFIITLIKDLGRDWDIDYVLDVGLTIDLPIIGNFTIPLSTAGEFKLPTIGDFFGGNKEPATAHE >cds.KYUSt_chr2.35624 pep primary_assembly:MPB_Lper_Kyuss_1697:2:220052865:220054723:-1 gene:KYUSg_chr2.35624 transcript:KYUSt_chr2.35624 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLRIPDIAIPDTDYQDGPAQGLDKLDLHASMEQHHIDPAADERGTASDAGPVEQEERAETSGGAVDASRRPLAPSWEAEKNNKNKKSAGELSRRPAAAAEESARERLKRHRTEMVGRVRIPDMWGQERLLNDWVVDCAVFDRPLAATRGLLTARDALVAECAAARRTPHGHAATTRPLRVQNGCS >cds.KYUSt_chr1.39559 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242006103:242008454:-1 gene:KYUSg_chr1.39559 transcript:KYUSt_chr1.39559 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGRGRSTPSSSGSRRGKRGTSGGSSVDKPRQPQRGLGVAQLEKIRLQSEMAEYLHHPPLAGQPPPSSIHGAGSFNLQEDAWSSNSLSPPKSSSFHANNINVPSAYAIHPNLAVSIGFKGLHDLNHSLTVDQWSESMNSDDPQDVDLELKL >cds.KYUSt_chr6.21855 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137912412:137912723:1 gene:KYUSg_chr6.21855 transcript:KYUSt_chr6.21855 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr7.29008 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180431293:180447791:-1 gene:KYUSg_chr7.29008 transcript:KYUSt_chr7.29008 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFLFTLCSIIVAIDSVFFARSLVLRDECRSDDVDSDDESFHTKTRHVLGSKTHQKFAPSSSSSSHRKSGARPLLHLLLLLLLVFPQPVTPPSPPPSAAALVAYAAGQIRSAARPPASPAPRFRPEIRHRRLGTAAPSSEAIRRPKPRRRAAQLPYILRMPLHNVEDLISYSFICSLEYSGRLPRGFPTDPKEYKLYEEIGEGVSATVFRALCVPLNTFVAIKVLDLEKCSSDLDGIRREVQTMSLIDHPNLLRACCSFANDHQLWVVMPFMAAGSALHIIKTNFPDGFEEAVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPQKRPSSEKLLKHSFFKQARTADFLARSILEGLTPLGDRFRALKAKEADLLLNNKLGPESKEQLSQKEYIRGISGWNFNLEDLKAAAALLDSSNGTYCFDTNNKDKGGLQDAYNEAEDIYQERVNLGASTRLDEDEIQEVEDLNGDLASSFPTRPLEALKSCFDVCGDDDQDPTAMNLRVQPSTESTSPVQETPQMQHSRIEIFNGENLERSVSVPSNLGNSHKFSSGSLIPEHVLSPYKNVGSDSQRNEFHQKNPCIRNRSGPLFFRQMKDTRSHLSGTPDPSSEVNVVQRRGRFQVTSDNPAQKILPMQVRLVRLSHRAHLLERRDWSHMLSICSEELAEEVQRLKLRNNQPFFVAVCGLFTRLCPRFTDFVYVGSPLYTDFRGLFPRLWPRFADFVSLGLPLYIDFCGLFMRLCPRFADFVYVGPPLYIDFCGLFPRLWPRFVDSVYVGMPLYIDFRGLFTWL >cds.KYUSt_chr2.9081 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57120841:57131978:-1 gene:KYUSg_chr2.9081 transcript:KYUSt_chr2.9081 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTSGCGVSAINRFSRVDISSYHPKNTLPQRSGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGATPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRYLEKHGIKVEGVLRQAADVEEVDKRLQEYEQGRTEFAPGEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKEARVNAMRSAISETFPEPNRRLLQRILKMMYTVASHTTENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVATLLEEYESIFDDERLVGSSPSPESQIEDSGSEASTDDVNMEAKGNGFHDAENDVDQEMDDDNGAERILSGKLSESSGYAGSDLYDYKVHADSDAEHSVDYKALDEKTDFSEGPKIHSSENGSANMEISPSEKNPSNPTSGHETPLSMGEILSSLDPGISLPSHGSEYSADRHSNKTNGSHSHVKRSNFWGRNNARKSHHPESVDSSGEEELAIQRLEIAKSDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRSALEVGLSMSSGQFSSPRAMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPSLDGNDRYHRIPGNFSQQNFVQPGFDMKLAFCNQEKQRNEESSVDASQWRNIKQHVLPYGSSRPLTRKLSLDASSSDSRGIEASTSMSSESTSVEINIPKLAEGVEYGRQPMVASSALVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQSFPYKPPSPWTSPR >cds.KYUSt_chr7.14189 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87749207:87751380:-1 gene:KYUSg_chr7.14189 transcript:KYUSt_chr7.14189 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIISPGFTDVAIFNARLPESVAGPSGLTASRSLCCGLGMTLLLLHLYLLFLLSRALGVMVASGKGGCRVVVLRNWRRSPSEAPSWSTTSASQMLAVIHGSEIWQIFNLHRRPLLRLTVASHVDCKASGFVPALELDGDMVDLLLVGGEREGLDCFSLSFSEALSANIRDLCRNGCGNVDCEMSSACKSCKMRREHYFWNHMRDDQMQFIVRASGDFKDSLIFEGVPHGAPCDPHVLNEQVQLDPAHVQTSTDFGYTTLPGCRLTKAQDEKVLDIARTMRSEMPLYVTTMNKSNVHLKDCSVNMPLKLLDHFQEDISKATVQLEDSGCNLYSVEASKHTDDQIVLQSG >cds.KYUSt_chr1.25527 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153205059:153210013:1 gene:KYUSg_chr1.25527 transcript:KYUSt_chr1.25527 gene_biotype:protein_coding transcript_biotype:protein_coding MENPFSLKVLQVFTGAGVGCGVGVGVGRPIYLGMIPGLQQVMSATRGATDSFSGVTRHVNAAIRKAGLKNVEAGIGCGVGIGHGFGIGIALKPRVLHGIQSSIAELMSKLTSKLKDPSGESAASNPTAGPFPTNGRTPIGMSMDLEAKPVKSNLLDTSSSQIAEVQPTHGLYGQHGMQPETITGSRTEKVIANFLQSPLFQNDTNTGIRDAAVNLHGMDNVLQLVLKHQRVIEELRDENEKLRQVLIEELKVSPSKLQPDRTNGVKTYDPCSECFDCRRRSRKSNR >cds.KYUSt_chr1.32195 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195493235:195499591:-1 gene:KYUSg_chr1.32195 transcript:KYUSt_chr1.32195 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDHCDPAVLQPLARVAPTIDAVLLSHPDMMHLGALPYAMKHLGLSAPVYATEPVFRLGLLTMYDYFLSRWQVADFDLFTLDDIDAAFQNVVRLKYSQNHLLKDKGEGIVIAPHVSGHLLGGTVWKITKDGEDVIYAVDFNHRKERHLNGTALGSFVRPAVLITDAYNALNNQVYKRQQDQDFIDSMVKVLSNGGSVLLPVDTAGRVLELLLIMEQYWAQRHLVYPIYFLTNVSTSTIDFVKSFLEWMSDSISKSFEHTRDNAFLLRYVSLIINKEELEKLGDAPKVVLASMSSLEVGFSHDIFVDMANEAKNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERVKKEEALKASLSKEEELKASQGSNAKASAPMVVDASLSCKSSNAGSNVGGSVDILIDGFVPPATSVAPMFPFFENTADWDDFGEVINPDDYMMKQDEMDNNLMLGAGDGMDGKLDEGSARLLLDSAPSKVISNEMTVQVKCSLAYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCAKNSDLHVYAPQLEETIDVTSDLCAYKVQLSEKFMSNVISKKLGEHEIAWVDAEVGKVDEKLTLLPPSSTPSAHKPVLVGDLKLADFKQFLANKGLQVEFSGGALRCGEYITVRKIGDSNQKGSTGSQQIVIEGPLCEDYYKIRELLYSQFFLL >cds.KYUSt_chr5.27010 pep primary_assembly:MPB_Lper_Kyuss_1697:5:170997779:170999023:1 gene:KYUSg_chr5.27010 transcript:KYUSt_chr5.27010 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDHEGEMAALRETLRQQSLAVEMLKAELEEERQAASSGADEALAMILRLQAEKAAVRMELDQFRRVAEERILHDEDSMAFLKAVVFSQEMDISSLKKRLLAVCVSNDQYAAASVGDGAFDLPWLRRLARNGLMSGRNASLPAARLEELCSDLGAAAGGEYGDTRPARTVSDIGEVIRREKEWVRSNVSHKAPPRLHRSASHHLRRVPSYSAQCGMPFPAVNVHDKFEAPESVASHAPPRYSRRSSPEIISEEDELLSSSRRRGDRDGVKPRNEHATISELGAGIEQIKCSVKNLATELSRTSETSLSRGDAQTQLLAEICAKLDAISKHQINGVHGDGDKRNTSREQGSSSKGVNLPQSELLMNHFIEVCAIISSALLARPLLASMSFFRCVLIFVLAVVFTKALMSSYSQ >cds.KYUSt_chr3.40517 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255595357:255597196:-1 gene:KYUSg_chr3.40517 transcript:KYUSt_chr3.40517 gene_biotype:protein_coding transcript_biotype:protein_coding MARDPSCSVYVGNLDEKVPEKVLYEILIQAGHVVDLYIPCDFETGRPKGFAFAQYETEEIAQYAVGLFSGLVRLNGKTLKFGLSGQDKPSSNGNNPVMPKLNPIPLPKQPQFVHSSDMPVSHKPAYPVVNDGIPHNGSSLSYYPYNVHPQALPTQPVHEHRPFVHGTYDYNSRAYSSALIASYGGYAVNAVGHGAPRQPIMYPSY >cds.KYUSt_chr4.20715 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130413392:130421399:-1 gene:KYUSg_chr4.20715 transcript:KYUSt_chr4.20715 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVRLRLDGDRVAVEIPAAMNRMKKITFARTWQQPPRRRCARRARRLLASRCIRRRAIPATSRPTGSPTPCRLAHAGNTLSACDGDLSGSIPASSAPSTAWKTPSTTAATLFSAQARCSTCHYMQMDCHTSTTKDDLERMLYDDTAEPKALPLSLLAEITNGFSAEHQIGEGGFAVIYQGILKNGKVAVKRLSNKLMDDKEFLREVECLMTVKHKNVVRFLGYCADTQGSMELYNGKLVMANVMQRLLCFEYLSKGSLDQYITAQMSEVVLPALTKIGSILGDESTMVIIANLSKKVTNLTKLPGQVEQIGKQLEKMGIVIGKISTVHLADGITKSWIGEVRKLACRVEDVMDKFSYHVAKLKGGGLLKKFAMKRACYDKVFTEIMDEVAEMDKEIQLVIQMRDQWLKPCKDSFPVFIQDEGFVGIEDNRILLTRWLYSKEPESTVITVSGMGGLRKSTLVSNVYEREKISFSVHAWIAVSQIYNVDALFRKLLWKIGYTEQPSSLGIDKMDVNALKKEIQKRFENRKYLIVIDDVWEKEIYMQMHDAFENLQGSRIIITTRKDDVAKISSPNRHLELRPLNKPDAFDLFCRRAFCNHKGHMCPKNHEMIATAIVDRCRGMPQAIVTIGSMLSFRQELDIWQQTYYQLLKLSTDDRVRDIINLCYHDLSGDVRNCLVYCSLFPGDHPMSRDSLVRLWVAEGFVPSKGNNAPEVVAEGILMELIHRNMLEVVEIDELGRVTTCKMHDIVRELAFSFAEEERYASANDYGTMIQIDRDVRRLSLCGWKDSSALKLKFPRLRTVVSLGLISSSPDMLSSILSVSNYLTVLELQDSEITEVPATIGSLFNLRYIGLRRTKVKSLPDSIENLSNLQTLDIKQTKIEKLPRGLVRIRKLRHLIADRYADEKQSEFRYFVGMQAPKELSNLEELQTLETVESSNDLAEQLKKLMQLRSVWIDNISVAHCANLFATLSTMPFLSSLLLSARDENEALSFKALNPRSTDLHRLIIRGQWAKGTLNCPIFLSHGKNLKYLALSWCHLAEDPLGMLAPHMPNLAYLRLNNMHSPSTLVLYEGSFPNLKTLVLKHMHDVRQLNIIDGALPSIEGLYVVSLLNLDKIPQGIESLHSLKKLWLVGLHKDFRSQWLNSGMHQKIQHVLEVRV >cds.KYUSt_chr1.38804 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237045635:237046153:1 gene:KYUSg_chr1.38804 transcript:KYUSt_chr1.38804 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLLVLNLIMYLIVIGFASWNLNHFISGTTNYPGVAGNGATFYFLLFAILAGVVGAASKLAGVHHVRAWHGGSLANTAASALVAWAITALAFGLACKEIHIGGYRGWRLRVLEAFVIILMFTQLLYVMMLHMGLFGNQFGAGSGGYGAGDHGYGDHHNKGMGTGAAATRV >cds.KYUSt_chr3.7297 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42277210:42278192:1 gene:KYUSg_chr3.7297 transcript:KYUSt_chr3.7297 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSHQEQEASSASSRNGVGRAAVPTMAPSVSTTSDTANQAQSKRAPPPHMFREIVAGEKVTDVAALEDQASTGVLLLAAKTKKYWVDARTRHNCFMLLPRGLHITWSEDPKYWTWKPLKEGRNHTYLLFRTCHLTISDAEAETEAAALQNVCWLEIIGKLELSHLTPGVTYEVVFEVMLTDPAYGWSTPVNLRLKLPDGTVQQHKENLMAKPRGEWLQLKVGEVKPQKGQNGEAEVSMFEYEGGQWKRGLLIKGIKIVPKE >cds.KYUSt_chr4.3209 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18290227:18294931:-1 gene:KYUSg_chr4.3209 transcript:KYUSt_chr4.3209 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGEPGPRQLKQQTRRACQICGDDVGLTPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLKGCARVPGDEEEEGADDLEDEFNWRDRDDSQYAAESMLHAHMTYGRGGDIDPQPFQPNPNVPLLTNGQMVDDIPPEQHALVPSFVGGGGKRIHPLPYADPSLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQDRLHQSRNDGSGKDWDGDGDDGDLPLMDEARQPLSRKVPIPSSQINPYRMIIVIRLVVLCLFFHYRVMHPVNDAFVLWLISVICEIWFATSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLAPVDFFVSTVDPAKEPPLVTANTILSILAVDYPVDKISCYVSDDGAAMLTFEGLSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVAPNFVRDRRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGLDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYVNNSKAVKEAMCFMMDPLVGKKCCYVQFPQRFDSIDRHDRYANKNVVFFDINMKGLDGIQGPIYVGTGCCFRRQALYGYDAPKTKKPPSRTCNCWPKWCVCCFCFGNRKTKKKVTKPKAEKKRRLFFKKEENQSPAYALSEIDEAAAGADTQKAGIVNQVKLEKKFGQSSVFVASTLLENGGTLRSASPASLLKEAIHVIGCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLNQVLRWALGSIEIFFSNHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELSNLASIWYMSLFVCIFATGILEMRWARVAVDDWWRNEQFWVIGGVSAHLFAVFQGLLKVIAGVDTSFTVTTKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIVIVWSILLASIMSLLWVRVNPFLAKSDGPTLEECGLDCA >cds.KYUSt_chr4.41147 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254381843:254382355:1 gene:KYUSg_chr4.41147 transcript:KYUSt_chr4.41147 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLSPGAAGEVHGGGAGCKKRPPSRLQKKAPASLQVEPVAGAAAQASPAAWADGRTPIPLLSPLVTSPAPLWEGGSAQAEARSGGEAGFSLSPARHGGDRHADEKAKTPAPAHSGGWLHPAMPTPPPAPAPVAGGWRHPAMPAPVAEPASLAPLFKSQCTVEMRNAQH >cds.KYUSt_chr2.43435 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270297141:270299411:-1 gene:KYUSg_chr2.43435 transcript:KYUSt_chr2.43435 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPNGTILHLYHSGHLSAALHFFQSLPSSPAPAPLSAATYSALVAACSRLRSLCEGRLVHHHLLASPEAGLVRNTVLNNHLITMYGRCAAPDSARLVFDQMPTKNPVSWASVIAAHAQNRRSAEALGLFSSMLRAGTAPDQFALGSVVRACVELGDVGVGRQVHAQAMKSGNGSHLIVQNALVTMYSKSGLVGDGFSLFRRMREKDLISWGSIIAGFAQQGYETEALQMFREMIAEGMHHPSEFHFGSVFSACSVLGNLEYGEQIQSLSVKYRLDRNSYAGCSLSDMYARCKKLESARRVFYGIDAPDLVCWNSIINACSVQGLLNDAMVLFSELRDSGLRPDGITVRGLLCACVGCDALNHGRLIHSYLVKFGLDGEVSVHNSLLSMYARCMDFSSAMDVFNETREPDVVTWNSILTACVQHQHLEVVFKLFNLLQRSVPSLDRISLNSVLSASAELGYIEMVEQVHTYTFKAGLVNDTMLSNGLIDTYAKCGSLDDATKLFEMMGTNCDVYSWSSLIVGYAQSGYARKALDLFARMRSLGIRPTHVTFVGVLTACSRVGFVDEGCYYYSIMEPEHGIAPTREHCSCVIDLLSRAGRLTEAAKFVDQMPFEPDIVMWKTLLAASKTHNDVEMGKRAAEGILRLDPSHSTAYVLLCNIYAASGDWDEFARLKKAMRSTGVKKSPGKSWVKLKGELKVFIVEDRSHPESEEIYTMLELVEMEMIKVGYVPKLLCEHDNFDHTYSDMSHDEMLAEYG >cds.KYUSt_chr7.24191 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150858614:150859306:-1 gene:KYUSg_chr7.24191 transcript:KYUSt_chr7.24191 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPQANVAEVEQELLSLGASISSSNTISTICDGLRRLGDIYNGVEEIVGLPSNQVGKMLDGEMECSLELLDLCSTMQEIFMEMKAIIQELQVALRKGDDVAAQAKIQSYSRLAKKAQKHFKKTVKKANSVGCRMVMLLTKAREISVSLLESSVHLLSKEINMPKQSLVSKAFHKKKAVVCEEEQLQAIECSIGDLENGTGHLFRKLVQIRVSLLNILSS >cds.KYUSt_chr3.3695 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20985691:20986752:1 gene:KYUSg_chr3.3695 transcript:KYUSt_chr3.3695 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLKPVIVLVLLALCACTAASAPLLTKINKGAASTALYTAPLSAGRPLVLDLSAPAITTPCRSGTTTTVTLSANTTNGANPLSPVSFAATATCAAAPSGAAGVAGLGRSSTSFPAQVASTQKVANSFALCLPSDGRTGFSGNGVGAAIFGGGPFYLAPPADREAITTLLSDPVPLRQPFAGNAGYFVTATGGVAIDGSVAAAGPLVVGLSTTVTYTQLRADVYSRVIAAFDRALGQTAKVAAVAPFELCYDSSKLGSSLSGYSVPQVDVLLEGGTNFTVGGGNSMAQVNANTACFAFLKSSGSTTGPPVLIGGFQLENRLVAVDNAKQQLSFTGYLPARGFSCSNFNFTKAG >cds.KYUSt_chr2.44308 pep primary_assembly:MPB_Lper_Kyuss_1697:2:275607002:275607580:1 gene:KYUSg_chr2.44308 transcript:KYUSt_chr2.44308 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAHEPWKHLCFFPRVIVSLLCPGTKARLGLHPVLGRHLLYMILDTLQLAFLRCSTSARPDGKLQLQIVATMVSSTSRRSSSSQVGATTPRRSSLPRVRGRLCVVSARLGRAPPFICSTATSCFDDALDVNLPCCVRRQALHRARRRHAVYVDLASASVVRPSSSKTAPYTLPASLSSPSSAAAKGASLST >cds.KYUSt_chr2.1579 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9262681:9264463:1 gene:KYUSg_chr2.1579 transcript:KYUSt_chr2.1579 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVPRFEWDIFGTFWCTVATVVLAGRHAGAGRCGTAHPEVVDEVEVAAEAAGVEEEEGGRVDRTVARKILASSCDVQLWEDDEESEESIKASFPSSVRGVGYERQVLGLCPIDEEVSQDLRFDGGSWLVQDGVGRQLQSPLGDLAIGVLAADNFRKGGSFAWTGRAPH >cds.KYUSt_chr6.11526 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71597608:71600017:1 gene:KYUSg_chr6.11526 transcript:KYUSt_chr6.11526 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTPVASKMAPGTSVRVRRRGARLPWNRGQGPLLCILARKRTKRRVVAPRRVDAPFRAAEAPRRGVAPSMPAMRRQEEVQQRGGHGHIATRAVAPVRVGADRAVAPVLPAARRTGDVMRPRRGADEQVAPLNLRAPAVGGELARRPARAVCIVPRTAEIDHEDEALSRALLAVIVGVRRAVTTEEVAHALEDVHGLPPASFSVHCHRPEDFLIYFASAADKDRVLGEGVLESLFFRLLLRPWSRRTHAPSGGLCVHTELEIEGVPANAWSLATAEAVLAPAAWVERLHPLTCSRADMGIFRLSAWSLDPATIPREVDLHVVEPDDPPSLEDIAAPTQAVVPPHINTLAYPLIVHVTRTTDFRRSAPRGEADRRGDDDGGQTAAWPARRQYHYTIGAPDSLPGAGDGGGASSSGQAGGGGRGTTRTLASGVVVGDLDQPASRLAQKKKRKSRGGRKVRRLKAMARAAESAGRQDEQDPDAMAPLEEDGPVKSTTAGVEGAAGAAGLYHDRRSCAPRPGDDRVGAPFGPSFNAGFLGRPACSAAAVGLGRLHGPGPIHGASAGRGSDSNRGAGLGCLSEAGPGSTLDQDRDVDAPAGLAPILELTMGTTSDPPSQEVAGEVGAEDEVADEEIVVEQPLARVIRDTGGFTATPAPTPSICRFASPPLVFNRSRPAAASRPRDAPARPRTLGEFLMAAKSRSDALMQTPAVRRRLVELNFQPRRSSRIAGQPGGLSSEMKAV >cds.KYUSt_chr4.42320 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261872867:261873505:-1 gene:KYUSg_chr4.42320 transcript:KYUSt_chr4.42320 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRSITDTVERRRIAETVRRHRCAVGEAEGRCAAETKYSRRALDSQDWGDDTGVPPPWSKGNASRGHPRTSRQPRLGHHDNSQPGRIHPKGRREDERHICPTRAKLQNGASKEEYDAESAVTASSRPCPEQRFLSEKARTSGQADLPHTAETTTKVDTGHRSGSGRTTDGPIRTGAQPAVPGPDPWPPRPEPWGWMTREGGARRQGWRSA >cds.KYUSt_contig_662.185 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1181167:1183974:1 gene:KYUSg_contig_662.185 transcript:KYUSt_contig_662.185 gene_biotype:protein_coding transcript_biotype:protein_coding MREREEQTACDRIFREFVAGYPHIPSTHVRVPLFSYPLFFCPAGNSVLESGVQFAPRLMIFLCDCRVARFEELVDGGRRFLTRFRQELVKALLEELQCLVEGAYDATLTANLRAMHVPDESTADNKMNDPSNCREEKGEQPADHLDSDSSLVTLMILVHNMLKLDYTMQEKIVGSLSLKSSSTELDGYCLMWDLRPYVEGDLMNLAWKSCP >cds.KYUSt_chr2.2905 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17162851:17166371:1 gene:KYUSg_chr2.2905 transcript:KYUSt_chr2.2905 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYKCCLIFKRRYRWTDAPPPEDVRSLFAQHSGGTATMGADGLRRYLESTGTDPDLDGDAEAERLLDQIRQAQRVRVPRVGRPLLGIDDFHRFLFSDDLNPPLRRPQVHHDMAAPLSHYYVYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDMWPNSAEDDINILHGRTLTTPVSLLKCLRSIKEYAFVASPYPVIITLEDHLPPELQDKVAKMVLEVFGNILYYPQEEHPKELPSPEDLKGRVLLSTKPPKEYLEAKAGGTIKDGDAEANPGKGGTDDDAAWGKEVPDFKTEIQFAKQEDDALDDDDEEEDEQQIQQHLAPQYKHLITIRAGKPKGGTTSDALKCDPNKVRRLSLSEQQLAKAVVNHGTEIVRFTQRNLLRIYPKGTRITSSNYNPFIGWVHGAQMVAFNMQGYGRALWLMHGFYKANGACGYVKKPDFLMQSEPEVFDPKKQQPVKKILKVKVYMGDGWRMDFKQTHFDQYSPPDFYARVGIAGVPADSIMMKTKAIEDNWVPVWGEEFSFKLTVPELALLRVEVHEYDMSEKDDFAGQTVLPVSELQPGIRAVALCDRKGNKYPNVKLLMRFEFESV >cds.KYUSt_chr5.30012 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190291716:190293599:1 gene:KYUSg_chr5.30012 transcript:KYUSt_chr5.30012 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRPPHPRRIRPLAAASLVLFLLFLCIRSDGDSPPSSRPVYRRFLSDADPAASKGGNLSEITPPQLDNPSASCAGIARHEGFSSQCDFVRAHPQCSSGGFVDYLDFFYCRCERFRVIGYAVLAVCLAALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNGAPDVFASIAAFMGSGSGDVGLNSVLGGAVFVTCVVVGAVSLSVAEKNVQIDWKCFVRDVGFFLITLVALSVILIVGKVTFWGAMLFVLIYVVYAFVVAANELLRKHARMLKFDLVTPLLPLRASIFAQGIEEDDSVYSSLLEEDTSDDVAQINASLPQWMWASHVAIYSNQGRDGSPDRPLWGWNEEGRVDTSTLNFSKLILFLELPLTIPRKLTIPIVEENRWSKEYAVASAGLAPVLLALLWNSQDGVSMGASIASYVIAGVFGIALAALAFKCTSPDRPPRRYLFPWVFGGFVMSITWFYIIANELVALLVAFGVILGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGISMLLGAWSTAPNSYVLPQDISLIYTMSFLVAGLIWALVMLPRGGMRPNRTLGVGLIALYSVFLFIRVSNAMGILPLPGLN >cds.KYUSt_chr3.48128 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301241375:301249393:1 gene:KYUSg_chr3.48128 transcript:KYUSt_chr3.48128 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAPPPRRPPAHRRRHTQGKQPIYDRVPPQIPTAGGGRALQRRFLAVAAEQGGGRHLLGAARRNLAPRKVIPLILALPQLCSLFRVPLLPQQPPSLLVFLVRSLFASQPIQKGECMMEVPYNALLTEDKLPEEVCRVLGGVAGDATKTAVLLMMEQRLGDESGWAPYVSSLPSRDFLNHDGDSDSILVYDEQKDVSEVIADRNYAVGEQVMIRYGKYSNATLALNFGFTLSRNIYDQALVWIDMPEQDPLYKKKIDIWQKHQTPKSEHICSPGCTKTSFAIKYVVVVGQLYILDFRSMFNDIMITSLELEEMAMEAAENDGRLARRPLKHAEREVHAHRKLLFHVDNMIQGHSTAIEQLEIVDGTASRNMHPFRKEMAKDILAGELQLDFGNQPHFKSKICIPGISLDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRGLADRYDIMSGELHKANHTIATAFPDQVQYAMLQEEDDNVPKAFTPVDPRKIHKSTVDGLLKKKKGEGAKLGGAKDAPAPINKENAREEISRLQKAILVMQTEKEFIKNSYESGIAKYWDLEKEINESQEKVCQFQDKFDESVVIEDDEARALMTATALKSCEDTIVRLQEQRKTAARQALDESERVKVLREKLKPILDEHGKSLPDLSEKNIRKNHVTEMGDVYHVKLGELEMQTIVDKIKEHFERDCNISMEEITEQIDDLVNTVVDLELMVSSQTSQIDRMRRENDELENSVKTLEEEKGLSEMEEKLKQVEEELVKVQALESSFHKDESTVRSNFTEAINRFSDFADMLQAPVCEHQAGSGAHMSAAATEEEAPPTEPSDKSFADDIKEEIKEVVMADHSDVPPQVPEPQENTTTEAASGAAVAAAPVDLPKTEAAGSTDVSVDGTKSADNGHDKISRPGSLARLRHISTDNLGESEEQEEKMKQEGSAVEMAKLQERLMDGLEDKEKVLLSEYTSLLEDYKDTKKKLVDVEKKNQDCLNEIRSLRELIGEKERNCIVERGSRGHRRTPSYGHQRRQSLSSISRLIRMGSSVQEGNSESSDTASDSGLDDLRLPSIAEVENPSPLEEKFRKDIDTLLEENLEFWMKFSSSLQRVQEFQSRYEDLQTAKADGGDGEKKLRALKTELQVWSEQNAMLRGELQCRFTSLCDIQEEISAALEMDTDAAEEAEEGAPRFTSYSAGKFQGEVLNMQQENNRVSDELQSGLDHVKTLQSEIEKKLSEGVNLSSSTSAAEGEPTEGSTAVVLARVPSKAKVPLQSFLFPTKAKKPSLFARVTPAMLHKQQVDMKFLARLPR >cds.KYUSt_chr7.28007 pep primary_assembly:MPB_Lper_Kyuss_1697:7:174883291:174889876:-1 gene:KYUSg_chr7.28007 transcript:KYUSt_chr7.28007 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGRTTHSQSQRLRRLIPCVLFIVFAVHAVSFALYLLLQSHHPPPNPAEPEPQTHERLRAPSSQKPWPRLPSLLPWTADPSLPPRSCEAYFGNGFSRRVDILPEGRGGWFRCHHSETLGSSICEGARVRLDPALVAMSRGGEPIGQVMGRAEEEELPRYEPGALEVEGVAAGRTGPLLEPGFLDAYVPADGIGMHTMRALLDSARVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTNLPDRPNVVFVDGHCKAPLEQTWEALFSNVAYVKNFSGPVCFRHAVLSPLGYETALFKGLSESFSCEGASARSLREKPDPQKTARLSEFGEMIIASFGLLDDGIVSSKTSDGLNVLFVRREDYLAHPRHSGKVESRLSNEQEVFDAVDSWAKGQKCKINVVNGLFAHMSMKEQLRAILEASVVIGAHGAGMTHLVSATRDTKVLEIISSLYRRPHFALISHWKALEYHAINLPGSYASSADVISELRNILKGLGC >cds.KYUSt_chr6.14270 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89157656:89161575:-1 gene:KYUSg_chr6.14270 transcript:KYUSt_chr6.14270 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKENYRVELRAAARQLGDRCLYSAAKWAAELLVGIEPDAAAPSQPAAMDTPSSSGAGPGGRLLHLHRSGGSSFRRRMRPGGGGGSEAGTPLGGVSYVSTPIPDDDAFDAGADKYLLAKTYFDCREYRRAAHVLRGHVGRKAVFLRCYALYMAGEKRKDEETIELEGSLGKSNVVNQELVALERELSTYRRTGAIDSFGLYLYGIVLRDKGCEGLARTVLVESVNSYPWNWCAWLEIQSLCTTSDILNNLNLKNHWMKDFFIASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRELDDADMIFEELLRTDPFRVDSMDIYSNLLYAKESLTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEYVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYALYYFRKSSHLQPNDARLWIAMAQCYESDPLQMIEEAIKCYERAANSNDTEGIALHQLAKLHSMLGQSEEAAFYYKKDVERMEVEERQGQNFVEALLFLAKHCKSIGRYEEAEHYCTRLLDYTGPEKETAKNKLFAWETRPSVNVQERSAICFFFISILHAVRHDTFDFKRKLQTRVFMDKESRFVTHPTPAKEKLARAAHLMKLVFLITGAKDLAPIFPHTVMLIVCSLARRLHNSGDDDLVRMMLALSCWRLATRRTRRLHGAKMSVIGMIQIANSSSIVDGFLPGF >cds.KYUSt_chr2.55152 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343904743:343906001:-1 gene:KYUSg_chr2.55152 transcript:KYUSt_chr2.55152 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARVNLKGWQQAAVAVGSAFGALLDPKRADLIAALGETTGKPAFERVLQRMRNSHEGREILLERPRVISTQVSRAWDMPENTFGAAYAQFMGSRNFSPDDRPPVRFMDTDDLAFVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVAGGSVKFSDKQRNLFFQHYFPWATKAGLNATDLMSVYYEKHFHEDLEEVRRNWGIVPCPDPKKSSVV >cds.KYUSt_chr7.39328 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244602760:244611753:-1 gene:KYUSg_chr7.39328 transcript:KYUSt_chr7.39328 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVGEGGGDAWLRTKNGHVGRQVWEFDPDAADPDTLAAVDAARREYTSAGRHRLKHSADILMRIQFTKENPLKLNLPAIKLEENQDVTEEAVSTSLKRAISRFSTLQAHDGHWPGDYGGPMFLMPGLLITLYVTGALNTVLSSEHQKEIRRYLYNHQNEDGGWGLHIEGPSSMLGSALTYISLRLLGEGPDSGDGSMKKGRNWILDHGGATYIPSWGKFWLAVLGVFDWSGNNPMPPESWMLPYCLPIHPGRMWCHSRMPYLPMCYVYGKRFVGRITSLIMELRDELYKVPYSEVDWDNARSICAKEDLFYPRPLIQDILWATLRTCIEPVMMHWPVNKLREKALNHVMQHVHYEDENSQYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRIYDYLWVAEDGMKMQCYGGSQLWDTAFTVQAIVATGFIDEFAPTLKLAHNYIKNSQVLEDCPGDLSYWYHHISKGGWSFSTADHGWPVSDCTAEGLKAALLLSKISPEIVGEPMEVNRLYDAVNILMSWMNENGGFSTYELTRSYAWLELIGPSETFSDTMIDYPYVELTSAAIQALTSFKKLYPRHRREDVDNCINKAAKYIESSQRSDGSWYGSWGVCFTYGTWFGVKGLVAAGRTFSNSPAIRKACDFLMSKELAFGGWGESYLSCQDQVYTDLEGKRAHAVNTGWVMLTLIDAGQAERDPTPLHRAAKVLINLQSEDGEFPQQFIMTNPSSKNLVTD >cds.KYUSt_chr4.14967 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92459683:92465887:1 gene:KYUSg_chr4.14967 transcript:KYUSt_chr4.14967 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSRLASNSRLRPRPIPVVPRPTKQPPLPHLLARPTAPSPPLHRLLLPLSPLRFAATAASSSFARLPDDDWRRALAGRGRWFASDASAAQMPAGEVAELVEVPLAQTGEGIAECELLRWFVTEGDQVDEFQQLCEVQSDKATIEITSRFKGTVHQIHFAPGDIVKVGETLLKMIVGDSQVVSHDSISSSSDISLGMGSTSPFGEGNAPCGSLSTPAVRHLVKHYGLNINDIQGSGKDGRILKEDVLNYAASKGLCQEPLSSLEENIGQVELPVGGKSFLETHTYEDKRILLRGYQRTMVKSMSLAAKVPHFHYLEEIKCDALIELKALFQKENKDQTIKHTFLPFLIKSLSMALSKYPLLNSSFIEETNEVIFKGSHNIGVAMATEHGLVVPYIKKVQSLNILEITKELSRLHEMASNNKLSSTDITDGTITLSNIGSIGGKFGSPVLNLPEVAIIALGRIQKLPRFDDEGNVYPSSIINVTVGADHRVVDGATVARFCNEWKCMVENPEMLLLHMR >cds.KYUSt_chr3.22025 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135654310:135656328:1 gene:KYUSg_chr3.22025 transcript:KYUSt_chr3.22025 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDGKKKRSNAEEKAESAEPLPSAAAAAKVPTKGRLSTSTTRDSRGDDADNNKVTHRSRTAAKSVFTVDEKPSKPKPASTRPAISNPYLAGVDLPPSESDSDDDDDEAAERRRSRATVDLKAAALSKKDALRKERREAEAAARAEADKRDALRDNLDAFAVTIRGRAPGGPAADKARDIVLEDFDVSVEGVPLLVGASLRVSHGRRYGLVGPNGKGKSALLKLLAEGRKLPVPRGIRSTLVAQEDKNDDPRPVIEVVLAADEELARLRAERAELEGSGDAARLAEVYDELTVSGWDSATARASKILAGLGFDQDKQARPASSFSGGWVKRITLAGALFVQPTLLLLDEPTNHLDLRAVLWLEEYLVARCKSTVIVVSHDQDFLNSVCDEIIHLHDKKLHAYRGNFDDFEKAARKSRRRKPNNSKARCDDYSVEFHFPAPDELPRRRPLLQLSEAGFRYPDFTLSGVDVDVYMGTRAAIVGSNGAGKSTLLKLLSGELSPTQGKVRTHHKLRTGRYSQRFVDTLKLHHTAVQHLLEAHPDLDGDEAEASAALADFGLPSESHLTPINRLSGGQKARVVLASMALEEPHVLLLDEPTNHLDMQTIDALADALCEFAGGVVLVSHDSRLISRVCDDEERSEVWVVQDGTVSTYHGTFEEYKEELMQEIREEVQA >cds.KYUSt_chr4.18062 pep primary_assembly:MPB_Lper_Kyuss_1697:4:113292137:113299752:-1 gene:KYUSg_chr4.18062 transcript:KYUSt_chr4.18062 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAVGGMLASAVIKLVIKQIGSAMGSEIKLHWNMKKDLEKMKMTLESVEAVLSDAETRSVTDNSALLWLKRLKDAMYDISDMLDDLEADTDLWAATMNKIKMPRKMKKMQKRLQKIADDRNNYRVLPETRSEEKQVPDIRETAGNVEEAEIIGRTKEKLEILARISGSTTQGTTILPICGIGGIGKTTLARLVFNDSEFKQYSQVWVYVSQKFELKKIGNIIISQLSPESPIPDDLHSIHTRLRELFTGKRILIILDDLWERDPTRLQELKALLKQGEASKVLVVVTTREKRIAKEIATVDPFELPPLSDQMCWDILKVKSKFETRQDKEHLEPIGKEIAKKCGGVALAAQSLGHMLKSKTYDVWDAIRSNHIWNLSPSEETSSTHEVLASLLLSYNFMPPHLKLCFAYCAIFPKGHHMISDDLIHQWIALGFMESSSTFSTWQIGESFIRELLEMSFLQHSKNARSLEKRQDATRFIMHDLVHDLARSVMADEYNLEGPNCRYAWLTDCSRKPLQSSTNSLAKIRSLHFADKLSNSDAFSPAKHVRVLDFWTNDMHDLTDSIGQLKQLRVLPESIGEMKGNTSSNIYLLEHVSPAELNIWQLENVKSREEAHSIHLSEKQTILELTLSWTNGANRSMDDMELLTELVPPTTLKTFRIDGYSGVGFPDWLMSISNHLPNLVKMTMQYMRNCKSLPPLGQLPNLREIRLTSMESLEEWDTSYLSVNELEKVYIYDCPKLRIRPHLPRAASWSIRKSDNVLLPQRERVSHIDCLIAGDSNMPLYQWGFLYRLLFLRQLILMGCTNLMISPEISGALHSLQELTIWDHKSLEKLPNNMRQLTKLESLTLSNCPSFRQLPQWLGELLSLKELKITSCNAIMTLPESIHELTNLQELKIFFCSPELKKWCNAVENHRKLERLATKEESNFISMLEKAVKKKAAHFDLSAATAWLSAALGATGLVDDPDMPATDTAAMAAVAMVCGATDEEAAGLFVPTVPAMVQ >cds.KYUSt_chr3.38979 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245662521:245665810:1 gene:KYUSg_chr3.38979 transcript:KYUSt_chr3.38979 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRPLSSGTSNTSTTAAMEPTHHASSTSSEHPILSTPLHSSVPITRGAMPDSVAAVDAVIKPLPRALSLAAAAAAAATTFLLLISAVVSRARDDYASASPPPPSTSASTTAALPPAPEPSPPLLPEHPRPHPHPPPPPVPPCPPNHAHHVPCHEPPSAERHCPPRPPHPPPHPPEDPPPHPPPPPPHCRVPPPPGYEPPPPWPERRERARYANVDLPPLTTVTKLADSQDPVAARGEWLVFPKGVGNYVEQIDRVVPLRGGTVRTALDIGCGFASFGDYLMSYGVLTMSIAPRDTHGAPVQIALERGLPAMIGALGARRLPYPSSSFDMVHCADCHVSWAAHGGLYMLEIDRLLRPGGYWVMSGPPIGWKSPCKDLNQTIKSLEDEQLARENIANKLCWEMVSDKGAVSVWRKPTDHLHCVQEAKLCTEDNPDTAWYVNISMCISQLPRVDLVGDSARGTIEKWPQRLVAVPPRIANGGIKGMSIQAYKHDYSIWMRRFELYGTYLKDLSRRSYRNVMDMNAGFGGFAAAMSKYPVWVMNVVPANITENTLGVIYERGLIGTYMDWCEAFSTYPRTYDLIHANGVFSLYIDKCGTLDILVEMDRILRPGGAAIIRDAADVVLKVKEAADRLQWHSRVVDAEDEASDPQKLLIVNNSFSLPEN >cds.KYUSt_chr4.47566 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294444615:294456397:-1 gene:KYUSg_chr4.47566 transcript:KYUSt_chr4.47566 gene_biotype:protein_coding transcript_biotype:protein_coding MGKENYDFGPPGRRSRHVLLPITLSSSGLLGRRLQDRSATMVASVAISGLLMRSSLVEGEADHLLDANLLEKIAAAKETDECCQEIYGNNGYLMVSCNADLNPMRTAVCGRREERFINKANMCADLLHSRSELLLFHKALRAKIFAFEEYPPRAPWRATPSSKLPAVLGEDAGGTMEEAEREWRCRRVSRRKAEVLVYMMNWRRYCRSIRFMPASDGYIDAVMIRDCPNADLLDLLLKMSNDTIWDLLRDVEVAEVYMWRVNIALIIARVLRYLHTELQPLLALITQRSSSSSLLKPFLSSSVIIHGGHLNGIVGIHANTFAFDVILLELICGRASLQRNHTELYNEPLHPYRFDEASEAAMYSDSVEDFATVHSLRACPASLQHHSI >cds.KYUSt_chr3.8360 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48287109:48289182:-1 gene:KYUSg_chr3.8360 transcript:KYUSt_chr3.8360 gene_biotype:protein_coding transcript_biotype:protein_coding MELQPRVKNCEMPSCREMRWASDVTSGAANSLLRAATRLSPEHLIFTLQRPCSGYVGAIELPCFERTTSIDIKVQRIWLQQPLPVTKFTALESLSVTGQINDLGPLLIRCPRLRELSLTYPDKYALLIPNEHGEFPALEKLSLSGKMVNPVSLLGSSKSLRELSVTQLDTCPLCIMLPPSGEFPMLEKLTLSGNIADLGILLNGCPRLHVLSVTLRGMRIHSLKAALTTLQKAVSLGLMLSTLGVEIPWRDDISAARFASLLRTMERLSPQELVVTANLEGRDTSEHFQEIKANLPCFAHATSIEMSLRQNVCFKSLKPGDFSMLERLSISRLCSSVDIGTLVTRCSHLRVLKVTVSTGKVMIHSASLQKLDVEWKSGMKCHDIDIVTPVLKELHVEVNAEWDTGVSISAPMVDNVSWQCSYAGSALVFGSWYLHRVNWMQS >cds.KYUSt_chr1.11988 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74047204:74048856:-1 gene:KYUSg_chr1.11988 transcript:KYUSt_chr1.11988 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFGRRKLRGETGGHGCRCRHFHVHYHLPRKRVCSFSLLRWLPRFSLFSCVLIPLVLFFVVLAFVVSFVWFTLLYFVAYLLNKDSDHGSFKNGSFGRASGDVDNHLLNKDSDQRRSFKNGSFRRASGDVVDNHLREDCGQRGKREAKGKAVKHAAELLAGDPATSVDCAKKLEIKEVHVDGLSEVSPNISRILSSGASLNCEVPTSNDKFVKKLSTFETATEVEPDDDLKGFSARHEVDPADGFVDEHNIRLVSGSESGDSSPYVYSLNSAQIHDLPDMNEVIEMSSDFPVGTNQNMVVPSNTSPHHYISDTNAEYGEDHFEDRKELSDCTPHGIIDFIDKHETTDQPLDSSFAKDEIVGLLASSAGSAHGRISAKDESTEQENAESVLEFLVDSVATLEDFDEQRVPEIPITEAGTEQSVAASNEMHGFSNENETSELSLCSVCDDTVFSDAPSPRDNDCADDRQEEATENNIGAASDPASVACDFIENHQTATRALADSANGGSTQEVVSRESSEGEAEADKVNDNSSTEVSTNNFFLFRSFIKAN >cds.KYUSt_contig_815.256 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1578738:1582010:1 gene:KYUSg_contig_815.256 transcript:KYUSt_contig_815.256 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLCSGEQAVRVDELAPANGEVELQANNASIQEAELSLREGDSLNYEEARALLAKVEYQRGHVEEALRMLNGINIPAMIPDVKISITRLARAQPDSGYPPMSLHSVNLIMETIYLKTIALRDLGKFKEAAEQCSTILDVIESALPEGLPANFGYGSNFNATICSAIKLLPELWKLEDSLPEALSSYRRALLRNWNLDSKTIGTIQKEFAIFLLYSGCEACTPTLRPQSHGSFVPENNFEEAILLLMILLMKFNLGWLERDLTVMHHLTFALSMSGRLKPLATQFEKLLPSVLHSREWMYNVALCYLAEEDDLTSLNLLRMILRFGEDSSCIKELLLSSKICSENGACAEEGASYARRALVSLNGGCDQLEVVADLLLGISLSHHARYALSGTARDTQQREALEVLRVAGKKMQPRDYKLLYNLSLENAEQRKLEAAASYAKKLLKLENGSELKSWLLIARIMSAQKQFKNAESIVNAALDQTEKWCHVDLLQTKAKIRAANGQFKKAIETYTQLLAVIELRTKNSRSGKMVLQGSKDDRSRETETWYDLALVYLSLSQWRDAEICISKIKAINAYSPLAYHSTGKLHEARGFLKEALGAYSTALDLEPKHVPSLISAAVALRQLGGRPLPAARTFLTDALRLDRTNHVACFNLGLTYEDEGGSSSALEVAECFETAALLEETAPPEPFR >cds.KYUSt_chr7.6047 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36218515:36218913:1 gene:KYUSg_chr7.6047 transcript:KYUSt_chr7.6047 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPADHRRLRSPPTSRADCDHHRFLRPGALARLRDSKIVARSLRSAARALPPSSPPPRSPAHLSPAEGAVPHFYFGGGASARPRYPLRKKLAAARGVVFLPPHPPPMSPGAAEAFLGAFALAPSELLAAH >cds.KYUSt_chr3.44464 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280576675:280578036:1 gene:KYUSg_chr3.44464 transcript:KYUSt_chr3.44464 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQQQQQQDEGSSSSVTSSPLQNFSNMPLHPATASTTPPWMLRELRSDERGLCLIHLLLNCAAAAAAGRLDAANAALEHIATLASPDGDAMQRVAAAFAEALARRALRAWPGLCRALLLPRASPAPAEVAVARRHFLDLCPFLRLAGAAANQAVLEAMESEKIVHVIDLGGADATQWLELLHLLAARPEGPPHFRLTAVHEHKDLLTQTAMVLTKEAERLDVPFQFNPVVTRLDALDVESLRVKTGEALAITSSLQLHRLLATDDDSTTSPTAIDKEKRRSSPEDSSGLLSPSTSRADAFLGALWGLSPKVMVVTEQEASHNTPGLTERFVEALNYYAALFDCLEVGAARGSVERARVERWLLGEEIKNIVACDGADRRERHERHDRWAARMEGAGFGRVPLSYYSLLQARRAAQGLGCDGFKVREEKGVFFLCWQDRALFSVSAWRGRRYD >cds.KYUSt_chr3.33820 pep primary_assembly:MPB_Lper_Kyuss_1697:3:212251542:212254076:-1 gene:KYUSg_chr3.33820 transcript:KYUSt_chr3.33820 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSSGAAEEGGGRGSYALSHRCNGRRSRKEGVARLPRWKAVDAPLDVSPQFHKRRHGHLDVCLKKKVFLPLAGRGGDEWKGCCFSSSTSVRWWIGFWLQLRASWVLLQAYLLRPPPPIRGRSGEFDGGSFCIAGVGKESSAVSSAKQQWSWEAIFLSITSVAGDSRPTSKAYSWPIQKPVKGSGECLTSFVMPLLRSATAYYVCIAASGFVPASRHDDGDADLWLGGGEIEGSDCFLTSFSEERTSRAGAEAAAAMDLYYQHQPAPAPARTTRPWSKEEDKAFEAALVAFPEQAPDRWDRVAGVLPGRTPQDAWDHYQALVADVDLIERGAIDIPTCWDDDDDDTQSAATDRRAGKPRGDERRRGIPWSEEEHKLFLDGLEKYGRGDWRNISRFAVRTRTPTQVASHAQKYFIRQANAGTRDSKRKSIHDITTP >cds.KYUSt_contig_2887.119 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:579056:585903:1 gene:KYUSg_contig_2887.119 transcript:KYUSt_contig_2887.119 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSFADAADEDHSHAPAPAPAPAADTTDAGGVDRRKRDGDGAVGGGPHSKARKLDGVGGERAVAGDGGCGREVRRVGGDGDAGISMRIDPDLLDCSICFEALCPPLYQKPLVIHQLNSIINLLLYKYLNDDDGRIDSSLLHPTDGSLLASSLASHPTDPQAIEKTTTSMVASIARSVSSQPAGDDDDGARLSSPLLDNNLHDNIQNETCHYIESPSDTYFFAWGGGGGGIDARLADAPTTWCTAFVDHRNGLLLCEATEGTCFVYNPATRWSATLPPPPSPRAEPWGVSSAAYLVFDPAVSLHHEVFLLPELPGEPEPPKPDNIATLHVITLDT >cds.KYUSt_chr5.11462 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74347625:74350307:-1 gene:KYUSg_chr5.11462 transcript:KYUSt_chr5.11462 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGQSFIDGRASHPNVRMGILKAIPTYGSASSTPPGSIKSVGVQEGKDTADFPRAIIDLMVNALLAHDPGNPSVHRRFYRRLLLLRPSLVSSSDAAPWRGFTTDLPAISRYHGAASFPARVPHGHPSPRMARSPACFRRRRGQAAVMTVNWWCGCYFILDAGWTVP >cds.KYUSt_chr4.42414 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262473368:262477491:1 gene:KYUSg_chr4.42414 transcript:KYUSt_chr4.42414 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKSAMATPNGLARIDTNGGATKKKHENGICHDDSSAPVRAQNIDELHSMQRKRSAPTTPIKQADGAAVSPFAAAISEEGRRTQQLQSISASLASLTRETGPKVVRGDPARKGEATVKVAPVAAAPAPKPHHHHHHHVAPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITDSGALATLSGAKTGRSPRDKRIVKDEAAAKELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMHNMCIRPTEEELEEFGTPDFTIYNAGKFPCNRYTHYMTSSTSVDINLGRREMVILGTQYAGEMKKGLFGVMHYLMPKRRILSLHSGCNMGRDGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQTYGATGWLVNTGWSGGRYGVGNRIKLAYTRKIIDAIHSGELLTANYQKTEVFGLEIPTAIEGVPSEILDPTNTWTDKAAYKETLLKLAGLFGKNFEVFANYKIGDDSTLTEEILAAGPKV >cds.KYUSt_chr4.48598 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300989216:300990284:1 gene:KYUSg_chr4.48598 transcript:KYUSt_chr4.48598 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVGAWVRRRVYGSRRRLGAVSRGHDRVVSRASSVISDPVRRLDMHRLSVLQLRGAAQLAELTGAPAELNLYVGLNRRASQPVNLEDGKTPPRAAEMAMTSAGPLHGLVHVRRFRVVPVRQSLELRLFANDLGLRLFVNDLELRLSVKHQAPMRRSAGSIRVRRNHLHTASSRPEPVRQGLHLHGDRVALLGHCLQRCVTACGGVDGAMLHAGSVVTDAVAATRGCVDGAALHAGSLLAGVIVAGYGDEDGAAQHAGSPVIDLVVAPHGW >cds.KYUSt_chr3.11394 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67833280:67833885:-1 gene:KYUSg_chr3.11394 transcript:KYUSt_chr3.11394 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGGPSWTVQLGRRDGLSANQNAANSNLPPPDATLSDLLARFSAKGLDARDLTALSGAHTVGWARCATFRTHIYNDTGASAVDGAFATQVRGKACPSAGGDGNLAPLELRAPAAFDNGYFQDLVARRVLLRSDQELYGSGAGDGATDALVRAYAANASLFAADFGAAMVRLGRLALTGKSGEVRLNCRRVNSIMSRTDRS >cds.KYUSt_contig_1253.939 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5929550:5943736:-1 gene:KYUSg_contig_1253.939 transcript:KYUSt_contig_1253.939 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVDAAVRKGPIVLTRTESITEYINKGGQPGSGTQGQSDTPVSANDMCALDEWPSHARRYRVQLQDEADGQKKKKGQGVVKGVKAVQKRFANGSAKLNITFSETLGGKIGMNYRSFKDDVVVIMKRKDRWDLEDTPETEEKILRIAQERYRGWRSTLSSTYKAFKTDDARLANVPEDLQPEEWEWMINYFGNDEKFQARSQVNSDNRKKQKTKHRVGSKSYAQLSFEKRNLETGEEPDCVALWELTHTKNGTWSNPESQEVYVSVPIHHCVILVSAFTRHTCFQLQQTETSITDQNTAYDQNKTKHVKRCKTKKLKQKVHYQLSERNNVFQIAYKDTIQCKSSQPRGYGNSELSQHVSELEEQLEVERANMQQSIDFERSEREQLEVLKDNPTLQCQLMTCVPWMNGHPMLAAIVCNYRMKKKKGQGVVKGVKAVQKRFANGSAKLNITFSETLGGKIGMNYRSFKDDVVVIMKRKDRWDLEDTPETEEKILRIAQERYRGWRSTLSSTYKAFKTDDARLANVPEDLQPEEWEWMINYFGNDEKFQARSQVNSDNRKKQKTKHRVGSKSYAQLSFEKRNLETGEEPDCVALWELTHTKNGTWSNPESQEVYDKAREAVQNKETETKGPLSTEQRNNVFQTAYKDTVQCKSSQPRGYGYKAKPKTGSERLRMQIEDQARVAAETLQRNCQHPDFLSPNAYYVIHRNPRNIVVARHNS >cds.KYUSt_chr4.2557 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14310941:14311621:-1 gene:KYUSg_chr4.2557 transcript:KYUSt_chr4.2557 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMHIWAMWATQILILFSFALQIFLFVFARTRQRGSSAVLRILLWLAYLMADATAVYTLGHLSISGLSREQNLEVFWAPFLLVHLGSQDNITAYALEDNQLWPRHLLNLGVQAFGVAHIVYKHISNIPTLLGLATVLMSIIGVIKYAERIWALKCATLDNIQSSIKEPRDLPTYYIALLCRGPRVKEHDEEEFMLFAQAMLPVCKGAMVDTPMALFMCKSIMN >cds.KYUSt_chr5.31848 pep primary_assembly:MPB_Lper_Kyuss_1697:5:201965869:201966447:1 gene:KYUSg_chr5.31848 transcript:KYUSt_chr5.31848 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPKRSATIVRSRCSGIQEPRADQSPTSSLLDARGEAIHETADRRHRILPPPRHREEPPQQHATASRNAAARSRIGPNRAPTAAAGTEAAAEPRAAAAPVMLAGPPAGPAASPPPPPPSRGSSPHRTSVGNRAHHRPAIHMARAPPHLPEPPPRPASPSDPGAQAPIRHPTARSRRSGFLRPRTAAVAPAS >cds.KYUSt_chr4.23644 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148966130:148968718:-1 gene:KYUSg_chr4.23644 transcript:KYUSt_chr4.23644 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMREPNKLGLWGSLARKAKGILDEDAAAHKFDDYGKGQTTRKPDSSIGAQAPQSRWSFDNYGGTERIEPRKRSEALAASVNHFGGRIRNALEEGLIIVDNKTSNIIEETKKIQIRRKPNGSSPYMQNPAADTFNPPSFSQKAEAAAQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFAKQRCSQLEEENKMLRETKQKGVKTEEDDDLIRMQLEALLAEKSRLAQENSIETKDNKPEGRSYSYAENMFPVVDAYLDQEEVSPVPSRSESPIVSRDEPSSPKSSNSVSNTANSPRNSSKPNELVPDKD >cds.KYUSt_chr4.23510 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148006534:148006893:-1 gene:KYUSg_chr4.23510 transcript:KYUSt_chr4.23510 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTAAQLVVVAMVATMLLAAPYTADALTCGQVNSALSPCLAYARGTANSPSGGCCNGVRSLARAAQSTADKRTACNCLKTVARSSGVKAGNAASIPSKCGVSIPYTISTSFNCNSIR >cds.KYUSt_chr2.4693 pep primary_assembly:MPB_Lper_Kyuss_1697:2:29068541:29071960:-1 gene:KYUSg_chr2.4693 transcript:KYUSt_chr2.4693 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMSGGALRTFSRCVTCLARIGSELVFQAYPDKLELHTLNSSRSAFASVSLKRDFFDHFHLPADGTAAAASSTPLQCSVLLKSVLAVLRTPTAAIDRINASLPHHDASKLEFVIHCLNGLKKTYRISCTAESEVQTLALDRTRFPSRLAIRPRDLTRLLSNFQSSLQELTIIATEPAAGLPGVDDDIGGKAVELLSYIDPTKDDYDSRLHTQLWIDPAEEFLEYVHAGDPVDVTFGVKELKALLTFCEGCEADILLFYEKAGEPVLLAPRFGLDDGSSSDFDATLVLATMLVSQLNDGNVPQQPPTSAHHAEEPRAAAAAAAAAGVPSPAPENFSNHTRVWSELSGVTPKSHEANAETRAQKERNGRDRVLNDTSMPPISNAPCKLPVTDNANYIVQPVQKDHLEEPPDVVSDNPRSQHHPSNWVGADEDDDDEEDEELFVQTTPHYMD >cds.KYUSt_chr7.18049 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111987206:111988783:1 gene:KYUSg_chr7.18049 transcript:KYUSt_chr7.18049 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor, Regulator of both biotic and abiotic stress response [Source: Projected from Oryza sativa (Os08g0112700)] MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSVLCDADIGIIVFSSHGKLYDLATTGTMDGLIERYKSVSGEGMSTDGSGEQRMDPKQEAMVLKQEIDLLQKGLRYIYGNRANEHMSVEELNALERYLEIWMFNIRSAKMQIMIQEIQALKSKEGMLKAANEILQEKIVEQHGLMDIGMTIADQQNGHFSTFPLIEEITNPLTILSGYSTCRSSEMGYSF >cds.KYUSt_chr1.4805 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29576931:29580088:-1 gene:KYUSg_chr1.4805 transcript:KYUSt_chr1.4805 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEEGCRARAALLGAYQLGRTLGEGSFGKVKHARRRATGEHFAVKILERGRVLSRSGADDQVRREIATLTMLRHPNVVRLHEVAASKTKIYMVLEFVNGGELFDRIAMKRKLSEPEGRRLFQQLIDGVSYCHGKGVYHRDLKPENVLVDKKGNIKISDFGLSALPQQLGGDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYIMLVGYLPFDDRNMVVLYQKIFKGDAHIPEWLSPGAQDLLRRILKPDPKKRINMAEIKTHEWFQKDYIPVAPYDDNDEDVQFGAILPVKEQISEAPGDKSIYQMNAFELIGMSSSLDLSSLFEEEEVSQRKIRFTSVLPPKDLFDKMESSATVSGFQVQRVHSKLKIMRNCNRLNNPTPFLVCAEMFALGPSLYVVELKKSHGDTELYRQLCDRISSDLGIGSIFRTESLFREDLPSFDSRATTPLVAL >cds.KYUSt_chr5.6229 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38477098:38477301:1 gene:KYUSg_chr5.6229 transcript:KYUSt_chr5.6229 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDGMWTKRVPRRKHQKLLLPGAAAAQQGASEFEKRLVMEIYKSIVASKELTTMLHSTAATASSST >cds.KYUSt_chr2.42124 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262313923:262315027:-1 gene:KYUSg_chr2.42124 transcript:KYUSt_chr2.42124 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAVAAAVAAWAVPVAALVNSVVPDPYMDEIFHVPQAQRYCRGEFLVWDPMITTPPGLYYISLAYLASLFPGAWAIKVAEAFDPLCTTALLRSTNVMMAMVCGVLVYDLLLCIKPGIGKTKATAYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLFCLKKRFWVSAMVSI >cds.KYUSt_chr7.8804 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53361833:53362284:1 gene:KYUSg_chr7.8804 transcript:KYUSt_chr7.8804 gene_biotype:protein_coding transcript_biotype:protein_coding MMATSYSTTARKGTVSAAAHCASDVTSAGCSCSRCLEESARELPRNCCGGGWALDGRREGVAVVAGFNCHLRLRLEIYAPDLPFGKMILKKDNGVALVVLATIITVVAVGIIIVKIVWPAQQHPNA >cds.KYUSt_chr4.35558 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218346295:218348200:-1 gene:KYUSg_chr4.35558 transcript:KYUSt_chr4.35558 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSMAASIFCAVALAALAVAAGGEAAVVEHTFVVHEMNQTHLCNTTKIYVVNGQLPGPTIDVTDGDTVVVHVENRLPHGLTIHWHGVRQIRSCWSDGAGFVTECPIPPGGNHVYRFNVTGQVGTLWWHAHVTCLRATVAGAFVIRPKGGRYPFPTPTKDVPIIIGEWWELDLVELDRRMHDGNFDDNPLSATINGKLGDLSNCSGKPEESFVLDVVRGKTYLLRIVNTALFSEYYFKVAGHTFTVVGADGNYLTPYKTDMVTLAPGEAMDVLMAADAPPAHYHMVALANQPPEPDPQIPGFVSRGLVRYAGSDNSNNGLPVPTPLMPDQHNTMPSYYFHNNLTGLAHPDRHRVPMHVDERLFFTLGLGSICRNNSTSCKRRRSPETIVVATMNNVSFRHPTNASLLERYYERRTSGLYTEDLPDHPPHPYNYTDRNLIPPGPLEEALEPTFKATKLRRFRYNTSVEIIFQSTALLQSDSNPMHLHGYDFFVLAMGLGNYNPKTDPKKFNYHNPQLRNTVQVPRTGWAAVRFVTDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFKKCGSNGLTQP >cds.KYUSt_chr7.5543 pep primary_assembly:MPB_Lper_Kyuss_1697:7:33247362:33251432:1 gene:KYUSg_chr7.5543 transcript:KYUSt_chr7.5543 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSAPASCLLLLLLLAVGSLAVSRGDDCCGYNVVSVAGSGSALSARLELAGETPALAELGPDVQRLSLTARQEIAGAKDVNFTHGYGYAGLKDGNFTHGLETDTRLRVRITDADHPRWEVPQDIIPRPAPEEVYLNMPLPGNGDSSSPPRTRVLSTAGSDLVFTIHASPFRFTVSHRSTGDVLFDTSPTLVFKDRYLELTSALPAGRASLYGLGEQTKRSFRLRHNDTFTLWTADIAASNVDPNLYGSHPFYMDVRPPGAAHGVLLLNSNGMDVLYGGSSVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLVGRPAPMPYWSFGFHQCRYGYLNVDDLKGVVAGYAKAKIPLEVMWTDIDYMNKFQDFTLNPANFSFAELRPFVDKLHQNGQKYVLILDPGVSIDPTYGTFIRGLQQDIFLKRNGTNFLGNVWPGDVYFPDFMNPRAAEFWANEISLFRRTIPVDGLWIDMNEISNFYDPVPLNALDDPPYRINNSGVHRPINNKTTPASAVHYGGVSEYDAHNLFGLLESRATNHALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAASWTDLRYSINTMLSFGLFGVPMIGADICGFGGDTTEELCGRWIQLGAFYPFARDHSAIGTVRREPYLWASVAASARKSLGLRYRLLPYMYTLMHEAHTTGAPIARPLFFSYPEDVATYGVDRQFLLGRGVLVSPVLEQGATTVDAYFPAGRWFCIYNHSLAVDTRSGERVTLPALPDSPYVHVAGGSILPLQQSAMTTAQARRTPFHLLVALAEDGTAAGDLFLDDGESPEMGGARSEFSLVKFSCATWSDGKIRLRSQVVHNSYAPSRTLVISKVVIMGLQSTEPARNFAVYVNGAAVQFNRAVSTSYRSRGGLGAAHVGGLSLVVGEEFELKVAMSY >cds.KYUSt_chr2.5473 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33863585:33865180:1 gene:KYUSg_chr2.5473 transcript:KYUSt_chr2.5473 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALAVVPPHVNTLAYPLLVHVVRTTDYRRPTPRAGGADDRSGDGNGGAAAWPARRHYPYTPGTPDTLPGSGGASAAGGGSASSSSARGGPGGRTLASGVTVAAPTIGGPRQGGKRKGRRGGRQARERRLRRQAAVASTDNPPSATATGVERPAVLPLQMVNNGGQAAVTLQGEEERGVVAAREEGEDDAVTMLAELTGEIRVGTVVVLPSACRAPPPPSTPCSLPSVIEMLPGAVPALPPLAVTVGPLDALAGPFIPGPLLGLDAFRPCLAQVDRQRPLETLDEMGPTLLLEDASGLVVEPALLPEVVSAASGADEEEVDEEILADTPPPSLHEVVSAASGADEEDVDEEIRADTPHVLDSPDTEAPLALARGALPVSRFATPPLVFHRARPAIPTRPQVPPARPRTLWEFLAAAKSHSDALMHTPAVRRRLLALNFQPRRSSRIARQPGGMNMEMKAVRNLMRKLVLITGDEAPSEAALEAYHRMFELPMTDDMIEAIAELYGWTLDTIRGCSPPLLGVSGGRLIAA >cds.KYUSt_chr4.22977 pep primary_assembly:MPB_Lper_Kyuss_1697:4:144605242:144614263:-1 gene:KYUSg_chr4.22977 transcript:KYUSt_chr4.22977 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKGRRRCEPWTKAVAGAALCLKDDNALADEVGEEDANEMQDERRLCFVQPSFKLLPFTLSLKAAKVILDLTSQEFAMIHDNTGTSTSPNNRTNLGYTVVLDLYSGVHSVKAHWTTTMCPSLRYQRFFEHDGASAVHASSFFPFPSDPVYHVMLELSNDTGSMAFGSENSAHEQAPLECPPTEVLCGSSKQFVPCTQPNAHAVADVAMPGVNEFHTVSLKFVEIASQGRRLGKHVVNQFLSSSNKHLKIYFPASTDASEFLPLVQLPVRSSKSDVECEAGLMSYGVRSIWWHSE >cds.KYUSt_chr7.24277 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151344562:151347914:1 gene:KYUSg_chr7.24277 transcript:KYUSt_chr7.24277 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAHSKPPRADQGAAVALCRDRSALLAEAIRHRYALADAHRAYAASLRAAGAALHDFLRVQPPPPPEPTAPILHVRLPEHRKGDPLPAAAPPVLAAAKQVDDDGGHIRFTSDDDEEGSDDAHISFPSSSSSSEDEAETRVPRPEPFPPAAPAPTRTTPPYAYGVGYAPRLYSYGAGPAYGGYGADIGVYGQSFFNCSYARSRPPPPSVSYEHRPQSTDATVQYYPGSYYGGYQYPQGSGLHQVAASSCAGAVPPPSPPRVSTWEFLNPFESVESYYGDEPTAAVVLATSTPSPSSKDVQEEVDIADLKDEKVEENVINGNTGKAGLAKKEERSNASEEQRSKSMSPEANSNTASGLVHDVHVVERSVVEEHLQHSAADGLPEVSGKAYNDNVEVAQEIRLQFELAAKSAAEVSKMLEVGKMPYCQKKSGLKVSSSMMICGLPSIGEEFLQFQEEKAMECGNISATLQKLYMWEKKLLEELKTEEKMRGQYDKKREELKALYERGAEAQKLEAIEIYTRKLSTKISVALQIVNAISKKINKLRDEELWPQTYELIQGLNKMWHAMSECHQIQCHALSQAKNIDSNIAAARFSEAHIGLVKLLELQLLDLVASFAAWVNAQKSYINTMNDWLKRGIDYVPEVTDDGTPPFSPGRLGATPIFIICNNWAMGIMRIPETEVVDTTQAFASNVLYLWEKHRSEWRQSMMANRDMDRELRVMERDELSMRKALKAQNKKFVLVSNHSGVSVSAQALQDGSTPAEVTLQSCMKKYFEAMECFAASCANSYNDLHRRSEEERTRPAQEIGRVS >cds.KYUSt_chr3.561 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3005095:3011257:-1 gene:KYUSg_chr3.561 transcript:KYUSt_chr3.561 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVECSSSATCSAMAASYNDQIRPLLDAVDRLRQLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQDDPAVQDASVLQLEYSSGMVVTTSEAQVADAINAATAEIAGGGKGISDAPITLVVRKRGVPDLTLVDLPGITRVAVQGQPEDIYDQVARMIKAYIAPKESIILNVLSAQVDFPTCESIRMSQQVDRTGERTLAVVTKADRAPEGLREKVTMDDVHIGLGYVCVRNRVGEEETYEEAREQERRLFAEHPLLSKIDKSMVGIPTLARRLTEIQGAIIARCLPDIVREINDRLGRSMDELGQMPPDLSNVADAARAFFHIVKQVCASLEKLLLRGEFDEYPDDASFHGTARVAEMLARYGRDMPAQPQPQRPAAQPFLVEEMRVLEEARGITLPNFLPRSALQILLKKKVESIVHVPHGLVKQVWEYVGDLVMRILLRHSHDYPQVQSSCRHAVQSLMDKARQRSAQHVEELIEMELAADYTANPEYMRTCGSIMQEQDMFLKNFPDVFRDTTLQLRFGEVDVSHLTLDDAELAGQAFDLKARLAAYWNIVLLRLVDGLALHVLLSIRRLVEKHLVEELTGEVLGKDMAGAKRMLVPPPGTAAKRDRLRNTIAVLRESREVVANIMDRSSALDPAFGECFDDENDAQQPHDFHNDDQNDAPTEALNDDQIDDQNDAPTEALNDDQIDDQNDAPTEARNDEQNDAPIEAPNVEQNVEQNDDPIEAPNDEQNNAPTEAPNDGDQHSMVVSSACSVVGSNKVVSVSSKVLEVPTTKRRKVEEKSTRSKVVAMSTRITRSKVVAMSTRITRSRV >cds.KYUSt_chr4.45508 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281637727:281638236:1 gene:KYUSg_chr4.45508 transcript:KYUSt_chr4.45508 gene_biotype:protein_coding transcript_biotype:protein_coding MYINIYRQISTPRRDAFPAELDDVHQDPGSVDYDAHLGHDAGGAAERLVHELAVGADERQLAVLPAVGVGGVVFDLAQERVLFGELLGVDAIGGGRGARFLWGGLLDWSSMAAAMKAKRAASIPEDEESARGKRLQLHDSAAEQGPGLAAAAGSGWRRPPPPRRAGCGC >cds.KYUSt_chr4.2039 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11165080:11166533:-1 gene:KYUSg_chr4.2039 transcript:KYUSt_chr4.2039 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEATARQAVSFVLGAVAALTVIMLVQYRAPAAGLSRARLAGHFSGSRSLDHHRLNGTAARTVNQAPTVGAEDHHVHQANATLKANSTPTDHPAALSHFPRTDRKEESTQEPEEFRGLAAAVALAAMDDRNVIITCVNQAWAAPGSLLDLFLESFRVGDGTARLLPHVLVVAMDPAAHARCQAVHRHCYHYTMPGVHMNFTSAKFFGSKEWLEMVWSKLRLQRRVLELGYGFLFTDVDILWFRDPFKHVTAYADMTVSSDGYFGDPDNLGNFPNTGLFHVKPNVRTIAMTKVWHESRGRYPGAPNEQPVFNMIKKRLVAELGVRLRYLDPAYVGGFCSYGKDLGKIVTMHANCCVGLKNKMRDLRGVLDDWKNYTKMPHWEKHKANWTVPGACIK >cds.KYUSt_chr4.34350 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210828661:210830990:1 gene:KYUSg_chr4.34350 transcript:KYUSt_chr4.34350 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMRAKLRAWRQVRSSVIPTATCSMSKTRVEVERLLRPDGSGLWEAEDGGDACGVGGVESMVPQNQDVLAKVSTIEGLSSRKGTSFHGLELDSSGRSVSASNGQGNTTCE >cds.KYUSt_chr4.27746 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174272798:174276617:1 gene:KYUSg_chr4.27746 transcript:KYUSt_chr4.27746 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEENSMFETSHVLGALLASSPLLARAWDRCAAATAMGAASSGFLHSGGDVDGGTVYVAFSGVQAALSVAGAAAVASGADVFAPVELRGDAAGARVFPQLAAAEPDAGAGDGDSVAVQALALRCFVKLCGSPEFQMLLNQIKGKEVVFTGHSLGGAIAALAALHYLCISSSSQASAPVPPVLCVTFGSPLLGNEALSRAILRERWGGSFCHVVSQHDVVPRLLFCPLDAVPVRVIVGMQLQQRLGCTRHACAVSVRVTESEQEALRQLVQAHIRSVAMEQKLAAPETRGGSPYRPFGTYVLCSLDGAACVDSLTAAVQMLYATFAARCVPGSVTSLEAAHSCYGDLVLKMPQQLLFKRRPNSIDAPVMVFNSNYDAGISLALEASGIHREATEGTTARHWLKASKRAGRSPSLNCAGLAMRLGKITPSRAQIEWYKASFDADMGYYDAFKLQRSTKKFHHANMCRHKLAQFWDGVLSMLDNSQLPHDFHRRAKWVNAARFYQLLVEPLDIADYHRNNLHKTRGSYISHGRERRYELFDKWWKERDALAGMGDASTTANRLRSKYAGLTQDPCFWARVEEARELTERAKVEHDVASLAMKLGSLREFERYSGELVESKEVSIDVLAPQSSYTLWVVEWKELKLKDEIRTALLFQI >cds.KYUSt_chr7.28697 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178956852:178962891:1 gene:KYUSg_chr7.28697 transcript:KYUSt_chr7.28697 gene_biotype:protein_coding transcript_biotype:protein_coding DEVLPPDVMIWLGEGAPSVFRPAVAVGSSDDPTSSSVVAIDLVAMLDGATSEGPDGTEKHRVDEIPTAVVHTKGVMLTTAQILKKEQLLRERGLGLGVVFVHTLTNTDLKGNGLHIPKEVVRSLNISESGEACFFVDDYGYRPQGAYYTTTDGRMKFDSCWSEFTKEYNFESGNVVLILFNQGGRGGIEVSVDII >cds.KYUSt_chr2.43019 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267723677:267725017:-1 gene:KYUSg_chr2.43019 transcript:KYUSt_chr2.43019 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSPVPIEIEATRSLMAEEEAIMAEQEEEAMTSVAKAAPVTAQRPVRADLEKYIPKPYLARALVAPDVNHPEGTKDGHQHRQRSVLQQHVAFFDENGDGVIYPWETYRGLRRLGFNIIVSFIVAIGITLGLSYPTMHSWIPSPLFPIYIDRIHRAKHGSDSATIDTEGRFMSVNFESIFSKNARSRPDKLTLREIWTMTNDNRAPYDPFGWIASKGEWILLYMLAKDDEGYLPREAIRGCFDGSLFEFIADQRKKKAHAKQH >cds.KYUSt_chr7.247 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1365136:1365957:-1 gene:KYUSg_chr7.247 transcript:KYUSt_chr7.247 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFGRSISFPLTPGRASSKPRHSRSISLPACTTSSHPLLAELNTRIAAVRSLTHSSLTASLTQIHALHSALADLLLLQDPQDALHRATNVGDRLLDAFLLLADAHQGFQECLIALKHAVAESSAALRRCDAARAASATRSQRRAEKELARLAVSVSDVSSKCARLSLVGTEDAEMAGALVEAAAASSTASALVFSAASSISSCSAASSCKKITSVFSSFGKKATPETTEQALERLRALEQCFDECDGLCDMVFRSMVQTRVSLLNIMTPTI >cds.KYUSt_chr2.1217 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7166660:7175199:1 gene:KYUSg_chr2.1217 transcript:KYUSt_chr2.1217 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDAIFLRACTKLRSAVGHESVARGLNFAGDLREMLRALEVIQPGLEEAEMRICTGDTSRLLMNWMKDATQAACRVMDVVDELQDTRPPAAAATMTRMLPRLAIKKNDMATKVNEVKNQVIWLKEYWRSYICGEEDTIPQQLQVRDKRTTVPCFQEASVIGRGPDKQRIVAMLLSAATNITQGPPSCIILPIFGLAGSGKTTLAKMIFNDTNYFLQEYNFRLWVHVSPKFDIHRICEYIISQVVSRGGQEDINSHSSNSERYLARLHGLLNGKKVLLVLDDLWEQDSTQLQLLKSMLTALGDKLDVIVTTCNRAIDRKICTVEPYRLTPLGNDACWQIIKKSIRLKEHDEELEKIGREIASKCCGVPSVAGAYAEMLHSLDPTRWEEVMERNIWRCCSSVDSTSHSLLSTFGLSYISMPPELRLCFDYYCAIFPNGHTIVKDDLIRQWTALHLIESSESLSATQIAEVYITSLLDMSFLQTAKSDPVNGEDDKGAILFTMHGLAHNFARWFTLLEYTYDDNIPTRCVHYRLVTSGHGDLFDRDDDYVRALRCVGCSEVKFNEDSFSFKRCLRVLELTESSVQKLPDSIWQLRHLGYLKISEFSKLVALPESFGHLNNLFHIDLSGCSGLINLPESFGKLIRLVHVNLSGCSGLATLPESFGNLINLSYLNLSRCYALTEIPVLLQKLAKLVHLDLSFWSCFEGIGKGLGGLTNLEYLNLSHPCSHLPRHRSHLQELKEGLCNLTSLRYLNLSMCLNPIFYCPQSQESSLQYIGDCLRGLSSLEHLDLSHNTFLCYLPESLGHLNKLHTLNLSGCIRLKKISEIKSLKFISQRNCRGLESCHFVIRVDDDAPYSSSNIGQLEDVNCQELQISCLENVMSLEEAQRIRLVEKHKLNRLKLSWSVGDTRGSFNVDENALLGELVPPHSVQCLEFHGYGGETFLPSWRIPSISSHLSNLVEVTMEDFPMCTTLPPLGLLPNLERLVLRRMASITRIDDTDLSSGNQEAFSRISKVTIDDMENLKVFMFPGVSELVIQKCPELSFGPLAPKAQRLVISDCNKVMSSCIKRQRDSEEGSSSSAPLTELVVENCNLPLGHWSLLHRLSGLHNLTINNCGDLVIKGIETIHKPIKAFRKGPPDNLELLHTMFEKANVDGTTSMMPGVEEVAAIEVQEDLIDIEDEETECATPSPLLKTRKRPASAIPCSPSKLKKNNLPKDFKRFVDHVISAGSNTTEDSEIKAIMEEVAKCGASEMSDEYYMATKLFAKPSNRSFFLSMKTKEGKLNWLKRQFEDRKRN >cds.KYUSt_chr5.36939 pep primary_assembly:MPB_Lper_Kyuss_1697:5:233698776:233703431:1 gene:KYUSg_chr5.36939 transcript:KYUSt_chr5.36939 gene_biotype:protein_coding transcript_biotype:protein_coding MACHRLALQCNKAILRYNLPQKTVFAGSLVREKLLVSFALLRHSVIRLVDTCNKTSTEYKLYDKLLVAWVKLQDAGTQAQTLSIICDNLEKLLLVLQRLMKAPSFATGDYIRTMVTGKNVIERRMKMVDTVQEK >cds.KYUSt_chr5.21023 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136728238:136731029:1 gene:KYUSg_chr5.21023 transcript:KYUSt_chr5.21023 gene_biotype:protein_coding transcript_biotype:protein_coding MVREFLAVLVRAVLQWALASLLLANGAAFCLIAAVASSLRLGPPCLLCARVHRLLCSSSSDVGCSQEQDAFRRLLCDAHVAAIAQRTCSPSDHVDRGTKHDSDKPGGERYNAFGGKEQTPLVWRLTAVVVSIGSEICEQDRGGDNEHPRATGLSNLERTTSIGSGNGEGPYVSMFELGPIVAFPEENQEYAKGLDETPELTVSGLVAALRAQRRELEAVRAELETERRAKAGEEERRRQLEEQGEFDREAVRVAMQLVHETETEKHGLQRQLDAFRVRAQLHEAAVDTDSAPFNRLGSRRSRDGGGDLVEQEDCDDNNYQSLVDFLPGSVYSSSPDLANLLKLYTEGNGARRARNGDTGIKEALITEDEEEEELLAVTVSAVSGFNGGNDEDASSVAAASLHESGSSHVETALS >cds.KYUSt_chr3.3049 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17485081:17486104:-1 gene:KYUSg_chr3.3049 transcript:KYUSt_chr3.3049 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTCLLHSSTLAPAFSPPELRRRLPTTQLDLRSIHRRAPGVSLAASSSAASPEVEKEPSPSPSLPNESALSAVAESVKVLKVAAKTRKVPADEVLAALATIKKAKLDTSSFFETLGGTESPGRTWMLIFTAQGKLEKGSYFPVTAVQRFDAAGQRIENGVYLGPVGSLTFEGRLSWKKKILAFVFERVRVKVGPLGPLEIGLGGDASREPSTKDPFFLWFYVDEEIAVAQGKGGGTAFWCRCKRVPA >cds.KYUSt_chr5.36883 pep primary_assembly:MPB_Lper_Kyuss_1697:5:233275705:233280929:1 gene:KYUSg_chr5.36883 transcript:KYUSt_chr5.36883 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRCAAAGAQLTNQRITAAQSRVGRVTVLAAGTVARELTLRGSFLTSSRPCRIGASCPWPVRCSPAPSHDIEERRVSNKKKKNDEWEALKAEIADMFRPLLRNLAEICSLRRAYDLEDYQIGMLFGAFLGLVGCYQLWKTAPSIFVDVTLAFLFYKLSIVSSELHRQRKSNSWTTRLKFGTILVMVLKDIKKNYVLLDVIRMPVFLLYICAFLFDVAGVKKYGRRANVVTGDNAIVHCQIHILVTYERENNSLKFVRFCGPLGVQIKCCDYIHILDMSRFNKSTVQPVEHQAAHYNFYSRSFPLLRHVDIHQKKLDLIHQLSVGRLVHFILQKFRCYPCAVSFQAHLLDRHLLRENKCPPAKQVSQLLQHRQRREKMAGPSRESAMMVSDDHATPPAPLPVDNKNAPSAFTLLQELQEGSGGSHSSTVLAGTACARVVDHSHHKCNLIRSVLPNPVQKPMLELLVVRCKSMLGGHSILKPDPSCNSFHAMDE >cds.KYUSt_chr3.12972 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77875091:77875819:-1 gene:KYUSg_chr3.12972 transcript:KYUSt_chr3.12972 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVVTDAPPPSRFSPDDLDNFAAPPPQPTPILVVSPNPSPPSPRLLIVLISPTSLALLPSPPPPLHASLLLPDLPLQQSNHAPIRVYLHPSSGALLAAVHAAVPAHRARAAARSLISALQPEEVLVLDAVRSGAYRGRLAADETVEGKLETSAARRLGGVGAAKGVAALAPPGSVMDGLGAAVMAECQIRGKAASMVVTWPAAARPSDFGVMRSVAAQLGVDPVKAAARVSGRSELGALYT >cds.KYUSt_chr1.7012 pep primary_assembly:MPB_Lper_Kyuss_1697:1:43121831:43123255:-1 gene:KYUSg_chr1.7012 transcript:KYUSt_chr1.7012 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIPPGPLRSQRSYVFLGGEPPMHADDWAIATLTPEPEDGDFLGAVATIGLHLHDLGIQVRSTSRCAMGTALIRFSTVTDRDVAVGGSPYFIGESVLRLVNEDSGINKRSYVLTHDVWCMLLNYPQELWDPESVMRTFVPFGRFLVWNKDLSNRARILVKFRAHNIDTLPLSVVVGKNMTDDGHADTWTCPLILLSTTMLGGHAGDEDQLPPDGANPHPMPLVHAGFWHDLNMDNQMNEMPMAHNHAADPVANAPVPDMNINPATPVQDDNFIPVPETEHVPDTITHLTNLITKIMDTDVDHEMIQKLAGSQINGATINLEESVVNGVEVMKCMIEINTVPANYAPPTEPIQQTQDSQPINSDSDVVVISEPANFNKQKRKQVIPRDVSSVRRSRRLAIKAEGYKDKASASAVAIKGVQPLNLGSRFEATVTNQTAPPPELPLETMQAIGTKFCQIPPGEMSIAAMNYDSSDE >cds.KYUSt_chr4.40579 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250473718:250475934:1 gene:KYUSg_chr4.40579 transcript:KYUSt_chr4.40579 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVNVLLMVNAILMGVMVRLGAYGHRYHHPFTRFLFKGATILFLPIVSYIVSTTGSQFTVAVRSHGGTIAVGDCDPSIHTALVLVWTAFVQIIGINTTAIVAADVREGRSIAPPATLLVKAVWTTYLAANIMLGPDPEPLSIKGVYILPILVIFAPFALIFAKIFFKYYAWYSARQSFSFGRNPCFIVGYMMEQLPAEADHRITSQHVPPPLIVSNEDTMVVGTQPHGYRIMCSSRSADNERDCSLVTVDKIWELDDTLLNSRAQLKDVCFSFALFKILRCRFAKFTVVTEEARDLVWHMLLEDGDSTRTLRVIETELSFLHDYYYSSLPISYSKDWLPISSIFISLLSIGYCLFGIIALIAYHSDLGVRGQIRCNVSYKSNHDHNYMYRVKFGSLLYDVVPLSLLDALVVLSEVRDIASYVCSDWTKVALMCRYVSWREYPTMRKWIGLLLQCRCKLVRPLKDNMNQCSIIVLHPRKLAPVALLRRIIPLPEQKKSVKVPREVKTAIVDTLRSSSRGDDLRNGKMTWQQLGIQVGDNFLQAHGAQGTSDTLLAWHIATTIFEARNPRTLASSSGSVATHLSRYCAYLVAYCPELLPDNEGWSKSVYKATKKDADHALRDAVPAAMPELKYRQLVELLSAAGSKNQVLKEGARLGEQLVELMKGEEEAAWKALAGLWCETILYMAPSDNLDGHAEAVARGGELITLLWALLTHVSIPEAATGSSTTTTRMGASPDMV >cds.KYUSt_contig_257.412 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:3049815:3051713:-1 gene:KYUSg_contig_257.412 transcript:KYUSt_contig_257.412 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASEYDGAAVSARLLELAADDDAGALADLLAAHPSIADDPAPWYSPARGAEPMTALMVAAAYGSVACLDVLLSPPHLADPNRATASSLSTPLHLAAAGGAPSAPATVNRLLAAGADPTLLDHLHRRPSDLVALPPNSLPLKNHILSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVACARRVCFFAHTPEELRPLYVSTGSAVPSPRGVMEMAAMGMGMSSPGSSYTPPLSPSGGGSGMSWPQPNLPALCLPGSAGNLHLSRLRTSLSARAMAVDELLAAADYDNHVGSPSSVRSMRGKALVPSNLDELFSGEMVASHSPRYADQGGSAFSPTHKAAFLNQFQQQQSLLSPRAAATPEPVSPMSSRLLAALAQREKMQQHTLRSMSSRDLGSSAPLLAGSPVVGSSWSKWGLPSGTPDWGADNDELGRLKRSSSFDLRSGANTDEPDLSWVNTLVKEPTPEKSSINETMATDSIGILRRSANHREGTDCEDIGFLGQSANHRKSIDSEDIHILGRSANHCEGIDGEEDAAAGVIGGWLEQLQLDEMVV >cds.KYUSt_chr4.42192 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260996109:260998259:-1 gene:KYUSg_chr4.42192 transcript:KYUSt_chr4.42192 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLSFAGKQLDDGRTLADYSIQKESTLHLVLRLRGGHCMIEPNLKALAQKYNQAKLVCRKCYSRLPPRATNCRKKKCGNSNQADESVVYLSQLITAVRVFKYLSVVFCWKFSSVSFEHLVMVQCMLRT >cds.KYUSt_chr4.3351 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19100891:19102330:1 gene:KYUSg_chr4.3351 transcript:KYUSt_chr4.3351 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSSQFEQRCRRLPMSSSLSPAAVQPTRRSVYCSSCWTPRKERPNQVWRENPMPGAPAHEWGCTCASFPPINTTPLRSLEKKSLPLPLHCTAHPPSSSLLSFWLLDSAATMKTPHFTGEVAGASWAHEVKQALRDKLRWSATGTAGVAGAARPAVSVPVAATAQPSLGEDGRGSAATTTTEDPIRRVMFLAP >cds.KYUSt_chr6.19221 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120841409:120849501:1 gene:KYUSg_chr6.19221 transcript:KYUSt_chr6.19221 gene_biotype:protein_coding transcript_biotype:protein_coding MREPRYGKPSETPPPIPSRGIREIASGTLPERGIISRRTLHRHGRLRSDEFHVGTGIPGVAPHYISPPSTFNVLLGSYWFDKPWFLTEGKFAAVRITPSSWGSQRSRAVRVSGVERKLDMRRGLINSLDSVAGLLPVRPCILQFGKPPWDWLRATAAWRVLHWRSLEGFGEWDSRDPARSRLGRTSPPPRNLYRDLIRSVAVSGGQYIRHPIHAGSSYSPSGASNSHFHPSNNSDCSSGSASGAARADFCAHDHELTKIARRMVGDGYARRMVNAFDSGGPDRAALETWFLELDVDWVLQISEENYDLRQQLQDKASSSSPQELVDRWIRAVTVIAVSVTELVVTVDDETPTVARFGRASMAEMLVFVDAIIPALKEEKLQALVGMYMCVRSASYRFTPLLISSEAQTILNEIGASLSREVIRLREAIFSTMEEVRALVEDDDLWAIEIPRGRGEVHRNTRFMVDCIMSMVKAGGSTRTSAQSHDDANFGGLVADSVNYLKDLLLRKSKICSDPSLSLPRPRFHEEERFEKAIANDKAERERQIQKLRAEQARNREVNQKRVDDEAARRYAEEELRREAREAERKRLRERAAEAQAAEERGDKTGKWPRWTQGK >cds.KYUSt_chr4.18573 pep primary_assembly:MPB_Lper_Kyuss_1697:4:116434684:116436351:-1 gene:KYUSg_chr4.18573 transcript:KYUSt_chr4.18573 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENSASASSSTAAPRLTRAAAKRAAAVTAVAVAAKRKRVALSEIPTLPNGADGHHANPKKPRKAPSQPKKAARLPAPKPAREAADENGDPQLCAPYASDIYSYLRSMEVQKKRRPAADYIERVQVDITPNMRGILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSANSLNRQKLQLLGVSAMLIASKYEEISPPNVEDFCYITDNTYMKQELIKMESDILNILKFEMGNPTAKAFLRLFIRSGQEDNKYPALSLEFMGSYLSELSLLEYGCVRFLPSVVAASAVFVARLTLDPDSNPWSKKLQAVTGYRPFELKDCITAIHDLQLSRKGQSWNAIRDKYKQHRFKGVSALLPPVYIPASYFQDLK >cds.KYUSt_chr3.30509 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191232828:191235355:1 gene:KYUSg_chr3.30509 transcript:KYUSt_chr3.30509 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAITATVSAQHPHLKPSVSFLRPSPPHRVRIHPSSHRLCTRASAMAPSAPQQLTITRPDDWHLHLRDGQVLAAVLPHSEMHFQRAIVMPNLKPPVTTTARAVEYREEILRALPPGSSFTPLMTLYLTDTTSPEEIKIARKSGVVFAVKLYPAGATTNSQDGVTDILGKCLPVLEEMVRQEMPLLVHGEVTDPHVDTFDREKVFIERILAPLVQRLPQLKIVMEHITTMDAVNFVESCKEGQAIVSAVTSGSRQYFLGTDSAPHDKRMKECSCGCAGIYSAPVALSLYAKVFEQAGALDKLEAFTSFNGPDFYGLPRNNSKIVLRRSAWKVPATYTYGGGVIVPMSSGNTLEWLPSDQPEE >cds.KYUSt_chr1.29869 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180900910:180908264:1 gene:KYUSg_chr1.29869 transcript:KYUSt_chr1.29869 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLGGARAGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKQLRLSHVSRIIPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDMLSSATTSPRTYTRRSSPLSSPFSSNDSVHKDGSENYRLRSPYGSPPKVGLDKAFSDIVSYAAPPRPFFPSDSNVGSVHSASSGHSDNTNGRGIPMDGFRVSLSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGNSRVGSSSGAKMDCLVPKPLEFAGRLDVQNISCGGRHATLVTKQGEVYSWGEESGGRLGHGVDCDVPQPKLIDALAHMNIELVACGEYHTCAVTLSGDLYTWGNGTFNFGLLGHGNEVSQWMPKRLNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGSFGVLGHGDRQSLSVPREVESLKGLRTVRASCGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKDSKLVPTCVASLVEPNFCQVACGHCFTVALTTSGHVYTMGSSVYGQLGNPQADGMIPTRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNCPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGVDQSMCSGCRLPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNPNKPYRVCDNCYGKLNKAPETDRYSSAKRGAILQGFNDSNSINEDLETRSNVQLSRLSSMESFKNMDSRYSKRNKKFEFNSSRVSPIPNGSSHWSGLNISKSFGSSKKFFSASVPGSRIVSRATSPVSRRASPPRSTTPTPTLGGLTSPRVVDGAKPIKDSLSQEVVNLRSQVENLTRKSHLLEVELERTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAEKLPEGAGAGAGPVKHNRLPPLSGMPVPSDISVATENLGSPRGSGEPYLNGSNGLVVSNGPSSVRNKTHLEVGKNGTRLPDSDTKLESEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRARVYELYNVRVVDKSVASIDGDIPH >cds.KYUSt_chr4.16184 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100289734:100293460:1 gene:KYUSg_chr4.16184 transcript:KYUSt_chr4.16184 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLQRSSRCPTALPGCSPSTDACGSLLERRELVLEPVMERQVALVKAQVVALEDGLDRPAVFERLLLPRRLERYKLAHPSSNPCRQPSPRRKPAQPAVTLRVKRKKEGVVVFFSRDDSAEGSFGHVLDGDKNAAGRQRPSQSLAKSSRVRLIHFSSRFALRTSFDLAVHPGHCRR >cds.KYUSt_chr7.22247 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137710775:137714164:1 gene:KYUSg_chr7.22247 transcript:KYUSt_chr7.22247 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAWPVCTICYEDLRPLSDQHLYCLPSCGHVFHALCVEQWLEYCPGAGGKKKGTCPICKRACGASHPPTRLFFQSTGACPTQASPRSSQGADPEALAAEVARLEQKAASLGRVLDEQRDGIQKLNAEASAAAKPAGSRYWAGDFPALLMLSWWLYVQVVRWKEKAAAAETMREAARKEKEYVQLQLNVKTEELSRRTTECGRLQERSLALAKELAALKLSTDMNLQEEEILKLASLGNHGNLENAVDVLKRSLALRNRSYKDLMVQCNHLGRSETRAKQKIEKASELIKKLRARVLDLQKELEEKENNVIRDLRSSKKFKADQNETNPVNPTANNGLSSPSARYGNQIAKRDDLMQDGSNEKAHLNQLTPEAKNDLNLKDNMDTKFTDVIDLDGDDDHLIRCSTKPFGNSDYTLDAQNQSGRFEHDNNEPTTFRCGPSFLKHTEATGKSTFQENIMKTKPQNVQELPISRSMNDTTSWKKQALTIDAISKQATRLASGTGPQQFHNLNSLSDDDFETPRGSTGLEGARRGIGNWSKGMAAPRSLNANANRGNLISVGHDGRGGKVKVLKDHGRFQGSKTPALWPKAQQKAGGKSGQSQIEHFFVKR >cds.KYUSt_chr7.29109 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181082765:181083046:1 gene:KYUSg_chr7.29109 transcript:KYUSt_chr7.29109 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLLEYCGKPTRRGRPQRRPSHPR >cds.KYUSt_chr4.8864 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53214986:53215246:-1 gene:KYUSg_chr4.8864 transcript:KYUSt_chr4.8864 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAMPEIDGYMGRGVPDMGAYMVDTMPNFGGDMPGMGNLHEWSLWRFHGGDIGVSIGGGIPSMAGGMSGGISSNTVHVGDDANGE >cds.KYUSt_chr2.25592 pep primary_assembly:MPB_Lper_Kyuss_1697:2:156565536:156567270:1 gene:KYUSg_chr2.25592 transcript:KYUSt_chr2.25592 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRTMGAVEKINKSRGWRRGKTQAITPASGIDSGILHQLVAGNLLVHFADLHLLVTGGLLVLVAGSLLVPVPGGLLLALGGVLSSGDAALRRLRSSGAHPVWWSGAHPLWHSGAHLAHRGVALPPLR >cds.KYUSt_contig_7738.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001664.1:49393:50013:-1 gene:KYUSg_contig_7738.4 transcript:KYUSt_contig_7738.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQGRRTSCYHWCSDFICAHAIFAGGFVTAPVAVMHLVKRPYSGHAILFAAFAAFCTTLTFLLCCSFYAELKRPPWPRWLAPTEASTEERDRRRQQHGRESSHEQLRHPELTVMSRDDLRAALAANRVPSYAYEHPEEGAAAECAVCLGEVEKGDSVRRMPLCLHVFHTECIDQWLRSHATCPICRCSVIPPPERPPEVVLDVGS >cds.KYUSt_chr4.52841 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327748710:327750744:-1 gene:KYUSg_chr4.52841 transcript:KYUSt_chr4.52841 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPGLTIHEDCEVVVELAIVEVKLAIGKGSIFLRRIGGVAAQGGGGYAGYGYGGYGYDYGQGHGQLIMGYYWMSCPYAEAIVRNVVGEALYKDPTLAASLLRLHFHDCFVRGCDASVLLDSTHGSTAEKDALPNKSLRGFEVIDAVKEALEARCPGIVSCADVLALAARDSVSMAGGPYYDVPTGRRDGFHSLSADTSALPAATLTAPKLIDLFVGTHGFTVPELVALSGAHTLGRAHCANFKNRLKANAVDPTMDAWMAASLVKTCKSGGDGATAKLDTTSNAFDTNYFLGLLHSRGLLTSDQTLMTGSEETVMYVGMFADNSDIFFDTFVQGMAKMGQLDLNPYGNVRMNCRVLNY >cds.KYUSt_chr7.33387 pep primary_assembly:MPB_Lper_Kyuss_1697:7:208334879:208340736:1 gene:KYUSg_chr7.33387 transcript:KYUSt_chr7.33387 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDALFEVAPAAGIDPVPVRLVVREPRYAGGRHPALRPFSAAASARFSSGLTVSEGLHRYFLDHMERRELQMWCRFATTTAAAVATSASPLTFAVEDYLVASCHLTPKQAVKASKVLSHLKSPSRPEAVRAFLSDLGLSHADVAAVVVYDPLFLCSEVDKTLAQRLAELRDLGLSPSQIARLVLVDPARFRRPTIISKLKYYVPLFGSFETLLQALKNNSYLLSSDLENVVKPNVALLRECGLGDCDIVKLCVPVPRLLTTNPERVKEMVERAEDVGVRRGSAMFRHALLAVAFLSEEKIAAKVEFLRKTFGWSEAEVAIAVAKLPVVLRNSQDRLQRMAEFLMYEVGLEPEYIAHRPAMLTYSLEARLKPRYYVVKFLKDNGLLKRERSFYTAAQVSEKVFVEKFINPHKKAAPCLAEDYAATLKGKVPTRFRLQEPRTSSNSI >cds.KYUSt_chr5.43325 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273083765:273085850:1 gene:KYUSg_chr5.43325 transcript:KYUSt_chr5.43325 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLNPPAYGHPYDDGEERPPFVLMEPHAYFADRHNATTATCRITGFNGTFKVTFCAVRPPLVSYMCFHATDYKHTDFAVDPRVLATETDGGLVLLRVVFCDRLSAMNFPHYGEYLVYDASGPSLEHLPCPTDVLFSDDSVAFVRKGTHDDGASSHNYVLAAHSGGIGYGDSAELYLYHSDTNTWTTKRLVLKPQQFSDPDTYHSTCKAITIGGYGGIVAWVDLWHNIILCNVLAERPKLHCLELPAPIVPVDESSQSDPSCVRDIALLDDDLFRFVEMQFHVAQPGSSSGTSGYWEAKVWSAKRNSSSVKDWHADYEFRSSDIAELPILQVDPGKAQPTLSTLHTDMPNVSLQEDGIVYFLSKVYHCNNDHVAWVLAVDMRNKKIEKVGEFRPTRTVGLADGYSATRISKYLKGLVANWYCWFGKNLSSERYKAKSEMITDAVAEIL >cds.KYUSt_chr7.27771 pep primary_assembly:MPB_Lper_Kyuss_1697:7:173318417:173318836:1 gene:KYUSg_chr7.27771 transcript:KYUSt_chr7.27771 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLEVTTEAIPHAAKHTTTHLAGFVVLNLRLPSRLPFPFIAHVAHKDGHGRAPACLANTVHQSPPTAELGTNVVQIEIHCRAQASPTPDRSLNLDNIRPHAVELHAGTNGQAVCPREPWPPGLASHARPEENGMGKPR >cds.KYUSt_chr6.29344 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185964969:185966441:-1 gene:KYUSg_chr6.29344 transcript:KYUSt_chr6.29344 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRLRSFSTSASSRANELLSLLHHHLGSGTLWPDLAHQLFDELLCQPVSVSERAINGLFAALARAPPSMACPDAPGLAIALFSRMARTRRCGMTISTNHTYSILIDCCCRAHRPDLGPAFFGCLLKTGITADVITFGNLFKCLCDMKQTDEALDVLLHKVPDDLPDVKAYSIILKSFCNNGRSQCALELLRMMDKKGANHSPNVVSYNTIIDGFFKEGEVNKACDLFHEIIQQGLKPTVVTYSSYIDALCKARAMDKAEVILRQMVINGVQPDTVTYSSLIRGYSTSGQLKEVVRLLKLMTSQGVLPDVVICNDLMAHLCQHGRVKEAAEIFHSMALKGRKRNVFSYAIMLHGYATQGFLVDMIDLCELMVGDGVVPNVHVYHILIDAFAKRGRMDVAMLFFQDMLMHGVKPDEVIYLTVIAAFCRMGRMDDATDKFNEMIDMGVPHDTTVYTCMIEGYLKHGDPMKAKELIAEMKNKNIRHRSQKGS >cds.KYUSt_chr5.100 pep primary_assembly:MPB_Lper_Kyuss_1697:5:684108:684893:1 gene:KYUSg_chr5.100 transcript:KYUSt_chr5.100 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSTFAGKAVKNVASSALFGEARVTMRKTAAKAKQVASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVNFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >cds.KYUSt_chr7.32254 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200920152:200921276:1 gene:KYUSg_chr7.32254 transcript:KYUSt_chr7.32254 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCDLGCSSSENTLFFVSKVIEAICGHRRELGASTKVELQFFLSDLPVNDFNHLFLSLRGFRESIAVGHEGDTLPPFYITGLPGSCYRRLFPDKSVHLFHSSYSLQWRSQFLDGLEGNPNEGNIYIAKNTPLSVVKLYQELFQKDFLLFLKLRYEELVFGGQMLLIFLGRKNEDAYRGELNLVFGLLAKSIQFLVEEGLVGKEKLDSFNLPIYGPSVDEVKTVVKQSGLFDINKIELFEHNWDPYDYSKSDHVDDPVQSGINVAKCLRAVMEPIFGIHFGESVLDDLFNKYAHNVAEHLEREKTKHSIIVLSLKKG >cds.KYUSt_chr4.3445 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19649281:19654887:1 gene:KYUSg_chr4.3445 transcript:KYUSt_chr4.3445 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLARTLSLPRSRVYYRTAEEMHFKITVTPRASRVQRWIRTVKRDFLHATPIKCVGLDYEFTDPREGRDNQRAAVLQLSVATENLVFQICWADEVPQILKNFLYDKTIRFCCAAIHNDVKMLRSYGIDIPYAFDLQKIIPNPTKNPIPSRYDLENSTIGTKLEKKKRKRDKKKKDDEEEEDDELIFGWGNVPLSYEYVQYATLDAHLGFDMARRLYQGRFGLIKATNNCCKQLLQWPVEEVETLRGKLVSLNDQVIKPGDSVDVTGLQTAQRLLLHVLNTQAAYQHVLSTFIPLGMEVLHPALAKDAQKLCSLWGADKKGGVGPFELWVLASAKLEEKTAVFFRVFKVAGRSDTKPVVLMCTDTTRSSLSPNLYRSTFALRLTSLTGQDNTAKLGTLTISVKLHHLIDRSVVESFGAGGRTCILSQVYPSLATGKNAHLHVFNNGKADIKVSQLAAWEMKHALMNGA >cds.KYUSt_chr3.11324 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67495797:67504769:-1 gene:KYUSg_chr3.11324 transcript:KYUSt_chr3.11324 gene_biotype:protein_coding transcript_biotype:protein_coding MLTADTSEEVIPGSEVYKLLMDKGAIGTDGHFIWDAPSNVDEGVRSEVTSFWNEEGLSFAERMEEAATEQLHLPEDIMPAFDDLLKSREDQGSGKKQKKAWGPVQPSRQSDRIDRSKNVMDKAMELKEKKNTLGAATKMTGIIKSNPFHVLQVEELGDMARKIEIHVDTSDIDGTMETDSLDKTNIQIVPSNKLVDFLVDVDGMSGTSDCPRTPDQYNTDHEFDDRGGILVGINLDLFDIVAWDIRKFSVSVVIRNKIVDATVRITTVYGSPYEEKKDDFISELHELFLHWEGPAIIGGDFNLVRSQLDKSNGVGLTPIIPKDLAGSIQKPGMGSGGKFKKCSGEKTEDGAVVDAGLKCTSFDWISTWKSSTTSLHQGRASEAYLLKHPEESDDIHVEEMAEAKQQQQPPQTALAASGAWKTVKPFVNGGASGMLATCVIQPIDMVKVKIQLGEGSATAVTRKMLAEGGVGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLVQKALIGLTAGAIGACFGSPADLALIRMQADSTLPAAQRRNYKNAFHGIYRIIADEGVLALWKGAGPTVARAMSLNMGMLASYDQSVELLRDKLGAGEMSTMLGASAVSGFFASACSLPFDYVKTQVQKMQPDATGKYPYTGSLDCAMKTLKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLSQIQKAEKRVGL >cds.KYUSt_chr2.27444 pep primary_assembly:MPB_Lper_Kyuss_1697:2:168498772:168501109:1 gene:KYUSg_chr2.27444 transcript:KYUSt_chr2.27444 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLGAGAQGASGCGAGDDQNEGSRRPGASHGGARAGGFGELDAVMLSLRGSPLRPTPARVGGEGAVGASVVGCRSSEGQRRRLDRMRRPITDEVLLAGEDWGIWHQYSEAGPASQPSCSDRMDKKDLAAASDHNLLNKPRVPPASRTLVWVRKDLVQTKSFTPSDCYPAGSGFLPKPTVIKFADLWGAVEGRRSFVEIVKMAGGGRGMGRAGGAVGGRGAGGRVPPTTATTSSATAIPLSNIPDLVPIKTEFQQPMIQQMGGNGQGMYPMMNPNIWNMQMAQWPQFFGNQQLPPPGFNPMLMMPQGVASTLPQSNSQGSSASQLPLQQQNSGSNKNKKKSLKGTASDGSKNSGDRSGSNLQMNLVSGPGPALDPKFKNVTCYNCGELGHYVGLCTRIKRCFICSKTGHHMDNCLMWYSLLPTAQYWGSANPGLGFFHVEVEGPEAVQWLNMDNVGVVVVKHGEISAEELEKCFNDMWKVNWYWQIRQLGPKRFLVRFPPSKKVKELVEYPSINLKKDGVVIYFVNWEGEAEPFEEFQEVWVRITGIPAKWLTWKTICQVSTALGVLVNIDWHGIFRSFYKEVRVKVSVRDKSKIPANKLFEMEQCFFLINFLVENEGEPIDLDDDDGEDPGNTNEEGKLDDDADIGDDFRALDKNKSGGSNNKMETDPSIPSGNSGPRAAHMSLETSVQAKVYGKEAHIPNESVLVVRRAEDNIGKNPIQQFDDESDDDVEDINVGKEVMVSSKP >cds.KYUSt_chr6.18767 pep primary_assembly:MPB_Lper_Kyuss_1697:6:118014638:118017858:-1 gene:KYUSg_chr6.18767 transcript:KYUSt_chr6.18767 gene_biotype:protein_coding transcript_biotype:protein_coding MGADPELADLAAVVLVPFPAQGHVTPMLHLARALAPRGVASTLAVPDFVHRRMGSCADVAAAGVGLASIPSGIFDDGGEPPGFASIAHAMEHHMPAHLERMLTRGHAACVVVDVLASWAIPVASRCGVPAVGFWPAMLASFRVVAAIPELLRQRFISDSAWQEKRFAFWLQILQRTRSLRCLLVNSFPSEAADEDSDQLHASQSLQILHVGPLSIHGLLENSLKLPGTNPSMWQADGSCMDWLDQQRPGSVIYVSFGSWVVPIGSDEISELALGLEATGRPFLWVLKNDPSWRAGLPAGYLETVTGRGKVVAWAPQGGVLAHEAVGCYLTHCGWNSTLEAIQHGVRLLCYPVSGDHFINSAFIVKMWETGIRLRSTRRCDVKDGIGRIMEGDDGRRLQQKMYELRERVRASPAVWVPHAQIR >cds.KYUSt_chr5.27504 pep primary_assembly:MPB_Lper_Kyuss_1697:5:174140460:174141757:-1 gene:KYUSg_chr5.27504 transcript:KYUSt_chr5.27504 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVMPRPITAAPPPPPPKATDLFGEPIEAHPPWFRPDAFLRAGFDPDAYVAELRAYVPLESLAAELRAHLAALRAELVGLINRDYARRLRRGPQGRAQELLPSRADLPQLPSLPQAPYFSNGFELQVSRRQDDLVLIESIAL >cds.KYUSt_chr7.19944 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123566482:123567957:-1 gene:KYUSg_chr7.19944 transcript:KYUSt_chr7.19944 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVAAPTSLLRPAVNPTHAPARASVLAPSNRSHLGNLEHLFRNRGTAETSGSAPAPVQPVRRRRQAPLLRLPSFLKRARGETAAGEEQVNLSPRLFLPVPPDGPSPRGDIAAAWQRLHGADGWRGLLDPLHPDLRREIVRYGEFVDAAYGAFLSRPDAAPGDAEAVRVPLQDAAYRVTAPLFATSSVGLPSLLALAVPCAAQRTSLVGYVAVCEDQDEVRRMGRRDIVIALRGTCTVLEWAENFRAGLVPATDATASTTGDASAAKVECGFWNLYKTAGDGSPSLSEMVVSEVRRLVKKYEGEEVSITVTGHSLGAALAVLIADELAGNGGAPTPVAVFSFGGPRVGNRAFAERVEARGARVLRVVNTHDVVPHLPPRPGGRWYADVGRELRLDSRASPYLRPDADAGCCHDLEAYIHLVDGFLGSHCPFRANAKRSILRLLKNQGANVKQLYISKAVDMRVRLDAVDMPGSPLGRLSAPPSPVLECVH >cds.KYUSt_chr5.41893 pep primary_assembly:MPB_Lper_Kyuss_1697:5:264173510:264186360:1 gene:KYUSg_chr5.41893 transcript:KYUSt_chr5.41893 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQPPAAMSAPAPGRRKRKKKGRPSLLDIQKRTLRLQKLQQEEEESKARQQRRTSTRRNPAPDDADSGDDDSDDDDHRRDKKLRLVVGLHDGSASKVGAPPLPSPPDLPPPRPNPPDSDRNSRNSAPLRPCRGEKRRIATDGRQEPSDSGPTTPLPDKKLLVFILDRLQKKDTYGVFSEPVDDEELPDYRDIVKHPMDFSTLRKKLDKGAYANLSNSSQQQSMLDFRLKFDMWETFGQDDVFLITSNAMCYNSPDTVYYRQARAIQEVAKKDFENLRQDSDASEPEPEPLPELEPKPQRRRGRPPKNTVQPKVERPPAEHPTTDFSRSTLATTGNTGLHAHLGFDIQQRIADVLKASFGNRSSEHNWSSGRKLESAEDYSGSGSKWSGKMGKNPALIGVQLQQSYPRSLARFAAQLGPLGWEVASKRIEQAIRPGMMFGRGWVGDDESPNTLKPPVPTSSSTSVTPPTSTASEQQTVVDPASAIRSAGPHPHVAPYASTETRTDSQALSSMQCGSLPQVSADRGEHAVEMKSSHNVQEWPAKHQTINGFNAVPVSSNFSPETRKPTADQSVDPRRPKLRHCTRRLFTLANDASTPSLDRRRPPALSDPLPRRRSTPFSPVDDDDARPSIVRLIQRPSFADASTGDYQEEEVFYQEEEQENFDHITNQGKLTLLQVPRLTACLHWEAKLMGRGAYTRMEYKWEFYQLGHGGELRFEKELKQLVDYLGHPYPEFFGIPLKAQLGEPPRWDVSTDLRRKLDAPVWETIWFSVTGNTWKEGLDKAMQEAMFRLCGQNEDKIKSTRFIYYPRHDSKGRPMTMPPPQPKMNPYEAPQDFERYKTREDLDNALASRQAHHP >cds.KYUSt_chr5.39735 pep primary_assembly:MPB_Lper_Kyuss_1697:5:251285375:251286946:-1 gene:KYUSg_chr5.39735 transcript:KYUSt_chr5.39735 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVMEKGGGIGYEIPHLYKDRLQAERRLGISLTIKADLLVKTRDLIRAAEVQTKQHSLPIPKIKQQSSNEKENKKRSAHSREPHLVLTCHDCGHCSEISEPFLDLSLEIDQVDNLVSALESFTKVERIGGVENKLTCDSCNAQVCKYKRFVIDEAPDVIAFQIKRFTTLDGSIEKIAKHVAYPSELDLKPFHSDPDMEDLKYDLYGLVEHSGSPNFGHYVCTIRSSPSS >cds.KYUSt_chr4.51718 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320921960:320925647:-1 gene:KYUSg_chr4.51718 transcript:KYUSt_chr4.51718 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAADAASATLMPAMDHEGPPELYGLQNGMDMLGMHRLHAAAAMPEQQQHGDGAGSTMRFFLEQQQQQQHQQHHQTTQAPLSLSLHHHLGGSSQQQQQHEPLAASWMLQHDQHDVSAHGHGAAWQLRGSRFLLPAQQLLQGFCSLPADVTAKRAKPLLQKQEDGTGGEGSSSSTSCFAPSAQIQAMDALELQRLKDKLYIMLEEVDRRYRRYCEQMRGLAGGFEAVAGQRAAAEYTALAARTISRHFRSLRDGIVAQLQVVRKALGEKDVSTPGMTRGDTPRLKVLDQCIRQQKALHQNGGFMESHPWRPQRGLPERAVTILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKEDDGGGGQAGGLNPNPSSGSSHASDGQQQQRLVADEADRAGGGDRKPTRAQLHDVHDAGSLASIVNIAGPGASRMESFGIMDNHLGFDAYDGSQGQGFGGAGGGVSLTLGLQQHDSHDGGGVNIAFGAPSAQHGAGGFLFPGEQMDAVHSAGAHGHHIQFGVGGMDGAGEASHGGQDQHYRGLSAGFHLLRDLAG >cds.KYUSt_chr1.39269 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240209596:240210064:1 gene:KYUSg_chr1.39269 transcript:KYUSt_chr1.39269 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLMLALMETRMTGFRWVVAVAPAASHHLLFGRKAMIVVWPSSVGLVGDAFGASSMTIRRAYPLPAEPLSVCTGSASLGVPELCRGCSLVVASNIAAKALSKVFREVQCQC >cds.KYUSt_chr6.32074 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202590390:202595652:1 gene:KYUSg_chr6.32074 transcript:KYUSt_chr6.32074 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVLSLGKSVLSGALGYAASAVAQEVALQLGIQGDHSFITDELEMMQAFLMASHEDQEGNKVVKTWVKQVREVAYDVEDCLQDFAVRLENLSWWHIPFRLLDRRRVAEEMKELRLKVEDVSQRNLRYRLIEGSSSKPTVTAERSTISTEVLLHIAEATRRAALQQNKKVDLVKLITEDADDLGVIAVWAPSSDVGVTSIIRAAYDAKNVKVKFQCRAWVRLMHPFHPSDFFISLVRQFYMHSCEETGKATEGTTTGMEVTKKMAAQDNLVDEFNRYVTQKSYLVIINNVSTIEEWDWIKTYFPSKRGSRIIVSTQKFEVASLCTKQPHMVSEIDQKWSFDKDFYVFYYTKVDTLIKEDDKAKPISTEPAGEEHVNNPTHATTVAAASEEDELIDRKAAKNTVIRLIDQRGYVITICGMGGIGKTTLKCLIVLDDLSSTVELQWVLDLLPVNTPNRIVVTTRELDIAKYCSLEEQIYNLELLDCKESNLLFAKKVFKDIREKENFDHNPDMIEQANLVLKKCGGLPLAISIVGSFLATKPKTAMEWSNLNTHISAELESNPELGMIKTVLTSSYDGLPYHLKSCFLYWSIFPEGHDIRWTRLVRRWIAEQYARGTRNKTAEEIGNNYITELINRNMVRPPKRTAHVHSSGRLGFLQIHDLIREIGITKSTEENLVFTIENGCNLDTQGKIRHLAISSSWTRNKTAFEHGLDFSHLRSLTVFGGWESFFISEQMKLLRVLDLEDTMGLTDNHLHQICQLFHLNYLSLRRCEGILRLPNSLGNLKHLQTLDVEDTSIINLPRSIIKLRKLQYLRVGFVPEDDDEKQESTDGFHHIHRWLNYLSFHLYPRTGCLFLCYRSLYYLLASLLWLFIITGNLLYLIGKFSRVYVKEDFSGLSASFMGLEPHGVKFPRGIRKLKALHTMGVVNINGGNAILEDLQFLTELRKLRVTGLNKKNCMKFFSAIANHKCLESLSMRSEGKPGFFGCLGDPSSLHMNLQSLELYGNLVEMPKWIDGLKNLVKLVLRSSRISEHDVAMQILGKLPNLAILRLLWHSFEGEEVCFSFHTGAFPSLMVLELGVQDNLQSVKFKAGANPKLELLVFSCRPEDANIGLFSGLPSLTSLKEFMLDSDNYTIEFMENLRNQLARNLNGPVLKRYNYSS >cds.KYUSt_contig_2278.84 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:643640:644515:-1 gene:KYUSg_contig_2278.84 transcript:KYUSt_contig_2278.84 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSSDKNPTPEPSNRQEEAATTTATAHLTSRKRPHPWPPSATPWGTSVQTKAASAATDGKTEGLNAQPRRPPGWGTRHHHGRHLQSHGTRQPQRQNRCRMMAEKRGRRPSQPHHHRPAVARTTHAGTSLPSATLASESDPHTPAAAETAAHRGQGGADLPPGQETKATPPDLGAKQIEAAPAAAGHRQQQPDEAHHAVGQTPQRRHESPPPRRRALASTTPPLDATCPRRHRRAGRESPAAAVGRPRLRPPARPGGGEGRGGRRGTRGPAARVCPRSLAGATRGKKISPR >cds.KYUSt_contig_1948.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:122142:123236:-1 gene:KYUSg_contig_1948.25 transcript:KYUSt_contig_1948.25 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYTSPYALSFLLLLLSVPAVFFLAPRLLLPKTLPAIPDADETEDLALFRRAVLLSAAPAPPAPATSGSLFGRRAHQAQPPKVAFLFLTNSDLVFAPLWEKFFAGHHGLLNLYVHADPSAVLSIPPTPSFRGRIIRGNKATARASATLISAARRLLATALLDDPANQFFALLSQSCVPLHPFPTLYRALVTDNNAGTGRHRHRSFIEILDSEDTLPARYVARGDDAMLPEVPFDRFRVGSQFFVLAKRHAVMVVRDRRLWNKFKAPCLVKSRDSCYPEEHYFPTLLDMQDPDGCTKYTLTRVNWTDAVGGHPHTYQPEEVSGDLIRDLRKSNGTYSHMFARKFAPETLGPLMEIADSIILRG >cds.KYUSt_chr2.8083 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50843021:50846333:-1 gene:KYUSg_chr2.8083 transcript:KYUSt_chr2.8083 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDFDFDCAAASPGGQWLGESASRRRQRRLSSPSLRTYLTPAFDAVAAGHPGSPASSYSSGGLELGFDASLLRYRRFSANPEMDSRRLVYSPPAQPRPVYPMLDHEAYLHGHKRQAGPLTGAPGFPDMKHQFFSPTRPPPVDFRSPDGAIMLPNRAKLFSTPAPGATTPSAQAASAQPQPTEEEGDLIAEVLYGRSGRRRLPVFKDICPE >cds.KYUSt_chr7.22466 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139164411:139169088:-1 gene:KYUSg_chr7.22466 transcript:KYUSt_chr7.22466 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVVAAVVLALAAVAASASAAAAGGATYEVRWEVGYMTVAPLGVSQKVIAINNQFPGPLLNVTTNWNARVNVQNNLDEPLLLTWDGIQMRMNSWQDGVTGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRTAGGYGPVTVNNRAVVPVPFDQPDGDITLFIGDWYTKSHTELRGMLDDGKDLGIPDGILINAKGPYRYDTTLVPEGLQYEIVGVEPGKTYRFRVHNVGTSTSLNFRIQNHNMLLVEAEGTYTNQQNYSNLDIHVGQSYSFLVTMDQNASTDYYIVASPRFVSSEARWHDVNGVAILQYSNSKGSASGPLPDAPNDFYDKYYSVNQARSIRMNTSSGAARPNPQGSFRYGSINITQTFVLKNESPLRIDGKRRRTINRVSYSPPETPLRLADLHNLTGVYKTDFPTMPSDAPARSSSSVLNASYKGFLEIVFQNNETDVQTYHLDGYSFFVVGMDYGEWTPNSRGSYNRWDAVFRSTTQVFPGGWTAVLVSLDNVGIWNLRAEKLDNWYNGQEVYVKVADPLGYNVTEMIAPDNTLYCGRLEDLQKPQIHPISDRSSGHALARWSTRLLTAVSLIVTAVICS >cds.KYUSt_chr1.23656 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140874674:140875267:1 gene:KYUSg_chr1.23656 transcript:KYUSt_chr1.23656 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLKRCTGKNMEGINGKQEAVTGDEVCSLQICPCKRKEPTAGHLHHIKEGVKEGTVEPDSDAVFSDEIEEQAISDVKVAAAARKGAQVSCFSEVGKSANAEVESGKTTGDEDEDEVGFTCPYYSDDEFTRPYYSEDEEEEDYDGETDIELVWAKANADYNKFVKRLLANVKDDMFKDYTPEDFLDSEDLGVVETA >cds.KYUSt_chr2.23770 pep primary_assembly:MPB_Lper_Kyuss_1697:2:145000345:145001157:-1 gene:KYUSg_chr2.23770 transcript:KYUSt_chr2.23770 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKRLFLLGLQMEGTVFAPSLQGMKHVKSDSGVILTKAFLEVCKHILPVLDKFGSAMSIVKSDIGGNISRLEAKYASDPTKYEQLHNMVQVEVASKTAKSSSSCTNGLLWLTRAMDFLVALFHNLVQHPDWQCHKSAAMLIEILSGNGMVGWGVRAS >cds.KYUSt_chr2.13883 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87899469:87901504:-1 gene:KYUSg_chr2.13883 transcript:KYUSt_chr2.13883 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLVAALVLLSSTLAASDFCVCRSDQPQAALQKTIDYACGAGADCNFIHEQGPCYNPNTVVAHCSWAANSYFQRKRGTGATCDFTGTATLTTTDPSSSGCPYPSSASGAGTSTTGAGIGTGGATGGTPGTGTGTFTPGAGTGTGMGTTGTTGTAGFGGLGPTGTSNMDTAAAASHHPMAGPVAFLAALLSLLALA >cds.KYUSt_chr2.28702 pep primary_assembly:MPB_Lper_Kyuss_1697:2:176175796:176183275:1 gene:KYUSg_chr2.28702 transcript:KYUSt_chr2.28702 gene_biotype:protein_coding transcript_biotype:protein_coding MADCHHWEAKLIGEVPILGYVSERLMVDDPHTEPNRPEAQQMPNGSQHAAIPTPRRRLPSLREPNTTANATAMLEAIARAPSTHPAPRHCIALLRLHLASPSVAVAKQIHARALRAAGVPLSHPLLAKHLLFHLASIRAGAGAAQLRYAVAILTRLLPEPDPFSLNTVLRIAASSSSSRPRVALELHRRRLAPPDTHTYPPLLQACARLLALRYGESLHAEAAKNGLAALVFVKNSLVHLYGACGLFESAHRVFDEIPRLHRNLVSWNSVMNGFAANGRPNEVLTVFREMLDADLEPDGFTMVSVLTASAEIGAQALGRRLHAYVAKVGLVGNTHVGNALIDLYAKCGGVEDARKVFQEMGLRRTVVSWTSLIVGLAGNGFGKDALELFGVMEREKLVPTEITMVGVLYACSHCGLVDDGFRYFNRMKEEYRIEPRIEHLGCMVDLLGRAGRVEEAYDYISTMPLEPNAVVWRTLLGACAMHKKLELGEAAWARLVELDPGHSGDYVLLSNLYAAVGRWADVHVLRKTMVTHGVRKNPGHSLVELRNSVYEFVMGDRSHPESDQIYQMLAQIAERLRHQGYVPRTSNVLADIEEEEKEAALNYHSERLAIAFALLKSLPGTPIRIVKNLRVCGDCHSVLFAQTPIIFPRRVAHRGRRERDGSEKGLSSFVSPMKRKELIMNRFLIFAWFIVCTAGVEPQEKMESTTPIPTLSPPEGNTTFIDGVTWCVARPGVPQEDLQNALDWACGQGAADCSPLQPGGHCYQPNTLLLHASYAFNIFYQQNGNSDIACNFGGAGSITKRDPSAVMRDYNGNFMAASTVYFSNITSANVAEAMAMKEGLGLASRLGVNDVIMESDSLEILDV >cds.KYUSt_chr3.15227 pep primary_assembly:MPB_Lper_Kyuss_1697:3:92975535:92977961:1 gene:KYUSg_chr3.15227 transcript:KYUSt_chr3.15227 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPSWVILGTVPRVTTAATADDLPPGTDLSLALKAPPRVAILTIPPRIFPGRTTPANFPSVVAVDASGLILLKADQGPATGPTVIHTPRRRQFGWRPTVAGYFILDATTAASLPLPKPELILHVGHLGLVSSPGGGGHYMVVELQPILGGDTAQLLRFSSDVGEWVSKAIRYPLPYRMLSPDGVVSYYGRAWWVDLSWCLLTCDPFQDEPVLRVVPLPPGKVLRCREAWGVLDKYRCVGVSAGKLRFVDMYRRSRGPAQISVWTLADPDSTEWTLEYEATFAEICDDASYKATALPRKVPVLALIHPTNPDVLYFFLDKYLFGVDVPARKVVECEVYHLVEPPRELVATRFVHAWQLPRALCSVP >cds.KYUSt_chr2.26743 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163747869:163754743:-1 gene:KYUSg_chr2.26743 transcript:KYUSt_chr2.26743 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDKVGKPIEETKEDVYPKRMQSESLPAKIRIVMKSFNSQKDNLSGLEPYMRKLNFQRPTEESNKESPRREEESNQEESNKESPGASAEESNEESPGAKDKKKAMTKRKATQERFSNLDNRSTSSCAVDDFADLYMMMHLLNEVDDCRHDIPRSKGRVFHRTSWRYNGRGLVAGHHGFLLVHG >cds.KYUSt_chr3.8111 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46789664:46791095:-1 gene:KYUSg_chr3.8111 transcript:KYUSt_chr3.8111 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKAQREGANNGKRLTVTMELDTLDCPICFEPLKPPIFQCSVGHFLCSSCRSKYLDNKCHICSARTTFTRCFGMEHVVQSVKVPCSNAMYGCTKYVTYYQKVEHEKACAPWVCAAWGCFCPVPSCTFLGPTDSLVDHLTTDHEIPSTTLPDSDTVSLRLQLGLHVLERNRTDYFFLLKMSLVATGHAISIICVQPSTTEPKFTCNMNYDCPATVFCESSSCHIRSSSLYDGFPEGFDLILPKGKIPDDQNSILLRITIHQALSVSRASLQGKSPTPAPQGKVIAFALQRKLRIHCSDS >cds.KYUSt_chr1.5681 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35092140:35093991:-1 gene:KYUSg_chr1.5681 transcript:KYUSt_chr1.5681 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKVEKRMAKETGRTQRELRRAVKLRKKLMTEDEKLIYSMRRVVNVRCTEAAAPHDGLNLVEYIGGSYVKADSDCYEVQLAVFTSGYKSISTGVP >cds.KYUSt_chr1.41416 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254031343:254031994:1 gene:KYUSg_chr1.41416 transcript:KYUSt_chr1.41416 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIRHAARRINVQRAVEPPRLVNTGPVNPKPHGLTADQKKDAAIRMALIDNKTEELYNLVAGFNAKYTVKGSVGQKYTNLMNQLSVQIQPRHDDPGWRSCRRSAIVHDRFKTVGGLFIGYVLGDALFHWYHDRDL >cds.KYUSt_chr5.27804 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175995801:175997255:1 gene:KYUSg_chr5.27804 transcript:KYUSt_chr5.27804 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALVAEILKKITKTSDLNSLSLVSKQLYKMEGNHRGAIRVGSGLCTATKALKSLCARFPNLWKVEIDYSGWIPRHGKQLNNKGLFVFSSHCSSLIDLTLSFCSYIDDSGLRCLAYCKTLVSLRLKSTPEITSIGLFSVAVGCTSLSALHLIDCEKIHSVEWLEYLGRNGSLEELVVTKCKGIKHHDLLKFGSGWMKLQKFEFQGNGGIYGLCQGDIVYDSSYDAHSKNIYDFCCESLMDLRLAHMKTWPEVGLRAVLGKCKALEKLRLHYVHALNDNDLIALSRSCSNLKSISLWLNLQRYSSDVRYCETRTSFTDNSLYSLALNCRMLQTVDFRFTGCASDWPSEIGFTQNGFLVLIQSCPIRVLVLNTANFFDDEGMKALSSSPYLETLELMMCEAVTDVGMRFIAHTPCLSNLTLRLCHEVTDVGVAELVHAHKLETLVIDCCSKVSLQAAQGVTKLVHYSRNISDAFMTVGLKDC >cds.KYUSt_chr7.32632 pep primary_assembly:MPB_Lper_Kyuss_1697:7:203354833:203362130:-1 gene:KYUSg_chr7.32632 transcript:KYUSt_chr7.32632 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHEAPKRKRGRKPKPPAIAVAAPAPDNANHDSAPSPKPKRGRKPKPPPAASPDNDHPSSPLAAAVDTPDPGSSSGPRGRGRKSRRGRHELPSDADVPPRAPSPTRRGAQKAAANVKVDSVPAVEPLRWEQVARVMPSMDAVVKVFCVHTEPNFSMPWQRKRQYSSSSSGFVIAGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGNECDIALLTVDDDEFWKGVSPLEFGSLPTLQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDQGKCVGIAFQSLKHEDAENIGYVIPTPVIKHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKSDQKGVRIRRIEPTASESGCMQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKAHVKLLRNSKVHEFNIKLAIHKKLIPAHIKGRPPSYYIVAGFVFMVVSVPYLRSEYGKDYEFDAPVKLLDKHLHAMAQSPDEQLVVVSQVLVADINIGYEELVNTQVRAFNGKPVNNLKQLATMVEDCNEEFLKFDMDYDQVVVLQTKTAKAATQDILATHCIPSSMSDDLKA >cds.KYUSt_chr2.31222 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192688625:192690932:-1 gene:KYUSg_chr2.31222 transcript:KYUSt_chr2.31222 gene_biotype:protein_coding transcript_biotype:protein_coding MKPADQPFFLPHQPLYSTAGHDPSIPLVTTSQPAMAAQEGRDGDATAVPPTPMSAPASFKFNVHAPEFVPMSPTAAATTPMSAPAGGYYSPFLQMQAAPDWSFLHDHEPVFFMPDFAHAKFAAAAAASNSAGAKGGAGGGTTDVAQKIVKQVEYQFSDINLVANEFLLKIMNKDSEGYVPLSVISSWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKVRRRQPFTEKHKEELQSRMIIAENLPEDSSRNSLEKVFSVVGSVKNIKICHPQEPSSARASKSDTLVSNKMHALVEYETSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRPDFDHFAGSDDESPHSQMSDSPTSEAVHSPEAHPEDHQGGGKKGWARGRGKLHVVAPHSPQSAPAGVVGHFDPSSPRQASQKCPLSPRQASQKCPFSPRQPPQGPRMPDGTRGFTMGRGKPAASSVAARAVTAPPPAPVLV >cds.KYUSt_chr2.31563 pep primary_assembly:MPB_Lper_Kyuss_1697:2:194679148:194679882:1 gene:KYUSg_chr2.31563 transcript:KYUSt_chr2.31563 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAVGQYHRYAKLKVSYGGKIERSSQGGQPKYNGGHNKIMKVPRSISLREFHDRLAALVNCVKVDIQYVSPSDTLHALRDVETDADLRQLLDWALLRDLQMNLRGARTSNEFVSHVRVFVLPVAADVRPPLPESLFSSAPTTETTLAPPPSCLNKRSASAPSLLANPADDTSNPPSPSVFQRSASASALTQPSTEDNTTTSITATGVPVVPVLMYQPVFQVCQGVVVSSGYLAWFSSAPLKTY >cds.KYUSt_chr2.10887 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69136841:69141535:1 gene:KYUSg_chr2.10887 transcript:KYUSt_chr2.10887 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSEKKVHELMDAARRRAQRRAAYLARRRGDPHQSLQVSGARCRVHRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDPSSRPFRAQEKSEEDEELEEFVNFERYRDLIKHRRRGFSDEAGLQHVAQELEAKAILPFSFEKPQSSQTPASKGSYSQVGYSYKGDGDEDSDDLDSDNEDEEEEDDDGKDFSSDDSSDERMENLAKEFGVKRYNWLVYMDKKVKEEEKRQKEIIKGDPSIKKLSRRERRKASQSEREREREAVRSVGRLSYHDPYREQRRSPSYEAYSRGRRSRSRSRSRSPSYSRRHARGSHAESNHRSKAKPPRVEYITEFGGSEDTSDLKVTGISPPSSPKRVGIPNRSSGVQILEALHSDPASSLSVEQEKSAKILKPPISAPSALAKLKGASGGVGKTPQAEKKETPQERLKRIMSKQLNKQIKKDTAAETAKKREQERQRQEKLAEVGRYRHRSRSRSLSRSPPRYLIQSLSP >cds.KYUSt_chr2.10484 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66533777:66539486:1 gene:KYUSg_chr2.10484 transcript:KYUSt_chr2.10484 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARKFLMSTHPPGGSVYLFDRKILRYFRKDGHNWRKKKDGKTIKEAHEKLKGGKQTYNRVKEEIMQVSNADSPSCSNSVTSQNQVAADSPISVQMSEYEDAESDNCRASSRYYPLTEMQQPLDGVMMDRMLYSSVSTIGSSQGYHRDMLPRTENLDSHSFTHHDITRMFDDRTVVTDISRTMFDSVPFDEPSTYSAGFTEPTFHSSFASIEANNLEDNSCLETFTSDALYTNNLSQKEADELSFAAIPSSEVNFSRYTEGSIKHPLLKQLSLDLFKPECAGLKKHDSFSKWMSKELPQVVDLDMKSNCDAFWSVADGSIMPTEQLDAYAVSPSLSPNQLFSILDVSPSCMYIGQKTKVSVTGTFLAKKEHVENCKWSCMFGDVEVPAEVLTDGTLRCYAPAHRSGRVPFYVTCSNRVACSEVREFEYRDSDYQYMETSYSQANGVNEMHLHIRLEKLLSLGPDDQQLLFLSSGNEKHELINAVNSLMLDEKWSDQGSLSDGKEVLVTRDQTSKKSVKERLHYWLIYKINDDGKGPNVLCKEGQGVIHLVAALGYDWAIRPIIVAGVNVNFRDAHGWTALHWAASCGRERSVGILMANGAGAGLLTDPNSEFPSGKTPADLASANGHKGIAGFLAESALTSHLSQLTIRESKDSSVQEVSGLPVVVNLDETNSSQLAV >cds.KYUSt_chr7.1979 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11320595:11328723:1 gene:KYUSg_chr7.1979 transcript:KYUSt_chr7.1979 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTTTTATAASPPPPLAPAEEDALKDDVYTAAAYGDLEKLQRLVEAEGRPVADPDGSGYHALQWAALNNRVAAAQYILEHGADVNAVDHTGQTALHWSSVRGHVQVAELLLKEGAKVDAADLYGYQATHVAAQYGQTTFIYHVVAKWNANPDVPDNDGRSPLHWAAYKGFADSIRLLLYLDAYRVRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVKDKTGLTPGQLAADKSHRQVAFFLDNARRVYDRGCNGNATFVKLSKLGLAPLLWCIAIVLLTTYIHSVIAGQYMIGMTASFGLFAWSGVFLATAGLVMFYKCSRKDPGYIGMNIRDSQNQRDDEPLLKTELDNPALLAGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFITLEVLAMIITGSAAIIRTVRDTASPASFGDWLGYSVVYHTGAVAFFMMDLFIFFGVACLAVVQASQEMDVATGPRISADLHFGKVTQIPAGKMYSHVSADAGMQQHDVSSAVTMPVGGSPVVQHQTALKNCSGIDKLIPCQLAPSVGFRGDKELISMARSESSTSSAASNIMDRGKQIETGLVDFVPHPPSRLDAYTYPEEPMEMTFGRFHFRVGKEGSYRLEVPTFPRFPVEHTESSSSASSIESGDEETSSPRFVGINASETLAKIFSDMSFKSSADSYISSDFESVDSFDFIDKSITIGKVFTNLYDGVTESSKAQNSKYHQVYVIGEASRDQDETSEAFDDLGNPYVDPSDLRQGLGNKYVGPTPRMRVQLPQTAWDRAARAMDGSEPMATTATVEELQAYQYRLARAGRELEKQTEALNKRREAASASSRRRGRPRSAFENFGR >cds.KYUSt_chr4.1701 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9017723:9019303:1 gene:KYUSg_chr4.1701 transcript:KYUSt_chr4.1701 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVGDVPVNSEAPVVEATIDGDYEPHDAEAGEKVPLTVFDRAASDKFVPAVRVYPAPAPSNDALKEGLLRAVALYPHFAGRLAVDGHGRPFLHVNNEGVLVIEATMPTDLAHVFPGAANVPDDLYPTQPETRQEKLDAALLQIKLNRYKCGGLVIGSSCHHHTADGHSMGTFYAVWSRAVREGKDFVPPSPFLDRASTAVPRSTPAPVFDHGSIEFRREANRCSSRAVLPVDKIKIKSITVHFTAEFIAELKSRVGARCSTFQCLLAHVWKRITAARCLNPEVFTQVRVAVDCRGRAKPRVPMDFFGNMVLWAFPRLQVKDVLGLSYGGVVGAIRDAMARIDEEYIQSFVDFGTLAADEELVAATSTVDTVLCPDIEVASWLGLRLHQADFGTGPPSALLKPDMHKEGLIIFVPSPMAEGAVDIIVALSEDHVAAFNKICYSLDDTIFLPSKM >cds.KYUSt_chr7.15329 pep primary_assembly:MPB_Lper_Kyuss_1697:7:95039201:95047205:1 gene:KYUSg_chr7.15329 transcript:KYUSt_chr7.15329 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRLGLAVGSLPRRPLPRLPIPPLVRAQPSRRRAFFPLPLSSRRSPFRSSVSASAESMAAVPPVARKVPRELVEHGDVRVDDYYWLRDDARADPAVLAHLGAENDYTAALMSDAKQLEDEIFAEIRGRIKEDDIDAPLRKGQYYYYERTLTGKEYAQHCRRLVPIDGPVTVHDVMPTGPDAPAEHIILDENVKAEGHDYYSIGAFKVSPSGKLVAYAEDTKGDEIYTVFVIDAESGQYVGQPLKGITSDVEWAGDDNLVYITMDSILRPDKVWLHKLGSDQSDDTCLYHEKDDTFSLGLQASESKQYLFVESGSKNTSFIFYLDIPNQSKELVVLTPRVDGIDTTASHRGKHFYIKRRSGEFYNSELVACPLDNVAETTVLLPHRESVKIQDFQLFENHIAVYERENGLPKATVYRLPATGEAVGQLQGGQSIDFVDPAYAVEPESSQFHSNVIRFYYSSMRTPPSIFDYDMDTGVSVLKKIDTVLGGFDASNYVTDRKWAASSDGTQIPMTILYRKDMVKLDGSDPVLLYGYGSYEICIDPSFKGSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLTKKNTFTDFIACAEHLIENKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPTIPLTTAEWEEWGDPRKEEYYYYMKSYSPVDNVKAQEYPHILVTAGLNDPRVMYSEPAKFVAKLRELKTDGNLLLFKCELGAGHFSKSGRFEKLREDAFTYAFILKSLGMTPPTKA >cds.KYUSt_chr6.21532 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135891338:135896011:1 gene:KYUSg_chr6.21532 transcript:KYUSt_chr6.21532 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAAGELAALDVETLALWGVGAETAPVAECGEWETFKENVRPLKRGRNVALLNSALKAHADPAQRAALLAERRRRIEAIEEYQGEDPLQPWVDCIKWVQESFPAGGEFSGLVVIYEQCVRAFWHDERYKGDLRYLKVWLEYAGNSADAEVIFRFLEANQIGEGHAVFYIRYASLMESKNKLKKADEIFNLGMARKAKPVEKLETTYRAFLRRSTRKKEHEDDTASEDQPLRRFGRDVNRGDTRGQPMENSRLLAKPRALQRINVNAPISVYKENPLPSQGLDRTRSKDRAWNTLGTQADRNKENNMMPARWTSHKIPPKVAARPAVQSARVSSIEVFIDEECAEEPAPPQVPKSPKPSVLKLRLATSKNLKNLLYGLIEASSCKQGWRGRRRVRHVRLGSLLRLRMRLFRLAGLVIRCLEGLNCCPKRRSNPRSAAQKPSHCRQAAGVPAVRHRESSASFHADQAIADCLEFIKRSYMQPAQDDHRTSAA >cds.KYUSt_chr5.40586 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256190870:256196847:1 gene:KYUSg_chr5.40586 transcript:KYUSt_chr5.40586 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSLRKIASLDTHVALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKDTSGKETIKLTIRALLEVVESGGKNIEIAVMTKKDGLRNLEETEIDEYVAEIEAEKAAAEAAKKGGPKDT >cds.KYUSt_chr2.35637 pep primary_assembly:MPB_Lper_Kyuss_1697:2:220132927:220133374:-1 gene:KYUSg_chr2.35637 transcript:KYUSt_chr2.35637 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGTQREGQKEVDGQSPSPLDPQPRPPVFATGASPALGLLHSAESERGMRVLEVDMGALNRGLEKAIASASRQIQSKYRAGCQAGRLETSASSQLPPPVPALQVPQSAVADEWIQVWR >cds.KYUSt_chr2.4597 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28394692:28395888:-1 gene:KYUSg_chr2.4597 transcript:KYUSt_chr2.4597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L22-2 [Source: Projected from Oryza sativa (Os03g0343500)] MARGVAAATKGGAGAGKKKGSVTFVIDCTKPVDDKIMEIASLEKFLQERIKVAGGKAGNLGDSVTVARDKSKVTVTSDGAFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRSVYELRYFNIAENEGEEED >cds.KYUSt_chr4.8534 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51224188:51228706:-1 gene:KYUSg_chr4.8534 transcript:KYUSt_chr4.8534 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFPNLGGGGSSSSSASMASFLQLPLPVASSSSPELAGEHHSSRLALQQLLATPPPSTPQRGHHHREISPAEAATVKAKIMAHPLYPPLLASYLDCQKVSAPPEVLDRLSAMAAKLDAVQARRQHEPPRADPELDQFMEAYCNMLVKYREELARPIQEATEFFKSVETQLDSITGANNNCEGAGSSEDDLDTSCPEEIDPSAEDKELKHQLLRKYGGYVGSLRQEFCKRRKKGKLPKEARQKLLHWWDLHSKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPAPEDMPYSMMDGTVGFHAGASAGASAAAALYMERAPFMVDGMYRLGS >cds.KYUSt_contig_1163.171 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000111.1:1044220:1046626:-1 gene:KYUSg_contig_1163.171 transcript:KYUSt_contig_1163.171 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEEAKVEGTNEEERAGAEEEEEEVDVKFRLFDGSDIGPVRCNAATTTVAALKDRVVADWPKDKSIVPKTANDVKLISGGKILENDKNIAQCRAPFGDLPSTAITMHVVVQPSSAKSKPGEL >cds.KYUSt_chr1.41649 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255389280:255394646:-1 gene:KYUSg_chr1.41649 transcript:KYUSt_chr1.41649 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKANGPNRSGAPPLSRVNKPTPTPCPTSAAAAAAAFRFSRVAAMAMAAHHLSQPPMSRAALHPHPAAAPITNHRHPSAALRLPLLRAAARPVRLYAVSTDASPTPAAPAAAAMDAVADWGLAPLAEADPEIHDLIEREKRRQRAGIELIASENFTSRAVMEALGSPLTNKYSEGMPGARYYGGNEVIDEVEDLCRARALQAYSLNPARWGVNVQPYSGSPANFAAYTGLLQPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSHTGYVDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRAIADKCGAMLLCDMAHISGLVAAQEATNPFEYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEGALYDYEDKINFAVFPSLQGGPHNHQIAALAVGLKQAMQPGFKAYIQQVKANAVAIANHLMSKGYKLVTDGTENHLVLWDLRPLGLSGNKVEKVCDLSSITLNKNAVFGDSSALSPGGVRIGTPAMTSRGLVEKDFVQIAEYLHQAVVICLDVQKQRGKRYVDFIVDLEKNKDIAELRAEVQKFAISFEMPGFEVSGMKYKD >cds.KYUSt_chr3.18608 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114456947:114457189:1 gene:KYUSg_chr3.18608 transcript:KYUSt_chr3.18608 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWGSTGARRHDKVEEDPPEEDDMLKNLVLERGEAKLLAKDSDGRKASLLGNRRINHRFGKLPPPLVEKGPIVPVDKSL >cds.KYUSt_contig_2008.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:31867:50429:-1 gene:KYUSg_contig_2008.5 transcript:KYUSt_contig_2008.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSTPSSPAAAASAGAAGGGGAGGGAGGAGGGSPSADKLAAAPSPRVRFSDSGEEGVLNTLWQKYENAIDKAEKKKSLQVFVLHFIQAFKDWEPHHIDLSVDRESASNDTVLGCSGGHPSEVILILVQEITQLTSFVTESSSCPESSANLSEQSTDLGLSTEVLTVLECFTIVTRSVHNCRVFSYYGGVQKVTALLKAAVVKLKTLTSLLAADEQLSNKTVENMRMMQKILVYIVTIISNFMDLEPAATRISRVVSSTVPTPPNKYLATVTPNTTRRYIPDRNWQQKAIVSVMEAGGVNWLVELLRVIRRLNLKEQWTDLPLHFITLYALRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSVSKQSFVPSDERSDILQLQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMFQEFNQQKFLDPQVPNWKIDRQSTGTSATLESFPSPVDILDTTEWNEYSVKLSMALCSFLLPPNEIRYCPGATVVTQISLSISLAYWEQCVRWIIKILSTVFPCIKACASDTELPNHIMILANSLQNYMLCTFRKVLISAPVLLKSFREEGLWDLIFSEKFFYFGSSEECIHHIVGDTQSDHLIDATESTGSRSNLADVNVLQSEAISFLEFAATLNENTNNLPECSALLNALEYCTNGPGLVGAILKSFHVILQLATEQTLASFKSLDVLARVLKVACLQAQELRKLNCRDDLSGNGSQPENVLMPSLDERIKNTRRCVELAFHLFKEYVKISDHGRTLVLHNANCIGCLFDLFQEENLRKHVLEQVLALYRLPPLSSQDHAAKLQLCSKYLETFTLAKEKEKDFAKLSIDLLVNMREIILIDRVYYQNLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTELLAENDESKATFRMLVGVGYQTLQSLLLDFCKWLPSRKLLDALLGMLVDGTFEIDEKTTIKNEDVIILFLNVLQKSSTSLQHYGLVVLQQLLKGSITNRTYCFKAGLLSFLLDWFSVEETEDTVIKIAELIQIIGGHSISGKDIRKMFALLRGEKISVKQKHSSLLLTSLSHMLKEKGPEAFFEYSGHDSGIEIKSPVQWPYSKGLSFCCWLRVENFPDNGMMGLFSFFTENGKGSLAMLGKNTLVYESVSQKNQCVLLPLSLPTKQWKFLSVTHTIGRAFSGGSQLRCYVDGDLVSTEKCRYAKVNEVMTRCSLGTELMPIGEEPTSLGFEGTFAFAGQMGPVYAFSDALSSEQIRGIYNLGPSYMYSFLGDQNLLMNNDSLYKGILDARDGISSKMIFGLNAQASNNRTLFNVSAVLDSVDKSKLEATIMGGTKLCSRRLLQDIIYCVGGVSVFLPLLIHFDEAITHSGESATGDELAGQVIELVASVLDGNVANQQQMHLLSGFSILGFLLQSVSAQLLNLKTLSALKYMFNVLTNCGMSEVLLKDALSQIYLNPHIWAYSSYEVQRELYLFLIQYFETDGKLLPILCGLPKIIDIVRQFYSEKLDSRSSKPLLHLVTEQLIGERPHIEEIRKIRVLLLSLAEMSLKLKVSSHDIRALVSFFEKSQDVACIEDVLYMIIRALSQNSLLSSFLEQVNAVGGCYIFVNLLKREFEPIRLLGLQFLGKLLVGVPSEKKGPKLFGLPVGRPRSVSENIRKGISATPQLFFYSISERLFKFPLSDHLCATFFDVLLGGASPKQVLQKRSQSNELKDRSSTSVVSLAPFYVPQMLVCIFKYMQSCQDASARTKILSDLLDLLDSNPSNVEAIMEYGWSSWLETSVKLDVFRNYKSISKEKDSNLETNELILTRNMYSLVLSYCLCYVKGGWHQLEDTANFLLLKIEEGQLPNLCLLRDIFEDIIGSLLETSPDENIFISQPCRDNILYLLKLSDELLVDQIGIKLLFHSPDVNAQSSSDGSQKDDISSAVVEIINAETNGQPTSLSWSSNIFSDGDELTDDWWSFYDKIWTLIWNLNGKGQNRLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVAGGIGTALSAKNNKITDKAMMLRGERFPRIIFHLVIMYLCKAGLENASKCVQQFITMLPNFISEEDQCKSRLHFLIWSLLRVRSRYGELDDGARFHVMSHLILETVIYGKSMLATSMSGRDDSAEANSNKESGFILNLVQKDRVLAMAADEVKYMKDAKADRLRQLQELNSKHDERLIEDIEQLQSFEDDIQFAKTAAVSADDNRKAAFKLAFDEDQQIIADKWIHIFRALSDERGPWSASPFPNNIVTHWKHDKTEDKWRRRLKLKRNYKFDERLCQPLSTKSSNEDTTPSVYPFVNAKLPEKMKRFLLKGVRGITGDISSESCEDNNETSEPSQNNPADNQTSSDAVDSADSSGYPTIVQNRKEPLSTGGDNDYAEVLSSVHCVLVTPKRKLAGQLTITRNAMHFSFEFLVEGTGGSSVFNRFQDKKESDSKNELGSVEKLKSNSDVGRGNQAESGDALLKSQSNKIKHHRRWKITRIRAVHWTRYLLQYTATEIFFDDANAPIFLNFSSQNDAKNVGSLLVSLRNDALFPKGSTKDKNSVISFVDRKVALEMAESVRESWRRREISNFEYLMILNTLSGRSYNDLTQYPIFPWILADYSSEKLDFNKSSTFRDLSKPVGALDAKRFKVFEDRYLSFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELTPEFFYMPEFLENLNSYHFGVKQDGEPLGGVGLPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDILQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPSAINSSSSVLFIDLLDSNVVLMSEGLILSVKLWLTTQLQSGGNFTFSGSMVSSE >cds.KYUSt_chr4.22531 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141672613:141673431:-1 gene:KYUSg_chr4.22531 transcript:KYUSt_chr4.22531 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPISASLGAMGSLPGKLGELLATRHWALRGVVMDEIEQLTNDLHTLQNFLVKLSNAQDPPMTARYWMKNVRELTYDMVDCVDQFVHADARAKIRRATRRKVITRLKINRLPERWKWRPWITNKISEFRTRAQEATQRYWRYRFDDCACNPGYSRIGQDLPNIVPDPDDLVGIEGPMNQLERWLTSGEEQLKVVSIVGVAGIGKTTLAQKLWGKLHGQFECRAFVRTAQKPDMRGVIRSILSQVRRHQPPDPGETHHLIRDLREYLQDKR >cds.KYUSt_chr4.10273 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62215070:62224518:1 gene:KYUSg_chr4.10273 transcript:KYUSt_chr4.10273 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTRRRKVTSGSSHTIKATVDQCRRRLNFCLRALTPLAPGCCACIDVGPMALTSMHVEHEVRCTIHDKRDFMPGERPPPPRHIDTHYLFDGMPRRGKTAKESTGSFEDRLSALPDDLLHHTLGFLEAREAVRTCVLSRRWRHLWRPIPRLRITNIDAFRSVEKLNEFVCKLFMLRDAGSVLDECELDLRGFLQLDDLWVHLWIRRVLACRARVLRLDIYTNLPTSSEEPLVQLANLPLLSHHLVRLELHGVFLKEGFLDFSSCPVLEDLKIADCVLHTVKILSQSSKHMSIMGCQFLWDMRPPRISAPSLVSLQLHGSNMIPVLESMPFLETASVNLCQKYEEFCDFCDGGSLEAEKCDCGMSELYWDNGRKRGFSVFLEALRAAGCSRYGAQRSAPLAVPALMLGLVGRVVLVRRLLQHAFGQSTHASLRVYPLHETPPWTDCDTPPEQVLFCMHLVYCRSCCTATWKLNSIKILKCSLSPTSIKALAAGVLDRSGAVILIPSPSEQHWFIDSTSADVIIFFTGISQDPATTVVVEHELRTPRKSPTSSYFVFPLLVQNAALSKEVGHLPPDDL >cds.KYUSt_chr1.22095 pep primary_assembly:MPB_Lper_Kyuss_1697:1:130620987:130621830:-1 gene:KYUSg_chr1.22095 transcript:KYUSt_chr1.22095 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVPRASAGEHNVPPPLQALGRVVYNAMDMDSLFCPFADKVVKLECNTVGKGCHPPPRYGDKLPLNRWTKALKSVVLGRIEAAVLPPPVVGKRSKRSHLPRALSPHRYYVQLNENDLHMLVVPPAFQAGLREWIKIPLSRCVSISACRWCDEWMSIAYRSGQVVLARNWTEVVFKYKLERCDVLELKIKTFGLKMNI >cds.KYUSt_contig_824.142 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:880268:882067:-1 gene:KYUSg_contig_824.142 transcript:KYUSt_contig_824.142 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMAGGGDRLSGLSDDLLRRILHFVPAQEGAFTSVLSRRWRPLWRSCGAVNLEARALKGSHDDRYCKEARGRFFARRDAVVSAAHKALDTAAAAEADGDGPVRKLTFRVEAHTEDEVRDFLHRDVAWRDKHDVFAGVLSHRAARRLEELQIAAVDSDDGKPMHFETSKYEASGNIHGLGIFPLAVGSLPSKTLRVLEITNCSKLEPASPPVFFPRLESLRLRHCNMPLNNLQDIIDAAPLLAIIHLDSILLEYDEKRHGNGRRDSRRDNAPPPEQDRLNRSLRCRTAISLVMDRCSFKEEGTLQIYAPMLRRFRYRGVLRHISLSPPPLHLARAELTLTEYGYLRHRDPRVARQSFWGTVRGFIHAKEMKLKVRHLEEIATTNEKRQAKLLPVLPSLERLHLQGVYTAPGNMSAAAIENLLRCCPALRDLRIDLSTSQEDAKRKFQYGQDFLERKHRSEFEESVQRFKRRRTQPMVGAGDDDDGHVNYFDEVSDLPGLSGHSFECLRKCLRRVGLQFQRQTTDCFGTKLVKFFAQDAKVLEELCIDAGNERIHDHMKLKVEKWVAHLSSTKRRRINSIGATKLQVLSLPRSYIWEELV >cds.KYUSt_chr5.31953 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202629079:202630669:1 gene:KYUSg_chr5.31953 transcript:KYUSt_chr5.31953 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLSRNRRTVNRPYGGVLSGPAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTASK >cds.KYUSt_chr1.37342 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228146978:228149925:-1 gene:KYUSg_chr1.37342 transcript:KYUSt_chr1.37342 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFVALQAGIGAETAVAAAGKPERRTFRKFTYRGVDLEALLDMSTDDLVQLLHARGRRKYKRGLSRKALALMVKLRKAVTFSATLLLGFLNLLHFVTAVVKREAPAGEKPKAVRTHLRNIIIVPEMIGSIISVYNGKFVIQIEIKPEMIGHYLGEFSFTYKPVKHGRPGIGATHSSRSTMATISAAAATVAVTGRPSLGLGLPQLKVTRAERLRCAYSKDGKEAIPAVIKGGVPLLGAATAMATAAAPAMALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYSSTLDDDDESGGLSL >cds.KYUSt_chr4.49562 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306858230:306864641:1 gene:KYUSg_chr4.49562 transcript:KYUSt_chr4.49562 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGLLSAAIRHARRHPRLLPRPRLLSSGPTPPPPSPTASTLPVAAPPAPRHHLAPHTPAPRRIPPLLALSALSLAAGTATVYATTDSVEETLARTRASAARVAGQMRHTWTAGGVLCKSLMSVLSSANHEVRSGFELRVAALLADITAASAARRAAIVSAGRGAVVDWLLDSIVRGATQAEAARALANLLADPWVAPAVLARPRAVPCLLQFIFSYQPKRGNKNSRYSSFDGLDHSKGRSMLVAALMDIITSNCDNANYSSFQPLLPADADTRDIAVALEVIEQGGMHFDDHEDNSSDDGDSGLKGIGIKVLGGTTILGFSRENNSLEMGNSGDDIQEVAQNGRMEAGQNSRGLVIQESISDSSDIERLSSHGTPGLWDDLQREHVAVPFATWALANWAIASDLNRTRIQELDSDGHAVTTALKAPERTVKWHGALVARALLEDQSLTLAPSVPDWSSSLLLTASQATENGDMSLAQMSLSTFLLSMIRCSESKFVIRQKGLHLLRNIAKKIENENSQSSMKESLAIALSSLYSGEVPLSLEESQRWSGVLLRWLFDKSVSDTTHLTSVKILSSILEDYGPSSVPISQGWLALVLSEILGDSKTQNIKGTAPPQPERVKNQVDYHNAYTATQVLNQLATAVVKLASVQSGYDSGSGDKVPLSDFLSLEPFATALKNMNKKSPPKFDAVDSALATLKGIKALAELSSEDVVCQKRIADLGVISLLRHILVGDDYEKLAAIEAYDASRLREVQDKNVSASNDSSTAATTDPSSVRVPPAAHIRRHAGRLLTILSLLPNSKKEIISDDVWCKWLEECASGRLPCNDIKLKSYCRLTLLNVFCSENQNTRSASGEYPDTGSENKRKCPQFGDALFLLNPELPLEVHLDNSGCGISRDTCKDDGCVEDSASETGSIDDSDTASKCVSPSVDVVFIHGLRGGPFNSWRIADDKSSTTKGGLVETIDEDAGKEGTCWPREWLSSDFPQARFLTVKYKTNLTQWSGASLPLQEVSSMLLRKMVAAGIGSRPVVFVTHSMGGLVVKQMLHQAKLNNYDKFLNNTIGLVFYSCPHFGSKLADMPWRMGYVLRPAPSIGELRSGSPRLVELNDFVRQRYSKGLLDVLSFCESDVTPIVEGYGGWAFRVEIVPIESAYPGYGELVVLQDTDHINSCKPVNKNDPSYAETLAFLEKNLKLREKKAES >cds.KYUSt_chr4.6335 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37187382:37189582:1 gene:KYUSg_chr4.6335 transcript:KYUSt_chr4.6335 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASLEEAWRSSGGRDWGRSVEEQRADAELDDVADHDSAPRFSDAEDLCFRELCVVLFRSELPVPSVGRAWALLRAPDLAASFRGLNAELVVVLPSASLHISNDGTAQYQDPDEAVRPAAVALERLLGSAGCGPGSAEARRSSRGDRSGGVCGSELSGDRSGEVCGRERNCLCLVRCVQLHVLDCLCLV >cds.KYUSt_chr7.3854 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22992115:22992911:1 gene:KYUSg_chr7.3854 transcript:KYUSt_chr7.3854 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARGDYEGGATAAFANIHDSGRQGDAEELLSVDLLLILCLWKSLLNPLKRCSPRLIGGLREVGVKDVTLEGLVEAEGGPSRW >cds.KYUSt_contig_7442.42 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001531.1:237832:239025:-1 gene:KYUSg_contig_7442.42 transcript:KYUSt_contig_7442.42 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSKKKNLQIENGTEVFALYVKEKGHGLHALIHDLRAETVTMMGSRGTTAVLSRAVRMRQKLQSALEASTLDIEDVSYQHAGHAAVKDNANETHFNIKVISPKFEGQSLVKRHRMVYDLLTDELNSGLHAISIVAKTPKESGS >cds.KYUSt_chr2.52019 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324631734:324633278:-1 gene:KYUSg_chr2.52019 transcript:KYUSt_chr2.52019 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRQRQTDRLSALPNKALECILSNLVSDEATRTCALSRRWRRVCTAVPVIDLADPKKGNRDTSSDKSIPVCFNQKVTGAILSKAPPRTPIRTLRLDAFSPPRDLLDQWIVTAVCSGAQEIHVKLRYWHDYERRLCPFGPSKKASGDFDKQQSDRYVKTHQRLFRCSTLRHLRLTNWTLDLPQGMLASSLQTLCLARIVDPNGLLPLLLSNCPRLADLTLQEFPSVKEISVESAHLRSFAMICCHHASRVKLHSSCLRSLHYKGGLPSESLFEVENYEGVVALTIEICEDLSKRESTEVAPVTALISRCTKLTYLRLSLRPSMAYHCGLFADSVRRLPIRQLDLQGCLRNNHDVRSVAVLLRDTKNLEVLSLFPWDTKTQEKRSFCLSNDESDTEHKDNGDHQVHHSSRVTDNLRQMYIRCLDHRLRRINIVQYKGLKLERILAHFLLSRGNTLEKFSVTSAIDGSQQKDQIALDGSQQKDQIAWEDQVAWEDQTTRQLRSWRSNRHTRVTVN >cds.KYUSt_chr2.49547 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310082946:310084852:-1 gene:KYUSg_chr2.49547 transcript:KYUSt_chr2.49547 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGAAAGKETATGSFLRFLLLLLLPLTALYFFYTLHLLLASAASSAATSSSNCPPDSTTARLSTTNRTAAATATTTTATATTLQHVVFGIAASARYWDKRKEYIKTWWRPRGAMRGYVWLDRAVPDSNLSTSATGLPALKISSDTAAFPYTHRRGHRSAIRISRIVSETFRLNLPNVHWFVMGDDDTVFFPDNLLAVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAESLARIQDGCIRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLAAHPVAPIVTLHHLDVVAPLFPNSPSRPAAVRRLFAGPVKLDPAGIMQQSICYDGANRWTVSVAWGFAVLVSRGVTSPREMEMPARTFLNWYRRADYTAYAFNTRPLARTPCHKPAVYYLTPDARGGGETTVTRYQRWRPANETRPPCRWNITDPDAHLDRIVVLKKPDPGIWDRSPRRNCCRVMSSPKGARKTMTIDVGVCRDGEFSQVV >cds.KYUSt_chr2.40983 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254655788:254657290:1 gene:KYUSg_chr2.40983 transcript:KYUSt_chr2.40983 gene_biotype:protein_coding transcript_biotype:protein_coding METEVEMEIDPVRPSESRGGRPAEEREEANPFVSRKKVKVPEKIESVLAEELKDLEEELSHAARTERENSKLTEIVKGMGPLEVEEALSIGHRRKVEKPDKGKAVHERQPEAELEEALSLAVRSGLAAEEVRKFLRFAVLSNLMDYDVHSLAVKSGLEDEDAVSLAKNFLTMYRIVFRALHAQLLGSFDRRRFDPELCQWKRFGRFFTERKKKGPEGIPFLLFKIKASAKNAKVTWWRKEYAHFPPERSGYTGVLRLARLVVGRDGSPRLEEAGEDGQPGALSHGNGRYTSSARRVGVLKDGWLSPLDEVAVVRRLPGGEGIYIMSYVDGLGVKLGEFLFVLHMAWTVALSFTERAFYVSSEFTEETLYAVPGVHFIDITIPVEHLIKKLYQMYEQEEQDKKTMLEKQDPMEMVCQQEELGSRLENEERKKEDLRRRKEHKLQPKNKREAFRLVKKATRIQNEQRQNEGYDEDCVRWLEESDWVLPFDEVAKPGEAQQTS >cds.KYUSt_contig_7354.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001483.1:63013:65475:-1 gene:KYUSg_contig_7354.7 transcript:KYUSt_contig_7354.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHYSTTPMSRLHRRFSSSISPRARSWSPHSAFAAATERARAGTLTSEDAHHLFDQLLARPAPVHVRSLNGFLAALARAPDSATCGDGPALAIALFSRVCREESGQRMAALTVHTYGILMDCCCRARLPELGLALFGRLLRTGLKTNEIICSTLLKCLCCAKRTDEAVSLLLHWMSDPGGVTNAFSYSIVLKSLCDHSRSQEALDLLQTVAKKGGGCSLVVVAYSTVIHGFFKEGEVGKACDLFHEMAQQGVVPNVFTYSSIIDALCKARAMDKAELFLRQMVESGVQPNEVTYTSMIHGYSSLGQWKEAGKLFRKMTSQGLVPNIVTWNSFVASLCKHGRTKEAAGVFDSMTAKGHKPNIVTYGALLHGYASQGCFADMINLFDSMSSNGIVANSHVFNILIDAYAKHGMMDEAMLILTQMQEQGVSPDVFTYLSIITALCRMDRPADAVDKFSQMISIGVQPNTAVYHTLIQGCCTYGDLGKAKELVYEMMNRGIPRPNIVFFNSIINSLCKEGRVLDSHYIFNLVIEIGETPDVITFNSLIDGYCLVGKMEEASRVLDSMVSVAIQPDVITHNTLIDGYLKRGRIVDGLSLFREMSHKKVKPTTVTYNIILDGLFRAGQTVAGKKMFNEMIESETTVSISTYRIILGGLCRNNCADEAIILFHKLCANNVKFDIAILNTMINAMYKVRKIEEAKDLFAAIPASGLVPNASTYGVMINNLLKEGSLEEADSMFSSMEKSGCAPSSRLVNDIIRTLLEKGEIVKAGNYMSKVDGKSISLEASTTSLLLSLFSGKGKYREQINLLPAKYQFFDGVSFVA >cds.KYUSt_chr4.17176 pep primary_assembly:MPB_Lper_Kyuss_1697:4:107238080:107238295:1 gene:KYUSg_chr4.17176 transcript:KYUSt_chr4.17176 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEISKLGRGGKSSGGWASHVPRKFNLKMKPQMCSAQEGSVSEQQKSMSAKLDKLQAKLSKQKKQLSADA >cds.KYUSt_chr6.5180 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30740583:30740804:1 gene:KYUSg_chr6.5180 transcript:KYUSt_chr6.5180 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRCTGSTVYRFLGRTGEGVGAVAGLLMASRVAADAILYCDARDLQVAVRLLHGSYHFKTSLDGDSDTTPL >cds.KYUSt_chr4.38966 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240589720:240592308:-1 gene:KYUSg_chr4.38966 transcript:KYUSt_chr4.38966 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTCFFMARALWRSGSREAALQGDIMRQKELLQQAERKSMNKSNAFASASHDIRSSLAAVAGLINVSRTEARANPNLTYYLDQMEIGTKKLFVPDPFAVQTESIDRQLERQLHHAIVGCWSGSSTRHLRLESGAGKIDSIVSWNQSIDRQPPEQRHALHPAGAAAPPRACAWFSSPGSRSTIAGAAAPQGTSARFSSPTTCSTVARAAAPPRASARFSSATSR >cds.KYUSt_chr4.26585 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166927211:166930860:-1 gene:KYUSg_chr4.26585 transcript:KYUSt_chr4.26585 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAPTDVEEEEAEAEETEMEDDDDDDEFEWSDDDGPHPDETADQQRALVESFESEKKLRDDARAREEAQIRRAVELSLPAAQRGEGGLRRVVGAAASGHRPTLGEAARAQRRVAGRGQLTSSDHHLLNKSTNMPPPPPLARAARRAPLLLLIILHCSSFSTLLSTASAAHHVRAAGDGVIISQADYQGLQAIKHDLSDPYGFLRSWNDTGIGACSGHWAGIKCVNGSVVAITLPWRGLGGRLSDRVGQLVALRRLSIHDNAIAGAIPPSLGFLPDLRGLYLFNNRFSGAVPPELGRCRALQSFDASTNRLTGVLPASLANSTKLIRLNLSHNSITNNIPAEIISSPSLLFLDLSYNKLTGPIPDAFAGTSKPPSSSSSSSDKLEAVTGTYQLVFLSLAHNALDGPLPASLAGLTKLQDLNLAGNAINGSIPVALAALTDLKALDLSGNKLDGEIPPGLANLTATLQTFNVSYNNLSGAVPASLAQKFGPPSFAGNVLLCGYSASSPPCPASPSPASPEQEPTGPRGGRTKKELVLIIGGIVVGALILLSLCCLLLCCCVRKRRSSSGGARSGKQSTSKDAAGGAAAAAAAGRGEKPGSSEAESGGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKEFEAEAAALGKIRHPNLLPLRAYYLGPKGEKLLVFDYMPNGSLSALLHARAPNTPVEWPARMTVAKGTARGLAYLHDDATIVHGNLTASNVLLDDGSSPKIADIGLSRLMTAAANSNVLAAAGALGYRAPELSKLKKASAKTDVYSLGVIILELLTGRSPADTTNGMDLPQWVASIVKEEWTSEVFDVELMRDAATGPDGDELMDTLKLALQCVDPSPSARPDAREVLRQLEQIRPGPEGPSEEAHMALGPSE >cds.KYUSt_contig_319.616 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3961575:3962588:1 gene:KYUSg_contig_319.616 transcript:KYUSt_contig_319.616 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAALTMVDAGEPLLAESSDRFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSSDARHWDGTLSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDGAEKDRLFRAVDTVPAVRRKAVWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYGLLNSKLDESRVREIVADAVDIEREFICDALPCALVGMNAGLMGQYIEFVADRLLMALGCAKMYNAANPFDWMELISLQGKTNFFEKRVGDYQKASVMSNLNGGAATNHVFSIDEDF >cds.KYUSt_chr4.41930 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259298876:259302581:1 gene:KYUSg_chr4.41930 transcript:KYUSt_chr4.41930 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGLAGAGGGIVAQLLTYPLQTVNARQQTERDPSKPAFKDGAVRQMCLVVKNEGWERLYGGLAPSLVGTAASQGVYYYFYQIFRSRAEAASLQRSIRGVGDGSVGMLQSLTVAALSGCVNVLLTNPIWVIVTRMQTHRKANKQQSPEGLTSALDKALQTAPVENIPHKTINVIQDLYKEAGVLGFWKGVIPALIMVSNPAIQFMLYEALLKKLKNKRATNLKGAQGLTALEIFLLGAVAKLGATLVTYPLLVVKARLQAKHMIDDDKKHRYKGTFDAITKMMHYEGLSGMYKGMGTKIVQSVFASALLFMIKEELVKGARLLVTGDTSLVKKLPSKPSR >cds.KYUSt_chr5.38029 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240177822:240178745:-1 gene:KYUSg_chr5.38029 transcript:KYUSt_chr5.38029 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNRPSPLIDGQLLSPHTLLLHCATAAAPLKRSFHFHAPSSRRPASHWRGPIPFCLASFSSPTDRPQLPLLLDLIPSALRSPCSVVWNQMEKAARRRQVPAFGEWNYYYHYDGPETVAPPALAYCYGTTPEPEACSDVWFRYSPPPRKPTPAKKPRRRRVPEGDSDASLEKKGRRSGRPAPAPEAAGCGLARTTRLVRPVDEDLYQVPPPELASHRRRPRRSMWMGCLGCVA >cds.KYUSt_chr4.18706 pep primary_assembly:MPB_Lper_Kyuss_1697:4:117289697:117291142:-1 gene:KYUSg_chr4.18706 transcript:KYUSt_chr4.18706 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVVLIVGAGPAGLATAACLTQLSIPYVIVEREDCSASLWRNRAYDRLKLHLAKEFCELPHMSYPADAPTYIPKALFVKYIDDYIECFNIQPKYLTSVESSTYDSEKKVWSIVAHDMAECKRINFTAKFLVVASGENSAENIPVIPGLQNFPGETIHSSRYKAGKSFSGKSVLVIGSGNSGMEIAYDLATHGVNTSIVVRSPIHVMTKELIRLGMTLAHHLPLNLVDKLLVMGAKFMFGDLSRHGITMPNMGPMMLKSKTGRSAVIDVGTVGLIKKGIIQVQGSISEIMGNIVKFQRGDEISFDAIVFATGYKSTANMWLKNGEGMLNDNGLPTKEYPNHWKGENRLYCAGLARRGLAGIAADAKNIANDIKSVISSMSS >cds.KYUSt_chr2.7377 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46299203:46299418:1 gene:KYUSg_chr2.7377 transcript:KYUSt_chr2.7377 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYSSREHPPEVGRIERCHPCQARVHQQEVRLSPSLLKKQHRPICPNLLLTLDFRKLDQVVRMLDVASRI >cds.KYUSt_chr7.1714 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9769809:9772176:1 gene:KYUSg_chr7.1714 transcript:KYUSt_chr7.1714 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGVGGQALGRSSFSRAASSKTASSPSSPTASGVKLGPNGAAFVSSGIPDLDSILGGGFLLGSVVMVMEDSDAPHHLLLLRAFMAQGIVHKQPLLFAAPMKEPRTFLGALPAPVASSKEDARQRAVMGSSDGRASDEGLRIAWQYRKYFGDERTSSAEHRDNKQEFSNDFDLRKPLERHLLNAQHIECISTQDADTLSALQDHCSTFISRHPRKDGGNLNAGRIAIQSLCAPQCGYFGKDWDMVSFIRSLKAMVRASNAVAVITFPYTVLSDSFCKRWQHLADTLMSIKAIPDEDKDLAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTFSLKLRKRRSLVLERLNQAPVDGSSGPSSEAREAFTGATASFVQLIEY >cds.KYUSt_chr2.2410 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14565305:14571490:1 gene:KYUSg_chr2.2410 transcript:KYUSt_chr2.2410 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAEVASSGLRHQIWTGVVPPCGAPPTSLALDSLWWFGEVVRQWALNRGKPLADDGGHEVDDAGDGTVLLLEGDIEVVSPPSLLFLYRGERSTSDRKQLLRAVTAAALYAWPSSSLLRPGLPRLIALLPLFPLLVAAPLAFPSSAIVRGLAAFFLAWLGTFKLALLAVGRGPLDPALPVLPFLFTALLPVKLAPASSNKAKPVSLVSCAVKVAVVAAVVRLYQLNHRLHLYARLALYGVHTYCFLDLLLPCIAAAAAALGMEAEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRALAGVPAAVLATFAVSALMHEAMVYYLSLQWRSDGMMAAFFLLHGVCCVLEGWCARRWAARGWPAPPRPVATVLVGLFVTATSFWLFFPALCKDGVEEKLLQEWAAVAAFFQDAGGKIPWYGQLN >cds.KYUSt_chr7.17250 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106901736:106902774:-1 gene:KYUSg_chr7.17250 transcript:KYUSt_chr7.17250 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFYSSIAHGLDALHGTLASSPDAAFMSAPFLQQAAALLRSLHSQLVHLVQRLHLPPGESWLDEYMDETSRLWEVCQVVKAGASALDTYCASAGRIDATLDDWLCNPNPHNARQVVRAINAPRRQAVGLEQENRALADTRIDPASLLLDDRSPVEFKLNAFNGFRGVLYALRNASSFLLMLLVSGTVSCLPDLACCANSPFRTSGAGYVSSMGRLRQRVAEEMEAVAGEHSCSGIMMYEFRQARLGIESIKTEFDRVIATGYGNPGEIAERVEIIKGWIGMLRSGAESVISELDDFFDEIVEGRKMLSDLCSHR >cds.KYUSt_chr4.49009 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303569723:303570142:-1 gene:KYUSg_chr4.49009 transcript:KYUSt_chr4.49009 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTQEEAAEAYDIAAIKFRGLSAVTNFDISKYDVKRICASSHLIGGDLACRRSSPTHAPALVNAPITVDRSDVASDHSSDASDGHRGAHLLHGLQYAQAMKYEAGESSHGGSWMPAAARPVGGVPGVHPLPVFALWND >cds.KYUSt_chr1.31302 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189937327:189940587:1 gene:KYUSg_chr1.31302 transcript:KYUSt_chr1.31302 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYAGKAVDNSGTIVGIKCKDGIVLGVEKLITSKMILAGSNRRLHSVHHNSGLAVAGLAADGRQIVSRAKSEAANYEKVYGEPMPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGLSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKSFELELSWVCDESNRQHEKVPSDLLEQAKAAAQAALEEMDAD >cds.KYUSt_chr3.31911 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200478920:200485268:-1 gene:KYUSg_chr3.31911 transcript:KYUSt_chr3.31911 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWTAPGPASGSSLAPSIGRWRRPEFVRTGPFLIIVTAAAFNAAGIAALSGHGFTAAAFNAAGIAAFFGQVLTTAAFNAASFVSAPALAAAGFFTIIAIAAGNAAATFPLTPPRASPPLHPYVSSNARGLRARQQRLRRAGHRSWLHAAPLLHPLPRALVATRSRPHLAASLREAAVASSRKAAASCEPRARVTCAQAAAPAPISVVPRDARACGERNWKQHISWRRRAPLAAAKLACARRPRAFKLAVLSDHFRVLFSTSRRTPRPPCQRPRALELAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVLSDHFRVLFSTSRRTPRPPCQRPRALELAVLSDHFRVLFSTSRRTPRPPCQRPRAPELAVLSDHFRVLFSTSRRTPRPPCQRPRALELAVLSDHFRVLFSTSRRTPRPPCQRPRALELAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVLSDHFRVLLSTSRRTPRPPCQWPRAFELAVLSDHFRHRPAAPPPPRPAAPSPPRPATSAASSGCAFVASSGWLRLRRIVRLASPHRPAVPSLPRPAGCAFAASPGCAIAASPGCASAASSGCAFAASSGWLRLRRIVRLASPHRPAAPSPPRPAGCAFAASSGCASAASSGWLRLRRIVRLASPHRPAAPSPPRPAGCAFAASSGCASAASSGWLRLRRIVRLASPHRPGCAFAASSWLAASRRLVWLASPHRPAAPSPPRRWLRFRRLVWLRLRRLVRLAAPSPHRPAGFASSSGCAFAASSGWLRLAASSGCASAASSGWLRFRRIVRLPFASSAGCACRRVARLRLSPHRRLRLRRLVRLRLRRLVRWLRFAASSAAPPLPRPAGCAFAASSGWLRLIVRLRLRRLVRLAAPSPPRLAAPPPPRPAGCAFAASSGCFRLIVWLHSSPSRPAALCPAATCRLVWLGPRGYRPTATCRLVWLRLSPPRPAAPRLAAPSCVSSGCALSGCDRRLVRLRLVRLRPSPRPAAPRPAVPSSPRPAALSRLVRLRLVRLRLRRLVRLRLRRLGRLRLVRLRPVASSGCAFAAPSWLRLSLVPPPRPAAPSPPRPAAPSRLVRLRLRRLVRLRLRRLVQAAPSPPRPAAPSRLVQLRLHGCAFAASSGCAFATSTGCAFAAPSGCASASSRRLVRLRPRRLVRLRPSPPSSGCAFAALRMVEISPGGSAPLIHATPWFTSTSPLPSTSITSRSGPSPRLDCYSSPT >cds.KYUSt_chr6.1568 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9556414:9558930:1 gene:KYUSg_chr6.1568 transcript:KYUSt_chr6.1568 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASLLLRQRLRTFAPTPAPPKTLNPLLPHPRRHYSLRPPPAPRASAAAVAVAEAAEEAFEAASTTNDLSAAFSRLETLLPPTDKRLALACLKLGQHLESTSSADPARVLELALRSIGILEAGPRSPDATDSDAVSLAMALHLAGSASVDLTRFHDALAFLSRALRLLSPLLPSKHAAAGQPDAEEGFDVRPVAHAVRLQLANVKTAMGRREEALADMRACVDLKESILPPGSRELGVAYRDLAEAHAAVLDFKQALPFCQRALELHESALGKNSVELAHDRRLLGVIYTGLEQHEQALEQNEMSQKVMKKWGVAGDDLMHAEIDAANIKIALGKFGEAVSVLKEVAKKAEKDSEARALVYIYMAKALAHQEKVGDTKRCLEIACDILEKKELSEPDKVAEAYVEVSSLYEMVNEFDKAISLMKRSLWMLERIPQAQHMEGNVAARIGWLLLLTGKVTEAVPYLEDAAQRMKETFGPNHFGVGYVYNNLGAAYMEMDRPQSAAQMFALAKEIMDVSLGPQHSDTIETCQSLANAYNAMGSYSVAMEFQERVVASWRNHGHSARDKLKEAKRLYEEIQMKAIAFMSPDDSVIALPEPRQHEVDSNSKKVAQPEPRQHEIDSNSKKVAQQ >cds.KYUSt_scaffold_1242.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000011.1:27039:29262:1 gene:KYUSg_scaffold_1242.7 transcript:KYUSt_scaffold_1242.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNALGISVAGCGADAAADDFKYICGLSTILVATIQEVKDRVSQMEFIFCSQLFPHIQAKSKLLHARHADDSSWRKREAGLASQLEELSSGKRRAENRLQQLEASLEEMKGRLADTARLAAEHDAEKNQLLGRLEELNRGKRGAEDRLQQLETSLEVMKADAARLAAEHGAERKRLLARLEDETNKEEVIHRLQREAAEMSRERDAQQQLLLQQMESKDNDLALEKNKVNLVTARYKDLKSQYNHLLAKLDQIEGSKSPVNSKASSGSPPSKRKLKDLQDREKESIQSVSKTEDQKNASSSSAKAQQTPRATSGSPPSKRKLKDAHDTVKESIQLVSKTEDQKNTPNSSAKAQHTPRATSARSLFSNSRLSLPSHPTNPPQKNAASTSKTDASSSFTRPSHWRETRARKEPGVVDPHDDFLDTPLEAVRNTIRNPTAREEALALAAPPPQDMDFNNSDDETQDINIATQGLNNIPVPKQRSTISIHPPNKGFKYTEPVRKKADRENLKGVECKQCKKFYDAVLPDGRVNGNGMDSTSMRCEHHDGVSRHRYRYAPPSTPDGFWNIGFESEM >cds.KYUSt_chr6.29361 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186029525:186032988:1 gene:KYUSg_chr6.29361 transcript:KYUSt_chr6.29361 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTHTGERVAIKKINGVFGHVSDATRILREIKLLRLLRHPNIVEIKHIMLPPTRREFRDIYVVFELMESDLDQVIKANGDLTPQHHQFFLYQLLRALKYMHAGCIFAEVLTGTPLFPGRNVVHQLDLITDLLGTPSSETLSRIRNEKAKSYLIGMQRKYPKPFSLKFHNADPQALRLLERLLAFDPKDRPTAEEALADPYFGGLAKLKHEPWSHPISKLEFEFERRKLTKDDKNGISEDLEEKVVYELSDRLAMMHS >cds.KYUSt_chr1.4003 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24363627:24364325:-1 gene:KYUSg_chr1.4003 transcript:KYUSt_chr1.4003 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNPIVVSTFLKCLCYAKRTGEAVDVLLRRMSDLGCAPNTFSYAIVTKGLCDDGRSQQALDLLQMVFKQGCTCSLGVVSYTTVIDGFLKEGQVSKACNLFHEMVQQRVMPNVVTYNSIIDALCKARAMDKAELFLRQMLDNGVRPDNMTYNSMIHGYSTLCQWKQASNMFREMKSQGLIPDIVTWRSLITSLHKHGRRHEAARVFDSITAKCQEPQTRSLHILYSAARVWH >cds.KYUSt_chr5.188 pep primary_assembly:MPB_Lper_Kyuss_1697:5:1266469:1274023:-1 gene:KYUSg_chr5.188 transcript:KYUSt_chr5.188 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKPLAISNINSNDLGHLGLKQRFCFRDPALEEFSDSWALCSLGPRENEKERRDSGYFQCVKMKYMKLGAKPDALQTEGNIRCVATELATDILITVGDVKFYLHKFPLLSKSSRLQTLVASTNEENNDELDISGIPGGPSAFEICAKFCYGMTVTLNAYNVLAARCAAEFLEMFETIDKGNLIYKIDVFLSSSIFRTWKDSIIVLQTTKSLLPWSENLKVINHCVDSIAAKASIDPSEVDWSYTYNRKKLPSDGGPDSHWNGVRKQLTVPRDWWVEDICDLEMSLYKKVILAIKAKGRTASEVVGEALRAYAYRRLFSTLDSAANNGLDCTRHRAALETIVSLLPAERGSVSCGFLLKLLRAVSLLGSDEAYRDGLVKRIGLQLDGASVSDLLIPASSDENAMYNVDLVSSILEEFMAQRHGDGGGVELRDDDEEYAMDSESHHLSSSVSGGDSEWALAKLIDGYLAEIAKDTNLPLQKFIKIAEMVPLAARPAHDGIYRAIDMYLKEHPSLSKSEKKRLCGLMDCKKLTAEASSHAVQNERLPLRVVVQVLFFEQLRASAASADAAASLLPRENGNSYGSSRSAATTATTTEDDQWVGGDLPASGDTSSFRSMSGLANKNGRDGGKNGGKAAAKGSLLMPRKMLSKLWSGKASNGENSGGSDTSESPGSVNLDTETKSTHSRNTRHSVS >cds.KYUSt_chr4.10060 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60831805:60833088:1 gene:KYUSg_chr4.10060 transcript:KYUSt_chr4.10060 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGAPTAAAPHGHATVAPPTSSSWKHATTLFSSSKLSGGIGGQRARLVTAVHALAPATSVPAPFPPPNAEYLAAEFSGHGVTFEAVGDSCVVKMLVRNGSAAHLLLPSGLVTSYKPAMWHGASMEVLHTTVGEGPGGMPVIRGGVSVDLRCARGVSGDAPPSTWSPGGAWSLRDVRGGPTGSIEVELVSVEPPGNGAAEARCVVTLSPDALASELTVTNAASSSSPVALSGAVSNHLRVSTPDATYAVGLQGSDYRSREPIVSEFSILLPDSARLQQPHWAKRIDMFLSGEGAAAVAQEPDGEEDDDFKHLTAEMCRIYSHAPRDFTVIDRVRKTMILAKLQDSNTNCRQEFKLNSCGTFVLQGRRNSVCLSRRGFEELYVFSPGSKHEWYGKYAYVCIGPAMLKPVVLAPGATWQGAQCIRNPNL >cds.KYUSt_chr4.48292 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299100950:299106833:-1 gene:KYUSg_chr4.48292 transcript:KYUSt_chr4.48292 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWPAAALLLLGLAVAAVAAEDAVTYDKKAVVINGERKILFSGSIHYPRSTPEMWEGLIQKAKDGGLDVIQTYVFWNGHEPTQGNYNFEGRYDLVKFIKTAHKAGLFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKSAMQGFTEKIVGMMKSEELFASQGGPIILSQIENEYGPEEKEFGAAGKSYSNWAAKMAVGLDTGVPWVMCKQDDAPDPVINACNGFYCDAFSPNQPYKPAMWTEAWTGWFTEFGGTIRKRPVEDLSFAVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLAREPKYGHLKELHRAIKLCEPILVSVDPAVTSLGSMQEAHVYRSPSGCAAFLANYNSNSHAKVVFNNEHYNLPPWSISILPDCKTVVFNTATVGVQTSQMQMWADGASTMMWERYDEEVGSLAAAPVLTATGLLEQLNVTRDTSDYLWYITSVDINPSETFLQGGKPLSLSVQSAGHALHIFINGQLQGSASGTREDKRISYKGDVNLRAGTNKIALLSVACGLPNIGVHYETWNTGVNGPVVLHRLDEGSRDLTWQTWSYQVGLKGEQMNLNSLEGSSSVEWMQGSLVAQNQMPLTWYRAYFETPSGDEPLALDMGSMGKGQIWINGQSIGRYSTAYATGDCKDCSYTGSFRATRCQAGCGQPTQRWYHVPKSWLQPTRNLLVVFEEVGGDSSKISLAKRSVSSVCADVSEFHPDIKNWQIENAGEPAPEFHKAKVHLKCAVGQSISAIKFASFGTPLGTCGNFEQGECHATKSHTVLEKCIGKQRCAVTISPDNFGGDPCPNMMKKVAVEAIRIRALLHLHELFRAANWIIEVGPGVECRESKGRRFDAFFRYVLGCLIFVEEERCR >cds.KYUSt_chr1.38886 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237609876:237611559:1 gene:KYUSg_chr1.38886 transcript:KYUSt_chr1.38886 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGAAAAVDSSSKDGHATARAPAPPRSFVLLKLVVRPVLLFAVLATCFLAAALVLFVLGGGGGAVSYYRLPTLAVPDVLLAAPCAEKEVKEVEVERWWARPAARSAWHNMSDEELLWAASFEPRRPGPRRPSGPRRTSAAPGKVAFMFLTRGPLPLAPLWERFFNGSGGKELFSVYVHTTPGYRLDFPPSSPFHRRQVPSKATRWGEVSVVDAELRLLANALLDIANERFVLLSESCIPLHPLPAIHAYLTRSHHSFVGAFDDPSRLGRGRYRIGLAPVVSLSQWRKGAQWFEMGRRLAVFVVGDVRYYPRFREACLPPCYVDEHYLPTVLTIEAPGEIANRSVTWVDWSRGGSHPASFGVGDVGEEFLERLAGKKGEKERCMYNGQSTNLCSLFARKFAPSALQPLLELSTKILGY >cds.KYUSt_chr4.52928 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328241149:328241511:-1 gene:KYUSg_chr4.52928 transcript:KYUSt_chr4.52928 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLVLFLAVNLALLATTAHACGPYCPTPTPPSTCSIDTLRLQVCANVLNLLKLNLPVPANEECCPLLSGLANLDASVCLCTALKAEILGIKLNVPVAFTLLLNQCRKTCPDNFTCST >cds.KYUSt_chr4.21186 pep primary_assembly:MPB_Lper_Kyuss_1697:4:133287921:133292590:1 gene:KYUSg_chr4.21186 transcript:KYUSt_chr4.21186 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGGMLASAVLKVVTQQIGAAIGNQARLRWNFKNDLEKLRMLLESVAVVLVDAERRSIKDAAVRLWLDRLKNAMYDSSNLIDEFEANTIPASRKLAIMVPCHTVVSKIAMGNKMKKMREELKAITSQHQSFSFATDSSSNVHRFVDVRETSSNVEEALIVGRLQERRKIFASLSKSMAQETILPIYGIGGIGKTTLAQWVFNSSHFKDYCKVWIYVSQNFDLNKIGNSIISQVSDEQIQMTERQMIHNRLAKVLAGKKILIVLDDLWEKDPSRLEDLQCMLKVDKGRKVVVVTTRDEEIAMKMCTVEPFKMEPLTNDLCWTIIKQKCAFEARADKESLELVGREIAKKCGGQNIVKDDLIHQWRALDFIKPVNIFSTWQIGENYVSHLLGMSFLQHSKSGLTGQLHNKDVTLFTMHDLVHDLARSVMANEVLDASKNGNIGGSSCLLRKLHTLDLSGCDELARLPDSMVNMDNLKVLNVKGCHELDNSILSRFKFFALLPHFVVHAGDDESSNFVLLQHANPDELHISRIENVKSTEEVQSIKLMEKQRIVELKLEWTVYAEKYVDDMEVLRELVPPFTLKKFEIRGYDCVGFPAWVLNISHYLPNLISITMVYLPKCSNLPPLGQLPNLQSLVLERMDNIAKIDEGFNGGARAFPRLERFELTGMESLEEWITIYSSGEDGTNKLMFPNLVRLKIRDCPKLRLRPCLPRAAYWVIWNSDNVLLSRGESVPRTDASSSPITKIDVGS >cds.KYUSt_chr1.38820 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237173677:237174357:-1 gene:KYUSg_chr1.38820 transcript:KYUSt_chr1.38820 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRSPSSAARLRAWPAAVRSPPSPRRVDVVHAVAVSARRPWRRDVGRLRALPLGGSSSDLCAAPGLGWPRFPPGTALLSAPPALARRALFRRAPAGSDGPLRAQMGSCGPRWTPPSSVFWLVDGYKAPCSAGHLFCQGLRWARRQTIALTLQAKASHHWCRCWRCYWVPFSLLRASSGSLVSCCREFLRSPGENLCSLERAAATHCAVTFLKAPLLESVVLVVR >cds.KYUSt_chr6.10486 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64826629:64830053:-1 gene:KYUSg_chr6.10486 transcript:KYUSt_chr6.10486 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAQGGEEAPLYKDASAPVEARVRDLLGRMTLREKAGQMAQVERVVVSVSPRALTELGAGSVLSGGGSAPSERATPSEWARMVDDTQRLALASRLAIPVLYGVDAVHGHNNVYGATVFPHNVGLGASRDPELVRRIGQATALEVRSTGYHWAFSPCLAVCRDPRWGRCYESYSEDPEIVSSLTTLVAGLQGQPPADHPHGYPFLHSLRENVLACAKHFVGDGGTHKGINEGNTICSPEDLESIHMKPYPNCITQGVATVMASYSQWNGEPMHVSHYLLTDVLKGKLGFQGFVVSDWEALDRLSEPKGSNYRECIAKSVNAGMDMIMVPFRFEKFLEDLVFLVETGEIPVSRIDDAVQRILRVKFVSGVFEHPFSEPTLLDTIGCKEHRLLAREAVRKSLVLLKNGKNQKEPFLPLAKNVKRILVAGTHADNIGYQCGGWTIAWNGESGSVTLGTSILEAIRESVGAETEVEYEECPTEATIETGKFSYAVVVVGEVTYAEFVGDRTDLSIPFNGSDLIARVASEVPTIVIVISGRPLVIEPQVLEKVEALVAAWLPGSEGMGIADCLFGDYDFVGTLPVTWFRSVDQLPMNVGGSNYDPLFPLGYGLKCSQMMEI >cds.KYUSt_chr3.28225 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176154727:176159095:1 gene:KYUSg_chr3.28225 transcript:KYUSt_chr3.28225 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVSGQWTRLRTLGCGASGAVVSLAADSASGELFAVKSVAAADAALLSREQGIMSSLCSPDVVRCLGGAHRDDGSYHLFLEFAPGGSLADEVARNGGRLEERTIRAYAADVLGGLAYVHGESLVHGDIKSRNIVIGADGPAKIADFGCARTAGSDRPIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSNIDNVLAAVHWIGYTDAVPEVPAWLSPEAKNFLAACFTRNARDRGTAAELLKHPFLALQEAESNKARWVSPKSTLDAAFWEPESDEEGDEVEEEISGNAAERIKSLAYFVPASPDWDSEDGWIDVLGSEQCDDARDSPATKEPADLASRAPGKVFGSAAMPAEVIAVVGGLSSDEQLDVGEGGDILADDHSTDRITDHENKVCSKSDREIHCNRIDAIEKFRFPHKMLSRSDNLSSIHTMFWTAVLGSVAKDSEMFGCGQGQFPINYLGIPIHYRRLTMAE >cds.KYUSt_chr2.6256 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38999692:39002517:1 gene:KYUSg_chr2.6256 transcript:KYUSt_chr2.6256 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIVAVFLLLVAAQCLALGSGTAAAAKVRRTRQGDYLNRLRGSPSSGLSSWESLAAVEETTKAAGSRKPVAADVGRKEADRVEALPGQPRGVDFAQYAGYVTVDAAAGRALFYYLAEAVGGSSSKPLLLWLNGGPGCSSFGYGAMEELGPFRVMSDGKTLYRNPYSWNHASNVLFLESPAGVGYSYSNTTADYSRSGDNKTADDAYLFLANWMERFPEYKGRDFYLAGESYAGHYVPQLAHAILRHASPNINLKGIMIGNAVINDWTDSKGMYDFFWTHALISDETADGINKNCNFTPGAASNELCDDSSSAADESLRDIDIYNIYAPNCQSEKLVTPPITPSIDNFDPCTDYYVDAYLNRPDVQKALHANVTRLGHSWSACSEVLRHWVDSATTVLPIIRELMKNNIRVWVYSGDTDGSARHF >cds.KYUSt_chr3.14733 pep primary_assembly:MPB_Lper_Kyuss_1697:3:89568662:89569264:1 gene:KYUSg_chr3.14733 transcript:KYUSt_chr3.14733 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRPSSHRTAAPLLLLLHCCCATLLLLPSPASAAHRHATGDGIVISEADRQGLQAIKHDLADPLGYLRSWNDTGLGGACSGHWAGIKCVNGNVVAITLPWRGLAGTLSARGLGQLVQLHRLSLHDNAIAGAIPPSLGFLPDLRGLYPFNNRFSVQANLLHGDRRQVGRTKGWRQGWRTARRRNGGVRRRCSRLLFSV >cds.KYUSt_chr6.18845 pep primary_assembly:MPB_Lper_Kyuss_1697:6:118695419:118701818:1 gene:KYUSg_chr6.18845 transcript:KYUSt_chr6.18845 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLGRRLLMALLLAGACAAERHASPPEATVYRITAIYNFGDSISDTGNLLRQGNTGLLNYTTEPPYGITIGHATGRCSDGYLMIDFLAFRCAARDLGLPLLNPYLDRRADFTHGVNFAVGGATALSTATLARRGITIPHTNSSLEVQIRWFKEFMSSTTNHPREIREQLARSLVMLGEIGGNDYNYVFLQPRRTNGRYDRISNATRMAESMALALALVPQVVQSITNAAKEVLGMGATRLVIPGNFPIGCMPSYLSSATSASSYDAYGCLVSFNLLARAHNLRLLRAVGELRRSYPRATVAYANYFAAYLEILGDAPRLGFEAPRRACCGAGGPYNYVSGTAGGSTFCDPVSCPSYYCWTKHGEIGVMMEDNDEEEEDDDGYPNFPEYDDTAEGNEDNEVEDQEAPDEPAMMILAGPLLMQGENVKLKRKVTFQGYEINGNTFYTIAQDKKSTNQNSGVRFDAIMNEGPNDTYYGYIEDIWELEYGPSFKVPLFRCKWVNKTGGGVQVDKLYGMTTVDLNNLGYRDEPFVLAKDVAQVFYVKDMSTKPRKRKHKETNTSNDEPKRHIVLSGKRNIVGVEDKTDMSEDYNQFDEIPPFRVNIDPSIKLNDEDAPWLRPKKIN >cds.KYUSt_chr7.26183 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163502911:163513999:-1 gene:KYUSg_chr7.26183 transcript:KYUSt_chr7.26183 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAQLWTKAAQAADFASKHSGAYYKEAVEKNKQMKYIAAYLLAYLGGNSCPSAEDLTSTLESVGCEIDAERLELLLSQVKGKDITELLAAGRERFTSVPSGGGGAVVAAAAPTSGGAAPAAESKKEEKVVEKEESDDRYAAASAGEVCFLELARCELMDHVVDAQPFLQTEFYQLGNGGEIIFERDLFSLSEFLGRPPPEVFGGMLNDQPGGQLQWVIMVDLRGRFTLPMSARIQFSFRENNWADGLARGLQEGLARLCGQNFMDFTDSRFVHYARHNSLGVPMNLPSHPQLRHHVDHLDFMLSETRIDLDNSREYANHTHIQLAQQADTIRVIAGERRALRRANQKKDHAINRLKARIATPKATIAAQAEQIQELEGEGEGENIQGDGYSYVSNDDDYEEEEDDDLEFHPYEDGHEHLDAGVDNVYPINVDGE >cds.KYUSt_chr3.35243 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221327239:221329651:-1 gene:KYUSg_chr3.35243 transcript:KYUSt_chr3.35243 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLESFVRLTPLLIKTHIFSNTKLGMKGSHFMVAVGFYEAFKALAASLRFLLFFVEAILAATSAAILATRKPDSIGRIWLVLRFVLFNGRLWWRELVYVRLMERGISLNKAVFPFLWRLVALVLLRGAGCSSGLLIAMLLRWKLVGVWRHRAMLQGCDESIDGDDMPDPHPGLR >cds.KYUSt_chr5.35849 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226397429:226398745:1 gene:KYUSg_chr5.35849 transcript:KYUSt_chr5.35849 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLPMTAGMDDDVEMQRPHEDRETQHNATDKVKRRKAHCTCIVFLIAAVITGCVVFFVEYYGYADPAYSVRVDSASVVPDLTTAPSFNLTLRIASRSHGTKACTVPDTYVEVLYGGVQVAATNAAEMRRTCARPRRTAELPMVATATGTAPNALDKLAAQTAVFDLRLHMTVPSRSPSPMRLEEGHLVVDSNPAIEKAAEGVANHVAIIRLVGTRPRANANDLAKLISKKAKLPLRIFGGVILPERFLRYLHLRPPPRGGGH >cds.KYUSt_chr4.9582 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57865119:57867995:-1 gene:KYUSg_chr4.9582 transcript:KYUSt_chr4.9582 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKPHVMVLPFPAQGHVTPFMELSHRLVDHGFEVTFVCTGLIHTLLLDAMPRNTDGNKTPLEGIRLVSIPDGMAESDDRRDLCKFVEAVSQYVPGYVEELIRETEASAEKKVKWLLADVNMGFCFRVAKNLGVRVAAVWPAAAASLGMWFRIPEMIEDGLIDDKGVAKREGTYEFGPKMPPICTARMPWVIDGPPEGQQAMFQVVVSDNAQATSHAEIIVCNSFLEGETTAFELFPNIVPIGPLFADQELRKPVGQFWPEDASCLEWLDAQPDNSVVYVAFGSFTIFGPRQFQELAEGLELTGRPFLWVVRPDFTSGGLSKAWFDEFSSRMAGKGMIVSWCPQQKVLAHPAVACFMSHCGWNSTTEGVRNGVPILCWPYFADQFTNRSYICDIWMTGLAVTAGEDSVVTKEEVQSKLEQLIGDKEIAERVRMLRDAARRSLNEGGSSYENFKRFVNLLTGVSRAVSLN >cds.KYUSt_chr1.30880 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187015574:187016128:-1 gene:KYUSg_chr1.30880 transcript:KYUSt_chr1.30880 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQSPTTAVVAAAAVEKSKHWAPHGPALTACLVSINLLMILLIFFYFWRFFSGKRGPSSPGGVNDEEAASSADSSPATSPRGSRRLSDPGQLPVSVYDSSSSDDAAGGKAECAVCIVEFRDGDLVRLLPRCGHRFHAACVDAWLRLHSTCPLCRADVVAPAPAAADAKNDDPKDDGGAESPV >cds.KYUSt_chr7.22491 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139392111:139398127:-1 gene:KYUSg_chr7.22491 transcript:KYUSt_chr7.22491 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISAPASSSLIPVSRQVHSLAPPLHPPSNELPFARFGRFPGPFAEVVTELDVVSSFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIVGLPDTKFKSAIDTALVDGECNTMEKPGDRMSCYLTKALGNVGAELSNQVPGRVSTEIDARLAYDTQGIIQRVHELLQIYNEHGVLSERLLFKIPATWQGIEASRLLESEGTQTHLTFVYSFAQAAAAAQAGASVVQIFVGRIRDWARNHSGDPEIDEALKKGEDAGLALVKKAYAYIHRNGYKTKLMAAAVRNKQDVFSLLGIDYIIVPVKILQSLDESVTDPDEKYGYVQRLTPSLDKMYNFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYANQARRVEELFGKIWPPPNV >cds.KYUSt_chr7.30310 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188756635:188759115:-1 gene:KYUSg_chr7.30310 transcript:KYUSt_chr7.30310 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQEPRSWEYHLRKYLALLATLVATSTYAAGLSPPGGVWQENKQDEPAGVPILYHSPRYLAFFYFNATAFAASLVVNLLLLVLNESRTAWLSVLRVVMVLDLLALMGAFATGSCEDLPTTVYVSVLVIALAIYVGIKILLDWHDKVVADDPLDFNEHRKELLVLATFATGLSFAAGLSPPGGFRDDAEGDNEPGDPILKAQQSQRLMAFFYCNTTAFVASLLVIVLLLGRRMQKYYGKYQLYGFILVVLLGLLGAYAAGSSRKTDTTVYVVVLVAAVPVYIFLVMVVMVRKRVFQQNKSPSPARVLAKAVGGAADEVREGIGKAQSLILLLATLAATITYQAGMDPPGGVWPEDGPEGLYEAGDPILLSTHPARYKVFFYCNSTAFVASLVVILMVQNTRLMKGHALEVAMILDLFGLIGAYAAGSCRDVSTSIYVMALAGGVLVYVVIHVVFFTLDHEKLDDEEKRRIHKRRKRLLLLSILVATITYQAGLTPPGGFWTQDGKTPDGHDYSAGSAILGDVSGEYRRRYLAYFYCNSTSFMASMALILMLVNPNLYRPGIRSYALYVCMVVAVFGLMGAYAAGSARQLRTSIYVFVLVGAVVAFILIQLLMFFKLHQICGPAKAKRSFPPLQSPKPKPSPEESSNSSRRKYLMLLAILAASVTYQAGLKPPGGMFEDGVAAGNPVLRVSDLARYRVFFYCNTGSFAASVVVIVLLLQEFLHGQAYGLLIHAMNTAIVLDVLGLLGAYAAGSSREWDTSGYVIALTAVVLAFLAVHLVIWVVSRRSGKGKVSNVQLQPEPKVLRRCASSPAVLKLSVQLVSISCVA >cds.KYUSt_chr3.41565 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262184801:262193589:-1 gene:KYUSg_chr3.41565 transcript:KYUSt_chr3.41565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase, Sucrose synthesis in pollen germinatio [Source: Projected from Oryza sativa (Os01g0919400)] MNFSPTHYFVEEVVKGVDESDLHRTWIKVVATRNARERSTRLENMCWRIWHLARKKKQAIILINLFPCSFRLRSLNEWFLGLLELEGIQRMSARQKEQEQVRLEATEDLAEDLSESEKGDTVGELAPLETPKKKFQRNFSDLTVWSDENKEKKLYIVLISVHGLVRGENMELGSDSDTGGQVKYVVELARALAMMPGVYRVDLFTRQVSSHDVDWSYGEPTEMLTSGSHDAEGSGESAGAYIVRIPCGPSNKYLKKESLWPYLQEFVDGALAHILNMSKVLGEQVGRGKPVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQIMKQGRMSKEEIDSTYKIMRRIEGEELALDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARTRRGVSCHGRFMPRMVVIPPGMDFSNVVAEDIDGDGDKDDISFDGASPRSLPPIWAEVFRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIEEMPPGNANVLTTVLKLIDKYDLYGSVAIPKHHNQADVPEIYRLTAKMKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPVDITNALNNGLLVDPHDQHAIADALLKLVADKNLWQECRKNGLRNIHLYSWPEHCRTYLTRVAGCRIRNPRWLKDTPADAGADDEEAEDSLMDFQDLSLRLSIDGERCSLNEPASSDPQDQVQKIMNKIKQSSPAAAAEGEKNPAEVHAPGTVNKYPHLRRRRRLFIVAVDCYGDDGKASRKMLQVIQELFRAVRSDSQMSKISGFALSTAMPLSETLQLLKLGKVSPTDFDALICGSGSEVYYPGTAQCVDAQGRLRPDQDYLNHIDHRWSHDGARQTIGKLMAAHDGSGNAVEPDVESCNAHCVSFFVRDPKKVNTIDEMRERLRMRGLRCHLMYCRNSTRLQIVPLMASRSQALRYLFVRWGLAVGNMYLIVGEHGDTDREEMLSGLHKTVIVKGVTEKGSEGLLRSSGSYHREDVVPSESPLAATTRGDPKADEIMRALKEVPRWRRNPWCCAALHSVTNNLHVLLDSY >cds.KYUSt_chr6.9458 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58318633:58319523:1 gene:KYUSg_chr6.9458 transcript:KYUSt_chr6.9458 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCAKVGLKKGPWTAEEDQKLVAFLLSHGHCCWRLVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDDEEKLVIDLHAELGNRYALPCMLFLKHACRKPRGWILNSGFAWCVSRWSKIAARLPGRTDNEIKNHWNTHIRKKLKKMGLDPVTHQPVVPCATRPDDPVEDLLPDPDHAHCDEPPKASSQEPAGSGADEDEDAEPKQGATCSASSASAAVSPSSCSSSSASASVATPGGADAVDWPDPFDLLQVDALIDMDWASIFSGSGGGGDIGVDLFDHCSDVAFDQEVWM >cds.KYUSt_chr6.31290 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198011800:198018108:-1 gene:KYUSg_chr6.31290 transcript:KYUSt_chr6.31290 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEHRRNPPVLGYFREAIDGIHFQPTTDAPNRVPQGRFSPSPTGDDGDRGTLLGCRHGLVLIFHSSRDQVLVSDPVTGDQRRIATPPGLDVQETPFDGAVLRAAGGHFQVVLVGYKQKRNPRATVCIYSSETGVWSNLPSTSVPRDAVRYQAMPAVLVEDSLYWLCSSVILKVDLCGQGLAVIQVPANMSAAGDKYLMRAEGGGLGLLSLSEHTAQLWKNADSVSSWVLGRTIELGRLLSLDSKRETRCIQMIGYAEENNVAFLRTVAGIFMVQLETLQFKKLPENNNCVVCYPLEAVYAAEASTGGGHDALESTASPMSAQAGKMTVLEYRHNPPVLGFFREAVDGICFQPTMEAPNRVPHGRFSSPIGDSDSGMLLGSRHGLVLIFHSLRDQFLVWDPVTGDQHRAAAPPRFDVHQTPFDGEVLRAAGGHFKVVLVGYKQKKNPRAIVCFYSSETGVWSNLIETPVPRDAAGYQAMPAVLVGDSLYWLCSSVILKVDLCRQSVAVIQVPVDMFAEDKYLMVMRAEGGGLGLLSLSCTAQLWKRNTDGNGVSSWVLGRTIELGRLLSMDSKRETRSIHMIGYAEENNVAFFWTVAGIFMVQLETLQFKKLLENNNSLVCYPLESFYAAETSIGGGHDALHSVDSPMSISASAGKMTALEHRCNPPVLGYFREAIDGIHFQPTMEGPNHVPRGRFSSPIGDGDNSILLGCRHGLVLIFHSSRDQILVWDPVTGEQHHISTPLGFDVHQTPFDGAVLRAAAEHFQVVLVGYKQNGNPRVIVCIYSSETRLWSNLIETPVPRDAVEYQGMPAVLVEDSLYWLSSSVILKVDLCRQSLDVIQVRVDVFAKGNYLMVMRAEGGGLGLLSLSECTAQLWKRNTDADGVSSWVLGRTIELDRLLTLDSKRETRYIQMIGYAEENNVAFLRTAAGIFMVQLHSLQFKKLLENNNSVTCYPLESVYDAEKSIGGGHDGADQHMLM >cds.KYUSt_chr4.7510 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44703544:44704497:-1 gene:KYUSg_chr4.7510 transcript:KYUSt_chr4.7510 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVIKMSTVPAGTGYGSIVPEAPNADKHSLSQSLAETIGKLVEQYIDAMDKVKLKQGLKIAMAISSEGNAYLQESQFWKLYKQDPASCAIVMKTSIGLVYLLACLLEPFMPSFSKDVLRQLNLCPEEHISFSDERGDSNKAKTPWDLIPSNHRIGKPVPLFKALDDDAVDSFRETFSGSQAERRSRAT >cds.KYUSt_chr2.49241 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308206351:308210116:-1 gene:KYUSg_chr2.49241 transcript:KYUSt_chr2.49241 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGRVERIASTIRVIPDFPKPGLIPAGPQSSKLTRRHYYFPNASPYQPWSRSFASDSGEKFEAVVPFMGESVTDGTLATFLKKPGDRVEADEAIAQIETDKVTIDVSSPEAGVLEKYGTPNWPLCKDHSEAVPNAAAPSLLPSTLPALNPASAAPCARLSALAWETWCSRSGVCEMGISKMHAGDPEDNSSEILCLYCNNEHD >cds.KYUSt_chr1.4887 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30092459:30093971:1 gene:KYUSg_chr1.4887 transcript:KYUSt_chr1.4887 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRQFKEDPPEYDKEIQEGLVLIENDSNIRHMAKASRTVKTLVLFVDHTNFLRQLRKDILVTGPALPPVISPMKIPRATTPAKPATSSSSSIVQLVQKEVRYACDSDTDTDSEFVMYDSDFDVEDGDDDLFSDNVDKSVSDHNEKEVCVENEDEEALEDDDLNMEEGRRLKLMKKLTAFNPEVDMDNPSFKRGMVFSGVEELRKALAAYSIRNRVHIKKTLKDRRRLNAQCTWVLLDAESLC >cds.KYUSt_chr1.16187 pep primary_assembly:MPB_Lper_Kyuss_1697:1:93982940:93984730:-1 gene:KYUSg_chr1.16187 transcript:KYUSt_chr1.16187 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHAADLPPSVGGDILKATNDKLGKFDKGGGRCSRLCSLLILAATVSMLARHCYDFGVAGSSATGTVARVEHGLSSSRHRTRKINPIARGKSPFSDPFISPPRDAGHTNSGKALPDPLPASVPVDTGSSVTGPDGGGEQHGEHAFARALAAANDRGDLCGDRYIYVQELPPRFNTDLLRDCGTLSPWADKCKHTANGGFGPQLSGSQGGVLQETGWYDSDEHMLDVIFHDRIKRYECLTNDSSLAAAIFVPFYAGLDVARHLWGHNASSLDALALDMVRLVTARPEWRAMGGRDHFFVAGRTTWDFRRLGNGSTGWGSSLLRLPAVRNMTALVLEASPWNLNDAAIPYPTSFHPATDEGIFFWQDRVRGLKRQWLFSFAGAARPEDARSIASHLVEQCVASAACSLMECRKGPSNRCNSPAGVMKLFQSSTFCLQPQGDTYTRRSVFEALLAGCIPVFFHPGTAFVQYTWHLPKNQAEYSVYISEEDVRRNVSVEERLRRIRPEDVERMRGAVVGLIPAMIYSDTSSKLESTVNDAFDVAVEAVTRKVTKIRKRIVEGLPEDEKLEMYSWKYPLLGEGQKVQDSHEWDPLFASN >cds.KYUSt_chr1.42236 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258620799:258624258:1 gene:KYUSg_chr1.42236 transcript:KYUSt_chr1.42236 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLRKLGAMLTDEYKMLKNVRNDIKLLKDELEVMNAFLLKMSDVEEPDEPTKLRVTAVREMSYKIEDNIDKFMVLVVDRESCSPEARGIRKLIDKCNSLLPDVKTRHKIAKEVKDIKNQLKEVSDRFLRYKTDESSSMPAKDKVDPRLRAVWRDATELVGINGPRDELVKWLNEKEGESLRSVSIVGYGGLGKTTLATQIRANLGAGATFECGAFVSISRKPDMKAILRSILSQITKQDNAYSGLDDIQLLMDKIREFLQDRRYFIIIDDIWEPGTWETLKCAFVKNTCGSRIMITTRIVDVAKFCSPSNKDLVYEMKPLSEADSKKLFFKRIFGCEESCPDKLKEASKDILKKCHGLPLAINAISSLLATTTETKEEWDRVRHSIRSSKAKSDIIETMNYILSLSYFDLPHHLRSCILYLALFPEDELIGRQRLVRRWISEGFIHGENGQDLMELGEEYFHELVNRSLIMPEEIGYDGKVGYCRVHDTILDFLIAKSSEENLCTVLKKQCKPDGIVRRLSLMGTEDEEILEQLDLSHARSIGAFGDIKRLPPLGKSKSLRVLDVQGCYELQNHHIKDIERLYQLRYLDISGTGITELPKQIGELQYLETLITSYDLCELPESTSRLRRLARLFVGPDCKLPDGLGNLVNLQELGWIDALKLKHVEELGKLPNLRKLKIILRTDGIEGNKLVQSKEKLVSALCKLDECGLCSLSIDYYLGEKDGEEPFLPALGCIHEVRVYGGDIFWIIRWLPSLPNLQKLYFANPKKIEQQDIEMIGLIPNLLELSLPLYGIDDTQGLIIRCKGFQQLQSFVVYFSRMGGLMFEPGAMPRLKELKHHCLKEKPKSDAGDFDFGIQYLSSLAHLTVNLFCIDSTAAEVKAAEDAFKSMAEANPNRPTLMMTINL >cds.KYUSt_chr2.35559 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219589269:219589898:1 gene:KYUSg_chr2.35559 transcript:KYUSt_chr2.35559 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTCAYDYGNSCSPPPAAMASPTAARSLQAMDPFSNHGHNHAVLGSIIHGVVMPPPPAPPPPPLPPPPPLLGLHSGNRSGHSGSKATSRDPGLCTEGLGSESSESSGDVDLGDVVDDNIEEMRAEQALHCQEEKIMPASARGRRVFPPPISVIGAAGKPWQYLRAHRGDGRLVMREVRIPSRELLQACREDGRLKLHFAHPDDQENN >cds.KYUSt_chr1.5547 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34155114:34158641:-1 gene:KYUSg_chr1.5547 transcript:KYUSt_chr1.5547 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLSLLASASPVHLAPAKDLHAALPFPPKIRPTQQLSPSPQQHLLEATYQAQQQQQPLQHMMAVPGLATQASAAAAAAYQAFDRASLIDVQDTHPDSIQLSLGIAEQCARQEKILKFLMSGSDVNELDASILAELTGPQNLPMNMGSQPYIPDDKLTIYEFALEEPQQYLPENQLIIPDPLLEFAQSHGSALAIDENGRIIFTGSGDEMRDLLSVFLEFNVPKPEPRGCKDAYLVPYFDSTTNVKAKSQSKKKQKGKNINERDMLQKNYFQASEAILSILLDKNKDSSSILSLKKAGPEISELLTQCSIAIAGTGLAVLLSVVCKIAIGGRTPFAATRLLNTGVGFGLFWLSCAVNNLRDTITSIFRGPTDTSMEDEAAVRIQRSVNDILFRAVTLLAVTALKFA >cds.KYUSt_chr3.42697 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269969698:269970597:1 gene:KYUSg_chr3.42697 transcript:KYUSt_chr3.42697 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFTTFSLAASLLLALLATCHAGSIAIYWGQNDGEVSLAETCASGNYEFVILAFLPKFGKGQTPQLDLASHCDPSSGGCRSQSKDINSCQNRGVKVLLSIGGADGSYGLSSPGDASQVAMYLWNNFLGGTSSSRPLGDAILDGIDFDIEIGGAKFWNNLATDLKKLGKNGGKTVLLSAAPQCPFPDEWDGGAISTGLFDYVWVQFYNNQECQFDAGRAAFMDAWNKWVSVPAGKIFLGLPASSSKDAAGTGFIPADELTSRVLPLIKGSPKYGGVMLWSKYYDDRSGYSSAIKSYV >cds.KYUSt_chr3.46744 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293780545:293785659:1 gene:KYUSg_chr3.46744 transcript:KYUSt_chr3.46744 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKGRRAASSGTAATKKEKATGWVASTFSKADLNKLRAAEILAAATVVMMPGKDTLPHPQKGYRVMFSQFLFRGLSLPVHEFLRGLLFVYGVQLHQLTPNSILHIACFITVCECFLGIDPHWGLWRRIFCIRRNISRTDVHDVGGAIISTKSPAGYFDLRMRESLQDWRRKCFYIKDELVAGQIYGLAPFDDAAEVKKLKSWDIPLTVAELEETEPMIHKIQTLQTTVDCKFQITVANVCRISASKWLLIGSGAQTVDSIGATIKKFYILFKEDYKTMSGIAFSARRDFMEHIHRNINHLGTFEVVIQQNTLPTADRIFVVEVTNEANRTYFHGRSWKEMTERYGMELGTKLYFYLDGLYRETFFHYKQRNDGSSYDNEFHDPRDSDGIVVHYPPVEPELEPEPEE >cds.KYUSt_chr5.29269 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185424312:185425458:1 gene:KYUSg_chr5.29269 transcript:KYUSt_chr5.29269 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGSGLMPCPRWLAGRQLAEAGDGNGFGRRFLLEGIAEVMFCHPVWESSGVIPRSSVIGRWRRSCVVFPLEGIDLELLWSEGPVEDGWCWRCGLRGNDDNEAFEDALVEGGTVVRPVATTVGVPVPEGRREVPKIVLAPTAFSSRSMAVPLSVADHHLA >cds.KYUSt_chr4.29668 pep primary_assembly:MPB_Lper_Kyuss_1697:4:186293307:186303515:-1 gene:KYUSg_chr4.29668 transcript:KYUSt_chr4.29668 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSASSSSSRHGRLPFSPSLSTPTFSSSPSPHHDRRNSTSSPKPLLSFPSPTSRPHSSASASASAAGPRAAPPPGFAHNARISAALAPAAAFLLDLGGIPVFAVLAVGLAAAYLLDALRLRQAAFFTVWAALLAADVAFFFSASLSSAASATLPLTLLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACLPVAAPAIFTWAVVSAVGMTNASYYLATFTMVFYWLFSIPRPSSFKNRKQDAPLQDSDGILGPLESCVHALYLLFVPVLFHAASHHATLFASWANVCDLLLLFFVPFLFQLYASTRGALWWITRDTRTMDHIRITNGFVALVLVVLCLEVRVVFHAFGRYIHAPPPLNYLLVTVTMLGGALGLAAHAAGKVGDAASSLAFTGLAVLVSGAASLVIGFPLVFLPLPMLSGYYVARFFTKKSLSSYFTFVAISSLMVLWFVVHNYWDLNIWIAGMPMKSFVKYIVAAVIMAMAVPGLALLPTKLRFLVELGLIGHALLLCYIENRLFNYATMYYFGFEDDIMYPNYMVLITTFFGLALVRRLSVDQRLGPKAAWVLTCLYSSKLSMLFITSRSVVWVSAVLLLAVTPPLLLYRDKSKGAPMMKIWQAYFHASVVAFSAWLCRETVFEALQWWNGRPPSDGLLLGSYILLTGVACIPIVALHFPHAQSAKRLLGLVVATGLLFVIMQPPVRLSWVYRSELIMAAHLSDDDTSIYGFVASKPTWPSWLLIATGVLTLAAVTSIIPVKYVVELRALYAVGAGITLGIYISVQYFFQAVVLYPLLVATIVSAAVFIVFTHLPSESSTRVLPWVFSFLVALFPVTYLLEGQLRAKSFADEDEAEKFTNMLAIEGARMSLLGLYAAIFIIIALEIKFELALLLRDKAADRGIAHGPSGGQGSAFPPKARLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNFSVVLCFIICLVLNVTLTGGSNRAIFFLAPILLLLNQDSDIVAGFGERQRYFPVIVSISGYLLLTALYRTWEETWPGSGGWALDIGGPGWLYAVKNVALLVLTLPNHILFSRFMWDYVRQSDSKLLLTLPLNLPSIIMTDILAVRVLGLLGVIYSLAQYLISRQIRIAGMKYI >cds.KYUSt_chr5.42025 pep primary_assembly:MPB_Lper_Kyuss_1697:5:264967327:264978296:-1 gene:KYUSg_chr5.42025 transcript:KYUSt_chr5.42025 gene_biotype:protein_coding transcript_biotype:protein_coding MVGACIVRRTFEFLDVSTTMIAKPGLVTDFLANQKVDRPDRVDWKKAKCDRKNLLITVLICSVLLCSELRVVLFSHKETQGFIGFPHILVANSLGQDDRANTFILMEQDDQGDVRNSVDDNFIHAKMTCIFSGSYLIDWCVSCLFLDYVLEAWEHRTLLSPLIASSHSLVFAFRLLPASPSPTLHCFEFLSDLFCQEVVKRWKQVTFKDGLESLLATWPNPALELVGGLDMASVAGFISQLDAVIGFDTELSRTMTYSPTRRRISIYDVYALQEWSYTPTAKPYAEGILSGPSAYGLARAAPEADRRRLVTVGV >cds.KYUSt_chr4.17873 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111914281:111918355:1 gene:KYUSg_chr4.17873 transcript:KYUSt_chr4.17873 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVGKGVQESKLLWHIAFPAILTAVFQFSVGFVTVGFAGHIGEVELAAVAVVENVIEGFAYGILLGMGSALETLCGQAVGAGQVDMLGVYIQRSWIICGASALALVPTYIFTEPILRSLHQPAAISAVAGRYTRWVLPQLFAYAANFPLQKFFQSQSKVWAMTFISGAALALHVALNFVFVTRLGYGLFGAAMIGNVTWWIIIAAQFAYLVSGCFPEAWKGFSLLAFKNLAAFVRLSLASAVMLCLELWYYTAVLILVGLLKNAQLQVDVMSVCINYQLWTLMVALGFNAAVSVRVSNELGANRPKAANFAVIMAVSTSAAIGVVFMAVFLIWRMELPRFFSDNEEVVSEAAKLGYLLAATIFLNSIQPVLSGMYQYSTRPVTKLYKPIKWKLEHLKILKN >cds.KYUSt_chr2.2139 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12956886:12958457:-1 gene:KYUSg_chr2.2139 transcript:KYUSt_chr2.2139 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGAVVVDSSNGKDCSYATAARSFPAKLSRPLLLFAVLATGFLAAPSLLFLGGSGAAASSYYGLPSLPVPDVLLAAVRSAPCAEEKEVYRWWARPPSKSAWHSMSDEELLWAASFEPRAAPPPRPSTPRKVAFLFLTRGPLPLAPLWERFFHGTGGTAGRGRKLFSVYVHTTPGYRLDFPPSSPFHRRQVPSKATEWGMPSVVDAERRLLANALLDLDNERFVLVSESCIPLHPLATIHAYLTRSAHSFVAVVDDPGRDGLGRYPAGLAPEVPVSRWRKGTQWFELHRSLAVFVVADGRYYPRFREECLPRCYVDEHYLPTVLTMEEPGSLANRSVTLVDWSRGGAHPARFGARDVGEEFLERLAGKKEKGSCMYNGQLVEVCFLFARKFAPDALQKLLELSPRILGY >cds.KYUSt_chr7.31626 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197019266:197023275:1 gene:KYUSg_chr7.31626 transcript:KYUSt_chr7.31626 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWVQVLREHGQARLAKIAGLRQHAVAIQDQDDAAAAGTVINFWLPKHHKKRHTKEGPGGKNRKQNRHAVVLVHGFAGDGIMTWLPQVNALARISHVDVYVPDLIHFGGSTSPSPDRSATFQARCLAAALRKLGVVERCTVVGFSYGGLVAFEMAAAFPELVRSIVVSGAVPAYTAAMNDALLERFGVGSLTELMLPDSVGGVMVLFSTAFYKKPWLPRRLLSDFLEDAESLKSDLARPTSCVHMLQWRELWQEGKTKLGISANKTLWSFSDLAFGCGAGWWLLAFSDVLLRRKKEKGSNEGSFNKSFHLLVSFHPVLLALLAGHGSEGEG >cds.KYUSt_chr6.24206 pep primary_assembly:MPB_Lper_Kyuss_1697:6:152866445:152866960:1 gene:KYUSg_chr6.24206 transcript:KYUSt_chr6.24206 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGEASVAVASMAPLLTTIIGNLGSAWQRTPSWPELHGNTDGHKEMELLPSVDSITEVEEAECECCGMSEECTPAYISKVRRRFSGQWVCGLCAEAVAEEAGKRGCRLEEALVAHMGVCRRFNGFGRTHPALFQADAMKGILKKLSGLGSPKSNSRREAMAEKAAPPAA >cds.KYUSt_scaffold_3611.167 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:949850:956546:-1 gene:KYUSg_scaffold_3611.167 transcript:KYUSt_scaffold_3611.167 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGSISALIAKQWKVESHPGPFPCLFDLTISVVFSLSLYWLGLQNWSNGKQREKVNNTVLFYQATHDKLIAEFPKYKLITPFVLSKRFWVVLGYIKKWIPVLGCVENSCGNGNGVHPCDVVLLAGAKLMFICTVLGYIKKWIPVLGCVEISCGSGNGNGFHPRDVVLLAGYAEEKEDRAIANCGCSLQKSGIFGCLNLANILGEAMSFQGLDFGVRRHSRCLNLRES >cds.KYUSt_chr3.20265 pep primary_assembly:MPB_Lper_Kyuss_1697:3:124896045:124899135:1 gene:KYUSg_chr3.20265 transcript:KYUSt_chr3.20265 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASLPLAASRFLSPPATAPFWSRPRSLPFVRAAPKALEARDAPKTLPTSRRRSAVAEVKAATDPVAALARLENVLKTQDCNIILRHYGEIRRWDMLSKVFGWMQKHDMLNIASYSSYFKYLGLSRNAAKVLQVYSAIQDKSTRVHVSVCNSVLGCLVKNGRSDSTFKLYDEMIREGLSPDLFTYSTLLSGCIKLKQGYTKAMELINELNSRGLQMDSVIYGTLLAICASHNYCEEAEVYFQKLKDEGHTPNLFHYSSLLNAYSENSDYEKAELLMKDLRSSGLTPNKVMLTTLLKVYSKGGLFDKAKELLIELEASGFAQDEMPYCILIDALAKGGNIWEAKMLFNDMKEKGVKSDGYAFSIMISALHRAGYREEAKKLAKEFEDQNATYDLIMLNTSLRAYCNTNDTESVMRMLKKMDELNISPDHITFNTLIRYFCKAKVYHLAYKTIEDMHTKGHQLNEVFWFW >cds.KYUSt_chr3.38155 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240160505:240164843:-1 gene:KYUSg_chr3.38155 transcript:KYUSt_chr3.38155 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSVAAILAVLLAAAALATAQQCGSQAGGATCGNCLCCSRFGYCGSTSDYCGAGCQSQCSGCGPSPGPGGQGVASIISRDLFERFLLHRNDGACLARGFFTYDAFVAAAGAFPAFGTTGSTETRKREVAAFFGQTSHETTGGWATAPDGPYSWGYCFKQEQGSPGSYCEPSGDWPCAPGKKYYGRGPIQLSYNYNYGPAGRAIGVDLLNNPDLVATDPTVSFKTALWFWMTTQSNKPSCHDVITGRWTPTARDSAAGRVPGYGVITNVINGGLECGIGQDPRVVDRIGFYKRYCDILGVGYGNNLDCNNQKSFNVGLSASAGLAADMILAGRRGFSVAVGALSLVATAGQEDTKEKKELKLPEPALIRRGGEFPRRRGCVPSGPERSKFDVWRQQFPIRASSHAAGGHDDTVELESVDEEEEQMQNMMDEEDEDGVDNEVDSDESGGSDTSDDNEEADPIPSSWNHDLSEAMIVDDRHDSALGVQT >cds.KYUSt_chr2.4105 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24826118:24827344:1 gene:KYUSg_chr2.4105 transcript:KYUSt_chr2.4105 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMNYGLSKIMKNMQAWGGAKFGNVTRELSRLREKLLQLQTNDALREEVCVVSDQISCAFQRFFLIPSSSSGRSRRRGRLASPASPQIRSVVWAVFWLFFAARGCSSPNLSMVLMWVEVKLELGDELDGSGESLMLLFQEEISRWPKFGCRL >cds.KYUSt_chr4.8066 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48103894:48107000:-1 gene:KYUSg_chr4.8066 transcript:KYUSt_chr4.8066 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALGLGDVVRLTAKCMYIDLDRCSDWGRAQKMVVHEFTVDLNKPLVFQVGHLREHYQEWVHQPIVSKEGPRFFRNDVMEFLTLTKWWVVPVIWLPVVYWLFAKSILMGHTIREVVLMALFGVFVWTLIEYTLHRFLFHIETKSYWSNTAHYLIHGCHHKHPMDSLRLVFPPAGTAILCVPFWNIVAFFATPSTTPALFGGGLLGYVMYDCTHYYLHHGQPSKDPAKHLKRYHLNHHFRIQDKGFGITSSLWDAIFGTLPSSKITVKHN >cds.KYUSt_chr5.4929 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31175121:31183269:1 gene:KYUSg_chr5.4929 transcript:KYUSt_chr5.4929 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPLREDPSSENKAREGDTLMHPRATVAKAKAYIEMILNNLYKTAPTYRCESSSSRAKWTPPPEGTVLINVDATIFATSRRMGAGVVARNHDGSFLAACGERYDEVVVPEIAEAIAVRHALSFAQEEEFSKIIIASDCLSVTKLTIDPHPHNNRRFPVQRYSSSSSDLEELLDDDLEKTAVILVAAAVMDVWPKKRKGSTMGRLCVPRNGALGHNLLMGDYFAERSATAEGTKFAGAAERRLWPSHHPKRHTSSEPEDHRNVDAAHARTSSSPPQRHARHGDIRSKRMMCKECAALDYWHHKDKIRFFLVKMGVDELKNGLIIPKKFANNVREGIPEEIKLELPDGRTHSIQLAKEQHELALRSGWDKFARYGRSALNGHRMNETCTICIESVKRHYWHMDGHDWCFFKVMCIENFKDEMAIPKKFSTNFRGHVSDEVKLEVPDGKIYSVQVAREHDELVFQSGWAKFANAYELELGYILVFTYSGNSHFKVRILKQSGCEKELSCVMMDRGPDVQERHIVHDQSLPTKRKRQDDDENVLSSKDIQDPGSSGGLQGSTRSPYILEMGCKLTGTQKARVDELVKENRPGVSFYVAAMNEKNASDGFLVISKEYAVKHLPHQDQTIKLCRPANSKTSDASLKVITDGTCVLSCILSTGWTGFFRENKLQEGDICAFEVSKSDGRVTITVPHLTKMYVTTSHTKLTSEQDRKIEEKLQVIQPQVEIYVSLIRRNRTSIVSSARSTLFILIDNGSMLFP >cds.KYUSt_chr6.25654 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162552675:162554893:1 gene:KYUSg_chr6.25654 transcript:KYUSt_chr6.25654 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVAGPYGGVHLGLHPPLGLAGHGGHISFKAPLITPKPSFAVEDYLVTTCGLTRAQALKASAKLSHLKSPANPDAVLAFLDGVGLSNADVAALVAKDPEFLCASVERTLAPIVVGLSGHGLSHAEIARLFSLGLSIYRCRSAVSNLPYYMSLFGSTENLVRFLRRSSSLLGSSLEKVVKPNVAFLRECGLGDCDISKLCLSSPWLFKMNPERVQAMVERTEELGGHRGSVMFRHVLKAVASLGEGKIADKMEYLKNTFRWSDAEVGTAVSGLPAMLASSKDILQRRSEFLICEVGLEPPYIARRPALLSYSLEGRQRPRFYAVKFLKENGLLKRDPSYYAIVQVTEKVFMERYIFPHKEAAPHLAEDYATACRGAVPARLMFA >cds.KYUSt_chr2.27737 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170418091:170419928:-1 gene:KYUSg_chr2.27737 transcript:KYUSt_chr2.27737 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATKALHGGAAAARKILAAGSPRLHPSASSPPTTPQVISRGFSSFRAEEYVKMEDLARMNNRIQLLETSLETQKAVTRAEMLKMKAEVLEHDMIMLRSVGLGAGLGVGLALLTFIAWVKIKY >cds.KYUSt_chr7.29917 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186275857:186278847:1 gene:KYUSg_chr7.29917 transcript:KYUSt_chr7.29917 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSPASLHLRSLEWARAFSSSSSPSPSAAADGKIVASVVFERLPVVIPKINPVVYAFQEFSFRWRQQYRRKYPDDVLGKAEARGKGDYQIDYVPAPRITEADKANDRKSLQRALDNKLYLLLYGKTFGAPDGKPVWHFPEKVYENEDTLRLCAESALKSVLGGLDNTYFVGNAPMAHMEDEQTGDSSVPSLKRFFFKSQVIGTTKLDIGKCEDHVWVTKDELLEYFPEHKALFDKMIIHIR >cds.KYUSt_chr3.45915 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289076794:289078150:-1 gene:KYUSg_chr3.45915 transcript:KYUSt_chr3.45915 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQDEARPSKKARESAGSGGLTAFALRLAKQLADGEGTRDNNVAFSPLSVYTTLGLVAAGARGKTLDELLGLLGASSPDDVAGFVRGLAADPSGSDGPLVTYAYGVFHQKNMEITAAYRDTTAESYKAEIRAVDFAKGDREKIREEINKWVAAATNNLISEILPEGYLTCRSRFVLTNAIYFKGVWENLFPVRLTEDREFHRLGEAAAVEVPFMTFGPGERSLFLSYDKGFKVLRLPYRNSDASTSAFSMCVFLPNELNGLRAMVDALAAGGSMLDHVPKYRSKVRRVLLPKFKLSFFTSLVGVLKSLGLVEAFTEAADLSGLVEQHICDVRLDEVFHKAVVEVNEEGTVAAACTAVGGRVKQSARKETSKEFIADHPFAFYILEEVSGAVVFAGHVLDPSTSSH >cds.KYUSt_chr2.24518 pep primary_assembly:MPB_Lper_Kyuss_1697:2:149954621:149956875:1 gene:KYUSg_chr2.24518 transcript:KYUSt_chr2.24518 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPPPPVAAPRTLETGTKLHVSNLNASVTVEDVQELFSEIGELKRYSVNYDRDGKSQGSAEVVFARKVDALDAIERYNGVQLDGKAMKIELIGSLFLSSPPMSTLVILYPF >cds.KYUSt_chr3.33686 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211538569:211539258:1 gene:KYUSg_chr3.33686 transcript:KYUSt_chr3.33686 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRASRRGSFTLRQPPVVDIGCNCRRPKLFANIFSSSSLPFRGGSSSRGGKPKSPNASSTSTATTAFTSTTLGGHSGTTATSADSASWGPASFTNNSLYEDPAAAARRHGQAEQPEQETRRRRRQQQRRRRRRAAWDGVGAGGEGEHGRVARESVPVAVESAEPYEDFRESMVQMVVEKEIYAWDDLNDLLHQFLSLNSPRHHPLILHAFADLWTRNGLFSPPSPCQF >cds.KYUSt_chr4.19407 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122014812:122026801:-1 gene:KYUSg_chr4.19407 transcript:KYUSt_chr4.19407 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFSSHNVGIGMALVIMLLTIPSLLRTAVAGNPPFSCGPGATTKGYAFCDSRLPLERRAADLVARLTLAEEVSQLGDEAAGVPRLGVPPYKWWSEGLHGVSFWGHGMHFDGVVSRITSFPQVLLTAASFDDDLWYRIGQAIGTEARALYNLGQAEGLTIWSPNVNIYRDPRWGRGQETPGEDPTTASKYAVAFVKGLQGTSATTLQTSACCKHASAYDLENWNGVVRYNFNAKVTPQDMEDTFNPPFKSCVVEGKATCVMCAYTTINGVPACANSDLITKTFKGEWGLNGYVSSDCDAVALMRDAQRYSPTPEDTVAAALKAGLDLNCGNYTQVHGMAALQRGKMTEQDVDKALKNLFAVRMRLGHFDGDPRNNALYGSLGAKDVCSRAHKDLALEAAQNGIVLLKNDANILPLDRLAVESVAVIGPNADDPAALNGNYFGPPCETTTPRQGLQRYVKDIRFHAGCSSAACDFAATDQAVRIAGSSDYVILFMGLSQKQEQEGLDRTSLLLPGKQQSLITAIASAAKRPVILVILSGGPVDVTFAKSNPKIGAILWAGYPGQAGGLAIANVLFGDHNPSGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYKGKTVYKFGYGLSYSHFSRRLVVSGTSKQTPITNLLSGLTVKPTAKGGASYDVEELGVDGCEQLKFPAMVEVQNHGPLDGKHSVLMFLRWPNVTGGRPVSQLVGFRSQHLKAGEKASLRFDVSPCEHFSTAGEDGRKVIDTESHFLMVDKDESEISL >cds.KYUSt_chr4.15714 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97130661:97131273:-1 gene:KYUSg_chr4.15714 transcript:KYUSt_chr4.15714 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYRCFVGGLAWATNDQSLEQAFSQFGEITDCKIINDRETGRSRGFGFVTFSSSESMKNAIEGMNGQDLDGRNITVNEAQSRSGGGGGGGGYRGGGSGGGGYGQRREGGGGGYGGGGGGYGQGREGGYGGGGGGYSRGGGGGDSGGNWRN >cds.KYUSt_chr2.26665 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163272584:163275585:-1 gene:KYUSg_chr2.26665 transcript:KYUSt_chr2.26665 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGIHHPASPPSTHCSASPTAEAPSISLFPFSSDSLAQTEKRQMRKPSPLPLQLDTAIYWMEESHDGLEIYSYPEDVSCAAHCFIMDPNMKQLQEALADIETDAEQLLLARHQLVENDRTRNANREALTALRKRARTTKTSVPSPFEIIMKEMEGTSSKQLVKEICPTCGNHNPKEHTWLTFSGSDIFARVPFHVAHTVLDKDQERLDYDTKKLQSFVKEKSFVISEKGALADKISPGIVKSLVSLTDKPR >cds.KYUSt_chr4.39431 pep primary_assembly:MPB_Lper_Kyuss_1697:4:243305537:243306901:-1 gene:KYUSg_chr4.39431 transcript:KYUSt_chr4.39431 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTRGKCIGKGAFGTVHLAVDRATGRTFAVKSVDAKGGAPVAAMACLESEIRILKRLSSPCVVAYLGDDATAASRNLHMELVQGGTAADAAAATGGLSEREARRVLRRVAAALRYLHDVAGVVHGDVKGRNVLLGGDGGAKLADFGAARLMSEPAPRGPRGTPAWMAPEVARGGASTPASDVWSLGCTAVELLAGKRPWSEIGGAREVGELLLRVGFGGRQPELPARLSDPCRDFLDRCLRRDAGERWTCEQLLRHPFLAADDDAGETYPSPRAVLDWAASDSDSDASFDCSEADIEEEHEVMARAKVRIAELAPDMLHTSRAHELDEWPTWASDTWAPLPGHEMSTNVPSGPSPSDAATVDDAGNGGSAGDGAVVTGAFCCVNRRACCDDRCRNHRSWSGVDRPGSPPFAVVSALVSCILSHLVQSKILFELSVSNFFLTTSGSCFFRSFDS >cds.KYUSt_chr5.19238 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124701282:124703444:-1 gene:KYUSg_chr5.19238 transcript:KYUSt_chr5.19238 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVDFEMAEEELRETSRQRPGHGRGRRRFTRQWLCWRHGSLEGIKEQCLPEVEDKIMGKERRNGAVALVVVALLSLVVPSVRSAVDYGVSAARSYNSGWLPAKATWYGQPNGAGADDNGGACGFKNVNRYPLSAMISCGNEPLFQGGAGCGTCYQIRCTRTNNPACSGQTKTVVITDMNYYPVAKYHFDLSGTAFGALAMPGRNDQLRHAGIIDMQFRRVPCNFAGMKLGFYVLRGANPNYLPVLVQYANRDGTVVKMDLMRSRNGRPTGRWEPMYRSWGSVWRCDSRDALLGPLSLRVTSESGKTLVANNVIPNGWKGDTSYSSNIQFS >cds.KYUSt_chr4.37292 pep primary_assembly:MPB_Lper_Kyuss_1697:4:229610688:229611534:-1 gene:KYUSg_chr4.37292 transcript:KYUSt_chr4.37292 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLTAAAAAASSPPPQIRIQIVKPSPLLAPWRRQFGPSKIRTANFRRHLAAASASTPPTPGGGLYSAATYELTADNVDQVLDDVRPYLVADGGDVAVVSVEDGVVSLRLEGACSSCPSSTTTMNMGIERVLKEKFGDAIKDIRQVFDGDQPPAETTPEAVNRHLDILRPAIANYGGSVEVLAVDGEDCMVKYDGPESIGSGVKAAIKEKFPDITNVVFTQ >cds.KYUSt_contig_915.138 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:829426:834873:1 gene:KYUSg_contig_915.138 transcript:KYUSt_contig_915.138 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPATEPRRSTRRPRTKPAYADAEIVERGGKRRRRARGKGKPKQPAMVVEAGGAIDHDDDDICASEPDEEQLRLAEEEEEEAAALEAEEQANAQKLGARKRRAAVRPSATQEQEQEDASESEDHFVGDPMPDDEAWRRWPERYKSEDSDDLEVTQRSDGEQENSALCHYTSARVDNMDFDLGDDVYIRVITEKLLKVGDHEHDDKRVFLSEQKDDNKIESIISKVNITYVGPNVAPQDKAQLIAQSDLYYDMSYSEAYSTFSNMPAGSETTSDISCDDDFSSKEKVIADFAAPPDAQTGTATLLDLYSGCGAMSTGLCMGATLFGLKFNRKWAVDMNPHACSSLRHNHPRTEVRNEKAENYLSLLQEWEALCKAYDVYNSNFLPRTLNDDEVDVNVPLSEGTFEVEKFVDICYGDPNSTGKDGLCFKVRWKTYDSSHDSWEPLDGLRDSPECIKEFVESGYRESILPLPQCLVACDETEAKNVEEALVLRDALSDLPKVGNDQPNDVMPYNSRPITEFQRYIRLNRKAMMDDSLGDATPKKVQLFDHQPLQLNKDDYERGANFRDLKGVRVGKNKKVEFDPDIPRVYLSSGKPLVPDYAMTFMKGKSFKPFGRLWLDETVSTVVTRAEPHNQAILHPNQDRVLTVRENARLQGFPDYYKLDGPIKQKYIQVGNAVAIPVARALGYSLAQAYQQREFDGDQRPLFKLPGNFIPVAARLPRGNSGDPAVVEEE >cds.KYUSt_chr5.18770 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121331759:121348300:1 gene:KYUSg_chr5.18770 transcript:KYUSt_chr5.18770 gene_biotype:protein_coding transcript_biotype:protein_coding MMVADLSFSASISGVRLPRYGNDSSLVNKRSSMFRAEARSTESEKYGTNGRSRKMVPTNELVRNKGGARARTDTVNGSLNGAVNGSAKAVINGSTNGAVNGSTNGAINGFTNGAVNGLNKSAINGSGTSLVNGSKMSALVKTQKQMTARDDPFEEELKVLPSDEGFSWAKANYNSVQRSIDIWSFVLSLRIRILFDNAKWAYAGGFSEENQKIRRRNTAAWLREQVLQLGPTFIKLGQLSSTRSDLFPKEFVDELAKLQDRVPAFSPEKARAFIEKEMGCSVDIAFKEFEDRPIAAASLGQVHRAVLHNGERVAVKVQRPGLKKLFDIDLRNLKLVAEYFQRSEKFGGPSRDWIGIYDECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPNIMWDYTTEKVLTLEYAPGIKINNLAVLDSRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDKDGSLIYYDFGMMGEIKSFTRDRLLGLFYAVYEKDANKVIKALIDLEALQPTGDLSPVRRSVQFFLDNLLSQSPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKTRRRGGPELVQEIRKQANDARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKANILQMATMYTAMGGTLLNIGVTMGSQGNQMVANGSFVGAGVFLALLIASLRRVKKLDKFETMMVSYYKKKDIHESLKGDIHQEKEKMIKMGMMFTRKGRMPYIMLEMLKGFKEARVDSVSTHEKLTDFKYLNNISKVMKGELMKLKLSHEKLQPSHAKILASPSAGFSNIIDEKELGRSWGGGDEAMGEFSKASCPSVKNILLLDSEGKRVAVKYFSDDWPSNASRLTFEKSVFTKTLKTNARSEAEITLLDGYIIVYKFVHDLHFFVTAGDDENELIISNVLQGFADSVGLLLRGDVEKRTALENLDLILLCIDEIIDGGIILETDANTIAGKVATNAADGSVPFSEQTISQALATAREHFARSLLK >cds.KYUSt_chr2.27525 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169044345:169045044:-1 gene:KYUSg_chr2.27525 transcript:KYUSt_chr2.27525 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYRAVVDYRKGRQLQLGSCRLQLYTADRSPSPSRALLLCDSVASPASVAAALMSPLLRSSASRHHCTEHPM >cds.KYUSt_chr2.29430 pep primary_assembly:MPB_Lper_Kyuss_1697:2:181028366:181030414:1 gene:KYUSg_chr2.29430 transcript:KYUSt_chr2.29430 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASQPSTSHVSPPWTRASRRLSSHGGRGSGGDLMSEQAVLAAVGAALLLFAACCCCCCCGCCRSKKKAKQQPYHDAMRFYAETSGFKGDSTATYYTSGVKPAQGRQQWQNQVAASSSANWRVVPAPPPPDMDSTVFSTHRPPVMPPPPPPVPVGIEKNAFSYEELAAATGGFSEANLLGQGGFGYVHRGVLPGGKEVAVKQLKAGSGQGEREFQAEVDMISRVHHRHLVSLVGYCIAGARRLLVYDFVPNQTLEHHLHGKGLPAMEWTTRLRIAVGSAKGVAYLHEDCNPRIIHRDIKSANILLDSNFEAMVADFGLAKLSHGNDTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLVELLTGRRPADRASYGAEDCLVDWARPALSSALADGDYDGLVDERLDGDYDQMEAARVVACAAACIRHTARRRPKMSQVVKALQGEVPLETLNDAARGATLSSVSSMPGPEYGQSGSSSYTVQMERIRKVALPSPEYSAEYAGPISGFGHPSPSPGSSVDSNVSPAGEHVPVTNQRHRGYRQV >cds.KYUSt_chr1.40455 pep primary_assembly:MPB_Lper_Kyuss_1697:1:247877893:247890103:1 gene:KYUSg_chr1.40455 transcript:KYUSt_chr1.40455 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLPPASLSRRCRGFHVGAGISGVAPHYIPPPSTFNVLLDSYWTNVDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYETASKLLKLTEIACDKTAEIFSNIGDDDPIALDYNGLNFDDCHISEVIKFLQKLAKSPNASAINLAFTQHIANALIKAREEKLEREASIPRKLEDGWEPIIKMKVKDFDCSALCDLGASSTLAPESLVLRRTTSRRHQPSTCFLTPTASIKPWFLTEGNLPLCASHLPLGVPNGRVNYTHQASRFIFAGAVATAATHQDELAQNAPPPSPLPPRETPSPPKPQPKQPAADPPTPTYTRDIVRRVTNILRDHPWSAARPLLLSLPGLTWDSHTVARVLKTHPPLQKAFLFFRLAASPAADPKAAFRHDRYTYTSMLHLLGEAGRVPAMLRLLAEMLRAGVDPDAATILAPRWAARLPPYPGDPPTPAAPTTITLPLDPTNAPSIGTLLARVGVGWLQVLVPLVCFMSLNNCINSGINKVYVLTQFNSASLNRHLSRAYSFGNGVGFGDGFVEWKELEHDCVMLIFTELFKFDTNPGSLWGEINAIMALHFGHEGWDQGGESNLVTTVEILIKKNLKEWEECLPHVEFAYNRAVHSTTELCPFEVVYGFKPITPLDLLPLPIHERVNMEASKRADFVRKIHVKTKELIEKKGKSNAARMNKKRKEMLFKPGDMVWVHFCKDRFPKLRKSKLKPRGAGPYKVLAKISDNAYSIDLPVDEFGVSNSFNVADLTPYDGEDLGASRYQEETSIARGVEEQLDMKTDVKMGVKLDMELDMKISHGRSREEREACARGEVEV >cds.KYUSt_chr7.26724 pep primary_assembly:MPB_Lper_Kyuss_1697:7:166826781:166832613:-1 gene:KYUSg_chr7.26724 transcript:KYUSt_chr7.26724 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVADASRRATAGASNSPPPSAAGGSGDRKRGRSSPVLPPPPPGAPPPGAQNKRQRPEGGGFDRRRLGGGGQDDRRFGNDHGGPGRHSNRGPDWHDSGRGGWNEGSGNSRREGLMSYKQFMQELEDDVSPVEAQRRYEEYKSEYITTQKKAYFALHKNDDWLRNKYHPTNLESVMERRNELARATANEFFQDLQSGSLDVGPGLTSSAAHKSGNNDVNANGKKGKFGKGPDDLYYSAPKAHPVSSEPRRISIDIDQAQALICKLDSEKGVENNVLSSSDDDKADRGSHGSMGPIVIIRGASTVKGLEGVELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADSRTYDGASSNTAEWEEKLDSFWQDRIQGQDPLEILKAKEKIDVAASEVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHASEFVTKHLKLKHTDFVVELTSKVREDIYFENYMNDPKAPGGTPIMQQSAPREKGRHRPPIESRLRDERGQRFDKNVDSSNHDGSSENPDDPMYDSYGDPAVNGDFPADAPPMLVPVPGAGPLGPFIPAPPEVAMRMLREQGGPPPFEPAAGPRPRKAGRGGGPPMGGPSPMLNAPFPPMHDPRMQDPRKIRSYQDLDAPGDEVTVLDYRSL >cds.KYUSt_chr3.43185 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272973657:272976890:1 gene:KYUSg_chr3.43185 transcript:KYUSt_chr3.43185 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFGPGLEAGDGKKPVPADATKDQQRKDGSATRGGGSDKLRPQGGLDSKKDHLTIPRDGNSQNIAAHIFTFRELAAATKNFKQDSLLGEGGFGRVYKGRLDNGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDVPPEKEALDWNTRMKIAAGAAKGLEHLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQATTRPHIGDVVTALSYLASQTYDPNTPNRSNSSTPRARNMGGRNGDQRSTRSPNHHSPDLRKEAARASKYGGEVSRTSSTGGSGRRSGLDEMDMAGSPLGSPAQTGRKRESRRSADRQRAIADAKMWGENSRERKPPNGHGSFDSTNE >cds.KYUSt_chr1.17450 pep primary_assembly:MPB_Lper_Kyuss_1697:1:101389732:101394957:-1 gene:KYUSg_chr1.17450 transcript:KYUSt_chr1.17450 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGEDASGSGSGPGSTATKRRRRAGVPPPALPPAVPTTGTSSDRSKPRRKRSKKPRRGDEQDERDAKRRNLGEEEEEEEEEVVSSAPSSPICEPYIPDDEEYSVELYEAFDDAEKYFKEKIGRQMQRSTLQNFMPATCLASDPKLVAVRERGTKAVVSAAKLLVGLSSSIDGKPLLRCSGFWVDWDKENKTGIVLTTAQLIRLDPVEYNVWLGKDEYDRNAKVIVHLLDETPAEGHLIYYQKHYDIALFRVRVDADVQLPLLNVKVQQAQEVFHLGRDGNLDLRVTHGRVEYLNPDVFQRYHFMFFSRSDVNEYDNGGVVIDLKGKVVGMVNISAYGSFIPSSILIKCLDLWKKLGRIPRPHLGFKFFAIKLLDPLYIDKIVREHKIDDGLIVNEVANGSNAERLGIQRGDIISCFNGKKISTTVQVISTSFSIASGISTSFSIASGISTSFSIASGISTSFSIASQFPCKFYMQLVFERLGISYCSFHYGAKEDRCFGGT >cds.KYUSt_chr5.32382 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205495210:205496331:-1 gene:KYUSg_chr5.32382 transcript:KYUSt_chr5.32382 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDQAGVKKGPWTPEEDLVLVSYIQDHGPGNWRAVPTTTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIATYLPERTDNDIKNYWNTHLKRKLKKVAAAGEGGPDAAAAAPKGQWERRLQTDIHTARQALRDALSLDTSTPTGPQPLAALAAPPLKATYASSADNIARLLEGWMRPGDGGCKASSSGSPSAGSVSGDGASASHCGMAAATPDGSTVTSRTEDGRAPAAAPALSVLDWNWLLDDGMGHGGVGLVDVPLRDPNQLF >cds.KYUSt_chr2.2123 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12874777:12881771:-1 gene:KYUSg_chr2.2123 transcript:KYUSt_chr2.2123 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHVAGSGTTARRSSLPDWVLLHKSARICGHRNATTAECHTTEGEPIQVSFWLVDPPGVSYFSVHCPGLDSKDFADEPHILCADASLVLFSVDFLPVPGRTFRDPGRRCTQRFVYRAGPGKPSLHLIPDPDIVRPNGVSALLHCGDAGTEHYAVVFLHRRSILGDKARYYDLHVFSSVTRAWSSKGTLPGLSEDDQKLLAKASHATDKVIKIGASSLGYVDLSWGILLACDVFSEYPVIKYIPLPASRVCNMDKDGDPYIAPEYCCDVSSCNNLIKFVEVEFDHRDRRSLGNQGWKATTWSRDISWDDWRVRSSVNVANISVDPRYSDLLPQLWNNKTKELELKKLVFYTPTLSKNDDDFLYVMSKLNSGGDKAWVISVDMKHAAVEAIAPYSTKGRPLAVWHCPCAFPKYIEPTPEDGVTQNFKRISVSDCVLQVMLTQDWFMELDRRLEFEDEAYDECRSLLHAWPVSSLLLDIQEVIKYASDYDEGETTSKAADVCSRALEDFAVLLKSPSDPLSCTDALRSRISMVLGALDSFLCTVPPTVRVLADACHQERRRAKSEVRQKPGQLKDEKLQGFPANLSHGKKPVHTKDTTYDWLYGKTNQTNSRAAVAWEAGKPLVIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELVPGDHVLPVFTGECKECAHCKSEESNLCDLLRINVDRGVMIGDGQSRFTINGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGLGATLNVAKPKKGSTVAIFGLGAVGLAAMEGAKMAGASRIIGVDLNPAKYEQAKKFGCTDFVNPKDHTKPVQEVLVEMTNGGVDSAVECTGNINAMISAFECVSDGWGVAVLVGVPHKDAVFKTQPMNFLSEKTLKGTFFGNYKPRTDLPEVVEMYMRKELELEKFITHSVPFSQINTAFDLMLKGEGLRCVMRMEE >cds.KYUSt_chr5.4298 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27511678:27515106:-1 gene:KYUSg_chr5.4298 transcript:KYUSt_chr5.4298 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRAIGLISGINEFVNFFQWTASAISSLRSRFSATQEKNIQDEVSHLQRSLQRLRNTLPAMYGLIDRAEWRSHTNCVAELLPILKDAVYDADDILDEFRWHELNVAGQGNATHSAFADFYKTTIQGSFNEVNDIQERLDDISNDFDVKRLTNDVIQSSSGKQANVDHLDSLQRALSENLSNKRFLIVVDDVWDDALKENGQRWEKFCAPFRNVVHGSMMLVTTRSPEVADGVRTMEPFLLDGLTDGVLWDFFELCAFGAKASNSSPDLERIGRSILPKLKGSPLAAITLGRLLRMNLDTSHWKNILESELWELKQNETDILPALRLSYMYLPFHLKRCFSFCAVYPKDHKFEKDRLAEIWVAEGFVEPQGGTPLIDIASQYFQDLFNRSFFQKVAGSHVMHDLLHDMAQLVSVHDCFIIKSVGDFDNVPQNIRHLYVLPNKDFDISHLLSLCKHTMLRTILCKFYVKKDSVDFVIDRWFGELKRMRVLLCASINKLPDSVGNLKHLRYLEITRTCPLSSIPSEFCCLHNLQRVYAEKCNINSFPNDFNKLIHLQRFESIGIQYPMSHLDLDAAYGRGPGIRVIKNMNQLRDLRIYNLGAISKEEAAEAKLKNKKYLYRLQLDWSSSRTSIPNHSGTEVFEVLQPSTSLVDLLISGYPGVSLPSWFQPQNFPRLARLSFENCDRLKNSARTFSRVSQNINVNETIESNDAIVGTLSSLRDLTISGCGNIVSLHDFLDPASVSTIRKIKIDGCASLVSVATGSACLEELEVVDCPNMCSQHFVEPYLRHLKVFNSGNLAHNIDCCSLTDFQFSSNCDTITLSVPALGVLRILNCTHLRSIRTSGIGAFQSLVYITIDGCVELPTLDGFLTADLPAVEEITISRCPELLSLPSEFRSFHSLEKFKVHWCPRVNWQRGLVLPASLQELSFCDCGDISIVFPSCLHNLASLVSLHIHDCQSIRSIPGYVWQSNLQSLKRLTIISCPNLESIGGEEAVAKIEHLDVIFCLKMGNIPRIAPSQLES >cds.KYUSt_chr2.876 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5203442:5211259:-1 gene:KYUSg_chr2.876 transcript:KYUSt_chr2.876 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRYLGPPVMSALVGLLGVMAFVMFVNRQNNRYQFSLMKERDQRVKATSEMLSYMRVIKFQAWEEHFHARITGFRNLEFGWLSRFLYSSSYTMIILWSAPVLISTIVFGTCVLVGVRLDAGLVFTATSFLKILEEPMRNFPQSLIQVSEAMISLQRLDLYLTSAELDDDAVERELCDGRVVVLVRDGVFAWDDEEVLRGINLEIQGGALAAVVGMVGSGKSSLLGCILGEMTKVTGKVKVCGSTAYVAQTAWIQSGTIEENIRFGQPMHRERYREAIRVCCLDKDLEMMEFGDQTEIGERGINLSGGQQQRIQLARAVYQDCDVYLLDDVFSAVDAHTGSEIFKLMKDGMIVQSGKYNELLKAGTNFTALVAAHDSSMELVETATLEAQDDLQLPISHQPSSKTNRSSNGNVGASITVVVKSENGSARLTKDEERASSHVSFDVYKQYMTKTWGWWGVAAVIFMSVVWEGSVVASDYWLSYETSGVFQASMLIQVYAIITAVSVVLVSMWFFLVVFISLQTANRFFKQILHSILHAPMSFFDTTPSGRILTRASSDQMIVDLMLPFLMWMAVSMYISMISVVIVTCQVAWPSVIAIIPLVLLNLWYRGYYLSTSRELTRLESITKAPVINHFSETVQGVITIRSFRKGDSFFQENLNRLNSSLKMDFHNNGANEWFGFRLELLGSFVLCFTALLMVTLPRSFVKQEFVGLSLSYGLSLNSIFFYGIWVSCIIENKMVAVERIKQFISIPPETEWTIKECLPVANWPSKGDINIIDLKVRYRHNTPLVLKGITISIHGGEKIGVVGRTGSGKSTLIQAFFRMVEPCEGKIIIDGIDICTLGLHDLRSRFSIIPQEPVLFEGTIRSNIDPLEKYSDEEIWQALDRCQLKEAVVSKPEKLEASVVSNGENWSVGQRQLLCLGRVMLKRSRVLFMDEASASVDSQTDAVIQRIIREDFVACTVVTIAHRIPTVMDCDRVLVIDDGLSKEFDQPINLIKRPSLFGALVQEYANRSSDAS >cds.KYUSt_scaffold_1854.162 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:897942:898331:1 gene:KYUSg_scaffold_1854.162 transcript:KYUSt_scaffold_1854.162 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKAQQNPSTPFSRSAVSLSRFVPEEPKPLSPPTPPHPLPVPLQRRRLSQGWSRTGTKLLCPVAGASSEWLMVPLMDECGKTRKEEIDIDAMAAASDSPILDVILSNGAKASMPGKNTNPCAPPPTHP >cds.KYUSt_chr4.27444 pep primary_assembly:MPB_Lper_Kyuss_1697:4:172408784:172410226:-1 gene:KYUSg_chr4.27444 transcript:KYUSt_chr4.27444 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGGAIELPPGFRFHPTDDELVNYYLCRKCAGLPIAAPVITEVDLYKFEPWKLPEKAAAGGQDSKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGSPRAVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKKTNNALRLDDWVLCRIYNKKGVIERYDTVDDEPKPAAAAPPSTKNPRAAHYPVVPAMKIELSDYGFYQHPSPPATEMLCFDRSGSADRDSDPHSLPLLHTDSSSSDRAQHSPSPDFPSDMDYAESPHPAAACGAGGGWPGDDWGCADDGFVIDESLIFDQLSPAGFGFDRDAGAFGDMLAFLQ >cds.KYUSt_chr7.30896 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192397644:192399047:1 gene:KYUSg_chr7.30896 transcript:KYUSt_chr7.30896 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDPSNLSLEHYAKTCPIVEHVVRTEMECAVRDEPRNAALMLRLHFHDCFVQGCDGSVLLDDTATLIGEKQAEQNVNSLKGFELVDKIKEKLEAECPGTVSCADLLAIAARDATVLVGGPYWDVPVGRLDSKEASLDLANKDIPTPQQGLITLISKFWEKGLDATDMVALVGSHTIGFARCANFRERIYGDFEMTSKSNPASATYLSKLKEICPLDGGDDNISAMDSYTSSTFDNAYFETLIKGEGLLNSDQEMWSSIAGYSTADTVNKYWADPALFFKQFSDSMVKMGNITNPAGGEVRKSCRFVNT >cds.KYUSt_chr3.2941 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16917648:16918100:1 gene:KYUSg_chr3.2941 transcript:KYUSt_chr3.2941 gene_biotype:protein_coding transcript_biotype:protein_coding METNLPGMDASTVERRPECLEELRRQRTTRVKELRWRPARLEERAGPQYSVARETTPRQPGDNPELLFPAHKKGRRGVSSSGGSAPRSERFRRRRFLLSGAAAGDDDVVARLFGLGTARWLGAPPAWPRSGPFGPHLGPGGPAPCPVVLL >cds.KYUSt_chr1.31596 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191566997:191571580:1 gene:KYUSg_chr1.31596 transcript:KYUSt_chr1.31596 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALPRSLPVLFLLLLATPVLLAASARDEDLDYIIDNAGDIPANDSEAWLHDGSSSSSDDEDEDPFDQDSEDEDYGAEIDETHVVLLAAANFSSFLAARRHAMVQFYAPWCAHCRALAPDYAAAAASLAAQQADVALAKVDATEDAELTEQYGVQGFPTLLFFVDGVHNEYTGDRTKDAILAWITKKLGPEVQNLTTADEAERVLTGEETAVLAFLHSLSGAHSDELAAASRLEDTVNFYQTTTPDVAKLFHIDPKAERPSLVLLKKEEEKLTVYDGEFRASAIAEFVSANKLPLITTLTQENAAAVFDSPIKKQILLFSVANEASKFLPIFKEAAKPFTGKLLFVFVERDNEEVGEPVANYFGITGQETTILAYTGNEDAKKFFLSGEMLLDNIKEFAQDFLEDKLTPFYKSDPVPESNDEDVKIVVGKNLDQVVLDESKDVLLEIYAPWCGHCQTLEPTYNKLAKHLHGIDSLVIAKMDGTNNEHPRAKPDGFPTILFYPAGRKGFEPITFEGDRTVVEMYKFIKKHASIPFKLKHPDSSAARTESSENSGSNLKDEL >cds.KYUSt_chr5.8861 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56178600:56181536:-1 gene:KYUSg_chr5.8861 transcript:KYUSt_chr5.8861 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPAGAAAVPRVTLSTGHAMPVLALGTGSSRTPPDLADTILHAVRLGYRHIDTASFYRTEPAVGAAVAAAVRAGAVAARADLFVTSKLWISDARPGRVVPALRESLARLGLDYLDLFLVHWPVATDGGTQVGFDMEGVWRGMEECHRLGLARSVGVSNFSAAKMDRLMSLAAVPPAVNQVELNVGWRQEKVREACARHGVVVSAYSPLGALGTAWGSDAVMESGVLHQVAAAKGKTVAQVALRWVYEQGVCFVARSYNRERLEQNMAIFSGWELSEEEKELIATIPQKRASQGEYFVSPDGPYKSVSELWDDEI >cds.KYUSt_chr3.46804 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294121252:294122391:1 gene:KYUSg_chr3.46804 transcript:KYUSt_chr3.46804 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYSSCSVAARGALSLRHLTVAAVQVPALLCLEAMLWAVAFLTFPLRVLAAADRERKLDRLLGEMQGQMERVVRENGDLEQKLRAALKEQAAMEEILDEMEEEQEDAFARIDLLESQVRALKKENTRLNEHKGKSMWDKAAAVAGQAVVTGGGKAEDDNRAAKAWDIAGEDEKEVAVVLSGTSPPSILDLEAVEREARRMEVAARRRSLFSVGMSLAVGGIAWSADAPCLPLLAGLLTVVGMSMCSVARLFRARRPLASATSGSGAVALLSLNWFLLGVLTYPMLPGVARVVVPRAARLAGPAIAWFAVAAPV >cds.KYUSt_chr2.55647 pep primary_assembly:MPB_Lper_Kyuss_1697:2:347113450:347117059:-1 gene:KYUSg_chr2.55647 transcript:KYUSt_chr2.55647 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRSLVSNRSSHESGPTKKTEQRTHPIRPKRSGDRLQDAIKDKAQTLKQLETTLALLHVQLRPANPAERASERPNTERKTRELLFSTERGEGGKEGGPFNVTPCLTLLLDHITFVDTPGVLSGKKQRTQRSYDFTGVTSWFAAKCDLILLLFNPHKLDISDEFKPAIGSLRGHDDKIRIVLNKALMPELMRVYGALLWSLGKVLNTPEINEIVKRARSAKVHAHIISHLKKEMPALMGKAKAQQKLLETLDEQFAKVQKEMHLPAGDIPSVDERLQLRPLIIYGGGGMVVFVFVVDGVVMLMTGVTDGVLVVFSFVAGDMMMLLTGVNSAFMVVFVFMFADVVMHMTGVTGGVMVVFGDIVGNMVMLVTCVNDGVMVVFVFVFGDVVMPAAGVTGNVMVVMVMICDRH >cds.KYUSt_chr2.16115 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101413591:101414616:1 gene:KYUSg_chr2.16115 transcript:KYUSt_chr2.16115 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKKNKVDAVEGDAATAAGHDRLSALPDDLLHKVMSFLRAWEVARTCVLSRRWRNLWASAPCVDLRVCCKHRHRQLPLRLTRFANHFLLLREVSAPLHTLRLLSTADLNGTPTLPYSPKYDEDGEDYCSTDVEMWIRAAINRGARFIQLSQHPRQDDLSDLESVPLISRHLKHLHLSGTMLYDRTLRQLCSQCPSLEVLELKGCHLDAPQISSASLISLTMVDCRIRTHLSITAPNLVSLCCVNPYHHAPSFENMGSLATATITLKDSFLHDKFEDRYIEPDPEVFECDSGSDPESDDDSDADSNLSEEFYGDEVLGGQNVIRSLSNATSLELIADVGEV >cds.KYUSt_chr3.44979 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283356799:283358195:1 gene:KYUSg_chr3.44979 transcript:KYUSt_chr3.44979 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCKQARRRPKGAADAPKNRERAPLPGYMRPTSSSGARAGRESTTAPSAAPLPERDSAAPGARMPSAARATCSSALKSALARGGSPGCGRVCRYAYCSLKGHAHAPAAPPLGTFVAARRRLIKTEQRMKHRGVSAFRNTKTNNAAGLGGHGFFVQVYPNSNASAKAASSGSSCSGLSAEEMEAAVAFGRREAQGKWGGPDGSVDGSCGSSDVISDGFAETAATVTARSRRPKDEVDGDGDAWGRQDQEEAEDSGGCCRSDISEELGARYQGNTSSKDHGSGVTSVESSMDDISSAFGGMCFEDAGSDPTDGQRNKLTMSRRGTAPRDEERIRPFNPRAPNFLQVEPDADAEKVDLRHQETDDRKNAEEWMVDYALRRTVKKLARAQKRKVEMLVQAFEAVLPPVPGENNAMQQEGDKKGFTLTRTWQALS >cds.KYUSt_chr5.11601 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75247544:75248158:1 gene:KYUSg_chr5.11601 transcript:KYUSt_chr5.11601 gene_biotype:protein_coding transcript_biotype:protein_coding MALETCLRAWALHAPQAGSRERLSSSSYAPSRSRTAAPAVVTPSPSPSALVAPRRPSRFVCQCKNAVDEVIVADEKNWDNLVIASESPVLVEFWAPWCGPCRMIAPVIDELAKDYVGKIKCCKVNTDDCPNIASTYGIRSIPTVLMFKDGEKKESVIGAVPKTSLCTIIDKYIGS >cds.KYUSt_chr1.41396 pep primary_assembly:MPB_Lper_Kyuss_1697:1:253861557:253871076:-1 gene:KYUSg_chr1.41396 transcript:KYUSt_chr1.41396 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGLFPVLIAWVYSEILEYRRSSSHGKVHSDVTLENETSKEDEKAILIEGGQLKSPSAKFRNVSAKANLIRFITMDESFLLENRAVLRAMAEVGIVLVYYYICDRTNIFAESKKNYNRDLFLFLYILLIIASALTSLKKHQEKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFGASEIYNAIRVFIACYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVTFCCIVLDNDFMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSIMAIKIACCFLSVILIWEVPGVFEILWAPFTFLLGYKDPEPSKSHLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEEAETKVRLSIKGTIVTVSVLAGYLWYEYIYKLDKITYNKYHPYTSWIPITVYICLRNCTQQLRGASLALFAWLGKVTLETYISQIHIWLRNCISIAILEYVQLFGKETISLYSVGLNGIVPLNTESLVYYFAKYYSSTVSSNYSGAFHADARLNADEGGGTGLVDLLPPAHALLPAALRRLEHPPGRAARGRHLDECKASVAGSLAPPDLPPVDRPAAMEAPLLLPLSTAASCSSSSGITVDDDTTTTVLSPTPTRSSPSGRSILARYLAVLLVASVSLFAHREASKGFRIDVVGAGTQGSGVAARRFDLLFVSNGRAERVLHRASRAVEETLFPDPSFPRRRVTRVTVRMMDGGNLTAADATVDANAGGEYVISLSPRLLSGASGTGKPVDAVAAAVRRAVARMWLWDARGAAPARVTESMVEYLASASAADVEALSSSKEADGTSNTQCISPRFLKHLERRRAGFVARLNRAMRDRWSDAAVDAALGAPARPVCAAYLAASVQPPVVGSTSAADGSTVAAV >cds.KYUSt_chr4.3033 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17402813:17407268:1 gene:KYUSg_chr4.3033 transcript:KYUSt_chr4.3033 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLRISRRLLLSCRPAGAVRALAPVPPQAVRNTRYYSRFPGLERLNILGSIRTSLSRHVHRSPLPPLHRRHCTSDRGSFDRWYHKPSKVAAVAALASGAAVAGVCSRYDREIVPYTNRTHLVAMSPQSEIKLWEPQDIMDKKVWASESLMVDPLHPDSIRVRLIADKVLRATYRTLNVMDVKTRVAQPQTSHLDGLKWEVILIKDDDANTECTPTGKIIVSTGLLDHFKTDAEIAFSIAHEVGHIVARHQADIAYTKWFPMLLFVPCFRKREMEADHIGMLLLGAAGFHPNNALKYLWKQAKIDGPSTVFDNSISAYPSYKKRMECLSQPEVMQKAMKLYRESTPDQGTVTQASSTPSSPRLGSPIRFGSYEFTPHSDSSRSTFSGLQGNMEMAFGSVHYHVNSEGIPRLLESPISRSTSPSASSSLDLSAGLTSPSSSPAPSTPRSASSMSVGSDDPASSELTSYYCMNYDTRHGLGSSDTPFICNAHYSSGEDSIDSITQGDTRRVAPL >cds.KYUSt_chr5.2208 pep primary_assembly:MPB_Lper_Kyuss_1697:5:15054430:15055659:-1 gene:KYUSg_chr5.2208 transcript:KYUSt_chr5.2208 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHLYHTMAPRKRQRSSACSPPPASRTIPTDLVLEIATRSDARTIVRFAAACRTLRRRILAATFIQRLAAAGTLPSCMLARLHTRRQMRRRRNPLVSLVHPATSAASSFVGKHLAPFVSRRAADLLRRYDPIASRGGLVLLCRRRHLQRCKTSGSDLCVYDPMSGTRTSLSEPPDISMDGGHKYSVRSYVLLTSADGIDASSSFLLLIADMSIFTYTMRLRVQIATSSDVSWGPVNEYTCSSGPFQNHGSAVVLPGRIIYWLGRNHNEIFSYDVRTGHLEAIKHPNMTGQRHLSISSDGKLRILVVQRFTISIWLQLSGGWALDAVIDTEEKMRSLDPSILSYGLGLNLECSGESRSNAVLIHTDGGTIVLDLETREMRKQEDLISTFPLLFEVDLPARLQAMKHFS >cds.KYUSt_chr1.514 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2739602:2739970:-1 gene:KYUSg_chr1.514 transcript:KYUSt_chr1.514 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLMENDPRRPSPKQPHAGASLGHGRRRLEEAALVWLSRRQPEDCARLGSRCPDGSKQGGSADTRRPLKNFLVDCTDEGVCFWRPNRALASPQRTGNKEDTEAQNATWLEQILLFSLPLRI >cds.KYUSt_contig_605.2103 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:8225706:8244639:1 gene:KYUSg_contig_605.2103 transcript:KYUSt_contig_605.2103 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAITMRTDGFSGEEPGDIIESELVPSSLAPIVPILRAANEIEEDNPRVAYLCQDEMARCYQIASVLYDVLKTVTPDKSEFDQYAKGVEKEKASFSHYNILPLNISGPRQPVMEIPEIKAAVDLLRRMENLPTPTPDMTTVPEETDGPIVRDLLDWLWQTFGFQKGNVENQKEHLILLLANIDMRESGTVDQGGRQNHVIHSNTVKHLMNKVFQNYISWCRYLHLESNIKIPTDASTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMARDLYAIISDRKEGPFDPPFRREGSDDAFLQLVIQPIYSVIHNEATMSKKGTVSHSKWRNYDDLNEYFWSKKCFKQLGWPMNPASDFFGDPTKTKDDIERHDRSISRRRMSKTNFVEIRTFLHLFRSFDRMWAFFILALQAMIIIAWSPSGSLSAIFDPTVFRNVMTIFITAAFLNFLQATLEIILNWKAWSSLVCSQMIRHVLKFFVSIGWLIILPVTYSSSIQNPTGLVKFFSNWINFQSQSIYNFAVALYMLPNIFSALFFIFLPIRRALERSNSRIVRFLLWWTQPKLYVARGMYEDTCSLLKYTTFWILLLICKLAFSYYVEISPLVGPTKIIMFLGRGNYVWHEFFPYLQHNLGVVFTIWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPKAFGKHLVPRHGSQSKRREREDKKLHIDKFSDIWNAFIISMRDEDLISNRERDLLIVPSSVGDTSVFQWPPFLLASKIPIALDMAKSVKKRDEELRKRIKQDPYTYYAVIECYETLLNILYSLTEETSDKKVVDRIRESLDDSIRRQSLVKEFRLDELPQLSAKFDKLLTLLLRTEQEEHDTIKTQIANLLQDIMEIITQDIMKNGQGILKDENRTNQLFANLNLDSIKDEAWREKCVRLQLLLTTKESAIYVPTNLEARRRITFFANSLFMKMPRAPQVRNMMSFSVLTPYFKEEVLFSNDDLHKKNEDGISILFYLRKIYPDEWNNCLERIKFKPKDEDSLKLRVDEIAPWASYRGQTLTRTVRGMMYYRRALEIQCIQDRIDIAQLDRQRTTASYQEGASIVDMALAIADIKFTYVVSCQVYGVQKMSKDVKDKACYLNILNLMITYPSLRIAYIDEVEAPTRNGTTEKTYYSVLVKGMGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFGSENYGKSKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVITVYVFLYGRLYLVMSGLEKSIFQDPRIQDNMKTLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFAENYRMYSRSHFVKGLELLILLVVYLAYGKSYRSSRLYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWTSEQEHLKKTSIRARLLEIILSLRFLIYQYGIVYHLNIARRSTSILVYGLSWLVMLTVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFACILGFLPTGWCILLIGQACSPVIRRTTLWESIRELGRAYDNIMGLVLFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGDIE >cds.KYUSt_chr7.20621 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127820189:127824924:-1 gene:KYUSg_chr7.20621 transcript:KYUSt_chr7.20621 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKSSGSRTRSGLVRRNNIIADPSEESCSKTRSGLVRVKNIVDPSEGSSSKARHELVMVNNGVDSSNASSSRTRSGLARVENKDEPVIKSLPGERCEADSPGKHGSNQSDDLVLIKNAPVIKGPDGCLGEVPVKDQLNYKADQVESKDEPVMKGPDGWWKEEVPRKNGSRHTTDLVQTKDELDSMVQLPDGWLREARPRKNGTTNKLDTYYIDPASGYEFRSLKDVQRYVESGDINKCSCRPKKRTIQDVCVTQNQAHCEILTSEGIMLPREELFGTYTGNAMLPEFEGIKPIQKYANKVDPLEHKSAWMVSAQRASGGKKSVKRKEPSAEVKPKKRKITSKEKIATPPRASSRIAALKVYPEGNAERGDEPTSVNLVNQVQPIQEETTYDSQFNQADTIIQVQTNQKSIANQLQSSQADTVIPMQINDEGSVNQLQSSRADALTKIQTKQDNTTIHVQFSQADTIIPTQTNHDDTVNQLHSCQADTAIRIQTNQESTANQLQASQADTANQMHAMQEYTTSYSQVSKADTMNRKKTNQGNTARQLQSSNEKILIPVQAGQEYVSNHSRWQQRHGHTVNPIQANQEHATNQLKSSQADSGMKIQATQYFANHSEPRQAGTALNHMQINQETIQLQLGQADTMKQMQTMQQNSTNQLIQALTVDKKRSTHEYFTNHPKRSQSDNVNHMQISQGNSAYQIKDGVRMNTSPINQGNSAYQKQSQRVNVNNMQISQGNSAYQLPLDRANSLNKIATQENTTSRSQLIQGLTVNQIQAIRENNTRYLQPRYAENPIRQSDFSQKPEWGHGAPVTNFWQNVENQKSSNPVKIEASPTATSSANFQRQYAPAQEPVLPTQAAMPEAADPSGFGLSLFGSSWSDPCIEFAFKTLTGDIPVLDDSPAVRDHFPLQHDLNKIAPPDYSAPSVDDTRNTQFDHAGRHSAQRPSDRFYNGGWFPPQ >cds.KYUSt_chr4.46576 pep primary_assembly:MPB_Lper_Kyuss_1697:4:287912158:287913700:-1 gene:KYUSg_chr4.46576 transcript:KYUSt_chr4.46576 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASTSAPATPTSTLICLEDGNDLFLDADDDDYSPPADGAADLRLAGDDHLLLLDPDDDEYVALMLSKEASAAAHAADAGGEEVGGWTKAARAVCVDWIAKTNARFRFCGKTAYVAVTYLDRFLAQRRVDRGQEWALQLLAVACLSLAAKVEEHRVPRLPEFRPDHYDFDSASILRMELLVLTTLNWHMIAATPFPYLGCFAARFRHDERKPIVLRAVNCIFASIKAMSAVEYQPSTIALASILVARGIGNKDKEGTLTFPELAEELKAILGSSWQQLHTGHVYSCYSVMIREEDGRSMSMRRQQSSGELPSSGGSAAHVGSSVTTSVAMGGASNNNAATSAAAAITDGNKRKRLHSPRRH >cds.KYUSt_chr2.39154 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242751508:242752671:-1 gene:KYUSg_chr2.39154 transcript:KYUSt_chr2.39154 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHHRRRILLEYDDDHGACDPWVGCPSPPSPPPHTFPPTPTPSPSPSDWSFLTTPPVPACSPAPSPDRQPAAGRRNQWATNTYPPTTVPAPVSDGHHRRFVTNVLTAAAALAFLSLILFGVSVAVRRRQVRRRRRQALLAPAPAATTNDPEGGGGGGVVHHVWYIRTVGLDEAAIESIAATRYRAGAPGLLGKADCSVCLGEFADGELLRLLPKCGHAFHVPCIDTWLRAHVNCPLCRSDVIDTADTPADGIGMESNINTPDDPVANANAAAEQVAVASDPTLEQEDEDDDQQALSVEEDQHEHQPSSPEPLPQPRNMRRAASMDAAIVSAAAEVAALDRLPEAPPEGEQSDREKDLSTETPAPAAGPPRFFFSRHCRARSSVLPL >cds.KYUSt_chr3.42110 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265962483:265964371:1 gene:KYUSg_chr3.42110 transcript:KYUSt_chr3.42110 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEGSSWRSKKASTSRRNRQSRRTTGEDGGLSMGQCTTTEHPALEEAATSEQVGFSLGSCTTTQHVALEEVATGEGVQMKGLATKRKSSGEREALNMFPAKETASTIPLPMPTAATGSRKLLERISVEPMAVDLFSEDAELRNRVKETLLTFPESKALTGEQLEEAIDRMLKESKPESASVAAPGMVRLQDFKIRFYLFLLKMPTVDDILGVDAGRVFPPEWIQKRKEYLDEQCQAKNKKPDQQLFLVDKIRIDLLTKGYVEADGGEGYSPGGSCHPPRPPVYDSSEDEEDSARALFSVGDCVNDYQEEEEAVIAQVAAVSEAEPRVRFRWEEADAVRQVREYGATRREARVRRVKLGIVELDSE >cds.KYUSt_chr1.9098 pep primary_assembly:MPB_Lper_Kyuss_1697:1:55697530:55698433:-1 gene:KYUSg_chr1.9098 transcript:KYUSt_chr1.9098 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAVVSNLQPITASPGAPGSRFTWTNASCRPRRGSVKVRSIKNGSTDNLDHLRRAPTARKQQQQQQGNGNPPRRRVIQTTPFGLWDSFPEARTLNQMMRTMERIVDEEDDDRRPLVVPAAMVSPTVQRADSAATAYRRGRTPWEIKERAGDYLVRFDMPGMTREDVRVSVQDQTMVVIAEKAEKQGEENGENGKEEEEEPWPAASYGRYRTRVELPENVDVEKIAAEVRDGVLYLNIPKVSPSGGKVVSIQVQ >cds.KYUSt_chr6.19084 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120081656:120082798:-1 gene:KYUSg_chr6.19084 transcript:KYUSt_chr6.19084 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAMDPWAFARPPPPGFGFSCARQPVADLSARLRPPPSGFVFSCVRQPVADSLPARLRTPPPSIKFPCAQLAVDDVPARVRPPPPGFSRLCNKVLPPSPREITVPPKFSKRAAPPVSTTVSDKPSAKRQRLCSDYEDDIEANLRRTERSPEERPRPDYMKTVQQGRVSPSDRARLVGWMDAFVRHHDLVDGTLHHAVAYVDRVLSVRAMNTHTDYELRLLGAAAVFVAAKYEDGWRTMPKLDPDKIVSYGRFASRKEVLDMERHMVAALGYQLGGPTAHTFVSRFTKHAQEGEEDLKKIQRMAHHLADESLRNYACLGYLPSVVASSAILLARFALNPPDVPAWSTEMQELTGYNVMNLAGCLHAMHSFSQSLLCDPHC >cds.KYUSt_chr6.11968 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74514266:74516242:-1 gene:KYUSg_chr6.11968 transcript:KYUSt_chr6.11968 gene_biotype:protein_coding transcript_biotype:protein_coding MTLADAQLWILKLFRLHPETQDLHFDGLFKAFPPDFEPDENSPEFYLEYLDWETRIFDTDRSWTSFLNKLKRKNVMQQLMLYVDCSELKHYDVLLKAVPDGCYSQPSPVLLPRSLDHVHLHFLDDRLEIMSPKEIAAYIASNWGIQGSPPEVCRLKQKALELRFGTYYDSYNFIPRLLKGIVRANPGSFVDIEDTEVVGCEGFRFLHRIFWALAQGIHAFRYCRPALCVKGTPLCERYQGVLLTALAVDANDCLVPVAFAIAESETKESWLWFLRNVKQAVVKKRSRVCIIHDCKAELVNAVDDIQNNPEEQHPWKDVQSRWCMQHLAENFLAYFEDKKLMTLFKKLCQQKQGSKFADIWKELDELTLKCAAEKKREEAELGEEGNRGVGSQIKIMNFSGWIHLKPKEKWSLLYDTNNARYGIMGIDMSDAYKHDHVLKGILCLPLSAIVKVTFNRMVEYFKNTSAAANEAINNPAIKFPQRVQDGMDLKMQKARMHQVICMNPKNKNVVLGDDVAKYVVQSGHKRVAVRLYTKSTGTMKNSGGCTVKKRAACSCNKLRLLHRPCSHVMAVCSQIGVSTSTYMSRYYSLSYLGNTWSAKFVLPDNLHDYHQLIDQFSYIYSSESKMPTWIPDKKLECGLPVFLTSDFTETGTDVEEQE >cds.KYUSt_chr2.34029 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210344504:210345133:-1 gene:KYUSg_chr2.34029 transcript:KYUSt_chr2.34029 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQWKALAETANSELATASFHPFGEHFNHATAELGFRRLQMHHLTSGTIAASESVDLDGSTALLENAAGEMARCVELQSRAMRAFSLYGGALGVIADGPLWAYLDGGPESADPRWQSWAQHKVDALRSTEHATRTLRSAAAWDLAAVDAFAVARSFPDYSPPCIAWLRATQTLAERAVAEAHATSQSEAAMFTALSGQYNAGFLICNP >cds.KYUSt_chr2.34301 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211875231:211875573:-1 gene:KYUSg_chr2.34301 transcript:KYUSt_chr2.34301 gene_biotype:protein_coding transcript_biotype:protein_coding SKLCILLLGTPHLLAFQLEQDNLLFSDLVFSHLLQEFNDCSHLSGRLVRDNALPEWIVTLSTLFVPTPLLCAMAYVPMSMLNPQYL >cds.KYUSt_chr1.17794 pep primary_assembly:MPB_Lper_Kyuss_1697:1:103623091:103624609:1 gene:KYUSg_chr1.17794 transcript:KYUSt_chr1.17794 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATRRILALLNATGEIAADKVEEEADANKTGVAHEAINNIRRTSKTMTEQEARQILGVTEQSTWEEIAQRYEKLFERNAKSGSFYLQSKVHRAKECLENVYQNNKQDGTAT >cds.KYUSt_chr2.42462 pep primary_assembly:MPB_Lper_Kyuss_1697:2:264524839:264526221:-1 gene:KYUSg_chr2.42462 transcript:KYUSt_chr2.42462 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGLCRQLSKPADCKTMAVRPIPSPPTASLSAPLVLLTPISQKPSASFRLSCSSSSRARRGAARCSAADKRSPPAGPGNETRCSSGGVASSDSCSGSSSSSIAKIRSEVLSPFRSLRMFFYLAFMASAGLGSLIALTQLIPALGNPARAAGAAETLKGLGIDVAAVSVFAFLYSRDRKASDAQVARLTREENLSRLKMRVGDRVVPVAVSELRGSARLVIVAGPAEFIAESFRRSKPFLKDLMERGVLVLPFPTDGNTPALEFDDSDDIEQEDDAEIRKKSRRLWQLTPVLTAEWAKWLDEQKKMANVTPDSPVYLSLRLDGRVRGSGVGYPPWQAFVAQLPPVKGMWTGLFDGFDGRV >cds.KYUSt_chr1.10946 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66996390:66996950:1 gene:KYUSg_chr1.10946 transcript:KYUSt_chr1.10946 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEATEWEWSGRVASAVLGATPDEAWELLSDFLAFHRWNPRVAKCRRVSGAPRSPGCVRYCEGEPRGDGLGPDWAHETLHEHDAAGRRFRYEMNDNNMGFGRFFATFSVVDVEPGSPGAGCELRWEFECDPVRGTAKEALAARLQAGLDGMARRVEEAVSLRVATSGAVALEAPADLKLGTSIAV >cds.KYUSt_chr1.6364 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39221916:39225652:-1 gene:KYUSg_chr1.6364 transcript:KYUSt_chr1.6364 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPPAAAAVTLGGKGVVLTPAAVYALSLGLADPVIDPSALQRLSNRAASPQELPKSLQELALAPHESRAAAAVLLNKLLLTAADSSSALVTAATATTLAGSLDLAAAPPPASRDEASIAAASAPVAVALAALIDCCAAPLVSIADAVAALSCEAARGDLVAFDVPASGDGLSVKDEADVAADVKTLLLGSKLVSTGGGGPSGAFFTKVPAVNGAFREAVRALHKRVRVELNAPVKLGKRDAGETGEGKEEALMVVVAQLARSVHAMCKLSIARARFCAGSIADPELREKLAGGTNVDDLTGMFAKVTIESDAVSFLRRAYSYLLKFRDFLAWEAAVAMAVIEMDSSIEKPQATAENEAGSSTEKQQAGGKKDKGDRKSKKKTLGKGTSAVLMLLRDHATDGKAVPCVNSALIADWGIEMSLFFDPKCPKLEPLVKKVKEIVESNEVGRLPKIPKGTRDFGREQMAIRERAFAIITGVFKMHGGVALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNNLSAIKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYEPMEPDFEVIKVLTELLDKLDIGVYEVKLNHRKLLDGMLEICGVPAEKFRTVCSSIDKLDKLTFEEVKKELVEDKGVSDETAENIGSLVKTKGPPLEVLLELRKEGSKFMQNEGSVAALNELEILFKALEKANAIDRISFDLSLARGLDYYTGVIYEAVFKGTTQVGSIAAGGRYDKLVGMFSNKQVPAVGVSLGIERVFAIMEQQEKDKNEVFLKPLVITSLLQHPAELILALVLQVIRATETEVLVSILGKDLVLAAELVNELWSAGIKAEFKLTTRVQNHIKYATQTGIPWMVLVGESEMKAGKVKLKDIRANQEEEVLRKDFVQVLKQRLSNP >cds.KYUSt_chr5.3276 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21167271:21169424:1 gene:KYUSg_chr5.3276 transcript:KYUSt_chr5.3276 gene_biotype:protein_coding transcript_biotype:protein_coding MHWWEEWQLRILVLGSLFFQFILHFSVTMRRIVPRLRVLVWVAYIGGDSLAIYALATLFSRQKQRSGDAGTSALEVVWAPVLLIHLGGPYGISAYSLEDNELWLRHVMTSMTQITVALYVFCKWWSGQEKLLQTAILLFVVGIIKFLEKPWALRRASYNSIQASSSLSPRRKISFTGVADIIATFVRCCISDPFYLVVAFERGDQWWELQESESLQKYVEEASNCVLTTEVDHEEGTQVTPDPSDLYGHTDYFADVISPYSVRLSELQRFMKLGSLGRYIKVKMYLELRFSYMYTKMGTAFSPLGQFFHYYMLPSLAIASVVLFAKSHKDGYSVNDIRVTYILLYCTAAQELYIWGTYFQGNLFPTLFQTCQKCLPSYIGRCLLVNPSILPQYSLLSYCARKRKPTIMMKLATFGFLRELINKNWYIGQVKSANDITCLVNRHVEVGWKEYIRHNTARYKRYNNLRGQLTLRRHHQLERIGWSLDMPFDQSVLLWHVATDLCLHHLIASPQEVVTRRIGWSRDISNYMIYLLFIRPEMLMPGTRPGLFHIASDSIQLFLKDGKASLDKGGDLVHDFICTMEKPKPEDRLILLICNLTEALMEVQENARWNLILEVWLEMLCYSANRCRGYLHAKSLGQGGEYLSTVWLIWSFMGMQTWAERHHNLEQLDEGEEEGGGGGGGGGEGAGDRGRGEEECLGASTSRSQGGADQFTEITID >cds.KYUSt_chr4.45083 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279212269:279213120:1 gene:KYUSg_chr4.45083 transcript:KYUSt_chr4.45083 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSEGSGGGGGASVRETRDFANVASFSELPFLRSAPPRESSNSGIRLFGIDVPPASPEGRTKEAAAVGGSATSAAATQSSSGTAIAANAAPDSNRKFECHYCCRHFPTSQALGGHQNAHKRERQHAKRVQMQSAMAAAAAAAGGVHHHHLLGYPQHRFGATLYPSWPTVNGGSSAGFSYGQQFYRGIGSVGQPINGNPLPGALWRGPVASHGNTGMPLAGERRPVALSMFGGDEPRASASLGAPSSSSSSSLVLSPQGQFTCEQPATTAAPEGVSLDLHL >cds.KYUSt_chr3.42287 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267272223:267275471:-1 gene:KYUSg_chr3.42287 transcript:KYUSt_chr3.42287 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAAAAAAVLLLAVVACSAAAPPQLKHATISGNAGNVLQDNPVGKIKVFVYEMPRKYNHYLLEKDGRCLYHMFAAEIFMHQFLLGSAVRTLNPEEADWFYTPVYVTCDLTQQGFPLPYRAPRIMRSAVQYIAATWPYWNRTEGADHFFLAPHDFGACFHYQEETAIQRGILPVLRRATLVQTFGQRNHPCMQSGSIIIPPYANPQKMQAHLISPGTPRSIFAYFRGLFYDMGNDPEGGYYARGARASVWENFKDNPLFDMSTEHPSTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEQISVFVPEADVPRLDSILASVPPEDVVRKQRLLASPAMKQAVLFHQPAARAGDAFDQVLNGLARKLPHGKGAFLQPGEKVLDWNAGQESDLKPW >cds.KYUSt_chr2.11024 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69953753:69954967:-1 gene:KYUSg_chr2.11024 transcript:KYUSt_chr2.11024 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTEMSMAPPASCRKRKCPPPGLADIHEDMLERVLARLPPASFFRLRAVCREWRAAAASPTFLEACARVPSRDPWFLMFSERARPTVAFDAGERSWNPCHAAPGSMPVAASGGLVLYRAPATGELSVSNPLTGASRALPTPPRGHGVPRLHAIAMYGSPYRVALFTGEVPDLSMSVFDSSKDSWEAPVALAKRSETSSTDAPAQGGGGGGDDSTVYFLSKSGDVVATNMQRSASKQYSSVAVPSGRGAGEAVAYFLGHSGTVVACDTATRTFAELPRILPVHFEYSIDLVACNGAAYAVVLSEYLDTASLRLWQFADGAWRQVAAMPPAMSHGFYGKKADINCVGHGDRVMVCVSSGDVNGCFMCDVGSDRWEELPKCINGDGEVNEFLAAFSFEPRVEINV >cds.KYUSt_chr5.15162 pep primary_assembly:MPB_Lper_Kyuss_1697:5:97973380:97975581:1 gene:KYUSg_chr5.15162 transcript:KYUSt_chr5.15162 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFSSLFGALFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIAEARDELHRMLNEDELRNAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYLALR >cds.KYUSt_chr1.17125 pep primary_assembly:MPB_Lper_Kyuss_1697:1:99386132:99404183:-1 gene:KYUSg_chr1.17125 transcript:KYUSt_chr1.17125 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSSACRGSLLFRTGFPFPFPLAATTTTPRALSPLTTVAAAPIMYGGGRGGGGTNSQRGRGRGRGGGGRGGRGGGGGGGRGEQRWWDPQWRAERLRQMAGEVEKVDEAEWWNKIGQLREGSQQELVVKKNFGRDGQNILVGMAQRHGLYFNAYNKGKTLVFSKVPLPDYRADLDERHGSAQNEIKMSNETERRVENLLSRAQSNDNGSASTSTVLTRQSFPSTSSSVAGLTTESDKQKLSSQLRDLQHSKKMTPSARSMQSFREKLPAFKMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVGSERGEELGETVGYQIRLESKRSTQTRLLFCTTGVLLRKLVQEPDLLGVTHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINADLFSKYFGEAPIMHIPGFTYPVAEMFLEDILEKTSYKIKSERENFQGNSRRKRPAPVKNDPVSDVFEVVDIDKEYGNYSITTRQSLEAWSVTELDLSLVEGTVEYICRHEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNKFLVLPLHGSMPTVNQREIFDRAPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQAGVCYRLYPKVIHDAMPQFQLPEILRTPLQELCLTIKNLQLGAVASFLAKSLQPPDPLSVKNAVELLKTIGALDDLEELTYLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAHRNPFVLPIDRKQEADDVKRSFAGDSCSDHIALLKAFEAWKEAKRSGRERSFCWENFLSPVTLKMMDDMRNQFFDLLSDIGFVSKTRGVQAYNHYGKDLEMVSAVLCAGLYPNVIQCKRRGKRTAFYTKDVGKVDIHPSSVNAGVQQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSPSNTGEGIEMLGGYLHFSAPKRVIELIQGSSGDAHNGSRNIHQPGAARVIATDLLDYVRFRAVCVGWRDSTPCPHGHGVVDPRFHPRQWMMFPEGSGLQPGHAALGGYVRFFNVSTGAFVRVHLPCLENHSVLDCPEGLLLLEHEKDSAMCLLHPFTGDVAEFPPLSSLIQQLIKVHFNKGQNTAQLRCGLFNIFAAISVRVDHTVTVMLAISNVGHVAYASTGDRQWTLASWEMSGMWTAVPFHGSFYVVREWKRNPSIMRIDPPDGSSPSFWSSTPPQKVATCPTEQMTKPYLVVCNSELILVGYADKHSRLVALRLADLLFGVSAVPLMSIGDNALFIGPRNMTVNSKNLPCVQGNSITILTTSGSDGQLLQYDLAKGPSLPISSSASSA >cds.KYUSt_chr5.34394 pep primary_assembly:MPB_Lper_Kyuss_1697:5:218074300:218078195:1 gene:KYUSg_chr5.34394 transcript:KYUSt_chr5.34394 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAANGQVGQTDVQKPQQQQQLGAPPAAVAAVVPPPHWVAMPFAPPGAAAMVMPHQMSPAPPQFAPHFVPFHAVAPPPPRPAPAVAVALGSPAAAAHAGQEDNKTIWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKILDGFSGHIMPNTDQPFRINWASFSMGDRRSDIASDHSIFVGDLASDVNDAALLETFSSRYSSVKGAKVVIDANTGRSKGYGFVRFGDDSEKTNAMTEMNGVYCSTRPMRIGPATPRKSSGNSGSTGSSARSDGDLTNTTVFVGGLDPNVSEDDLRQTFSQYGEISSVKIPVGKQCGFVQFLQRKNAEEALQGLNGSIIGKQTVRLSWGRNPANKQLRSDNGNQWNNGMYYAPSPFYNGYGYPAAPFPDPGMYATAAYGAYPFYGNQQQVS >cds.KYUSt_chr5.35948 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227119422:227123345:1 gene:KYUSg_chr5.35948 transcript:KYUSt_chr5.35948 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATHTGVAASKVLILVGAGLTGSIVLRNGRLSDVLSELQELMKGVNQGEGPGALDMALIQAQIRNLAQEVRDLTLSKPITILSGKSDSGGSLSSYILPAAAVGALGYCYMWCKGWSLSDVMFVTKRNMASAVDSMSKQLEQVSSALAATKRHLTKRLENLDGKMDEQVEMSKHIRTEVIDVKTDLSQIGFDVEAIQQMVAGLEEKIELLDNKQDAANAGIWYLCRMAGGIKEGLNTKFFQEADEKLKLLDVAQTEKKSVKGLELFLESSTKEVKAIDSKPNTIAQNDAKKPMKTFDVPLKSAAVHRSNRVLFRKEGLAL >cds.KYUSt_chr5.28485 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180417977:180419044:-1 gene:KYUSg_chr5.28485 transcript:KYUSt_chr5.28485 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSRLGWSFLAHEIKSSDGFLGASTSHASGPAVAPPAPVAKPEPGPGPSAAAARITPAVLFITVVLAVVLLASGLLHVLRRLFIKTHRANARAEAVERQLQQLFHLHEAGAGPGLDQAAIDALPAFAYSELSATTGAKRQFDCAVCLTEFAADDRLRLLPPCGHAFHVGCIDTWLASSSTCPLCRTVLSARALAAALDASDAEPDIEVQKLEDAPKEPITLPVRLGRFKNVQGSATADGETGTSSRLDGRRCFSMASSYQYVLADDSLVVSVRWRPGDGSAAMRPGAAAASGDEQGKKVCAVSRGDSFSVSKIWQWRRSGGRQLPGLRAGSASPTDDAPPWASPPRTRQETDS >cds.KYUSt_chr2.50879 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318192762:318195107:1 gene:KYUSg_chr2.50879 transcript:KYUSt_chr2.50879 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCGALCILLAVVFAAAAAEETDNQSTYIVHVAHKHAPLLPGRGGLLATGAYGSFLRDHIPVHMSVPAPRVLYSYSHAATGFAARLTGRQAAHLAAQRSVLAVVPDATLQLHTTLTPSFLGLSSSSGLLPASNGATDVVIGVMDSSVYPIDRASFAADPSLPPLPPGKFRGSCVSAPSFNASAYCNGKLVGAKAFYEGYELQLGRLINETEESRSPLDTNGHGTHTASTAAGSAVADAALYGYARGKAVGMAPGARIASYKVCWKYGCMTSDVLAAFDEAIADGVDVISTSLGSTGSVEPFDMDIMAVGAFSTVRKGILVSASTGNSGPGESTARNVAPWLLTVGASTVNRRFAADVVLGNGDTFPGSSFYAGPPLGATKVPLIYGRTVGSKTCEAGKLNASLVAGKIVLCGPGVNFEQGDAVKLAGGVGAIFTSAKELGEQAFGSPQILPATAVTFAAAKKIQKYISKNASPMGTIVFQGTVIGGPTPPSPRMASFSSRGPNVLAPEILKPDITAPGVEILAAWTGASSPSGLEWDTRRVQYNIVSGTSMSCPHVSGIAALLRQARPEWSPAAIKSALMTTAYNLDSTGGLIGDTSTGKASTPFGRGAGHVDPNRAVDPGLVYDAGAEDYITFLCALGYTDDQVAIFTRDGPATNCWAHAGSSVGDLNYPAFAAVFSSKKHKVITQRRMVRNVGGNTEATYNATVTSPVGVRVTVNPPKLRFNVTKETQEYEITFKRAAGSIKEAYTFGSIVWSDGEHTVTSPIAITWPSTSKIADI >cds.KYUSt_chr6.27529 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174701949:174706199:1 gene:KYUSg_chr6.27529 transcript:KYUSt_chr6.27529 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIKAAAAAGSMVKLAPAGGTLWFGRLEDALQRRGRPPGHRLQPLRGDQGQGLPRENLAARPGGDLGVTGGSSDGASATPSSLAHYRILSPIGSGWELFVYGASWVSWKLEWLEFFVYLFPALALRVLTIVSASAAVPSVRVRVGNIIVVIIKSRLGRRRRRNI >cds.KYUSt_chr1.12567 pep primary_assembly:MPB_Lper_Kyuss_1697:1:77364386:77367316:-1 gene:KYUSg_chr1.12567 transcript:KYUSt_chr1.12567 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRASNLTGLSSPVFVHGAGWPFVHQSGKLLRWEVASVVATDGSSFNKCKVLFHFWFCMSVVVLLLLAGRGGREKECGAPAFCRTSKWCLHRFSGAPRAVFFLSAGHGGEGEDDVGSMQAGLRRCARELLEFLLPVAVPKRRRGFAAAIPGQRADPALLSSIVCSSSVFLHRRIIFSLGAPSQARAQPSGRVPGLGRSGCTGKLLNASEKQGLDRFFATTFRVFHVNLQDLVVVSFFFQFNIHDFSVKDVHVPKHNFIYDSGIFVIQFIRWLSTFCIELSHDIFLKLEVQSPEKYKAHQDPGEEDTPRSREGEKQRDMEMITKLNSTSLEALKGREGSWPAQDPVRPAPQPGHPVPGSVNRAPNRIPCIVPEGNRKTSQVPGWRPVDRTQDRTTRS >cds.KYUSt_chr7.22167 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137233595:137240419:-1 gene:KYUSg_chr7.22167 transcript:KYUSt_chr7.22167 gene_biotype:protein_coding transcript_biotype:protein_coding VGMQARWFSSTGLPPHMVIGMPALSPTMNQGNLTKWRKQEGDKIEVGDVICEIETDKATLEFESLEEGYLAKILVPEGSKDVQVGQPIFVTVEDSEDIAKIPADTSFRGEHDEDQSSGSAAQSVKVDAAEESPALRHISPTAKMLIKEHGLNISSLKASGPRGTLLKGDVLAALKAGTASSSAKEKTAPATPSPEPARDSQTQSLPTSQKSGTFEDMTNTQIRKVIAKRLLESKQTTPHLYLSKDVILDPLLAFRNELKEQHGVKVSVNDIVIKAVALALRNVPEANAYWDNAKEEAQKWDSVDISIAVATEKGLMTPIIRNADQKTISAISSEVKQLAEKARAGKLAPNEFQGGSFSISNLGMYPVDNFCAIINPPQAGILAVGRGNKVVEPVMDSDGTEKAAVVTKMSLTLSADHRIFDGQVGGKFFTELALNFSDIRRLLL >cds.KYUSt_chr6.31386 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198493758:198496463:1 gene:KYUSg_chr6.31386 transcript:KYUSt_chr6.31386 gene_biotype:protein_coding transcript_biotype:protein_coding MADGCGGAGGGERGRSAEWLPIFHRVEAMASKSQAQTEVLAADCARLDRVQRESWEVARRLQRTADELQAAAREKDADTDRLRAQAADARKKLQEVGRHTKLNRDAVDHVASDLSAELRKLKQAYETLTSKKDKEVSALLSEKDSLRSQLDIMQQDSAELLKNKRVEAAQATEAALKLQKTVDELYVLAQKKDVEIDRLQAEAVEAKRSHDKDLSALVSEKNSVHSQLSIMQQDYAKLQKNKKVSTQKKDHEIVILRAEAIGAKMKVQKMHSLVKEKDDEIQRLKCWHVESVQKHYKDISETHKKSRSVDPAVTTRDKLKNSNPRQKVKEDYISETKTVEKDGQEKTTQKRRRASSISNDCEKIGSDQDSDEQSESDEDGDKQSERDKDGDEQSTSDETNDEQSTSDENNDEQSRSGGDDDAGQSRSNAHDEDEHSQSGSDKETANKPILKPPGNHKRKRDACNTESTNGKSHSKSNV >cds.KYUSt_contig_402.194 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1060032:1060247:1 gene:KYUSg_contig_402.194 transcript:KYUSt_contig_402.194 gene_biotype:protein_coding transcript_biotype:protein_coding MKASALVLGGAVSIYFLWPAAAAPAAAGAMMKAPGAAGFVVSRVAFLAHKQLYFQVLRTAGPAAAVAVLAV >cds.KYUSt_contig_1181.1411 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:9151924:9154745:1 gene:KYUSg_contig_1181.1411 transcript:KYUSt_contig_1181.1411 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVVDSTLVAPSGETPRLGLWLSNLDLAVPKTHTPLVYYYPAPTPPAPGGADGEDFFSPDRIRAALAKALVLFYPLAGRLGVDEGGRLQIDCTGEGALFVVAKADCTGEDLFGSNYEPSPEIRRMFVPFAPSGDPPCLMAMFQVTFLKCGGVVLGTGIHHVTMDGVGAFHFIQTWTGLARGLAVSDACPSPPFHDRTLLRPRSPPHADFDHPVYSPAYLNGLPRPFVTRVYSISTKLLADLKSRCAPGVSTYGAVTAHLWRCMCVARGLAPDSETRLRVPANIRHRLRPPLPRQFFGNAIVRDLVTVRVGDVLAQPLGFVAGTIRKAVEHVDDSYVRSVVDYLELESEKGSQAARGQLMPESDLWVVSWLGMPMYDADFGWGAPKFVAPAQMFGSGTAYVTQRAHRDDGIAVLFALEPQYLKCFEDVFYGE >cds.KYUSt_chr4.19006 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119406051:119408982:1 gene:KYUSg_chr4.19006 transcript:KYUSt_chr4.19006 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLRGRAKAQTGSSHHSSPPAPAPAPKTPLDDEDLLQEILLRLPPKPSSLPRASLVCKGWRSILSDPEFLERFRKHHQKPPLLGFFAGHVHATPVFTPILDSPDRIPASRFPVPQSHSRNDEWRFMGCRHGLAVLLEVSRREAVVWHPLTGQQRHVSFPPGMHTDDWNRWHAAVQCADAAGGHVHGDCFSSPFKLVLILVAQRARAFACLYESASGAWGDIASTATRDTICYTEPSVLIGDAFCWLLGEADILAFDIQRQSLGVIEKPDMKRYFDSSVRLFRTEDNGPGLVCLSKLTIQLWERKSYCDGDVGWVMLQKTIKLKGLFPRRMPSYDKFVRLSGYASGLSSAEY >cds.KYUSt_chr1.38061 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232395243:232395635:1 gene:KYUSg_chr1.38061 transcript:KYUSt_chr1.38061 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEARLLCVLGLLLLCAAANFQGAHRRGGGGRAAEATAGVAAGGHYGGGGGGHSGGERSGGGERSGGGGARPIIYGAGAGAAAGAGAGAVAGRAASGTRSAAGETLGRGVSGAAGAAAILAAAALVRWR >cds.KYUSt_chr7.22294 pep primary_assembly:MPB_Lper_Kyuss_1697:7:138128133:138128519:-1 gene:KYUSg_chr7.22294 transcript:KYUSt_chr7.22294 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTRVLKSGVIPRDAAAQLVGVRSFAVSAKGKKGGKGGAADAKPVLSKEMKSTTVFGANILKEGSDPKIQPDSEYPDWLWHLVDKRPVLSELRRKDAKTLPYEDLKRFVKLDNRSRIKENNALTAKN >cds.KYUSt_chr3.33951 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213068998:213069363:1 gene:KYUSg_chr3.33951 transcript:KYUSt_chr3.33951 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGDGEDAPPPPPPAAGSGGSLIAKLGEQWRSTKEHAETYPYVWGSYILVYGGLGAYISWRWIKLRRTEDRVRALQARLRQLAAAEESQAAPAHPAPPLPLHQQPPPPPTGPGKPASAP >cds.KYUSt_chr7.6816 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41125119:41129480:1 gene:KYUSg_chr7.6816 transcript:KYUSt_chr7.6816 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLDTSSSTLWGTLSQASSVAQLVGVDALGLVSMVVQAALAARRHRDACVRLAQHVELVGGLLGELEMAELMRREATRRPLEQLGAVLRRCYALVSACQDCGYLRRLLLGARMADELRAAQHEIDMFIRLIPLIALVDNSTDNRRVKVPMLQLLLGISDLQEQKVFDIEELVELCTSIEEACVGFSKFSFFRIVDATDNFSEKAIIGCGGFGTVYKGQLPDGIMVAIKRLDEHATIFDFKSELQLAKLQHTNLVRDLKPHNILLDCNMNPKITDFGSAAVLSSYAAEEHTSRVVGTCGYKAPEYASRGVYSMKTDVFSFGVLVLVIISGRKNTILDKRGDTVGDLVRDAWHMWNEQRLHELVDRTLGNRYDMTEITRCGQVALLCTQEDPSDRPTMTDVAAMLNSESMSLPMEPKMPTTLSKGSPGEDAVSSYMGQTSRTIDITITSSAPMSTRVQIILDPEV >cds.KYUSt_chr5.5561 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34738704:34749224:1 gene:KYUSg_chr5.5561 transcript:KYUSt_chr5.5561 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDDDPIDPTGFAEAMSEWMKGLDLPIADPVRSHENLCGALVEEVDGIRHHLPVPAAVLVVVVVVLLLYIRPPDGRTVRDAEMHLFYHSTRTATTIRKVQIIPGLNSTKPSVPGGGVGGWTSTPLVLSDFLAATYPECGWCLEAGKWVAWLLLLLLRLSYDGLRLLTAGTWRASLALWRPSAYLRLNVMMSLQEHRQAKYSWLLRYYNDPTQEDHL >cds.KYUSt_chr4.21769 pep primary_assembly:MPB_Lper_Kyuss_1697:4:137053109:137054824:1 gene:KYUSg_chr4.21769 transcript:KYUSt_chr4.21769 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGGDALVAVQGKDAIDPDAPPATSTGRPIGNKAAKAALADAASSEKTQASITKCLADVSSTFISRDKKADQRWAELLKRQEEKLELKKREDDMSPAENVDRGNLDLSTMAGAKALLLLLLCAAGAVLLTPPPVAAADDGLTKIKLYWHDVLAGKSPTAIRIAQAASSNSSSTFFGAVVAIDDPLTTTPAVTGSAKSKDEVGRAQGTYTFADQATFGLLMNMNFVFTAGDYKGSSLTIYGRNEVLSAVREMGIVGGAGKFRMARGYVEARTMDSGNSGETVVEYTVYVKTAASA >cds.KYUSt_chr2.13770 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87277642:87280875:-1 gene:KYUSg_chr2.13770 transcript:KYUSt_chr2.13770 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHPPPSLPMAAHLPQTLPTLLLLLLLLTTAAQPSAAADNEREALLKFKAAVTSDPGGLLRAWTPASPSHCRWPGITCSPSGSIISLTLPASPGHLLSGTLSPSLATLRNLHTLALPSHALAGALPPAIWTLRRLQTLDLSGNHLHGEIPASLPCAALRTLDLAHNALNGSLPAALGSLPALRRLSLAANRLGGAIPDSLGALPALRLLDLSGNLLVGGIPRSLGNCTNLQALLLSSNLLDDVIPPEIGRLANLRALDVSRNSLSGAIPPELGDCAQLSVLVLSNPYVLVDGRLTDDGDVEDFNYFQGGIPGAVAALPKLRVLWAPRATLEGELPGNWRSCQSLEMVNLGENLFSGGIPRGLLDCAHLKFLNLSSNKFTGSVDPSLPVPCMDVFDVSGNRLSGSIPVFLSRDCPSAQLLPFDDLVSEYTSFFAYQAIAGFVSSPGVMATGLTSYHSFARNNFTGTVTSLPIAAEKLGMQGAYAFLADGNSLAGELQPGLFGKCNSSRGFIVDVSNNLITGGIPAEIGSLCRSLVVLGVAGNRLSGLIPTSIGQLDYLIRLDLSRNQLGGEIPASVKKLPHLELLSLSHNLLNGTIPDDINQLHSLKVLDLSSNLLAGEIPRALAGLANLTDLFLDNNKLTGEIPAELANVASLTKFNVSFNNLSGPVPTNSSAVGCDSVMGNPLLQSCHMYTLMVPSAGQQGRGLNSNDNDTAPVDPQNQGDSSSFNAIEIASITSATAIVAVLLALIVLFIYTRKCVPCMSARSSGRREVIIFQEIGVPITYETVVRATGTFNASNCIGSGGFGATYKAEISPGVLVAIKRLSVGRFQGAQQFHAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLPGGNLERFIQERSKRPVGWKRLHKIALDIAKALAYLHDTCVPRILHRDVKPNNILLDTNHNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRGREFFVDGLWDVGPHDDLIEVLHLAVMCTVESLSVRPTMKQVVQRLKQLQPPIREHR >cds.KYUSt_chr2.7044 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44086128:44089319:1 gene:KYUSg_chr2.7044 transcript:KYUSt_chr2.7044 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYRITGGHSRRSPLPLTTARALLAAVVTGAVLSIICVLSLTDSLSYMGFHPRSLLDKRDSSRKYLYWGARVDCPGKHCASCAGLGHQESSLRCALEEALFLDRIFVMPSRMCLSSVHNTKGTLDSSNATSDQRWGTSSSAMESLYDIDLISKNVPVILDNPKSWYGIVSRSTKLGEGDVAHVQGVSRDELRDNPLYSDALLINRTASPLAWFMECKDRTRRSSVMLPYTFLPTMPARKLRDAANEMKEILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIARWIPKGRTVFIASNERTPGFFAPLSDRYKLAYASNFSSILKPIIENNYQLFMVERLIMQGARIFVKTMKEFDNDLALCDDPKKNTKVWEEPVYT >cds.KYUSt_chr2.2060 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12375146:12377311:1 gene:KYUSg_chr2.2060 transcript:KYUSt_chr2.2060 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRVLSPATTKLASSVPLESDLLMVPPVAISAQLTCSSVERPVAFIAARNVADDHIINNKQEVAQKLRLLELPPFETNEQNVVPLLPQVTRAMVSCGKSMNQREAKVIAKPRNTNSTCDIIMDQHSNLGLLLLGVLELWTHLTLVKITQWQYRLINYWKYPVPSVHHIMLKRNNLFSSSPHIGLGLLHHKLLQNCLRFCLMRHYIQCPLELLQRINWERNEDSVTNFKEFVPSIMCEESLQANFVIVCHWVASVTAVYMFCAKFRESCSLLADMKHNNLLIHDQKAQLPWDPGGIWEVLGFSERDCKQVETGGMHVNLTFFVSCGCCYHAIFYLFKVLIDNGYYRNYNSQERQVQWDPGGCARRRLEVKPKIKEGGMLAACLAGRWATTIGPGPARKPDKEGGDIYQHINDRKIRLGTERNGFGLLHFLSSPSSCIPLPSSSFL >cds.KYUSt_chr7.39458 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245322956:245328657:-1 gene:KYUSg_chr7.39458 transcript:KYUSt_chr7.39458 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGDITRRPSSPSRSGRDGSKERPQRRPDGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFLQLYIKRNRPPPTSPTTPGSPSSAEAASSNPAAAAVSTIHRTLSRGILSPRGLPALSARGAVARAGDDDSLYYAGLRRCADDPYHPASNPSGVIQLGLAENHLSLDLVRRWMEEHAGPALTPGGDDEDRDLTIRGLATYQPYHGILALKMALAEFMRKIMQESVSFDPSQMVITSGATSAMEILSFCVADPGNAFLVPSPYYPGWDRDIKWRTGIELIPVPCRSTDNFNISITALEIAYNQAKKRGVRVRGVLISNPSNPTGSFVPKQTLRDLLQFATEKNIHLISDEVFAGSTFGSDEFVSVAEVVDELEDLDRGRVHIIYGLSKDLSLAGFRVGVIYSYNESIVAAAAKIARFSSVSTPTQHLLVAMLSDQKFISSYLKINRERLQKAYRLLVDALKQVGIECFKSSGGFYCWADMSKFIRSYSEKGERKLWDRLLEEAKLNVTPGSSCHCIEPGWFRCCFTTLSEQDIPVSVTLHTNLGDIKCEVFCDQVPRTAENFLALCASGYYDGTIFHRNIKGFMVQGGDPTGTGKGGASIWGGKFADEFREALKHGARGIMSMANSGPNTNGSQFFITYAKQPHLNGHYTVFAKVIHGFEVLDLMEKTPNGPGDRPLAEIRLNRVTVHANPIAG >cds.KYUSt_chr6.30247 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191638376:191648263:-1 gene:KYUSg_chr6.30247 transcript:KYUSt_chr6.30247 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTEVAAVAAPGPERLDSDGNASKRADCDEADSDLISKLPDDMLSAIMSLLPTKDAGRTQVLSRRWRPLWHAVPLNLDARAELPGNPAHAHTVPVSAVSTIVSQHPGPARRFSFTGFHAGDFDAQMESWFRSRALDKLEELHLCCHTSIDSSSGLPEQSQRLPLSVLRSASTLLVARIVGCRFPDDMPSMDFPVLAKLTLVYVLIPGDVFHGLLSSCHALESLYMSGVSATTGSLRVTSPTLRSIAFHHWPSAKAAQAQLVIEDAPHLVRLLIPYDSQDGCVTIRVIRAPKLEILGPFFPVLSNLIVSQGISPVSSANWTRSVKVLALRSSGFALHAVLNILRWFPSLEKLYVIFRPHKYIEMDKEDDPLYDPLHPIECLQAHLKLVVFKSFVGNEKQVNFARFFVLNSKVGMKLFSLFVVVTLIGVVHAMVRRAYCRRNGSATTIPVEQPTTATQQGSATHVPDQRPAKKSQKRNTTETLSQLQMHLLVLLSLNMPMKVPNKLPGSPSKLPAP >cds.KYUSt_contig_2817.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000520.1:108982:111546:-1 gene:KYUSg_contig_2817.26 transcript:KYUSt_contig_2817.26 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPSLLQRVRIREYWAWVVELVESPGLKPSESSGAMVAGGAADIAPHVVYRCTCIFRLWLPLQRMDNRDLFTEVCTWLEATARDTFPYMGDSIAYGLKPHPLLRRYMIHRCDMYFA >cds.KYUSt_chr4.73 pep primary_assembly:MPB_Lper_Kyuss_1697:4:483108:486616:1 gene:KYUSg_chr4.73 transcript:KYUSt_chr4.73 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPWASLLVHGIKRVEGRSWPSPVTGRLWIHAASKVPDPDTVAAMEAFYREIHAVDGVTHIDFPHHYPVSRLLGCVEVVGCVRSEELVCWEDVPESVRLEGLTDFCWLCENPQKLVVPFEMRGYQGVYNLEKKIFEGAVRGLLPVQGPLPVKFRLADPRNPFSLKPGSLNFESSKSTLVKTPSVSAAIAGARAAANQYSRKEHNAAVATSTALRELKQPSFRQGRERWVPKSQPE >cds.KYUSt_chr3.36272 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228116706:228116936:1 gene:KYUSg_chr3.36272 transcript:KYUSt_chr3.36272 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSFRAPASRSMITKTPSGNPLPRRGHVKECIAKQIVAAAAATASVLVCDAGGGKKLAGGKVLPAPPAGSAKKK >cds.KYUSt_chr2.43219 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268943885:268945975:-1 gene:KYUSg_chr2.43219 transcript:KYUSt_chr2.43219 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDVISAFEEGSGQLLSANKCSLLFSDNCPEPIQQQVRQTLDVSRDTFEDKYLGYPTPEGRMRKGKFQPSKERLSKKLNNWAERLMSMGAKDELIKSVAQAIPIHSMSIFKLPAGFHDDYMKLVRNFWWGEDEKKRKVHWASWDILASPKNLGGIGFRDTKLMNQALLARQCWRIINNPNSLCARLLKSIYFPRGNFLDTVFRQDASPSWHGIEYGLELIKEGIITRVGNGKSVNIWRDNWLPRNYNLKVTPGKTNSRVRRVNQLLRNGSNEWNEDLVKKVCYSQDVDWILKLKLPITPCEDLVAWHYDQSGVFSVKSAYRLAYNLQHGVRWYAGNSENHDNTRNMWKLFWNANVPKKVRIFGWRAARDNLATKRNKLKRTLETDGICNICGREEEDSFHAIVRCTKSRALRHEMRNLWQIPPEHIFTYTGPDWLQNLLMNLNPTQRSQILMLLWRSWHLRNDIIHGRGQETIARSAAFLIAYDSFLQDPDHNQLTAPGLPHTLYPSASDFNGSVHTPSRKVNIMSSVWSPPNVNELKMSVDAAFCAESGEATAGIAVRDHLGSIIVAASIVLERCRDAEEAEATAIWAGMNLAIHHNLKPVILESDNAVVVAAVNSPTPSVSAIWHVYRNINLLRDVLPGCIISKIGRKGNGVAHDLAFLAKRSGDSNIWLKPIPRFISDLCNQDSVRGSVINE >cds.KYUSt_chr2.37392 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231551247:231557230:-1 gene:KYUSg_chr2.37392 transcript:KYUSt_chr2.37392 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRDEEEELQMALRMSLHGSPPAQPDPKRSKPPSLPAGGESPEAAARRKQRDLMAAAAEKRLRSLPSPQPAALVSPSPPTVAPEAAKVEPELAAVPMAMEDVKEAGMAVEVETEGEELPPDVAEKLWVMVFGIGVSKAVLAQWTNQGIRFSSDAETTMGLVQHEGGPCGVLATVQAYVLKYLLFFSDNLGNPEVSDPSYASGQRRFYQSSFAARDDFSSLTEDGKTRALVHAMVEILFLCGAGKKAAVALIGGVNRGEIDAALEGLSVESAMDIQKVLRISTFTSRKDAFHLLLANIRLFESRLGAMLFLISSLLSRGLECIQADRDDPSQPLVTAPFGHASQEIVNLLLCGEAVPNVFDGKMDLGGGMSLKGIPNNVEVGFLTLLESLNLCKVGQYLKCPKWPIWVVGSESHYTVLFALNPNVQEENELEERESKIRRAFDAQDQSGGGGFISVEGFQQVLRDTDINFPSDKLEDLCNPGIIVWSEFWQALLQLDKRSGGMKDPTGLMGKKQFTIYHFNGIAKSVLNGNANIGGSTPIQRPRICKLNVSVPPRWTQDEYLADVVSSSTSGSKDDSVLSLAPPFQTCQHAPLVDCIRTRWPRAVCSWVGDVPSIV >cds.KYUSt_chr3.10275 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61006570:61012810:1 gene:KYUSg_chr3.10275 transcript:KYUSt_chr3.10275 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMIRGGKPGHLPLLETTLHSLVAKVNIIKMVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAIKRIRQFYLRKVRYTQQNFYEKLSTITDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQIYTARNIIAKISMDYLRLLKYGDTLYRCKCLKVAALGRMCTVLKRITPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITTLAHLRAAVLFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLDGLSEEDMKLVMEMKAEAMKTIPQGGDPNEEGVLLTMSALTDEGVMAVKNAACERLLEQRVEIKMKSKKINECLNRFHVALPKARDNRERPTCIPQAVLEAEAVAAAKEEKLERDLENENGGAGVYSASIKKHYILASDEWKEDILPEILDGHNVADFLDPDILERCEELEREEGLCLEEEAAQDAFMIDGHDELTEEQREILGKIRKKKAMLIQEHRMNKSTAESRPIVPRKHDKDRKFTTKRMGRQLSSMGIDPTAAVNRLHSQSRGRKRERSLSRADGDDMEVDGQHSDKKLRTRSRSRFQSRPMEEVIPGEGLRDSAQKKKAIKKSRDSVKKRNKSISSRVPQTVPQRDLGRAGQKKRSSRVPQIPFLSGAAPYGVRRPEPVPVPQGTLRGRRTQRNERRGGAGPTRQRHRENSSHTPAKSRRSRQIAPIPPRTGLPKHIPPPIHFSYPADFFLPPAVHPPPLPSPHSMVPPTAPKKMAKKAAKKPPGNATIGAKAPFAKPRKAPAAKKKPEGMTDDEWQQDCLRRKLSTAERKGRRAVELEKKAQAARQHQHVMAGCIAATNASPWSTSMPVYVPGVISPSQAAFYNDGPSATPGCVTPNLSPHYQDALPHGGFNPNNLYSPAYEQREPGPGPDGDPFIGRRGPLEYDGAGAEEDDGVEEDDEEEEGVEDDEEDDDEDEEGGDEEDDEGAGDDDLVEVDADGVRTKKKKKKKASGTRGPKWTPLEDLCLCESWATVSHDSIIGANQKGGKYWARIKTEFDERKLINSDYQKVTMKRSQKAMSTRWAIIQASVNSFHGYHQDLETRGDNDADVAQLFDRAMEMYAKNSEGHKPFALMHCYGKLKVNEKWRLTRLSLSKGKDAIDLDAPLATSTGRPTGNKAAKAALADAASSEKTQASITKCLADVSSTFISRDKKADQRWAELLKRQEEKLELKKRRDDMSLLRTSTEGMSPRTRAAHNFFKGQILDEIEAKMAAASASATEQTHHAQEQADRDEVVVLDGPASTQDTTPSTNPFF >cds.KYUSt_chr3.11830 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70513901:70515039:1 gene:KYUSg_chr3.11830 transcript:KYUSt_chr3.11830 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRPGKLAVGIDGDGGIWESKKGNSYGIVMVDTKKSHFEVQEVTENDGKCKCGTSCSCTNCTCGH >cds.KYUSt_chr4.14702 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90782823:90785185:-1 gene:KYUSg_chr4.14702 transcript:KYUSt_chr4.14702 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADEESPLLHLQPDRQDVGSEYTSDGTIDISRQPALKRNTGNWRACYMILGVEFCECVAFFAIAANLVTYLTTVLHESKVTAAQNVSAWVGACFLTPLIGAFLGDTYLGRYWTMVVSLPVSTIGILVLTVSASVPTSYYRAGVHRVVVYLALYLVALGSGGIKPCTSAFGADQFDSGDPMELQKKGSFFNWYYFLISLGSLLSGTVLVWLQNNVGWGISFAIPAVLMILGLAVLVGGSRVYRFRKLGASPFKSICQVVVAAVRKWHVQLPDDISLLYELTNSSSPAESSQKIQHTNQFRFLDKAAIVLPPLDKTSKMLPMCSWSLCTVTQVEELKTLLRMFPVWASFMIFYAVGGQTTSTFIEQGMVMDNCVGPFAIPPASLSTVSVLSALIWVYIYETVLVPLARHYTGKEKGFSQTQRLGIGFALSMLTMVYSAILEMKRLTNAQASGMSGRNMPAPISILWQGPSYILTGAAGVFAGIGMMEFFYDQAPYTMKSLCAAFAQLAIASGSYFNTIIFGVGAAVTTRGGAPGWIPDNLNEGHLDYFFWMMAALSLLNLAQFVHYSMRYTVKTTS >cds.KYUSt_chr4.36368 pep primary_assembly:MPB_Lper_Kyuss_1697:4:223581608:223585292:1 gene:KYUSg_chr4.36368 transcript:KYUSt_chr4.36368 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSRLSGHYSDRPPKRPSKGQHQHLYVLLDDWESGCSIYRVDEDDFNTDPDAYPEMLGTESPIARIEARHPLSWSFATHGTNILAVRPSKGSPAMSGFDPQTMGVTLCSLSMNQSSILRPFYASVDDKLFVMVYPLLEVLGSRPPPDSKERWSWSHIKTELPFLSDDVTDTFDQPLQGTFSFDTEEQLEFRYHGEWMLPFKGQARYDSELDAWVGLCSEGTGYVCSCDVPSLAANCETTEEPGWKVSKDKVFDHRSMRHRGATLVYMGGSRYCLVQCCAVKDDERFAYPRHRVMKMFSFGLKYDKMGELRIGHQGRASMAYKVASQRASESHALAVVYPHLAAFGSYSSVGPHVSLYGSFWGGMLWKKKKKKLYICVHCIEIMYKDGRCGVVGSVKEFVEVANMIEVADATRQNKNVC >cds.KYUSt_chr1.19510 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114590267:114593003:-1 gene:KYUSg_chr1.19510 transcript:KYUSt_chr1.19510 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSCLASPATARGGSALRLQQQPRACRVTCLAADAGGTGSRAKAAGGFACGLLAAWAVASAPGPVIAAGQRLPPLSTDPNRCQAAFVGNTIGQANGVYDKVLDLRFCDFSNEKDNLKGKTLSAALMSDAKFDGADMTEVVMSKAYAVGASFKGTDFTNAVIDRAVFSKADLEGAIFKNTVLSGSTFEDANLKDVSFEDTLIGYIDLQKLCRNTSINEDTRLELGCR >cds.KYUSt_chr4.8670 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52042114:52042557:-1 gene:KYUSg_chr4.8670 transcript:KYUSt_chr4.8670 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKLRWLWRAPVRALGRARDYYVRSITGCARYVPADAAFGGYPVLVPIAPLPRSYSSGDWAGAGEEDLRELIRASSQRRDDERRQVVQAVARSQSTAVGRSMAPIAEDAPCEFGGSGVGGLYSRSQSYAGGAAGRPRFHRKEAVLS >cds.KYUSt_chr4.48859 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302599257:302603401:1 gene:KYUSg_chr4.48859 transcript:KYUSt_chr4.48859 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSMFPVLRASDYFTRPSIDELVEREAADPGYCSRVPGFVVGRVRYGQVKFSGDTDVRGLDLNGIVTFDRHCVEVYGDEAGKPAVGHGLNKAAEVTLRLDLRGLPEAGALVELLRCRAKKQGARFLSFDPVNGNWKFEVDHFSRFGFVDEEEDDVAMDEAVGRQPPIAQVRDPPPNGHQLELSHSLPAHLGLDPAKMQEMRSALFPNDEDDEDMEDGFPSDHRYLSRERMSVDTPDTSAKGQRLRSLSPLHDSSQKFGRRSGMLPRKEPHALLEYHVNSPDPGPSSHGILMSGKNKGFPMRMTKVEGFKLPAEQATPVAGKTYSNCVVDAALFMGRSFRVGWGPNGILLHSGSLVNCPGTGLSSVVHIEKVAADKVVRDEQNKVKEELTELCFSDPMDLHRRLDREILETESGKFKLKLHKVVASRFVLPEICRSYIDIIERQLEISDLSMSSRVLLMHQVTVWELIRVLFSERAAGNQLEFSGDEDQEGMILDKKEGSANIDLEALPLARRADFSNWLQDSVCHRVQGEVGSLSDARYLEHIILLLTGRQLDTATEIAASRGDVRLAILLSQAGGSMLNRSDVAQQLGMWKINGLDFNYIEEDRLKVYELLAGNVQGALLDSPLDWKRYLGLIMWYQLSPDTSLDILIHSYYQLLGEGKVPNPVPVYIDEGPLEEALQWSPGDRFDISFYLMLLHANQDEKFGLLKTMFSAFSSSYDPLDYHMIWHQRSILEAIGAFSTNDLHVLDLSFVHQLLCLGKCHWAIYVILHMQHVDDAPYIHEKLIREVLSQYCEIWSKDEAQRQYIEELGIPAEWIHEALALYHEYYGDRQGALENYIQCGNWKKAHTIFMTSVAHSLFLSSKHQEIWDITNVLENHKSEIADWDVGAGIYIDYFIIKNSMQEDSTMDDDDSDPLETKNESCKSFFIRLNDSLIIWGSKLPVEARACYSKMAEELCELLMNSPGEGSAPGLYMGCFETMLNAPVPDDHRASYLQEAVSVFTDILCQDSF >cds.KYUSt_chr4.5817 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34012658:34012930:-1 gene:KYUSg_chr4.5817 transcript:KYUSt_chr4.5817 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSLLAIPRLPGYDYTHLHSQLLLMRLLLLTREFDRVNEGQIEQGRPKYLISDSEELDPEATMFRSKEYLDPQCRIAAATPPRLDGSEH >cds.KYUSt_chr5.40807 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257626282:257626887:-1 gene:KYUSg_chr5.40807 transcript:KYUSt_chr5.40807 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAKKAPRREEDLVEAALAAAAAALLVSGVKKLAPAVLVAPCWSWLWWPLPAAAPSPVLFLLLNVVIASIVVASVQPARRAAAATKGVVGDAAPAPAAAGEEGARKLKKRRSKKRGEESAAPAPVVAAEGCCMALVAMSDTAATDEQEAASVGDAGEEVDRRAEEFISAFRHRLRVDSFSSRRRDSDAAEAGAAIAPRC >cds.KYUSt_chr4.51647 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320533054:320533254:1 gene:KYUSg_chr4.51647 transcript:KYUSt_chr4.51647 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEGCRRQTVTGIPTSRLHTSNSRAQVTMEREARERRGLKSNASKKGNDTKVTPLLDPTRAKVFT >cds.KYUSt_chr1.1323 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7180769:7182734:-1 gene:KYUSg_chr1.1323 transcript:KYUSt_chr1.1323 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSSLFEGSTATGGNKTSWPELVGKSVEEAKEVILKDKPDANIVVVPADSPVTMDYRIDRVRLFVDTVAEVPREINRVLIHDSVTEASLAHSPTSSPSHLPAYTDGHDSRPPKPYLSHDQVYGECSDVTTDDITSSYDSFPDDYFFRNIDNLFGNMGDIIDANSAAAAAHTALFVTLSYLLQILLEFLF >cds.KYUSt_chr2.3845 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23168027:23172999:-1 gene:KYUSg_chr2.3845 transcript:KYUSt_chr2.3845 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVVLLVGFLLVLHKAADGSPWSFWPPGGDPGGCLSWRVMVEANNAKNWRTVPAPCVGYVWAYMSWGQYGRDVGTAADEIAAYAASQDPAGEDGLDAWVLDVDDTCLSNLPYYQARQFGPYDPAAFKAWASRAACPGIPAMVRVFRTLKAGGFRVFLLSGRDEEALGACTAANLAAAGFAGYDRLIMRSAGYRGQSSVVFKSSVRRRLMEEEGYRIRGNVGDQWSDLQGDCTGDRLFKVPNPMYFVP >cds.KYUSt_chr7.32901 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205143738:205145549:1 gene:KYUSg_chr7.32901 transcript:KYUSt_chr7.32901 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVSPIPIPIPITTISELKQHHSQLVRLGLASHPAHVRRLLAFLARDPARLPYAARLLAHHPDPHPALFNPLFASLPPRHAAALLALMLSLPLHPDHFTLPQLLPAAPLPLAAQLHALLLKLNFHSHTHSVNALLAAYLSNGRADLASRLFGGGSLSPALDVVSWTTMVGGLCRLGLVDDARELFDSMPERNLVSWNSMITGYIKAGRFLEALEVFDHMRALGLEGNGFVATSAVVACTGAGALARGREVHRWVEQSGIKMDEKLATAVVDMYCKCGSVDEAWRLFQALPAKGLTSWNCMIGGLAVHGRGKDAIELFHRMERENVAPDDVTLVNLLTACAHTGMVSEGRHYYNCIVQRYGIVPKMEHYGCMVDLFGRAGLLEEAKKVIDDMPMEPDIGVLGALFGACKIHRNVDLGEAIGWRVIDLDPQNSGRYVLLANLLASAGRWADVAKVRQLMDKRNVSKEAGRSTIEIEGKVCEFQCGSLCHPQEKDIFAMAKDMMRKIRLEGYVVDTSDVLHDITDEVKETSLLYHSEKLAIAFGLLCTRPGDTMRITKNLRVCRDCHEATKFISCAFEREIIVRDRNRFHHFRDGMCSCKDYW >cds.KYUSt_chr3.23873 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148042678:148043841:1 gene:KYUSg_chr3.23873 transcript:KYUSt_chr3.23873 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGGSSGDEDGSGVDGDAFRGHFPVPAACRNRDSCPPDLGFAMAAALEEKRNWHFGISSIDVSLTFTSCGNGGPASEVFVHEVRPTGSKRGATFRVIVHLDQMEDYSMAPLDFFGSSNDAGAFKPTPVSFEWHHLTVNDMPQVPLHNEGDEETLRAAALARRERRAMGDDHPRFFPSRRDNRDDDHDRDGAARHERCGLGERRDTEGIIRHDRTRSPRRRDAADGGHGWRRDLAHSQAPPACDTDMVNVTGFVA >cds.KYUSt_chr5.12261 pep primary_assembly:MPB_Lper_Kyuss_1697:5:79988532:79993416:1 gene:KYUSg_chr5.12261 transcript:KYUSt_chr5.12261 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPAPPVRRTRSRPPSSASSRKSEDPYAAAGANGNGKTSPRLASPKHLPGERTVKKLRLSKALTIPEGTTVYDASRRMAARRVDAVLLTDAQGLLSGIVTDKDISTRVIAEGLRVEQTIMAKIMTRNPSYVTSDSLAIEALQKMVQGKFRHLPVVENGEVMAMLDIAKCLYDAIARLEKAAEQGSAIAAAVEGVERQLGGNFTAPSAFIETLRERMFKPSLSTIVTESTKVAIVSPSDPVYVATQKMREFRVNSVVVTTGNTVQGIFTSKDVLMRVVSQNLSPELTLVEKVMTANPDCATLDTTILDALHIMHDGKFLHIPVLDREGQIAACLDVLQLTHAAIQLVEGGSGTANEVANSVMQRFWDSALALDPPDDEFDSRSEASLIVASEFGDGKSSIYPPIIGNSFSFKLHDRKGRVHRFTCGSESLDELVSSVRQRLSIVDGKDSIQLLYEDDEGDRVLLTTDTDLAGAVLHAKSSGLKVLKLHIEDELSSKAEVVKPLQELAPPRRSGLSTVKFGLMAGTVALGGAAVMVYLKRSRV >cds.KYUSt_chr4.23166 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145880501:145883953:1 gene:KYUSg_chr4.23166 transcript:KYUSt_chr4.23166 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGQFGLTTLPKLLVLSPDSLQKFREGSPRIPTETLLPELPQDVLMDIFSLLEIPDLVRAASVCSSWRSAYSILRSHPELYRRPQTPCLFYTSESAGDNVACLYSLAEKRVYNLTLPDPPIRSRYLLGSSHGWLVTADDKSELHLVNAITAQQIALPSVITLDSVVPIFDNTGTIVNYEVWEAPDDSDSDTEMVDREMLFHAPPDELRNHIYKSAFVFPDPSTGSYIVVLIHGPGCQLSFARVGDRNWTLLPPGWEYEQCIYMDDLLYASTRYGRIDAFDLTSPTITRNIISDEIDYSIGDHWGHLYFVQGPCGGLLEVCRKSSGHLDAGYEKPIRKTDKILLHKIDIAAKGLVKINGLHDHVLFLGRSQAQCLSAEEYPQSKANSVYFTDGGEYNWQSKNIPRDIVGQFPVQYIGLPLSVTRLSKSDLQPLVDKVAGHVPTWKASLMKKSGRFDSLGLRSDGYLHLHHVVPGFTPAVLQVHRHAAEGVSGQPPRKLGEDSVWCRGSVWFGHQEPAFAESCPTHEVINPGKLGEGMTGSQSYVCCGCTPRPEMDCGDH >cds.KYUSt_chr4.9474 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57177273:57181232:1 gene:KYUSg_chr4.9474 transcript:KYUSt_chr4.9474 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEDSSTDWSSSDSDDSDLDELLNDDDDTEMMLLLFGMKYMEDRAKLLDQRKGSTMGRMCIPRNRALGYEQLMQDYFAESIKEKKDKALTRKEACFTKNQEACRKDIERVFGVLQAKFAIVRGPARYLIVVDDIWKEDHWKVIKLAFPETSSGSKIITTSRNKDVAESCCSTSHGHIYNLRPLTMMQSRQLFYTRLFNSEEECPSDLKEISVQILEKCAGLPLAIIAISGVLADKISRKDQWRRVKDSIGRALRNSSVETIISLSYLDLPPHLRTCLLYLSIFPEDHIINKDNLIRRWIGEGFIHQQKGPHRQGDPTPYELGEKCFTDLINRSLIQPAEIDGSFGGNEVDSCRVHDMVLDFVVAKAVEENFVTIIGVPGVVNPDPENNKVRRLSLQKDGEIPPGLVLSHARSLHVFGGSVKIPSLSEFQLLRVLDYEECGQLEDDNLAGIGDLLHLKYLRFRNAHSVTELPKELARLQHLEIDIAQDYRHTEGMKIPEIVRNQLGCYVTVFADYYEALPDEIADTQGLRVLENLSVYNQSIEFLEGLGMLKNLRKLGIHFESHYADDDWEEQQEAAVLSLWQLGGASLESLYLFIKDEDADYNEGPILEEEWFSCPPCGIRELVIDGYSLTTFPEWLESFDKLEKLSLPVSDIGEKGVEILGALPSLSYLIIKWATDSDGGEEMEAATRRAMEAHPNRPTLVWRYW >cds.KYUSt_chr6.9718 pep primary_assembly:MPB_Lper_Kyuss_1697:6:59883154:59883626:-1 gene:KYUSg_chr6.9718 transcript:KYUSt_chr6.9718 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGSASNGAVVLGAAVLLIGFLVMSGGPPLAEAAKYTVGDYGGWKFNVAGWTKGKTFRAGDVLEFKYNGAVHDVAAVDAAAYRSCLLPKGKKALRSGHDKVKLVKGTHYFICTVRGHCQANMKIAVNVI >cds.KYUSt_chr6.11532 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71620181:71622274:-1 gene:KYUSg_chr6.11532 transcript:KYUSt_chr6.11532 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPPAPWPRVRLSRLPRQHCKARLLSLPRIRRRRRLGVCMAEMVRIESGSPPRELGVSIGEESDAFLGGDRLAGPRRDAAASEAWRVPVEAALNRMSWLRVSQRLHTLNQILVGATVGSAFGALWFALWHLLVREAFYSSMWVQVPVILGSVAFSVAFVVYIIRHWLKDE >cds.KYUSt_chr3.35788 pep primary_assembly:MPB_Lper_Kyuss_1697:3:224912255:224914873:1 gene:KYUSg_chr3.35788 transcript:KYUSt_chr3.35788 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQPSPSMAGEWDLASHIPPALETPEIPLLSVGLQAMNLSDQGQNQQSREEEKEEFTLLKVACSGGTPKILSLGSVQQAMTRAWRNNFYKVSQVNQLIFRAHFSSFESMMFVYTKQPWTVGSDVMLIEFESPEKDIEKGDYKFEYVYATIRAYGIPKKHRSFKILKDILNLVGNQSEFHELRQVMLESRPDYIWGIAKIKVGMSVYDRVKLLYSVNEAGITYLNYEKIGRICVFCGVMFHTVGNCNLRQRIVAEKIRSGQADQAQQVPFQRYGSWMVEPVDIPINFAVQGEGSNPIFSTYQSPHIGRSQRAIGEDQLRQRAGEGSNAGMTRRRLQFGEHSSAKEHEQQLQDHTVHPPRSIDGGNQTQSGARMTAIVHERHVGGTVGATASAGKSVLENNPGGKERSGGPCTVIQQGQLSPSDSLDLTSLPYPLEEEANILENLGRAAGHGLLLGQQNQQPGTDNIGMPGQTTKIFGPSSSTPDTFHQHEHLQAPYLGKEPLQVANTYQIPQATPPANLQSAMDPSAQMLREASPNSHHSPQNDPASPFPNPQLPSPAKSPPKRASTSLDLVSQQAAKRAAPATGQDGGGGDADLQAMQVPPGGAAGAQGQIFFAQMYPPAFGAGSLGAQLEEGGGAGALPSAAQAIADSRGGGGILGARPSFSNKPRSNPNPTLSAARSRHRRRPSGWDVEEDANAGIGHRVVGITHHKPGASTWRRVHRAQEVAVGNAGGEMLARLWGYLVSPILLQTVHRRLIAARLIEQTMIPGRYTRRRRLTLWLRKTLNKEVSHLLLWLASTIWVWMAVLAVMQPKARQMFKAKFNWAPLAMMLHKLCVTTLGEQAWTWISRQRRHGRHESLRMELPRYGQDPWQ >cds.KYUSt_chr3.23335 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144315147:144316817:-1 gene:KYUSg_chr3.23335 transcript:KYUSt_chr3.23335 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGPAVKPIAIKFSSCRGVAFEIKPSPASPFALDANPGRWVWFPPPFNRQHTSTTFRSAHSRATSSHFCDLDEETDDDDTDDDESVAADEDEEMALASDVRSCRSRRSLASSAKPVRAAAKGHSQLGVILLDQGLFTVYKRLFVLCVALNAAGLATAATGHFPYAKRHAALFAMGNILALTLCRSEAVLRGVFWLAVALLGRPWVPVVIKTGVTAILQSVGGVHSGCGVSSLAWLVYALVQALEDRPVTPREIVGVASAILALLALSCMAAFPLVRHLHHNVFERTHRFAGWVALALLWAFVVLSAGYDPTNRSYSGLSFASLAKRQELWLAAVITFFTFLPWLTVRRVPVTVTAPSTHASIITFQGGVKAGLLGRISRSPLSEWHAFGIISDDGEAHAMLAGAVGDFTRGLVSDPPSHLWVRRVHFAGLPYLISMYRRVTMVATGSGICVFMSFLLQPSSAEVSLVWVAKEIDANYGEGMSAMVMSNKILGGRVVVHDTATMGRPNVAELAVGAARRWNAEVVIVTSNPEGSRDVVSGCKKAGISAFGPIWDS >cds.KYUSt_chr4.27050 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169937423:169938148:-1 gene:KYUSg_chr4.27050 transcript:KYUSt_chr4.27050 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGNDHASPMATDEEQYNFMPSLPHMGLLVNFIMRRAESNIETTATGYNDGEYEDMIEYSDDDAYSGGDGDMIEYGDDDAYSGSGGDMIEYGDDDAYSGGGFGSVPASSDEIARLEKAKVGDTREAECAVCMESFLEGADIRKLPCSHGFHERCISDWLRVSRFCPYCRFALPADDKWTQSKTEVEHSAIEEGYDYEDYFAAVVHDNEDDDDDDDDDDDDDEEEEEGPCIYLNQRHEAL >cds.KYUSt_chr1.3866 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23636389:23647467:1 gene:KYUSg_chr1.3866 transcript:KYUSt_chr1.3866 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPRLTSGAVKEIAELPKGLGTIQPVLQVADVRPITAKSAAGSDRFRMLVSDGVHSLQSMLSTDLNRFVTDGTLRLGSIVHLLEVMCSDIQGRRIIIVCKLDILQSECDMIGKPKIYETKSSREGQEPNLRATAVAPRVEQVANNLSYGGPYNGVHGTLDSSIGRTVRSGPNNVFSRSSHDTMSTQNTMHTNVVQPNPQQPLLNSHQSQRFAVPSTAGGLGPPDNTYYRPAQTSYQQPPPAYRNSGPVTKKEAGPRVTPISALNPYQGTWKIKARVTAKTHVNHYKNAQGPGKLFTFDLLDAHGGEIRAKCFKDVVDQFYDLIEVGKVYFISGGGPGALKPAQKQYNHLNSEFEISMDARTSVEVCSSDDNSIRSQRFNFRQISEIANMDIGAMLDLLGVVTSVSTSSTVTRKNGVKTSKRVLQLKDMSGCSVETTLWGNFCDAEGQQLQLLCDSGSSPILALKSGRICDFNGRSVGTISSSCLKINPDGPEAERLRQWYITEGKNAAVTSLSVGMSSMGRTDVRTTIAQIKEEGMGKSEKPDWITVMGTVWNIKTDNFCYPACTGVVNGTRCTKKVTKDVDEMWQCESCEQSSQNCEYRYMLPCKIQDHTGSTMYATAFQDAGEEIIGLPAQDLFTIKNEDQDDEKFAEIIQRARYQHYLFKLKVKEETYNDEARVKCTIVKVQKLDDTAKESRFLLGVIDSLLAEDGSGSTPAVNGGAASNAGFTSNNTYTMNMGFPNQFGQQASLYGGMPSTPSATRYAQTGHVSRDSPVQANSYGPSAGNTSTSLCYMCSQPGHFARDCPVQAAAPRRQTYGNGAATSGYNRQSNAGNF >cds.KYUSt_chr1.37538 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229237771:229241093:1 gene:KYUSg_chr1.37538 transcript:KYUSt_chr1.37538 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAWRVATRRRRLSLAPPAWSAAATKFSPALSPVKLAASPSPPLPSQAVPSGSRFSCLQGGDKVVQQGVGGRSSTAKPPFQSHIAGLHSAAGAQESASEALEGSNTLVIGRFSFPVPLDHGHRQGTDLHRSGWPSSSSPFGEGVKLRAKGVIGLPVSTADGPAKRRHFSGVSFHNSIVASCHVSIEAAFSTSELLHNPVKLWAVLASGKDWSSVSSVRRVIAQALGIQRQRLKVWFLGPRMAPFQVANSNVANFLLFRGSFVAGKAVISVVHSLLQATAMDMQLSMGRPEVEDESSKFQISVPLGSCQDKTVIRYSQLQEDSEGTIGSNPGGFLQGSSVLPLQNHSARQPTHANPLLDAVGVRRAGDEERCMASACLAAVPLPEEAAVNPPLRSSNLDRVTPSSSESDDPGRSCIIGVSPAVHVEGVNSQPEKDPDALTSQPSYTPSPPKISSSLPRRHISSLGRCFRCLSKGHKRKDCRDPVKCKRCYSMDHRDLSPIQEVPEPQRVPAFRAVEMLPGGGDGDFVFTPNVDDLFPERPDYLDVYLPQVDMGRFDNLAFAYVFPFALNPTTIIRRAALKLAPEISVRLAPSSQGSALAIFYSGAERDLCLGDTHVQWGCIDYAVSFVPHNATEYNFDYAVGFLATIFIYRFPMQQWTEKSIAKAVSPFGALVAVDPVSFSDTDFSSISVVLHVECISKIPRILVVKEGGHGTLAWIDVIGFLDLSLPPSPPSSPPLPPAPGFIPVLSSSEEDSLAGFTDDTVSDRMSFRSSPISVLAGPGGSSFVHASQEIAAHVNPSEEVLMALPETMLEQVGASAMLLLGRTADVSTLGDQTPTPTLPAPGLAMTGGLEDIVRPILSEDEAGLVANPTNSPELRRKGIAWGTSMDEAEVYDLSALFTTPSPQMSVPQEALAPVVETAGPRRSKRVAEQSDGGYINAVDRAVQKKAMDVHGIGEGSQGAQKKLKIPKKAKSSATDAGPAAIAPLQVGDLLYLGKACGFAEAELVKQEDAAKRCDDE >cds.KYUSt_chr6.31850 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201216572:201219669:1 gene:KYUSg_chr6.31850 transcript:KYUSt_chr6.31850 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKKELSDLCDEVRLRWKNAIKYGLFDNHTKYDLLRPGGNAPVTLDMAVKIDGRSDDGKKEALDIISPLSKKERHPMYAASYERRLGATAVAPPAVIQPLPDERRALLIGEFPAATTAIARSALFPAALLRRCRGCSRVSQLVLSSTPPHKITASSSPTN >cds.KYUSt_chr5.6258 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38626999:38629396:-1 gene:KYUSg_chr5.6258 transcript:KYUSt_chr5.6258 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWLARPAERCLGRSACGCGGGGSGDGGGGDGLLWHAELKPHASGEYSIAVTQANERLEDQGQVVTSPAVTFVGVYDGHGGPEASRFLSSRLFPHLHKFSTDQGGMSADAIKKAFRATEEEFVQLVKGSWLKRPKIATVGSCCLVGVIADNALYVANLGDSRAVLGQRGPNGRGVVAERLSKDHNVADEEVRKEVSEQHRDDPRIVVLAKGVWRIKGIIQVSRSIGDVYLKKPELSRDPLFQQHVCPVSLKRAVITAEPSIKIRQIQQQDLFVIFASDGLWEQLTDKAAVDIVFKNPRAGIAKRLVRAAISEAARKREMRYADMQRVERGIRRHFHDDITVVVVYLDKHRHGTQPKISNLNSFRFTNAPVDIFSDGSDEPQHHPQRLNHATNGAVS >cds.KYUSt_chr7.11782 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72351519:72359253:1 gene:KYUSg_chr7.11782 transcript:KYUSt_chr7.11782 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQRPSAIDINAEAEVEAVAAVVDEGLSDKPAGVADADQSRRRASSPPSDLSGGLHVAGVAPPASSVSSVSSQLRPGTPAPLQGHPASRFASGLPPVKLTGAAVPDLNRTPRSGDSCPGATQKTRQVPEESMLQPRIMFDEMAPLAPTMDDPDYWKDRHDSDIQEIIYGGGFIRGDRAGTGPHDDWAATQDAEDIETARLFATQQTQPTAVSVDDFDDAPTQPDIATKKKGKSLRTQGFIDDEDKCLCEAWLATSHDCINGAQQKGNVYWAKVLQQYNGTRMHPPYRITSPRTEESLRKRWNYIKQETSKFCSAVEHDINNPVSRALEKFRATHKKCFHMVHCWDVLKDSNKWMTSFASYNEAVRNGTTINLDGEDDDQGRPALPPRPRGHKASKADLVREAHAIAFTQSMEKIVADNRAALAGRDEKRRLEKEVATTIYHNLAKEVIEVQKADSEAKLLDAEARRMDAKAKILAEDTRIMLADLSSVDEDTRAWNLPAMKGAKSKGAAKAETKLAVKSKGAEKPAPKGRKSKPAKDPNKPKRAPSAFFVFMGEFRKEFNEKNPKNKSVAAVGKAAGERWKSLSESDKAPYVAKANKLKGEYNKAIAAYNKGESTAAAKKAAPAAKEEDDDEEESDKSKSEINDEDDDEGSDEFKNFSALLMAFFFAITTPAGITVGAGIVSFYNPNSRRALSEDELQPEASSVLIRRLVSWGYGHDQRLVINATVGCHQGLWGHGLPPFPLPPGAMAAWPTPPSQCNGDAAEPNVPPHLTEAGSAATSCGGRCHVSPGRSCRHSERAVSKHWTQGQLVNKDFPSLVTLTY >cds.KYUSt_chr3.41614 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262556146:262561532:1 gene:KYUSg_chr3.41614 transcript:KYUSt_chr3.41614 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASGVGGGGGGITPLQQMPDAVGLAAAADPQFVLMRNTMREKIFHCNSMSSLGFARRIPKRRRITKTPPQQQVERQLLDLNSFPAFEGAGNGGSISIQEPASHSGTSGTVVAETSQLLVPPAAAESNIGMNSFAIDVEVIDDDVVIYSSRPLPQARHQSARERPVTVIIDDDSETPDGPTGEGLDEHVNTLLSLGMNPRHSCSRAPNSLVINIEDTPETNILALPEPVREVPKEPKFSCPVCMNELVEPSSTICGHIFCQKCIRAAIQANKKCPNCRRKLQMNNFHRVYLPTTDH >cds.KYUSt_chr2.19519 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122821481:122822263:-1 gene:KYUSg_chr2.19519 transcript:KYUSt_chr2.19519 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAVLPPPRLPRRTAAIAELYSRTPCLSINPSCMASAAAPKQPKRRGSTCSGEPPAKRVCAASPVLAAAAAIVGSKKRPCPSSGRDLTKCPTLLAASPNLAAAANVFGNKKRPCPSRARDPTKCSTLLAAAAAAIKNQSTDSSRNHLSESTAAQAQPKPSTGCSISELIEKARLAKAAEVAVERSDIERRRSEERRKLEQMVATAEFNDPFIDWTDVLMSSQQLREAREAAAGAEARIVAAARQREREAQALRCSVLG >cds.KYUSt_chr5.22819 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149029028:149029255:1 gene:KYUSg_chr5.22819 transcript:KYUSt_chr5.22819 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALHQSLARLLLSQIPNPVARFLLPNPRPLLPSRGRPPDSSPPLSLAAAGCGRRCAACNSPLSISVGVVVRLL >cds.KYUSt_chr4.44306 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274518728:274522148:1 gene:KYUSg_chr4.44306 transcript:KYUSt_chr4.44306 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDEDGAANNGFPHRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPQGHALDVAIEEARMTMTDEERADPRHHPENYTRWNSFFLRRWERELASYDGPPPPPPRNNAAGRRRWWSVPNRTLENVLEHIEGGNVPVLTMPPPSRASASRPRRGNSWQPRHMAASSSSSGSASRSAPSSRSAPSSNRARSGGGLVIREPSTARGRLRPKREEDTSGERKRKPAKVKVEEEAANDAEDAAILEAVKARFLQDLVPADNALPLDQAYAWSREQWEKEEAERQARLLEDVARFRRQRLLHPAPPCPSSTSRGPTMNVRDQVYKAWWGGLAKFKARANAPFALVSRLSSPAARMPIRAWRAARPAPLGAGRTRPLSVAAASQENRDNSVDVQVSQNGGNNQQGNAVQRRPRRAGFDISPFGLVDPMSPMRTMRQMLDTMDRLFDDTVGFPTARRSPAAASEGPRMPWDIMEDEKEVKMRFDMPGLSRDEVKVMVEDDTLVIRGEHKKETSEGQGEGAEGQGDGWWKERSVSSYDMRLALPDECDKSKVRAELKNGVLLVSVPKTETERKVIDVQVQ >cds.KYUSt_chr3.30783 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193163818:193168100:-1 gene:KYUSg_chr3.30783 transcript:KYUSt_chr3.30783 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGRCLLLVEIPDILAPHTSTRTTTATLSPSRSPRIVLAFAHRRRPSPAPTTFSSSSPTPAQPLPIIPSRAPRPRGDHDRTGGLVCAAMAMDLAMVVDEAVKSDAEEEEEVMVVVEEGTGGGRCGGEAVVVAAADAEVEGHPYAFHVSGPRNLPLPNWREIIRSSWKDPNYKRTAMACFIQAVYLLEIDRQDQKGEEDGLAPKWCKSFKYKVTQTLVDERDGSIYGAILEWDRASALSEFILLRPSGAPRAVLALRGTLLQKPTIKRDLQDDLRFLVWESLKGSVRYTGALEALKAAVDRFGSTNVFVAGHSLGAGFALQVCKDLAKQGIVVDCHLFNPPSVSLAMSLRSMSEKASYLWQKVKASMPSKEEASLESAKEEGSIKKRLRAEKKWVPHLYVNNSDYICCHYNAPSSTAPSSTGPDGAPDEQQQQRKASEIAGDVVAKLFVTSSKGPQKFTEAHGLEQW >cds.KYUSt_chr6.19476 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122541497:122543868:-1 gene:KYUSg_chr6.19476 transcript:KYUSt_chr6.19476 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFHISPRMAISISVVIAFAALTVPLAMRSLIFHGTTKMKVLAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGAFLMGTHVTSTMQKGILVTSVFQGNDPLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGLVYGGVNAVFWSSLARVSSSWVISPLMGAAVSFLVYKCIRRFVYSAPNPGQAAAASAPIAVFTGVTAISFAAFPLSKILHIAVLQALACGAIGAIFVSRAIKKQLGDLLSSEAEKIASADNTDVQQGGFDVAGPRGAQLQIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSLLQGVASSAEIVIPTEVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRSETVREIVVSWLITIPVGALLSVFYTLILTKILKYFM >cds.KYUSt_contig_1467.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:64031:65272:-1 gene:KYUSg_contig_1467.12 transcript:KYUSt_contig_1467.12 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGSSSSISPVRPWPPRQRSAQDVDEEYGRAFKSRSFLDLWSHAHRSLKHNLSSYKLSSRLSSSFSDDQVPANEEPSSCSYAVLNDFVLEPSPETLTRPGHRRSRRCHGRRRVEALLLEYFDVTREACEACSALLAAAGAAQRHHLVLRRLLLRLAAEGDDRAAAARDALARHIGSDNPLSPAGRRLTGFNDAHARCAPLSRRLVATRRRLRRLARAARIARCAAATAIVGASAAAVVAAVVLAAHAVVGVGAAAVLTFCATSTTRPSARRSFSINKLARRCHRGRRRRHARAGEAAVDAAARGAYILGRDLDTVSRMVRRAHDELEHGRDMARIAVAAGADGGERPPLLLQEVAREEEECGEDLRCQLEELEEHACLCLLTINRSRRIVTQEMTTPDDGSPSTETTSKY >cds.KYUSt_chr1.9180 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56170918:56172222:1 gene:KYUSg_chr1.9180 transcript:KYUSt_chr1.9180 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESCDLNKDEAEILKPSSSTAPSPPSPTTTSPPPPPAATQTPEPQPPQSPPQPPPAQFSSRPCEVLLVDPSKKRKRADADADAVAGAEAVVAMSMAAEPLSPVLFVNRCNVCRKRVGLTGFRCRCEKLFCPRHRHSETHNCSFDYKTAGREEIARANPLIRAAKIMSCKNYMEDKILEMLQVNHAVIPRLIY >cds.KYUSt_chr1.23964 pep primary_assembly:MPB_Lper_Kyuss_1697:1:142882033:142889376:-1 gene:KYUSg_chr1.23964 transcript:KYUSt_chr1.23964 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSNSDLKKGSEDALVSFHDEIVAIQDKKNKTLSELQQFVVSVENLRIEEQIFDEDMKNSNASLTKKWEELRAAYLRKLACGHYVQNREEDTASMQEVYNLEKSLKGLPGASANVPTTSDYGTAAPLSTIPGSENEFKQDQRPFARGADFTGGGPANDWADSFRPPGSLHSEGMTQALQSLTKFITMQALPLDQLDQPWMVHHKGSYLVFCIHFFQAAELVCHSNLSQYQLLVNTLLHSLDIDNSYRTKNPLHGPYPEMEEYWNQSQSALRSGPMHNAANNWAAEFGKQNNNPEGWVTEFGKQNNNPEGWITEFGKQNNNPEGWARSFEQQYGPNGWASEFEQHQSQMAMGQMGGANMANLAAEIGNWFIMLSQGADKWVNREPIVFMANSVLLYELHRFLTLPSRLFVKPAGRQTPGVRQYVRAETSIREHVKKLVLYIRDIYEGQIDLNEEPPSKTSKLNNGGSNRSCVKDLFGYMTQGVKRSTMMDELD >cds.KYUSt_chr3.39318 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247664199:247665131:-1 gene:KYUSg_chr3.39318 transcript:KYUSt_chr3.39318 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDDGGPLRSTSIYVPHTPAAGSLTGIGPGVETTLAPVVLGLAGIGLPNSNIARLLSLVPSRFRRRYVVSNLQYCLLLYGSYENLLRALKLNNRLLTYSLERIIKPNVAIMRDCGIADCDVAKLITAMPTMLTINPERVRVLVACAQGLGVSPGSPMFRHALHAVAFIGEENISTKVDYLKKTFRWSDDEVRMAVCKAPLLLTRSKDTLQSKSEFLISQVGLEPAYIAHRPVMISLSLQGRLRPRYYVLKFLKEKGLLHHNLCYYTIVKMTEKVLMEKHISPRSEAAPHLAQDYAAACRGEVPARFVFA >cds.KYUSt_chr5.38454 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243146523:243147026:1 gene:KYUSg_chr5.38454 transcript:KYUSt_chr5.38454 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDLSTMAPSSYSDDEVDKAVDKAVDAALAAVEARSNKPRGGKKKKWKTFRYPPDEAEMLMNIQLNTYPKMPNVSPELEADFPEIFSQLRATWARCTEVAEQSNRQLLAKRDEIRREYAAKGYVTYKAEVTDEDEEEGPRRPRGGGRRRFRAGVGKHSSGARKLN >cds.KYUSt_chr4.36868 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226801716:226802192:-1 gene:KYUSg_chr4.36868 transcript:KYUSt_chr4.36868 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVLVHHRNYQEPASRSQEAYHPDPVSPPGSAGSIAAPGTPSAQRLPPSNLNGHASVQSSAARHQAQRPAHHCSSRGPLALSEEDPRRASHRDTPQEAGAPPTSQDTSQAANTENSEEEPLPGLKFPRRRLQEGYDVKGAVVARFGMPNLRFSPET >cds.KYUSt_chr6.19166 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120559005:120562683:-1 gene:KYUSg_chr6.19166 transcript:KYUSt_chr6.19166 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGLLRFLVAFLLLVGCLGRPDPPSPAPSSAPRTVDGITAIYNFGDSISDTGNFVREGAVGMMERTGGLPYGSAIGGATGRCSDGYLMIDFLAKDLGLPLLSPYLDKGADFTHGVNFAVTGATALDTASLAKMGVNMAHTNSSLGVQLQRFKDFMANTTKSSTEMREKLSKSLVMVGEIGGNDYNYAFATNRPQANNSGLYNIGHMLTGAVESLVLVPQVVNSITSAAKEVLDMGATRVVIPGNFPLGCVPSYLSTVNEQDKSSYDGNGCLIGLNLFAQMHNVLLQQGIRELRRMYPSATIAYADYFTAYVQMLRSASTMGFNATSAFKACCGAGGGAYNVDMDRMCGATGTTVCARPDEYLSWDGVHLTQHSYEVMAELLYHRGLASPAPVKFPLAACVRSSPNNGSSSMFMLPGQAVVPSYVSMGGGWGACCVEGYGTVVGIVCRKDGGACTVDGDCARSADKSSNRLRAPAIAAAPRCLGPLEFAGARLRSMDEGDGPVMDSPTSGDPSRYGNACHPECAPISCAKGH >cds.KYUSt_chr3.40165 pep primary_assembly:MPB_Lper_Kyuss_1697:3:253144848:253149267:-1 gene:KYUSg_chr3.40165 transcript:KYUSt_chr3.40165 gene_biotype:protein_coding transcript_biotype:protein_coding MATETSTSAAAGSGAGNWVESYTGMSTDNIKGLVLALSSSVFIGTSFIVKKKGLRKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEIANFAAYAFAPAILVTPLGALSVIISAVLAHIMLKERLHIFGMLGCVLCVVGSTTIVLHAPQEREIESVAEVWDLATEPAFLFYATVVLAATFVLIFRCIPKYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWLFTVVVVACILTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDAISHALAHTLSSMAEGEEYKVLIEQQANKDGSEPHQHEDDDDADDTSSFILVMNFVLSGTARLNVLLPTATILAFAIFAPLVTDDGKCTRINHVLTAAFVLLCATSCVFFTLTDSFRSATGRLRYGVATPTGITTFCGGRKAPREPEKYRLRWSDMFYTTLSLVAFVTFAASHHDIVRCYYPEVPRKVVNTVPLVIGFVVSLLFVLFPSKRRGIGYPFLLRTDLVYLRR >cds.KYUSt_chr5.2835 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18657502:18659712:1 gene:KYUSg_chr5.2835 transcript:KYUSt_chr5.2835 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTTVLPLAAMVVVLLHAASRAAGAGGGGDGSCERSCGSMKLPYPFGFSSGCTIRLGCDDDVAWLGDARELGLHVRNVTARGILLELIPDCSRAFNASVEALFSDNYAPSSRNNLVVSSCSPVAQGARIISDCSSNPPGSYMDRSSSHCSANESIGCIVPLPPSDSRGRHFHFLGKHEVLSSECVGLVSSVSYQDEPMPGLMLGMLRLDWWVQGRCRCSPSANCAQFTAPTTGQEVFQCGCMEGFEGDGFIDGAGCRPPGASSWEGRKLQVFTGVTAACGTFLLSASIAVCFFLHRRGRSNAMKTAKKIPKHARLFRGELVEDELDQGAGAPRRFYYDELTVATDNYSDDRALGKGGFGSVYRGFLSDMNREVAIKRVSETSRQGWKEFVSEVSIISRLRHRNLVQLIGWCHGGDELLLVYELMHNGSLDTHLHKPDCVLAWPVRYEIVLGVASALLYLHQDTEQSVVHRDIKPSNIMLDSSFTAKLGDFGLARLINDGRRSYTTGLAGTLGYFDPESMLSGRASVESDIYSFGVLLLEVACGRHPAVVHKNGDVVHLVQWVWDLYGGGGIVGAADERLRGELDDREMEYVLVVGLWCAHPDRGMRPSIRQALNVLRFEAPLPSLPARMPVATYGPPTNPLSFGTLVLSSTNGR >cds.KYUSt_chr1.32490 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197296969:197302870:1 gene:KYUSg_chr1.32490 transcript:KYUSt_chr1.32490 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLTGGLRRSLSTAASRPPWALIQLTSMDKSGAPAPGASFHLDAPPFLTNLTVPAHFVHPRPLLDPATGKHGSVPGQVCATSAHGFLLLRFWESRFAFSVGGGGGDHRESLVSAMLNLSFTDVDTNPETTRFVCNPLSGELYRLPDIDGTKRTSACNHLGILTQSQNSDAPPDRYAVAEMFTAGGREEEEGLAMRRFLSETGEWEKLVGLPSPLPAAAGRQMDVVTAVVAFGDRLWWVDESWGAVSVDPLSDRPEPRFVELPRGSVLPDLKGMVFMKQLGRYRRMGVSDGKMRYVEVSKDKPYVISAFSLDDGGTSWTLDQEVPFLHIWMDERNQFPPLKEMPVIGAIDPLNANVVYLVCGDQLLGVDMVNKKVTGSSRLAIPRVPILPCVLPAWLESTKIPYTVRAAHAAMSLRRLLGISAGVSGRLRRSLSTGATSRPPWVMFEQAAAAGGLRLRSSALIVEPPGFSQLSVPALNVNTSDVPDPDSDVVQILCGKVCSASVDGLVLVTVYDMRAPAPILAKQGAHHVRQMITEIVPEHYPDTTRFLCNPLTGQVARLPDIGDGPTQLLCGPHMGVLTQADRGHGPPDRLAVAELQGNRILRFLSDTGGWELAATAPYQLPLARRVYFERGQHDQEAVAFGGRLWWVDLTWGAISADPFSDRPEPRFVELPRGSVLPARIEAPTGRLLRTVEEGEALRRGGLGRYRRMGVSDGRLRYAEVWNREPFVLSVFTLDDEGSAWTLEHRLVLSRLWADGDHPWLPLLEKTTPQISALDPLNSNVIYLSVGEHILVVDMNREEVIGSSPKDGTSSCVTCVLPPWLDSSRIPAAGNQ >cds.KYUSt_chr4.8048 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48013978:48014628:-1 gene:KYUSg_chr4.8048 transcript:KYUSt_chr4.8048 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSFPPPLPFNSESITAHAVHPRRRTFLVSVSCDCDAMPGTFSYGKESGRWRCRGDWMLPFKGPACYDRDLDAWVGLYLDPHGEDARRNRNAADDDVDYHLCVSRVTSARRGQPPEWKKVSIEDLSVFHSDDAPWWGSDVKVQLLYMRERREYCLVEYLDNHALCLTVFRLSYSDDGELIVASDQPARSYEMPTYYKECFDDTDCGDPAYHCML >cds.KYUSt_chr2.53842 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336049144:336049635:1 gene:KYUSg_chr2.53842 transcript:KYUSt_chr2.53842 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFSVASLYTKLPSLSSSPSQTQNQSAMNPTRLLLILATPCKLDDHLASFSSSRLRSPASSSSSSSPVSASSSSSRYSSSSETTTASRPAPQPRRAPPRHPAGGLHELFTVRQGRCDHARHLRPPLWPLRPWRCFCEAVNGAAAIVVQRCYKGWPGLLPTA >cds.KYUSt_chr4.43583 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270291108:270292118:-1 gene:KYUSg_chr4.43583 transcript:KYUSt_chr4.43583 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPPDAEPVAATKSSSSFVIAALKREMEAGNASGAGCTAIHRLAVALQAALVDAGFLAANPPGSRLGLFKNSVSGASTTLTAKYTLPEFVAMLPEAAEEGNIVVLNYSLLSNFVMIYACVPGAQSAVHRLCLELPKLAPLLYLDSNKAVGAAEEEEILELWRVLKDELCLPLMISLCQLNGLPLPPCLMALPDELKDKVLELLPGVDLAKVQCTCKELKDLAADNDLWERKFVLELSTLGEGSRWGRNWKKWFEVAWTRKMANSRRQRKRPRTSSMDYGWGNFPPSPLYVLEVDDPLGFPDCSGLYMDYGWGNSPPSLPNFLTIDDEMDRLHWV >cds.KYUSt_chr3.8509 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49227063:49229215:-1 gene:KYUSg_chr3.8509 transcript:KYUSt_chr3.8509 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAYADLSISQNPATSGAPGATEAARPVLRFRDPPTPMSAAHDAGLRALNLLPFARLDLTVSGAPRPDLVAELIANYKYTPSCATGWSSVGGKTIEVSLGALADALLLPGRPTFRPPHGVHPAAVAAAAEEFIKVFLPPLPAIVRYGWVDIKLRAVQDGRAHEVDWTELFWGEVTMEMRHLLENTTAYRYGVIHYGAYLQMLIWSQRPELLRPSMVADAPPHKKQGSSNGSPVLKENRNRCCFEPNMPKNNMMSSNLFDVASKKIDSASAIIDAAAKKFGSASNMMDATSKKIESASDAASKKLDMATKMMDATSKKIDEKLDVATKLMDATSKKIDLASERLDDKFDVATNMITATSNKIDVAYQRLDDRFDVATKMMYAASQMMEATSRKLDARAKQLDEKEDDIQAIESLNQALLTKERQSNDELQRARKKLIEGLPKFTNARENIAIKRMGELDPTAFANAYRTNKPRADTQTNSAILCSKWQAQIANSKWHPFRIVTVDGKPTEILMDDDKKLQKLKKEHGDEIYALVTKALLEINEYNPSGRYPVQELWNCKEDRKATLEEAIQFVLNQSQSHKRKRRSAGWGDLS >cds.KYUSt_chr7.30272 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188482083:188485388:1 gene:KYUSg_chr7.30272 transcript:KYUSt_chr7.30272 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHGAPIQPRSRNDPAVPDIEQPEPATIQLMNSTATLKPGNSQMADQATGSGIMQKVKGVSNSSPESTIKADFEFLWRLRKYLLLLAVLAVSVTYNAGLSPPGGFQMDNSLHYNAVAGDPLLPVTFFRRYEAFFYCNATAFAASLILIIFLLSRGVASKRVWLRSMQVTMIIDLFSLMGSYAAGSCRAIRSSVFILVLVCAVFVYVGIHILVFITVVPKGLKELVQNFLQPILEKLRGMLNQMLSICHVPRVDGQSNGGYEQKEIEEARKFILMLATFAATITYQAGMSPPGGFWGANGHGYRPGTFVLRRHNLLRFDIFTCCNATSFVASLVTVILLLSTDLSTHGISTGALFVCVVVDLLGLIGAYAAGCSRDVATSVAVVLIIVVVLICVLVLVVFLQSKTATDWIYKEVKPAFNEFLRMLSWPWDSHQKNTEHVSRETEAEGMSNLQCCPADINLVSTGDARSAALASDLDSMSRSLTQTDGSEQAAMEVQSGGSQKVAIPMEPSSSSDSGKPTQDILRPQGLVDQNASADLITDVDHWTMEKSSEVRFSSPCSHADSIEKHQERSCTSRNTEEVLLKKSRTYLLFLAILAVSLTYQAGLNPPGGFWTSNATDHLAGDPILHDSCHKRYLAFFYLNATAFAASLVMILMLLSRNMSNRVIERRSLQTAMITDLLALMGAFIVGSCREKTKTIYISVVIFPVVAYVCLHVLVSVLIIPRWWKECVAKRIQYVLSESPRTDNDKRAASEKDLEQRRNFLFVLAILAATITYQAGLNPPGGIWPDGSMDGKPGNPALQDSHPKHYDVFYYSNAISFVSSVAIIILLVNRESCERGIKSYALRVCLLAGLLALLVAYSAGSCRKLKSVFYLIAIASVVLICLVIQVLVLSSTRGALEGPLTSLRTWLQKISHLQTDAEKLLESSDEANQEINASGSAPQINEKKEKKRYKYLMLLAVLAASIAYQAGLNPPGGFWPDDTSDGYKAGNPVLKDIHSRRYMVFFVFNSISFMSSTGVIMLLLSKSVRKKKVPLQALHFIMILDLLALLTAYAAGSCRKFKTSIFVLVVVCCVVVYLLIVIIFSSGIARWLKERKVSSLMGIS >cds.KYUSt_chr1.11696 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72092387:72093962:-1 gene:KYUSg_chr1.11696 transcript:KYUSt_chr1.11696 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPRQAHGAALSMVFAMLVATAAVEAQMDACGADLRTFLPSPFSSSRLHCSPHWQNQDNIVSIILSATYTSGWVGIGFSNDGKMVGSSAMIGWIDNHGRAYIKQYYLGSQTSSGVKVDRGNLLTTDTPPAVVLYGDNIYLAFQVNFSVQLAQPNIILASSAITPNMFHLGEHDDKTTLSFDFSSGDPVSNYYPYQLKRNHGALAMFGWGILLPSGVIVARYLRHKDPLWYYLHVLLQFLGYIIGLAGVVAGIALYNRIHSNFTTHRGLGISVLALGSLQVIAFFLHPNPDSKTRKYWNWYHHWSGRLCLFLAAVNVALGIEIGGANMSWKVIYGAFISVVLITVTFLEIMLWNKLTKASTPGFQMSTCSLES >cds.KYUSt_chr2.42238 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263084998:263091248:1 gene:KYUSg_chr2.42238 transcript:KYUSt_chr2.42238 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASLEGFPYRGSRYWGFRDEGFNCFFVSGPTQPSPLPPPPPPPPPPPPPPPPPPPPLPDELLEEILLRLPPEDPGCLFRASLVCKPWRSRLTGSAFGSLYREFHGKPPLLGFFENDKTVFCWFTPLSPTSPFLPVHPDHRDLFVLDSRHGRVLLNSVGSEGESLDLIVWDPVGRRKWGLPYPEFDDWTTVPDDAAVLCAVDDCDHLDCHGGPFLVVYVRTDEDGVAHACVYSSESCAWSPVTSCEHPNSILEVMTCWPKAHVGDAVYFSCTWSKIILRYDLFTQELSMITWPAMYKWEKANPILMRTEDGVLGCASLQESRLELWSMESHTDGTVKWVLSRVVELENWLPSRPTHVTRFVDGVGIFFVRTNLGIFTVELKSGRVKKISNSKDQVIPYMSFYTPDQSGGIMPPSTMTSSSENVETAQDEHHDLLLQHSSGEVGDVKEKWVEKGEEDCERKEGGWHEEGSVEDWEWKGEKAAQKLFEKGSKAIDEGHFVAAKKLLHRALKSRNLYIFLSLKSASKIPDALPYALKVFSLYKSRMEKLIRAKEALLAVKGDNASVAEIGSEISSLDNEIESLFNISSVLGEKSYIFDLQIAGPNNSMSTTAQISAEPSLKKDTEDSSPVKGYSSNKSDVYPAARAVLVTYA >cds.KYUSt_chr2.39202 pep primary_assembly:MPB_Lper_Kyuss_1697:2:243032634:243033434:1 gene:KYUSg_chr2.39202 transcript:KYUSt_chr2.39202 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr5.15116 pep primary_assembly:MPB_Lper_Kyuss_1697:5:97656548:97661817:1 gene:KYUSg_chr5.15116 transcript:KYUSt_chr5.15116 gene_biotype:protein_coding transcript_biotype:protein_coding LSNWCIFHRRRAKRVVDTWEKQFNNATTDKKVSFLYLSNDILQNSKRKGSEFVNEFWRVLPGLLKDFYENGGQNGKKVVARLIGIWDERKVFGTRSESLKDILGDNPPILNKNDTSSNPTSKPSSVSKCAQKDLSPIIKKLTVSGMPEKIITAYQSVFDQHFDEDTALNKCKTTVSALEKMDKDVDEACTNDIQQASSLISKLQEQEADLKQCIEQLESVDAARITLINHLKEALSEQEIKSELLRNQLQEMTSKVGIFSDAFAVIAQIEAGCLLGDEPKKTAAAMADKLASLSSPEQVLSSILASFAAEQAASMSGGSPSIELSEAPPGFPTPKRPRIENHTEAGDMGAPTFYGQLQQAQQNGAPPTSLAGMQPLAQINQAPGIFLGTGLLPALNSTFGLDLAAAAAARRTSKELVAVAPLGCSSNLLAVGRRRMGTPAAAMPVVVGAGAAAALVPGRRSWSKKMVEMRRRSALRGAMMIYELELIDESVVCIFMRWPSHSR >cds.KYUSt_chr2.415 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2532884:2550879:-1 gene:KYUSg_chr2.415 transcript:KYUSt_chr2.415 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTGRLACWAVLLAAAGLFARGLPASAVEDRLGAQTPSEFGASSGDAGAPLLHGDDLPCGSPSSSTHTCADLNGTGSLDTTCSLTTTTLLTAPACVYGAGSLSILPGVTIACPHPGCSLALNLSGTIALGERAQVVAGSITLYATNVSLGADSAVNTTGLAGEPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWVYLDSPWSYGSKGGSFSADEDLGGDGGGRVLLRASEILSVDGDVLAEGGVGGLRGGGGSGGSIMVQAYKLYGNGTISASGGNGWGGGGGGRISLESYSIQQDLEIIVHGGQSFGCPENAGAAGTIYDTSLETLKVSNGNFTTHTETPLLGFSVTKLWSNVLVESNAKVLVPLLWSRVQVTGQIRLLSNGSICFGLSDNPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGAKDVVLTSMLEARNLVVLKHGSVISSNAALGVYGQGLLNLSGPGDEIKARQLFLSLFYNIEVGPGSLVQAPIDEDVQSTLDALSVCESKTCPTELIAPPDDCHVNSSLSFTIQICRVEDITVGGIVRGSIIHIHRARTVTVTNDGAISASELGCMEGIGRGTFLKYGAGGGAGHGGQGGVGIYNGMISEGGQQYGNSYLPCELGSGSGSVESADHSTGGGLIVIGSTKWPLARLSIYGSVSSNGESNRGITGESNGTFKGGIGGGSGGTILFFLQWLLVEKNSALSASGGEGGIHGGGGGGGGRIHFHWSNIATGDEFVQIASINGTIESSGGTGNDDGHFGENGTVTGKECPVGLYGTFCDECPVGTYKNVVGSNSSLCAPCSLNGLPNRADFIYVRGGVTQPSCPYKCISAKYKMPNCYTPLEELVYTFGGPWSFAIFLFFTIILLAIILTALRVKICESDITYRSTNAIHNDAYASSPFLLSLAEVPGASRAEETQSHVHRIYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAFEWWEGSVHSILSVLAYPCAWSWKQWRRRKKSHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVTSTIQKRFPMCLIFGGDGSYMSPYYLHSDALLSNLLGQYISTAIWNRLVAGLNAQLRTVKKGSIRSTLEPVISWINSHGNPQLERHGVRVELGWFQTTASGYYQLGIVVAVNEHFYKSQHHHEHAPDSGDRSRKKIAVPVQKSKQANQEQPCTSYAVSRKRLSGGVNGVVINEETLKSLDCKRDYLFPFSLLLQNCRPIGYAEALQLLICILLVGDFSITLLMLVQYYWISVGAFLAVLLIPPLALLSPFLAGLNALFSRGPKRSSVTRIFTLWNITSVINIIVAIICGALYSGLTSLASSSAHASSTRSFKVRDDDEWWILPIVLFLVKALQAGLVNWHVANLEIQDYSLFSPDPDRFWTM >cds.KYUSt_chr1.20201 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119009693:119026168:1 gene:KYUSg_chr1.20201 transcript:KYUSt_chr1.20201 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLLGYYWVSSWPRLPQVPPWPCSSHFQRRRVLRLAPPLRRAGAIRVVADAEPALALDRGHGAVEIQFPTEEEGTAGNEEDDEAVDEREKMRRMRISQANKGNTPWNKGRKHSPETLQRIRERTRLAMQDPKVKSCLPLGRKKSVKEADVRAGYMGALECSVNRGNSRYLYGPSLSYSIDGLDRMHCRDNLTGLRGFRCDKHCEETKIKISLGVRRGWCLRLQKLMVQDGCFVEWRDMIADAAKKGFAGGVPFQWNSHKILTEQLRQEWLEKLQKRRSMPRPTGNRRAPKSPEQRRKIAEAIAAKWLDQEYRDRVCNAINSYHGTSAGSKVPRKPRTPREPGAKSEAVKRKPLKNRAVSLEDVHVKTAPVKRKKSTTPYKDPMAGEKLEMITKIRAQRTSLEIEKKEAIKRARSLIVEAEKAADALETVAATNPFAQASLIEARKLVTEARISLECIDDDEGPAESSSDDTSDESGVSERHNYNLENHNNVNQQENKPVNGMKLPPSNVNGMDFYFDVSALGETEQLSVYQRIENSMERAYLLPSASSTAQDVNGKLGTNYLYLSQSLANNDQIDQIAAETTDDFSAEPPEDVSSPGKINVARRAQACPRTRQCAHGEHPGTPRVPAAPRPRVTSALALRTSRLTTSPCTAQTWPLGRGNATGDDHADDSPPRTCTLPSTSPSRPTLVFTAIKRYSFAWTSATPPETSNCKITAAHRRQQSPEKEAAPDDATATIGFLIDDNIPAHTSDDRRSSDELSDPLPPPPMEPVNTKFYQLGNGGSLIFEYDLNALSDHLDCPHPEYHGVQVTDQPGGELQWIITADLRGKMEPPTSERILFSFRESNWLDGLARALQEGLARLSGMSGEALKDPRFSHLARRNSAGEPMDMQPHPELKHHVEHLDFILYHTQQDLDHAREYANQTHAHIIQQGEAIKMLAKDRRTLRQQRTKKYATITRLCEKIAALEATVKAHEEQMNKMEEDGEDIQGGGAFLSDDDDFEEDENTEEEDYEFLDAGEDDHTAIDVDEE >cds.KYUSt_chr1.1471 pep primary_assembly:MPB_Lper_Kyuss_1697:1:8038201:8041264:-1 gene:KYUSg_chr1.1471 transcript:KYUSt_chr1.1471 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAPATTLDIDEIAFSDLFLLSPEPSGTADADDDGRRRRLLATVWAALGRGGTGLLAVAGVPRAAALRRRLLPLARRLALMDQASRAHLLKQFAPQLILIAFDRLPLQKHGVGSDVPLKKPDRSVSSFAQLLRHDSGKKFHSLKSLSDAAGSINTRTGCPEVKPAGADGFGEHTCDDDIENLGELFKELGLCMMEIGILIARACDVVIGGNQLEQSITDFGSAKARLIHYHSELDNRIIKEKSANRRNFFVNNAAAAVTPVSDHIDMSCHQRPGPGGYGSRMTRQDGQAVVMSGEEKEGRDIAVQGQSSNISLVNLWQEWHYDYGLLTVLTAPLFLRSALGQECPVSEECSLPDGHTHLQLFNKRRIFSVRCSQESFIVQIGEAADILSGGKLRSTLHAMNGTEMTGTLEAALWEIAAIEDAQWNLKRV >cds.KYUSt_chr5.34257 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217231757:217233163:1 gene:KYUSg_chr5.34257 transcript:KYUSt_chr5.34257 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLERILLRAQVIVDEAEGRHITNQGMLRQLGMLRDAMYQGFYVLDTLRYKHFEDDDAGDCKVDSRSWALSNFSSAKRLCLSGSSSSTKDSQDLEVEDVLDRLRNMITDVSESVMFLTSYPRLHSQPYSMHLLMENCMFGRQMEIELVRNFLLHTPPCSSRLDRLDVLPIVGPVRGGKSTLVAHVCNDERVRDHFSQIAFFRHGTFRDEDMAILTDRCTMRHTQTKKLLIVFEVVGEIDDNLWERLYSLCTSCTTSGSKIIITSRSDKITKLGTTQTITLKRLPLEVYWYFFKVITFGCTDPAMHPKLTYLAMEISKMLNGCLVAANIIARVLQANFSIQYWCKILKFMRATVEKYVSTFGEHPCDLLDGRKHAYVRRLGSTSDDVFISGLSQTCSSQEELPEITVQNLIYGGVKPPYLGRKFKILAWKSLLPPYHCYIQTCEIQRLQTRVVKKRGSLNNSAIKRV >cds.KYUSt_chr1.24480 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146267793:146268893:1 gene:KYUSg_chr1.24480 transcript:KYUSt_chr1.24480 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATMAWTLAAAGFALVYWFVWVMGAAEVQGKRAVDLQMGSIADDKVGDRYSQYWSFFRSPKDTAAAATADKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHRDATRVHEERVADLLQAGPGRRLLDVGCGVGGPMRAIAAHSGSHVVGITINEYQVNRARAHNRKAGLDARCEVVCGNFMAMPFPDASFDGAYSIEATCHAPSLRDVYGEVFRVLKPAGLYVSYEWVTTPLYRADDPAHVEAIHGIERGDALPGLRRQEEIAAVAREVGFEVVKELDLALPPAHPWWTRLKMGRLSYWRNSLVIRALTLLRVAPKGVSEVHEMLYETAHHLTRGGETGIFTPMHMVLLRKPETPKPAAA >cds.KYUSt_contig_444.118 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000041.1:664557:672297:1 gene:KYUSg_contig_444.118 transcript:KYUSt_contig_444.118 gene_biotype:protein_coding transcript_biotype:protein_coding LPAETLVDRYVTVGAYCLLRSCTIEPECIIGQHSILMEGSLVETNSILEAGSVLAPGRRIPTGELWAGNPARFVRKLTNEEIMEIPKLAVAINDLMQSHFSEFLPYSNAYLEVEKLKESFSYPLFVFSDGRRTASAFFYVKSSRLMAPPLYSRALFVFGKVFDHVDDCGDAVFSGLGNLSSNMTDDADESADQTIRESLDLLNQDFAAYSAVSLPRFLDPPVFDRTPAVEKGNMGSPVFDYTPLRQVLESETVEATPVMEETQSQSVAGTQIVTQDYMVEEQLAKEKERQAAKLAQHQERITTYYRKYLMKAKSAKGTAVVHDVACDGEAAEPTCDECGAETVSPKVRKERVVCRKIKENSPLGKVAAAAAAQARDPLQQTYAPGATQEDVAADKATNPVRRSPRVAATVQTTVSSKRVSSPGKSRLGNRKRKIDVDETYVPDATEDGVAAGKCNAKKSRREVVSDESDFEAPVKGKKVGRKPGPSKPVVLEGDSKKVLKRPTKKTMAQKRKAVEDLDGEKTRFQQTIHCSLGEVRSAAALLKDNHRLKVQEAGFGCVFHWVLEGNISRVLMCYLMKNIDTSTMKLSCGSGRVLEVNRDSVHHVFGFPIGGDTPILPAESGHDESLALLKQEFGFESNASIEPKDLRQLLTDLVEDPEKVDLAVKVFFAILFSKLICPGSATRIGREAAMLVNMDYSKMAKMDYCQLVVDELKRAATKYQDPDIPQAGLEGCAVVPTVMYLDSIFLPPHSVMHTRTPRANFLHEKPLKAIYKMDIEKNGGPELMKYKFGRIVWKGRNQIAYSYRYRVEDLIVHLNEGTYCSEPDAGNANEHPAGGHCEIPISQIANVNTKDKHQSASTSAGMPSAGVIDEIGSLLQKVEYLSCTIPTTADRLKQFQGRLADGNFPSVEALDEQSKRETSLLDSLRGALSLMRTGFLDFGMNQDSLCKQYESRANVSGNNSVESNVQKNISSSVEDVDAGLGQSAAEAEEDARIAEENAPQNSGDGDDAPVNDGFVRPPTPQCPPAFSDDHVEDVVFDKSPPLAEVNEKCVEKGGLPDDSDDNSSVDRYYVKHNFVHPATPVTPPLDDSDGNSGGACDKVADHYSEEDSVGKGNLFPEIVVHSDGDKDAEHHAEEGAVAGKGFSIPEDLVTCQDGNDAKDAAQLHGIGAVVSETCAEVADDVGVLPAAMDCKSVVAHGREEVGVAAARGELPGTEANIERSTGADDDDNNEFQAGDNHGRDASDSIEQSSSIFEESTDDLSASIEESPQDLGKDI >cds.KYUSt_chr6.25405 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161107778:161112913:1 gene:KYUSg_chr6.25405 transcript:KYUSt_chr6.25405 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLTALAGGRDDDMEEVALLGPYGGAAGEVEAGVRRVQVRVTGMTCSACTGAVEAAVSARRGVRTVAVSLLQNRARVAFDPALAKDEDIVEAIEDAGFEAEILPDSAVSQPKSQKALSGQFRIGGMTCAACVNSVEGILMKLPGVKRAVVALATSLGEVEYDPTAISKDEIVQAIEDAGFEAALLQSSEQDKALLGLTGLHTERDVDLLHGILKKTEGLRQFDVNSAQTEVEITFDPEVVGLRSIVDTIEMESSGRLKAHVQNPYVRSASNDAHEASKMLHLLRSSLFLSIPVFFMRMVCPHIPFLNSFLLMHCGPFRIGDLLKWMLVSIVQFVIGKKFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFHPPMYFETSAMIITFVLFGKYLEVLAKGRTSDAIKKLVELVPATAILLLKYRDGKYDGEKEIDALLIHPGDVLKVLPGSKIPADGVVTWGKSHVDESMVTGESLPISKEVSSSVIGGTMNLHGILHIQAAKVGSGTVLSQIISLVETAQMSKAPIQKFADYVAGIFVPIVITLSFLTFCTWFVCGSLGAYPNSWVSETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYVIFDKTGTLTQGKATVTTTKVFSGMDVGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKFPSSKDDVKKRKEDVFSQWLLEVADFSALPGKGIQCWINGKKILVGNRTLISENGVNIPEEAESFLVDMELNAKTGILVAYDGDFIGLVGVTDPLKREAAVVIEGLKKMGVYPVMVTGDNWRTALAVAKEVGIEDVRAEVMPAGKADVIRSFQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNIVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >cds.KYUSt_chr1.7313 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44782588:44787254:1 gene:KYUSg_chr1.7313 transcript:KYUSt_chr1.7313 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVGAGVGSWDTELAWHLLTVLLRIGRPAAATELAATVASTASYVTPQLVERLCLAPRSPLRSSDGVVTVSETAAVAFLRFMGCDVPARPVAGLGASGADSAEQQQQQQLIVQTSSSVATGESPTHTKEEPVLHEMRETSCQPSLDKKVEPAALPLEVTSYDGVNNSNLNIIAENVEITDQNGNEQPHNEVSTDFPKEQDKKIVKRKKKDAVPNEDKDQVAETAQKVAKKVDLAALPLDKKNVKNKEKHKKNEALPKEDKNQVAETAQKGHSEPNPLPSFKHFVVEEEVGSGYGIVYRARRKEDGRVFAIKCPRGKAHSHVDNERKMLERFGGKNFVIKFEGCFRSGDLDCFVLQHINHDRPENLKKEIDLFELQWYGYCLLKALSSLHKQGIVHRDVKPGNFLFSRDQTKGYLIDFNLANVSACKLEVISRGKDITSQSSLKSALVIHDNEAAAGSKQPLGSKRKRSNKIPVCSDPRVDNKSMYGSQAADGSGVTSAKDATSTKASLDRLKQPLYKGQKELMNLNEVQSPNENTPAAPVSQRKRVAAPVGSVDQKLFLLTPMPLRSGGSAVAGSGVFNSKGHGKQRREGPCVGTKGFRAPEVLLRSSHQGCKVDVWSAGVTLLYLITGKTPFGGDPEQNMKEIVKLRGSQELWEVAKLHNCESSYPSELFEAKFLQSVDLRTWCVANARRPEFLKQLPDSLFDLVDKCLAVNPRCRITSEDALLHEFFTPCHESLKRQKSKALKIRGLAG >cds.KYUSt_chr2.6155 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38369010:38371079:-1 gene:KYUSg_chr2.6155 transcript:KYUSt_chr2.6155 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVAAPDPVVTTSTCAHCNREIPSSNIALHSAHCARNLQKCEHCGDMVPRKHMDEHYDENHAPVNCSRCKQTVEHELWDLHKRMQCPQRMLVCQYCEFELPAVDIFEHQDVCGNRTEYCQPCKKYIRLREWIGHEILLHGKTNDNAESSSDRSLLENEDGAEEREPAHGFNHKQLLLTVAIAGLAVVIGSILLHKKD >cds.KYUSt_chr1.39176 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239538276:239542018:1 gene:KYUSg_chr1.39176 transcript:KYUSt_chr1.39176 gene_biotype:protein_coding transcript_biotype:protein_coding MEERAPLLSHRAERGRKAAGAAKWRWSGSAAAEEARKVAHVALPMAAVSVAQYAVQVASNMMVGHLPGVLPLSASAIATSLASVSGFSLLIGMASGLETLCGQAYGAEQYGRLGVQTYRAMVTLTAVSIPISLLWLFMGKLLTLIGQDPVISQEAGRYIVWLIPALFAYAVSQPLTKFLQSQSLIIPMLWSSIATLLLHIPLCWLLVFKTSLGYFGAALAISISYWLNAFMLVAYIGFSNSCKETFSPPTKDAFSGVGLFMRLALPSALMLCFEWWSFEVIILLSGLLPNPELQTSVLSTCMTTITLMYTIAYGIGAAASTRVSNELGAGNPDGARLAVRVVMFIAVTEAVLITGALLASQHILGYAYSSDKEVVDYVNAMVPFICISVAADSLQGVLSGIGRGCGWQHLGAYVNLGSFYLFGIPISLLLGFVLKLGGKGLWMGISCGSIVQFLLLAFITFFSNWQKM >cds.KYUSt_contig_990.391 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000093.1:1899904:1902368:-1 gene:KYUSg_contig_990.391 transcript:KYUSt_contig_990.391 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGRSVVMDGDGRAHADLEPSGFARKVSWVARQGNRKRCGRGGTSEVAEVAAVSRIILQVVGSPRDRAMWGFWPHLHETGKPPASSGVRGSQTSVCRACSNVRRTTTPKVEVDEPWWSGIRLHVCIARSSLRPPRFVPPIS >cds.KYUSt_chr7.17202 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106581105:106586101:-1 gene:KYUSg_chr7.17202 transcript:KYUSt_chr7.17202 gene_biotype:protein_coding transcript_biotype:protein_coding MERADHLYPPIEPYDLEPPQLGDAAAAAAGEASVSDQPVRWDDEPKEQGTKDNPDRNNTDDSPGVVDVYECDGEMTASKESGENRYYDCPLREQTGIWVPPSVPPMTKHDHEEWKKGFGSNSGYFIDEEWDIDEDIREMTMWDVFSEMAAAGRDKLLSVASFDLGRHSMSLISHFLLQAALEDKTQTLAEASVGSERALLETGPTKWLPDSAAPSCMLCGARFHPIICTRHHCRFCGGIFCGGCSKGRSLMPPKFGTSDPQRVCDVCGVRLECIQPYLMNQISRACQLPTKDLTDLSTLRSWINIPWAHTMEYEIYKAANSIHGYCKIGKLNPEKSIPDSILRQAKGLAIITVAKVGMMVTYKIGTGLVIARRADGTWSPPSAISTCGIGYGAQAGGELADFIIVLRNTDAIKTFSGNAHLSLGAGIGASAGHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRNSENARFYGGPVKASEILLGSMAKPPAAATLYKALSMLFEKIGN >cds.KYUSt_chr1.39098 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238956559:238957653:-1 gene:KYUSg_chr1.39098 transcript:KYUSt_chr1.39098 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGCAPARRSLRIRQDDHRRPAMVSSCSSTRGGSLGREEEEETPLVVIVGATGTGKTKLSIDAARAVGGEVVNADKIQLYAGLDVTTNKVPLADRRGVPHHLLGALRPDAGALSPSAYRALAAHTAASIASRGRVPVVAGGSNSLVHALLADRPLDAADPFSEDRLPRYRPALRVPCCVLWVDVEAPLLAEYLDRRVDDMVRGGMVEELREYFAATTPAERAAHAPGLGKAIGVPELGEYFAGRTSLRAAVDEIKANTRVLAAVQASKIRRMADGWGWPVQRLDASATVRARLAGAGHAAEAASWERDVRGPGLAAIRAFLADEASLGDDANTSNARVEEPTPASPPALLMRLPRMQCCDMVV >cds.KYUSt_chr3.25392 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157644130:157645930:1 gene:KYUSg_chr3.25392 transcript:KYUSt_chr3.25392 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRGFGNIARKWRELNGVNHWKGLLDPLDVDLRKNIINYGELSQAAYTGLNRERRSRYAGSCLFRRKDFLSRVDVSNPNLYEITKFIYAMCTVSLPDGFMVKSLSKAAWSKQSNWIGFVAVATDEGKEVLGRRDVVVAWRGTIRMLEWMDDLDISLAPASEIVCPGSVDDPRVHGGWLSVYTSTDPGSQYNKQSARCQVLNEIRRLQEVYKQEETSITITGHSLGAALATISATDIVSNGYNNSCPVSAFVFGSPRVGNSDFQKAFDTAEDLRLLRVRNSPDVVPNWPKLGYSDCGTELMIDTGESPYIKTPGNPLTWHDMECYMHGVAGTQGSNGGFELEVDRDIALVNKHEDALKHEYSIPSSWWVVENKGMVKGKDGRWHLADHEDDD >cds.KYUSt_chr7.13809 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85291519:85294760:1 gene:KYUSg_chr7.13809 transcript:KYUSt_chr7.13809 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3/VP1 transcription factor family protein, Regulation of iron-deficiency response and toleranc [Source: Projected from Oryza sativa (Os08g0101000)] MGGDGRPNDAAGGGGHPHQFQYQALLAAVHTQGPNNTLPFPLPPLNVVHYGSPCHSWPYKKIYTMYMSPAGPGADSPTNTAARQPPTPRGFSDWSASTSAFTSLVQNPPSSNAANAYHYSLSPCYAFWTHYMLNKNAYSYYPAPNQEHGHPFYHDSNQAKDPGSVPSFGLESFSTTSLAPNMSAHMPPMEMPPMEGPLSVKEPEISEDVPARVVRIKDEMETRHGVELKCETADTLPELKQGHESCTTKFNSGEYQVILRKELTKSDVANVGRIVLPKKDAEASLPPLCERDPLILQMDDMVLPVTWKFKYRFWPNNKSRMYILDSTTEFVKTHGLQAGDTIIIYKNPVPGKYIVRGEKAIQQTLNH >cds.KYUSt_scaffold_6468.442 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2116611:2120445:-1 gene:KYUSg_scaffold_6468.442 transcript:KYUSt_scaffold_6468.442 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAAAPAVKSDDEDDYEEYIPVSKRRAMEADRLRHQRLSKPTAPSSAGSPASLPPPPPQPTTNPAAAPDAVAPSAKPSLLVTSTQLKRAAPEVTATEQLILQEKEMIENLADRKSLMSVRELAKGITYTEPLRTGWKPPLRLRRMPRAKADELRRKWHILVEGDEIPPPAREFRDLRFPEPVLRMLREKGIVQPTPIQVQGLPVVLSGHDMIGIAFTGSGKTLVFVLPLIMVALQEEILRISLPNNVICLSFQQGENAFDWYNSTQAEDWDQLMMMHIECAD >cds.KYUSt_chr4.35440 pep primary_assembly:MPB_Lper_Kyuss_1697:4:217566059:217566760:-1 gene:KYUSg_chr4.35440 transcript:KYUSt_chr4.35440 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTMESDKEMEMEMAMEAAEGMSWKALFERRVVMADAHCRKADSLLHGLVVIFTEHEARDMRACGGEEARRGLEDAHAQLGLALASMGAARHLALRCAARRALDPGSSSESDPTTSTSTPPLSPSSSSVADPEARRVLALLREATELVYRVHDLVEGARAHMGAAESLLLALGLDEDDDGGRDRGSAPWVHPPCVAEQLDGTMDLGEAFARALDLLMLTTEAGEAAFRVAAD >cds.KYUSt_chr4.49255 pep primary_assembly:MPB_Lper_Kyuss_1697:4:305015615:305019483:1 gene:KYUSg_chr4.49255 transcript:KYUSt_chr4.49255 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSWKSLFGCFSSHSAAAAAAASGKSSKKKSAKKKTKVAASGNSSKPRRPSRSLHGRMSFSDLSLNGGMVSPEDLSLSLVGSNLHVFTIAELRAITRDFSMTNFIGEGGFGPVYKGYVDDKVKPGLRAQPVAVKLLDLEGNQGHNEWLTEVIFLGQLRHPNLVKLIGYCYEDQHRLIVYEFMTRGSLEKHLFKKYAASLQWSTRLKIAVGAAKGLAFLHEAENPVIYRDFKTSNILLDSDYKAKLSDFGLAKDGPEEDETHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYGFGVVLLELLTGRKSVDKNRPPREQNLVDWARPYLNDSRRLDRVMDPNLAGQYAGKAAQKAAALAYQCVSLNPKSRPHMSAVVDALEPLLALEDDGLVGPFVYVAPPDNGGNDGREGGHTRRAGRRRSQDAADSVAVVVERV >cds.KYUSt_chr4.14427 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88979870:88984366:-1 gene:KYUSg_chr4.14427 transcript:KYUSt_chr4.14427 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTASASGSAAAAAAAAASEANDGPVLSVVSKRLRALRKKQNRIAQMEESAAAGKALNQEQKEVLRSKPIVAALIDELDRLRAPLSAALTEELSTVPAPAAPAPAPAADSSVQDLLALVYFGSLFDVKPQSEFLATMVAREHERSSCISYDYVGDDTVDLLVENDLNTVSALAALAAARPASAVGVSHRDALQACANHARLWLARAEEPIGPDTPATYAAVRAKLEKIMASEYYTAAAIGGVQPQESLSASPEAPAVEENLAAAEGHKEEKEESHATEIGNDYQANAADVQDVDAEAPVIPPEEYPSAEAEQEKFDVEGHDQRDAEPEEEQFQQPRRSHHNQRGGGRGRRGYPNGSRGGRGGRGVGYHQNGRGGGGGYQGGGGYQGGGGYQGGGGGYQNGRGGGGGYYNNDDGYYQQRNYNNRGRGGRSGGGYYNNQGGGQQGGGQQYAERVELGANA >cds.KYUSt_chr1.3177 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19249781:19253108:1 gene:KYUSg_chr1.3177 transcript:KYUSt_chr1.3177 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRYSTTTPVSRIRRRLSSTATTTSPARPSRSWSPHAAFAAATERARAGTLSPEDAHHLFDDLLRQAAPVSERSLNGFLAALARAPDSAACRDGPALAIALFNRVRREEAGLRVARPTIFTYGVLMNCCCRALRPDLGLAFFGLLLRTGLKTNVIIANTLLKCLCYAKRTDEAVNLLLHRMSKIGCVPNAFSYSIVLKSLCDDRRSQQALDLLQKVRKEGGACSLNVVAYTTVIHGFFKEGEIGKACDLFHEMAQQGIVADVVTYSSIIDALCKARVMDKAELFLRQMVDNGVQPDEVTYTSMIHGYSSLGQWKEASKLLRKMTSQGLIPNIVTWNSFVASLCKHGRTKEAAHVFDSMTAKGHKPDIVTYGTLLHGYASQGCFADMINLFDSMSSNGIVANSHVFNILIDAYAKHGMMDEAMLILTQMWEQGVSPDVFTYSTLIAALCRMGRLADAMDKFSQMISIGVEPNAVVYHSLIQGYCTHGDLGKAKELVYEMMNKGIPRPNIVFFNSIINSLCKEGRVLDAHDIFNLVVEIGETPNVITFTSLIDGYCLVGKMEKASRVLDSLVSVGIQPDVVTYNTLIDGYLKRGRIVDGLSLFREMPHKKVKPTTVTYNIILDGLFRSGETVAAKKKFNEMTESGTTVSICTYSIVLGGLCRNNCTDEAIVMFQKLGSMNVKFDIAILNIMINAMYKNLLKEGSVEEADKLPLNYLDVYFFLAFTRVEPSDGATTVFWSGVCLLGVHPMDIDPCALYLCEQGLSGALDIC >cds.KYUSt_chr1.685 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3700784:3705919:-1 gene:KYUSg_chr1.685 transcript:KYUSt_chr1.685 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDQSLYPIAVLIDELKNEEIPLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDEDEVLQAMAEELGVFVPYVGGVEHAHVLLPPLETLATVEETCVRDKAVESLCRIGAQMKEKDVVDYFVPVVTRLASGEWFTARVSSCGLFHIAYPSAADQLKSELRIVYGHLCQDDMPMVRRAAASNLGKFAATVEQSHLKKEIVSIFDNLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAAGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQVAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDEVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLARQLGVGFFDDKLGALCMQWLEDKVYSIREAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGPEITCQTLLPVVVNSSKDRVPNIKFNVAKVLQSLVPILDQSLAEKTLKPCLVELSEDPDVDVRYYAKQALQACDQIMVSS >cds.KYUSt_chr4.34854 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214084103:214089195:-1 gene:KYUSg_chr4.34854 transcript:KYUSt_chr4.34854 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQASNWAAAQGIGVGEDLIPAALRHQDFLEAVDRRRWLYEGPLLDRAIRRYKACWLPLLAKHTEAAVVDGPLVVPLDCEWIWHCHRLNPAQYVKDCKRLYGRILDNSNVESSVQTKSKDQAAKVWTELLCPGEPFDLEYTSPSDGSVYASNEAAGGISYNLVSAVRRQSSFFYQVGTPSMHDRRFLEEALARYKGFLYVIKVNQEKGRKFFRVPTYDVDLMWHTHQLHPVTYYTDMMNLLGRVLEHDDTDDDRAVGKKLDTGFSGTTEQFENIFGLRYWKVGAMYRGKLPTPVTSTPQIFRTQDDNGFSLGEADKHLTILETTVLELYLQIVDIKNLPSSIPEKSVYVWFTKSQPDLFISDGGRLDILAKTGKSIGAGFQCEPTGELILTVMVDHSYFGASSSKKSEPLGKVSISLQELAQPDSKLSFERWFELKSHVGYAGSPTISLRVAASSTVPRRAPQVLSMMNVKPFSLKACLLPPSVKDQQMSSWTRFVYDCGTELIRLQIRENKAKSGMLLNQELVGVTKSSKKPFQLAEFKETKWSFINSNLSITSDLKITQDGCILEIKGDNKLIKIYKGRRLAYELKCCSQHAEDTTAVTAVKFSAEHPYGKAVALLDTESEFITVDEDWFLLPWIAISFLFLNATDKDGAKLIEGAMVHEGAIVEPDTTMASETVKGGAESAIAALAQCGTCGTACDGDLVMASDKDGHASCEGTVTASGKVADSKCGGCGSGCGGGCGGSVATVSSKGGLVSSGVIAGGGNGRLESAGCGSGCGSSCGSNMVMSMTQGNTKSGGCGSGCGGGGGCGGMAIEGSKAGIVKSSGCGSGCGSGCGGGCGSMVIEGSKDGIVKSSGCGSGCGGGCSGMVTEGSKISYAKSSGCGSGCGGGCGSMLMEGSKTGYAKSGGCGSGCGGGCSGMVIEGKTGYTKSGGCGSGCGGGCGGGCSGMVIEGKTGCTKSGGCGSGCGGGCGGGGCGSMVMEGKTGYTKSGGCGSGCGGGCGGGCGGGMVMEGSKTGHTKSSG >cds.KYUSt_chr2.48649 pep primary_assembly:MPB_Lper_Kyuss_1697:2:304289610:304291337:1 gene:KYUSg_chr2.48649 transcript:KYUSt_chr2.48649 gene_biotype:protein_coding transcript_biotype:protein_coding MRADMGDQRRSRHGHFKAVGFGAAAGGGAQHVEHDQEKLKDKKLDMSGLSMDTIPHITMSLGHITTLDLSNNNLESIPESMIARLLNVVVLDVRSNQLKSLPNSIGCLSKLRVLNVSGNLLESLPSTIEECRALEDLNANFNQLTGLPDTLGFELHNIRKLSVNSNKLASLPFSTNHMTSLRALDARLNCLRSLPDGLENLVNLEVLNVSQNFHFLRDLPYGLGLLTSLRELDISYNSISVLPDSMGCLAKLNKFSAVGNPLICPPMDVIEQSLDAMRTYLTARMNGTGVNAKKKKGWLPRQLVKYNTFSARMNMTPGRKGVMTPGRKSVHDNSEGFLMSDYRTLNGVGSPGFLSMLSPRRLFSPRRNSPKHH >cds.KYUSt_chr5.4205 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26884506:26891858:-1 gene:KYUSg_chr5.4205 transcript:KYUSt_chr5.4205 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGPASSARSALGVAPFAAATSPPARRRRGRIEAVLRWLIDPLPMNSQVGSNNQKNLDVVYQDLTTILGLGRFDSGNLAPGLPLKCDMDPINLVRIRNLSFENGLKPAESSGDSLQDVSSTSESPQAGKVKFMCSFGGKILPRPSDGVLRYVGGETRLVSISRNFSWKELVHKTLSIYSQPHIIKYQLPDEDLDALISLSCDEDLQNMMEEYYSLEKANGSTRLRIFLVSLTECEDSSLSARSLESEPEYNFVVAVNNLKQSTSGNNLVGQLSQQLDDSLLPCRDSTVCQTDRESGGTALAGTALNESSSQFFLAPYSQQMVAESAATSSPSLNRQRTTKQSRLWMSGDKSTMNQEHENRNEVFNESNLKSILPDHQDKKQNYADTAAGIGSSAPHLQIQRQAKDSGIPRNESDLSSHTNYDMFTPMERPFYSEKVPMHLESASWVSGLHEYSGQIHGMPHAFSDPLLNDRTEVPASNLSLTFDSYIPPSFSQKVCQANELQRTISGTRPDLVCVNPPEIAQTDEPKYIVSNHIDQWYNQGVTGPATSAAAVYYQQDSLSSNMLQTGHNGGPVVKQQEKFYHQENSAGPSVAPQCVDIGLNLNRARDARLSSNELDALESSGLTSMLATDNCHSHLLDGCPNGSLTENADRGSHMEKLNSGRVVTSYGTAGCVHGNGKVTPGPHILLPIDPFEAFAPQRSVENGPSGAYGNGIFDQSLVHSSGLATSPPIGLSNADLNVNMHGNETFKDGVPLLDQRNITFGDIGVTGFNHAINSENMNLKDRMQNNIQTEAPFIVENVMDNMHSGIPSSRPILQAEVTAEEWHQVIISSNKDDDARSNGPELANEDYDDKGAVDGSMSDAQIAELEASVYGLQIIRNADLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQEKLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVAEFMVNGSLRNVLVRKDRMFDRRKKLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNMRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIVLWEILTGEEPYANMHCGAIIGGIVNNSLRPQIPETCDPEWRKLMEQCWSANPDVRPSFTEAPLQPCGGLIAVDALGDEDGWVQLALLRMEFTQLVATRIEEATRLLREEVASFKLLVLAHVGDSLEPTDACSYGEHDLATVQVSLRLGSAEENSFVVEEEYLYKTTVVSLLAPVLQITPERHELRGDSPVVLPLVLCSFETLEVAMTPPPLQSKPCQSLASLDCGALLAPSAEALFAKELCGLLTSLEAASPGYGKDNDCVLAGKALEDMLRRVVKSLKKVSIRSKRRKKAS >cds.KYUSt_chr1.19517 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114654920:114656084:-1 gene:KYUSg_chr1.19517 transcript:KYUSt_chr1.19517 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWPFRLLLRRRDHQRGAEVRVLLRGLGLRLEQERRRLRQCRAAAGDLSDLKAASVSSRSPQRRRATVAAARLVVVGGSEPSLGHGGGFADTRLMPLLLLIALLLLVDTASLCHGRIIQGVDAMALDGGGAPPQAKGYSSEHASSSTARQQPSRVHRHMHRVSKRLVPQGPNPLHN >cds.KYUSt_chr2.5570 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34483830:34488392:-1 gene:KYUSg_chr2.5570 transcript:KYUSt_chr2.5570 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAHARSGGKSKVCWAKVCRPKDLGGLGILDLDKFARALRLRWLWLEWTAPNKPWVGLETPNDEVDQILFCAATKVTIGHGHKASFWSSSWLDARPSKLIAPLIFEASKRKKRTVHDALANNTWIADVAVDGFTIEHMSQFITMWALLQDVALLPGTPDVISWTLTAVGVYSAGSAYKTQFQGSMACSFKQIPNCQLCRCQPETARHILFECRYSRRIWQQAASWLSCPSLLIDLGTGRDTVLQYWHAITASSMACPKGLQTAVTLIGWELWKERNARVFNNKASTPSVLMQMITDDCSGNPYLYSMSSTALNWAHNAVGLIDKGAQNMRQDLVLELPQDILHHIHSLLPLKDAARAACVSHAFLHSWRCYSTLTLSKETLGLTHEKLGWFKEIEIHLIDKVDRILNNHRGTVLKTLKLDLFICDNISTSYLDRWLQTSVKPGIEEVSLVVSTFMEKDYNFPCSILSDAIAARSIQSLHLHGCTFHPTVTLGWLRRLGTLQLYFLKINDEGLGHLLSKSLALEQLEICCCDEITCFKMPCKLQQLKFLRVARCDMIQLVEINAPKLSSFQYEGTQVEINVIDSTQLKDVELLHDKPFGTLCSAYAKLTTIAPNVRSLALRSRNEEFNMPVLPVKLLHLKKMEITLFRSVSALWPSCDFFSLIPYLDAAPYLESFILRVDQDGVGDDSVVSVGEDELRWKPEYRHHHLKRVMITGFCSTKSLVEFTRHILQHTSSLECLTLDTTIFCRRSFETWHATEKYAASGTHKCYPMCTTALAKAQRATEAASRYIAGRVPSAVKYTILKPCIHCHKC >cds.KYUSt_chr2.34795 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214734318:214735295:-1 gene:KYUSg_chr2.34795 transcript:KYUSt_chr2.34795 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSAPGSLQSPATASAAAAPDLSIPYELATRGQWQALLAHLAHPAHALHPHHRLLLSALSALSLAKLRRFPDAAALLASLHPDPACPPPPFLLLLLHALLPLFFPDRPLALDRLYTLLSSVRARPDAQHPEWRRRDALVASILASDHLAHREFDVALALVAELAAREPDNPVLLSRLAYAHLQIGNLAAASAVFRHVESVVADDSSHANLLARNRALECIVAKDYAAAVREYERCIEADPADAIAVNNKALCLMYSRDLGDAIKVLEGALERMPTAALNETVVVNLCSMYELAYVNHGDVKRTLTDWITRVAPDDFDKSCTRM >cds.KYUSt_chr3.30315 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189908959:189912200:1 gene:KYUSg_chr3.30315 transcript:KYUSt_chr3.30315 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPRRASHLLLLLLLPVLLGCLLHRAAAQPATTAPDEKQLLLQIKRAWGDPSVLAAWDGKGDHCTWPYVACDASSGRVTNLSLANTYVAGPVSDAVGGLSGLTSLDLFNNSISGAFPTSLYRCASLRYLNLSENYLGGELPADIGTGLGKNLTSLILSGNTFNGTIPTSLSRLTNLQSLSLYRNSLTGTIPEELGELTKLQLLWLDNNAFVAGELPASFKNLTRLKYFGVASCSLRGDFPTYVADMPDLKFLDLSVNNFTGSIPPGIWNLTKLQTLNLYKNNLTGDVVVHGAFGAVNLEAIDLTANMLTGSIPDAFGHLPSLATLNLYMNNFSGEIPASIGLLPSLVKLSLFSNRLSGTLPPDLGKDPSSELTSVEVFDNDITGAIPEGLCANGKFQALIAQDNGLTGSIPAGLAGCATLANLKLDNNQLSGEVPEALWRATELTQLLLRNNRLSGTLPATMCSSLTTLDIGNNQFGGNIPAVAAGLRVFTAGNNKFSGGMPANLGEGMPLLQIMNLSGNQLYGGIPRSVAKLGFLTQMDLSRNKLTGKIPAELGAMPVLSALDLSSNKLSGDIPPPLARLQLTSLNLSSNQLDGQVPAELAIAAYDRSFLNNPDLCHAGLEPGYLSGVRSCSAGSKAAGASSGGVSPALRTGLLVAAGALLVLIVAFAFFAVRDMKKRKRAAQDSCWKITPYQTDLGFGEAAILRALTEENLVGSGGSGRVYRAAYTNRYNGNAGAVAVKQIRSAGKVDEKVEREFESEASILGGVRHKNIVRLLCCLSRPDSGAKLLVYDYMDNGSLDGWLHSAGKTIPTMTRALSGRREAGLDWPTRIRVAVGAAQGLCYMHHECTPPIVHRDVKTSNILLDSEFRAKIADFGLARMLVQAGTPNTMSAVAGSFGYMAPECGYTRKVTEKVDVYSFGVVLLELTTGRAAHDGGEDGSLAEWARHLYQSGGSIPDATDSRIRYAGCSEEIEAVFRLGVLCTSASPSSRPSMKEVLQILLRCSEQTHQKGKTEPGREYEAAPFLLPQRGSRRKQLSSSRGSDSEENSDFDSIV >cds.KYUSt_chr6.6451 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39035408:39036079:-1 gene:KYUSg_chr6.6451 transcript:KYUSt_chr6.6451 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLERGFQFLNSEQENSVILRALISVVSGDTAAVVPTLLHLEPSTPPFAPAAAPACARCGTNGCLGCELANAVAATTGSSSEGEECSAASFVKNGGVGKRRARRGSKFRGVRQRPWGKWAAEIRDPHRAVREWLGTFDTAADAARAYDVAALEFRGHRAKLNFPVAAASSTTSASSWAAQCLSDSHPENCGSNASSPCRGCLNKDGRWRGSRSSGMGCTKS >cds.KYUSt_chr5.9139 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57918396:57921772:-1 gene:KYUSg_chr5.9139 transcript:KYUSt_chr5.9139 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLPAPEAHKSFVLMHCYGKLKMNEKWRLTRLSPSKGKDAIDPDAPPATSTGRPTGNKAAKAALADAASSEKTQASITKCLADVSSTFISRDKKADQRWAELLKRQEEKPELKKREDDMSLPRTSTEGIVMGDPKAWNPNYGVVGSADRRLAYSRQFSLSSAAAAASSPRPGLARSDSSISMPVPYSPFPLHAAAPANADFRFRWLATRPMRRLALLLALNAAYSAVELAVGLLTGRVGLVSDAFHLTFGCGLLSFSLFAMAASRTKPDSLYTYGWLFLLFLSFSLAVEALHSFMQDESEHKHYLIVSAVTNLFVNLLGVWFFRSYARVNIVYRKAEDMNHHSICLHVLADSVRSAGLILASWLLSLGVENAEVLCLGIVSVAVFMLVLPLFKATGNILLQIAPGNVAPSAFIKCGRQITAYEDVSELSQGRFWELVPGHAVGCLSVQVKNGGDDQSVLKYVHGLYEDLGIQDLTIQTEGS >cds.KYUSt_contig_7395.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001504.1:12692:15649:-1 gene:KYUSg_contig_7395.5 transcript:KYUSt_contig_7395.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKPLAMAFFVLALLSTVAAGIAAASGISHYAGSVVVLASWPPGRIPFHLVNFGLKSNSRTPQRAPSPLAPSPLPFVARAPPPGELPPLLRRASPSLAAWRFFAVHLLRLLRSCSDDLFSASSSRAFPSDYELAAPPATFSFSISAASG >cds.KYUSt_chr7.20074 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124347360:124347800:1 gene:KYUSg_chr7.20074 transcript:KYUSt_chr7.20074 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDSEQAIGDANVAAATPQKVAPGTGFSDSELGKYADAKVDSGKSMGEEEKAFPETKGTVPDDTVEKHGTERYYSTDEELEWSEYESDNDDVEYQVIVDKVNAKYNRYMQKLLARSTSLVFADITSTDSDGAEADDNAKVVAAL >cds.KYUSt_chr6.31143 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197376961:197383488:1 gene:KYUSg_chr6.31143 transcript:KYUSt_chr6.31143 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDHWYKASRLHNIAKNCYFIGLSGSLGYVIPGTWDDFQAEYIVTKTFEDWQCRREEVEQRREEEDVWLMATLDPVVSMVIAVSGSPCLQVWLLAGNNVSEVDVLHPFLKLKVPDLCHNNLWDEEDVKREMKRRVFPAVPRQASSSPPQVTLLRAHLDATRMDSPGQALTFVGVPGLDLLRLYALRDGLRRG >cds.KYUSt_chr5.29849 pep primary_assembly:MPB_Lper_Kyuss_1697:5:189258814:189259023:-1 gene:KYUSg_chr5.29849 transcript:KYUSt_chr5.29849 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWPGRRIVAPDPNGPAALLRRLLSRAAVAVRYGSACSPLGEVDLGANLLSRLHRLVEWGRGGGGEFG >cds.KYUSt_chr5.12076 pep primary_assembly:MPB_Lper_Kyuss_1697:5:78525910:78530937:-1 gene:KYUSg_chr5.12076 transcript:KYUSt_chr5.12076 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPSLRGAAKAARRHPSGRAGGRRRAAVVLLLAMAYAAGLLMFVLAGGGPVDGDGRAGVTVASFRGRGASPPPPPGSVYRSHIVFERLWPDIRDDAAPASTATAASASSLSTPSSWRRSMLTTPRYPDSGELWMPCVKRRLIPSELPPSNGYLIVEANGGLNQQRLSICDAVAVASLLNATLVIPVFHLNSVWRDPSKFSDIFDEDRFIETLRQHVRVVKELPKDVLLRFNHNTSSIPNMRTKAYSSPDHYLQKVLPKLLELGVVRIAPFSNRLAQSVPSNIQALRCLVNYQALRFAEPIRVLAEDMVVRMMKKSSSTGGKYVSVHLRFEEDMVAFSCCTYDGGQKEKIEMENARERSWRGKFHRPGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTFLYVASGKIYNAAKYMAPLRQMFPLLETKDTLALPEELAEFKGYSSRLAALDYTVSVQSEVFVTTQGGNFPHFLMGHRRYLLGGNAKTIKPDKRKLVLSFDDPNIRWSRFKRQMQDILHHSDVRGIAFRKPNDSIYTFPMPDCMCQQDGT >cds.KYUSt_chr7.38287 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238727611:238729002:-1 gene:KYUSg_chr7.38287 transcript:KYUSt_chr7.38287 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKNTDDVQGSEDGASERRRRMGSKKAKVLAIVVTCVVLAAAEVGLYLCFRLSRPFYLSTAAVLAVTVLSLLLLLHCTAGRAERMAARRALDDGEELRVEYSFFRKVAGLPRKFSFQALAAATDDFQCVAGRGSSGTVFRGILDDGTQVAVKRLGGAEHADKEFKAEVSAIAGAQHVNLARLLGFCLGAPRFLVYEYMENGSLDRWIFPCANDRRERSCLPWARRYQVAVDVAKALAYLHHDCRAKVMHMDVKPENILLDDGFRGILSDFGLSTLAGKEQSRVVTTVRGTAGYLAPEWLLGTGVTEKSDVYSYGMVLLEMVAGRRCLRAEEDGRWSYLPKIAGEMAREGRLMEMVDRRLVGDEVEEAAVRRAVHVALWCAQERAGARPSMTRVVEMLEGRGVGEVEAPPPADTIMVDLLALDDHARGVGPFGMAARAAGRAGVSSSVLSKGDSFALSYLSGR >cds.KYUSt_chr7.27042 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169015703:169016053:1 gene:KYUSg_chr7.27042 transcript:KYUSt_chr7.27042 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRTGNGVFGSLFGYGAGPHQRAGTPSSQLWPEHLLEIPATKLPHAAPAGGNASTFFLPGVPLLPSWCAAACVALPLPLLLSSSGVTAYGGRGCKCGEYGGGAIPAGLLGEATPP >cds.KYUSt_chr7.31462 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196010671:196010937:-1 gene:KYUSg_chr7.31462 transcript:KYUSt_chr7.31462 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAPVVRLWYFPYSTVGKRAPERVMCSICLEVFEHGEACGEVPACGHFFHRACVDVWRKSSVSCPLCKGYMAGSSGRAVSVADDMV >cds.KYUSt_chr5.17523 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113065548:113065955:1 gene:KYUSg_chr5.17523 transcript:KYUSt_chr5.17523 gene_biotype:protein_coding transcript_biotype:protein_coding MICSCSSLSVAFSPWETTQDILDNEGIPLENGELGVDRENLRGEGTEELGVRRTEGLRKAWGEAAHIFITNDGGARDKSRRPLHHAHHYCPPNLQAMSSLRRTSLDLLVVLHRGETIVDGDDDGRNYNGEGGCEE >cds.KYUSt_chr4.6432 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37908253:37909031:-1 gene:KYUSg_chr4.6432 transcript:KYUSt_chr4.6432 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFVHYSYSSYIFLGTMPDLLGRVGHSGFLALSSVLVGHSGFLASSSALAGHSGFLALSSALAGRSGFLALSSALAGCSGFLRWPTAAEAVAVVVVAAAEAAVAAADASAEPAVAAAAAAGGVVVAAAAEHAAAVADAAAGVVVVAAADGVAEAAESVVAAAAAIAAAALAAASVVAE >cds.KYUSt_contig_319.1260 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8417534:8418626:-1 gene:KYUSg_contig_319.1260 transcript:KYUSt_contig_319.1260 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETAVFPDLSDFEFFMIILQNTWEKLRVPDKFAKLLDGQEPREVKLREASGGRRLWDVAVLFDGEGHMYLERGWQPFARAHDIGLGNFLVFSYDGDAVLTVKVFDGSMCRRHYHDDDDDDTSTHASSFSSSSGTSSHSGTNNSMDSVSNDISSRSTAEMKMDDALTSQFTVTLKPSHLGDRQKQYLNVPPAFQNAHGYDRRREVVLRMRGEKWSVSLKHNVRADGKTRASLRYGWHQFCVDNGLGVGDVCFFRALHGVGVGDDHALKVEVRKRDDTFLE >cds.KYUSt_chr5.13865 pep primary_assembly:MPB_Lper_Kyuss_1697:5:90159124:90159608:1 gene:KYUSg_chr5.13865 transcript:KYUSt_chr5.13865 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVERRHKDAGTGNDDGGEQEEEYGAVPMASVRSDSDARRGDTISKEYKDVDMVSTMSGSSADTD >cds.KYUSt_chr3.33193 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208265442:208265690:-1 gene:KYUSg_chr3.33193 transcript:KYUSt_chr3.33193 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGAARCSSNILGVGNYASSALVAAIDWVTTRGGGESWFSDNLNRAHLDYFYWLLSGLGVLEVVVFLYFAKRYVYRNKCEQ >cds.KYUSt_chr4.16402 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101986046:101989690:1 gene:KYUSg_chr4.16402 transcript:KYUSt_chr4.16402 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGGGGVVPEITVVSGGGGGIAYPGGAARAYTPGPSPHQVTTDSPFLPSLSRPPARSVPSRSAFDLHWAVLAHSLLLLACFCSGNSSRSCAGAVGRFGAAIMPVRPWGLQGSVTKILFILHLLGFIALTVFLGVQASSHQNRTYKPFANFIPLASSVIVSVFAACFWTILAVTNPPKAIKTSLWAAPVLALACDVVILLVGDGAALGIGVLIVVIAIAAALYSCWATGPRLQHGAAVLAASVNGAHLPFSASCLVVFVIFAAVGYMAFWTVAISCIAAVEGRLMNFQMIYVAALLVSMSWTMQVLRYFVYVAVARLAHARLVYGVRMPGGAVEAFCGTLSGPAFGDICMGAVVVPVIAAVRSFARVINTVTGGNDEFLFTCQGCCLTASDKMMGRVNRWGFVHVGARGKVFCVASRDVWSLFVLRGMAKLVDSDLTGSFCFLSALTGGALASLVAGSWALAMDRDHKNQALPIAIYSFLIGYYMCRMMIAWPQACVAAYHVAYAENPQNPHLGTLIPDHLRELDALAAD >cds.KYUSt_chr4.48469 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300133957:300139386:1 gene:KYUSg_chr4.48469 transcript:KYUSt_chr4.48469 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKHKVRNGKRTYFWLDWWTGTGPLRLTFPRLFACCDNHFATVEGVRDNEGWHIRFRRSFGLAETVEWDNLCRIFDLNPMSPGEDEVRWSLDPSGDYSTNSMYCKLSQGGAITHFKESWRVLVRPRDLPLLDAALDEVESVSCYCRVDGGLRTVANARKFVPGARLCMQPDVKPNKRKSKSSRKERPRTQAPLLPGLPDDLAISCLLRVPLSEHPNLRLVSKRLSRLMSGNYYYSLRKKFGMAEEWVYVFKRDRDQKISWHAFDPVQRLWKSLPPVPPEYSEAVGFGCAVLSGCYLYLFGGKDPARGSMRRVVFYNTRINKWQRAPDMLRKRHCFGSCVINNCLYVAGGECEGIHRTLRSAEVYNPNKNRWSCITEMSTGMVPFIGVVHDGKWFLKGLDSHRQVVSEVYLPTSNMWSTIGNEMVAGWRNPSISFNGRLYSADCQDGCKLRVYDGATGLWTRFMDSRRHLGSSRGFEAVALVSLNGKLCIVRNNMSITLVDVSDPTTVIEIDSARMWEMFARKGQYRSFMANLWSTIAGRNLKTHIIHCQVLQV >cds.KYUSt_chr7.6789 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40933658:40936131:-1 gene:KYUSg_chr7.6789 transcript:KYUSt_chr7.6789 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSAASSACPFLDSEEPAPLAAKILLVSKDVPSYQLCTGDHVELGIFFRPKLAPAFLKGFFSPPTRIRCPVLCVEGGDTSSRRAGRCCLPLAPSPNSAHAANEDGDLLSNRSSSRRSAGKATGRHVHVLEGPISGAGPSGKLWWSEWFVLLGQCADDEEARWVKAWIREVGVILFSPC >cds.KYUSt_chr6.6827 pep primary_assembly:MPB_Lper_Kyuss_1697:6:41195061:41198851:1 gene:KYUSg_chr6.6827 transcript:KYUSt_chr6.6827 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSQCWDGVVHDLQSSLPVLTAALQEGLFHTKVDSFPFSGAPTNSVLAIRLRNDKTSQSEPPLMWSDSDDSLNKNTTFDLIQVDQCLFMIWSGETWSSSGRADEEDDMDVVEPDHPDMQKRLDPPVEELTEEIPGASQEVKGMALETLSLSQVVEHLTMVIPLDFSNHVCMVLEGTTSELWYMYMRLFLVTRLDKGDEGDKD >cds.KYUSt_chr5.18715 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121075984:121078006:1 gene:KYUSg_chr5.18715 transcript:KYUSt_chr5.18715 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVGSPGTWSGMALRVSQCIFAAASVCTMVTAFGFSNYTAFAYMNTVLILQFIWSLGLACVDIFSLRNKKDLHDPAIVTLIVFGDWIMSVLVFAGASASASLVILFTKDLDFCKVYWRLACSQFVLSTILAFMVWAVDAASSFSGFWLLVSFF >cds.KYUSt_chr4.28027 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176040084:176040986:-1 gene:KYUSg_chr4.28027 transcript:KYUSt_chr4.28027 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSVQWRHDFSFPGDPPTPMARMTDADDRRALPPRRPAENRLAPRAGEEDFRWLQAPSPGSPESGSGTPSPQLWAQHPHYGRLYPASAGSSPSRAQAIAGYRREMLDLVRGLPEAAYELSLRDIVETRGTFPLPPPPPPPPTQPHATAPEYDEPKKHTAAAMDDGQTKKQSGDKKQRKAGKQRTVGRTRSRSMERSVSLDTGLLIKLFMPLSVGRKQKVSPKPTAPSGAAKESKKKMKQKKEEEEEWWKKSEFSEAGSSSRTSSTGSSNSNGSASRNNGNGRANGGTNLQAPARSRSR >cds.KYUSt_chr3.175 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1053760:1057640:-1 gene:KYUSg_chr3.175 transcript:KYUSt_chr3.175 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAEEGQLAGFARGRHAAYLQAMALELPRDYANQEVMHLTLAYFAVAGLSLLRALDWVNRDDIAEWILSFQVHPEANDDFDSGQFYGFCGSRTTQYPSNSVKDPCHNGSHLASTYSALAILKIVGYDVLNIDSKSLLLSMRNLQQPDGSFMPTHIGAETDLRFVYCAAAICSMLKDWSGMDKEKAKEHIINCQSYDGGFGMVPGSESHGGGTFCAVAALYLMGFIQPDLASNLWESALIDVQLLLEWCLQRQAADGGFQGRRNKPSDTCYAFWIGGVLKMLGAYHLIDHTALQEFLFTCQTDFGGFSKFPEKVLPDIYHSYYGLAAFSLLGEDGVEPMCTELGIIAAAAL >cds.KYUSt_chr1.30661 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185656975:185657436:1 gene:KYUSg_chr1.30661 transcript:KYUSt_chr1.30661 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCLLLPIRPLWVPHHQRVLTPLAYPPRARPHTGRRIPPTPPPPRLSLPSTSRLRRPSRSSAREDWDGIIPSLDSSLSLSVLRLSPSLRWEQAREPLHQGIDGNRTCRIGPGMAFANAVRVCSHEDIVICIVPCAAGRTRIAEWAKGSELYT >cds.KYUSt_chr4.27074 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170095228:170103575:1 gene:KYUSg_chr4.27074 transcript:KYUSt_chr4.27074 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRGSGKRGRGRPRKTPAAAAAVIEVEDDEMAHEDMEETPQASAEENHKKKGEAEELPHPSAEDNDDQRVKDEILDASTDENNVEKGKEEMPRDSATRGGRRGRGRGRGRPPKTLAAAEENYKGLEDMPQASAEENGTQGTTEKSRPTRKRRRDPVADVSSLEPRTMRARKRRNAPMQKDETKKEKMEEKSVEATIKGIDVCQLKIPQANYKESERIYCTSIVDFHRGCNKCTYDLCLSCSRELRHGPNHGAPAASGTVFTQPGIGGKEDLQQGSSHDNVLIQKPSEGQNDVLTDTAVPAEDCAPGLRELRVNIDGSIPCPPNAFGGCGDSVLELKSLLEENVISNLLDKANLVINSEGVLEVGGSKCSCFSDFGEMSTCTLRKLACRENSRDNYIYCPNARDVKNGDLDHFQEHWLKGQPVIVRDVLESTSKLSWEPMVMWRAVREKREQEEPERLSVTALECLSWSEVEVNTHLFFNGYSRGAVSPEGLPMLLKLKDWPQHVSFEKRLPRHGAEFRSALPFREYTDHESGPLNLAVKLPEKVIKPDLGPKTYIAYGVAHELGIGDSVTKLHCDMSDAVNILTHTDVLKLKSKRITAIEKKKMSLAIKEDNRNQASISKEKAEGILKEREKVDHGSSSEDKSESPDNTEGTSEPTGRKKRRRGPPRSCRASKKEKETSTDGGKRIRISLEKKEDGVTFVEDNQPEGGALWDIFRREDVSKLQEYLMKHSMEFRHYNYEPVKQVIHPIHDQCFYLTNDHKRKLKEEYGVEPWTFEQKLGDAVFIPAGCPHQVRNLKSCMKVALDFVSPENVQQCMRLTEEFRLLPKGHRVNEDKLEIKKIAFHAIKQAIDDITKKDGNEGNCIDEVLDQPSPSEPAETEEGKKLSAQAMPGADMEERQEQTAPYRSVVEDEAVETKEHLQKSGQAISEDEVAPAEMEVKSTQQGLSEVKGSAEVKHVANSCVGPRVYPKGPCSSITSQILVYQRRQKGLSLDTSQILPKKKGLSSDTSQNLPKKKGLSSSPSRIRVYERRRKGHSSGQVKS >cds.KYUSt_chr4.22334 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140290114:140296047:1 gene:KYUSg_chr4.22334 transcript:KYUSt_chr4.22334 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGGAAAMAQNRRTRSRPPSAASSRKSEDPSAAASNGNGNGNVKAPSKPASPNHVAGERTVKNLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDVNGLLSGIVTDKDIATRVIAEGLRVKQTIISKIMTRSPLYVTADTLAIEALQKMVQGKFRHLPVVEDGEVIAMLDIAKCLYDAISRLDKAAEQGSALAAAVEGVERQLGGNFSAPHTLIETLRERMFKPSLSTIITESTKVAIVSPSDPVYVAAQKMRELRVNSVIITTGNSLQGIFTSKDILMRVVAQNLSPELTLVEKVMTPHPDSATLDTTILDALHIMHDGKFLHIPVIDGDGKVVACLDVLQLTHAAISLVEGGPGAANDVANTIMQRFWDSALALEPPDEEFDSHSEISLVPPSEAGDGRSSIYPAVTGNSFAFKLEDQKRRMHRFTCGSESLDELISSVTQRLGTRGEKDPIQLLYDDDEGDRVLLTTDSDLAGAVTHAKSSGLKVLRLHVDESDSNSEATKQFPEPELVSSHTSQLTPVHYGLMAGAIALTGVVVLVYLKRSRG >cds.KYUSt_chr3.10409 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61781580:61788344:-1 gene:KYUSg_chr3.10409 transcript:KYUSt_chr3.10409 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFKSAPAPEPVLLVLDDGDETVSEGSGSGPSTPDARGKEASSSSTSATPRKRSLSCGGMGADDPEAAGSDSSDTTWSVDSVHDRHRFSLSRRRMNVRSEPSVTSGTLLGRPRGVLRLRTLPQNDSIENEAGGRKVLQSNGISKAAHFMRRKKKRKPRVLKENRVGGDDPVCRLNTENDHQDGITRSCSENGLLVEKLSRLSGEPSDPVHVDKEGSAHVQGDDGLSVEKQSRLSGEPSNPLHADKESSGHVQQDDDATLEENAAMMLCSLSDNRHAHPSRKKSPDKSSKESSFQHRNRFKDPYNTVEDVAGPSRMLRRRGGKAPFSKRRPRRHFYEVNPRDQDPYKIIKERIRVFWPLDETWYFGLVKGYDPVKGLHFVKYDDKDEEWINLQNERIKLLLLPAQGRKRSNHKPDKVSYEEDKREDMDGNSPGSSDSEPTVSWSARSNQARPATSSNIDKPDHGHSNIVPVVSNSFDANQEKLQSDDAIPGGSLFANGDEEVHDDGNRSGDRRFHVVYSRKRVCRGRNCFVNNSEHDLNPESRSSSAAVIASVISREADTETGAVKHVMLSLLFDFRRPQFPSESNYPDVDPVIFCLDNQSEFTKEPLDLPAPSLLLCHHLKLLIESNLTSSGLVHQAIPSEISSEKDQQSISQHASDFVNQDGPLDMDTVGSMNHSSSASRKHDTTDCTVSLDCNDSSDGDINVTSERCPDQRCPDQNGRYVAGDNSNRGDKMCSSVHNVTNSPEKSKQWYPSIDIPQDKTSDPPDDERKDGKASEPVSNLVQELNEYPIGGVTPTAPRTTFHRNRFTSISRTFGDGSKLWPEDGSKKPRTPASYSVSPRSDELGLKHKGHFRRIQTHSSKTNGAKRFPDNTRSGESSPESLTCVANILVTVGDRGWREYDTQIKIDTDGQSDRRICVRLAEGIKYAHKVCQALQPGATNRYTHAILWKGGPEWCLEFPDRSQWAIFKQMHGECYNHNIRAASVKNIPIPGVRMVEGHDDNGGILFVRPQDYLCHIGPDVEMALDESRVIYDMDSDDEEWISGWRKSQHSKNSTVSELTEDLFEKVMDKFEKFAHTHNCNELTIDQIKELDMDDVPLDIIEVIHDHWHDKRQKKGIPLVRHFQPVMWKIYAQQLDEWESAVQKIQGVPNGYQQRRLPPKPAMFAFCLKPRGRQLQIPSKGSKGPKQRSHKKLMYSGSHSFSREQDGFHRQGRRNGEYLGDGKTCESYDGGSLYSPTGYSPRFSTRTESPRAFDAPERGSTPRFIRTNSVKRNASLAFSEDHQASPSIRNQRIKRGAVPDHWNTAIHEYQNSKQMFPGPPQSHRIDVEELKLRDAMSAAQHAAAMARLKRERAHCLMHKADLAAHKASVAVMIAEAIKASTSDSSRASDIRRDLGDEER >cds.KYUSt_chr7.19887 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123281646:123282200:-1 gene:KYUSg_chr7.19887 transcript:KYUSt_chr7.19887 gene_biotype:protein_coding transcript_biotype:protein_coding METKPTRAVVTWILALATLSSHFFTVADACDNVPTMDIDSACLKACTSQPLYQLCQDTLRHAEPTGEVTTYAVIAARFAKLSYEPTMETVDQLLQKASGDERAAYANCKDKYQTAHQIMAAVVDQLFACSFGSARQEYIDASVAVRSCGDGLSAFRSSPLYAVNAADQDKTMLAYDLGALIVGK >cds.KYUSt_chr6.17336 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109144234:109145945:-1 gene:KYUSg_chr6.17336 transcript:KYUSt_chr6.17336 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNVAIEERLAELTRETREHEASVWAGDLIGRKNELVARQAARRLLQLPSLDRRAHEKAVEAELGHQERLYRRNHCIALKHQKSHTMLYNINWICAFWSRPDSIDDVIVVARPTMENTFYVSTVTRWI >cds.KYUSt_contig_1358.70 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:447841:453497:-1 gene:KYUSg_contig_1358.70 transcript:KYUSt_contig_1358.70 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRRWERELAAYDGPPPPPARNNAAGRRRWWSAPGRTLEAVVEHIEGGNSPVLTMPPLSASRASASRRRGSVWQPRRMPASSSSSGSASRSSLAPVKREETSSPSTPVRVKKEPASPPATRGRSSGALVIREQPSAPQSGRKKTKKEAAAASQLAEEEASARRRPRWRRRSPGRLPTWRRRSARTTPHSDWAERGRQRREAEQQRRLPDLAAARQLAARAAPTANDDVARYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQAAQPKANDDGSDDDGDGDYTVFYRHLGMLFRLIYLIASTSTTILLPSLIGIAPSTRLVDLQPLQAGEIDNLTVSLGQSTLVVLCRFHVGAGIPGVAPHYISPPSTFNVLLDSYWTNVDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRNTPPGLESMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVMPNENHNNKIVTTANAIQVRINENIRLMAELRARWEKEENEKEDNIAKVWTITTTSNANASHFAAPPTINGEIIGVGNVSISNAKREKLPETAKTACDKTAEIFSTLGDNDPIALDYNGLDFDDCHISEVIKFLQKLAKIPNASAINLAFTKHISNALIKAREEKLNREALSSWRTSRCHGMA >cds.KYUSt_scaffold_869.113 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:629493:636249:-1 gene:KYUSg_scaffold_869.113 transcript:KYUSt_scaffold_869.113 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPPQLQPQAAHASPPFPAVFTPPRALSSRGSPTIPSPSPSGPAQFSTPPGPPVFSSPLRPAAVPFRTTPVSPQPVPFAPRTGSSSSSVSLPTSSAPHFLNGAATPHGHLPFAPPSLEDSSVDSPYVLFSARKVLKQKKLLNAPSLGFGALVSPGREVSPGPEALERDPRRCLNCGAYVNLYCDVLIGSGQWQCVICKKLNSSEGEFVVSSKHDLLQWPELASSAVDYVHSGNRRPGFVPMSDSRVSGPIFILIDECLDEAHLQHLQGSLHAFLDSLPPTARIGIISYGRTVSVYDFSEGAAVSADVLPGNKSPTHDSLKALIYGTGVYLSPVHASLPVAHTIFSSLRPYQLSLAEVSRDRCLGAAVEVALGIIQGPSAELSRGIIKRSGGNCRILVCAGGPNTFGPGSVPHSVQHPNYAYLEKTAMKWMDRLGHEAQRHSTIVDILCAGTCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDGMLVTQVIGPGEEASPDSHETFKNDTSFCIQMHSVEETQSFSVSMETKSDISSDFVYFQFAVRYSNIYQSEITRVVTTRLQTVDGLSAYLSSVQEDVASVIIGKRTVLRARTASDSVDMRLTVDERVKDIAIKFGTQVPKSKLYRFPKELSSLPECLFHLRRGPLLGSIIGHEDERSVVRSLFLNASFDLSLRMLAPRCIMHREGGTFEELPAYDLAMQSNNAVALDHGTDIFIWLGAELATQEGQSAAALAACRTLAEELSELRFPAPRILSFREGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPELRARLKSSFIHFDDPSFCEWMRSLKLVPPEPS >cds.KYUSt_chr1.30726 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185996364:186003332:-1 gene:KYUSg_chr1.30726 transcript:KYUSt_chr1.30726 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSETMSRRNGCTIYVGNLPEDIREREIEDLFYKYGPIVDIDLKIPPRPPVFAFVEFEDPRDADDAIYGRDGYDFDGQRLRVELAHGGRGASFDRSSSYSSSGRRGAARRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGAITGIVEYTNYEDMKHAIRKLDDSEFRNAFSRSYIRVREYNTKRSRSYSRSRSPSCSYSRSRSRSYSRSPRSSSRSLSPAAVSV >cds.KYUSt_chr2.43915 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273351972:273353986:1 gene:KYUSg_chr2.43915 transcript:KYUSt_chr2.43915 gene_biotype:protein_coding transcript_biotype:protein_coding FLQRFPGPASGRSSPCAAVRRERRRGAVGSVRACFNPLGDERILREALKEPVAFLGGVFAGLLRLDLNEDPLKEWVTRTVEASGIAEENSTEESDEGAQDDGPQQIEIE >cds.KYUSt_chr5.2429 pep primary_assembly:MPB_Lper_Kyuss_1697:5:16420481:16421563:-1 gene:KYUSg_chr5.2429 transcript:KYUSt_chr5.2429 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSLVGDDRAIGHSISVATPSGYHLLVVNGYSRTKEAAPNGTMISSLPFMIGGHCWCIRYYPNGYSSRCSDYVSLFLVLVDKNISTALKVHKRFSFVDELEKQDSVHIRAKKPRNYSSTDSPWGHKKFMKRDELEKSKHLKNDCFTIRCDLTVATIVDMFIKVPPSSIQQHMSNLLLSKEGTDVTFKVGGETFVAHRCLLAARSMVFKAELFGPMKEGKIASVIHIEDMEAKVFSALLSFIYTDSMPRMEVDVMEKEGEAQEALWLQHLLAAADRYDLQRLKVLCEQKLCEHINMSSVTTILTLAEQHNCRGLKDVCFDFLKTPANLKAITAADGLEDITRTCPSLLKELIAKLAF >cds.KYUSt_chr1.6866 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42216259:42216552:1 gene:KYUSg_chr1.6866 transcript:KYUSt_chr1.6866 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVPRTMDSVRPGLSSQIFSSDNFVISQFGAGKNWSKGHYTEGAELIDYFLMSSARRPRTGTASRVEPFVKE >cds.KYUSt_chr6.27991 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177518557:177519186:-1 gene:KYUSg_chr6.27991 transcript:KYUSt_chr6.27991 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKKEAARHMFERALESTALSFTTLANIGTPEEVLLNRTSSLDISVGGKSDYVRRPISKAAWNDIFSWFKMNGSGLIMLEPHGGFIGSVPNATTPYPHRDGVLYVIQYLVFWPGANGATAQTWLHDFYDFMGQHVTANPREAYVNFRDLDIGQNAVVDDVSTFESGKVWGERYFMGNFRRLAMVKAQVDPADYFRNEQTTPPLLHRRK >cds.KYUSt_chr4.10118 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61200654:61214498:1 gene:KYUSg_chr4.10118 transcript:KYUSt_chr4.10118 gene_biotype:protein_coding transcript_biotype:protein_coding IEKSCIWYNPKILSILRMLTRNPTKEIFSELDEINAQGLIFPRSFQKTERITKWGDEAPPCQGRVAKGGASPPCCVGPSCRLQPYPFAYLKPSSRKPQYREPRYGKPSRDAAAANPISGDSGDHLRFHVGAGIPGVAPHYIPPPSTFDVLLDSYWWEKEENAKENNVAKVWTITTTSNTNASHVATPSTINGKIIGVGNVSTPNAKRAKLPETAKTAETACDKTAEIFQNIGDNDPIALDHNGFDDCHISEVIKFLQKLARSPNASAINLASTKHIRNALIKAREEKLKLETSIPRKLEDGWEPIIKMKVPRWRRNPWCCAALHSVTNNLHVLLDSYWFDKLWFLTEGNLLLYASHLPLGVPNGRVLYASTEDFIIDNRVCARGSNACASFLDVPTEQMLLVVADAEASNCGQQAAAYPAGRARLLLTRRATALYLLAGAAATAGRARAAEEVEGAGGEGVVGAIKSLFDPNEETKAGKVLPKAYLKAAREVVRTLRESLEEKDAAGDSAKFRRGADSAKVSIREFLGGWRGQQSVAKEVRSQYRILQLSYKFARKMQFIRFSVENCKSHVKLYALNLQKNKPNLNAMQESYVALEKAIRSLAEFYSKAGPFASLPGDVKNKILDDLNAAEAYL >cds.KYUSt_chr4.28883 pep primary_assembly:MPB_Lper_Kyuss_1697:4:181409148:181409504:-1 gene:KYUSg_chr4.28883 transcript:KYUSt_chr4.28883 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSRWGMQERGEEVLLTLGWSETRRRAAGNVKEGEAVVDEAEVDGRVQRKPWPRKTTGDVVQRAPARRFWPSSLESWMERRNDEARSKNGMLGRYSSSPWVRGRSAEVKVDGGQLGR >cds.KYUSt_chr2.32018 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197480247:197481931:-1 gene:KYUSg_chr2.32018 transcript:KYUSt_chr2.32018 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPPAKRPHAVMIPYPAQGHVTPMLQLAKLLHARGFHVTFVNNEFNHRRLLRSQGADTLHGVPGFRFATFADGLPPSNPDATQDIPALCYAIMNTFLPRFRELVAKLDEEAETSSGALPPVTCAVADSLMTFALRAARELDLRCATLWTGSACGFMTYHHYKDLRDRGIFPLKDEAQLSNGYLDDTTIDWIPALPKDMRLRDMPSFVRTTDPDDVMFNFFVHELAAMSQASGVIINTFDELDAPLLDAMSKLLPPIYTAGPLYLTARNNVPEKSPAAALGSSLWKEQDGLLEWLDRHPPRSVVYVNFGSITVMSNEQLLEFAWGLANTGYAFLWNVRPDLVKGDTAAIPPEFSAETEGRSMLSTWCPQANVLEHEAVGAFLTHSGWNSSLESISSGVPMVCWPFFADQQTNCRYKCTEWGVGMEIADDVKRAEVEAMIREVMEGEKGGEMRRRVTELRDSAVASARRDGRSMRNVDRLINEVLLA >cds.KYUSt_chr7.36180 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226154024:226156575:1 gene:KYUSg_chr7.36180 transcript:KYUSt_chr7.36180 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGSRPPWLGLSAAVLVQVAGGASSTFALYSHALKVALGVDQSRLAMLAVACDVGDNLGLLPGVLCNRLHPAVLLLIGAAACVLGYGTTWLAVSGIAPALPYWLVWLALCLAANSGAWLGTAVLVTNMRNFPLSRGAVAGILKGYVGLSAAVYTEIYTGVLNDSAASLLLLLTLGVPVVCLLTMYFVRPCEPSLAPNSSERVHFLFAQIGSIVLGLYLVGTTILNHVVALADIWNYLILVVMTLLLFAPVAIPLKMTLFPSNRAKGPLDSSDADNDHTEPFLLPSSSGSKLTNLEDDDASDIDILLAEGEGAVKQTRRRPHRGEDFRFREALLKADFWLLFAVYFIGVGSGVTVVNNLAQVVIAAGAVDTTISLSLFSFCNFFGRLGGGAISEYLVRSWILPRTALVVCTQVVMIFTYLLFALGLYSTLHVSVALLGICFGIQFSVMISTSSELFGLKQFGKIYNFISMGNPIGALLFNSLAGYIYDLEVQKQHSTTTDSDVACYGPNCFGFTFCVLSGMACLGTLLSIVLTVRIRPVYQMLYGGGSFSVPRSSAH >cds.KYUSt_chr3.38187 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240340257:240342398:-1 gene:KYUSg_chr3.38187 transcript:KYUSt_chr3.38187 gene_biotype:protein_coding transcript_biotype:protein_coding MECQNDHAAANGDGLCVAQPARADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTMEGASLTIAMVAAVAAGAETRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETIASLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVATAPDGSKVNAAEAFKIAGIHHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGLKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVRGAVKNCVKMVARKTLSTNDNGHLHNARFCEKDLLLMIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERDVQTSVFAKLAAFEQELRAVLPREVESARCAVENGTAAQHNRITECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFVAMNKGKHIDALLECLKEWNGEPLPIC >cds.KYUSt_chr2.51486 pep primary_assembly:MPB_Lper_Kyuss_1697:2:321731153:321731413:-1 gene:KYUSg_chr2.51486 transcript:KYUSt_chr2.51486 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVGTVDPVDIVEKLHGKLFPTVKIVSIGPAKEEKKDEKKEGGDKKDPSKEVVYPPYWFLSPPHHPHPYYFVGSAEEDPNSYVIC >cds.KYUSt_chr2.34896 pep primary_assembly:MPB_Lper_Kyuss_1697:2:215168285:215173286:-1 gene:KYUSg_chr2.34896 transcript:KYUSt_chr2.34896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Dehydration-inducible SNF1-related protein kinase 2, Hyperosmotic stress response, Abscisic acid (ABA) signalin [Source: Projected from Oryza sativa (Os02g0551100)] MEKYELLKDIGSGNFGVARLMRNRETKELVAMKYIPRGHKIDENVAREIINHRSLRHPNIIRFKEVLLTPTHLAIVMEYAAGGELFDRICTAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGNPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGGYPFEDPDDPKDFRKTIGRIVSIQYNIPEYVHISQDCRQLLSKIFVANPAKRITIREIRNHPWFLKNLPRELTEVVQAKYYKKDNSAPIFSDQTVEEIMKIVEDARTPPPSSVPVAGFGWAEEEDQEDGKRSDEEHKNDDDDEEYDVEDEYDKHRRQGADLDGTLNIVDIFDGKQRDGHSMSFDSSADSNISSDSDNIDSFDFIDKSTSVREVFADLCDGVTNPDESQIPKYHQVYVIGETSRP >cds.KYUSt_chr6.22901 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144767898:144768347:1 gene:KYUSg_chr6.22901 transcript:KYUSt_chr6.22901 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLGLHRAIAAAMPAASRHLASLHDHGGVIAAAVPAVAAFLAVCALALAMCASHSGAGEAAKERLRRALASVSRRRTDPVIVSIHQVQPGVGFGGDASPPPCVWQKGILMGGKCQLPDFSGVINYDPAGNMVAPGRPRAQPLPALGW >cds.KYUSt_chr4.28228 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177385630:177386280:-1 gene:KYUSg_chr4.28228 transcript:KYUSt_chr4.28228 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPSNFHGSLRPKQLQIDALKREDDTRVPPSTDHGGSRDFPGANPDKKRRRHLDDAFKKGAAPEAATIAGLGQLPAGQQPRQGVSPGLVAPSCFVPKIGPPSSTLPTNTSPLPRAAAPGTPPAPTTAPLQLHHGQGLPRQPAATPRPPTPGAGPQGPDRTTTRASEPPPRQPHTAPPHPPTGRRARRHPARRPQPSARTGPVRPGSSPPGRGLR >cds.KYUSt_chr2.32001 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197367791:197368021:1 gene:KYUSg_chr2.32001 transcript:KYUSt_chr2.32001 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALTAAAVGGVGPPPGRIGGGWLALTICSPSAAAPMPGHLAKVSRFSKMTGRSFLPRHCRGNLLYVSDTPPPVC >cds.KYUSt_chr3.39510 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248935482:248936062:1 gene:KYUSg_chr3.39510 transcript:KYUSt_chr3.39510 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFLRCFPNVETLCIGSEEETRKPTTANISPKIWRSISPKIWRNISPIECVQSHLKTIVLREYRGHSTEYDFLKYIAEHALEKMVIVLQKGLSNTEMEEVIAKLTPLNSARASKDNKLLFPIHKWDGGATWTLQAGLLEGSVSPIDDPFFCQVS >cds.KYUSt_chr4.47740 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295522241:295525577:-1 gene:KYUSg_chr4.47740 transcript:KYUSt_chr4.47740 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVRTVIGSVGNLAVHETKFLCGVTVEVAFLKDELMRLQAYLKDADNKWRSGNARVAILVTQIRTAAYEAQNVIEAADYMEKRRRLKKGFMGAVSRYACLPSDLANLHKIGVEIQRVRRKLSEIFQSADHLRIDLDNTVVVSGQVEDEFPQDWGLRHQNYEDDVVVVGFMDEYKEITDKLVHEGQILSVVSIVAMGGAGKTTLARKVYTSSRVKQHFNTVAWVTVSQKFNGADLLKEIMKQIIGEKDELIDQMQDVQQQKELRTFRLKDSLATSKFCNLDMVRFLGQMTELTTLSLVILPNMLAEMMNIFANMPCLVDVELYKLSAFDKFPESHQFPQNIRSLSLYANAFKQDPMPALENLQCLVVLKLEGYSGRIMSCSARGFPRLQNLELFSFSYTEEWTIEVTTMPKLSHLILEGFHKMRKLPEGLLQIPSLNHLELEDVPLISVGHDSTSKELQKKGCEVTLIK >cds.KYUSt_chr4.54570 pep primary_assembly:MPB_Lper_Kyuss_1697:4:337141287:337142728:1 gene:KYUSg_chr4.54570 transcript:KYUSt_chr4.54570 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSLVGKLRFPAYFQRVAGSGRVASQDKMSSRAFSKTPADWAEGYDERLRSFFEKLEKEQRRQRRSMWKAVLRAEAAALAIAFGGLCYIADNNRVELPEAGTNHPD >cds.KYUSt_chr2.32487 pep primary_assembly:MPB_Lper_Kyuss_1697:2:200360004:200361995:-1 gene:KYUSg_chr2.32487 transcript:KYUSt_chr2.32487 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNICTKNTTSSTILIVAVAVAAIAVFADLQYGQETADIVLPEKANMAKVVLLLLLVIQISSVLAAAARPLAGDGQWLENGIGMVTQMLGGVKSRGNPRTHCC >cds.KYUSt_chr1.18207 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106313093:106316304:1 gene:KYUSg_chr1.18207 transcript:KYUSt_chr1.18207 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAANPAAVRRAEEVVEREMSGRDASHDAAHALRVRDLALSLAAEQGLSSPDRLLIVELAALLHDVGDYKYTKDNAEDMSIVERFLEEVGLEEGQREEIVAIITGMGFKNEVSNKLNAEPTLEFAIVQDADRLDAIGAIGVARCFTYGGSKNSALHDPKVLPRDNLSKEKYMSKEEKQTSINHFHEKLFKLKDMMKTEAGKRRAEKRHKFMENFVSEFYEEWSGRA >cds.KYUSt_chr4.9133 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54967220:54970895:1 gene:KYUSg_chr4.9133 transcript:KYUSt_chr4.9133 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSKENKAKTAMDKILEGIFSSPHACLWGCQSSVSSPANNSPANPGVSLWPRAWDTPNEVLVDAQVSRHRSPRRLQPQPLDYIQQARIPCFKFRHGWSHAAAREGPSERHRAAHECSGPGCTCVGCPGESMYNNMPSEVHLPAAKPCNNNSTSGTPPVVCRHEINDAPR >cds.KYUSt_chr6.23744 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150128467:150133683:1 gene:KYUSg_chr6.23744 transcript:KYUSt_chr6.23744 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHYSSKRKYDDPSPPPRRTGFSSGPPPPASPPAGTAPVPSSYNTVPPPPDEIQLAKQRAQEIAARLFSAAEAKRPRVDNGDDDAGAERGASLGGSGRIGGGGLGFSSSAGGGHGSTISPLSSQGSAHQYSSYGGYQSGSTTKKIDIPNGRVGVIIGKSGETIKHLQLQSGAKIQVTRDMDVQPGSQTRSVDLSGTPDQISRAEQLINDVLAEADAGASGTVSNRKYNPPQPGSEQFQMQIANNKVGLVIGKGGETIKSMQAKSGARIQVIPLHLPPGDPATERTLYIDGTVEQIEIAKQLVNEVTSENRARNTMSGGYPQQGYRPPRPQANWAPGAPATQQPGYGYMQPGAYPGAPPQYGQPPYSSYPPASGGYQTGWDQSSNQQPQQTPPGTGYDYYNQQQQPQQQPAPGTAAPADASSYNYSQPATYASQGYGDSTYSQQSGGQQAYDYSGYQNQGQQQPAYSQQTGYDQQSYGTTGYGSAANSTQDGSAPPSYGGPGGASQASPGKQASTPATGSNPGYSTQPPTSAASSYPAQGSVPPSGYAAPQTQASYGAQPPAQGGYGQGSYGQSPQGQKPPTSAPYGQAAPPASAQAGGYGQYGYGQPGYGAPPPYPGAPPASHPGYGQQQSYGDPYGSGSYGQPPAYSTEATTPAASQDQSAAAPAPAPTTTNAAPPAPANSGPAQTSS >cds.KYUSt_chr1.1429 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7775830:7778209:1 gene:KYUSg_chr1.1429 transcript:KYUSt_chr1.1429 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSESEMQADLAAQRAACERQVAAGRDPSAWAAFRAGLHSARSGAQQTFSRKGTPASSLPLSLPFSTGAAEWDLAGLQKQLRDLEADLAQTLSLKIAKERKRERMRESISASAAAGEQLRNTIADQMNRRVQHAAAVSRALDAVEALETKDSEDEQWREDIDKAVSWYQQFAGFQVVEKVGGVRFIFDKVDSQVPEKEFSMVLNFDKDSEELLKDLNLANDLPKFGHVSPQEADSDHGKLSPD >cds.KYUSt_chr7.6422 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38761504:38769784:-1 gene:KYUSg_chr7.6422 transcript:KYUSt_chr7.6422 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAQDYAAAAMAYAQAQAQQQQPPPQYGFHPQAQPQYPHHPHAAGPPTYAAPLPQYPQYPRAMPPQQQLYPPHLQQPSPYPPPPHAMPAPPHPAYMHQPQFDPAPAPPPADPELQKRIDKLVEYIAKNGPEFEIVIRDKQHDNPDYAFVFGGDGHAYYRYMLWAAGRPPPYPHMMPPPMGPMMHGPPMYPPFYDQHQHFGAHGHGEYEAAAAQSFKGLSGPLPVDVAAELHDVLTNLNGTKESIKGAKTWFMQRAPFAPALAEAIRERVFALEDSERQLHIVFLVNDILFEGLQRRTNIQDLDNEAVAFQSVLGSMLARIYNNPQSKDDNQNRLEKILQFWGSKEVYDQETIANLEREMKGSARGPSKWSSAPPDKENATYPVSAAPQPVLSAQFPASQLPAGVYPPLGQTTFPGSLPMQPSLLPTPLPQSAAPATTHDQNLPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVSESDILDRVTKFFKEIGEVNPSEGPMKQGEPDDYDDYERELPARKGEEQQLPFFHEFSLIGTKSSIGTQHYLRIKKGSMDPAGSLTSPALPWSYLACGLLGLALLWPATRLLEQLWRRPRRLERALRGQGLGGTRYRFLTGDAMELGRQNKEAWAKPSLPPRCHDIAARVMPFLHGAVREHGSPCVSWFGPVPKVTITDPRLVREVMAGKFGHVQKVKFPVLAKLLALGVGTYEGEKWVKHRRILNPAFHAEKLKLMLPAFSSCCQELVGRWTQSLGSDGSWEVDVCSELQTLTGDVISRTAFGSSYLEGRRIFQLQSEQIVRFMAALHKFFIPGYMSFPTKNNRRMRQINSEIESILRNLIAKRMQAIEEGESTKDDLLGLLLESNMADKDANGQSVKGMSTEEVIEECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLRMFGKHKIDYEGLNRLKTVTMILYEVLRLYPPASAFTRQTYKEIEIGGIKYPAGVIFEMPVLYIHHDEDIWGDGVHQFRPDRFAEGISKASKDPGAFLPFGWGPRTCIGQNFALLEAKMALCMILQRFEFDLTPEYTHAPHTVMMLRPMHGAKIKLQAISS >cds.KYUSt_chr1.9146 pep primary_assembly:MPB_Lper_Kyuss_1697:1:55969187:55974947:-1 gene:KYUSg_chr1.9146 transcript:KYUSt_chr1.9146 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTFPTSTPFFVHHGSRRPSLNVRTAAAVYGRGGRRWRPLRVTCEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKAGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVAEESKQVSYRIVRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLDVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAGSFKNDEGIELLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTITRGKFEELCSDLLDRLRTPVDNSLRDAKLSLKEIDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAVDKGTGKKQDITITGASTLPKDEVEKMVEEAEKFASEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPADVKGKVEGKLKELQDAVAGGSTQTIKDALAALNQEVMQLGQSLYQQQGAPGAGPTPGADGAADSAASSEKPGDDGDVIDADFTDSK >cds.KYUSt_chr3.47922 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300181964:300182965:-1 gene:KYUSg_chr3.47922 transcript:KYUSt_chr3.47922 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVASLRMARLELEDLYRAVPDDSVELTFKDLLAAPPPAVPPAAKTTLAAIDELDGDERHSKAELLRSSTNIFTYKIDSDTDGDDQLHHDAVHPTSAAGFQLSPSPSPPPAGRRSSRTAAIRPSAAAEGTDDQQRGLRRNGGVGNYRRQGIPHSNLCALCSGYVHILRHRCLSCGRVYCRRCVGAGMGDMTEGRKCVDCLGRKYSHRYIHKAGRTSSTAAGLLCSCGLHGWGRGSGLRAQELLWAEKGAAPRRRQPSSSSTTSISASYSTAAGAAGGYSASMSMTMMSINSGAGGAGYNSNRVVVKQPASSSFVANSTFSRGGANPHALPL >cds.KYUSt_chr6.30575 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193757862:193758377:1 gene:KYUSg_chr6.30575 transcript:KYUSt_chr6.30575 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKVFFDVTIGGAPAGRIVMELYADVVPNTAENFRALCTGEKGVGKMGKPLHYKGSKFHRVIPGFMCQGGDFTAGNGTGGESIYGAKFKDENFVKKHTGPGVLSMANAGPGTNGSQFFLCTAKTAWLDGKHVVFGQVCDGMDVVQAIEKVGSQSGNCSKPVVIADCGQL >cds.KYUSt_chr1.26522 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159842695:159845326:-1 gene:KYUSg_chr1.26522 transcript:KYUSt_chr1.26522 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWGSCRYGGGTVSTDSRVTPSRPPPLQPYLDLRRSRMMLNLEAGVMGQEGAWSTAHSHGGLRAVECARVEELWGSAALGQCCEGDGSARVGLLSLNSSDPPSSGDEERSLLLRSANHHGPALLLLLILCFFYFLGRC >cds.KYUSt_chr2.33104 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204381090:204386554:1 gene:KYUSg_chr2.33104 transcript:KYUSt_chr2.33104 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPPPTSTATLPRLHLRLPLLRRAAPRPPRHLLPPPAAGALPPPAPDALLPTQATGLVAASQANFMRVIVASTAPGLEQHRGADLLCVVRALLKKIRRRVLVGDRVLVGAVDWAGRRGVIEDVFQRRTEVADPPVANVDRIVLLFSLDQPQPEPATLTRFLVDAESAGIPFLLVFNKVELVDQQTISYWRDRLKSWGYDPLFISVNQSSGLGALEEMLEGQTTVVVGPSGVGKSSLINALRCNQNISEEDPIHQLVEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIVDGGFLADTPGFNQPSLMKLTKQGLAETFPEIRRMLEENEPSQCLFNDCAHIGERGCVVKGDWERYPYYLQLLDEIKIRESFQLRTFGTKREGDVRYKTGTLGVKQAEPRLQLKKHRRVSRKRMNQSILDDIDAEMDDPDDDYEFGVSRRAGKRSNEFQQPPRHPHHRHVHRRHQHHPRHLRRHDRRPRAAAATDGDRGCRRSPAKAAAAAHSASTSSPATPADLADALRAIRFELAEIKAGQHPPPPPPPSRPPSATPTPPASVASKGRPA >cds.KYUSt_chr4.7297 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43233738:43234046:1 gene:KYUSg_chr4.7297 transcript:KYUSt_chr4.7297 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGRRLGDAARPAWAELRRREAAADARLAAARARLAEALAELERARARAAELQRRLEETYGKRRRLKRVAAAARDRIHETRARLQEQQQDQQLPAESDPTS >cds.KYUSt_chr6.6426 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38901169:38901942:-1 gene:KYUSg_chr6.6426 transcript:KYUSt_chr6.6426 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLERGAGGFQLPNSEHENSLFLRALISVVSGDTLVPTLHLEPSTPPFAAAVAAPTAGTAAAASCATCGADGCLGCESVAAAAATTGSSSEGEECSAASFVKDGGVGKRRGARRGSKFRGVRQRPWGKWAAEIRDPHRAVRKWLGTFDTAAEAARAYDVAAFEFRGQRAKLNFPAAASSSVSASASATGSSWAAVQPRPQHLPESNRENCGSNASSPAHVRQLPEQERAPVGREKEIWDGLQEIMMLDDGSFDLV >cds.KYUSt_scaffold_3611.72 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:328813:330920:1 gene:KYUSg_scaffold_3611.72 transcript:KYUSt_scaffold_3611.72 gene_biotype:protein_coding transcript_biotype:protein_coding MESFATPAKPPCNKHCGLQRCALDILDIDLADRGGEVEDEDGDDGVFFAFRRWEVTFLSSSKATPWPIQLPAMDSGVSTSVVRFFLRVAVAYYGCVEASGFVPASSHDGGVAALWLDGGEREGSDCFSSSFSEGRPGAQAGEQRLQHPHQHRLPSVGIRISMDSSALASASSSNQQLVTASTTSHSA >cds.KYUSt_chr7.9605 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58751969:58754350:1 gene:KYUSg_chr7.9605 transcript:KYUSt_chr7.9605 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKNVPTKLIHCVIQAGHLEVGIRGNPSYLNHDLMLPVKTDSSFWTIEDGELHITLQKREKGKTWASPIQGQGSLDPYVADQEQKRLMLQRFQEENPGFDFSQAQFSGTCPDPRTFMGGIHTD >cds.KYUSt_chr5.2950 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19313064:19313477:1 gene:KYUSg_chr5.2950 transcript:KYUSt_chr5.2950 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSATAAASMTRVSAAAAAMARVAASMARVSAAASALARVAATASTVARVTAAASPSASPGVAASASTVARVTAAASASPGVATSASAGVAASASTVAVYIGLGLFLLGLCKNTKRAYKLVAACIRSRPSSKQVR >cds.KYUSt_chr1.38041 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232273826:232279090:1 gene:KYUSg_chr1.38041 transcript:KYUSt_chr1.38041 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKARKMCALLLVLALCLAAHLQGEKGDEGSGRGGRGIGVVVRSKRSRRHHHYDDDHPDDDDYHHNHTSAAEPRGHGPNWRVVFGATATLAAALICCQTKGSHGVDGRGEHKYASALHQRTHRVTSALAQPALEWTLIALLLINGLLSHAVARFAAYFGLSPPCLLCARVDRLFGAAHEDDDEAAGDARWLRGVLCGAHAAEISGMATGGQPQLRRRAVEVLFDGQGKMYLHTGWGKFARDLALEPGCQLTFLYEGDGEMVVKVFDDTACRRHYHTGESESDSDS >cds.KYUSt_chr5.14647 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94952315:94952931:1 gene:KYUSg_chr5.14647 transcript:KYUSt_chr5.14647 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSGDLLLVLSSTPQGGVMDSIDFFWFLGPPVVFLASWRPRAPASRRPRAAALRGSALSRGEIPAAGRFPFPCPGDLVDRRIELADGRLEQGCAGGELALFFTGTSRFCLPSAPPAVAPPAPNHRLRFHGSRAASCALLLSSRSGL >cds.KYUSt_chr2.45927 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286645705:286646088:1 gene:KYUSg_chr2.45927 transcript:KYUSt_chr2.45927 gene_biotype:protein_coding transcript_biotype:protein_coding MRILARRPEATTAKRALGAPRSVHDEHDHYVTPALGDIGVALPDAISGSDRGKHAAWPPSKRPTVVALPSQGVMEVVPQPAATAADAILVAPLRRVVAPGRSSSRKQSAAALGHDASASSGDNASRT >cds.KYUSt_chr5.17001 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109520234:109527120:1 gene:KYUSg_chr5.17001 transcript:KYUSt_chr5.17001 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRYGSPLLSTVVRREGRRHRNRSQQQWPKGRGKEVRWGLEAGGLRYRPRGGGREGLGFGRGRPSEMTGHRRHQSRQQRRPEGRGKEVRWGLEAGGLRYRQGGAAGELGDQPDWSANKTSKIKLCKLGLYAGFISLDCGGADDYTDGIGIQWTSDAKLVSGGQTANMLLQNQPQKHYTTLRYFPADTRKYCYTMNVRTRTRYLVRASFLYGNFDNSNVYPKFDLSLGATPWSTVIIDDADTPVVEEAIVLAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTDYEAQFFLALSARINFGAVDSKSVRYPDDPFDRIWESDSVKRANYLVDVAPGTQKVSTTNPVFVGTELEPPEKVMQTAVVGRNGSLNYRLDLEGFPGNAWGVSYFAEIEDLAPNETRKFKLVVPGMPAFSKPTVDVEENAQGKYRLYQPGYVNISLPFVFSFEFRKTNDSSKGPILNAMEIYKHVQITMGSQDANIMASLVSRYPQAGWAQEGGDPCLPASWTWVQCSSEAAPRVFSITLSGKNITGSIPEELTKLSALVDLKLDGNSFSGEIPDFSGCRNLQYIHLENNQLTGELPSSLGDLPNLKELYIQNNRLSGHIPRALSKKSIIFNWSGNNGLGKGGESISRSTIVEIVCAVVGAILLLAIAIGCCLCTRKRKKKPSHETVVLAAPAKKLGSYFSEVATESAHRFALSEIEDATDKFEKRIGSGGFGIVYYGKLTDGREIAVKLLTNDSYQGIREFLNEVALLSRIHHRNLVTFFGYSQQDGKNILVYEFMHNGTLKEHLRGGPNDVKITSWVKRLEIAEDAAKGIEYLHTGCSPTIIHRDLKSSNILLDKNNRAKVADFGLSKPAVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDIYSFGVILLELISGHEPISSDNFGLNCRNIVAWARSHIESGNIDAIIDESLDPGYDVQSVWKIAEAGIMCVKPKGAQRPTISEVLKEIQDALAIEMQREAPQAQQLMSKRSMGSGSINTDNSVDLEQNASFDEMLMRPGLR >cds.KYUSt_chr5.38857 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246013649:246018370:-1 gene:KYUSg_chr5.38857 transcript:KYUSt_chr5.38857 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEAESSAAARERPRVPFARGGPVFVPFMVGPISTVPEFLSSTLRQIQSLRDELGDPGDEFEDELCVDALKVLSEEELVERALQEAMEGRYLTKAEKMAEIKIKQEEDRRGAMLHSFSGDSAMSRSSKASAEKTDVAKSLSYNSAPWKHKASKSEEHIPIVYPDVILCVEIYDQRQSSVKSQEFLVLGSQLLTDLKDNIYCSTNKLMEVNNQRDHSGYFLIEDTFYNDMRHYSAVDYSKPILDWLNESSDEVAEKWDAISSGALKKRQKDLLRGLNISNVPEFKSAKMQSTYFSDLHFRLGAGYLYCHQRNDKAAPKFFRPSVKSLSESSLELESVLTVDIAEDSLMPLAIVFGGKYVDLPSMGYPHHDLTVILWKRLLVSCKHGQLHPCEI >cds.KYUSt_chr4.41148 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254382895:254386630:-1 gene:KYUSg_chr4.41148 transcript:KYUSt_chr4.41148 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGSSTGGGRPGNGAAAINGDARFHSQQEQDKGRWAGCFSGLSCFGSQKGGKRIVPAARVPDGNASTNRGNGRQSGGNSNQHAAMNPSLLAPPSSPASFSHSALASTAQSPNCFLSISANSPGPTSNMFAVGPYANEPQLVSPPTAFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLSSSMGLKAAGKEQNMHYLSTTYSGGSGFQGSYPLYPGSPSSSLISPASVTPRTGLSSPIPEQDVPTAHWKTSRSACDTPYSIASPIPEQEVPTAQWKTSRSACDTPYSRTSPSNMFGLDSAASRNYLLDSNFFRPAASAQFYLDQAQQSFPYNGGRLSVSRDKQDCDEVEAYRASFGFSADEIATTQHYVEIPDAVDDGFSISPFGNSATATEVCLPNQVQSMDKMDKSVFNVKQITSPKKSADQLSSGTTHKVMHLDMFKGPKGGHLSDDDASLKDCHPFSKARDEISLKPIEDAAAYVAPSLLECFDSDARSEAQFAATGTEYDETDAAKAHNHAYSFGCAYVSVD >cds.KYUSt_chr7.3246 pep primary_assembly:MPB_Lper_Kyuss_1697:7:19338073:19343389:1 gene:KYUSg_chr7.3246 transcript:KYUSt_chr7.3246 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPAGLLLLLLLALPFLAAAQSRPFGGAPPGYARYVTDASETAAEEEYDYIVVGGGAAGCPLAATLAGPGGGRVLLLERGGAPAEFPALATAGGFVRTLALADPSPESDAPAQGFSSEDGVANVRARVLGGGTAINAGFYSRAHPGWFYGHGEGAEVPDWDMHLVNASYEWVEQELTFQPEVHGWQAAVRAALLEANVTPWNGFTVDHVTGTKIGATTFDASGRRHSAADLLAFARPGRLRVAVRATVTRVIINPIDPADRRGRSRPAVGAVGVVYQDRLLQQHHALLRPGGEVILSAGALGSPQLLLLSGIGPASDLASLGIAVSADAPDVGKHMFDNPRNGISIIPSVPIDHSLIQVVGIPSANGTASYLEAASYIVPLAPMLRPGPFMSPSSPLYVTMATIMEKVPGPLSEGSLWLSSPNPLETPSVRFNYFSRPEDLAQCVVGVRRVAQVLRSRTMDIFRSPLGSSSQGRRGPLRRDFRIVGATLPLDWSTNDTSVADFCKRTVTTLWHYHGGCVVGRVVDKDFRVTSARSLRVVDGSTFSVTPGTNPQATIMMMGRYVGLKMIGDRHSRRLVNNTSS >cds.KYUSt_chr5.29537 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187238114:187248175:1 gene:KYUSg_chr5.29537 transcript:KYUSt_chr5.29537 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLSNGRSPLIRKQSQITAFFSSPSPSPPSAATTTPKSAAEPTPSPLNPKAKKPPLVVPSPPPPKPTPPPPPPPPKAKKPDAPAEEAVGRRLRVYWPLDEAWYEGTVDAYDGASRRHRVAYDDGEEEEVDLANEKFEWAAAPPPPPAPARKLRRLRRMSDTAEAKSPAAPEDEDSTEDEDWRKDDAAPEDESEEVDLDDEEEVLLTVSSSKGKKRRSSLSVSGSASTLRSTPGLGSASASSGSTLSTKRKNVDVATLGCAKKFSFQLDNTPAKAELKVVPTSCGRGEKNLENAHLALTGDLAERFGQRQGDKFKFLGEGRKDAKGRSPGNPAYDPRTLSLPPQFLKNLTGGQRQWWEFKSQHMDKVLFFKMGKFYELYEMDAHVGAKDLDLQYMKGDQPHCGFPEKNLAVNLEKLAQKGYRVLVVEQTETPDQLDLRRKETGTKDKVVRREICALVTKGTLTEGESLLSNPDPSYILSVTEDSQYSSKKSQDGHTIGVCIIDVSTSKFIVGQFQDDAERHVLCSVLSEMRPVEIVKPAKMLSPETERALKNNTRNPLINDLLPSKEFWDAEKTIHEVEQYYSSSDNLAVSQNTDGAQSNMGCLPELLSELIGAGDQAYALSALGGSLFYLKQVFLYNKMLPCAEFEPLTCSGIIKNTRKHMILDAAALENLDILENATGGLSGTLYEQLNHCVTGFGKRLLKRWIVRPLYNCKAILQRQGAIAIFKGVGHDCAMQLRKDLSRLPDMERLLARLFSSCGENGSSKSVVKYEDTSKRLLQEFTAALRGCQQMFQACSSLRVLTGAEGSCLLNDLLSPGKGLPDVSSILDYFRAAFEWSEADRNGRIIPLEGCDPEYDATCSAIEEIESSLQEYLKEQRKLLGDSSVDYVNVGKDTYLIEVSESLKGSVPSNYEMQSTKKGFYRYWTPEVKQLLSELSKAVADKEAILKGILQKLIHLFIEHHSKWRQLVSVVAEIDVLISLSIAGDYFEGPTCCPIIRESSGPEDTPIFHARNLGHPIIRSDSLSKGSFVPNNIKMGGPGNASFIVLTGPNMGGKSTLLRQVCFTIILAQIGANVPAENLELSLVDRIFVRMGARDHIMAGQSTFLVELMETASVLSSATKNSLVALDELGRGTSTSDGQAIAASVLDYLVRHVQCLGLFSTHYHRLAVEHEDNKVSLCHMACEVGIGEGGLEEVTFLYRLTAGSCPKSYGVNVARLAGIPASVLQRANEKSIDFEANYGKRRCATKDKMVCAQKVDSFATIRDLFHVVKEGNHQGDQAASLSMICQVQTRARAQATEGFLGEGRDLSYMLPVVPEASPPAEGIAGLVEVGEDSLYGSFSPHACPSPLPHPRVSVACEGEDTVEVKARVMLMMPELQEFELQEFSRKSTPLSVVHLSLAASTMASTTPSVEPSLNDACESEDGVRPR >cds.KYUSt_chr4.860 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4490029:4497837:-1 gene:KYUSg_chr4.860 transcript:KYUSt_chr4.860 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKLEKLYWDWDLIFLPPYPLFSSQMALDVHGSENSNTTVLARETTVRRTCRPASLAIKMGAASEHAGRDASLAIVLPSDATELHRQSHQMEEANGRRWGLNGSFSAEGLVLLLDVGPSMHRALQEVENVCSTLVRKKLVYNRSDEVGIVLFGTKETCNDLAKELGGYKHVVVKHDIKVVDEETKDALQNLPRGTVPGDFLDAIVVGLDMLIKRFGNTKGKQRLCLITDAQHPLRDPPQGTKVDQVDTIADQMKKHDIKMDCIVFRESGVHHNSVMDENDQLLYHFRDRSVAKVVQVDTPTSLLGALKTRNVLPVTIFRGDLEVSSNFKIKVWVYKKTSEEKFPTLKKYSDKAPPSDKFASHEVKVDYEYKSILEPDTVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKGVKLLGFAHRSSIPRHYFMKDVNSFVPEPGNTKAIVAASAIARAMQETDKVAILRCVWRQGQGSVAFGVLTPNISSVKNVPDSFYFNILPFAEDVREFQFRSFSRLPPSLQPTEEQQEATDNLVKMLDLAPPGREEILRPDFTPNPMLERFYNYLDLKSKEPDANPPPLDTCLKRITRPDPDVIDYQAPLIQNLGKSFELKDNPKRKKARTQERLAYAGLDDQPKKPEDPSAEKAKRIDSQAKKSEDPDAAKMARALEAMFPSTEKAGKIGDLNPVQDFEAMLAERSSSAWVLKAIEEMKKYTTDLIQNSPKGDYYQKALECFVALRKACIIEQEPNEFNQFVTELYENLKTVDDVVKFFQLLASKNVLLISKAEASDSDVTEEMARSFFVKTEASSQ >cds.KYUSt_chr4.638 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3379805:3380173:-1 gene:KYUSg_chr4.638 transcript:KYUSt_chr4.638 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFALGLTKTAVEGTLVRVKSAIDEEAEFKKKVRNALVFITGEFEMMRSFLYAANTAERAKNPVVRTWVRQLRDLTFDVEDCVEFVVHLDTKKSDWWWRSTATATATASGRGGGRDSTAQG >cds.KYUSt_chr6.10353 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63834282:63845921:1 gene:KYUSg_chr6.10353 transcript:KYUSt_chr6.10353 gene_biotype:protein_coding transcript_biotype:protein_coding MYHMASRVVTVLGKKVFPAVDVVVFIIPTRSIIVCPKSIEEVYVGALSDIRGIKYDPPNRELPEGVPEVALIPPSLSPCVVYCCASVTLVNASGACEVLLCFLSYWTGSSGYDAVIGAVLPYTLALPCCCLISTSSHMLKLEPLVVLRSELVVEEKATISSNKVVFWFNRGCDLLRWPMLRWRGDFELNHTDDIIASATFGWQGGIYSTSDVEAFHRVCGWTSVCPRRQVVRPRWLGDTQPPMASVVAATICILLAASVPPSSSIPAVYNVGDVRGWALPPGNGAETYNHWAKKNRFQVGDVLDFKYANDSVFLVNHDDYKMCSTTTPVSRFTDGDTKFTFDRPGFFYFVSGVPGHCEAGQRMIVRVVAHSALAAAPASPPSAGFGSASSPVVSAPASPGYGSSAGGSTPTSFGPSPLAEPSGASSRALAAGFSLVTCLLAGVIAMIAFA >cds.KYUSt_chr5.12853 pep primary_assembly:MPB_Lper_Kyuss_1697:5:83847510:83848483:-1 gene:KYUSg_chr5.12853 transcript:KYUSt_chr5.12853 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAVAKKGDAKAQAVKVAKAVKSGSIKKTAKKIRTSVTFHRPRTLKKARDPKYPRVSTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >cds.KYUSt_chr2.49842 pep primary_assembly:MPB_Lper_Kyuss_1697:2:311790074:311790277:1 gene:KYUSg_chr2.49842 transcript:KYUSt_chr2.49842 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNTTVCKNINGLNKWASAIDISIRDLLNSVEEVGTRVAILEAEHGDDATPRPDGHNSASATQVL >cds.KYUSt_chr3.31241 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196199701:196200631:1 gene:KYUSg_chr3.31241 transcript:KYUSt_chr3.31241 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPAPAASPAPNPSHQPFLRAAKSTAFKREERRKHKEQKRERKRQDRLTLALEQWEPLGAPPRRAAISPAGAPPDDKPWPCDPPPPPRSAVWSWGPPSEPSADRTWGVPAEPPTQPPSPPVAATCPQAAAARAARAFFREHADNADDEEDGEEEGGNDATRFFADLLGKDAGLRAFYEAERETGRFLCLVCEGTGVRAGKRFPGCAALVQHAGTVARTRRRLAHRAFADAVGRLLGWGAGRTAPSPEMQADSGDGGASDQAGHLGASESAEMEMA >cds.KYUSt_chr4.27585 pep primary_assembly:MPB_Lper_Kyuss_1697:4:173345099:173349499:-1 gene:KYUSg_chr4.27585 transcript:KYUSt_chr4.27585 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGGKQQQQPQPPPPPAAAASGKAPWRDGAVTYFHLLFYIAISGGQIFFNKASPPHPSLLSPPALPVGVILKRDQLPISSGSNFAAYVVLIGSMLCSYKDFQGNSAYLYISVAFAQMLKAIMPVAVFLLGTAFGLEEMNCKMLAIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVRLNLISMMYYVSPCSAFCLFIPWLFLEKPKMDASVSWNFPPVTLFLNCMCTFVLNLSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTKLTFINIVGYAIDIKECDMSSFSVCSPTDMCNALSAILRLGRGYFS >cds.KYUSt_chr3.14045 pep primary_assembly:MPB_Lper_Kyuss_1697:3:84999740:85011496:-1 gene:KYUSg_chr3.14045 transcript:KYUSt_chr3.14045 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSLSDVSVLLMYPVNAYLEQELDRRFSLLRLWEHSPPDSLFRAHGSTIRAVVGYHGHKVDAALLDALPSLEIVSSFSVGINHVDLAECRERGIRVTNTPDVLTDDVADLAVGLAIAALRKIPQADRYVRAGLWKAKGDYTLTTRFSGKRVGIIGLGRIGLAVATRVEAFDCPVNYYQRTKKEYPNYTYYPSVVELAANSDVLVVACPLNEQTRHIVNREVIDALGPKGVLINIGRGPHVDEPELVSALVEGRLGGAGLDVFEDEPNVPEALFNLDNVVLVPHVGSGTHETRQAMADLVLGNLEAHREYCPTIILPKGIRSG >cds.KYUSt_chr7.17462 pep primary_assembly:MPB_Lper_Kyuss_1697:7:108149269:108150282:-1 gene:KYUSg_chr7.17462 transcript:KYUSt_chr7.17462 gene_biotype:protein_coding transcript_biotype:protein_coding MECEPEELQFLGPLGIYRESVAILRAYRPLYARIAAAFVLPLSALFLAHIAISHALFRTIDSDDTALESSAPGTASQQRILQRLGADWAALVLFKAAYLLALLLLSLLSTAAAVFSVASVYSAKRDALTFPRVLSVVPRVWRRLAATFVAAFGLLFAYHLVFVAVFVALLVATDNGSGLAGLLAVLVAVAYLAGLVYLSVVWHLASVVSVLEDLKGFQAMRKSKALIQGNLRTASAIFATLNLVFVVVELLFRSWVVQGGRHGLGAGARLLLGLAMLAALCAVVMVALVVQTMVYLVCKSYHHESIDKSNISDHLEVYLGDYVPLKASDVQMEHFEV >cds.KYUSt_chr2.54343 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339053755:339056809:1 gene:KYUSg_chr2.54343 transcript:KYUSt_chr2.54343 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPGEAPEMLDPPSRRTRIRAILDGGKAQAGRRVVVGGWVRAGREQGHGAPDPFAFLDVNDGSCQGNLQLFVKGEVVGYPLARLTATGTSVFVEGVVRRDERAKHGVELAVTRVLEVGEVDAAAYPLPKTKTGHSLDPAYIRDFVHLRARTYLISAVFRIRSELSFATEKYFRDEGFLHVHTPILTTSDCEGAGEMFQVTTLFSQAQKLEAELKENPAPTQADIDAAKIVAKEKGDTVAQLKSAQATKQEISTAISDLKKAKQDVLTMEERSKLKPGIPRREDGSVAFEKDFFKCPAYLTVSGQLHLETLACALGDVYTFGPTFRAEHSHTSRHLAEFWMVEAEFAFANLQDDMNCAETYVQYLCKWLLDHCQEEMEFMVKSHDKDAMERLKLVSSTPFKRISYTQAVEILKDADRKFDNKVEWGIDLAAEHERYLTEVVFKKPVIVFNYPKGIKAFYMRLNDDEKRVAAMDVLVPKVGELIGGSQREERLDVLEQRILDAGLPLERYDKYMDLRRYGSVRHSGFG >cds.KYUSt_chr6.27311 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173383042:173384286:1 gene:KYUSg_chr6.27311 transcript:KYUSt_chr6.27311 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKQGETSYGSGMGHPRDDGGQCQTSSKVAKMVPQPQQMQVNGLNPADSRMRDAKSVKIDLLEHPDGGSKVDGQSGRDDGLYNNLHDGPEMAMNAVAVGMPAPWFYQGSRSCSMPAGVENLPAAGGSMAQQEQERIARIQHFNQLQLKVMMMKGGHGGAGHVPSLSYPMMPRNEPSQVKQTPPRLMVAVPEVQPPPEDDSDDDFWDDEWDSDDFDDEDLSSARGGGNKIPLPISKNNDPKSGGNEIPPPISKNEKQSTAKESGGNRIPPPISKNSENEIHGQTTKIATRGDHSTGGNHPGIVTNHDSIGGRWRMPQQQHMTGNMPPSQMGGNGWAGDMLRRPLNVGNGGYLPPVPQVSGAAENYMAYMQLQHMAYMQQQQLAFYYQSSFLLVCAIIIIVLVIFQVPVNRCWE >cds.KYUSt_chr6.21234 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134033725:134034165:1 gene:KYUSg_chr6.21234 transcript:KYUSt_chr6.21234 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQVLSQAQERSSDRSIQEYLLMQLMEEDSLAPPLDDDVDRLSHVMRSLEAEIMRGAAATGPKDGEGLTGPPGDDSCMIEEMLMVDLDGYGGEPMGYWPEVPPVGGWYLYTEGGEVTILGYEVRDQQYYCVDQGCVEQVYSPLWE >cds.KYUSt_chr1.39061 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238743853:238744491:-1 gene:KYUSg_chr1.39061 transcript:KYUSt_chr1.39061 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAAIRTTVTSSAYDVVCFLREVQARFREEVHEYNKGQGRPDPEEQDCRHCLVVGLDTEWRQSFQNGKPRHQVAVIQLCVADRCLVYQVYHAEYIPAELASFLADPAFCFAAVGVDGDVKRLREDCNLDVAHTVDLPPVAAAVLGRPELRQAGLKTLAREVMGTLVDKPKKVTMSRWAAPRLSAEQVRYACIDAFVSFDVARRLLCQPRV >cds.KYUSt_chr5.40570 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256120853:256123489:-1 gene:KYUSg_chr5.40570 transcript:KYUSt_chr5.40570 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTESRRAIILHQASAYPAPPLVEVMSEESEAHTDHTLSGANKGRAEDRDRQFTSRISVKHVVEITESFTEFKRWLVEEIGFGGILKIPMIHKISLKFSSWLMSKVIVHRRQICINEKKVLKFWAEDMHKVFGIPFGNRDVKGRDGTIAVESIDFIKNTLGMNMAGSHSLRAAQEFLMRDIKEESRKLEKDCFQIAFVIFVMGHLLAPSTKYDYCTIDFWGAVASTENITQFNWCEYAMQALLDGVAKFQKDIKTDSTTINMFGCHLFLQIFMLDNLDLGLFTTKHDVLPRITAFDHSKLRTMTTMASDIGKGPPSYAAAPLRHASCVCYTRSNFPDPDDVGHEPQLPTWNADGTARPDSPSEDPYKTPPNVKITGQRLDLSDCEGESAARTSSSTSKRKIPESPPTESQKMLRPRQLVARSSEQRAQAICMKLKEHSLKLVHQIQETYAADKSTEATPIFGSTMHALPKRKYITSRSYATTPWMKGCPPPMPTSCSVGEKLQAHLRTMPAAELESPGLITVLPA >cds.KYUSt_chr2.13920 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88066476:88070216:-1 gene:KYUSg_chr2.13920 transcript:KYUSt_chr2.13920 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTISIHPSDLMSAGSTSPRSGFPDRAILCNAPRTSKLRNATTAECQTSEGHTVEVSFWLVDPPGVSYFTVNCPDLDESHFADRMDPPWLICAEAAFVLFTVTVRGTTDHFVYTAAPAGDQSLQLLPDPNTGPFRNHPYALLPRGGHSYAVAFLDRRWISQDDGWRFHTSVFSSETQSWTRTSVSLEHLSVSEKSLCGHHGLSKQIAVGGDTLGWVDLASGILLLQDLFHGHPVIRFIPFPESRVRFMDEDGIPHYPDEYYCNVACCDDLIKFIHIKFDDPAIRTSGQAWRATMWNRKIYWNKWRRCSTVDVDNISVDQRYSDLLPVLRNDEMQRLELKRLDFHTPVPSVHNDDIFYMMAKVDDKEDTAWAITIDMKHAAVEAMAPFSAGVYDLVTMYCPCVFPKYLNMTPGVGMGNPVNKCFKRLSAKQCLVEVVWTLDWLRELDQVLEIERSTYNTCGLLLQLSPVSSLRSNIKSMVKCASFCNGQGEAASKAVNFCLRALDDFDLALHDSPCDLSASVEAIRFKIRDVLQALDNILQIVPPTLIPEEGTPGEGKGGEALSETCEKLDNESDKWQKETSKPSNSRVKYQENKGACDRKERRAMVWDVRLLILIVLFLASLVFTVMPSSPLIARKAVS >cds.KYUSt_chr6.23031 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145521760:145521993:-1 gene:KYUSg_chr6.23031 transcript:KYUSt_chr6.23031 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQITKRDYAEESVWKNWVWHTEADLMMNNAFFTPSGGNIQQQLNKKDLIKPKPGEYVTRLTRFAGTLACKPGIAC >cds.KYUSt_chr2.31375 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193674009:193675721:-1 gene:KYUSg_chr2.31375 transcript:KYUSt_chr2.31375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peptide transporter [Source: Projected from Oryza sativa (Os07g0100600)] MDGTTDLSGKPAVRSKTGGWRACPFILANECCERLAYYGMSSNLVNYMIDRLHQGNAAAATNVNNWSGTCYVMPLLGAFIADSYLGRFRTIAAFMTLYIAGLVLLTMSAAVPGMKPPECADCHPTKGQNAAFFAALYLIAVGTGGIKPCVSSFGADQFDDADPREMRSKSSFFNWFYMSINVGALVASSVLVWLQMNVGWGWGFGVPAAAMAVAVVSFLLGSKLYRYQKPGGSPLTRMLQVAVAACRKSRVPLPADASLLHEVASADGKAAIEGSRRLEHTEHLRCLDRAAVVTGDDSMVNPDPWRLCTVTQVEELKSMVRLLPVWATGIVMSAVYSQMTTMFVLQGNTLDPRMGPSFKIPAASLSIFDTIAVIVWALAYDRVIVPAVRRITGHPRGFTQLQRMGIGLVISIFSMVAAGVLEVVRLRVAATHGMLDSKDFLPISIFWQVPQYFIVGAAEVFTFVGQIEFFYDQSPDAMRSMGAALSLTTNALGNYLSTLLVVIVTAISTRNGGLGWIPDNLNRGHLDYFFWLLAVLSAINFVVYLFIANWYKYKATAPTAPVLDIADGNN >cds.KYUSt_chr2.5414 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33522150:33524517:-1 gene:KYUSg_chr2.5414 transcript:KYUSt_chr2.5414 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTNTRHLCSHRRADKTSNSVGEAPDRLSALPDALLHHIMSFLKAWEVVPTCLLARRWRHLWASAPCVDIRTSSSSRDGAPSELRDFVNCLLLFRDVSAPVVTLRLRTTDEDEEGLRRRRCRHVDHGCSKAQGAGHPCRRTSQIPAPLDGVSFVSCHLKVLKLAYARLDCTILRQLSSGCTSLEELDLKDCVVAGTRIESASLKTLIMLKCTINLDFSVAAPNLALLHLVTPYGRVPSFENLGSLLTGTIILDDCFLSPDFEHDSDDDDDDEFGETTDDDNDKIDNYKIGYGHGLSPKRYALHGYKDKYGYGSDIDSDENTYKKYSDIASGYLGDGQNFSREDLRDQQSMKDLAKHELDFWGE >cds.KYUSt_scaffold_1854.45 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:219584:223129:1 gene:KYUSg_scaffold_1854.45 transcript:KYUSt_scaffold_1854.45 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAHSAPEGVHEPLLLAAAIFFREGNMDNTTGVPKLMSLHLLEEITDRFSKHRKLGGGAYGDVYLGERKDGVKIAVKVLKDVLDLNDEQFEKEYRNLAILEHKNVVRLVGSCNETKGEYVPHNGRTVFAEKARRMLCFEYMCNGSLDTFIYDESNACNWHTRYGVIKGICEGLEYLHEKLKPDPMCHLDLKPANILLDENMSPKIADFGVSRLFLEEKTKKTNSGLGTLGYIPPEYINGGLISTKFDIFSLGVLIIKIMMGREGYFTIDEMSSQQFADLVHMNWMSRLQGPHAYSIQTRRCIEIALSCVEKDRRKRPSIGVIVSNLNQTEYGIQIFEALKNGLDHQTTSPTFCWINQRLEFTDGHAAKDAGRQPPVQLLHFSVHGYDGGQNPQRHCHCRHGDPAMKLGSQIRLHRTSGLDQI >cds.KYUSt_chr7.9128 pep primary_assembly:MPB_Lper_Kyuss_1697:7:55580970:55583742:1 gene:KYUSg_chr7.9128 transcript:KYUSt_chr7.9128 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQSCFGGGSRDYDEYEQPWRSARKVRPSDEDGLWYVGERDVDRKATEFIASAPFPLPRHAPPTASPRRTARAMPPCANQMGFTGMRFRPSGRFYAEIPAVSERIVLGTFDTAELAAHAYDAAAWRLGNPATTFNFHD >cds.KYUSt_chr5.38492 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243363446:243365902:1 gene:KYUSg_chr5.38492 transcript:KYUSt_chr5.38492 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDEEEGVDDWVPPSRRPELADVAPLPQADGPCPVVAIAYRDDFREVMDYFRALYAAGERSPRALRITADAIDFNPGNYTVWHFRRVILEALDADLIQEMNFVDQIAECNAKNYQVWHHKRWLAEKLGPDVANSEHEFTRKILYDDAKNYHAWSHRQWVLQALGGWESELQYCNQLLEEDVFNNSAWNQRYLVVTRSPILGGLVAMRDSEVDYTVEAIIANPQNESPWRYLRGLYKGDNTLLVADDRISDACLKVLNNDWRCVFALGLLLELLRMGLQPSDELRGTIEAINNPDPETEHTDLATAVCSILQKCDPLRINYWSWYRTTLSS >cds.KYUSt_chr3.34473 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216270772:216274379:1 gene:KYUSg_chr3.34473 transcript:KYUSt_chr3.34473 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPVGDALIATARAKEVELKEKEIELKRQAADNMIMNADLTTINEYYRYFLDEEFMNLMHSSTASLLWESSTTTTGPDCRLLLFLAGVHSGEREAALEPGEPAFLLGADRNAVTTLTFARRQSLAAVHRALLRRRQPPRACLGVSRPDDCAVRPWWSDLLAWRENMGLPPLVVISGLILVHSLPFLMGCREMMPCLASRRWRVVQCLLRICPGWPRLGWFYTGQAGYRSAQRKSRPVLQVCDKSMKGTARVKKKR >cds.KYUSt_chr6.15415 pep primary_assembly:MPB_Lper_Kyuss_1697:6:96824729:96826601:-1 gene:KYUSg_chr6.15415 transcript:KYUSt_chr6.15415 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPVTCSRASHHSPPHPPLQSAMALASTSPFAAAAARPKTYAPSKRCRFSRLPRVSCQATTERSHGGNAPNTSPAPPRWRVAVSAALAAAVVAAMPAYADLNKYEAEQRGEFGIGSAVQFGNADLKKTVHVNENFRRGNFTSADMRESDFSGSTFNGAYMEKAVAYRANFTGADLSDTLMDRMVKPEWFF >cds.KYUSt_chr7.37177 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232050950:232053456:-1 gene:KYUSg_chr7.37177 transcript:KYUSt_chr7.37177 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAAPRVQALADAGVPHLPTQYIQPPDLRADPSHLRPSLSLSVPVVDLSAAATTTDAVRRACAEWGAFHVVNHGVPPGLLDAMRGAGLAFFRAPMAEKLRFGCDPARGAATEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPARWPDFVPGYRDTVVEYSNNMKALAERLLCIISESLNWPPSYLQEAVGEAYQNITISYYSPCPQPDLALGLQSHSDFGVITLLIQDDVGGLEVLKDGMWIPVPPLPDAILVILSDQTEIMTNGKYKSVVHRAVVNAEHARLSVATFYDPPKSQKICAAPQLVSKDHPQKYRDVIYGDYVSSWYRKGPEGKRNIDALLIEQ >cds.KYUSt_chr6.10386 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64041489:64050907:1 gene:KYUSg_chr6.10386 transcript:KYUSt_chr6.10386 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRESCPPDLGFAMAAALEEGRGTSLPEMAGTALSMARSMLGVAIHVAASAAADEMSLLIGVRKDIWFIKDELETMQAFLMVAEGIKEKDMLLKVWAKQVRDLSYNIEDCLGEFMVHVASQSLSLQLMKLKDRHRIAMQIRDLKSRLEEVSSRNTRYNLIDKNQILTRTIDERDSCMEDIRNQSGSNIAEAELVGFFKPKEEVIKLIDAHARNGPALVVCIVGMGGLGKTTLTRKVYDSVEDFSCSAWITVSQSFVRMEFLKDMIKQLFGDEALKNLLDGKVVREENLANHLRKELLEKKYFVVLDDLWNIDDWKWIRSIAFPSSNIKGSRIMVTTRDVSLAEKCTLEFPRSAIYHHEPLETNDAIILLLQKTRKSEEDMKNDDNMRNIVTKIVKKSGRLPLAILTIGGILATRVVSEWKSIYNQLPSELETNGSLEAMRRMVTLSYNNLPSHLKSCFLYLSIFPDDFEIKWRRLVDRWISEGFVIAKAGVSVVDVGRSYLNDLIKRSMIQPSSWDVEGNVKSCRVHDIVRDVMVSIARDEKFACSTWDNPTSIPGDNFRHVAYQGFWCPDKCLDWNHVRSLTVFGKRPMKPAPSVCSPDFRMLRTLDLHDAQFEITQEDINTIGSLRHLKYVNLRSHRGSHVYKLPRSIEKLQGLQTLDIRGSYVTSLPAEITKLHSLRSLRCRKSEATDSYQNLHTHYPMMCCISMFHMPVLFTHLVSPTDKSSDMMMEFHMAWTGRLPNYDGVRVPRGIGNLKELDILELVDIERTSRKAVKELGQLIKLRKLSVKLGKSMQKNKILSASIQKLTSLRSLSLDARLRTSGLKCLHSISSPPPLLSTLHLQGDIEEMPDWVGNLVHLVKFKLRLGNPREPDTRMNILVFRAETFINLRVLGLAGWWRPVRELIFEEGTAPHMERIKISRLAFGSGITGITHLPKLKEISLGWGGRVAKLGVLQQEVDVHPSHPVLQLERHWREHDLGDVVQESSTLARAEQT >cds.KYUSt_chr4.38544 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237718580:237719098:1 gene:KYUSg_chr4.38544 transcript:KYUSt_chr4.38544 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFDSSAAPQVLYSNHQIHHPAVTFLKAPTSAGEHSFVLLQSRACDGAIGECGVDESDEMEIGGDPEEVAGQCPILFYDFTASCESLEK >cds.KYUSt_chr1.10816 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66202296:66203580:-1 gene:KYUSg_chr1.10816 transcript:KYUSt_chr1.10816 gene_biotype:protein_coding transcript_biotype:protein_coding MERGQRFESSEIRVATEPELFEMNRHAVAGKRFRSKIETPGEKSSVVIGQTDDLGIADDELLLDSHSEKREGNAKRLLLS >cds.KYUSt_chr5.16117 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103787739:103791192:-1 gene:KYUSg_chr5.16117 transcript:KYUSt_chr5.16117 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTHTHLPKRRRLSPSPATATVAVTPLDSLADELLFLILDRVAAADPRALKSFALASRACRDAEARHRRVLRPYRADLLRAALARYPSTARLDLTLCPRVPDSALSSLPSAPLRAVDLSRSRGFGARGLAVLCTACPALADLDLSNGVDLGDAAAAEVARARGLRRLCLSRCKPVTDMGIGCVAVGCPDLREVALNWCLGITDLGVRFLALKCKKLASLNLSYTMISKDCLPAIMKLPNLEVLSLVGCVGIDDDALASLENECSKSLQVLDMSNCQNVTDEGVSSVVKAMPNLLELNLSYCCHVTPSMGKCFQMIPKLQTLKLEGCKFMTDGLKYIGISCASLRELSLSKCSGLTDTDLSFVVSRLKSLLKLDITCNRNITDASLAAITSSCPSLISLKMESCSHVSSEGLRMIGKRCSQLEELDITDSDLDDEGLKALSGCSKLSSLKIGICMKISDEGLMHIGKSCPELRDIDLYRSGAISDVGVTQIAQGCPMLESINLSYCTEITDLSLAALSKCAKLNTLEIRGCPSVSSVGLSEIATGCRLLAKLDIKKCFAINDVGMLFLSQFSHGLRQINLSYCSVTDIGLLSLSSICGLQSMTIVHLAGITPNGLMAALMVCGGLKKVKLHTAFRSMMPPHMLNVVEARGCIFQWIDKPFQVEQERCDIWKQQSQDVLVPLPSYRII >cds.KYUSt_chr3.26252 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163305033:163306213:1 gene:KYUSg_chr3.26252 transcript:KYUSt_chr3.26252 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDASSQVSPEGNVSSEKVQETQDQNEGSGMPSPKEEDQERAFFDSADWALGKQGGGASKPKGPLEALRPKLQPTQQNARARRSSYASADNDESLSLPAEELMQNDGPAEDKNQE >cds.KYUSt_chr3.47607 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298509195:298517640:-1 gene:KYUSg_chr3.47607 transcript:KYUSt_chr3.47607 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAEPQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAASPAFPSDRMWLNGKEIELSGGRFQSCLREIRKRARDVEDKKKGIKIKKEDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFTLGKLMNVNEDYGELSSIARQGSGSACRSIYGGFVKWCMGKNDNGSDSMAVQVVDESHWDELVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPGRILKMEEAIKNRDFESFARLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNHAEGTPQVAYTFDAGPNAVLIARNRKTATLLLQRLLYCFPPQDNDLDSYMLGDKSILSDAGLQSVADIEALPAPPEMKMPNQKFKGDGKDELMLMHDLNRKTATLLLRRLLYCFPPQDNDLESSISTPARFDCSAGVEMAADDEEELFLSAYLVDEEEDDDDAAAAKSERANRRAAGDWDVQRILEHSAEKQRELCRQHQEQLIQQVPAGDEPEEKRAAPPLLPMRHYGACLGGPELASSANVLAVRVIRSVAGYPVDVYGHVFVRDDLDGKRVYIFRRGRHNCQRINSKDEVLTLTGPSRGLLLRRDVHFEINLKSKSRQAEDDRDVARCCLKNDVTTSSSKVVRNRIAGKLCTIDLTYSPVHHAVEATVELKFDEILTTIRVSRRGNAIREWVPFSQVQRKEHPEFHGKVKVSIAGVPEEIVLYDSEADGTVTAVSDEGFIQLSRCAVSVPICGRLSLKVTTGEHNVTSLVGRASGCDTFVLRNTSYQLSGKVTWSLLYSPMVDGLPSSWFLPLESFSESM >cds.KYUSt_chr7.11685 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71768188:71770209:1 gene:KYUSg_chr7.11685 transcript:KYUSt_chr7.11685 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRRRHGSLVAAVRSMLLAVLLVAATAIPSAGAAQASTATKGSGGPVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTDTGERLIGEAAKNQAAANPLRTVYDAKRLIGRQFADAEVQRDMKLLPYKVVEKNGKPHAEVEVKDGDVRLFSPEEVSAMVLTRMKETAEAYLGENVRDAVITIPAYFNDAQRQATKDAGAIAGLNVVRLINEPTAAALAYGLDKVDEKKEKTVLVFDLGGGTFDVSVLALDGGVFEVLATNGDTHLGGEDFDQRVMDHFIKLVKRKHGVDVSGDARALGKLRRECERAKRALSNQHQVRVEIESLVNGVDLSEPLTRARFEELNADLFRKTMAPVKKAMADAGLSKADIDEVVLVGGSTRIPKIQQLLKDYFDGKEPHKGVNPDEAVAYGAAVQASIVRGDNAGMVVLDVTPLTLGIETAGGVMASVVPRNSPVPTKRTQMFTTYKDRQTTVTVMVFEGERSMTKNNRLLGKFDLTGIAPAPRGTAQIEVTFEVDVNGILHVRAADKGTGRSEKIEIVSAADRRISPEEIDRMVREAEEFAEQDKKVMEKVDARNKLEAYVYDVRTTVDGEMGRRMDGSDKERVEEAAREVSEWIDNASLDAEKDDYVEKLKELEDVCNPVFAAAYQKSGGSQHGANEEDDHDEL >cds.KYUSt_chr6.4703 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27611501:27612721:-1 gene:KYUSg_chr6.4703 transcript:KYUSt_chr6.4703 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGATSSLLPKLGVLLQQEYNLQDEVKKDIESVQTELIFMNAALRKVAAVPEDELDEQVRTWAIVIKELSFDMEDAVTAFMGGVQGHGHANTESFVMELFKKATNMLWMSRRHHRIAGMIEEIRNLTTEVSLLRDRYRLDDFPSERPKILTGKKKSTLDGYTAPEHQQAIHRLICIKEPVGVREDMLKLQDWIMSRDANLGVLSIFGFGGVGKTTIAMALYKRCRNQFKSRAVITGLSQYTDPATVLRYILSQIRPQVNNTGIILENKISRTTRRMANGLHRISQCCRNQEDMTSAMEDDVLSRELSQYLQEDRTTIQKTIYSNN >cds.KYUSt_chr4.671 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3507371:3507866:1 gene:KYUSg_chr4.671 transcript:KYUSt_chr4.671 gene_biotype:protein_coding transcript_biotype:protein_coding MPACLPLSIVGILCSGRCSPESMIRAHVQAHTCRHQGRTLAATASGRILPPASTPRNCLAICAANFEMDLTRSIECFYKNVVDTSSDDESDGSSEFMVAAASLIARGVCTGARQGHAWAISSTITKLATIGSGVAPDPNG >cds.KYUSt_chr3.35440 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222517380:222518057:1 gene:KYUSg_chr3.35440 transcript:KYUSt_chr3.35440 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLERAAYRAIPADPGDDEAPVLANAPPATTSSNLGWRDYLALVIVSVLATALLLGPAEYMTRANMPAFSVGLAGYEGIDMARPGTVVSPAFNLTLRTSRTCLDRAEVVLTYSGVALGWARVEPRGCVSREPWREYVQVVARADGVGLSRRLRERMAAEWRRSGRVELDADVAVYNDRGTLGYFGDYASDKVMRSKVVVMADGLRLEPESCPWYSLRLYDYDE >cds.KYUSt_chr4.51603 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320251076:320256582:-1 gene:KYUSg_chr4.51603 transcript:KYUSt_chr4.51603 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPTCYVGVARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKQKQDTLGVGVDTAQNKWAYDTTQFDDILKKLKVQSSNPDKKVEDVISSPDSTPKKEKPAKDEVSKVTRPQGRYKKRERGKSVKGYSAVDLQGILVRKNESDCEVDQEVQPEGVEETDIIMCQTAVSQAEDVNWWGHKFGYVSGGFLGAKSRKKKSSTQKDPNARQMFGEDDQENLYNLVQDKATSGKQGLGIKDLPMKIAGHRWKGNKKSLGDSDDENSTQSELSELEEDEDEEGSGSDAEVKEIQQTAVKDVPVDAKPRIKFKKLCKKILRQAPSQSMKLKELKEAVEAQSTIFSDFSCRREALSFLKTKIDIVGSFTCTIANQIQETLSRY >cds.KYUSt_chr2.51074 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319374662:319375131:-1 gene:KYUSg_chr2.51074 transcript:KYUSt_chr2.51074 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSKVISDYMIHLLVVNPAMLSVGSEVDKYVECFKYEGLGLEEEHHAVFSVLQEVWVRLLLYAAGKCRPEEHARRLSMGGEFLTLVWLAMLHRAMGDQAGLRLHPMLPGDEIQVSTSSADRVDCPLFGH >cds.KYUSt_chr4.15512 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95851208:95852374:-1 gene:KYUSg_chr4.15512 transcript:KYUSt_chr4.15512 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVVLAVLASLISTVSCQSWTWSIYRSWGYPSPTWGTPWTYNSAPATWTTPPPPPSSNGLKVGYYADHNCSNAENIVRAAVEKASAGILAGLIRLAFHDCFVRGCDASVLLEGSDTEKQGFPNLSLRGFGIIDAAKDALEKECPGVVSCADIVSFAARDASYILSYKKINYTVPAGRFDGKVSFANETLGQNLPPPFADLATLKRMFADKGLDPTDMVVLSGAHSIGISHCPSFSDRVNPPASPSPSMDSDLAKKLNQTCDTPNNATTTVPQDSVTPDALDSQYYKNVMSGKVLFTSDAALNSSETLELVKKYAGSDDWNTAFGAAMVKMGYIGVKSSKEGEIRKKCGVINKS >cds.KYUSt_contig_60.432 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2580391:2580912:1 gene:KYUSg_contig_60.432 transcript:KYUSt_contig_60.432 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLVGVSLKLALIVAAPVGLTCALLYLAGVPWPMNFRIGAVLAAFLFVAGMCARARIQRLVEQETGRESMAALPREPAVGLGLAAIAGLPVYKYEKMRSGGRDGDDECSVCLAEITPREVVKQLPACTHLFHDRCIDKWLWSHRTCPVCRSPVDASTVPPSVEVAARALQSV >cds.KYUSt_chr4.44228 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274022658:274026198:-1 gene:KYUSg_chr4.44228 transcript:KYUSt_chr4.44228 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANESLADEKVPGTIGVGRYEEMEQDGDSSAAKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISILYLEYRTRKEREKADFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAVASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEVMHAMWRPQKFKAIYLLATLYVLTLTLPSAASVYWAFGDQLLTHSNALSLLPRTPFRDAAVVLMLVHQIITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIVFPFFGPINSAVGSLLVSFTVYIIPALAHMVTYRSAHARENAVEQPPRFAGRWTGTYVINTFVVVWVLVVGFGFGGWASMTNFVRQINSFGLFTKCYQCPVPAPITMPLSAAPGGSWPFPGGLQNFTMLPPAPAPSPAHFFRHHSHGL >cds.KYUSt_chr3.1687 pep primary_assembly:MPB_Lper_Kyuss_1697:3:9640127:9643017:-1 gene:KYUSg_chr3.1687 transcript:KYUSt_chr3.1687 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQRFVPGGGTPMPFTAIFQPPSLGRCMSGGTGYPAWYSFHASFTVRLPRLKPFAAAILREDDDIAWNGEEKIWGRRGEDLGATRDWDEPQGLLMYSGGSRWLHAITPARTATRPCTTRRVPASPGKTGTPLTSLDRSNLSFTMMGKSSGCGTCKKWAEHYYWDISDDEGKCFFKIIPGKFAARFKGKVSGTIKLEARSGSICDVAVKTCADKMILESGWEEFVNEHDLGKGDFLVFRYIGDSQFNVAIFDPSGCEKASSCVSMNNPRHVETVKRSYARHSRQSLDDTMEKSSSSTPSEEQGDASSSQDDSRSNNARPYMIGSNAYLTQVQKDKVKEIVQAINSDIPIHVAVMSKSHVNRAKCGFDFIKRYGDYLPQEKQIVVLQLRGERWKMWLNDARTKRGRRLIHGWRQFVMDNDLQIGDICLFDLINDKKICTISVHIIRARKRVADSSDR >cds.KYUSt_chr5.41672 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262926618:262930658:1 gene:KYUSg_chr5.41672 transcript:KYUSt_chr5.41672 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTSSEGAAVDLDLLEQLLSGDNGWLEVATNASRSSNYFASPSTFLSDATATTTTMPPTSANNTWMHPSSTFRQRLDQALAFIKETQRDTDVLVQLWLPVKGNDGQLVLSTTGQPFSLDKSSESLRRFRDVSTRYTFSADVASESSPVPVGLPGRVFIGKLPEWSPDVRYFTRYEYPRVNDAQSLNVHGTMGLPVFEQGNYTCLGVMELIMTKQKLNFTSEINNICCALQAVNLRSTEVSSIPRAKLNSASYRDALPEILEVLRAACITHRLPLAQTWVTCAQQEKGGSRHSDENYRYCISTIDAASYVNDPKMQNFHDACSDHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGTADFVLEFFLPTECEALEEQKAVLDSLSGTMRNACQTLRVVTDKEMEDEAMLEMNELNSFSPQGKNKVELSFGDKATEHREDASWTSLAGYSQKESDLAEQSIHGGQSSSLAGIQTSAEGSKGKRRTKTEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRTHGINRWPSRKIKKVDHSLRKLQQIIDSVHGGETAFQLNTLYKDLTNTPVSSDNNLSASITVPPTQQTNLNDFEKHQHHRLGNNVPSTSHSHSSCSQSSDSSPSCSGGATQHQPSYGVDLMKSGSPMKHSPVPTLQTENGTLNGHFSVQEAPRDLSHNGNQEAIGGQHYSQSLSPPKQNTDVDMRVKATFGSEKVRFRLKPELGFQELKQEMARRLGIVDTSSMIVKYLDDDSEWVLMTCDADLQECLHVYKLANIQTVKVSVHLVASPETRVTIGHTGLS >cds.KYUSt_chr2.52401 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326963919:326969333:-1 gene:KYUSg_chr2.52401 transcript:KYUSt_chr2.52401 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVEDALAAVLSAAARARAAVSAVPLLDALGLVLAQDARAPDPLPPFRASVKDGYAVVASDGPGDYPVIAEARAGDDALGVLLTPGTVAYVTTGGPIPDGADAVVQVEDTQQLAAAPDGAKRVRISARVAQGHDVRSVGCDIEKDSLVLKSGEIIGPAEVGLLATVGITTVKAYRRPTIAVFSTGDELVQPATATLNRGQIRDSNRAMLLAAAIQQKCKVVDLGIAKDTEESLMELMDAALRSDADIIITSGGVSMGDRDLVKPCLARMGKIHFEKIRMKPGKPLTFAEITSNDTSKPSKTALAFGLPGNPVSCMVCFNLFVVPAIRLVSGWSNPHLQRVHARISHPLRADPHRPEFHRAVIRWVLDDGSGRPGYVAESTGQQASSRLLSMKSANALLEVQSSGQILAAGTSVQAILISDITSPPLDKLPAASAPLSHFGSSTKSALADVPQVGAFHNAEVKVAILTVSDTVSSGAGPDRSGPRAVSVVNSSSEKLGGATVVATAVVPDEVDKIKEILVKWSDIDRVNLILTLGGTGFTPRDVTPEATKCVIEREAPGLSFVMIQESLKVTPFAMLSRAACGIRGSTLIINMPGNPNAVAECMEALLPALKHAMKQLKGDKREKNPNHIPHAEAAPIDQWERSFKAASSGGGCSCDP >cds.KYUSt_chr6.13249 pep primary_assembly:MPB_Lper_Kyuss_1697:6:82797702:82798352:1 gene:KYUSg_chr6.13249 transcript:KYUSt_chr6.13249 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDTLGTDGDTLGTDGRRPDPMSPDAEAAVETRRRLYQVNDPLKMSGARVLYELPTSPEFLFHEEALRTHRSASDNLTFYTGIGFCAGTLAGGALGACRGVGAAERGETAKLRVNRALNECGSTGRKAGNRLALLGFLFAGTESAMRSLRQGKDDWVNTVSGAASAGAVYGLPAGLRAMAVHGLAGGVLAGACVAGKPLLRKFAPDFAARLEYFS >cds.KYUSt_chr3.39596 pep primary_assembly:MPB_Lper_Kyuss_1697:3:249510140:249512293:1 gene:KYUSg_chr3.39596 transcript:KYUSt_chr3.39596 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTYADGPDIWPSAYATAVGAASHSCSVVLLDDSRSAVWSTNMKAITSSSIVGVILDTGNLVLADASNTSIIMWQSFDHFGNTWLPGGKLGRGKLIGGSTRLVAWKTFSDPAPGLFSVVLDPNGTSQFFLMWNSTQQYTTSGNWIGDSFSNMPEMAPTNGYPNSMYTFYYVDGASESYCVYDVKGDELTTRLVVDATGRINVLTWTESAKKWILYWSAPKKQCEVYMSCGSFSICTGNALASCSCLRGFSEQYQGQWLQGDHTQGCKRNAALQGSNNSSRSDKFYTVVDVELPSNEHNFVAEGSTQNCELACLSSHDCTAYSFSSSCSLWYGDLINIQALSSDAIGTKGGSIQIRLAASEFSDRKYTRKLAIIITVATISVALAVVALAFVAMKRFTEVLLVEGSLMAFRYQAVQNLTKNFSNKLGGGAFGSVYKGSVAEGTMVAVKKLEGFRQGEKQFRAEVSTLGTVRHVNLIRLLGFCSERSHRLLIYEYMPNASLDRYLLQRTQQPLLSWSTRYQIALGVARGLHYLHERCRDCIIHYDIKPENILLSDTFVPKVADFGLAKLVGRDFSRVLTTVRGTLGYLAPEWIAGTAITTKADVYSYGMMLFEIVSCTRNVRQRHDNTVDFFPLMAAMKLSEGELQDLFDTQLGCNVDAAEVERACKVACWCIQDEEGARPSMATVVQVLEGLVEVNVQPVPRSLKLLMDQSTYVELS >cds.KYUSt_chr3.38149 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240130176:240132428:-1 gene:KYUSg_chr3.38149 transcript:KYUSt_chr3.38149 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNEVNVRPKPCAVPEVDELVFTGRPSSWGEVLILGFATTVGPAAALAGVHIRAGLLFVAGERVVSGLVQRLGSLDFVSDNAGCFANGGGFPKNGHIIEFGAHRVYLDTVLVRQYPSQMLVALYPPITSKDPARILKLKKERRDERMGGAQEARTTGFLSLRRAGFSRSFLWTPARSLARTRPWMVSGLAGLGRPFWLPGTGICCCSRRLPSPSPWPLHVWLCVV >cds.KYUSt_chr5.21071 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137215953:137223331:-1 gene:KYUSg_chr5.21071 transcript:KYUSt_chr5.21071 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAEEKQKKQEAEAGMTIGDVRKDGVAREVVRMEREAVIPIIKPKLVMKLAELIEHDLDRNEFLKLCKKVEYTIRAWYLLQFEDLMQLYSLFDPVSGEKRLEQQNLPSHEIDTLEFNFMTYLFQIMEKSNFKLLSDEEYDVAQSGRYLLNLPITVDESKLDKKLLTTYFKEHPHANLPSFADKYIVFRRGVGIDRTTDYFVMEKIDVIISRVWRSLLSFTRIDRLFSKKPQSKPRSDIKKTDEIIEDSEEQELFVERIRLEKIELSIKNLTHKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLVSAVIGLVTLVGSLEMPKADVWVVIAIMSGVIGYCAKIYFTFQANMTLYQNMITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIICYYILMEQGKATIQDLDLQCEELIKEEFAAECNFDVHDAVKKLEKLGIIHRVQVPRAQPKLSEPFLGFTKTAEVWNSRACMMGLIGTFIVELILNKGVLEIIGFEVGKGLDIPL >cds.KYUSt_chr4.8524 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51152113:51152361:-1 gene:KYUSg_chr4.8524 transcript:KYUSt_chr4.8524 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGSKVLEEIGVGVKTNASAQVGVTSAVGASSRLDAKSMAVGVDKITAPQARGGVMSKVWSRLIPSGCGCLPEVASMWLAI >cds.KYUSt_chr4.49358 pep primary_assembly:MPB_Lper_Kyuss_1697:4:305682639:305683739:-1 gene:KYUSg_chr4.49358 transcript:KYUSt_chr4.49358 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPPTTRGVSKLPATGRAWPASSESKKGVNHKHAEVDDFEAAFENFEDDFDLLAEEENDDAHVVFASKPAFSPAFDDGRAARSASKKKRVRRLHGIRQRPWGKWAAEIRDPYKGTRVWLGTFDTAEDAARAYDVAARRLRGSKAKVNFPDAAGARSRRAAANRRTVPKSQCPRAQTMAYTATEATYAQQKQGAVAVKPELMASFDMDAFFELTAAVAVLPPVKVSSAKKPMVDQDSSVASSGAAALAFADELGFDPFMLFQLPCSDTYESIDSFFAGEANIQDAIGVNNGMDGVSLWSFDDFPMDGALF >cds.KYUSt_chr4.12263 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74700150:74704475:1 gene:KYUSg_chr4.12263 transcript:KYUSt_chr4.12263 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKTAAIGEPDRGAVGAAWIQQWQEMSRTRHGGFALIQVQVVVLHQKQPPRDGIRKARWREIIIQFNADVADGMPWKFIPTQREVKVKPGESALAFYTAENRSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMDGVNNIVLSYTFFKVKE >cds.KYUSt_chr2.8805 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55430791:55431864:-1 gene:KYUSg_chr2.8805 transcript:KYUSt_chr2.8805 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLFRSVPPLGAGMPSLLTFVELPRWDIHGGTAKVGDPKNKIDGQRWSSGCVAVIPLLAGRGGEGKWRSCSVPRSFKVWQGGGLGLPWSFPSVSASSVGVGGFWLFRWRPWWAAAEAGFLQSSLNKVEACDHQTMQRCCCVLLSLPTGRGGEGRRRCAQFSADGRWRSFESASSTSISKRRCGSAADAASPGGLGLFLVALGRNLVDCIKIPLGREEDVVSLHQHLSQARLGRFMISTAGYEISVLSHNMEIIKSAFWSVQHDAQTELESMTLMQIAPAAMHIIEQDVPLMSISTWFFWHDDKHGDDVIPVVRVDPSVVDDNTPTVVPQIQWRGLLRLSSVKDIQYLQLSPPLPG >cds.KYUSt_chr6.19529 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122889480:122890037:1 gene:KYUSg_chr6.19529 transcript:KYUSt_chr6.19529 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEEGEVGVVEDHAVEEVDSHDVLEMDVDEVVHEQEGEGEVKDELKAVVEVVDNNVVLQGRARVDEQFARAEFIRNNNLALTESTAILPVPKDLHSCDVCGNPLLCDKYWCKDCATTVGLDKDGVCICCNRNPSNYVDAKQNVEFVPDTQA >cds.KYUSt_chr1.26295 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158426204:158433961:-1 gene:KYUSg_chr1.26295 transcript:KYUSt_chr1.26295 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAASDETLAAIFAQLKPHTTALLDVLRSRGPASNSAAASSLRAMAALLRSAPAPALQLCFEYTVFPLLMLLDAAVLCRKEGKAAGQGVGESDITDAVAEGGLACLEILLSKCRLTSVNQMATLLKKLTPGAMLSPTEASEEFRLGIIRCFRAMILQLQPCSDKSCSCKQATVLPTTLTIISSEVCGVAHQKHSAKQDECLLAFLQSQYASAAVGHWLSLLLQSSDIEASRGHRGSGGLRKESLLALRILIAKVGSADALAFFLPGIVSRLGKLLYTSKTMISGAAGSALSIEQAVLGLTEALMIVLCDKENLSALDMPSDNSSTCFSGGSSDHVLQKLRQLPTKNESKQIGNAETTEDTISDVSKNSADRRSLHVKRTRKWLEETTSNIDKLFSATFPHLSIHPSEKVRRSVVNGVRGLLSSCSYTLTRSKMLLVECLCVLACDDTAAVSEAAQDSLDHLFMKGQSFLSENEVSDIFTRLLEKLPQLVLGSEEITALSHARKLLALTFYAGPQFLINHLHRSPVAAARFFDCLGICISHGSQFSGSMDKLIVSKPLSVGYLYSVAELKSGAYPKYISHSSQHATSSSIAPKISVLRDDGSSNAHGTVEYELPHVPPWFVHASSQRLYFALAGMVRLVGLSTVSGQGTSTSLSVFVDILLNQFRRLSTELRAKDTQRYGVQRWYMKSDSGQILRQASAAVCMLNELIYGLSDQSLCICLQLFNRSSAQVVPGQNDKTSSAGHRGVTDSRVVWKISEEMGTKDDIIHCIGSILHEYMSPEVWDLPTEQNSELCLGEISLPLHLFRDTTALQQVMLDGIGVFGIILGQDFARSGFMHSSLYLLLRKLISSSAQIRIASDAVLRTLATSGGYSTVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLSYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEIAKACSHESISLPDEVQSFYVKVRTEGQAVQNSVEKRRGTSVTAGNTTDVDAQPEFLCLEYWEDLLCKLNDMRRYRRIVGSLVGSCLYASTPLLSSTKESACLVALDIVENAVVSIAKVEEAYKCEIESKAVISEVVQLLSLDELLDDMDSAEDVDENRLPPAMNKLWPYFVICLKNKISVV >cds.KYUSt_chr1.22409 pep primary_assembly:MPB_Lper_Kyuss_1697:1:132599828:132600139:-1 gene:KYUSg_chr1.22409 transcript:KYUSt_chr1.22409 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKEGEVHGDVMKMEEEGEIHGDVMKMDKEGEVHGDAMKMEVEEVDGKVRAEVEEDDAEVEEVDAEVEEADGEVEVTQGEVQELEDEFHRMYTTIQDLFRK >cds.KYUSt_chr3.29758 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186253612:186256988:1 gene:KYUSg_chr3.29758 transcript:KYUSt_chr3.29758 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATEQRSPATPRPVRCIVKLGGAAITNKGELESIDEGSLRSACAQLRQAMSSDAAPGKAMGMDWSRRPGDPADPVVDTDGFRDMAGLGIDTNFIVVHGAGSFGHFQASRSGVHKGGLHTPLVKAGFVATRISVTSLNQEVVRALAREGIPSIGLSPFACGWSTQQRKLVSANASQIIQSLHAGFVPVLHGDGVLDELLACTILSGDVIIRHLAQLLCPKYVVFLTDVQGVYDRPPTDPDAVLLREIEVDDNGGWSVVKPVLQGNKRGVKTSVAAHDTTGGMETKILEAAAIARLGVDVYITKVGTDDSLRALKGDVDTSSDDWFGTVIRSAK >cds.KYUSt_chr5.34385 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217998755:217999735:1 gene:KYUSg_chr5.34385 transcript:KYUSt_chr5.34385 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDAAGDELILDAPNFIRVYKSGRVERFLPVDFAPPGTDAATGVSSKDVPILPGPGMSARIYLPVTATAGGQQGKVPVLVFFHGGGFCLGSAFDAAVHGHANQLAARAGAMVVSVEYRLAPERPVPALYGDAWAALQWVAAHAAGNGPESWLTAHADLARVHVGGESAGANIAHHAAMRAGAEELGHGVRVGALLLIHPYFLGGDGASESDEMGMAMLRELVRLWPVVCPGTSGWEDPWINPMAEGAPSLARLGCRRALVCVGGRDPMRGRGRLYAEKLMASGWHGEVGVWEADGQGHGFHLVRPTWLEAEAQVRVIAQFLGRP >cds.KYUSt_chr1.23017 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136584677:136589137:-1 gene:KYUSg_chr1.23017 transcript:KYUSt_chr1.23017 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAGDDPDSGRHEDSGVADRGGVEGKFDSLQKLAGKETGPTEEAESVGDSQGKRRRVEGCVGKERAPEGGESMLTAEEVALEGITVGKERAADGGERMLNAEEVALEGISVGKERAAEGGERVLTAEEAALADRPLPPREIPYPKSGDYNEVVAWMHATDALHSNANIGDDDSLVTLRRTKVSNTKSVASAVSWDARDMILDVARSVVTVSATEPDGNTEDSTGIIIEYDDVGKCAKILCSSCIMCTKEGKLRHPNQKVSVQLSKDTFVEARVIFFNAHYGISLLVVTTGFPLVAASLGSRPCYGQDVSVLYRNDNYALVVRQGLIQCFENPFLERNHYMFPSCSSHLTCIGGPVINNNGEVIGLIVKYIPQAAILSTSIVTKCIHMWNQFGRITHPIHHLELKSVRVLDMVHRDELWASHNIRSGFIVDQVCPYSIAEKIGLRRGDVIELIDLDYRSTVVELEEFLLSLCWDFMEKKLDSASTIDIKIRVHDIRAKTSVCTILPMEVSDAAVRSYY >cds.KYUSt_chr1.25600 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153672153:153672947:-1 gene:KYUSg_chr1.25600 transcript:KYUSt_chr1.25600 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVACTDPALANLKKMLAEPSATGAPVAYTYYALSPAAVRRLSNTQGKEARRSDDDDTNGEYKDADAVGCRRARDSDVPSFFSHDVFDRFGAPTSLGRLLGLMEDAVAAPGLGGRGWSVAKEDDEAVYLKVPMPGFGKEHVKVSAEKNILVIKGEGEKDAWNGDKDDNAVPRFNRRIELPANAYKMDKIKAEMKNGVLMVTVPKLKKEERKDVFQITVE >cds.KYUSt_contig_534.148 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:1035927:1037503:-1 gene:KYUSg_contig_534.148 transcript:KYUSt_contig_534.148 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYQDIDYVMSEAKVCVSTPPQGTIQFMSYSDDVNVDFEVTLSEENMNIAKQEGLVKKFKLTSTLAITNMHLFGPDGKIRKYETPEEILEEFFTLRLEYYVKRKDALFKNITLEMRKLDEKVRFILAVVEGEIKVNNRKRAELFEELKQKGYESFPKNKKKNEPVAAGATDDDDGNEESPADGADAEDASGYDYLLSMSIGTLTREKVQQLIAQQENLSLEVERLRLTEPKSLWFKDLDALEKELDKLDAIYQKAQEKRRAAREKNRKNKEAGTKAAPKRQPKKTYVKSEKAGSDDEDYGAPMPKAAAQKKKPAKKAIAPVKEEEEEMLELKDRLAAL >cds.KYUSt_chr1.28852 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174568642:174571109:-1 gene:KYUSg_chr1.28852 transcript:KYUSt_chr1.28852 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPQCCANPPTLNTAGGEGKVVDSFGGLTAYVAGAVDSKAAVVLISDIFGFEAPNLRKIADRVASSGYFVVVPDFFHGDPYAPENADRPIQVWRNEHPQAQAFEEAKPVIAALKEQGVTSVGAAGYCWGAKGVVDLAKARELHAAVLLHPSRITVDDIKEVKCPIAILGAEVDHVSPPELIRQFEQVLSSNSGINHLVKIFPGVAHGWAVRYSNDDASAVKSAEEALVDMTDWFNKYLK >cds.KYUSt_chr5.15422 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99656975:99659384:-1 gene:KYUSg_chr5.15422 transcript:KYUSt_chr5.15422 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSAHRSSYSHATAASSSSHLLPYPSHLLLYLHHQEPAAAAMVRKRPAPEMDLPPPRRHVTGDLSDMTAGAPVLSSATAQLPALPTQLLPPFQLHHQQQVPVDHMDAAPGNTTAFVDGIIRDIIGSSGAGVSVAQLIHNVREIIHPCNPGLASLLELRLRSLLASSDPAPPNALLPDAAPTAAMPMAALPPPPDKRRREEEREPANQPQSPKPPTAEETAAAAAAAAAAASAAAKERKEGQRRKQRDEEGLHLLTLLLQCAESVNADNLDEAQTALLEIAELATPFGTSTQRVAAYFAEAVSARLVSSCLGLYAPLPHASPAASRLVNGRVAAAFQVFNGISPLVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMDALEATGKRLSDFADTLGLPFEFCPVADKAGNLDPDKLGVTRREAVAVHWLHHSLYDVTGSDSNTLGLIKRLAPKVVTMVEQDLSHTGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPSRTGDVKFGSWRDRLARSGFHGASLAGSAAAQAALLLGMFPSDGYTLVEENGALKLGWKDLCLLTASAWRPIQTSSAR >cds.KYUSt_chr5.964 pep primary_assembly:MPB_Lper_Kyuss_1697:5:6637287:6637811:1 gene:KYUSg_chr5.964 transcript:KYUSt_chr5.964 gene_biotype:protein_coding transcript_biotype:protein_coding MPCISLGGGVYQSIADGKVRRTGREVVSFGSRLMYEHRGQFYLRDPSLSEIEIPRHYHRCTGGCDDGGAHDPCATAEALRLIGTAGIGNAMHKVVVCSSSLVAAIVYPAVGRSDAVFCFAFFRPGATSQPSWAICTPVHHYKDMVLYREKIFALSLNEGLFVRAAVSRRAYHQE >cds.KYUSt_chr2.17636 pep primary_assembly:MPB_Lper_Kyuss_1697:2:111223044:111229412:1 gene:KYUSg_chr2.17636 transcript:KYUSt_chr2.17636 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASRRLPSLLACLHVLLAIAAAATNDTLKQGESLSAGSTLVSSPTGVFEAGFHAPDPNQPARLYLCIWYRGIHPRTVAWVANRAAAATGPSPSLALAASGELRVLDGAALLWSSNTTSRAAPRGGFSAVLLDSGSLQVRDDDGAQVWDSFWHPADTMLSGMRITVRAQGRGPPERMLFTSWASATDPSPGRYALGLDPVNPSQAYIWRDGNVPVWRSGQWSGLDFVGIPYRPLYVYGYKQGNDPILGPYFTYTATNTSLQRFVVTPDGKDVCYMVKQSTQEWEIVWMQPSNECENYGACGSNAICKVLQDGKAKCTCLKGFQPKLLDEWNAGNRSQGCVRNPPLGCQVNQTGDGFLSITNVKWPDFSYWVSGVTDGDGCMDSCQQNCSCGAYVYMPMLTGCLHWGSDLIDVCQLQTGGYTLNLKLPASELPGSHHATWKIATIVSAVVLFVLVACLLLWWKRGRNIKDAVHRSWRSRRTSTRSQSTASMLDISRSIHFDDETEDGKSHELKVLSLDRIKAATSNFSESNKLGEGGFGPVYMGTLPGGEEVAVKRLCKNSGQGHEEFKNEVILIAKLQHRNLVRLLACCIQGEEKILVYEYMPNKSLDTFIFNAEKRGLLDWRTRFDIIEGIARGLLYLHRDSRLRIVHRDLKASNILLDTDMIPKISDFGMARMFGGDENQFNTNRVVGTFGYMSPEYAMEGIFSVKSDVYSFGVLILEIITGKRAVSFHGQQDSLNIAGYAWQQWNEDKGEEMIDPLIRPSCSVRQVMRCIHIALLCVQDHAQERPDIPAVINMLSSDNSALTMPRPPTLMLRGRAVESSKSSENERSHSID >cds.KYUSt_chr2.49341 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308746080:308748326:1 gene:KYUSg_chr2.49341 transcript:KYUSt_chr2.49341 gene_biotype:protein_coding transcript_biotype:protein_coding MASFARTPAIYLVTSFLVLLSCSQQARGVNYTFARDATRAPAVSYYDYIIVGGGTAGCPLAATLSQRFRVLLLERGGSPYGDERVENMTHFTATLADTSAGSPAQRFVSEDGVINSRPRVLGGGSCINAGFYTRASDDYVLDVGWDLAATKEAYRWVEDVVAFHPELGPWQSALQRGLLEAGIAPDNGFTFDHIDGTKIGGSIFDDEGRRHTAADLLRYARPEGVDVLLRARVAKILFNVGGGRRPVAHGVVFHDSEGQMHRAYLNAGRRNEIILSAGALGSPQLLMLSGVGPADHLRSFNITLVLNQPAVGQGMADNPMNAIFVPSPSLVEVSLIQVVGITQFGSYIEGASGSNWANPRHQASGSGSGGGQHRPPRNFGMFSPQTGQLATVPPKQRTPEAIARAADAMSRLDDAAFRGGFILEKVMGPASTGHLELRNRNPDDNPAVTFNYFSHPEDLRRCVDGLTVIERVIRSKSFQRFTYPDFSIEMLLNMTAEFPVNMLPRHDNDSRSLEQFCKDTVMTIWHYHGGCQVGRVVDAEYRVLGVDALRVIDGSTLNASPGTNPQATVMMLGRYMGVKIQNERLHAEGFKRRKL >cds.KYUSt_chr5.33700 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213998622:214004887:-1 gene:KYUSg_chr5.33700 transcript:KYUSt_chr5.33700 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLDVEQGGAGGAAVAAGGGGGGGVRAVAGAIGRSVSFRCVFVLALAVGVLVPALSLLLPSRPKGFISDDPDVLAAQIKVGFTLEKPVSFLTAHMDRIGSDIFEEIGVPNSKVSVVSMQSLTSKYSTHVVFGVLPYPKDASISLPALSVLRSSLVGMMLQQLNLSLTPSLFGHPSSIELLRFPGGITVVPVQSGSVWSSTVPLFNFVLNNSVYQILGNLTELKDQLELGLNLNTNEVRNEIGSSVEAPAIIEASVLDGTLLPYRLKQLAQLIKEPNAGNLGLNHSVFAGVVVIAEHDAAVASPALNMKSLFEVLGDGAQYPAHDGFRPPPRSSAPTDKKLQNLSPVTPLVMPTSPEPSPLKKTKLAGTGSPFPDAVIAE >cds.KYUSt_chr4.36554 pep primary_assembly:MPB_Lper_Kyuss_1697:4:224679671:224681067:1 gene:KYUSg_chr4.36554 transcript:KYUSt_chr4.36554 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFQFRLTDYNFESCRAYYILSKLFLLDDYISAMKIENDDKRHKEKEAKGLDDAKMIPCQLKALYLLVDIQVDEAVGKNLSITVRDIFIQVGGMIVQDVNSSIGNLNISLHSGFANLFEVDESRSQIRIRPMVLHL >cds.KYUSt_chr6.19846 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125140283:125156264:1 gene:KYUSg_chr6.19846 transcript:KYUSt_chr6.19846 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQPIQYRCTKSPIHSLLPFGSSCGAVLLLNGGERPEGCEVVVFKWKSEGGPDGGLLRLLSRNIMKLIAHPAISISCHCRAMHMTEIDSYVSGPAVEQLERLTSEKIHNDQLFAPAAFDRFIFTCLDAYCIPIKVLLLRSNGQLLRKKIISEEGSTKSPIHSLLPFGSSCGAVLLLNGGERPEGCEVVVFKRKSEGGPDGDLLRLLSRNIMKLIAHPAISISCHCRAMHMTEIDSYVSGPAVEQLERLTSEKIYNDQLFAPAAFDRFIFTCLDAYCIPIKVLLLRSNGQLLRKKIISEEGSTKSPIHSLLPFGSSCGAVLLLNGGERPEGCEVVVFKRKSEGGPDGDLLRLLSRNIMKLIAHPAISISCHCRAMHMTEIDSYVSGPAVEQLERLTSEKIDNDQLFAPAAFDRFIFTFLDAYCIPIKALSGSRFRIGTACVMSDENVKYPNFSTWSTW >cds.KYUSt_chr2.19485 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122576506:122576799:-1 gene:KYUSg_chr2.19485 transcript:KYUSt_chr2.19485 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLDTPCGAAAHYPKNGPVVPVEEEGTKTPAPVKKVKIRITKKQLEDLLRRLEQEDGAGGGATVVSELLCMASSCNFRHRGQAGQWAPALQSIPE >cds.KYUSt_chr1.26207 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157702448:157708336:-1 gene:KYUSg_chr1.26207 transcript:KYUSt_chr1.26207 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLAGAGIVWQTPANPPEAQDYIFHNGRRYVRPYYFEFISHVKNRWAGKTIVDLFTEEFKGRPREYYAHAVKCGRLQVDEQMVHADYIVKSSQKISHFLHRHEPPVLGGNIVILQNEVDVVTVCKPASVPVHPCGQYRKNTVVGIMQAEHGLSPLFPVHRLDRLVSGLLIFAKSADRAESFRQQIEANLLQKEYVAKVVGVFPDGERTVDANVNFNAREGRSTVEVCDGSGKALPSGKQACTKFQRICTDGNHSIVLCKPVTGRTHQIRVHLKHIGYPIANDELYLSGNFCPRSSKGTSINRATSLACSSLSSDPDCVAEADLEFDIDAMCTNCPNLAPVGYDGDEEGLWLHCVRYTGPDWSFECPYPDWTSLDNVSRKKMKS >cds.KYUSt_chr7.29145 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181419917:181424197:1 gene:KYUSg_chr7.29145 transcript:KYUSt_chr7.29145 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTQAHAAHISTAYPMASSQTPRYQYHSCSELQCCTLKPMVKFSKQFEGQLVPEWKEAFVDYWQLKKDVKNLQVATGEGGDKAAAPPSQWQAPAAASHWMMRLPFLNPHGHQKENNVHRKLASSGNDGAVVGEVYETVVLDTAGFAGAEAEAASAFFQRLDEQLNKVNRFYERKEREFLDRGESLRRQLQILVELKAAVTEARRRGGSSAAGSTDQEDPSVSCSILHGDQSLRGITEQEQEGQEKFTKDYIAKSTDEGEDQLSISGGLGNSGRIDKPREEAANKMRTLSGKEVTCQGRSVRINIPITTPSRTVIAIRELLFDDMLSQSRKIGGIGSDGCEKLSINKKKVHQAEKMIRGALVELYKGLGYLKTYRTLNMVAFVKILKKFDKITAKEVQTTYLKIVESSYFNSSDKAIRLMDDVEELFVRHFATGDKRKAMKYLKPNQKEESHATTFFIGLFTGSFVALFIGYCIMAHIAGMYTQQSNKVYMSTSYPVLSMFSLLFLHLFVYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIIIGVMFAHLTLIVKGYSSSAVQAIPGCLLLVFTLILVCPFKILYRSSRYQFLRAIRNIILTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVVIVSSIATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFISMGLNLLLRLAWLQTVIHPNIGSLDSRVTLFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEED >cds.KYUSt_chr7.40625 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252155922:252157767:1 gene:KYUSg_chr7.40625 transcript:KYUSt_chr7.40625 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGHNQLQVSLWPLVSLHGNSWPSCSERWLPSLNKTGFGMRGMAPPVSLLPSSSPSPPCHRVLRRRASLRPLAPPLPRRPLLRRLSDDNNSSSECSPRLRHVRPPAAVSIIAAGDQWGNWAFLLSAAAFGTWAEERTAWGAALSGALVSILAGLAASSAGLVTPGAPAHGVVMEYLLPVAVPLLLLGADLRRVVRTTGDLLKAFLIGSVATVIGTTVAYLLFPMRSLGQDSWKIAAALMGSYIGGAVNFVAISEALGTSPSVVAAGVAADNLISALYFTVLFSLASKIPPEPKTSSQDDGGDPRGGMSVLHGGVALALSFAICKAGTSIAALLGVAQGGTLPCVTALVVLLATAFPGVLGALAPSGETMALILMQVFFTVVGANGSVVDAVTKAPTVFAFAAVQVAVHLAVVLGVGKAVGLERKSLLIASNANVGGPTTAAAMATAKGWSSLIVPGILVGIFGISTATFLGIGFGMFVLRRISGF >cds.KYUSt_chr4.52479 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325471047:325475499:-1 gene:KYUSg_chr4.52479 transcript:KYUSt_chr4.52479 gene_biotype:protein_coding transcript_biotype:protein_coding MERMVMRLARRNRSLFQAGGGGGGIRVISGAFSTQQQLVDDPPAPLNIRDTAAHLIGRTPLVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAISMIEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELVLTMPSYTSLERRVVMKAFGAQLVLTDPAKGMGGTVRKATQLYEDHPSAFMLQQFENPANVKVHYETTGPEIWEDTLGKVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPDILDMDIMDKVLEVKGEDAVKMAQQLALKEGLLVGISSGANTVAAIELAKRPENKGKLIVQVGLPEMVTPAAAEPMWTEAHMPSAAGAAAVACPAQQNHTTSIPLLCSLLSSMAPECEPMDAIASSQHEHLRPDGTEPLSRIAVDYTPDACHHARATGEIHVTYDHRGGARWRSPGRFLPGGAVAAAVRAPAGDTAGLNYNLYLSSLEGSPDMDEIDFEFLGGDKRAVQTNFYVSGCGGREMVHALPFDSSDGFHHYAVAWGAEAIEWRVDGEVVQREERQGEGQPWPEKPMFLYASLWDASGIDEGRWTGTYHGRDAPYVCSYRDVRVPVALSVTAEEEEECQDDAPEEGACRS >cds.KYUSt_chr4.43719 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271080005:271088107:-1 gene:KYUSg_chr4.43719 transcript:KYUSt_chr4.43719 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVASGDPVDVPLPAGDALTCLSFLRVASLCSNDGDQDNEDLAPRSPMVSTKGVVSVLIRDTTDLRHVAEARMELCNSLSCAADTRGDEEDWVQLCRAATPAASHRLRFIKKASSVVSPSSVELVGDYSGASSMTTRSALAVSPSYSSVVGILVIESNSTVCAFPPLVLALQMLVLVLRTHVLRASGTSLRPLSLVFPWHPEVGLRSCVAHHRLKHCHQGPLQGVARSSMSTQVLWPRLVANKLFRKPSGSHAFVADFPAVAGSAEEFDGCSPDAGADAHRGIKRPRPQQRNKTLKYRLFASTWNVGGVAPPDDLDLSDWLDTRNGTYDIYVLGFQEVVPLRARNVLGADKNRIGMRWNELVRAALNRSSPSPSPGSHATGGGGEKQKVHPVRDGAAGDLGARDFRCVVSKQMVGILLTVWVRGDLRRLVRRPSVSCVGCGVMGCLGNKGAVSVRFWLRDTSFCFVCCHLASGGREGDEAHRNADATEILSRTSFPRRHPSSSSPSSSLASPQKILDHDLVILLGDLNYRISLPEAKTRLLVERQDWKSLLENDQLRGEVSSEGGAFNGWNEGAITFSPTYKYHPDSDAYYGCSQQAKKGEQKLKRRAPAWCDRVLWRGAGLRQTRYDRCESRLSDHRPVRAVFAVEVDAPWNLNSLRSFFLSERFDRARSPADGLLVLREDDHTTSSARFAEDE >cds.KYUSt_chr1.23608 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140563099:140567045:1 gene:KYUSg_chr1.23608 transcript:KYUSt_chr1.23608 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGPAHPMPATAAAAAGGQPLVVTLNCLEDPSVERDALAGAAAVEHAPLSALSSGHVESAAVVLLTSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAAAAAELGLRLVHVDANRAEEIADTVMALFLGLLRRTHLLSGHASSSAPSAAWLGSVQPLCRGMRRCRGLVLGIVGVNAAARCLATRSLAFRMSVLYFDPLYEGAGKTRRPSIVFPSAARRMDTLNDLLAASDLVSLHCTLTNDTTNILSAERLQHIKPGAFIVNTSSCQLIDDCALKQLLLDGTIAGCALDGAEGPQWMEAWVHEMPNVLILPRSADYSEEVWMEIREKAIAILQSFFFDGIVPNNAISDDDEALSEVGCEDDQLYKQANEHEQQTDESQLTLECDKRRAIYKPEVPEASASSQNIGSRSEGRRSRSGKKGKKRPARRRSQQKMDELSTVESGSNYSSRREDDTIMSGRDQVLSSSSRFASPEDSKNKLRSSAESPMEIISEHKLSGGLGRKPPERLKDGFVVALRTRDNSGFHVSRERVAGGGWYLDVVSNATKRDPAAQFLITFKNKDTMGLRSFVAAGKLLQVNKKSELVFANHAFDVWESWTLEGSLLECCRLVNHRNSSAVLEVYIEILAAVSEEDGVTRWLD >cds.KYUSt_chr6.25202 pep primary_assembly:MPB_Lper_Kyuss_1697:6:159701458:159702639:1 gene:KYUSg_chr6.25202 transcript:KYUSt_chr6.25202 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLLASSYVEHLEGQLFCWNAMVAAPSSSPLPLRCGLAKVCASIIIICSFLDNLTPTTPREVQILLSMPVVVDCDNLNPSRPVVSSGERCDQTTSLYPSLIAQKNADLEADAIPYRLKSMAMLCMSWPL >cds.KYUSt_chr4.4057 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23182959:23189248:-1 gene:KYUSg_chr4.4057 transcript:KYUSt_chr4.4057 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAMNGMVSLLSKLGELLKEEYKLQKSAGRDVVYLQTELQRMHASLKKVATVPQDELDEEDRLWVGEVREVSHDIEDLVENFLVSAQGVVRPSDDAGSMKSLASRMAILYKMARARREVAAAMEKIKGKVHEVASRDDRYRRRGGDCVHVRATWSPGTGTITNIDPLLSILYEKHTLVGMDDARDRIISKLREADHAPKILSIVGFGGLGKTTLAKAVYDELHKGFDCTAFVPVSQNPDVKKVFRKLLYELDNQMYNSLNLSDLDESQLINQLRQSLGTKRYFIVIDDIWDTNAWKIIKLAFMDTNSESRIITTTRNVDVANTCCSSDDLIHKMQPLSEGDSERLFYKRIFANEAGCPSELEQVSRDILKKCAGVPLAIITLASHLASNQQIKQIDQWNILLESIGRGLSNDPSMKSMKKILLFSYYDLPPLLKTCLLYLSVFPEDFRIGRDRLIRRWIAEGFFEGQKHDLGLIGLGECCFIELVNRSLIQPVYIDVDGRAQACIVHDMMLDVICDLSSEENFVTVLDFIKEDIHVQRKPRRLSIQKCTDELSSTRLATMSLSQVRSFTLFNCYVNNQILPLSRFRVLRVLDLEDCFLDVVDLRCVGNLLHLRYLVLRCEGIYEIPLEIAKLQFLQILDVREMYVTLPASVLRMTNLICLYYESYLPRGIMNLASLQELDIQYFEEGVELELRYLTQLRLLSFCWPVSFAHDKLVTFVESLGKLAKLETLVIFNGDDIDVMQDWVPSPYIRKLLLTGQFQTLPTWVNSSSLSLVSFLRIYINELKPEDIEILGTLPTLRYVELWSILKVPTVDVATQRCMLSTNAFPCLRECNFKNVILGPHLFTPGAMPLVRKLTFRLELSDILSCDMELSIWNLPSLEEVEIALHVENFDGESYREAEAAIRRMARDYPNCRLSIGEVW >cds.KYUSt_contig_97-2.110 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:514375:517684:-1 gene:KYUSg_contig_97-2.110 transcript:KYUSt_contig_97-2.110 gene_biotype:protein_coding transcript_biotype:protein_coding MTPATPAAAAAAAAAAQIRQHLLSISPSSTTSSPACCRLRLSARPRAAPRSMSADARPAPSPVAVPPPAHPTYDLGAVIALALSEDAGDRGDVSCLATIPTDVKAEATFIAKEDGVIAGISLADMIFKQVDPSLKVEWFEDDGNLVHKGLQFGKVYGCAQSIIVAERVVLNFMQRMSGIATMTKAMADAARPACILETRKTAPGLRMVDKWAVLIGGGKNHRLGLFDMVMIKDNHISVAGGITNAMTSVDLFLEKEKLAVPVEVETRTLEEVRDLLKYSDENKTSLTRIMLDNMVVPLPNGDIDVSMLKDAVKLINGRFETEASGNVTMDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALEVGRRTNRA >cds.KYUSt_chr2.52271 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326046907:326049056:-1 gene:KYUSg_chr2.52271 transcript:KYUSt_chr2.52271 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGWSSQPWQRGRLHRREAAPPTSRRHGALTVELRSASPPNLAGNHAAAARGANATTARRRRPPPPPSLPGLRPAGPPAAAEEEETSKLVLDMHYEVCIQSVINYHFEHNKVKLVKGAARAKTLTKEEYMQAQVAEERRLMDERVKEALVEKERQMEAKFAERMHTYLAGYCASAGLPPPPPAPTAQTHSLHTPEGGASNDHRTTGASDNNDPLGSSQQF >cds.KYUSt_chr7.36471 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227888088:227889654:-1 gene:KYUSg_chr7.36471 transcript:KYUSt_chr7.36471 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLANKVFLDTEDMFPTTPMSSRAINLPADWNFMIADVVHLCNGHLLLIDHRRCKQIQVKDGRNSKTTYQGIVQVHIASSYYLDYACYFDSVRADYYCDTFLDYLGCFLSIFNLAQASVNKGYNSNHERQLQWDPGGFAWHRLGVKPNLKEGGIVSDLFYWAGLWADTLGFGLSEDTKTCHYIYQGTGTHTDRLGTDGFGLLCFGFLLSL >cds.KYUSt_chr5.37828 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239024882:239030595:1 gene:KYUSg_chr5.37828 transcript:KYUSt_chr5.37828 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRERNQPAGGGGFEYCELCRRNHDQGRRHRYFPAHRAALANALSGFRSKLSDLRRALLRPSTPPARSRLWCPFCSADLVDLDSHFACSNAIYHLASREHLKGVKDFLRKHGGGMDQVDSFRISEDELAKWEKSCESSSTEAQSLTGSMIGPSLGPFKDIQNETTSKIMDSFAETDIPSYSNTASCVVMPLQSPTNGAYHPTSTACYGPSASGSVAYSAPFGTSGLPGKTWVATHENQGMPSANMFPGADARMKGAQTAFIGNGKNPPASSFVHVQQSHSGGNLNQGLKANVHTGAPPPWLEASENDPTNGSLASHALPSSGKGKSRKLNPKRVGAAWAEKRRAEMEMEKRGEAIPETSDSSWLPNFGGVWQSGSRKESMKDFEKKHKLPEKNNPELSPEIKPYISKRMVSTRNRGDKSMETDADGEEMSDDEAVDEEIVADTPPSQDDTVVPPAASPSTCRFASPPLVFQRARQAPVPRAPVPSARPRTLGEFLEAAKSRSDAILRTPAVRRRLVELNFQPRRSSRIAKQPGGMGAEMKAVRNLMRKLGLLSGGEAPSAAALEAYHKMYELPLTDEMIEAIAEFYGWTLSSIRGCSPPLLGMSGGRLVAA >cds.KYUSt_chr4.53266 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329846563:329848017:-1 gene:KYUSg_chr4.53266 transcript:KYUSt_chr4.53266 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEPAPGGDVKLFNRWTFEDVQVPTAPPLSNRIGCRVESGEASWTDISLISGWGLSAQVNDISLNDYLAVTATKHYTFLPHSAGRYSAKRFRKAQCPIIERLTNSLMMHGRNNGKKIMAVRIIKHTMEIIHLLTDLNPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >cds.KYUSt_chr4.48860 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302605829:302606083:1 gene:KYUSg_chr4.48860 transcript:KYUSt_chr4.48860 gene_biotype:protein_coding transcript_biotype:protein_coding MLVADEEFEKRLKRGAPGAGVRSDEASMGKANFGAVNKVWGSWVESATAAASGVKVHVAVLVKADMAEPKAPMAVAAFNGFFSA >cds.KYUSt_chr2.39997 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248332943:248334919:-1 gene:KYUSg_chr2.39997 transcript:KYUSt_chr2.39997 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLYNKFGSIFTVSFIWKRITFLVGREASVIFFQGLESEVAQGDINEFTVPMFGQEIGFAVDYNTRMEQTRFLVESLRPAQLRSYVDPMLQEVEKYFAKWGEEGVVDLKHEFEELLMLISSRCLVGKEVREKMFGQFCKLFHEIEEGVNFASFMFPYIPIPVNRRRDRARIKLTEILSEVVRSRKSFKRVEEDVLQRFIDSTYKDGRATTIEEVSGMILALIFAGKHTSATTSTWTGACLLSHENFLDAALEEQKCIIGKYNDKIDYRILSEMGTLHNCIKEAARMHPALPTLVRQVKKNIIVRGKEGNEYAIPKGNILVNLVMVNGMLPHIYKDPEVFDPDRFRPGREEDKAGGKFSYTSFGGGRHACGGEAYAYMQIKIIFSHMLRNFELKLISSFPKPDWTKFMPEPKGKLMVSYKRFQLPSN >cds.KYUSt_chr4.34417 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211266317:211267096:-1 gene:KYUSg_chr4.34417 transcript:KYUSt_chr4.34417 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNILGRDFVRPPPACAGERGGDARGGIGGRGGRGGDAPFGGRPGKGGAGGCGSVRGGAGGDGGRGSFSVPGGEGGKGGRGGRRGGAGGRGGDGGFLAPGGKGGKGGKGRRGGAGGRGGDGGFLAPGGKGGKGGKGRRLGGKGGKGGRGGFLAPGGEGGKGGKGHRLGGAGGVGGTGGFLAPGGEGGEGGDGLIGGYGGRGGDGGFSGPGGSGGSGGGGSAGDGALGEVGGMGVLGGPGGLGGEGGSGGPGAHMQQE >cds.KYUSt_chr7.19306 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119678420:119681704:-1 gene:KYUSg_chr7.19306 transcript:KYUSt_chr7.19306 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNQSKPCRSRVDSKSNQNPLKPKFGSSWGSQIVKGFTADKKTKKASAIASKKPPLASVENVNQSNQQIQYHSRVKRSLIGDFPCSPAGSQVHPHVFDCHGIRSPASHDLFFELDHLREQLRESKERESALQSELRQCKENPRVSELEKELDSRKNEIDRLARLKTSFEAEKTSLSEQLSALSSMVEQHEETVRLDGHGTRASVTGRDNASCSGNLEFEVVELRRLNKELQFQKRSLAIKLSSAESKLAVLEKNAESEIVAKAQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELCSSDQAPRAISDIGYNDGMACNDDHCDGDDPNADKSSDDKRLSIAERIKQWSQNDKNCQTTKKESLLDRAWIEATEARSPTRRHSLGGLKGCTQDLNIVKRRQSDTFICLPEAADEVASCNKDQTSREKSDLLMDKYDFAQSDSPRFILGKPEICKSQCLDVEKRTLRIPNPPPRPSVSLPHSSGPSNGPTVNPPRPPPPPPPPKFSTRSTGVMKRAPQVAELYHSLMRRDSKKDTSGGAICETANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTDAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLESEVSNYKDDPRLPCDIALKKMITVSEKTERGVYSVLRTRDAMMRQCKEFNIPTDWMLDNNLISKIKFASVKLANMYMKRVAMELQYMGPLNKDLALEYMLLQAVRFAFRVHQFAGGFDTETMDAFEELRNLVHVRNSTQ >cds.KYUSt_chr3.29291 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183243417:183243965:-1 gene:KYUSg_chr3.29291 transcript:KYUSt_chr3.29291 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSEHAAGSAAAAAAAAAQGHRSEFDSMDPLFHVLRAVPFSFLQPPRTRLKLPSNLALPSPMTVFALILLTYFAVVSGLVYDVIVEPPGIGSSQDPATGAVRPVVFLPGRVNGQYIIEGLSSGFMFLLGGVGIILLDLAVDRTRPRSLRISFGGSGVAAIVIAYAMAMLFLRIKIPGYLW >cds.KYUSt_chr5.19540 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126770436:126771724:1 gene:KYUSg_chr5.19540 transcript:KYUSt_chr5.19540 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGLSTAFCPPRGSWIAVRLRNGATGRSSGSLSFRRSSAATAVAVRAEVSFVSGDEAKRLVAEEGYAVLDIRDRRQYERAHVRGSAHVPLYIENEDNDIGTIIKRQAHNNFAGLFYGLAFTKPNQDFTKMVKSKFSPESKLLVVCQEGLRSTAAAEALEREGFQNLACIMSGLQTLKPGTFESVGEFELQNAGKAGLVVVQGKISRILGAVLITLLLFITVFPDQAQQLFDLAGIKL >cds.KYUSt_chr7.6463 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39069969:39070329:1 gene:KYUSg_chr7.6463 transcript:KYUSt_chr7.6463 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDELVADLNDLLDLLLNKELYNAFKTRSTQATTVGIGNNRKLVFMGKYDFVKPRACARGVDQLVGAGLPPKRVTGYRRRSSPQR >cds.KYUSt_chr2.13724 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86974666:86975076:-1 gene:KYUSg_chr2.13724 transcript:KYUSt_chr2.13724 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLHILNLNKFVATLQLINMAFRPVPPPILASPPSRSARAPKEVATTPRRSGRIEKQKQLRKDATSKELLVRVLGILKENTEFDDNALADFIEKFKTPLSPRSITMLGSLVKNVEKMKKPKGNKVCAKKKAVEIT >cds.KYUSt_chr4.16146 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100052664:100052948:-1 gene:KYUSg_chr4.16146 transcript:KYUSt_chr4.16146 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKKNGSERSPSGTSPCNEHEHEKVPRGHVPMITCSGERVVVPVRLLAAPCIAKLLDMAAQQYGYGQPGVLRIPCDAEQFRRAVDCALKKPG >cds.KYUSt_chr7.16920 pep primary_assembly:MPB_Lper_Kyuss_1697:7:104819075:104819311:-1 gene:KYUSg_chr7.16920 transcript:KYUSt_chr7.16920 gene_biotype:protein_coding transcript_biotype:protein_coding MICRPQRLGKSWPSRRRRSLFPNSSAALLRLSSPAAFLTITTEELALLVPIPDSTVCAAADVLVVVAMDEADCCCALS >cds.KYUSt_scaffold_1259.518 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3506787:3511215:1 gene:KYUSg_scaffold_1259.518 transcript:KYUSt_scaffold_1259.518 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSLRVVHLASLLALGFVLGSVQASQGDVDPHYRTCVKECQSTAIIGSNIISHCQSPENDSTPAGSSWDIDLTEKLDYSSAVALLGYSLILSLLRTFNVKDEATRVMFAAPILAFVTTHILYLNFYDLDYGKVPSAFA >cds.KYUSt_chr7.34381 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214733170:214734138:-1 gene:KYUSg_chr7.34381 transcript:KYUSt_chr7.34381 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSDAACPSEVETELFPFIRVYKNGNIERLFGTRTVPASVDATTGVASKDVTIDPATGLSVRLYLPAAAAGGSAKKLPVLVYVHGGGFMVESAASPTYHRYLNALAARAGAIAVSVEYRRVPEHPLPAAYDDSLAALAWAVDACAAGGGGSEPWLAAHGDASRVFLAGDSAGGNIAHNVALRAAAEGAAIAGVMLLHPFFWDPSNTMSPELEVRIRREWAFTCARPDAEVNDPRICPTSAGAAPLLAAMPCGRVMVAVAENDFLAPKGRAYHAALLKSGWRGEAELVDTPGQGHVFHLLRPGTEVAAEMLGRVGDFISRA >cds.KYUSt_chr2.34112 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210837028:210844754:-1 gene:KYUSg_chr2.34112 transcript:KYUSt_chr2.34112 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFLGLGIMGKAMAANLLRHGFRVTVWNRTLSKCDELVAMGATVGETPAAVVTKCKYTIAMLSDPSAALSVVFDKDGVLEQIGEGKGYVDMSTVDAATSCKISEAVKQKGGAFVEAPVSGSKKPAEDGQLVILAAGDKALYDDMVPAFDVLGKRSFFLGEIGNGAKMKLVVNMIMGSMMNAFSEGLCLADKSGLSPQTLLDVLDLGAVANPMFKMKGPSMLQGSYNPAFPLKHQQKDMRLALSLGDETAVAMPVAAAANEAFKKARSLGLGDLDFSAVHEVLKGAGGSA >cds.KYUSt_chr5.11614 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75314476:75315969:-1 gene:KYUSg_chr5.11614 transcript:KYUSt_chr5.11614 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRAPLYTTPKGYRVSFALIFDRGINSCLKYLEAVPWNEPEEEKIKSLLSQHSSNEAASRDLLARLQPQNSTSSSELVFELIECITKGTNNNARKELRTLVDSILSRTPIHVKRDKELDKTSVYRICHSCLNCLVELFEEASDLSPTDQTTISVGKGPLEKIYKQVEDLNWLLQILIDRQMGEEFVDLWANQKTLAAMHKRVSPMVRYELSRISATVFIAMGSGKLHCTGEKRLGMFQAWFGPMLVDFGWLRRCPKGLNMTMLEEGIGQSLLTLALMQQQTLFMDWFEAFGRQGRECPNLMSSFQTWWRRSFVRPLVSS >cds.KYUSt_chr1.36127 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220232180:220232946:1 gene:KYUSg_chr1.36127 transcript:KYUSt_chr1.36127 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGAVPGFSGHHTAPHGLELSEAKFGPALLHPMAAHGVDALALQEAQLPPSPESSDGAEEEALGADAEVELGAPRGAPWRRGSWSRNNYLDGVITV >cds.KYUSt_chr7.17773 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110100674:110102129:1 gene:KYUSg_chr7.17773 transcript:KYUSt_chr7.17773 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSVASSLKHEQVDASKLQEGVLNNLELRLGISSDNGLCGGGGTTNPWLGVGVHPWSLSSGQDKAALEQVQQRPNESHMQRSAYSQDLSKVEPCSEEEEDHGNTGVSGQERPAMFVKVNLEGYAVGRKIDINAHHSYTSLSGALQSMFHGFLSDGHRRIATREDGEQLERQKGNGGMKNYILLYEDNEGDRMLVGDVPWELFIASVKRLYITKDSRADKSDTKNSVT >cds.KYUSt_chr3.36575 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230000991:230001617:-1 gene:KYUSg_chr3.36575 transcript:KYUSt_chr3.36575 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAADSTGEQAPDPAPNDPSEEPRKASDQPDRGEDNEVDFEEEEDAEELDGPAAVVAAHKERARAVFARLYTEPIGIRVHDIIIKGNLKTRASLIEAEVADLLRSAGTVQDLLHASRLAGTLLRRLDVFDSITITLDAGPPEFPGTTNVVIQVVEAARRFDGAICSKAKVKVFDWLNHYPSVIIAYELKIATQLPSICFIQLMLYWS >cds.KYUSt_chr2.40591 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252137639:252138721:-1 gene:KYUSg_chr2.40591 transcript:KYUSt_chr2.40591 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIPVIDLRLAGARPEESARLRDACERLGCFRVSGHGVPAALQAEMKAAVRALFDLPDDAKRRNTDSVVGSGYVAPSPANPLYEAFGLWDAAVSADVDAFCARLDAPPHSREAVKSYAEAMHELIVDVAGKVASSLGLEGGHPFQDWPCQFRINRYNYTQDTVGSSGVQIHTDSGFLTVLQEDDCVGGLEVLDPAAGDFVPVDPLPGTFLVNVGDVGTAWSNGRLHTVKHRVQCVAPVPRISIAMFLLAPKDDRVCAPEAFVDAEHPRRFKPFNYDDYRKLRLSTGERAGEALARMSA >cds.KYUSt_chr4.15083 pep primary_assembly:MPB_Lper_Kyuss_1697:4:93171846:93174404:1 gene:KYUSg_chr4.15083 transcript:KYUSt_chr4.15083 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPLLLLLLLLALPAATHALTADGQALLAFKAAVLRDPTGALADWNASAADPCSWNGVACDAAAAGIRRVVALSLPRKGLVATLPASALPASLRHLNLRSNRLFGALPPALIAGATGLRSLVLYENELDGPVPTELGGLPYLQILDLSANSFNGSLPGSILKCRRLRALALSRNILTGQIPPGFGQELSALEQLNLSYNRFSAAIPDDIGNLSRLQGTVDLSHNHFSGPIPASLGNLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFMGNPGLCGPPLKNACSPSSNPFVPNDGDSAPPGARRSKGLGKVAIVAIVLSDVVGILIIALVFFYCYWRAVSPKDKGQGGAAGSKWSRSAKDCGCFSRDEPETPSDQAEQYGLVVLDQQVKFDLDELLKASAFVLGKSGIGIVYKVVLEDGLITAVRRLGEGGLQRFKEFQSEVEAIAKVRHPNIVTLRAYYWSFDEKLLIYDYITNGSLSSAIHGKPGTMTLTPLPWDARLKIMKGVASGMSFLHEFSPKKYVHGDLRPNNVLLGMDMEPYISDFGLGRLANIAGGASSFSQSDRAGVEKAKTQQPDASVSPLVSRGSCYQAPEALKTLKPSQKWDVYSYGVILLEMITGRSPIMLLETMQMDLVQWVQFCIEERKPSSNVLDSFLAGDSEREDEMIAVLKVALCCVQANPERRPSMRHVEETLERLNVSS >cds.KYUSt_chr5.36689 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232003056:232003436:1 gene:KYUSg_chr5.36689 transcript:KYUSt_chr5.36689 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLALSGQEMAALALRASSALSVASGVTSPPRRKNVAGTKRKQRKTARVAPIVSTDEHAELEDGEWSQRAYGKYGGARKTVRGGGGDETAGKTMKRAGYRSRGARHRRRVADVPRLTAILEEDDF >cds.KYUSt_chr1.11463 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70295464:70296606:1 gene:KYUSg_chr1.11463 transcript:KYUSt_chr1.11463 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHRAAKIPASQSADDDSELGVFTAERYFNDALAGEDALWCDRSSSSYSSAFKTWQHDESALAPTAATSSSEASWNSRSALLSNHPASAAAPAIEGKANSTTESEPTAGKARPSSSHLRRWILGMAGCACGSSDNKESMSADDLYREEMDDSSEADATIPGGTSKQTTVEDGTTVRMMSGSCKWVDDGGGPPPLLLPEAAHRRAAKPGEVSMRMLDPRVDASYDEQRRTLVSATQSSAYTIVAGTARGGAVSAAAGVSGSPNRAHMRVDSVVDDAAAPSEVEYMYPPSEASIVWSVVTAEGAASGNFSSAASGRYYYLNDGDEDAGGKSNRRRRNNGGGLLTGCMSKRAVDTVGRPRTWSEVEPAPVARVRGPDMTRRR >cds.KYUSt_chr1.33713 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204862967:204864566:-1 gene:KYUSg_chr1.33713 transcript:KYUSt_chr1.33713 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGNNAWLLDYGLVEEEIQGSEFIYMVDDPPVSSVILGFDAPRRDDGGQDNSGAKKRPRPESSAPPGTKACREKLRRDRLNERFNELCAVLEPGKPPKADKVAILSDAARLLEQLRAEAEKLKTSNESLQDSIKSLKSEKSELRDEKTKLKAERERLEQMLKGVSAAAAPQQFIPHPAAMAPPHFHPAAAYAQAGKFVPAYAAGYPPPAAFWQWIPPTSLDTSKDSAHWPPVA >cds.KYUSt_contig_1253.507 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3141070:3146307:-1 gene:KYUSg_contig_1253.507 transcript:KYUSt_contig_1253.507 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPPDNLDPPPSTPPSTATPPPAAATATSTPPNPTTPNPLTPNPAMPSPNPNPLPGPPLIMPPMPPAPVSFTPSFRPMPGPPPPQMQQYGAVRNPGYPMQPPGVHHVMRPPTMYAPQPGPYMQQPGAPVPPGGIDVCLFLSSGMQRYPGPYTMMRPVFPPRPMPPVGVMPIQRPPLMPGIRGAPPMVAPPVRPPAPAVTPLDKPPTAVYVGKIAPTVDNDFILSLLRICGPVKSWKRTQNPSNGKPVAFGFCEFESAEGILRATRLLNKLSIDGQELVVNINDATKEYLKKHVEGKKKAQENAKEKEDGGGDGTSAAAENESLKLDSDKTDETEDVGDKDDQENTKKFGIVTNEDSEADKEAAEQINSMIEEWLKTRPPPPPPPPPPVQPLAEISSKHNNGESGVDMTNTDSEDKNNDDMDKRTSNETEKAETGSPDRRKDREHDKDKREKDIQRYERERERERVRRDRDKEHKHKEVERLYKDHVKEWESREKEKEYQRQYEKDREKEKERERRREIMKQEEDSDEEDSRKRRRKGSSTFEERKRRRQREKQEDLADKLKEEEEIAEARRRAIELQQQADEAAAAAAAAAAESATLMEVDGDDEKESNVQNKPINSDDDNITGFANGGYADDGTHKDNNGDEASTIQGQILDINQNSNAPAKKLGFGLIGSGKRTSVPSVFAEDDDEDNKDKKIRPLVPIDYSTEELQAVETDSSAGQQNIVAAAEFAKRILVSNQKEEKLESEKDRSRRSTDRLSQRDKSRNEEDGARISDERREKMQDRDKDRQDKPKSENKKIVDAKQLIDMIPRTKEELFSYDINWSIYEKHELHDRMRPWISKKIVEFLGEEESTLVEYIVSCTKDHVHASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVEAGLSGRGKA >cds.KYUSt_contig_1790.20 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:117213:121545:-1 gene:KYUSg_contig_1790.20 transcript:KYUSt_contig_1790.20 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPMSSEIEWGHEIVQEERQQRELGVHRGEIWPWKENLEMRTERDKVGKVVLKARGDVSHLLGGSYQAFWVGPDAWSCVRGNTKVMAFRAKTGLLTFGDKPYIPTAKFVEPLMGFVTGDDKGYIHVYSYEKAEKLQNFRGHADRVTSLVVHPSEPLVLSASWDKLIKLWNWEAGWQCIRTFEGHSSIVCQVKFNPQTAGNTFASCSADFTRKMWNMDSPTPVASFVCYPESGLNYFCPGGALQYLVTYTDCMGIAQHLAIEVGISWSTNAAHNGEDTHLHSTPNEGAASDHLQHVPGRLNASSSHSRNPTQEIFSELDETKAQGLIFPRSFQKTEEDMKWRHEGP >cds.KYUSt_chr7.15706 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97539515:97540720:1 gene:KYUSg_chr7.15706 transcript:KYUSt_chr7.15706 gene_biotype:protein_coding transcript_biotype:protein_coding MINSFSVENKEASDRAAVVWKAILDKQDMKIALEREKVETAKMEAHAAAMKDTNEATQLSLAKMSHESKILMTDMEKMDPLARHGTRCTTSASAKSDYLKAPVKMLLRLSGMDLVTFIPHNCRAPADEASTIVAQWENSCRSDLAVPPLSRAVLHAQACMLLHTEIRDEPGIRRKENFALYGWVAQIGGRHCRSIEKFSLHDSTNDYFTQFVFVLRHFGACDAATQKVTKCEGAEDLGWCSRPAQS >cds.KYUSt_chr7.40613 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252102654:252109186:-1 gene:KYUSg_chr7.40613 transcript:KYUSt_chr7.40613 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGGNQVGQMSKKARKKLAKEESARQMLVDKKRRRLEKALANSAAIISELEKKRQQKQEEQKRLDAEGAAIAEAVALHVLMGEDCDEPHQMMCNDHRSSREGHQDDFVDLEPTQEVRILRGAGINAKRGGALAKVAADGEAKSLPRRAKALVQVVAGGGGGAREGGGALVEICRYHHYLDGKDGENHKISELPLEHFTSEAAHSPWISGWLVLLPQILCAFLVVAASSGTAAARRHVPPEAGPAGEQSNYLAPTCREHTASLTDFGGVGDGATSNTAAFQKAVAHLSQYSGEGGGGSMLYVPAGKWLTAPFNLTSHFTLFLHADAVILASQDITQWPVIDPLPSYGRGRDHAGGRYTSLIGGSNLTDVVITGNNGTIDGQGATWWSKYKSGKLKYTRGYLIELMHSDTIFISNVTLLNSPAWNIHPVYSRNIVIQGVTILAPTKSPNTDGINPDSCSQVRIEDCYVVSGDDCVAIKSGWDEYGIAVGMPSEHIVVRRLTCVSPTSAVIALGSEMSGGISDVRAEDITAVGTESAVRIKTAVGRGAYVRDVYARRMTLLGMKRVFWMTGDYKSHPDDKYDPTAVPVVENISYQDVVATGVWKEAARMEGIQGAPFKGICMANVTAEMTKARKVSWNCADVEGVSADVTPAPCAPLQGAHGGACPFPTDTLAIDEVTVQRCSYTLPRAPAAAVPGTN >cds.KYUSt_chr1.27145 pep primary_assembly:MPB_Lper_Kyuss_1697:1:163746931:163752088:1 gene:KYUSg_chr1.27145 transcript:KYUSt_chr1.27145 gene_biotype:protein_coding transcript_biotype:protein_coding MNAATITALVDRWRPETHTIHLRAGEMTPTLQDVSMILVLPIQGEPLCMNTSSDGWREQMEGLIGMAPPKPPNKKDRAPAGANYKWIKNNFGRCPVGANEDTVRVYTRVYLWYVISRTFFADSGGKLAHWCWLKALTVLEHQWSWGTTTLAYRTVRVLLSSTSPRRIAAAATLLAGSLPQTLTESPLQIQVRPPPPSSMALQYVEAQRLARPDLADWYADLADLYQRKLWHQLTLKLDQFLQIPLAQTGDTLIQLYTNFIADFETKINLLKLAQFAVVASRQYADKGAAVAFLEGVIAKLRETRELRIDEPILHVKMQIAAIHLDKGTHKDCKNLLEEGKATLDGMTDVDPTVHASFYWISSQYHKSLQEFAEFYKNALLFLAYTTVGTLSEPFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLTGTKVEWVYHMLEAFNTGNLVLYQELCRVHNAALSAQPALVQNEQKLLEKINILCLMEIIFSRPSEDRTIPLTVIAEQTKLSISEVECLLMKSLSVHLIEGIIDEVESTVHVSWVQPRVLGITQVKALRERLDSWIGKVHTTLLSVEAETPDLVAA >cds.KYUSt_contig_2278.45 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:324528:325007:-1 gene:KYUSg_contig_2278.45 transcript:KYUSt_contig_2278.45 gene_biotype:protein_coding transcript_biotype:protein_coding MATADGQPQPIATEPSPSPAKRKPETDSDLSPIDPAPKAARPDTDEEAAAAEAKSRSADKGKGKMVVEEEQEEEGDESSDDDEDVDGEDGDDSDGFCEDPLAEVDLDNILPSRTRRRAPPQPGAYLVPPEEAAEDDEDDEDADVDMAPGDESGDGEESD >cds.KYUSt_chr6.22224 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140402123:140402906:-1 gene:KYUSg_chr6.22224 transcript:KYUSt_chr6.22224 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNHRNYQEPTGRSQDAYHPDPASPPGSAGSIAAPASAQIQGRRRRSGYLLATSTDVQACSLQQPAIKHRGQPTTAHPEARSRCPRKILVAQATAIPLKRPARHLHRKTPPMRPTQRTPRKNHCPNSSSQDDASKKELLNDATQETAPSGVAVTGLKKAGLSPGAWYLTTASSVRPSPRAHALTFPLKALALLEQPAGSTSSAPDQGQPCPQREGKPPERGTTKLQAA >cds.KYUSt_chr4.47419 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293455595:293465612:-1 gene:KYUSg_chr4.47419 transcript:KYUSt_chr4.47419 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRDKPQRSSPPPPPPMPPGRRRRDYQPQLNSPPRVSPERNRGDRRDQRYSWFPYPLPNGEQILVYKDKDGVLFTDHGGPTRPVQDVIREFLSDLSRDPPPPSGVPDPLALKLGMALISSSFVSSLVPTGLFIKYFVRVDKEGFFHTYPDRGGPFKTLEKAQEAIDSHHVVQREMMCMDGLSDEERAVRNTLYWYHDGTRKHSAEAFASCECAVCEDKMNDIKHPNDDVYNRGRRNKFHVIRSRRRSHALGVDLFEKLFAVREEAWLIEEEARVRRMIKEGKDARSSKLERGDNIPKEARRSELEVDNRGDVMIKEGKEARRNLEVDKILKLASRKLRVDNILKEDNRGDVMIKEGKEARRSKLEVDNRRGDHAKRDNGHTGRRFGDELSPGISKRVVSSDYDADFGLSHVDSFGNSLSSSDKAVKSLKRDFAKFCNEAVIISKVYYLDSLDFGTKIPDQSAPRISVWNGNLISFFSDLDRTKNNVFGKTHLKKSLPSCYIEDFSKCDKDASTIYFEPASISLAFKKNSHLRLLMASLRQSTPAMPPVCKVMSRFPHSAANDNNDVHQSISFGGFVVENNITSPISKINFAQCFVDKDVSGIQMSRGVTVEKKTCRSSTISGSKAAPILVAENSPKPILNSPKVNNGSKDFRLRQVELASKSDELYNKLNNNAVQKDIHPPAGKIQIDNNLDQYIDLSQHVVEEKFPKDDIIILQPKNSLELPLLQNRRFPVTNDDVKNFYSIVELAYTKGVQKSCSVKFSKVHCSFISLGQSLQREGHVDNFLIPVFCRKLFEDNHPSKSGRHHFFSFIGENILDYNNEVQLGLISKAFLGARSRKQREETGAI >cds.KYUSt_chr5.34495 pep primary_assembly:MPB_Lper_Kyuss_1697:5:218730454:218731218:1 gene:KYUSg_chr5.34495 transcript:KYUSt_chr5.34495 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFIDGPSLRSLLRPSTNGRRSKIADSAGGGGGRGGSGGGHGGIFKMFKLMPMLSSGCKMVALLGKHNRALLADHATTVTLFGHRRGRVSLAIHEDTRAPPVFLIELPMLTSALHKEIASGVVKLALESDTRSARRRLVEEYVWAVFCNGRKAGYSIRRKEASDDERHVMRLLRGVSMGAGVLPAAPEQEGGVPAGPDGELTYVRARVERVVGSKDSEAFYMINPHEGGVPSDSRGGDDGSAPELSIFLVRMK >cds.KYUSt_chr1.26375 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158884543:158886483:-1 gene:KYUSg_chr1.26375 transcript:KYUSt_chr1.26375 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPKTATSACLAAAAAAHGDLQIAGAALLAAAGALRGDGAAVPLRGVPPPRPHRHLHRPHGPLPPLLPLPCRPPHFPPQLPAAHLNSSADSIWGEGSGAGLLRSRALPAISARSRSLLAASPAWGRSALFRFAGSRCLSTSTSSASEDEDLPSQAPSSDPEHLGRVCAAIADVIAAGADANLEAALSALSLPLSEALVLAVLDRFKHAHKPSRRFFQWAAASGGFAHTPLTYCKMVHILGKARQFETMVALVQEMGKTGTLCMDAFKISIKSFAAAGEIKNAVGVFEMMKVHGFDDGVESFNCLLVALAQEGLGKEAAQVFGRMRDRYTPDLRTYTALMLAWCNARNLVEAGRVWNEMLDKGMKPDVVVHNTMIEGLLRGQRRPEAVKMFELMKAKGPPPNVRTYTMLIRDHCKRGKMDMAMRCFEEMQESGCQTDVATYTCLLVGYGNAKRMDRVTAMLEEMTRKGCPPDGRAYNALIKLLTNRKMPDDALRIYKKMISKGLEPTIHTYNMIMKSYFLGGRNYLMGCAMWDEMHRKGICPDGNSYTVFLNGHIRHGRPEEACKFIKEMIQKGMKAPQIDYNKFAADFSKAGKPDILFELAQKVKTGKFDEFNVFHQWGERMRSRVKQTVPNQTSEQGQDAVRL >cds.KYUSt_chr2.36238 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223842987:223850824:-1 gene:KYUSg_chr2.36238 transcript:KYUSt_chr2.36238 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAEASKKPKAKPKQRGGVDFKKYKHKLGRKLPPPKNTTNTEIKSKMIVLPEQSMASERAGMSVNKRGLTLRELLQQTVHYNPKVRRAALNGIKDLVTKHPAELKLHKVAMIEKLQERICDSDKDNVISTRSTLSLLMANVLNGITHLSMDIQLMAFRFLELVVLNFPSSFPRYAEQAFNNFVAVLSNDRIHLQDKSKLNSVLAGLGHCLSLVARATENDDASNRPVHNLSTKELWKPTLDEDNSGSSTFATSNVLTKLQNLIQILVNSIEVSASEICAKPANDAPSSEALLSALHCLDLICRTFVREAKKPQMEFGRTKTLFGPDWLNSSVLVYLKKLWGVKRLFHEKGDDRFFIFNLKIAEIFLCLRTSVDDAMFSAEELCQFVSSLFAKAKVLRNKDLMETYLGPLITCIPGLISNAADDSKGYLLEAFTDAFRDSNVDCKLILPYLDAVGEMLLPEKSGIAYTEHDSGISEYRSTWINELPGILLQSVDKAPTVTKVVLELLLRIGQYFPTLECGNLRPIAQLFGTKSSSGMVELGPFIYLPHDCQELAIACLYYFSNLLPHIIEPLVCCCLSDKLEPLILFRIVEVLQSTYKVGNLQITEQLSFLSLLMAQFDVNRDMSCTQEDARKISNWNTFKALNHLILTSLSEMGDGSLVLELIWNNLFNEIARKPSPHNINGLFRIIVTLDAATNKLMDEDVIKLIAGYLVDTARDLSKTIEVGFQSDKTRLFQYFIKPCRIMFEQNDKLLCCTLEMLKSFASGNDRIFSSVSNLDYPRELSERACIVTTILIFLCNDQRLHRNLSLSKTVIKGIMHHIRHQM >cds.KYUSt_chr4.16359 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101663970:101675603:1 gene:KYUSg_chr4.16359 transcript:KYUSt_chr4.16359 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIGWNCQGKGKKLDSSKKMEHLARLMNSTGAQDTTSANVNHYRMRSFNCYVKQCGLLDMGFSGPAYTWTNKRFSSTPVFERLDRCLANAEWCDLFPNTNVFNLPIMFGDHAPILVSTESQHHRPKLKFKFENWWTMEEDFQNVAKTAWDLSATKPFHSRTTNLAGTLKKWCKKKKPIQQQLDTLQQQINSIQMQPIQEQDHSLEAKLITQYEENMTKLTEFYRQRAKKHWATQGDRNTSFFHNAVLKRKRRNRIVSIKDAHGNNLFDPEDIAHEFVDYFKNIFNSLCPNNGRPFTNTSHPQGEQDFTNSIPDKHEVWEILKSMRKNASPGPDGFSVGFYTSAWSWIGDDVTNLVRSFYITVISMALDGGGGGGGGGFRSLMRRKPADSDRVRAEGQQLAKELNVLELIAIGVGSTIGAGVYVLVGTVAREHTGPALTVSFLIAGIAAALSAFCYAELASRCPSAGSAYHYTYVCVGEGVAWLIGWALVLEYTIGGSAVARGISPNLALFFGGPDSLPWILARHQLPWFDVIVDPCAAALVLVVSVLLCLGIKESSFVQGIVTTLNACVMLFVIVAGCYIGFQIGWDGYKVTDGYFPYGVNGVLAGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGAALSICCLLYMMVSIVIVGIVPYSAMDPDTPISSAFAKHGMQWAMYVVTSGAVLALCSTLMGSLLPQPRILMAMARDGLLPSFFSDVNKQTQVPVKGTIVAGICAAALAFFMDVSQLAGMVSIGTLLAFTIVAVSILILRYVPPDDAPLPSSLQESSHLNQEYDEEKGRDLLGDEICNKSQIKDLIVEESMKDPLLEKKQYTGMMDEMKRRRIAAFSIGSVCLGVLVLTSSASATWLPLVASEIIISSTEIVLHVKDGKGLCQTVVGLPATRLVLIAEAPT >cds.KYUSt_chr3.2492 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14257515:14258221:-1 gene:KYUSg_chr3.2492 transcript:KYUSt_chr3.2492 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPSPLQDFCVADNSSRVNGFVCKDPKVVTAEDFFLAAKLDMPRDTKISKVGSNVTLINVMKLPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNTDNKLLSKVLNKGDVFVFPQGLIHFQFNPNPYKPAIAIAALSSQNPGAITIANAVFGSKPMISDDVLAKAFQVEKKTVDWLQAQFWADNHN >cds.KYUSt_chr2.39201 pep primary_assembly:MPB_Lper_Kyuss_1697:2:243026550:243027818:1 gene:KYUSg_chr2.39201 transcript:KYUSt_chr2.39201 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSYLPATTESIALAQEAKDASESISILYRVLEDPSSSSDAVRVKELAITNLTNYLTKENRAEELRNLLTQLRPFFALIPKAKTAKIVRGIIDAVSKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLASLLLETQEYTEALTLLSSLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEGFNALEDPKAIFCLKYMLLCKIMVNHADDVAGIISSKAGLKYVGPDVDAMKAVADAYSKRSLKYFETALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHVAEMIELPIDHVEKKLSQMILDKKFSGTLDQGAGCLIIFEDSKTEAIFPATLETISNVGKVVESLYMRSAKIMA >cds.KYUSt_chr7.26667 pep primary_assembly:MPB_Lper_Kyuss_1697:7:166439750:166441572:1 gene:KYUSg_chr7.26667 transcript:KYUSt_chr7.26667 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRPGEAVLSWSARLKIAKGAARGLAYLHECSPRRFVHGEVKPSNILLDADFSPRVADFGLVRLLTIAGCAPDGTLYPPPPPSGSLLGGAIPYTKPAPAPVSGYRAPEARSTGTRPAQKWDVFSFGVILLELLTGRGPADHASPSTSASFSGPSTTTTDRSGSGEHGAVPEVVRWVRRGFEEDARPVAEMVDPALLREAPTLPKKELVAAFHVALACTEVDPELRPKMKTVADSLDKIG >cds.KYUSt_chr3.25172 pep primary_assembly:MPB_Lper_Kyuss_1697:3:156338579:156339116:-1 gene:KYUSg_chr3.25172 transcript:KYUSt_chr3.25172 gene_biotype:protein_coding transcript_biotype:protein_coding MTFARTMRRQAAHERMRHANEAMRPWQPRDDEGQNTIRGCNISRGRFRRVPLPAAKQPRSRAPLAVAAAGGGGGARPPKAQGRRAALCCLLFARGEGEVEDCGGWQAIAAKVGVLGWCGVGDADAAWGGSAMDCGGASRR >cds.KYUSt_chr2.47549 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297500883:297513361:1 gene:KYUSg_chr2.47549 transcript:KYUSt_chr2.47549 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEKRSGLADHMSVSVGEAEYPCVTRLRHRRLIAFLRDQGFHDSYNELTNRTRAHMSLRHLRGLVERGRWLAAVEYLDRYLPPPTIPRSYHAKVLRNFLVTHHRFADAVDGIVDKTLPRHYLQLNNGRAISHADLRLQSIAFSILLTVDELRANMNWERVRERAWTVVNRLAPSTPELRGCIALPATSIRPHHVLPIASGLWSRRRYVKRKQTGRPEAIVRAMKSQQLTVPRIGSLEEAKELLADVLDESLRYGVQLSTSGLNHSLQSGTNQHVVEAGCHTNTAYEESIVCKIERDLKRQRLMASLGKATPFVWGPGAMMNSDANLSNVSSRGGGAEAAMAERSSLGTDPADSATGYGGSGVSGSGATADEDSSHPAAGKAQRPSFKLIKPQTAELQGAERTAYKHQGTSKHRTAWSSKPSSNGMDRAEERIRREAHHRTHAMIKTWRVATSMPSASAFEEGPNLLTPVRRAPNHRKASSLSPQRAYTPGRRSKDHPQAQAPHARHHGHHRRPTHRPPKRLQLQDIARRRRHGKKRASVSP >cds.KYUSt_chr3.35174 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220876777:220877520:1 gene:KYUSg_chr3.35174 transcript:KYUSt_chr3.35174 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKLLLLLATFLPSCGLLLADFAPMTLTVVNNCAYPIWPGIQANSGSEVLEGGGFYLPALSHRSFPAPTSAWSGRIWARTGCTPEGAEQLRCATGDCGGRLQCGGLGGASPATLAQVSLHGNNDQSFYGVSVVDGFNVGLSVTPHEGRGNCPVLACRKDLTQSCPSELQVRGSGGVVACKSGCEAFGTDELCCRNAYNSPAACRASQYSQFFKNECPQAFTYAHDSPSLTHECAAPRELKVIFCH >cds.KYUSt_chr7.32951 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205522337:205523509:-1 gene:KYUSg_chr7.32951 transcript:KYUSt_chr7.32951 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRRSLAVALAVALLLGLAHGDMVQFIFGDSLSDVGNNNYLTKSLARAALPWYGIDFGSGMPNGRFCNGRTVADIVGDKMGLPRPPAFLDPSVDETVIATRGLNYASGGGGILNETSSLFIQRFSLYKQIELFQGTQAFMRGKIGQAAADKLFGDGYYVVAMGANDFINNYLLPVYSDSWSYNGDSFVSYMVTTLEAQLRLLHELGARRLTFFGLGPMGCIPLQRLLQRSSTACQDSTNKLALSFNKQAGAVIERLSSSLPNATFQFGDVYDYFQDIINRPYMHGFNNSHDPCCSLGKIRPTLTCIPLSTLCKDRSKYVFWDEYHPTDRANELIALETLRRLNITIVANSTSS >cds.KYUSt_chr7.5818 pep primary_assembly:MPB_Lper_Kyuss_1697:7:34788882:34790878:-1 gene:KYUSg_chr7.5818 transcript:KYUSt_chr7.5818 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRPSKASLRWSIFLQASSRSGGSSAPAAAHFPVVLAQVGAFVGTMEEGPSDGHTVLGPGDAYINSSTAPADTTSRSSPHVSRLQKLLYRRMLVGVNDGRYFLGLFHCIDKQGNIILQDAVEYRSARHSSSSPMEQRCLGLILIPAACRSSCHVDCFIEEQMSLLSLDK >cds.KYUSt_chr4.37555 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231437396:231444613:-1 gene:KYUSg_chr4.37555 transcript:KYUSt_chr4.37555 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPDSGDLILVEPAGPGSQVAVVTINRPDALNALTRSMMISLASAFRRLDADDGVAAVVLAGRGRAFCSGADLQAAEEIFKGDVNDPAADPVKQMERCRKPIVGAIAGFAVTAGFEIALACDIIVAGRSAKFLDTHAKFGIFPSWGLSQKLSRLIGPNRAREVSLACMPITAELGEKWGLVNHIVDDSQVLTKAIEVAEAITRNNRNLVVLYKSVINDGLQLDMEHARVLEKTLILGGTLVRQTSLKSSTDGPYDWLRSLAWPKAREVLYGGGLGGALGSEAAASCWVRAAWACRRAPSADADCALATRVARRRWKGRGGEIAPVVFHAGSGAVVFLRQDRPCPTLDLLWWFAAVGGGALDRGKLLADGAATKSSTLLAPISFLKAISRYSIPSLPSLSRVKTQKPRLGRRRRSGIVPFLKAPPWDG >cds.KYUSt_chr1.35753 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217873255:217874733:1 gene:KYUSg_chr1.35753 transcript:KYUSt_chr1.35753 gene_biotype:protein_coding transcript_biotype:protein_coding MEALISAVLGDLISRAVSIVVKKCADKQTTTEEDLQRLQQLLLRISAVVEEAEGRCVTNQGMIRQVSMMLKQIFRGYYLLDSFKCIHNKTDDGDEEVSHSLFAQSKFNPAKRFRCLSSNSQIKSMLIGRDSSKELKQVVLGLESMVADMKEFAIFVMSYPRMYRQPYGAYLFLDKCMFGRQMEREQAISFLLQVEPPGDGNLGVLPIIGPAFIGKSTLVEHVCRDERVRDHFSLILFYRGNDLTYETVTTFRDHCVIKHQNIASAEKRSLVVIEILGDVDQGAWKRLMHTYERFMAHGSKIIITSRSEKIVSVGTREAIKLNLLSKEAYWYFFKMLVFGSTDPEDHPKLTSIAMEIALGIHGSFMSAYVVAALLRENLSAQFGCRVLRQLRENTQKNTLMFGEYLEDHMSRYVWSIAGTRQGSEDRKLFLLHASYQKDPAAGGEVPRITMVDLLSRSSSAMPRKFEVLWWRSLIPPYYSYIYDCEFVRNTTP >cds.KYUSt_chr2.34734 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214447645:214448163:-1 gene:KYUSg_chr2.34734 transcript:KYUSt_chr2.34734 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSTPPAPATPASAAATPRRRRRRLLPSSGSASFAASPSSSPFSFFAPSPSPFHRFLPSPLRASTVPFSWEHRPGIPKTPARARSSKTGGKKPLPLPPSLLCRSDDPYDPSSVVPVEYAALPAGRLGRVRVRRRRRQRVGNALAEWCSAFSLYRSCKRAAACIAAKLKSA >cds.KYUSt_chr5.39502 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250006292:250008733:-1 gene:KYUSg_chr5.39502 transcript:KYUSt_chr5.39502 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAGSPSVPPYSAVDAALGEVARRLEAGISDGVAKRQAIDNAGEAFTRERRPRAGGAQTRSKMGILSVVFTGLEAIAELSSFKIYTICQSNDDTMLILRAEHSQVRHLREFLDLFSQATGLRINFHKSTFVPVGGVTAELASDLASILGCPVSSLPQTYLGLPLSDHKLPAAALEFLSFKISKRIPGWRTSLLPIGERLTLTTAVLSALPSFAMSVLPIPKGTLSKMDRPRRAMFWKAKDKCSSGDCQVAWDYVFQLRSVSELGVIDLGLQNKCLILKALHGLFTGRDSPWTRWVKHSYLAPRRALHFALARCLDSAGALVGSPPRGLLPFLHPLATVADTLRSGAVEVPLVHRNSAAAAVEMEFIHACLSRISLTSSPDIHFVSLGPSTDFSTGSVYRSLHSSGCIVPGKDVNWVCFAPRKVRVFFWILRLHKTRTRALLHRIGCVPSPDCPFCPNRPKDTSHLFVGSPLCPLWSVVSPSGLPHVDADVSVLLDALSEDLPPMHPEARNRVRVILAVLWTVWKSRNRMVFDADFMSTPCILALLVDHLRLWVVRAPSRVDTSALLTWCQAIS >cds.KYUSt_chr4.7174 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42435550:42439463:-1 gene:KYUSg_chr4.7174 transcript:KYUSt_chr4.7174 gene_biotype:protein_coding transcript_biotype:protein_coding MELDALRASLGEMTLVEGGDRRFLIRCSGPRNRLVTGALYKLCNLGGVSSANADFIWRSRAPSRVMFFGWLLTLSRVQTHDMLLRKTIVDAAVAGCPLCDATLETASHMAMHYPVVARFWSTIGIEVPHDVHVRDLHLLPMPQSITVETAPAFTLLWYLIVIDDVWAISTWDIVSRAFPDGNHCSRILITSELEDIARKCCGYEPQNVYKMKPLSEDDSRKLFYSIVTCSQELNVVSSSIIRKCGGLPVAIVTIASLLASRMGKTEQREYVEYVDKSLGYGLMTNLTLEGLKQVVNLSYRNLPQHLKACMLYLCIFSEDYIIWTDDLVKQWVAEGFICASEEKDEEEISRSYFEELISSRLIHPIQIDGNGKILSCTMHHMMRKHIMHESIVENFVAAVDYSQTATRFAHKVRRLCLDFGNAEDATLSTNRRLSQVRTLAFFGAFKCVPSITEFRLLHVLILHFWGEQESIRFDLTSISEIFRLRYLQVTCNVKLEIQQMQMLQRLSCLETLKIEAARGSTTELVILNFPRLLHLRLPAETNIFSWIGDMTSLRALGHFNLSNNTIAKVRDIGELTNLQDLQLTCTPVGPSYYLGKQMECLSSVLGKLNILKCLTLKSSRDLGLQLSRMSISCDVLSSVSSPPALLQRLEWLPRVCTFSCLPEWIGHLGNLCILKIGVNELVRNDVNLLKGLPALTYLSLYVRTKPAEIIVFNKGGFLVLKYFRFMSSVPCLKFEADVMPNLQKLKLGFDAHGAIQYGHIRAGLDHLSSLEEFSAKIGPKFEADVTPYLQQLKLRFGAHGAIQYGPIPAGLDHLSGLKEISAKIGGASANEPVRRIVESALSDAVRMHPGRPTVNIQCVDQMFAYLIMATKLRGEGGGTLPYVVADCPRGHHDAGSGDSVRVRPHSRVVRVVTTESSEIPGLLLGAGGRRHVGHRSEAEDLGFLSRPDESARCLSSLICQECQLPESFACELPWVPYA >cds.KYUSt_chr7.35970 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224780899:224781687:1 gene:KYUSg_chr7.35970 transcript:KYUSt_chr7.35970 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSWSSSTAGSTASTSTPRPPPRLPQPPHGAPASSPVFLNVYDVTPANGYARWLGLGVYHSGVQVHGVEYAYGAHEGAGSGIFEVSPRRCPGYAFRESVLVGSTELSRAGVRALMAELAAEFPGDAYNLVSRNCNHFCDAACRRLVARARIPRWVNRLAKIGVVFTCVIPSSGGGGKQQVRRKGEPGARVRSRSARHAADGAVTARPKTFFRSLSVGGSKNVTPSPLPVAAQPQPPTPRPRPPAPTMTLTPTPLVAST >cds.KYUSt_chr4.7051 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41729116:41731605:-1 gene:KYUSg_chr4.7051 transcript:KYUSt_chr4.7051 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAPTTAAPAPPAAAAAVPSASVPRGQVDLVDFIDWTGVECLNQDPAHPIANAFKQGYREDDGLHLASDSDEQLLIYIPFMQVIKLHSVLFKGPEEEGPKSVKLFSNKEHMGFSNVNDFPPSDSVDLSSSHLLESKPVTLKYVKFQNVRSLTMFIEDNQSGADITQIQKIALYGTTVDTTNMKDLKKIEEH >cds.KYUSt_chr5.23433 pep primary_assembly:MPB_Lper_Kyuss_1697:5:152595824:152597816:-1 gene:KYUSg_chr5.23433 transcript:KYUSt_chr5.23433 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAAAASSTSLAAFPTRARRRRRPVAAAAEQFATSSSIADYLRYRRPGSGDISGGRGGGELQTAVVRYEKRLPWSLLHPFLRVDLVSTVHIADKEYFDKLQQALEDYDCILYEMVTSRDNLNNHKDPTFAKRLKSSRKGFSILGFIQKQMARILSLDYQLDCLDYGDEKWQHADLDFETFKQLQTERGESFFSFAVDMTLKSTKALIQPSLPDGLDFWRSKLLWASRVLPMPLVGLFVITGLCLPVENQDGYPELEALSKLDLGAALKIFLAKQLTSDFTAMTAPAEDKSVIIGERNRVATEKIKGAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPSDVQWLTAWSIRSRELDRKSLPFLKTMAEVSGWPLNRYETLALLIFSSVLAVDLWFWELLVETGVNWASFASSWIDQFSGSL >cds.KYUSt_chr7.14016 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86598465:86599520:1 gene:KYUSg_chr7.14016 transcript:KYUSt_chr7.14016 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVRCVRKWECRTGNSNAKEKAGIPPDDSTHASSAFAACHASVKGPQSTAHPLLILSSSSSSLSPSSPIALSRHGSMASCPAPPSKVHKPSPDSPRRRHLAGFHAPPRPRRTPISTRELHSRLATVDSRQDLLLWSPCAGARLTATPPRCCWAQSSRAGIRRGRANMGDFRTGIRRGRVSMGGLRAVATSGHRGCYIRVLSLLHAADAIATPGHRCYLKPMPLLHTGVAVATSGYRRSCLRPLSQATEAATYRGRRCYLGPPPLLPQAATAATYERLCCCMIDVFFGDHPSHSGAAASNLLSPLHPPVPPLLYRTALLQPSCHCWSCVRPVQELQRTISEAPSGVRESCK >cds.KYUSt_chr5.30170 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191344740:191345346:1 gene:KYUSg_chr5.30170 transcript:KYUSt_chr5.30170 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATATATMVFVYGTLKRGFPNHPRLAASGSPFAGAACTTTPVSLVIGPYSVPFLVPAPTPSAGRLVSGELFSASPSALADLDMLEGTHLGVYERRRIAVVVDGTGREVEAEAYFANASYAEDLWRRCGGEAAEVGEYTVEHAGSYVPPSGRSPGVSGLMDAVRSFIAATPPES >cds.KYUSt_chr4.53962 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333853769:333854783:-1 gene:KYUSg_chr4.53962 transcript:KYUSt_chr4.53962 gene_biotype:protein_coding transcript_biotype:protein_coding MSETCEDMGYFEVFCALLAEHGERGWVYGVVCGEPPSDAKEAVVDGFLISGICSNMHDDEPWILTRIFLDWLQFFLSHKRNKKGRDGEKEAEEVACQGCIGGDASLLS >cds.KYUSt_chr6.30328 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192134611:192141370:1 gene:KYUSg_chr6.30328 transcript:KYUSt_chr6.30328 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLGEERSFIIESDDDEEDPTHNDAGAGDDGSSSDSSSCATPRAGGGDGSHPNSYTNQWPQSYRQSIDILSSVQSPSLSFLGTPTLSRISNSFLHSSFRGKTPEVISNLVKPLLRPTTSDEQQQQQQQEDIRKSSQYLLPSKKPSLQQIPEDQKAPVIGHGPSPYQQCSYTQGAMNGINVLCGVGILSTPYAIKEGGWLGLVILGVFAVLAWYTGVLLRRCLDSTDGLQTYPDIGHAAFGTTGRIAISIILYVELYACCIEYLILESDNLSKLFPDVHISIGSLTLKSHVFFAILTTLVVMPTTWLRDLTCLSYISAGGVVATVLLVICLFWVGVVDNVGFENKGSTLNVPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNQFPSIMFTWHLFHQSSEKEHFFEMGQLEPLHETHSRHRPSQRKPINTRSVAKTTLSRLIDVDVKDAIQLSRHQKRPLVPLAVNNSKNVALMRETMWDHRHHPIHRS >cds.KYUSt_chr3.12286 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73359800:73360420:-1 gene:KYUSg_chr3.12286 transcript:KYUSt_chr3.12286 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGEARQGWVATAAPEQCRGAVEAVPRGRGVAPQEQWRGAVEAALPGTPAGAAWAHVASFFSAHRYLPGIDVCERVADGAGSDDDDGDGHQLIIPGCVRHVASRATGLWAREQLLEVDQAARRLRYAVVASNMGFSRYVATLRVLDDGDLGGGCRISWAFECDAVRGEGWSEAALVARLGASVRGMAERVQRLVARPVAAVVDA >cds.KYUSt_chr4.23722 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149469965:149480929:1 gene:KYUSg_chr4.23722 transcript:KYUSt_chr4.23722 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDIIVEDIPGAPNADSVSSLVVDDDACSSEADGDVARAYIGEEAAQEGHQGALPTWWRGQAWARAQDQWCPCASTKSPLEERVWPRLLGIGVKLCSWSWPRRSFSKPSVKRPRSAMDCSSDESSELSDTDVDDYAEKVYMDLKSGKLVARLGTDRFRCPFCPGKKKLDYCYNELLQHAIGVGASNRAAKVKANHQALANLLKTDHADAAGSLPSRQAEALFNPPKPVQDQELFVYPWMGILANVPAEQTEKGGATVMQQLDHFRPSRLDAVHCSNGYTGYLVVHFHKDWIGFKDALAFHNYYKSHHLGKMNWNEAARKGKYIFGWLAKEEDYSSDDPVGKFLSERGELKTVPELQLELSQKTETIITNLTNQISAKSKYLLELECKCNQMDLALQRAMEDTDSLHQRYNEEMRNMQSAAREHSRRIVQETDQLRKQLDEKEHGIKRRSKQLREIVAQTDMERRELENERKKNDVQNDSLHMARIEQKKANESVRILVEKHKKEKEAALKEILMLEKKLDEKQKLELDIEQLRGKLKVVKHMEGEGVDVKKLTEELTKKLDERMEAMEHLDQLNQALIIKERMTNDELQDAKKELKKGLGDLLNPNSNIGIKRMGELDEKPFLRACNERYGEEAETKALEFCSLWQDNLRDANWHPFKVVTTGETAKQIINEGDEKLVGLKEQLGEEVYKAVTVALLEINEYNASGSYVVSELWNNKENKKASIGEVVEHILKQWKVQKRKR >cds.KYUSt_chr6.21426 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135249041:135250478:1 gene:KYUSg_chr6.21426 transcript:KYUSt_chr6.21426 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRGQRPPPLHRILHGYRRRASGPDQVAVPPDFLHRRFITSHGTPQWKTSPPLVVRRKGRRHHCHGRGRGWGCGRAGAEAGAKAKAGAGAAAAEDLEVCQFNLDQIPWVITPKRGAPPQHRAAQWVSAPLCHAVGIHGTGGLWLLTLDDDYKDVDGAVPCHGKTGRATCGQREGVVELALDCRGCYKRILQ >cds.KYUSt_contig_2431.39 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000336.1:199317:204065:-1 gene:KYUSg_contig_2431.39 transcript:KYUSt_contig_2431.39 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLSNVHACPVGWGKPSMQSVWPCPCKRRTHPCIHFTDLYPAALLNAPQVLLGLARTQTVSSYPQSIRNEAPLTDGPGRAASSTGHCRTFLQIIGGGAKRQLVTSSTRYKYPCLGAPLDHSSIEIQYLLKPRVSLSLLLQQSRGRELCNSDMAAVSLLVSVLLLLSPAAAELQRVQHAPKDDGSLTVLAVGDWGRGGQFNQTLVAEQMGVVGEKLSADFIISTGDNFYNDGLSGDNDTAFFKASFTDIYTADSLQKPWYIVLGNHDYTGDALAQQSAAIREVDSRWTSVNKSFIVEADIVDFFLVDTSPFVLKYWNESKFDWRNVAPRDTYIANLLNDLEDALTGSKATWKIVVGHHPISSGCEHGNTTELREHLLPVLKTHGVDMYLNGHDHCLQLISSVDSPVEFVTSGGGSKAWAGKFKPTSDKMEFLYDGQGFLSMHLTAAEANFVFYDVAGTVLHTWGLTKSAAAIIVS >cds.KYUSt_chr6.5076 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30023980:30024705:1 gene:KYUSg_chr6.5076 transcript:KYUSt_chr6.5076 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKIDIWAVPVGVDSHGLTVKDAVNNILFVLGFNAFGGFSVFLPFLVLEIGKPDAAGLRTRLRDEVRTALQETGGEVGFAAVAKGRMQLDFVLRSHGGEGFAVSAGEMLCGYQPLAMRDPAVFDRPEEFVPDRFVGEEGEKLLSYVYWSNGPETDDPALGNKRCAAKDAVIATACMLVAELFHRISSNT >cds.KYUSt_chr1.42258 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258715579:258717880:-1 gene:KYUSg_chr1.42258 transcript:KYUSt_chr1.42258 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGTDPRRRPIRPLGYAALAAFAAASICMAYAPDEEEILKDMRERMMKHWNSPRRPPSGKEVRAMTKMMRRLDRRMKKFMEMRNKEKEKFWSFLEDIIKKGQTLLSAGNLLIDVYAFVAAIVASSIILIEKLGDHVQYFVCPYSGQRINNVSFFAGLEAMGITLRQMDLQCLSLIDIKIGIMPELEGAVVENKWENSSWVKKPSPTEATFIITLEEADVNIKWENFSWGKRLILEKGSSWGEKMITHYRRS >cds.KYUSt_chr4.44666 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276615559:276621074:-1 gene:KYUSg_chr4.44666 transcript:KYUSt_chr4.44666 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCAAGRDGVDGERKEEEAVMYDNSEEIETGAYDESGTCGDFDSDFAEVEYEMVESRLPGTGHEYGGYALFLLQLLAAICVMTMPTYTFYQSPSDMGYLVTRRCSQLERENGVLSAALRDYTRPGMALNPVPVDSSPSATPDRVGYPIDQESLATVEPPSQVGATLSSTPVPPPPEYTPHSPAGSPPSDPSPPSPDYTPSYSVAGLTYSELLALDTGRGSPDLSGYLSVPSDVTPSEHPLSSTHDSTAPESDAAATSLPPAASVSLPPPTAALDPDLLLTTPPSPLVREDWRAGGSSLTFVLWQGLAIRLVAMASGSDSHGGTGNPTEIAPESLRNMGDLPSGGLPPPPPPLPPHVLGSDQFALMLQWMEQSRRDSLEQQNKFMEQLFGVVRGQESKNPEQGVSIGEFQKTKPPTFSTAANPLEADDWLRDIEQKLQTVGCIDQEKVRYATHQLFGPAATWWRNVQNIIPQDHIITWDEFKKRFRENYVPESIMEIKRKEFLNLKQESRTITAYLDRFNDLSHYAPDDTNTEAKKVYRFIEGLSPSIQLHIAPVKITEFQELVDRVILIENRQVAVDEQRRKRARLDPRFLLRSGSRPAGPARTPMNGPPRPYMTGPPRPPITGPPQPTFDQPSRPVFRPPKAPIKCHICSGPHMARECPVRVGLEVRLLYTGII >cds.KYUSt_chr4.1767 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9454844:9458337:1 gene:KYUSg_chr4.1767 transcript:KYUSt_chr4.1767 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTPRRLLAIVAVASLLNFTAAASSSSSSNTDDLQTLLCLKLHLSSSPAASRRLGSWAQNSSLHFCSWPGVTCSNESHVVALDLEKSSLDGQIPPCIANLTLLSRVHFPGNLLSGHIPAQLGDLSRLTYLNLSYNSLTGSIPSTLSSTTSPQLQVIDLGNNQLTGDIPETLGALRNLSVLRLARNSLTGHIPLSLGSSGSSLVSVILTNNSLTGPVPPALASSSSLQVLDLVRNNLDGEIPAALFNSTSLQRLALGWNNFAGSIPAVSNVDSPLQSLILSVNNLAGTIPWTLGNFSSLQTLLLAANSLQGSIPASIGEIPNLQVLDMSYNRLSGTVPSSLYNLSSLTYLSLAVNDFIGGIPFDIGYTLPSIQILILQENNFQGKIPLSLANATDFVSINLGANAFHGVIPSFGSLPALNDLILASNQLEAGDWSFLSSLANCTQLQVLSLGTNMMQGNLPSSVGSLANSLQALVLHANMISGSIPPEIGNLTNLAYIRMEQNQFAGDLPDAIGNLANLTFLNLSRNRLSGRIPLSIGKLRQLNKLLLQDNNLSGPIPRTLGDCNGLITLNLSCNALNESIPKELFFLDSLSEGLDLSHNQLSGQIPQEIGGLINIGRLNFSNNYLSGHIPTALGSCVRLEFLRLESNSLDGRIPGSFINLRGTVQIDLSRNNLSGEIPNFFQSFNSLRLLNLSFNDLEGQMPQGGIFQNSSEVFVQGNTMLCSSSPMLQLPLCVASSRDRRTRRNLMITGISVAAVALVAFSFVVFILVKRSKRSKQSDNPSFTEMKSFSYADLVKATNGFSQDNLVGSGAYGAVYKAVLESETIGVVAIKVFKLDQLGAPKSFIAECEAFRNTRHHNLVRVISVCSTWDNRGNDFKALIIEYMANGTLESWIYSETRRPLSLGSRVTIAVDIAAALDYLHNSCIPPMVHCDLKPSNVLLDDVMGARLSDFGLAKFLQSNNSSSIASSTSLAGPRGSIGYIAPEYGIGNKISTGGDVYSYGIIILEMLTGKRPTNELFNNGLSLQKFVGSAFPEKIGEILDPSVIANFRDEGVHSSIDHGEHATVGMPSCITQLVKLGLSCSMETPKDRPAMSDVYAEVSAIKREYSALNLK >cds.KYUSt_chr3.25045 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155476249:155479902:1 gene:KYUSg_chr3.25045 transcript:KYUSt_chr3.25045 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQANIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMTDESLRSYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDAKLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPTTKKWTNKNTGSPTCRRGFVQFCYDPIKQIINTCMTDQKDKLWPMLQKLGVTMKSDEKELMGKPLMKRVMQTWLPASTALLEMMIFHLPSPSQAQKYRVENLYEGPLDDVYATAIRNCDPQGPLMLYVSKMIPASDKGRFFAFGRVFSGRIATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCSMEESGEHIIAGAGELHLEICLKDLQDDFMGGAEITVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDDRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGPLADENMRGICFEVCDVVLHTDAIHRGGGQVIPTARRVIYASMLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEIQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWELMSADPLEAGSQAAQLVLDIRKRKGLKEQMTPLSDFEDKL >cds.KYUSt_chr5.32438 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205859128:205859634:-1 gene:KYUSg_chr5.32438 transcript:KYUSt_chr5.32438 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRQRASSSLGRRHLAGALAFLAATAFALLLLLPRSSPPSYGVVIDAGSTGSRVHVIAYHAGPLPQLDWTRTASLKATPGLSSFAADPGTAGPSIAPLLEFARRRVPRDSWVRTEVRLMATAGLRLLDAATAEAVLESCRELLRESGFRFQDEWATMISGMFTIKV >cds.KYUSt_chr5.28766 pep primary_assembly:MPB_Lper_Kyuss_1697:5:182222524:182224918:-1 gene:KYUSg_chr5.28766 transcript:KYUSt_chr5.28766 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKMKDLMKEVTSSSAPSLKDSSPSPSPSPSASSNPNPNPRPPPKQQPPRPAGPSDFTPLVSSCHRQDASGGAFSSEHAVNEHLDGCLAAAAAARARARAAAAAYLAGNPPAAAVEEAKKLLGNLLKEPGNDKYRKVRLGNPRIKEGGLELLEAVGFTISGESRGLFAVMDETPDDVRLGGIRQAVLLLERSHPSAPPVDAASKESCHNGVEEQEEVKKTVDRQDTDLEIAMSQIQDTNLLDSETAPLLLFLCHYNEPEEDELDGSGSQESYGAEKSNYDEDDDDIGEPNDAYFYSATSLWNPFTRQKINLPADEEEFLIENTTRCLLSHKPTDPNCIVLVVDCRDTVLWYCRPEGNRWFKHTYESGLLSDRRGAVIGGMQILTAIGGEFFTYFSGHLVVLKFMPVPTFTKFAVGDKSGLMYPYPNVDRFFVESCGELFKVDFCQDLGTEVRTIHVHRLDMAERVWLEVNSLGDRVFFVNPTYFGASLSAQKPGLKGNCIYYSRLGDKALYVYNMERRTATVWNPGQDLLDDVSPEIMMPPT >cds.KYUSt_chr4.54346 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335912696:335916589:-1 gene:KYUSg_chr4.54346 transcript:KYUSt_chr4.54346 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGSRRSNTVKTATGASTSSTESSALEPGAEKSDSYSTNMTQAMGAVLTYRHELGMNYNFICPDLIVGSCLQSPLDVDKLREIGVKTVFCLQQDPDLEYFGVDISAIQDYCLECKDIEHCREEVRDFDAFDLRLRLPAVISKLYKLASRNGGITYIHCTAGLGRAPAVALAYMFWILGYDLNEGHRLLQSKRPSFPKLEAIKLATADILTGLSKNCITLKWKNGSCSSVEISGLDIGWGQKIPLAYDNEKRAWFLERELPEGRYEYKYVVDGNWLCNEHEMKTKPNADGHVNNYIQARYPPKFTEVLHIPLFQHSSHPPSLQVSRDDTSVEEQEMRERLTGQNPALTKEERLMIKEYLEQYSEQ >cds.KYUSt_contig_4126.24 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000810.1:182356:189336:-1 gene:KYUSg_contig_4126.24 transcript:KYUSt_contig_4126.24 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPRLSTGGLDNPFTSKEIWEAIKDSPVEKAPGPDGFTDIFYHGCWGIIKHEIVEAFHHVFHLAGGDSAALNHTFIFLLPKKDGDSTINDYRPISLIHSVAKLFSKVLAQHLTTVIGSWISPAQSAFLKTHTIHDNFLYVRNLAYSLHRKKKTALLIELDSARAFDSVSWEYLRDLMQHLAEEIDVALRILRCFGHSTGLQINIAKCSVTPIRCDNLDMDAVFASFAGKQVNFPIRYLELPLCLGRIHHVHLQHIMDRARSQLASWKGRWINAGGRKALVSSVLSTQPIFALKVLKIPSSFLKEFGILRRNFIWDIEDNTIVGGKCKVSWKKIYSPLKYGGLGLPNLPLFVCALRLRWLWLEWSDEPRPWVGSTTPPCTTTVGSTTLAGISLRNSSTISSGCGGWSRRRCYGRIHQTPSLGSYPRVVPIPPTRFTFRERNSRIFKDKLSPKTAIIACIQPAFAGSFGKKLPCPAMAPTPPTLMVSMQPQRQLHLSNSTPPREEFATGPSKPLSQPQLVESMVEAIATIEERLAAITANLNDSRRLLASCAWRLGAKEMGKKAASTSSFSRSTLPLFVGTRAPEQLMTKSSPSLTLSAYRVVADGAIYADAALTTTTPTRCSTVGSAVNGSGNHAVVAFPTSGTKYLVATACTDDGNNKLPGESGIGMSTRARSSTHVLAKPLEGSTVGAHAVRTDLLRNTSFPRFDGTNPGLWRVQCLEYFNLFNINRCLWVIAARMHMDGKAKEWFEAYKLRQVVGDWYEFMDAVEAHFGSRDFRQELHVEMLPFEISAAGATHLVFDGIQIRSVSMAGEANGVHMMSRDKHELISENLVDNVPHDTYEQQKENLTNIGSSSLFLEHVMDATDMACDTLLLTEVWMSDGVLTHVGGISIFLELCVNTAAEAFSMDMLPMDMTWDMEICDHALTYVGGLLLFLDLTIDHQEIDKIVNTTNCMDHMLGKIPLWRLSGFSPGTCEHQHDLHWEHIGPYVTEELMQKHFVIARLWDPEHPWHLSDSNYNPSKSPTAANTNAVSMAPSPSRSASSDEDTSSGSSEHDAVEQQPARPVEEQNTVLDEDDNDSDGEESGDEKGENVAEPKLPQPGSNDVVPDSESEDDEVPRKKSPSPSTNVSSSAEDEDSSSSGDEEESDEKDEEGTESNCEKALPHMPAPAPVPKESLPVAADPTVKPQRKRKPFWTLQSPHQKRIRSAEAAQHALLPRSDVDKLFKEKCDVYSLLGQEVVALEAKYPCMMKVAFLNMEEDKAKELDAKLHNQQVAEMRAYLQWGDIKEEVTNTILNMLQ >cds.KYUSt_chr3.29971 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187670982:187675248:1 gene:KYUSg_chr3.29971 transcript:KYUSt_chr3.29971 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPFPRVSCPISIRAASPSAMLLFQCKRYMPSDCTTAARPLTHFLSSLTCLKSGTTSPAPVPTDQTIACYLLAAPGLHDGVGVYVLLHNTHDAYKCNFSALVATSCKSQKINLDFDDNRWENSRGWGCRHEGAPQDQTLLDCERLTQRRGISAAGRLLRSGGGDGLERCFASCSLPRAMLPEVDDDEADLE >cds.KYUSt_chr7.35592 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222430231:222434569:-1 gene:KYUSg_chr7.35592 transcript:KYUSt_chr7.35592 gene_biotype:protein_coding transcript_biotype:protein_coding MTAELNHFAMMFAPRTVVGRDCVIHSADDTIDGTLRAAPTMAMARMLLLLVTMALLGSCSASELTGAPVIHPLRQLLGSGRVLASRGGVPCDSWMLGVEAHNVRDWKTVPANCEGYVGHYMLGSHYRRDSKVVVDEALAYAETLKLAGKGKEVWVFDIDETTLSNLPYYAKHGFGTKPFNATSFNAYVLEGSALALPETKRLYKKLLSIGVKPVLLTGRTEDQRAITIANLLHQGFSGWMNLLLKQPGFKGSAIAYKSGERQKLQDAGYVIVGNIGDQWSDILGAPEGTRTFKLPDPMYYIG >cds.KYUSt_chr5.21543 pep primary_assembly:MPB_Lper_Kyuss_1697:5:140697868:140700415:1 gene:KYUSg_chr5.21543 transcript:KYUSt_chr5.21543 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVARPSFKRRGGGSPRHRGGRLGGANVRCFSTVSSVTDTPRAAAQPWTPLTVLGKGLAAAESAEGLLRRYQLGEELGRGEFGLTRRCTDAVKGEKLACKSISKRKLRSSVDIEDVRREVAIMRSLPSHANVVRLREAFEDSDAVYLVMEVCEGGELFDRIVARGHYTERAAAAVMRTIMEVVQHCHKNGVMHRDLKPENFLYANSSEISPLKVIDFGLSVCFKPGERFSEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRSRIDFEREPWPKVSDNAKDLVSKMLDNNPYARLTAQQVLEHPWIQNASAAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPAEELEAITELFHMLDTNKDGHLTIEELRKGLQMIGNNVHDTDVDMLMEAADLDGNGTLDCKEFVTVSIHLKKIRSEEHLPRVFNYFDKNMSGFIEIEELREALSPRGDQKAIDDIIFDVDIDKDGKISYEEFELMMKAGVDWRNTSRQYSRAVFNTLSRKMFKDVSLKLDPSSPLSAVGKELRDVN >cds.KYUSt_chr1.41092 pep primary_assembly:MPB_Lper_Kyuss_1697:1:252093508:252095137:-1 gene:KYUSg_chr1.41092 transcript:KYUSt_chr1.41092 gene_biotype:protein_coding transcript_biotype:protein_coding MAARANKYGEISQNGRRAQGGRTAQERSIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLLLSWIITLYTLWQMVEMHEAVPGTRFDRYHELGQHAFGENLGLWIVVPQQLVVEVGLNIVYMVTGGKSLQKFHEVICDGRNCKDIKLTYFTMIFASVQFVLSQLPNFDAISTISLAAAIMSICYSTIAWGASLDKGWKAAGQVHYHLRETSAAGKVFGFFEALGDVAFAYAGHNVVLEIQATIPSTPQMPSKKPMWKGVVVAYVIIALHYFPVALIGYWAFGNTVKDNILITLDKPNWLIATANMMVVLHVVGSYQVYAMPVFDMIEMVLVKKLRFPPGLPVRLVARTAYVAFTMFIAITFPFFSGLLGFFGGFAFAPTTYFLPCIMWLAIHKPRRFSLSWCTNW >cds.KYUSt_chr3.6842 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39310429:39312240:1 gene:KYUSg_chr3.6842 transcript:KYUSt_chr3.6842 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSVVSFCNLFTLETSEQTEMDKQVKDMLKLIEDDGDSFAKKAEMYYQRRPLLVTHVENFYRMYRALAERYDNVTGELRKNMPSTLESQGSGISESDSEAQSTSLSPQSSFEKKSSKKKNKKGSDGSSSSSSSESDSDVDEGKQKNGNVLSHALNGRINELEDELKEANEKLEALEEKNLRCQCENLEKKLLASQSEINSLQKDLEEKVRSFESIKEISSGREDFEAAALENKNTISELEGEMASAAKHYEAQLSSRDLEIEKCKQELEQVSERYSHDKSTLETEIRKLEEVVQNYERDLANVSQEKLLLEAEVMELEQTSHSLHDSSAEIVKLQEVIKDLQAKLENGSNEKRVLEERVIEFEQVQRELEVSRAETVELQTTIKKLKADLEGALEEKSVLEGSVKDLEQAVARNLEQFSLEKSSLSAERVKLSEINASLEDKLTSAEAQLKQLQAEKGVVSAESEKLISELNQDIANLKTQLELLSSEKAAVDNKVSSMLSDITTRDEKMKEMGSHLDQLHLEHVKLIAEADAATKSVSDLSARVRELEEEVEKQKLMVFDSAEGKREAIRQLCFSLEHYRDGYQQLRQALQGHKRPMVMAT >cds.KYUSt_chr2.1029 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5997354:5998126:-1 gene:KYUSg_chr2.1029 transcript:KYUSt_chr2.1029 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDYHVVELVGDGSFGKVYMGRRKYSRQTVAMKFILKHGKNDKDIHNLRQEIEILRKLKHENIIEMIDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAK >cds.KYUSt_chr4.53312 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330139275:330141306:1 gene:KYUSg_chr4.53312 transcript:KYUSt_chr4.53312 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQRVVVMRHGDRLDHAEPMWPANKPRPWDPPLTDAGILRAWTVGKRIRAQAAADGYQFHRVLVSPFFRCLQTAAQAVAALCAVPDDAALAAAVESSANVPLDTSRVKVSIEYGLSEMMNAEAMGVFVSQVAPSVDTWFPDLAELEAILPTGTIDHSTKPLYPEVPKWGESVRAARTRYASVIKALADKYPDENLLLVTHGEAVGSSVACFGTGVEVYDVEYCAYSLLERQPQAEGEGESESGESLLKLLADRSGPTTGIHYLLP >cds.KYUSt_chr2.11457 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72819361:72827511:-1 gene:KYUSg_chr2.11457 transcript:KYUSt_chr2.11457 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEELDEMGELIRDMRHEFGDLSDSDDEADPTDQYNPNNPFKQLVEDAINDHPELLEKTIQQGDVLAHVLGKEKNGYVRCVGLGPSAGTIGMLGAQRLKSTKLQMAELEAEKVWRANELLKEQVHEISNNAKSQKSQIDALIEEVSQLRMMVSQSNSGNNSSMVNLNHEEGEGESADWDEEQQFQAEEERLDQEIRGATEKFERMKARAKLLHNKKEATLRHNRVAAQHEKSEAEILEEKRKEIELQNEKVAEDLQRKEADQMQKKKEAEQLRKKKELEQQKKEQVQAQKKLGVAITQKNHQQGKMVTLYNVFRDHSVPVAKATILSTDRNKIVGGRELGAECCEVVVDYIMKKDAILPRPVGNITTIGHSQGRAIACWRCRNRWQSNLTSTRRMTELSAPSSSPLQSHRRRSPPEHCSRRTCAVAPAALKVSAAQRSTGAPLPPMLRAFFGGFHLPSSPAFVDVYELAAPPATFSLSFSAASGLLERDIFDLFLPDFDKPWVIHLRENLLLFYKPLLLEAQHCLQEKKRE >cds.KYUSt_chr2.4402 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27204668:27206619:1 gene:KYUSg_chr2.4402 transcript:KYUSt_chr2.4402 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYLKVKGNMFKNKRVLMENIHKSKAEKAREKTLADQFEAKRAKSKASRVRKIARRDERLAQLTIQHPHLRQQLLLNLQRHQRRQRSEDLTFKSDLFTE >cds.KYUSt_chr5.35289 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223043369:223043968:1 gene:KYUSg_chr5.35289 transcript:KYUSt_chr5.35289 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLGGPAAPFLGEEDDFDAALVPPPPGIFLDNGMDAVVAFCLEHGYGPCPACRGIAFCPMQGYGASPPLLAAIFDPPSPTPSIDLPEYDFSPGYGPEPDTYLDLPTPTLSDENLEHFMPPGYGPILGLEPLPQEEEIGAPLAAEALAFNLARGARYESHFNLQPKTTWKLDVREMFKEYQATSRNCMYHGTLWTRRI >cds.KYUSt_chr7.23444 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146015471:146017313:1 gene:KYUSg_chr7.23444 transcript:KYUSt_chr7.23444 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRARRRGTGTGAGASTSPGRNKVWVEPPGKSHSHHHPPRRSPPPPAPPAAAKRVAVVYYLSRSRHLEHPHFIEVPLANPEAGLYLRDVIDRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDISEDDLVLPAQGNEYILKGSELLDRSPPDRHQNVIGSAKAESPKHPQEESPQSRGSQEGCSSSSSPSAVTKAASPPAPAQQPQQLPKSAVVAPSSSASTSREDEHCRTTHSGSSGNLSPEPAGTNAPLSESSSPGPSEYRVCKPIGAQDASTQTDDSERDAPRRRARTARICTEDGASDAEIQECRETAVQASLKGPGIVQESPQVCSSDDFPGDRVETLESLIRAEASRRSTYNKVLEEEHLYCPMGVKLKPANLLMQIITCGSISVKDHRGFGLIPTYRPRFTQVEFPSPMFSTPMAIRHLDNVPCSTRTIGVRVPESEYLAGSLVEANKQQESGKGEITTLNRSSSYDEDRYDISTHFSDCGPRADTMDINA >cds.KYUSt_chr3.30984 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194412725:194414012:-1 gene:KYUSg_chr3.30984 transcript:KYUSt_chr3.30984 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAKRKPVFVKVDQLKPVTSGHTLVAKVLSSKTVLQKARAGAGPGPVAKPTRIAECLIGDETGCVLFTARNEQVDMLKPGNTVIIRNAKIDMFKGSMRLAVDKWGRVEVTEPASFDVKEDNNLSLVEYELVNVEE >cds.KYUSt_chr5.7234 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45249403:45249966:1 gene:KYUSg_chr5.7234 transcript:KYUSt_chr5.7234 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQLQAFSRATLLGLVLASMVTLVPHGFSCLRGLLSVSLPSAASAIVTPKQCLFIFSNIIVVFLARESKLLSQNGARSSLDDEMDALVRELAVFEPSIKENHAAAEETVREERGVDEAAVLMSTQQLGQRETSSTTLGVKEMPEEEGEEEATELPADELNRRVEDFIARFNMERQLEERTMVVCF >cds.KYUSt_contig_686-1.32 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:354139:355080:1 gene:KYUSg_contig_686-1.32 transcript:KYUSt_contig_686-1.32 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTEVDFDFSPFLVRYKSGRVHRLMGSSRVNAGVDATTGVTCKDVVIDADAGLAARLYLPNGVPRSKKLPVLVYFHGGAFAVHTAFSAVHHRFLNALVAAAGAVAVSVDYRLVPEHPLPAAYDDAWAALRWTLAPAAGQEEPWLAEHGDAARVFVAGDSAGANIAHNVATKAGGARIEGMVLLHPYFRGKDLLPSEGTDPRFSQRVERTWGFACAGRYGADHPFINPLVMPAEEWAALGCRRALVTVAELDTLRDRGRRYVETLRGSAWGGEEAVLYETDDEGHVYFLEKSGWGDKADKEMDAVVSFIKGR >cds.KYUSt_chr5.10425 pep primary_assembly:MPB_Lper_Kyuss_1697:5:66866759:66868412:1 gene:KYUSg_chr5.10425 transcript:KYUSt_chr5.10425 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDDEKPPASNAGSTKGLVTIKTPTYSKDDVALTADAVTAVVELNATSSTAVREGLDLVAVLDVSGSMEGTKLQSMKTAMHFVVMKLTPVDRLSIVSFTNSATRLCPLRSITQAAQTDLKAIIDGLVAGGGTNIEVGMETALSVIAGRVTTKARSANIFLMSDGQQNSDTDATKPDPGTVSVYTFGFGKDADHALLSNVARKSPGGTFSSVPDGGNVSAPFSQLLGGLLTVVAQDVQLTLTPKTEEPRDLDTMFVAPGTDYTQTTDGDTGVITIKFGTLFSGETRKVAVNLTLLESTDTMGYDAPLAEAQHSYTAQQGLQNQTPQDLLINRTANPSEAPTSSKARAVLAEMARRQHAEAIGEARVLADAQNLDEARYKLADAQNALEDIVLDDGQKLVGMLRAELLQLVGLMESQELYEAEGRPYALASETSHGRQRYAARGGDMDAVRLFATPRMDTYLEQAKQFEKDPTAPLPSADDDVKQEIAANPLAAISAPLAFYIKAAIQALQEIEKLVTPTNN >cds.KYUSt_chr2.39986 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248242640:248249605:1 gene:KYUSg_chr2.39986 transcript:KYUSt_chr2.39986 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMAGCPAKMGFRMPAEWEPHEQCWMGWPERPDNWRENAGPARITFARTAIAISKFEPVTICASAEQYPHVHELMQHQPNIRVVEMSMNDSWFRDTGPTFVIRKGRSEIGLTEQTIAGIDWEFNAWGGLGGGCYDDWSLDRNIAKKILEIERIPRFPHKMVLEGGSIHVDGEGTCITTEECLLNPNRNPDMTRQDIENELKDFLGVTKIIWIPNGLYGDEDTNGHVDNLCCFIKPGVILLSWTDDENDPQYEISVKALSVLTQSTDAKGQHIEVVKIHVPGPLYITKEEGNGVDVTGHAVAREPGKRLAASYVNFYPANGGIIAPAFGDNQRDDEAREVLQKAFPEYEVTPKQTERVTSCLPFPSPTVVHKHCPEEPSTARSEKILFIQTRSSSDEYVAYSDSFHDSLFYRAILIVAYLQDIVMLQVVADGCNIIGVLVLDSLYQCDFLIVVYLLDMIVSYIV >cds.KYUSt_chr7.25152 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156929149:156937203:-1 gene:KYUSg_chr7.25152 transcript:KYUSt_chr7.25152 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASDEEMADDEDYYYYSDDAEGDGEGDDGSSAGGGGSADDDGESSNEDLLTGDYEGREAEGSDEAVSKREQRYIVLTEKDISDRQEEDMTKVSALLFISREEAGVLLHHYRWNISKLNDEWFSDEGKVRHTVGLLMNENFHNSRKLTCGICFEEYSSDMTSSAGCAHFYCHECWEGYISAAVSQGPGCLSLRCPDPACSAMVLQGLVNKLAKHEDKEKYARFALRAYVEGSKKTKWCPAPDCTCAVEFISDVNYDVSCNCNYGFCWNCTEEAHRPVDCETVNKWILKNSAESENMNWILANSKPCPKCQRPIEKHQGCMHMTCTPPCKFEFCWLCLGSWQEHGERTGGFYACNRYESAKKEGIYDEAEARRERAKHSLERYMHYYERWSSNQASRKKAQADLQKAEKEQLAKLSDVYGIPETQLKFIIEAWSQIIECRRVLKFTYAYGYYLEDKVKSGFFEYLQGEAESGLERLHQCAEKDLQAYMPYSKPETIEDHPSATEFGDFRVKLVSLTSITRNYFENLVQALEAGLEDVTATGAAATSSDNATTSKKAGTNVKAAKKQRSGSSDNSDENMAIPCLPPSAPSPCCFRAFPSMAIASGRRRIPNTSGSIWVQGDIYAMEMQPVDEKALMRHIFSWSGRRN >cds.KYUSt_chr4.27640 pep primary_assembly:MPB_Lper_Kyuss_1697:4:173663719:173672199:-1 gene:KYUSg_chr4.27640 transcript:KYUSt_chr4.27640 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSHPSPAAAPASSSSGGGGGGRRTTTFAGAKVFMSRKLVAPEVYHAVHDALRLNGAEVFPCSDPDRSGPLDYHVISSSAHERFEDLKAKGCNLLGPQCILSCAKEHRSLPKQGYTCCLAMDGVKILCSGFEKDEREKIEHLVTAMGGLLQAKVSMDVNFVIAKDVLAAKYKWAVNNLKKPIVTMNWLEQCWIEHRVVPHEPYRILPFTGLSICITKIEAVCVDENAYVIRQRSTNYNGIKSSLQEQRNPEKSSASFQSVPAASVDDSVSTSQYAPVSSAYASKICSTDIAGTTGVEETNEMQVDSHVAQDSEAEDDDLYLSNCRISLVGFEEKELSREKKEVRRLAAWGVINVVKDRDAGLCTVSQFPTQAANTTSKIDYPESLSEFQVPRSSSKHSSGSSVSDTTSKIDCPESPSEFQVPRSSSKHSSGSSISKEKTASPSVHKRRRPETGMANDTSGNNERTEKHVDNSCIPGVADCIEDLLVQSSRDPAPDATVVGQEEEPQSVSNFTSPSMENLSNDNWRKKQHIPPAKKVQSRNTAPAPAPTPYLAPFSETQTESQIIGYEEDWTGMQKIIDRVSSQKKMTDSSGTGHQWDSIK >cds.KYUSt_chr2.26636 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163112234:163119749:1 gene:KYUSg_chr2.26636 transcript:KYUSt_chr2.26636 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAACRLLRLAPRRLRPLTGLSLLPTPLAASSGWRRYCAAAQAAAPPPTASAATGDTVGEFRRRIRVSEVKGGEDEGAAWVGKELTVRGWVRTCRAQRNVTFVEVNDGSCLSNMQCVLTPETEGYDQIDSIATGASVLVEGVVTSSQGGKQKVELKVSKIILIGESDPTSFPIQKKRVSREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDSGFVWVSSPIITASDCEGAGEQFYVTTLLSNNAEGGCLVNSIPSKDGRVDWSQDFFGKPAFLTVSGQLNGETYASALSDIYTFGPTFRAENSNTTRHLAEFWMIEPELAFADLNDDMACASAYLQYVVKHILENCKEDMDFFNTWVEKGIIDRLNSVVEKSFVQMSYSDAVKLLIGSNKKFEFPVKWGLDLQSEHERYITEVAFGGRPVIIRDYPKDIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLDYLEARLDEANLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRVPGSAEF >cds.KYUSt_chr1.28245 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170563693:170576528:1 gene:KYUSg_chr1.28245 transcript:KYUSt_chr1.28245 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTLDLAAAQEWRHGGPAAAGNISGSMLPPTTPSCSFRSPPLTCCSPVSPLSSMLSFCFLFLFIKLSLLYSREETMGALLINTVWNFQIEEAAAELLQIKEQWGTNLVLKNGAEVKLQRNASCVLQAPTVEKKHLKFKRKANGLFYEHTLSLTEHLCVFQLESDALCLQERKTTLAPGGSLAAKLLQTRVGKGGTNSGYSDSGGYRDSDVMEHTRCKSGIGRGRLLSSVLSGGGGHGGKGGSSFVNGSKCLTVELHMKNKSRGWRRGKTQAITPASEINSGVLHQLVVGSILVHVAGLHLLVAGGLLVLVAGGLLLALGGAPLGGGLRSMAGDAHLRGVLGSGDAALRRPSRVALRLSGSEDLHLVVEGQIHPILHHQFSKKGTKKGIKESLS >cds.KYUSt_chr2.45993 pep primary_assembly:MPB_Lper_Kyuss_1697:2:287024139:287026724:1 gene:KYUSg_chr2.45993 transcript:KYUSt_chr2.45993 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMAQYKAPAWATIVAGFFVLLALSLSMYLIFDHLSAYNNPEEQKFVLGVILMVPFYAIESYISLIDPNTNVYCGIMRDGYEAFAMYCFGRYITACLGGEEKTIAFLKREGGTDSGQPLLDHASEKGIIHHHFPVNFILKPWRMGTRFYQIIKFGIFQYVIIKTLTASLSLFLEAFGVYCEGEFNLRCGYPYFAAVLNFSQFWALYCLVEWYTATKEELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMFSLGLLRSPIAQSLELKSSIQDFIICIEMGIASVVHLYVFPAKPYELLVNQTPGNISVLGDYASADPIDPYEIKESNRPSKMKLPQFEPDERSATNIKESVKDFVIGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKKDKYKKSQDDNWVSAASPERPVRGIDDPLLGGSSSDSGVLKGKKHRRMASSAASADSWGGGDKTPDGYEIRGRRWAVKN >cds.KYUSt_chr4.53236 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329627701:329629164:1 gene:KYUSg_chr4.53236 transcript:KYUSt_chr4.53236 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNASCAAQWAIAREPWMALRLTILCPPRNNILYPKDDKDRRVLLFACRNCEHQEIADNNCVYRNEVQHAAAERTQVLQDVASDPTLQRTREVRCASCGHGEAVFFQVHSCDLFYLFKWLEISWFQTWKCTL >cds.KYUSt_chr2.44189 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274830487:274834442:1 gene:KYUSg_chr2.44189 transcript:KYUSt_chr2.44189 gene_biotype:protein_coding transcript_biotype:protein_coding MNMPFPARCTAVLSLLIILPMIVSDDRLVPGKPLLPGATIVSDGGVFALGFFNPSNSTPDRLYLGIWYKDIPEHTVVWVANRESPASSSAAPMLSLTNTSDLVVSDGNDGGRVLWTTANMKSTSGSSSSTAVLLKTGNLVIRSLSGTTLWQSFDHHTDTFLPGMKLRLKYNMPGSNDERLVSWKGPGDPSPGRFSYGVDSVTALQLLLWDGEQLVARSAPWTGYPVRSDYLAVNTSTELILYQTIIDNKEEMYLTYNVSDGAPRTRYILTYYGEIQVQVWSDKSSAWTVAAKWPSLRCNLYSYCGPYGYCDETVPIPTCKCLDGFEPTSIEGWTAGSFSAGCRRKELLRGCGDGFVALPGMKTPDRFVLVGTDISTLEDCTAECSRSCSCVAYAYTNLSSSRTGGEVTRCLVWTGELLDTGKFAAGPEYASDRLYLRLAGLDAAQGKRANSSAVRIALPVIGSVVLENPDDRPPMASVLFILENGSTTLPAPKRPAYFVRSSAQMEKIQNDINTSANSFTLTKIEGR >cds.KYUSt_chr2.38146 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236171875:236173068:1 gene:KYUSg_chr2.38146 transcript:KYUSt_chr2.38146 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPHLFRCPISLDIFTDPVTLCTGQTYDRQCIERWLADGHRTCPVTMQALGDATALVPNRTLRHLIDRWLSAATTDQHHHLPDSDPSLAALKRCLLSDATAAAAKIAALEKVMALASESDVGRACMLQLGFLGVLLPLVFLAAPADRRHGEAEELALQCALSLMPSSPAAPQLDCLNVLKKEASLASFVRLLERGSGRAKAGLCRLLETIATATATRDLALIVAASPRVWQALLPLLQRQDGPAASDPRASEAAVRAIAAVCATEPARGSAIHHGVVGALFRHLTWWAYGKGGGAVSSALAAVEALAETEAGRRAVARAPGATRVLVRHVFMMSSANDGSEHAAAALLAVCRESRAARSEAVGAGVVTQLLLLLQSQCGARAKAKARALLKLLKSR >cds.KYUSt_chr1.1612 pep primary_assembly:MPB_Lper_Kyuss_1697:1:8984896:8985327:1 gene:KYUSg_chr1.1612 transcript:KYUSt_chr1.1612 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSDWDRFMADDEGFRHHKTPETKKRAAEDAPADAYYTEKRVKANCWERSQDEHVEAARETQRAQSIWYQRFMAASNRVADYLAGLSTKEHWSRLSRQAADAAKNGFETLRFWCGGRRPRRRRRFFTPRGGYRNVMKTKKRF >cds.KYUSt_chr1.798 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4268488:4270255:-1 gene:KYUSg_chr1.798 transcript:KYUSt_chr1.798 gene_biotype:protein_coding transcript_biotype:protein_coding MQAASRRGAAAAAAAAAGFHSTAAAALSKSTPHIRFNVREKRTDAKNALKNILLNGGPFQERGNKQTRQQKSGGKSKARSGPGINPHSKGRRGIDWRNFDDDDCSDGPSRSFGGKASFTWYWPGEDDDLGNTPNGFQWRDEPRSTKSRGRVWNESDVDEEEQPCRDDLKSHRLSLGLPASGPLKLDHIKSAFRASALKWHPDKHQGSSQPEAEEKFRHCVEAYNALAGAFKPSS >cds.KYUSt_chr6.4185 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24221002:24221544:-1 gene:KYUSg_chr6.4185 transcript:KYUSt_chr6.4185 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRRVPVGVATLLVAAVAVLLFTDGADARGYRKSSQAEASRYLAPHNAARSAVGVPPLAWSAALELNARAYALLRARTGCALVHSHGTFGENLFYGSGSGRWAPETVVAAWVAKERAMYTYGSNTCSGARGACGHYTQVVWRGTTKVGCAMAPCAGGKGTIAVCKYNPPGNYVGMKPY >cds.KYUSt_chr7.20713 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128486725:128487068:-1 gene:KYUSg_chr7.20713 transcript:KYUSt_chr7.20713 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQQGNMAYPPQGQQQQAYVAPPPPVGYPQQGDQQYPAAGGAAETTSRGHHHHHHNGGGFWRGCCAALCCCCLLDACF >cds.KYUSt_chr5.23125 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150845442:150851862:-1 gene:KYUSg_chr5.23125 transcript:KYUSt_chr5.23125 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGPAAFGVASLRMGRSYRERGSDVFSRAASSARGGDSEDDEEALTWAALERLPTHSRVRKGIVGDGGCELVDIAGLGFQERTRLLERLVRVAEEDHERFLLRLRQRLDRVGLDFPTIEVRYDHLNIDALAHVGNRGLPTFINTTLNSLESIANLLHIIPNNKVPMNILHDINGIIKPKRMTLLLGPPGSGKTTLLLALAGKLGSDLKVTGKVTYNGHGMNEFVAQRSAAYISQHDLHIAEMTVRETLSFSARCQGVGSRYDMLTELSRREKAANIKPDPDLDVYMKAIAVGGQDTNIITDYILKILGLDICADTMVGDDMLRGISGGQLKRVTTGEMMVGAERALFMDEISTGLDSSTTYQIVKSLGLITNILSGTTVISLLQPAPETYNLFDDIILLSDGYIVYQGPRENVLEFFESMGFKCPDRKGVADFLQEVTSRKDQAQYWARRNQRYHYVPVKEFAHAFQAFHVGQSLSAELSHPFDRSQCHPASLTTSTYGASKKELLRACIEREWLLMKRNMFVYRFRAVQLLVMTIIVMTLFLRTNMHHRTVNDGTVYLGALFFFIVAHMFNGFSELALATIKLPVFFKQRDYLFFPAWAYAIPTWILKIPISCVEVAITVFLGYYVIGFDPDVGRLFKQYLLLLLVNQMAAALFRFIAALGRTMVVANTLASFALLVLLVMSGFILSHPDVKKWWIWGYWASPLQYAMSAITVNEFLGDKWQKVVQGSNEILGVDVLKSRGFFTEAKWYWIGSGALLGYVIVFNILFTVALSYLQPMGKSQQILSEDALKEKHASITGEIPDESRSSTSAGNMNNSRRNSASGAASGDGRRGMVLPFAPLAVAFNNMRYSVDMPAEMKAQGVDQDRLLLLKGVSGSFKPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARISGYCEQNDIHSPNVTVYESLVYSAWLRLPSDVESETRKMFIEQVMELVELNSLRDALIGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSHDLIEYFEGIEQVSKIKPGYNPATWMLEVTSQAQEDILGVSFAEVYKNSDLYQRNQSAIRELSKAPAGSKDLYFPTQYSQSSITQCMACLWKQNLSYWRNPQYTVVRFFFSLVVALMFGTIFWRLGGRKSRQQDLFNAMGSMYAAVLFMGISYSSSVQPVVAVERTVFYRERAAGMYSALPYAFGQVVVELPYVLVQSLVYGVIVYAMIGFQWDVKKFCWYIYFMYFTLLYFTYYGMLAVGLTPSYNIASIVSSFFYGVWNLFSGFVIARPTMPVWWRWYSWACPVSWTLYGLVASQFGDLTEPLQDTNVPINVFLEDFFGFHHDFLGIVAVAVAGFAVLFAVCFGLAIKVLNFQQR >cds.KYUSt_chr3.38715 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243902720:243903232:1 gene:KYUSg_chr3.38715 transcript:KYUSt_chr3.38715 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQFHLSWSAPPPVKQAAHAWIIQDAYMALVSVFSIILLERLSHKELENPWNHSSMAGFLGFGYMGLMALHIRWRWVRNLGAMPKAVACVLVNSPAAVFAILPTTTLWGLCFNYLHVVSCLWWILAVMLVVFLWWCISIDRAISANSPPHPQGKTDDVLDIWAFDCSEV >cds.KYUSt_chr2.47572 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297607882:297609754:1 gene:KYUSg_chr2.47572 transcript:KYUSt_chr2.47572 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEDDHGAFMAKFLLLPPSPSSTLPLHGLTFAIKDIFDIAGHVTGFGTPDWARTHAPAAATAPAVLAALAAGATGVGKTVMDEMAYSINGENAHYGTPVNPCVPGRVPGGSSSGSAVAVAANLADFALGTDTGGSVRVPAAYCGVFGLRPSHGSVSVEGVVPMAQMFDTVGWFARDLATLSRVTDVMLPPAQPAAERRPSRVTVPADCFRILGSSLDDRTYEIVNASAAKTFGSDIVDNGDLGDFVSSKVPSIGKFIVVGSSTSSGVPALSAFSHVMRVLQRSEFKANYAEWINTVKPNLGPGIRERVQEAVASADDPAMEDLHTVRTEFRIALAALLEDDGILVIPTVPGAPPRLRMEAAMVEDFRAKAFSLLSIAGLSGFCQISIPLGIRNGVPVSVSLLARHGADHFLVGVAQELYEALRDEAAATWGSSA >cds.KYUSt_chr3.39824 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250987930:250994167:-1 gene:KYUSg_chr3.39824 transcript:KYUSt_chr3.39824 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSLSHHAPSTLKCPVPSNTFKKGNAVDTALLLGPNMSYGFPWHVEYSGQGFPRRPPGRNGATRRRHRVMVNQSTGPFHALLCVGQFFSPEVAEEDIPPRDVADCLEGRADMPILAYFTGDYGPTATRLLLKVAADARGFVLASVLFNLTVLSLSLSLLWYKHCTPMELPHSLARFGHRIEPLNLFPAIESRSQASLDMNRKLGYALSMSLKPIWIHQLKAKSPLSFRTVEMQVQGGHLVGLLAALDKNLMLG >cds.KYUSt_contig_2811.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000517.1:7213:7449:-1 gene:KYUSg_contig_2811.1 transcript:KYUSt_contig_2811.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPASGGSFACDHLPAFFHLNLLAPPPRLFNDDDPSRRGRNLQTWCYPRGADDDVTSTSTGGDSSAAPRIEDSAEL >cds.KYUSt_chr4.53591 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331552860:331553204:-1 gene:KYUSg_chr4.53591 transcript:KYUSt_chr4.53591 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVLSVGKSVVSGALSYARSTLAEEVALQLGVQRDQAFITDELEMMQAFLMAAHDEREDNNRTVKVWVKQVRDVAYDVEDCLQDLAVSLERTSWWRVARTVLTRPASFGQAD >cds.KYUSt_chr3.35313 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221790178:221790615:1 gene:KYUSg_chr3.35313 transcript:KYUSt_chr3.35313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter family protein [Source: Projected from Oryza sativa (Os01g0770800)] MDMGGHGMGMGPAAAPVVRRKHYMHMTFYWGTQSEILFDGWPGARGGMYVLALAAVFALAVLVEFLGSFLSKRGRGVAAGAAAHALRVGLAYVLMLALMSFNVGVLLAAVAGHAAGFLLFRGGLCGRRAQVEDGAKDYHAATAAC >cds.KYUSt_chr4.44911 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277994721:277996308:1 gene:KYUSg_chr4.44911 transcript:KYUSt_chr4.44911 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPGSGFSGSVSLKYVKLGYQYLVNHFLTILLLPVMAAAALELVRLGPDELLSLWRSLHLDLVHILCSAFLLVFVATVYFMSRPRPVYLVDYCCYKPSPSFRVPFTTFMEHIKLISNNEKSLKFQTRILERSGLGEETCLPPANHYIPPSPSMEAARAEAQLVIFNAIDDLVLRTGLKPKDIDILVVNCSLFSPTPSLSAMIINRYKLRSNIRSFNLSGMGCSAGLISIDLARDMLQVHPNSNALVISTEIITPNFYHGSRRDMLLPNCLFRMGAAAILLSNRRRESSRAKYRLMHVVRTHKGADDRAYRCVYEEEDDEGHSGISLSKELMAIAGEALKSNITTMGPLVLPMSEQLLFFFRLVGRKVINKRWKPYIPDFKLAFDHFCIHAGGRAVIDELQKNLDLSARHVEASRMTLHRFGNTSSSSLWYELAYIEGKGRMRKGDRVWQIGFGSGFKCNSAVWKCLRTVKTPTDGPWDDCIHRYPVHIPEVVKL >cds.KYUSt_chr1.28745 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173794231:173797053:-1 gene:KYUSg_chr1.28745 transcript:KYUSt_chr1.28745 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFRCLYFQITIALLLITQTTSTKADSCITSERSALLHFKAGLSDPANVLSSWEGHDCCRWKGVGCSNRTGHVVKLDLQGPPGFVKTMLGGNISSSLLGLQHLRYLDLSRNWFSGLQVPDFLSSLHSLRYLSLLGSDFAGRIPSQLGNLTNLRYLSLGYNHGAYFTDIAWLPRLSSLEHLDMSDINLGNLTNLFPDLNSLVSLKVLLISGCGLQNSPDYHVHSNLSSLEILDISSNEFRKPIKPNWFWDLTSLKQLDISYNQFSGMFPDEIGNMTSMRSLDLSQNNLVGMIPSNLKNLCSLEQLKASGNNISGSISEIFQLLPSCSWNRLSWLSLSNSSLTGSIPTKLGPLRNLVVLDLSENHLIGHLPLWIGGLTKLKDLNLQQNNLDGVIHEGHLSGLASLETMWLYDNSIAIAPNSTWVPPSSLTDIVIRSCFLGPEFPLWLRWPMHLYRLDISNTSISDIVPDSFWITASSVDSLMMGHNQLSGYLPSTMEFMTSFKMDLSSNNFSGPIPKLPVNLTDLDLSGNKFSSLPFDFGAPRLATLHLPDNSISGTIPSSLCKLESLMILDLSRNKLTGSIPDCLVNQSTTKTTSLSITHLSLTNNKLSGDFPSFLQSCKQLIFLDLAYNQFSGTLPSWIGEKLSSLVFLRLRSNMFYGHIPVELTMLTNLQFLDLSYNNISGHIPKSIVNYTGMIRMRDPGSALTFVLAYMHYDPAHYGENFTVVTKGQERLYTGEIVYMVNLDLSCNSITGDIPEEIGTLVALKSLNLSWNDFSSKIPDKIGSLVQVESLDLSHNELSGRIPTSLSALTSLSHLNLSYNNLTGGIPSGNQLQALDDQEFIYVGNPGLCGPMISKKCLGTELIPATLEHHEDVNDTVSFLIAMGSGCVMGLWVVFCTFLFKRKWRICWFSFCDSLYDRVYVQVAVGWVSRTRHNTVGRS >cds.KYUSt_chr3.27230 pep primary_assembly:MPB_Lper_Kyuss_1697:3:170041250:170041660:1 gene:KYUSg_chr3.27230 transcript:KYUSt_chr3.27230 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr2.40454 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251397075:251400869:1 gene:KYUSg_chr2.40454 transcript:KYUSt_chr2.40454 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGSRDTKRRKEQYISKMPEHREIKRTGLTDLSGGGFFIRRVASPGGLAGRRTGKPLSRRFPSPFSNKENVPPVWAVKATPARRRTPLPDWYPRTPLRDITAIAKAIERSRLRIAAARQQSEMREQSPQPANFSTTVPAEQDISYCTEAQDSLAIASGSASTSLGEHSFKVSPSKSSLKTPTKPIDPALADLMEKKLSSSIEQIEKMVKKNLKRTPKAAQPSKRANQRRTLMSMR >cds.KYUSt_chr5.8894 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56490591:56490905:-1 gene:KYUSg_chr5.8894 transcript:KYUSt_chr5.8894 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTKLSAEKAVVIFTASNDCPMSYTVTSLFSGLGVCAAVHELDKEPRGRDMERDLARRLGRSSPIPAVFIGGKLVGSTDRIMSLHLGGKLVPMLKAAGAIWL >cds.KYUSt_chr4.48279 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299032976:299034808:-1 gene:KYUSg_chr4.48279 transcript:KYUSt_chr4.48279 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSTSTDQRGLAGGGGGGDMSFHHHYPMSNQYQDAATGGLIPLPATIAHGGDGDGRDEASAYMNSRDSAELGGLQTQLFMGDGGVSAAQRTHQGGQLSLSLGTQVPVSLYQYGRPGGMSPSLMSPNQQSTMAMAASRNTPGNMYVNNSRFLRAARELLDEVVSVRDAIKKKGDRKDDSAGKGESGKADGDKGDEHEGSSSAAELSAAERQDLQNKVTALMAMLEQVDRRYRHYHQQMQMVVSSFDAVAGSGAAKPYTALALQTISRHFRSLRDAIGAQVQAVRRSLGEQQDGSGAGGLSRLRYIDQHLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKVMLARQAGLSRGQVSNWFINARVRLWKPMVEEMYKEEFGAEMDSTNSSSENAGNGKRGKVEDPVCSEDQDRDEFQSASTHTHAGAGQLLSAYKSEPVSGMDAGALSSLGGADMSVYAPGGLSLNHHGPGGSSLLQDAFAHHGEDARFVAYGGDMADLGGSVSLTLGLQHCNNNAGHVPPEQQGLLYGGNPGDFDFLNGADDRQRFSSSSSQLLHDFVT >cds.KYUSt_chr3.35825 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225183249:225184405:1 gene:KYUSg_chr3.35825 transcript:KYUSt_chr3.35825 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEWSDGAMSGGEHKARGDGVSADSSPGSPPAAPSTATSSAPSVPGRRRSLQKRVVTVPLADVSVPRPKGVGEGNTPTDSWAWRKYGQKPIKGSPFPRAYYRCSSSKGCPARKQVERSQADPDMVLVTYSYEHNHSSAVARAQNRPVQNQKPIHKQQQPAPPPDHDQPADPSDNTHQGANVAGGHQIVTESRPAPAAIEVHDEFRWLYDVVTVTSSSSPSEVEEADDMLMYGPMFFGKAAVGTASLLPDEFGDVLGGEGGTTSEEDAMFAGLGELPECAMVFRRNGLAGGVKVEQPAEGTAMT >cds.KYUSt_chr1.24707 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147905030:147906886:-1 gene:KYUSg_chr1.24707 transcript:KYUSt_chr1.24707 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSCHLREFTGTFPYKAGPVGYITRRREGSQELTKQLAAMANISSSPSVSVSDESLEADARCCSSSSTAPSLLDTVDFSRSPSDVSTFSEHSVDLSGPFGTAAVSKLIGGRGSPALSRMSMKPRADVLDRRGTEDEIELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYATVFGNCHKLEPLPVGKKTMWRREMDCLLAVCDYIVEFYPSSQSLSDGTRVEVMATRPRSDIYINLPALEKLDAMLIEIMDSFQKAEFWYADAGTRSFGSVTSSSSPSSSFRRSTATHRNEDKWWMPVPCVPDGGLSVKARKELRQKRDCANQIHKAAVAINSGVLSDMEVPESFMALLPKSGRASVGDSVYRAMHGSDKFSPEYLLDCVDVSSEHEALALADRVEAAMYVWRRKATASHGGNRSAQWSKVKELAAAADDGGEGGKNVALASRAESLLLCIKHRFPGLSQTTLDTSKIQFNKDVGQAILESYSRVLESLAFNVVSWTEEVLFADKNARK >cds.KYUSt_chr7.20732 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128591244:128592552:-1 gene:KYUSg_chr7.20732 transcript:KYUSt_chr7.20732 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQQQQLLLQAISDDEHLSLTDVSVSCMGARRRCRSAVSSRGGSTAMVAARREEEEEWRRYLAPERLEVLAHLEPWAEAHMLPLLKPADEVWQPSDMLPDAAALGADGFHAACLDLRARAEGVPDAQLVCLVGNMVTEEALPTYQSMSNRFEATRDTTGADGTAWARWIRGWSAEENRHGDVLSRYMSLSGRLDMRQVERTVHRLIASGMAMHAPASAYHGFVYVAFQERATSISHGNTARQVGAHGDVALARICGAIAADEKRHEAAYTRVVAKIFEVDPDAAVRAMAYMMRRRITMPAALMDDGRDADLFAHYAAAAQQAGVYTTSDYRGILEHLIGQWRVEELAEGLSGEGRRARDYVCALPEKIRRMEEKAHDRAGRARKEPTPVPFSWIFDRPVSVVMP >cds.KYUSt_chr3.45641 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287508882:287514080:1 gene:KYUSg_chr3.45641 transcript:KYUSt_chr3.45641 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVILPFFLLLLLPLAAAQIGHFCGIRDNYTSNSTYQANLRFLSYTLPKKAASSTTLFATDTVGDAPDTIFALTLCRGDMNASACKDCVATAFEDGPRICPYNKNATLFYDPCLLKFSNHNFLTSTDNSELIAYVNVQNFTTCTPDLTTDDCGVCFQDVLQYTLKYLVGKAGGQIYGVRCKMRYEIYQFFQGDPMLSITNLAAEVPATNNTAPGPTPVTVYGSPPVPPAAAPPPEPVVQTTVEQDGNTDLHNEAARNITEENALVWRLEEKSSEFTLFEFSEILQATRNFSKESLLGQGGFGPVYKGDQSSRSAKPPQEPPNNILFIQNSADQTSSMMVEVLFYPGFREVRMIEARPGIALVESDDENQSMVAMQALQGFRMSRRTQSLYRTPKNNDDPWDPQTVRRNL >cds.KYUSt_chr3.11165 pep primary_assembly:MPB_Lper_Kyuss_1697:3:66511271:66512983:1 gene:KYUSg_chr3.11165 transcript:KYUSt_chr3.11165 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGDRLSPNPPFNASTRSTGQAAATTPAGDHVVQNKPLEKDVTDQTTPSPKPVFDNDYKLTDEDIEVAAFLRQSYEDADVVDVGETVLKVRIPFNVNNMHWHLLVLNFDKEEIQVLNSLLLIRDEAKETTLVECIQTCIIEELQGGLVQAPGPITITEWKKKKAYKARMAKLDKDNAADDDIQVISNPNDASKKEESPPNDASIKEISPPRPIGSQKRKRGRPRKIETLKPTNPVKDQYKLATDAVTGLVEGRARRKSNPGPQQVSPYKEL >cds.KYUSt_chr6.7378 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44841380:44843058:-1 gene:KYUSg_chr6.7378 transcript:KYUSt_chr6.7378 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQPQALIPSPPPLEQQASDPSITPQTPPPPPPGNQHHSVPRKEDDAEEEKSKSEELHPHRPTVDLGDEPSLPAHPQGNNVVARSDNAPGRGGGAQRQAVAAADAHHGSLENGYHEEWHLPVINDPGERLVNGVIVPAKLSASTTITLNVKEGIKSDGPKRRRGPAVLLEGSRCSRVNGRAWRCSRPPLAGYSLCEHHLDKGRQSRNANANNGGGGRIATTLKLGRTETVTRKKASPLAMSMDGIVPAVAHLAAEFS >cds.KYUSt_chr7.6565 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39674262:39674842:1 gene:KYUSg_chr7.6565 transcript:KYUSt_chr7.6565 gene_biotype:protein_coding transcript_biotype:protein_coding MVFALPCVQSTVVVSRSTGRPKPWTPDPQFLEQPSHQPPNAPPDSPRTQPPGLAEKNYATVQLCTINSGCRHESTVRASLRGGGAKLGERRPAAACAGRHGATTTADKTQPARQLSTLKVEPEREREVPRRMTAGAQAEAAGIDKREMLLHLLLWGPN >cds.KYUSt_scaffold_6468.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:209815:210495:-1 gene:KYUSg_scaffold_6468.25 transcript:KYUSt_scaffold_6468.25 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIDGVPLDGAHHPAPAHPASPVVLWDRMLSRRLATQFPETGMSTEQVLTSSSPFSPSLLPGSNTKTLPLLLQWYDTLKVPATPLVPSSEEEDDASLGTVAVEAPPGAGAEQFEEHEDAVRKQRVRRKREVDSAFKARRSSRLASKEPAVFVNMLSRAKAVKASRFDLAGGSPRLRSAAEAAGFTGGQDPGPLPLPRIQACNIPTFEVNEESQSLFSQILEPTKT >cds.KYUSt_chr7.36650 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229000332:229002964:1 gene:KYUSg_chr7.36650 transcript:KYUSt_chr7.36650 gene_biotype:protein_coding transcript_biotype:protein_coding MTIESSVNLADGELSVRGRAVLSGVPDTVSATSAAARGPVDGVFLGADFAAPASRHVVSLGAMRGVRFMACFRFKMWWMAQRMGVKGGDVPHETQFLLVESKASAGDSEEETSYVVFLPLVEGAFRASLQGGGAGGDELQLCVESGDADTLASSFDRALFVGAAESDPFAAIAGAVAAVRSSLGTFRPRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLQSLVAGGAPPKFVIIDDGWQSVGTDKPITNENPAGEADKPQGLPRLTGIKENSKFQSGEDPATVTGIETLVRAAKEKYGLKYVYVWHAITGYWGGVRPGVAGMEAYRSAMQFPKISPGVAENEPNMKTDVLTLQGLGLVHPQAVHRFYDELHAYLAAAGVDGVKVDVQCVLETLGAGHGGRVQLAREYHRALDASVAKNFKDNGIIACMSHNTDSLYCSKQTAVVRASDDFFPREAVSHTIHIAAVAYNSVFLGEFMLPDWDMFHSLHPAGDYHGSARAISGGPVYVSDAPGKHDFELLRKMVLPDGTVLRARLPGRPTKDCLFADPARDGVTLLKIWNMNRFTGVLGVYNCQGAAWSSAEKTNVFHDAGGAGALTCGVKGRDVHLIAEAATEGAGWSGDCAVYRHAAGDLVVLPDGAALPVSLKVLEHDVLTVSPIKDLAAGCRFAPIGLIDMFNGGAAVEGLAYSVLVDGDGMTTEAVGQVCMEVRGRGRFGSYSSARPRKCTLGSAPVEFSYDASSGMVILELESMPKERVHKIVVEL >cds.KYUSt_contig_1781.67 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:405968:406393:1 gene:KYUSg_contig_1781.67 transcript:KYUSt_contig_1781.67 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIIYEDFVVDRQQEFIYSSLRDWAHGLVPWGEEAEQRREEEQRRREEQRREDEQRREEERRREELQQSHHEEEVDPFNLEDTTLAAAGSRLQAECAICLKDFEAEDMVSTIPCKHCFHQGCISQWLRVSCVCPLCRRAV >cds.KYUSt_chr7.10247 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62743636:62745175:1 gene:KYUSg_chr7.10247 transcript:KYUSt_chr7.10247 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTPPTMPINIAATLSAAISIKLDQENYLLWKAQALPALYGNDLFGFVDGSNSAPPKRIAAAVGSSDQIDNPEYAAWHKQDQQVLSGLLTSLTPAVLGHVQLLKTSKQVWEALDRTFASRSKARTVQLRTALVKPKKRDMSMSAYFHHTKKIADTMATIGNPLGDDEIVSYILAGLGEDHENFTTSMTVIAANEDFTLSDLYGHLTAYEARTGGRSSGGHNDTAFQHSANNTYRGGGGRGFQRGGGGRGRDGGRGNGGGRYDGYNGGGYNGGGYNGGRHGGGRGDGSGRNGNAQGGGRGRGGNKSTCQICGVYGHDALRCYSRFNHAIQPETSNRAANYTNISDGYSSDPNWYLDSGATDHMTNDMERLHVHENYRGNEQIQVANELTVVCTQFLHAILITIHVFRKLIPSPHPRNCGTDGLDILRHPSSKPFFDQIS >cds.KYUSt_chr2.50811 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317678815:317679924:-1 gene:KYUSg_chr2.50811 transcript:KYUSt_chr2.50811 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSSRSSGALLAGGQVSAVARAAARCPSSLFAAGLFHPSTSAVPPRRTSLDGWQRRGGARRRLGLQPLPTRAAARSCCRRPRSRAPAAGLNSVLLMPTISSSSCCRISSCYGVRELSLSAPNYLTEVAELKCSILAETLGQMAAAPKHVMLELASL >cds.KYUSt_chr5.32241 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204593710:204604404:-1 gene:KYUSg_chr5.32241 transcript:KYUSt_chr5.32241 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDLGGDGVEMVEHGNFPSTKEAHGDEKVEPTPICFIDELVPIPCEHESHLAHLSESDSELSDFHPICEFECFRLEDMSDTLSELREVDDRSMEDIAFANTLTSLSFVSSYVALGSTEDEFPLMETMYMVHEDDDISPCLLQDGHVDHMDPPTSTTPTSNESAYKGTRMTTSTATEHELTKRAIESIPNTDEIHDPTHGIHAKGYKAHQDHGVEDKPRSREGEKQLNMEMIMKPKPTSLEALQGRDGRWPVQDPVRPDPQPDAPVTGPVNRAQTGAAPSYRTKTGNFADSRARSVALTKPAMDATTRLDNAFVLRESIKSRPTPRSCYTMSLKLTVVDEVGVGALIFSFEGPTKAVSKEVERIDQLFYTYADSSSGMIDPEGIETLCSHLDVPHTDVRILMLAWKMGCEKQGYFTLDEWRTGMKALRADSISKLKKAFPELTQEVTRPTTFQDFYQFAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVEKLINYLKYQNEYKVINMDQWMGFLRFCNEINFPSLDNYDEDQAWPLILDNFVEWCIVIRACKLAGSLE >cds.KYUSt_chr1.24527 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146583530:146586025:-1 gene:KYUSg_chr1.24527 transcript:KYUSt_chr1.24527 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSGGRKLPFFASAGSTSSTKRTRSARRLPSLPKTTSPPPPHATSPPPAPHPTTSPPDLPSAAAASVSGKVAKKKAGARLWMRLDRWGSSEILELDKASIIRRAGVPLRDLRILGPIFSHSSSILAREKAMVINLEFIRAIVTADEVLLLDPLAHDVLPFVDQLRQHLPLKSPAGGNGGDPGNQAPCLNEATGAEHELPFEFQVLEVGLEAVCSTLDLSVAELERHATPVLDELTKNVSTRNLERVRSLKSDLTRLLARVQKVRDEIEHLLDDNEDMAHLYLTRKQAQNQQVEAIMTSAASNSIVPAGTSLHRLNSSFRRSVSIATSIYLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIAINTFIAGAFAMNIPCYLYDTDGSFFWPFVGGTSAGCFVISIILLGYAWWKKLLGP >cds.KYUSt_chr4.43630 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270509286:270509692:1 gene:KYUSg_chr4.43630 transcript:KYUSt_chr4.43630 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSKQATLLLVAALLLCLLCPRGQAARPGPGSSQHKSQGAESAIAHEKSAAAGTGMVMAQEDPVEAMRDCEGGEGAEECLMRRTLVAHTDYIYTQGKHN >cds.KYUSt_chr4.22797 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143369422:143369772:-1 gene:KYUSg_chr4.22797 transcript:KYUSt_chr4.22797 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSASLPSIPHSTESRVEVEVELQALQTRISSPSATIGSICDGLRSLGNTYDCIEDIMSLPSNQVGLSLPLQRKMVEEELDRSLILVDLCDAMQESLAEVHPEAAAYSQERK >cds.KYUSt_chr1.6762 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41573911:41574446:1 gene:KYUSg_chr1.6762 transcript:KYUSt_chr1.6762 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSSRRRRTGTWRLEKKLVSYFMRCLFPRPTASDPRTLRTLAADRTVWPKLLEALATRSPDDTPHLSITTVVSATPSAVQRVMSRASAGCRARPCSPRLSCAPMLAKAVVRSVALLHPACTRLRRLPRAPVLV >cds.KYUSt_chr3.7901 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45331962:45337778:-1 gene:KYUSg_chr3.7901 transcript:KYUSt_chr3.7901 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNATLLVPSLLSILMIAVASDEAALLAFKAGLSNSSALDSWNGSTDFCSWEGVTCSRRRPTQVEGLSLPFLALAGTISPAIGNLTFLQILNMSSNELYGEIPPSIGRLRRLQTLDMGSNMLSGMFPANLSFCTSLTTLILHYNQLNGQIPVDIGNTLTHLQRLSLMNNTFTGFIPASLANLSSLSALSLKGNRLHGPIPENLGKLAGLQLLNFENNDLSGVIPPSLYNLSSLVTFAVGGNNLHGNIPADIGDKFPRIEGFSLGANQFSGVIPSSISNLTTLKGLWLSINRFSGFVPPNLGRLQSLRRLFLHETNVEANDREGWEFITSMANCSQLVTLLIANNSFSGRLPSSIVNLSTTIEYLYLESIGKLVNLVQLYLENNSFSGLIPPSIGNLTQLEWLLAPNNNLQGPIPANLGKLKYLQILNLSMNYNLNGSIPKEILGLTSLSSYLDLSYNSLSGPIPSDVGGLHNLNKLVLSGNHFSGEIPASIGNCIVLEFLLLDRNSFEGSMPKSLGNIKGLRVLNLTMNKFSGGIPDTLGSMDGLEELYLAQNNFSGPIPSVIQNLTMLSEIDVSFNNLQGEVPKGGVFRNLTYVSIAGNSMLCGGVPQLHLASCSMTNTGKNKNGWSKSIIIALATTGSILLLISLVVLTHIFCKKAGRQKDQEPPMLMEERYPRVSYNALSVGTDGFLEANLLGKGRYGAVYKCTMDDEDTSTTVAVKVFNLQQSGSSRSFGIECEALRIVRHRSHIKVITCCSGVDPQGQEFKALVFEFMPNGSLEGWLHPESEELTLSNTLSLGQRLDIAVDVVDAIEYLHSHCEPPIIHCDLKPSNILLAEDMSARVGDFGISKILGEKIISNQNNSNSTVGIRGTIGYVAPECGEGSAVSTAGDVYSLGILLLEMFTRRSPTDDMFRDSLDLHKFGSNALGEKTLEIADSTIWLHAEPKDDTASNQSSLQFQITIGGAKLHGEGGHDANLGLLGGGADEGACGLAEEIDLSAPSPGSSSAENLLDIGFYALGTLLTGPFQPPPPPRLVPPPELLSSIFAAQPTSGIIGRNNKPLAPKIRNGYADQHLYRDRHTDRSEQTSTL >cds.KYUSt_chr4.3760 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21447487:21448085:-1 gene:KYUSg_chr4.3760 transcript:KYUSt_chr4.3760 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAEPATGYPVGASPAGASPMGGRQLGEASAWSSGLFDCFDDCGLCCITWCCPCITFGKVAEIVDRGATSCGTAGALYVLLASLTGCQFIYSCTYRAKLRAQYGLPDAPCCDCCVHFCCAPCALCQEYKQLKALGYDPDIGWQLNAERGNGPAARAPGMQQMGR >cds.KYUSt_chr6.8417 pep primary_assembly:MPB_Lper_Kyuss_1697:6:51652364:51660925:-1 gene:KYUSg_chr6.8417 transcript:KYUSt_chr6.8417 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVATMEERLAATMVSLNESRALLASSAQRLGVKEHGGQVATTASLATTTTPIFVQDRVGHKPATNPSSSSLIAKHRVMDVGALHEGVVLAPATPTRCSNFVLTSQAGAPVVHIDQSCNKSFPHFDGTNPGLWRVQCLQYFHRFNISKCLWVIAARMHMDGEAKEWFEAYKLRQVIGDWSEFMDAVEEHFGPRVREELQVEMIPFEISVVDATRPVFDGIQIRSVSMAGEANVVHMMSRDKHELISEHPVDNVPHDTYEQQKVREASSWDMAQLAESWVKEDSQENLTDIGSSSLFREHVMDATDMVCDTLLLNEVWMSDEVLTHVGGMSIFIQLDLEIPCTTGYDTEVQQCLTILMSMEVNPDSMHIILARFDTCSVEQLSVCKCILKGQYTQLMQDMICLGSQEDPALQNMTYMELLGIDVSVPNEVNLQGVGYTDVAMVDVCGYDRGESSHSHPANQEVSSEFSLFDENPWGVGMFDNMSIEEVVPDPVHCEGQSAHVGSASSDKAHTEGPVHETPEKNAQNEQEILSKDDIENFLESERMEKETECTGETSIEEGLKPSIGMKFSSKEEGQKFFNFYSSVVGFSVAVVNSYRTTSKKRNNEVTKVVMKCNKHGNTGES >cds.KYUSt_chr1.9575 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58387440:58391006:-1 gene:KYUSg_chr1.9575 transcript:KYUSt_chr1.9575 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNAAAAAAWTAAAVMSLDRARRCPGLLRLLAPPPDAGAPGSRDASAGPRRGGALEKVVLQPIREISGAVQLPGSKSLSNRILLLSALSERGCRPEALDALGLSVEADKVAKRAVVVGCSGRFPIEKDAKEEVKLFLGNAGTAMRPLTAAVVAAGGNATYVFDGVPRMMERPIGDLGVGLKQLGASVDCFLGTDCPPVRINGIGGLPGGKVKLSHGCAGYRCPQEPWPAGKSSRRRAHSCRPPTRASDSMASPWPRRLVTQPW >cds.KYUSt_chr5.20446 pep primary_assembly:MPB_Lper_Kyuss_1697:5:132877905:132878462:-1 gene:KYUSg_chr5.20446 transcript:KYUSt_chr5.20446 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCPSFSLSCDNNHWNCCGSAKEPDQHGDGSLPKQQQPSAHHPPPTQPPTSVVAPPPSESVPLHHVSSPVAPFNDKVHEPPVTAATPIPAAVPPKRYEPLQPARTAVTPFRVPSKTYDDGGVQAVTTMRPPLPHRIYEAPAPAVAPLQPATATPPGVRSSQYPLARHPQHQDTCFRGESYSQAY >cds.KYUSt_chr7.28897 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179819088:179827827:-1 gene:KYUSg_chr7.28897 transcript:KYUSt_chr7.28897 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVEGKSRVLVIGGTGYIGRFIVAASAREGHPTAVLVRDPTPADAAKAAVLQGFRDAGVTLVKGDLYDHESLVSAIKSADVVISTVGSLQIPDQTRIIAAIKEVGNVKRFFPSEFGNDVDHVNAVEPAKSIFALKAHIRRAIEAEGIPYTYVSSNFFAGYFLPTLGQVGVTGPPTDKVLILGDGNVKGVFSVEDDVGTYTIKAVDDPRTLNKTMYLRPPSNTLTHNELVSLWEKKHGKTFERIYIPEDEVLKQIQESPVPLNIVLSISHSAWVKGDHTNFEIEPSFGVEATELYPDVKYVTVDEYLNRNILVLYPAVYMAAVEGKSRVLVIGGTGYIGRFIVAASAREGHPTAVLVRDAAPADPAKAAVLQGFRDAGVTLVVLVGGPSYVAI >cds.KYUSt_chr4.26744 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167998628:168010272:-1 gene:KYUSg_chr4.26744 transcript:KYUSt_chr4.26744 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVGFLADLNDQWLKPRLLRVVVGEQLPQPGSTVPPSELASILDAVRTHGLLTEAVQGPPDRKLAEAWRAAVDAWVQRVGELLQSDSPQSRWLGTCFLGVTFQDISNERFLESYSDWFDKILNNLQEPSSWQLATVVSCTSMSDLFVRLAKFLNLKKEASSFAGRVVEPLLLLLNENGPVVDEAIDLLRTVIKLYPSSLNRHYNKVESAIAAKVMSAEVNVNSSKKFARVLAMLPSVRVSEGSWSLMIQKILIGVNNLLNDAFVGLEEEKKGREIMMLLVPPGNDPPPVLGDQIKLGGNLHVTKKFRVFTVPTISALAHCCCVMLTSYYPVQVNVPVRALLALLRRVLLVDGSLHNKKFPSTTSLHQELICFELPSLHSSFLDLLHATIKGMRSQLLPHAANTVRLIADYFKIAKLPAMRTKVYSIVQLLLTSMGVGMSLHLLEVIVSNAVGDLDDSCVNEMTLLSTNPTKVTFESSSKSYSKKRKQEPQIQNSHASGLEKAAVSPKKRKTSSTPTASKGMTPETASYVTPLCIKIAALETLEILLNVGGSLRTDHWRAKVDLLLINIARSACDSGAGYEQSTSTTGETSISDFRLASFKALLASFLSSPYARPPYLAQGIELFRRGKLEIGTNLAEFCSHALLALDVLTHPRALSLERAGPLVPGLNHSGPEKIVFGAGRSKFSQSEGQFQVIENEDSDDDWLPSTKDNEPTEELTLVKEDPQTDSRTVPEAVQAVPTHNKSDVNNMVDAATEETHKLKTVDNPTSSNAVPNPIYSRPPGTQIPAVAPVDLLLINIARSALDSGVGYERSTLTAGETSISDFRLASLKALLASFLSSPYARPPYLAQGIELFRRGKLERGTRLAEFCSHALLALDVLTHPRALSLERADPLVHGLNRSGPEKIVFGAGRSKFSQSEGQLQVIEDEDSDDDWLPSTKDNEPTEELTLVKEDPQTDSRTVPEAVQAVPTHNKSDVNNMVDAATEETHKLKTVDNPTSSNAVPNPIYSRPLGTQIPAVAPVYNPSSSTAVSNPIYSRPTETQKPAVTTLPADLVERLQNRSSAVLQNKTSDVPSGNLGTSYQTPSVPSLAPSHTESSPTRFTLPIQPAFSDGESEDSMPDIVDADPDSDYDY >cds.KYUSt_chr7.11857 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72804709:72806583:1 gene:KYUSg_chr7.11857 transcript:KYUSt_chr7.11857 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLAGGLLGGTSGDALNIESRRFTDEEEMPPLASKRHKASPSLQPSEVSSDSGSDSDLHLHDHSDPEEDDSFFSARSIAGDDEDEQDSDEEEDESQDEEEDDDGEMGELKQEYQVLQADQQSILQTLKQHRDDDIVRGQAKSKAFKLLTFASNFCSTEVSMGQDLEMKFLLQKAFSTSNKLPKDPTKSRFCGHGQEIEEAYVELLDSSKQTLGSMLELQEALLERNQSAKGPNDKVP >cds.KYUSt_chr6.33443 pep primary_assembly:MPB_Lper_Kyuss_1697:6:210133317:210134623:-1 gene:KYUSg_chr6.33443 transcript:KYUSt_chr6.33443 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMLAVVVVFAVMNTLTKMAFNEGMHTTVLIVLRQLTATLFLAPIAYFRERKTRPKLTTKIFVYLFFSALLGASLTQWLFFLGLRYTTATFACAFINMTPMFTFLLALPFKVERLDVSTGSGAAKLTGTAVGLAGALVLALYQGPSLTSYHSSAAASAGGGARRWAVGSAALLGGSASWSLWFILQSKIGTKYPALYSGTAWMSFLSFLQMAAIGIATEKMTFHVWVVATRLQVVTVLFVGIAGSGLGFLAMSWCVERRGPVFTTAFMPLVQIVAAGINVIALHEQLHLGSVVGAALVVVGLYFVLWGKSNETRTKELRPPSDSKIVVQAEHAAGTETV >cds.KYUSt_chr7.9606 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58755124:58755588:1 gene:KYUSg_chr7.9606 transcript:KYUSt_chr7.9606 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSGSSMIASAGCLGVCAAGDNYISGVACSATEVAIISFMMRAWAILYHALVSSSMVEVWAVKVFLHLGHRALRRLVHGMDEGGSNLGLFELDLMFGLVQQGLPPLIVLLISPSSLSFELETTLAMSMSMDAPTADDDAAPFLSTLTFLLPI >cds.KYUSt_chr3.9952 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58563736:58563948:-1 gene:KYUSg_chr3.9952 transcript:KYUSt_chr3.9952 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKRKAEEEPEVEDDDDMSDEEFDAMEAEYRARIDKECSEMLARLGLREDVCLRYSDDSSSDDSDDDNK >cds.KYUSt_chr7.5375 pep primary_assembly:MPB_Lper_Kyuss_1697:7:32083846:32084079:-1 gene:KYUSg_chr7.5375 transcript:KYUSt_chr7.5375 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTINNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGN >cds.KYUSt_chr4.44607 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276300381:276302893:1 gene:KYUSg_chr4.44607 transcript:KYUSt_chr4.44607 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDAAAGLNPPYKEDEEEDSDDDDNVPLAISRDRKKATGAGAPKVKKEEEDDDDDVPLAQSRARKAVNGGVKKEWVDDDDDDDDEDEEEEDDDDEEYEEDEYTPGSGSRGKKGNEKQKSTPSSKQKASKVKKEETASDDDFEEDEKPKKTPTSKPKASNKVLNFQPKSQKRSPAVSKPKTSKVKKPKDEPDTKENKKIKKEGDIKQDGNKVKKERKVYELPGQKHDPPPERDPLRIFYESLYEQVPDSEMAAVWLMEWGLLPEDVARKVFQKKQAQKLKSPVKLLAAPRKTSSPTKRVLLLCEEKTNSGTKSSGKTTAQKKKRASSPSDTDDDDDDFVKPTKTKRQSAGKTTAKKKRKASSTDDDEDEIMPTKTKRQKV >cds.KYUSt_chr1.32732 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198812772:198814719:1 gene:KYUSg_chr1.32732 transcript:KYUSt_chr1.32732 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLPCFGKKKNEGGGDEQAESSRGPMTPPPAVQAPAPSYGAPASAAPVAVAAPALVTPAKPPGENNAGSSEDALRQEIEVKAFAFRELAAATDHFTPYNLVGEGGFFRVYKGQLDKDGQTVAIKQMDKHGFQGNIEFLTEVSKLSKLHQENLIDILGYCADGDQRLLVYEHMDGGTLEDHLFDLPPEKKPLDWTTRMKVAYGAAQGLEYLHEKANPPVVYGDFKASNVLLDASFTPKLSDFGLAQLGKTGGGNMPMASPMMGSFGCLAPEYDRGGQVTMKSDVYSFGVVLLQLISGRRTVDTSKPVEEQNVVTWAQPMFKDQKRYHELVDPLVKREYPAKALNQVVAMASMCLQEEDCVRPMMGDVVMTLGFLTMLPPDPPSVNVPPPAAAPEPKKDEKSHKSHHSDSSSSSSDDDDDHGEEEEEEEEEEQS >cds.KYUSt_chr1.23497 pep primary_assembly:MPB_Lper_Kyuss_1697:1:139708104:139710441:1 gene:KYUSg_chr1.23497 transcript:KYUSt_chr1.23497 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGVRPRWSWSGSRWSVAAWLLAAVLRWKEPKAGGGGAATTSSIKLAGYSPDLGDFALFPPLSGHLGDEDWEGISGEFVVVRSADANPGADLVYSTSRGDQDRRRVLRPRWWPIFYFLQDGGSHGRPLELDADGESSAIDSLRGLKQMKDEDQLKRIGTKPLPLQVDASHGHLHIQGGLAAAGSPEDASAAEVICQSGRSGELRVLVHSWIIEVFLGSLLRQTTILLAPSDSIRSSRALALEIALFRYNNTNSLAAVSNNLLLIFLGLQ >cds.KYUSt_chr7.18621 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115364563:115365113:-1 gene:KYUSg_chr7.18621 transcript:KYUSt_chr7.18621 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGYTGEGGDSIMSWPRSTSPTSSEVQLARQADDPWYIAYQDESTQWCSQRMDLEEKVANLGDELARKNLMIFELKRIVDEEKKNSELIRKEKLRVETDLAVFDQVVENLEHELKVMGEEKSRFICAVLLGVIPVLAAYVVPVDDLV >cds.KYUSt_chr1.10052 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61610205:61612839:1 gene:KYUSg_chr1.10052 transcript:KYUSt_chr1.10052 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDEAKCSADVAEGSYFMAGVLAILGLMASVLVLSGLFHSVLRRVGQPSIISHILAGVVVGPTVLGRMVDLRQLGMKDAGRALGDAIYYLRVVFMFFIGLEMDLRYLRRHLRASIIVAFGGSALCLVLATISGPFFYGLLHPGQRTFQPESLYASTALFMLVLTSTASPVLIRIVTELKITGSETGQLAIGTAFANDIASLAAISMMVVSPTTYDKDGKPLPPPNRLVALPVVKVIMFLWMALNVWVSVRIMVWMTRLVNKTKQGKQYISKYELFAMLVLIVGLSQHVQLFGYSASMTAFIIGLTTPREGPTARTLIDRLAYPVHSIIMPLCFSTIGARLDFAKINCFTPTQFLFVVTYTTLLSTIGRVVGTVVAGRMIGIPARETLVLGFLLNVKGYADILAINLGDSTGIWNGAAQGVLLLSSIITTFMAGPASAAIVRQQRRAFQYRSHCLQHLKLDQELRVLVCVHGAGSVHSMLTLAELSKGATPVAIYLLHLIELMSSRKYAITHFYHTGDGADEEDDDSGRWGYAPVIDQVVAAVNGFTNGTFIPVRQMTAISSLETMDADVCNGAEDARASLVVVPFHKEQRYDGRMVCRHDNRRELNQRILQRAPCTVGVLVERRLGTIAERQSTATEGGPSRSAADDPAGETHNVVAVFLGGPDDREAVAYATRLAAHPSVSVMVTRFLPAGASLHSSTEVPSTTLMSPRRGGDDHLVAVVVGEKEEEAMADEEFMADFYARFVAPGHVSYTERYVSNGPELVESLCSMAGMYSLFVVGKGADGGSAAVTQMTSGMGGLYEECPELGHVGDLLSSDDLSGCCASVLVLRQHNVRHRMKQDPNGVDHGLR >cds.KYUSt_chr3.40894 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258128913:258129242:1 gene:KYUSg_chr3.40894 transcript:KYUSt_chr3.40894 gene_biotype:protein_coding transcript_biotype:protein_coding MANESGLPTLQREMASTGEEGALKRKAPTDGLEACATERKAEDACSVAADEAEAAKKAGLSDVPDQGGDATVVSDEEEEDDGMYCPFDQVDHVDGNLMEEEEDRGRGGP >cds.KYUSt_chr4.19912 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125234289:125235726:1 gene:KYUSg_chr4.19912 transcript:KYUSt_chr4.19912 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRSNLALLAHLCVSLAAVARGAAATSYSVVDYGAVADGRTDCAGAFLSAWAAACAAEGSASVLVPAGEFLVSRARFSGPCRSGAVTVDIAGTVLAPVPYAGVQLWIVLQNVDGVTINGGTLDGRGQAYWACRRAGGGSSCPVATRSLTIYRSRNVLIHGLTSLNSAGIHVTVQSSTGVAIVDTAVSAPGDSPNTDGIHIKQSSDVTVRNARIGTGDDCISMVEGSSDVWIQGVSCGPGHGISIGSLGDTPEQLAVRNITVRAVTLAGTTNGLRIKTWAKANNGLVDGVAFSDVVMRDVRNPIIVDQNYCPGNVSCPTEGSGIKITNVSFTDVVGTSATPVAVRFDCSPSRPCSGITMRNVRLSYGKQPGAAESLCRNAHGVAYGQVVPPSCLADQEMLCQN >cds.KYUSt_contig_1181.1092 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:7149762:7155017:1 gene:KYUSg_contig_1181.1092 transcript:KYUSt_contig_1181.1092 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGTASMGRAATPTTPGSQSRWPRAVARLRLALRPPAAESGSSGRWMGCFRPAPVPAPSASAVAVAVKEAKRKGPEVETEPARGGGEDVWSAQAEVEVEQGGVFPEHLVVMVNGLVGSADDWKFAAQQFVRRMPHKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRRGVKKISIVAHSLGGLVARYAIGRLYERNISIKSPVGNSRNEGERLEGLIAGLEPMNFITFASPHLGSSGNKQLPFLCGLPFLERRASETAHLLVGRTGKHLFLTDSDDGRRPLLLQMVDDCDDIKFSFKDMVGWRTSSIRRQHELPKHRLLVRDEKYPHIVHVDRGITNSNETEVNANLYDPEEEMIRGLTQVPWERVDVSFQKSGQRLVAHNTIQVKSYWLNSDGADVINHMMDNFLA >cds.KYUSt_contig_2488.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000357.1:21551:23244:1 gene:KYUSg_contig_2488.4 transcript:KYUSt_contig_2488.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADPISIGAAIGWGVTAVGWLASPIIPRLLNRGFALLEFNASEKLKILDIQVLQLQRVMEVVDESTYRVRLEPLLEKLKSAFYEAEDILDRVEYQSLKKQIQDAKLSGSKMDLLKKNLRSSMPSSPLKDEKPRSKLNIDMSGQEQQDRETRRFTQVQGYCGEVKPLRPALQSCFKRSMVYKVVVLLFPISRWDLLKVEDDGAI >cds.KYUSt_chr5.38515 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243626630:243637716:1 gene:KYUSg_chr5.38515 transcript:KYUSt_chr5.38515 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGGGGDGAVVEEELVHMEDAVKLLVDHLVRPVLPRRAGKDERHMTLEKQRAVAQQVHTAVILYNYYHRKMCPRLAFADAKRFFICASLSVGEDLFPYLCILHARENDCGHDVGLSVTDRKGIQACEIAEQLDVTKDYPDMELWPIGKVAVLLLDQTKKRCLIEYGADTKGVWSIIEKEYDAAAGISHSTNQPAGQESTNTTIFGTLDGPFMLQQLAISEVQRRAGMDGSDLLVLNEDLTYSLSKERTATKLFIVEYKKTTKGKFVEISLEELIRSMTGPVFVNDPFPKTTSVVEYYHILPYKEILQGLLQRKWTVIPQHGLNSVIDEKLEEQGENSMSKMKKQTAKVSTPKQNKRAIKATGANSNQNSSISNQKKSCKRKAEASRATAAEGPDGESPITENESLIVPDVKTSGFVTKSINTKATTVVSGGPVFLQSGGQVDKNKTQNDNMHQDVSPPMLIGKTPMVYLRKVIKGCVANIAAKLETVEPCCRVKDRGYKLILTMPTPMNMEKRFLPKAFGVKLVLTDAELPNKTLKSGMLEQFNNPVEIWGDSKWMVDIFIGGIWTGSLAVNLVPCADPVIENSALEPQNMEMTENSGCITENNNDQMYDLLRLIRKIRDEILHKECILQERSIQCDMDIQTILNEGKMTPKVLSTGDKYKGTCSNMMDAANSSGSGDGGLTMSTKRKTLKEALHIRNKCQELDEICNVCEWVLPRYTIHPSVADGMFCASVHLTCPDFDMSIAGDPSLTPREARCSAAVNMMVELHKKAKHDT >cds.KYUSt_chr4.15055 pep primary_assembly:MPB_Lper_Kyuss_1697:4:93005401:93012788:-1 gene:KYUSg_chr4.15055 transcript:KYUSt_chr4.15055 gene_biotype:protein_coding transcript_biotype:protein_coding MATALGAAMLLYFVLSRRLAQHEDSAGSPGGGAGGGGKRRRGRAVRRPAQPPATWIEAVGTLAETLRFTYAETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGSDCIELKGPEVMEELIILRRLIDLCFLFSKKSFPLFLELAGFSQEDVFIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAASGAVVPFHHSLLDEGGVSKLVLGYAHCGMVAAARWIARGITPCLLQALSQCPEYQIKIVGHSLGGGTAALLTYILREHTEFSTTTCVAFAPASCMTWELAESGKHFVTTIVNGADLVPTVSTTSIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARARVAGAGALLRPVSSKTLVVMKQAQTVAQAVARSRSALSSWSCMGARRRAVSVVAASPKDEMTAETHVTSTVDSESFVVEQHGTEVVEELQYSATSISVHEETEEEALLSDHETSREHTEEEITEGELWFEFEKDRDRLAEVEAQTREEEAAAAKEIMEEECAVLMNVEDRQSFSSDSLERQQFYPPGRIMHMVAMPPPDAGPDDPVVADECTVGIYETPRHLYSKIRLSNTMINDHYMPMYKKIMEILIEKFANNDDNLCADSTDMSDANDNVGDNDANGSTTGFGTVDWSSLVIEENDDAGFNVPVAEDHLFTFIGMRDEDERPMDKTADGTSVDGTSAHGTNIDVTGNTVVSF >cds.KYUSt_chr6.6755 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40704170:40715616:1 gene:KYUSg_chr6.6755 transcript:KYUSt_chr6.6755 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGPTMAGAEASGSPEAAALGLGLGFDPYEQARKALSLRTPFEEEAAPRVPTLPARLVSWAGPSDRRKKHKKLEPPDAAAEEHPPKLPAAPSGKKGAWDHFEAYFRPVTLNDVQMLMMPDRFPFCRGELDPCVLVPLPGSRGCISSSGEEEEELVVEETYDVAVAETSSYLGVGGEEVVCNKERSAQSADFVCNVSTEQGIHDVVVQQLDCPRDRSEQSIEQRMHEVVAQRDDWPLEVEQGSSSGGTVSPVCEDGDEEEEAGTSLNWLLGARQRVVLTTERPNKKRKLLGVDAGLQRLVLLPRLGARAGSTCDVCCLGQSAMASNRMLRCSNCKASVHQKCYGVHAVPKGKWLCAWCKYVESAGVSSKKEAASTLSMPCVLCPKGKGALKPAKTDPDRTADGGSLQFAHLFCSLWRPEVLVEDMDSMEPVTNIGSVQENPIKMVCTICKVKRGACVRCSHGSCRAAFHPICARDSKHQMEIWGKFGHPNVELKAFCSKHSSVGYVNSLEKSNNASEQGAREVRTKDANLSIGKIPKLRFTRKNKDRSMNYETGSFNPDSLIKVETMEHGALPHTVRSSDTQATRNMEMDTDNPSVSNLMRNSGDIAMVLKKLIDRGDVSVSDIASEVGISSASFEAALVGETTTFSHGLKLKIIKWLQKSAHIPAVEAIPLKESSEVVQEDKLDGSEGTNSVNVNSSLVPVDKEAEVEMSVSTVPKLSPPRSNAKVLKEEKAICATGATLENGKKDMAKGSADDFSKDFIRNLSPVGNKDNSKAVHEKLIPNSIPGNKVFGASMEVQNQLEATSLGRKNNDLTEPELGSELEGCVSSLDKTSSLGDNVKHGSNSDENGICNHRDCNMDHVNGQPFFSFDDSHSYIHPFIKEKIAYLWDHTFKQNKQAQYHSGQLCSSSEKRHVDSSIELMETTEIDVADQISKAKSLGILDHSPDDEVEGEMMFLQARLLDNAMVLKHRYEDLIVKVVQNLSRELNVFSKRKWDFILVNHFLRDVREAKKRGRKEKRHKEAQAVLAEAAAAVAASSRNSTISPKFGAGSSRVAQRTPSLPRSKDSSKPSNSKVSPVTNFGTFHMPILSKENALYCDVCLRSESVLNRIFVCSRCKAAVHIDCYRNLGISIGPWKCELCEDISSEAVSSSGEADCNDKYVSMVRCALCHGTSGAFRKTIDDQWIHAFCAEETLLREKDTCCVCISKVGVCLKCNSEGCQTTFHPSCARDAGFYMNIKGFGTTLQHKAYCAKHSTEQKEVELEKLRLLCERVIKREKVKRETVLCDHDILAKTKDTVVFSYLAPGASSESATTSVNNKSYSGTVQRSDDVTVDSSISGKKTVRFSLNNGDAERNTADSSRTLISFKRKLSERGPLAGKQLPQRSVSALHKLEDGEQKIKDKVCTVLASCLIISDTKLMYNT >cds.KYUSt_chr4.50372 pep primary_assembly:MPB_Lper_Kyuss_1697:4:312040739:312041635:-1 gene:KYUSg_chr4.50372 transcript:KYUSt_chr4.50372 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPMEEEMLLLPSFAFPECFPADAAAPGSGTAMRCAGGEQKKAGRQRRRRKASAGDGDDAMAKKRKLNDEQAQFLEMSFRKERKLETSRKVQLAAELGLDTKQVAVWFQNRRARYKSKLIEEEFSKLRAAHDSVVVHNCHLEAELHRLKERLAETEEEKSKIMAAAAATAGGGGSSPSSSSFSTVTDHAAMVDQFEMEGAEANFAYMSDYTYNNYLMDLAAGGYLGCVYDQFS >cds.KYUSt_chr2.52839 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329660495:329660779:1 gene:KYUSg_chr2.52839 transcript:KYUSt_chr2.52839 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGIRADDREKAERMCKVALWCVQYQPELRPAMSSVVRMLEGEEEIARPVNPFTYMASLQIISGSSSGGSTTATTSGDSANRSSASSMAWLD >cds.KYUSt_chr5.37830 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239032947:239033282:-1 gene:KYUSg_chr5.37830 transcript:KYUSt_chr5.37830 gene_biotype:protein_coding transcript_biotype:protein_coding MELIGSCGKSLLPPADFSGRRATMASFGVSSSTPAHDLPPGIEAISEAGRLLQDICSVYLRCMLAMAQWRVLHPKWLVPRRRGVWFLLGDEKEEEKDWIAFLVLFLGSFLQ >cds.KYUSt_chr1.29649 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179550499:179553764:-1 gene:KYUSg_chr1.29649 transcript:KYUSt_chr1.29649 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDTAFDETSELVVAAAPLIHEHNARAEQRSGEAARGDRDSVVSDMEKEQKVGAERAAAAAAQRWVIGAGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVAKPLLGLLSDAVPIRGARRLPYVAIGALLQAVSWLGIALWPSLTLPVLTIFLLLSNFGASICEVANDAIVAEAGKQATSSSGGQLQSFACMFGSSAGALGNLLGGIALSYFSPKAMFLFFAILLVLQFFTTVAIPESSLKLPKAATNASVVSSIRKQVKELSYALCMPEIFWSIIWFSVSYAVIPFLLGTMFFYQTEVLRLDSSVIGLSKVFGQVALLAWSMAYNKCFKAMPARKVLSALQFITAVIMLSDVLFVQGVYGKIGVPDSIYTIVFSGMLEGLMFFKVLPFSVLIANLCPSGCEGSVMAFVMSALALSTIISGYLGVALAEYMGVSGDDFSALPVCLLIEAACTMLPLCCSSLIKERTEKEKKQE >cds.KYUSt_chr5.28400 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179861972:179864178:1 gene:KYUSg_chr5.28400 transcript:KYUSt_chr5.28400 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTLLNQRRDGEGYREMVRASSDLSVGDGWPGVDWRGALLGGGWERCIWEGKRAGVDMKEPVLGAGLAGAERCRHGACVLACLDWEELRRKLLTVFNTLIDTSLLSDRWICLWAGTPEIWFRGPVVPGRSHAALATNAAHAGPSSHELLIFNDAPVDDEAVGVIELPCLENATKIEMRLGCLGLALPKSGIEAPDWGAAAA >cds.KYUSt_chr3.35208 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221079855:221084309:-1 gene:KYUSg_chr3.35208 transcript:KYUSt_chr3.35208 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKDGTLDAARRASAFVRGDDVLHKLFTELAYRYKPEIACQTPQIGRLQRVVMCSSAALQTAHKVLDRMPYRDVVAATAAIGALGRRGRHRDAVDLFSQVLADGVPPNNFTFGTVLRSATALRDLRVGAQLHACVAKFGLCSNVFVGSALVDHYAKMGAMREAQSALGDTHEPNVVSYTGLINGFLKNGMFEDALGLFRCMPERNAVSWNAMIGGCSQAGLNEEAVRLFLEMCRQGVRPTESTFPCVLTSVANAGALGIGRSVHASAIKHFGTLDVYIGNSLVSFYARCASLEDSVLVFRKMEQKNVVSWNALICGYARNGRGQEALGAYKKMRAMGMKADNVTLLGLLFACNHAGLVDEGYSLFKTAQVEQPDILKADHYACVVDLLSRAKRFDDAKRFLEDLPFEPGVGFWKAMIGGCQIHWNKDLAESVAERIQALDPKDTSSYILLSNVYSAAGSWQSVSMVRRQIKEMGLKRITGCSWIELQDKAHVFFNGDHRHPQSDEIYMMLELCLNTDEDDEHCLV >cds.KYUSt_chr7.8123 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49171316:49171903:1 gene:KYUSg_chr7.8123 transcript:KYUSt_chr7.8123 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCVGGSRIRLQRQRKHTDHSITQSHAAMSSSSSSNSKAKRSTGLQGRRAQPLSLTTRPSKKPRVVAGSSDGGPAGPVIIYEHTPRIIHAAPDEFMSVVQRLTGKQQSFSSTSTAATLPEPEATSGARDDDGASTSAPAEALALALGQQQRTPCGDDHPGPSTSPSAASSLLSPSSFIFSPATMRAIRELISEC >cds.KYUSt_chr3.17114 pep primary_assembly:MPB_Lper_Kyuss_1697:3:104875587:104878503:-1 gene:KYUSg_chr3.17114 transcript:KYUSt_chr3.17114 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPEFRLVCFKLNRASFQTTDLAAKGTSILVEPSPTQHHQPSPFDPPMGLGPGSFPGATPLSVASSMPVLAQDNAGYRFRGVTVRVTKQLIQDKEALIARSQDEAKGLTADLKTDLAEIRTLSSQLVTGKDEDDEAEIAEVDRIRVDALHALDAFLQ >cds.KYUSt_chr2.2515 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15186252:15186758:1 gene:KYUSg_chr2.2515 transcript:KYUSt_chr2.2515 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEVCDANSHLITNGELRALQPIFQIYGRRQVFAGPIVTLKVFEDNVLVREFLEEKGQGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGMGEKHVPVTIAGTRICDGEWLYADTDGILVSRTELIV >cds.KYUSt_chr5.4389 pep primary_assembly:MPB_Lper_Kyuss_1697:5:28015372:28019952:1 gene:KYUSg_chr5.4389 transcript:KYUSt_chr5.4389 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAEGRACSKMQLFLCFHSIQGVSRVAEASPLRRGFGVCCLGVIHQSKSDASLPQGGLQVIRSQARIFCQICWEKGHSRRRSDQWMDKSCTGCKQYIAHQYWDHMDTRKKRFFKPMLGDFRNGVTIPEKFVRSIGGQISELVKLETPDGNTHNVHVANELNNLVLRSGWSIFASVYGLEEGDFLRFKYNGDSHFKVEIYDPTACEKESSCVVMKRNPGPQKQSIPRDNPMPSPEGERLDTRHNGCYGDSWKTTKINPEGSSPQKRAKKEAPSSEGIQNSMNSGEVQTSTRSRYFSATECNLTNAHKAEVDKIVQNARREIPPYVKTMASTTLVGGFLVICNDYAIKYLPREDEIITLCHANNSNKWGVHFKINTDGTYHLSAGWLGFVQDNKLQEGDTCVFEVLKDPRSFTMKVHLLKANYHRPPGFVSSANVLRPEDNVRYSRFTVLKGMLKTKVYEKVADIKSENPIFVSVMLKSNIGGNSPNLAFSMDYARDYLPTESQIIRLHRPGESTTWKTLFKIHDGRRWLVRGWRQFCNDNKLKLHDVCLLERMKNKKKLRMMVHIIRKEEY >cds.KYUSt_chr4.28579 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179574616:179576664:-1 gene:KYUSg_chr4.28579 transcript:KYUSt_chr4.28579 gene_biotype:protein_coding transcript_biotype:protein_coding MTERSTGGYDVFFGSTLIAWSARKQATVSRSRSKPSIRLWPMLSAEIIWVQSLLQELGISQPQPPILWPPWRVEPVPPILLSHMLEHLELKRCCCLPFEEVLTSRTLKTMCLCNVTRLELSGLPPLETVMLTGLPEDSHQSGPSFQMTTVDEDLPKLSNLTTLLLNEFDLTGQSAHDHAGVISAERPLLGETYSSLLQASYKNILTAANLKLPPRSKTKRTSYQCMSLKLAEIKYSDEVGVCQVVELLMGVTKDLQKISIMLSKD >cds.KYUSt_scaffold_2697.628 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4009087:4009922:-1 gene:KYUSg_scaffold_2697.628 transcript:KYUSt_scaffold_2697.628 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLHDDGLLTSFDLESFETCESCLLDKMTKTPFAKSCERASDLLELIHSDGVGGGVRGLQMLQRTKQFGWRLDTRAYAAVVDLLCREQRVVEAEEMLEEMSGRGLVPLLHPRWWSMRIVRTGMSDAVRVFEKMKLGWCKPNVWTYNALVWGLCKDGKVHSAMVLLNRMRAHGVEPDVVTYNLLIRAQCVDGHIESAFRLLRLMEGDGLAAFF >cds.KYUSt_chr6.10046 pep primary_assembly:MPB_Lper_Kyuss_1697:6:61979607:61981042:-1 gene:KYUSg_chr6.10046 transcript:KYUSt_chr6.10046 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKSHKGHTSSPRRSAAIAAPVAFLLFLGLVSLYDLTLSDRRYLSIYGGTSSSSSSSSVASSSSAPACDLTRGEWVPDAAEAPYYTNLTCPFIDDHQNCMKFGKPSLEFMRWRWRPDGCELPRFDAAAFLHAMRGKSVAFVGDSLARNHFKSLLCILSTVALPVEVGTDPEIDVTGRAVRRDYYYGSHDFTASLFWSPFLVKANISNATLGMWDVHLDEPDGRWAAHVADFDHVVLSGTNWFLRQSVYYEGGRPVACNGGASCGVGGNLTELAVPLALRAAFRTALGAVASHKGFSGKAILRTLTPAHFENGGWNTGGDCVRTRPYRRGNRTLGAFEAEYRSAQVEALRETKAAARTNGAELLLLDITEAMDLRPDGHPSRYGHPPGGSVEGDFVVDCLHWCLPGPIDLWSELLFQMLLPQH >cds.KYUSt_chr2.43388 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270046746:270048973:-1 gene:KYUSg_chr2.43388 transcript:KYUSt_chr2.43388 gene_biotype:protein_coding transcript_biotype:protein_coding MECQNGHVAATSDGLCVAQPARADPLNWGKAAEELSGSHLDAVKQMVEEYRRPVVTMEGASLTIAMVAAVAAGADTRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVATAPDGSKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASIVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMALAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNSRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHIEENVKNAVKNCVKTVARKTLSTNDSGHLHSARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERDAQTSVFAKLATFEQELRAVLPKEVEAARCSVENGTAAQENRITECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNGEPLPIC >cds.KYUSt_chr2.43832 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272858731:272859186:-1 gene:KYUSg_chr2.43832 transcript:KYUSt_chr2.43832 gene_biotype:protein_coding transcript_biotype:protein_coding MASECGFWSFPSFPRSSFVFVAGEVVKVLCRGRLSAFPPPAGRGGEEWKWWSFVFRFVGGGAGVPSSTFQLRPAMVARGAASGGGVGLVVVDLGGAASAGRRVVAGSGCCGRARSARLGSVLQRWTAPAAIYELWRRLLPGGKRYGGAAIA >cds.KYUSt_contig_988.396 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2349652:2353198:-1 gene:KYUSg_contig_988.396 transcript:KYUSt_contig_988.396 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGGISGTERWMARVGSSRYSLQGCCRRARGEDQGRYGGRAGCSILVRPPPHQAFLRRPPLPASGGAESHGLATLVGAEGHGLASTSWIPAREQGRRPQPGAPPRRHGRRLPPGSPRASHPLPLGIRPGGGSVLLTSARFPVRPLVTPNGNFSSISDNTVELDPNGGLVSFPFPDTFWAGSVVARTFRRTPTSCDTGSSPPRTVVQLAVHSTEDLATYSVSLEDGFNLATVVTPLFSRGGQCLALGCPLNLTNGCPVDQVKFDDCGVMVACKGDPGYFKRRCPLTRVNGTDREPLPQSCIAPRELKVVLRRMELAHPTMVGAASARTELAHPTMVGAASAQTEHM >cds.KYUSt_chr4.51807 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321602574:321604006:1 gene:KYUSg_chr4.51807 transcript:KYUSt_chr4.51807 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRGGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASARLEPFQNHVPGNQKPDQNQGKQPP >cds.KYUSt_chr2.54662 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341031762:341035660:-1 gene:KYUSg_chr2.54662 transcript:KYUSt_chr2.54662 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVVIALVLVLDLLAFVLAIGAERRRSTAEVSEDGAGRSYCVYTTDASTWYGVGALSLLLAGQAVAMGASRCFCCGRALSPGRWRGFSGVCFIICWLTFIIAELCLLAGSVRNAYHTKYSGYFINGPPHCTMLRKGVFAAGAAFTFLAALFAELHYMFYAAAAAATPPIIHGGGIGMTRI >cds.KYUSt_chr6.9260 pep primary_assembly:MPB_Lper_Kyuss_1697:6:57094964:57096606:-1 gene:KYUSg_chr6.9260 transcript:KYUSt_chr6.9260 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPRRSSLPAAAVALLLLLSTFSGGEAYAYGDASGAGGMTELQKHAAFFDANNDGVVSFSETYDAFRSFGFGIAASTLSATFINAGLCPMTRPENDTSRLSVYIENIHKGIHGSDTGAYDSQGRFVPDKFEEIFIKHANTVPDALTASEVDELISSNREPGDYVGWAGASAEWKILYSIGKDKDGLLRRDAVRGVYDGSLFPKVVQARRSAH >cds.KYUSt_chr2.9378 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59057192:59062127:1 gene:KYUSg_chr2.9378 transcript:KYUSt_chr2.9378 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPWLLVAHGSLTALVVVSFLCGQWPIFEGTFVQSINHFLTSGAYRHFLRLVQASCGNGARDLVLGVEQYCCDRPNPILQLFYVAIIGGSYIIIVQTSFKYIPGYYVSVWHRYLSIVVVSIGAILFALTSFSDPGTVTSENVSQYVSAYPFDNIIFVEKECSTCKIIRPARAKHCRICDRCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLLCMYGAVILAFIIAGELKVKKVIYILTVYYGVDNSFSGLFPHVAQWLLAVHNTQILLAVFLGIIALLLGGFCAYHVHLCLSNTTTNESFKWQDYIFWMKKENAARASAAVLKASISGASSEAQEPAPSKWKTFFSRSQTPAEEPVVKNNIYDVGWMRNLWEVIVPLSERRSFSRRKSD >cds.KYUSt_chr1.30648 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185555947:185556225:-1 gene:KYUSg_chr1.30648 transcript:KYUSt_chr1.30648 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVWSASPVLRDRLRRCLTVILFLTVLHRSETLMKSGGAVRISINNKVQVCVNGDSAASCRVRLPLPGCHGGGMRRWKADAAGDAGELHGV >cds.KYUSt_chr6.3160 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18531176:18533354:1 gene:KYUSg_chr6.3160 transcript:KYUSt_chr6.3160 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSCASTLPWTAAFAPSSSSASAASPRGIQTRRAPSLVIVAQGKVKKYRQVILMDDIEEVGGKKGDTMKVRAGFYRNFLLPKGKATLLTPDVLKEMELEQVRIEAEKKRVKEEAQQLARVFETIGAFKVPRKGGKGKQIFGSVTSQDLVEIIKSQLNRDVDKRLVEVPEIREVGEYVAEIKLHPDVTAKVRLTVYAK >cds.KYUSt_chr4.38486 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237338691:237339254:-1 gene:KYUSg_chr4.38486 transcript:KYUSt_chr4.38486 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDSWTLEIESPVAAPRLFKAGVMDWHTLAPKLAPHIIASAHPIEGEGGVGSVRQFNFTSAMPFSIMKEKLDFLDMEKCECKSTLLEGGGIGITIETATSHIKVEATANGGSVVKVETTYKLLPGAEVKDEITNAKDSLTNIFKGAEAYLIANPDTYN >cds.KYUSt_chr4.48105 pep primary_assembly:MPB_Lper_Kyuss_1697:4:297886861:297888279:1 gene:KYUSg_chr4.48105 transcript:KYUSt_chr4.48105 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKGHRRRHRHRRDLQKVAAVGDRLSELPNDLLLNILERVGTLDAVRTCLLSKQMRKLPAMLSEIVIVPRDGDLVRIKGVLAQLIDRILSTRSPLLTISKLMVRFVLTPDDCRSIGKSVGLAMATQILHKAEFEIVTPQNSFYCTHADFLNFGKQFSTFVADCQDAFAGLTRLQLQNMRFGESDIPNILSTCKRLESLSLFECDAGFRSVLHVEHARLIEIDITYGEFKTVELDCPKLQRMKYDNWPCDENPLVLGSVPQLSKLSLANEGLSDMTLMISQLLANAPVVRDLYLDFRSEKIWIQPECPRVLAPVLGQLRSVNLDNLPEECDIAWTMFLLQTAPSVEDLCISVRDHKCGSESQKARTKRTDVKWEKSGPKFKHKNLGKLTIYGFQTDGNFMGYIRRVMESALNIKEVSLHDRKVCKPCGDMFPHAEVRPSTYPRSCEEKDSLRNKITEASVMMASPAVIQFWT >cds.KYUSt_chr3.22463 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138803252:138805544:-1 gene:KYUSg_chr3.22463 transcript:KYUSt_chr3.22463 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAQLRTKAAQAADFASKHGGAYYKEAMEKNKHYVAQPPTVEKCQELSKQLFYTRLASLPGRYESFWKELDCVKQVWKNRKDLKVEDLGIATLFGVELYAWFCVGEIAGRGFTITGYKV >cds.KYUSt_chr6.17976 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113156362:113156987:-1 gene:KYUSg_chr6.17976 transcript:KYUSt_chr6.17976 gene_biotype:protein_coding transcript_biotype:protein_coding MKACQTAERKDPEEKSRGGCLDGDGGARLGIDRSRGGMTRGKQKIDAQRRSAEKNQKSKGSQLEARAEGLKIVCPVCKTQLANEKQLIDHYGSKHPKEKPPGPSNA >cds.KYUSt_chr3.22427 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138544033:138547895:1 gene:KYUSg_chr3.22427 transcript:KYUSt_chr3.22427 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDFPYATNDIRPPQEGVADSGALWTRYEEFHVALFRSKSKKKQNLFGDRVFDLFDQKGNGVIEFGEFIRSLSVFHPDTPEEQKAAFAFRLYDLRQTGFIERHELKEMVLALLDESNLDITSDAVEMIVDTTFDQADVNRDGRIDQEEWNVFTKDNPYVLRNMSLPYLKDITMIFPSFVIHSEVSEADMFV >cds.KYUSt_chr1.26576 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160184576:160188467:1 gene:KYUSg_chr1.26576 transcript:KYUSt_chr1.26576 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRQRTDWSKDAGVWQEMHGYIRIVPFRDTDIPTWKDGGKRMGLKFDWVNVALLINSDNGQTKNDDYASPSFDDQRIHQNYFPDDQDQFAFECSCFLLHMVLTCLSINNGEPDPII >cds.KYUSt_chr5.30132 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191141909:191143561:-1 gene:KYUSg_chr5.30132 transcript:KYUSt_chr5.30132 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPWTPFLVVVLATVLLLATILRRGRRAYRLPPGPKPWPIIGNLKLMGALPHRSIHALSKRYGPLMYLRFGSFPVVVGSSVEMAKFFLKTHDSVFIDRPKTAAGKYTTYNYSDITWSPYGAYWRQARKLCLTELFSTKRLESYEYIRREEMRALLCELHEAAGRAVVLKDYLFTLNLNVITRMVMGKKYLHKEATEHGTTLEEFKWMVDEWFVLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSKTFDRFLEHVVEEHDQRRRLEGESFVANDMVDVLLEIASDPNLEVRIDQDGIKAFIQDLMAGGTESSAITVEWAMSEILKKPEVFAKASGELDRVVGRDRWVDEEDIPSLPYVEAIVKETLRLHPTSPMLVPRLSRMDASVGGYDIPVGTRVLVSVCSIARDPTLWDAPEEFVPDRFLGSKIDIKGQNFELLPFGSGRRMCPGYSLGMKVIQVSLANLLHGFTWRLPDGVATEELSMKTARVKRTLCLRPRTC >cds.KYUSt_chr6.11075 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68743101:68743550:-1 gene:KYUSg_chr6.11075 transcript:KYUSt_chr6.11075 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSNDPPDPRSHEDEIIPFMAAACPRLLMATIVPSSTESSNGGAAPPRPSFQLSQEIQGSHERHWRSCRHALQSSNPAVAAFLHPRDFEQEKQITGHGLTVDGEFEDLEALYVESDLKSGDDEEDQDQAAHRRGCCHFCMVPGFSLLL >cds.KYUSt_chr3.40657 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256437676:256443160:-1 gene:KYUSg_chr3.40657 transcript:KYUSt_chr3.40657 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGAAAIMAVLVAMLVACAPSAAAGRVWLQEEGGQNGTARREVTYDGRALILDGARRMLFSGDIHYPRSTPEMWPGLIENAKKGGLDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREVHSQGLYVSLRVGPFVEAEWKYGALPFWLHSVPNITFRSDNEPFKVHMQNFVAKIVKMMKDEKLYYPQGGPIIISQIENEYKLVEAAFHSRGPPYVQWAAAMAVNLQTGVPWMMCKQDDAPDPIINTCNGLICGETFLGPNSPKKPALWTENWTSRYPLYGHDPRFRSAADLAFAVALFIARKKGSFVNYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGLIWQSTWSHLRELHAAVKQSEEPLLSGSYSNYSFGEQQEGHVFETESDCVAFLVNFDKHKTSSIQFGEASFQLAPKSISILSGCRTVVFETAKINAQHGLRTAQIVQPLNDVDNWKIFKEPIPQEISNTTHTGNRFFEHLSTTKDETDYLWYLSRYDYRSNGHGQLVLNVESQAHVLHAYINNDYLGSVHGSHDGPKSIILKTPITLKEGHNSISLLSVMVGSPDSGAYMERRTFGVRKVSIQQGHHKSHSLNNELWKHQVGLSGEMNSIYTQDGSNHAQWTTIDKSTHLPLIWYKTTFDMPWGNDPVTLNLSSMGKGEVWINGESIGRYWVSFKTPSGQPTQSLYHIPRYFLRPRENNLVLLEEMGGDPLHITVNTMSVTRVSSSVNELSIPSLLSRRKHPAVRLRCQEGKHITDIEFASYGNPVEDCRSSGRRCLGSCHAETTEFVVKDVKLSAFPEATC >cds.KYUSt_chr5.14111 pep primary_assembly:MPB_Lper_Kyuss_1697:5:91551225:91551697:1 gene:KYUSg_chr5.14111 transcript:KYUSt_chr5.14111 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCCTSSAPPLAALALLLLLCFSHCAAAARLFPASTAPLQDMGVIDVKAAGATSDGLAVLPAEGIKVRNGEEAVLEMTEDVEAEEAACEEGINGEECMQRRLLHDAHLDYIYTQRKGRP >cds.KYUSt_chr3.16857 pep primary_assembly:MPB_Lper_Kyuss_1697:3:103316587:103317798:1 gene:KYUSg_chr3.16857 transcript:KYUSt_chr3.16857 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQVRLLAARAAALPASLRQRPTRSPLSAPSRAQTQTAASASSWAILGSIPRVAAADGADVSLALTPPPRVSILTVSPRVFPEPVTPQHFPFILAADPSGLLLLQATLGRPWTREATLGPDGYFKSVTWHNSLPRYFVLDPAADSASAFQLPDPDDAIMHQALLGLIASPAGYMVAELRPLIGSDKATLLCFSSETGTWVSKPVHYPLPPRHLAPINVLSLHGRLWWVDLEWGVITSDPFADHPVLRFVPFPPDRVLGCREAWGVADIYRCVGVSAGKLRFVDTMYMGPIIGGTPDITVWTLPGPDATEWTLEHQVSFGDIWADDSYKATGLPVDIPALALIHPDDPDIVYFFLEEHIFAVDVRARKVVDCKVYHLVAPPRCKVASRFVRAWKLPQPLPSG >cds.KYUSt_chr7.17411 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107809569:107816282:-1 gene:KYUSg_chr7.17411 transcript:KYUSt_chr7.17411 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSSISTGGKLSPALSCSVLPDLLDLVLNGPQPRSSDEARLPVREASIRRRLQAMSRPSRLPKDGSVRGVRTDWEMSMDKYEVLEHIGDGAFDSGLLMRHEVGKKYLLKKIQLEPQTDRSHRSSLKEAGGDGGGVLNRRKVPALSAASSVRSLCRVPAQHLVSILAVFADVLAGNKATPVATAQLESGEIRGELPAANEVLGDSHTSGDVCGEPQGGNEFRRKKSLRKVTIMQPEGISPTVGSNGSLSHATDSLIVRMIVLNRQNMSAAMEVFILPRHHDHQRACYPDDPDVEELPAMAAFEVEETNAYSVTEAAAHEAVAEESDLLFTESLLRQA >cds.KYUSt_chr2.3078 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18101880:18103349:-1 gene:KYUSg_chr2.3078 transcript:KYUSt_chr2.3078 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAARKWPGQGVLTRALLLGVAALALRLLYGAFVAVGGGWAPYPAVPATAVVARRTHVQAGSPEAWRSHDWRRAVDFHAEVLGRHLADGLLDTSSRAVCLGGAQEALALRELGVPGTVAVAKKRSPPLAVAGDDRRLPFPSSSVDFVFAGRALDTSKHPSDLAGEAARILKPEAHLVVLTSSAGDAYSHRSLQALFPSLQLLRSREINGPDGSALRELVFQKLNATPASTATHNSASTNCTIGDHKLHLLTRAEPLIQEEPLKPWLTLKRNIQNIKYLPELADIGFKRRYVYVDVGARSYGSSIGSWFRKQYPKQNHTFEVFAIEADPAFHPEYATRKGVTLLPYAAWVKNETLSFEINGDPGKEDEAKASGRGMGRIRPTAGKKMSGKVRSVPAFDFAEWLKQTVSEQDYVVMKMDVEGTEFDLIPRLFDTGAICLVDEVFLECHYNRWQKCCPGERSPKYQNTYEECLELFSSLRESGVLVHQWF >cds.KYUSt_chr6.9428 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58137545:58140637:-1 gene:KYUSg_chr6.9428 transcript:KYUSt_chr6.9428 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALAMRPSSSPYPLLPPPTCSSRLPPLRSFVGLRWSAPRVQVREQLNWAARIGSGTRGCNGRFRAPASSTSLPCHRAIVIRNDNSQNADFPRNYSKREKKPFPIPVVELRRRARQRMKQAEGKPKRSLPPPKNGLLVHRLIPEAYRVYNARILLINNLKRLMKVVPVKGCNEIHVGFDGHPFRTCRGMSSDKRRGEHDWGSTLLEAVFLPVEAYHLEDRLGPRIPHDQRFAVPRIPALVELCIQAGVDLPEYPTKRRRKPIIKIGRTEFVDANEDDLPDPEPDERFKEPLLEELPDNEIIAPSSPEETAVLAEETLEAWETVRKGALRLLKSYAVRVCGYCPEVHIGSSGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHMPESGELQKELKNFYGQAPAIVEICIQGGAEVPDKYKATMRLDVGIPSSLREAEMVV >cds.KYUSt_contig_786.434 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2342790:2346532:1 gene:KYUSg_contig_786.434 transcript:KYUSt_contig_786.434 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQSGLFKSDGFYLGRVHVTEESHHEAKGCSLALAARLGWPQIQLHHLPTVSLDLECLLLLSEVPTMAACGGWFGATINTVIGPFYNLFAKHALYCFTAGTNVMDHKREAMALKEKVAGLQQKIRDDERRLEAVPTEQARLWLESANSAISEEEENRLLYEQRYRCWGCCSPNFLENYRIGKRADEQLKQVKSITSNPPGDNGITRSPDPRPVKSMHVDTAPIPPSRWVILRRALQFIVSNDPNEGIVGMWGPDKDDNTNLLKHINNSFIEQSLFDFVIFVPSPIDYSVTNIQSEIISRLGMKHDGNEATRATRIREQLEGKNFLLIVDDLHQNLDLGAVGIPYPLGFVGEKKRKVVIMSLSGYRSVGNLVDVNKYIELPILQEGEARELFRQSVNYQGDLYSDPNIGPHATDLVRTINGLPSELVRYGKSMRGTTDASSWKVAIDDAASKFSRLRSIQDTLHLIDDDPTLGVIGIWGPGGVGKTHLLKKIQGFFRERMTVIWVTASKECSVLKVQTQILDKLKLKGDGNEGTQSAMIHGFLENKSFLLLLDDLWERIDLEAVGLPLPLGIEPLNKLKRKVVLTTRDTKICGEMEVRKQIEVPYLQENEAWELFREKVGDQTIFSPGIKDRAKILVTEMKGLPLALVTVGRAMYGKFRPDQWDSAIQYMKKSCCIDTNEDPLKMEEEVFRKIMFSYDNLKSERLKNCFLTCALWPEDHEIYREELAQCWIGLGLVDVGDIQSPYTKAYSLMGDLTGACLLEGYEELNYHVKLHDVIRDMSLWVSCGCGKNNGNWFVRAGVRPDEKFSIPWSSAEYISLMLSGMMKLPSVGDPLKLRVLCLQQNRLDETIIGGVLVNAAKLTYLDLRSNLLNGIPESLCHLIELIHLDLSNNHNIEEVPRSFGNLIKLKFLYLQDNCIKIIPKEVISRLEALEIIDVDLRWVSDCIRSNVYRDLGTLNHLKVVVTSVELSDAWTSLHDAADLPIRSLRLVPSAPKKEFIHLYDILSLDFAQKTLYELDIAYDQCVTDITLIQRPGQQPYSFGVLTDLAMCDLEALTTVKWMGTSPASVFPRLTRLAVLGCTKLEHLSWAMYLPCLEKLNVQFNDSMRKAFTRYHVDNMWSGQESSQTFPCLKHLGLWTCKLLVTIADPNVTFPSLEVLEIVRCPELKKLPFDMASLPQSLKVLLMGDTESWERLELEEGVKSFLQPKLQYDD >cds.KYUSt_chr6.27432 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174197404:174200795:1 gene:KYUSg_chr6.27432 transcript:KYUSt_chr6.27432 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWLSAGGRGGREIWPMEGWPDAGGRGGRQPEPCAGGRVAVIALEGGGLARRRRMGSCESAGRGREVWESGRGGSEAWPWRLSEEAALIMGETETDTTDALKEPIDIGSNTMLVEETSFTESTKVMDNIKMDVNVLKKAITEGLEATLTEGSLDSPTLTNPKESCHNKPKKENINVIPKDYVCTAKDFAVIESIMFAPKNTNFVKIGDASLSNDHLKCLTCDDGFLPDDVINAYIYCMRACDHLLNRADNKLRGLEKHLKTASQIKGFDKGKEHQGDVAKENIQLPICTLSTKPHEFVDEICKYIMSIDDATCLKKEWVRSSTPYPLGLTLKQIQDILRMDQPMDKDCFNMASAILHGRKHPLWRVKPDIKELATFFHSWPGIDLNISSCNMDGYGLRKKFLIHILKYLANKVEDNIPEIMREYLRRVNGPWL >cds.KYUSt_chr6.19807 pep primary_assembly:MPB_Lper_Kyuss_1697:6:124930235:124940128:-1 gene:KYUSg_chr6.19807 transcript:KYUSt_chr6.19807 gene_biotype:protein_coding transcript_biotype:protein_coding MAKENANPIVHDAIGSKRDASLFDAVPSTAVAAAGGGRIPPGWDPYEEVPYISPPNPYDTCMDDPWNELSGSDEEDQHVKTRQVLRRLQVGQYVSYFAKGVYRCPFCTRRLGGTDFNCLLTHAENIGNTFPKGLRRPVFLATSRLLRLPFLPRHRKALTLALPSFPDVGRTAHQPVFPVAGWLPRRCPSPWPAVPCVYPPFPSPAGPRAPKSCLPRAHKGAAAPPLMLLPLPDQDNLPPLYCSARPLAAAMEDFRDAQTPTPHPRDPVPLAPEAPSSNSRSTLPRPADEAFRPPARISRQPCSR >cds.KYUSt_chr7.22019 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136410534:136412987:1 gene:KYUSg_chr7.22019 transcript:KYUSt_chr7.22019 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSGFVPTAFVSNCLLQMYARCADAAYARRVFDAMPHRDTVSWNTMLTAYTHSGDIKTAISLFDAMPNPDVVSWNALISSYCQRGMYRESVALFLEMARSGVASDRTTFAVLLKSCGALDDLALGVQIHALAVKTGLGIDVRTGSALVDMYGKCSSLEDGLFFFYAMPDRNWVSWGAAIAACVQNGQYTRGLELFMEMQRSGMGVSQPAYASAFRSCAAMSCLSTGRQLHAHAIKNKFNTDRIVGTAIVDVYAKANSLVDAKRAFFGLPSHKVETCNAMMVGLVRAGLGNEGMELFQFMTRSGIGFDAVSLSGVFSACAEIKGYLQGLQVHCLAMKSGFDADICVRNAILDLYGKCKALVEAYIVFQDMEQRDSVSWNAIIAALEQNGHYEDTVVHFNEMLRFGMEPDDFTYGSVLKACAALQSLEFGLMVHDKVIKSGLGSDAFVASTVVDMYCKCGMMTDAQKLHDRIGRQELVSWNAIMSGFSLNKQSEDAQKFFSQMLDMGLKPDHFTYATVLDTCANLATIEIGKQIHGQIIKQEMLVDEYISSTLIDMYAKCGYMQDSLLMFEKAHKRDFVSWNAMICGYALHGQGVEALQMFDRMQKENVVPNHATFVAVLRACSHVGLLDDGCRYFHQMTSRYKLEPQLEHFACMVDILGRSKGPREAREFISTMPFEADAVIWKTLLSVCKIHRDVEVAELAASNVLLLDPEDSSVYILLSNVYAESGKWVDVSRTRRLMRQGRLKKEPGCSWIEVQSEMHGFLVGDNVHPRSRELYDMLNYLIDEMKLTGYEPDLAYFAEEGSAFEQDQLHGIVGG >cds.KYUSt_chr7.14306 pep primary_assembly:MPB_Lper_Kyuss_1697:7:88419149:88420447:1 gene:KYUSg_chr7.14306 transcript:KYUSt_chr7.14306 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEWTRGPTIGRGSSATVSLAVDRLTGELLAVKSVGADRAAELRREQSILCGLSSPYVVRCLGSEVSASSDGSGGYDMLMEYAPGGSLADEIRRRGGRFEEESLIRSRARDILRGLAHAHAAGVAHCDVKGRNVLIGADGRAMIADFGCARRIAGERCLVAGGTPAFMSPEAARGEAQGPAADVWALGCTVIEMATGAAPWQRFGSPVAMLHHVAFSGEAPESPLWLSEEGRDFLGRCLLQDAGERWTAEQLLEHEFVAFAAAVSSSSISVAGITTQKGMFVSPKSVLDQALWEEDDDDTTADTVTAGPIDRVRGLAAGAPDWTWDASWITVHSSGTSSDDEVLAMYTDTDGDSPVGGSSTTSVGSSNSQASHADCDRYDDTSSCNGERGDYGGHGINDCTYAITSNGFFSDMLLFDPAGCPILPFPLLL >cds.KYUSt_chr4.9711 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58653533:58654522:-1 gene:KYUSg_chr4.9711 transcript:KYUSt_chr4.9711 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTKLYSMKEAALHNTPDDCWIVVDGKIYDVTKYLEDHPGGADVLLEVTGKDGTEEFDDAGHSKDAKELMKDYFIGELDLDETPDIPELEVYRKEQDMDFASKLLANAGQYWAIPVAAIGISAIVAILYARKQ >cds.KYUSt_scaffold_869.85 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:470364:470907:1 gene:KYUSg_scaffold_869.85 transcript:KYUSt_scaffold_869.85 gene_biotype:protein_coding transcript_biotype:protein_coding MADHNGAWRACKSNRLACDASQITFLQAFRGQMVATVQGFSGSKHSNGFFINSCFIHGQSENHATWNANGSPAIQNKDIGKSVGDWYFGRAEVKAIDCPYPCDNTCHHDI >cds.KYUSt_chr3.3148 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18100300:18107342:1 gene:KYUSg_chr3.3148 transcript:KYUSt_chr3.3148 gene_biotype:protein_coding transcript_biotype:protein_coding MESRREVVSFGTVAVSMVVVQLAMAQWDNLGCAASVAQLAGVDALGLISTILQAAQAVRHNRETCQELVQDLQMMEDLLKLLKEPEMMRQDEIKNVLNGLDTTLREADSLVQSCRDCSAAYRVFMGWKQSDQFRRVKKKIAKHLRFYPMISHADITRRLEELGRSVQLSSSSQQDSQELRTSSTSSQNPETRSLVGTPSVMETEPVEIETEPAEIEMTQARTESTIVEEHQQAGSNEKNGSLPTKKRRFVLKLPLSGKKWSTFAREKGVVETPPFIAELTGAGFTFFHFTQLSAATNNFASEYKIEGWGSDDVYKGRLPNGLEIMIKRKPHPNTSEPLIRLKDEIRFLAKLQHMNIVKLVGCCTERGEALLAYEYMANGRLTDIISVGTGTKRFLDWSKRFKIIIGIARGLLYLHECCGMHIIHGDLSPRSILLDSNMAPKITDFACSNNYRPDEHRGSLHGTMGYMDANNHRVYSVRSDIFSFGMTLLEIITAQSTRELQNNENYDPLRLWTMERTAQLIDPALHGEPRMAEIMRCIQVALLCTGSDGKDPLSMWDVLLMLSCESAVIPTVPTPRGENPQITQHPEASGSGWGYHDEGELSDSDIVEPR >cds.KYUSt_chr7.15526 pep primary_assembly:MPB_Lper_Kyuss_1697:7:96589730:96590813:-1 gene:KYUSg_chr7.15526 transcript:KYUSt_chr7.15526 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSRSSSLVALALLLFVALAHTANADHLSTGYYTKTCPNVERVVSSVMAKRVGGGRMAPAVLRLFFHDCFVNGCDASVLLDATPFSESEKDAEPNASLTGFTVIDEIKAELERECPATVSCSDVVALASRDAVTLLGGPTWNVPLGRKDSRFAADKEFTTIHLPSPNDNLGELIKMFGDLGLDARDMTALSGAHTVGMSNCKHYRERVYGTSDTKYNIDPSFAEARRQMCPPQGSSGDAGKAPFDVQTPRKFDNAYYRDLIAHQGLLNSGQALYSGSGVDSLVVRYGADGDDFGRDFAKAMVKMGNIAPPKGMPTEVRLHCSKAN >cds.KYUSt_chr3.34863 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218572623:218577252:-1 gene:KYUSg_chr3.34863 transcript:KYUSt_chr3.34863 gene_biotype:protein_coding transcript_biotype:protein_coding MQRREATSNVHALEGASEAGSMDQRRRRSGPKGNAAAARSSVGSRRVLGDVLGEDVRGVGLALKKLFEEGVVKRGDLFITSKLWCDHHAPDDVPVALDESLNDLQLEYLDLYLIHSPFSVKKGSSIGNPENYVTLNIPATWAAMEKLHDAGKARAIGVSNFASKKLGDLLAVARIPPAVDQVECHPVWQQTKLHSFCQSTGVHLSAYSPLGSPGSTWSNGNVLKEPVVISIAERLGKTPAQVALRWNIQMGHSVLPKSVSEERIKQNLDVYDWSIPDDLLAKFSEIKQVMFPEKVPIHLDEWPSGVSCCPRPGWPIPLAFLLDLLAKVMYDVKARLKGKP >cds.KYUSt_contig_1181.746 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:5107878:5108549:-1 gene:KYUSg_contig_1181.746 transcript:KYUSt_contig_1181.746 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTGARHGEDSATPAQPPPPPPARRYKGVRLRKWGRWVSEIRMPNSRERIWLGSYESAEKAARAFDAAAVCLRGSRAGSLNFPESPPEVQHVPGALPTPERIQAEATRHANLACPAARAPAVTTAMASQLATSTSNDTSASLPSAGSAADDDDLLDWSFMDAWPAMPGSSAPGASGEIVTAKDDAMFGFSPLPRSPPPEELAEDVIKDDGDDHGFLSDLWRF >cds.KYUSt_chr1.2597 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15501266:15511142:-1 gene:KYUSg_chr1.2597 transcript:KYUSt_chr1.2597 gene_biotype:protein_coding transcript_biotype:protein_coding MRICLLSSTGSIYGGNIMKRKKIMRMREKLQHVDAPMLGIKRILARTAPERRLWLNRSLGINVMDSPWDAPDQPDSPPVRLQRSSSVRLSCPASSVRRLPSSRSISIADNGELEVGMEEPVRKGKTDFHKHPVNKANLLKCSEVDLHWLNLGQIASIGLISGTSPSLRFRHWEESYHLQPLPVASFLRLPVQVLVGLQESGLPPTVVSEPVFFGSRVYEMSPLKFDLPQLDYTTRFALWQVKMRAILAQYSDLDEAIDAFGEKAKDTWTDAEKRKDRKALSLIQLHLSNNILQEVLQDRRVMGQTRGDLFVQGSHGQIAREDEAVLA >cds.KYUSt_chr2.26188 pep primary_assembly:MPB_Lper_Kyuss_1697:2:160242376:160243386:-1 gene:KYUSg_chr2.26188 transcript:KYUSt_chr2.26188 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPAPPSLVRKTPSLDLALVVSSGHPRPRNPSSASCLSGHRRPLLALPSVGAAPARYSPLAPITWMLSAPARGSAIGVAATTHRLDAYCAHTRLYSQLAPDIADGVGVVMLLVVAWCCDAGDYFLLDLDAGGTVSRAQPRRQVLIQLSIELTAYMTGYVAFTERMHSIFT >cds.KYUSt_chr2.44797 pep primary_assembly:MPB_Lper_Kyuss_1697:2:278967639:278968277:1 gene:KYUSg_chr2.44797 transcript:KYUSt_chr2.44797 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRDRRSTAAMSPSLRLLGFLTQPDRAADVQELELDERDVVWSSSSPTSYSPSSSISTASSPSPTPSPYSNGQRWPLSSSSSSRAFPSGGAGLSALIADENNHSPTAAIPAAARREKQRHPQPFHQSAPVAVPAWSKATADRRRREAEQEAADEEDGDDDDDEPVVPPHEMAARRAAAAASVMEGAGRTLKGRDLRRMRNAVWRTTGFLDL >cds.KYUSt_chr7.16753 pep primary_assembly:MPB_Lper_Kyuss_1697:7:103804672:103805226:1 gene:KYUSg_chr7.16753 transcript:KYUSt_chr7.16753 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVRISCHADLQALQARSDGLMFLKFVSLESLSIASDSYALLLRNLIERRYWLCPQIDLLSLVAGVAVELDKIKHDLFPLVEAKLGDDGFPEALLLLKNSALVLVRLAEDAQEIVKKCVGLGKVQVVGALLDKVQVVGSVLEFNVDEVLNGTRKFAWFHSRVPPVLNEIDLVLSTPVYFPDSE >cds.KYUSt_chr4.14656 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90423544:90424708:-1 gene:KYUSg_chr4.14656 transcript:KYUSt_chr4.14656 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIPLLTPYKMGEFQLSHRVVLAPLSRLRSYGGVPQPHAAVYYSQRATPGGFLISEATLVSGLPEGPQESSSFRDVPGIWAQEHVEAWRPVVDAVHAKGAVFFCQLWHVGDKVVVRPQQVSPQMSFDGRREELSSPRRVTAEEAPRVVDWFRRAARNAVDAGFDGVEILGANGYFVDDGHVGSAGLESRCRFALEVVDAVAREVGGHRMGVCLDQFTTTGTGTGEEHAVALHVVSRLNDHGVLYCHMIEPRIEGRRHVSRQLLPFREAFEGTFIANGGYGREEGDAAVGEGYADLVAYGRLFLANPDLPRRFELVAPLNDCNRATFYGAGDTDTAVGYTDYPFLDRVM >cds.KYUSt_chr3.42996 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271816489:271817199:1 gene:KYUSg_chr3.42996 transcript:KYUSt_chr3.42996 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRSAMAPPVEHSIEVDTICFYEQAAPAGRFAVCVACYPMSSVGFCRLGAALPHESVMQPGENSLTTFLVADPATLRSAAACHGALRGMLAALPELQSLRLTEDEWDAVVPADVVPEIVGVAGRANGFIFCFHMGVHRRVIHDERGLLMACKEWRLAASALGEKDCGICLDELERESAVQMTCCEHAFHRRCISEWITKATCPMCRRDVWRPAVPEILELSFAGAPAQGMPDIE >cds.KYUSt_chr4.46705 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288694597:288695955:1 gene:KYUSg_chr4.46705 transcript:KYUSt_chr4.46705 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPIPSAAARPTSPRRQYLSATCASSARRLRADHAPGSDRLRVAVDDHEEVVDQFRGAKLWWRKTKMLPRSNIISWSAREEARRMYCLTFHHRHRGLVDALYLPHVLAEGRAAIMRNRQRRLFTNNPSSLWSGYYGRTWSHVKLEHPSTFATLGMDPGKKQSIIDDLEMFRDGKDYYASIGKAWKRGYLLFGPPGTGKSTMIAAMANYLDYDIYDLELTAVKNNTELRRIFIETTGKSIIVVEDIDCSIDLTGKRKTKEKKDKGRKKKKTTLPTPAGGREDEDSKVTLSGLLNFIDGLWSTCGGERIIVFTTNHKDKLDPALIRRGRMDSHIEMSYACFESFKVLAKNYLHIADHELFHEIRQLLGEVDMSPADVAENLMPKSKNRDVDACLRKLIKALKQAKEEALAKALVGTDDKAETQDDDNSNASAFVLRFSKKKNSSEEEGNKNK >cds.KYUSt_chr4.36839 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226600491:226601048:1 gene:KYUSg_chr4.36839 transcript:KYUSt_chr4.36839 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCVRPGGFSVSGGVKCQRRARVRVSAVAAAPERVATAAKTTMYYVLSVGTSAGPEEIKAAYRRAALRWHPDTCPGGADRFMMAREAYEVLSDPERRRGYDIQLRFGGVCGGAGSSHAAPGAGYADWEAQLAGLQWRAAEEARAETWGNRRCAGAPPRRRRRRTSPNHRGFALFLYIASTPWV >cds.KYUSt_chr6.3750 pep primary_assembly:MPB_Lper_Kyuss_1697:6:21608392:21609563:1 gene:KYUSg_chr6.3750 transcript:KYUSt_chr6.3750 gene_biotype:protein_coding transcript_biotype:protein_coding MLAREKIWEADASVILSLPLRGDFEDWPAWHFDEKGQFSVKSAYRVYVNLGEKEQNTSNRNAGNAVQWKKWWTRRDKLNAEGKLIDVRDAFGQARYWASESVLHCQKEKDKALSARISWSPPENDILKINCDGSFTKESATGGWGFDIRDSEGSVRGSGAGHLAHVTSAAQAEAIACTEALHAAVSWGMGRVQVESDARNMVMALEGNQGCNKVAHAVAAKGASSVEARSLWLESLPNLVCVPVTSDSAEFIE >cds.KYUSt_chr3.11297 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67327336:67329120:1 gene:KYUSg_chr3.11297 transcript:KYUSt_chr3.11297 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATISCLLFLLALLLPHAAVGVPRRHRFPPLQLAPVNASEPPTTFFEVDRPIRPPGGSVGPCSTPLLSDSFGYTYGQPPSTAAYAPPNCLAAARAGGGSVALAVLEWSADCRGLQFDRIFGVWLSGAELLRGCTAEPRPNGIHWSVSRDVTRYAALLSAPGEIAVYLGNIVDQTYTGVYHVNLTLHLYFHPAPPPPRQHADLILPISRSLPLYDGQWFCIQSSTDVQSKKLAVPSNTYRAVLEVFVSFHGSDEFWYTNPPNEYIEANNLSDFPGNGAFREIIVKVDEDIVGAVWPFTVIYTGGVNPLLWRPITGVGSFNLPTYDIDITPFLGKLLDGKKHDFSFGVTNALNVWYIDANLHLWLDHKSKKTTGGLISYNAAMSGPIVESEFRELDGQFVTTASRHVSATGWVESSHGKVMTTFYQRFSYKNSNVYSKNGVVQVVNQTIDATSGVSATNGTTVLLSEEVHQVFPLYLFSGTSDEVGDEYSMVSVVKLGINEKRTNSSLQNVQSARGSMRVKKNLVISGSGQNHQVYKYIGADGCYFRDVSSKDYTIIFDHTDDSCLKRSRDVGSTFPFRLPRRSIDRTAHVDI >cds.KYUSt_chr7.3497 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20889348:20890625:-1 gene:KYUSg_chr7.3497 transcript:KYUSt_chr7.3497 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPLPTVYEIRRSQRADGPAAVLSIGTANPANCVRQEEYPDYYFRVTKSQHLTELKQKFQTMCKPSIEQILSGKINSISLMIGCFDPGEMARTEKRYFHHTEQLLDEHQDFLCRGKPSLDARLAIAAAAAPELAESAASKAIAKWGRPATDITHLIVSTNSGAHAPGIGLRLASLLGLRASVCHTMLHLNGCSAGSASLRLAKDMAENNRGARVLVVCVELTVVSFRGPEEACPHMLIPQAFFGDGAGAVIIGADHAAHDDESPLFEIVSASQTVVPGTDAVLTMQLTESGLNGDVITRDLVPLAAKYIEGCLTDALLQPLGGCPNWNDLFWVVHPGVLGLLDRIDGALGLEPGKLAASRTVVRDYGNMLGATVIFVLDEQRRRMEEDDAETGCEWGVMMGFGPGFTIETMVLHAVASKASST >cds.KYUSt_chr2.53336 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332813093:332813425:-1 gene:KYUSg_chr2.53336 transcript:KYUSt_chr2.53336 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMDTRAAHAFVILLLVSSEVISFVVTHEVASPLPPDGFSTLPTEIAGQVTGVNDHEEKAIFGSPNTLIRPPRLPPCMSRAC >cds.KYUSt_chr3.39499 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248881921:248883777:1 gene:KYUSg_chr3.39499 transcript:KYUSt_chr3.39499 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRKWRWKVKVLKEDAETPLMDTGTLVRNDVVADERDIKRGKNNNGSYLQHVRLQPPTDNEKLEEVQGHDEPDRGNVIVVLCNPSNPCFFSQSRSGALKSLWLTVKLSSPKRPVRLLHRPDCCRTEDPGKLAMESRVVEMQRHKPCQMPRIPYQIEIDLVDDHSATLVRTSSIGRHCWNQNTPISCLC >cds.KYUSt_chr1.29018 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175568128:175569882:1 gene:KYUSg_chr1.29018 transcript:KYUSt_chr1.29018 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLPPPHGPHAAGDAKKTDLAGRNTPFCGAGDHHHPKKPRGGPSAAKLALASFLFVGALFALDAYLAGAGADRPLRHQYQHYLGRGGGGSSRAESESESKSASPSWLSVPSPTNFTEDLLARWLTPGGSRCRDARTANISVPLLDGAELSAAEIHEFRFWALDDAGARRCLGGDFFEIDLAGEAWKSRPPVVDRGDGSYTFRLQVAPRFAADNKFRLTIVLLFRSFEGLKFSSARFSYHTELRRIPLLFRPGNASLPALETCRAADFRRDVWSGRWTRLARNDNCEDVDDAGRYRCLEPDHPCEAPWCEGPLGALESNGWVYSAHCSFKLFTADAAWRCLDGKWLFFWGDSNHVDTIRNLLAFVLGVEDTSAVTRRFDAVFTNPSGEPGTVRITSIYNGHWNMSMNYLGLHSLRHRGFRQLVRSYFMGGDRVPDAVVLNSGLHDGCYWSSFRAYVQATEFAAQFWSGVMAKVRSRGLAAPRVFYRTTVATGGYARDLAFNPNKMELFNGVLVERLRQHGVLTGGVIDNFDMTFPWHYDNRCNDGVHYGRAPAKLVWRDGKIGHQYFVDLMLGHVLLNAICNG >cds.KYUSt_chr4.45464 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281386377:281393470:-1 gene:KYUSg_chr4.45464 transcript:KYUSt_chr4.45464 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTLDRLLEVVAVISIARVLHLAENYCDGLLVLTDAEERLVFSLPVGWGYLVLLPRALQLYFDLMVLPVEDIREGVGYSSGVARQHLDLRLRLTVCPHWEAKLMGRGAYTRKLPDKFAKLLDGQEPREVTLREASGGRYLWDVEVLFDGEGRMYLDRGWERFARAHDLGLGNFLVFSYDGDGVLTVKVFDGSMCCRHYHDDDEETTGGGISSDSCSKNSMYSVSNDMDESARKNSSSTGERNIEDALTSQFTVTLKPSHLGARQKQYLNVPPAFQHAHEYDSRSEVVLRMRGEKWTVTLKHNIRKGGHRTRASLRYGWHQFCVDNRLGVGDVCFFRALRGDGGGDDHALKVEVRKRDGTFLE >cds.KYUSt_chr2.27696 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170101787:170104354:-1 gene:KYUSg_chr2.27696 transcript:KYUSt_chr2.27696 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGAAQWLVGAEEGGVDGGSGCGPWPWATWARGVMEESSLLIGYSDGRSPSWLFHVQSAAAAAASVDLSLALASEESSQGREQAAPTACVDGKEVRLFPCLFCNKTFLKSQALGGHQNAHRKDRVGGFSNPYEDDGPYAHGSFGGAGVDSGSGRSMYASIASHGGGSMAEPSRADASPERWGAGAPRFADHAQAPMDPSVGCDAAVDVPGMTRRASAANASEKMDLELRL >cds.KYUSt_chr4.14509 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89565085:89566221:-1 gene:KYUSg_chr4.14509 transcript:KYUSt_chr4.14509 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTKRGRENACAPPETGTLPAELVLEIVARSDHRTLVRCAAICRQLRREILSPSFIHRVSSQAVPRILAHLRTTDADKPLALVHPATPSAASFCHDHLSPFISRRTAHIFDHYGPVMSRHGLVLLTRLDIESRSKSGRRFDLCVYDPMTGRRTFFSKPPEIKISGKSRCKYVLLTAADGIDDSFLLLVVDPYGWGIKVQAGSSCGTWGPVMYGSDHDFPWWSLKLRGDPAILSGGVIHWLASHGKQILSYDFGTGKTGSVKLPPTKYDFYQLYLTTSSDRKLLKLLSIQGYLMSVWLKLPNSAAGGGGWALETVIDTGEKLRSLDPSIPLGCCPYYYKLTVFHCFEKRSGDVVLLRVPREGYFDTITVDFGDEGYS >cds.KYUSt_contig_1790.33 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:189719:190346:-1 gene:KYUSg_contig_1790.33 transcript:KYUSt_contig_1790.33 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLLQELTNGFSRDRKLGGGTYGDVYLGEHKNGEKIAVKVLKEGLDLDDEAFKKEYHNLANLHHKNVVRLVGYCHETKEEILRYNGRVVVADTIKRMLCFDYMHNGSLDSFIYGMMCGPSLVQ >cds.KYUSt_chr6.12594 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78682977:78684209:1 gene:KYUSg_chr6.12594 transcript:KYUSt_chr6.12594 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIATSSRFSKGEEEQEEEQEEEASLREIPFMTATPTADAAASSASASASASASGSSPPSRSGDGAGASGSGGGGGSDVAAEPVEKEHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLDSAANEKGLLLNFEDNAGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRGAAASARHRLFIDWKRRADTRDPLRFPGRLPLPMMPLTSHYSPWGFGAAAGGGRGFFIPPSPPATLFEHRLRQGYDFRGMSPAGMGRQLLLFGSPSRMPQHAPLLLPRAPPPPSLHYTAQQQQGIGIVTTGSPVVLDSVPLPIIDSPTTTATKKRVRLFGVNLDNPGHSGDDGGGESSNYVSASQMPASAWRPRDPTLRLLECPSHGADQASSPSSSSSSKREAHSALDLDL >cds.KYUSt_chr5.31373 pep primary_assembly:MPB_Lper_Kyuss_1697:5:198850075:198851272:1 gene:KYUSg_chr5.31373 transcript:KYUSt_chr5.31373 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor, Transcriptional repressor, Pathogen defens [Source: Projected from Oryza sativa (Os09g0417600)] MDTARRSPVCLDLMVGLPMVREPSPARAAGMGNGPDKSDIASPACGRAPSIANDEAKAMEAKLTQMSEENRRLTEMIAYLYGNQLARQSLDGEAGDPPASTAASPTGRKRSRDSTDTSNSGDANSNKKTGTVNAEHADVESPVSDSTCRRIKVKRVCTPIDPSDTSLVVKDGYQWRKYGQKVTRDNPSPRAYFRCAFAPSCPVKKKVQRSAENSAVLEATYEGEHNHPHPSRSSELPSCATPGGSVPCSISINSSGPTITLDLTNNNGGGGVQVVDAQPDLKKVCREVASPEFQRALVEQMARELTADTNFTEALAAAILRKLPDY >cds.KYUSt_chr7.14664 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90590281:90591127:-1 gene:KYUSg_chr7.14664 transcript:KYUSt_chr7.14664 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPGSLVWELVKKNNCFLIKQFGNSNAKVQFSKEPNNLYNRPQVIKFCYRKSGKDDSSQDPMTQPASSPLSRQSLSTPVIDPYHAGEDSVSLTGFFLKNQEFRKMAKAVKN >cds.KYUSt_chr4.4014 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22928555:22933203:1 gene:KYUSg_chr4.4014 transcript:KYUSt_chr4.4014 gene_biotype:protein_coding transcript_biotype:protein_coding METSFSNIYTLLSLDSEEGMDVERAASKGHGILSLFDWGKGKKSKKRLFGGGGGVSPSPGNTVDGKGVDGSRPSTPSNSILEDASSLRESSEHSSSSSVIEDEARVMKGATVVARLMGLDSMPVVNSSGSYPVPLTAQQTFTNDAHDEFIGRSYVGSPSPHKMPSSPIDRFGMEALPSRFAKRTLSVAQHKLFSPVKSHNHVSTRNAADIMEAASRIIGPGVESTSSGRFRDVGYSNSARAFNTSEIVRVQQMSQAAKKRDSSASSKPPRAKPLDGSLITSETTSSSRVSGSNGSAPVAPKMKTTSRPSLDPRANAQGSGGISKGGRRLATHMGPEHNMVERNGCNQQRSSNQIHESSSNLLEQNNRKRNAMGVKHKVNSKSARLSQQGSNMHQTNASPRKAGITSTRTENSTRANKKGELQPTNYANRRLNSSAKTIPKPRRSPDGRIHPKKSQSIDKILAERIQRRVQHNIGTDEQSSFATNKSKISTEIVSFTFTSPVHKSLPGSRFRNNSVETRSIENLNSVSTSSTDGDYLGILLEQKLRELTSRVRSPYSKPANGVRIYAPTPGSEDTASACDTSSIASADYDRESSLQPFQDGKMKSPWTDLASKSGQSSQSVKYDNDFVDQVELEHLHFSPRSTTWEPSLSAETCSSAGSWTNANESRIFSSAEGAASSGSAQDGGSLEVDALSEYSDTASSITATTVETAHPSESSSSCRVDGCPEIDFLRELLNACSLGDDSCFERAGTSSILDQAVLEELNGSSARLVAAGEEEGGKASRMTARLLFDSANEMLAAKCAYYLDAGYGSWFTGTAVLRKLLTPEEMYKEMGGSGEKVAEESMVDELVYREMGGPWGHGSWVELKEEAFGAGRDVADALLEALVDEALAELVGSGGAPS >cds.KYUSt_chr2.3687 pep primary_assembly:MPB_Lper_Kyuss_1697:2:22280980:22289649:1 gene:KYUSg_chr2.3687 transcript:KYUSt_chr2.3687 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIQVTDMKKSETASIAQMSSPDGEVGEIKENDNKQIDMVGSAQCINAYTYCLRAKEHLTNKAGGKVWYESTHISQLMRRDANNNISEKDCDGIIKRVRIYLEHDMIFIPFNENTNHWVFCNVDVRNRRIQILDSFGSAKDRPDLQRTLQGLQNQLQLAAQMSDFNLGQKWQDLEVTTWKWVECIQQPLQTDSSSCGLFVLKLMEEWTGQELAHPVTQNGLKLFRKQLPFILHNTASNMLKGNPEFEQPDTKGDPSDILMWDSNGPPPTEFTQLPQVANAPTPPLKIIKKSFNKNEALSELRNYILSVSDNDAMKQIWVKSSEPYPISISLKQLKDLLNDKNGIDTDSFNMAVRVGYTLWNKAVIHMLSYMDNECEANIPQHVKDLVKMLGAKGRLQFERMAKRPLLQIRDPGDCGSLQAALLMTGSRWGAHRLLVADWGVGHEGVF >cds.KYUSt_chr6.28746 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182126213:182127466:1 gene:KYUSg_chr6.28746 transcript:KYUSt_chr6.28746 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCRRRHPTSSPAAPLEDDDLIAEILLRLPPQPSSLPRVSLTCRRWRLLVRDPGFLRRFRAHHRSRGAAPVLGFFTEEDIGIYFHPTLDPPNRIPLERFRLQITGRTENCRIVCCRDGLALLVNVHPGQVLVWDPVTGDRRSLLLPPVFRNMDKFYSGMVLRSAAAAAGDGDRFQFQVVFVRCFKGRNARAVACVYSSDTGAWGDLIQTSTPLQVLRTHDVATSGSRVGRSLYWSLHGNSSNAILEFDLDRQNLAVIPLHMEGWIMSAQGGGLGLVSVLGHTAQLWKRETDPDGVSTWRLTKTVHLNKLLPLRSGDRLDIDFADESNMLILGTVDGIGIFTVHTESMQCKKLPVEFKKIPSVFQPFSSVYTPEMGVGAEHAGAEPLHNIR >cds.KYUSt_chr1.35337 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215392634:215395208:1 gene:KYUSg_chr1.35337 transcript:KYUSt_chr1.35337 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAICGEDEPAPRGDPAAECAAGGGIERLDLGDGRAALVAGGKRSVYLMDCEPVWGCVATPGRGGEMEDACAALPRFADVPVRLLARRRDLDGLGIDADALRLPSHLFAVFDGHGGAEVSNYCRERLHVILSKELRSPAKQLGEMSDVDMKEHWEDLFTRCFQLVDDEVSGLSARLVDGEARLEPIAAENVGSTAVAVVVCSSHVIVANCGDSRIVLSRGKEPVPLSIDQKPDRKDERARIEAAGGKVIQWNGHRVSGILAMSRSIGDRYLKPYIIPKPEVAVVARAKDDDCLILASDGLWDVVSNEEACKVARRQIQQWHKNNSVTTSSSDGGDGSTDPAAQAAADYLARLALKKGSQDNISVIVVDLKPRRKAKNNS >cds.KYUSt_chr6.10582 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65537001:65537810:-1 gene:KYUSg_chr6.10582 transcript:KYUSt_chr6.10582 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKSDCDCQSLGVFRGPLVSGSHDFDEHCMFGNDMYLTKGQVDFLNEHCNHFPTEEFEYYIYRMTKSAVIKNKCKLDIGKKFTAKYLKRFIDDAPGNAVTLSLEYTDSNARFKVTMKMAKEKAKNAIIATGWSKAMNTYEIKEGAICIFEFYVDMKGKLALMIHSLPDDCDDSDSSESSE >cds.KYUSt_chr4.34591 pep primary_assembly:MPB_Lper_Kyuss_1697:4:212525346:212526712:-1 gene:KYUSg_chr4.34591 transcript:KYUSt_chr4.34591 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPPPSQGKLITVLSIDGGGIRGLIPSTILASLEAKLQELDGPDARIADYFDVIAGTSTGALVTSMLAAPGENKRPLFAAKDINKFYLDNGPKIFPQARSGFLAPVTSLVGSLLGPKYDGKYLHDKIKSLTNDVTLADTLTNIIVPTFDVKFLQPIIFNTYEAKKNPLKNAHLSDICISTSAAPTYFPAHYFKTQDPSGKLPDSEYHLIDGGVAANNPTMAAMSMITKEVLSRNPDFNHGKPAEYDNYLIISLGTGSPKQAEKYTAPECAKWGLREWLIDGSFTPIIDIFSHASADMVDIHAAVLFKALRVEKNYLRIQVDSLVGDTASVDIATKKNMEALIQIGESLLKQKVSRVNIDTGKYEVVEDEGTNEEALARIATKLSQERKLRQANLNSY >cds.KYUSt_chr2.7641 pep primary_assembly:MPB_Lper_Kyuss_1697:2:47890523:47892851:-1 gene:KYUSg_chr2.7641 transcript:KYUSt_chr2.7641 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGLVSMVAVAVAAVLASWAFNALVYLVWRPRAITRQLRAQGVGGPGYKFFAGNLAEIKQLRAETAGTALDVGSHDFVPMVQPHFRKWIAIHGRTFLFWFGARPTVCIADVNVVKQVLFDRNGLYPKNFSNPHIARLLGKGLVLTDGDDWKRHRKVVHPAFNMDKLKMMTVTMSDCAGTMMSEWKAKLDKGADVEIELSSQFEELTADVISHTAFGSSYTEGKKVFLAQRELQFLAFSTVFNVQIPGFRYLPTKKNLQISKLDREVRTMLMNIIKSRLATKDTMGYGHDLLGLMLEACAPEHGENPLLSMDEIIDECKTFFFAGHDTSSHLLTWTMFLLSTHPEWQEKLREEVLTECGNDVPTGDMLNKLQLVNMFLLETLRLYAPVSAIHRRAGSDLEVGGIRVPEGTALAIPIATIHRDKEVWGEDANEFKPLRFEKGVTMAAKHPNALLSFSGGPRSCIGQNFAMIEAKAVIAVILQRFSFSLSPKYVHAPMDVITLRPKFGLPMILKSLEV >cds.KYUSt_chr1.9755 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59591839:59592952:-1 gene:KYUSg_chr1.9755 transcript:KYUSt_chr1.9755 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRASLLAILGCLCFFSSVLAARELNDDLSMLARHESWMVQYGRVYKDATEKAQRFEIFKDNARFIESFNAGGRKFWLNINQFADLSNDEFKATKTNKGFIPNKVKVPTGFRYENMSLDTLPATVDWRTKGAVTPVKDQGQCGCCWAFSAVAATEGIVKISTGTLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTKESSYPYSAADGKCKSGSSSAATIKTYEDVPTSDEGALMKAVASQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTTSDGTKYWLLKNSWGTTWGENGFLRMEKDIADKKGMCGLAMEPSYPTA >cds.KYUSt_chr3.35420 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222390909:222403058:1 gene:KYUSg_chr3.35420 transcript:KYUSt_chr3.35420 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLEQPAYHAIPADPHHDQPSVLGNAPPSATCKEEWAFPIAILVSLVLMVVMIGPVEYLVQTNMPAFSVALAGGYHGIDVARPASVVSLAFNLTLRMTKACADRAEVVLTYSGVALGWARVEPRGCVSREPWGRDVEVGCGERKKAKLDVISRRVSSERSSKKGKSNQDRDMLEKRPKKRNRGEDEDDGSCSSLLGSQLSAREPYMPDGTEISKPIDSAISDKYRDMQEEYYAKIARQMKMPMLCELTPPNCLVNDPTLLHIRESSKKIVLRAAQFIVGLSSSFDGEPVAWCSGFWIGLDSEKRTGTVVTTAHLIRTKRPSPDAWLCKDERIRRPHLGLKLFAISLLNPVQVEDILIEHKINEGLIVNEVSAGSPAERCGIRLGDVVECFNGKCVSTVVEIPCPPNHHYDFSSRFFSDNCKENSKPFKQQFPEEAQIRLLFPTKLEMRQ >cds.KYUSt_chr7.6264 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37727102:37729911:1 gene:KYUSg_chr7.6264 transcript:KYUSt_chr7.6264 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSAGAGVGHPVVWLRVPPGDPPQPSPPPAGSAAGSGVHLRLPDVEPVMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr4.14851 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91605662:91609544:-1 gene:KYUSg_chr4.14851 transcript:KYUSt_chr4.14851 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMLTSWVSTAAALVLLISVPAAVSGDLPMMIGLPACSTTCGNVSVPYPFGMGPRRCYHSPGFNLTCDYPSNGKPPRLLLGDGAFEVIHISLENNTMRVASLGLQDINVSDSGGRYSWSLGGVPLPFILEQFSNEFILSGCNVQATLLANGSIVSGCASFCALTVETQILGLYEHDGSKTCSNIGCCQSSILMGYEAYDVELKRLDRGLPVENLMNSGVDVLIAEAGWFDEKLAMDLHSPNLEFVSRRKTGLLVPVILHWAVPHGEAFTDYDKRPCPADAARATCKSVNSQCRYVGQSTLRGYSCQCKEGYDGNPYITAGCQDINECDRKEEHRCFGDCEELPGTFRCRCPEGFQGNYTIPGGCFKSVNPGHSGLIIGLSVASGPCMLVLVLGTLLIIRELKQRKAKALRRKFFTQNRGQLLKQLVSHRSDIAERMVIPLEELQKATNNFDQTRRLGGGGHGTVYKGILSDLHVVAIKKSNIVVKREIDEFINEVAILSQINHRNIVKLHGCCLESEVPLLAYEFISNGTLSDHLHTEAPRSLPWEERLRITTEIGKALAYLHSAVTIPVIHRDIKPCNILLDDALTAKVSDFGASRYILVDQTGVVATTAVQGTIGYLDPMYYYTGQLTESSDVYSFGVLIVELLTRRKPSLYISSEGDGLVMQFVTLLAEGNLAKILDPQVVEEGGNEVKEVATLAMSCLKLRTHERPTMRQVEMALEALQTPKEVCNGSSVI >cds.KYUSt_chr4.9661 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58329661:58330413:1 gene:KYUSg_chr4.9661 transcript:KYUSt_chr4.9661 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARPRLSPPADRFLDLFSSPSPSPYLSSSPSAGDELLEGDLLFPAPSSDPPPHASKNPGRVPHGHLGLLAALHDGDRKLLGRGGRGGSAAVAAAAATATTTAATAGTLLRRKATIAAAVAAAESSTPNLSPRSATRAIPAAPRPRNPEQPPLAPYHQSAPVKVPVRPPPRRRGWDELDGQPDNEEEDLVRGDAAMLPPHEMVARASAAGSFGAAANPSSMLEGAGRTLKGRDLRRVRDAVFWQTGFLD >cds.KYUSt_contig_1467.152 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1027030:1031626:-1 gene:KYUSg_contig_1467.152 transcript:KYUSt_contig_1467.152 gene_biotype:protein_coding transcript_biotype:protein_coding MSNELLWMVDIMGLWVQDSAALAIQELLKLAGCQSLPNEDNGKDSSSCEMSKRGQKLWGRFSSYVKEIIAPCLTSRFHLPNVSDAALLGPIYRPTMSFRRWIYYWIRKLTSHATGSRYGIFSACRGIVRHDMPTALYLLPYLVLNAVCYGTPEARQSITDEILSVLNAAASESSGATVHGITGGQSEVCVQAIFTLLDNLGQWVDDLKQEIALSQSSHAMAGKHAGKLKNDGQDQLLVQCSNVAELLAAIPKVTLAKTSFRCQAHARALAYFESHVREMSGSSNPAAEYSGTFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSSLQDQLIINEKTGNWAEVLTLCEHALQMEPDSVQRHCDVLNCSLNMCHLQAMIAHVDGLVCRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLPEADKGLVCSSSENSASFDIGLAKIFKAMMNKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLCELEDFNSLLGDESFLDKSFTADDPKFLKLTTDWDNRLRCTQSSLWAREPLLAFRRMVYNLSHMNSQVGNCWLQYAKLCRSAGHYETAHRAILEADASGAPNVHMEKAKHLWNIRKSDSAIAELQQTLLNMPAEMLSIIRGSSKDLPTYQWLTVLSQLISRICHQNGQAKAKAINISTEFSSLKRMMPLGIILPVQQSLTVTLPSYDSNMSGQSAFHPFSVSEHPTIAGIADEAEILSSLQKPKKVVFLGSDGVARPFLCKPKDDLRKDSRMMEFNAVINRLLSKVPESRRRKLYIRTFAVVPLTEDCGLVEWVPNTRGLRHILQDIYITCGKYDRMKTTSQIKRLYDECHASKMAEDVMLKTKILPLFPPVFHKWFLMTFSEPAAWFRARLAYAHTAAVWSMVGHIVGLGDRHGENILIDATTGDCVHVDFSCLFDKGLQLEKPEVVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRGHKEALMTVLETFIHDPLVEWTKTSKSSGGEVRNPQAQRAIANITARLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHKNLGKMYIWWMPWF >cds.KYUSt_chr6.5549 pep primary_assembly:MPB_Lper_Kyuss_1697:6:33222358:33223020:-1 gene:KYUSg_chr6.5549 transcript:KYUSt_chr6.5549 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESVDAGRPTSPRSCLLSSSAATASEIRRAAAPPALLPPDPLRHIDAMASRRTPGGSGWPFGRGGSQFERGEGSNDAGGAERTEAARRSSDLARMCAARRYVNLGMPRRRTTAANPSATRSSSRAAPPPPEPEMIPGREGDYVTDADLERVVAPLADAPTLEPEDFVHDEILEQMVQSVEYITATATATPPSAATPPSTVTPSSAVMPPSAANWVFLE >cds.KYUSt_chr7.25208 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157235659:157235925:-1 gene:KYUSg_chr7.25208 transcript:KYUSt_chr7.25208 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPTPTHVSATLTGTLPAVGMEEKRWLGDLDSPLSSSSTGSAAVQQAFVPPRRRRRRHHVAASPLLHSASPSRQPHRRFAAASPVAT >cds.KYUSt_chr1.452 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2404961:2406042:1 gene:KYUSg_chr1.452 transcript:KYUSt_chr1.452 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPTPGASSSAAAPSTGTPGPKSFSVDPFTSSIDLGFRNPQLTPEVCLDSRVTAPPPEAPDSFVSSEQNLRVKDLQDELSSLKKQLLVALGKSKHLVDCYKQLQDALRESTRFADREEYLLDLVSRSSDDLACVCLDHDAENRRVENRVRTLTDFAMAHNVNFWFDQRRTTTMVLLHDRASAPRLDLDAFSRGLPSRRDPRGPRMDCFYERTREPALRIVRKLLEADGEYFTSFRYADVDDVEAPAQLLTL >cds.KYUSt_chr2.3458 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20687572:20688096:1 gene:KYUSg_chr2.3458 transcript:KYUSt_chr2.3458 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCTLLFVAVLPPLAVLLVTVAGRAAVRGVGALARAHFAWPAGSPFMHVDQGLRRKGGRWAALGQRDHELRVTLHRRSTKGEDEPAVECVFCLSGVEEGEEVRELRCRHVFHRACLDRWLATPPATCPLCRSRLLTTPPCAGEDEEELDLDSDLVLLMAYVHGGGSNWFWSP >cds.KYUSt_chr6.3995 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23084375:23086486:1 gene:KYUSg_chr6.3995 transcript:KYUSt_chr6.3995 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSSARRAARRQSQDGSADKVVVNLDASSPVAGSGPGLTVSPGARTSPIDVEALDDEVQAVSPSQVPPPRVIRRTRPYVTIVDLEVDATPEEDFGLSKRCKNSVVFHDDNGAVPSHTAVNKRPRITPLMHLFPERGEGSSLQSSNAAKISEEPAKAVVPKEPSFDCPVCWNKLVEPSTTNCGHIFCTECIKQAIQYQKKCPTCRKALRKNNFHRIYLPNSHA >cds.KYUSt_chr5.2455 pep primary_assembly:MPB_Lper_Kyuss_1697:5:16515354:16517147:1 gene:KYUSg_chr5.2455 transcript:KYUSt_chr5.2455 gene_biotype:protein_coding transcript_biotype:protein_coding MDMENKGVDLNKLIPFDLVKTDGKSRAQRWLGNGRGEDGGTGRAGWRVEDHRRNGRKTMGPCLAGECDSEVEAIADGAPEGSYELVYEEPDLTGGVEGVDYGIVYGPDDTEVEE >cds.KYUSt_chr2.55120 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343690220:343690543:1 gene:KYUSg_chr2.55120 transcript:KYUSt_chr2.55120 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLAGTRRAGGASGNRNGKRQLQGLLGDELDCFSEEVQRRPEVKIVNKYVVVMAFIRIGLKGFGALALLWATVVLLGGFVSDLKGVDFRNLTIIAFVQAAGLVIYY >cds.KYUSt_chr7.29213 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181770785:181775251:1 gene:KYUSg_chr7.29213 transcript:KYUSt_chr7.29213 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYRSSVCLDLAGEMRFGKLRRNGIVHLLPGVCWIGRRRNIMKAELVDWLKEVRVSVMLRDFLGVSGSAAVLVDARVEEVARPLRDEVTNLKLLLARVTESVGHADLFASCESSEHESHVVVDDNAVVAVASKTGDEAIDDTAHVESNMIGEEECFFGCFSPRASPSPQPDVLVGSEFEDIDVIMPVIQIMPDLQELCEGLSPPLSMVHLQVDSLVTSEEASTPPPVEASCCGDKVIESSALAPNSDALFARELGDLLARLEAASPGSSKEIARLLEDKSSRGKIQKVKEYLKSRSRKSGATRNKSAVG >cds.KYUSt_chr5.3289 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21228384:21232106:-1 gene:KYUSg_chr5.3289 transcript:KYUSt_chr5.3289 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLPKLAALLEKKYKLSKGAKKEVASLREEMSSMNALLLKLARTELDEQQRDWRDKVRELSYDMEDCVDIFTDELDSGSGGLLSGLKKLQARYRIAGRIQELKARAVETSNRHDRYSGKLDEAVIGCLPRGLVAVDPRVHALYAEADSLVGMDGPKKKLAELLGTEEEVQQIKVIAVVGSGGIGKTTLANQVYAATKSQFECRAFVSVSRNPSMLKAFSDIYLGICGRMPYAQNDECQLIDEIREHLQDKRYLIVIDDLWTLEAWNIIKCSFVENNRGSRVITTTRLEDVAQACCSSFHGHAYKIKPLTDLDSRRLFHRRIFHTEDACPDQLKNVSDEILRKCGGVPLAILSVASILASNEETLEIVESETMHYFQLDVGELPLTLQHLIVPKTVWLTGRIGRLTALHTLRTLRLFANDADNMEGLGELADLRELKLYYSSPFRGNTADSLLSCMSKLGVRNLRSLTIIGKSSDEDVLTHWSPTPCHLRRLHMVDFRFSIVPNWIVQLHMLRSLEVQVVSLSRHGVEVITGLTSLVHLRLIVANEVPGEGIIVSSTTFMNLKEFSFRYKVPCLTFESGAMPRLERLLIECGDPGAQQDDGGILTGIEHLGSLKVFKVDIYMYGFDWKVSGSYCWQPPEEEEVVKRRRTLEAALKMAISKHPGSPDICIQSIGDFALSDDDEEEMIYAL >cds.KYUSt_chr5.11416 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74082452:74086995:1 gene:KYUSg_chr5.11416 transcript:KYUSt_chr5.11416 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGRASEAEARRGILFVKGMSASENQDAETSKDTDDMKADRPWNIIHIRLPPRKSLSDASLILSKKVPRNTGSKEVPEPITDKPGKGEASNHSPRAGLCNKASSNIMSNTMSAESESDTSGDKLLELDDEANVSALSKNLRVSAQEQGSDASSYAAKQGLCEEANNSNNNPGMILVPVLEFPEDNNVSSPSKNHATTGMQGEAERNNSPRKKLFYDTDSKVADEEGNSPKWNITISTEQVFEGSNYPIPTKLSDEVKNNAPSSRPTDPANKSSPHKRLTSSVQATGTSQNIPGMNLSPSVCQAVEQSTNGAKMEVIKVYQEFEEKVKQNVYLDNLYHQDKKAKTNPNMTLSINAIECEVFEDANYLIPTRKLSDVARNKAPSNRPTDPAKKSSPHKKPRTSALQATCTSQNTFGMKLSTSDGQTANQSKTAAALEATKQYPGQTNNTGCKEAPKLINDNTGKKLTTVPQQGELSSQSPKVGLCDGVNRNTCSKTLSAESQSDVSGDKIPNEANDSTLIKNLRVAAEEQGSDASNYARLSEEANNNIPSMIVLLPVQELPEDHIEKNPSKNLTTTGKQDNDEHNKSLRKKLLYDTDGKVEDEEGNCPSWKPRIAAVKYEKVFEEANHPVPCKKLSDEAKNNGPSNRPIDSANNKSVYKRRRTSSVQTTGISQNTPGMKLSASVSQAVEQSTNTANMDISRITKVYQEFEDKIKRTVYLDNLPDQATEAKTNPSGTPSKTAIECEVFERANHTISTKKLSDVARNKAPNNIPTDPAKKSTPCKKPRTSAVQAAVSSQNTSTMGLPISHGRAVNQSKCAEDLEAIKQYQEFVEKVKRTVYLDNLSHQVTEAVIKAALSQFCTIRKVSFVVNYTIPYNIPQSALVEMETEKDAEVVVSMLHDFPFMMSGMPRPVRAIQATAEMFSDRPRRPGSKLKFRWMSHTDPEFKMVKKLKLLSRKHEVENLALIEHELEEEKLVAAKQQEDLDVNYEMMETMDSVLGMSNYLSSIYNVNWNDVF >cds.KYUSt_chr1.2903 pep primary_assembly:MPB_Lper_Kyuss_1697:1:17317270:17318646:-1 gene:KYUSg_chr1.2903 transcript:KYUSt_chr1.2903 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAPEEGDPSSPCAAASPVRHMHRDVADLLLATGTSPRRRQTKRNAPASAAAEARGRARYDGAFQEEPPMGGFAPPRLVWVKVRGHPWWPGQVFHPSDASALALRQSRSGDAVLVACFGDRSFVWADADDLLPFRDGFPRLAERAGKFALACAVSDALDEVARRVDAGLSCGCGADARVTKQVFVNDGLRRGARGADAVDAREAFRGDALVRYVRALALAPQAGADGLDLAVAAAQLKAFTRWRSAANPEVDVAHGAMVVAVRAGRGRATTPRRARAKRGLFRGDPPAGGTTLSMCARAAAADKAFMQAMFPGEAREECVSAMAVTPPDGAAAGAERRDLAVANTPQRKAFGQWRGAPIPAPTMDMATSGALEACRGTAKEPRSTGVDDADADPGALTSCISSGEDSASENEDDDGCETESEDFEVSSDWELGWKDYVIIIQFMAIGMLVFLLMH >cds.KYUSt_chr6.23519 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148537262:148541787:-1 gene:KYUSg_chr6.23519 transcript:KYUSt_chr6.23519 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGKKCFACKETSHTLDQCRIKDKLVTVAPLFGHSTMFPFYMIQPSDEVVENEKFYHHSLLITSNTSNLNPTRVKTELNKFWKLTGDWEIRREGTKNFTASFNSEDDLISCLKPPNVETFLDEKEVKFTVARWDECAGEKFDLIREWVLVYGVPGTYRNWKELYQVASAVGVLLEVDEESLGSGDKEPIRLRIALGSLVGSPFSYPFVFGWSSILVKFMIEDKAERMEGQRKELEERSGIIMMEYENISEHGIEVPQKTLSKEMNSESTGVTSAGNYSMDIKGCSDEEQKEELKTTEAAALLEVAKFIAELRSDGAIKENNASVAATATNLKKAAEGGRSTYESSTGGDQMLGLEGCSDNEHREELKTWKTATLLEVPKFTEEFRTDGKNKENNASAITGTRTDSEKTTEYISETEGGQSISGSSTSLIEGSYRGVAVPGTAPEGAQFDGKQHVSKMTTRTTQIGEEFNTYNEIYDSFTKMGLRENLLKGIYAYGLEKPSAVHQRGIVPLCKGLDVIQQSLYGTTVTLACGVLQRLDYGSAECQALVLVPTRDLAQETEKVIGSLGQWLGVKALACFGGTSVRGDKQFLSSSVQVIVATPGRVLDMLRRRALCPDNIRMFVLDEADEILTGGLKDQIYDIIQLLPSKIQFGVFCTTMSHEALELCRKCMYEPMKVIVPKDEELEGISIRQFYVKVEKEESKFDELCDLFRTMAVTQCIIFVNARRKVKSLAEKMRGRDYTVSTSHGGMDQQAREVAIQDLSSGSSCVLITTDLRGADALEVPVVINYDLPTQPVQYLRHVQRSRGKGVSISFVTRADDRVLSDIQRFCNAPIAELPSNTAGLQ >cds.KYUSt_chr3.32978 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207114834:207117198:-1 gene:KYUSg_chr3.32978 transcript:KYUSt_chr3.32978 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETQPQAAAAAPAAEAAPEVVVAAEKAPAEVEKKAEEPAAEAETEESAAVADDGGAVEATGSFKEESNLVADLPDPEKKALDEFKELIVAALAAGEFNLPPPPPPPKAKAEAAAVEETKAEEPAKTEEPAKVEEPKTEEPAKAEEAKTEEPAKQEPKAEEPKAEVVAEAAAAAEPAKEEPKAEDAKPAEPKTEEAAAEEVTKPAETAAAATPEDTPAPEAEADAVAPPEPVFIWGVPLVGNDERTDAVLLKFLRAREFKVKEAMAMLRSAVLWRKRFGIDALLEADLAFPELEKVVFYRGADRECHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILSQLDFAPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTRSKFVFASQAKSPETLFRYIAPEQVPVQFGGLFKEDDPEFTTADSVTELTIKASSKETIEIPVTENSTIVWELRVLGWEVSYGAEFTPAAEGGYTVIVQKTRKVPANEEPIMKGSFKVTEAGKIALTINNPASKKKKLLYRSKVKSTSESV >cds.KYUSt_chr4.21642 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136280740:136283128:-1 gene:KYUSg_chr4.21642 transcript:KYUSt_chr4.21642 gene_biotype:protein_coding transcript_biotype:protein_coding MQTATAAAAAPWTPTPSPSTSSSATPFKVGTGLRTSSAPRLLAKVARPRRRPRQVVQAIANPDPAVELPLTAENVEMVLDEVRPYLMADGGNVVLHEIDGNVVRLKLQGACGSCPSSVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNQENIEKVLDEIRPYLSGTGGGELEFVSIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLS >cds.KYUSt_chr1.26614 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160430873:160433259:1 gene:KYUSg_chr1.26614 transcript:KYUSt_chr1.26614 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPNPGRLPSILLSRKPRFTDSRNDTTATAKSKDGYTMAVSFWMEHPPHLSLFSINCTKPPHVLSQDLDFSVLPHVVGADGPFVLLRATFYAAAGESEYFLYKAGPPPSLERIPSPGELDDLRGVREWGIVGRGGDGHYLLAALGDAPASESGDGYQLRIYSSETNSWSTRILHNPCPGVDRVIPDKMITLGQEGLLGWVDLSHGLLVCDLLLLLQHQDPVPAGAPVSCFIPLPAPLPGNRYKLKYPFALTQKMKKHPSVDEESRSASWFRDLAYVNGVLKFVEMETHPAPYPQNKEDSIIDDQDLIMSLKHKPDDEDSWLQLSSFRDAWRAVTWTRKLVWPPSSPNFWRQTCAAHVADLKKCVELLAFRELYSAFPILSPEDGDDIIYLRSLGEPSDGNGWVAALHVGNKEIKAVGKYYLPDDFYYDRCFDPEHPFRASTLSRHLDITPGNQNLMRTSSAYEIVKRFPRSILLKTIWHPRHASTTTLGIHNNNLPLTHLPIACTRAMATAKSTGGLLAHLRSNTQAMATTNSSGGLLVHLRNNQRQATP >cds.KYUSt_chr5.12653 pep primary_assembly:MPB_Lper_Kyuss_1697:5:82595720:82595953:-1 gene:KYUSg_chr5.12653 transcript:KYUSt_chr5.12653 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPLAALAVVHVDVQGRRCMSKLSEQGLRAPPHHPGVVLITAELVYSVHVAIDGVPSVPAIYHPAGMQLHKRVGL >cds.KYUSt_scaffold_1854.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:14334:19386:1 gene:KYUSg_scaffold_1854.3 transcript:KYUSt_scaffold_1854.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTAGYTFAVDIIPRRCSHATPTSTQDRAVSRSRHLVTAAVPTWVGRSLPYPIRSDLRRIPTEAHHQQRNSDFLSVVSTVLHGATTLVSGVEKIATPPEDPIMHLSPPYLDVEPRGEPPSVQQNVHMILASDLSGDRVRFVRQSIRRDHYPFTDAASPTSASPTSAPIQVRHQQPRWFHRRLTAKVYFPASDASTTSASTRRRRHGSDDPGFDYFLDVGDSRRFHSAPATTFVPVP >cds.KYUSt_chr5.10434 pep primary_assembly:MPB_Lper_Kyuss_1697:5:66916729:66918705:-1 gene:KYUSg_chr5.10434 transcript:KYUSt_chr5.10434 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDREMEMLLDEIPLLHHGDLLGCGETAAVVADGADFDFDFSYLIQELGEMGFVDDDDEEEDENGDFSSFLYPRKASSSPTSNLHFMDAENFVASRPFSTDRRREMSLFDPFPFSSTCFDAAATEDWDFQAAPAVRSRPCKTSRPRKVTSPKKAGAAAKPSKYESLVGLRGYMYHVAKDQHGCRFLQQRLDDGKREVDFIFNGVARHAVDLMVNPFGNYLMQKLLAVCHEEQRMGIVLTLTKEPLVLVRISLNVHGTRAVQKLIESLRTKEEIQLVVAALRPGFLELIKDPNGNHVVQKCLQSFGADDNKPIFDAAAIYCLDIGMQCHGCCVLQRCIARSTSEHKERLVAAIARNGFELAQDAYGNYVVQYVIELKVAAANASLAQQFEGKYVQLSMQKFSSNVVEKCLKVFKDADKANIILELLAAPHFERLLQHPYANYVVYAALQNSKGALHSALTNAMRPHVELLRTSPYCKRIYSRALLKK >cds.KYUSt_chr1.26696 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160917376:160927482:1 gene:KYUSg_chr1.26696 transcript:KYUSt_chr1.26696 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERRVRPIWDAVDSRQFKAALKLCTALLAKHPTSPYVLALKALTLERMGKADEALSVSLNAKELLYSNNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYSNNLELMMGLFNCYVREYSYVKQQQTAIRMYKTVGEERFLLWSVCSILLQAYFSSGGEKLLALAEALLKKHISSHSLHEPEALALYISILEQQAKYDAALEILSGDLGSLMGREEDKLRTQGRLLTQACNYVAASEIYQKVLESCPDDWESFLHYLGCLLERDVNLPKPATGQHTCSSCSVGSAMLNKTSLSEELVESRLASALSFVQKLQKSDSGDFVRGPHLAIIEIERQHRLNGKTNDRKFMEALVNYFHRFGHLSCSSSDVEIYLHMLSNDEITELLDTVSRSFDASSVSVKALGLTITTFKVQELLGTFLSKSTADLQRTAKGMFETFYKNLPLSRDLDPQESMHGEELLSMASSILVQLFWRTRNLGYLLEAILVLEFGLTVRKHVWQYKITLVHLYTYLGALPLAHQWYASLEVKNILLESVSHHILPQMLMSPFLQQTASLVKDYLKFMDDHLKESADLTCLAYRHRTYSKVIEFVQFKDRLQRSMQYLAVKSDSVILSLKQNSESLEKVESVLENVNHGGGLVELSNEDNMKHLTFNEDLEARPWWTPTSSVNFLSEPVDEDSTPACFRAKV >cds.KYUSt_chr1.33466 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203454405:203455605:-1 gene:KYUSg_chr1.33466 transcript:KYUSt_chr1.33466 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYSCRLVTEGASQSSAGGYYHGARFRSVVLAGNDMKVEICGLAMVSRVWVVAFQRSGLERLTREQGSFEWFRGVLDEVAAADEKGVIELHNHCSSVYEDDDARSALIAMLRSLNFAKHDVDVVAGTHVKTHFGRPDWRKVYKRIALRHVGQRVGVFYCGEPVLTEKLRNLAKDFSTDTNTKFEFHSENF >cds.KYUSt_chr4.46728 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288830813:288831766:1 gene:KYUSg_chr4.46728 transcript:KYUSt_chr4.46728 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSSSTPVLGALHASSGGHSPAVHLAESSPTVAYHPPAISCSLSSSGGGGGSDHERSRGSTGGGGLRRACSDGNLSSLGGRADDHHRSRPAPLETIQSFAARDGSWDEEDDENDNADTDTDQEMSFGMFGAVTSGTTTYTQEHPLFLARGLGIDRLGSGLLLTDDGGINGGAGGTYLVASGGGGSGIEAHYKQLIEEDPCNGLFLRNYAQFLYRVKGDRRRAEEYYSRAILADPDDGELLSEYAKLVWEVHGDEDRASGYFERAARADPHNSHVLAAQAAFLWDTDDGAGPEETTMSYTGFAAAAARSSMASATS >cds.KYUSt_chr2.5283 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32795776:32799366:-1 gene:KYUSg_chr2.5283 transcript:KYUSt_chr2.5283 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFKPRHQYITRVKVYRLGDNGKWEDHGTGHVNIGFIVGSSNVALAVSDEEYNDTILLHKITPDDIYKKQVVNGELKKQHSVELFSSKKRKYSQPPPPFELSGLFSILKNIQTILQCGKREQMHAAHSSSKDLPWLLLPSSVELLYYSVTGDSFRNYRPIPIEVQDARFAGASDGDWLFLVSDSPRRHLLFHLFSGWSIQLPIAMTVDSRQVDVTVTSAVLSGNPCSESFTVAAILEIAEGGNNRAGVWERNMIFWGDCGNVAFQRAGELAGQRGLNVQVREDFEPDEELDEDEVFKKGQGALTRRYLVESSGQPIMVVRSIEGEWTIGIRLFRLQSDGDSTRWEELFELPSETLFIGQGCSRSYKIGQELLKVYFFHDRITRLGGRNTGALTLA >cds.KYUSt_chr5.30769 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194992234:194994921:-1 gene:KYUSg_chr5.30769 transcript:KYUSt_chr5.30769 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAEIIRWSRQEGGGAPPAAAREEDDEGEGLDRGLHAEQLGGGTKCPTAAKPRRRLQHRLPMEGTGGTMEGFLLDLLACCLDGEDHHGKHDKDEARSGSTTFRRQRQRDEEYDAVAGDITILANRSLYVDFTLPYTESGVRMLVPVLDRRKKTAWTFLKPLTTDLWEKIVNNLSRIVVVVWLFVVLIVQQSYTASLSSILTVEQLQPTVTNLEEIIRNGSYVGYLNDSFLPMLLKRLKIDESKVIPFDSPEEYNEALSSGRVAVIVDEIPYLKVFLKKYCRDYTMVGPTYKFDGFGYAFTRGSPLTSDISRGILKFASNGTMDELQKDLYGPTSCPDKDDSQTSSSLTLNSFKGLFIITGASSMLALILHIVITVYTHRHDFNSDSSHSSWRTWLAILLKIFHESDSPSNTPVKDEPAMANVGGTVESPASPPDSDTDTGSPPNHIITNLDSYTDTGSPPDVGTPGREVAVQDAKPLSFAYMHSGSGHSGVASLSRSGSSIRRRQISME >cds.KYUSt_chr2.42774 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266371985:266375459:1 gene:KYUSg_chr2.42774 transcript:KYUSt_chr2.42774 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLAAAYNFSIVLDRLIKLRENINMSVDVAGAEKKKKPLMAEGLLLAASMVLVQAFTIGALLLSKVAFNIGMAPFVLLAYRNLIGSISVAPFAFYFEREMMKKVNLKIWCWISVNALFGIVLAMGLHYYGLRATNAGYTVNFLNVIPVVTFIIAVILRLEKLKIATCPGKMKVVGTVSCVGGTMVISLYKGKLLHLWPTHLLKSQLQAVGGVSSVPDHHNMLIGTLFLAGSSLSYAFWFIIQARVSKEFPSKYFSTMLACVSGTVQAMVIGVILNHDPSAWAVKWDLQLLTVVYSGVFNTGVTFCLISWAVARRGPTYPSMFNSLALIVTMILDSVLLGTDFSVGSLLGAILIIVGLYAFLWGKGKEVQEQRKQMKAAANRDQSKGSAAAGNGVDSLQVGKHEVRIHLEVSERAN >cds.KYUSt_chr7.32529 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202582436:202584267:1 gene:KYUSg_chr7.32529 transcript:KYUSt_chr7.32529 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLGLMALLAASSAAVAQLEIGFYSKTCPQVEEIVREEMVKIIAAAPSLAGPLLRLHFHDCFVNGCDASVLLDSTKHNVAEKDAKPNKSLRGFGSVERVKAKLEAACPGVVSCADVLTLMSRDAVVLAKGPNWPVALGRRDGRASSAMEASDELPPAYGDIPLLVRIFASKGLNLKDLVVLSGAHTLGTAHCPSYADRLYNATGGGASLDPSLDSEYADKMRLKCKSLNDGSMLSEMDPGSYKTFDTSYYGHVAKRRGLFRSDAALLTDATTRDYVQRVATGKFDAEFFKDFSESMIKISGGCRNKFEVWRAPNLAILLAPPLIKMGNVGVLTGAEGEIRKKCYVLN >cds.KYUSt_chr2.9125 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57328921:57331994:1 gene:KYUSg_chr2.9125 transcript:KYUSt_chr2.9125 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKTCAAAGKACPLLKRLRLSSGRFEDCRVSGDVEAAAIATTMPELRSLQLFAKRISNGGLAAILDGCPLLESLDIRHCFNIVMNDELHARLSDALLIGFNNGFSFSLWNTGRVWLLDATGC >cds.KYUSt_chr1.39663 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242719806:242720449:-1 gene:KYUSg_chr1.39663 transcript:KYUSt_chr1.39663 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLEAMEGVIGLGSAAWTSDPVIPHPAAFLLEESICCCCRNSSVEGEPVQSLGEMCYSSCSTMALTLPAVYIAKVPSFLICCADGRISRCSKMNLQAGEHRDLLIIRLKDSLQLLLQALHIPDYASVLEMVVYCWLLHSDFGRRR >cds.KYUSt_chr3.7694 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44266434:44267358:1 gene:KYUSg_chr3.7694 transcript:KYUSt_chr3.7694 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTANNTALSMKLLVDTKAQRVLFAEASKDVVDFLFSLLSLPVGTAVKLLGTDSMVGCVGRLYASVEKLDGTYVQLGATKDALLHPTVFSAAVSNKSSLLGLPPPPLPQPKNFYICFKQCSCYDSGYNCCQGYSRCRNSESNCRAYITNAYGTSCPACKNHMTTKLTLEPPAESEGQVAQAASGVAGKGFVQGIVTYTVMDDLAVTPMSSISSITLLNTFAVKDLGALQEKTVRIGYKEGLEILKASLQSKTVLTDVFLGKKPTSKA >cds.KYUSt_chr2.47813 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299031056:299036115:1 gene:KYUSg_chr2.47813 transcript:KYUSt_chr2.47813 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRYPFRGVVNDVKGRASCYNDDWVAGLRTGFRILAPTMYIFFASALPVIAFGEQLSNETNGILSTVETLASTAICGIIHSILGGQPMMIVGVAEPTIIMYTYLYNFAKKQPGLGERLYLAWAGWVCIWTAIMLFLLATFNASNVISRFTRVAGELFGMLITVLFLQEAIKGIVSEFSMPKDAAIVDHSSPAYQFQWIYVNGLLGVIFSTGLLYTALKTRTARSWLYGIGWLRSFIADYGVPLMVIVWTAFSYSLPRNIPSGVPRRLFSPLPWESSSLKHWTVAKDLFSVPPTYIFAAIVPALMVAGLYFFDHSVASQLAQQKEFNLNKPPAYHYDILVLGFTVLLCGLIGIPPSNGVLPQSPMHTKSLAILRGQLLRKKMLQTAKESMVNRANSLEIYGKMQEVFIQMDSKQNADSVDKDLKSLKEAVLREGDEEGKLAGEFDPRKHIEAHLPVRVNEQRLSNLLQSLLVGGCVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIQLLFIGASRRYRVLESPHASFVESVPSRTISVFTIFQIVYLLICFGTTWIPIAGILFPLPFFLMILIRQYLLPKFFEPSDLWELDAAEYEELEGVHHEHTLEEDGSISGSCDSRNDAEILDELTTNRGELKHRAVGHREERHLQISRAHIHNVPY >cds.KYUSt_chr1.37910 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231519841:231520176:-1 gene:KYUSg_chr1.37910 transcript:KYUSt_chr1.37910 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWPLVFDQFIEERLVTDVLKIGERVWSGARSTRREEQLTVPAEAVARAVASFLEPGGTGEAARVRARELAVKAHAAVAEGGSSHSDMRRLINDLMQANEASSTSTAVCR >cds.KYUSt_chr4.7453 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44318407:44319303:-1 gene:KYUSg_chr4.7453 transcript:KYUSt_chr4.7453 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNRSSLLVLLAVALAAAQFLGSEAGGISIYWGQNGGEGTLAETCATGNYKFVNIAFLSAFGNGQPPVLNLAGHCDPTNNGCANQSSDIKWCQSRGVKVMLSIGGGAGGYYLSSSEDAKNVATYLWNNFLGGKSSSRPLGDAVLDGIDFDIEGGTPLHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNAPCQYTSGSTSNLADSWKQWLTVPAKQIFLGLPASPQAAGSGFIPSDDLKSDVLPLIKSAGKYGGIMLWSKYYDDQDGYSSSVKSDV >cds.KYUSt_chr6.27884 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176853301:176856441:-1 gene:KYUSg_chr6.27884 transcript:KYUSt_chr6.27884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus-encoded chloroplast protein, Chloroplast development, Biogenesis of chloroplast ATP synthas [Source: Projected from Oryza sativa (Os02g0152900)] MDRQSAVVMPSSGNRRPIVATSELHVPRALHRPVSTPPPAAMLPLATMSAPSSLLLRPAAHQRMGGDRGQSWGLLSISGSQSRRNKLNNIISAKANITCCANQTQTATRKSFSGPTSPPSGSVKEKVKPRLDDGGVGFPPFRFGGGGGGGGGGGSSSSGGFILFVIVLLLDYLREFERNLQSGPRRGSDYDNGLAPQ >cds.KYUSt_chr6.3267 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19085395:19086598:-1 gene:KYUSg_chr6.3267 transcript:KYUSt_chr6.3267 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAANRYFILAALADDFDLAVGALPAALLAALALPRPPAPAPQIPPAFHGAASSSRAKYRMAMGADRKFAEKPAPEDEEEDEQEWTEVASRKYKMARGVSPDPDPVVPTENEYEQDDHGVGSWRRLGVRMRDLKKWIRISESVMAGLSDRIYGHVF >cds.KYUSt_chr3.26673 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166269162:166273059:1 gene:KYUSg_chr3.26673 transcript:KYUSt_chr3.26673 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNSVHLWVRGRKFLCVACLQQGVTPRPAYRKDAMGSHYAEEHFGLSFQCRNCCNKFGTYEELQAHRASSPVKKNKKPRSAAEQRKEKDYAAAGALRGGRGDRGARPERYAAAEGTGTTGALRGGERPERYAAAARGNQGKRRGGDRGGQETAPQQIHQATISPRTKSYHPLVWPGSWLGALAMVAEKGGRKRSSPRSIDPSVLMRNCLAIRSCEAEACSISVNNQRGMKNLVLSSWGDESMLSENYVNPHYNFDCY >cds.KYUSt_chr2.3902 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23532807:23533181:1 gene:KYUSg_chr2.3902 transcript:KYUSt_chr2.3902 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGQRCRLKEDAAASPPLPLVKKQWWEKEAKAQTALHGGDDSEEFPGQNFILGRSIEEDYRQMTMDPQQAAVWSVRDHGADFVDLAGPFELPTRKDVEEEDDDWFFGTSNDDGDDGNNLNFSA >cds.KYUSt_chr2.756 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4480543:4484478:-1 gene:KYUSg_chr2.756 transcript:KYUSt_chr2.756 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSSPRSPLYQGGCLALDLAVPHHRENGSHAYSSSADAGASEMPSGIPSPLGSGPSRCLHTLEVDLPPATSHIGGRLQMQHGMVDGASRTIDNAHEARRMGQARSVKMIAIGMMLAIVLVVAANAELDPSHKKDICIDKTEKVPGAAACICSKNCACAGKCILAGGDGDEVKTCFVECVLKNDCKCNTEGSSNPAPQTNK >cds.KYUSt_chr5.3787 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24484308:24485770:1 gene:KYUSg_chr5.3787 transcript:KYUSt_chr5.3787 gene_biotype:protein_coding transcript_biotype:protein_coding MKPARKVVVEYSPASPRSSRWPLVAVLAPLLLFVAAALTFPYALRLPMHFQLRVPLQKHSPVAPPRSGRVAVCLVGGARRFELTGPSIARHLLDAPTLHLAGGGVDVFLHSPLDADAYKLSLLARALGNSSTLAAVRVFRPEPVEETPERARVLTANGSPNGIQGLLRYFQLVEGCLDLIRERESRGNFTYTWILRTRVDGYWNAPLSVDDAFPRSDAVYVVPEGTAFGGLNDRLGVGSRNASEVALSRLSMLPRLGVAGYKNLNSEAAFKAQLDVSRVAASERRLPFCVLSDRRYRFPTRGTDIPVASIGSRGPLSGVKCRPCRRPVACGMEGRCVVELMNRRWSGTEWRNGTLELCDASGAWEDGWEVVFDQAAGEVAAAQRRRVAAMGLEECKTEMEALRARTQRWDAPSDDEICRLGLGGAAVPASQAPGPSPYSSSHNKQQVIKQP >cds.KYUSt_chr4.46824 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289539185:289544484:-1 gene:KYUSg_chr4.46824 transcript:KYUSt_chr4.46824 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAEIVVGGGVRVVARICPPAASDPAAPISTTASAASSFRVSATRVGGHPRSSGAAAVLAFTAAAAPSSSSSSSLGRAPNTRKEEHRLDWCYHQDETNRHVFLNEVKPLIHHLFQTSGTNACVVACGAGSAKDHLFVGSQDQPGLVTMAMEEILDLSKTISGAVVKVSSYQVVQDTQIFDLLEPKDQEVLILEDAQRRTHLKGLSKLHVKSIEDFAHLSCFDSNRQNKQSTKASTQVHTRGHQGLIIHISRVDQGGTECAIDKMNFLNLTGYVDPKQKNTGGVAALSNSNKSMYALMNVVQALNSNQSFLPYRESKVTRILQDSLCKTSGAVLIACLEDEIVVAPAAVEVKEVQSSRDMEVHAPSTDVEFDKTSDTLDTVPSKNNETKGRTLAYVYRREKHDIVSSGTQKVVSSSMGEEGFDETSYTLDTVSSETQKVVLSSMEEDVQSSRGVEIHAPSRNVGFDETSGTLDTVSAETQKVVISSMEEEDYSSSVLHALSSHTDSGDTCSSGVPDVLVEKTPVDAKKQSPKLSDRLREISNSLKLLSTRPVSITTQKIMETPQKVGMETPQRVGMEYVQPINMDVPEPKTPATHLKFEHAEEPSNNSFKARSVGIKNSIVQECLTFLNSANKEELKSLKGIGEKRANYIIELRENSLEPFKEIDDLKDILRMDKKEVSENHALHCLFSNDMLPVLLVI >cds.KYUSt_chr2.42098 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262122806:262124486:1 gene:KYUSg_chr2.42098 transcript:KYUSt_chr2.42098 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKGVPALGWWLMLVGSLRLASVWFGFFNIWALRVAVFSQADSACPPFPPPLPVSEVMDQLLLCGVLSVWRSIFRELAIQVENLGLSSDPNAGVWLLSEIHGRTFGVWTLLTCTLCFLCALNLDNRPLYIATFLSFIYALGHFLTEYLIYHTMAVANLSTVGFFAGTSIVWMLLQWNSHGDSRGSHAVKQS >cds.KYUSt_chr4.25423 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159716072:159718081:-1 gene:KYUSg_chr4.25423 transcript:KYUSt_chr4.25423 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRATSRFPPNTASHMLTLPVQIPMLSSGLQCKLFPAPHHHPVRMITMRTQLAPALLLLLVLLAAAAPLVPALTPQEIRHRRLNGANKLYVMSADPAAYAGEKTSAPESKEAAPKDAVQKTSYVMGAGVEPKPESKSDEKVLEAKINAEVKQMRKEAAHDDAVAEKNDEKVLEAKINAEVKQMRKEAAHDDAVAEKKAKEFKSKPKNTTDDAEDHAKEKKSESKLDYSVDADGEKKEKKSKNKDDDSEEKKSKSKSEDDDDDEKKDKKSKSKSDDYTDDTEKKVKDKDKDEDDDEKKEKKSKSKEDDSEEKKEKKSKEKNKDEDFDAVPIETKADESMPAADTPDGYATPAKKTPPRLPAAANGYQAPTTTMSAADTPDGFLPPKIAAADTPDGYAAPTTMSAADTPDGYVTPSKAKPAMSATDSPDGYVTPSKAKPAMSATDSPDGYVTPSKAKPAMSASDSPDGYVPATDSPDGNVPASGSPDGYAVPFKKPKLDVQSFEEMVPRPVLDMLSPVVKSLCAKTRFPYTCEMSIAKLPETTVVPARQKDGLGVLNLAIDAVRAKIMEATKVAKDMTADPRVDQITKSAIKDCITLYDDVKTSYDTGLAALKRGDKSTATTAFDSARTYVDTCDNGFLDRPALKPVIAGQEKILAELSSNVLAINKYM >cds.KYUSt_chr3.32112 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201821101:201821460:-1 gene:KYUSg_chr3.32112 transcript:KYUSt_chr3.32112 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTASSRHAVESWADDSEWEWEEASSSSSDKQQHHHHRASEEEHTEVTIRITKRQLHELMERKASGGGGGKTQQLLEDIMNSGEVHHHDLHKDEHWRPALQSIPEAGESSSAGPCIS >cds.KYUSt_chr2.50150 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313605946:313610943:1 gene:KYUSg_chr2.50150 transcript:KYUSt_chr2.50150 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCSMRRLKQRGHQIRILGVEKSAVPHCNPASAAMDGAATCGGAPVKDEDAEARMWGGDSGDVGDVREARVADVAERQLRAEATAEARSPLMRIEVVKPWNDGVSKATCSADFLLLGIVQLIRMNTMMAIIKLIFFKCNLTSSMPIVASEREWIDEESQQWTFAYGLVRCPVSLAV >cds.KYUSt_chr1.29936 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181300305:181301469:1 gene:KYUSg_chr1.29936 transcript:KYUSt_chr1.29936 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVQTLTRAFSGLGGLGVDEQTMVTALAKWRKQPEKRSGFRKSFPGLFKDHGVIEKVEDDYILHLTAEFSRFKNLMVLWAMHPWERDARLAHHVLHQAHPAAIVVEIACTRSAEELLGARKAYMALFHHSLEEDVAYGAKDKPYCSLLVGLVSAYRYEGPKVSSDTAKAEAKALGAAVKSAGAAGTKLVENDEVVRILSTRSKPHLVETFKHYKELHGKHILEDLASEETLGETVQCLATPEVYFSQVMEAALRDGADSHGKEALSRVAVTRSDVDMDGIRAAYQEQFGTKLEDAVAGKAHGQFKDALISLIAGK >cds.KYUSt_chr4.49960 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309514656:309518347:1 gene:KYUSg_chr4.49960 transcript:KYUSt_chr4.49960 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPAAAGVIGAPEPAPAPPRAALDFRPAAEGDKELPGGSEHTGAAAAEVPDCRAGSREPAEGPGADEQNSDCGSSGSDEAAGAVSHIPSPVKSSPSDATSNPDTTCGSSSAAETSGSRGQAREAHEQPTPAAAGFSDDEHASRGLGSAWKGDLDGRNGLIQGESELELRAGGGAVDGDTDMAGVSCDGGAATGFEASDGATAPVQEGVDTMETSLDDSEVSDGSTTQDSDTDVETESSSSSVEDHDAGGYNGGVHVPRMEEVTCEVASGVKSSDRMDSVAVSKLVLASGAAMLPHPSKVLTGGEDAYFVACDGWFGVADGVGQWSFEGINAGLYAKELMDSSKKIVMENQGAREMRTEEVLAMAAEEARSPGSSTVLVAHFDGQVLHVSNIGDSGLLVIRNGQVHTQTKPMTYGFNFPLQIENGVDPSRLVQNYAIDVQEGDVFVTASDGLFDNVYDHEVAAVVSKSLEADLKPTEIAELLAARAKEVGRSGSGKSPFSDAALYEGYLGYSGGKLDDVTVVVSIVRKSEL >cds.KYUSt_chr5.32379 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205474490:205475744:-1 gene:KYUSg_chr5.32379 transcript:KYUSt_chr5.32379 gene_biotype:protein_coding transcript_biotype:protein_coding MARADKHLLVYRRRTLEEREAAAAKRREEEEAAARLREEQQAAVTLKQLGECFRTTQVIQLPTDRPKPTPKPRRYHVSPNLEEKVKAGVMLCWLKKGMKKMKEALKIGRGKDKGQVYHRKDRTKDTLYNKLSIWLSVSTVESGNSVK >cds.KYUSt_chr3.10865 pep primary_assembly:MPB_Lper_Kyuss_1697:3:64633325:64646164:-1 gene:KYUSg_chr3.10865 transcript:KYUSt_chr3.10865 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSTRFRQARKAFHLVEVKIVVIELKWNAQCGRELEEAAAMAEDPSWNADKILDVYIHDYLVKRNLQKTAKAFQAEGNLSPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSDVANSYIETQSIKSREQQSSLEQQQQHVHAQQSPQQIHMHQLLLQRQQQEQQQQQHQQQHPQQQRRHQKQQQRNDSNYLPASPQNGSVSADPPPQLNTVATNSSSPKIYEERMKIPVQSDTLDEASIKQRFNENIGQLLESNPASLLKSSALSAHASGQIFQGSAGGVPGTLQQAQARSLQLQGSTQEIKADTNATLNLRAAGADGSLLGIPGTNQAGHNLTLKGWPLTGLDQLRSGYLQQKSFVQTPQALHHLQFLTPQQQQLLLQAQQNITSSSTEMDGRRLRMLLSSRNMVPGRDGPSNAFPEIIPSVGPSLQNMYSHGQRIETDMLMKQQQCSSQQQQSSSQQQLLQQSLLSQQPQSSNHYLDFRGHHEKMVAGSGVIMDGLSCNSFRGNEQVSKNQNGRKRKQPASSSGPANSSGTMNTAGPCPSSAPSTPSTDTPGDTISMPSMHHNASISKSMVAFGADAPGTGESPTDQIVGMDRLGEDDCLGDNVDSFLSHDDAAGPSDARSRCMASAKGLTFREISSARASTNKVVCCHFSSDGKLLATGGHDKKVVLWHAETLKQKATLEDHSLLITDVRFSPSGPLLATSSFDKTVRVWDLDNQDVAACMFTGHSASVMSLDFHPNKDDLMCSCDGNSEIRFWSINNGRAVRIFKGGSSQLRFQPRHGAYLAVASENVVSILDVETQACVRRFEGHTAHVDSVCWSPSGEYLASTSEDTVKVWSLNSASENSVQELNSNGNKFHACTFHPSYPSLLIVGGYQSLELWDLLENRSMTVAAHDGLVSALASSSSGLVASVSHDKHVKLWK >cds.KYUSt_chr4.20822 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131039341:131044105:1 gene:KYUSg_chr4.20822 transcript:KYUSt_chr4.20822 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPVSSSLGAMGPLLRKLDSLLALEYRLPKPLKCGIELLKEDLEELSAALLEQSMADSPNHKSKYWMDEVRELSYEVEDCIDGMMLRHTGAGAKTRSVRGHRVCRVKVSRVFRTLKPCTRISKIAALRTLLREASERHERYHLDDCASSSSFVFTGHNRGPGLYGQATDFLAGNDGSKVRLTKCLTEDGGQQLKVVCIDGPAGVGKTTLAKQLYRDLGGQFECRAFVRASRKPDTKRLLGSILSQVQRCPLPSYSEKVQNLIDNLMKYLQDKRYFIVIDDLWETTTWDIVKSAFPDGNNYSRIITTTEIDGAALQFCGYQFANVLKMKPLSSHDSAELFFSIVFGSEHQCPDQLKEVSYRIIGKCGGLLLSTICITGLLASQTDSSELWHHVHKCLCSNLSTSPSAEDMLKEVLNLSYNSLPHYLKTCLLYLTMYPEGFTVLKVDLLKQWISEGFITATQEKEAEEVAESYFYELINKGMIQPEQINHNDEVLSCTVHHTVLDLIMCKSKEENFITSIDYSKAITGNSNMVRRLSLHFSSAQYATKPAGVILSQSRSLFFFGLLRCFPSDVEFKLLRVLILEFWGDQYGHASLNLTRICSLVQLKYLKISCDIIVKLPSQMQGFQYMETLEINARLSAVPLDIIHLPKLLHLSLRDDTNLPDGIGHIRSLRTLQYFDLGNNTEDNVLSLGGLINLQYLHLTYSTVQSDEHLKRNIVALASSVVKLVNLKSIILAPGALSTAIYRDVSDSVSQSSLFLKSLQRLDLLPPICMFSRLPKSIAEVHKLCYLSIVVRELRRNDIDSVTGLPALTVLSLYVRQPPAERIIFNNGALPVLKYFKYMCGVLSLAFQEGALPNLHRLKLGFNARKGQLYDHLLAGVQHLVYLKRIDGIVGAAKGAEEPDRTAAESAFKDTIRKHPRFPSCINVKRVDWVEEDHELSTQVSDSSSEWHEILQKQRGVIETEEDTKQCAESGVTGQIMQVLPQHIDLCLIDTKLTTSSHHRKAFPDKLLDSIVAEPINFSFTTSSAQGPQPCSAPSSSRSLPEKYIGYPEGSPYSGVLRSPILMPRTTSAPQSAMHTMLSPQDHIARTVSFYSLPLSPGAISPVKTGLSNQPAPKVEMSLVDGEWEKGRLIGSGTFGCVFEATNRRTGALCTIKEINIIPNVAGSAESLKQLDQEIKHLSQFKHENIVQYYGSETV >cds.KYUSt_chr2.17460 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110079696:110080942:1 gene:KYUSg_chr2.17460 transcript:KYUSt_chr2.17460 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSKLARAAFATRPSPSAVGGIAGAGRPAAAIRVYSAGDPISSEEEEKAKRKSEISWAANPSLLEARLADPSCICPEGGPPDARLYVDESALQSDEAMWAFYEQWCKIHGISRTRREMERRFKGFSEGAKAVHRASAVGERKWMNAFADMTKKEGSRLIGRLGTRRK >cds.KYUSt_chr6.11674 pep primary_assembly:MPB_Lper_Kyuss_1697:6:72637086:72637547:1 gene:KYUSg_chr6.11674 transcript:KYUSt_chr6.11674 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQHFVPGGEEPGGGPPADEKKLKRPATESSAGDEDELPPRTKRRMKDTQQKPSAQAAGAASSVRAVLQDFLEQQQRLDAQRQEAAARHAQERLALERQWRQEMQRLERERLMLEQAWREREEQRRAREEARADRRDALLNNLLNRLLHENL >cds.KYUSt_contig_2649.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000435.1:85755:86366:1 gene:KYUSg_contig_2649.18 transcript:KYUSt_contig_2649.18 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVVARIYIRMPFRRVLLGDERKKEKKKRSLQSGYRFERERGPSEIGFRERRRSAAGPAAGSAAPGPAAGSANPAGNNLPSSPPPPLPTISSPTWSAMVRTSRPPLPPALACVRRRFSTTPRPLPRSRRRSSAVSPLPVVARLYTRSRGCRRRRALRPLDEDAAAGEELFGRSIKTLQPTILSESSQFLTSVCTVEYCRCG >cds.KYUSt_chr1.31185 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189190175:189198112:-1 gene:KYUSg_chr1.31185 transcript:KYUSt_chr1.31185 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFPDGYPVRLRSAVHGTYLRADKDGVGVSLGRRRRSMKVAWFVHRYYGDYQHVLLHSAAYGRYLYATDAPAPLGCLGFRVAQRSYDGLEDEAIRWQPVRVGSRGEILLRRHVAQGGPDSYGYLRGNGKYLIWNDSVASVHDVDKISTMMRWVVEPVPITDRIPRLPRPTRLHLSVLQHSRVVMFTGNGEGLYANDISFTFRGRSVHRLRNELVSRLGIPGNVSNELVMYVRAGRYGRNTPLVVNLSRSRETLVITPEPAWNALWSANGANNAEQSAGNVRPVDRIMSVVTSAAPTPSLGGGHTSVVVSPQDDDHNQGFFLKTPEESSSERSEPIDLAEAAKDLKLAGYAEVEILATLEEFKCSGKPYYPGSRPWMPKLPVNMFEAAKDLNSAGYSDDQIASFLQDFKKFGLPYVSGSPLCTSKWTPSCHFMNLHAGSTPANALLSLCKTLTPADEGNLTTLQDLLVNLSGGPLKSMLISTQNKRALADQFVKKLSATINLGCHMSEAKKITKKLAFSPLSISGPAFSNPNPPAFNRFCNRQGILITYHRRKRHGTPISVHTVRRSPRLNNRADGYRLTSDVGSQKFCKSIPMEARQNTLFKRSSPKLKMISSASLPEHKNSNMAVIPNVSPLHRKPSPHLPVETIHPLGTSFCGIPPEEIADDKLLHLIIPNEDVD >cds.KYUSt_chr3.23553 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145575206:145575916:-1 gene:KYUSg_chr3.23553 transcript:KYUSt_chr3.23553 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFHLVIAILQADPWPCPSLSDREIHELVLGFYPVHVGMWRFLSREQWPWWWGKVWIRSLLHARSCSASSGRPVGGGVLLRPPLAGARPHRACPLPEQELAAPTQASPLPELTSTSPCRSWPRRGHCWGQAAFVAARPRSVPNAAASSRRRQADPPRIGPSWPRPAPPVAAAIRPPQKRKVEVEARSTPPPLHLMGRAPSSEAAGRPCHDRCRVQPSPPRRYRVQPPPSLERCSR >cds.KYUSt_chr7.37367 pep primary_assembly:MPB_Lper_Kyuss_1697:7:233256616:233257008:-1 gene:KYUSg_chr7.37367 transcript:KYUSt_chr7.37367 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPTGAASSRAPPPPQEVQLKHIITRKVSTDEASFKDVIQRLTGKDSAAARAELLLAACDHSSIVQTAAPAVAAGGGSSRNIVGVEGGGSAGFNTGSAVTLEDAVLPSLEEMDRWWGSHGIDSFADGP >cds.KYUSt_chr2.3412 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20422484:20423663:1 gene:KYUSg_chr2.3412 transcript:KYUSt_chr2.3412 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGVAAKETPAKGAYVTFLAGSGDYWKGVVGLAKGLRAVKSAYPLVVAVLPDVPEDHRRTLVDQGCLVREIEPVYPPESQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDHLFDLEAGSFYAVKDCFCEKTWSHTPQYKIGYCQQCPDRVVWPESDLGVPPPPLYFNAGMFVHEPSLATAKALLEKLVVTDPTPFAEQDFLNMFFNDVYKPIPNVYNLVLAMLWRHPENVKLGKVKAVHYCAAGSKPWRFTGEEANMDREDIKMLVKKWWDIYDDASLDFKGDDAGEVTDPLGAALAEAWAGKYFPAPSAA >cds.KYUSt_chr2.18056 pep primary_assembly:MPB_Lper_Kyuss_1697:2:113676954:113677361:1 gene:KYUSg_chr2.18056 transcript:KYUSt_chr2.18056 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHLLPSPRGRAARSTTLNPVGLHLRFLRPGSKPPVPAPATASFPASRQPPIAATAAPTATEPEPHAPPRSGLCGPLEVPLAPMAVGDALEKYRLACLRVATTSRSAGRARPSALRRRRSAGRAWLRLALRASR >cds.KYUSt_chr4.33633 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206390566:206392856:-1 gene:KYUSg_chr4.33633 transcript:KYUSt_chr4.33633 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAPPLESISGHRKQLHAHLLRRGYPFPPADCPEPDRAYLSVLRAAVAAPVLALTASVCLRRAGLPVPGRRAFPALLRAAARTRCADSVGSAHGLAVRVGVEDDGFVGTALVGAYAACRRVGDARRVFEGMWDRDIVAWGVMLDSYFQIQDYKEAFRLLNKMKRSRVVPDQVIIATFLSTCGHTRNLRSGKIIHTYILVSGIFIDARLSSALINMYATCAEMEMAKKLYNGMQRKDLVSSTAMVCGYAKNGKIEAARSIFNGMPDKDVVSWSAMISVYAESNQPSEALYMFKDMQECGVTPDEITILSVISACANIGSLDKARWIHSFVVNRGFCKILSICNALIDMFSKCGSLALALNMFNAMPRKNVITWTSMIAAFATHGDGRSALNLFGRMKSEGIEPNAVTFLCLLSACCHAGLVDEGRSLFKCMIQEYRIEPKHEHYGCMVDLMAKSKLLQEAVDLIESMHIIPNVAVWGSLLSACWMHDDLELGAFAAKKILELDPNHDGAYVILSKIHAKSDNWNSAREARGVMRVHGVSKETGCSWV >cds.KYUSt_chr7.18932 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117341957:117342250:1 gene:KYUSg_chr7.18932 transcript:KYUSt_chr7.18932 gene_biotype:protein_coding transcript_biotype:protein_coding MILSEKRKEQEEMVVADNSKEEEEMTMAEKKKEEEEMVPAKKIKVSEEPAVEEKHGKMDVLLALPVPSMYHSTCERCIFPPICNKCGVVGDISVFRC >cds.KYUSt_chr5.5359 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33632283:33633375:-1 gene:KYUSg_chr5.5359 transcript:KYUSt_chr5.5359 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMHRLWTPNRCLSVQWMDGWFRAGASKVVSKLAYTDQFTCLDKAAMLTPEEDALCADGKTPTDPWRLCTMQQVEEVKCLARIIPVWSSGIVYFVVVTQLSTYVVLQAAQTDRRITASSSFQIPQGSFVVFVMLALTLWIPVYDRLLVPLLRRITKREGGITLLQRIGIGMVLSVVTMLVAAAVERRRRRIGPGSPMMSCFWLVPQQVLAGLSEAFAAIGQTEFYYRQFPENMRSVAGALYFLGWALASYASGLMVTILHRTTGWLAQDPDEGRVDLFYLVTGVIAAVNLVYFVACARWYRFKKSDDDHTGSGAGDAGLDESPKKAANAAPV >cds.KYUSt_chr1.34917 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212756417:212759097:1 gene:KYUSg_chr1.34917 transcript:KYUSt_chr1.34917 gene_biotype:protein_coding transcript_biotype:protein_coding MADETKQETAAAAAAAEVVVTEAEKKVEVEQKAVEVEVKAVEVEEKVDEAATTAAAVAEEEEEKKMEEAEAEAAAAADDAAVIEGSTASFKEESNLVSDLADPEQKALAQLKELVAAALAAGEFDLPPPPPPPAAPAADEAKAEETAKADAAVEKTEVAAESEPKADAPAPEEPKTDAPAQEEPKVEEPAKEEPKAEVPAVAAAAAEEPKADAPAEEAKPADPEPEEKTVVVTAEETIVPTAEETVVPAASAEPVVPTAEETVVPATSAEPAAPAAAEPKPEELIWGVPLAGGDERTDTVLLKFLRAREFKVKEAMAMLKAAVLWRKSFGIDALLGADLGVPELESVVFYRGADREGHPVCYNVYSEFQDKELYEKAFGDDDKRERFLRWRIQLLERGIREQLDFSSSGVCSMVQVTDLKNSPPMLGKHRAVTRQALSLLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFTVCSPAKTAETLFRYIAPEQVPVQFGGLFKEDDTEFSTSDAVTELTVKPSSKETIEIPATENSTVVWELRVLGWEVSYGVEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKAGEPGKVVLTVNNPTSKKKKLLCRFKVKSSTEST >cds.KYUSt_chr3.14070 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85129385:85132251:-1 gene:KYUSg_chr3.14070 transcript:KYUSt_chr3.14070 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSRHFAEDLLRGAMDLQESLVMLEKFQTVSRSIRQSNKNRRPETGERSLDVTGIHEALFEASVAKKVLPGSVSNRFDGQFRNSTDELKRVIKDSLYRTNDEQALLSQSARYTRNSPVVSESTKQKKVVPRSLSCTPVQSDRSKSPSLVARLMGLEGLPLHKGITAKKDETLKTVSSPRAQFDIEMPKSKTAASEKLPKQLFGKDSDHNRKARQEITKTIQVKRILKTTTSDEHKVKQQNVRMHYPYSRRDNLPSQDTSMAYEVHREFIETDGASTEHSATPSDECQSADWDTDPSIDDIREDFSGSNEASSSSSPVERTISTDDNAFHPPIERALIKEAEIKDEMSLLLLSDKSFLTRAAQLVGIHTYDHLIEQYQGTPKAEVKDRQLYVDIAAEQLERKHRQQSSPRGTGFRNHKCRATPYFSLVALLGDISTGTRKLKSYTDNESYDSGSKDSMSLKLERDVGCTDPSINSVWDMGWQDWMCMEETEFWARDAGEIVLSTLIEEVALEMLGW >cds.KYUSt_chr2.17323 pep primary_assembly:MPB_Lper_Kyuss_1697:2:109204462:109206109:1 gene:KYUSg_chr2.17323 transcript:KYUSt_chr2.17323 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRDKEPTSSAPERVARAVEAVAAAGEFRNAYRRQLLALSRRIRLLGPFAEELRERRRRVDETEERALAPLAAALERALDLLRLGRDGSRISLVSSLQKLQGIEPIALDCII >cds.KYUSt_chr1.13153 pep primary_assembly:MPB_Lper_Kyuss_1697:1:79955460:79957131:-1 gene:KYUSg_chr1.13153 transcript:KYUSt_chr1.13153 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVEGSGEGAGQGEERDGEERDELELALSLGPRGWHPPPPPPLPAPPLRWTMVFPPWGPDEAGSSQAAERIWDSPPAPPLRFGDIWRGAADAEDHNNGEEDKESEDGERELHNKRPRVLGFGDESPQHSSVNATLFGFEPPCLHAFSEHHDHPKLSHCLESELDFGLSLFSNDGGKDIPRETNNQRVGDVEKLGGKNAEDTEIRMDLSDDLLHLVRLDVLLSLLLQN >cds.KYUSt_chr2.35216 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217353349:217354647:-1 gene:KYUSg_chr2.35216 transcript:KYUSt_chr2.35216 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDIAAEYQGLEVKVPIFFRCPISLDVMRSPVSLCTGVTYDRASIQRWIDSGNNTCPATMLPLPSTDLVPNLTLRRLIALWASTATTSSSSSSPAPSAVGPTPAAAAAELLRQIADHSEDPYPALHKLAAFLSDDDVDEFDKNALARATGAAETLVSVLRRRRAGASAEAAVRVLAVIAASDCIEEENRKRVATALAADAASSVASLARVLRKGSSLEARIDAARLVESLLRNARPDVKATVAESEEMVSELIRLIGPVDEKGGLDKQAVEVGLSCLATIAGTRRARSEMVRLGAVPAAVRVLATDAGSPSQALRLLEAAVGCAEGRAAICERADAAIPAVLSKMMKGGMGGAEAGVSVLWAVCHKYRDRRAVDAAAASKGGLTRLLLLMQSGCSPATRQMASELLKMFKVNAKSCLAGYDSKTTHIMPF >cds.KYUSt_chr2.50312 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314590005:314590382:-1 gene:KYUSg_chr2.50312 transcript:KYUSt_chr2.50312 gene_biotype:protein_coding transcript_biotype:protein_coding MATWVRGPCKSRKDRNSSGARYCPSRTTSRRLLPTSQRPSLSLLRIPHPTRSLPSPHDVTLPALLAHCSHTHSMAACSFFFDAEPISEPAMPAQDACALCTKQLARDSDALLIERREIKERTCLA >cds.KYUSt_chr2.6822 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42576894:42589085:-1 gene:KYUSg_chr2.6822 transcript:KYUSt_chr2.6822 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAALFADLPFPDDLPEFPHSVDDAFALDDFDLDDLDFDLDLDLFPTDDVQHPSPPPPSSSSAGSPAGGSSSSAGSPPGGSSSSAVETSPKNDESSESSSRSASAGNDGKPTPDGDDKRRARLVRNRESAHLSRQRKKQYLDELEAKVKAMQATIADLSARISCVTAENAALKHQLATSTGTPPPLPMYPGLYPLPPPWIHPAYAMRGSQVPLMPIPRLKTRQPAPPAGAEPPAKKAKKTKKVASVSLLGLLLLTMLCGCLLPAVNRMYGTADSGEAVVLGQSHHGRILAVDGPRSGVSQGIDTNLQPNASETLPALLYIPRNGKHVKINGNLVIKSVVASEKASSRIPHSDGKSPVNQGNDETGLAIPRHVAKLGSVEVVESAKRITNELIALPPGDGSSIYREDDELLPQWFSEAMSGPMLSSGMCTEVFQFDTSPTSADPNGIVPVYSSAMSNSSHNFTENVPSQKVKTRRILHSMAIPVQGSTSNHTDHLKAHPENESFAGNKPASSVVVSVLADPREDADGRISSKSLSRIFVVVLIDSVKFAEGARSKELIQFGASKMMETTATLMRDQRPRNGQWRSWHHPYSLKGAKVAPLPPRQSLVFLIALFGLYVCYLSFNQIRLENKGEESGAEEQTGHVCTRPVIPDEELPYVHFPKPEGYNRAECSCTPVRFFVIVSMQRSGSGWFETLLNSHPNISSNGEIFNRMDRRENISSVIQALDKLYNLDWFTSAAKNECTAAFGFKWMLNQGFMDYHDDILSYLNKKGVSLIFLFRRNTLRRLISVLANNYDRDAKQLNGTHKSHVHSEEEAEILAKFKPELDVSTLISNIRDVEKYMGDCLDYFNTTRRMILYYEDIISNRNALLQVQEFLGVPVRKLVSRQVKIHTRPLPDLVRNWEDVNSKLNGTEYARFLDGADYLK >cds.KYUSt_chr5.17227 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111297868:111308534:1 gene:KYUSg_chr5.17227 transcript:KYUSt_chr5.17227 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQDEIVDQEAASASASRPQLSSSSSLREALSSSSSLSLKEQRHDEEEVQLQWAAIERLPTLDRLHTSLSHLHAKANAADGGARRLEPVDVRTLGAAERRELVHALVADDIHKDNLRLLRRQRRRMDRVGVRQPTVEVRWRNVRVEADCQVVDGKPLPTLLNSAISTLSLFTTMLGFKRNQERIHILKDVTGILNPSRMTLLLGPPGCGKTSLLLALAGKLNRNLKVTGEIEYNGVKLQEFVPEKTSAYIGQYDLHVPEMTVRETLDFSARFQGIGSRAEIMKEVIRREKEAGITPDPGIDTYMKAISMEGLERSIQTDYIMKILGLDTCADVLVGDAMRRGEMIVGPSKALFMDEISTGLDSSTTFQIVSCLQHLAHISESTILVSLLQPEPETYDLFDDIILMAEGQIVYHGPKDCIMSFFESCGFKCPERKGSADFLQEFKASPSGQNLVEELLKPYDESKGHKDALSFNIYSLSKMDLLKACFARELLLMKRNAFLHITKIIQIGLLAVITGTVFLRTHMGVDRVHANYYMGSIFYALLLLVMNGFPELAMAVSKLPVFYKQRDYYFYPAWAYAIPSFILKIPVSLVESVAWTSISYFLIGYTPEASRRDQGMPMDTKNGMPKLQAETDLTAHRTGRMVLPFTSLTISFQDVNYYVDTPVEMKEHGYIESKLQLLHNLTGAFQPGVLSALMGVTGAGKTTLLDVLSGRKTGGVIEGDIRIGGYPKVQQTFARISGYCEQTDVHSPQITVGESVAYSASLRLPPEIDSKTRNEFVNEVLETIELDEIRDSLVGVPGVNGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVADAGRTVLCTIHQPSTEIFEAFDEAIPGVPRIKDNYNPSTWMFEVTSTSMEVQLGVDFAQLYRESSMCKNDQQGLFTILGCMYGIAVFAGINNCQSVMPFVSVERSVVYRERFAGMYSPWAYSFAQVAMEIPYVLVQVLLFMVISYPMVGYTWTPAKSLWFMYTMSCTLLYFLYLGMMMVSLTPNIQVASILASMFYTLQNLMCGFIIPAPQIPRWWIWLYYISPMSWTLNVFFTAQFGDATDRMVVVFGETKSIAVFMRDHFGYRRDLLSLSAIALAAFPILFAVLFGYNISKINFQRR >cds.KYUSt_chr7.34453 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215118178:215124467:1 gene:KYUSg_chr7.34453 transcript:KYUSt_chr7.34453 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRLCYGTDCSVPFSQLFKAAGTHEQLSRDGLLYFIFRYSPESECEVVAFFRSITVLTIGIIMTPSKNVKTPRSGHPKFKNLRKRLQYKHKKEDSRDVPDVEKQLPSEVHSVPKDVEKQLPGKRKKKQMFFNRASPMKVVKLYKSQNASHRQFISDNGFGSFLGIKCSKLHPDLSNYLMGSFNHESCSLDFPGRGSIPITDEVVKKVLGLPLGKYPALYTVDSEATSFVMNTLGFGNGKQPKLTDVETKLKAMVKGDDLYFMTWVMYVVCSVLAPTTGIRVCPKCYPAIMDPSKIKDLNWCRFVITVLIETAKAKGVKNPFKACMAFLEILYIDSLYTEDINVSQDGPRICAWDNKSATQAIEQDLNDDGSFGRLPLKKCFRTTGVLMLSTPIMIENFVKANAPSDCGEEEISRYREAAQEMYSEIDAAIAKFSMKVSTINTAKKQKKSSTRSTPSSRGPTENKTRQQFSGEETDGSDSDHQVNLSGFESESEGEGSKENAYGSDYDDFVCVPRKRKNCNRVVQQKRQSDELGRNDEFPCKVVQSHVLKELTKAPEVVLPAPQLLDDNIARTANDTTCKLPRPSKLKQKKKIKAANVNSDAVPEQMIAPEVVCVPQQHQDSVGATNVTTTKMPRPSEPNGNKKRKSADVNSNAEPSNKAARYVILPEETRGTPVGDCAQESHGNEMQVEEKVAISALENLRLYASDSQSSNDLIGCEVSAHPTTAESRTLALPGRGCLKQTEDWSSVHAIRKVTSYCPAIDMSDARTIHAKLTDAPLEGSVASAVHPEEASLTKKSVSWAADAVVNLNQQCIPPVHPIKDLHTAQENETTTLPLITPILQKGSPTTIARRPVTRSMSLLKAASSTNTDVIPVTRSNHGNFEVATSIQGKKTFGLLDDTTTKKPDLHITAYKDRQSLWLPGEAGSFDLGFDSPNKENDKGKEEVVIGLPVITSSNEDEFYGPAEDYEMLAAMVGEKYFPTSSCTLNVTSVEDTAKQKNKLRDHAFTGKDIKRVFSFTENNRLDHKEQNLSTIDDPKYFCGHYYLINLNLKAERFEIFDSLRNLGDKKLKKDSAIIIDSVKTLWARNYKQSNVSIQNYETIYIPSPKQLTT >cds.KYUSt_chr4.9682 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58460539:58466388:-1 gene:KYUSg_chr4.9682 transcript:KYUSt_chr4.9682 gene_biotype:protein_coding transcript_biotype:protein_coding MVREMRINSAPRGNRAPLLNNGAKKDAGKLVFATVALLVASLSNLLVPKYGGKIIDIVSRDVQNPEDKAQALADVNGTILYIVVIVVTGSVCTALRAWLFNSASERVVARLRQDLFSHLINQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNVTTTAIGLGFMFSTSWKLTLLALAIVPVISVAVRKFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRAFAQEPHEVSRYGGKVNETLKLGLKQAKVVGLFSGGLNAASTLSVVIVVIYGANLTINGYMTTGSLTSFILYSLTVGSSFSALSGLYTTVMKASGASRRVFQLLDRTSSMTTAGDKCPKNENDGEVELDDVWFAYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVPLVEISHQYLHQKVSIVSQEPVLFNCSIEENIAYGLEGKASSADVENAAKMANAHDFICSFPDQYKTVVGERGIRLSGGQKQRVAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMAGRTVLVIAHRLSTVKSADTVAVISEGQIVESGTHDELLDRDGIYTALVKRQLQVPKFEGNSNGAVEVEPTSNGQ >cds.KYUSt_chr7.32355 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201570898:201573066:1 gene:KYUSg_chr7.32355 transcript:KYUSt_chr7.32355 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDGLDTAFDANPDANPHVLLTRFPPSRHNSGRCARRVPRLELSAGTSSAVANTHDACSNEGYEDYEGDADDQVAENIRFTNQQQHTPPAIVKPIHPTPTMDPRKHHVFGDQNIRREILLRINSTASTTRSMRAHKCFMEEIQEPDFASAYSKIQDPASIGFLVATGSGAGRITWLADTEDTPGDDTAARLRARISRPSLMRERVVGCRCGLAVVERSEGYFTTMPCIGEKQLSPVAPLAPKIVRSPEFVSSNTYGKFALMMDSVQGGIAFFVQDSSAEPTHTDIGVSCGSSPHTSVHLCLYSKGHWRCYATPQFKHPHQVIFNTNPTCIINNGRLFMQYIIGVIVTFRLNDLSFSHTALPAEVNNAGVTDTEYAIGEHRKADLLLVHLKSGILSTYVLVQTLDEHTWMKISSTCLLDTFVSQFGMSFWQRLITTGDGETGQTGANPLQLRATARNGSHVFITLADDGGYFVYDTKRLKLTEVYRGPKGKIGSVLTLTEPWPPLF >cds.KYUSt_chr4.2362 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13174213:13175927:1 gene:KYUSg_chr4.2362 transcript:KYUSt_chr4.2362 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSASSSSSPIYTALARLTKLNSNNNRSSNRLLLASRKTSRGKTTPLLVLLFLLLVLLIAAVTVVFLLPSISDHVVVVCRGHSTVREPQGGGEEAALGVPARRHELRNLDPFLLLDEFAVSKPGGFPDHPHRGFETVTYMLEGAVTHQDFSGRKGTIRAGDVQWMTAGRGIVHSEMPATDGVQKGLQLWINLPAKDKMTEPQYQELQSKDISEASRGGVDVRIIAGEAFGVRSPVYTRTPTMYMYFTMRPGSQLHQPIPAGWNAFVYIIEGEGAFGGEDAAPATAHHCLVLGADGDGLSAWNRSGAPLLFALAAGQPLREPLVQQGLFVMNTRAEIQQAMEDYYHGRNGFEKAKQWTSA >cds.KYUSt_chr6.13055 pep primary_assembly:MPB_Lper_Kyuss_1697:6:81504328:81507606:-1 gene:KYUSg_chr6.13055 transcript:KYUSt_chr6.13055 gene_biotype:protein_coding transcript_biotype:protein_coding METLSLAVWAVASAMAVVSFLWAYRWSHPKVNGRLPPGSLGLPLLGETMQFFAPNPTCDVSPFVKDRVNRYGTVFKTSVVGRAVIVSADPDLNHYVFQQEGKLFESWYPATFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLRAVLLAETDAACRTTLASWAGQQSIELKDGLSAMIFDITAKKLIGYEPSKPSENLRKNFVAFIRGLISFPMDIPGTAYHECMKGRRNAMKVLKGMMNERMADPGRKREDFLDIMIEELRKENPVLTEAVALDLMFVLLFASFETTALALTLGIKLLTDNPRVLETLTEEHEAIVRNRKDPDAGLTWAEYKSMTFTSQVTLEIARIANIVPGIFRKALQDIEFKGYTIPAGWAVMVCPPAVHLNPEIYEDPLAFNPWRWQDKMEITGGTKHFMAFGGGLRFCVGTDLTKVLMATFMHCLVTKYRWRVVKGGNIVRTPGLSFPDGFHLQLFPKN >cds.KYUSt_chr1.27644 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166574958:166576428:1 gene:KYUSg_chr1.27644 transcript:KYUSt_chr1.27644 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNCLLSAWYGLPFVSPNNILVSTINGVGAAIETVYVVIFLCFASSKKTRLRTLGLASAVAGVFAAVALVSMLALHGQARKLLCGIAMTVFSICMYGAPLSIMRLVIKTKSVEYMPFLLSLAVFLCGTSWFVYGLLGRDPFVAIPNGCGSFLGAMQLILYAVYRNNKGGPGADKMQDDDVEMSRDTRISNKQVAHGHHDGGAGKSGKANKMDGQV >cds.KYUSt_chr3.28723 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179282035:179287300:1 gene:KYUSg_chr3.28723 transcript:KYUSt_chr3.28723 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAVALTLAGAAGNSIGKVLQKKGTLILPPLSFKLKVIRRYALNRLWISGFLLDMCGAALMLTALSQAPVSVVQPVAGCGLAILCVFSHFYLKEVMNGLDWIAITLAGVGTIGVGVGGEEQKVEEIPLISIPWLVLCILILFVLLNTWLHMYKKQRRDQDLTGPEVIEEVIYGLESGILFGVSSVISKMGFVMSEMGFPKIVVPVAISCSVACSAVGFVYQTRGLKHGRAIVVSTCTSVASIVSAVVAANSFPAPLGLVRFVGIQSKHGTNPEVSEEQYGAFSQHQEAWISPREGSNPNHNNPCIVITTYSNLSRKREGLDQLLLEQDCLNTSMRPAIV >cds.KYUSt_chr7.29838 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185731286:185732695:-1 gene:KYUSg_chr7.29838 transcript:KYUSt_chr7.29838 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLLCVVSILVVLQAVLTGEHRLAVAAQIGDEFLHPDPIRGRGSGLMLSHVHDELISSSVAAPAFAREKDAVIDGEEFSIDEYAGDEENEEVGDGQHTPEPDRSVDEEEVVEGEDDSLLSPQEGDIESSSNPEEHGSSGGGDVECPECGKHFRSNKSMFGHLRSHPGRGYKGATPPPMKPKRRATPSQSPSVHDHDRPVARYSQRDPNLNAFEMLVAYIMLTLKHRDSRIVHDQSVKVKREPADVPPEEEGSVMSRAGDSAALGDKNDISAAEMALADAELRSGDSSSAAEMARDDQQVLRSEQVVIETPAKRVSMKRSKEAHRKEKDVRSEGKSRRPYICKHCQAVFPTHQALGGHMAAHNKDRRVQAQNEQAAVAAVEAHHLVSLNRQGSEEQRGQGGLAASTRELLMERYTRMFNQGWQTRQETGGYRRQHTEREDGNTLPPVTDGDQLRLFGIDLNVQAPQQE >cds.KYUSt_chr1.39869 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244038378:244039319:1 gene:KYUSg_chr1.39869 transcript:KYUSt_chr1.39869 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTTSPTIVLANMYQRPDMITPGVDAQGQAIAPEKMQEHFEDFYEDIYEELSKFGEVETLNVCDNLADHMIGNVYVQFREEEQAVAAHNALQGRFYSGRPIIVEYSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRELRRKLYGGRSRRSHGRSRSPSPQNRRDNRDRGGDFRRDGRDGGGYRGGGGEGGYRVGGDGGYRGGDGGYRGGDGGYRGGRRGGGGGGRYDRHDGGDRRRYGGSPPRRGRSPVRENSEERRAKIEQWNREREEKK >cds.KYUSt_chr4.7080 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41911822:41913060:1 gene:KYUSg_chr4.7080 transcript:KYUSt_chr4.7080 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSTAAVFLAMVVVATPLLASSQPMPAPPAPPTNNSRLEKAYVALQALKRAITEDPKNLTHNWCGPDVCSYYGVYCATALDDPCARTVASVDLNHGDLAGTLPEELGLLTNLAVFHLNSNRFCGTLPDSLRSLHLLHEIDVSNNQLSGSFPSQLLCLPNVQYVDIRFNNFCGEVPAAVFEKKIDALFINNNHFEFTLSESFSKSTASVIVLANLPRLGGCLPSSIGDMAGTLNELILLNSGISSCIPPEIGKLDKLTVLDLSFNNITGTLPDSIGNMKALEQLNIAHNRLSGEIPESICALPHLKNFTYSNNFFCGEPHRCLEVPHIDDRQNCIAGRPDQRPGEQCIEFLHQPPVHCDAHGCFAPPSPPPPVYAPPPPVY >cds.KYUSt_chr6.10460 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64589568:64591913:-1 gene:KYUSg_chr6.10460 transcript:KYUSt_chr6.10460 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVTAVIGSGVLSLAWSVAQLGWVAGPAAIVLFAGVTAVQSSLLADCYISRDPDERGVFVRNKSYVQAVRFYLGEKSRLFCVFFLSVNLFGSGVVYTLTSATSMRAIQKANCYHREGHDTASCSTAGGGSDVYYMLVFGLAQVVLSQIPDFHNMAWLSVFAAVMSFSYSFIGFGLGAAKVIENGVIEGGIGGIALASPMQKVWRVAQALGDIAYAYPYTLALLEIQDTLRSPPAESETMKTASRGSIVVTTFLYLGCGCLGYAAFGDATPGNLLTGFSFYEPYWLVGLANLSVVLHLLGGYQVYGQPAFALAERRFGTGAGVLDVEVPLLGRRRVSLFRLCYRTAYVAATTTVAVWFPYFNQVVGLIGAFSFWPKVIHFPVEMYIVQAKVAPWTAQWLAIRSFSAACLIVCTFASLGSAVGVFGSKRS >cds.KYUSt_chr1.5103 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31511286:31513440:1 gene:KYUSg_chr1.5103 transcript:KYUSt_chr1.5103 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEVEAVDFDSDDDDLMDEDGAIEPSPAPAPRLRSTIAGGGGADDDAPRKTKGRGFREDPNSSSAPRDSRLAGVGRSGFDALASDGGPGPVRSIEGWIVLVTGVHEEAQEEDLHNIFGGFGQVKNLHLNLDRRTGFVKGYALIEYENFEEAQLAIKESDGTDLLSQIISVDWAFSNGPVKRRNTRKRHRNSHKWLLDCPEGKPEVVNTE >cds.KYUSt_chr7.9430 pep primary_assembly:MPB_Lper_Kyuss_1697:7:57451916:57456151:-1 gene:KYUSg_chr7.9430 transcript:KYUSt_chr7.9430 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFVTGMTDGIMVLFIFMVGDVPMLVIGVTGGVMVFFIFVVGDMMILLTGLTGALSWKNDSSVEDGIQGCKPSPHPFTLCKSEAEAFGYPCEDHKVTTEDGYILSLKRIPHGPSNGDNSTDNENTRQPVLLFHGLMVSGMLWVTSLDFYCADSSLEYLTATNVLLHDRLVAREVLLIVCGNPEVDCHDVFSALAGPDCCLNVTSTCAFLEHAIQSTSVRNLVHLSQMVRHKGIRRYDYGNAKANMKHYNQTRPPLYNLSTIPTHVPMMLMHGGQDFLGDLPDTRHLLKTLVRNHEKDNIEVLYVPDYAHADFVTGFNAPELVYEPMVDFFQRH >cds.KYUSt_chr6.33397 pep primary_assembly:MPB_Lper_Kyuss_1697:6:209722122:209722570:1 gene:KYUSg_chr6.33397 transcript:KYUSt_chr6.33397 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWLRASSRVNKVREGELPVNAEEILWRSVCQTDEYLELFGENHITCRVDVCDHHGELIAARAGKVEGIRDVFAAEFYALEKVVDAALDIGAIRAVFETDSEILAIAMNWRGQDFS >cds.KYUSt_chr6.7590 pep primary_assembly:MPB_Lper_Kyuss_1697:6:46246459:46251650:1 gene:KYUSg_chr6.7590 transcript:KYUSt_chr6.7590 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVSSLPDHVCDRAVEEEVFGPSDAVEFVQKQLKETFSATLAVRRLVKEAVGESLVIGYALCSSLLAIINKYAVTKFNYPGLLTTLQYFTSAAGVWGLGKLGFLCHDSFNLETAKKFAPAAVVFYLAIFTNTNLLVHANVDTFIVFRSLTPLLVAVADTFFRKQPCPSKLTFLSLVIILGGAVGYVITDSAFSLTAYSWALAYLVTITTEMVYIKHIVTSLGLNTWGYVLYNNLLSLMISPIFWFLTGEHKSVFSAVKSRGESWFQLDAFVAVALSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVTIWDKHASAFGLVSLLFTLAGGVLYQQSVTAKGHIAAPQHEHASEQHKNDSDSAEYDEEKHKLVSSDERPVA >cds.KYUSt_chr4.9454 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57027147:57032754:1 gene:KYUSg_chr4.9454 transcript:KYUSt_chr4.9454 gene_biotype:protein_coding transcript_biotype:protein_coding LLAAVRTEGLCTPTRIKNMVASRPPGAPTSKIERTPSLTPGGSSRSKEEKIFVTVRVRPLSKKELAVKDQSAWECADSQTILYKGPHQDRAGPTSYTFDKVFGPSCQTDLVYEGGAKDVAMSALTGINATIFAYGQTSSGKTYTMRGVTESAVSDIYRHMENTPEREFVIKISAMEIYNEIVKDLLQPDSGSLRLLDDPEKGPIVEKLDEQIVRDREHLRHLIGICEEQRQVGETALNDTSSRSHQIIRLTVESRLREVSGCVKSFVASLNFVDLAGSERAAQTHAIGARLKEGCHINRSLLTLTKVIRKLSSEKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALTHVEQSRNTLFFATCAKEVTNTAKVNMVISDKQLVKHLQTEVARLEAELRTPDRAASSEILIMEKDKKIRQMEIEMEELKKERDSACSQLEELRKKMGDNQQGWNSFDSSQKARKCLTFSGSLQPSKMIKIRNSIRQSSTAPLMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVEYHKHGNQGVAETIAKLQAEIREMQSVRPENRDVEMITDEGNGSDLKDEISRLHMQDNDIAKLEAKLENVQRSIDKLVMSLPNVGTECNESSTKSNVSKKKRRMFLPLGVSNMNRPIRAPCSPHSSSRPSDSEVENRAPDGHTVSHEDSEKTTPTKSEDTGASRDETPRNRRDSSVNMKKMQRMFQNAAEENVRNIRDYVTELKERVAKLQYQKQLLVCQVLELESNEGKPNDMEEDPEENAGCLQDGPESWEKLFKEQMQHIIQLWDICHVSIIHRTQFYRLFRGDTADQIYIEVEVRRLLWLQQHLDEVGDASPTAPGDDLAVSLASSIKALRNEREFLARRMGSRLTEEQREHLFIKWQVPLEAKQRKLQLVNKLWTDPEDEAHIEESADIVARLVGFCEGGNISKEMFELNFAIPANRKPWLMGWQPISNMIRDRAQLW >cds.KYUSt_chr5.30078 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190753918:190756228:-1 gene:KYUSg_chr5.30078 transcript:KYUSt_chr5.30078 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAWLLLLIVACLCPGQSFSWSIFSSSSSSSGTANNARPPVMELDGAVADFSMDGTTNPRGLKLLENARNKLAGPKNCWQEAYRNLFASCGEIMADKERQARLAWHLSSCYQEDSGRPAFPSCTASSGMVHCRKRLSDSESKVFLEFFLETNTLCHQLQAEAFKHSTERLVNDLTRSSKSAEEKLEVIEDRSEQIINESVKLQETLTSIEAQTDRLAETSKDVATQIDDVLAHSKAISDQSKEIATSQAALKEGQAEMREKIDAGMERIQESYESLGSGMDKLKDEAVDIQKEIRSVGDSMSSKMQDLQGTADEIGSVTGRSLENQAQLLDGQNKAMEGLNSLYSFQSQALEESRETMEKLAQFGQRQQEELLSKQEQIREAHDHLIQNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGQLYFGLCITLLLEMGLIKIGAGDFENQYWVLSKVFLVRMAFLAAATIQILHSIFTFRDYEVLNHRLLQTLVEKVRTLEENAGDRMLPYGSESDESLGNYSWVFDELTDEVDSKGDPNYALPETVRRRYNNNALPEEVAENSITTSISRKYNLRPRSRH >cds.KYUSt_chr6.1576 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9603998:9604750:1 gene:KYUSg_chr6.1576 transcript:KYUSt_chr6.1576 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNRVRRRHGRDVTIKEEAAANGDMLSKLPNDVLLNILERMDTLDALRACVVSKQMQKLPPMLSQIVIVLGNLELARKNSVVADVTNKILSKRSPQITIRNLKVKVFLTPNDCRSIGKSVGLAMATQKLDAAEFEIVTTKNSYYCKDADLLNFARQFNTFFADCPDAFSGLTRLELQNLRFGESDIPNILSTCKRLDSLSFFECDVGIHGDIQYCM >cds.KYUSt_chr4.51906 pep primary_assembly:MPB_Lper_Kyuss_1697:4:322298122:322299400:1 gene:KYUSg_chr4.51906 transcript:KYUSt_chr4.51906 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIVGTFVVALCLCAGDAQAQAQLQVGFYAQSCPQAEVIVRDEVGRAVSANVGLAAGLVRLHFHDCFVQGCDASVLLDSTANNTAEKDAIPNKSLRGFEVIDAAKTRLEGTCAGTVSCADILAFAARDSVVLTGGSPYAVPAGRRDGNVSAASEAQANLPPFTASAAQLTEAFAKKGLSQEDMVTLSGAHTIGLSHCSSFSGRLQGYNATTGTGQDPSMSAATASALAAQCGRSPDAAVPMDAGSPDAFDTGYFHAVLANQGVLASDQTLTSDNVTAALVVENAYNTYLFVTRFGEAMVRMGGIKGLTGGDGQIRTNCRVLN >cds.KYUSt_chr5.11577 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75099696:75105331:-1 gene:KYUSg_chr5.11577 transcript:KYUSt_chr5.11577 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGSGEADGAAPTASTYYDVYGLDAKPDVVFKESTSDSTLNLQDVQGLVTWVIGEGILPSWVFIKNKPLIPKVILLYVPGLDAALYMSQCRLLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRNAQASNHSHESAGGKISSLDDLKDVPFPVKYYTLSAKDLEDNGYPSNLSGFVPTIPAPSGSYAHEILALDCEMCVTAAGFELTRVTLVDIKGEVVLDKLVKPANPITDYNTRFSGITAEMLSDVTTTLQEIQEEFIGLVYKETILVGHSLENDLMALRISHDLVIDTAVLYKYNRGPRGKIALRVLANKYLSREIQNTVSGHDSAEDARAALDLALLKIKYGPDFGSLPSFSRRKLASVLHENGKKCSLIDDVGVLDRYSDASCNSIAVFSDDDALSRSMKEVKNDNISFVWTQFSGLNSYLLKRAQDPEKLKSCVAEAIALKTCDRKTARKRAKDQTCPELKEILSELDKKIRKLYDTLPENAMFIICTGHGDTPLVQRLKKMLNHGEETSESRQNIVEALEDLQAQAEVALCFYCVKH >cds.KYUSt_chr5.4376 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27884813:27885232:1 gene:KYUSg_chr5.4376 transcript:KYUSt_chr5.4376 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPAPAIGALRAPAPLAAPRRRLPFAFTNAAPTSPLGAARPLAALMGSPLTTPVVLARLTAHPGASARACCELSQGRVPSPFSVLVRIANMI >cds.KYUSt_chr6.22099 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139595264:139596396:1 gene:KYUSg_chr6.22099 transcript:KYUSt_chr6.22099 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLRRRPSSPAVEPPPLENDDLLDKILLRLPPLPSSLPRASAVCKRWRGLVSDPRFRAHHRRNHPLLGFFIGALIGEPAQATITGDQHRLDIPPWFDTENPFSAAVLRAAGEVHHFQVVLVYLAIPPVMVGDSLYWLVTGNSSFGMLEFDLDRQSLGFIPMPGKETLSGGTGYRDISVLTEGGGLGFLFVSGFSAQLWKRETDCDGGASWVIGRTIALDKLLSMNSKNKRENSFILGFAQENNVVLLRTSIGIFTVQLESLQFKKLSHSNFWHRYYPFEGVYTAGIRNQVI >cds.KYUSt_chr4.8458 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50635318:50639571:-1 gene:KYUSg_chr4.8458 transcript:KYUSt_chr4.8458 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAATAASATTHLALLLVLSSSSLFFLYKSITLRRRLRRIPSSTPSPTPTPTPAATPTLLYASVTGTSKDLAARLSLRLTDAGAPARATDAAAFDPDDLPSVPLLLLVLPTHDAGAAPPDAAFLARWLAETAADFRAGALLLSDLRFAVFGVGSRAYGETFNAAARSFSRWLRALGAVEVVPVGEGDVDGGDLDGVFEEWCGRVLRVVKGEDEDGDGVSGEANGFEEVDGEESDYDDEDDDEEEEEVASGEVDMEDIAGKAIAKKQNGKVDGGLPNGGQNGARAMVTPIIRASLEKQGYKIVGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDDPLDIVNTAIDEHRKMVKQMKGVPGVKPERLAEGLSPRHCALSLVGEPIMYPEINALVDDLHRRHISTFLVTNAQFPDKIKALKPITQLYVSVDAATKESLKAVDRPLFSDFWERFLDSLKSLHDKDQRTVYRLTLVKGWNVEEIDAYANLLELGQPDFIEIKGVTYCGSSATSKLTMENVPWHADVKEFSEVLATKSGGVYELACEHVHSCCVLLAKVDKFKINGKWHTWIDYDRFNELVMSGKPFKSSDYMAMTPSWAVYGAEEGGFDPDQARFKKERRHGAAALKG >cds.KYUSt_chr6.9381 pep primary_assembly:MPB_Lper_Kyuss_1697:6:57806405:57806914:1 gene:KYUSg_chr6.9381 transcript:KYUSt_chr6.9381 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAVSADSSSSRRLSQPRPLAPQACVPAKAAKDTDTELMRVFKSFDADADGRISASEIQKLRRCTTAEAKEMVAAVDSDGDGFISIEEFGALLDDGESDALRMAFQEYDENGDGMITAEELFRALRRVLPDEDLTVEKCSEMIAGVDKDGDGLISFDEFKAMMETKSS >cds.KYUSt_chr4.22105 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139054555:139062180:-1 gene:KYUSg_chr4.22105 transcript:KYUSt_chr4.22105 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLWRQGHLQLKEKSSSGARLHLESSVGAGNGEVRGRRLCRSPLSLGQRKVEHHAATRGIMEETGRRDQHISEGGTLAEPPSMRSSTAWPALLARVDARLLVDGGILLSPERSWRCRGSEARPRVCLFRSRYLNFGDVASLHGFLIPSGFLARPAHPFPVPADSAYPAVAVAEEDAVVCSLVAPAAGGEELAWCEIRRGGDASSATIRNLSSDAIIVDGRVIQQEVVDIKPGSEIVPGPQKEGHLAYTFDITASKDHNENNVQIVLDIDNAKCSICLNLWHDVVTVAPCFHNFCNGCFSEWLRRSSSNSRDKSQSAACPQCRTAVQSVGRNHFLHNIEAAILKAFSSLQRSDEEIALLESYASVKSNIVLGNKKNQSRKRPLPRPSDESNDAELPCPQCGTEFAGFRCNPGAAHLQCSGCGGMMPARPSTSIQQKCLGCDGAFCGAYWYSQGVNSRHRGLICNPETFRMISQHHISRLPDTLHGGNPVEKDITERCIQQSGKTLQAVISEWIAKFDNKELDRSRLQLNNVDAVTSRTYLCNHCYNKFVDFLLYWFRVSTPRN >cds.KYUSt_chr2.11187 pep primary_assembly:MPB_Lper_Kyuss_1697:2:70971556:70983999:1 gene:KYUSg_chr2.11187 transcript:KYUSt_chr2.11187 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAARRLLSRAFAARRVLPCASPLPSSPSALRRLATDASPPPPLPPPPLEPTVDPPKSEGASSYPGAGAGAGGSYRSGPGAASGGRRPGGAGYEEEQEKPRMGWSESAMIAGARDVGVSPAIVGAFQRKEAALVEFFMDDCLQQLMDRVDAGEGEQLKTLILTERLARLIRTRLEMQAPYISKWPQALSIQSQPANVSTSLKQRAVLVDEIWHAAGDSGSDIDWYVKRAVLGGIYSTSEVYMLTDNSPEFRDTWTFVNSRIKDALNLEKSVKEAGYLAEAMRAGLGVGPIQDILGKVKISSIEGGVVALYFSASWCPPCRRFTPKLIEAYKELASHGKSFEVVFVSGDQDEEAFNAYFAKMPWLAVPFSDSEGRKGLDGRFEVRGIPHLVILDAKTGEVLTGDGVEFVSEYGIEAYPFTPERISELKEQEKAAKDNQTIHSVLGTPERDYVISNKGDKVPISDLEGKYVGLCFVVSGYGPVEEFTAVLSKIYGKLKEVGEKFEVVAVSLDSDETSFNESFSSMPWLAIPQGDKKCQKLVSYFELSDLPTVVLIGPDGKTLNSNIADIIDEHGMDAWEGFPFNAEKLAILAEKAKAKAASQTLESLLVTGDLDFVIGKDGAKVPVSELAGKTVLLYFSAKWCGPCRAFLPTLVDVYNKIKDKNSDFEIVFVSSDRDKDSFDDFFSGMPWLALPLGDERKAYLSKMFKIRGIPSLVAIGPDGKTVNTDAKAPIAVHGAEAFPFTEERLQELEKKIEEMAKTWPEKLKHELHEHELVLTRRPRPYGCDGCDEMGTSWSYACAECDFDLHTSCALDGEKKGEEEKGQDAADAAPAGYVCEGDVCRKA >cds.KYUSt_chr1.29413 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177968702:177974779:-1 gene:KYUSg_chr1.29413 transcript:KYUSt_chr1.29413 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEQDVDVFEEYDAGDGAEAEAEAEGGGGGASSGSSSPSSSSSSSAAASSSSSSGASSGRSSSAAAGGGDEEGADDAEFDSLPARHAAAVYRDEFEEEEEARDLFGSDNEDYVKTPARSNYLVPVLPQIRNTNFSRGGRGGRGPPLLPRPGGHPGGRNNFGHGGRFSYGNGRNVEGFVSEMKLNKSEETLSRKAVAFQEPCEFACYSRVDGGDVYFDDRSLRLFKRNICDYVGEDLNKGFETFTEKRDLGSQGFGDLLACIRNSNLPLQNIHFVTYRNNLNKILATAYLKDPWKMGVHKRNGVVYLDVHKLPERPQSEIERRRCFWGYSFENLATENSIDEEGKGIDANVEYCSVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSRELEYHTVEKYEKEKLLRFWIQSFLAGVSYVVVGFRNDAGVLVRTERLRTKDITQKVKAKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAHPFQRLELLRAQSPCPETITRHVEELSGAAH >cds.KYUSt_contig_7513.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001572.1:199284:201450:-1 gene:KYUSg_contig_7513.25 transcript:KYUSt_contig_7513.25 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAGVAQIAGRPVLQPAGNRVAATEVARPLKESAAEVALHAGFDDNAAAAPAAAAPKNTGAGDFARAAAAAPYLLPPTPAKAAGARAVPSVGAEKSRKAPKKAGAVLPVVTFAALGGRVGATATATATAAALGAAPVIATLEEKRCSFITAYSDPVYVAYHDEEWGLPVHDDEEAFSGFDVEAVAKYGEADGVGVTRVRRDFGSFGKYVWGFVNHKPLSPGYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVLHSFMQAVGLTNDHLVSCPRHRVCSTSSSSSSDAA >cds.KYUSt_chr7.32718 pep primary_assembly:MPB_Lper_Kyuss_1697:7:203862491:203863557:-1 gene:KYUSg_chr7.32718 transcript:KYUSt_chr7.32718 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTMSTKEGMATADEEITDIIVQRVPYWDPPAVKPLETSELVEWSLYRALIGEFTASLILVYVSIATVIGYKFQSSGADERCTGVGYLGVAWSFGATVSVLVYSTSGVSGGHINPAVSFALFVAGKVSLVRAVLYVAAQCLGAICGVGVVKGIMKHPYDSFGGGANSVTEGYSLGAALGAETFGTFVLVYTVFSATDPKRTARDAFVPLVAALPIGLAVFVVHLATIPITGTGINPARSLGAAVLYNQHKTWKQHWIFWVGPFTGAALAAFYHKIVLRDIAVVKEALRGSFKRTSSTA >cds.KYUSt_chr2.15704 pep primary_assembly:MPB_Lper_Kyuss_1697:2:98888351:98889372:-1 gene:KYUSg_chr2.15704 transcript:KYUSt_chr2.15704 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRDGSSFAADDRDDRSRTIEEIRAMLRTRKDAALRRERALSYAFSNQILRNPAPSAEEEMDVNGQPRLGREVDGVTGILRRQQEQLPNRGRRCSRTRVHGPPRLGQYAGDRHRPPLLLLHASASGAAVATRERLADAPGAPPPPLRGDAFAGEGAPADPGVHPPRSAPRQPPRDPERDLPQTAYNPATGSAKKRLSFPVPLDTYGGGGGYAQSLQSPSFKSATGRFTSEQRSTVLSLSCAESVGGEPISPSSTTDLHRWLR >cds.KYUSt_chr7.29174 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181599680:181603553:1 gene:KYUSg_chr7.29174 transcript:KYUSt_chr7.29174 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDESVQEPLLLASHIPDDHGGSDGSGGGLSVREEVKKQLWLAGPLIAGALLQNLIQMISVMYVGHLGELALAGASMASSFASVTGFSLLLGMASALDTLCGQAYGARQYHLLGIYKQRAMLILTLVSVPLAVVWFYTREILLLFGQDPDIAMEAGTYARWMIPVIFAYGLLQCHVRFLQTQNIVLPVMAGAGAAAAFHLLVCWLLVYVAGMGSKGAALSNAVSYWIYVIILAVYVRVSSSCKKTWTGFSTEAFRDMLSFFTLAVPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAISTRVSNELGAGRPNAARLAVRVVVFLAIAEGLLIGLLLICIRYVWGHAYSDVEEVVTYVAQMMLIISVSNFFDGIQCVLSGVARGCGWQKIGAWINLSAYYIVGIPSAYLIAFVLHVGGMGLWLGIICGLIVQVLLLMAITICTDWDKEAAKATNRVYSSSIPADLAT >cds.KYUSt_chr7.35637 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222697586:222699463:-1 gene:KYUSg_chr7.35637 transcript:KYUSt_chr7.35637 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLCGTSVPFPFSLAVVERSQLAAARAAGILPLPIIGFSNGNGDGGKGGVTDGMGALVPGAILLGRVDCVEVVAVVRVVEDT >cds.KYUSt_chr2.36180 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223489132:223496358:-1 gene:KYUSg_chr2.36180 transcript:KYUSt_chr2.36180 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLSSAPARGGNPLEELTGRVKALEAGFRAWMAKQPIHVEAAVTTAVGVVQGGALGGLMGSLTADGGSPFPMPPPPPNANPQAVASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGAEDIQGSMAAAFGSGVLFSLVSGMGTPNPVASAITSGVAFAVFQGGFFMVGQKFSKPRGVSEDTYYSSTRSMLQELGLEKYEKNFRKGLLTDHTLPLLTDSSHPLARLAKRDALACNYTVNGREYTLGYYLADSIYPDRATFVKTIREPGNRAEAEFAKAEEAARKNIERTFGVLQARFAIVSGPA >cds.KYUSt_contig_528.367 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:2308917:2312450:1 gene:KYUSg_contig_528.367 transcript:KYUSt_contig_528.367 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAELEAAISALSAKKQRLQDSFDRLAASAPIPIPFTWDDINAHISSLQSSIAVRFLKLQSLQLQPAAPTTTEEEDPVEHRGNEDRKPYLEHYTRGNEEEGERANGRVEGAIKASHGLELEKEEEDENENENSKKTATASSAHRSNGEFAGGVRQDLVAACVNMDTSTLVDMLYRRNMTFSRARSQFLPALLGAAEPHALVVAAVRDFLFRTEPKNNAHWDNCGSLLHCARELTDEPSAGTLEQANRLAQDWKEMIGKPQSSRDLGRLAVWGLLRFLVLYNITLEFDASEIIHHLGCLPAKKKRHCIELCNHLGLIRTMTDSVNHLIQNGQQLDAIRLACVLNLTDKYPPLSMMNEYVDKAKKTAQEILSMESDSLESLNQAMTKQVNALILSWRAVDEYNIESVHRNSIKAEITRLLHEYAHKRQSLSDASSPGLNEEQQEQCQQELQMLEEQLREKQQTKEQELQPKWSGQESGEKGQNKKRKRNNYRQKMHHRFHKQPRLSHAGSFAHSAYNAGSGFSHQRGQRFPGVRGGAIRYGGPYYRSEPHPAYRPE >cds.KYUSt_chr7.6982 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42129692:42130273:-1 gene:KYUSg_chr7.6982 transcript:KYUSt_chr7.6982 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARPPHPHPSPSPSPEIRYRGVRKRPSGRYAAEIRDPAKKTPIWLGTFDSAEDAARAYDAAARSLRGPTARTNFPSSASAAPPPPRPPAAAATPATSSHSSTVESWSGGGPRAPALAPRSAATTTTDEEDCRSYCGSSSSVLCAGADDDDDTAAASRSPLPFDLNMPPPQDAAAAAEADQMSCRYDTLLRL >cds.KYUSt_chr4.21309 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134160016:134163069:1 gene:KYUSg_chr4.21309 transcript:KYUSt_chr4.21309 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLTIKRVPTVLSNYQEEGAQGGCGRNCLGDCCLPASKLPLYAFKANPKKPAQEDGLPTDFFLNSLLLAQWEDRVARGLFRYDVTACETKVIPGELGFVAQLNEGRHLKKRPTEFRVDCVLQPFDSAKFNFTKVGQEEVLFRFESGGGDDSYFLANAPSTESDHVPNVVAINVSPIEYGHVLLIPRVLDRLPQRIDHESFVLALHMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLSVPFPVEKAPTKKIPLAKSVLKSGVKVSKLRNFPVRGLVFERGNTLKDLADVVTNACIWLQDNNVPFNVLISDSGRRIFLFPQCYAEKQALGEVSQDLLDTQVNPAVWEISGHIVLKRRTDFEEASEVSAWRLLAEVSLSEERFEEVKDCIFQATGLTESDEEEETTEESPCASSSSVPLASSHIPEGCLVRQ >cds.KYUSt_chr3.6296 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36094375:36095364:-1 gene:KYUSg_chr3.6296 transcript:KYUSt_chr3.6296 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASLPCLILDHGDEQRTTTLYSVSDGARRPCEEMEEELRGKRSWVTSHGWLLLWDPATLTTFLWDPRAAAFEGSNNKIALQAWASPPESATGCALSGDPTGPDGFTVVVLESSDDTALWYCHAGGTPSPWARHEYDIGGTRVPWDETFFAKRYVPSFAGCGGKFYCDVSSDEYGVLEFSPEPALATVKVAKPVEIVVPPGQCYSKPFAYTLDLDGEVHTVWIFFSDAEAGAVIDVAVYRMDLAGKRSVRVESIGDRAILAGGSKCCFAGWCPATESGLLPNGVYWVHPSEGRLYVYDVGANTEEVCELGEGAGELSRLPFWIVPRI >cds.KYUSt_chr7.36832 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230143449:230146770:1 gene:KYUSg_chr7.36832 transcript:KYUSt_chr7.36832 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLILASAPNAHFSLGPFIIDLLCYRFAVCIPGSVALQMCTQTSGRFLAELWLAQAFDINSTINSCVLLLSNSSPDHPFPNPGSHFPPPPAPAVSLERATHIPARARPTIAAAGDEDHGAIASRHLKSGQPQIDPRTATGPHQTTTIAAIEVRALRPGIRTPATRPPPPEPSWTGASAAFEVDGDELGELSLFPLPLVLFPDALQIFEFCCRILMHSLLKTDLRFQSGYVCNFVSCLVSSPFQ >cds.KYUSt_chr2.43805 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272677203:272681684:-1 gene:KYUSg_chr2.43805 transcript:KYUSt_chr2.43805 gene_biotype:protein_coding transcript_biotype:protein_coding MANMALLSLATALLTASSAVTGASWSPRPEADRVTGLPGQPEVGFKHYAGYVDVGTSGDRALFYWFFEAEKEPEKKPLLLWLNGGPGCSSIAYGAAQELGPFLVRGYGERLTRNPYAWNKAVNLLFLESPVGVGFSYTNKTSDLKQLGDRVTAEDSYTFMLNWLDKFPEFKTREFYISGESYAGHYVPQLADRIYEGNKAASRDRIINLKGFMIGNAVINDETDQLGMVEYAWSHAIISDELHAAVTRECDSFREEADGGRPGKGCSPAVKAFMGAFDDIDIYSIYTPTCLASAASPAGNSSAARRPARLVAAPRLFSQHEAWHMMRRAPAGFDPCTEAYVTKYFNRRDVQRALHANRTALPYPYSPCSTVIRKWNDSPATVLPVLKKLMGAGLRVWVYSGDTDGRVPVTSTRYSINAMKLRQRERTKGLGGWRAWFYRQQVAGWAVEYEEGLTLVTVRGAGHQVPLFKPASSLAMLYHFLRGQPLPNTSRPR >cds.KYUSt_chr2.11561 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73535554:73536078:1 gene:KYUSg_chr2.11561 transcript:KYUSt_chr2.11561 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPVVSGGLPPLLPTPRSCIGLTPPPASYVSKKRPGRATLSESWVKDKLAGGGVSSDRSKVGGGGESADRNPSKVPVRASLGSSWVEDKLLRRAGTSASGVERASRPTWRDGWSKRAASRAPSADRFEKKAKAPTDEADDVLELETHQYAGPTFAISPDPSLLPIPFLFMKAH >cds.KYUSt_chr7.35286 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220464637:220470799:-1 gene:KYUSg_chr7.35286 transcript:KYUSt_chr7.35286 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALAATAAASQSLRNREILDAVGTTAAALSLVGSSFIVLCYLLFRELRKFSFKLVYFLALAVRRSLGSDSFSFRFPGLLAMLISCDLACAPFGIWGLLYDPRAARSGYALQLIHYNGILHLITFYLPLWGAILYNGFTYYQVNRMLNNATRMAVGISDRSIQSDTRADKKAFNRWGYYPLILIGSWSFATINRLYDFANPGHKIFWLSILDIGFAGLMGLFNSIAYGLNSSVRRAIAERMDVCSCLLSVPLSQFATRTCTLDRLIAHACSPPRNIGSNSSLCSPAIAHACSPPQNIGSSSARRHARAHTLIDCCVAADCLSVAAKPGLGGVACRLQRFGSSSLRRLLAVPFGSSPADTLLLLLASVCG >cds.KYUSt_chr2.13021 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82581366:82583431:-1 gene:KYUSg_chr2.13021 transcript:KYUSt_chr2.13021 gene_biotype:protein_coding transcript_biotype:protein_coding MEACIATPVRRPADPSPSSPTPSPSSLRQWRPAAQRNLRNQWSRMLAAKARWLAAAADGRSHASALVNAHLSRSYMSGMDLGVLKDMPGIRDRASAKLAHKEVQCCEMLLSTYKEMRLIVLEFLSINLKEGPDPSLEWSDELYDGELHKFLITELRSGDSCPLPENWRADVLQARLPDHTPAHEVLQVYLTSWLDNVNIKMSK >cds.KYUSt_scaffold_6468.164 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:745419:746213:1 gene:KYUSg_scaffold_6468.164 transcript:KYUSt_scaffold_6468.164 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLSSHPQNLQLRIHHDTSSPLRSRNGSGQLAVNSDPGSRMRRPSSLRRRAVTVRAVIDGGGGESSSGKDGDDGDDEEDKEGEGMSSSSSRRNREDLERLVGSDDDASFSGLDLATLIRKRYGRSYDVTLIRKEFMGRNLLAMNVMWKYREQRSFPLTEEEYLLRLDDVANTLRCWGAVAHVRNTLEKVRERPRIGKAVSIFIDMDTTGGARSDEWIYK >cds.KYUSt_chr3.715 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3705204:3709790:-1 gene:KYUSg_chr3.715 transcript:KYUSt_chr3.715 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSWWWSASGGVVYDGGAVLGVRVRWLALWLVPYVATNVTTGLEVPNNKSSGMQLVPHVVIDVPSSMEVPNNNSFGLQLVDHIANNVASSIEVANNNSGTQLVPHVATKVTIVLEVSIVQDGNNDGDLKPGALIAVADCATSITAIMFNPPSSGDPVYLVAVGIVFIAGLLAVLATLWVAREPRKRRTAKKKIMYASVAPFILGLVLTSTPTS >cds.KYUSt_chr6.26886 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170441238:170441939:1 gene:KYUSg_chr6.26886 transcript:KYUSt_chr6.26886 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSAKAKQVSSGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIIDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVNNNAWAFATNFVPGK >cds.KYUSt_chr5.11909 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77358462:77363324:1 gene:KYUSg_chr5.11909 transcript:KYUSt_chr5.11909 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKSSKKRKPVAPPPESDSEPEQAVHEAAAEESNEEEQQAEAGGDGEEQQQQEEAVGEGEEQEQETGSEKKKIKQKKEKEGSGILTSMLFSELPISGLTSKAVAEMNYTHLAQIQARSIPHLLAGRDVMGAAKTGSGKTLAFLIPAIELLYNLHFSPRNGTGVIVVCPTRELAIQTHNVAKELMKNHSQTLGYVIGGNGRRTEAEQLAKGVNLLVATPGRLLDHLQNTKGFIYKRLKCLIIDEADRILEQNFEEDMKQIFKRLPLNRQTVLFSATQTREVEEFAKLSFEKNEERKEKPVYISVDDGKSNATVEGLQQGYCVIPSEKRFLVLYAFLKKKQSKKVMVFFSSCSSVKFHAELLNFLQIECEDIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDYIVQYDPPDEPKDYIHRVGRTARGEKGKGSALLFLLPQELKFLIYLKAAKISLTEYEFNNKNVPNLQSHLENIVGENYFLNQSAKEAYRSYILAYNSHAMKDIFNVHDLDMKSVAASFCFKNPPKVNLDLESSASKRRKTRKVDGGGRRHGINAANPYGRKGGDDNRQFARF >cds.KYUSt_chr4.25132 pep primary_assembly:MPB_Lper_Kyuss_1697:4:157955703:157961793:-1 gene:KYUSg_chr4.25132 transcript:KYUSt_chr4.25132 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMKYLVQVEEGREAAPEEGGAPSAGPAYRCAAGGGGASPPAVPGLESCWDIFRLSVEKYPGNPMMGRREIVDGKAGKYTWVTYKEVYDTVLKVGASIRSCGVGKGGRCGIYGGNSPEWVVSMQACNAHGLYCVPLYDTLGAGAIEFILCHAEVEIAFVEEKKIEEVLKTLPNAAKFLKTIVSFGKVSPDQKEKVEQNGLSIYSWTEFVLKGDGAEEKYELPPKEKADICTIMYTSGTTGDPKGVLISNKSIIAIVTAVDEFLSNSNEEIRSDDVYISYLPLAHIFDRVIEEVFIHHGASIGFWRGDVKLLVEDIGELKPTIFCAVPRVLDRIYGGLQDKVSTGGFLKKTVFNVAYKYKQGNMIKGSKHEDAAAIFDKLVFAKVKRGLGGRVRVILSGAAPLSNHVEEFLRVVTCSHVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEVRLESVPEMGYDALSKESPRGEICIRGDTLFSGYYKREDLTKEVLIDGWFHTGDIGEWQHDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQAPNVDSIWVYGNSFESCLVAVVNPNKQGLERWAESNGVSGDFASICANPKAKEFILEQLSKTGKEKKLKGFEMIRAVHLESVLFDMERDLITPTYKKKRPQLLKYYQGIIDDMYKSMK >cds.KYUSt_chr2.54215 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338305985:338307278:1 gene:KYUSg_chr2.54215 transcript:KYUSt_chr2.54215 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEGASLEPAIAWLVQTILATLLVDKLDTWIRQAGFGDDIERLKSEIRRTGMAVSALKGRAIGNELLSQSLAFLKELLYDADDVVDELDYYRLQQQVQGVTRDEAQGIHGVERVDETSRGDADTQNSSVGKLRSMGENLCSESSGADCGNVCGCHFLLGGVVNGLIVSSPRQGETLSLVYRTRQQRRDTSSPSWRR >cds.KYUSt_chr5.42638 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268612855:268615161:1 gene:KYUSg_chr5.42638 transcript:KYUSt_chr5.42638 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLPLHQRYSTSNAAAAPTLYTDGAYPVSLLSWGRGASGQLGGGKEERRLYPAPVAHLLLPEPSPRLPPTPGRLPSAPAGETAARVEVGISCGLFHSALVVGGGAWVWGKGDGGRLGLGDEASAFVPRANPNLAPDLSVLALGGIHSAALTASGDVFTWGYGGFGALGHYVYHRELLPRKVEGPWEGKIAHIATSGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNALPVPVAAVSCGGFFTLALTPDGQLWSWGANSNFELGRGSNSSDWRPQIVPSLKNVRVIQVACGGYHSLALTDEGEVLSWGHGGHGQLGHPTIQNHRVPLAIKALSEERIVYIACGGSTSAAISGESYTFSFSS >cds.KYUSt_chr5.5780 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36055454:36057361:-1 gene:KYUSg_chr5.5780 transcript:KYUSt_chr5.5780 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAINSIAGLLAGEEDTTWEYIWKSLHALTEGITALENMKKILDLSYIRLPGDLKTCLIYVCMYPEDREIDKKDLLRQWVAEGFVTRKGLLDAEDVAEKNFKALIDMCLIEPGKIDRYNDEVLSCSVHDIILELIRSKSSEMNFIHVIDGLKDVSGQIRRVSVQHNDKEDSRVLETIKGSLSHVRSVLLFQSSLLPDFLKYKYVRVLHLESWSDLDLNGIRWLFLLRYLKVGWRGEVSLPDQIGGLEQLETIDLKGMEVKNYPPDIVSLPWLRHLRYGGAGIALPDGINRLKSLHTLEGVCFHGSSVDNIKGLGELINLRKIEFISSQHEQSKKEGNMRMVALHSSISKLSASLRILTMRDPWDWSRLDAWVWSSSMFPQGSNIRELNLSCFSFQRCPGWISQLGNLCKFTIVVREVADGITIVAGLPSLAYYSVCTNPGEKEESVVIHSGIFQSLKHLLFACPKTSLTFEVGAMPKLEKLQIWFRYHMSRRFLPVGVGLLQAETLKKITIVMFEDDMENKSFNYLRSFGYISYHKCRFGSMLTRAFKSHYPDADIKIIFDDNELYDDNKCDAIPDNDEDSCCSEDDDEVVDYEDHDSEDYDEYDDDEYYYSEDDDGDVDDEYYDCEEYGGASP >cds.KYUSt_chr3.41803 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263779239:263779676:-1 gene:KYUSg_chr3.41803 transcript:KYUSt_chr3.41803 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRLTMDAEEPAPSRPLQARHPRTSIAFNGASTRDHIDMPAHLHQPLPYMDTNYIDTKIVFIDSLARRRGLASKAPAIHLRQATTLVESHDHGISSLHGPMHTSDITRSTSPSSYIIIIKHRCHATTFKSTPTTTSTLFPNIG >cds.KYUSt_chr2.358 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2245697:2250759:-1 gene:KYUSg_chr2.358 transcript:KYUSt_chr2.358 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAKLCVAKRIGLCGDDKGSVQSERRRRLPPGPGTLPIIGNMHQMIWNKPAVFRWIHRLLEEMNTNIMCLRLGGTHVIVVTCPKIAREVLRKNDEVLASRPATFASGSFSFGYKGSIFSPYGKQWKKMRQVLTCEILTSSMEQRLRHLRSEECNHLVRYIKNMARPNNFVDVRYVAQHFCCNVIRRLVFGKRYFSDDIPASSTSGPGHDEVAHVAALFTALNHLYSFCVSDYFPALVGLDLEGHEMVSKNVMRVLNRFHDPIIEERIRERSTTLGNGGEKKEARDFLDVLVYLEDADGQPLLTLEEIRAQTMEMMFATIDNPSNAVEWALAEMMNKPEVLQKAIDELDIVVGKDRLVEESDIPHLNYLKSCIREAFRLHPYHALNLPHVATADTTIAGYTVPKDSHVILSRIGLGRNPKIWNEPLEFWPERHLNTGNVLLSEPGLRFVSFSSGRRGCPGISLGTPVTMMLFARMLQGFTWTKPPGIERINL >cds.KYUSt_chr2.11539 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73368839:73369777:-1 gene:KYUSg_chr2.11539 transcript:KYUSt_chr2.11539 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTPFGNFPPVQVVAVVASPSQSARPTLLLLYRSAHQSAASSIAHHITSNRVIAMAGRMEARITALLSLLAVTLLAHALLIQPPRAAAVFADRFLSALRFDARQLVGLVNRRNMILLCHAILLLILRDAGLLAAPARRRSTATTTAVGGDSTSSEAQPKPKSIVEMTRTSTATAAASSDVKNQTVADDSRLKLVRRRSPGRDKTAARLRRGKPSPMAREIIAPAVDKQSSERRFFDHSGVGTEIVAVQDRISCLHSEEPVAGDQTSRGEMTRQETEPECADDVDEMNRKFEEFIATTRRKMQLESLQLVTV >cds.KYUSt_contig_3603.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000697.1:138114:138479:1 gene:KYUSg_contig_3603.1 transcript:KYUSt_contig_3603.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMRSPLDRENYCDGTNMERVEARQFSPRTKMARRSSSGETETRKRKQRRAAPGKRRGRRRRPRAAPAPGTQQGKNGDRRGSIPVLSTANGGEEQWRQGGDLSPANSVTENSKFSLGVLL >cds.KYUSt_chr5.7256 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45413278:45415816:-1 gene:KYUSg_chr5.7256 transcript:KYUSt_chr5.7256 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSVFFSQTELEFIKVLILKLEPTSTQRKKSKKTGSQPIQGLNRTGKSCRLRWVNYLHPGLKRGRMTPHEERLILELHARWGNRIARRLPGRTDNEIKNYWRTHMRKKAQERKKNMSPSSSSSSLTYQSCHPETPSILGIDEQELHGGSSCITSILKGMPADMDGYLMDQIWMEIEAPSAPSFHNGKDSAYSSPSGPLLPSPLWDHYCPEEHLKMDDEIKMAPQFGYSKGMGPCY >cds.KYUSt_chr4.50106 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310447803:310450848:1 gene:KYUSg_chr4.50106 transcript:KYUSt_chr4.50106 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVSDGGEELPGDDISSRTMSRRRTENTHNSYKVGFPPKKGAFAEFSDGLKETFFSDDPLRPFKDQPKSKKLWLGLQHVFPMLDWGRNYTLGKFKGDLIAGMTIASLCIPQDIGYAKLAGLLPQYGLYSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLQQELDPVKDREEYTSLAFTATFFAGLTQFALGFFRLGFIIEFLSHAAIVGFMAGAAVTIALQQLKGFLGIAVFTKKSDIISVMKSVWGNVHHGWNWQTILIAASFLAFLLAAKYIAKKNKKLFWVSAIAPLISVILSTLCVYLTRADKQGVAIVKHIDQGINPASFHRIFFSGPNLTKGFRIGVVAGMVALTEAIAIGRTFAAMKDYQIDGNKEMVALGTMNIVGSFTSCYVATGSFSRSAVNYMAGCRTAISNVVMAIVVMLTLLLITPLFKYTPNAILASIIINAVLGLIDFEAAYLLWKVDKMDFVACLGAFFGVIFASVEYGLLIAVAISLGKILLQVTRPRTALLGNLPRTTIYRNVEQYTEATKVPGVLIVRVDSAIYFTNSNYVKERILRWLRDEEEQQEEQKLSKTEFLIVELSPVTDIDTSGIHALEELLKALEKRKIQLILANPGPIVIQKLRAAKFTELIGDDKIFLSVGEAVKMVAPKHENA >cds.KYUSt_contig_2810.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000516.1:15901:16431:-1 gene:KYUSg_contig_2810.4 transcript:KYUSt_contig_2810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSGYTGEGGDSIMSWPRSTSPTSSEVQLARQADDPWYIAYQDESTQWCSQRMDLEEKVANLGDELARKNLMIFELKRIVEEEKKNSELIRKEKLRVETDLSVFDQVVENLEHELKVMGEEKSRFICAVLLGVIPVLAVRWFW >cds.KYUSt_scaffold_869.50 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:316518:319863:1 gene:KYUSg_scaffold_869.50 transcript:KYUSt_scaffold_869.50 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAPAGDGDRSALSPFLSDQNAERVALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPSKQLNSVLDAELGQGWSSKLTSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRLLLTYTNLIPKGLFLDRAIKVAKEELARECDYVIEASNQKRYKELLSDSEGYYVPKVTDELSSKKILTSEFVPGVPIDKVAVLSQETRNYVGCKLLELTIKELFVFRFMQTDPNWSNFLYDDSRRQFNLIDFGAAREFPKKFVDDYLRMVVACANRDRDGVLEMSRRLGFLTGEEPKVMLDAHVEAAFIVGVPFSRPGGHDFRANNITHSVSNLGATMLKHRMTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLLEVYEQYDFSEDHSEVPSSTV >cds.KYUSt_contig_1948.107 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:620586:628737:-1 gene:KYUSg_contig_1948.107 transcript:KYUSt_contig_1948.107 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLSPPFLTADSPGRRFGGGGVALDPGGAILSFLPRLGIAGRVVMRADLVLLLLKVWIAAQRAAALDGGDGVDLVVGGGRQATSHGVFQGDNPLDYSLPLAILQICLVVVVTRGLAYILRPLRQPRVIAEIIGGVLLGPSALGRSNKFLNAVFPHKSLPVLDTLANIGLLFFLFLVGLELDIAAIRRTGKKALAIALAGISLPFALGIGTSFAFRATIVKGAPQAPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAVALSGDGSPIISLWVLLTGVGFVIAIILLLRPLLAWMANRSPEGEPVKEVYICATLAIVLAASFATDAIGIHALFGAFMVGIVVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTNVATISGAKSWGLLVLVITNACIGKIGGTVITSLVVKIPVREAVTLGFLMNTKGLVELIVLNIGRDRKVLNDEAFAILVLMALFTTFITTPIVMAIYKPARPSAPYKRRTVEGSPSDADSELRVLACFHSNRNVPTLLNLVESSRGTSRRRLAMYAMHLVELSERSSAISMVHRTRRNAMPFFNSGDKDGQMVVAFEAFQQLSTVRVKPMTAISDLETIHRDVINSAAEKRAAIVIMPYHKMPQHDGTFHSLGSQYHDMNKRVLRGAPCSVAILVDRGLGGHSQVAAKNVAFSVSVLFFGGPDDREALAYATRMAEHPGVNVTLTRIRPSRPLHDEESDEVVVEAFKAKVDAVKDGSVSFDNIEASGKEAVLEAINSLSKCNMFVVGRMPPTEPLVERPEELGPVGSYLASPEFKTSASVLVIKRYDPATNPASKRFDPKARPPVATDVEDDDEEMNSGGGSASVVPVQWTPTHDSA >cds.KYUSt_chr2.5732 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35556006:35556275:1 gene:KYUSg_chr2.5732 transcript:KYUSt_chr2.5732 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLAGSLKRMFMVNKRRAAPLAKVHYNLVGDAAKKRRMTRLTASVYGTRLTSRLYREENDLTMNARETSVGSGEVGGHVNDNDHLRRW >cds.KYUSt_chr5.8140 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51557494:51566630:1 gene:KYUSg_chr5.8140 transcript:KYUSt_chr5.8140 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLAQFQTIKSSCDRIVIAVEDVSDLWLNVKESFQQRLPVKKACLNNKARNPVLVENLPAEFIQTTDSRLRSRFPQEQYLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNEQANKMAKKVYARLEADFNTKKRERCCKFDLHGPDADFWDDFDSKMVDCIRNTLDRRVQFYEEENRRLSEQRFTPIWNFCNFFILKESMAFMFEVTNLHEDSLREYDELELCYSESVNLPGKPREFGGLDTGDDQAALLNPGFKALTQIVQDDVFREFEFRQYIFACQSKLLFKLSRPVEVAARGYAFVVNFSKTLAWHENALPFCFREVWVITACLGLINSTSSQYDGGAVAIDSEKEFYRLQGDLYSLCRVKFMRLAYLIGYGVEIEKSPVNSASLSMLSWPKPATWPSIPPDSSAEIMAKEKTILQAKPREKLFDIQRKPLPLEPSFLLREANRRRAFLSVGNISELYDSVDGSGADAHSKLSPNKSSSNLMTRTMSGPATSETSLPVDRPMRLSEIHVAAEYALKQTISDPDFMTSLSSPEEFENRYMELTKGAADNYHRSWWKRHGVVLDGEIAAIYFKHGNYDLAAKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLASCVKLLSLESSLFSSKERQAFQSEVVRLAHSEMTHPVPLDVSSLITFAGTPAPPLELCDGDPGTLSVAVWSGFPHDITLESLSLRLSASSSADEGLKAIKSAGARVLVPGRNIITFDIPPQKPGSYVLGALTGQIGKLSFRSHGFAQDGPVDTDEFMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKGGILHIDAGAELKIEESQMIEIESYRSEGGHACPTDASGATSTSTDTGRVEKVPIENGKIKLPDWASDVTTLVWFPVRAIDDTIAKGTSPAFPQKHSIVDGMRMIALKLEFGAFHNQVFERTIAVHFTNPFHVSTRVVDKCNDGTLLLQVILHSEVKATLHVKDVSLDLQAGFEHLGKDGRPTSSLFPLVIAPSSRAGILFVIRLSGTKDLDELEKADSMLNIKYGISGDRTTGAHSPVPVKPDDSEELLLFKISLKLKRPVLDPCLAVGFLPFSTDYLRVGQLVNMKWRVERLKDLEEASLSGDGILYQVDANPQNWMVAGRKCGHISFSEAQGSRIEIAVTCVPLVSGYVHPPQLGLPDVGDANISCNPAGPHLVCVLPPTLSTSYCIPA >cds.KYUSt_chr4.28227 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177363238:177383975:-1 gene:KYUSg_chr4.28227 transcript:KYUSt_chr4.28227 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSRDDELVVKSPSDKRSYRLLRLANGLCALLVHDPEIYADGGPAPKPAEDVDMEDGDDDEDDEDEDDEEYSDEEGDDDDEEDDEDDDGSEPKRKKEKGGSEPVIKKAAAAMCVGMGSFTDPPNAQGLAHFLEHMLFMGSSEFPDENEYDSYLSKHGGSSNAFTETEYTCYHFEVKREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQSDSCRLYQLQSHTCSQGHPLNRFTWGNKKSLVDAMGSGINLREEILQMYTSNYHAGMMKLVMIGGEPLDTLEAWTLELFSKVKAGPLLDISPKTDMPFWKSGKLYKLEAVRDIHSLFLSWTLPCLHKEYMKKPEDYLAHLLGHEGKGSLLYSLKARGLASSLSAGVGSGGAQRSSYAYVFEMSIRLTDSGLKNHFEVITAVYQYINLLKQTEPQEWIFKELQDIGYMEFRFAEEQPPDDYVVDLSENMLFYSEKHIISGEYIYEEWEPELVKHVLSFFHPDNMRVDILSRSFDKQSQDIRCEPWFGSPYIEEDIPSSLIESWRNPAHMDANFHLPRKNEFIPGDFSLRNSNIPKLSDDDNPQCIVDEPFIKLWHKMDNAFNVPRANAYFLISVKDGCSSLRNSVLTDLFANLLKDELNEVLYQASVAKLETSLSVVGSNLELKLYGYNDKLSVLLSHILAASQSFSPKMDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQFLRKIFWDVDEKRKVLATLTFSDLAEFVPKLLSQLHIEGLCHGNLSGEEAINISKIFQNSLSGQALPEEARHGESVFCIPHGANFIRSVRVENDLEENSVVEVYFPVEQDTGKESTRLRAITDLFSNIIEEPCFNQLRTKEQLGYTVDSSPRMTYRLLAYCFRVMSSKYSPVYLQSRIDNFIDGVSALLDGLEEETFEHHKSGLIADKLEKDPSLSYQTGDYWSQIVDKRYMFDMSKLEAEELRTVGKEDVISWYNTYIKQSSPKRRRLAIHVYGCNSDIAEAAKLQEQSWTAVDDAEEEEEEEEDEDAAAQAKAKAQLATTFDDEEDSTSSDEEVTSRKRRRDDDEAGPSRKKK >cds.KYUSt_chr3.29711 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185868672:185873120:1 gene:KYUSg_chr3.29711 transcript:KYUSt_chr3.29711 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRLVVPIDVKKKPWEQKVHLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVRDDDSADDIKFLDLTITHYLSGPFRIVDAEGVPASPGDLLAVEICNLGPLPGDEWGYTGIFERENGGGFLTDHFPSARKAIWYFEGIYAYSSQIPGVRFPGLTHPGIVGTAPSVELLNIWNERERKLIETSHESLKLCEVLHQRPLANLPTSENCLLGKIQEGTAEWQKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPVGPTALHVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQVSFNSSTEVFGHFK >cds.KYUSt_contig_1181.476 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:3322235:3323106:-1 gene:KYUSg_contig_1181.476 transcript:KYUSt_contig_1181.476 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRDVHGKPDDAAGSIDSFSQLPFLQQAHEIEKQQRPSSSSPVPIRLFGAAAGTAAADDDATSPTAGAIGGGSRKFECQYCCRNFPTSQALGGHQNAHKRERQHAKRAQFQAAMAMHHRHHGHYYALSDPAAHVYPTYSAYPHHHRFSAVPPRPPPHYPSWAGATGYYSGPGSISQPINGSPVTPGLWRLPVAGVGVGMPLAALRQEPRARHVSLAGGEEPAVVRGRPGSSSFSPSTSSSSSYASPHERRVPPERKENVSLDLSL >cds.KYUSt_chr5.43231 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272568344:272570667:1 gene:KYUSg_chr5.43231 transcript:KYUSt_chr5.43231 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLLGASVGGKGGEAMARSPPAWLRRAIDTEEAWAQLQFAVPMVLTNMFYYAVPLVSVMFSGHLGVVHLAGATLGNSWATVTGYAFVCRIGGT >cds.KYUSt_chr3.35912 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225559788:225560815:1 gene:KYUSg_chr3.35912 transcript:KYUSt_chr3.35912 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSRAAEHNRSGGPRPPIRSGTATCCLRPSSSAHRNPPRIATSLAVHGCRYRHCPTRRPFPSPSRSVPIPRHHARTELVPVGDEGTLAATAEEARRCAGGGAAAVAVVGPDFEACDETAAGAAVEAAWRCFGDGLDALVNCCSYEGEVQDCLSITEDEYEKTMKINVTTPWFLIKAIAKRRCGIRHTAGASNGGLAALLLRDSAALSAHRRWVMMLEAENSVLRAGGAPAGADPGEGEDGSVGVAAAWWVALAVCGGVICR >cds.KYUSt_chr2.52807 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329519605:329519997:1 gene:KYUSg_chr2.52807 transcript:KYUSt_chr2.52807 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGSGSLLGRYGSGLLILGWTSSFMINSNWAARWAACLITVYGPPGLAGSSHCRWYTHEVYPQHWRCGWPDVAALFALGRDFAAASYDAGGYTAIYPCISGLYPRLYRVFTALEFFITGLYLAEASSH >cds.KYUSt_chr1.24982 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149654435:149656109:-1 gene:KYUSg_chr1.24982 transcript:KYUSt_chr1.24982 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLESSPAQADGVPRARAVAVVGAGAAGLVAARELLREGHAVTVFERSDRVGGTWAYDPRPDGPDPLGSGGAVHGGSSMYASLRTNLPRELMGFSGHESLAGRVFAGDPRAFPGHREVLAFLRAFAEDSGVAQHVRFRAEVVRVAPPLGRHGEEGERWSVSWRWESGEVAVEAFDAVVVCNGHCTVPLVPKLRGIDKWRGKQIHSHNYRIPEPFRDQIVVVVGLGASGVDIAREISQVAKEVHIASRNNEHRLGKIDLYPNVWMHAEVDCIQDDVQVRFAEGATVEADTILYCTGYHYYFPFLDLDELTVDEDNSVRPLYKHVFPPKYAPNLSFVGLPSKTIIFQSFELESKWVARTLSGRAALPSKEGMLAAVQEHYRQMEESGKPRRHTHVLMPEWVSSGSIGF >cds.KYUSt_chr7.10323 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63269032:63270246:-1 gene:KYUSg_chr7.10323 transcript:KYUSt_chr7.10323 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHCLVRRHLRPPLRLPPSLQRLPPIRRCRRGGFTTAVACRSSAANDHHQERPWESYDRDIQPHAGSDLARSLRLLADMQAAGMRASAAAYARLIRALSRAGRTLEAEALLLELRHLGGPLPDAAHYNALLEGLLARAHLRLADRLILQMADDGVPRNHRTYTLLLDAYARAGRLEDSWWVLGEMRRRGVRLGTAGYSALVRLYRDNGMWKKATDLVLEMQEVGVELDVRIYNGLIDTFGKYGQLADARKVFEKMRAEGVKPDIATWNALIRWHCRVGNMKRALRYFAAMQEEGMYPDPKIFITIIGRLGEQGKWDELKRLFDKMRNRGFKDSGAVYAVLVDIYGQYGLFRDARECVAALKAEKLQLTPSIFCVLANAYAQRVSAAGPGGNQLCITECSMVSF >cds.KYUSt_chr6.21740 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137214851:137221864:1 gene:KYUSg_chr6.21740 transcript:KYUSt_chr6.21740 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDPGGYEDMGELDQALFLYLNSQDQTSVQEQPQTLNIFPSRPMHAVEPSPNTATAAGSSKQQQRPPSKQLAMLAPAPDSKPAVKREGGGRGGEGTPSTSEHEGPRTPDAKTLRRLAQNREAARKSRLRKKAYIQNLETSRVRLSQMEQEMQRSSNQGAILGGGAGIGGLSPEAAWFDGEYARWVDEHDRMMRHLRAAVENQDAAAAATATDGEQLLRQLVDAAAAHHVMLAEMKAALARADVFHLVSGTWLPAAERCFLWIGGSRPSDLIKIVARHVEPLTEQQVAGVCDVQRWSREREEALDQELQATYRSLSDTVCSDALLSPYPDMAAYMAHMSLAIANLSSLEAFVRQADALRLQVLHRLPQILTSRQAVRCFLAIADYSHRLRALSSLWLAQPHRQDQPNPSGAGGQFHP >cds.KYUSt_chr5.29498 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187006253:187012168:-1 gene:KYUSg_chr5.29498 transcript:KYUSt_chr5.29498 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGADPDDDENPDVWQLFRHYDKLYFRGVLVDAGFSVEWSQPRMKTISSFGSCSFGDSNTITLYEPILKYRTNADLKNALLHLMIHAFLSVKCDAKGIWGHGPAFRGWMHALNTCSIDDRMRPTCGYCITTTHDFSPEKCGSMHGFWWKCESCGVTLLRAKKLGPPSDSCCIENVSQDVTCGNMLCNWHNHKVDCGGTYVVTKSPETPGQQMVQKGRRGFLTEMSEMLRSQGATQESDSDEVQENLTVAKLKAEGKLLSQVGGRNARSPGSSSSKKASKSNMPEDFQKAIVLPATPRRKLKPKQEHFSMISVNNAKSQDRCSSKKAEDFQKAIVPSASPLSKLKLKQASVASEKHELISLASCNSAKSLRSNTSRKADKWHEPEQVQKSSVQPSASQKKPKLEQDLGVSEKYGVSSPGSYNTTKPPGSSMPRKAGKRHTPEGVEKSSVPVAAPKKKLKIEPDFAMQQNKTSSSIKAGKQLNPEDFQKTFVQPVVYRKKLKLEPDLVALEKHGDAKPLGCSTGNKEGELHKLEVIQKASVPPAGPPRKLKQDVVASQKNYLSSFVGRSNANVLDNISSKMAHKQLEHVQPRKPIARPAAPRSILKQQSKTSTLTKEGKKQIPEYSQRTFVQPAVSQSKLKQSSRAVPERPKTRSKTSNPARKKEYACVSVWANIYESECSSGSAEPLVNKRTERRKRERERAVQITYSRSWKRSASGISSIKAQPAEEEISSQQTKSPSQSQGLKFILINAANKVVTQDPRDQSKAPAPRKGIVIVPPADQVTTQTAIGRSQPQAQRMDIVTPPADLAMTQTHGDLSVPSRRMDIAAAPLAEKVMTRAPADQSQLPAPCSIATNQVVPPRSADPLSLTPSNPSSSRDVIDISDDD >cds.KYUSt_chr5.40506 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255741859:255748725:-1 gene:KYUSg_chr5.40506 transcript:KYUSt_chr5.40506 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRIRFIYRKSLSKFGNDPVHLWKALECSRTFRKKSPSKVESRMDSTNPNQPTKREGHIFLSCVPPLPKEGEDEGAKGKRKRSKADEDSKSSSASKLQLIVPISSAAPAPSSAPSLFDLAKIVSSDEEETPKDPAAEQAKILLAFIKTPAKTLSPREETVDSPRLEEPVESPRAPPKKRTKKTNANKGIKISENPLVPSMDDPIAREMMDMAIRYIGFRDEASELSAALKKSEKHAEELEAKLKAAEEVFKGARAQATTKEEEWEEEKSKMATRERLEAFNASIISKFKYSLVAISLSFPFLSFIFIGCS >cds.KYUSt_chr3.37273 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234398036:234400352:-1 gene:KYUSg_chr3.37273 transcript:KYUSt_chr3.37273 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIKELIMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >cds.KYUSt_chr7.25509 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159198083:159198744:-1 gene:KYUSg_chr7.25509 transcript:KYUSt_chr7.25509 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEWSFPSADLFFETADLFFENNPDWFLHMIRRADVDQPMEEGLTLALAWEPGDLVVETDCAEAIKLVTKGCQSCPGTRCECK >cds.KYUSt_chr7.21122 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130864126:130869567:1 gene:KYUSg_chr7.21122 transcript:KYUSt_chr7.21122 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVTTAMLKLEGMAFATVKQQTEVGKRAQRLKDDMAWLQLVLRGADQRRRREINEYIELWVRQTREVAFDAEDLLDEYYHEGRLHCRGVLDLPSFLRWLRHSATGLFVRQSICTDIDSIKARLDQIKKKTEDNKDQLQISLPAASSSIKPKRYVDWDAPSGSNIDNLVVNNKKLEKIKGYLNNQGETRPRTVVAIMGTSGVGKTTLASCIYESSEVRAMFHYIIWVHLPQKFRLVDVIADMVRQTTFPGMESDAKKGVHEKDVHKKDVYDIGELRNTLNGRLNRKKYLVVLDNVRSPDELNLFLSVLPDCQGSTLLITTEIKPYHMDLGSSSTMNDRDTRWKLTKLCDHQVELQKLTKEDARKIFLTKLFGKFSPGKNVKNAAHARTIENLLDKSLPLAGTLLAGLLRTKKEEVWTDIINQLMQQRHKLEQQQQQQLEEGLAEMKRMDQERAGRQEALVQAKQLRDEKESGQEDNLLQKQQMIEEVEQTTGQQQGQQDAIENAHLEGNKEPEQQQEKHVYRQMSQLDQILMLSFDDLPPQLKQCFLYFSAFKAEEPINADKLIRLWVAEGLVRPTDGRATEKHGRDHLRTLISRCLVNLVEKDHSNNIISVSMHERVMAFARSEAREINFLEVHHSTSDLPSTAIRRLSVRNAFDPHTMLALATPKLRSLLCECPEAPYADDGSTTCASHIRKAWEYIGGRVINLNIHQSKFLRVVDLQGMAHRSTLPSEIGWLIYLQYLGLARTGLKKLPRSIKNLHRLQTLDISSTEIKHVPNGLWWIKALRHVLAERLENGPTNTNALHNLQTLHTVQCKGSALKKLINLRSLRLWGIDKKLMLVECLGRMECLKFLDLAAKNGVELPLIKVLTMFGLRSLQHLKLDGPVNKEGSREVHAYLLHKLTKLELQNSGMEQEHIDLIAQVPNLAGLILGKDSYTGKEMKIPTDGFPELKELQINNLGKLTSWTFAQDGGSTLKQLQRVSILNCTALTKIPDELRKLQHLVLLAVCSSPVNFPSGKFESAKKLSIIKEENEGKVCPST >cds.KYUSt_chr3.22770 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140717989:140721518:1 gene:KYUSg_chr3.22770 transcript:KYUSt_chr3.22770 gene_biotype:protein_coding transcript_biotype:protein_coding MADETNRAAFVELQARMIETTGKIKQLQIQMRTKESEKKRAYLTLEELRQLPEDTNTYKTIGKVFILEPRSLLANEQEQKLNDSETAISSMQTSKEYLEKQLGELENNIRELLQQDPVLARQILSI >cds.KYUSt_chr7.32487 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202365983:202366876:-1 gene:KYUSg_chr7.32487 transcript:KYUSt_chr7.32487 gene_biotype:protein_coding transcript_biotype:protein_coding MPICVGSATRSSAEDVLANESVKAFISALPAKDGWSEPLIQYKSYWFRPGFLERFLLVERRFKPRPDDIILATQPKCGTTWLKALAFVVANRARYDFGDHPLLTRHPQHIVPFIEIPDGTGGGNDIEALPSPRLLATRTCPYALVSRLHFENKLMVEGFTLSMDHAFGMFCEGFSHYGPFWNHCLEYWNESVAKPKDVLFLKYEDLKSDPVQVVRKLAEFLDVPFTEEEESFGVAQEVVNYQPAG >cds.KYUSt_chr2.839 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4936478:4949094:-1 gene:KYUSg_chr2.839 transcript:KYUSt_chr2.839 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRCGHFASSSAAEIIIAARRSGVLLRGLELWLEQERRRLRQCRTAAGGLSDVEAASVSSRSPQRRRATVRPLRSLARSLAFLAVSLPPLDSPASSAMGGAAAARWIQRLSAARISTEALQRGQSRVIDASLTLIRERAKLKGELLRAMGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMNVISESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNTYSHASSFARIMEGGYARRLLQVGLRSITKEGREQGKRFGVEQYEMRTFSKDREKLENLKLGEGVKGVYVSVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILQNLQGDVVAADVVEFNPQRDTVDGMTAMVAAKLVRELSAKISKRKEQQKSPESRTTMGSTGGDRAILPTVLLFRRPDGAFSAALRERYRILDFRASGETLPAFLAAAAAAAEPEPPRAAVVVGGGSVLVDVSFLDAVPSLRCVVSTGAGVDHIDLAECARRGVAVGNSGEVYSTDVADYAVGLLIDVLRQVSVAERYVRRGSWPVLGDYPLGSKLGGKRVGIIGLGNIGSRIAKRLEAFGCVIHYNSRKPKDSVSYKYFPNVHNLAAESDILIVACALTKATWHIVNKDVLEALGKDGVIINVGRGAHVDEAELLVALKEGKIAGAGLDVYEHEPKVPAELFSMDNVVLTAHAAVFTEESRSDLWAYTIGNLEAFFSGQPLLSPVHADSLAFNWSSMASAGCMPAILLCRRPEASFSAALRERFRVLDFLASGEPLPTFLAAAAAAPEPPRAAVVTGGCSVRVDAWFLDAAPSIRCVVSTGAGVDHIDLAECARRGVAVGNSGDVYSTDVADYAVGLLLDVLRQLSAAGRYVRRGSWPVQGDYPLGSKLGGKRVGIIGLGNIGSRVAKRLEAFGCVIQYNSRKPKDSVPYKYFPNVHDLAVESDMLIVACALNKETRHIVNKNVLEALGKSGVIVNIGRGSNIDEEELVLALKEGKIAGAGLDVFEHEPKVPAELFSMDNVVLSRHIAVFTKESRSDLHAHTIGNLEAFFSGQPLLSPVQADSVVQ >cds.KYUSt_chr4.30397 pep primary_assembly:MPB_Lper_Kyuss_1697:4:190689167:190689829:1 gene:KYUSg_chr4.30397 transcript:KYUSt_chr4.30397 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPRHQRRRGQRGRGRAAQGRGQPHHHHILLYDSKWGSLVTKQGLQYSGADFSFGIYNDHRATSCTPSTSTGLHPNGTEPLYRIAVDYTPDACHHAQATGEIHVTYDHRGGARWRSPGRFLPGGTVQTNFYVAGVGGREMVHTLPFDSSDGFHHYAVAWGAEAIEWRIDGEVVRREERQGEGQPFH >cds.KYUSt_chr5.27329 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173020464:173026810:-1 gene:KYUSg_chr5.27329 transcript:KYUSt_chr5.27329 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSSRSIRSADFALPGLMMSLGVGVWAVRAQAKQVSDEAEHLAKARAQVCVEMAEKQGRIAALEMECATLKQTCCIARGTGTRVFGAVLSGADPKGNAADSPALALRANEATRTSSAAAHQRSWPRGCGARVVHSLPDIQHDCTRTPHDQPEIDVHAAHDVQWTPFLQATCDHADSNLRAHLRLFYTKTAETLTVKLQEHQVDILVCSYDWLGSNKNKMVAVEPFVEAPPNTQNFVEGNGYGMLNSTGSIDKESDVGSKQLELAQLKIDDIKEKRSRLLLEISEHKQILEQDRNITRSFPAAVQQMDMKSLEEEYKALQCDKAGEVEYLQSLEERINGMKVCYFNDVMCNFKRLVIFFSAGSF >cds.KYUSt_contig_2197.157 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:890804:893011:-1 gene:KYUSg_contig_2197.157 transcript:KYUSt_contig_2197.157 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDIRLSDPRFGDKSGVSTLDGHLPSIRSRSDGRGSVSTSTAEVDTSEHLVVDVQPTADDNGDASATIGSICRPAVHLVLAFHDLTYSVKQTMWSFSRSRDVEAAHSHGEGVRTRTLLDGVSGEAQDGEIMAVLGASGAGKSTLIDALAGRIQRDSLRGAVTLNGDALDSRLLRIISAYVMQDDLLHPMLTVAETLMYSAEFRLPRSLSTSKKRSRVQALIEQLGLGAAANTIIGDEGSRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIARSGSIVIMSVHQPSYRILGLLDRLMFLSRGRTSYYGPPAALPRFFSELGQPIPDGENPAEFALDHIRELEGSLAGTEELVEFNKVWQEKPLSRTRVPAAPASTDKPWRSLKETISLSIARGKMVSSTGTEVATYANPWWVEVWVLTRRGFTNTRRTPERFRVRFGAVALTAFILATLFWRLDNTPSGVKERFSFFAIAMSTMFYTTADTLPVFLIERYIFFRETAHNAYRRSSYTVSNAIVAFPPLIFLSLAFAAITFFAVGLAGGAEGFLFFLLIVLASFWAGSGFVTFLSGVVSHVIIGYTVVVAGVSYFLLFSGFFVTRDRIPDYWMWFHYLSLLKYPYEAVMHNEFGTDPSKCFMRGVHMFDGTPMAKLPMETQLNVLNTMLAGADFNSTSCITTGADILAKQAVDQLSKWGCLWVTVAWGFLFRVFFYLTLVLGSKNKRS >cds.KYUSt_chr6.4269 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24691985:24692587:1 gene:KYUSg_chr6.4269 transcript:KYUSt_chr6.4269 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFNYTTAEDFKDIRFGACLLNIVEELKLPVPLIKGSLLKRFHKEGCWGVKAVQHGCDTEPKTDDIVINLVSDSMEKGLSIVMQELIGRLCGRHSTELKGHYSFPFGRRDEKCVPIKLRKAGRKVVKRQRLHFQDLENHINTLEEESCFELLRNDELCGQLKEKDKKIEELEEMIKAQGEIPSAGQEVPKPREESPKEE >cds.KYUSt_chr3.29756 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186231323:186237710:1 gene:KYUSg_chr3.29756 transcript:KYUSt_chr3.29756 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYRPTRGFLPDRERLASWSFLVLRNGQDFFPMASVSSVASVTLPHDVIFDILSWLPVKSLCRFRCVSREWQTLISDPAFVAVLKSRVEPLLAVDHSKVPSLRLMDMDGNVVRVINDVGWFLTSICIATDDHVCVIGDHGNEQVARIIDLATKKVLVTCLKGRTQGFGRAAPSGAYKVVCLGDHICEVLTVGDGVGWRHKEPHPTNASYRNRPVVVNGVLHLLVAPQPDGDIILCFDLESEDWKKGIKGPPNVKLQDSKISLGELNGALCMAQGETNNTYSGCTNIWILRDLDKSIWVKKYTIPLDSAMYYRMTPLRVLRDVCLFLLPFASEILGAAGRKEARSERRRCRERGARSEKRRIPEREAEGRGLRSGRCFRSADLAVHPSAATEAYILDGSAILSAYLQEILQENIQEGPEYIILAGSTVIDVLQNLSLVEFAYQGVRPGIDLRDLCETLHGLSVPSSRPSENILLVIVQFKAPHHPITLQSDDIARRKGKAPMDGGEGTSGSKAQ >cds.KYUSt_chr3.16282 pep primary_assembly:MPB_Lper_Kyuss_1697:3:99970686:99975521:1 gene:KYUSg_chr3.16282 transcript:KYUSt_chr3.16282 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSEPNSLAAPVPASPPPEPNTTGSALSPPTAADAGGWGFGGLLKTLTSQSENVLETYRRDLAEFSTGLRRETDAFREAAARAARDLPSSAQALDGLADIVAQGKDALAQGKDALAQAAAAASSASPPPPLRALQSDPATFAADPDDAEDFAAWRREAGFSVDERQEEIEALCYESDAVEGMLDRLVPDAVDAELFWARYFYRVHRLKQQEDARAKLVKRVIAQEEEEDLSWELDDEEPAPEEEIKQASISEEPKHSSNLISLGVSLGFLPDEISVSANVLRQTELDHLTVAPNVSTGPETTVIDDDDDDDILDGQILSAIIGNISEVDLEHAELSSDLQASERGSRSSAGKKSRRWPVFSAKRNSNGIKDPRLNSSWKETQIRAFMQGRNILDGVAVLHETVHEMHSKKLNGVILKLDLEKAYDKVKWSFLQQTLRMKGFSPEWRALINDFVYGGSVAIRVNDDTGHYFQTRKGLRQGDPLSPMLLNIVADMLAVLIERAKSDGQIEGVIPHLVDGGLSILQYADDTILFMDHDLEKARNLKLILAAFEQLSGLKINFHKSELFYFGDAQDDVALYTELFGCGQGQFPIRYLGIPIHYWRLTIAEWKSVEERLQKRLSSWKGYLQMHRYSPFVVTSSAGGEPRPVYGGLYTVGGYGEGYFFPTWVAA >cds.KYUSt_chr7.28118 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175626126:175638853:1 gene:KYUSg_chr7.28118 transcript:KYUSt_chr7.28118 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFGGDFGFINKNLVLIPDMAENADEDSSSGIDLICALCDNGGEIARIYEFAQKASSDITMKDVQKKLVVSSTYTSFMKNTDKVTLGKVERSVEAVKTAVHMLENGAAIEDAKAFCAPYELFQISKWKNKLSIYLAPFLHGMRYTSYGRHFTKLDKLEKIVDKLQWYIQSGDTVVDFCCGSNDFSTLLKEKLEDSGKNCFYKNYDLIQPKIMGLNPPFGFRASLANQFINKALSFKPKLIILIVPKETERLDKKYPPYELIWEDCNQLSGKSFYLPGSLDADNKQMDQWNLSPPPLSLWSRSDYAQKHHEIAKSMGHQCSRKPCYGDPQRDIAGIASMSTTGDLEMGNEGESYIHDEEMQGEGPAKASVIDQLMIDTYHDTTSSPGDYWTDTNGRSGQPCNYDVPGRSDPPIHEYLAERATDSDMSISLSGSSDSGRENQTVSTSDDVPTNPRACHHIGSVSAEQPIDPADSDEVSSADVQHDGLGEADSAPRDPAGLQYQILEDSPPEEGELTDSLPADRHAAECYLSRAHPSHVGLDKGFGFSKHFFTKYNPSHKVGHSQLGCSCAVKAKKASTRVRMTIKFIPKANV >cds.KYUSt_chr5.42448 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267560623:267561516:1 gene:KYUSg_chr5.42448 transcript:KYUSt_chr5.42448 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCTFLEILIAILLPPLGVFLHYGLCSKEFLISVLLTILGYIPGIIYAVYVLVSRSDEQPQGDYYAVA >cds.KYUSt_chr5.2954 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19348231:19350905:1 gene:KYUSg_chr5.2954 transcript:KYUSt_chr5.2954 gene_biotype:protein_coding transcript_biotype:protein_coding MQARPVAASVPPDASAAAAAAVVSILSDAEDPEDRLRASGISPDPALFPHLRRSLTALPESAFPALARWAGAAAAVSLLASRGLFAASWRLLLSPASPPPPLSAFAPLVRRYARLGRAPAALRAFHFLRRHPNRFTLDDSPASPAATSLLNMAVGALCKEGHPRAAARLVERCRREGEASPDDRTYNMLLDGWSGARRLDKVRKLWLEMRQAGVRPTVVSYGTLIKALCLMQQPDQAACLLDEMRGDGIDANLLTCNPIVNALAHAGRFRDAYKLLERFPLYGVAPNISTFNSLVLGYCKYGDLAGASGVLKAMLGRGILPTAKTYNYFFIFFAKTGSVELGMNLYSKMISNGYAPDQVTYNLLIKLLSEANRVELVVQMIKEMKAGGFESDLATSTMLVHLLCRTHRFEEACAEFEDMFRRGLVPQYITYRMLMKELKRLGSVELVDKLTDLMRSVPHSTKLPGSYREKEGDDAKAKRKLILEKAQAVSNVLKECKDPKELHKLKDDEETDVQLADSEANRVELVVQMIKEMKANGFESDLATSTMLVHLLCRTHRFEEACAEFEDTFRRGLVPQYITYRMLMKELKRLGSVQLVDKLTDLMRSVPHSTKLPGSYREKEGDDAKAKRKLILEKAQAVSNVLKECKDPKELHKLKDDEETDVQLADRLVASIRKRVYGGVSRTLP >cds.KYUSt_chr4.16448 pep primary_assembly:MPB_Lper_Kyuss_1697:4:102241004:102243282:-1 gene:KYUSg_chr4.16448 transcript:KYUSt_chr4.16448 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLHDKRATTESRRSKRVEQSRPKAAAAPPPPARRITRAEGPVPPRKREHSVPAARVSRLPQLSYGERDSEDAAPAPPPPKRWLGDGNPMNVTVAPPTAPRAEAPVPPPRSAYALRIRHVPDTSKRKPYIPARKTEWEEEELELDRPYSSRNKAKEPEPWKAISCARAGLEHYNSMNQGDEHELIKAVGVHSFIWCGGWLHANFIARRDVANNVPKYSYFFAELELNAYGLSCASCVKLDSVEPKNLGSCGVCPGKIMHPAAGVYHGAKD >cds.KYUSt_chr2.20253 pep primary_assembly:MPB_Lper_Kyuss_1697:2:127269393:127270280:1 gene:KYUSg_chr2.20253 transcript:KYUSt_chr2.20253 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGFLGFLLRLLRQESRRSRLVARRRHGAGGLRRHNRHVQRLRHLGGRRQVFRRNERHRYGFHWYGGRRHGPRRHGPRRGRGGGDGAGPSNAQVVPSTSAPLEMPEAQPLPAWILAGPAAPNLYADEEMEPADDDVDVDDSALAAPPPPLPCPVHGWACPRLAQQGIHVQEEAEPVVPEAASPDLPSPTPAHEPGPSSVESATPSAGLAPMAVRDAVLDNDAGGSAAAAQPPQRRLRFIVPRAVLQASRAGRRPGEWSPARLSNGHSNGVAPGTLLPGGSSDEEEDGQNTRRR >cds.KYUSt_chr7.29333 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182553681:182555573:-1 gene:KYUSg_chr7.29333 transcript:KYUSt_chr7.29333 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTSLPRLLPNPPFYAAAAARRCHSDPFVSSSSDDESPLADELFPAAGAPTLLSVARSLATSPSPSVSSVLGFLRRLPADASPHIFPHLIAALSRSPRPLLALHLFLRPPTPAATTHHSFNSALARFPLPPHLLPPFFSHSLRRFPRLAPTLLSFNLLLKCVCSSLAPTNHRLYLQVALRVLHHSIPRWNLSPDKFTYSTVVSALADAGHVDDAVALVHEMVVDGVVAAEAFNPVLSAMLRAGDVTGAAKLFSFMQLKGCAPSPATYNVLLHGLLVCGKASAAMGVMRRMEREGVTPGVMTCGAIVDGLVRCGRLEDAWKVTEEMGRKGLVPNEFVFSPVITGFCKSGEVDRASRVWDAMVAGGVTPNVVLYSAMIDGFARCGKMTEAELLFEEMAEAKCVPNIVTYSSMVRGYFQIGDSSQALSVWEEMLRAGCTPNAVTYSILINGLCNVGRSKDAMMVWKHMLGRGCAPDTIAYTSMIKGLCVSGMLDGGLRLFYDMLARGDADPDVICYNVLLDGLLRAKDLPRAMDLLNQMLDKGCDPDTVTCNTFLREIEVGQGKGREFLEGLVVRLCNRKRNKAAGEVVMVMLAKYIVPEAAILDMVLRGVCRRKRVSRVIDKCWDEIWKF >cds.KYUSt_chr7.13316 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82060120:82061438:-1 gene:KYUSg_chr7.13316 transcript:KYUSt_chr7.13316 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENRYIAIRHHVEGSPSVDDFEVKTAAVRWTPESGEVLVKNMYLSIDPYQLNRMKRQSASHHSVDVILPGERIGSTGVGEVVASACPEYEVGDVVAGVLAWEEYTLFRPSPAVLMVKVDASSGFPLSYQVGVLGTSGMTAYGGLFEVGKPQKGEKVFVSAASGSVGSLVGQFAKLAGCYVVGCAGTQAKVDMLKDKLGFDDAFNYKDEPDLKSALKKYFPDGIDIYFENVGGEMLNAALANMNTYGRVAVCGVIAEYTDPGKRASPDFLEIIYKRITLRGFFAYDFMAKFHEFMGIIGDWIREDKVQAVEDISEGLESVPSAFVALYRGQNVGKTLVKLA >cds.KYUSt_chr2.17574 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110718241:110719927:-1 gene:KYUSg_chr2.17574 transcript:KYUSt_chr2.17574 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLILALASSTSQSLIRKLRQCTFIAVHFWAPTFKWGISIANIADFAKPPEKISYPQQVAVTATGVIWSRYSMVITPRNLNLFAVNVAMAGTGLYQLSRKIRKDYFSDEKEPVPSLEG >cds.KYUSt_chr5.38329 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242384962:242389930:-1 gene:KYUSg_chr5.38329 transcript:KYUSt_chr5.38329 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATVEPSASGENYSLLIMGDSSGDEDGGGVDGDAFRGHFPIPAACRNRDFRPPNLGFAMAAALEGLLERDIFVLYLPEFDKPWSGINHWPPRPCPRVDLGDGTGRACSWSRRSSRHDPFLTGSLRGATSLVVGGLRPDPSIDGCSAPIRTCSFDCLGESDLCLVALWDRAFSRAWVLQRPPVDSLLLAPPKRAGFCAQILRVRDESAIPLMAMLGDGVPLPAGSHTSSGNASLAPTRGRRCTAWLRPLTPLSLGTTPWF >cds.KYUSt_chr7.21563 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133730048:133730598:-1 gene:KYUSg_chr7.21563 transcript:KYUSt_chr7.21563 gene_biotype:protein_coding transcript_biotype:protein_coding MVYEAVEAVALKGLLHRRNTAVAMSHEHQMRAVENAGKEELGWGIILWVLRKNIIYSLYPQKDGMTFGI >cds.KYUSt_contig_1993.211 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:1309618:1313344:1 gene:KYUSg_contig_1993.211 transcript:KYUSt_contig_1993.211 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGAWPRICLFLALAARWSWGCLFPIPEVVERRLRCEAFEGDGGCDPDRGRCRRGEVEALSSREEDVADEQDVDYEEKEEEDDEDEGEAEEDFADEDERDEDVDMGTFSEPGRKFLSKVLREYELKYVDGTVIAAECKHCARKFCAERKHGTSSLRKHLKRSEERKKALRVSGQHNASIMSPDGVSPRHWTFDQALTRRELIRMIVLHELAFSLVEYDGFRRAPPAQPPPRYAKLDFAMYDGVEDPLNWLNQCEQFFRGQRTLASGRTWLASYHLRGAAQTWYYSLEQDEGGMPPWDRFRELCLLRFGPPIRGSRLAELGRLAFTTTVQDFADRF >cds.KYUSt_chr3.45928 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289158036:289166036:1 gene:KYUSg_chr3.45928 transcript:KYUSt_chr3.45928 gene_biotype:protein_coding transcript_biotype:protein_coding MKPALQGNNDVVGMAPASFTAYQEYDQIAANQAILEAKAWRWARAHGHGRCCGKPPLVGSGGWVLPIQGLREVELYPHKIDNGDLAAAVQDLVDISSQRTEMWSWPGGSSGLALPFGGDHPLSVPPPVKIAGQYVHVPCCFDPFWKPGVVHVFTLGDASWRDVQAGPDARCSLGRSSLVDVDGMVYWMTEFTARVMAFDLEDERVTRTAPLPVPARPSACRLTKVHARLGVAVSGGDSLTVWVLEGESWSRRYVLEAYKLRKQELAVPHFAHGDYVLTHGRSGETSVLYRHKMSGAARLQGGGGVVQIERKDKGDSVTSLVQSIYRTFAYTETNESLSMYNATLMTGRRSAGFLASRIK >cds.KYUSt_chr7.9926 pep primary_assembly:MPB_Lper_Kyuss_1697:7:60781328:60782359:-1 gene:KYUSg_chr7.9926 transcript:KYUSt_chr7.9926 gene_biotype:protein_coding transcript_biotype:protein_coding MENPGRPIALSRSVDLTDKSSIPSVLLLGKLVSSGSPADSASLLPDKLRHIWDPTGTVNFWRVTDGAFLVQFYEEEDLTKAQDGAPWSCGDGDDLFLMQHVKPGMNMVDQIAGFTKAELWVQFHDVPDDHFSVNTVYALAAGIGEPVKCDLNTSRPKFLRARIRVDITRPLQPSVDVELENGERASVSVEYEGVRSLCWSCRILGHRVDHCRRKANKSRRENPAVYAPSKSNIGSRRSTSASSSSSSSILGKSLHVSATTAPVLPALRSGQEGLSPVNGTCFNFFNLKMWRKRVEKNNEPSGTGDHDPQDEKVPFRNQEENQVRKKKSAPEIVQVWHSSSINY >cds.KYUSt_chr7.2761 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16364743:16365089:1 gene:KYUSg_chr7.2761 transcript:KYUSt_chr7.2761 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGCSTCLEIIFAAVLPPLGVFFRYGCCSSQFFISLLLTVLGYVPGIVYSVYVILKMPPELPGIDGDRPYYILA >cds.KYUSt_chr1.34101 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207336719:207343804:-1 gene:KYUSg_chr1.34101 transcript:KYUSt_chr1.34101 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPLVLAILYGRGRIRFLGSALRDCSTSSSRVAFRPSRAVLPTVVFNAVYFDPSFLSSTTLSSTTLLLRRHLLHLHRHLHQIGTCSLVSTMKLIAAYLLAHLGGKPSPTAADVKNILDSVGAEADENKLESLLAELKGKDITEVIACGREKFASVPSGGGAIAGGAPAAAAAGGGAAPAAEAKKEEKVEEKEESDDNRESEFRLWKMASLPPALIAFNGRVQAIEPLWHLSGLGWRMPDPDLMQFSAVLHFSGPRKPWLEIAFPELRQLWLVHLNVSDSFLRCYGIVK >cds.KYUSt_chr3.36687 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230727445:230731033:1 gene:KYUSg_chr3.36687 transcript:KYUSt_chr3.36687 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPPGGDALFRLDTPEGTGDSGQDSHCALSRAECGFGGLAGSRSRASRADSCRSCSSAVRVAERSSSAERAPGAPPPRSAARRVASSRRRAGSRKAHASARASSRAFPAASSSRGANYSSQASDLIALESNTSTTTADDDMGNSLRCCLTCMIPCGSFDVVRVVHLSGRVDEFSCPITSGAVLAAHPNHTLATAWSSAGVGCPTKKLVIVSPDTELKCGRIYFLIPSATVPAADRRKSRPSSKKSKRPSSQGKSGGASTAEQDNYLTDLLSEKAASGAHRRRRSSCRVAVWRPELECIVEETSD >cds.KYUSt_chr5.13558 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88139577:88142440:1 gene:KYUSg_chr5.13558 transcript:KYUSt_chr5.13558 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQFHHIGEPPLDVAHDAMVDGGFCAVEYRTTRESEKHITPQQHSSSDQVAAADASGYKNHIQEGKMSQCTHLKLDRVIESMLRDVHKLDQIEAGMQEMKKREEMYAQQRKEAFVGASVIASGIAGLVFCVKKVVFG >cds.KYUSt_chr3.48104 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301157351:301159097:1 gene:KYUSg_chr3.48104 transcript:KYUSt_chr3.48104 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVAAEKVVLQSQDGVEWVVSKAAAASRYGVTVKAAIMSNLYDDDYVQTRRSCNMGYSLIRLFVESSDLAKVIHCSDQLASDPGVAAGFVRGLDHAALFDLVLAAETLWHRGLLDLTCRTIKDMIGGKSPNQVRAMFGIRPPQPGPHGKTTPTKLMTPDQKKQVELEERALKALHAVRSHECTVYEPKRNYFVHNRLFYLHNFAFFDHDKESELRRGPPLHEVPPPLRESIVFSSVNFISLKVRESDVGFPIHVFGTLIARDHVDYRCVYLFRREEDDAQIITSPDDTLTLMDPCRGLVPDDSVYFEFNLKIKCHGGAVKDFSRGVTEFNSCRLPGVGKQSLTVPLTTYLSRVELLCADVTYPLEAYISINILKGACDLTTVAAWNTKNTEDQIILYDSRAAASNQTSKTPNSVALARRVVAVPLDEKLVLHLVVLDGDETEDLFLILGPSQNEHKHVCKIGCSEVQVKVAWTAVPKKRKTCKKWETIGNQRLLL >cds.KYUSt_chr1.32433 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196963737:196964596:-1 gene:KYUSg_chr1.32433 transcript:KYUSt_chr1.32433 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSPHRCHGGGAARHAGSPSTDVVIADVVVAPRMCHGVDGAALHAGSLVAAACGGVDGAAQHAGSLLADVVAAAYAGSLVAAACGGVDGDVDGAAQHAGSLLADVVAAAYGDEDGAAQHAGSLLADVVVAAYGDEDGAAMHADSLVADVVVASRGCHSGGAALHADSLVKVVVAPRRCHRDGTALHAGSLVVGVVVAPRVCHGVDGKVGEVAGLHAGSPRRVSFRRVAHHL >cds.KYUSt_chr2.17188 pep primary_assembly:MPB_Lper_Kyuss_1697:2:108274535:108276487:1 gene:KYUSg_chr2.17188 transcript:KYUSt_chr2.17188 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVNTGGGKDYPGKLTMFVLFACIVAATGGLIFGYDIGISGGVTSMNPFLIKFFPGVYRQEQEAERNQSNQYCKFDSQLLTIFTSSLYLAALVASFFAATVTRVAGRKWSMFAGGVTFLVGAALNGAANNLLMLILGRVLLGIGVGFANQSVPVYLSEMAPARLRGMLNIGFQQMITLGILCANLINYGTAKIKGGWGWRVSLALAAVPAAIIALGSLFLPDTPNSLIDRGYTDEAKRMLRRVRGTDDVEEEYGDLVAASDESKLVAHPWRNILQRRYRPQLVFAVAIPFFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATSISIVTVDRLGRRKLFLQGGAQMLACQIVVGALIGAKFGFTGVADIPKGYATFVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSITVSVNMLCTFIIAQAFLPMLCRLKFMLFFFFGAWVLVMTLFVAFFLPETKNVPIEEMVLVWKSHWYWSRFIRDEDVHVGAGVQMHSNGKIQAAKLNV >cds.KYUSt_chr4.7754 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46194346:46198935:1 gene:KYUSg_chr4.7754 transcript:KYUSt_chr4.7754 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSSGKGGGQIGGSNSPPRPLFHSEAEWRKWNRSEGARKVRAHCWTNWDLTPLGKLARYANSGEGSSSGESSRTLARPLTTAATMGRRRRRRRRTIFNSGDYVINDQEEATVIQQESETKELLRKVQAKVSSNIIDIKTEQCFNVELENALSSEKLATLQWLLAETFEPENLQTGSFLEEKVSGNPYCFVIEVGPRMTFSTAFSSNAVSICQALSLTEVTRLERSRRYLLCLQPGSFPLDESQLNDFSALVHDRMTECVYSSKLTSFRSDVVPEPVSVIPVMEKGRQALEEINLKMGWLLMNKISKDLFSAVAGCRLAGIAASGSSGCFSWTVVRFHP >cds.KYUSt_chr3.39661 pep primary_assembly:MPB_Lper_Kyuss_1697:3:249970365:249974644:1 gene:KYUSg_chr3.39661 transcript:KYUSt_chr3.39661 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLQEHCSLLQISSSQLPNLLKPARTPRRSQLSLPNAARIPGSSTTPPATPARNAAAPWRGELLLLLPAAATSWTPLPALAAEADGGGKVSLESIVVAIDDFNNRNPFFVAGVVFVWLVVIPLAQEYFSKYKAVGALDAFRKLRDVPEAQLLDIRRGKSVQFMPPPNLKLVEKNAVQVEFDEEDEKGFIREVLKRFPNPANTVVCVLDNFDGNSVKVAELLVNNGFKEAYAIKGGVRGPEGWQAIQEKYLPPAVHVFPKKKKKSKGLTHTDATTEGTDDQHEKNGKLLTSDDQHDSSNGIEDGHEELNGSTSATKHSKTRPLSPYANDWQAMAGLMRRHQWYEPGNGKYNYGALVAPVKEEEDTYDNDQIPREEDRNDTDDFFGYVPRGESQGGGHGELASSPSCQKAWMRRMR >cds.KYUSt_chr7.28944 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180062778:180063320:1 gene:KYUSg_chr7.28944 transcript:KYUSt_chr7.28944 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSYYPSTGSVHHAGKAAPDEGRGSFGPVFVVLAVITFLATAACISGRLCGRRSSSASRGKSSSADAEKGLGQKHPHPVVPMRPLPSSRATVHDMVDDDVFEIKFAQVKPPEMGRDQRDGTGCGGGRVQALLPPLQLGLPRQYAPPPGFRCAPANVAGVPRQASHPQVRGAEESRAGLR >cds.KYUSt_chr2.4477 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27711243:27714450:1 gene:KYUSg_chr2.4477 transcript:KYUSt_chr2.4477 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTELLHEILLKLPTKDVARWCCVSGLWCRTVRDPSFRGLHAEASHVAAPLLGRDVEALVVSQHEAPHGGCAEASVFRVSSSARPMCRVANPSGYRLANVCNGLLCFASCTDGEAPAVICNPVDGEKLALPMAPRLEAPDQSWRRHHFALGFSTSTKEYKLFRLSSSTTNQDSYVHVYTLGDDGARWRQHPHILQHCPMYGMPPVLVDGKLCMVTNKPHQRDGRPNRMLVMDVASETYRTYRLPNHRESGLPPVNVRVNAFELHGRLSVAINILDWDQPELQVWLFPPWDRLQATKDDDDDNLYWDRRYSFRLDAPSPPVSDLFRRRRCSDNLKRAAWLAGDEMLCYRLGDHLYKYDTRRYMPASNFGFLPWNQKLQLWGAPSVSSNFYGGYRPTLLSPLVFALTAPPQVEEWKNEQELEENTAILCVPQCPKLKRHSSPVDRKDERATKKICCSIVDRPCDGDACGGAPMWPAGGNSDYDSRPEIGAAPSGRVEIWCVTNLFLPSWSPSFLPGQWQLVKRTRRRLHWLAGDGDANNGIRQEEE >cds.KYUSt_chr7.11602 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71322260:71322859:1 gene:KYUSg_chr7.11602 transcript:KYUSt_chr7.11602 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRQSEWIVLRRVHAFLKSRKLHRAAYALEKEARLKLDLPHLHKLFAKGRWRAADEYVTAFMGAKEETSTPAASATLFVVRFERFVRALKRGDEAWAMRYFGRAVEPLLDSHPDRTAAGAECIRVLELDDESLRRSYPDDDQHRRERETEFIRCVYENESISRAFNDVFDGNLRFMRKTTSLGLRRHARRGPPCDQR >cds.KYUSt_chr1.28102 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169479397:169482668:1 gene:KYUSg_chr1.28102 transcript:KYUSt_chr1.28102 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAHLTGRTTTALEQPRAAGKPPDTTADHEQWPSRSKVPTFDSPPEPHISLGDAPKEVTVQHVDATQSRDFGLSFGRWVGGGKRGSQFLTVEVYQMDHECASTKVSKYVAEEDIISNLPDGLKDKILCCLPIKEAVGTCLLSRNWRYTWTSMTELMFRGDDFASDNGYDECRFLKFTDMFLSLHNGPVLKFGLDTQGIEISTGGHIHRWMLMLSRNRIKEIQLMTIIELKQVAGDALNARCNFNVSQFIASLSDVKNISLHGSIFELAEHEFLVYKPPKSFNQLTEISLNLDLGNLKQANLALCLFQHAPNLQLIILELTSKNPMVPTVHLWESIDGCLFQNVRLVGMNNFTASSAELAFLKRILEDAPVLRKAEIRDKGKLGKDDLKSLLKMRRASKDAEIVIF >cds.KYUSt_chr6.20666 pep primary_assembly:MPB_Lper_Kyuss_1697:6:130322560:130329649:1 gene:KYUSg_chr6.20666 transcript:KYUSt_chr6.20666 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRPRNEPSKTPLKLNLDSKAIRTNVPPLMRLEETPISMGKKGAGISMANKGAAGEESVVLPEQTQEIKEAAPKKRNPCPGVRLIGKRIYDPENGKTCHQCRQKTTDFAVACKQPRKKGLCPIHFCHKCLLNRYGENAEEMTKQEAWTCPKCRGICNCSFCRKKKGETPTGILAHAAKASGHTSVHDLLAKGEDIVVAAQALSSLPAKAKKEQKEGNAKRALAKDDATDELLAEGDENIGTDLNALPSLPVKKKLKKSSCRVNNLTDDEVHVDIKGDPQILKESTDVLKPKVELPTGTPVTNILGTDLEADDVGAAIQFLEFCRTFGENVRICSLLLACWLLLGIGCLLQPPVKLLGSCAETEKLSGLYQLDYSSDRDAWMDEIGNYISESTLISKELPLGSLDQGELEYKSLSPSLKLHVLNLLCDETLSTSKLRNWIIEQNENATERKIAAKEKIRAAKEKEKELKEKLKTEMAKSMFLRKGAEINSLIAQIKELNEDKEAAVDEEKLGGLIRAKPVRKDKGEAYWKLDGYYEKTAIMRQEFDTTASNDKWFMFNEEEEKVRELRPGSVVEGIKVADNFCCREGTQLLSSQQLSEDERSRSVGT >cds.KYUSt_chr5.13443 pep primary_assembly:MPB_Lper_Kyuss_1697:5:87479370:87480986:1 gene:KYUSg_chr5.13443 transcript:KYUSt_chr5.13443 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCSGVMPKGSSLLRCSGRRRWWWRWVSLAIVLWRLETVMADEAAFSSLSNKLVVIDAGFSLCSGRCGGEQRLRASGSRGVLAAREASTAGVPKRRREVPQLSLAEGATPRLVGFCSEVSSSSRWEDLLAQRGVHCRIHPKWFVPGGGIGGHGLEPLRQRRWRRTRSRSQNVCEVVSFVGTRDPSAVDLSSVPPRNARQTVARASGRHGRCYEASTVGGGTHPPSTSRRRDPSAVDLSSVPSRPIRARPPDWT >cds.KYUSt_chr3.4090 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23241981:23242652:-1 gene:KYUSg_chr3.4090 transcript:KYUSt_chr3.4090 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSPAHQSSNPSWSIIAGRLPGRTVEEVKAHWYSPMMTVKLKRPDHNNQYMILEALIPSPDEHGDHTRSAVQNKREEHPGHPSFPKDGSDAASDDGAVSDAGSCNM >cds.KYUSt_chr5.29043 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183863108:183863905:1 gene:KYUSg_chr5.29043 transcript:KYUSt_chr5.29043 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVAGKVADKKDAKAPFHKPDKAPLPLKTELESWLQEALEVKKMIIYAVDHAVKILKEHCSRTRLIDIVYSRSRGRRRK >cds.KYUSt_contig_2278.142 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:1174124:1175906:1 gene:KYUSg_contig_2278.142 transcript:KYUSt_contig_2278.142 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGGGGGGAAGEGFEERVKRLFGSRLFGDVPSSSFPSASWSVAAGEVERQRWARPSEARDEEEEGAAADRADTPCASAFYDANGCLRGRRRRSKQDFEDDPEDDEDDKEEEGRGGDGTKVELDEEEEVRVSIGLDPTLDREEEEDRYDRAAFGREDAADRVYMSEIMDDGINMSINTVVPELLDDAIDEICGLSKDPRVDLGAASARLREDNGSVKGSPHLTTQTKECPTVGMQAMQTQDTGVKPILKRKEEQGDSKPRKRVRFNADVKDQAVELSEHDEDSPMVPQSMDVVTSKGNSSTSSQSPGIPDYVRNPAKYTRYTLDTPETTDESNRRALADLHNLLGRSDPSKLQPETPVEIPSSVTFIPRKKPVDAMVVDEGPKSSDANSTLITPAAVASDGTDQCEMDEDDPKASPPPLIQTNSKMNSRRYRSSRADDE >cds.KYUSt_chr2.46302 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289257099:289258963:-1 gene:KYUSg_chr2.46302 transcript:KYUSt_chr2.46302 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIGKSHFLLGEEAKTEGESSSSGAENVPIIQDSRLKSKTTVEDPFPGFLLDFLDLASADDDDNKEDILNGGKSAEQIANEEEMAREDKEFASHRRCWEDLWEPTYGYFKDKNHVKFEVQLRLKGTTESQDRALIRAFCDYTSGHYPGVSTTSFENCFCTTELCLERIEQTVQATILSVRVKNGPWPFEYGGEVACFAPSYNTDPSSMNVVLLSSRGRPMPNDLDGYLHLSRNVVSVELKGSLTFFVHAYSHSGEITAQGQVCFMANKCNITQQTCFFHDPEVEVEITVAWSTLVSDKRRIASQGWML >cds.KYUSt_chr7.4473 pep primary_assembly:MPB_Lper_Kyuss_1697:7:27003368:27006188:1 gene:KYUSg_chr7.4473 transcript:KYUSt_chr7.4473 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHRASPSSPQTGRFLSAFPGDRPGPATDLVATYVSELNTSSGPFIFYDALRLQNRMLANTQQVIKEDYKILGSTSCRSSVETIMGSLLLLGLIPSQNISFLLMRAWAAGCRVVRHRGSECERRYIGMAVPEGGVGGLCAERGGLVGCRGGGPKSLTVLRTSTLCREGDKVVMAQFSERGGAEAGMAERSALTPQPAPPATEGASLRPSRAGATVDKVAVTPQPVRAAWERSVEHEPARPAAERPAIRLRGRHGRCFYEGYPLPSSSVPDVL >cds.KYUSt_chr7.1374 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7490027:7490440:-1 gene:KYUSg_chr7.1374 transcript:KYUSt_chr7.1374 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRFAGKKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHIMPTRYTLDVDFKDVSTAGPDALATTDKKVTLAKAAKARLEERFKTGKNRWFFTKLRF >cds.KYUSt_chr2.39119 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242567444:242569202:1 gene:KYUSg_chr2.39119 transcript:KYUSt_chr2.39119 gene_biotype:protein_coding transcript_biotype:protein_coding MEPISLLTPYKMGQLDLSHRIVLAPLTRQRSYGNIPQPHAAVYYAQRATPGGFLITEATGVSDTAQGYHNTPGIWTPEHVEAWKPIVAAVHAKGAVIFCQIWHSGRVSSYELQPGGKAPVSSTEKGVGPQMSFDGRLEQFSPPKKLTVEEIPMIVDDFRKAAKNAIDAGFDGVEIHGAFGYIIDQFLKDNPNDRTDEYGGSLENRCRFALEVVEAIAKEIGGDRVGIRFSPFADYMECHDSDPHSLALYISTKLNDHHILYIHMIEPRMAIVEGRHVVPKRLLPYREVFKGAFIANGGYDGAEGNKVIAEGYADLVSFGRLFLANPDLPKRFEVGAELNNYDRMTFYTPDPIVGYTDYPFLE >cds.KYUSt_chr1.2050 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11803171:11809394:-1 gene:KYUSg_chr1.2050 transcript:KYUSt_chr1.2050 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGDGSDVDGEAFRGHFPVPAACRNRDSCPPDLDFAMAAALDGFSYRGFFFRLELPFAFRELHTPTPTRYSTDPSRMVPTSSTVLLVHPHDDTVHAWSASYPSMGLRRGIAIILLFLIWREGEAATFTFVNRCGETVWPGVLSNAGTARLGTTGFELPPGASLAVPAPSSWSGRLWARTGCAHDPATGRLVCATGDCGSGTAECAGAGAAPPATLAEFTLGGGGAGLDFYDVSLVDGYNLPVLVQPSAGSCAAAGCAADLNAMCPLELRSGGGAACRSACDAFGREEFCCSGAHASPATCGPTSYSQVFKMACPRSYSYAFDDPTSTFTCAGGPDYTVTFCPAGATPSQKSTAVPGATATTPTTVPGTATPTTTPGAAATTPTTVPGTEMPAMMPGMTFTDAIPGSTPMPMGGEASIGGSIQGQGVFLGSRRDDSWLANMATGDVTAGGAAAVAAASVQLGAAPLALLLLQLLQR >cds.KYUSt_chr4.37707 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232441322:232442173:1 gene:KYUSg_chr4.37707 transcript:KYUSt_chr4.37707 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVNLQYIPNASTRRGTFKKRSRGMMKKAGELSTLCGVNASVIIYEEGDSVPQVFPSHSEAVDILNRFNNMPELKQCKKTMDQESFLLQRINKLQDQVYKSMRDSQEREIRLLLHKAMSRNLQDLTVLSTEELNYVGCNVDAHLKRISDRITKIRGQPPVYKPSVVHAPIPIISDDKNIIVPTNMHMDQAPFQQHEGWLNMVSSRGGDLGALAYNGFNDGCNGTSVVGFKGDEMIQPFDLGDGSSCQWGAAHLGMSSSNYPLCKGGEALHIPCRQDTCVV >cds.KYUSt_chr3.8137 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46918401:46919748:1 gene:KYUSg_chr3.8137 transcript:KYUSt_chr3.8137 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDADVLPPYIEEGEEEAAASKQLRRQQSKRKKPRERMPWEFATPEEEAKAEARLALERQLIVHDPNTGDTCFTRVWFLDLIIFDLDEESGEVGVLFSVWAYKDEPVSFYF >cds.KYUSt_chr1.2401 pep primary_assembly:MPB_Lper_Kyuss_1697:1:14166585:14167878:1 gene:KYUSg_chr1.2401 transcript:KYUSt_chr1.2401 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSAQRIALTVSLFGVLAFLLGVIAENKKPPYGTPIKGKDVVICKFPSDPTIAMGSVSIVALVLAAVIGHVSIFFPYKGKSVPRGALFQSTSLSVFFVIAELVSALAFAMLLWATITESHHRTSNIHHDMDTQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARADYLDEDDDKGEYGQVYAEDGDGSKV >cds.KYUSt_chr4.44818 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277382061:277382573:-1 gene:KYUSg_chr4.44818 transcript:KYUSt_chr4.44818 gene_biotype:protein_coding transcript_biotype:protein_coding MASGESAANPADVEAARCDVVRTAAWRRCTAVWRLRRPWRSGLIPALEHRVGRRQAIVEKPRHHHLPSSGVRSQPLLRQTSDGDRQVGDGAGCDTAGVEVVVQREVIREMTNGGGGGTSLVFPMLKRGDYTNWAMVMEVNLQAASLWDAIKDAAVSRREDKRALAALLRV >cds.KYUSt_chr1.27557 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166011443:166018633:-1 gene:KYUSg_chr1.27557 transcript:KYUSt_chr1.27557 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAANAPNQGRLASILLARKPRFTDSTNDTTATAKSKDGYTMAVSFWIADPPQLSLFSIHCSKPPGSRYMTDPDFLIWPQLVGADGPFVLLRVGFYAGAGSREYFLYKAAGDAPASLERIPSPKVPGSDDDDLRGVREFGILGHMAGGHYLLAALRDAPSSDDYQLRIYSSETKSWSTRALQNPCPGVDRVVPDKVITLGQGGLLGWVDLSQGLLACDLLRLQDPDPTGGGGGGDGGVSFFIPMPEPLPGNRYKEKYPIPPTKKMKKHPLAEEPSRSASWFRDLTCVNGVLKLIEMENPNPPENKDNIISDSDLITRLKRKAVDRNANQQLSSFRDAWRAVTWSRKVSPSSANFWRQTCVAHVADIKESEQLFAFIKETQQLVTFRDLYSVFPILSLADDGHDILYLKSLMEPSHQDGWVAALDIGNKQLKAISKFYLPDYWYYNHRFDPEHPFRACTLSSHMDITPGFLFLRVSALAEKMKRERNPPESVIQNDHISSQVHAVVNNLAPMACFNNFNGGSYLGYESQQLSAPNSFAYGAYTCYGNYQQQWQQPTPTLGLPIGASWQHPPPLVQRQPTPPLMQRQPTPREVTTCDITECGLAARCPRPAFQPQLRRLQHAILRNADWLRAARDPRSSPSSAGLGFLQWDSGLDDGRIDYVRGAVRGRIEPKYESDAEKKTGSHRTRTAPCEKSLQMNTVRGRIWHPQESRVKGMPVERNAGET >cds.KYUSt_chr1.28302 pep primary_assembly:MPB_Lper_Kyuss_1697:1:171019819:171024655:-1 gene:KYUSg_chr1.28302 transcript:KYUSt_chr1.28302 gene_biotype:protein_coding transcript_biotype:protein_coding MALANTLLSVFMINTNSDGDRGPCPLLCQMGGPGSPLSNTLLLAVDNKMVCPFNAIQIINLPSSLEKETTHRYGPNSFKLHRLPVPRPGQVLGLVGTNGIGKSTALQILAGIFKPNLGKFTVTPSADEILRYFRGSQLQKYFTRLMEDKMKATIKPQYIDNIRKSVKGKVGDYLSKEDQRQVKDTLCDVLELNQILDRDVSDLSGGELQRFAIAARAMKEADVYIFDEPSCYLDVKQRLKAAQVIRSLLQPKNYIIVVEHDLSILDYLSDYICCLYGTPGAYGVVTLPSSVRDGINHFLNGFIPTENLRFREEKLTFRVTESTELIIEGQTYQYYKYPPMVKTVRGFKLSVTEGSFNDSQIIVMLGENGTGKTTFIRMLAGEVKPDKVSDEQVGMPAYTVSYKRQELVSKYSSTVRDLLEEKIPGSFTQAQFKSDVMKPLKIEELMDRQVENLSGGELQRVKLCVCLGKPADIYLIDEPSAHLDSEQRLLAAKVIKRFILHQKKTAFIVEHDFIMAAYLADKVLVFEGKPSVDCTANAPESLASGMNRFLSDCFGTCRTWLARQYREKNIMRLQLKSREYYGLEGYIDEIWDQSVEISPWMILV >cds.KYUSt_chr2.2925 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17272217:17275352:-1 gene:KYUSg_chr2.2925 transcript:KYUSt_chr2.2925 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTSPVYFGSLVDTDQLQRLAAFPLRAGKIETFRGPSRFSSVVPAAPLQRRERAVAAEKQGSGGRSGGEPRRCWVERISSRRRRRGRRAAPPRRTAGAKPDGLRGAPEEASATTAILMIFNAKPSRISPWIQFLKLATESSEECCLLLENALDSVSPQLEDKLNASSTATNEPSNGQENVDPNVVQQTTDFLNAAQLKKKEVKSKNSKRAKSWIDKLQKGKRKSTKSSTSTKGVKKQKKNDAVQPHVEVENDGNKKGAIVVHEEYNVIGSFTQLLTAPACDDDSLYDGNLF >cds.KYUSt_chr5.40077 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253182816:253185382:1 gene:KYUSg_chr5.40077 transcript:KYUSt_chr5.40077 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLLRIVLLLLPSLLAATGTADDICIVGSGISGASTAFFLTNYTTSLPQLRVFERRGKVGGRLATVTIGGEDFEAGGAIIHPRNLHVRRFAALLGLSARDDGDDDWLGIWDGGRFVFSTLRPPPPGSSWLRRRLHGLANSLVLLRRYGLSLLKMDSFVQEMLQKFLLYYNGFESRPVFDSVEEMLKWSGLYGLTQRTLEEELIDAGLNSQTISELVTVITRINYGQSVSISGLAGAVSLAGSESGLWAIKGGNWQLAAGLLKTANATLHLQEGIDSISDAGDYYVLKSNEGHEYNCKVTVVATPLDEVNIKFAPPISIPPRKMQHTHATFVKGLLNPEFFGLGSVSDIPQLIATMELPDIPFSSISVLKKHGEHDMTYKVFSHAKLNDTLLDQIFSTREETIRIDWPAYPHYHAPEDFAPIILDGKHLYYVNSFESAASAMETGAVAAENVARLIISRLPLGLRAGLSSAVSELHIKSFSGEQDSGRVDL >cds.KYUSt_chr4.48383 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299643384:299646678:-1 gene:KYUSg_chr4.48383 transcript:KYUSt_chr4.48383 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAITEIIKVGIKLHRMQSRINQGTSRFSNINNNSTSQDRIKFKQIHMDVDVEEAEGEEGAGVVGERMVEVMVGMITTKEVMVDMATREGMVTTKVGMAAREVTATTKVGMATREVTATTKVAMEAGMVTTKVDMEDMTTVAGTTTRTEAVVAVAGAGEEEATGATAAQDTSVVAELEGDQVAQAAGAM >cds.KYUSt_chr4.26649 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167279225:167284598:1 gene:KYUSg_chr4.26649 transcript:KYUSt_chr4.26649 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKREIAASEVEESQKKARADAQAKDDAMAMAGKATEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLQGLGAEIAKNLALAGVKSVTLHDDGNVELWDLSSNFFLSEKDVGQNRAQACVQKLQELNNAVIISTLTGEVTKEQLSNFQAVVFTDITLEKAVEFDDYCHSHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKVKNARPYSFFLEEDTSSFGTYVRGGIVTQVKPPKVLKFKPLKEAMKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNELKRFPVAGSTDDVQRLIDFATSINETLGDGKLEEIDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPGDLKPENSRYDAQISVFGSKLQSKLEQAKIFMVGSGALGCEFLKNLALMGISCSQNGRLTVTDDDIIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWENLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLFEKTPTEVNGFLSNPSSYVSAARTAGDAQARDQLERVIECLDGDKCETFQDCITWARLKFEDYFANRVKQLTFTFPEDSMTSSGAPFWSAPKRFPRPLEFSSTDPTQLNFILAASILRAETFGIPIPDWAKTPNKVAAEAVDKVIVPEFQPKQGVKIVTDEKATSISSASVDDAAVIEELIAKLEAISKTLPSGFHMNPIQFEKDDDTNFHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALAGGHKVEDYRNTFANLAIPLFSIAEPVPPKTFKHQDMTWTVWDRWTVTGNITLRELLGWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDRKVVDVAREVAKMEVPSYRRHLDVVVACEDDDDNDVDIPLVSVYFR >cds.KYUSt_chr7.36792 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229865629:229874066:-1 gene:KYUSg_chr7.36792 transcript:KYUSt_chr7.36792 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPAPLLLLLVLSGLAVAAAQFPQPPPAQQQQQPTNASDAAALRDVFQHWGLGYGATAEDPCLKTDWFGSLARNASINCSCDDLTLPCRITHLNVTGYRNLTDIPPALFNLTELVSLDLSNNNLSGQIPPGIANLSKLETWHFNNNQLNGSFPNGSSGLRSLQSLWMFDNYIEGTLPEFIANFTNLTDLRIYGMKLQGPIPKNFSNLINLEKLMLGDLDGQNSTFDFIAKWTNLSTLYLGGNNFSGNLPSEMVQSSRLMDVSYNPFLNGSLPVSPGEIISELQLMLAEQLTVSTSQGILGTDTPELYQTARTSQSDLWYYVVGLSTGKYTVQLFFAEIIIEGDFNNRTGRRSFNIDIQRNLPQSLAAAASSAAPDAAMSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGILAADGVVLVGEKKVTSKLLQSSRSAEKMYKIDSHLACAVAGIMSDANILINTARLHAQRYSLSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKKHGFQLYMSDPSGNYSGWKASAVGANSQAAQSMLKQDYRDGMTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLHPGTGEVQYQVCTPDAMGKLLAKAGLTQPAPEA >cds.KYUSt_chr2.9998 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63290706:63293460:1 gene:KYUSg_chr2.9998 transcript:KYUSt_chr2.9998 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASAGVNNMPAGPSAGAEGSDPAAECRLRRRRRLALLRHVTSTSAGSDESAAVAAAAGSEEKVQTTTPTSESSEEEPEGASAAAAAAAINVASATTSLPSDAGTAAAVWPVAFGSVALAGRLREMEDTVSLHPSFCVWADGSPMHFFAVFDGHGGPHVAALCREQMHSILATELAAAAAAQGHGDEEGSWRAALSRSFARVDALAPESCACGRAAAGCACPLSSGQRGAIVGSTAVVALLVRDRLVVANCGDSRAVLCRGAHAVPLSEDQKERKGRENKIRTKDKREKTIGSCP >cds.KYUSt_chr1.38923 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237958057:237965459:-1 gene:KYUSg_chr1.38923 transcript:KYUSt_chr1.38923 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWIVKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPGHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGSVLCVHGGLSPDVRTIDQMRIIDRNCEIPHEGPFCDLMWSDPEEIETWGVSPRGAGWLFGSRVTTEFNHVNNLELVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFGENMVGHSNVSYDVVDVARVSLDWMHPRPVTPEKEMAKKLERQVDVKAARPRRPELQGTRGSGRRANGLVVDVEGAPGDGATPRQSSGGASYADVMQELDRVRRELRELQREVKAAREGGTPLVPVSASPSSTTTSSGSVVAGNVKERDAGEAHEVPAAVEVAGAGRETEEATRRTARAMVPRQGATRGRASVSSEVEAWLTAGSSESESDEGHEYSSSLGSVAVARRHGHEVHDGWPPLQAAEAELDMARAELESFKEESQSLQFTAPMLRARAEMERIAEEMGRLEGQEKKAGAQVRQLDAVLGDAKSRLAAVTAADEMAGEILSDLKAALRRVDEETEAAEKERALTELENGCAVADAESVAAEIAAAEKRIRAAVRELEAARAGEAAATGKLREVVESERWARASTVSQRSGNVTIPRFEYEYLAGRAEVVRAVADKKVAAAEAWVEARRAGEKEMIMRAEAIERELGEDDGVAVAQAVNDDEEQQRPREGLQRAPSTRPVRATGSSAVTSRRKTMAAVLSTSSSPMHRNPRAPPSIRVENKKMRVLIPNYLKLISGKFTGRK >cds.KYUSt_chr4.46194 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285437313:285440479:-1 gene:KYUSg_chr4.46194 transcript:KYUSt_chr4.46194 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSGAPSTAIPGVYDAPTPPQSNNSAATARRKRVGGQRRGPALPHGREALRQGRWQECGGSRGGASSSASSGGRPAAEQANSGENGARRSGGKQEEEEGRDNASEGCTDPSVSQGLAGEPSPRPDRPFDRRHQVFVETPTRRWPTSWYNWMGSMPRGIDDILFDVEEVEATEKVVEETQGSTNKPISTNYNQQEDVALCYPWINVSLNSSVGTDQSKEKFWARIKEEVVKSASDKPQFAIARLVILGDAEIEETTINALNDMLPEIMALMRNKSLYGAEGGWDQEGISWGQAPPPGCVVVGTSDVDGAGGTGGFDSVGGQSVYGVEGLDEVGTGGQALPPDPATGGLGGAGGGAASGAST >cds.KYUSt_chr2.47090 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294607366:294609839:-1 gene:KYUSg_chr2.47090 transcript:KYUSt_chr2.47090 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSVDKDYRHITLDPRQAAVWSARDYDANFVDLAGPSELLAPKEEKADKEVADEDDSWSFGASNDDGDDLDFSAFDSRAPLDNFVIFISGLWDAVTRSNVVDGADRVQQAAVKMHEMARGADTLSFRGVETDEDVDVD >cds.KYUSt_chr2.39579 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245540102:245542246:-1 gene:KYUSg_chr2.39579 transcript:KYUSt_chr2.39579 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIAAVLIRRSTSSPYRRLLLPIFSHLQRPAPQPTSPWIPPHHRHRLFSSDAPADPDRNLPPLDPKQLWHELSTAEPAAGSSRLPKATWDDVVALTRDFAKNPTMADQALALYIPASAFPTYARHFRHFLPPRLSQESADRLLSLPAEDAHALLLQAFTEYCVTNHADELRQNKSVMAAADLTAPHTWYPFARAMRRRVVYHCGPTNSGKTHNALARFSAARSGVYCSPLRLLAMEVFDKVNALGVYCTLRTGQEVKEVPFANHVTCTIEMLSTEDLYEVAVVDEIQMMADPTRGYAWTRAVLGLKADEIHLCGDPSVLKIVRKVCADTGDDLEVHQYERFKPLVVEAKSLLGDLKNVRAGDCIVAFSRREIFEVKLAIEKFTKHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYSLSKYNGDRMVPVAASQVKQIAGRAGRRGSVYPDGLTTTFLLDDLEYLIECLQQPFEEAKKIGLFPCFEQVEMFASQFPDLTFTELLDKFSDNCRIDNTYFMCQQDSIKKVANMLERVQGLSLKDRYSFCFAPVNIRDPKAMYHLLRFATHYSKSRRVSIAMGMPRGSATNDTELLDLETKHQVLSMYLWLSHHFEEDNFPHAQKAEEMAVNIADLLGKSLAKASWKPESRQQTRQRREENEESDSNVENMSDDDAKSVSKVGYERPRSLPKKNSR >cds.KYUSt_chr1.7843 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48192271:48194433:-1 gene:KYUSg_chr1.7843 transcript:KYUSt_chr1.7843 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVMQRLGFVHAFMKSDVFANFQSAFFVKLLMFVRFIIGGFLCKGSARAMGNSCGSAGCRGSNQGSDDAMQASYNYKWTIDGFSSLLDRGTGWTYSNVFHMRGLNWHLKLKPRDTKSGDPNEYVSLRLHLTRDSVRSGRVVKTTFKFLIYDQLYGKHHQQQVNIAKAKGASENLFVQKMNNICSKPEVYTWNIEDFFALENPSFSPEFELSGHKWSIKIYPSGDDMNGNYLSLYLVMKVPDTLHKNSAKLVESSMSIKNLGTGKDFTTGKGREEYSKNFYSWGWDKFISLEDFIDPANGYLVKAKCCIEVELAVIGSSRMK >cds.KYUSt_chr6.22128 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139757009:139758540:-1 gene:KYUSg_chr6.22128 transcript:KYUSt_chr6.22128 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGAATSCLNLLAVTLPVQAAMLEPDVIRYRKLDSGVKLEVDGEGPEAREGNLVQFNYVCRRANGYFVHSTVNQFSGESKPVTLPLDGQEMIRGLKEVLIGMRAGGKRRALIPPEVGYIDESLQPVPEEFGPRRSLLSHAKEPLVFEVQLLKVL >cds.KYUSt_chr7.20406 pep primary_assembly:MPB_Lper_Kyuss_1697:7:126664275:126669624:1 gene:KYUSg_chr7.20406 transcript:KYUSt_chr7.20406 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNAAIEECLAELTHESREHEAFLRAGRRCLGDLIGCKNEIIAHEATRRLLQMPSPDRRAHEAGVDVELGRQERQLRQQRNKESRDASLVRLESRYAVERAAAHERELSGKRTITPEEAERTRALATRTDRRRAAAEERHRRATQLTEQFPSPRRNRMAPTAAEQTEHHQHTRKAVGLAARDDAGHLSPLAITRRSTGDDDVVIKILYCGICHSDLHALKNDWKNSRYPMIPGHEIAGEVTEVGKNVSKFKAGDRVGVGCMVNSCRSCESCDKGFENHCPGMILTYNSVDVDGTVTYGGYSSMVVVHERFVVRFPDAMPLDKGAPLLCAGITVYSPMKYHGLNVPGLHLGVLGLGGLGHVAVKFGKAFGMKVTVISSSPGKKEEALGRLGADAFIVSKDADEMKAAMSTMDGIINTVSANIPLTPLFGLLKPNGKMIMVGLPEKPIEIPPFVLVATNKTLAGSIIGGMSDTQEMLDLAAKHGVTADIEVVGAEYVNTALERLAKNDVRYRFVIDIGNTLDKVAATTE >cds.KYUSt_chr4.47404 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293359761:293361158:1 gene:KYUSg_chr4.47404 transcript:KYUSt_chr4.47404 gene_biotype:protein_coding transcript_biotype:protein_coding MTEESSAKRAHFVFIPLMAQGHIIPAIDTALLLCCRGALCSIVATPATAARVRPCIEQSGLEVRLLEFPLEYVSDGADNMDNISAERVVGYFQAVALLRAPVEEHLRTHAPRTTCIVSDFCHPWTSALAASLGVPRLSFLAIPALSALCELEADQVDEPVMVPVPGWKKRVQLTRDQTARFFSEPCWRAVRKEIYGAQAEVDGMILTTFLELEPEYVRGFAAASGKQVWTVGPVSLHHQLNGAGGGLVKTARGDAATVDADEYLRWLDGKEGGSVVYVSFGTLAPTMEPEQLLELGLGLEASCYPFIWVFNKADHLGEPQLRQLQARVAGHGRIVTGWVPQLLILSHAAVGCFLTHCGWNSIMETIMAAKPVVTWPRLLDSDQPVNEKLVVDELGIGLSIRPKEPDMAVRREVIQAALTTVLCGGEEGQEMRRRVRELSLKATEAMQPGGSSHANLCDLVNRFTI >cds.KYUSt_chr2.17076 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107556295:107557881:-1 gene:KYUSg_chr2.17076 transcript:KYUSt_chr2.17076 gene_biotype:protein_coding transcript_biotype:protein_coding MADTATAPLLANHRAKLAKAPSIDDTIETYMGTTGAGQLLKAILLAFAWAFDSQQVFISVFTDAEPLWHCTGTDASCSPATASPCALPASAWAWDRPAVTSVVSEWSLKCAGPAFVSLPASSFFVGCLAGGFLLTTLADSLLGRRKMLLTSIVSMSVAGVLTAFSPNVWAYAALRFVSGFGRSMVGTCTMVLSTELVGKKWRDTVCVAGFFCFTLGFLSLPALAYTFRDASWRNMYLWTSIPSLCYSILIYLLVQESPRWLLVRGRKQDAIETLQQIASLNGNSITSSFSMLHACTMQEDAAGSSGGDSVFATLHSMWQRPWALRRLAAIMTVSFGVGTVYYGMPLNVGNLGSNLYLSVMYNALAELPSSILCWLLMGRINRRSSVIGLTAAAGLCSLSCVAIPQGAARMAAELVSFSATCTAFNVIMMYAIELFPTSVRNSAVGLVRQALVLGGVAAPVLVALGRDSSFLSFGVFGLLIGCFGMFAACLPETRGKAMSDTMDEEEQKEAAVANCTVDDMDTTSADLV >cds.KYUSt_chr2.49423 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309295872:309296800:1 gene:KYUSg_chr2.49423 transcript:KYUSt_chr2.49423 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRRQADSPPSKLPRLSGADAYDGAVTVAATATAPLVLGLGLGVGGSRSDSSGSDAEATPPPAVRRPAAAALTFMQRQELEHQVLIYRYFVASAPVPVNLVLPIWKSVAASSASQRFPSLAGLGRLCFDHRSSMEPEPDRCRRTDGKKWRCSHGVVPGSKYCERHVHRGRGRSRKLVEAAAATSAVPIRAIHAADAQAGSTNAHAPQQPQPQQRLGFASPAGVFLAHGTARAT >cds.KYUSt_chr6.27816 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176483103:176486258:1 gene:KYUSg_chr6.27816 transcript:KYUSt_chr6.27816 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHFPCMKYNRRLPFLGLALVLLISLASPTSSCTEEEKGSLLQFLAGLSQDGGLSASWQHGTDCCQWEGITCSPNRTVTSVLLVSKRLEGRISASLGILAKLQYLDLSRNSLSGGLPLELLSSSSITVIDVSFNQLNGTLDELPSSTPARPLQVLNISSNLFAGQFPSTTWKAMENLLTLNASYNRFTGQIPTHFCNTSPSFTVLDLCFNKFSGSIPQGFGDCSMLTELRAGYNNISGTLPDELFNVTSLEYLSFPNNGLYGVLDSASIVNLRNLVTLDLGGNQFHGQIPNNIGQLKRLEEFHLNNNNMTGELPSALSNCTNLVTIDLKSNHFSGEITNVNFSNLPNLKNLDLWLNNFTGTVPESIYSCSNLTALRLSSNNLHGQLSSSIANLKYLSFLSLGKNNFTNITNALHILKSSKNLTTLLIGHNFWGERMPEDDIIDGFDNLQVLDMGNCQLPGKVPLWISRLTNLGMLLLNGNQLTGPIPGWINSLSRLFYMDVSDNRLTGEIPLTLMDIPMLKSTNNATHLDPRVFELPVYNGPSLQYRVVTSFPTMLNLSKNNLTGVIPPQIGQLEVIVVLDFSFNKLSGQIPQSICNLTNLQVLDLSSNNLTGAIPAALNSLHFLSAFNISNNDLEGPIPSGGQFSTFQNTSFNGNPKLCGPMFTHKCVSASIPPSSREHRNKKAVFAIAFAVLFGGIAIILLLVCLLVLIRMKGFKAKNKRHDNGDVEASSFYSSSEQTLVVIRMPRGRGEENKLKFADILKSTNNFDKANIVGCGGYGLVYKAELPDGSKLAIKKLNGEMCLMEREFSAEVDALSMAQHDNLVPLWGYCIHGNTRLLIYSYMENGSLDDWLHNRDDEAGSFLDWPTRLKIAQGASLGLSYIHDVCKPHIVHRDIKSSNILLDKEFKAYVADFGLARLINPNQTHVTTELVGTMGYIPPEYGQAWVATLRGDMYSFGVVLLELLTGMRPVPVLCTSKELVPWVLEMRSDGKQVEVLDPALRGTGCEEQMLKVLEAACKCVDRNQFMRPTIMEVVSCLVNNDADMQTMK >cds.KYUSt_chr6.21036 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132767704:132769794:-1 gene:KYUSg_chr6.21036 transcript:KYUSt_chr6.21036 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNSADLSQQLAEEQNHLHDILGINEMPINDAGDGSDSDSSSGCETDKEPGKYFYPGSKELVDPRPPQVGMRFPTLEDANRYYSAQALLTGFVAIRGPNFMRKKFHLECNRSRKLAPSQDLKRKREIYSVNKTPCEAKAVVKPVKGQWEFTAIQSEHNHPLCPSPSATRFFLSCEHMSTEEMSFLRAMQQSSIHPKKAVKIFKRMRGTLGNLPFKKKDVNTSEGADQQKRPNSDVEAAVKHLKELERKNPCISFTMQTDEDKIVRSLLWTDVRSRIDYEIFGDVLSFDTTYSTNRHNMPFVPIIGMNEHGRTLVFGCALLQDQKVETFKWMFQTFLHVMGGKMPRAIMTEQDEAITKAIADVMPQVSHRFCKLSVMRKARESLGAFIAAGGNINTELHCLVNNSLTETEFEEGWGALIERYGASGNEHLQLLWETRKKWVPVYFKADFYPFIETARRGEGTNSVFKDYVLPKDRIEKFLEKYEDIQESIIKTDDEDRLQSRTEPSCFSLQPIEKHAAGIYTRQIFLKVQRELLHSTAFNVQEIQTGTLYRLDKVFNYENPEFDRNSFDVLVEPGTNAMKCQCGKFTRDGIPCCHIFRVFTQFGVNEIPEQYIVPRWTGKFREEQMKQSKEKCLDSHGVGKSENTMRYAMLLSKVADIGKEICGDGSKCNKFRLEFDKIRGKLPTATGVTRGNNGS >cds.KYUSt_chr5.5700 pep primary_assembly:MPB_Lper_Kyuss_1697:5:35569490:35570343:1 gene:KYUSg_chr5.5700 transcript:KYUSt_chr5.5700 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLRNQLCRPANTVVIVLLMLVIGATVTEADTGTFIYAGCSPSKYDPGSPFEGNLKSLLTSISTSSPNAPYSSFTAGGGSNGAGAAQPRRRLPNTTYVHTPPDLPLDHLHADVASPGSVNIVTIAQAFHWLDLPRFYAQARSVLRAAPHGVLTAWCYTEPRVGTAVDAVFWRLYNASQPHRVPNRKMVDDEYRSVDFPFDPVDGEAHTGPFEFSTERRMDLGESDARPRRRRLRGVPGRLRDGPARAPRRRTPCGRRR >cds.KYUSt_chr7.1872 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10790721:10793106:-1 gene:KYUSg_chr7.1872 transcript:KYUSt_chr7.1872 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGSKAAMEKEGSKAASASSAAFAVLHAENAEAEASATASEAELLVKEPEVEDLKEGGAQGSVAAADLKKPEAEAAVVVEGGVGAAAPAWGGEGSRKRKRKEDEAVLAPTSARSECSLPCSLSCLLLCLLQKCLLQLSLYDSQDSCESVDSRNIASFWTKLLKKLDAGDLPFKKRGRYFCPWHKVKPRDGMLGSLRQHCEELAHTGSSKQIRAEHQGLLMVLANEDA >cds.KYUSt_chr6.21479 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135587908:135593701:-1 gene:KYUSg_chr6.21479 transcript:KYUSt_chr6.21479 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAGPPGRSCGFSTFARCTDHLGDARAVQRPTGECRETGLGEEVVQLQQVQAATLCIGGGMSSDTVTTGNLEKGHLPEKEHGHPPEMLLDKIRNTCSTKIDLNLPLPCSPSTRGGHFDNTRGPANSDAILRTAPPTKRGFRPGRHADVTPSGRPC >cds.KYUSt_chr2.41587 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258693286:258698578:1 gene:KYUSg_chr2.41587 transcript:KYUSt_chr2.41587 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPAPSSRAGCSGSIGSPLDDPYGAAAMMNFDGYSELCSSPSLTDQLFSLLNDSSTHQMFAMWSSLGSSPRAPGISEDMQLDSFSSGPGLQKTDLEPLANPAETGRVAKSSGELGSVGDLQQGSTSLVPRPVAGNLLAERMLMALNLFRQSLGGGVLAQVWMPVEQEGHVVLSTCEQPFLLDQALAGYREISRQFVFSAKEETSLYPGVPGRVFISGVPEWTSNVLYYSKPEYLRMEYAVRHDVRGSLAMPIYDPSKGSCCAVLELITKNEKPDFDAEMDNLRQALQAVNLNTTRDCSNGKFYSENQKAAFTEILDVLRAICHAHMLPLALTWVPTSDGSSDGHVVEQESVFDSRSGKAMLSIHKSACYVNDAKMQGFFHACAESHLEKGQGIVGRALKSNLPFFSPNIREYSIKDYPLAHHARKFGLHAAVAIRLRSTYTGDDDYILEFFLPVNCTGSEEQQLLLNNLSSTMQRICKSLRTVSEAEVVKVDACTTLMYKTTSGSCLPAGHSESSSHCDQPVTEKAFQDLSLVDKHGDTAEQSSSARLAEKRRSTAEKNIGIDVLRKYFSGSLKDAAKSLGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVINSVHGVDSSLQYDPASGSLVPAVSMPEKMPFPSSDALSSPSVGKSTDEKSGPKSEQGYSSPEGWERENCQLELPDARKGEGNEFHMQTRNYSGSDNHASYDAIVTDHLNSEGTQGPLYPTAVVGSLHHKEPGYINHSVSVCPGFGTIEDQIAGRNSPPIQPADIDMFGDHGDREHTHPSTSGMTDSSSGSASSHPTFKKNPSHSLKDKSSPALTVKATYNGDTVRFKFMPSLGWYHLLDEIAKRFKLSTGVFQLKYKDDEDEWVIMANESDLQECVDVMDSMGTHNVKLQVDTDSLSACSSSSIWIIFPASCCCPRNVSFLYSRMVVLLQQLYVDRKRFTVDTRLSLLLAPKSPNSDGSFWTCPIRGASASSQIPAAAGVMDLMMD >cds.KYUSt_chr1.27677 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166777072:166778013:1 gene:KYUSg_chr1.27677 transcript:KYUSt_chr1.27677 gene_biotype:protein_coding transcript_biotype:protein_coding MRYADRRPTWLEPPLKLTEPPGLNCHLPKEPPRRTQAGVRSLMKKPPSTSAVLNRQPPYLKQCPQEGSDAHCAVTAQSNIRKVFTWEHCRGGEKQDLSNPTRESTTPRGVTTVVVGPADQGFLPVSVSPSPPKTTHAPTREPTTNSNSSGEETNVRGKRIPYDLAEIGAGAPATHHRLATHADKDDDAHPRTPLTVVSMPPPCSPREPASRSHATVVVTREEEESVIELHHEEPPRRDAPVRHTTNRRFIALYLCRHDGRALRSQGPPTLATRGWGQEGRRVVGARLWVALPSAPRSDMAGQTVSLHRSSNTN >cds.KYUSt_chr4.19787 pep primary_assembly:MPB_Lper_Kyuss_1697:4:124551360:124555310:1 gene:KYUSg_chr4.19787 transcript:KYUSt_chr4.19787 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSGRFSVSWFSELKDIVMPSGDEVQWWFAAALPGSFGGRGHASTRPCGLGADANDALDA >cds.KYUSt_chr3.42962 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271502276:271508366:1 gene:KYUSg_chr3.42962 transcript:KYUSt_chr3.42962 gene_biotype:protein_coding transcript_biotype:protein_coding MQHEVHDVSSVDVGDVSAMPVDDKPVLVGDKPNEANPIVDEDVAACATIPVCVDASIQTEDVCADGVSVHMAQMRVGGVGGERVSGDSGQRHYRARSTAFQFSVTPRMHRGNDGRVRQLCGPGITRLQGDKKRIHIQQHRVPSRPHKKKIFLSIAQLGAKAVEMNAHLSFEDGWRVLEQGIVTCSKILEGSTGTRPTVAEYMNCYDCAYRMAVQTTSYCEEMYNGYKATLAESVRVLVLPHLMHKRDDELLRQLLKMWSNYCIMVKCVSGFFSYLNRCFVEQRKLPCLEDSAATSFFSTVFSFFNHEVAEALLTLIRQERDGRKVDMDLLMGIMHGICRSEVKPSMKKSVLQDTYAYYSRKSSEWIVQYPLQDYLAKVQHTVEKETMKLMHYLCISEADSSELCLKVVSAPLMQTYDSYAAEKQIGGQLLLQTYKTVEDDLLARCNRLTIDSGSDNSSVSYME >cds.KYUSt_chr4.22690 pep primary_assembly:MPB_Lper_Kyuss_1697:4:142707760:142715133:-1 gene:KYUSg_chr4.22690 transcript:KYUSt_chr4.22690 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSFRADMDKDFELMDPAIIAVAGAQGMTVAETKERAAEFSMTLRACLGLEDAPISEVAFKYVPNGPLVEPAQEASLPTQMRNLLRWYKNFIKNKLFNLRELDKSILSCYVIMKLGECKKRNIYDVGFIDPHIVNRYVLERYPQDVESDLFKFLKEQRLKSQILFPYHFGFHWILLIIEFHTSRVLIMDSLNMDSKLWVDMRLMLRKIWKRFKDKVTGEFKDELEFRRAWKRFLKTAPGEWKLELTFQDCPVVLELQENGKLIASDDPPIVFSTANLYGRLFFNVSATSSVVDGRIESVAVGNVLLLSLVSTVVEVMFFNVSTTSSVVELLSLKMCLLVSSQIARKFALVLEGISGWSAPPSPPIIPVSKDCRAEANELKDGL >cds.KYUSt_chr1.34162 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207811819:207814626:1 gene:KYUSg_chr1.34162 transcript:KYUSt_chr1.34162 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPARVPPATAGSNHVLFDYARHRYLPCTSGESESDRIATESPATEVPAPTNCQKEYLAPSANLVRIMTHGMVQQLNKVMLVMYEY >cds.KYUSt_chr7.31111 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193725139:193726697:1 gene:KYUSg_chr7.31111 transcript:KYUSt_chr7.31111 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNGNGAVRSMMQQHADGPAAMLAIGTANPTGMVLPQDEFVDDIFRLTNSDHLTELKQKLKRICQKTGIDKRYFHLTPESIVAHPEFFDRELPSLDARVDMVATAVPELAHSAAIKAIAEWGRPATDITHLVFSTYSACQAPSADLRLASLLGLRPTVSRTILSLHGCYGGGRALNLAKQLAENNRGARVLVASCETTLVCFGRADGSNLVGHALFGDGAGVVIVGAGPFSETERPLFEMVSATQTTIPKSDHVLGMQVSGAGVDFHLGIQVPTLIAQNIEQCLVDAFSKGESRF >cds.KYUSt_chr3.44931 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283143933:283144712:1 gene:KYUSg_chr3.44931 transcript:KYUSt_chr3.44931 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVKLIGSLGSPYVHRAEVALRLKGVPYQLILEDLDDKSELLFTHNPANEKVPVLLHGDRSAVCESLVIVEYVDETFDGPPLLPADSHGRAMARFWARFVDEKCSMSMWGALIWMIVGQTHSEPAETVKDNLTLQERQLPEGKRFFGGDTIGYLDIAVGGITLWLGVFEQVAGMPLLTEEKHYTADETVRRCLPERGHLVAALTVRKELYVGLAKPAVPHAQTS >cds.KYUSt_chr6.12871 pep primary_assembly:MPB_Lper_Kyuss_1697:6:80262880:80263816:-1 gene:KYUSg_chr6.12871 transcript:KYUSt_chr6.12871 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAGIGTSSWSLPSPQTLSNPNKPRASGSGSRPRLLHPRRSNKHAVTVSSTGQAEQQSTAAVAAPEAGTSFSVEFLTRDGCRLGISRYPDFAYNAQGGRGVGAGRGAADGTVLVDFDVASLYIPAMSGATTRFLGLPLPPFLKIEILPEALRGTIDRTTGQVDLKFRSRFCFSVGSIYRAPPLFVDTTLTSEESSGAIRRGTGERLDGQGRCKLVGVAVLDPIGDAFMDTFLSLPTECIAYLSATISIVSAS >cds.KYUSt_chr1.26315 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158579231:158581544:1 gene:KYUSg_chr1.26315 transcript:KYUSt_chr1.26315 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGDRSFTARMAAPLISSASSVMSANNIDNVEDLVTNVTLLVEVGKFFSRHACMPGRTPVEIRQELLWLQARFKKKKPKDEKEQEEFLRYPFTWASSNEDDEEVFTPRSLAKSNEYFKGKSAASACVDSDDDFMPPIHMLSSLAGIYMELGQDSDIDVQVPELVNVDFAQARSILGIKERWLKRYGMVASSGDRSGRCSRLDML >cds.KYUSt_chr7.31369 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195310418:195311752:1 gene:KYUSg_chr7.31369 transcript:KYUSt_chr7.31369 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTRSSRHPVQFTRFRRRVIIHIDEHEDFTADRNGELPRRPHSTHRFDWRLGVVDGERDITERTRPPARSSDDHDRHRRDDDRTRRRDDDDDRDRRGRKEDRSWATRLFCSRSRPAAKHYDERRDDRRDDRRDDRRGARDDRYGGRRHDSCVRLLSCAAPGRRRRSLSPVRARRGSLPPLVRGRSPPRSPRALERFHAAEIFLPRSTPESATCLSHLWARAQISPASDAIELPPPPPLPKDGPLPLRILQRPTAGSQPSPPQALVLEAVMEEDSGAEKTPVYVPRQMSTPRSSSPSQAAQATPLFVPVMAPLLQAPNSTPTRPPKARRKTLAGISSFAGFPVRSSPRLKAKKTNMPIEKMAEKVLCHRLGIVAEGEQVTEAAIAKFVSMFDGKLPDITIAALRALFRLDCDFASAVEDALVEFGRAAAVDHAGDVDVGNAAQV >cds.KYUSt_chr3.19643 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120932843:120933397:1 gene:KYUSg_chr3.19643 transcript:KYUSt_chr3.19643 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTPRPLPARVPAAASAKITGGWSRSRQDRPVGGSAREAKAGVTPFARPSAARLHVSECAERLPVERLVQLSLLVDAQGDGRAASLRGAASEAAGTSLITSGLVRASRSRVELEVRRPPWPLAGTRHLPRHGMDYGRVGLQCRGQPLRSTRPLRQLLRKEPERRSRPLPLRDGAASTIPRGGE >cds.KYUSt_chr7.19114 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118483573:118486716:1 gene:KYUSg_chr7.19114 transcript:KYUSt_chr7.19114 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVSFKSREDHRKQLELEEARKAGLAPAELDEDGNEINPHIPQYMSSAPWYLNAEKPSLKHQRKWKSDPNYTKAWYDRGARLYQANKYRKGACQNCGAMTHDKKSCMERPRNVGAKWTNMDIAPDEKVESFELDYDGKRDRWNGYDTSTYSRVILDYEAREEARKKFLKEQQLKKLEEKDGEQDGENAGSEEDEEDGLKIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRSSGQALEFKQLNVHAWEAFDKGQDFHMQAAPSQAELLFKSFLVKKEKLKSEGKDKIMEKYGNAASEEPIPRELLLGQSEKEIEYDRTGRIIKGQDIALPKSKYEEDVLINNHTTVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAEEEPVRQEEKKLATWGTDIPQDLVLDQKLLQESLKKEATRKKEEMDERKRKYNVKWNDQVTAEDMEAYRMTRVRHDDPMRDFLN >cds.KYUSt_chr7.30539 pep primary_assembly:MPB_Lper_Kyuss_1697:7:190121784:190122587:1 gene:KYUSg_chr7.30539 transcript:KYUSt_chr7.30539 gene_biotype:protein_coding transcript_biotype:protein_coding MIMTSEDGDISNLLSEPILPEELAEASGPDDFLPAILEAIIKSKEKEVELSPEEATWADSCFVQTSELSDVDWGAMRKVLLDSLEKPIEEPCDITEVMHRQGTNVISEGEAHTGHVEEDTQNDDMDIEQQGSSFDDEDATEVGEAANVIRGADGHGKQVDGYTTAEPDDGDELVSSEVAEQAESRDSIFKVWDLGVSFSDDEIELVKDLKKLLRDKPQEAAYPPPSDTAKALSEINIDELVSGLSDLSLHQTSECRPSNGDAVAGKQ >cds.KYUSt_chr3.41131 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259568630:259570012:-1 gene:KYUSg_chr3.41131 transcript:KYUSt_chr3.41131 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHWEYTPESPRISRQWLEVPHLRGLLCGELLHGEQDLVEASGRMPGRGGAPRCRGMVELRKSCAQMDCAHMKSPASARGLWRQHLAGQFLDGRLDEAFEMIQTMPIEPHPGALGVLSACKSHDNAEIAEVVANNLLELEPRNTGNYVLLSSIYAGKEQWEEAESGRSLMKTKLQFKQPGSSWVED >cds.KYUSt_chr2.7585 pep primary_assembly:MPB_Lper_Kyuss_1697:2:47480128:47483816:-1 gene:KYUSg_chr2.7585 transcript:KYUSt_chr2.7585 gene_biotype:protein_coding transcript_biotype:protein_coding MESEITHGDIYEFTVPIFGKEMGFAVDYTTRMEQNRFFVESLRPAQLRNHVNPMLQEVEDGGVVWRRGGINGRHGMVNAVISFEDGVEEDGGSNFYGVCVGNYFAKWGDEGIVDLKHEFQQLLMLISSRCLVGKEVREKMFGQFCTLLHQIEEGLNLVSFLFPYIPIPVNRRRDKARIKLTGLLSEIVMSRKSFDRVEEDVLQRLMDSTYKDGRATTLGEVSGLILGLIFAGKHTSATTITWTGAQLLSHQKFLVAAMDEQKQIIGKYKENIDYSVLSEMRTLHSCIKEAARMHPALPTLVRKVQKDITVTSKGGNEYGIPKGNTLVNLVLVNGMLPHIYNDPEVYDPDRFGPGREEDKVAGKFSYTSFGCGRHACSGEAYAYMQIKIILSHLLRNFELKLISPFPKADWSKITPEPKGEMMWSL >cds.KYUSt_chr4.6130 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35989366:35989833:1 gene:KYUSg_chr4.6130 transcript:KYUSt_chr4.6130 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSRPLQRDCVWRDHPLESPPCREEPGRGPGPRAPPHREGPAEGCGVRPLRWRNWWCAVGSTPPPPRGVGPRAGRWPSWPRRAGAADAAAVMRRPCLCEKPGRRGRGRLCSRDGKAEDGFYGCRNAVRAPRRCEPATRMPTKVSVVDGRADAPY >cds.KYUSt_chr4.30091 pep primary_assembly:MPB_Lper_Kyuss_1697:4:188948135:188955160:1 gene:KYUSg_chr4.30091 transcript:KYUSt_chr4.30091 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLGASSSLLVIRTVTMAVATMLACRSQGSASVCSAGAWGGIEEWWEVRCCEEERVTELPLGPTCQAVELVRYASPSMEEVDDAMEARGLKVNVKVMGSMEEIVKEKGTRREGRHCRSGRPALPPIPTGTAALPLHLRMTAMSPYLHRHYRPTAKSIKSEPFIPLHQLPASALALLTPPCSLDQAASTSHPLRPTGIALTLPPLPLDPPHHLRLDPHHSKLQLAPLNSRSSPTSYTRSIFHSTAPPARASRHAARAHQAKPPPLLSLPPTRHHQASALLKPLRPHVRPLILTAPGQLPGQAAHASKRPGASRRPGCSLATSLRYAPPTLRYAPPTPC >cds.KYUSt_chr2.41985 pep primary_assembly:MPB_Lper_Kyuss_1697:2:261453983:261454839:1 gene:KYUSg_chr2.41985 transcript:KYUSt_chr2.41985 gene_biotype:protein_coding transcript_biotype:protein_coding MENARERSWRGKFHRPGRVINPEANRRDEKCPLTPLEVGMMLRGMEFDNTTFLYVASGKIENAAKYMAPLRQMFPLLETKDTVALPEELAEFKGYSSRLAALDYTVSVQSQVFVTTQGENFPHFLMGHRRYLLGGNAKTIKPDKKKLVLSFDDPNIRLV >cds.KYUSt_chr7.23862 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148592743:148593726:1 gene:KYUSg_chr7.23862 transcript:KYUSt_chr7.23862 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHPGGVGVIRASAAREPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSITVLQRAVRSWNGHKAGVKFCVNFGDIVDGFCPKDRSLSAVQAVVAEFDRFRGGPAYHMLGNHCLYNLPRSELVSVLRMPGRAYYEFSPWPGYRFVVLDAYDFSAVGWPRDHPVALAARRFLEERNPNADKNSPTGLAGEDRRFVMFNGGVGEEQLRWLDGALRSASRRGETVVVCSHLPLHPGAASPAGLMWNYEEALAVVHRHGCVAACLAGHDHRGGYAVDARGVHHRTLEAALECPPGTDSFGRVDVFPGRLSLAGSGRMASTDMPLPVPRVS >cds.KYUSt_chr3.39203 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246977126:246977660:1 gene:KYUSg_chr3.39203 transcript:KYUSt_chr3.39203 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLASKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr3.29692 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185809037:185816150:1 gene:KYUSg_chr3.29692 transcript:KYUSt_chr3.29692 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRLVVPIDVKKKPWEQKVHLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVKDDDSADDIKFLDLTITHYLSGPFRVVDAEGVPASPGDLLAVEICNLGPLPGDEWGYTGIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSVELLNIWNERERKLTETGHESLKLCEVLQQRPLANLPTSKNCLLGKIEEGTAEWQKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPVGPTALHVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQTCRPDLPRQDASKQKVIKRLILLVAERSVCRVWEATPTQPVRRPASVLGCQPQEYLAPFLAYHTVSPSHRRQILRHAKAAKDPDTELMRVFKSFDTDLDDRISASKIQKLRRCTTAEAKEMVATVDTDGDGFINIEEFGALLDDQKFDALHMAFEEYDENGDRMITAEELCIALRRVLPSEDLTVEKCAEMIDGVDKDGDGVISFDEFKAMTASKSS >cds.KYUSt_chr3.26330 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163866365:163868693:-1 gene:KYUSg_chr3.26330 transcript:KYUSt_chr3.26330 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQASSVAAGTSTPACAFATIRSHGVRVARSHAYDWLVLLLLVAVEVLLNVIEPFHRFVGASMMTDLSYPMKSNTVPVIAVIGPMIIFTLVYIRRRNVYDLHHAILGILFSVLITGVLTDAIKDAVGRPRPNFFWRCFPDGIAVYDNVTSGVLCHGDAGVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKITAFDRRGHIAKLCVVILPLLLAALVATSRVDDYWHHWQDVFTGGILGMVVASICYLQFFPAPSNENGCWPHAHFKYVTEMEDASRTQHATEMNNTNSTTMVVVEDQTRANGNRTLDAMESGR >cds.KYUSt_contig_815.53 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:334884:339377:1 gene:KYUSg_contig_815.53 transcript:KYUSt_contig_815.53 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPFPPWCRDNDIPEHGQKMDVLAVVSSPWKVGDLIDWQHTSCFWTGKITELLGDNKAMIACPEIPLGEGGCYEVYLDDLRPALDWSLEKGWSAPLSKENGECWYTARLVTENPDTGSSSSDEDIEQSDDGIKEVQKCWNGSFDTAKQEQHNCLNGSCVTAEKVKDPDVKHPASRNGRHCMKSQPHSEEEPQKCTNEEPDTSLVAIRPKAQLTPDESDECCTNIQAEYPTSPLANSGHSCEFLTNGQSGITSLKKLKTSTGCASVQQPPGTAGEALMDLEKVASKIRRAQDLLQSIDDTPSSRAPSWRLYR >cds.KYUSt_chr5.15408 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99551606:99556059:1 gene:KYUSg_chr5.15408 transcript:KYUSt_chr5.15408 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHHPAPLSPSPAVAAAKRRCSGMAAAVPALVLCSVLLPLAFLLGLHRTGKSPLSQLPCLPESSPRICADSELGLGKHKHLDGGMKHKLLKVTTAALAMSSIYASVLNWQGKQKMATLIALILFARFCFVSGSVWSSGVSGERSSRSKSRNLSAKSKAEIKGDFSKNRGPHTQRRYELKDLSRRSMGTTVDGKENQGQEVVQEENPKSCELEYGSYCLWSVEHKEEMKDAIVKRLKDQLFMARAHYPSIAKLKHQERYTRELKQNIQEHERMLSDTIADADLPPFFTKKLEKMEHIIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLSMRLTVEYFKSASLRRELLNEKTLEDPAFFHYVMFSRNVLAASTTINSTAMNSKDSGSVIFHLFTDTQNFYAMKHWFDRNSYLEAIVHVINIENHNKLSKNVESIESQQLWPTEEFRVTFSNHSQPFQRQMKTEYISVFGHSHFLLPDLLPNLNRVVVLDDDLVVQKDLSSLWNLNMGDKVIGAVQFCGVRLGQLRAYIEEPNFNTDSCVWFSGLNVIELEKWRDLGITSLHGQLLQKDISVSHRLKALPRGLLAFQDLIYPLKDSWVQSGLGYDYGISRIDIEKAATLHYNGVMKPWLDLGIHDYKSYWRKYMTNGERFMAECNIH >cds.KYUSt_chr3.41807 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263791060:263793075:1 gene:KYUSg_chr3.41807 transcript:KYUSt_chr3.41807 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMSGLVSSDRGAAFASSVPGLVLSASSVSDLMSCLRLVGARQEMPQRRRRWHGVELKIASRCTPATPGVVVAPHKCGGDGAALHAGGLGTDVVAMLHGCGVDGATLHAGSLGTDVVAVLHGCGVDGATLYAGSLVEVVVVAPRGCHGDGAAPHVVLHGCGVDGATLYAGSLVKVVVVAPRGCHGDGAAPHGGSHITDVVVVAPRGCHRDGAAPHGGSHITDVVVVSRGSGVDGAMLYAGSLVKVVVVAPRGCHGDGAAPHGGWRRAVRRQPPRRRWRHSVELKMASRCTTAAPARALSSRPIGVVEMAPRHTGSSLRLVEMRMSPGYTPAAPPP >cds.KYUSt_chr4.54564 pep primary_assembly:MPB_Lper_Kyuss_1697:4:337118411:337120658:1 gene:KYUSg_chr4.54564 transcript:KYUSt_chr4.54564 gene_biotype:protein_coding transcript_biotype:protein_coding MINSNWAARWATCLITIRGPPGLAGSRHCRWYTHEVYPQHLSLQLDVSSASEHEGMDMIMAEAPDLEKSVDVDAACLSPIGGTRVGSVCSKSPYLCEGPDAQEPSLPASSPTSGGMALRSLMRKLRIRAYLQRVEGNRPIASQDKMSSSAFARTSDAPFEKYDELLRSHYERMEKELRRQNRSVWKAILAGEAAALVVAFGGLCYIADNNRV >cds.KYUSt_chr1.40590 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248889561:248896976:1 gene:KYUSg_chr1.40590 transcript:KYUSt_chr1.40590 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQDNLGCCGLLECLCGLLQVFIDPPRYSQVGTTRSATSSGPPVAARERRYDYRVQGLSPSPAARNSPDLRSRHSQAAATASSSRDVSTSSSAPPAAVRGLRSDGRVGNPSPVARNSPDTRSRYPQAAATTRSSSRDVPTVARNSTAQQNGIEDDDDDDDDCVIVAEKGEVACRDFPHSRHLCSNMPFGTTSHSKHCTMCYCFVCDAPAPCSYWGKGLSVDDHCHATDKETKWKTLREAFKQRSNGSGISNGQSAQISHRLALTASALRAHSAAGTAREPTVGATRAYSAARFGRDTSNASTSQTNRSAEQTVSAPRVYPGARVGRDSSNAHSAPEGTTVYVGNLPGRIDNETLKLTFQHAGVVLFSKVIYDRETGQSRGFGYVTMNTVQEAETAVRMYNGSVDRPRLEELFSKHGKVVDARVIYERQGEDWCSRGFGFVTMATEEEMNSAIRALNKQVLEGHALKVTVAREMRPQQGRLDPTRSGTSTSNARAAGARSANENMYSLNTVTGLNEYPRHANKQRDHHEPASRIYPVTQEVFHQVVDASGADEVYMTTRTDHAKAFVLFRSRHGASRARVALHGRNIYDGCCLMDITEATARAVLHVILSHLLYPVIGEVLHQVFAGHGARDEVYVNKATYVEALFTFRSSHAATRARAALHGSNIYDGCCRIEIQYVSLPLSNTTETLPTHTAANPTPCPASALKAVAPNEHGVAVYASPVHHSVVLVAEATSQECPVMAEQLGSNQELHRISAASDDKEQVQVKDELPGALEVFDGTPEKIRSGAKLHPRVKWVSRVRIVRRPVRMALRSKFRNCVTMPSPPPVPPRQPDQPVHHLEPCSPPQTPTLHHPPGPLSVVGGDLCSSSSWESSPDVAEISSDEEDSPPVATELCFDDQEDLSPVARNLQQKGMAGTIDKDGDDCVILDYDLHSTTAMKDEKEGSVGDGGSDEELQIVAEKGEVACRDFPHPRHLCSNMPFGTTSHQKHCTMCYCFVCDAPAPCSYWGKALSVDDHCHATDKEIKWKTLRQALRQAFRWKTLHASHPEKETVVYPAMMSLGRLSLGN >cds.KYUSt_chr4.35946 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220994992:220998396:-1 gene:KYUSg_chr4.35946 transcript:KYUSt_chr4.35946 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTARCVSGDSGGDWELVLPERRRAARRPGGRREPRVSPGSKGNRFSHLAAAVAEAEGSAQELSDGESCELEDVEAPPLPLAPSNLGRFWPEQVVGPPLVLVSGSSRERSISPAPPPPLSSPSHFPPLPGLAPVGRGLSEVGREGSGSDLIRVGEHVLELPSTVGQPEAGGMPPPLGFAPPLDAGVLGSVGPAGPAHGRVAASPAQWRFGPAQGAVSPGSAGAPGSDPLDARHVAHAVDGRLGGARVPRWDGSAPPPPTFKWLWLPPQTLDPLLGFPAFASDVRRNLSAAKRLSSHLDPVSGARTPLLVAMERERNSHGKRPFDEFNKGYARSRDQDLRQRLDREQEEHRRQQRQRDREAERASSSSWRSEGERSRQESRAPPPPPPPPRGRDSGRNAGRRPLRQPHGTPGEVSAPSSGQVTGPGGAGAPSLDAAHITCYNCGKQGHVQAACVDEPFCVNCKKVGHLSAMCAAASKALAPFWAGFGGGRPGFCCLEVPEEELQKPVSNSATVILGGGQLSAEQVEDEFKDLVDENWDWQVRQIGPLDFAVVFSSKESLRIAIRGGGLTLPCTKLKAIVTLPQGDPLAAETLEEVWVRLLGVPPPFRHADRLLLSTREVGRPIGVDVASLAHPDAPVRMSFGCRKGDQLPDHITLFVNMQGYRIQVIREAQSVQDSPPHDPPKFPPGDGTEDKEEDFDETDEERWDGRRGRHAHKASRGSSSAPGARGEVPRKSVPLGSSPVSPSPCLQHNAKDLSPQIPASARSQYGSNLTPTGNIFPLVAQIIKAALPSTPAEGRQSPEAAISVDSLCEVLAEAPSSAGPVSPTPGKALPMSEAEREEVGWSSPSLGASDQEYLRNSEQRSKLNHDRPSRKLMLEAAAATSNSSPAPALALHQAPAINNANPTEGQLLLLDTPISALGAPVARAPRSKASPAEALRKSARSKGTSDGPVLERAIHATADKNNLDKCVIDTATPSSSTPAPGNSSSPADFVAFQDSSLEHLLKVAKDSCILFKSTEGSPAQAVALLQARERAQAELLAARRRIEEEEAKAKEEAARNCSHHAAPGGGAVAGSMGEPPAGAGDPSQMGTVEEPAAGSGKAKKPSVAKRRAARRPTPVGHRPVTRQARALSRVSQ >cds.KYUSt_chr2.52159 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325506816:325512189:1 gene:KYUSg_chr2.52159 transcript:KYUSt_chr2.52159 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEEAHLAAVAACGCDEEDEHEDLLEIVGEAPGDAMEAAVRALLVGLGEDERREGLRRTPKRVAKAFRDGTRGYKQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIDLFSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVADVFAKRFQNPQRLANEVCGALHASIQPAGVAVALQCWHIPLPENLKCKSSQGLIGTSHSSRSGVFEGESSSFWNDFVALLKLRGIDMEVDSHSAILPWCPLRPQEVPLCNGHGKKITTNGAISPKSGSTPSNMVSAVSAMLLSLGEDPLRKELLGSPQRYVQWLMRFRACNLDVKLNGFTLNIASVYERPDEDATDHRAISSELHLPFCAQCEHHLLPFYGVVHIGYYGSGDGEVIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHRGAIVVVEANHICMISRGIEKIRSSTATIAVLGDFLTDSSAKASFLQNLIDTAGQEV >cds.KYUSt_chr2.47027 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294108805:294110317:-1 gene:KYUSg_chr2.47027 transcript:KYUSt_chr2.47027 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGRRVVFFPFPYHGHSSPVLRLASALHTRGFAVTVFHTEFRAPDRADSPADYGWVSLPVEVPQEVVASEDIARLVTAMNAGCKEPFKDRLAALVAAEEGGGVRCVITDVIWYTAQAVARDLGVPALGIMTASAANFRLYMAFQTLIDKAYLPVQEAHKEDPVEELPPYRLKDFLRHDASSLAGFADLFRQIVDGARQSSGLIFNTLSTIEATNLDQIREDLSVPVFAVAVLHKLAPEANASSSLYGETRADRHCLGWLDTQEPGSVLYVSFGSLAAMDPHEFVELAWGLAASKRPFLWVVRPKLIRGFQSGELPNGLEEEVRGRGMIVSWAPQVEVLAHPAVGAFFTHSGWNSTVEAMSEGVPMICHPLDGDQYANARYVYHVWKVGVEVETAATGRLQREEIKAAIENMVDDKEIRERINGFKIAAEEAIKAQTDLTALVDLIKSFETSKRHIPSPRRSASLEALL >cds.KYUSt_chr5.35494 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224301845:224302447:-1 gene:KYUSg_chr5.35494 transcript:KYUSt_chr5.35494 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVLVDVAAAAGGGGFVLAQWLALLGAALLSACVAVFLCSSSSTQGGGGKAPVPAQEMADRSAAASSTTRQGCEEAPTPVTASRGAATASSASTSGGGDLERGRRGCCVCAGWPDCGPSGGPCFYSGFGSEGGDFSSCCCCDGCDCGGCGCDFCGGVDFSSCCCCCDCGGVDCSGVDCGVCVDCIGGCCGACMMLLESC >cds.KYUSt_chr7.39976 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248327219:248327776:1 gene:KYUSg_chr7.39976 transcript:KYUSt_chr7.39976 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVSISCHADLQALQARSDGLMFLKFVSLESLSIASDSYALLLRNLIERRYWQCPQIHLLSLVAGVAVELDKIKHDLFPLVEAKLGDDGFPEALLLLKNSALVLQRLAEDARQIVKKCVGLGKVQVVGALLDKVQVVGAVLEFNVDEVLNGTRKFAWFHSRVPPVLNEIDLVLSTPVYFPDSDE >cds.KYUSt_chr2.2030 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12223474:12230578:-1 gene:KYUSg_chr2.2030 transcript:KYUSt_chr2.2030 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASSASESNWLNVGTTIPVRNVQALASSAADELTADKIERYIQPDIDAHAVLAERSGDIPILDLGKLLNAETGEAEGAKLRFACEEWGFFQLVNHGVPDEIIAGVKRDTEKFFQLPLDVKNAYAQRPGDLQGYGQAFVQSNDQKLDWGDLLGLLTQPPQARDMSYWPSQPHTFRNSVEEYSSELMKVADSIVASIAKTLDYDPELMADKNVVQTFRMSYYPQCSSTPEKVLGFSPHSDGSFLTILLEVNSVQGLQIKWHGAWIPVKPRRDALLVNVGDLLEIMTNGKYKSIEHRVTVNAYKERLSISSFHVPKLDAIIMPIVSIMEEKVLYKKTNVEDSPEVGGVGGGGEGGGQGGRTEVVGGWVEEEAGRGGGGSPEEEEEEDDDDEEVVVVVVGCGGRRRRRRRRSPEEEDEEEAGGGGGRRVWEEEARRWSSGVGGGGGRVWKRKEEKEKGEEGRRRRSGGEKEEKREREKGAAKI >cds.KYUSt_chr4.6846 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40464509:40467481:-1 gene:KYUSg_chr4.6846 transcript:KYUSt_chr4.6846 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPPQNPEDDFLDQFFSLTNSLSAGGRPSGDQPFSLDLSLDAAADASGGRGGIGDDADKGDRDAVQLPGLFPPVFGGGLQPPHLRPTHPPQMFHAQQSKQGGSAGGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGTKQQIWEKWSTDGTEKQVAKLMDEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGQPVKPEPNATS >cds.KYUSt_chr1.43058 pep primary_assembly:MPB_Lper_Kyuss_1697:1:263270300:263272662:-1 gene:KYUSg_chr1.43058 transcript:KYUSt_chr1.43058 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGLEVKPGQSVKVSPEDDFFLHLSQGALGEVKKDDKATMFVKVDDQKLSIGTLSNDKFPQIQFDLVFEKEFELSHTSKSSSVFFSGYKVFQPAEGDEMDFDDEDESEDEEEQKIVPATKANGKTEAKDKEPKHVKIAGSAGAVKPKADVKAAVGKSKKDDESDDDESDDVSDDDSEGALIPIDDSDDSSEADDSSSDDEDESDDEEDEETPKKPESGKKRAAETVLKTPASDKKKAKIATPSGQKTGDKKGASVHVATPHPAKKLAGKTPATNEKSPKSGGSVACKSCSKTFNSENALQAHSNAKHKPAAK >cds.KYUSt_chr6.11347 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70329115:70332225:1 gene:KYUSg_chr6.11347 transcript:KYUSt_chr6.11347 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIIVDGVVTEEVPAEASSSQNKENLPAPRSPVAAAMVGSMQSETLEMHVENSGAGEPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMEAVKVIEEEEKEKEDGSVTNAATTAGNGTPVKAQSSSSSKKSKKAAKSQLESDASVGPNGKASPEEGESEVSKPGSRVGRRRKASANPQNGTEDAGLDNPDLGPFLLKHARDLIASDNPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIHCNMGKYEEAVSVLQRSLEIPVTEEGQQHALAKFSGCMQLGDTYGMLGQTALSLQWYAKGLDVQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQKLCQMALDIHRDNGQPASLEETADRRLMGLICDTKGDHEAALEHLLMASMSMVSNGQETEVASVDCSIGDIYLSLGRYDEAVCAYQKALTVFKTSKGENHATVASVFLRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYETMNEHDQALKLLQKALKMYNNSAGQQSTIAGIEAQIGVLHYISGNYGEAYDSFKSAITKLRTCGEKKSAFFGIALNQMGLACVQRYSINEAAELFEEARTVLEQEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEYVVGMREEKLGTANPDVDDEKRRLAELLKEAGRGRSRKAKSLENLLETNPYTAMKRTSVGA >cds.KYUSt_chr2.50912 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318472231:318472905:1 gene:KYUSg_chr2.50912 transcript:KYUSt_chr2.50912 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKDCGGHKGGVSACQCHREKLYRKLCGAALAFVLLALFVILIVFLVLRPHKPQFYLQDLAVLCLNVTPPASAYLFTTMQATVAARNPNARVGVYYDSADVYAQYKGVPITVPTRLPVAYHGHRDQSVWSPYLRSMDNVELSPELAVSLAQDETAGYVLIDIRVEGLVRWKVGTSWISGHYHLEVNCPALIRVNEGKGSYGATTGGGTEYFRFQQAAACNVDV >cds.KYUSt_chr3.33420 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209834080:209838280:-1 gene:KYUSg_chr3.33420 transcript:KYUSt_chr3.33420 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLRSAVTKARRSISVSQSSCDMVVGFSFSATVRVFGGAFAVFAGALLFGGMARRRGFFMLEVGWEIERLAGEMSGGGTACRGCFALSDGAIFPTRDGFGSLSRRSFDVTIASLYGLTGHHRGKGQSSLDDLHEPAPILQESNWASLPPELLRDVDMEGDVQRDCVEPRILWEAYLQSISKAVVTAESGKFLLSARRNRKASCTEYAISMDGANTSRSNRTYIGKLRSNFLGTKFLIYDTQPPYNGAVIPPVGRSSRRFNSTKVSPKVPPVSYNIAQVTYELNVLGTRGPRRMRCIMHSVPASSVEPGGTVPGQPEKIVPRALGDSFRSITSFSQSFRSSATFSNSSSIMDQSMDFNSARFSEMSGGGATDGEGEAKDRPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLIAASSPPPAGAPTPSQPGPVDPDKVILQFGKVARDMFTMDYRYPISAFQAFAICLSSFDTKLACE >cds.KYUSt_chr5.43676 pep primary_assembly:MPB_Lper_Kyuss_1697:5:275001891:275006224:1 gene:KYUSg_chr5.43676 transcript:KYUSt_chr5.43676 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAASSPAPAAAPLPPFSDDDATSDGSTESEVSVWDSQDLRSRAECSEAELLAAMSVDASLLPALNDLLLEVYAALRPKPIHYQQRNALVHDFSKMTTKIFGNNNGFPVVQAFGSFTMDLFTPKSDLDLSVNFSADTEDQCPRNKKIKVIRKFSKVLYSLQRDGIYCGVLPVVSAKVPILNVIDRGTGVECDISVENKDGMTRSMIFKFVSSLDERFQILSYLVKIWAKIHDVNSPREQTMSSMSIISLVAFHLQVEDFLDRSQNFARAVGKAQMQKVCRCLTECASNLTYFMRGKINARKLKTCLFGRLNPDDLVSKPRLRDSKRKQNPKRNLESRRGMQKRAKRAAVQQEDQADAAPAAVVTPPVVQQQRPTQTPTPTRCCSSVGMPSWPLVTVPSGFGYGLSVRLPGPGLLGRAPGYLAGSDGGVEPPRQGPLLPMACFARMGRQRSSITAEQLQSGEVKKKDTGS >cds.KYUSt_chr2.37353 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231305560:231306147:1 gene:KYUSg_chr2.37353 transcript:KYUSt_chr2.37353 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRTLLHTPFPGQPSGPSQPVAGTTAPGSIFDANVVMILAVLLCALICALGINSIARCALRCSSRVVVGAEQNHVSRLAKGGLRRKALRAMPILVYSSGLKLNTACPMCAICLSDFEAGEHVKVLPKCNHGFHVRCIDRWLLARSSCPTCRQSLFGEPQKTSGCSELSQDDPAGVHAALVPLRPEGPITTYDF >cds.KYUSt_chr1.22851 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135342272:135343919:-1 gene:KYUSg_chr1.22851 transcript:KYUSt_chr1.22851 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEEEEQMFVELMRKEMEAAVQDEEHMMIPGFLSSMYAGVATGRRGGSAPGRRKCKPRQRMEGYCMLYADYFADNPLLVVLVAAPPSARAAWVEDYPSGVPCGVTIPVEQCDPGDAAANSACMDVCHYGGCRRGGRCVSLGFARGRGCHCKC >cds.KYUSt_scaffold_869.820 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:5082722:5090934:1 gene:KYUSg_scaffold_869.820 transcript:KYUSt_scaffold_869.820 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGRTEMEVGADGVAVITICNPPVNSLSIDVLVSLKESYEEALQRKDVKAIVVTGKGGKFSGGFDISSFGNLHSGQIEQPKVGYISIDIITETLEGATKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGIIPGFGGTQRLPRLVGLTKSLEMMLLSKPIKGEEAHQLGLVDSLVSPNDLVNAARRWALDICELKRPWIKSLYKTDKLEPLGEAREILNFARAQARKQAANLEHPLVCIDVIEEGIVSGPRAGLWKEANAFQSLLFSDTCKSLLHVFFSQRATSKVPGATDLGLMPRKITKVAILGGGLMGSGIATAMILSNYPVLLKEVNEKFLNAGIDRIKANLQSRVRKGKMTEERYEKALSLVTGTLGYEKFKEVDLVIEAVIENVKLKQQIFADLEKYCPSHCVLATNTSTIDLNLIGEKTKSQDRIVGAHFFSPAHVMPLLEIVRTQHTSAQVVVDLLDVGKRIKKTPIVVGNCTGFAVNRMFFPYTQSALLFVDYGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAVATGMQYLENFPERVYKSMLIPLMMEDKRAGEATRKGFYKYEDKRKATPDPEIMTYIQKSRSMAGVTPDPELMKLTDKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGVMLWGDSIGAKYIHDKLQEWAKRVHHQIKSRLGYKWYT >cds.KYUSt_chr1.3006 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18071143:18071418:-1 gene:KYUSg_chr1.3006 transcript:KYUSt_chr1.3006 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPDLSALSALTLQVDLLQLPPEIPAPGASRIASSPTGSRSPTPSAAGSNEACRLRPIIGSLFAALHLLLFLRFAPLERISRIERRGGG >cds.KYUSt_chr6.11017 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68362172:68362607:-1 gene:KYUSg_chr6.11017 transcript:KYUSt_chr6.11017 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPASEESLGQRWRRPRRFRLCARKHRFSVRRLQAKLLRSATPKAARRQRRKRRRGRGPSVMRTNSFYAQAIADCPEFIKQNSIPLQDYGSPVLVIGAIRK >cds.KYUSt_chr1.38840 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237410230:237414313:1 gene:KYUSg_chr1.38840 transcript:KYUSt_chr1.38840 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMVGVAVCVGSFLFELRSEEWMKLRVDELKQDVCMLFEACNHDVIGIITLVDDVQLLGIDHLFQDQIDVALRYIHEREFNSSSLYEVALRFRLLREHGLWVSPDMFGKFMNGRGSFSEEITNEAKGLLGLYNAAFLSVHGEPELEEAMSFARYHLESMRGELNSPLKEQVKRSLDIPLPRTYRRLETLHYFSEYEQEEGQNSILLELAKLEFTLLQYVHSKELKSLSRWWQDAYRYIGLGYARDRLVEAYTWSYILYYEKDFEMARMMVTKITALLTVMNDTYDVHATVEESRQLNTAIQRWDYNGESLLPEYLKMFYNLLLTTFKEFEDQLGLEERNQVVHVKKEFQRLSSYYLQEAEWRHQNYKLSFENQLNVTCKSIGVPLLCVASVVGMGDALMKGTMEWVLDGSIVISCGKIIRLLNDMGATDV >cds.KYUSt_chr6.10739 pep primary_assembly:MPB_Lper_Kyuss_1697:6:66527039:66527242:-1 gene:KYUSg_chr6.10739 transcript:KYUSt_chr6.10739 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAAVVVVAVSALLFAAASAQEMDAGMPPAPAPATGAAAGAAASALAVACSAVLSFLVAGGLMQ >cds.KYUSt_chr3.26460 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164801636:164802385:-1 gene:KYUSg_chr3.26460 transcript:KYUSt_chr3.26460 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRSGIAVVAAAFLAIAAVSHAQAPAPAVTPSGPQNVTAILEKGGQYTTFIRLLKTTQQDTQLNSQLNNSFNGNGYTMFAPTDNAFNNLKSGTLNSLTQQQQVALVQGHILPQFYTMESFQTASNPIRTQASGADGPFTLNITTTASNSQMNVSTGVVVVSVNNALSVAKPLAVYTVDKVLLPQELFGAKAPAAAPTAAKGDKAKKGDAAAGPAGSDDDVTPAGNAAGARTVGWGVAAIAALVGYLL >cds.KYUSt_contig_686-1.1103 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6830518:6841463:1 gene:KYUSg_contig_686-1.1103 transcript:KYUSt_contig_686-1.1103 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSGGHGSRAVGGRIHRLEVENFKSYKGAQTIGPFYDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEERGRRASVRLVYNLPGTGAELHFTRAITGAGGSEYRIDGRVVTWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQIAASDELKREYEDLETQKNTAEEKSALVYQEKRTIVMERKQKKTQKEEAEKHLRLQQDLKLLKTEHLLWQLYTIEKDMEKIEAELEEERGSLQQAREESQSAENELAAKKKEQSAFLKKITMCEKNMAKKKLDIDKKQPELLKLKEQISRLKSKIKSCNKEIDKKKDDNKKHLEEMKRLQSALADVTSAIEDLNEQGQDKGVKLQLADHQVQEYHRIKEDAGMRTAKLRDEKEVLDKELNADIEAKKNLEENMQQLHSRVDEISSQESELQTKLNKILQSIPKHEEELTHLREEHNKIAKERQSSGSKYQTLKQRVDEIDTQLRELKADKNESERDAKFSETAKSLKRLFPGVHGRMTELCRPSQKKYNLAVTVAMGKFMDAVVVEDESTGKECIKKNLKDKLNKLASEKRNIEKEIDHLEPGKEELEIRLTKNERELKKREKKINEIVDKIYKDFSTSVGVKNIREYEEKQLKDAQALQERKLSLSNQLSKLKYQLQYEQKKDMQAPIAKLKDTIDSLEKELKALQERESSAKAVTEQISNQMEELKAEAEDWKLKSDECETAIDELKKQNDSLAAALAKLDRQLKLKEGQLVQLRSRQKEIHEKCDLEQLKLPTVNDPMDTGSSSQELVLDYSQLSETYLQDMRLSERDKLEADFNKKIGTLVAEIERTAPNLKALDQYEVLQTKEKEVTEKFEAARKEEREVADKYNAVKQRRYELFMEAFDHISKGIDKIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCARTGEEQDGDGGCGFQSIVISLKDSFYDKAEALVGVYRDSERRFAVSYSDLSESKLIQNKQMYKFWVLDKGKMKAYGKTIENMALHWTDENELVGRQDEGNDLGQYFKNSSVTAVLGNHISSVLDLHSDDLQAKKSAVIGTMEGQYPKDAVDLEMALMLIVNDDFRRLRRGSQFRRVTINGIEPMAMLESCGIISAPKQYRQAIPAAVSDRFLFLL >cds.KYUSt_chr2.17778 pep primary_assembly:MPB_Lper_Kyuss_1697:2:112031515:112035669:1 gene:KYUSg_chr2.17778 transcript:KYUSt_chr2.17778 gene_biotype:protein_coding transcript_biotype:protein_coding MGWALTTSECFQFRYAITEAMATSLLTLALLSLHLLFSPASTAETISANSSIADGQTLVSAGGVFELGFFTPPISTSRFLGIWYRDIAPLTIVWVANRQVPITGTAASLAINGTGSLVLTDRSGRVFWSSAPSNVTGSNPVAQLLDSGNFLLQDANGTGAVLWQSFDYPSDTLLPGMKFGWDLTTGLDRHLTTWASPSDPSPGDYTFEIDVRGVPEGFIWYNGTAPVYRSGPWNGLRFSGEPQMEPDNGNFRFEFVANRTDAYYTFLLDGGGNDKVISRFVLNQSSLQRYVWLQQQQAWSLYWSLPRDQCDRYAQCGAYGVCDATASPMCSCAAGFTPASPREWALRDGSAGCARTTRLNCTGDGFLPLRGVKLPDATNATADASVSLDQCRQRCLANCSCLAYSASSIKGGESGCIVWTSPLMDIRQFQSGGQNLFIRLAASDLLQARNMEDESSQSNGLMDVTLFDMATISLSTANFATSSKLGEGGFGAVYKGELGGGQMVAVKRLSKYSTQGLDEFKNEVMLIAKLQHVNLVRLLGCCIHGEERILVYEYMENKSLDMFIFDKARSAQLNWSKRFDIIMGVARGLLYLHQDSRFKVIHRDLKAGNILLDGDMNPKISDFGVARIFGGDGADSHTRRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLVLEIVSGRKNRGMYGSGEQTSLLSHAWKLWREGNVLALLDELVTCECRDSEAALRCVQVGLLCVQERSEERPHMATVFLMLSNQRAVMPQPRHPGYCSDRGSASTDGEYSSTCTVNDITVTIVEGR >cds.KYUSt_contig_1781.98 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:581675:582190:-1 gene:KYUSg_contig_1781.98 transcript:KYUSt_contig_1781.98 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRPDHLRGADDVAPWVTGSQIARDLMARRHEPFNAPRQDDEDGNPFALLRELLDRMRAAEAQAGDASGAVAVNGATREAAYVVDDAYRNGGFGAVPASGDAIAGLQETSAGEARERACAVCMQDFEEGGDRLRRLPCSHSFHERCIFDWLRVSRVCPFCRHRLPMERE >cds.KYUSt_chr1.24727 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148067515:148069995:1 gene:KYUSg_chr1.24727 transcript:KYUSt_chr1.24727 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRPSVAKEAMEPIDEEVASPSREAQPSRHHQLKCSDAKSGEMYLDRIPNFHCKSLPSRRLEANSEDSFMHSRGSMYQSSSDVSRLRKLQEGRRKLDSAYGRDAVMSFGTSDSSTQPSTSGACLFPERSRSYKPRSSINRSCESSQDAREFLDISSREVPYQNSRAGRPRKDCNLLTADVRDDFLQLPVEEDTAKRSCGNTAPHLLEGSCSEGTRSSCQYPLGVHSDAINHRKGDLVSNLPKSLSAKACVSDDACPSDSGDGVDGKKKARSNPFRKIMDPFMKSKSMRNPSRMEMVDEKCGNPPVRGKDSALRKPLLSGNARTEHSSAPTCQTSGEARPMTVTSSPTHLHAVLKLDPDEGAFGFEFSTKGLEESIYANTWKSGNELNWIYTFHSAGKRSSAVGRTSKDRRGCPPIVGQMHVSSYLYSEVEEDGILNNSATSEFVLYDIAHARRSSAVDITQSKDATQPPFCNVVRNSISRESLERNSMLERKSTARSNSDALASCLWSQEDLHPHLEVAAVVIQVPFHKTGSKEVKAGSAPGTVKVVTTGGAHGLPRDDDTSPSPLLNRLKSGGQCDCGGWDMSCPIVVLDNAYDSYWVDSVMNESKHPMELFVKGNQEVLPALSMKVDGKGDLSVDFHARLSALQAFSVCISLLHCSEASSAIGIEKFKHKLYSSSLKILLKEEVKQLIETVTGKEKKKVKRRKGKTPSIVNGPPFSPMGRV >cds.KYUSt_chr5.37060 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234506662:234508369:-1 gene:KYUSg_chr5.37060 transcript:KYUSt_chr5.37060 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFELALGARPCAVGAAFGYGYVAEGLGSRQLMAKVGPQEASALAGRCGAPAGVSSARRQAEEERGERSKTLEFSDLENRRYRTIALHSQWRMEELEDGTYRLSEIEMVGL >cds.KYUSt_chr3.12260 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73188495:73193282:-1 gene:KYUSg_chr3.12260 transcript:KYUSt_chr3.12260 gene_biotype:protein_coding transcript_biotype:protein_coding MNLATGQRKKMWAVSSKSEQRAHRPDDGPLLRWMLSFEGRRFRRSCHIKMRIFMGTAIFQMSLKCLRGVPWQSFLYKEENLPEGSKAREIMSCPSNSCVPAISVIRSARSLRSRVPKPRRNAGFPPIARKHLDTMICGSAAMEKSAGNREHKGPDPCHQSLQKRVEDPLHTWCLAPKRNTDLIFWIAFQNWEPAWRKNLSPPQTEKREAKTLAPQTVPHPTFQLTPSPLPAFAAAQLAPPDPMALSPASFRFSAPMDPLVAGGTTRRSSRPDWVLLHNSARIFGHRNATTAECHTTEGQPIQVSFWLVDPPGVSYFSVHCPGLDAKDFAIEPYVICADAALVLFSVDFTPLPGRTFRDPGRRCTERFVYRAGPGKPSLHLIPDPDIGRPNGVYALLPCGDAGSEHYAIVFLHRRSNHRDMARYYDLHLFSSLTWAWSSKVNLPGLSEDDQKLLAKASHATCKVIKVGASSLGFVDLSWGILLVRDVFSECPVINYIPLPASRVCNMDNKGYPYIAPEYCCDVSGCNNLIKFVEVEFNDRDRRSLGNQGWKATIWNRDIYGDDWHVRSSLNVANISVDPSYSDLLPELWNDKTKELELKKLVFYTPTLSKEDDDFLYVLCKLNCEDVKAWVINVDMKHMAVEAIAPCSIEGHTLGAWHYPCAFPKYLELIPEDDVTQSFKRISTADCVLQVMLTQDWFMELDKCLESEGATYDECRSFLHSRPVSSLLLDIQEVVKDASDYGESETTSKAADVCSRALEDFDVQLKSSSDPLLCTEALRSRISVALGALDSFLRTVPATVRVLADACHEERWRAKSELCHKPEVARALDFGLHI >cds.KYUSt_chr6.12150 pep primary_assembly:MPB_Lper_Kyuss_1697:6:75675180:75679420:-1 gene:KYUSg_chr6.12150 transcript:KYUSt_chr6.12150 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGASRLLTACACSRPPPASVDAEPCLDDALGHSFCYANSAANPAAAAYSSSSFRHGISGAALSANSSVPVPLYLSDAAGGPMPPPPNYSSAFHTSSSFSSAPLQLSNLSSGPLFLSGPIDRGAQLSGPLDAATVPHSGPLPHKPSTTKRTSSSSRRFRKPSLFGGSLRRTTSEKHHHRTLTTAPPLRPNPDDPDDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVASLYRFLLRELRGIFYHDAARDHHSRRLWQFLAEDDAADDDSELDFSGSGRFALSLAKLKERRFNMWAHAAAVGDDEIGRDWAVTRKLAPAPAVRDHGAVLAALTRALAAAEAAYLDMTDQSMASHPELAVTGACLLVALVRDDDVYVMNLGDSRAIVAQRADDDHACGMRMDDIGVGLEIEARLDALQLSVDHSTSIQEEVQRIKLEHPDDDHCIVNDRVKGRLKVTRAFGAGYLKQAKLNNGLLEMFRNEYIGDAPYISCIPSLCHHKLTAKDQFLVLSSDGLYQYLSNEEVVLHVENFMDRFPEGDPAQSLIEELLSRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVVSLEGRIWKSSGMMEIGNCAKHIDRDTTRI >cds.KYUSt_chr5.16831 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108366977:108368497:1 gene:KYUSg_chr5.16831 transcript:KYUSt_chr5.16831 gene_biotype:protein_coding transcript_biotype:protein_coding MELYAMKKRTSLLVLEVLLVPALLLLLLLPPGAKCHGSQSGGGGGGANLTVTGTVFCDACSSSSFSNHSYFLPGVKVRIDCMLRANSTSKEEIKITAEKSTNSHGAYRLDIPAIDGLGCTTGGEVISFCRAAVLDNPSALCDVPAVSATSSHISFSTQDPNNACIYNLNSLYYRPGKKDASGQCDGAGTSMAPAALNTSLFYCPHWPWPPIPFCTPRPWLPPIPFFTPPPPAFPFPLPPIPFFTPPSPPPPAFLSLCRPGHGHHQRRNLHRPSRSLICHQFSQHRLRHRRRHLYSRSLCRRFHTYLLFRIFRHCRLCIHHRLPPPPPPPPPPSFPWPFPPLPFFPLQLQPLRSHLLHLQCIIAKIQAPGPLPKPNLNYA >cds.KYUSt_chr7.8900 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54111634:54112944:1 gene:KYUSg_chr7.8900 transcript:KYUSt_chr7.8900 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVTKSSPVVVVGASKPVTATSNIIDLTSFDKCFAPSPTTLIFVFEKPIDDPVETIKKALSQALVHYPAMAGRLAGADEEEPTHIVCTGEGVPFVAAAASCALDDAGPLHLLDLAVRYPAEYCRLSDPLLLMQVTRFTCGGYVVGLTNNHAMADAAGLAQFMQAVGELARGMPRPSIVPVRSEADSSLPRLPHALVAEVRSHLRVEKEEVLPFLDVAIPMSLVSRIKAKCGTGKCTVYDAIAAVLWRCRTRAVIPDEDNDPNAPMLLVMPMNARKLVGAKEGYYGNCIIFQLALATRDAVARGDIEDLVKIIRLAKEKMPDILGNSGDGSRDEQQQAQQRAPERYNTLTISSVRNLGYEALDFGGGVPSRMMWKTAEQPVGLVCVVCSPCKPKDMINVMSLCVKPEHAEAFLHELAALNIEASHDLRLSPKARL >cds.KYUSt_chr7.23489 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146289850:146290185:-1 gene:KYUSg_chr7.23489 transcript:KYUSt_chr7.23489 gene_biotype:protein_coding transcript_biotype:protein_coding MRALEAAFFGVPKRLTIRQAAIHGEGSHSELRCGGDLSFFFLQADVPSRRIYFDLGKGSTAGLAPSGRFPGGGAGSRAYRSCSSCGGEDKGFDCVFNLSFRVSSVKVLTLS >cds.KYUSt_chr7.20055 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124256224:124256574:-1 gene:KYUSg_chr7.20055 transcript:KYUSt_chr7.20055 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGVGLPTARGSGTSGRVQSNNFLLRPRPSPSPSSSAASVPGGARGFREEMAEHERKRALESRLLELREALEEQGYTEAETEARVAEARKAAEEEEAKQSAVKEERGCWPKGKPL >cds.KYUSt_chr3.10625 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63063771:63065451:-1 gene:KYUSg_chr3.10625 transcript:KYUSt_chr3.10625 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPGNVTRHDHTIDIPRNDLTSPSTSHPHNHTDLDELNRNRGPPNEVPPVPEISGTTGFPDFRSASFTRRDQGNRQQNPLNSGLWISIELIVNLGQIIAAVTVLSVSKNEHPRAPLFEWVVGYIIGCVVTIPHLYWRYLHRNCQNIEQEPAAQGSSQRNLSESDSFAAISAVHAAEAVNEDNSTGVSRNNFPIASPRVYALVACLKLALDCFFAVWFVVGNVWIFGGRSNVHDAPNLYRLCIVFLAFGFIGYALPFILCTMICCCLPCIISMVGFHEDLDLNKGATTEVINALVAYKYKSMRIRDGDVGEDNGGVLAAGTEKERTVSAEDAVCCICLSRFSNNEDLRELPCGHVFHMECIDKWLKINALCPLCKSELGGSKDSPDTSSEGHPHVNRVGDDVESQR >cds.KYUSt_contig_257.353 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2733165:2734616:-1 gene:KYUSg_contig_257.353 transcript:KYUSt_contig_257.353 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALLAEIVFRITRTNDLNSLSLVSKQLYIIDASQRSAIRIGCGSFSAGEALASLCSRFTNLSKVEIDYAGWKCGHGNQLDNKDLLVISSQCPSLTELTLSFCSDINDSGLHYLAYCKKLVYLRLNSVPEITSSGLLFVAIGCKSLSGLLLINCEKIGSVEWLEYLGWNGSLEELVVKNCEGISQCDILKFGPGWMKLRKFDFEIKGGFYGGYCFSEGGYDPLYNAHNPSRYDFCSESLKDLRLARFTTGAEVGLRFLLGKCRALESLCLEYVHGLNDNDIIVLSEGCRNLKSISLRLKPVDYDDLDGDGFRTAFTDKSLKALALNCPMLEAVELTFAGCDYTYPTEIGFTQKGLVVLIQSCPIRVLVLNGANFFNDKGMKALSSAPFLETLELVDCREVTDAGLCFIARTPRLINLTLRHCKCVTDVGVAELVHSQKLESLIIERCCRVSEQAVLGAGRSVQYSVEAPSLGELKRVFI >cds.KYUSt_chr7.32371 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201655755:201664312:-1 gene:KYUSg_chr7.32371 transcript:KYUSt_chr7.32371 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPLLPPPAAASSPAASALLRARRRRRAWRRPRGLLCWAALVAFFFLMNWWMFSRLQDPAARPRFRLRRHPPSPANSSLSTLEEVRAADKGKRPHKVMLTRLLALAAHALAEAESRPEPKDLWKEPINATLWRPCSDQRDSEVSGRISLSGVNGYIIISANGGINQQRVAICNAVTVSRLLNATLVIPKFLYSNVWLDKSQFGDIYQEGYFIKYLKSDIRIVKELPLELQSLDLEAIGSLVNDTDVMKEAKPSIYVKKILPILLKNRVVHFVGFGNRLSFDPIPFELQRLRCRCNFHALRFVHKIQETGALLVKRLHGHMPHLSPLEDNLLGHFARKSIPTGNRNESARYLAVHLRFEIDMVAYSLCYFGGGKDEEEELETYRQIHFPALTELKKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKRSTSIYVAGAEIYGGRHRLAAISRLYPALVTKETLLSPSELEPFRNFSSQITLSLRSRRDDNSHVETALKPGLEIGANRFHRHPYYYTPRRPAPAPMEVASLYRRVLPSPPAVEFASAEGKRLFAEAMQGGTMEGFFNLISYFQTQSEPAFCGLASLSVVLNALAIDPGRTWKGPWRWFDESMLDCCEPLAKVKADGITFGKVACLAHCAGARVQSFRADQTTIHDFRAHLRRCASSQDCHLISSYHRRPFQQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLPLLWEAMNTTDEATGLLRGFMLVSRHTSSPSLLYTVSCGDESWKSMAKYCVEDVPNLLKDESLNDVATLLSHLVESLPANAGDLIKWVIEVRRKEEGESSLSKEEKERLALKEKVLQQVRDTKLFNVVHELQYPKGPCSSCSSSSDEDSLSQIAANVCCQGAAFLSGNLVSRYGFCCRETCIKCVEANGDGLKTVISGTVVSDGSEQGVDLLLPTSPSKTSLCNSNLKNKVVKYPSGADVLTVLLLVLHPNTWFGIKDEGVKAEFQNLVSTDNLPDLLKREVR >cds.KYUSt_chr7.11392 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69963783:69974592:-1 gene:KYUSg_chr7.11392 transcript:KYUSt_chr7.11392 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGVGSGGHLAPLLDGHGSGSGLDAALLRRLYVGHFLARWGARMWEFSVGLYMIRIWPDSLLFAAVYGVVEASSVAVFGPMVGTLVDRLTYLQVLGLWLLVQSSSFIVAGISVTALLVYDDLKVTSFPVFVALVILTNASGALAALSTLAGTILIEREWVVVICSGHPAAVLTNTNSVIRRIDLSCKLLAPVLSGFVISFVSTEASAVALALWNVASVGLEYWLFVSVYNGVPALGENVQLRRESSAVAALPSSEIVATSDEEVQRYGQDAADWRVGVTKHLSILPCWESWVVYMRQEVMLPGVALAILYFTVLSFGTLMTATLDWKGIPAYVISLARGFSAIVGIAATLLYPVVHSRVSTLRTGLWSIWMQWCCLLLCVGSIWVSDGVVSAWVLMAGVAASRLGLWMFDLAVMQLMQDNVRDSDRCVVGGVQNSLQSIFDLLTYIMGIIISDPRDFSELIVLSFFLVTCAALMYTLHVYRVRKHLFHMDKIIAKLGWTSDSKIHKTPNKMAITGGIRKRITISRTKLNIKLHRSINRALIPKSSTSKKIQNVLFLRDRKVIISGGNLNAKKIAKRTKISHKKLIPKTSLDEGNILRIITSNDHIINIEKKKSTSTRRSVNEKCWIMKTRRETSSSHHRGEALKPGTRGLFETIERTSKTTNHPIGNRIPRRRLHVNLLTQLAIKKSILNIKSGDETSGEQKQQQEGAFALCASAAPFAVRAPPPGELPLLLRRTLLNFTACRTSAALMSQLRHWRFLEFFLTSPSMDLLADRRLTIPLANLFFCNSAASDSGVAQIYMSSEESLPTSSSSSQGSSTSDGLTEDLARMEMESSKDQEVGTSSRAPGKDLSGITRGAWRGSDVTQYEIDWLYRSRRIPEGVFCWLPRDEIEPALEPGEVVVFLAHFERGFGLPASDFFRQFLDFYRLQPHHLPGNAVFYLSCFVAFMEGYIGIRPARETFARFFSLRINSVQGKDIPKPKPPVQCGSCIIGSRQGSPFFKFNGLESCRLWQTTFFYVKNKSATDLINLPVFNPAPPAKINWNYNPGTDHIETNRVVRFMLKLMNETNICSDDIIRTFISRWVLPLKRRVHKMSEMYGPGDPTKITGLPLSKADVVLKASKICQTDMPVDWEWGLCPLSSTNPPSQEAKDRFPRIDSDRRGPCQKRALDKFDPDPFIH >cds.KYUSt_chr3.2495 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14263399:14264176:1 gene:KYUSg_chr3.2495 transcript:KYUSt_chr3.2495 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSSCFLLYAVIVAVISWQAIASDPSPLQDFCVADNSSRVNGFVCKDPKVVTAEDFFLAAKLDMPRDTKMSKVGSNVTLINVMKLPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNTDNKLFSKVLNKGDVFVFPQGLIHFQFNPNPYKPAVAIAGLSSQNPGAITIANAVFGSKPMISNDVLAKAFQVEKKTVDWLQAQFWADNHN >cds.KYUSt_chr5.28715 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181879074:181884035:1 gene:KYUSg_chr5.28715 transcript:KYUSt_chr5.28715 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSGALGQDEAVVLQFVTHLQGPAELSFPRLATGRRRPDPWMEVTKETCDKANGMAIRSGQFRLKQCYCHWARWLRGGRIGWPLTSGLRHSVVATAMSLNWAWTGCSCMRNVTAWTCGAPPRSLTWRLSGKLAAIQYGIG >cds.KYUSt_chr1.31479 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190862390:190863876:1 gene:KYUSg_chr1.31479 transcript:KYUSt_chr1.31479 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGIPIGPGLTAGDLGPIAPGEEEEVTVERTPEEEEARLRYLEFVQQAVVLAAAAYAYAKQDAGPLRPGVDHVEGTVKAVVGPVYDRYHAVPLDLLKFLDRKVASADGVDSGDRLLQYMPALGVIGGWYAILAMTVAVVPCDSIKV >cds.KYUSt_chr1.33934 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206267107:206269014:-1 gene:KYUSg_chr1.33934 transcript:KYUSt_chr1.33934 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQMEPPAPPPRRSASTSCDLHPDEAFTGFCAACLRERLAGLEASDVAPAAPGRRSTSAIRSLFSRPFAAPSVSGAAVPLPDLRRCKSFSCGRAGDAPTDEPQRWSCDARGRSTLWALFHRDDRERVRDGTAFAAFPASSSAAAAALPFEVQQMPPPPPCVPEVFLEEEIVMAEIAPVVEPIVAADLETEAYATGEVRPMKDHIDLETSQPKKPPPPPMDLKEIAGSFWVAASVFSKKWQKWRRKQKLKKEEAAAGSKAAAAAMPPSGKPSKPSFLQRSRTRGGACSEFAGGRRSCDTDPRFSLDAARMSVDDAGVSWDGPRASWDGYLFGAGAGIGLGRAPPAITRLPPILSALEGSPTGILARSDGQIPVEDDSQPEPDGDANTPGGSAQTRDYYMDTSSRRRRSLDHSSSARRRSFEVPDPKPVPAAAAIANARESPVIVGSAEFYHFQHAEDLLDHRFSTSSLVDDFPRASLDAAKKPRRKAWSLWDFIHRRATGRRSGASASDVAFSEPWPELRGRGGCSANARTTMQRCGSNASARSSFSSTSGGMGSSRRCFVDGGVKSRHEDRCVLERNRSARYSPAQQADNGMLRLYLTPLRSASGRRAGGLPANAGGRHLRSQSFARTMLRLY >cds.KYUSt_chr4.4158 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23854079:23860533:1 gene:KYUSg_chr4.4158 transcript:KYUSt_chr4.4158 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTLPSLALANPSGSGAPALRLRAAFRCWALGRRWAGAAAAIASPNSVLSEHAFKRLGLGGSDDDEDEDGFGSDSEGGAGEAALQGDADELAISRLGLPDQLVATLEKRGITHLFPIQRAVLVPALEGRDLIARAKTGTGKTLAFGIPMIKQIIEQDEAGRSLGRGRTPRALVLAPTRELAKQVEKEIMESAPKLSTVCVYGGVSYNTQQNALSRGVDVVVGTPGRLIDLINGGSLKLGEVRYLVLDEADQMLAVGFEEDVETILQQVPADRQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDSDEKLAEGIKLYAIPLTTTSKRTILSDLITVYAKGGKTIVFTRTKRDADEVSLALTSSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGNAILMFTTNQRRTVKSLERDVGCRFEFIGPPTMEEVLDSSAEHVIATLRGVHPESIQYFVPAAERLREELGPNALASALAHLSGFSQPPSSRSLISHEQGSVTLQLIRDPEYARGFFSPRSVTGFLSDVSPAAADEVGKIYLIADERVQGAVFDLPEEIAKDLLSMELPTGNTLSKVTKLPALQDDGPATDSYGRFSNSDRGSRNRRGSRGGFGGAPRGRGGWDSDGEGFRRGGRTSKPDNDIWSDDDFSGGARRSSGGRSSSSGGRSSYGGRGGSSSFGERSSSFGDRSSSYGGRGGGSSFGSRDRAQSIRLPKQVDSADNAQLACSMGLLHLGLISFSNKRLDS >cds.KYUSt_chr2.5247 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32583254:32584625:1 gene:KYUSg_chr2.5247 transcript:KYUSt_chr2.5247 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAAVAMAVLCLTAAAVRVGADDVVDEDSPGASFIFGDSLVDAGNNNYLSTLSKADMNPNGIDFAASGGTPTGRFTNGRTIADIIGEMLGQADYSPPFLAPNTTGGALLNGVNYASGGAGILNGTGRVFVNRISMDIQIDYFNITRRQLDDLLGKAKAKEFIQRKAIFSITVGSNDFLNNYLMPVLSAGTRVAESPDGFINDLIIHLREQLTRLHALDARKFVVANVGPLGCIPYQKTLNRVGDNECVKLPNTLAAQYNGRLRDLLIELNGGSDGTGGLPGGRFCLANVYDLVMELIANHQKYGFKTASVACCGNGGRYAGIVPCGPQSSMCDDRQNHVFWDPYHPSEKANLLLAKYIVDGDSKYISPMNLRKLFKL >cds.KYUSt_chr7.8484 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51388964:51394606:1 gene:KYUSg_chr7.8484 transcript:KYUSt_chr7.8484 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGDAPEAMAASSPLLSPRASTPAPPTARDEVRRQVALAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTLCGQSYGAKQYHMLGTHAQRAIFVLMLASVPLAFVLAFTGQILVALGQNPEISYEAGVYTRLLIPGLFAYGLLQCLTKFLQAQNIVHPLVVCSGVTLMFHILLCWFLVQNSGLANRGAALATSVSYWFNVVLLGMYVKFSEAGGRSWHGWSRAVLKDVSMYLSLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGAGNPQAARLSILISGIMCLVEGLLVAIITICVRDVWGYLYSNEEEVAKYVSIMMPILATSNFMDGLQCTLSGAARGVGWQKVCSFINLCAYYAVGIPSAVIFAFVLKIGGKGLWLGIICAMVVQILALLVMMLRTNWDKE >cds.KYUSt_chr4.48479 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300227938:300229155:-1 gene:KYUSg_chr4.48479 transcript:KYUSt_chr4.48479 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSIAGCSGIKVTPLRSVGERSRPRSGNGFLLNVSSSSSSSRAITTCSLKPPPSYGGKAKEKINPRDLFTFSYRFNTDIPMGETPGASIDEYLMNRPRIVGAVFPDKRKRTKLNDEEWSVQLIPIQFLFLSACPVIAIRFVSRSGGKGYPPHVPVRATSLLLMEVTDYRLEGLQRDAMPSHMAVTVRGTLYPQPEGRRSLKGHVEMSVGFNLPPVLALVPEPIIRGVADTVLRQLAQQMKHDFDTGLAADFKKYRWEKLTEKRTEH >cds.KYUSt_chr3.42045 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265483245:265483928:1 gene:KYUSg_chr3.42045 transcript:KYUSt_chr3.42045 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGRVELRRIEDRTSRQVRFSKRRSGLFKKAFELSLLCDAEVALLVFSPAGKLYEYASTRLAFCFEKKGWCSGEASLIDREQPLSVAVFRVAWKQLGHLSPAPVAFRIGQQ >cds.KYUSt_chr3.208 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1228891:1229493:-1 gene:KYUSg_chr3.208 transcript:KYUSt_chr3.208 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPAPPSLVRKTPSPDLALVVSSDHPRPRNLSSASCLSGHRRPLLELPSVGAASTRYSPTALTTWMLSAPARGSAIGVAAATHRLDAYCGELVAWRLLRSRRRLDGVGIKAHIYPLQPDK >cds.KYUSt_chr2.34918 pep primary_assembly:MPB_Lper_Kyuss_1697:2:215262118:215268471:-1 gene:KYUSg_chr2.34918 transcript:KYUSt_chr2.34918 gene_biotype:protein_coding transcript_biotype:protein_coding MQYHHHRSRLPPPPPPPFGRGGGAVYPRSGGHMQLYAPPPPPRRLPLPAPPPPPPPHRRHEVLMEAGRLAAEYLVSTGALPPSSLQRGGGDAWAVPPPPPPPPLPQQLQEPPAFYGRRRYDDAYSNNPATRPRRSNSTSSSSNTRDNFDYSNGGISYNGRGKRKYGEYRRGHLDWGREREQEKGRSTSNGRRYEEDNDDDGAPGFRMERRGNDEARSCVTEEVKEATPSMAKAVTQLEMEDIRSNGVSSNGNNHIRKDADAQEPEVQSENEDGDMEENKVVLSSESEMVDNGMATNGHANAASTLAVMEEAEAKLLLDGKVVDEEAQDNGNVSCGTSLDPIALDDDMANLENGLHFDSRSLLKHCDFAKAPTKPRSVRPHRKAATETVDPVSSGEGSQMVVDEAANERSLTNTPSDNREDQTCQENTGSSTACSEIMEPTPLQEKKTSVVAENMREDSKDAQLHVVQESKEETDVSPLTTSHKDSSMKENELSPLAASCKDGLMQETNLSTFRETLEDSVIEETDLSPLIDSHNESLIEEAGLSSLADPHNDNLIEESDLSPLAAYHEVRLMQETDLHQVMSLHEDNLNLQFKEGAQICDTEMLPEDVDLIELSGQKNTVGVELFTNAETKTIIKMEEEKLDQPSSFRIHNNPGFGRSVPGSSVEPHKHLQEDFGARAGSPSNSLWCDVVTLAYQMLFVKFAALGFLLKDAIFNRNDMICSSMDGMMHPGIHTNDLPVIQDGYNLALSDYLVADIPCFTSMQPDLQASICANDSEGIPVMDDPIYGSLTDIGFLDVWGQPAEDFGKFF >cds.KYUSt_chr1.8219 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50695694:50696121:-1 gene:KYUSg_chr1.8219 transcript:KYUSt_chr1.8219 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVHDGPRGRGRMLGGIQDAPAGRENDLGAIELARFAVAEHNTKANALLEFERLVKVRLQVVAGCMHYFTIEVSEGGAKKMYEAKVWEKAWENFKQLQEFKPAA >cds.KYUSt_chr1.30762 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186286778:186290317:1 gene:KYUSg_chr1.30762 transcript:KYUSt_chr1.30762 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGASPSAGAAGAGAYDAPKPASAKPLAWLPRAARYAAAEHRPVFALAGMLFAAAVFCFAAPSNPATATAAGAYSSGGAAGVSSSLARFSVDPAARNPARHFVGGKVPLGLKRKGLRVLVTGGAGFVGSHLVDRLVARGDSVIVVDNLFTGRKENVMHHFGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIISFQHLVLYFHTWILIICAQLIIGKGAKADPIIGVPRCSILRSKTVSALQFSLLCDLTNVVGTLNMLGLAKRIGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEQIGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRENTQDDPHKRKPDITKAKELLGWEPKIALRDGLPLMVTDFRKRIFGDQDSAITATGGQ >cds.KYUSt_contig_352.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000036.1:170159:172226:1 gene:KYUSg_contig_352.12 transcript:KYUSt_contig_352.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSTIPWLLCISVATFVFYKVCYSGQDRSQGSSTNSRLPPGPTPIPLLGNIFHLQGELHHALARLAGLHGPVFSLKLGATTCVVASSAACARDVLQRHDQILSAPSVTDAARALGNHEHSFIWLPSTSPLWRRLRALSTTHLFSPHGLEATRAVREAKVRELVGFLRGRAGEAMHVGRVVRSGMPNLVSNVLLSQDVADLTSDVGQVQELETMIRDILEELTKPNLSDLFPVLASLDLQGRRRRTAKRITRFFDFFDPIIKRRLNAGGEMKEDFLDVLLQLHSTDQLSIQTIKSFLLDLFVAGTDTNSLTVEWTMAELLRQPAIMSKVRSELQLVLGSKQHPDESDISSLPYLHTVVMETMRHHPPSPLLMPRKAMAEGAEVGGFSVPKGAMVIINLWAIMRDPATWTNPEEFVPERFIKVDTDFRGMDRFDFIPFGAGRRACPGMPMATRSVMLILASLLHAFEWRLPEGMRPCDVDIRDRFGTSLNMVTPLKAMPVSLW >cds.KYUSt_chr5.8473 pep primary_assembly:MPB_Lper_Kyuss_1697:5:53588961:53592305:-1 gene:KYUSg_chr5.8473 transcript:KYUSt_chr5.8473 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDFLLRNLEERRRAAEDGDTASFVDDVCSDPASKKAMVALQVLTAGETRELDCAVCLEEFVDDGKKLRMMPCSHSFHQRCIFDWLLRNRICPVCRFALPPQSDDDNHSDRELAEKDDGAQMLACRDAILLACSKGWSHVQLETDCQTILKAAVAEDEAGLTGRSCRCSCNHSDGGIREEDVEVLVGEEHNQPLRAGPPSILFSSDLDASAELDLAVVNAIATEH >cds.KYUSt_chr6.3197 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18730257:18732167:1 gene:KYUSg_chr6.3197 transcript:KYUSt_chr6.3197 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSVKGPSGSNQWSSISMREDNERALQHDWLSSLPDDVLLSIIERLDVADATRTSILSRRWKQIPTMLSKIVIEVGSTDNEQKRTCGVARANATVLGATRCLLESRSASPYTIHRLCMQFFLGAGSIKIAKSVAKTIATQKVCFAEFTILTEMESKRCSPGYMIVYGRQLKLFMMNCPNAFACLARLKLENLRLGGTDFRRIFILCKQLEFLRLDNCDQGFRSLLAVVHPRLRELEIVGCEFERVDLLCLPELTTLTFSYWNSLHDPLSFGYVPLLHTMSISNTALSWHKMLKLSEFLGKATVSNLHLGFESEKIWVKPEDPRELSQVFNKLRLVNLAAISAECDLTWTLFVLQGAPSLEELCIRVCDCLCIRDEEERTKLAYSEERKDAGAKWKPSVFKHRKLSVLRIFGFQSEVKFVDYIRTVMKAAVNLTDIYLHEKPACEEKCEYSHQRGDRFPKSRKHKIWVRNSLDMHKCPLLKLHFRF >cds.KYUSt_chr3.44516 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280996288:280998794:-1 gene:KYUSg_chr3.44516 transcript:KYUSt_chr3.44516 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLKSLSMDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGAAADQAAAAAASAAPGALLMDHLSPRSPSGASASSPRGGGTGSAVAPAPGAVIPVNSVSYEVFLLLLQFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAAARSFGVEELAILTQKQLAGMVEKASIEDVMKVLLASRKQDLHQLWSTCSHLVAKSGLPPEVLAKHLPLDVVAKIDDLRLKSSMARRSPFLAHHQQHQHQHHQGSVVEASAAELDDHHKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAAMVMSREDAAGNAAVPMYSEHHPGSGGGGVYSGAGGASTSMNLSLDNRMVYLNLGMDVMNHGDDDGGSRSAQGGPSSLFSPHGFP >cds.KYUSt_chr2.47004 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293972769:293975461:-1 gene:KYUSg_chr2.47004 transcript:KYUSt_chr2.47004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIAGAQLCSELCGSLVNLRTYSSRVDWKQLRPVILRRIKNRSKDYPVRRMIPVAQEVVRAREILTQGVSILLRAVPVHSCKFCPEIHVGAMAHQMKTCYGFKRMIKDQPHKWVPGGLNNILVPVEAFHLENMFQDEIKHDQRFDYNRVPAVLELCHQAGAEIPDGVLYGRDQLFVATEDNNQNPAPLLPDELRLIGQRTLEAWERLRLGVTKLLLVYPSKVCENCSEVHVGLSGHKARMCGVFKFEGWRGKHIWKKAEVDDLVPQKIVWHQRPHDPPVLVNSGRDYYGHAPAVVELCVQVGARASPRYNCMMKEHGLAAPIQMDQTTNLALQGGAQTVQSLQGELLLSLLEVEKSSHNHKANIVKNELTEIFS >cds.KYUSt_chr7.22771 pep primary_assembly:MPB_Lper_Kyuss_1697:7:141374043:141376242:1 gene:KYUSg_chr7.22771 transcript:KYUSt_chr7.22771 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDGLRWHVLQLVHPCLDHVERQVALGLRVLRDGVDEAARQRPLHGVEGPGLRRQTLKIEADAVPVLAEGGEGELKNLKAKLETQEADSKKALKEAQDAALTREKDILSRLSSLARSAIGVDAAASSSEPSHAEVSLEDAIADLEMVLSKTSTDLVAASGALGFLHKEMIPAADVPSSVEDLAGAFGAGTTAVMDFARGCTVRGSEYTLKLLLGNGVEVDFERSLSEYPKRSNGKPLSFKDVTDTATRLSEDEVCAVDVPSSSRTANRNASYSRRNSRALDDARNRIKELEQELAEKQAELDLINSRPSFATQRENYVMEEVESVNRQLEAFVPNFEQEDRRVSRLLENAIEDPSNGRQYFLLDKVHARALLTLKDRPQGLFPLIDIFRVSESVKESLMNLAVLGANATMAYIRSRRPKFSLQPPAPGELISQSCLGETYPAAENFISRCRDQFFGPVTEIKNE >cds.KYUSt_chr2.28139 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172643246:172644289:-1 gene:KYUSg_chr2.28139 transcript:KYUSt_chr2.28139 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSSCPASSVSAPAPPPHVVEDCLGVVQLLSDGTVTRPTRSFLSDPPAHEIRRDLPVEWKDVVYDDSRGLRLRVYRPTTATTKDGEKKNLPVVVYFHGGGFCIGSFEHPSFHEVGLRIAHDLPAVVLSADYRLAPEHRLPAAQHDGETVLSWLSGHAASGADPWLAESADLERVFVWGDSCGGNMAHHVAVRHGSGCGLDIDPVRIAGYVLLCPYFGGEERMASEASFPRDVLMGLALFDQMWRLALPAGATRDHPAANPFGPDSAPLDGVALPPVLVADAEKDLLRDRTADYVARLRAMGKPVELVVFEGQGHGFFVYEPWGAAADELVRVVRRFVHGDPPATS >cds.KYUSt_chr2.4083 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24669207:24670085:1 gene:KYUSg_chr2.4083 transcript:KYUSt_chr2.4083 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEAHRSNLDSIVQDLHGIGNPKVPRFSVTYMVMNVVNDVVPCLGDFENLVVEQRWHLHCEIVQQGHVPGFYLLQCLVPDPENLSCTAYHVFPLGLANMGRCWAAAGPPPACIVRDSNTTLAESSVTRRWKNPTPDDGLLLLPPGLV >cds.KYUSt_chr4.25372 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159407966:159409036:1 gene:KYUSg_chr4.25372 transcript:KYUSt_chr4.25372 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSTSGAIVSTTAGALSPAGAARSVSPVVLSFDAGNYTKWAIYLRASLGRAGLIGHIDGTTQAAPTNGAWMAEDYTVLNHLHAAIDEDVADMVLASNQTARQLWLAIYELFSANKASKAIYLDNDFRQLVQGTSSITEYCRRQKQLSDALADNDSPVSARALVLNTLRGLGPRFSSAATVISMTDPLPTFIRVRSMLLMEEMQRANAAANAASIALVARAMRPAPPYTTVRGHSLVKAGRPTLAGLVKARRRPPPPRPPRPTARPPLRLRAPGSASPLALDSGALLRALASSAPVLRPTPQSTRPCSSPRPRPRHRLRSARDNAGLIAALNSLYQQGGWVMDFGATSHMTNERG >cds.KYUSt_chr3.3870 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22032464:22034714:1 gene:KYUSg_chr3.3870 transcript:KYUSt_chr3.3870 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRTAVILLLLAVVVAALPAPSHGWGVDGHLIICQIAQGRLSDAATKAVNDLLPSDASGNLSSLCSWADKVRFRYHWSAPLHFIDTPDNLCTYNYDRDCKDEEGVKDRCVAGAINNYTSQLLTYAPSSSSSSGQYNLTEALLFLSHFIGDVHQPLHVGFSSDRGGNTIDVHWYRRKTVLHHVWDVNIIETAEKDYYDQGTDEFVDALNKNITGEWSGKVQGWEECSKNLTACPDIYASEGITAACDWAYKNVTEDSTLSDDYFDSRLPVVNLRLAQGGVRLAATLNRIFGQKAK >cds.KYUSt_chr1.1352 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7321773:7327960:1 gene:KYUSg_chr1.1352 transcript:KYUSt_chr1.1352 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAATAPPPAPTPPLGRGTAAGSRLLLLQSPPPAFPLGSNDDQQERARARAMARAASVRRRSLAATLAPKAPHPNLLNRDEVMDLLHNCIKLASENKINQKNTWELGLIDHLSEIIQAGEEDDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGEEADLGEGGDAEPAQEGINKKDADKRISPASTLESSFEALNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLLYNLGVYGSCRVLFDSFEAPDKFILSDIQEKAEVIELSFAKEQIEQMIVHMPLCNDISPTLRDIVAQFDEENKRPSHDASSGQMPVMEDQMVDSNNSENDDNMRSDCETWDFGGGNDQDVAYDENGNSMNFNSTNYEEGTDEYTFQDPDGPDVDRRFEKITDLLSLGMGFSSKTNAWAGPEHWKYRKAKDLETARTSSGDLDVAKKTKKKRGKEEPDIDFTNALEYEMENVFAPPKNPKSLLLPANKGSCNNKLPVDCHYQPESLVKLFLLPDVLCLARKRRKPLDDSRDNNDDFMASGPWDDDNLCNDHLDEGNAASDVVESVNLIAKPRQVNKIDIKYDKVSKQVDVHALKEVLWNHIHTSAETDDLISCDIPFWKYLRTTTIPVSFIDDKCLS >cds.KYUSt_chr3.31205 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195865929:195866513:-1 gene:KYUSg_chr3.31205 transcript:KYUSt_chr3.31205 gene_biotype:protein_coding transcript_biotype:protein_coding METIRCCIACILPCGALDVVRIVHSNGRVEEISEPVLAGEIMKAYPKHVLRKPPSTCPADGGGGIVVQKPVILPPNAELQKGKIYFLMPVMTPAPEKAAAKPKTPAPAPVPAQAARRRRRRKESSGGEAPGRSKTPASSASQSQSSEGEKERLLANERYLSEIMKEKASTARDRRRGRVAVWRPHLESITEDDL >cds.KYUSt_chr7.39408 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245040557:245041114:1 gene:KYUSg_chr7.39408 transcript:KYUSt_chr7.39408 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTARCFLPLHSQPRAAVPSRRHARPLTAVWVRPRRRGARRDRAWDDDGGGGSGDEDAVDESFFGDPWDEEEPVVEPEEPEDPYVRRRPAASSESPAGQLRGSDVLRALQRAAAAKEAKKKEKRRNAGARPAARRQEKKGGGVGGAKTVEVVGEVRPIEIRREWAPRIRELELRVQQLLDRQHQ >cds.KYUSt_chr5.10315 pep primary_assembly:MPB_Lper_Kyuss_1697:5:66204922:66205752:-1 gene:KYUSg_chr5.10315 transcript:KYUSt_chr5.10315 gene_biotype:protein_coding transcript_biotype:protein_coding MATDQHLNLWLARQPTRFPPTEAATSDTNLFAPLDRNRAPRTSSTQGFRTPSNNHRPHWHLPRVDPRERAPPQSKGKPVTTTASATPRSGVRGKGKSRCKIDGWHPPRLPEASDRRRMQQGSRKGQRPLPLARTRGDRGAEARGRTALGPPGVSPAQARSRSGRSHPLRPPLLPAELAADGRAAASRSRRLGREKNLPPRGPEPPPASHEEGASPPPSTARARPGGDHRRRRGGGGGGRGKVGFRGELRDAGRRQKDCSSPTQNFTYVMHMVVARS >cds.KYUSt_chr2.53946 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336753368:336754103:1 gene:KYUSg_chr2.53946 transcript:KYUSt_chr2.53946 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRSSAYSIDSLDDIVSGGAHWIRDLAYEALKHYNSDHPGAEFRIPFQPTADMKAACVGFRRDLWYHLNFLARHGDDERTFFAELCYDNTTSHCLIVQTCAILEKPSRSSCAMCPEESKILHPDEAEFVCGKEGHQRDFFCEMSWDGHTKEFFSQSDMLSTPFLIGAPAPRYRLLPDDSP >cds.KYUSt_chr2.1961 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11774918:11777208:-1 gene:KYUSg_chr2.1961 transcript:KYUSt_chr2.1961 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKKGPWSPEEDARLKEFMDKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTEHEDRVICSMYASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKLMGSTGGGAGGPPPRAPRQNHQQHRPVLLPYSSSPHPNYNNFFPGSGALLQHQSELNIPHTLTLEPQQDYMLNSSNSMTHNGSTSHLLHVTTTHRQLQVKEECGGGGNMIAFGCGDQQSCSSSDGTTAQYGGQFGGRHHGRELSFDHNGYSYGAYNNGAVEQDQQKLFQQQQAQLDYGYEEIKQLLMTAGTGADGGSLIHDPAAAELIASHAAAGKLTMM >cds.KYUSt_chr7.34967 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218496892:218504808:-1 gene:KYUSg_chr7.34967 transcript:KYUSt_chr7.34967 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDSGGSASGARPSDKAARPPMTAIDSTIQSIKEVVGEHSDADILDALRDSNMDPNETAQKLLNQDPFHEVKRKKDKKRESAGQKSFAQTSAQGEHGSQGTRPHTQRVEIDQRRAHNQGQAYGPSREFRVVRDNRHGSMENRSELGHKGSTYMPGSDRSGVVVQSDRNHPPATNSEGQITHQTAKNVLQMSRGAQGTYHRHARPYLKNTQNEHQHPNSDPAHSSPNYKATGGSVGPNRREAGVANAPRQYSGRPGSQFHAPNGSYPANIQRGNFASAGPSGHRPAFVSRNTQPNQRPALDTVSRGRSAGRSFGNQNTNKYNQGPAGNIKAIRPAKQWKPKSTNKSSTNDADNSVTDAVSPLDSNPENAKVLDVNSSCDKTSHSNVHEMEHVIIPDHLRVPDVQEPVELAVEEDAFGSEHDEVDEQTVSTSSAEVSLPPSEDSEQMNGQEVEDDDGLGLVQSDTPLGVADDHNIQSTSSLTAFSAYGHGDPNMHPNNEAQLYGLVEPNVHPQMLASSSQDYASVNPEADNAAQVFRMTESNVHSQVPSASEALSSQQQHIAISSQQQHMSQQQAAQMYPPIHVQHYPNFMPYRHPLYSPPAYFPPMAMPNYSANVPYAANGNNYLQMPSGGSHLTAGQVKYGVSQYKPVPAGGNPSGYGNYTHPAGYALSSPGVIGTGVGVDDVNRMKYKDNNIYAQTPQVEASDIWIQTPREIPTLQCPPYYNLSGQATPGAYMPNPGNASFSATAQSSHAQFPGMYHPQQPPSIVSPHPMVHQQVPSAIGPNVGVGVAAPGPQVGAYQQQQLGHMNWRQPSF >cds.KYUSt_contig_605.399 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:1851897:1871283:-1 gene:KYUSg_contig_605.399 transcript:KYUSt_contig_605.399 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHASFEEVTPASLEYTFVWSRWEVKEEERRWQRECTSVGATIRYIVVERMPSWETPTGKAAAAAISARVAVVAATIAREAAANADGEVEAAAMALEVPPEESNRCVRGCCRSAAIPLHLPAASFSLLSPIARGSESTVYEARLGGERAAAKKPVLSTSDDLDKFHYQLQLLCKLDHPGLAKLIAAHARPPNYLMFFEFFEPPNLADKIHVEEWSPSIQQVVNIASYLAKTLEYLQILGIVHRDIKPANILLDKDLLPRLADFGLAMYQKDIKSVSVENWKSSGKPTGGFYKKNMVGTLIYMAPEILRKDLHTEKSDVYSFAISVNELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTSAVVSQGLRPALALPESGSPPSLLSLIQRCWDPDPKQRPSFEDIIQELNAIQKHLVTYSCPPSSCSVNMSQTGNAEVHHYQETLNWFNQGELFAKKANKLEHTVNPWSDSFDQSSVSMYRPTLSWGSFATCGRRETMEDTHFMLPCMSEEKDVHSFGIFDGHRGAAAAEFSVRAVPGFLKQFGHTSPTDTLVEAFMKSDTAFREELIIHQKSKRIIQKDWHPGCTAVTALIVRNKLFVANAGDCRAILSRAGKPFPMTRDHVASCAKERERVIKEGTEVRWQIDTWRVGAAALQVTRSIGDDDLKPAVTALPEVIETDLSTDDEFLVMASDGLWDVVGNEDVLSIIRDTVKEPGMCSKRLATEAASRGSKDNITVIVVFLRPVTTAERVY >cds.KYUSt_chr7.10827 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66536720:66547211:1 gene:KYUSg_chr7.10827 transcript:KYUSt_chr7.10827 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPFRLLLRRRDHQRGKEVRVLLRGLGAGSSREAAGCGNAAPPRAASRCGGRRLGFFGEPAAPAASGGLLLASSSLAKRTVAWPWRRFRLRGVEWGLEWTDLPMERQSSSPHLIDSLVTDRAARVFSSHELAVLVTGSTDDAWAPHGGASSARWTGQPLQPSPCFRSRAQEQLSNERNQILKCSHYVPAVIPENTALPCVIYCHGNSGCRADANEAAVILLPSNITLFALDFAGSGLSGGEYVSLGWHEKQDLKCAVSFLRKNKEVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYELMLELVDVYKIRVPKFTVKMALQYMRRVIQRRAKFDIMDLNVVQFAPKTFIPALFGHASSDMFIQPHHTDRIHQAYAGDKNLIKFDGDHNTSRPQFYYDSVSIFFYNVLHPPQFPSSCSNKLEKYYNRGAGTNESLLCEIINGLRAASTDAGSSSAAATSFTNATKSVVELLTERVNQLSVKNDNDLDFLLDENHNLTEMEDNTPEPHIQDKATKQNEECCSYTSSNRESWGRCSSLGAASDGSSSGERPGVSDHKHKSLTLRALATPLRRIRRKPLTIPKERKNRSLWKRLNQERHDMGESFTQRFRLCIHGQAQDKRTKSS >cds.KYUSt_chr2.47903 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299500540:299501816:1 gene:KYUSg_chr2.47903 transcript:KYUSt_chr2.47903 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSRISRRLPPSMLIFLLLLLGTTPQCEARALRQSKRNSLMNALFKLNFVREVQPTPYLPPPANAGTQGGDAAASLAAAAADSVNPPFCVNPPNAPPTSSTPPFTSTGPSSSTPSAPDQLPPITPIPPSFEPSPPAGTTTPGSSPGTGTPTVTPTPINPPQFAPSPPGTAPPSPIVVVPSPPDDSGPGSGGGGGGEGGGGGGGGGFMPPIIYPPPLAPPMAPGAGQALWCVAKPTVPDPILQEAMDYACGSGAECRSIQPAGACSQPDTVLAHASYAFNSYWQMTRANGGTCDFGGTATIVTSDPSYDQCAFNLV >cds.KYUSt_chr5.15961 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102827990:102828451:-1 gene:KYUSg_chr5.15961 transcript:KYUSt_chr5.15961 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDVLDWFLWGFLMALLVLLALSAALVLVVVIAELVRYVRKRCQLLSVERLLKSIPDVAYRQIPDRDGGAASAAAEEEDKELRRSQSSCVICMAQYEAGERCSVLPACGHVFHRGCVATWLHTTRTTCPLCRATIGADAVPRKGNAAENMV >cds.KYUSt_chr3.12309 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73492048:73497142:-1 gene:KYUSg_chr3.12309 transcript:KYUSt_chr3.12309 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVDGGAAAPGLAEASRAPHVAVGLGGPGFNLLVVAAVCLSLGYKISGVRFLMARTRLPLEGVDVCNLRMGRENCQGLSSMDAEASAGVAVAAAPQGKAWEWEGRVVSAVPAATAEEAWALLSDFLAFHRWHPRVPICRLASGAAAPAAGCVRYCEGTPPGDGRPADWAHETLIEHDQARRFFRYEMNDNNMGFGAFFAAFRVVPAPAPAAGCELRWEFQCEPVRGTPKEALVARLQAGLDGMAARVREHVLSARAAAAAAPSVAAGLEAADELRLENSIAV >cds.KYUSt_chr1.11212 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68589336:68593036:-1 gene:KYUSg_chr1.11212 transcript:KYUSt_chr1.11212 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSQDIESKPNLQPSVQVGDEDSEQPNTIVTDEPLGDSCSLSCADDDNKKVSREDIELVQNLIERCLQLYMNRGEVVRTLSTRARIEPGFTTLVWKKLEEENSEFFQAYYIRLKLKKQINLFNHLLEHQYNLMKQPVPQQVPFAPTQNGIRPMPVLQQPGLPAPGQPHVNAMACGPPGYHIANGIPYHPIRMSSENGIMENEAPEVAHAATAFGTVSSEIAMSPSSAMSSNHVSFTPSEISAMDVDASAIDVSFGVDVGNGGPLQIGLDSGDGSSLGQQIWDFSLSDLSADLTNLGDLAALENYAGNPFLPSDSDLLLDSPDQEDIVDYFADAVDGPSQSDEER >cds.KYUSt_contig_7513.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001572.1:86742:88765:-1 gene:KYUSg_contig_7513.9 transcript:KYUSt_contig_7513.9 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVTTLFSQAQKLEAELKENPAPTQADIDAAKIVAKEKGDTVAQLKSAQATKQEISTAISDLKKAKQDVLTMEERSKLKPGIPRREDGSVAFEKDFFKCPAYLTVSGQLHLETLACALGDVYTFGPTFRAEHSHTSRHLAEFWMVEAEFAFANLQDDMNCAETYVQYLCKWLLDHCQEEMEFMVKSHDKDAMERLKLVSSTPFKRISYTQAVEILKDADRKFDNKVEWGIDLAAEHERYLTEVVFKKPVIVFNYPKGIKAFYMRLNDDEKRVAAMDVLVPKMKGVRLILFDTATEEVGILDAGLPLERYDKYMDLRRYGSVRHSGFGMGLERMILFATGLDNIKDVIAFPRYPGRADL >cds.KYUSt_chr6.31805 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200978760:200980016:-1 gene:KYUSg_chr6.31805 transcript:KYUSt_chr6.31805 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVRAIALPANQTRSASQEAAALHLQHIPPMSSRHSTMHQQLYILANPLAPPPLQRSIEGAELHCSSDMELLCNAPAHATVPDRYVFPPEKRAALQLDNDLTPDDITLPIIDLHHGALSDDRRNQVAAEIIAAGKEFGFFQVVNHGVEEDAVQAFRDAAAGFFALPAKEKLPYCSYDMSKRFRLATSTSYDRGETRYWRDYVKFRCYPASDDNVRCWPSKPASFAPRLVEYCEAVHVLAQTLLGLIAEGLGLDARFFAGDLSGGDTHMSVNYYPPCPDPSVTMGLLAHCDRHLLTLLSQADVAGLQARHGGRWLLVRPIQGSFVVNFGHQMEIVTNGVLASVEHRAVTNSTAARMSVATHVHPTDGCVIRPAPELVDEAENPAKYREFLFSEFMEAYDAADASRDDVLNSFKIRRG >cds.KYUSt_chr1.27424 pep primary_assembly:MPB_Lper_Kyuss_1697:1:165347055:165349361:1 gene:KYUSg_chr1.27424 transcript:KYUSt_chr1.27424 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSFSASTGLLTSSHYRMGWSFKLDGGAPLLNLSSLQSLPQPKPDMRSRTTRILASVFSAFVALVLLASAGAYGAYRYENRDEPWELDYGPHQYKYSELKHASDATRGFREREFLGCGGFGKVYGGVLPGTQSIGRLRHCNLVELQGCVGRFPVVVGFRWRFCDGGVLSTACVFDG >cds.KYUSt_chr7.26923 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168263203:168269947:1 gene:KYUSg_chr7.26923 transcript:KYUSt_chr7.26923 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGAVRLIGGAGAGGWSSKGFGAFDSSLGNIPGGGEGLGFVDNGTGVYGAWRESVPDRSGSAPPSMEGSLAALGNLIGQRSGNFDAGLGSLDNVTGSSTSEERLRADPAYFDYYGSKVNLNPRLPPPIVSRESRRFMNRVGKVQEWRVVSQDDSSKGSLFVPRSTLSTHKEEPEDDKSPTLDSSSADDAQTNLVNFVQESFQQNTDSLYDNSSWHPSSSNTGDGVDVHANIDSSKNLLHDVIKPSGLNSWTHVPLASGLTSTFSDNLVSTSVPISSYSDNNPNVQTFEQQQEKQSIGMQPENDVLVSEAVVTELDVVDSNMKNLKLSLDTHSTSQVKQQWQGNFLQQYGFSPPVQSDHIQMTPHGTHLPHVPFVDNLSHTQLKLSTGNMQQLLPQPGMTTPFYAPNSFGSPYYQNLHPASVLPTPYGTAGYAVGGSGLPPFMTSYSPQNSVSTPLDSTINPSFSGRPSGFPSAGNLTLGTEFLQPYKMYGQLGYAMQPPIPDPNFFPFFQHPSFPQYATGNQYNTLAPRGSVFGNVADNFDPQKVFPQAQYPSNQRFELPKAGISNSPTALRGGTVPNYHGISPYVGVPLTYPTSPVFQGKSFPGISPSARRNDSARFPSASRDMTAISGIQGQRERGKFDNPKACTFLEELKSNRARRVELSDITGRIVEFSADQHGSRFIQQKLENCTAEEKASVFAEVLPHAPSLMTDVFGNYVIQKFFEHGTPGQRRDLATKLASHVLPLSLQMYGCRVIQKALEVMELDQKIDLVRELDGNIIRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILQSACILAQDQYGNYVTQHVLEKGKSSERAQIISKLAGQVVTMSQNKFASNVVEKCFQHGDIAERDLLIREIVEQTDGNDSLLGMMKDQYANYVVQKILETCNDQQRELLVSRVKGHLQALRKYTYGKHIASRVEQLCGEGDSECDS >cds.KYUSt_chr4.3117 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17824909:17826888:1 gene:KYUSg_chr4.3117 transcript:KYUSt_chr4.3117 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVAYQGNTSAAIADWLNKGDNAWQLTASTLVGLMSIPGLVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVVWAYKMSFGEKLLPFWGRAGPALNQAYLIGRAALPATAHYRADGTLETAMIEPFFPMATVVYFQCVFAAITVILVAGSLLGRMSFMAWMLFVPLWLTFSYTVGAFSIWGGGFLFQWGVIDYCGGYVIHIPAGVAGFTAAYWVGPRTRKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANVDASMAVLNTNICTAASLIVWTCLDVVFFKKPSVVGAVQAVITGLVCITPGAGVVQGWAALVMGVLAGSVPWYTMMILHKRSKLLQQVDDTLGVIHTHAVAGLLGGVLTGLFAEPTLCNLFLPVTNSQGAFYGGTAGGAQLGKQIAGALFVIGWNVVVTSIICVAIRFVVPLRMSEEKLAIGDDAVHGEEAYALWGDGELYDVTKHGEDDAEHGASATVAPV >cds.KYUSt_chr7.10977 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67480330:67481963:1 gene:KYUSg_chr7.10977 transcript:KYUSt_chr7.10977 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAAAGHGGKDRLNGLHDDLLHQIIAHLPFTDAARTSVLAARWRQLWRSTPLAIDDGHLPVPARATSAVARFLEEHPGPFRTVRLRHCRFASLDPTWPRLLAGKGTLQELALHNKVGKVQPYPARLPAEILRCASLRCLSLALWEFPGDLSGGADIILPQLRVLELINIYISKEDLDTLLAFSPVLETLTVRGPWAFRSGAFWNYHKGKRFHLRSQSLRSVVLGLSGVEQFEVTDAPVLESLILKEQSAAGGDDGGRISIAFAPNLRVLGYLEPRVHTLQIAGNVIRSDTVASPSVVVPGLKILALRVNFRVLREVKMLASFLRCFPNIDTLHIESALHDPSAIHYGVTREQHAELWQEASALKCSISHLKRMVFHKFRGHQNEFEFLKFIATDAHQLESLLLVPLKGSFASSIEVNEIIDKLECPQFRAWASEVLIVSPKMDIARNLQKASNLSINDPFCY >cds.KYUSt_chr4.28428 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178551276:178556781:-1 gene:KYUSg_chr4.28428 transcript:KYUSt_chr4.28428 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDPLLLSASGSADPTSKPTAPARPSVGSLGCLCRADSASSSVYEDCDTASVNLADENDAGAPRRRLESGVSRAAEGFQSADSQFFHRLSVECAQKEGQRKVSWGGAMEMPRSSPSSLETGAVSSSQEKPDRPPRGRNKSSQFEDMLSSDHEHEHDPRLIHINDPDRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQHGDFRSKKWKSICVGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETVPMICNSSYLGLIKCEQPNRNIYEFTATMELNSQRIPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTISRSKCSNLESYMNRETLWLSAFLLITCSVVATGMGIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSVSTGSSSDLTNELNEVGAIDYQGESPDEQALVIAASAYGYKLIERTTGHIVIDVQGERIRLDVLGLHEFDSVRKRMSVVVRFPDDTVKVLVKGADTSMLGILKSGNDDGLFDSFHAKIRETTENHLSSYSLEGLRTLVIGSKYLSDVEFSEWQERYEEASTSMTERSAKLRQAAGLVECNLTLLGATGVEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGVSCRLLTQSMESIIINGSSEFECRRLLAEAKEKYGIKSTDFGKDYQNTEDLYNGDVTKLRSSNGQASESGTQNLQLTGVIANDKSEYNEKSPNFDYTELALIIDGNSLVYILEKDLESELFDVATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRMAYMILYNFYRNAVFVLMLFWYILHTAYSATLALTDWSSVFYSLIYTSVPTVVVGILDKDLSHNTLLYYPRLYEAGLRNEAYNMTLFWITMLDTLWQSLVLFYIPFFTYSISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAIWGSIAATFLCMVLIDSIPVFPNYGTIYNMAASGTYWLSVILIIILGLLPRFLCKVIYETFWPSDIQIAREAELLKKLPQQSGSRPERDIS >cds.KYUSt_chr4.10984 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66732736:66733032:1 gene:KYUSg_chr4.10984 transcript:KYUSt_chr4.10984 gene_biotype:protein_coding transcript_biotype:protein_coding MARYVEMLDMGVRIAARFHSHCPQTARMYYKPPQSASSSSSSAAGASTDRKAAGFDHEAAAAAAFRPFAATSQPGLGAGVQPSGFGFDTAQVLIYEVV >cds.KYUSt_chr3.3967 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22550514:22552055:-1 gene:KYUSg_chr3.3967 transcript:KYUSt_chr3.3967 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVLPGKLRHRRQGGDGHGVEAIAMRSSSSMSESSTRRGPRGGKILKLLDKLRSQSVIEHSLDDSNFNFMIILSAA >cds.KYUSt_chr7.3083 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18294334:18296191:1 gene:KYUSg_chr7.3083 transcript:KYUSt_chr7.3083 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWRSSAPPPTGPATASMPICVTSILACAHLQQLRRPGLEFLYRLDGGCRPSVRPMKCSQQLAFVICAVCPHEVGFVVWQHRFRASAVVPITLPCQPLSYDHKSRFMHILRPVAKLPSRWMAFCCRCRTSFWMPLGYLFPKLSPRFCTFLESLHNRPEVLQPPISARISRFCKFCIGNSSDILPVARMAK >cds.KYUSt_chr3.32780 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206059578:206069571:1 gene:KYUSg_chr3.32780 transcript:KYUSt_chr3.32780 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRGFSVGAVMKRMCKAVLKKGLGEFFLGELDLDQLDLQLTRGTLELTDLALNAEFINAQLSRSPFMVKEGSIKSLLVKFPLQLKSCEIVVEDLELVLAPSVPSEVPPVDAECSDSGNSSGTQTSVNTNRNESDKHCSTSASRDVDEGVKRIANAVKWFLTNFKIKFKNTYIVFDPQTSLDNKVSEYNRSLVFRVKEIEFGTNLSTDGLVKLNNFVTFHEAVIEFLKMDHVDVLLQNNSDRAAADISSGHSTTSVLTGPIGGFSGTLNLSIPWSNGCLNLKKIDADVSVDSLELLLQISSIQWFIYVLDSLHRNQGEHNSAHNTADMSLNTSRCALNASKSVMANKEDLDQIALSQNRQDKYQDSFLTKAHVIQDWIPELVVHEDQGEPDSDCDESIDQFFECFEELRNSQTNLGNSGIWDWTCSVFNAITFASTLASGSDQVPKEPPIEKTVRASIAEISVILLLNDEMDAGDSSASTSLFHDMRSSEMFSSCLSSGQIEQSMMSPATASSLNMHHLEAKCQNIHLELETYPRNLGFKASIAHMKLDEYYSTENTNSTHSHLGTAFLNNNFCREVQAALPQFPFASQDYWVETAGRGSHNSDKFIKVELLKTFGECTFHYDVSNTDQDGNSGSSTSLSIHLAPLILWVHFHTVYMVLKFISKVKSDLHGEHKLHRDGDEKNSKLAYTSSSESLKVQIAPSHARIILCFPYEPSWDLSRPSILDKFLVLDHTLSQKAPSPLRNERSNDGHPSTPSTSLHLATGNFDIYFIKPVGVLDGRIGSLSRQTFSALKILSVTRSEYHDSSIRMIRKSHPVTCPEMVNKVWSLPNLHDQKITQKENNKWVGVASSTTSQDLVESSFTIRQELIQSTEFLLHVQLPCVSVHLNKKDCGQLNQLLDLIVDGLSDVATGSSENGKDKNSEVAIQTSVIFECSMLDICTELDETVEVSPSLQAELEGSWNRLKLSVSKLSLCSFSNVGGVNNSSFLWVNHGEGELWGSVSGTDDQTCEESKDFQLVICKDSASQRGDGEGNNVLSFGTAGCSVTHIRNPKLQENYTSVYVRSGTLVAPGGRMDWISAISLLFSSGSSGSEQSSNSSSTNSSQAGEPFWSSFFLELADVALSYEPHRKNSTLGAEAPDCKSFSCLLAASSFKLHSKSASDSAATDFDIQLRDLGLLICGSSGSKNVTCGYDVDYLRQMGYAKIGHNTFVEAALQIDTSFWKLEISESQFDIGTCRDSTYGLVHLVSQLQKLYAPDMRDALVHLQSRWNIVQQANTQNMASDASDMSENSTDSFADSAESKSDGLLDDIIDNAFYSDQANTTYNFWDRNCHNSFSNSEVNVEYEMSMINPEATDACVSHISLGSSLVTPADSTAQIPRKQNSCPDHITDSYYMPDLLNSSLSSRKVNHQCTSGDDACKSVDCDDGGWYSNTPLTIVENHVSKRNNLHGEHVFQQEGDHAVRNLNSDESCNLKGQILIHDIDVKWRMYAGDDWSLAENDLTSRTCSNGRDRSSSLEFIVSGLSMQFDMYPDGDVSVSKLAISAQDLNLCDQNVHAPWKMVLGCYDSKDYPRESCSPAFKLELESVRPEPEAPLEDYRLCLEILPLQLHLDQGQLNFLISFFQNESSNRNPHLSYENEIVGMDSTTYGSAAIVDEALLPFFQKFDVKPLVLHINYIPRQFDPIALGKGNYAELLNILPWKGIDLKLKNVSAMGVYGWNSICETVAAEWLEDISKNQVHKLLKGLPPIKSLVAVGSGTKKLVSLPFKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAGGAHDLLLKTERALTAVPPPSTSREARKPKDNIRANQPEGAHQGLKQAYESLTDGLGRTASALIGNPIKVYNRGGGPGSVLATAICGAPAAAVAPVSASARAVHYALLGLRNSLDPAHKKESAYKYSGPSQS >cds.KYUSt_chr6.6341 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38429511:38430699:1 gene:KYUSg_chr6.6341 transcript:KYUSt_chr6.6341 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSVSLPKLLWIVVQLDRDGAHEPSNIWLCRPGEGVHGDFEVGEVAEPDERRRNGADQIVAAEPEHAQGSVGTGPGMNRLRDELPERGDAGEAGRDLVAEQFVHERDALPAAGRGVTDSLGLVCELAVAQGERVLGVKQGQEGDDVVNTEKTKRNINVWRKLHRHRPSLLVVFHHQFSSVMFTGHAATTSAGSPFSCSSPLLTIHAGIPW >cds.KYUSt_chr7.21688 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134523616:134524097:1 gene:KYUSg_chr7.21688 transcript:KYUSt_chr7.21688 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDGDVKSFFRQQKARSGAAAAAKPTGGVSKKAALPHQKRAAALLFHPTPDHVDGADARREDEDRERKAREFDMDMRYGPCLGLTRAQRWHRADALGLAPPPHALCSDDQPCLWEGRV >cds.KYUSt_chr1.28436 pep primary_assembly:MPB_Lper_Kyuss_1697:1:171801023:171802114:-1 gene:KYUSg_chr1.28436 transcript:KYUSt_chr1.28436 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAAESQQHEHQANVVLPDGRKVVDEVSGWLRVFDDGSIDRTWTGPPEALPLMQPVEPYAVPRDGHTLHDLPGEGEPDLRVYLPELKDPADAGRLPVIVQLHGGGFCISDPSWLMYHHFYARLACAVPAVVVTAQLPLAPEHRLPAQMDAGVDVLRRLRSIVTSDAATTLDDPAAELLRKAADVSRVFLVGDSSGGNLVHHVAARVGEDGADVWAPLRVAGGVPIHPGFVRATRSRSELENTSDSVFFTLDMADKFLAMSLPEGATKDHPYTCPMGPNAPPLESVPLPPMLVAVAEKDLIRDTNLEYCDALRAAGKEVEVLVNRGMSHSFYLNKFAVDMDPTTGERTQELIDAIKSFVARH >cds.KYUSt_chr4.50403 pep primary_assembly:MPB_Lper_Kyuss_1697:4:312227758:312230517:-1 gene:KYUSg_chr4.50403 transcript:KYUSt_chr4.50403 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRGMPPSKASQYCSQSKAGGVGGNPPSGVVYAEVTVEDAVFMESSTSMSTPHLSGIAAVIKSLHPGWSPAEIRSALMTSSDTADHAGVPIKDEQYRRTSFYAMGAGYVNPSRAVDPGLVYDLAPITIRRTVKNVGKAQGGVRHGAAAHAAFQQGRWCFVCKYRLSKEEKVIGSGVSTVGLAKLATFSAKLVGMARTSAWWLSQLPEFKALGLNCVSSPLFSH >cds.KYUSt_chr4.11379 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69188141:69195130:-1 gene:KYUSg_chr4.11379 transcript:KYUSt_chr4.11379 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLRRRRKKQIMSFRLLRLLLLHLVPGFLLLLFLRPASASAPTVVTHLPGYDGPLPFNLLTGYVGVEEETGTELFYYFVESERSPGTDPVLLWLTGGPRCSVIMGLAFEIGPLRFVRAPYSGGLPELVYNPHSWTKMGNILLLDSPVGSGFSYARDPKGYHVGDYSSSLHVQRFLNKWFTDHPQYLSNHFYLAGDSYAGKVIPLIAQDISEGIEMGQQPIINLKGYMVGNPITDPMFDENFRIPSAHGFGIISDQIYEIARESCKGDYINPSNKMCAEVLHTINNLISEISIEHILYDKCDVVAPNSVDDNSRRKFLLEGSIQISKPPSRPPVDCFTYGYYLAYFWMNNNLTKNALGIKEATVGEWIQCNVGLPYTFEIRSSVGYHLNLTTRGYRALVYSGDHDLEAPFLGTHAWIRSLNFSIVDDWRAWHLNGQAAGFTIKYENNMTFATVKGAGHTAPEYRPEECFAMAQRLWDRSGMSVTAASRGVRALAVLGRCVRAPFRVLLRARDLYVRQMTTCAAGRGPVGMVSVPRSRSHAFYRSAGDGDDGDVLELVRAASRARCSSVAARSQSMDIGRIDEDQPCEFGTGGGAMRQALDRRSRSCAVGSMGMSMGRSRAGAVAAA >cds.KYUSt_chr5.18755 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121237639:121240308:1 gene:KYUSg_chr5.18755 transcript:KYUSt_chr5.18755 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVILLLLLFVLMERRSMGGCPLFLAAAVVGLLFAADLLLGCRGAHADVLHAAASNLSLDSSARLPITIGSTDAGCAVYVRPRLFFPDRLPDAFWSVHILCSHVVLFYCASSPWTTFFCEPYCLVKARRSFYGPPAYKCEKCGALFCYLERCKRSYVSKGRLPVFSGCCLRGKVLLPRFNDWPSPLKESSSTTMADVPSTSSSTALRSETYHGIMDSMDEGGSTGKKLGVENTHAKIQEISMTDGNHINIMIRVQY >cds.KYUSt_chr4.39796 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245736513:245738628:-1 gene:KYUSg_chr4.39796 transcript:KYUSt_chr4.39796 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKSRYEEIVKEVSSYLKKVGYNPEKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTIKPGMVVTFGPTGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKEIEKEPKFLKNGDAGIVKMIPTKPMVVETFATYPPLGRFAVRDMRQTVAVGVIKGVEKKDPTGAKVTKAAAKKK >cds.KYUSt_chr6.14717 pep primary_assembly:MPB_Lper_Kyuss_1697:6:92154523:92159159:-1 gene:KYUSg_chr6.14717 transcript:KYUSt_chr6.14717 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSLPASFYLLGHRFWSSGCMEVDLGRVVFIYHVDVDDSRWRGAAGYRRRARTAGCVQDGGAVWRHGDIDGRSGKVNAVLPLEDGVMTLFMVTPFIALIAHMEEEIDEMTALSLGIGATSQHSKYKRGTGSNPKSPIGFSLQFPDQGDDENSDGHTRKKLRLSDEQVMLLEGVYGEHCTLDTSMKQGLAKRLNVKPQQVEVWFQNRRARSKQKHIAADYDYLKRKSERLIKENQKLKMELQELTTYLIFSLTHDHHGEQREAAASTAMGAAQGRRRVPGDALSTTTSSSMRSSSSTAAGRSPPSSAAGGRPEHRHGEKHEAAGEYPRGEPPPSSAVGRSPSSTAAGGRPEHRHGEQHEAAGAGGRSKHCHG >cds.KYUSt_chr4.19103 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120037787:120039217:-1 gene:KYUSg_chr4.19103 transcript:KYUSt_chr4.19103 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCILLAVVVAMAVAPALGVPFTEKDLASEESLRGLYETWRSHHTVSRRSLGADAEARRFNVFKENVRYIHEANKQDRPFRLALNKFADMTADEFRRTYAGSRVRHHRSLSGGRKGDGSFMYADAENLPAAVDWRQKGAVTAIKDQGQCGSCWAFSTIAAVEGINKIRTGKLVSLSEQELMDCDNVNNNGCDGGLMDYAFQFIKENGGITTESNYPYQEQQNSCDQSKENSHDVSIDGYEDVPANDESALQKAVAGQPVSVAIDASGNDFQFYSEGVFTTDGGTDLDHGVAAVGYGTTRDGTKYWIVKNSWGESWGEKGYIRMQRGVKQAEGLCGIAMEASYPTKSAPHATVKKGSLTDEL >cds.KYUSt_chr3.20970 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128500362:128501173:1 gene:KYUSg_chr3.20970 transcript:KYUSt_chr3.20970 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAGGGDELKLLGAWPSPFLHRVRLALHLKGITNYEYVEEDLTNKSDLLLASNPVHKKIPVLLHGGRPVCESLVILQYLEDAFPGAGNALLPANPYDRAVARFWVAYADDIFFAAWIKSLMGTTEDEKAAGTTGALAALQTLEGAFGECSKGKPFFSGDEPGYMDVALGGYLGWMRAYEVVAGVNLLDAGRTPLLVAWAERFAGLDAAKGVFPEVDQIVQFAKALQARTAASAAPNN >cds.KYUSt_chr2.2607 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15645805:15646129:-1 gene:KYUSg_chr2.2607 transcript:KYUSt_chr2.2607 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSRNPSAKFYGDRFIPDRSAMDMDLAHYLLKKTKKDKENAAAMAASPSKEAYRRLLVQKILNKRMWILDACN >cds.KYUSt_chr7.27829 pep primary_assembly:MPB_Lper_Kyuss_1697:7:173706745:173709595:1 gene:KYUSg_chr7.27829 transcript:KYUSt_chr7.27829 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTASASSSSSHPPAAPAFPATRQEIQAAIAKATELRALHAALLQGAGPPPGTNAGPYAAYAGAGAGGSWSPAVIRVPPAASPALPRVAAVAEDYPVFTPAYGEEPLGGLNYIRQDNRSLSENWSGIGLEHEGQEDEVAFSDVDNLNTFSSSNSELHFSSSNEHMRNRNTRRNHRSFLHPALSADSFLNSDSRRTDLAESKTVMTCNTCKPATISRGPESENDTNSLKNFSGAPLSNYHPSISSRTKQKGGHVLSWLLPKSKRKPKSDMSPNTNEGENMSQLLKEWGVFSLESLKKELAEANEHRDAALQEVGEMRSSLGELTSKLLSLEAYSSELKKALKKATSTKTVQSHSKRSARSVVVDDSLPVSHEVMVEGFLQVVSEARLSIKQFCKVLLQQVEDADNGLSDKLNILLQPYQLTLAEKHSKVILYHLEALMNQAMYQDFENCTFQKNGSPRCLDPKLDLQDNFASFVALRNLSWNEVLKKGTKYYCEDFSRFCDQKMSCIVSTLSWSWPWAEQLLQCFFVAAKCIWLLHLLAFSFNPPLTILRVEEDRVFDPMYMEEIQVERQTQQRSPSQVKAMAMPGFYVQDRVLKCRVICRYN >cds.KYUSt_scaffold_2697.582 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:3754150:3754962:1 gene:KYUSg_scaffold_2697.582 transcript:KYUSt_scaffold_2697.582 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWKSLVALHSSAHSSCFPHPPSTPSPCPSPPRELAEEKQRQQAVRLVGCDGQVLTFRRPVTARELMQQHPQHLVCRSDALLIGEKIPAIAPADELQPGQAYFLLPDHLFHSVISFVSLASSLLLLLSTAPRASLAPGAKKQPRPFELHRTDSGTLQIKFSDDFLVDDDDTSDAAQAAEKPAVLRGDERLEKEYEELVGYGKALRWAPKLETIQEVLVTAAPSAQGRDRRKGMKVMPFLAKLGSRRRRETTTTTTTIICGNDNAVACSG >cds.KYUSt_chr3.39065 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246128911:246133717:-1 gene:KYUSg_chr3.39065 transcript:KYUSt_chr3.39065 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCEWAKDGIETASFTGNDVQRLMADQQKERMFSVSLHCLYDSIRKKVDKAMGTFQVSMESIYDIDVPSKTLMAIREVMKQIRQKGKAFAETNLLDYHIYLVISLCTGLPGKISCFPMGSKIWKDAVESLQLVQTISFENAETTRFWSDCWLNGQAPRHIAPLFHPLASRKNLMVKETLIGSRWMRGLHRLVSEAKLDQFLNLRTAIQSVHLTENTLRIEIRLFGTLQLTRNIRLALPKTSSSLACSSSRTLRFPWEIRAEGKAKFYFCLLLLSRNWTADRLLARGWPHNDVCCLCDQHPETANHLALYCPYAKEVCMQFQGSHQDVVQLIDAATAIHNWWVRARRTKPDDQQRKNISLLVYVCWDIWKEHGRRIFQQESKPAHVATSMVRADFDLLELAYDRVGMLTRYEKLLSDERKLSCITSFKICVVELS >cds.KYUSt_contig_2193.104 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000275.1:557160:559236:-1 gene:KYUSg_contig_2193.104 transcript:KYUSt_contig_2193.104 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDAKLWPFKVIPGPGDKPMIGVQYKGEDKQFSAEEISSMVLNKMKETAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSTSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKAEDEEHKKKVDSKNALENYAYNMRNTIKDDKIASKLPEADKKKIEDAIDGAISWLDNNQLAEADEFDDKMKELEGICNPIIAKMYQGAGAEMPGGMDEDVPPSAAGGSSGPGPKIEEVD >cds.KYUSt_chr3.31750 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199544568:199544960:1 gene:KYUSg_chr3.31750 transcript:KYUSt_chr3.31750 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPDLAHRTYIGPMTPPLVEGIIASERPDALLPTMGGQTALNLAVSLADSGALDRLGVRLIGASLRPSAWTRTASSSSRPWTASGSRHRPQASGPPLRSASTSPRTSASFLSSSHSEKERGSGQISGRR >cds.KYUSt_contig_97-2.71 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:305550:309552:1 gene:KYUSg_contig_97-2.71 transcript:KYUSt_contig_97-2.71 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWFIPAYFKKTQASKLGDAQGIPFFINLSGTVGRPRLLNTSAPLAQLSVCSGVHPRRVALAAPLRAGADEGLRARLAAIKDSLELEKSKATLEAGGKDEANACALRRPPGRRPSRSRNPRRLALHAEDCRMRPPSPAADTQTMRRLGPLARCRSIGPLLPPPGAPVSASSRQQLEMSPQSRGCCGTAPDTARAAWCPAQLVPLDHCLESLHPFHMLCNSMIKVIKAVRAIFSELDEINAKPPIFPGRLQNTEEESERGQGATTPHGGAGQTLAVPA >cds.KYUSt_chr3.35167 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220823668:220826448:-1 gene:KYUSg_chr3.35167 transcript:KYUSt_chr3.35167 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPADKPMIVVQYKGEEKQFAAEEISSMVLIKMKEIGEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEEMNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEDIEKMVQEAERYKAEDEELKKKVEAKNALENYAYNMRNTIKDDKIASKLSADDKKKIEDAIDGAISWLDTNQLAEADEFEDKMKELEGICNPIIAKMYQGAGADMGGMPGMGGFGGAAGMDEDVPAGGSGAGPKIEEVD >cds.KYUSt_chr4.26180 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164658583:164664691:-1 gene:KYUSg_chr4.26180 transcript:KYUSt_chr4.26180 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGPGALEVRVERPQPPPVHLNPARLRARPYYRRWTSWLVPAASVACVVVFLVTMFVNDCPDRGLGNCFASFLGRFSFQPLKENPLLGPSSTALLKMGALDVSKVVHGRQGWRLITCMWLHAGVVHLLINVLCLIFIGIRLEQEFGFVRIGIVYLISGFGGSLMSALFIRSSISVGASGALFGLIGSMLSELITNWTLYANKVAALLTLVFVIVVNLALGILPRVDNFAHIGGLISGFFLGFVVFIRPQFAWLNQNRVAPGQQTAPAERKHKTYQYVLWIVAAVMLIVGFTVAIVLLFRGYNANDHCSWCHYMSCVPTKKWKCNSSPTTCNIGNKLRSLCCVDPIRRHAPAVVHSTLCACHARGLGRRKPDNAPRLARGLACCEFDDSFLHADYFHHIKYFRLNAARLRCIKYIRFVDSIFRKTYFHLDLTASNNKAKCSSSNS >cds.KYUSt_chr3.9303 pep primary_assembly:MPB_Lper_Kyuss_1697:3:54500891:54502976:1 gene:KYUSg_chr3.9303 transcript:KYUSt_chr3.9303 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVHVLLVALIAATTSHAPRGVHGAAAKTTWPGDLAALAKAIRLRTDPNATVPASTDFGNITSALPAAVLLPSSPADVATLLRAAHSDPKWPYTISFRGRGHSTMGQALAPGGVVVDMPSLGASSSPAAPRINVSADGRYVDAGGEQMWIDVLRAALARGVAPRSWTDYLHLTVGGTLSNAGISGQTFRHGPQISNVLELDVITGYGETVTCSKSLSPDLFNAVLGGLGQFGMIVRARIALEPAPARAKWARLVYTDFATFSADQEKLIAPRPDGSIGLFSYLEGSAFVIHGLAAALKNSGTFFSDADVASIVARAAAKNATNVYVIEATLNYDNATAASVDQALKSVLAELRFEEGLSFVRDASYLEFLDRVYGEEMTLDKLGLWRVPHPWLNLLVPRSRIADFDRGVFGGILQGTDIAGPMVIYPLNKSKYALIMHDMIIYITRFICSSTTGLTGSGRYFRWDDSMSAVTPAEDVFYAVSMLFSSVANDLKRLQAQNQKILRFCDLAGIGYKEYLAHYTVRGDWVRHFGGKWDRFVQMKDKYDPKKLLSPGQDIFN >cds.KYUSt_chr2.54109 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337683583:337685884:1 gene:KYUSg_chr2.54109 transcript:KYUSt_chr2.54109 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLTAWLSTDLMIVSSFHRREKATNDVHTLPTNQSINAELIGRCPIKWDGINIMLHTARTQADRYAGTDTPDPSHTISLHQLREMAPVKVFGPAMSTNVARVLVFLEEVGAEYEVVDIDFKVMEHKSSEHLARNPFGQIPAFQDGDLLLWESRAISKYVLRKYKTDEVDLLREGNLKEAAMVDVWTEVDAHTYNPALSPIVYQCLINPMMRGIPTDEKVVAESLEKLKKVLEVYEARLSQHEYLAGDFVSFADLNHFPYTFYFMATPHAALFDSYPHVKAWWERIMARPAIKKISASMVPPKA >cds.KYUSt_chr5.13738 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89281022:89282176:-1 gene:KYUSg_chr5.13738 transcript:KYUSt_chr5.13738 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHLPPVLLLLLLSLSFRRRILHPQQPRSPAGDPLLRRLAAAEGSEVDQVLADAAALLVNGSISTFPSFGNRQRLLYLRLPYVRPSGGPPRPRTVSRLRVPVSTLPSDGSLLASFRASLRSFLAGHHRRRHNVASVMRDLPGLLGRRFPTCAVVGNSGALLGSGRGPQIDAHDLVIRLNNARVAGFSPDVGARTSLSFVNSNILHLCAARAAATAAGCGCHPYGPAVPMAMYVCQPAHLLDAVVCNATATAASPFRLLLTDARLDALAARIAKYYSLRRFLAHTGGPASNWTSKHDERYFHYSSGMQAVVMALGVCDQVSLFGFGKPAGAKHHYHTNQKKELDLHDYAAEYDFYRDLQARPETVPFLDEARGFTLPPVRLNW >cds.KYUSt_chr3.19573 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120468419:120471638:1 gene:KYUSg_chr3.19573 transcript:KYUSt_chr3.19573 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLPLSLSAQCRCGGPPSRRRIVGGGSPSQRSGPGILGNGVRVRLRTRALGGGTDVQPDTPSSRRDGDERPHADAGQEDDGGGEALLESVRKLLLMEDTTPGEEEGQGQFPKRWAIVFLCFSAFLLCNMDRVNMSIAIMPMSAEYGWNPQTVGLIQSSFFWGYLLTQIAGGIWADKVGGKTVLGFGVIWWSVATALTPVAAKLGLPFLLVVRAFMGVGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPFLIHKFGWPSVFYSFGSLGTVWFATWATKAYSTPLEDPSISAKEKKLIISQTTSGDPVTTIPWGVILSKPPVWALIACHFCHNWGTFILLTWMPTYYNQVLKFNLTESSLFCVLPWLTMAISANVGGWIADTLVSRGTSVTTVRKIMQSIGFLGPAFFLSQLSHIDSPALAVLCMACSQGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVFAGVFGTAATGYILQHGSWDDVFKLSVTLYLIGTVIWNVFSTGEKIID >cds.KYUSt_chr2.48058 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300384161:300388509:1 gene:KYUSg_chr2.48058 transcript:KYUSt_chr2.48058 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERRQTKKASMASDGVDCISALHHVLSFIPAQKWDCSDRQRYGCYNSQGRDDKCFSSSQVIPCDYDQLAFADWKGRPMETTAWVPGIPLEMTIAAPASHGDLVVSSRGQQQLKLVESTDHQYDWSSPIEVFEQAAQAFEDEVGEMETKIHLFPASMKDLSTQYAAPKVVSIGPYHHGKSPDFRQMESAKYAAACHFIKDSGRSVEEVYGAVFAVADEARSHYDKDKVQRFGDDDFKPMMFYDGCFLLMMSWCGHSSDDDDGTAVDVDPLLNSVFSSNDRRIFSDIVLLENQLPWVVVEKLMGFMPKPLDMETFLGRVKPSLRSRQDLLFDPPKLDSTYKPPHLLGLLRHYIVGSNHIMVRTCLLARRWRHLWKSAAALRITGVNGCKNAVWFVNFVDNLLFLRDPRVRLESFELDLDECDFDFEAFLPANETHVNTWFRHAVMCGPRELLALRTTTGIYTDPEDHETLGFPNVPLISPYLTRLELTMVYVHSSTLDFSGCPSLVHLTMDDCDIVGNISSPFLKHLRIFSSFFQTDPVRAHICVPGLVSLELMGELRRAPVLESMPMLASAVVRLESDCHDSCSKFDYGYCDDHQCYGCFGSGAHDWRGESVLLKGLSEVAELELLVDSQVFIVNRDLKLCPTFSKLKTLLLSEWCPDIASDLNILSCFLKHSPILEKLTLQLSKVLYLQMNNRDLLNHL >cds.KYUSt_chr5.3967 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25623728:25624993:-1 gene:KYUSg_chr5.3967 transcript:KYUSt_chr5.3967 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSRRGSVGDEESAGADAVGSLIAPLTPRKDCWWSSALLKAITVLLVLVTGVLIGFAASANISRCYFYTPSSSSSDHQVGGDQGDDSNSNRKTQQTEQQMQGGSSPSPPSFMDFVHPGSPWGHTMSDEELFWRASMVPRVEEYPYQRVPKVAFLFLTRGPLPFARLWERFFRGHNGLYSVYVHALPNYVLNVSASSPFYGRQIPSQEVSWGSITLVDAEKRLLANALLDHSNQRFVLVSESCVPVYNFPTVYEYLVNSAHSYVESYNIDVPQCAGRYNPRMAPDVMEDQWRKGSEWFELSRDLALDIVADKRYHAVFRQHCTPSCYPDEHYIPTYLHLTHGARNANRTITWVDWSRGGPHPARYGKGSVTTEFIQAIRNNGTQCAYNGKPTTVCYLFARKFAPSALGTLINLTTTLLDF >cds.KYUSt_chr6.5467 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32574593:32576522:1 gene:KYUSg_chr6.5467 transcript:KYUSt_chr6.5467 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNTQVSVRRVFGDLTNVLGKRPAPSDLHKNPDGAKISRVEKAVDPRREPEIAKASGNIVEVPVDGVGKGDLARTSIFRGAKVQHMAAQAAGLLSKEDGGARSRAVPLDFSGVNDREESSLESEGGCEEEDDDDTDSELLPYASEISKIATNDRANEGECLTQEEMAVSSGNQNPRSSSRVTARDDVPCANAQHPPIGVGGLDEPCPTKSCTCSFCLKDLMLELSPIFSVSDFRTDWWLLSLLAVLAVLFLSPLAVLLPPLNHVRLSRVWRTAGGNEIACGGQAAP >cds.KYUSt_chr6.1025 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6526466:6529874:1 gene:KYUSg_chr6.1025 transcript:KYUSt_chr6.1025 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLRVVGLCFWLVLSFRGSSVAVSATAVAPAPAPGTGCDAVMVDARAAVAETDEAFVCATLDWWPPEKCDYGTCAWGLAGLLNLDLSSKILLNAVKAFSPIKLRLGGSLQDFLVYGTGSTGEPCLPFTKNTSAMFGFTQGCLPMHRWDELNAFFHKSGAQIIFGLNALNGRVPMPDGSLGGPWDYTNADSFIRYTVEKGYDMYGWELGNELGGTGVGARIDADQYSADVITLNQIVDKAYQGSKPQVIAPGSFFDAAWFTEFVGKTKPNQVDVITHHIYNLGPGVDTHLIDKILDPSYLDGEASTFSSLQGILKSAGTSAVAWVGEAGGAYNSGHHLVTDAFVFSFWFLDQLGMSAKYDTKSYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTKVLSTTFNGTNKIRAYTHCARDSEGITLLLINLSGNSTNHIYVTSEGAHEDMHPYSSKEHRSFRRIPKLSEAAKLTREEYHLTPKDGNLQSQHMLLNGNVLATDANGGIPKLEPVQVEGTQPITVGPYSIVFAHIPSFYATACR >cds.KYUSt_chr2.8763 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55144880:55145405:1 gene:KYUSg_chr2.8763 transcript:KYUSt_chr2.8763 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCGVGLSNIDGMIQGVNYASAAAGILSNSGSELNLYNINVRKIVLMGLPPVGCAPHFLSDYGSQNGECIDYINNVVIEFNYGLRYMSSEFIRQYPDSMIS >cds.KYUSt_chr5.9283 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58948394:58951776:1 gene:KYUSg_chr5.9283 transcript:KYUSt_chr5.9283 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASDRQEVLHDLGFVHEVMNRVIKPCCELSVTASQFSHQDIKLEKLKIQISQDTLTYERDHLRRNGVEENEIGEFIYQNQPSMRSSAACNKTTLGKINIVRLVDVQEMAELAGHGRAAANLCFTFLDEVGPRHEPRSASKRAASAMATATPATATATGGKKQAKPKKAAKDMAEEERRTESSKRAGRREAAKARKAAAKLEEERLRTNDHIIAQGQHANGELLAHKAAQHVVMMMKQ >cds.KYUSt_chr1.8580 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52551724:52552568:-1 gene:KYUSg_chr1.8580 transcript:KYUSt_chr1.8580 gene_biotype:protein_coding transcript_biotype:protein_coding METPALLLLTTLWVFAFKVSVAQWTPATATFYGGSDASGTMGGACGYGNLYNAGYGTNSAALSTALFNNGAWCGACFTITCDSSKTQSCKQGTSITITATNFCPPNYALASDDGGWCNPPRQHFDMSQPAWTTIADYQAGIVPVNYRRVPCQRNGGMRFTINGHNYFELVIVDNVGGSGVVAQIWIKGSNTDWMVMSRNWGALWQTGAYLNGQSLSFMVKADDGRVVTANNVAPSNWWFGATYTSWVQF >cds.KYUSt_chr7.1984 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11363040:11364533:-1 gene:KYUSg_chr7.1984 transcript:KYUSt_chr7.1984 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTNYSALPLTSPAIELQSSNPPTKSGAGAAGAANGVHAKKLTKQDSFLGEVEDDAADSNGGEHDELPLIGADGPAGPPEGSGVSGAVFNLATSIIGAGIMALPATMKVLGVAVGLVSILVMGVLSEVTIELLVRFSARCRALSYGELVHRALGRPASVVAQACVIINNAGILVVYLIIIGDVMSGSLKHAGVVDQLVGHDGGWDNRRLLILFVLVVFLAPLCALERIESLSLSSAASVALAIVFVAVSCIIAAVKLVEGKISAPRMGPDFSSRAAMLDLLVVIPIMTNAFICHFNVQPIYNELKEKTPRNMYNVGRISTVLCVIVYALTAVSGYLLFGDDTESDVLTNFDKDLGIRFSSVLNYIVRIGYIVHLVLVFPVVHFSLRQTVDALVFGESAPHSRKKMLLLTAVLLALIYLGSTMIPNIWMAFKFTGATTGLALGFMFPALVALKLDKEGLECLGHGERILAIGMLGLAIVVSVVGVAGNVYSLRSKSG >cds.KYUSt_contig_686-1.180 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1281731:1283676:1 gene:KYUSg_contig_686-1.180 transcript:KYUSt_contig_686-1.180 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVHVPEVSSGSGSLTLEPVQRALSSLASTSATTPRSPPPSFGSIVTVLSIDGGGVRGIIPGTILAFLEGKLQELDGADVRLADYFDVIAGTSTGGLVTAMITAPNAKGRPLFAAKDINDFYLKHCPKIFPAVSGGALGLLRSMRGPKYDGQYLHSVVRELLGETRVHQALQNIVIPTFDIKLLQPTIFSRYDALNDVSKDALLSDVCISTSAAPTYLPGHQFETKDKDGNTRAFNLIDGGVAANNPTMLAMTHVSKQILLGNKDFFPIKPADYGKFMVLSLGTGSAKVEEKYDAATSGKWGILSWLYNDGASPLIDSFSQASADLVDIEASVFFQALHSEKQYLRIQDDELKGETSSVDVSTPENLNKLVEVGKALLKRRVCMVDVETGKSVPDNKRGTNEKELIEFACMLSKERKARLQNKGVTVAQ >cds.KYUSt_chr1.25435 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152612759:152614347:1 gene:KYUSg_chr1.25435 transcript:KYUSt_chr1.25435 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAAVAAPLRHSRPSPLNPNVTATATTARPSSVNTRTHLANLDRLLVRPPPLPLRPKKTPADEPRVEVEAIPDDDRSGRGGLLNALNLSTFLPFTRKPATDEMSPRSLAHMQRLLTLSPRPSPRGSIAAEWRRYHGEGTWAGLVDPLDQNLRREVLRYGDFVQAAYAAFHSMPSSASHSHCQHRTLVLPDRSYRATRSLFATSSLSIPPWAQQRQSAPKWLTQRSSFVGYVAWAENLRTGLVPMSDDYDDDGMPAQQHAPKVAKGFLSLYKTADDHVPCLSDAIVEEVRRLVEVYKGEELSVTVVGHTLGASLALLAADELSACLDADAESTCTAADGHRPPPVAVVSFGGPKTGNRAFADRLRHERGVNVLRVVNAGDVVTRVPGLATPTTVAEGYVHAGGAELRLDSRDSPCLRPDAGPACCHDLEAYLHLLDGFAGSGRPFRADASRSVAGLLAFQRSNVKRAYLERARVLGFEPATATASGSGDGQYGYLASPT >cds.KYUSt_chr1.9079 pep primary_assembly:MPB_Lper_Kyuss_1697:1:55603719:55605223:1 gene:KYUSg_chr1.9079 transcript:KYUSt_chr1.9079 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPFVYLRPSDDGTGQGALMRAAFDGNLGRLKGVVKSLLKENGDPGMILSFITDGLSVLHVAAIQGHLEICKYLVEDLGGDANAPGAVAGAGVGGATPFMISAHSGDVPTVRYFLDQGGDLLKADVKGCTVLHHAAGAGSCKVTEFLLSKGVPVDIDYGQGTPLYVAATNEKDKTLKILLDHHANVMPHIHVKSRAVLVAWIDTSLDTAVLNPSFVCVTLSTNIKAGYI >cds.KYUSt_chr3.6750 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38794938:38798811:-1 gene:KYUSg_chr3.6750 transcript:KYUSt_chr3.6750 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVSRGAVELRRSYHATHYPNACADHAGPEDPGDLLEVAEPHDQPAHVVTDLDPCEIAHRALDLMFPRDDDDWSSWSAREEGTSDDDDVETVQVIDGDEEETDCPGENVVDDCSTEEGSDYDDDRQVIDDDEETNCPGKLYPESEADEIERKWAKSTFQQFVEYTNIWEEIMALGDEDDTPLPPRPMKVFPDATGACVLGLDCHHRVYRTHDTSTTPSTLGYRTPEAMLQIFSLCLSSSEPSCPTSVYGIFAIRDNFDPRRNYVFNRARVDAVAVQKQESFVLPLCSPCRGMYVSDKALLEVDLWENKEGDESADKQQISAYVEINIVLEHDFLFCGRIPGDKCNLDITYTVLSRSVEAVIQVYAKVDHPHHVRFTAFSTGYCKDGVMLLDDKLFGSEKLFQHIVAVKANEELDVVLEVDNSLFKWTFRDEYVGAVISPDDSIFDYGLFFVRVLFAPKDSN >cds.KYUSt_chr6.18581 pep primary_assembly:MPB_Lper_Kyuss_1697:6:116888119:116895401:1 gene:KYUSg_chr6.18581 transcript:KYUSt_chr6.18581 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDTRDSADEDFYSGGEAGLALSDDGDADYDFADHDSDDSDDLISHRQQQNYSVLSEDDIKQHQADDMNRVSTVLSISKAEACALLRSYNWSVSKVHDEWFVDEARVRTAVGLPEKQIEMPNERELSCGICFESCPRESMSAASCGHPFCGVCWRGYISTAINDGPGCLMLRCPDPSCAAAVGQDMVNLLASDEDKGKYERYLCRSYIEDNRKTKWCPAPGCEYAVEFIVGGGSYDVSCGCSYGFCWNCTEEAHRPVDCSTVSKWVLKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSDHGERTGGFYACNRYEAARQEGAFDDSERRREMAKNSLERYTHYYERWAANQSSRQKALGDLQSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPDNEHAKRQFFEYLQGEAESGLERLHQCAEKELQIYLDADCPSKDFNDFRTKLAGLTSVTRNYFENLVRALESGLNDVGPHSGHAASSKAVSSKSLGSKSKSGKNKAPVSKSGSSTRGMDDGNIWACEQCTFANPRSARTCQVCEYQQHR >cds.KYUSt_chr1.38756 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236797576:236798571:-1 gene:KYUSg_chr1.38756 transcript:KYUSt_chr1.38756 gene_biotype:protein_coding transcript_biotype:protein_coding METGMARAAERETLYSLYCPDGRRVTAASVVGCVCVDHLTCTECARILGAPFVAIELAAGKKQRTDPPAQFPGVDVGLRPGQSTGEDGTTADGFDVAISGLADPVRAQGFEATISGMADPVRDEGFEAGISGMADRLGLAAAVGERAKEVFRKMEEARAWHHCRGWTKDRSKEDPLVYAACLSIACRKLGSARSLRELALAAAADGGAAARKEIVRLVAHIRRRLGEEEAGQATVGMVCASSYVRRFGRLVALGEAEEAAALEAARRLDEGALDLRHNVESVAAAVVCLALERAGAARKPVKDVATATGITRETIYRVRSRLRPHADLLFG >cds.KYUSt_chr5.14122 pep primary_assembly:MPB_Lper_Kyuss_1697:5:91634126:91634733:-1 gene:KYUSg_chr5.14122 transcript:KYUSt_chr5.14122 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCGTSSAPPFAALALLLLLSFSRCAAAARLLPASTAPHEDSSVIDVKAADAASDGLGALPAEGGKVGNGEEPAPEVTMAAAEDLEKEEEACEEGITAEECMQRRLLHDAHLDYIYTQRKGRP >cds.KYUSt_chr4.54943 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339348702:339350215:1 gene:KYUSg_chr4.54943 transcript:KYUSt_chr4.54943 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAARLLLVLAAASLLASASARPCGHAQTLLISFSSFSRPNPDPANPAPLTTTVVTVLRVRRLGPHLQIRRPAHPEPLPAAVAASADTAAASSSSFQDRAKDILVVVSGLLFGFGCGALTAASMYLVWSLIASTAASPYDELYSDDDDEEVLISDSESPKKAGYVIIHDTEDFVGGCGPDVDKSGVNRHLSAF >cds.KYUSt_chr4.21459 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135147745:135159470:1 gene:KYUSg_chr4.21459 transcript:KYUSt_chr4.21459 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNKEGKEAPEASPVDRIGALPDDILHHLLSFLPAQEPCGGLNTFEINFSSFSQDDVPYVNRWTRFAVLCRVRALTLHLHYRHLLCLDGMPLVSRDLRTLDLLGVSLRGTFLDFSGCQALEDLKMDSCQIDVDKEDGSSDTMLPGAIYNAKHLELIFPLGMVIFARDLKSCPTFKNLKTLLLSDYWCAGPDYAALTCILKHSPVPEKLTLQLVSQALFLPNPVASHYLFLPIPIGQAKKISMAAAHMLRPGASAVLFLPGRRVYRRIQQIPPQTPVACRMKILTTSTPMIWGRNPTGETLAATSAPLPPPPLQRPPPPPYPPKAAWGDAEAGQWGRRWGWSQCRKGWAVLEIKQYVNQLCDVALASVDIILRAHRKANSSKPATYNQVTEAYQTINPFTADNGKDQQSLPAPKLTAAASVQTQLPPKKRILASLSLPCVLRCLGSRDAAYRKQYQLLLQFVPTAHPSTNPRETEVASGSTLFQISAFFCQPKDNSTSLSYRSYCKNSSSQEEERER >cds.KYUSt_chr4.15932 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98586208:98590816:-1 gene:KYUSg_chr4.15932 transcript:KYUSt_chr4.15932 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTRFGKNHLAKPKLHSSKTCKPTGLKLPAQHQFTMQRLSQGALRRLLSPPFAAAAARWAAPVTAEAGSGGGIPILRFGSSAGVAATGWSGGSGIRLCRRFCTYNERDDRALEEEVEKKFGWMLKIFFIGTAGLVGWQFFPYMGDNLLQQSITLLHVKDPLFKRMGASRLARFAIDDERRMKVVEMGGAQGILEVLEGAKDDKTRKEALKALVALSKSDKAAEFLDKAGAYAIVTSTPNSPEYNEIETCKTSLLKAFDQLKS >cds.KYUSt_chr3.7665 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44123097:44124814:1 gene:KYUSg_chr3.7665 transcript:KYUSt_chr3.7665 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPGGVQARGIDGRRTRGIAITALTEMASGKRGNAASQRKAKKERTQRRTAKSKLSMKLLVDTKAQRVLYAEAGKEVVDFLFSVLALPLGRVAGLLHTQAGSMSGSVGNLYESVHELDGSYMCRDDAKAALVTPPAGGKLHQLVPGPGFVQGVLTYTVMDDLKVAPMSSISAVTLLNSFGITNIASLQEKTVELGHVERLGILRASLRSKKVLTDVFLGKKNKKRKAKDAFRHEAATRVVRRIAAWPGPWRPWHVSSRPCAACCFRRASFTACERVFRHRAGIVPGWRK >cds.KYUSt_chr2.1194 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7016013:7016786:1 gene:KYUSg_chr2.1194 transcript:KYUSt_chr2.1194 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRHHNHDGAMYYTGCTSAANPSSSSSDSFPSEMSSGAMYYAGGYTSAANYTSCSSSDSFPSDLSSGETIYTPERRQLKLAAASFIGVRTRPWGRFAAEIRDSTRGGKRVWLGTFSTAEAAAMAYDQAALSSRGAATALNFPVELVQESLDALALGTTATTPTETGGSPVLALKRRHCKRKRRNKAEIASDASAAIRRKKTGGKMAVEKRFVVELEDLGADYLEELLRITDDTLQLEVPADAFVQYDELLHCQSMV >cds.KYUSt_chr5.16192 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104256127:104256504:-1 gene:KYUSg_chr5.16192 transcript:KYUSt_chr5.16192 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGTQEPAAYGELVSIGGLNPEVNKKLSAGIASILESKLSIPKSRFFLKFHDSKASKQTLFLVFTDLLIRSWVFIRHCHVQLLIAILLFVRPTVHKNMLNVCMLCIKSRSCKCPSVVLNLRLP >cds.KYUSt_chr3.33726 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211742417:211747377:-1 gene:KYUSg_chr3.33726 transcript:KYUSt_chr3.33726 gene_biotype:protein_coding transcript_biotype:protein_coding MADETNRAAFVELQARLIETTGKIKQLQTQIRTKESEKKRAYLTLEELRQLPDDTNTYKTIGKVFILEPRSLLANEQEQKLNDSETAISSMQTSKEYLEKQLGELENNIRELLQQDPVLARQILSMTVQ >cds.KYUSt_chr1.10979 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67243144:67244085:-1 gene:KYUSg_chr1.10979 transcript:KYUSt_chr1.10979 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTPTKAPAPRPSPAKPSPSPATRRRQTFRAGRRPSATKRRASPLKSLAAAPAAVASSFDRSVRFCRRRLFKLFARLAVIGSPTKRRAAAAGFQRLRSTPTSLPTPSALLSSPRSQRPSRVHPAALPPPTDPSKKTLFLDLDETLIHSQTDPVPARHDFAVRPVICGQAITFYVRKRPGVDAFLRAAADAFEVVVFTAGLEQYASLVLDRLDPAGDLIAHRLYRGACRDGGDGRLVKDLSATGRALGCAIIVDDNPNAYALQPENALPVAPFIDDADDKELQKVIGFLDVAAGFDDTRDAIRHYKDLVKAN >cds.KYUSt_chr3.29022 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181501758:181503686:1 gene:KYUSg_chr3.29022 transcript:KYUSt_chr3.29022 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSTAVAFSGATAALPKPRTLRRYQLLSSRRALTAPIRCSAVSPSPSPSPAPPATALRPWGPSEPRKGADILVEALERCGISDVFAYPGGASMEIHQALTRSPLITNHLFRHEQGEAFAASGYARASGRVGVCVATSGPGATNLVSALADALLDSIPMVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRVIQEAFFLASSGRPGPVLVDIPKDIQQQMAVPVWDAPMSLPGYIARLPKPPATELLEQVLRLVGEARRPILYVGGGCSASGEELRRFVELTGIPVTTTLMGLGNFPGDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRSKIVHIDIDPAEIGKNKQPHVSICADVKLALQGLNALLSGSKAHKSFDFGSWHEELEQQKTEFPLGYKTFGEAIPPQYAIQVLDELTKGEAIIATGVGQHQMWAAQYYTYKRPRQWLSSAGLGAMGFGLPAAAGAAVANPGVTVVDIDGDGSFLMNIQELALIRIENLPVKVMILNNQHLGMVVQWEDRFYKANRAHTYLGNPENESEIYPDFVTIAKGFNVPAVRVTKRSEVRAAIKKMLETPGPYLLDIIVPHQEHVLPMIPSGGAFKDIIMEGDGRIAY >cds.KYUSt_chr6.19419 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122262034:122262282:-1 gene:KYUSg_chr6.19419 transcript:KYUSt_chr6.19419 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMRSKMAMLTSSGLKVASLYYHAVWDDARIAACSVSAESDPSSYRTPSSFASHSGSVLNDSLNSTNLLEKSSKGNNSASG >cds.KYUSt_chr6.1159 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7351089:7356981:-1 gene:KYUSg_chr6.1159 transcript:KYUSt_chr6.1159 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEYGGGVDGDAFRGHFPIPAACRNRDSCPPDLGFAMAAALEENRNWHFGISSIGKADELQFQGCRDTCIFKITDGKEVLDENMDTRNSLT >cds.KYUSt_chr7.35197 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219888903:219889313:-1 gene:KYUSg_chr7.35197 transcript:KYUSt_chr7.35197 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLRTERRCADTMEKARRRPSEELCPGSRCALQMAATPERDPTPTTAADTKEIGNGSSRLGKARPWRDWVGGSSTEEAMWEHRSTCGGGRRCRRCLGVAGCAVGREVNVEADPLLAIVDGRQVGVGGGVGKILDI >cds.KYUSt_chr6.10541 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65251000:65251614:1 gene:KYUSg_chr6.10541 transcript:KYUSt_chr6.10541 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLISPARLQTAATYGKAFSYCLPPTTSSSGFLTLGASTSSGFATTGMLRSQQARTFYGALLQGISVGGKQVGVAPSVFSAGSVMDSGTIITRLPPTAYKAFSSAFKEGMKQYQPATARSILDTCFDFSGQDNFTIPSVSLVFDGGAVVDLDANGIIYGSCLAFTVTDDEGTTGIISNVQQRTLEVLYDVGKSVLGFRANAC >cds.KYUSt_chr2.32841 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202537151:202537895:1 gene:KYUSg_chr2.32841 transcript:KYUSt_chr2.32841 gene_biotype:protein_coding transcript_biotype:protein_coding MINTPSLHLPFSEYKGEVSSMVPSNMEEPLVGRNIEKTGGPKESRVVTEVKKQLYLAGPLIAGCLLQNVVQMISVMFVGHLSELALSSASIATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQYHLLGIYKQRAVLVLTMVSAVVAIVWSYTGQILLLVGQDPEIAMGAGSYIRWLIPALFVYGLLQCHVRFLQTQNIVLPVMMSSVLDILVI >cds.KYUSt_scaffold_869.1210 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:7768885:7769163:1 gene:KYUSg_scaffold_869.1210 transcript:KYUSt_scaffold_869.1210 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVKPGFNGVSGASDSRRGYMGAAAAVRRKPQVDGCSVALRVFILAATLVAAVLMGVDRQTSTVQVTIADALPPLKVPVTAKWSYSSAFV >cds.KYUSt_chr6.13396 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83884818:83889339:1 gene:KYUSg_chr6.13396 transcript:KYUSt_chr6.13396 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASTAGMIQALLALVAFSAVAVAEAAKVPAMYVFGDSTADVGSNNYLPGSAVPRANFPHNGVDFPTSRATGRFSNGYNGVDFLALNMGFKRSPPPFLSVANRTNKQIATGLLGVNFASAGSGILDTTGDSIVAMSKQVEQFETLRCNISARISREAADNVLSRSLFLLSTGGNDIFAFFSANSTPTVEQKQLFTRDLVSQYKTHMKALYDLGARKIAVIDVPPIGCCPYPRSLHPLGACIDVLNELTRGFNKGVKDAMHSLSASLSGFKYSIGSSHAVVQSIMKHPQRLGFKEVTTACCGSGRFNGKTGCTPNATLCDNRHEYLFWDLLHPTHATSKLAAVAIYNGSLHFAAPINFRQLVEDQS >cds.KYUSt_chr3.36592 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230138321:230139073:-1 gene:KYUSg_chr3.36592 transcript:KYUSt_chr3.36592 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPWVKTRPSSDSTTCSSTALSAASPRLSFSSPSLKDLQALLRSDPPSLPFPSPSPPPPHTAPRSPAAVRVFHRVRVATSALRALRTLQAPPAAATEADRRVVLYYTSLHVIRTTFEDCRAVRTILRGLRASVDERDLAMDAGYLDELAALLPRGRRVTLPQVFVGGRHLGGADELRRLHESGELRRVVAGAASLAACARCGGERYVLCGSCDGSHKRYSLKGGGGFRACAGCNENGLVRCPDCSPPAV >cds.KYUSt_chr1.5778 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35610390:35610644:1 gene:KYUSg_chr1.5778 transcript:KYUSt_chr1.5778 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRTPPPRAAPRPRPLQCRPRAPLLPVKMEIEDAVVPGFALGDYVRDTDLDKVAAQLEKKACFTIGDLINNNHLPTAVNAFW >cds.KYUSt_chr6.29854 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189163652:189170871:-1 gene:KYUSg_chr6.29854 transcript:KYUSt_chr6.29854 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHPHQAADPAASPPPPPSRRPRGLASASSPAPVRRSGEREKERTKLRERHRRSITSRMLAGLRQHGNFPLPARADMNDVLAALARAAGWTVQPDGTTFRSSSLSQPPLLPPPAQFQGAFQAASVETPAFTSHLNSYAIGTPLDSQASGLQTDDSLSLSPSSLDSVVVAEQSIKNESYGNSSSANSLSCMGSDQLMRASAVWAGDYTRTPYIPVYASLSMGIINSYCQLVDPEAVRAELRHLKSLNVDGVVVDCWWGIVEAWTPQKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGKCGSGDVLISLPRWVMEIAQENQDIFFTDRVGRRNTECLSWGIDKERVLRGRTGIEVYFDFMRSFHMEFRSLSEEGLISAIEIGLGASGELRYPSCPEKMGWRYPGIGEFQCYDRYMQKNLRQAALTRGHLFWARGPDNAGYYNSRSHETGFFCDGGDYDSYYGRFFLNWYSGILVDHVDQVLSLATLAFDGAVIMVKIPSMYWWYRTASHAAELTAGYYNPTNRDGYSPVFKMLKKHSVILKVVCYGPEYTVQDNDEAFADPEGLTWQVMNAAWDQGLSISVESALPCFDNEMYPLILDTAKPRNDPDRHHVSFFAYRQKTPFLLQGNVCFSELETFVKCMHGEATQNFVD >cds.KYUSt_chr4.41969 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259534094:259542098:1 gene:KYUSg_chr4.41969 transcript:KYUSt_chr4.41969 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSGRVPEEISVIPRDGIGGSGVSCEIDNLTVTLGQSTLVVLCRFHVGAGIPGVAPHYTPPPTTFNVLLGSYWFDKSWFLSEGKLTAVHITPSSWGSQRTCVSRASAAPPFFFFFFFFFFFFFFFFFFFFAGFTAAAPPDACCCFLRLPPSSWGGSALSRSFSFFGVAALAFFGSPSSPPCHFSPVPVWGPLRRWRDGDLLRPSWPALRAGLAAVARRRSRILAGWRRPHPEEYQRSKRPKPTPIAEKSTKRSKRPKPTPIAQKSTKGPKGPSPLPSPGRGPKPTKSTGAMEPAAERKVPEQEEQLQPPQDAPPAAVDEEDDAEAEETERRNAELKAGLHPLRRKLVLWYTRRTPGARSQSYEDNIRKIVDFSTVESFWVCYCHMARPSSLPSPTDLHLFKEGVRPLWEDPANRNGGKWIIRFKKAVSGRFWEDLVLVLVGDQLEYSDDVCGLVLSCRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHTYLMEYKPHDASLRDNSSYRNTWLRG >cds.KYUSt_chr3.18244 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112033710:112038089:1 gene:KYUSg_chr3.18244 transcript:KYUSt_chr3.18244 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPPSAAVSAAGGRGQAHQRTRFLLLLLVAVAASASTAGYLLRGAPLSPCGAQGDPVAIAAAHAAGAAGSPLGFMKSKLVLLVSHELSLSGGPLLLMELAFLLRQVGSQVVWVTNQRPEGTNDASYSLEHKMLNHGVQILPARGQEAIDTALKADMVILNTAVAGKWLDAVLKDHVPQVLPKILWWIHEMRGHYFKLEYVKHLPLVAGAMIDSHTTAEYWKNRTHDRLNIQMPQTYVVHLGNSQELTEVAEDNVARRVLREHIRESLGVRSEDLLFAIINSVSRGKGQDLFLQAFYQSLQLIQHQKLKVPRMHAVVVGSDMNAQTKFESQLREFVAKNGIQDRVHFVNKTLAVAPYLSAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVLDGSTGLLHPAGKEGVMPLAKNIVRLASNVEQRVSMGNRGYARVKERFMEYHMADRIAKVLKEVLRRSQQHSRS >cds.KYUSt_chr5.6219 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38445165:38445671:1 gene:KYUSg_chr5.6219 transcript:KYUSt_chr5.6219 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAFPIGFTKGIRSHWRRRKYQRLENGEGGSRTKSTQRLGGGARRGSGGWRVRLRGLILRRVRVVRAVVALPGRLLCRLRDAYVSGMLTVARKAVVTAIPSDGMWTKRVPRRKHHKLLLPGAAAAAQGPSEFEKRLVMEIYKSIVASKELTTMLHSSTAHLPTNAA >cds.KYUSt_chr4.35486 pep primary_assembly:MPB_Lper_Kyuss_1697:4:217830179:217831558:-1 gene:KYUSg_chr4.35486 transcript:KYUSt_chr4.35486 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYRYRSGVDTFSLPVAAPSVSVAELKRLIMETSRHGHGRTRGRGPREGIAIADAQTGEEYADDNALVLRNSTVVVRRVAGPPAGTIVEPSTQRPKTNQDSSSDSAASTSSASAGAEDDDEAKAINAVINATELKWEGPSHYGHRGPVPVRAPPAGYVCHRCRVPGHLIQHCPTNGDPRFDFGKARTVLPQAQADDNDDDDGGVPADLYCKICKKVMADAVMTSKCCFSSFCDRCIRAHIVANSKCACGARARANDLIPNPTLRTTISNILAARATSASCGRTEKQRSSVGSNAETQSPAASQHSHASSKKNASSEHSDGSESSTSAPAAAHEPRKKELETTDTAGARAANHADHQYGCHDLPPFPPACYNPFFPWSADPSMYYGYGYGGMPYAYGGGYPMGPHHVDAMGNMEASYGYHGERHDGRKRTAWDDQRQQDPSFKRRCGGSRSQVALVLT >cds.KYUSt_chr1.11646 pep primary_assembly:MPB_Lper_Kyuss_1697:1:71742663:71744878:1 gene:KYUSg_chr1.11646 transcript:KYUSt_chr1.11646 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMSDDGESMEAAVDGGEDRIGALQDDLLKYLMSFLLSREAVRTCVLAKRWRTLWKSVPALRIDDPESFKGARGLSTFVDDLIRHRDPTPLNVCDINLTRAIGNSGAWNRGSSMLYRVKFRCRQYPVATLPSASSGSKLQVLGCHSSMPMSTT >cds.KYUSt_chr4.27820 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174792577:174793576:1 gene:KYUSg_chr4.27820 transcript:KYUSt_chr4.27820 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCFGDSLTDTGNSAILPATAGGPFTNAPYGQTHFKRPSASGRLVDSTVELLKLPYLASRSADDLRRRSLTDTRNAAASELKSPSDRASDGRLVIDFIVESLELPQPTPYLAGKTANDFQKGVNFAVGGATALDMAFLKTKGIKSFVPISLSNQTTWFNGVLKLLASKPNEQRKLMASSLFYIGEIGFNDYSFALMNNVNYGV >cds.KYUSt_chr2.15933 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100296333:100296677:-1 gene:KYUSg_chr2.15933 transcript:KYUSt_chr2.15933 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSAWLAAAARVPAELCQGAPRPGQRRRLRADEVLRALFAPPAHELERLAGCLYLFFCMPLPDPDEYYYVPASGRGGAWVARRPGVLYTYRRSLSVSSSSDDDDEGLYYSDA >cds.KYUSt_chr1.42704 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261292183:261297186:1 gene:KYUSg_chr1.42704 transcript:KYUSt_chr1.42704 gene_biotype:protein_coding transcript_biotype:protein_coding MDVICQAKSGMGKTAVFVLSSLQQIDPVAGHVAALVLCHTRELAYQICNEFERFSKYLSELKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPICKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYRRRFVGGPRAGESLLAKIERCYERVKPVDGGFCFGLLDPVSNILVSQAIALHKHKLPEGEKEEVRVPTMPQSIYQRSRHGLVALVNRYYKIA >cds.KYUSt_chr7.15535 pep primary_assembly:MPB_Lper_Kyuss_1697:7:96632421:96641581:1 gene:KYUSg_chr7.15535 transcript:KYUSt_chr7.15535 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVGRKQKDIIPLGKLARYANNIEGPSSGGSWRCLLPPIDDNNEEEEEEEKEAPRRVFHSGDYVLNDKEDAMSNPAGRSIELAFVFVMNLQRSGMAMEIWNINLYYEDENKNHKVLEASMDRTNISFYDLVNLIEGVGFSGIDYLYYRKKYARGKGHLVHIDNDTHVRKMITDHNKEKRVQLYVYKERANIDVAPSEPQGEDDGPITSFEEEDAFPEGATQTRTSKSQTMVRKSKRLNVVQSRAQYANGDFANSEQSRSSRDLLETHELEDNEDHLTERDDNLDNMTGDGKAVDKRGAHSLPAVWNMPDGARIVVKCNNLGQPIGDEGGVLGKFLGTIARLGGYCPLDKKDWRNVKKDGGADTILQCVQAVSEKNKISRASVKTSHTAGTKSYARWAEDLRQEDPEKKQPHRAKADLADLEKLIHNEPELAQSDHGKVAWKGDALHTILGEEKPGQVHGMGLLPVPNQVYGRLPRYLKNINMTTTNGSSHAGESDVMEEIAKLKRSIEEQDQRIEEQDQIIEGFRNQDEANLRQGNDEFQLEVPQNRRKRVHASGPEQVRLVSRPKDTIKVHLLLQSMVDLRNIIIDNGSNFDSKEFRKFCDDGAAGAWVEELPSVLWSPRTTPNRSTKYTPFFLVHGAEAVLQADVRFEATRVTAYTEATSNIALQDAIDLLDEARYIALARMAVYQQAIRNYHSRRVRNRGFNIGDMVLRLKQERTLKLESPWEGRSSHRSYTRRSLSSEKSCFGQGR >cds.KYUSt_chr5.4555 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29149203:29159613:1 gene:KYUSg_chr5.4555 transcript:KYUSt_chr5.4555 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSLQSMDRRPHLEFSAASPSSSLILMRLHLRLPRCSHPGHPLVLRFRAPTKMTVMEKMGKRHGPRTAVSCTSSLASLHGSCSEWMELVVDANNNTEGDDLDLLNEELTPFHLELKGDFVTTTTHIKRSFLQPVGGLSGDLQCRASSSTRWLLHGFQSGGMLKMSMELRGMCGCLIWWLLGCGEGSGSIGLMGLVFILRMLCLGGVPLKVYEHTEQQIAMVFVKGEVDAALTQQGRSGAAASQEVAMAADYFILHFRLCCHANGVAQRFRCYCRCLLLRWEETCQNGSSKVQQGQNQVLSQRKTCLEDTAMDDLVGHGNKGGSNDCENIGRDSCFRRRISVVYFHFNYFFTFLWFLAVGKLAVSGSGFPSAC >cds.KYUSt_chr5.41202 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260055188:260060902:-1 gene:KYUSg_chr5.41202 transcript:KYUSt_chr5.41202 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVEASAAAEAPEGKKNWKRKGKHDKEKPWDQDPTIDRWTVDKFDPSWNEGGLLEVSSFSTLFPEYRGRVINHLSSSPIPFPDYRGNQDRMRIGDPLLVPSAEKYLQDAWPIVKGALKEFGVSCELNLVEGSMTVSTTRKTRDPYIILKARDLIKLLSRSVPAPQAIKVLNDEMNCDIIKIGSIIRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGTFKGLKQVRKVVEDCIKNIKHPVYHIKELLIKRELAKNPALATESWNRFLPNFKKKNVKQKKPNTKEKKQYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKKWQDKLDKQSEKSEEKKRKREAAFVPPKENTQSTKTTDDNNGIADIAKSLKPLVLAIQDSLKDAIEKDKAEEEERAAAIATVKEAEARAAQAQAEADGFIVDLSD >cds.KYUSt_chr3.21240 pep primary_assembly:MPB_Lper_Kyuss_1697:3:130275419:130289102:1 gene:KYUSg_chr3.21240 transcript:KYUSt_chr3.21240 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRSFPSMDASCRPTEASTDGFGDSDPPNLGLVRTEEEEYVESFVRVSLLLASEDLRKVQDHGSKMLKLLRCIHALWSVEIGIGWLPQEVDMAKSLSCNELGCLLEISDGLYTIDSDESFRENETRALLEGTRQRVYNFIGQCTTVEEAFSKLLDSLSVSNAFMEALECMEFRHLGKLIHLVAVPLVKHCPSELWEEWTVNFLEPILLQCEHRLHAAWFYHLYENQADSLFNYGNLVGEDEQIKSLGYMLLLEFTRKLSGLLEALALMEQTSVRLHEDVKPICNKDTVSSQDLNSVSPSSLFRFLLRHDCFGKLRMGLFGYFVDDEAARKALQFCRCLIRLAVSTNDGRLRLLIVDDLLPCLTRRLDNDLQCAVQHVMRPLRSSTTASVDQELLFLCKDLYVNLVKDSDGKDKDSDSDENNFESWLTKEKGNLRVKACSAAKELPDGSDWNWEFEDEFQRYLPAYMEMLQQVDSIDVCEVYDHLEEEALLEKLGTNFRSKYGINSREHPYMLTISSLWQVFNCSSATISRLQEKFEINFEDPECAMLPSLDLLDDLLSLWEPVYHPLIRESHMDILLRKVDQYIKANEREHCQQVVPATLDFEVHLQPYADAFFENKLKENMYFRAIDQIHLHNEFDRQLASGGLDHLVDECISSKDDFVKNLLVKDEVAKMKFQDLDHDLIKLSLERARQTPVPRAPVPLARPRTLGEFLEAAKSRSDALLQTPAVRRRLVELNFQPRRSSRMAKLPGGMNAEMKAVRNLMRKLGLLSGDEAPSEAALDAYHKMYELPLTDDMIEAIAEFYGWTLSSIRGCSPPMLGMSGGRLVEA >cds.KYUSt_contig_686-1.1324 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8333437:8334733:-1 gene:KYUSg_contig_686-1.1324 transcript:KYUSt_contig_686-1.1324 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWTPPDCTTFTDLMLDGSPIDLEGVSPTHRRTNVASSPIPRVLWSPGAPPPGPYGPYAPPPAPPGPYGSFLPPPYPYPQAPQNAPPVGSGSGTVPPYPPPSYGSYPPPPYPYAPYGPYPPPPSEAPSSESNAAETIVPPRAKRLDWTVQEEEKLVNAWLFNSKDSVAGNCKTGTSFWGGIAATFNATADPSRHRTSKQLKDHWNAYNKEVSLFNAYHIQETNMRQSGADDDMVMKAAMERYAADKRVTGPFRKLHWWNAVKNEAKWKGQHGPGSGTDSSSKRIRLGPSGEFSSSDATGDTEEERPMGRDRAKAAVRKGRRKGKETSSSSEVGSKSFTMSNMMKSLVKAKLFKQWNKVKDRSTVDMNEAEKRKHAKAMKMLEKELGLEDDDDEEEEQEQEEEE >cds.KYUSt_chr1.15684 pep primary_assembly:MPB_Lper_Kyuss_1697:1:91230852:91233259:1 gene:KYUSg_chr1.15684 transcript:KYUSt_chr1.15684 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRPASAPPAASAAGGGEAQAVVMSVAIVPLSQAYGWTPSFAGVMQAKMVLLIDINSTPPEEDDDVGRVLDLNFSPHTPQEQEQAAQPGHIPDEQEQEQAAELEQNPEQEQEERNLQRRRKDVPERSKFASYIALKALGKDRPVVKADKENVAQLLGISLRSVEKTWKKAMDQEARNEVVDFSNNWKMCGRKRRELNLDERVPQVPLNQRGTLRALARSLGVPFSTLQRRLAWGDLRRHTNSLKPYLSLENRLRRLLYCISIIDEPSITDCKAYLQNDGEHNAYG >cds.KYUSt_chr4.43098 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266991664:266992368:1 gene:KYUSg_chr4.43098 transcript:KYUSt_chr4.43098 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIWATTITFSVVYSQNSSMFIEQGMVLDKQVGSFNVPPASLSTFDVISVMFWIPLYDRVIMPIARKYTGREKGFSELQRIGIGLFMSIVAMASAALVELKRLEIARSEGLIHEKVAVPMSILWQIPQYFFLGASEVFTVIGQLEFFYSQAPDAMRSLCAALALLTISVGSYLSSVILTSVSYITTQGGNPGWIPDNLNEGHLDRFFWLIEGISFVNLLVYIGSAMRYRYKNV >cds.KYUSt_chr5.3516 pep primary_assembly:MPB_Lper_Kyuss_1697:5:22729192:22736997:-1 gene:KYUSg_chr5.3516 transcript:KYUSt_chr5.3516 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGAATAGTAVQLIDGEGGFATDSAERFMAAAGVAECGLSYAVVSIMGPQSSGKSTLLNQLFGTNFMEMDAFRGRSQTTKGIWMAHCVGIEPCTVVMDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLEHLEPVLREDIQKIWNSVPKPEAHKDTPLSEFFNVQVTALPSFEEKEEQFREQVQQLRQRFANSIAPGGLAGDRRGVVPASGFLFSSQQIWKIIRENKDLDLPAHKVMVATVRCDEIANEKFGCLASDAEWLELENAVQSGSVQGFGKKLGYIVDVHMQEYDKEAVYFDEAVRNAKRKVLESRILNLVQPAFHKVLSYLRGKALEKFKSDLNLLLENGKGFAASVRESTESSVNEFDQGCADAVIKQADWDYSKMLEKVRRDIEEHAFSISERKLSELTSRAKEKLRKALVEPVESLFDAASQTTWESIRNLYKRETEAILPEFLNTLSGFEMESAVSEGMVSKLRDYARSIVENKAKEEANKVLMHMKERFTTVFSHDKDSMPRVWTGKEDVRAIAKDARSAALKLMSVLAVIRWDDKPDRIENILISTLLDSLVESKSSSATSGDPLASTTWEEVHAKHTLITPAQCKSLWKQFKSETEFTITQAVTAQQAHRRANGGMPPPWAMVAIAILGFNEIMALLRNPIYLFLLFVGYLVAKALAVQLDIGREFQNGMVPGIISVSAKLLPSMQNLLRKVASDQQQQQQGQHQQQHGQQQHRPQTTEAPEPQPPLLLSPNSSMRELRRLHMPPSPVRRSLSSPSHSSSSSPLGSPRRVSEDQKPRRALEPESESSSTYSIV >cds.KYUSt_chr4.48554 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300701862:300704423:1 gene:KYUSg_chr4.48554 transcript:KYUSt_chr4.48554 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPPPEPCPGSPAALADDAMREIFLRVPADDPKSLVRAAAVCTTWQSILSDVVFTRQYRAFHGAPPMLGFLHNTLQMNRRYEEYVVSDFVSTASFRTPACHERQHWRALDSRHGLVLFHTPSKTKRDGDFAICDLLTYDQWRIKVNDECSDIFWHDRDNEGVLWNAAVLCGKDRCDHLYCHGGPFLVALVFCDKGQTTTFASVYSSETHKWSDMISVEEPNAIKKSGHITVVGDKVYFQCERSESIVEYNIGEQELSVIHLPIEDEDLPDVELMGVHDGMLLFGTIVWPRLYLRSMEAGPNGAKGWARHRVIELRPLLPPRALFLMSVVGFAEGVGAIFLSTQDGVYTIELSSGQSKSVHSKPIFGKVMPYMSFYTRAWGRLSTSE >cds.KYUSt_chr5.8987 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57099725:57101529:-1 gene:KYUSg_chr5.8987 transcript:KYUSt_chr5.8987 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMETCAGHKRLPAEGHQETVNDQSKRPRDNDDEEEREMLAELAEWRDNWAGTWSDYYGSVDKRTQVGPVQFTMGPVPSYPRLESALQIFSVKMVELKGTMTCWPIDVYGFIAVRDSVDRNRNYIFERARSNCQTLTEQDSSLVLNGPSRAVQLIDPVLFEVELRVKGIRPSEDKLLSGEFFDYNCIIGYRVGSLLEEMVSGPRSTLQFKYAHLRLALEAQINVWFAEGSTDFSVKFVARTASIDENATLLECKDVATALSDDGSIDLSRNVLVVEGNNGVLIVGAQVKQQGDDEEAGSIYREVSFTAATYGESHGTLDVGFCKMNVVVAWSLLF >cds.KYUSt_chr7.25835 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161248254:161250681:1 gene:KYUSg_chr7.25835 transcript:KYUSt_chr7.25835 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVQHYYQTFDSNRAALAGLYQDGSMLTFEGEKFGGAAAITNKIGSLPFQQCQHKIDTVDCQPSGPQGGVLVFVSGTITTGPGEHPLKFSQMFHLLPAGGSFYVQNDMFRLNYG >cds.KYUSt_chr5.2907 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19003251:19004288:1 gene:KYUSg_chr5.2907 transcript:KYUSt_chr5.2907 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRVPSCIFAVRLLFAVRRSPAARQSCHCRARGARQRTATRQRVEKAHGKEARTAVRLFPVGWCHGGDELLLVYELMHNGSLDTHLHKPDCVLAWPVRYEIVLGVGSALLYLHQDMEQRVVHRDIKPSNIMLDSSFTAKLGDFGLARLINDGRRSYTTGLAGTLGYFDPESMLSGRASVESDIYSFGVLLLEVACGRRPAVVHKNGDVVHLVQWVWDLYGGGGIVGAADERLRGELDDREMEYVLVVGLWCAHPDRGMRPSIRQAVNVLRFEAPLPSLPARMPVATYGPPTNPLSFGTLVLSSANGR >cds.KYUSt_chr2.34182 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211254303:211255166:1 gene:KYUSg_chr2.34182 transcript:KYUSt_chr2.34182 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSAQEVAPAVSAPAAPDMAVSGILAASTISILFVIFVLAIFFFQYCINNGVRTLPSGGVLAPASGARDKGVDPELLRSLPVRVYRVAASKGSAAEDVGVECAVCLSELQDGEVARFLPPCGHGFHAQCVDRWLASHSTCPLCRVTVAKPDASLQALTSTGLPDVPSEPANYATNLPASVLLGVSDQATLTAVTVASDGGRPRPSALASAKALVIDIPESRAVATPREAVKTPGSARLRSLKRLWSFARQEPSGSTPSSSWGTGSGSAGDEQIINIACTTPRSQL >cds.KYUSt_chr1.29081 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175932961:175933547:-1 gene:KYUSg_chr1.29081 transcript:KYUSt_chr1.29081 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGAAGGVVKDLRAAARANIVLGLAMAAMALVAATTNPSDFHAQAQIIEHASPAQAPAPGALQCAVTEAEVLNLRATALLLVLTGVGQAFLAFAADVALAGSLRDLGGFVTFTAYLIGAVNAFFLCNVVSQVVVVAIGHCAVLLAVGYAFVAGTYATLLGVSIAVTLC >cds.KYUSt_chr2.30772 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189527480:189542161:1 gene:KYUSg_chr2.30772 transcript:KYUSt_chr2.30772 gene_biotype:protein_coding transcript_biotype:protein_coding PFNFERGSTPGGLPKLQLNPYSWSKVSNIIYLDSPAGVGMSYSSNKSDYTTGDMKTAADAHTFLLKWFELYTELQSNPFYISGESYAGIYIPTLADEVVKGTKKDLQPRINLKGYLIGNGATDMGYDYNSFVPFAHGMGLISTEFFENVSAACHGTFWGEVNDVCQEKIDGVRLELKDLNQYNILAPCYHHPEIQEVVFVNSSLPASFRKLGETERPFPVRKRMAGRSWPLRLAVSNGRVPMWPKLTGRSLPCMSDELATIWLDDEDVRAAIHAKPKSVIGSWELNTARIDYTHEAGSMLKYHKMFTAMGYRVLIYSGDHDLCIPFTGTEAWVRSLGYGVVDSWRSWYFGGQVAGYTQGYDHNLTFLTIKGSGHTVPEYKPKESLAFYTRWLSGEKI >cds.KYUSt_chr7.1669 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9485738:9494145:1 gene:KYUSg_chr7.1669 transcript:KYUSt_chr7.1669 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFDKPWFLSEGKLAASEAAPDPSRTAIFLLRKKPNRAAHKPTSPSPGEPSSPIQLAASSVSATSELRRPAMSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFEIHPKLYIGLSGLASDAQTLYQRLVFKHKLYQLREERDMKPETFASLVSAMLYEKRFGPYFCQPIIAGLGKDDVPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPDELFETISQALLSSVDRDCLSGWGGYVLVVTPTEVTERVLKGRMD >cds.KYUSt_chr4.20380 pep primary_assembly:MPB_Lper_Kyuss_1697:4:128212340:128220390:-1 gene:KYUSg_chr4.20380 transcript:KYUSt_chr4.20380 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIAYGPPGSPVVVDQDGRCPTQEQGAGSEPPYRVDKPEHQPHNDHESSSSDEPPVFDDWVDAEVEYVGVDDECEYKELLTDSDESNLDDGYDSGESFHDDLVVDDTVGCETIVHVTDFENPKIEVGVTFEDAGRPRTRRYKGWEEGGKGRRTVTCKRCHQKGHMKKTCNETVLDPNAPPPAPPKPKRVRKRSKKAVEVQPQSKQTEAPSTHIESSSLDINTTPSKAQAPSMDISSPMTRSRKRQLDLDSAAIQGMCTPTKQLACTDDTNNSPMTRSRKKQSGLEAAKSKVPAKPKVMKKTTRKLENKEYHEGRIGGLAKGGLSLRDAEGLAWCSRLCSSGYPYGVILGSAVGSAVTGGTVGCYGFWMHTAPYGRS >cds.KYUSt_chr5.33878 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215273607:215282253:1 gene:KYUSg_chr5.33878 transcript:KYUSt_chr5.33878 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSPIAVTASFSTAPPTPPPHRVRLPLCRLHLPLDLLELQLHYIDPDDHLLEPRLDHVIRCLGDEELNIQINMHLLKMEHYDRLMKNGKMLEDENKLLAFKLKKLQYNSQIDLRIKKMKSDLKKITGFQTSRVCEEMLSKRKLMLSYNVVKFCAGIACTGL >cds.KYUSt_chr6.13444 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84182015:84183834:-1 gene:KYUSg_chr6.13444 transcript:KYUSt_chr6.13444 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDPEPEPASLSAAGADPGQARRRYKRRKVAILLAYLGAGYQGMQKNPGARTIEGDLEEALYQAGAVPEAERAAPARYEWARAARTDKGVSAAAQVVSGRFYVDPPGFIERLNAKLAPQIRAFGYVRVTNSFSAKKFCDRRRYVYLLPVLALDPSAHPDREAVKASAGSGNELAKCLECSERGRKVPDIMGREGKLPNPEQEKVLDTAGGETVPAHGEVGSNGNADSVSASSGNGTPALNAEPGSNDAENCDIEQAGSTFHSEALPTNPSETLCSDSTVGSVSVAASVVAEPAANEENMQAMDIQKENGEESPQSTFAYTNEVKEKFSRILKHYVGTRNFHNFTTRTKAEDPAAKRFIISFTADRVVSLDGIDFVRCEVVGQSFMLHQIRKMVGLAVAVMRNCAPESIYDVAFRKDIRLNVPTAPEVGLHLDECMFTSYNMKWKDTHEAVSIEPYAEDAEDFKIKYIFPHIAAMEHKEGAVALWLHSLNSRNYPDFRYMETTGSEARVGAGVGNIEEALVPVVAVIDNMDKAQDPVAAGVENIEEAQDPVVAGVEKVEEAPVPIDNVSN >cds.KYUSt_chr6.8317 pep primary_assembly:MPB_Lper_Kyuss_1697:6:51013300:51013896:1 gene:KYUSg_chr6.8317 transcript:KYUSt_chr6.8317 gene_biotype:protein_coding transcript_biotype:protein_coding MCTMAHLQVGLSMDDMCQNGYLHWLSIDDGNLQVFDGQDGKIVDLNQSPNTATRLLELLEHQRRREGTSSTGGQQVTSAAARGGSSSSSSFGSILLELHCWREGSSSSVEKWAPSVAARSGLQQRRREVAPGAGKAREAVVGVRRERSPTWRPSARVRETPFDGRAIPAAVDREGARFRRRSTARGARFRQRSTARGA >cds.KYUSt_chr6.20335 pep primary_assembly:MPB_Lper_Kyuss_1697:6:128114684:128117586:-1 gene:KYUSg_chr6.20335 transcript:KYUSt_chr6.20335 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSPMVKTAKGAVTGLAAGTIWGTIVATWYDVPRVERYVALPGLIRTLKMCGSYGVTFAAVGGLYIGVEQIVESQRKKRDFVNGAIGAFVSGATVYGYRGKSIKSALIGGSALAFTSAILDVGGNTTRVDNGKAYHAYTTERKACALTKVVL >cds.KYUSt_chr2.35177 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217067429:217068501:1 gene:KYUSg_chr2.35177 transcript:KYUSt_chr2.35177 gene_biotype:protein_coding transcript_biotype:protein_coding MQTREDEEASDPKALLEAQTKAKCVRQWYLYKDCVKRIADDETGHKHCTGQYFDYWKCVDKHVAEKLFDKLK >cds.KYUSt_chr4.17858 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111832167:111833042:1 gene:KYUSg_chr4.17858 transcript:KYUSt_chr4.17858 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRRFINLMMKDLRVGGSYWLSRMSPEKNLFYASVGEAVESATRMPLYASASELSSPKFRFKSSRALDSTFAFMPFYGVDAGPSEGRIVVSDSAGHTHLYDAEDGSGEMLPPTNESSNWHSPISVCVAKDDAMRADALYVMNGRNSTNFRSLAYRKDDDTNTMAWDWLELPPPPYVSGKEDPTIQSYTLLQDKRTICFSSLTVGGGFGTYCFDTDSLEWTKAGSWTLPFVGRALCIPQLHNLYFGFHDSNDESLVAVELPSPLGASNASPKVIHEWPGFGQPDQRNGCEW >cds.KYUSt_chr4.11095 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67420348:67420674:-1 gene:KYUSg_chr4.11095 transcript:KYUSt_chr4.11095 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASDQGCPGAAPLDKLHRHLVAAPRSVPIASALHADDRRAEPHLSALRARMKRRPHLDAAPSTVKKLQFTLCDELVLGMGRSSALGNKNESRTLGLSAYPRGDPSG >cds.KYUSt_chr7.725 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3977701:3979899:1 gene:KYUSg_chr7.725 transcript:KYUSt_chr7.725 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSLVGSVGPAGSPTYLTLTAILSSNPLDLEVFAYKNDVTPPRTYSSILGCIMRRNFPGIVKLPSGERTVAWYWEDYKYAKNPSPEYRDMQEQVQCLFWKYFTMQPGKHEKCKAVLFNICTKMVTDMHYDARVSCVLNWYAEKRNVRISKSQARNKHLHAWQYMQVVPQYVSSNKKCYVAMVKHWTSDEYKKKHEEGQTYRVMMDGASHVQGSLPLEVARRREAKKTGVDPNVFEFWETMHTRKKPHPTTGSMWVNKGSELRSTKFGAEVQGDGNIPPETIPTLSQLRRGRTSSQPAIEKRPRLGTIAMDEIRNEVAEERRRREDMETLVSQQQQQLAQQQRGPDPAAADPGGYESPRCNP >cds.KYUSt_chr6.1069 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6798239:6799513:1 gene:KYUSg_chr6.1069 transcript:KYUSt_chr6.1069 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRINPSKKPILHLPCPFSSLSSSNPPFPLPPPQSDDIDASPHPPPTNSGEPPQRPSLFSDLRERLRSSPALPPPWQIPTNPPRPAAAPVCPSMTSSVASSPSVPRPGTACFALTVYDVDCRRFDVDCAHSDIGRRFDHGV >cds.KYUSt_chr5.41464 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261615499:261615918:-1 gene:KYUSg_chr5.41464 transcript:KYUSt_chr5.41464 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKWQKMAAMGRKRLTRTTSTAKRAADECCTTSPVAVKGHCVVYTADGARFEVPLAYLGTTIIGELLGMSHEEFGFSSDGRITLPCDVAVMEYVLCLLRRNASEEVERALLSSMVRTCHLDSGSEPSMGVRSVAVSSF >cds.KYUSt_chr4.22367 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140522738:140527467:-1 gene:KYUSg_chr4.22367 transcript:KYUSt_chr4.22367 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFIGFVIPFVASLLITKRKSEKKRGVSVDVGGESGYAIRNCRFEQPVETHWEGIFTLAELFEQSCKLYAHMPLHGTRKLISRETEVASDGRSFEKLHLGQYEWKTYIEAFRAVCNFSSGLVQIGHQKDERVAIFADTRAEWQIALQACFRQNITVVTIYASLGEGALCHSLNETEVTTVICGRKELKKLIDINGQLDTLKHVIYINEEGVSSEVSLAKQCTSWRVESFEEVERLGLETPVEANLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLATVSAVMTIVPALGKKDVYLAYLPLAHILELAAETIISAVGASIGYGTPLTLTDTSNKIKKGTQGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGVAKKLFDIAYSRRLAAVSGSWFGAWGLEKLMWEKLVFHKVRAILGGRIRFILSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAALSCSYIKLIDWVEGGYLTSDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEATLSVSPYVDNIMVHADPSQNYCVALVVAAAGELENWASKQSLTYADISDLCQKQEAVREVLQSLTKAGKQARLEKFEIPARIKLIPEPWTPESGLVTAALKLKREVIKKGYENDLAKLYR >cds.KYUSt_chr4.9643 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58207238:58209775:1 gene:KYUSg_chr4.9643 transcript:KYUSt_chr4.9643 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLLEGAMALAVSPDANSSGAASPPPSSAAASGPMSWLSGCHGAWYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAVLNLLWCFLQVWQCMPDRAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNETSGYESLARTFVISGAVVCADVLLKTIYVFGFSVPLFIDADQGTGGKWGLWILHKLVLTGVYGVIVFMYHSRWRDRLPAKPAYYHYVCAMLLLNALSLFGCFLAACGAGFGLWLYNLTSVCYHSLYLPLLYVTFLADFFQEEDMLLENVYYSEMKDAGFFDADWD >cds.KYUSt_chr2.43842 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272914930:272920812:-1 gene:KYUSg_chr2.43842 transcript:KYUSt_chr2.43842 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRRRLVRRAAAWRLSCRRVPIPLVPAREPERTAEIQHRRRYLPADLRANPAYAIDSELWRTYLSTETDRRRRAGFMGDRDYPFGPAPPAHRQQAPTRREQTQHNDADDREDDDDDEAYAAYDDDDDYVEALAYHSEEVKDDSDDYIVVVFHEWQQAMADGRNFDFPENMTDDEMAKVAVLVSEYDAPVQPPLPRYATAVMPPGLSADEALRQALLESAAPPPPPPPLPQPYVWRLLHRRHSLIPGRLLHRRHSLMSGHLNRRHSRSPGRLHRRHSRSLLNLEHRRCDHVIGIPVSNRAFGIEEPEFPSKGATCHGNAKYSTANTRASGKSGRAGDKFAKGIKEHVTLGPKLYDTIRGKLSLATRVLHAGGVENVFRRWFAVEDGEKLLKASQCYLSTTAGPIAGMLFVSTERVAFRSDRPLALTSPKGDTVRVPYKVSVPLTKVKTAKPSENKHRPEQKYVQLVTEDGFEFWFMGFVGYQAALQQLEQAIAHAQLR >cds.KYUSt_chr7.11608 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71354571:71355186:1 gene:KYUSg_chr7.11608 transcript:KYUSt_chr7.11608 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQSEHLLLRRVYAFLKSRKLHRAAHALEKEARLRFDWPRVGSMIDEGRWRAADEFQRFVRALKRGDEAWARRYLACAILPVLRAHPDRDAAAARCLAVLRDRAALDVHRDDAQSRRACNLAFLDSIFQNDGFVILTDDDADLKRLQRATSIGLRRHAPPRPRRSRPTSSASALPPAANS >cds.KYUSt_chr2.44412 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276293972:276296159:-1 gene:KYUSg_chr2.44412 transcript:KYUSt_chr2.44412 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNTVEQIAADVAVLLADVSSSGSGAPPCVGAADWSAGGEPLGDVKVWGRLLAATGLALEKLPFRGFLQGLSQGIDSIAADLKDQKMTVIGDMDTVTIAKRLRKFGRIDILSVGPAKEEKKDDKKGDKK >cds.KYUSt_chr5.42445 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267541695:267542333:1 gene:KYUSg_chr5.42445 transcript:KYUSt_chr5.42445 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLLAVAVVAGCAVALSGATDHIVGDRTFCNPNINHTLWSGNHTFFVGDLICKPPPLHFSCIVMKKLHLLFFAISFETIFLQRVCPCRAALRHQKGTHNVFEVNETGYNSCTMDGVAGNWTSGKDLIPLKEPRRYFFICGGNGLCQAGMKVVITVYPTPGNATRSRNVTEFHHGPEFLPDCSPDCGTAASSITTRLMVAALAVAIAAVA >cds.KYUSt_chr3.4685 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26575922:26577524:-1 gene:KYUSg_chr3.4685 transcript:KYUSt_chr3.4685 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHGHAILDLNEVNTEQGGDAPAAVLAGEVAGAEEGEPERVTETDNSVRAAATEGSTKQDHEVSDGDSVRTQSEDRETFENTMVTQFEFRAAAAVAAPPSTFLRTWSRGRKQAGLTEFVAAGDADGAGSKYPVLGASSSSGPATGRHEHVSVMVDGDQGAAPGGDKKLKRACSAPGATVAIAHASPPDSYRRMPWRSRKQYSPKRFVAAVGAPPSAAAPPSDDRLLPAASLCSALPSASRTGAASDATASSGVFDSEASSSKRPPSRGRKQPRPEHFIPEEAEATASAKARWSDIVLDGFLSGSSVSEWVRNVTAQDTAVDGERQRGVKGFRIRDASHHAARALSRLGCTSPSSSSAAAGGPPGEPGGKGRLYGVLAVLGASLALTVVSCVLFYIAGQRSEPGLSREKPDE >cds.KYUSt_chr1.28567 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172608060:172608305:-1 gene:KYUSg_chr1.28567 transcript:KYUSt_chr1.28567 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEESITKILLLQALPLLAVSRLHGQVRWRCSWSSGGKRRGRRVTSGGVKVEQEMKGLPCAAPCSVYLGLILKDDGSSRA >cds.KYUSt_chr5.28252 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178844186:178850306:1 gene:KYUSg_chr5.28252 transcript:KYUSt_chr5.28252 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKAAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDSNPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASGSADFSAKVWDALTGDELHSFEHKHIVRACAFSKDTHLLLTGGMEKILRVYDLNRPDAAPKELAKSPASIRTVAWLHNDQTILSSCTDTGGVRLWDVRSEKIVQTLETKAPVTSAEVSQDGRFITTADGSSVKFWDANTFGLVKSYDMSFTVESASLEPKSGSKFIAGGEDMWVHVFDFVTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPANTDAEEAADANGKPKAGIEEVTCKIEGFHIPKEEEKTEE >cds.KYUSt_chr1.41064 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251878782:251880424:-1 gene:KYUSg_chr1.41064 transcript:KYUSt_chr1.41064 gene_biotype:protein_coding transcript_biotype:protein_coding MCWARLVLGANEGEKGGRWRLGCMGVVEAKGTCCKRQMVIYSSRVKGDLLNVPLEHLKVQLASHPCEEGGHGWTG >cds.KYUSt_chr3.26342 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163966573:163967544:1 gene:KYUSg_chr3.26342 transcript:KYUSt_chr3.26342 gene_biotype:protein_coding transcript_biotype:protein_coding MVIACFIQGAYLLELDRQEKRDERTGLASQWWRPFKYRLLQPLVDERDGSMYGAVLEWDHQAALSDYIPFRPTRAPAAVVALRGTLLRAPTFRRDVVDDLRCLAWDSLKGSVRFPGALAALRDVARRFGAGNVCVGGHSLGAGFALQVGKALAKEGVNVECHVFNPPSVSLAMSLRVLGETAGELWGRARAWIPFVGSQPAPDTSGGNSESEAMASLAQAGMARWIPYLYINTNDYICCHYTSDAAGGTAAVAVDNRGRAGNGTGKAGVATMVMVSKGPTKFLAAHGLEQWWADDVELQVVLNDSKLIGRQLRSLYAAQVAGR >cds.KYUSt_chr4.17908 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112232491:112236347:-1 gene:KYUSg_chr4.17908 transcript:KYUSt_chr4.17908 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRPIVDPFHAEQEQHHLRVIVVQQLVEQQELSMVMTLVNLEPHNARALLMHHRWKMDRITDFLERRGRDGLFKEAGIMVPPEENNTAFPFGTAADKGAHKRSRIATCNVCFDDVSQLSDVSTMDCGHCFCNDCWTEHFLVSLDSGRKHIHCMEVKCPAICDDATVRRLLGLKYPAAAKRFDGFVLESYLENNASVKWCPSAPHCGRAIRVDATDASDWCCEVECPCGVSFCFNCAAPAHSPVPCSMWDKWDAKFRGESENLKWIQVNTKSCPGCLKPIEKNGGCNHVSCPCGTYLCYACGGKLDASHNCNRYDEKTVASYDAIRRQMLRFTHYCDRFNVHAASRKAEQEGTLWPSILKRILQLESAPNVRPLNRDASWLARAYHALLASRLVLSRSYAFAYYMFGDEVRTRPADRASLAMGKELFENQQEQLERNAERLSKVLATEAPTVLEEDQVVRTMQETVNLAKIVDSHCREMYTCIQDELLPLLLETMNIAPYRPDGPDKAKDLPA >cds.KYUSt_chr4.25434 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159797834:159799783:-1 gene:KYUSg_chr4.25434 transcript:KYUSt_chr4.25434 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSTTSRFSLPRYSNTLRPPAFISSHHHHLQLIAMRAQLALALLLLLAVASTRQLASALDYGGEVKKSEPAPEVAAAEKKSEDLAYAGGAEKSEPASEKKAEAAVEKKAEVAVEKKVEAAAEKKVEAAEDKPAAADYAEAAVEKKVEAAVEKKVEKKVEAAAEKKVEAAEDKPAAADYAEAAVEKKVEAAVEKKAEKKVEAAAEKKVEAAENKPAAADYAEAAVEKKVEAAVEKKAEVAVEKKVEAAAEKKVEAAEDKPDAPDYAGAKKKPSVAPAENKPVSAPGYAGVEKKSEVAAEKKSKSKSKEEGSEKKSKSTEKKPKTNAEKKPKSKDDGYTSVEKKSKAKVDTSKVAGAEKKPEVPKKDEPKKPKAKKPESYVAPKKEEPKKEEPKKEEPAPDAYTKPTKDEPAPKEEPKTPAPDAYATPKEEVKKEEAKKEEVKAEVKKEEAKKEEVKAEVKKEEVKAEVKKEEAKKEEVKEEAKKEEASAAGTPDAYAEPKKEETKKEEVAAKELKKEEVAAEEPKTPAADAYAEPKEEEVKKEEVAAKELKKEEVAAKEEPKTPAADAYAEPKKEEAKKEEAAKEEAKTPEATTDAYAAPKTAEPETPAASTTDAPKTAQPETPAAEATDGYAVQRPRKIGHLPGLPY >cds.KYUSt_contig_786.79 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:500009:503043:-1 gene:KYUSg_contig_786.79 transcript:KYUSt_contig_786.79 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLYSNHFTGHMPSSFGNLPMLQGLDISHNNLHGRIPMEIFRIPSLLLIDLSFNNLEGQLPTTIGNAKQLESLALSANKLYGDIPNTLSDCESLEAIELLGRITSLNVLNLSTNNLSGSIPISLGNLQFLEKLDLSLNHLYGEVPRKGIFKNASAVRIDGNKGLCGGALELHLLACDVVPSNSTRLKEPLVLKVVIPTASIILLAMVLFGIMLWRVKHKSKSISPPSFATKFPQVSFNDLARATQGFSTSNLIGGGGYGSVYKGKLVGNQNEVAIKVFNLETRGAHKSFIAECNALRNVRHRNLVCIVTACSSIDSNGNDFKALVYELMPRGDLDKLLYPTRDNESTSDLDCITMAQRMSIVVDVADAMEYLHHNNQGTMVHCDLKPSNILLDDNMTAHVGDFGLARFKDDSTTLSLGNPNYSSLALRGTIGYAAPEYAVGGQVSTTADVYSFGVILLEVFIRRRPTDAMFKDGLSIVKFTEINFPDRVLEIVDPQLLQELELCKETPLDLKESGLGYGVTVAQLPDLSDQTSPQSRGTRICGQRGGDWGGQGKGWRVEPEEDCEEEVRVSTTLAGIFGSGRGNGGGA >cds.KYUSt_chr6.773 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4903811:4904959:1 gene:KYUSg_chr6.773 transcript:KYUSt_chr6.773 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPGSSLSSGSNPFAGPDMALIRDLNIADRVPVTLSQTSASYSPWKRYFSLVFREFLLHSHVDGSVDSKLMVNDEEWMIIDATIIRWFYLTISTDLFHTVVADEDDARAVWLKLNGLFTDNKLQRKVLLHGEFYGCHQLDSSIDDYCMRLKKIADELRDLGEPIGDELLITTFTAGLHEDYGNAASNLTLLPDPTFPKVVAYLKLEERRLRMVRSRATHTALVTGTRAGPAPPAARPPPPGFPHPPAYQPVPPPPQPAPPANNNNRRRGGRRGGRQQQQQPQAQQPPTGGAARPQQVFQPAPWYAGQNPWTGVVHAYTMPVPRAPAPGVLGPRPPSHQAYFAAPQPYMPPYGWVGQPSQPGGLPLIRPRRIHNFCRSMLVL >cds.KYUSt_chr7.3034 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18041144:18044357:-1 gene:KYUSg_chr7.3034 transcript:KYUSt_chr7.3034 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDLVAVLGFLRDKGFVATELALQEEQTRLSAITNNPQVPRRRLPLHTLNPKLKFVSVMDLGTWLNIVPVDRSVEDPSEVQDAYDSLRTWEELLRVLYPVFIQCVVDLVKGGNTDEVCAGQPSFLSVSAMDSSRLFPLKQETVAEIVQAGSSAALVGVSALNLLAAKVSECDNTFGFCLHDFVNSYSMAVDAISRFFHWRIFARGAKTEVKSEADLEMGMGGQNRDQEGSLMLGRSKKQ >cds.KYUSt_chr2.55620 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346953345:346955149:1 gene:KYUSg_chr2.55620 transcript:KYUSt_chr2.55620 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPLHRQRVSPFIAGRTSRSSLRTGTVEFVRGNLELASDPVDVQNAVHARGVRTEPSQSPFAFCLSRPIHTLTTLNL >cds.KYUSt_chr3.34888 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218751419:218759088:1 gene:KYUSg_chr3.34888 transcript:KYUSt_chr3.34888 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGTDLLPGSRLFRATLLAFACAAAFLIGYRWSGASPSLLFFSSSPSSRLSSGSPSVAISPNSNVSFDPAGSGAGAASPLPPPPPPPPPPPPARLGIVGDDGAMRDDFDVGAVSNDTDLATDVDAPQEPSNPEAGGGNRVRIGKFPACPESMREYIPCLDNDEEIKRLPSTDRGERFERHCPAKDKALSCLVPAPKGYKAPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWITKAKDKFTFPGGGTQFIHGADQYLDQISQMVPDIAFGSRTRVVLDVGCGVASFGAYLLSRDVLTLSIAPKDVHENQIQFALERGVPAMVAAFATHRLLYPSQAFEIIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEAQQEAWKEMEDLTSRLCWELVKKEGYVAIWRKPLNNSCYMSRDPGVKPPLCNADDNPDDVWYVNLKACISRLPENGDGSTPFPWPARLTEPPRRLQGVEMDAYSSKNELYKAETKFWDDIVEGYIRVFKWKKFKLRNVMDMRAGFGGFAAALINRKLDFWVMNVVPVTQPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDLLHAFGLFSKEQIRCNISSILLEMDRILRPGGKAYIRDKKETIQEIKEITDAMGWRATIRDTSEGPYASRKVLMCDKPMVR >cds.KYUSt_chr1.28628 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173033448:173038434:-1 gene:KYUSg_chr1.28628 transcript:KYUSt_chr1.28628 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKSQWPESGHGFFMLPPLLKATAGRPKTERYKGCSDKKRKKGKHLCPIFKEYGHHWHNCKKGSPDDIAAMLAIREPPKKRTKTRKIALSIVPYEDGAPTRMLFPPPSLETTTNKKREHDNTESGDSKRSKTVSIKKAKTKNKFAEKIPDWLDFFSLSLCVFWIWICVVLDPATARGDQRERDRQRAQARKPGSKGRDDGLTPEQRRERDAKALQEKTAKKAAQAGAAAADAAKKKNAGKK >cds.KYUSt_chr2.13570 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85996870:85997379:1 gene:KYUSg_chr2.13570 transcript:KYUSt_chr2.13570 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATGPRPAEDDEEQEVACECCGFTEECTAPYIAGVRARYGGRWICGLCGDAVAEEIGRASPALLSPADALDRHARVCGQGRHRRASAPPSPTPGDDELIAALRLLLRRRLGSPSPPRMVRSTPSSPRRDRNGPVGSNAAAAVAGPGAIGGAAGSLARTESCFAALVE >cds.KYUSt_chr6.4141 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24064897:24065574:-1 gene:KYUSg_chr6.4141 transcript:KYUSt_chr6.4141 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRPLAALLVVHIHWLLLLAMVPPRVQSSPAPAPSAFHHLALAAHEYNATVYKASAARCAGCGGGGDGPATNAATLGGLGAWAEAVEFLYYHNAVRMARWELPLTWSTRLESYARWWASQRRGDCALRHSFPEGQFALGENIFWGGAGAAWSPGDAVKDWAAEGVDYSYAANACAPGRDCGHYTQIVWRGTASVGCARVVCDDGGVFITCNYYPPGNVVGQRPY >cds.KYUSt_chr4.54542 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336951975:336955140:-1 gene:KYUSg_chr4.54542 transcript:KYUSt_chr4.54542 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGFDLATYQLLQLLSGEALEGAGGQDGNAAAFFQPHPPPQAPMLGVDHQWAIDPAAYVASALPQIPGEGTWAGNGDAFLLSGGDWAGSGGVVPGQGEVWAAGPEPVEDGSMMLDGDAFLGNGQPAHGGGMQDLLMGGPDFSKFDPALLASVLINSLPANQAPDHPSIHAPPTIVLPAPQPNQPASDFPGPSRSTHVGATDDTPGMTCQMPTYSPVMTSQMPGFPSAMDYPQDASVHIQQAVPDEEEAAEVAQMVRVAAACGDEASTVWSEEEDQVLREGLSRFADQDNVHKCFSIASGLPKKTAHDVAFRIRWLSDFEQKKGAAKQAQLEQEKPAGGMFRKVKNSLDYFYYVKTNMDALRNK >cds.KYUSt_chr4.13301 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81988360:81989673:-1 gene:KYUSg_chr4.13301 transcript:KYUSt_chr4.13301 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHETDKNIEMWKIKKLIKGLESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTILTDDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALSELLESDDKFGFIIMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQTKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLMVWENLDVNRYVLKHSASGEIIIKHLNKETEADQSNFRDAETNAELEVQEKTTLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDDLDDDEGVYEDSD >cds.KYUSt_chr3.33535 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210548614:210549990:1 gene:KYUSg_chr3.33535 transcript:KYUSt_chr3.33535 gene_biotype:protein_coding transcript_biotype:protein_coding MQTETGACPVRVVSRRMIQPPSEEPVLDIHLTPWDLRLISTDYIQKGILLPNPPAGVAGQRLVDALASSFARTLARFYPFAGRLAVEQHDGGKTIAVLLRCTGEGAEFIHAAAPGVTVADITGSLRIPPVVRALFSLNHVLDADAAADAGSPPLPVLSAQVTELADGVFIGMSLNHSVGDGTAFWEFFNTWSEIHRGGEMASTARPAPLVQRFFLDACPVPVRMPFGELRDVVRRFERPTVEEGFFTFSAASIRELKARANNEIMAAATVSSLQAVLAHLWRAVSRARRLPLGQETSYTLVIGCRGRVDGVPAQGYMGNAVAFGKASCTAGEILDKGLGWTAWQLNRVVASFDEASVEEWLDRWTREPDFFYVGNLSSGGDSLTTGSSPRFDVFGNDFGWGKPVAVRSGAGNKIDGKATVFEGPERGGNMSLEVCIAPAALERLLGDEEFMDSVSMPM >cds.KYUSt_chr5.15604 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100802754:100803449:1 gene:KYUSg_chr5.15604 transcript:KYUSt_chr5.15604 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNVAVPESPAGAVVEEEQQQPTVLTVWRKSLLFNCDGFTVFDATGGLAFRVDRYGSSSGSRRRRAEDVVLMDAAGKPLLTVRRKIKLSLSLGEHWVVYDGDASAADAKPLLSVRRHHVGLHRRASDKTLAHITPLGSSSSEAAAYVVEGSYGRRSCAVRDAREGGAAALAEVRRKESVGDDVFRLVVSDHRIGAALSMGVVIALDQMFGGASSRTSTSLLPRSWSA >cds.KYUSt_chr3.29427 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184213539:184218638:-1 gene:KYUSg_chr3.29427 transcript:KYUSt_chr3.29427 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPASTGGGERRSIRGLFKFADRVDVLLMVLGTLGAIGDGCSTNLLLIFASDVMNSLGRGHAQQQGRAGSVDFMHDIEKSCLNFVYLAFAILVVASMEGYCWSRTSERQVLRIRHLYLQAILRQEVAFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLVHSTVFVSGLAFSTYFSWRLALVSYPLVLLLIIPGLIYGKYLLYLSRESRREYAKANSLVEQALSSIKTIYSFTAEKGIIQKYTAILDRTINLGIKQGIAKGLAVGFTGLAFAIWAFLAWYGSRLVMYHHESGGRIYAAGISFVLGGLALGMAIPELKHFIEASVAATRILERINRVPQINDDDPEGLVLDQVRGELEFESVRFVYPSRPNMTILKDFNLQIPAGQTIALVGSSGSGKSTAIALVQRFYDATEGTVKIDGVDIKKLKLKSIRSKMGLVSQDHALFGTSIKENILFGKPDATMDELYAAAMTANAHNFIRGLPEGYETKIGERGALLSGGQKQRIAIARAVIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNANQIAVVDGGTIAEIGTHEELINKGGPYSRLVKLQKMVSYIDQESDQFRASSAARTSASRLSMSRASPMPLTPGVLKETGSHVSPPAPSFSRLLAMNAPEWKQAVIGSMSALVYGSLQPIYALTIGGMIAAFFVQDRNEMNAIISRYAMIFCSLSVVSIVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEDTNSSGSLCSRLSDESSLVKTLVADRISLLLQTACGIVIAVTMGLVVAWKLALVMIAVQPCTMICYYAKKIVLSNVSRDLAKAQYQSTQIAIEAVYNHRMVTSFGCSSKILQLFEHTQEGPLRKARKKSWVAGITTGLSPCLTFLSWALDFWYGGKLAQSGEITAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPQNSQVEKDDPKSKIQGRIEFKKVDFAYPTRPECLILQDFSLDVKAGTSIGLVGRSGCGKSTIIGLIQRFYDVDRGAVRIDGMDVREMNILWFRGFTALVSQEPAMFSGSVRDNIAFGKPEADEEEIVEAAKSANAHEFISSLKDGYNTDCGEHGIQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNADSIAFLGEGKVIERGTYPQLMNKKGAFYNLATLQK >cds.KYUSt_chr7.21411 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132812335:132813086:-1 gene:KYUSg_chr7.21411 transcript:KYUSt_chr7.21411 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRMAILAAALLALALSHGAMASDPSLLQDFCVADKLSQVRVNGLACKDAKDIVAEDFFFSGLHMAGNTSNKQGAAVTAVNVAQIPGLNTMGISFVRIDYAPYGLNPHHTHPRSTEILTVLEGSLYVGFVTSNPDNKMFTKVLHKGDVFVFPKGLIHFQFNYGPTPAVVLAALSSQNPGVITIANSVFGSTPSIPNAILAKAFQVEKETVDMMQAQF >cds.KYUSt_chr4.9683 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58467663:58475790:-1 gene:KYUSg_chr4.9683 transcript:KYUSt_chr4.9683 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPRSPWSRSRKPDIYSTVVVHDDEDDPRGGGGGVARAEDDDDDDPSALPPLLQRLPKDFGGASFDDDDDPYSSDLDDASLSATVVIKRGAPASTSASSRSPFLDLRRASPRAAEEDPYSTFVVHGTGRSGGASSPHESVSGTFIRHPGASSSPRESVSGTFIRHPGGSSSPRESVSGTFIRRPGGSHESFSGTFIHRTSGASSPRDSVSGAGVGFGSSFSTPSAGQAEEDRQPSLLMQQQQARRQASMSSVPDSVAREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAVKIISLSEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIVMEYCGGGSVADLIGITEEPLDEPQIAYICRESLKGLAYLHTIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDARLRPAAVEMLKHKFIEKCNTGASKMLAKIKEAKEIRDTLAQNQPPDPDDEMYDATVRINEDYGETVPLNSQPNHETHNDGWAGDFGTMIVHPEDGDEVAESSIFPKAEFIPGLGSISSFTHDPKRAELISKLWAENAADSDASKERDLDGLPDTQEPRSMPPSTGTVKHNKGIEGTVLRQDNLISSASPGVASTMTKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDVSPLALVSDSVAGNGPAGSSTTDALEAVRELFSGDGQAKKGRKGQNEVPLPPGVHHRLTTSPTLMNLAQALAYHKTCYEDMPLQDSQAAEEQQTIQNLCDTLRTILRL >cds.KYUSt_chr5.17588 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113477417:113477788:-1 gene:KYUSg_chr5.17588 transcript:KYUSt_chr5.17588 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVGPSSSPSSSRCRSTGATMSVTHRPPASSSGNCCCYCSINIYVNNNVQGVTNSVLFGSSVAMRDPGARVVSSRRPPRAGRVCRGKRRRQQKKMTKTTMWTAAAMVCLAMVVLMLGVRRM >cds.KYUSt_contig_686-1.1090 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6706843:6710942:1 gene:KYUSg_contig_686-1.1090 transcript:KYUSt_contig_686-1.1090 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAGDLAGARAGAEAERVFIGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPSRGEIVTTLPGHKAAVNCTLWLPTKKDVLQVRHRETHYLLSGSSDGAIMAWKIGSGKGEWSHALELPAMHKKGVTCLAGRMVSDTVSIFASTSSDGTVVIWEMAVDPTTSGSCKVSCLHSLSVGLKPMVSLSLAVLPEQEGRLILAMGGLDHKVHIYCGDLSGKFAKACELKGHSDWIRSLDFSLPVMMGSEKHSLFLVSSSQDKTIRIWKMTSDSISSSSMVQPRKENIGMASYIEGPLFVVGNASYQVSLESLLVGHEDWVYSVEWQPPMLLLNAEAHQPMSILSASMDKMMMIWRPEKTTGLWINSVTVGELSHSALGFYGGHWEPDGKSILAHGYGGFFHMWRDVGLDSENWQPQVVPSGHFAPVSDLTWSRSGEYLLTVSHDQTARIFAPWRSHVNPGDVICWREIARPQIHGHDINCVAFIQGTGNHRFVCGADEKVSRVFEATLSFLRTLQEATLLKPDNEDFDDVQVLGANMSALGLSQKPIYTHGKESPSTTSNDGPDSMEMIPDAVPTVFTEPPVEDQLAWHTLWPESHKLYGHGNELFSICCDHAGKLVASSCKAQSAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSSDNAFLLSVSRDRHLSIFSIKKTEEGAQHHLVTKHEAHKRIIWACSWNPFGYEFATGSRDKTVKIWCVQDASSVKLLATLPLFRDSVTALSWTGRDRARNAGIIAVGMDNGLIELWSVSGGRAASDVCSDPSALSVTCMLRFDPLMCHVSTVHRLRWQKSDSSDEKSALELASCGADHCTRVFAVRDS >cds.KYUSt_chr3.47971 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300424839:300427190:1 gene:KYUSg_chr3.47971 transcript:KYUSt_chr3.47971 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLPAKLNPSAALPHHPPPPPTAARHLCTVAPPSDACLLQQQLDDHIARGNLALARHLFDQIPGPDVRAHNALIRAYSWRGSALAADALVLYRAMLHDGVPPDKYTFPFVLKACAARLDLRAGRAIHRHAARAGLHADLFVSTALIDLYMKCAFFRHATNVFDQMPARDAVAWNAMLAGYALHSMHHHALACLLRMQDRLRPNASTLVSLLPLLAQQRALSQGKSVHAYSVRACLHDKDGVLVGTALLDMYAKCGHLRYACSVFEAMADKNDVTWSALIGGFVLCNRMLEAFRLFKDMLAQGLCFLSPTSVASALRACASLADLRIGEQLHVLLAKSGLRSDLTAGNSLLSMYAKAGLTDEATALFDEMVVKDTVSYSALISGYVQNGMADEAFLVFRKMQACNVEPDVATMVSLIPACSHLAALQHGKCSHGSVIVRGIAPETSICNALIDMYAKCGRIDLSRQIFDVMPARDIVSWNTMIAGYGVHGLGKEATALFLDMKHRACEPDDVTFICLISACSHSGLVTEGKRWFHMMEQKYGITPRMEHYIGMVDLLARGGFLDEAYQFIQSMPLKADVRVWGALLGACRVHKNIDLGKQVAKMIQKLGPEGTGNFVLLSNIFSAAGRFDEAAEVRILQKEKGFKKSPGCSWIEINGSLHAFIGGDRSHPQSPQIYQELDSILVDINKLGYQADTSFVLQDLEDEEKEKALLYHSEKLAIAFGILTLGEDKTIFVTKNLRVCGDCHTVIKYMTLVRKRAIIVRDANRFHHFKNGQCSCGDFW >cds.KYUSt_chr3.7731 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44419775:44422919:1 gene:KYUSg_chr3.7731 transcript:KYUSt_chr3.7731 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLLCPLLAVLMIAVVSASDEAALLAFKAQLSDGGSLSSWNSSADFCSWEGVTCSSQRPVRVVELSLNGSGLAGALSPALGNLTFLRKLDLSYNWLHGEIPASLGRLRRLQRLDLYDNSFSGTLPVNLSSCISLTNMGSHDNKLGGRIPAELGQKLMSLSTLWLSNNSFTGPIPASLANLSSLRNLDLSTNQLVGSIPPADLGSIRLFDVSSNNLTGTIPPSLYNWSSLEIFGVATNMLHGSIPSDIGQKFPKMKVLGLDGNHLTGTIPSSISNLSYLTYLHLNRNRFSGYVPPALGRLEALQTLDLTENKLEANDKKGWEFVTSLANCSQLQTLALGGYSFGGQIPASITNLSTTLQKLYIMESKVSGGVPSDIGNLVGLDTLAIEKTYISGVIPDSIGKLENLVELSFAYNSLSGLIPSSLGNLSQLNRLYAYYGNLEGPIPASLGELKNLFVLDLSTNYQLNGTIPTHNDLSGSIPAVLQNLTSLTKLDVSFNNLQGEVPDGGIFRNINSTAVAGNINLCGGTPKLHLAPCSTSTLSKNKRKIPKSLVVSLATTGAIMLLLSVILLVWILRKKLKESKKTIVQDSVAEDHYERTPYHALLRGTNGFSDENLLGRGSYGAVFKCILANEERTLAVKVFNLGQSRYSKSFEAECEAMRRIRHRYLIKIITSCSSVNHQGQEFKALVFEFMPNGNLDGWLHPKSEEPSPNNTLSLVQRLEIAVNIVDAVEYLHNYCQPLLIHCDLKPSNILLADDMSARVGDFGISRIIQENTSETMQNSYSSIGIRGSIGYVAPEYGEGSAVSTPGDIYSLGILLLEMFTGRSPTDSAFRDSLGLHKFAEDALPDRTFEIADPTIWLHGEPHDNVTSRIQECLVSIFRLGISCSKKQPRDRKLTRDAAAEMHAIRDAYIAFVASS >cds.KYUSt_chr2.40225 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249795916:249796329:1 gene:KYUSg_chr2.40225 transcript:KYUSt_chr2.40225 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARAMMALLLLLSTAAVFTAQQHDYDDALRKSILFFEGQRSGRLPPGQRVRWWRDSALNDAFRDHSCWERPEDMDTPRTVYKVDTAHPGSDVAAETAAALAAASIVFREADPAYSTACITWRSMACAAARRPSA >cds.KYUSt_chr2.37116 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229697690:229700273:-1 gene:KYUSg_chr2.37116 transcript:KYUSt_chr2.37116 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVHQIREGVNDGETTAGHSAGGGGFFASCWQRLRSVLVRLWCRVAGFAGKVGRIAREDPRRVAHSLKVGLALTLVSVLYYVTPLFKGFGVSTLWAVLTVVVVMEYTVGGTLSKGLNRAFATLVAGFIAVGAHQIANRCGAQGEPILLGIFVFLLASAATFSRFIPEIKAKYDYGVTIFILTFSLVAVSSYRVEELIQLAHQRFSTIVIGVLTCLCTTIFVFPVWAGEDLHKLATANLDKLAQFLEGMESQCFGEKATSENLEGKPFLQVYKSVLNSKATEDSLSNFAKWEPGHGKFGFRHPWSQYQKLGALCRQCATSMEALASYVITLQKSQHLPDQQYPEANPELTLKVRRACGEMSSHSAKALRELSTAIQTMTVPPPASITMSAAIKVAKGLRNELSGDAALLQVMHVAVTATLLSDLVTIIVKIAETVDNLAWHAHFKNPEKTQKDVIINIQS >cds.KYUSt_chr2.44122 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274353509:274356635:-1 gene:KYUSg_chr2.44122 transcript:KYUSt_chr2.44122 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPAVATLEGLIIARDHNIQGLCASSSQDTCGSSQTERDEFISQMVEMNARVRQFQQMASFELARKCSEVSTDGEQGKAADGNQGKGTDVSLTRLKARLQKAFDLLNLVLTLEEQASESGTNEEN >cds.KYUSt_chr2.15545 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97840523:97845506:1 gene:KYUSg_chr2.15545 transcript:KYUSt_chr2.15545 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGDGGDDGGDDDDGDGDDVQLDDGDDGVDFPLPEGISPADLSPPESSFLSGVLRPAEAAVTLREALRSLRRSKPYLNPTTAAALCRMDWSNLGEGPAGMIAERLLADDVTDYVRFHAVCRPWRQCTADPRAHGMLDRRFLPRRWIMLREETADPDRRRLLNVSTGQCVRAHLPELRGHDVCAPTVEGLLVLLNRTTYAVRLLNPLTRQVADLPPATTLYSLYWRAGMYDADPRLDFKVSAAGLADDAVTVAVLFEEVQTLAIAKPGDKQWTLVEQGISFSPAISFGGRFYCITDSDINIHTIKLRSGEVDNFNGEGRYFMHYNVSRVDFDARNTRTVCDLGGHAVFIGSTRAISVSPLAFPAITNDSVYIGKDHPMGNHLESTGPYKLVDGCGFHNYGPHGIVCYLSQYVTTEDDTSDSDDEANCIGVHRKVNESISTGATDSGPDSILGARSENGLAPARPIRRRPILEPNRIAGNPVPAPSPRERIGRAGASRG >cds.KYUSt_chr6.3540 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20402077:20403240:1 gene:KYUSg_chr6.3540 transcript:KYUSt_chr6.3540 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPLDRHVTVVERCEVSPSPARAAGQPCALRLTFFDLAFWDFPPVQRLFFYDHHSTDSLLDVPGFLRQELPLFKQSLAAALHRFYPLAGRLAPELPADAPEVVCSDGDSVRLTIAVAAGSDDFQDLAGDHPRDTARLRPLLPTLPKHAVFAVQVTVFPRAGICIGTTLHHAVADGSSYVHFVKTWAAIHRGKKVVDLAPPLFDRGVVRDDGGLREAFVRDHRALAAAGDGRMHDWDLSRRPPGAVVLATFRFTDELLGRLGKRVEPETSARRCSPYALACGAAWAGIVHARGGNGSGAAHRFGFVTVAGSPKMGIYAAADLGAPWGRPRKVEIVSVERTGALALAENLDGGGGIEVGVALPRGEMDAFRAFFCDLVATAAFRDRD >cds.KYUSt_chr5.10005 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63899977:63900489:-1 gene:KYUSg_chr5.10005 transcript:KYUSt_chr5.10005 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPQDAQSAAAPRSRRALTPRGAPACAAQSPERLCARRRDATAALVLSLEPANKVRVVCAGAVPALVEVLRSGGSAPEAREHAADALNKENRPAIGVLGAVTHPAPANHPCARRDAEMVIDHISLVAVNKFKKQERTLPGRFLSSSVAERMSIGRLALTVAWREEGKWR >cds.KYUSt_chr5.16912 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108892831:108895919:-1 gene:KYUSg_chr5.16912 transcript:KYUSt_chr5.16912 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDDLAALREQTALASAAAASVSDLDFAYQLQLAEAIQASLLLDAGSSNPSSSKGKAPMASSASSSSSQPGPAPAPPQPSDASIALAVHAADLARAEEDHRLASACRVYHARAAASACVAAHDAAFARELARVPEDRWAHDGDNIERPLDLGAAKPLFRVLFKGMTSKEVVKPRDRDPGLAVLAVALCDSRGEVVLRIQKPVEGFAGGGRMMLELMALTEGLQAAVGLGIQSLTIVTDYRALHNHMLGIWRPQQKKLVDMIDQVESIQKKFKQCEISLVERGTIDYVMQLARDSLISQIAKAVAVTASKEKRETCAICLEDTDVSKIHAVEGCAHRFCFCCMKEHVKVKLLDGTLPACPQEGCTTKLTLEGSKIFLSPRLLDIMVQHIREGQIHPTQKIYCPYPKCSFLMSLSEMKQPMQESSSRHTVADAATLRKCGKCYRSFCINCKVPWHDRMTCYDYKIRYPQARPEDAKLQNLARQQLWRQCIKCKHMIELAEGCYHMTCVCGYEFCYTCGKEWKDKKATCTCALWDEHNIIRDDMDEEEDDDDDYDSEDDDDEYYAGQGHYYGRANVHHHGAGAQNFYGNNNPARHQGGGGAQIYRNNNPGHHQGGGGAQIYGNNNPGRHQGGGGGARVFYNYNN >cds.KYUSt_chr2.2111 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12817303:12825402:1 gene:KYUSg_chr2.2111 transcript:KYUSt_chr2.2111 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLHRPEPPGSHASASAAAAPAPAPTPADDWVDGSWTVDCSCGVTFDDGEEMVSCDDCNVWVHTRCARYLRGVHASFSCHNCRHKRSADETEVAELLAELPTHRPPPLYRRWAEVPLPARVHVHGGTDAALFRGSPSSPAFSAALWRCAGYVPKKFGFRYCEFPSWAEDKDGADTLFALAREKRKETAPATRFTLSLANNNAQTLTKKAEGSPHAGGAGGTKEVPPRTDAKTKGASKITAHADTRDHPKGDLNMPDVMHKDQCADTSMANSDSQEVAEASRKAKESSEPSGEKRSSEGNPRMLITEEPKESTRLEISSGVRTTSTVAEQEVHSRFVKAEVNSCRKQIEGSQNVGLQSGIIDAVAEMDGDMRIHAGSVKVHDGPCLQKQSNQASSNLQVSVGVLALPTQSKSKNIKSKVNFQDHERTKATQSIKDEHRSDTQGQGVNTGSLTAQRNSARSHSDSGSDLPSSETKNQMHTVPEQNSVPGAQKVCTVSSGPTYSQISPSSVSAEPSSVGKTGRLMKKEDTRLVAPADIKHESAKHSAESSKEFSRPSEKVQPKGSLSSASKSSQTIRSSVPSAKHRGPLSKEQTHKTPMTGGTPARSFHSEVTPSHSRNKAMPSNLPQKKDKIHHRFVHVTQDGSTNSTSTELRASDGTASLSDEQLALLLHQQLNSSPRVPRVPRGHQTGSMQMLHQTGASVFSKRSSAHGGKDQTPVLKKRHKDDIALRDNDDSKKSGKVSLVERRHRDYSTEHTPSVKDSCKLADNIALEEQNHGTCSDEATTGIAKDDLVDSGLPRSLPGFIDEIISRNRNITYEELCDAIGEANPNKRRKVESESLSADAMETEKARNQSERYPGEDGSSESQQDLPRGKRKGWKRSHHEMKASSDKNTRKRPNLNSSSEDTAASLSASSSNPMDDESQDNSSGGDRQPNSADSGS >cds.KYUSt_contig_2402.52 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000325.1:297944:299107:1 gene:KYUSg_contig_2402.52 transcript:KYUSt_contig_2402.52 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSSSTGGSSSKPHRPASSSDPALPHAAAAEDLSSYEAACRYDPEVRTFDSTLQRRTSRAISTLAVGVEVRSMSLDSLREVTGCLLDMNQEVVRVILDCKKDIWKSPDLFDLVEDYFESSLHTLDFCTALDKCLKRARDSQLLLHVALQRFDDEEHTNAGADAPAAARYARTLHELRQFKAAGDPFTDEFFQAFQAVYRQQLAMLEKLQLRKHRLDKKVKKIKAWRRVSSIIFASTFAAVLICSVVAAAIAAPPVAAALAAAAAIPLGSMGKWIDSLLKGYQDALRGQKEVVSAMQVGTFIAIKDLDSIRVLINRVEMEISSMVDCIDFAERDEEAVKFGVEEIKKKLEAFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRHPN >cds.KYUSt_chr6.3853 pep primary_assembly:MPB_Lper_Kyuss_1697:6:22131817:22133055:1 gene:KYUSg_chr6.3853 transcript:KYUSt_chr6.3853 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNPTATATATEAGAVAADAVSKVLGDDLLVEILLRVGFPTTLVRAAAVCKRWLHHASHKAFLRRFRKLNPPRLLGFYIEGFRGSPRFVPMLPQPPELAGAVRTVEGYGLCADKERVVIRDCRNGSVFNVLCSSRRGYGPSLGVHSPLCPERAMAIDPPLSTPQQQQYYNPLRPPTPRSFHILSREEKGGDLSYYYVLMEFVPKEGATDLTANFTVRVSMLQDGVWCMHASATTQIPHWRAGHGAVMVDSKIYMTVTLIDITVLDLKTSTFSTIQLPDGVQYYSSDFMLSQANDASSVYLVEVKEFQLRIWLHKGGSWSIVDTICLHEMCANLMMSDCTVKDARTSHLRINHVGDNAEFALLHMGRFVRYLDMRCRTLRTIYEMSEDEQDRGSISVHPFMMIWPPTFPSLK >cds.KYUSt_chr3.43137 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272676016:272678866:1 gene:KYUSg_chr3.43137 transcript:KYUSt_chr3.43137 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGVDFKWYDGFFLSMLVTSVVIVSVNWGRYRLCAHPLHIWIVVDYTAVFLFRLLMFLDNWLAAGMGLDLGWQQRYTRFCGRIVVLSVLVLLLYPFLWVWTVIGTLWFNSARRCLPAEGQKWGFLIWLLFSYCGLSCIACVAVGKWLSRRHALQLRAQQGIPISEYGVVVDMIRVPDWAFEAVGLELRGMGQDTAYHPGLYLTTAQREAVEALIQELPKFMLKAVPTDCSECPICLEEFRVGSEVRGLPCAHNFHVACIDQWLRLNVKCPRCRCSVFPNLDLSALNGIRASSEQDRPSGSEVTTTAAASRYVPSAGQSYLVRLQGLLPRPVVVGHGSDDDDDAESGMRTRTMGGR >cds.KYUSt_chr7.26108 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163011026:163015268:1 gene:KYUSg_chr7.26108 transcript:KYUSt_chr7.26108 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGDGSGWCFCSGGGAKLERIKSTLLAAKGPAVAAVSFPRSGEGAGGKRGDGILIHRGLLLTTHGTVPSAAAAAAAEVRLSQGRLLARLVPQRFFITSPILDLTIVGLDVVDDGSDSHGQQPHFLKTCLNPSLDLGSTVLLLGHTDRRDLAVGEGKVVIATDNLIKFSTDEVSWRPGSAGFDMHGNLAFMVCDPMKLAPSTPTGYASASSSALLASKKDMPMQFGIPIPAVCEWLKQHWSGSLEDVSKPMMPPARLISAGQRSEPSSMGHLRYTKTTEQEDGVLSSSQMPSRPTQLHGSCSSASAKISYGENGSSRSFQDQRDPTSQMSRPKNDQPGSLVGISFPPGHPRSICLPPPLKQMMPDESKMKPNGSVSHESRLANARVNCDAQHNVAYQENCWSEAQSSSSPPAISEQGDRRDGFSSGEETMYSAETMESRNIPSPKEKRPQPVGRSQSFANHSKWDSPKSVESSKGPPSRLVEFKLLEGLRFGAASWRGGKDGLLLECNGLDRGMFVEDGGGFIRLNGGVTLAPDPALRRLRGLLRLIFLIGLDPVLRDWWLLQLVNASWLGVSSTLKCRPTTDALPLR >cds.KYUSt_chr6.27380 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173862532:173870579:-1 gene:KYUSg_chr6.27380 transcript:KYUSt_chr6.27380 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSTAAAAARASNSPAKRDSDASAASSPFASTSAARGRDDDDDDGKDAHRSSPLLPQHHHHHHKQLLPSPLRSLLALEDPRPPTASLSYRILLAVLALLLFAALFSAPSLWSRFNAPYLCQKEGITLHCPQTKEPLSLWENPRAATTSWKPCAERRSDEPSDVPPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMDATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIIRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKTIMSIKPFVDRLGYDNVPMEINLLRCRVNYHALKFLPDIEEMSDKLATKMRNRTGNGNPYMALHLRYEKGMVGLSFCDFAGTREEKAMMAAYRQKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAVILRAMGYPRETQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASAAEMEHFRKHVTSLAALDFLVCLKSDVFVQTHGGNFAKLIIGARRYSGRHRLKSIKPDKGLMSRSLGDPYLGWASFAEDVVISHQARAGLPEPTFPGYDLWENPLTPCMCRA >cds.KYUSt_chr3.27180 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169673230:169677427:-1 gene:KYUSg_chr3.27180 transcript:KYUSt_chr3.27180 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKVAAAGGGEKGRSVDPSLPRFKCQECHRALVVVGVESFPDRLPAHTNSGMHASSVQGSIMGASRMDSSYVVLSKQNKSQSPGIPPRPPTAAARHVESNQSTRAIEGSYIMLPPAAASIYKTSASEGGDAHLPPPSPNSTSPLPGNNSGFHSSVTVLKRAFEIASSQTQVEQPLCLECMRVLSDKMDKEIEDVNTDIKAYDVCLQRLEQESYNILSETDFNKEKQKIEEEEKKLKAAIEEAEKQYSEVTSEMKKLETKSKEFEELEERYWHEFNSFQFQLTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFPKFKYP >cds.KYUSt_chr7.39069 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243158466:243160333:-1 gene:KYUSg_chr7.39069 transcript:KYUSt_chr7.39069 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVVPFLCKKNFARITAEEPESYAPRRTYEDDEDPDPFRIGNVHEMGATHTSRSADRDPQVLEHVTPLDCNSTSITLALATLPGVVLNLQGAQQKSEHPSLNVPRKNTFVGQVANAEQGNLFDCCLDELLSGSITDTAR >cds.KYUSt_chr2.34026 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210337249:210337452:-1 gene:KYUSg_chr2.34026 transcript:KYUSt_chr2.34026 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGKGLNSTGEFFRRRDDWRRHPMVGNQLRHATPGLGIAIVAFGIYLVGEAAYNRIYRPAGDNHH >cds.KYUSt_chr2.34360 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212177845:212178658:1 gene:KYUSg_chr2.34360 transcript:KYUSt_chr2.34360 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNMASATSRFMLAAGMPNGGSGSSSSNRISFVSSKRLGRRLVVRAEEEPAAPPAPTPADTEKSEGAVATKAPAKAKPPPIGPKRGTKVKILRRESYWYNGTGSVVTVDQDPNTRYPVVVRFAKVNYAGVSTNNYALDEIKEVV >cds.KYUSt_chr7.14468 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89435371:89436212:1 gene:KYUSg_chr7.14468 transcript:KYUSt_chr7.14468 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFLLSAVVAACAILASVSASSPRMLTVGGDARGWTAARRPGSGSSGAAPSTSSAVLHQRRAGNLLGLVFLATHTPPQPPSYRPPHLSFTFAIMSSAGGRQDGAAPISAEDWGGRAALRAAADVSLSPRPPLLCIADRAARVSISRRRLPLSLAACSPSSSGHYDAGQRLTVRVMAQHDDASSSPADAPAASTRPGSGSGAVKAGDGKTSAAAASVRASVGDVLVAARPGFVAERHFVEQCCGRA >cds.KYUSt_contig_7440.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001529.1:82389:82631:1 gene:KYUSg_contig_7440.15 transcript:KYUSt_contig_7440.15 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSADVTPAPCAPLQGTHGGTCPFPTDTLAVDEVTMQRCSYTLPRAPAAAVPGPTSLASYLNYAASYVLLVARSQVLN >cds.KYUSt_chr4.23693 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149319370:149324783:1 gene:KYUSg_chr4.23693 transcript:KYUSt_chr4.23693 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPSPSITPPVALRRRESPGLLHAVKSLERERLWPEMGKRLWTLEEGGSVFLYSIGTVDILYISDPAMVKDMSHCTSSQLGKPIYLQKSRKPLFGEGILISNGDIWAYERKIIAPELFMEKIKVMIGLIVEASVPLLEAWESMLDNSGGIREIDVDGYMRNFSADVIARACFGSDFATGEEIFSKLRQLQKAISQQDSLVGLSALWKYLPTKSNKEMRELEQEVRLLILDVARREGSSSSNSTIYMHTTHNDLLRSIVDGAQQCPSYPGTAEDFIVDNCKNMYFGGHETTAITATWCLMLLATHPEWQDRARAEVLDVCCGDTAIDFDVLRRLKTITMVIQETVRLFPPASLMAREALTDVKLGGLDIPQGTIIQTGIAVVHLDRDIWGQDAGEFRPDRFMNGAAAACKPAHMYMPFGHGPRSCPGQHLAVVELKVLLVRLLSKYSFSPSPGYRHAPLFRLTIEPGFGMPLVVTRLP >cds.KYUSt_contig_1504.35 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000157.1:256432:257644:1 gene:KYUSg_contig_1504.35 transcript:KYUSt_contig_1504.35 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMYVGKIQGEVRRHIEENWVGRTTVTVMPLMKRLTFDIIAALLFGLERGATRDALAGDFVRMTKGMAAIPVNLPFTAFGRSIKASGRARGVLQGITQEKKANQLKHGNQVSRNNDLITCLLGLTGDNGERVLTDKEIVDNAMVALIAGYDTSSILLTFMVRQLANDPTTLAAMHEEIAKNKSDEEALTWEDLTKMKLTWRVAQETLRVVPPIFGSFRRALEDIEFDGYCIPKGWQVFWTANVTHMDASIFPEPAKFDPSRFENQSASAAPPCSFVAFGGGPRICPGMELVRIETLVTMHHLVRQFRWKLRSKKNTFVRDPMPSPLHGLPIEIDHKMSPYS >cds.KYUSt_chr4.6045 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35454341:35455243:-1 gene:KYUSg_chr4.6045 transcript:KYUSt_chr4.6045 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQIADAASSSTSSAGTKGGAAQNLVTCLYRAQLAGRPCAISVTWSRSLMGQGLSVGVDDLSGSGSGSGQCLCKADIKPWLFSKKKGSRSLDADGGKVDIFWDLSGARFGAGPEPLEGFYVAVVFDLELALLLGDMRKEAYRKTGATRPVSNAAFVARREHIYGKKLYSAKAQFCDNGQFHDLVIECDTLGLKDPCLEIRIDKKPVMQVKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSTTSNAVFMFQTCQAPEKSMPWSYSQIFRESRAQGLGFSLILYAWKIE >cds.KYUSt_chr5.19022 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123117857:123118626:1 gene:KYUSg_chr5.19022 transcript:KYUSt_chr5.19022 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLASPGAAGTLGGVELREAAVLASEGCTWKGLGEPATTRRGGLSWMSSRTRRLQEEELGGGGGHAQGMVAVGTYEDAARRLQEEELGGGGGHAHGRLAVGADKNAARWLREEEVIYRSTALLRS >cds.KYUSt_chr5.11552 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74912226:74915721:1 gene:KYUSg_chr5.11552 transcript:KYUSt_chr5.11552 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTIKRVPTVVSNYQEDAGAGDRPRGGCGRNCLGDCCLPVSELPVYAFKANPRKLPSQEDAVPTDFFINLLLGQWEDRMTQGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDAAKFNFTKVGQEEVLFQFENGGGDDSYFLKSAPITVADCAPNVVAINVSPIEYGHVLLIPRVLDHLPQRIDRESFLLALHMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLTVPFPVEKAATQRIPLAEGGKKSGVKVSKLMNYPVRGLVFEEGNSLNDLADVVSSACVWLQDNNVPYNVLISDSGRRIFLFPQCYAEKQALGEVSQELLDTQVNPAVWEISGHIVLKRRNDYDEASEASAWRLLAEVSLSEARFEEVKAYIFDAACLVQSHVEDETEDAVYAPVPVAPPAVTEGCLVRQ >cds.KYUSt_chr4.44286 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274396134:274396943:-1 gene:KYUSg_chr4.44286 transcript:KYUSt_chr4.44286 gene_biotype:protein_coding transcript_biotype:protein_coding MIERETKQQILASIPPHGQENPVLFLTSPSGKYAAHFIRSQTVPGAGGLGADFCYVEILDASEPGAEGQSVWESECLAVSTINTCALVFSWNGLEVFDGSNSVWHTHDTESVDNNFLRTLQLVDEGDMRILDKGGELAWKASDEPRAAQHCGMPGSPGLVSATAPFAQPIGQGSGNLPFGQDEGGNGNGNAGAAQPELPLAPLPQAAAYGGVAGQGQGVEEVGQTIGFGSQPLVDNSPYDSGELKHGCSLLGTGVALGLGVAIAMALGF >cds.KYUSt_chr5.16877 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108678236:108678749:1 gene:KYUSg_chr5.16877 transcript:KYUSt_chr5.16877 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGLLTMGLHVSSLRNWMDGGPNDYFSYPAICIEGVLAAANWGSATAALAVITHPRHLPICLHNAPCRRQMLACALALPMGALSVANVAVLLAARVTSNGPRLLMLLFGH >cds.KYUSt_contig_1181.274 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1788302:1790256:-1 gene:KYUSg_contig_1181.274 transcript:KYUSt_contig_1181.274 gene_biotype:protein_coding transcript_biotype:protein_coding MQIANGNACTKRKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPTCLHHDPAHALGDLVGIKKHFRRKHSGHRQWACSRCSKAYAVHSDYKAHLKTCGTRGHTCDCGRVFSRVESFIEHQDMCDASRPRGDTTSSSPGHTGGRVVGAAAASNSHHHQLHAASLSRTASSASPSSGGELVGSPVAWPCGPATASPTAANVAAFHRMFDQTLSSTSPMPSDRRSAGTQNLELQLMPPRGGGAAPPGTAVTYRPSPRPPAVLHAPRQLGADPVRLQLSIGCGGAPDDSSVESAPAAATTLKEEAREQLRLATAEMASADETRAQARRQVELAEQELAGARRVRQQAQLELSRAHVLRDHAVRQIDATLMEITCYGCRHNFRARAASMNAR >cds.KYUSt_chr3.11747 pep primary_assembly:MPB_Lper_Kyuss_1697:3:69983159:69993120:1 gene:KYUSg_chr3.11747 transcript:KYUSt_chr3.11747 gene_biotype:protein_coding transcript_biotype:protein_coding MADGALLDLLPQIHALFSDQLRVISYKWLSRNFSVSSNDAKRLLQEFVNKHGADHQVIYSVSGWLKNNPENYCVKLTSGPKLEEARQTFKDSCSVQVYSIQACIPKDTAVLWNPEFVQAEELFNQPSDKENCLRDNRFCGVVNSFVKRTTNGKQVSSMPPKPMNSVVAPALSKPSTAPKEQSSIARQQHLPEPSNPVKGTSIKAEKDNAPVLDKTVNAPAVKEPSVAANSNKAKAQNGKNAPSNGGSLATMWGRASAKPKAPAVTKVTDVPSVAVTADAQICAKEEADADSSDDERSAHYKRASSGASIRKRRAIFDCSDDDDDDDFEAIATPEPAKQCAKKSVTEAAQDNKSEQKKLEIKQETSSDVKAFTNGTDSELASECKTKSDNGISHSGITLKEKSNDPPTEGNKKDNAPEAASTSPKRRKVLKTRIDERGREVTEVVWEGEAPASDKAEKNATNTDAPTRPTLPSKPQPAANTERTNASSKTAAGSKKPGKAAAPKQGKNIMSFFKKIMSDSSKEFLYDHVSDTLSDEFDDSESHKLGILELARKLGGSFAGRNFRPTPPELPVLKRKLSTDSVEKLLLKMTGRNFRQIRPELPPDTENL >cds.KYUSt_chr1.37215 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227463449:227468541:1 gene:KYUSg_chr1.37215 transcript:KYUSt_chr1.37215 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRADGGGGGGGASSSSSTAENSRFDAAQYTFFGKAPLEGLELGGLADDGGVDGYGGGFGGHDDGAYHLSPVGEEIDCMSNLSEIDDLASTFAKLNRSLSGTGNPGVIGDRRSISRESSLTTDWVQESEFTNWVDQDINSNEFLNSKQSCSHQQYLPQFGESKPLSRTSSYPLEQLQHRCSEPILGHGSTSFTSYPPPGGGGLSYPAQGLTRHSSIPSPGAGYQMGSPSSSLSGSPYNMTSLPHGLRYGRSMSYTAGDLSANNFLQNEWTNQASPHAFEHLNLHPSLLQQQLSFPSSSVSSLLFSQQQQQKQRFPLAQPSHHNYLNMQPHFYHHHSPEMIGKFDHVPSVPSPRDKRSRSGRGKHSIRFSQQPSDTVGQNVDNGAVKFRSKYMSSEEIDTILRMQHSGSHNSDSYVDDYYHQACIAKRCANSQQTINFSPVSIKEFPSKSRSGGDKHSFIKVDSVGRVSFSSIRRPPPLLEVDTVASGDRKSSTRSLEKEPMLAARITVEDGLCLLLDVNDIDRLLQSSQAQDNSFQLRRRRQVLLEGLATSLELVDPFGPNKPGNSSGLATDDLIFLRIVSLPKGRKLLARYLRLVVPGSELTRIVCMAIFRHLRSLFGGLPSDSGAAETTVTLAKTVSSCVHHMELSALSACLAAVVCSTQHPPLRPLGSSAGDGASLIIKSVLDRATELLADPHSAANYSRSTRSLWQASFDSFFGLLTKYCDSKYGSILQMFATQDSSSVAGPEASKAVSREMPVELLRASLPHTSEQQRQMLLDFARKSMPAIGSNHSGASMSSGGNATSESVPG >cds.KYUSt_chr4.51216 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317641148:317645112:-1 gene:KYUSg_chr4.51216 transcript:KYUSt_chr4.51216 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKPQDQEQERKASMETKAVKVFSSEEEADSSEEDAGEPVQNHRGWKAMPYVIGNETFEKLGTIGTISNMLVYLTTVYHMQSVSAATLLNVFSGTSNLATVAGAFVSDTYLGRYTTLAAATISSFIGMVILTLTAALHSLHPPSCNPKGGEQCQGPSGGQLAALLASFFFLIVGAGGIRPCNLAFGADQFDPRTADGRRGIASFFNWYYFTFTVAMMLSATVIIYLQSNVNWALGLAVPAALMGASCAVFFMGTRLYVRVHPEGSPFTSFAQVLVAAARKRRLPLPDTTSQLFDPPHRSKLVSKLAYTDQFTCLDKAAVLAPKDALCADGKTAVDPWRLCTVQQVEEVKCLARIIPVWSSGIVYFVVLTQLGTYTVLQAAQTDRRLGNSGFQIPQGSFVVFNMLTLTLWIPLYDRVLVPALRRVTGREGGISLLHRIGVGLVLSIVTMAVAAAVEHERRRRSAPMSCFWLVPQQVVAGFAEAFASIGQTEFYYRQFPENMRSVAGALYFLGFALASYASGLMVMVVHRTTGWLAQDLDEGRVDLFYLVTGAMAAVNLVYFLACARWYRFKKSDDDAAGAGDIHLHEKASANSAPV >cds.KYUSt_chr2.40420 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251116661:251122208:1 gene:KYUSg_chr2.40420 transcript:KYUSt_chr2.40420 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGERTFKANFTADGVAALRERIRDKLNDLMEDYTDDTLVEYVVVLVRNGRGKAEAATELKVFLGKDNDAFVSWLWDHLSSNLHLYVQPKAVPANDEAKSTRGAARGLPVHNSSSNARASREPEAETQKTARVQQKREWGGMVRVHSEAAPLIRSVVSPVSHAEEETSPKLEPRTSQQVISAPRRLLQFAVRDAVRMQPVTPRSESSSKRLRSVVSTVAPDSAVNGRLQKVKSDVRIPGATAALRAAAEAAEDVLKDRYSESVFDRNSASVFDRNSASVFDRNSESVFDRLGRNPLLTDTEETFDIREQDPEDGEYKHIDEARAEKHLEFRERNQYVAGDTHMYDRETEKAADSARDIYRYEDTGAVRYNGVNSYRSRLPPSEGKESLVAGYNMAEGAAGARSRRQIAEDTHASSGPRTSEKVLNISAYSNTRTPPNHETSRNAATFEQQVLMGKKDVGSRKAIVSVAHAKDIHMADNSKDSVNSSSLVEAPKTSSVAATGQPESSPDSRTIFVSNVHFGATKDALSRHFNKCGAVLKTLIVSDAVTGQPTGSAYIEFLQKDSAEQALTLNGASFMSRIVKVVRKSSVEVPQVAGWSRGARASPFASRLIRTAYPRPTFPGAIRGRLGLRGNARNFQWKREAADSADAGKPSQATPVTLGSQTLTPMTRSFTYTRTEPKQDVGATANI >cds.KYUSt_chr7.6205 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37279829:37281266:1 gene:KYUSg_chr7.6205 transcript:KYUSt_chr7.6205 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQPPPLFPRHERRQIESLPRNRPLAGCAAGSSPSSPSQSSRVDAFRLPAEPSPELPEDTLMTVFGTLEIPDLVRAGAVCTSWRSAYVTLRDLGKHKKSQTPCLLYTCESAGENVACLYSLMEKRVYRLTLPDPPIRRRFIIGSSLGFLVTVDERSEMLLTNPITGEQIALPPVTTIEHVKPIHDDRGAVYQFEISDIRNQDFLMPWTSDRCDLRNFLHFKAFVFYDTTTGSYIVALIHRPLDQLSFARVGDDKWTWLPRHRLYHDCNYKDGLLYAVTSKGEIHAFDLTGPAITMKIISCLDEVDLGICDVMYIVQAPWGDMLLVSRSRDIVDSELRVLNAAGMKLHKVDAGTMRLAEIDGLPDHVLFLGHNHSLCLSAKEYPALKGNHAYFTDDSKAISGCKNIRRDIGVLDLGNNSNDDLVSPQLWSNWPAPVWITPNLTTMKLALPK >cds.KYUSt_chr7.24102 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150177797:150178129:-1 gene:KYUSg_chr7.24102 transcript:KYUSt_chr7.24102 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRCTLSSAEDDKEPSTRMQRHTSVASDGSHAEDDKEPSTRIQRQTSVASDGSHAEDDKEPSTRMQRQTSVASDGCEPPLWGSWGAGRLRRLGVNGGIREKRIGIVVV >cds.KYUSt_chr5.32208 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204358483:204358713:1 gene:KYUSg_chr5.32208 transcript:KYUSt_chr5.32208 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGVVKSHRKCCKAYRRWSCNGPPHGAAKDHGRRCKGLPFELRRATAYAARPSSGTTCSTEALPAAGSDDVADG >cds.KYUSt_chr2.54002 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337100777:337101049:1 gene:KYUSg_chr2.54002 transcript:KYUSt_chr2.54002 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAKASWMVAMSVAAVEALKDQAGLCRWNYALRSIHRAAMAKASARSAVSQGKKQLPASAPAVAEQRRAQKAEEGLRTVMYLSCWGPN >cds.KYUSt_chr2.33089 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204225250:204232118:-1 gene:KYUSg_chr2.33089 transcript:KYUSt_chr2.33089 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDADAFRGHFPVPAACRNRDSCPPDLGFAMVAALEEDMAKICIALVHRSYFPAMGAVSRRWMSFIGSREFSAVRKEVRKIEELVYVLAADAGEKGPRWEVLGEQKNRAIPPMPGLAKAGSGVVVVYGKMYVMAGYVAVYGKDYVSDEVYQYDARLNRWGALAKLNFARRDFACAEVNGIIYVAGGFGSGCNSLSSVEAYDPQQNRWTLIENLRRPRSGCFAFGLNNKLYIMGGRSSFTIGNSRSIDVYDPRRRSWEEIKRGCVMVTSHAVIGKSLFCIEWRDQRSLSVFSPSDSSWMKISVPLTGSSSSRFCLGASGGKLLLFSREEDERQSMTYDPAAAPGRAPKSATIPGRTRLPPETPAQIALSEDTAHALRTAAAERQPTTGDISHCRLPRLSTSPPERDDRSKDPTPPSAG >cds.KYUSt_chr4.15043 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92916423:92923322:1 gene:KYUSg_chr4.15043 transcript:KYUSt_chr4.15043 gene_biotype:protein_coding transcript_biotype:protein_coding MANRGGGTNPNRGRGQSGGGGRGNGGGRWNAGGGFGPGRGNNFFEGSSSGTAGQEDHQGRGSDHHGGGFDGVFRAGSGSYEGNRDRGYHGSGYNRGVDRRNYGGQGNYNNRRFNNGYVARDASNRNLVSSSNGTAESDGLTAAQSMLVKETAAALAKQLAEMTKTGAQSGPSAGQPAVVDTDLNQSSQVAAPSRQGNGFQRAEATLMAVAGISAPQPNGVVVASEGGVEAPITKKKKGSGCFRCAPKPLMNMYGLAHEELMFWDVPMSDAVKPRIENTRMGRVTVSGGQLSIQEIIVQLQWIVPEESYQWEVVQVEDNVYRVNFPSKMDLVRVQHFGRFNVPNSEIFMTFDFWKRSVDPIWRADDVWVRVYDIPTPVLDDFLALWALGTLFGKTRDIDMVFTRANDVLRILITCLDPTLIPARMDIRVLDDFYRMRFEVEGLQPPPVADVVMNDANNGEGDMEHDGQNENGEHQNGKDTNTSGVEQGDTGTGGNNTSPVHVPGNNVALSPVKFGLVGTEMIVNKEVLSCVTKSPNVIFSATIQANLVEHACARMIDSVCSLSKPRRGGRIWYCIPSYHRGQGMLQFGLVSQPNMHGASLNEVTSITAEVYDTRSLSPVRTPVGRLGFAPFRKKLHCFCFWHVRYKLDAVVEDATGTMNLMIFAEEAQELIGVAAEDLVDEITDGNRHTVPHAISNLIGSTHAFQVSIDDRSLGFVVKWVLNDDDLMLLKHSGSSQMTVGGGSPLPEEDSSSSASSCSSQLTEVGHA >cds.KYUSt_chr4.21389 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134716035:134718670:1 gene:KYUSg_chr4.21389 transcript:KYUSt_chr4.21389 gene_biotype:protein_coding transcript_biotype:protein_coding MACIQIGGVEAKKLLPLLPVPCRSCRSTTVILGVRLIIILCSLLSCSNAQSCKSSGSNLALADSSLTWITVDYDRVNQGYLFLTDSGTLLLDTQSAIPQEETLSSYRNGTSSNSPGLYLPWGYIWYGGIFRLSFNMGGVHYEPDNQTPASRSSSLVVAILPAYDFSGNGNQNRDMSIVLPPDSWSQQNTSGSGSGSSQMGSCTWPLYAAASTACVQISVEPGRNSVVEAFLAYDPLGKRLSVSVGDAARNPEAPYAVATSMAFDLGEMLAGDRVRGQIGLFSSVGQLAQLQSWNLTVHRPLPKQSSQKWVVVLSSVLGSVAATLVAATAVYCYLNSKYRRWKKELDELAKTMQSLPGVPMHIDFADIKKATDNFDDAMKLGRGGFGAVYGCVLPAAASRTGQAIKVAIKKFTRELQDHRYQDFLAEVSVINRLRHKNVVPLVGWSYNKGEPLLIYEYMKNGSLDQHLFPNGGFGRGRQQNDAAIGQWDTRYGIVRDIATGLHYLHHEHEPMVLHRDIKASNVMLDSTFCARLGDFGIACTVAADRSSITGIAGTIGYIAPESFYNFKAARQTDIYAFGVLILEVVSGKKSSDVPAEDGHISLWARRLHREGTLLEALDGMFVSGKDQPHVVEEAKRLLLLGMACTSLNPSSRPSMTDVLQVIGKLAPPPDVSLEQPTLPWLPAGEWSSVSSEDGTAVIANNQDGSSESTTEIELHHRKNIWECSNPSFRSTNGNLP >cds.KYUSt_chr4.43578 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270250404:270255098:-1 gene:KYUSg_chr4.43578 transcript:KYUSt_chr4.43578 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEATGVGGGGAAVAAATLLTAVLLAAVFRYQRRRRGAAVRVVPAPVVPDREGSAAVDGLTDVIIVGAGVAGSALAYTLGKVAYLLPLSFLPVLPFPSAERDLTEPDRIVGELLQPGGYLKLIELGLQDCVDEIDAQRILGYALFKDGKDTKLPYPLEKFGSDVAGRSFHNGRFIQRMREKCATLPNIQLEQGTVTTLLEENGTVKGVQYKNKSGEELKAYAPLTIVCDGCFSNLRRVLCSPTVDVPSCFVGLVLENCKLPHPNHGHVVLANPSPILFYPISSTEVRCLVDVPGQKVPSIASGEMANYLKTVVAPQIPPEIYDSFVAAIEKGSIRTMPNKSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASSLCKYLESFYTLRKPVASTINTLAGALYKVFSASASPDKARDEMRQACFDYLSLGGVCSDGPISLLSGLNPRPMTLVAHFFAVAIFGVGRLMLPLPSPKRIWIGARLISGACGIIFPIIKAEGVRQMFFPATVPAYYRAPPEAEF >cds.KYUSt_chr4.23498 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147896247:147898031:1 gene:KYUSg_chr4.23498 transcript:KYUSt_chr4.23498 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHSARRQSKANKMDDQGESSDSGSLVVVVEMAKELSRNLATLKSFKPQQKDRIMVAKVRHLTRNVCKSEYDPDHVSIGPYNHHRQLPTQHDKLRSLDAVLSWAKHGHGTTVEGYVNELACLEPKARSCYNNTFDDIPKDQFVRMLLLDGCYIVDRFVNFEEQPTTNDEGTAAANGSSIPSVVAASPDLQEQPTMNDAGAAANGSSIPSAVAASPDFQEQPTTNVPSAVAASPDIQEQPTTNDEGTSANASSIPSASAAELEYLELVRDVFFLAENQIPFFVLEKIGELAVPHGRAHVGRWIAGHARDLMNDQMYTAAAPGPAMVPPELTMPGNLLHLVHMQLKPEAGLFPGATRISAADESASVGRWRTATEYSYAGVKFKVRAMSENEGVRSILDVKLDSSGGTLEVPCLDIDNETWRLLRNLMELEQRNRDTVGSNVTAYCVFMSQLASDRKDVELLRKRGVIVHAQGNDGEVAQCFADLCKGIMFNPNNPAIDYLWGTRQKLDRRSRSYPRRWMAWLRRKYFANPWLAVGLLAAAIGLVCALVQALYSVFSYKHGRN >cds.KYUSt_chr5.22570 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147291620:147294176:1 gene:KYUSg_chr5.22570 transcript:KYUSt_chr5.22570 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGLIQIDQSTVAIKETFGKFDAILEPGCHCLPWCIGQQIAGYLSLRVQQLDVKCETKTKDNVFVNVVASVQYRALADKASDAFYKLSNTREQIQSYVFDVIRASVPKMILDDVFEQKNEIAKAVENELEKAMSAYGYEIVQTLIVDIEPDVNVKRAMNEINAAARMRLAATEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTMKDIGASSKSSAVFIPHGPGAVRDIAAQIRDGQLQGSMI >cds.KYUSt_chr3.37908 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238509724:238511865:-1 gene:KYUSg_chr3.37908 transcript:KYUSt_chr3.37908 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCPGSGDAEPAPAASASSDPSTRRSGASIKAGASPSSAPAQNKPPAPLGPVLGRPMEDVRSIYTIGKELGRGQFGVTSLCTHKATGEKFACKTIAKRKLSTKEDVEDVRREVQIMYHLAGQPNVVELKGAYEDKQSVHLVMELCAGGELFDRIIGKGKYTERAAASLLRTIVEIIHTCHSLGVIHRDLKPENFLLLSKEEDATLKATDFGLSVFFKQGEVFKDIVGSAYYIAPEVLKRSYGPEADIWSVGVILYILLCESEHGIFNSILRGQVDFTSDPWPRISSGAKDLVRKMLNSDPKKRISAYDVLNHPWIKEDGEAPDTPLDNAVMNRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDADNSGTITVDELRRGLSKEGTKLTEAEVEQLMEAADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDNSGCISKEELEQALREKGLLDGRDIKDIIAEVDADNDGRIDYSEFAAMMRKGNPEIPKKRRDVVL >cds.KYUSt_chr7.34271 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214175531:214179420:1 gene:KYUSg_chr7.34271 transcript:KYUSt_chr7.34271 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGYGYGPPSGRYLDPERVFALVIGRRPGDLFEYLDSVPGARADLQCRLASFAGTALLAPLPGLCAPQMVGYLFKYPSDPVLRHAAILNQIDASTSSTPTAMLPPQQKNYNAVINFPIIHARTPDSTPAFNLGRHASDFAPWGTDSSLELAFSKLSMGQPGSELMAPPLMRNNHGTPAMGVPSLRQPRSAQLTPQRLMQDGEVLPTLDIKGKGPASEVFEQKVRVHVASLIAEDGTTGAAGLLIKKGAWQFICASCFPMQVTGPVLLAAACCEGIKIARAYQPTSIVLESHLFQLLDPLASAHAPCPQMEELMKLLDPSHCTVEAITEESNGAARQLAMHSLVTGVAEIFFGVPPDWLVLT >cds.KYUSt_chr1.42056 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257702101:257703804:-1 gene:KYUSg_chr1.42056 transcript:KYUSt_chr1.42056 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGGMLAAAILKVVGAQIGSAIGGQITLQKNFDEDLKKMKMALESVDAVLEDAERRSITDKLTRLWLKRLKDVMYVISDMIDEFEADTQAITQPSARKCSFKKYLAIMIPCLTIGPKIKMANRMEKMRDDLELITDQHKMFKLTQGTNANETKVTDIRETSSIMETQIVGRTDDKDEILASLFESMTEDITILPIYGIGGLGKTTLAKMVYSSSQFKEYSQVWVYVSQTFDLKHIGNSIISQLSEGVKESEYTEIQMIHKSLQKLLAGKKILIILDDLWEGEDFHLESLTDMLKVGKDSNVVVIVTTRDEGIARKISTIRPCKLKALTDDMCWSIIKKKSAFESRGGKEHAEKTGKAIAMKCGGVALAAKSIGHTLQYIKFSEWESLRDSNIWTVTR >cds.KYUSt_chr2.45828 pep primary_assembly:MPB_Lper_Kyuss_1697:2:285945832:285956990:1 gene:KYUSg_chr2.45828 transcript:KYUSt_chr2.45828 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRLASPAYLLLEDACRHVQSGISGLRLLGDKDSEPRRLLFVEIVRLGEELVQCCFHLGLLSHVGKLASGATFLSGDSSPFSGTNMFPALSIALKLRRRLGTVDWGKPGQLHIMVKAFLFMNHQPTKDQNQQQQSVEEQTSSRGNQERSLRAAAAAAAVSGGGPTSNGGVMVHRLEEVPAGRLVVVAAAKGEHWQASWLGRKELVLAALERVLGSLRELLGRDPHRELREGLNSMVEDVVWHLNRVAVVVGSTRAAVDITRAMEGQLHTIQVVAHLNINNVTFRGVDIQVVVHLNINRVVIKGVVIQVVVHLNINRVTIRDVVVHDPEVVEPHRHTMVGIGEVVVDTMFFQVHQEQFPSCTKPHMSNIKPRWFHHPHRELPHLLSLWQRCVVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMAELVKLYRYSQLDGRLPAYDGRKSLYTAGPLPFPSRTFEITLQDEEESLGGGQVAPRRERQFRVVIKFAARADLHHLAMFLAGRQPDAPQEALQVLDIVLRELPTARYSPVGRSFYSPNLGRRQRLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQLLCRDISVRPLSDSDRVKIKKALRGIKVEVTHRGNMRRKYRISGLTSQTTRELSFPVDDRGTVKTVVQYFLETYGFNIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREKDILQTVHHNAYYEDPYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVHHWACINFSRNVQDNAARNFCHELALMCQVSGMDFAPEPVLPSLTARPEHVERALKARYQDATNILKPQGRELELLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPNRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGARGPQQGGRSTRAFGNVAVRPLPALKENVKRVMFYC >cds.KYUSt_chr5.36658 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231746105:231752634:1 gene:KYUSg_chr5.36658 transcript:KYUSt_chr5.36658 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGVVPPILPLSDGVLGGVVGDLAAFGARRSSGLLSLRSLHLAGKASAPTRCDGDSVLRLRPRSAVASSSGGGKLVQTFPVLIFEQLLQVMACDGRLFQPELLPLASSGGHAIEVLLPATTLSRYCIVRRSTRLFANNQNNQHTVDKSGNILPGTIIESKLCHPTEFDFYLCSHAGIQFSLSSNVLCTNCKGKGFKSGASMRCPGPPPGCSTSCGGVSVPYPFGIGDGRHWPGFNLTCDRARGRLLIGGGGTLEVVDISLANSTVRVKDSVGAVNITYRDP >cds.KYUSt_chr6.26988 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171051541:171052458:1 gene:KYUSg_chr6.26988 transcript:KYUSt_chr6.26988 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAECDRIKGPWSPEEDEALRRLVERHGARNWTAIGRGIQGRSGKSCRLRWCNQLSPQVERRPFTAEEDAAILRAHARLGNRWAAIARLLPGRTDNAVKNHWNSSLKRKLGAAAAGAAGWEEGNGSEGGEYEGRPCKRVSPGPESPTGSDRSELSHGGGGGQVFRPVPRAGGFDAICAEVVRPAVAARQDEELVTSLSLSLPGLDHGFHHDSARSQFQELSSSSSPRSPSPQMAVAPSQAYPFGGDMAAAMQEMIRAEVRKYMSGVGIPAGCGAGAVGEACIPLLVEGVMRAAAERVGVVTRQ >cds.KYUSt_chr5.26281 pep primary_assembly:MPB_Lper_Kyuss_1697:5:166464579:166465861:1 gene:KYUSg_chr5.26281 transcript:KYUSt_chr5.26281 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSFLSPSNPSDLPSYQRGGGLAVSVPDCATRWPTTPHASASPNSASAASAVLEQMGSMAPVGSSRRGGASEGSPAELDLPVESGAEMCRLSPVPGYNFVGSIRAPINKFEEGNKSRITTGVVATNKKIDQISERLSKTPNNAGFIDPSLAAPLGFTGRSLEDDVEIEYESSQDFGVEDSWQTGIIMSLVRKVILKP >cds.KYUSt_chr1.25188 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151096073:151097788:1 gene:KYUSg_chr1.25188 transcript:KYUSt_chr1.25188 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERGWKAGSVFRIEMVEEEEETAIPPPQTPLEPMEYLSRSWSVSASEISKILVSGAGAKRSFSRLPLPEMAIPETATVLATATAIVPSHRHHTDARRSSISSIGHHQSIGRWFQHRDASRSRQSSKEKLRAERAHVHAMVSVVRVAAAVAAVAAASTSSDAQSSKMAAAMAPATELLASHCVEAARLAGASHEQVASAVQSAVGVRSAGDLTTLTAAAATALRGAATMKQRVQREAARSNASVLPFEKGHSWSPDVWCKEGELLKRTRKGDLHRTKVSIYISKRSQVMLKLKSKHIGGALSKNNKSVVYGVYSELPTWVIEPGKAFMDEKCCFGLSTAQGIVEFECQDSTSKQTWVDDVQNLLRQVAADDHAGDKLESVVEAP >cds.KYUSt_chr2.51587 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322326654:322327994:1 gene:KYUSg_chr2.51587 transcript:KYUSt_chr2.51587 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQRQQALPVINIALLGDKDPAARALVVQDIARACLDHGCFQVINHGVSKIVMDGALEAASEFFDMSTGYKEVHASDDIRSPVRYDTTSRDGISKSRSFLKHYANPLDDWINLWPMQPATYREKMGTYSVEIQSLSVQLMGAIVQGLGLGSMYLHDKLGEGLQFVALNNYPQGSSLAGDTVGLAPHSDYGFITILLQSSPGLEVMHHDDHAWTPVPAIPGALHVHLGDHLEVLSNGRLRSLMHRAILNTDEARISIASIHGVAMDENVECAEELVDEGHPKMYRESSFRDFLDFLPTNVKTYRRFVQTLKIDTAAYKDEERIGSATLRHS >cds.KYUSt_chr3.22330 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137873810:137878335:1 gene:KYUSg_chr3.22330 transcript:KYUSt_chr3.22330 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNPRIVGCGERTLVLSHGYGASQAIWDKVLPHLSKSNKVLLFDWDFSSAAAPKDEEEEGYTFSRFADELVALMDEVNLKGAVYVGHSMAGMVGCIASVRRPDLFTHLVLVGASPRYMNSEDYEGGFDKSDIDEMLKNILSDFHSWAKGFVALAVGTTDPSAVEPLARSFFAMDPRVAHGLARMLFLGDQREVLDRVVVPCTMVHVSGDFAAPPSVGRYMQGRMKRCASAALETIDSVGHFPQLVAPEEMLRILDIVLGEGRLAADVVEEKSGNEGSLAEAEVNGDVDAVAMS >cds.KYUSt_chr7.40505 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251468313:251480586:-1 gene:KYUSg_chr7.40505 transcript:KYUSt_chr7.40505 gene_biotype:protein_coding transcript_biotype:protein_coding MEWATVQHLDLRHAGGRRGASARPLQPHAAAFRASQAIVAVAIGTHVVEFDALTGSKIALVDLGARVVRMAYSPTASHVIIAILEDATIRSCDFATEQTLVLHSPEKKSDHVSVDTEVHLALTPLEPIVFFGFHKRMSVTVVGTVEGGRPPTKIKTDLKKPIVNLACHPRLPVFYVAYAEGLVRAYNVQTYAVHYTLQLPVDSTIKLMGAGAFGFHPTLEWVFIGDRGGTLLAWDVSTERPNMIGITQAGSQPIASVSWLPTLRMLVTISKDGGLQVWKTRVIINTNRQPMETHFFERAAIETMDITKILTLQGGEAVYPLPRIKNLAVHPKFNLAAVIFADMSATEAAKNKAAYTREGRRQLFAVLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQSKLTISDVARKAFLHSHFMEGHAKSGPISRLPLVTIADSSNLLRDVPVCQPFHLELNFFNQENRVVHYPVRAFYLDGFNLMAHNLSSGSDNLYKKLYSTIPSNMECHPKYILHSQKQHMFLVVFELSGPSGVAHEVVLYWEQTDLQAVNSKGSSVKGRDATFLGPDDNQYAILEEDRTSLNLYNLKAVATKEALENNAAVLEENTFAENPTANPTQQQGPMQFTFESEVDRILSSPLESSLLYVISGKHIGLAKLLNGYRLSTDNGLSITTKTDGKKFIKLKPNETVLQVMRCNYAIKALRFSAALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYAQFDSAKETFEVISDHESMLDLFICHLNPSALRRLAQKLEESGTDPELRRYLERILRVRSTGWTQGVFANFAAESMVPKGQEWAGGNWEIKTPTSMKSIPQWELAGEVMPYMRTTDAAIPSVIADHIGVYLGVMKGRGNVVEVSEKSLVKAMAAASSENAQPASSVLAIKNKTNAAGDSVGDSLARQLGVQIASADEQAKAAEEFKKTLYGAVDGGSSDDDESTSKTKRIQIRIRDKPAAPAVDVNKLKEATKQLGLMAPPISRTRSLSGPPQEFSQPAGPPQPAAPTMPSGAVDFFGTNSFVAPPAPTGATGPVIAGMGVTAGPIAEDFFQNTIPSQQLAAALPPPGIILSRMAQPGPGMNAGRPVPNQNMMANVGLPDGGVPPQAPQQPGIPMNPVSLPDGGVPPQSQPLPLQQQGFQPAVATMSQPIDLSTLEGPGAVKQAAQPPAPTAVRPGQVPRGAPAAECYRMGLAHLEQNQLTDALNCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGTLSAKEEMGRLSRHLASLPIQAKHRINCIRTAIKRNMEVQNFAYAKQMLDLLYSKAPPTKQDELRSLIDMCIQRGLSNKSIDPLEDPSQFCAVTLSRLSTIGHDVCDLCGAKFSALSAPGCVVCGMGSIKRSDALAGAGPVASPFG >cds.KYUSt_chr6.19026 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119764775:119765224:1 gene:KYUSg_chr6.19026 transcript:KYUSt_chr6.19026 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGQEAHRRYRSNISVHHRHLRTTTTTTRTADTEQRPGCDRTHPRRRHSHSPDRARVGPDLARAGRPPPNCTAWFPHFGPAATSLTAPPPRQLRGLHLRARLPDDASPLADAPPECAPTPSAFDGWAPPPPPESSGVARARWGRWFG >cds.KYUSt_chr1.36404 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222099663:222103660:-1 gene:KYUSg_chr1.36404 transcript:KYUSt_chr1.36404 gene_biotype:protein_coding transcript_biotype:protein_coding MVADIGSSLAADVHCTSAHVYAGFLSIDCGLPESAAGYVDNVTKLSFVSDAGFIDAGTNYNMSTEYITPSMGKSFHNVRSFGGGASARNCYTLRNLVSGLKYLIRAKFMYGNYDGLNRVPVFDLHLGVNYWTTVNITDADTPVIVEVIAVVPGGSAQVCLVSTGSGTPFISSLDLRPLKNLLYPMANATQGLVLLARANFGPSDGKLIRFPDDPHDRIWFPMSKPTEWSEITTPLKVQNIDNDNFEAPTAVMQTAITPINASSPIEFFWDAEPSANDPAPGYICILHLSELQLLPTGAARQFFVTINGLLWYPRGFSPQYLYSNAVFNSNANYGFHQYNVSLNATPNSTLPPILNGLEIFSVVPTTGVPTAAQDVSAITAIRGKYQLKKNWMGDPCVPKIFAWNGLGCSYAVSIPPSVTGLNLSASGLSGNLSSSFASLRGLQYLDLSRNNLTGTIPDSFSQLSSLTLLDLTGNQISGSIPSGLLKRMQDGSLTLRYGSNPNLCTNGDSCQPPKKKKSSKVAVYVAVPIVVLLVIVLLSVLLLCMLRRRQGSFVTEGTTSRNSVRPPNKASIASYHSHSRVSNEHSSLRLDNRRFTYADLEAVTDGFRREIGKGGFGRVYLGTLEDNTQVAVKLRSENSDQGEQEFLAEAQTLAKIHHKNLVSLIGYCKDREYMALVYEYMSEGALHGHIRGRGNNSRCLTWRQRLRIALESAQGLEYLHKGCNPPLIHRDVKPANILLNANLEAKIADFGLLKAFNSGSDTHVSTDRLVGTTGYTAPEYLLTYQLTIKSDVFSFGVVLLEIITGKPHILNDPERTSIAQWVQRCLARGNIESVVDIRMSGDYDVNGVWKAADTALKCTAQLPEHRPTMTDVVAQLQECLDLEAARCDANGGFYSAGSGGNPSGYSGYGTDISTDVSQSSTGFEMERLGRVPTLPTGPDVR >cds.KYUSt_chr3.6897 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39668660:39671000:-1 gene:KYUSg_chr3.6897 transcript:KYUSt_chr3.6897 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSTMDHAGYDLAHAGLAGLDAPRLRRPAMTSPATTSPALAPLGLDLLRLHGLEGATASPRSGQSLMIMPAFGIQLEQHFWSKLLKSLLNECVTPVTCYWSLAVLLCDEDELMLVFKMNSHHWEFMFLPPGAASRVNTGRRLTLARLLPLGSHVLEYTVTLQGGYICLLITKA >cds.KYUSt_chr4.48366 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299512873:299522032:-1 gene:KYUSg_chr4.48366 transcript:KYUSt_chr4.48366 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRSPPPNPTPPPPPAGALALALADAHAAVRSDCDKALAFLHRGNHPKALRLTKDALARHAQGSSPPPLLLRAHATVHARAAALITDPQPRARHHRAALDAARQAVDLAPHSLDLAHFRALLLYEAANDNRAYEEVIAECERGLRIDHPAHPDPNSFRLPPPDPDQLRAELRSLIQKANLASISTWVKTLGGSGDEKLGFFRLADDTPELPLLPAAPAPRRPNELKKATKTADERRKEIEVQVAALRLLEQQQHQHNNNNNAAAASSSSSNGHDEAPSSSSQQSGHRAATATDRRKGGSSSKKATAATAARMEQARAFWPAMPLDQRLAFLKTSISDLKLYYQNHKDKEVASLAPDVINELAQFATDSGSWEFWLCGLCEERYTYAAHTPRDHIGRLSDHRQAMVPQEIDADWAAMLTGSTWRPLDAAAALKALEEEQADNACGGPDRDKDSMSSDNWSTKDKSDTSESSASPHNEECDTFGVAIREGSHRKWPLADDDERAKILERIHSLFQILVKHRNISVTHLDKVIRFAVDELRGLPSGSLLFNHPIDESPLCICFLEASSLKKVHKFLQDLMHSCGLNRFSDKDGELGDGDRFPQNHVIHDSVTLDSDSSALILDARLFGAKSGPENVDTDEFLSWLYAGSPPIGEHLSEWNSMLVDRPKQGCRVIEMLEKEFSALQNWCDQKHDQLIYEEGVVAVDNIVLEEQRRRECVGRYSYLGYEELLRNRQDELLESKGCRPEFDAISNVLKEVRNSHYGYDEGFSGMTSRPYDFDGVIDDWRLQDFVHPSDSVVQNVVSKMKERVNIELCKIDAKIMRSEAVLQQLELKFKRAALIDFQTILLPLLKSFLRSRLEELVDKEATERSDAARDAFLAELAQDAEKNANQGGDKKLSHEKSKDKKKMKDSRRSKDPKDLSWSDQYIVRQDSVDEETSEQSQTLVDCDDFDNKLPVSDEYSNEQEEELRHRVQLEAEERKLEETLEYQRRIEEQAKQKHLAEQSRSTSAASAIGATGYPTDVNSSMDQDNHQSAPDNFSPAYLEGIKFGDFRFPEVPLGEKDSSSKSGAVGLPQKADNDHRENLNGLRSPGAHALTGSNDLTKPALKMNGVGKYAQNTKLSSNPVVQRSKSGTSQAHKKNIQGSVHDGDDNASSRQNGTPASRWSSSGKVADFYKNTNQDGLLPVPSLDDPWNVNKAEKADRGAISPAIVRMEDDSDKRFEEDLRKAVHQSLAGTSNGKEVHGAGLKNAAGEYNCFLNVIIQSLWHLSQFRHKFLKTSSLHKHIEDPCAVCALYDIFVDLSKASEGQGEAVAPTSLRIALSKSYPNNRFFQEGQMNDASEVLGVIFECLHKSYTSQADCHVKSHERNSIGSWDCASNFCIAHCLFGMDIYERMICHSCGLESRRLKYTSFFHNINASSLRTAKMMCPDPFGDLLKTVIMNDQLACDPDVGGCGKPNHIQHILSSSPHVFTVVLGWQNNKESVDDISATLAGISTEIDISIFYCGVDQGTKHSLVSVVCYYGQHYHCFAFEDEHWVMYDDQTVKVIGGWADVITMCEKGHLQPQVLFFEAAN >cds.KYUSt_contig_6320.29 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001280.1:160062:161306:-1 gene:KYUSg_contig_6320.29 transcript:KYUSt_contig_6320.29 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIPEIPGHLLAEIFLRLPAPEDLARTSAACVAFRRLVTDGPFLRRFRRLHAPPLLAFLDLEGFHPALPPHPSAPAAAALAAAGADFAFSFLPPHCSWIIQEIRDGRVLLARDHGGEERPPVFRELVVCDPLHRRYVTLPPLPSTLAASVLFQPAPVARMPWCEPCLAPLGDDDDTAFTVICVVHCETKLATFVFSSSTGQWLPSASKGWSELFRGRVESAADASVREPTSSNSPLDPTFLRRHYAYGCFYWESTMAKRKDLLVLDTRTMEFSITDLPSKGWGTLGVAILEAGEGELGLFGIRDQPAGGKPDLCYTVRENKGGQWQMVRTIALGSGCLHYIKASTERYFLLVSADAPRWLGSSFKMPDLEYFSMDVKELQLQRVSVKPFGAALSRTRIYTNFPPSLLSSPTI >cds.KYUSt_chr6.9797 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60410856:60413854:1 gene:KYUSg_chr6.9797 transcript:KYUSt_chr6.9797 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPPPPPPPPPPPPPQVHGVVVITLPPHDQPSKGKTITAYTYTDDPPGALPPHRGPASADSRRSRRAVSPRRAAAMLLVLGALALAAYYCIYSDVAVQFLGMEEEEAQRERNETKSFLLPLYPKARQGRALREFGDIKLAAKRVDDGGGGGARKVTKKLQAEGAASAGTNSTVLLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPTKEKIVPPRDSLCQELQGDQNYCETCKQCDYEIEYADRSSSMGVLAKDDMHLITTDGGREKLDYVFGCAYDQQGQLLSSPAKTDGILGLSSAAISLPSQLASKGIISNVFGHCITREASGGGYMFLGDEYVPRWGLTWAPIRGGLELKMTPPVLSKMSQIRHYLYAGKLILPHLGDVKQFFKPLNLHFGRRWFVLPKTFTILPDDYLILSDKGNVCLGLLNGTEIDHGSTIIVGDVSLRGKLVVYDNERRQIGWANSECTKPQSQKGFPFFL >cds.KYUSt_chr1.36692 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223917558:223918268:-1 gene:KYUSg_chr1.36692 transcript:KYUSt_chr1.36692 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAPLKQQLLPMAPQDPNSPSSSTSSSSPSSAAAASPRPHHPLPPSPRPVPRTIDTTPFPTTFVQADSASFKQVVQMLTGADMPPPAPSSSAQRPPANKAHHHGVAPCRPKKPAFKLYERRSGMKNLKMIAPLAMASAAGASPRKALPELLSPSVLDFPSLALSPVTPLVHDPFNRSASASPAEQEAAAAERAAIARKGFFLHPSPRGEAPPRLLPLFPVTSPRMASAAAAPSE >cds.KYUSt_chr4.47852 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296208239:296208511:-1 gene:KYUSg_chr4.47852 transcript:KYUSt_chr4.47852 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAKCECCELREDCTRGYIVGVKADFGGRWLCGLCSEAVREEGRKRGMEEALEDHMAFCRGWCRSKDPALRVADGMRQMLLRRRRSK >cds.KYUSt_chr4.38935 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240443968:240446059:1 gene:KYUSg_chr4.38935 transcript:KYUSt_chr4.38935 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTSLLLLPILASLQQLATAAGDAGCPQATCGNFTLAYPFWLAGQGQDVSSCGPPAFRLTCNVNGSASDGAFLGSSYMRVLSIDYGNRSLVAVHTLLAADTTCTVLFNMSSAFAITDRFRISRRNREAYMLSRCQERRPPPGAVAVTNCTGNSSGIYVYLGGSYDTSQPPANGGSCEISNFPVLGSEPAADMTAANYRRLIKGGFLVEWEPVGDCDACKASGGRCRYDASTANFACLCSDSSMRPSTCDNNQNRKLILVVSLSTAASLVFACLAYLMYRQKRKIISAVRRIYSSNTSITEEMLRECGSLALKRYMYSDLKKITRSFKDELGEGGYGVVYKGSLRDGKIVAVKLLKGSKGNGEDFLNEVMSIGRTSHVNIVGLLGFCLEGSHRALVYEYMSNGSLQKHIHSETSKRAIGWETFQKIAIGIARGLEYLHQGCNTQIIHFDIKPNNILLDHEYCPKIADFGLAKLCHLKDSVLSMAEMRGTVGFIAPEVFSRGFGVVSTKSDVYSYGMMLLEMVQEKKILKGNADNSSETYFPHWVYDRLARDLHGFEVTHGTEEVVRKMSMVGLWCIQMTPESRPSMSRVIEMLERNIAELEMPPRPFFCSSEYSTNASSYASVQVMMPST >cds.KYUSt_chr4.3613 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20501441:20502790:-1 gene:KYUSg_chr4.3613 transcript:KYUSt_chr4.3613 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGGGGGLPNGVGDHALPNGVGDHAPADHALANGALPNGAGQVNHALVNGADQANHAVPNGALANGGGHALANGAVANGGLQVMAAPNGVLPARVLYRAFLCLPAQEIARCRMICRLWRDITGTEDFRRDHHCHRYRMLMPLVFTQELGVADWQLHAIDTLHGVAQRRPVMRSPLHHGELRIHGSSAGILLLSSGNRLYACNPCTRRWARLPPLHVDHRIIGFYAPGDPVAAYVLYHDRQEYDCRYWIFQVDTNPYATLIGRPGDLAAVGLDLVLANGIAPSYVIPPVHFHVYLCWPPQATRGTNDILMFDTSANSFSLIPPPTIQVGGEHFHVGVGAQLLVLHGRLAMAVISPARVVDFWVRNDMDDLWSRPYRIDLPVGAINAGVFALAPDPSLLVEWPRILITDHGAFLSRHTIQESLLLYPDILPLQDTDAVDDDPPFFQNDD >cds.KYUSt_chr3.19213 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118289708:118290070:1 gene:KYUSg_chr3.19213 transcript:KYUSt_chr3.19213 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPTDVIEQKLAEARGGGGGTKGMPTAAGRGDAHDEDDVMTRVKAADQMTGTGFNDVGKMGEEGTGMKAALRANDEEEDVMLRVKAADQMTGQMFNDVGLMGEEGTGWAPARRAARKDA >cds.KYUSt_chr1.3013 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18107500:18109643:1 gene:KYUSg_chr1.3013 transcript:KYUSt_chr1.3013 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEAAAAASSHHEVDLGHLMAYDPSHHLAAAPSSRAELREECLRKATELAQAVADALFSLPATEGRDGPVVRLPPPNNRLPREKHLPRPAPPTKWEAFAKQKGIVNCKKNKRTWDEQTNSWKRNYGYDRVNDDRDIPIIEAKMTDEPGVDPFAKRREEKKGRVDKQEKNRLGNLKNAAKVGALPSHIQLAATSIPITGTKADLPRKSKKEDLENVAGMASSATASGGKFDKKLPGEKPLKKAGKHRKFLPVAEGKGMGNLEKQQNDKILNSLLAKNFEEPLDVSKAITMYKVKKDNNRRKDKKSSSGSDKLKPGKKIHKKSSKKSA >cds.KYUSt_chr4.13476 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83085218:83086909:-1 gene:KYUSg_chr4.13476 transcript:KYUSt_chr4.13476 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTTEMKMKLAVDRSKNRVLFADAGSDVVEILLSFLTVPLSALHLIAGQSSSPVCLTNLINSLNHLRRSELLKADACHGTFLETLLSDGFRLCKPPCNYNECKCCDALARLLHVHADTEAFLRGKERFIITDDWRIKQASTTSLMSLGRMFDIGWIGRDFEEVEVCVGWAEVLSMAKASLSSDTIFTDVFLSERAYDDHSAPQVTVKPRINQNIVHPCSRENSDPLLESTVEIVYDMRGKKVMYAECDHRFVDLLLGFLTYPVSRVIKNGGVAATCYLSKSLINLYSSATDLGATGSLTGLFPEETLLVQSLAPFPELVEDRKYVVEDDLLIHQTSAMLVMKHWRRRPGTEVDRIEMSIRKHEAVAALMQALFTSEMGLTDAFVSRKETMQILVTIGAEKTIILDGVKSSDTIAAIRRKIEVNESIRAERLYMLIFNCRILKDSCTVAECDIFDGHTIHLRYLYR >cds.KYUSt_chr1.19309 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113337422:113339288:1 gene:KYUSg_chr1.19309 transcript:KYUSt_chr1.19309 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEQPQIEHSHLAIRGLNLHVAQAGTGELGTVLFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAASYQDLQEDLLAIMDALSVPKVFLVAKDFGAMPAYDFALRNPNRTCGVVCLGIPFLHGASSFTTLPEGFYIRRWREPGRAEADFGRYDVKQVVRTIYVLFSRSEIPIANEDQEIMDLADLSTPLPEWFTEEDLAVYASLYEKSGFRYPMEMPYRALHKSQPIEDPKFQVPVFVVMGEKDYVFKFPGIEAVLKDGVMAKFAPDLKVTYIPEGSHFVQEQFPDMVNELLLGFLKDHPVA >cds.KYUSt_chr1.18649 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109203351:109203920:-1 gene:KYUSg_chr1.18649 transcript:KYUSt_chr1.18649 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRALATMGVFLLVALSTSHIASSFRPGIGVCRASGYLPGKAGHCEKSNDPDCCEDGKRYPQYHCSPPVTATTKAVLTLNSFEKGKDGGGPSECHNSYHSDKELVVALSTGWFENMARCGHRIKITANGKSVYAKVVDECDSVYGCDDEHNYEPPCANNIVDASPAVWNALGLDQNVGMEDITWSQA >cds.KYUSt_chr2.13585 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86082252:86085322:-1 gene:KYUSg_chr2.13585 transcript:KYUSt_chr2.13585 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDSIKAGERSSSPWRSLLGGCLGAADCGAGTGNQGHKKVRPRSGGGGGRRLSFTDLSGAADEDLSVSLVGSNLHVFTVAELRAATREFASDNFLGEGGFGPVYKGFVDKPGLKAQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEEEHRLLVYEYMAQGSLENHLFDQQVPVVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDHKAKLSDFGLAKDGPVGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYTFGVVLLEILAGRRAVDKSRASREQNLVEFARPGLRDPLRLARRIMDPALESRYPATAAQKAAAVAYQCLSGSPKNRPDMSTVVKELEPLLAITEDVLAADGPVVLFVAAPEPDAKEKKERPRAVRDVGVHHRRRLRSPKGSPRKRGAEQKEEFWVWHVAADDKA >cds.KYUSt_chr1.7572 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46434132:46437068:1 gene:KYUSg_chr1.7572 transcript:KYUSt_chr1.7572 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPGGWLFHQPVDPVLFGIPDYFDVIRNPMDLGTVKKKLTNKSYFGTDEFAADVRNLASEQLHMKVIKARTAVNSKPVVSGGLVSCSNSVAKKTLTDAISSKLKIKFSVRGSGQTLSKDTSLQAAASRMGSLNHSIPSTKFPLLSHVFYLQDSAKVAKVQSSEHSSQSIGDGSYIHDEPLSPTKALRAAILKRRFAGTIVKAQQKALLDHQIDPAKLQMEKERLEKRQQQAYIPFLKIEMETLGPFSVVLTLSYAIAVNADLQSCLLPNVSCAAEKERIEAQVKAAEVAAQFKLDEETRIKREQEREAARLALQMMKKTIDIDNSDFLKELENMTKKWELNPPGKLIVDFVDGIDLPPDLGSPLERLGLFMKKDLEEEVEHEMDDSIAPSMVVDVEEGEIGCCG >cds.KYUSt_chr5.33714 pep primary_assembly:MPB_Lper_Kyuss_1697:5:214114668:214118663:1 gene:KYUSg_chr5.33714 transcript:KYUSt_chr5.33714 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSLLPSFLYSPSRSGGAGMPDGRGASGVVAGSPSEPPFGKIEMFSPAYYAACGVGGAAACGLTHAAVTPLDVIKCNIQIDPAKYKSISSAFGIVMKEQGFRGFYRGWAPTFLGYSAQGAFKYGLYEVFKKQYSDMAGPEYAAQYKTLIYLAGSASAEVIADVALCPMEAVKVRVQTQPGYARGLRDGFPKIVRSEGYAGLFRGMVPLWGRQIPYTMMKFATYENIVEMAYKHLIPTPKDQTSKPVQLGVSFGSGYVAGVFCAAISHPADNLVSFLNNAKGATVADAVKNLGVWGLFTRGLPLRILMIGTLTGTQWVIYDAFKVMAGFPTTGGAPAAVRKEELTEGQASA >cds.KYUSt_chr1.41994 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257428141:257429806:1 gene:KYUSg_chr1.41994 transcript:KYUSt_chr1.41994 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKTIATAASLAASLMLVRSLASELLPSEAREALSAALNSLRSRLTWQHTIVVEEVEGWSYNHVYPAVKAYLATRVIDAGANIDMQCLRVSSANSGPEKLVVSMATGEEMADVYEGTEFRWCLVTREVKADPNGGGGAREVRSYRVSFHKKHKKKALKEYLPFIVATAKAIKQGERSLNIYMNEDTDDWAPMDLQHPSTFSTLAMDQKQKQSIIDDLDRFIKRKDYYRRIGKAWKRGYLLYGPPGTGKSSLIAAIANHLRFDIYDLELTGVESNSDLRRLLVGMTNRSILVVEDIDCTIELMQREEQEEDKEKSKSKSNSKEKKKTEDKVTLSGLLNFVDGLWSTSGEERIVVFTTNYKERLDPKHFCGSGEMDMHIHMGYCTPEAFRILVNNYHAIEYHAKYPEIEELIKEVTVTPAEVAEVLMRNDDTDVALDDLVELLNTKKKDANEIKIDNKQVDEKKDASEIKTESMQVDEKKDCDGIKTECVQVEEKIDDKEVVLKNDSFTEDGSD >cds.KYUSt_contig_605.1041 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:4175491:4178954:-1 gene:KYUSg_contig_605.1041 transcript:KYUSt_contig_605.1041 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGRAAIGPGTSGEAGLLRGEQSELAALRLSGTMEGWGGAWREPGQGQLSSACVVRARPSVFATSYASTPTCHAKVNLLLYACVQLPMWNGMLLHPPSYDHDRNTSPSTEEVEDAMDARGLKAEIVSRVHLLPERINLTTTRLVPLCAATWPLAHLRAAAAPRRRSLPNTRRHALPQLARPSCRSPRPRPSAQRPPLLASTRSAHHRAPADQHHHEPPLPRALPFDSTQAALAGHLPLLLQPNRTAIRCPRPTRPPRGQPHALLLLLSAAPAVLADKIPGHTRARSAASRAPGQACPATRPASIARARSQHQAGRSLRPHPLLHSAGSSSNRPRCALLLNSPRRAVPAAIHLT >cds.KYUSt_chr1.26535 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159947723:159954027:1 gene:KYUSg_chr1.26535 transcript:KYUSt_chr1.26535 gene_biotype:protein_coding transcript_biotype:protein_coding ERARAYGAAVAKIDKYCPNISRKRSRGDGSSSERSTASLSGGFISRTVPQGHLNADDTELGPQKEERTKNAVQNRRLRTSMTEMDARTTSLSRGLGLIDRSSDPGKLTNGGPVVPEEKSRGLATSIDGWEKPKIKKKRSAIKVDVSSAGTSRTTDVDREQKQGTQHKFSSDARARMGSSPSFRLGTAASVSAAVQSEDDHEELLASANAALRSAYASPFWRLVEPFFCFLTTEDIAYLSQQIHLPDDSAASRSVEGDESQKCKGSLEYISEPSTPAASNKDDHSALLNGYTLNGMVNDADISWGTSCIEPILDQLVQGIDVRIGGSVGQRLIQAWIDEDKVDDIANDIYRSEECPFDTHEIHFDEGGWKSHSEGYKLEPLMNYEAAGNCPNGLMLGSDWKYHDEVSNKNSNVMEKAKAWPEFQYSEMCLSDRIIIELSEVGVSIEPVPDLAQSEDEDVNAEICKLEGQLHKGVVEKKKLLAKLDGVVRTEKESQQREFSRRAMERLVLIAYEKYMAFCGSNSSSSKNVNRAGRDAALSFVKRAIARCHIYEEAGRSCFDEPPFKDMFVSATSHRRDPDSTSQDNNTPPKSVQRPSAADASRASSHLSDLPFAKEDPWTNNVKQRELLLDEVVGSITGGTLKASGLGTSLVSSNTKGKRSERDREGKGHNRDGSRSGRPPSSNAKGERKNKTKPKQRTANISGPVSSALPRDPQPQPETKPTPNRSKGSSSAATRRDEPVNPANDSEIPDLSNLELPGIDGDFGGWLNNIDDDDGFQDLDLMGLEIPMDDINEINLMI >cds.KYUSt_chr7.5345 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31885821:31886738:-1 gene:KYUSg_chr7.5345 transcript:KYUSt_chr7.5345 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKALTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLVFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr3.17702 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108520832:108521470:1 gene:KYUSg_chr3.17702 transcript:KYUSt_chr3.17702 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATSTSTSHSLLKGLGAKLHASVSRLRNHRPIFPAYSTASTFLLPALAVLVCGTALSRVVVFFLPLVVSTSICCAAVYLLVASESSEGGAAKEVVLLCGDRAEVGLMEVYGGANASAYGNMRDVQVGCFLHRSPLGVGGFGCGWRKSGVDEDGNEVVFAGRVAIGGGVQEGAALEEELVALQVDRLAEGVWDSYFGGSSRWNYVTDGSEL >cds.KYUSt_chr5.2394 pep primary_assembly:MPB_Lper_Kyuss_1697:5:16198927:16200492:1 gene:KYUSg_chr5.2394 transcript:KYUSt_chr5.2394 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPQLHPQQADVDLGKLSYEIFSFLESKFLYGAGVPGGPCSLPGTPARASGARVRVLAIDGCGPGPGDALLAASALARLEAALRAKAGDPDARVADFFDAAAGAGAGGVLAAMLFVKGDDGRPRYTAADALAFVAASLGKGGWGGSGSGGGWLRGRWASLFRRGENRSSSDRSSSSSNSSSSLRKVFGDATLRDTVAPLLVPCYDLATGAPFLFSRADAVESDSFDFRLRDVCAATCAAGNTAAAVRSVDGRTAIAAASGGVAAMGNPAAAAITHVLHNKQEFPLAAGVDDLLVVSIGSGSSSGGTASSGSATPSAGGWRTPLPPRSPSPAEMIRLTAEGVADMVDQAVAMAFGHTCGRNYVRIQVSFPSSHRQAVAPSLICSFSHILINSVSTQAAAPAHSIKSLRSLDPKKVVAIADGMLTQRNVEAELFRGRRLSEKSNREKLDAFAAELVKEHDRRRSSPPGMLPNVAIKQVALAPPTPPRLSSATTTSSSASGTGTATTGGRTASTMPSPASTH >cds.KYUSt_chr5.27728 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175525222:175526299:1 gene:KYUSg_chr5.27728 transcript:KYUSt_chr5.27728 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPSSCWRSVLLLSVLLVAMDQMAMASLSDDIEVGWGQDHSFFYMDGPDDNQTLALCLDETHGSGFHTKEAYLYARFDIDIMLVPNNSAGTVTTIYLFPVDVPWEYHDEVDLEFLGNVTGEPYTLHTNIFVNGVGHRVEQFRLWFDPTADFHTYSIDWNPKRITILVDGVPIRVFKNHEKDGVPFPSWQKMKLEGTLWNADDWATQGGRVKTDWSAAPFFANYRNLRVSWCQPSPGVAWCGDEPPESTWFEQGLDAVALQNARDTHMIYGYCKDVNRKELPKECTLD >cds.KYUSt_chr1.2898 pep primary_assembly:MPB_Lper_Kyuss_1697:1:17295952:17302043:1 gene:KYUSg_chr1.2898 transcript:KYUSt_chr1.2898 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLAYLPYVVPALADKDQGADGHLAALETLCVALPYAYPDLLITVDKVDCFVTRLPALVSASGADQGNMAVLAAQAIAGVVEQLPEWAESFEKNGAVQALRDRMLAVDNMELAEKCLLALKLICEECPHQCLRHGVAAAVLQFFDFFPTNEQKVALKIVAEIVEECDEADVPKAMEAAPALCNLLQSSDNTIQKSALSCLCMIASNAHGKAEHMDMLCELKVVDTAMRMLEKDGWKTIGDENLTGILGLLKNISSASAKAVKSLFDLGVCDLLKQMITYYSSSQSNSDKLQMLVELINQLMRPLETSDALKNAIVEQNPYLDQLSSIVTLLIQVAKCGALSSVCYTCIVLIGNIVEVSTPAFLIELQKTANLSSFLMCLLARKKRHVVFETLKVSKSLLKKQHQFFFESFDKEGVKHAIDAIQAQEKDRNSNRKLKMKNKMQEHCVCFNLDSDASSTDRCKIENNAILNLAEEIKKSFSVVKASNKSPYRLGCFTTFVKGYFAKLNGHTMTTPTQNLDRCRELSEVSRRLLSDKLPSTSTFVFAKSGSAKDLSDYLCNVAYLKPNLNNRQYLLERAKEVQCRLQKFAHLALEMSNESSVKPLEILVEKLLDSLHMSYDSFPVILSHHKQSTRESTMIPLRHSGIEDSESLHIKFVKARREKELQGYGGVLPIPISSKPDAIEADLWPNICSPRNRQGSSRLMFSYKGIQLKSSATIFESLVRLMNEGKSDITLDQSFWKEVHRISYKRNKSKKTSTLSSSDARLSAVHEKLEQSLLKDPFFSAMFLGKLPGDVDESDPSYNLLFTLKILEGLNRFSYQLSMDEKIRLFAESCLRDLDDIKVTISPIPQHQFTSILLTSKLELQMQESLFEVGLVPSWCVYLVETCPFLFSFNARWKYFCLTLHRSFMGDDTSDPDSPNEEDEASDASNEAANKTKKYKVTRGNILESAISMMAKHGSSTKTIEVAFEGEAGTGRGPTIEFYSTVSHELQRFGLGMWRGDNARKAEGETGFVHSSFGLFPQPWSSEVTSSRGIEFSDVVQKFKLLGHIVARAVLDGRILDISLSKAFYKIILGQELDIYDIPSFDPELGKTVVEFQALVKRKKFLESSSETTSNPSADLSYKNVRLEDLCLDFTLPGNQEYEHVPGGSDKMVTLDSLEEYVYLIVDATLKSGIAKQIEAFKSAFNEVFALKTLRMFNEEEMERILCGEHDAWASSKLADHIEFDHGYDAHSPPVVNFLEILREFGREEQRAFIQFSTGAPTLPLGGLASLNPKLTVVRKQCDGCVDGELPSVNTCRHFIKLPPYSSKEIMREKLKYALAEGLGSFHLS >cds.KYUSt_chr3.29 pep primary_assembly:MPB_Lper_Kyuss_1697:3:205982:207562:-1 gene:KYUSg_chr3.29 transcript:KYUSt_chr3.29 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPRLLRLMQQRHASSAPRALHTLLHRPSLRSSASASTGSSRRLFHRPAGAIAGANRQMPAMMGASRSFSSHDNFNNQLQEEDPARTKRKKELAMALMNDLPTAPKGAADHGEEIKGINYLFGLLDVPDATPSSAVSDAEQAARLHAELRSAVEKSKNSFLIEMLKDGDARVERKAELVDAGRRYRDALVGHDERRAFQNLHTELKSLHERVTSAEKNCKVLKEAVDVGRMELQSASANAKSIQADVRRLGIEVKTDIREQLQAEAEAQAEETIKRVAVVRKEAEAAYNLALFVGWTTAAAGVLAALHIF >cds.KYUSt_chr3.43397 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274030783:274033125:-1 gene:KYUSg_chr3.43397 transcript:KYUSt_chr3.43397 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYKIIPELTMSVCILNRTVCFKKSFYAIGVPFWLHTGANIVIEARELFLLPTWIHMGLEDQVGEALEAPEALEGGVQFLLVVLEALEGGVHFLLEVLEALELEALEVGVQFLLEGLEARVVLVLGGLDLVASSGHASTCSVAAAYSKIAVARSSDPQAQAAQRRPSEFWQHANRQTYPPRQIMHWGYSY >cds.KYUSt_scaffold_6468.796 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3851164:3856777:1 gene:KYUSg_scaffold_6468.796 transcript:KYUSt_scaffold_6468.796 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCRHGGGGGGVAKRGGGRDEALHQRGGGGERVESPVPARHLQKVVHRSLGLKIFSGGGRDGDWFWLLLHWVLPAQASRSPSNGVPEAVAFLDVCGARVTRLSRNKLTDRGGAHLHLPSPNSRNQRWWRCSSDDARTAPARSTSAGDSSARPRRARPSPATHPPSLARPSFAGDSPARPGALDLRRLLTRPAPMEAALDAVFLAADLGRIGRIRYLQIPSILGRF >cds.KYUSt_chr3.46063 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289970401:289970646:1 gene:KYUSg_chr3.46063 transcript:KYUSt_chr3.46063 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKVAARSNMPAVMLFEHQWQASELILDVKAPTLSNMQAIVLFQHHGQDAMLVLNMKAANRNSMQVIMLVHRHGEAAVLA >cds.KYUSt_chr4.52439 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325274068:325276315:1 gene:KYUSg_chr4.52439 transcript:KYUSt_chr4.52439 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRASLLLLLLGLLAAAFAAAAAEEGDADPLYRSCVEECQRTGALKEDSIIKHCIVPTDDQPADKSWYAHEPLYLQWKEWNCNSECRYHCMMEREEEREELNLGPVKYHGKWPLKRASVFQEPFSAALSALTLLVQFNGWLSFFLLLSYKLPLRPETQATYYEYTGLWHIYGLLAMNSWFWSAIYHSCDTAWTEKLYFSSTAAFLGYSLILAILRTSSLRDEASRVMVAAPVLAFVTTHILYLNFYDLNKGLNTKVCTVISIAQLLVWALWAAMTRHPSRLKIIFVALGGILSVFLEAQDVPPRWGYVDGHAICLAMAIPMSYLWWSFAKEDAEMRTTAIMKKKR >cds.KYUSt_chr3.18618 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114494822:114495508:-1 gene:KYUSg_chr3.18618 transcript:KYUSt_chr3.18618 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEEVKLRGSALVFTVSGLLGPGRIGDGLLESFPTCRRGAFHISLMHPGTFFVRFSEPRWYSVRLYLERLTLQAWSFDTVQRVLPSCLIHINESGETLAKQNLSFYVVEAWVDKLEDVPTEATIDVALSSTLSPTFCCHRASPCQMSRFLELPRDATRSICGLFLRGCSAHHPRPPRHLHVHQTCAGVAQPVD >cds.KYUSt_chr5.435 pep primary_assembly:MPB_Lper_Kyuss_1697:5:3080581:3084747:-1 gene:KYUSg_chr5.435 transcript:KYUSt_chr5.435 gene_biotype:protein_coding transcript_biotype:protein_coding MESDPPRQSRLWWLHCVRGRWPPCSGGAAPALLSPTPRLPRRSPSLATCASLWAPRTGNCFAVQLVHATAVSVANGDIRDLVNLIRRAKETVLFNGNGEGSSTPTRTVDHIKNLLYNTHDKATQVDGEKIDMEPENIRLHLELRIQVCRYSCRDADAM >cds.KYUSt_chr4.28691 pep primary_assembly:MPB_Lper_Kyuss_1697:4:180272229:180275373:-1 gene:KYUSg_chr4.28691 transcript:KYUSt_chr4.28691 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRPSSQVVALGVALSGMSTDRMASGNVAREDSVCRARYRKSGTCCLGTGQRDWYEQTKASFGLSKLTHSALETSIEDDRMILITLLNLPSTVTEYLLDMVLLPFDASTVTLADSFCPGDIHTLG >cds.KYUSt_chr5.30603 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194033541:194034446:1 gene:KYUSg_chr5.30603 transcript:KYUSt_chr5.30603 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKAPSSTSYLLLAPIAVLLLVFLVSSVNRSGGGSDGLGILCTRRAVAGNYTVAPEEAPSKPELSLLVGILTMPKLRERRDIVRLAYALQPPVPAYARVDVRFVFCSVTDPVDAALVSLEAAHHGDIIVLDCTENMNNGKTYAYFSSVPRLFADAPYDFVMKTDDDAYLRVPALVEELRGKPRDDVYLGWGFNMSGDPMLFMHGMGYIMSWDLVSWVATAEEILARNDTVGPEDLMLGKWVNLAGRGKNRYDLKPRMYDLNWDMDNLRPDTVLVHTLKNNRRWATVFDYFNVTQLASHLP >cds.KYUSt_chr7.1696 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9646172:9652192:-1 gene:KYUSg_chr7.1696 transcript:KYUSt_chr7.1696 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPGTDPLQGKETVPDCNGAPAPPAKQQQQLEGADALRYANILRSRNKFADALHLYSTVLDKDGANVDALIGKGICLQAQSLLKQALECFTEAVKVDPGNACALTHCGMIYKDEGHLVEAAEAYQKARTADPSYKAAAEFLAIVLTDLGTSLKLAGNTEDGIQKYCEALEVDSHYAPAYYNLGVVYSEMMQFDVALTCYEKAALERPLYAEAYCNMGVIYKNRGELDAAIACYDRCLTISPNFEIAKNNMAIALTDMGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALTIKPNFSQSLNNLGVVYTVQGKMGAAASMIEKAILANPTYAEAYNNLGVLYRDAGSITLSVQAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYDAHREWGKRFMKLYAHYTSWDNPKVADRPLVIGYVSPDFFTHSVSYFVEAPLAHHDYTNCKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKKAASLIREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPAIDYRITDSLADTPNTNQKHVEELVRLPESFLCYTPSPEAGPVCPTPAISNGFVTFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSIRQKFLTTLEELGLEPLRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLTKVGLGRLVAKTEDEYVSLALDLASDVDALQELRMSLRELMIKSPVCDGESFTRGLESAYRTMWRRYCDGDSPALKRLELLAVQPGSNKEDLDKTAVKLADPRVQRANAIAEEDNQAPIKVNATPEEGGQPQIIMANGVSSPADSQALVATAQLDQPQIMVNGVSSPHSTSGRCEMNGHSSR >cds.KYUSt_chr7.499 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2748879:2766168:1 gene:KYUSg_chr7.499 transcript:KYUSt_chr7.499 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPIRPRALPLVLLLLLALAPSPEAAEPTTPGAQTRKIGRAAPSSVFSLFNLKPRSKFWTESVMRTDFDDLETPASRDSSINLLLNFTRAGTLANYMNLPEVDSIYLPIPVNFIFMGFDGKGGHEFKLGPEEMERWFTKIDHIFEHTRIPPVGEVLTPFYKTSVNKLKQYDLPLVSHVNHNFSVHAIHMGEDVMSVFEHAIKVLSRREDLMDSRENGVPFWQVDSDQMERLFSTLVDHLQIQEAYNIFILNPKPMENSNQYGYRKGFSESEINLLRENKTLQAQILQSRSGKKLYLDIEKGVNKRPLYESHPLSPFSWTTTDKLDMGDWSQKCKEALHNFELLNAGKSKYDIVYDKAVQILHGAKDELHDVLESALMSSDLKGLHAECLTDIWIGRNRFAFVDLSAGPFAWGPAVGGDGVRSELSLPNVAKTVGSVAEVTEEEAEERLQDTIRERFSSVGEDYHAVDILLAEIDVYELFSFKHCVGRRIQLALCKELEERMHDLKKELEGYNSGDSDETNKKKALDALKRMERWNLFKETSEEHHSYTVARDSFLAHLGSTLWGSMRHVIAPSVSHRSYHYYEKLSFQLYFVTQEKVRNIKQLPVNVKSITDGLSSLLLRSQKSMFTQHMLSLSEDPALMMAFSMACRAAAVPLLLVNGTYRSTVRAYLDSAILQHQLQKLSGQTSLRGEHSNHRSTLEVPIFWFIHSEPLILDKHYQAKALSNMVIVVQSDIDSWESHLQCNGKSILWDLRKPVKAAIAASAEYVSGLLPSHLVYSTAHETAFEDWTWSVGCNPLSVSSEGWQLSEFQQDVIARNYIITAVEESIRVVNSAIRRLRTERATEQGFKIFKTKESVMVEKYNSVVNVWRRVTFMSKGLRYGDVVKLMSSLEDASNGFSHAVNSTILNLHPAQCARGRKIDMQLDLTTIPALIAVFVLLWFLLRPRRPKPKIN >cds.KYUSt_chr7.19303 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119666815:119668134:1 gene:KYUSg_chr7.19303 transcript:KYUSt_chr7.19303 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQPRVALARDSCAPSAAAVKASASPTAAEAPANAAPVAPPTSCFPAMVSPRLTRSSCTPEMEEGRHLFDVNRYGLLKDLGVGKCVNSGTFDVGGYGWSIRFYPAGSEAVPAGQHHVSVYLELMTPNAEVRALFDLKLMDQSTGRWHSLFGDGGDRPMRHARFNTTFQTNTLPPHHPPRCWGVPSWKASSLETSVYIHNGGLTIRCDLSVIKPPQTPETKQLPRIEAPPPGPGMSKDFSKLLEDKDGKAVTVRVGGEAIRAHWAVLAARSPVLRKKLLDAATTSNETTAPSPFEITLDDDMEPAVFKSMLHFMYTDELPPDAQGHDDDETAELARRLFVAAEGCGIERLKRLCESLLYTNLSKRTLRATMEFAERHRCDRLRAACVQFHAARHPPGPVVCNYFSGIKRETSEGDSECSNCKRLKKAHQGAGHSSEAC >cds.KYUSt_chr2.46481 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290591262:290593589:1 gene:KYUSg_chr2.46481 transcript:KYUSt_chr2.46481 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGDAGWLARLDGGGGGNPSTAAPAEGGLADRAKRAPSGPCEEDAGCGGRVNEEPPRAAEVGAGASASEVKVRMPEGVCRATDFRKEDAALEILKEIKVVMELSKKMRLDISAAAAEPIKPLDIPAARAVQNKTAGEVPSVAKNQVPQAQIDQDAMFLHNTGGKVPLKHASSQAATMGIQRESNASEIANRTNQLGDGVKGSYVIGGSPMGWNFRMWPGGKAVYYGLSKAEWLALQAAK >cds.KYUSt_chr3.34287 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215066277:215077390:-1 gene:KYUSg_chr3.34287 transcript:KYUSt_chr3.34287 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATTPATLPKSGAVSKGYNFAYAWEKNAPLTEQQNAAISALSHAVAERPFPANLEDGGTTMPEKESALEEAGAMDAVLVNTHQFYKWFAELESAMKSETEEKYRLYESTLEERVNTCDGILKQVDDTLNLFEELQSLHSSVAIKTKTLHDACDQLLVEKQRLIEFAEALRSRLNYFDELENASTSFYSQTMNIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSTLKAASAQVQAAIRGSGSGKNAVTEGVEASLIYVRFKAAAGELKPIFDEIESRSAKKEYAQILSECHSLFCEQRLYLIRGMVQQSISEFAKNEALPSLTRSGCAYLMEACQLEHQLFAHFFPASASDVSSMAPLMDPLCTHLYDTLRPRLIYEGNIDSLCELVNILKAEVLAEQLSRPEDSVAGLRPIFQRILADVHERLAFCARTHIREEIAPFDIEFSVTHKELDFSHLLELEKSLKSTCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELIQKVGTAIQEDLPKVMIKMRLYLQNPSTRLILFKPIKTNIVEAHIQLQSLVKSEYSADEIQSMGMLSISDLQSQLDSLM >cds.KYUSt_chr1.32181 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195350017:195353219:1 gene:KYUSg_chr1.32181 transcript:KYUSt_chr1.32181 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISDQKKQTLEAIQQRYAAAKAKKVQDEQLKCQKSKDGTPKPKFDVQKKGKTPELTPSRTSTPKAQASSSYQQKPSASLGGEVHPIYSELSFALHENLSQDDQDGFSGLDSTDVVHSVVYDIIQKGGEAGKITKGSKKLKLDKGILLDNYVQRGPILVDAQSRSLLIHSKRSKRHMSLKQHKKCGSFDLLDTLHRFDLYKPMHEMWKEYIRELTRNTAKKLLSEYLLSADLHGALVIVAECKAASYQGVSGIMIRDTAETFGIISEDNRFRVVPKAGSVFVLQADRLKVTLIGDKLSPKERLKETQRLQRAQSLIR >cds.KYUSt_chr7.10205 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62462437:62465803:1 gene:KYUSg_chr7.10205 transcript:KYUSt_chr7.10205 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAPPLLAALLLAFAAAAAASAAPAATDFIRKSCRATQYPSVCVQSLSAYGAAGNSPPRSPQELARAALTVSADRAKSASAYVGRLVGPGLRGGAVGAKKGSSPARDCLENMADSVGHLRDAAKELSGGLGRAGTPAFRWHLSNVQTWCSAALTDENTCLDGLSRGVDARTRAAIRSKVVDVAQVTSNALALVNKGKRRLEEAATRRQGGAIVLGDDDDDNGEEGCSSRAPANDYDDGVTTRHSTCALEEGGSIGSTVYMKPLRYSMQFRCSTVFIHSMSAFDSSVGIVMVIVAIYEPGSKLVMCALHGRPLMWLWRRSSAAMAELSLDVAVAELFLDAAVAELFLDAAVAALILKEGAAQAGIEEVTVGRKSGDGK >cds.KYUSt_chr7.14168 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87639794:87640552:-1 gene:KYUSg_chr7.14168 transcript:KYUSt_chr7.14168 gene_biotype:protein_coding transcript_biotype:protein_coding MTASHLPYTRNGLKFFTKRGGLTSAEVEAICDRAAGKYVARKMGLGGGGLGMPPVVMRVDLMSAYAQHLRDIIKERIAQPTDYDMPLKGFKVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPNGMFPNHMPNPEDATAMSLTRGAVLAHGADLGVVFDTDSDRSGVVDDAGAAINGDRLIALISAIVLGEHPGRTVVTDARAGDGLTRFIEARGGKHCLYRVGYRNVIDKGAQHDVDHRARRAQGELLPR >cds.KYUSt_chr7.5781 pep primary_assembly:MPB_Lper_Kyuss_1697:7:34624505:34627999:1 gene:KYUSg_chr7.5781 transcript:KYUSt_chr7.5781 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTAGPPPGSGDRAQPEVQPQIRRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPVPADTIASNDTIEETVDAPEDGGEDIPEEEAVCRICLVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCRQEVQNLPVTLLRIPTQTVNRRLVNGAQQTASQQYRFWQDIPILVMVSMLAYFCFLEQLLVTNLQSHALAISLPFSCVLGLLSSMIASTMVTKSYLWAYASFQFAIVILFSHIFYNVLNVNPVLAVLLSSFTGFGIAISTNSLLVEFLRWRSRRRSRRLAQPQPVNEGQDPESGNSTASENSGDRQQQQQGQHPEAGNNIV >cds.KYUSt_chr2.53627 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334466243:334469582:-1 gene:KYUSg_chr2.53627 transcript:KYUSt_chr2.53627 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVANIGLMDSAYFVGRGEILTWINATLQLSLAKVEEAASGAVQCQLLDMVHPGVVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLRINKNIEVNRLVKGRPLDNLEFLQWLKRYCDAVNGGIMNENYNPAERRSKGGKERNHKGINKSSKSLQANRLSSANSADGGILNSSSSVAPTTEKVCISTVMEDHYMEQIQQLSEKIADLKVSVDSTEKERDFYFSKLRDIEILCQRPELEHLPMTKGIRKILYAADAKDSSLSEANEIITRSPGMFSDEAECQATPKSPYT >cds.KYUSt_chr5.34952 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221108487:221111631:-1 gene:KYUSg_chr5.34952 transcript:KYUSt_chr5.34952 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSLVDDATARHLLQESRAGYENDEAGWSCSQPWRNVVTGCHAREVWKFFHHDFDDLAIDTFTNLWLLSFRTYEEATINTAILWNIWKRRNALTFNIVVENLATVTRRCL >cds.KYUSt_chr7.33708 pep primary_assembly:MPB_Lper_Kyuss_1697:7:210342025:210352535:-1 gene:KYUSg_chr7.33708 transcript:KYUSt_chr7.33708 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVPASVPAVGDDCEQLRKAFEGWGTNEALIISILGHRDAAQRRAIRRHYAHTYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEGATKWQPGSPVLVEIACARGSAQLFAVRQAYHERFKRSLEEDVAAHVTGDFRKLLVPLVSAYRYEGPEVNTRLAHSEAKILQDKIEHKAYADDEIIRILTTRSKAQLLATFNNYNDSFGHPINKDLKADPKDEFLKTLRAVIRCFTCPDRYFEKVVRLAIAGNGTDENSLTRIITTRAEVDLKLIKEVYQKRNSVPLEKAVAGDTSGDYEGMLLALLGKDWHSPWGQEAALAGVHIRAGILNVSAERVVSALVQRIYSLDFINDNAGCFANGGVFPKNGRVIELGSHRVYFGTVPVRQRPSPVLVAPDPPRWLCAGHTAGSVEVMMAGVTDADKEVAAEGAGQARSTRTAKPPTERDQVVAGTSAPPPETPLQAAINVLATPLAQNIDPAAAQAELEAQQQKLLSAGADIIRAQRELNLTLREYNAAHGFASVSAQVARMPENRLKARNLDQNLRKEVLAGKSTSASLSIIEKPKYSSPDKTIKAARAAVELCDSLSGDALAKQQERVRELFDMIQEQNAELARANKAAASKSIATHWEIQCNRQCKPIRRKQASRAKINIGITGITKEGKIFRIEDQEISWSFKDHPKIMPNPGGYALVVDPIMHGPTTRVKFSKVLIDNGSSINIMYRHTMHTLGITENMLQPTRTTFHGIVPGLSCAPVGKDRVDVSFGGHDNCRVENIEFEVVDLDSPYHALLGRPALAAFMATTHTAYLKMKMPAPRGPLTVVGNYKVSLETASAGSNLTESMVIAEEKRRMQTAVALAQSSQLSLAAMSGNLGTPAFKPTKETKDIVLDPSYPERTVRIGAGLN >cds.KYUSt_chr4.19026 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119518964:119519808:-1 gene:KYUSg_chr4.19026 transcript:KYUSt_chr4.19026 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAECSKSLLVNPPAMAQLSTGEEYGAWMSAGIPELADMAPVPRSNGPCHFREAMEYFRALYTASKRNPRALRHTAEAILTSIPTATLCVILEALDADLLQELPARGRLA >cds.KYUSt_chr2.642 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3960434:3960727:-1 gene:KYUSg_chr2.642 transcript:KYUSt_chr2.642 gene_biotype:protein_coding transcript_biotype:protein_coding MLATARRFAEVPPGGDSVSVVGGDGKASSQLRLAREVGLSIRAAKRARSYWASSGQRPSTGCTARRGRGSLVGKLSGLTRTSFACGARAGRSTGGTS >cds.KYUSt_scaffold_2697.156 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1095315:1100377:-1 gene:KYUSg_scaffold_2697.156 transcript:KYUSt_scaffold_2697.156 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAMGRWRAVAVAVLLVVAGVGHVVANTEGDALYNLRQSLKDANNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAQLSGVLVSQLGQLKNLQYLELYSNNISGPIPAELGNLTSLVSLDLYLNKFTGVIPDSLGNLLKLRFLRLNNNSMSGQIPKSLTDITTLQVLDLSNNNLSGAVPSTGSFSLFTPISFANNPLLCGPGTTKPCPGEPPFSPPPPYNPPTPPSQSAGASSTGAIAGGVAAGAALVFAVPAIAFAMWRRRKPEEHFFDVPAEEDPEVHLGQLKKFSLRELQVASDNFNNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPNEPPLEWDTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGITLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQSNYEETEVESLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAELAPLRNDWIVDSTYNLRAVELSGPR >cds.KYUSt_chr6.11223 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69548839:69549928:-1 gene:KYUSg_chr6.11223 transcript:KYUSt_chr6.11223 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYDGGFVEAEVSAFDALGYGGGVDAAALFDFGGYAYVPAHEEPAGTASACVQDSASWAGAGRSVLTFDRAARGHGAQAVASVVADDEADYDAWIDATDEDDQAAPAASTIGFDPASGCFSLTQRSGGARRPFGLLFPGTSSGTGSPDTAAPARISQKRVNVVPMQGAEPRAAKRQCGASRKTSKLKSPKPTTTTPPKEPQSHAAKNRREKISERLRTLQELVPNGTKVDMVTMLEKAINYVKFLQLQVKVLATDEFWPVQGVMAPEISQVKEALDAILSSTPPSEGRMN >cds.KYUSt_chr5.33463 pep primary_assembly:MPB_Lper_Kyuss_1697:5:212290433:212292377:1 gene:KYUSg_chr5.33463 transcript:KYUSt_chr5.33463 gene_biotype:protein_coding transcript_biotype:protein_coding METVQERELLQLQLQGWPSFHAMPASFDAGSGYTYSGSSNSSVGGDGFLLGWEPPFGCFVAADAQLHDLFPLCMESLPVTPAATSTAHPTEASHGAAATPTGELGDFFLQNFWDATGAYDEQPVAISSSCVDLQLEHEKGATATTNNNPFLYDDDDDDPLGSIFFPKRPTLPTVPVQQAPAEEPQLSSSSSSSHGDTGPHASDVGGAPTQDVSTNSGPSGAPAPPPCSSSLKRATPEASESTEAECSQSGGGGGKRHKATPTTRVLCPFAVLKPDGLDGGATLADINARILMRPARPVRHPVGEFACAPRALAVDVPGISGRAVSGFARLHTPGRGTITIMRTRG >cds.KYUSt_chr7.40415 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250946256:250965935:-1 gene:KYUSg_chr7.40415 transcript:KYUSt_chr7.40415 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARRRPSRGRGGGPERVAENWERLVRAALKRDRAGAGSSAAAHGAGAGGVGLASAVPPSLGRTTNIEHILQAADDIEDDDPNVARILCEQAYTMAQNLDPSSDGRGVLQFKTGLASVIKQKLAKKDGASIDRQNDIEVLWNFYLEYKSRRRVDDMQREQERMRESGNFSTEMGARAVEMKKIYATLRALLDVLEILVGQAPTDRLGRQILEEIKKIKRSDAALRGELMPYNIIPLDSASVGNVVGFFPEVRAAVAAIQNCEDLPRFPYDTPQLRQKDIFDLLQYVFGFQDDNVRNQRENVTLTLANAQSRLSLPNETEPKIDERAVTEVFCKVLDNYIKWCRFLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANVRFLPECLCYIFHNMAKELDGILDSSEAEPAKSCITSDGSTSYLEKIITPIYQTMSAEANSNNDGKAAHSAWRNYDDFNEYFWSRSCFELNWPPAEGSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWIFLILMFQCLAIIAFHHGKINLSTIKVVLSAGPAFFILNFIECCLDVLLMFGAYKTARGFAISRIVIRFLWLAAASTFVTYLYVKVLDEKNARNSDSTYFRIYALVLGGYAAVRLVFALLAKIPACHRLSDFSDRSQFFQFFKWIYQERYYIGRGLYESISDYARYVIFWLVIFACKFTFTYFLQIRPLVEPTNIIVQLHDLEYSWHDFVSKGNKNALTILSLWAPVVAIYLMDIHIWYTLLSALVGGVMGARQRLGEIRSIEMLHKRFESFPEAFAKTLSPQRISNRPVAQDSEATKMYASIFSPFWNEIIKSLREEDYVSNREMDLLMMPSNCGNLRLVQWPLFLLTSKIMLANDYASDCKDSQYELWHRISKDEYMAYAVKECYYSAERILNSLVDAEGQRWVERLFRDLNESITQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETADRAAGVTKALRELYEVVTHEFLAPNLREQFDTWQLLLRARNEGRLFSNIFWPKDLEMKEQVKRLHLLLTVKDSAANIPKNLEAQRRLQFFTNSLFMDIPEAKPVSEMIPFCVFTPYYSETVLYSMSELCVDNEDGISILFYLQKIFPDEWANFLERIGRGESSEEDFKESSSDTLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGYSAAEYIDTQGYQLSPDARAQADLKFTYVVSCQIYGQQKQRKAPEAADIALLMQRNEALRVAFIHEEDGVSSDGNAIKEYHSKLVKADIHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYLEEAMKMRNLLEEFRGNHGIHYPTILGVREHVFTGSVSSLASFMSKQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFYTTVGFYVCTMMTVLTVYVFLYGRVYLALSGLDHSISRKARFLGNTALDAALNAQFLVQIGVFTAVPMIMGFILELGLMKAIFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFADNYRLYSRSHFVKALEVALLLIVYIAYGYTKGGSSSFILLTVSSWIMVMSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLFYKGGVGVKGENSWESWWDEEQAHIQTFRGRILETILSLRFLIFQYGIVYKLKLTAHNTSLAIYGFSWIVLLVLVLLFKLFTATPKKSTALPTFVRFLQGLLALGIIAAIACLIGFTDFTIADLFASALAFLATGWCVLCLAIAWKRLVKTLGLWDSVREIARMYDAGMGAVIFAPIVFFSWFPFVSTFQSRILFNQAFSRGLEISLILAGNKANQES >cds.KYUSt_chr4.4616 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26510225:26511478:-1 gene:KYUSg_chr4.4616 transcript:KYUSt_chr4.4616 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIEGNHEMEPQGHDGAVTFASYSARFAVPAEESASNTEFYYSFDAGGIHFIMLGAYVDYNRTGAQYSWLEKDLRRVDRVVTPWVVASWHSPWYNSYASHYQEFECMRQQMEGLLYQHGVDIVFSGHVHAYERMNRVFNYTLDTCGPVYITIGDGGNIEKVDIDHADDPGKCPSPGDNTPEFGGICHLNFTSGPAKGRFCWQRQPEWSAFRESSFGHGILEVTLVEKRALVAVGNCH >cds.KYUSt_chr5.19775 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128382101:128384151:-1 gene:KYUSg_chr5.19775 transcript:KYUSt_chr5.19775 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEVSNKRVTLKRYVTGFPAEDDMEVVAATARLAVPPGSAAAVVKNLYLSCDPYMRGRMTKHDEASYVPDFVPGEVLTTFGVSKVVESGHPGYKPGDLVWGMTGCEEYTLITNPQSLFKISHPELPLSYYTGVLGMPGLTAYVGFFDVAKPKKGEYVFVSAASGAVGQLVGQLAKITGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKKEQDLNAALKRCFPEGIDIYFENVGGAMLDAVLLNMRLRGRVSMCGLISQYNLEEPEGVRNLFCVVAKRIRMEGFIVMDYFSTYSKFEEEMAGYLKEGKITYVEDVVEGIENTPAALIGLFHGRNVGKQLVAVARE >cds.KYUSt_chr3.6193 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35450990:35452649:1 gene:KYUSg_chr3.6193 transcript:KYUSt_chr3.6193 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDITDGVRGLKVEDGEGAAAPAAPAAGAAGEGPRRGNNSSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVELSALGMAIATVVTVAEILKNNGLAVEKKIRTSTVEINDESRGRPFQKAKIEIELGKSDKFDELMASAAEDAEEGEEEA >cds.KYUSt_chr5.42589 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268287876:268292148:1 gene:KYUSg_chr5.42589 transcript:KYUSt_chr5.42589 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPTPPPPLACVALLFFVAATAVIAKTDRADVEALNVLFTSMNKPSKLDGWKADGGDPCDDDDEWKGIECSGSSVTEIDLSGLDLTGSLGYQLSSLKSVTKFDVSDNKLSGEIPYALPPNLVKLNLQGNAFTGGVPYSISQMSDLETLNVANNHLSGQLTDMFSHLPKLSKVDLSSNRFSGNLPQSFQYLSGLKTLNLEGNQFSGHIDILAKLDLDDLNLQNNKFTGWIPSKLKDIDSLKTGGNQWSSGSAPPGMMKGSSAGSSSSGGSDSGINGFAIGALVIAVLLVAVILLSVLARKRSSPVSSHYYMDESGRASSVEMQSLEKSTSMDCKTPPAVPRKSMNDNEFENKLNNSRRILDPISMVSYSSSDLQAATSSWHSSRLIGQGTVGRVYKAKYAGGQVLAVKKYDPLSFSGSSDFMEIVNGISMLRHPSICEIVGYCSEPGHYMMVYEYQMNGSLYEFLHLSDDYSRPLTWDTRVRIALGIAQALEYLHETCSPPVIHKNVKSSNVLLDADLNPHLGDCGLAFFYEDPNESLGPGYSAPECARPSGYTMKSDVYSLGVVMLELLTGRKSYDSSKPRTEQSLVKFVTPKLHDMDALGELADPGLHGLYPPKSLSRYADVIARCVQADPEFRPSMSEVSQELTGCVQRSDSDRRMGGGLYSASQRSDMSDW >cds.KYUSt_contig_2817.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000520.1:107245:108015:-1 gene:KYUSg_contig_2817.25 transcript:KYUSt_contig_2817.25 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQKIEIRRIESEEARQVCFSKRRAGLFKKASELAILCGAEVAAVVFSPAGKAFSFGHPSVEAILERFAPTGAAAAAGGGGGEDSRQLAELNRQVGELSAQLDAQKARKERAEAAMAKERSAASPVTAWLEADVRDMGEEELMAFAAALAEVQDAVAARANQVLQDALNHGRAMQARARSSNNNNQVQQFLVSNSAVGFDQFGAGNASSNDGEMDMQMQQMMMMAMAPLPPGLAGAGMETMLLQQGLGFGLPGPY >cds.KYUSt_chr7.26963 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168491179:168493440:-1 gene:KYUSg_chr7.26963 transcript:KYUSt_chr7.26963 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSDPNPTTPSTEPVSNTRREEREGHHHHHRSPSLTAFASPDAEWDDANATDDEDEWAAASAAAAAELEDEAKWEDAAPAESEDDVPAAGSEDDAGGEAGTGEPDSPLRWWPSTSARRDPRKTELFRRLDAACEDRRLQEARRRKPPPPPTGAGDPDEPIWPPKSPHWRRFRELSATKSSPANPLLNPDENSLSLSPSNKSKEMLYDKECETFAITSNRELSSTKSSPANPVLNPDENSLSLPPSNKSKEMLYDKAVDQGDDTASSQIVPYENGHEEKPLPVPSKKIKPSIDTYAVQCANCWKWRLIPTKKKYDEIREKCSQVRFLCKHAHGWKPGVSCDDPADISQDDGFWVIDRPCIAQTPLGWERKISIRSEGRGNFADV >cds.KYUSt_chr6.31542 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199351407:199352863:-1 gene:KYUSg_chr6.31542 transcript:KYUSt_chr6.31542 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLESLDLSHNALSGAIPPSLTQLTFLSHFAVAYNNLSGKVPVGGQFSTFSRVDFAGNPFLCGIHVRNEMEREFRAEVEALSRVRHRNLVSLRGYRRVGKDVRLLIYPFMDNGSLDHRLHERADVLPWPARLRVARDAARGLAYLHGGDNGVSRPRVMHRDVKSSNILLDADMEARLADFGLARLGRGNDDTHVTTDLVGTLGYIPPEYASLPAATYRGDVYSMGVVLVELVTGRRPVDMAARLGARDVTAWAVRLRREGRGHEAVDAAVSSSGKHREEAESVLELACACVSEVPKARPTAQQLLDRLDAIAAGTTADPETSSDDRAARAVDNF >cds.KYUSt_contig_815.314 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1840195:1840839:-1 gene:KYUSg_contig_815.314 transcript:KYUSt_contig_815.314 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIRIPLLISWEGIVQFLCEKHQNHGMAKLIDSVLDMVCKRKETKNSDCLQCIKHGAMFICTLNSNAPREQGGAIVSAGHAAAASTRQGRLKRVEEEDKDSE >cds.KYUSt_chr3.47748 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299256294:299256548:-1 gene:KYUSg_chr3.47748 transcript:KYUSt_chr3.47748 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTARSVAKLLPAAPSDPPRPMDAMSCLAPHPASFLPNTSSQAYYTDAAIARALNSSMPDHYSPGTASTSSPSSSSSLLADLP >cds.KYUSt_chr2.34639 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213902797:213904582:1 gene:KYUSg_chr2.34639 transcript:KYUSt_chr2.34639 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLSPRLAAAAATAKPPHHRRLVAPPMPPPPPLLSSTPPPAKLAETPKPGTPPPHPPPARRRLPLPLADDEEWHPLPLSAADLSLPLTLPTGQTFLWRRTSLSPLRFTGAVGPHLVSLSHLPDGRLAFLLHNADPAATPSSSSVPAARAALCDYLNAAVPLADLWRQFAAADARFAEVAARLGGGGARVLRQDPVQCLFQFLCSSNNNIARIHKMVWTLAGYGERLGEVGGFVFHRFPTIERLAQVSEQELRDAGFGYRAKYIVGTAKELIAKPGGGAKWLALLRDRELPEVIEGLCTLPGVGPKVAACIALFSLDKNQAIPVDTHVWKVATQYLLPELAGKSLTPKLSIVVADAFVAKFGEYAGWAQTVLFIGQLPAQKLLATEVTSDATKATKRKRGAKIVEIQT >cds.KYUSt_chr5.29439 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186554511:186559089:-1 gene:KYUSg_chr5.29439 transcript:KYUSt_chr5.29439 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSDPELTLPATEPAAVCRAPAGARGVDTGCPCSDPEKIRMAPPVLSQVTPMVVGPGTDSGRQQTVQELMSHVAASFGSSADSFSVGCSITSRKKQFAGANNRGLSERANQPDGSAERQPTSPVRPEGSPAAPHNPLMLQVPEVPHLELDLQAMTISDQQQMQEQQASQEQYAIYMKLAATRLTPRNISLTTLQAQMKRAWRDHYGDIVQVHEFVFKASFNSFLSMMWVYEKQPWRIGPDVILLELADPEGEGYQIEQAKSVENGGAPKYSFRFVYVSVRAYGIPKQKRSLKLLSEVMSMVGEPSDLHKPRTTMINTHPDYIWGVVRHTICNPILDRIKLVLGPQEQCIAYLHYEKIGRICLFYGVMFHTIDQCYLRKSIVSERIRHNHNPMQVPFQRYGTWIIDESQIPMDKAVASTPIFSTFQHAELSSFNNIFFAPEGRRGRLSEVAASQIMSRMEKARARATPPMASQRNEDEGTIVVTPSPTEAVEGHNAAMQGTHVEQQNPSGGNTNEGQHTSDDSHLQLQAREEEQGTQTGVEEMDFEYVPSQQQNQSPFQMMQQLEREGVLPYTLSEEANVIQENFGPDKGKSPVPIGPGQASSSNKALATCPDPTTPSASKHLAGTDVFHSQHNQFEQSPAGKLLFGDIAPPLITAALPPQVGEHIADDVSKNNRHSSCRPHLSNTKLQSLHPPPYPTSSSTKRPASPAQPHLLPPRKRAALPIATDEAPQAGDAIYISAGQIRWQITRG >cds.KYUSt_chr2.54961 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342681949:342685114:-1 gene:KYUSg_chr2.54961 transcript:KYUSt_chr2.54961 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSTGEQRTQYPLPLSSEDAAEPLQLRPVQRNVCEQFREEHAIIPRPIPNGDTNFPHVSILSRIFHPRLLVPCKFSWTWLKSLRRRAPPPPPPRRPPPHSTSVQSRLFHTAVPAATTLKQQREEDPAATSRSYAALLQRSAAASDPRLASSLHAALLKPGLLAADQFLSNHLLIAYFKAFRRHGLRLLDEMPRRNAVSWAAAIAGLAQGGRPRDALTLFRRMRREGCPPSEHALVSALNASSFLGGAHAHARQLYALVLRLGFHSNVFLTNAFLTAMVRHGKLADAVRLFECACLRDIVSWNTLLAGFARHSPCAQMWSLWLRMARQDVAADGFSFSTVLSGLTGNTDMAGGLQVHGQLVKSGFGDDVCVGNSLVEMYMKNGALEFGARAFAEMPRRDVVSWTEMAAGWLHCGEPARAVGVLGPMLLDGIAPNNYTFATAANACATLTSLREGSKVHGYAIKLGESSDVGVNNALLDMYAKCGSASSAHKVFRSMRQRPVISWTAMIMGFSRNGQAREAVQVFDDMVLEGVAPNQVTFLCVLSACSQGGFVDEAWIYFGAMADRFGVKPGEDHYACMVDLLGKAGHIHEAEELISRMPFSPGVLVWQALLSACQLHGDEAAAKRAAERALALEKEDPSTYMLLSRTLAGRHNWDGAGRLRGLMGDRDVMKLPGSTWLESMPESNQACTA >cds.KYUSt_contig_973.515 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:3756946:3765055:-1 gene:KYUSg_contig_973.515 transcript:KYUSt_contig_973.515 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRNPSKEIFSELDEINAQGPILPRSFQKTEEETKWGHEQAGEIDNLTVSLGQSTLVVLCRFHVGAGISGVAPHYIPPPSTFNVLLDSYWKAPKFLSWQPGLPPFISLPAASISFPVVFVSSAGDVFSHILAELSVSPASSGTGRRHHPGNTPNHGQIQCSQGGGGSSAVSHVATAAVLPQRPEELRQPLDTATLLPCHPHKFLHQSLDTTSRVPSRNG >cds.KYUSt_chr1.19552 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114922998:114923582:-1 gene:KYUSg_chr1.19552 transcript:KYUSt_chr1.19552 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWNRISKDGICMAIQRWEELESLTMPSIGYPPYIMEELAKKCKNFKELKVMGSFDLLFASAVTTYLPKLKVLSLRCSKVTMGALLCVLNSMEHLEVLNISHCLLFEIATNGRRQVIHELDDNTLQRASQLREFHHCQSRQCVACQRMMLDDGILRWYRYEDWFWRRDEVSSLDLKDYGRLFGAQCEMLTSVD >cds.KYUSt_chr1.19041 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111816559:111828372:-1 gene:KYUSg_chr1.19041 transcript:KYUSt_chr1.19041 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASRGGYRYVLTFTDDLSRYGYIYFMKHKSETFEKFKEFQSEVKNQRNKKIKFLRSDRGGEYLSYEFGMHLKKCGILSQLTPLGTPQRNGVSERRNRTLLDMVRSMMSLTDLPLSFWSYALETAAFTLNRAPSKSVETTPYELWFNKKPKLSFLKVWGCEAYVKKLEPDKLEPKAEKCVFIGYPKETIGYTFYHRSEGKIFVAKNGTFLEKEFLTKEVTGRKVELDEIDESILVDQSSAVPEVVPVPPTPATEEANDNDHETSNEETTEPRRSTRERTTPDWYDPCLNVMIVDNNDEDPATYEEAMMSPDSNKWQEAMKSEMGSISKQCTVAKSSTESEYIAASEASSEAVWMKRFIVELGVVPSALDPLIIYCDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEDGEDEGTIEGHEQLKSFITSYYKGHFGAPEEGNFSFDETKTDDIPQVSQAENNFLTSPYTEEEVRKAVFQMEHNKAPGPDGFPAEFYQHFWDVVMSDLLALLSDLHAGQLELFRLNFGEIILLPKVATIRLNTVADHVIRPLQTAFMQGRNILDGVVVLHETVHELHRKKLNGVILKIDFEKPMIRRSLIGPRQNSWHELLQGLEIVQLTQGSDEFRWNLTGNGAFSVASMYNALIQPDISVDNNSKIWKMRIPLKTKVFTWYLRRGVILTKDNLAKRNWHGSHVPVYSYATLMGATSAVGASRTLYGGCDLISLAEEERRGKDRSMGNSRSTARVLPFKTHVPDLEWKIQDFSLLLDLGAKSATSAAFHCSGFEWYLHVNPMHENPGSGIPYVALFLMLSRKKLKQGDTVHAVFELSIYNHSNGMYCGCQASYNFDFKNHYSKKECLIPLKELLKSSTFLVDDICVFGVEILKVDVSSPEEKAVVVQKKTTKVQNMFVQNKGFIEGTYTWTMNNFSELDSNFLRSPTFEVGGLKWYIGMYPRGDKFSTDSLSLFLYVDASKNLPLKFKNVAVMTLSILDQKNGKHLTRTAGLWVCTYGWGWSDFLGLKKLKDPSGGYLVGSNCVIKADITIVGSSTDG >cds.KYUSt_chr7.40285 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250137752:250142071:-1 gene:KYUSg_chr7.40285 transcript:KYUSt_chr7.40285 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNNTNGGVLRHSNSSRLARMSYSGGDRDVHSAAAAPTGTDRPMVTFARRTQSGRYVSYSRDDLDSELDAAGNFSPDRDDEFLSYHVHIPATPDNQPAMDPAISARVEEQYVSNSLFTGGFNSVTRAHLMDKVTDSDASHPQMAGAKGSSCAVRGCDGKVMSDERGLDILPCECDFKICADCFGDAVKNAGALCPGCKEPYKSTEMEDLAGSDRPTLSLPPPPGGGAPASRMERRLSIVRSQKAMTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENEVENNGGGGGGGLGGSDGQPAEFTSKPWRPLTRKLKIPAAILSPYRLLVLIRLAVLGLFLHWRIKHKNQDAMWLWGMSVVCELWFGFSWILDTLPKLCPINRATDLAVLKDKFETPTPSNPNGRSDLPGLDLFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANMWVPFCRKHTIEPRNPDSYFSLKKDPYKNKVRSDFVKDRRRIKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKRQREAALDDAVEAVKIAKATWMADGTHWPGTWIQPSAEHMKGDHAGIIQVMLKPPSDDPLYGSNGEEGTPLDFTDIDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRLAYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRVALYGFDPPRSTEHGGCCSCCFPQKRKIKKSTSSATSEETRALRMADFDDEEMNMSTFPKRFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRRMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQALDVTFLTYLLVITLTLVMLAVLEIKWSGINLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGAEDENDEYADLYIVKWTSLMLPPIVIMMVNLIAIAVGFSRTIYSEIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWAGLIAITISLLWVAINPPSQNSQIGGSFTFP >cds.KYUSt_chr7.1866 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10751523:10754156:-1 gene:KYUSg_chr7.1866 transcript:KYUSt_chr7.1866 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTPSIQGQILELRVTGCRKLRDTEIFSRQDPYVIVEYANTKLRTRTCTDAGVHTHRVLVLVGTDCLPFIVNPPHMGKLDGGRNPSFDEKFQIPLVDGLRELNVLVWNSNTINNDDFIGSCRVPLHKVLASGYDDASWPLQTRRMKSAGEVTLIMHFDVAGMKNKMGGKTGAQYAPSMPAPSPYAASSAYPAPAGYPAAPPPHQAYPAPYPAPSAYSTPPPQQPYGQPCPPQPYGQPYPPQPYGQPYPPQPYGQPYPPPSAAQSPYPPAPYPGAYPPRPY >cds.KYUSt_chr1.20779 pep primary_assembly:MPB_Lper_Kyuss_1697:1:122699666:122704822:-1 gene:KYUSg_chr1.20779 transcript:KYUSt_chr1.20779 gene_biotype:protein_coding transcript_biotype:protein_coding MARSARPPASADCGLHTGGTSGSGLGCSVSYCPQRAVYQHEFTGAAARLAGVPLEIELIKIRCSDMSQNNLGGGQQIPYNLPNKKLQRLYLQNNQFTGSINVLSNLSLSDLNIANNRFTGWIPNKLKKINSLQTDGNSWSTSPAPPPPPFTAPPKSPNRRKSPGSNSNGSDSPSSSGGSTVLRAGAIAGIIVALLVIGALVAFFLIKRKKRNGKTERFEQRQAFNSFPSDEAKDMKPIQDSTTIEVESMPSPASVSLKPPAKIERNQSFDDDDFANKPVAKKSNAAPVEATVYSVADLQMATDSFNEDNLVGEGAFGSVYKAHFNDGKVLAVKKLSSTALPSQSSDDFYELVSNISKLHHPNLNELVGYCMEHGQHLLVYNFHRNGSLHDTLHLPDECSKPLSWNSRVKVALGSARALEYLHEICSPSIIHKNFKASNILLDSELNPHLSDAGLASSIPDGKFQAADQGSGYSAPEVDMTGQYTLKSDVYSFGVVMLELLTARKPFESSRNRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVVALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRTLDGEEASRRPDDQDQEFM >cds.KYUSt_chr7.3523 pep primary_assembly:MPB_Lper_Kyuss_1697:7:21020421:21023264:-1 gene:KYUSg_chr7.3523 transcript:KYUSt_chr7.3523 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRWPMAIVSSTRARGAARAADVGREGGRGGRGLTGDGRGSAARSWRVAERGEASEYDLIPSNMTLFPIMSKKCTWYHCNLVGCQKEFPSKGLQQYFFLEVNMTGGKTYNVTACITLADDDVDNTCPSKEDVGATPGSGMGIVVPSPSLSASLPTLGNETLVSVTRRQLQLALASALALAGGGTSSDSSSQDSSQCN >cds.KYUSt_chr4.16261 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100907598:100909145:1 gene:KYUSg_chr4.16261 transcript:KYUSt_chr4.16261 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKTVVVVVQENRSFDHMLGWMKSLNPDIDGVTGAETNRVVVADPSSKTVTFADRAEYVDPDPGHSAQAIYEQVYGTPFVDNQTTPMTRPDVPSPPMSGFAQQAEKEKPGMAETVMNGFRPDAVPVYRELAREFAVCDRWFASNPASTQPNRLFVHSATSHGLVSNDTKTLVAGLPQTTIFDSLHDAGYSFGVYYQYPPAVLLYRNLRQLKYVGNFHPFDLEFRRHCREGKLPNYVVVEQRYFDLKILPGNDDHPSHDVSEGQRFVKEVYEALRSGPQWEEVLLVVTYDEHGGFYDHVPTPAVGVPSPDGIVSVAPFFFNFDRLGVRVPAFFISPWIEPGTVVHRPSGPQPTSQFEHSSIPATVKKIFNLPSFLTKRDAWAGTFDTVLTRDTPRTDCPATLPEAVKLRPTEAAEQAQISEFQAELVQLGAALNGDHAKDVYPHKLVEGMTVSDAAKYCNDAFRAFLDECDRCKKCGMDGSHIPALPPTPSPPAKKKSSFPSKMLACFACGRS >cds.KYUSt_chr5.22299 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145563625:145565610:1 gene:KYUSg_chr5.22299 transcript:KYUSt_chr5.22299 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDVLTRVDAICKKYDRYDADKHRNDAADPFSRLYADIDAVIDEAMEKSERAARETNRAAKVTLNAGVRRTKARLMEDVVKLQKLSVKKMKGVSAEDMALRPDLVAALHHRIHSIPVPEGGGGEGGAAGQNGARTGIQFDSSGFPETLDEGYFQTSEESEAFRREYEMRRIKQDEGLDFISEGLDTLKNLAEDMSEELDRQVPLMDEIDKKVDKANSELRKTNVRLKETVNQFRSTRNFTVDIILICVILGIGAYLYNVLSQ >cds.KYUSt_chr5.13302 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86782203:86785646:1 gene:KYUSg_chr5.13302 transcript:KYUSt_chr5.13302 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGTSAEGAARVLLQRYQPFASLQGEYHHFGPADGASAGAGGAEMTEAVVLRTPLKRKHNREDNGVAESNDWMMSPGYANAASSPIPTPPSGKGSKMAVKPKPAKGQKSCPQTPLFVGSPGNPATPVGGCRYDSSLGLLTKKFLNLLKGAPGGMVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQGDIEALTLQEHSLDEQISEMRDKLRELTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRPSQTVSMDYLENPRTPLAAGSNKDVEMELNIQERLIMPPDAHTSSQDIGGMMKIVPSELDVSSLPYMNARSIFV >cds.KYUSt_chr6.1296 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8117302:8118534:-1 gene:KYUSg_chr6.1296 transcript:KYUSt_chr6.1296 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRAGSTARSAAAAAARASPAPTSSDPNLPTPTSTPRADLSSSGAKFAVASANRSSVGSSSSASATDLPAPAARDVASIAKEVGKRLSYDDEDCSAFPTAASALQPDPALEVPAVLAPLLELPGPDQVSSTTVVPASADSTVTDVVPASADSTVTQTQPPVLIRIPNLNSLCLRQSGSSNPNALNKIHVGVLFLSMQVAAPADSTAAVAAADAEGPVLTGMELVLAELRHARGLTPRSKRLLAALAEAASAELSHDPTAAALRTRRAAFWSKVRVGILAAAVFSVAAMDVALAVALYGASRGSHHHLVLPPT >cds.KYUSt_chr7.35910 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224411891:224412640:-1 gene:KYUSg_chr7.35910 transcript:KYUSt_chr7.35910 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPTMAVYTSPPSPLYASPELETPPRGSAAPCATPASPSPATSHRHAGAAAGLLLLPAALLLTVAAQVAGPAPPQPCPALPQLGLPRRPPGAPRPPGSPAANATASSPASCGARSAPASTTLRSPPHPLPVDAAELAFDLNDSLAEAEPSTEPYAETSSPSNTLRAAMRGGGKRRRMPGRYLRAAAAASAVAAASAAAAASAAAWVRRRRGCVGVVGGVGALLVAQGKEAKNWGFLVARLRLNEGDGL >cds.KYUSt_chr6.27415 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174082948:174086025:1 gene:KYUSg_chr6.27415 transcript:KYUSt_chr6.27415 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLFTRAQLKGKVLLQRRNVLDLNHSGGAPLSHDTWDEFTTKGVTCQLISSTVADQNDASRGLVGEEERVEHWVMHLPPMTHSDTTYDVTFDWDVKKQGLPGAVIVRNYHATQFLLKTITIDHVPGHHGPIVFIANSWVYNTDKYHYDRVFFTNDTCLPSNMPVLLQPYREEELQILRGDHPKQKHDRAYQDHDRVYRYDLYNDLGDPDNKSPRPTLGGPDSPYPYPRRGRTGRKPMKSDPTCESRNVVPVLQQFYVPRDECFNHVKKADFTSYLLKAISAGILPLARELFDTAVPREFDDFEDMYKLYEGGIKIPDVPVMDLMFKVFPPLKSICPSGGSYLLKMPMPEVIKNDKLAWRTDEEFAREMLAGLNPHIITRLQEFPPRSKLDGYGDQTSTIKVEHIQPYLGKLTVDKAITDGRLFILDHHDNFIPQLLKINSLENTFVYATRTLLILQDDDTLKPIAIELSRPRLDEIGTKVVGADSKVYTPPSSAGSESDNKVQDTIWQLAKAYAAVNDSAWHGLISHWLHTHAVIEPFIIATNRQLSVTHPIYKLLQPHYRDTMMINALARQVLISAGGFFEMTVCPGEYALRISSDVYKNWNFTEQALPVDLIKRGVAKHDSTSPCGVSLLIKDYPYAVDGLAVWSAIENWAEEYVKIYYPSDSVVQDDAELQAWWKEVREVGHGDIKDQPWWPKMTTVQELVRSCATIIWIASALHAAVNFGQYSYAGYLPNRPTVSRRPMPELGTKEYQEVETNPDMAFIHTITSQLQSIIGVSVIEVLSNHSSDEVYLGQRDDPHWTSDDRAKKVFDKFSKSLIDIEKSIISRNKDENLKNRNGPARFPYMLLYPNTSDIDGESATGITAKGIPNSISI >cds.KYUSt_chr1.16182 pep primary_assembly:MPB_Lper_Kyuss_1697:1:93948996:93949855:1 gene:KYUSg_chr1.16182 transcript:KYUSt_chr1.16182 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVRIHTLVLLRWSEMRIVGGDGEKFADSGEVLRKKSLKLGRFLAPLDACFRTPALRVEAHLLDLLTDAGAAPAVGFLSDSDGRCGFHGYALGFWSEDGIQLVLIGDGDVAGEVDHGVPWRPCEEDEDHVFARFLKQGEKVFDLGWVGLVGYCWAGDGLLVFVVLGSAAGLLLQVWLT >cds.KYUSt_contig_2571.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000388.1:29494:32660:1 gene:KYUSg_contig_2571.5 transcript:KYUSt_contig_2571.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIISGEQCSYISLVKFLLLCYSLVFPIPATACTAVRESRPPKLRPLRSCTARRAIWFLGSVSARLLAAVRVHLCRFVCFIGDFDYTMGDINNSHGGGGATAGATFPVAIYVFFLSYLALLLIPCSDLMHVLSLMCMVKYACASIILLSAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVTPEDHPVLLTEAPLNPKANREKMTQIMFETFSCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDNLMKILTERGYSLTTTAEREIVRDIKEKLAYVALDYEQELETARSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMEVPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITALAPTSMKVKVIAPPERKYSVWIGGSILASLSTFQQVLLFSISTLEDAYSTP >cds.KYUSt_chr1.4575 pep primary_assembly:MPB_Lper_Kyuss_1697:1:28053127:28053624:-1 gene:KYUSg_chr1.4575 transcript:KYUSt_chr1.4575 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWLLMAATMGDCEALKTLLNWGDSPVWPNAPAPQVVVEVPVDDDISITNGRIFERKLPRQLICGLY >cds.KYUSt_chr4.6996 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41433651:41435168:-1 gene:KYUSg_chr4.6996 transcript:KYUSt_chr4.6996 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPICTTGMSLDERFAIMRSIGLESSDEDDVRRLLDKKVAPVCYVWCDLSPSVHIAQGIMMVINVRKMVKAGCIVKILIADWFACIQNKFDGDLTNIRSIGFYMIEIWKAVGLELHGVEFIWLSDEINGHSDEYWALLMDISRNNTLRTMIRCCGIEDPYILNEDLIDSLDSYDPIDVTASTLFTPCAHCACILFQKVG >cds.KYUSt_chr3.24466 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151771259:151773603:1 gene:KYUSg_chr3.24466 transcript:KYUSt_chr3.24466 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGCPSRAPGIRFIKAHGSRVYMESPSRNTNYLTMVQYLAVYVKDPPSIRTVLDPDTSWASTDPASFVGLHLNEEQGVLGSGAEILQRNALDDAAAVPFLGTRLLGDALQGDVGDENKD >cds.KYUSt_chr7.11740 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72141915:72143530:-1 gene:KYUSg_chr7.11740 transcript:KYUSt_chr7.11740 gene_biotype:protein_coding transcript_biotype:protein_coding MELELEPGGGGIRAVSLSSESPEADLGSGDLAPPADRTVSRRHVTLRLVGDGEPRVAFEVVGRNPVVVRSSRVGEDKVYRRGEAGELRAGDGLSLSLKAPAFWTVRRPDVKEGEAEVDTAVLDAVARREKRTQERKERERRVLQEAMEVTAEEEETEAGVGDEELDEELEDLKIDLGNLDPVQEFGFLSMGHEFDNYPKGRIRAPKDWNWFLEELRKNSDDEDDDDVSNTGRKSRDGGGVNKKQKKKGEDEDEEWTDESEDGKDSQQSGPSVKRPKKYVTRSKEAKKPRKESSKTENKDAADEDDETEEEDEEDETLGGFIVKEEDEPMEELSEEEEEEEEFDDEDEDD >cds.KYUSt_chr2.15551 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97887062:97889203:1 gene:KYUSg_chr2.15551 transcript:KYUSt_chr2.15551 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSGPLRSRNASSSDEIQLRIDPVHGDLDEEIDGLHSRVRLLKGVAQEINSEAKFQNDFLNQLQMTLMKAQAGVKNNMRRMNKSIIQQGSNHIVHVVLFALLCFFVVYLLSKFSRR >cds.KYUSt_chr6.2178 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12847118:12850356:-1 gene:KYUSg_chr6.2178 transcript:KYUSt_chr6.2178 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAGQVITCKAAVAYEANQPLVIEDVQVAPPQAGEVRIKVLSTALCHTDYYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTDVQPGDHVIPCYQAECKECKFCKSGKTNLCGKVRAATGVGVMMSDRKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLDKVCLLGCGVSTGLGAVWNTAKVEAGSIVAIFGLGTVGLAVAEGAKTAGASRIIGIDIDTNKFDVAKKFGVTEFVNPKDHDKPIQQVIVDLTDGGVDYSFECIGNVSIMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYMNKVSYQPHLGVFFHDKQNLTSYHPQEIKVDEYITHNMNLTDINKAFDLLHDGGCLRCVLAMEH >cds.KYUSt_chr7.4425 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26776415:26779102:-1 gene:KYUSg_chr7.4425 transcript:KYUSt_chr7.4425 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEVAHYAGPRWLWLAKGCTTPSLGFPARPGEISRFQSIARTLFRLPAPPPLSKSFAAVVMERYGGEASGGDGRNKRRFGAYGDGEGRRHGAGRQEGGRHDGGRTESGRRDDGWQEGGRLDLGRYDGGRRGRNDDEPRPRFGEHRSNDSERGDWGSPPPWWEWEQQRLREEEAARARGQGQLQVPAGGRGGGGGGGQNGRNKKGGGQGAPPNPKHKGKNKLAAGGATGAVGGECFRCGREGHFQSECTNEPVCVLCSREGHASANCPTRGRPMMLQQMGHAITGGGFYNIEVEPLEGSGQVETFEAVIHFDVAPLSALQLADELKNLLDGAWDWSVAKVSEKEFSVRFPSRETLRMSTRRGKIYLPLSKMDVDIREAFVNPRPGKAMPPVWVQLTGLPGDLMERERLMAALTMIGRPLDVDELSVKKWKTEPVRVRFQCRFPERIKGTIALCVNGVPYTVGVQAELGTPGAGGSNPPRPPPPGDDDDADDLDSEDRSTDGERWNRHRKNDKSKAAAPPAGPGNGTGGGGSLRTLTGGAGGGGAQQRALSGGAHSAPPLGRFAGQYGSNVDLLPSLVLGKAALDFGAAASTDVEMLGGEGGTAFGPEELPAASGETSSHVTDPVQYWLLDSPLKLAHEGLEGGLAASKALPILEVEGELGVEEEVEAPPVVEDLRSAATAVAPMAQGKRTKTVATMAPTKTIKKKAPASAIRKSSRHGGAAAASAMEKAQKLAAERNLDPATAGTDPDDFSILDARSDQQIGAVLADSCILFVPSAGTPMEAISLLRAKEEAQAALARVAACQATELAAREAQVDVDGVRAAAGEAAATDAVDGPVQDGDRCPSTPIARSAVCASEGSDAEAREASRSGTRPARKRGRRSTLTMRKGRGKGKSGK >cds.KYUSt_chr2.46589 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291260636:291261769:-1 gene:KYUSg_chr2.46589 transcript:KYUSt_chr2.46589 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNEVSPTISTSGLEIEEAMGMEGEAKGRDEVLPTSAKTKLELLGREANMHKVLTEEESFDRYRRGWVSNWSALHGTFTEMTTLSSMHFTHSTPGDTPFAAFVSSTLQIYSIKVAEIKDALELQWPLRVFGVVAARDTVDRNRNILFSRRRDDYQELNQHDPFLRLTGPSRAIVAEEPVHVEIELKVKGRTKSEDRVLMSRVWYYSDRLCTLYTPLAGKFCTLVLSSEELENSVQATIVGIRVTEGTPWPFEYGGRAVCYSPPRKGILPDSKHTTAPSFRQVVLEDGAMAVGSDGYLALSRQVVSVELRGRLEVRIYAYSQSRDIAAHSRVSIKAQNCNVTQHKCRLGDSELEITVAWSRLVQDKGFISNEVSVKL >cds.KYUSt_chr3.39066 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246134901:246145425:-1 gene:KYUSg_chr3.39066 transcript:KYUSt_chr3.39066 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASPIYARCTMAESGEPGRRWGRSSTGCAVAEDMTWPTMGGRRRKGTDAVALADRPIALMEACNGRPTCSSKVAAGFQGDEGLFVLIKSGGSLPRLRRAAVRSGGFARSSVQGAPIGYVSTRKARGRLLYSPATKLKAQFDPRRRDFSSWSHRSKEGDKEDRDGGDVAAGAARVQQPLLLLPLAAGALPAARQEVQEDHLGNLPAARDHSLFRPLLATSTLCLVRTLLDQESNDDLQVQGCLLLVDFLNGQVDSTHMFSLEGLIPKLCKIGQEQREDDKGLRLRSAALQALASMVKYMGDHSHISMELDEVVSVIISCYEANHTLSIKEVVRLQDDDDLTTLSVSGAGQNSAKVASDTMVASENPAHWARVCLRNMADIAKEATTVRRILDPLFRLFDSHNYWSPENGVALSVLQEMQTLMDKSGQNGHLLLSFTIKHIDHKSVAKMPIKQISIVKVACHLAKHAKSQASVTITSAISDLIKHLRKCMYCAIEAANAQADVDKWNSELYVALEECLVQLTEKVGDVGPILEMVSVMLENLSYTATIARTTVSSVYRTAQIAASVYNSSYNQKAFPEASYHQLLLAMMHPDHKTRIGSHRVFSTIVAPSLLCPWSAISFPVPMKLNGSQSVLLLALSAFSSEIKESPQQNGKSEAVVSAENGYAHTEPNTRQSSGSPCFNEHRLTTFNDENLKFMKLNSGQLVLLLSSIWSQASLEDNSPSNFETMCHTYTIALLCSKAKTSSHVALVRCFQLAFSLRRLSLNQDNVVQPSRRRCLYTMASAMLIFSANVADIPQITHLVKAAVPEIMVDPHLCLIDDCRLIVTSAQSYGSEEDESDAQVFLSAVNKDDTLLKDIVISHFKRKFENLQEKFEGIEDQLLQEFTLDDSFPLGAPLFMETPHSCLMYAEKDDHCFDEEVIPCEMDDDDDIVFEHSGSQSDRKTSGSMASSDVLNVNQLMESVHETARQVANVPVSTNPVSYDQMKSQCESLVMEKQQKMSVLLSFKHSRTNSRSSTGEFLTFYSPKILTYTRILQSSGRSDPELPLTRKDYMRRSDSTSSDDRSFRLPPASPFDKFLKAAGR >cds.KYUSt_chr1.21168 pep primary_assembly:MPB_Lper_Kyuss_1697:1:124894629:124895831:-1 gene:KYUSg_chr1.21168 transcript:KYUSt_chr1.21168 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPNTRPPGGGWRLSAGGVPIPPPPRGHALDVPLEEARMTLTDEEHAEPRHHPDNYTAWNSYFLRRWERELASYDGPPPPPPRNNAAGRRRWWSAPGRTLEAVLDHIEGGNFPVLTMPPPSRASASRRRGNVWQPRRMAASSSSSGSAPRSSLAPVKREEATSPSTPMRVKKESTSLPPTRGRSSGALVIRDQPSQPGRKRKAAKKEDAAAATNATANRLAEEEAKRAEEAAVAEAIARSLNDLVPADNALPEDAALAWSRRDWEREEAEQQRRPMDLAAARRLAARAAPTAADDAARFRRPATPPSGVVVPVVDLESSDDEWYKPSPGRGDAGQGSSRQASPPKVEDDGSDDGGDDYTVFYRHLGM >cds.KYUSt_chr3.44047 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278037775:278038872:-1 gene:KYUSg_chr3.44047 transcript:KYUSt_chr3.44047 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLPEELHLEILKRLLPSPQVLARASAVCKEWHRVVNDPVFLHELYRARRGAPVTLGFFHNFDDLPRRFVHVDAAGPARFPFDSVDHKMRKWKFLDCCHGRVLLHDELWYRFLVWQPMTGDHHLVSNNGSFSRRHSGAALICECGRDGGGDDRCTPCNSSHFRLAVVSNDMVTDCLHASVFSSVTGKWTTSAAELPPTNQIRPEPCVIVGKTLYQPLFDYLVLAFDTDHRTLTTFERPNFGHVRLFKSEDGVLGLAGVLGFMLCVWVRDADAWVTRKMVDLSQILPTQAMQSQNTNPWFTFMPVKIIGVADGGHDLFLLTEVGIFLFCVDSMELKKVHQATHNMKTVYPYGAFYLPPTARTST >cds.KYUSt_chr2.51770 pep primary_assembly:MPB_Lper_Kyuss_1697:2:323368023:323368484:-1 gene:KYUSg_chr2.51770 transcript:KYUSt_chr2.51770 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVCVAGGTFFVVQVVGAGKVCVAAAEGEGQEVCDEGGVLVAGRPAAFPDAADEALERPASASSSHAGACLWGLPRCSYGTSAGGDRAGGSLVGVCYLRGAWGVSDAESRGGGGDAGSFAFGDGRGAGGAAVDRGGSRAAIQTGGCGTGGLL >cds.KYUSt_chr2.27600 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169587845:169594363:1 gene:KYUSg_chr2.27600 transcript:KYUSt_chr2.27600 gene_biotype:protein_coding transcript_biotype:protein_coding MKENLCATLSGTPRERYDEHSRKFSLNDEDETKQYIVKEFREMMAKEALDKAKVDSDLNDRLNKIEMYMNDLGKFASAEHCQNSLRGTAKRIREVNMGNSAKTKCMQELVCGCSEKPTGENTRSCRCECPAMIRLLRSADNGWYTTEHRVIHNHVLTETCGETAFWPSHRHIDMFTKDLNLCEQLSREEADDDVRKTIEVFDQIGAKDPDFVSESRLIQIPGSRPSGGQQEYKYFGDVITFDMTYGPNLRFQSSNPNGSGLNHVGSKAAAVPGFGFGNHGAMVAGVDSAVDTVSDEVCHGSGAWLGIVTNLRGTAWEGCDGGRGVKMQALKKRSCPKTCVCVLSRAEKP >cds.KYUSt_chr1.30635 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185478980:185480469:-1 gene:KYUSg_chr1.30635 transcript:KYUSt_chr1.30635 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLSLLLLLCLVASAAVRHAAADVPPSNKLIFILAGQSNMAGRGGVVGTHWDGHVPSPDCSPSPSILRLSPALRWEQAREPLHQGIDGNHTCGVGPGMAFANALLRSSASAGEVLGLVPCAVGGTRMAEWAKGSELYEDMVRRARVAVETGGRIGAVLWYQGESDTVRWADANEYARRIGMLVRELRADLAMPHLLLIQVGLASGLGQYTEVVREAQKGLKLRNVRFVDAMGLPFQDGHLHLNTQAQVQLGHMLAQSYLTYGTSTH >cds.KYUSt_chr5.35913 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226902487:226902849:1 gene:KYUSg_chr5.35913 transcript:KYUSt_chr5.35913 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKERREQQQQRKAAGAGEDGDSVQLPTETSPYVQYNKDDGLEDYKMRAYGAKGHLPVSDLPHGGTGTDAPTIPGTALPTQQLNLHGRQQPQRGQGGDAGAGRADEAATDTINRHGVP >cds.KYUSt_chr3.17504 pep primary_assembly:MPB_Lper_Kyuss_1697:3:107298662:107303251:-1 gene:KYUSg_chr3.17504 transcript:KYUSt_chr3.17504 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGATNNCFGRRSLHQWEGRLLHAAGYPAPPDFHAPRGWRLSAGGVPIPPPPTGGGALEAAIDEVLVTLSDEQRADPRFFPDNHEAWIAFFRRRYERELAVYDGPPLPPARNNAAGRRRWWSAPGRTLENVLAHIEDGKSPVLGMPPPVAATMSRRHGSSWMPRRMAPSSPSSGSRSASRSGRSTPATVKKEPASPPPTKGRSTGALVIRDQPSSPQRGRKRKSSKKEAAAAAINNAANKLAEEEAKRAEEAARGGGDRQVAHGPGARRQQPPRGRRTGVVQAGLGAPEAERRRRLLGPGCRASTRRPRRHTIPPPGTPRQWEVIKLEESDGRRHLPSVAATRRRRRPRHEPLDLLCTILSKLPAKEVSRATVLSSNWRYICAICCYKLCFAGATGCCRDTFERKEYLQYMQKFIDNVNTVVQKCHGKLLEEFHVRFEFDAMLVDPLNKWVNFAKESLLNSPGKLSRLRCLQLVMGLGVQNIDKLSRVVSILRAAPLIEKLEINFFPTTHICFADKDALGKQHLQLCEYNYLKNMHMTAYKGTTGQLEFLLHVVENAPALEELIVDTALRDYEEQYAGLYCRKKACAERAVQHARSCLGGKLSAKVKLCVM >cds.KYUSt_chr2.41684 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259406307:259410466:-1 gene:KYUSg_chr2.41684 transcript:KYUSt_chr2.41684 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAAAAATPAPAVSLDETKAKNVLRQVEFYFSDSNLPRDGFLRKTVEESEDGLVSLALICSFAKMRSHLGLDATVKEDSVPETTVLAVAKVLRCSAALRVSEDGKRVGRASELLKPDEIIEQIDSRSIAASPLPYNVKLEDVQSFFAQHGKVNSVRLPKHVSDKRHFCGTALVEFSEEEEANSVLEKKLSFAGADLEIKPKKEFDTEREAKQEAYEKSNTNKPGRDEGYPKGLILAFKLKSIRADGDSEQNGGDKVDETDIAKKEEASSPAKESVIGDEEKVPENADATEEKSDDVEESKAADAQSLNKDGKSPSENDKEIITREDFKEYFAKFGTVRYVDFSKGDDSGYLRFEESKAAEKARALAALSDEGGLIMKGHLVTLEPVSGDNSVVLVLTFRDWKNNRGGGGRHFGGGRRGRHSDSYERASKVQKVDASA >cds.KYUSt_chr2.36886 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227945462:227950098:-1 gene:KYUSg_chr2.36886 transcript:KYUSt_chr2.36886 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTSFSDVVRCEVLHAVAILIEISDPNSSSRCWREKEVSRLCSIRGGTFDIAIGFSPSAASILGQMRSEDGGGRAVEEEEGVKDLERGHGLGSGSEAERGGWVDDGAGGVKYLAQAPGVVRRLLLRPLRADDIMFCLEQRFHGLSQTTLYASKIQYNKVYYDSRMTQCGAGEEDQARSGLSTFEFPVILDIEKMSPQAVSALQVYQ >cds.KYUSt_chr1.40189 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246213895:246215295:1 gene:KYUSg_chr1.40189 transcript:KYUSt_chr1.40189 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTGCTNALKEVRNLVEAYKSEEMSITVTGHSLGASLATLNAFDIVAHGINVPPASSKLIPTLPCPVTAILFASPRVGDVLTAKTHRRAATVNTVEPGRA >cds.KYUSt_chr2.38936 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241423899:241425199:1 gene:KYUSg_chr2.38936 transcript:KYUSt_chr2.38936 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTAVPEVVLRSGNARPMPAIGMGTAKFPVVHETTRDAVLAAVEVGFRHFDTASLYGTELPLGDAIAEAVHRGLVASREEVFITSKLWCTQCHPHLVLPSLRESLQNLQMEYMDLYLIHWPVCMKPGPGVFPAKREDAVPFDFEGVWREMEECHRLGLAKAIGVSNFTTWHLDKIMAAATVPPAVNQVELNPLWQQRKLRKYCTEKGIHVAAYSPLGGQNWSGEGNAVLESGVLAEIAKARGKSVAQVALRWIYEQGLTPIVKSFSKERLKQNIQIFDWELTEDDLIKIGQIPQKKIVTATNVIFSPEGKFTSVDLSDIEIVEE >cds.KYUSt_chr6.12470 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77949573:77951746:1 gene:KYUSg_chr6.12470 transcript:KYUSt_chr6.12470 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQENAMATDEDHHRNNHLSSHGTGQDHVPKARKPYTITKQREKWTEEEHKRFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFTKVTRESSGNSTGSGAAAPTAAIQIPPPRPKRKPAHPYPRKADDGTAAGGGTKHVPGLTRLERPPVRTQSLCESQEEEGSPTSVLAAPRAGAGGSGGRFSKNNSGGGSSSLVPSAAASGSGYGSLASSADRGDGSLSPNTKASEYTGNSDVKGVPCPAGSETSVLKLFGKSVTVKDSYQKPKASTGNPQNGGDLETEAAADDTTTQGSRNLPSGGAAGGSSWNPWPSNMQQFVYFVPQSDSFTTQSAMPWFSYNGTLPGAMFYQQAVASSQHHSHRSEGADHKIMQREGSWTGSNTGTGSAAQNSDATDSRGRGNSSESDTTPLPRLTKCESSVSVSLQRGFVPYKRCTVESESLRSEAPREEADGELTRLCL >cds.KYUSt_chr4.158 pep primary_assembly:MPB_Lper_Kyuss_1697:4:898451:900265:1 gene:KYUSg_chr4.158 transcript:KYUSt_chr4.158 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLNATGAEDLPPAARETTLDSSQGSTSPSVAAASPLLVHDGCGAAQTLTPAAPCFQFSPDDAGSQARAPVLAESGVVPGEPPEDAHIEPAASACGGLASCARSSASCLEPGFGGEQSMLTSDSMEAAPDQSVLVGGAYGVAGAPDRSTNFGWKRRPRGPPVPDERPPSVGRVPALESSLHGFANRQSEVIVNPTMGTVFDSLPEAYEFYNLYSWESGFGIRYGKSRQNVRGSKCMHEIVCGSAIL >cds.KYUSt_chr3.8112 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46792134:46792987:-1 gene:KYUSg_chr3.8112 transcript:KYUSt_chr3.8112 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIKLEESSGDDFYRPTPPRGDDFYRRRRHAAILARVQAVAPDFGPGSPTANSPCAKLVNLVNAKSSNTQAHIQPTGFPSPPRLRHHTACLHIRRRTLPPSNPAAVAVAVASPSPPLPTPSPFPPSLLALASTPARESSPAREQYPPPTFLPLRRSGSRRGVAGEATYSSLMALPAGDDDLDSSHVGQAC >cds.KYUSt_chr5.38080 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240549733:240553636:1 gene:KYUSg_chr5.38080 transcript:KYUSt_chr5.38080 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLGATPPGPDGWSATTLATTPRRLHLPAAYSHYRRPLATVADALADGGVDVPTTHRLTTTAVGELDFLRVCLSRISLSVTPDVRTITLRPSANFGTGDVYRALHSSGCIVPGQDINWACFAPLKVRVLPADFTPPHTEDVSHLFVCCPRLRPLWSIISPSGSLHAGADLSSLLDGLSEDLPQMHVKARNTAILALLWSVWTSRNRMVFDADLVSTTQLYKTEGNQRAAVRVGSGLCTATEALTALCARFPNLRKVEIDYSDCVPRCVHQLNNKGLSVFSSHCSSLIDLTLSFCSYINDYGLSCLAHCKTLVSLRLISTPQITSIGLFSVAVGCTSLSVLHLIDCEKIDSVEWLEYFGRDGSLEELVVRNCKGISHHDLLKFGSGWMKLQKFEFEGNRGFYGISQGYAVYDSLYDAHSRDIYDWCCESLNYLRLAHIKTLPEVGLRVVLGKCKALEKLCLEYVHALNDNDMIALSTSCSNLKSISLWLDIQYYSSDVSNGEVRTSFTDKSLYSLAVNCRMLQIVDFKFDGCSRDWPSEIGFTQKGFLALIRSCPIRVLVLDTANFLDEEGMKAISSTPHLETLELTLCPAVTDAGMRFIAHTPCLRNLTLRVCHEVTDVGVAELGREHKLESLVIDYCGQVSLQGVQGVAKSVHYSKDFAKWMKIGLGSGC >cds.KYUSt_chr5.40465 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255408280:255409176:1 gene:KYUSg_chr5.40465 transcript:KYUSt_chr5.40465 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDQRCELVLVMSRWGRSGGGGLGAEHAWAGGGHTGAESPLGSPAAGASSVALRSARLWQPAGSNAFWNRGISACAVGDGAAPIFRNKLIAVVGGGDSAMEEANFLTKYGSRVCIIHRRDAFHASKIMQAHALSNPKIQVVWYSEVVEAYGGSDGGPLAGVKVKNLVSGEVSDFQVAGLFFAIGHEPATKFLGPQLELDPEGYVATKPGSTHTSVKGVFAAGDVQDKKYRQAITVAGSEISANHGCAIWLKLRIKFFAAS >cds.KYUSt_chr3.40991 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258743430:258746348:-1 gene:KYUSg_chr3.40991 transcript:KYUSt_chr3.40991 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMEFFWGVEVKPGQTVSCDPEKGCILRVSQVALGETKKGTHNIVVSAEIDDQKVILGTLLCYLDLLVAFFTYGSSKDEGEVKTVNNQVINPIAGEVKTVNNHVINPIAGEVKTVNNQVINSIAENLDSDEDDSDSSSLDDLTSSGDDLTEDESDSEDDSSEEDDTSTEEDDSSSEEIDTSSEEIATSSEEDSSDEDGKNTPVKPEDGKKRVAEFALKTLASDKKAKIATPSGQATTGDKGVPHVATPHPSKQASKTSGNSKSKAKSTKSVGTHACKSCSKAFGSDSALQSHEKAKHT >cds.KYUSt_chr4.46503 pep primary_assembly:MPB_Lper_Kyuss_1697:4:287472212:287473416:1 gene:KYUSg_chr4.46503 transcript:KYUSt_chr4.46503 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASEATAQCECGGPQQQQLAPCVRVRREEEDGWRWRSERREAAPDPERARGGQRRAPSAAIRRPDLFAKLVLIGCSPRFLNDSDYHGGFELEEIQQVFDAMSANYAAWATGYAPLAVGADVPAAVQFSRTLFNMRPDISLHVCQSVFKTDLRGVLGMVQAPCVVVQTTRDVSVPASVAAYLKAHLGGRTTIEPLATEGHLPHLSAPSLLAQVLRRALARF >cds.KYUSt_chr3.19798 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121885595:121887680:-1 gene:KYUSg_chr3.19798 transcript:KYUSt_chr3.19798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor-like protein, Basic helix-loop-helix factor, Repression of seedling growth in the dar [Source: Projected from Oryza sativa (Os01g0286100)] MLMHVPPPTSVVGCRSDGNEFAELLWENGQAVVHGRRKHPQPAFQPFGTGSSGVQEKHHGGDSMLAFTKAGNLFGATGMAPSLHDFSSNGDDDTVPWINYPIIEDDDSAAPALTTADYCSDFFSELQEAAAAAATANLGSLPPSNPNATNNRGAPVASSSRAPLKEFHDLSAPSTRPTSQPQAPDLAAAKQPRPGGSAEGVMNFSLFSRPAALARATLQSVHRPQGTDKVSNITTSNPRESTVFQTTSGQRSDPMFADQRSVWQHPKDVRFASAAAPVGNLQQIIAREKVSNNTAVHKDAATRAPEATVATSSVCSVANVAANDERWRQQKRKAQAECSASLDEDLDDESGAALLRSASRSMKRSRTAEVHNLSERRRRDRINEKMRSLQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGTGLCIPPMLLPPAMQHLQLSQMAHFPHLGMGLGYGMGVFDMNSTGSMPMSAMPGAHFPYQMIPGAAPQGIGIPGVNAMPMFGVPGQAIPSSGSSVPPFTSLAGVPVRPNLAPQVSGPMPNMVHEQHQGIANPQQHNLNKEAKQ >cds.KYUSt_contig_2595.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000405.1:89342:97412:-1 gene:KYUSg_contig_2595.17 transcript:KYUSt_contig_2595.17 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFFKKPPDGVLLITDNIYVFDHCFSLEAPEEDRFEAHTRGIATHLLEDFQDHSFMVTNFGTRTEESHIYRILSEYGMTVLDYPGHYEGCPLLTMEMVHCILKSSESWLSLGQHNLLIMHCEQGCWPILAFMLAALLLYLGHYSDEHKTLEMLYKQSSLQLLEMFSPLNPMPSQMRYLRYISMRNVMPEWPPADRALTLDCLILRMIPDFHSQGGFCPILRIYGPDPLMPHDQSPKVLFSTPKSSNLVRFNSQADELVKINLQCHVQGDVVLECSNMYEDVDREEMVFRIMFNTAFIRSNILMLNRDEIDMLWNAKDEFPKDFRAEVIFSDMDATTSLVTTEPVSHQEKQGLGIEEFAKVLDIFNHLDWVDVEMDMELDSPPKRVPVTSQGNIDAYPADDPETFFDSQEELSFDNLSGEINSSARVLKLQNHFATLGSTERKQAPLHSSLKEVPLEPHTVAPSKVMIPKASASSIAPSSGTVIPEPAPSPGQPRRLTSDSAVQLSSNASDEKSSLQTPIELEPSPVMVNKLGSTASLIPLCTPPPLPPRPPTVTLAPVSSTVPIDTSTGMISVSLRSTMPSRSPPPEPSVSPQVSATTEEPVKSQESYEILNLQPDSSTASSVTALPAVSSISVVRKSSNKRASLHLALPDSRPSVTPVTLSDPTPAPPPPPPPPPPPPLKAASVLFPLSQNEQVTRRKEKVAPPPPTPPPLPPASFSNSISSIPKKARSPPPPPPPPPPPPSLVTSFSSPHAPPPPPPPPPLPNSHVVSSSQLHFTTSKYAVPITSALNSSQTIPGLQRSPIPPPPPPPPPPPPPSQSSSLVSSFPSTVNRHPMAAPHPPPPPPPPPPMRTSTTPSHVSAPPVPPLRMVVPRPPPPPPPLRTMSSQVSTPPVPPLPPPKLSGNNIASQKPSTTRLPPPPPGPPPKNFSNSLPSKGSVLSSAPPPPPTLSFGAKGRSTVRSRSPRSLRANQPSKRTPLKPLHWVKVSRATQGSLWADTQKSDEESRTPEIDLSELESLFSVSMPNTEAKRTRERPSVAAKQEKVHLIDLQRSKNCEIMLRNIKMPLPDLMGSVLTLDDSSVDGDQVDYLIKFCPTKEEMELLKGYTGSSENLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVADLKNSLNTINAVAEEVRNSVKLKRVMQTILSLGNALNQGTARGSAVGFRLDSLLKLIDIRARNNKMTLMHYLCKVLSGKLPEVLDFVKDLAHLEPASKIQLKELAEEMQAITKGLEKVEQELATSEKDGPGSETFYKKLKEFLADAQAEGRSLALLYSSAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENLKQAEQEKKRAQMEAEREKAKAGVLQKIVSLFPNHVGTGGWEEKLSTERHTVSV >cds.KYUSt_chr4.43912 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272212851:272213909:1 gene:KYUSg_chr4.43912 transcript:KYUSt_chr4.43912 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLGIPVKLLHEAEGHVVTVELKTGEVYRGSMLDCEDNWNCQLHNPTFTAKDGRVTQLEHVFIRGVRVRFIIIPDMLRKAKMFERLEPGKKVGVSFSCLAFSIRSDNKCTVECVNIK >cds.KYUSt_chr1.8416 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51807860:51810407:-1 gene:KYUSg_chr1.8416 transcript:KYUSt_chr1.8416 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAEEGEDPRRRRSDTDCVFFLVSRVSCTKGSKCEYRHCEAARFNPRNCWYWFHGNCVNPSCTFRHPPMENFNRTKSLSVPPSSYGSVSSKEAKPCYFYYSSSCIKADNCPFLHEPRTPNNDVGITSQATAFNPDVNEKSAGDEMAVVSKDDHANPCQDTPCYIKKCHSEEVHESRYPEVDGAISIAAKTSIDTGEHMKCFTHSDQRSGDSTLEDTEQDESRDSSPGFDVLVDDGLSNKSDLEQQLAQKRDAQVLHAKYDFGDPVCYDQDYYDSQSYGQAFCGFDNQHSYLYFSHLEAVQGHDTGTTSGHVPHNGRNLVRSSSDEYGKRFFNSRNFISSAADVAFSHQHIETRHSSKRRLEKRKGAKGRKRRTKRQRGLQPVNDSQETESRFTQRRQDFLMEECPQSVVCATFRGQKKKLRGKQHNIISARSSEHPTADFTGPKTLAQIKEENCVSKSSFSHCAARMPHGRSFANDFEGPKSLTELLKTKGGISIG >cds.KYUSt_chr3.36643 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230467145:230467771:-1 gene:KYUSg_chr3.36643 transcript:KYUSt_chr3.36643 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTAVRPSPQQHSRIGGASRKALVAHGAAVPGEVARYHEHAAGAGQCCSAVVQAIAAPAEAVWSVVRRFDRPQAYKHFIKSCRLVDGDGGAVGSVREVRVVSGMPGTCSRERLEILDDERRVLSFRIVGGEHRLANYRSVTTVNEVASTVAGAPLVTLVVESYVVDVPPGNTSEETRMFVDTIVRCNLQSLARMAEELALAVPLAVS >cds.KYUSt_contig_686-1.670 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3985556:3986026:1 gene:KYUSg_contig_686-1.670 transcript:KYUSt_contig_686-1.670 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAALLVILAAAASLSLLLLVPAAASRPSPLFSASMGGAGMAAGKEEKVRLGSSPPSCRNKCYECSPCVAVQVPSLSVPSGPATTTARADVPPPLVTLSEYKPLWWKCQCRDRLYEP >cds.KYUSt_chr5.42268 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266392504:266396945:-1 gene:KYUSg_chr5.42268 transcript:KYUSt_chr5.42268 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGHRANAVATFAVTILAAMCFAASFSDSFNSPTPTASVKILNINWFQKEANANDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETPQNALNQVSLWDGIIPSKEHAKFLIHTTNKYRFIDQGSNLKGKDFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYR >cds.KYUSt_chr2.45276 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282356770:282359374:-1 gene:KYUSg_chr2.45276 transcript:KYUSt_chr2.45276 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPDPAKPHSGVGSASPKAARRAPPSPLFLGTALFVLGFVSLFTGHIVTDADWSRIRSRWRSNQVRNNGPIDIWKSRYSNLYYGCSGRSPELRAAVPENSSTGYLLIGTSGGLNQQRIGIIDAVVVARILNATLVVPELDHHSFWKDDSDFSDIFDADWFISYLSKDVTVVKRIPYEVMTSMDKLPWTMRAPRKSMPEFYIDEVLPILMRRRALQLTKFDYRLTGELDEELQKLRCRVNFHALRFTRSIQSLGRKLVRRLRTMSSRYVAIHLRFEPDMLAFSGCYYGGGEKERKELAEIRKRWDTLPDLSAEDERTRGKCPLTPHEIGLLLRALGFGNETYLYVASGEIYGGEETLRPLRELFPNFYTKEMLVGDDLKPFLPFSSRLAAVDFIVCDESDVFVTNNNGNMAKVLAGRR >cds.KYUSt_scaffold_6468.323 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1602564:1604571:1 gene:KYUSg_scaffold_6468.323 transcript:KYUSt_scaffold_6468.323 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARVAVEATVLAVAETTVLARVVVVEAAVLVASTSLTDGWPLVLAVAELPVLSNQELSVSAIGALAEAEKEAEEERAAHAAFDAEIERRRVAAAAAAEDSDSDISWSSDDPDAPTPEEKAAEQRALVESFETLKDDPESREGGARTPTEDATDYNVGMIR >cds.KYUSt_chr2.43549 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270950470:270954872:1 gene:KYUSg_chr2.43549 transcript:KYUSt_chr2.43549 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSLRIRDSFKHAYLEKLELNLAGKKRASRQGQDEDQDKGQQQEPLIEVPPSSRGSMVASALELAWDAAMLLTCCCCCFCCGDWSPQQWLATSEKKCSDLPEGFLQEINVESPSASRVPETSAGDMNYMMLRDCGSNVGVRGEVQKLKRADNELHMRTVYSFVRPGGGNACRLPQQDI >cds.KYUSt_chr2.50851 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317977054:317980260:-1 gene:KYUSg_chr2.50851 transcript:KYUSt_chr2.50851 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVAAATSRAVEPHRNASPEPSSQAPSSSSSRAAEAEAGPVFFDGGAEEGELHLDSPWVAPAEADSRLEEAAAAAGLRLCAENEAEADEIRDNLLRQDDEICEMLDTIWAELPGEEVVYRWVEWIHTSSLPHLGFDNKITLGPDIPKHKGDSRAISRSLSLESVIPLMLSYNSRKCHQVFLEDLHMCMICLNQSKVQCFYSAVFAKEASGKEEFERWDKLTLKKALDSMSDVVRVSKASGKMATRGMVEDMISVKLLYSNARSCPKCQMTISKTDGCNKVVCSSCGRTFCFICGKAIIAGYAHFSGRCDLFHHKEKDTTDWGKLLEQLETRNRDDDKYIFCWSCQASYCTLCKKQVQFAGEQSEHWGSQDCVKIKF >cds.KYUSt_chr7.15202 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94325048:94325593:-1 gene:KYUSg_chr7.15202 transcript:KYUSt_chr7.15202 gene_biotype:protein_coding transcript_biotype:protein_coding MATARATQRREDDDVGGEDEARKLRRRIEYRRSRLLQDASEVSELFGPHLAILAIPAAGRPTLFGNPTVESVLRSFLPAANGADAWTETANQAAARVAAMRREAGSIEARVAQEEARLRAVTEMVKAAQEEQGREHWWEVDAGALGDAELPEFAAALDVLRADVLRRLDKLAKAPKARHRR >cds.KYUSt_chr4.45435 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281206323:281210701:-1 gene:KYUSg_chr4.45435 transcript:KYUSt_chr4.45435 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGRTSTKVRRRGRYRVIVPTEDELEVTAELEMPMKTAEQVPADIAVLLVDVSSSGRQLCGSWWRRSRSTFKRKRKPKLQIRHLPQEIVDLVVSFLPMKDAARMSVLSSEWRQGWVSYPKLTLNSETMLGIRRGDIYYASKEKAEKYRGKFIENVHDVMRQRQGFWLEEFVLEYGFHEIDAHHIDSWVTRAASMRLKRLAIDLSEIRLDCRYDLKQYAFALQLLDEISTVEHLCILELRNLSLKPIGDFRGFLNLTMLELQHIGHQLRRLEHLSLGDGTLVEKLQIDAINLKTVSHSDNICEIVTRKDSRITEVIADMKTIPNVHTCVGYKDTLQYIFTGLPSASPCLEKLSLDIWENIQTSEVPKCRSSFMHLRQLTLSMHVNRFCKLDILRLVHVLEAAPVLEHFELNVEEFMSPFLYGDESLPSVPIYPHDHLKTASFQGFAFNEDLIALALYILRCADSLELMAVRTRYESGRRMADHFLRQEDPRNVVDIAPSVDCVRYFNDVDW >cds.KYUSt_chr6.33028 pep primary_assembly:MPB_Lper_Kyuss_1697:6:208010290:208013647:1 gene:KYUSg_chr6.33028 transcript:KYUSt_chr6.33028 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGPYYSPAVFVLLLVATAAATWSSFFLVTDALQLEAVHAAGGSCIGRERDALLVFKQGINDTYGFLKSWQPGRQDCCRWSGITCSNTTGHVIQLDDLGETYLVGQISPSLLSLEHLEYLNLSWTYLTDRNGGIPEFLGSFKNLRHLYLSYMDFIGTVPPQLGNLSKLEYLDLSFSKRMYSKDISWLTRLPLLVHLDMSATNLSSIAADWPLVVNVLPSLEYLRLFDCSLSSANQSLTHLNLTNLQYLGLSNNKFGHPIASAWFWNLGNATNLEFISLSNNYLSGPIPLEIASCTGLGYLSLANNHLTGHVPPKIEMLTNLVELDLNNNNLDGAITEEHMATLKSLKHMDLSKNSFSGPLPLEYGASGLIELTLSFNYFSGHIPESICCKLRSLLVLDLSDNLLEGELPQCSEKPNLVFLHLSNNRFSGKFPSALQNYTSLAFLDLSTNNFYGKLPLWIGDLLSGEITAKIGLMKSIESLDLSRNNLSGEIPTSLSDLTYLSFLDLSYNNLVGRIPPGSQLDTLYMENPSIYSGNIGLCGPPLGRNCSGDNVPEHENPQRRGKFSEPVLFFYFGLGSGFLAGLWIVFCALLFKKAWRVAYFRLFDKVYDNAYVFVVVTLGRMKGKATS >cds.KYUSt_chr6.14785 pep primary_assembly:MPB_Lper_Kyuss_1697:6:92534244:92535875:-1 gene:KYUSg_chr6.14785 transcript:KYUSt_chr6.14785 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAAAVTGTATAAVHVAQRHASPARTTAPRREQSPLNPNSQALRAAGQPSGSSASPTDSVRTHIANLDRVLGKPPTVPRPTGSHTHAAATKEPQAGSRDQEPLNVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRSTIGPRWRALHGEGGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFQSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPTWARRPNTPEWLTQQSNWIGYVAVCESEREVQRMGRRDIAIVLRGTITCPEWAENLRATLVPLDDGETTATTHAAGAEQPKVARGFRSLYKTAGEKVRSLSEDVMDEVRRLMEKYKGEELSITVVGHSLGGALALLVADEIATTIPDAPQVAVVSFGGPKVGNAAFVEKLKASGKVNVLRIVNAGDMVTKVPGVAPRLPLSREHYQHAGAELCIDSKNSPCLRPDVGPASRHDLEAYLHLIDGFTATGSPFRYDARRSVIRLLQLQRGNVKKEYVKRARELGVDPAAPADVGRSMAYGNCAVASPS >cds.KYUSt_chr7.7637 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46136415:46143127:-1 gene:KYUSg_chr7.7637 transcript:KYUSt_chr7.7637 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLLVLRKVALSLGEGALEKLSTGVVEEASSVTDFEHGMKQIESEFTILQAFIGQVSALNVGDKTFEAWLDQVTNVAHQVEDIIDEYSFLTLQGEVINNFFKRKFHQAKSFADWQSLSSQIDQVETRIQRLSAMKDRYGISIGVPGRNSTLQYTRQLSLSDSAYLSDDTEIVGNANEIGRLTQWLLSEGQHRSIMSILGMGGLGKTTIASSIYKNKRISRMFDCFAWVTLSQNCQVENLLRQIMKQLIDQRDYMAGGIETMSQVILIEELQSYLRDKKYLIVLDDVWDKNDWLFLNCAFVRNSRGSRVLVTTRKKDVASLADNGFVVELEFLLYNEAWNLFCQKAFRRLEDKVCPVNLRPWAEKIVKKCQGLPLAIVAIGSLLSYRELEEQEWSSFHNQLSWQLSNNPELSWLMSALNLSLNDLPSYLKNCFLYCSLFPEDYMIQRIWISRIWISEGLVEERGAGTTMEEVAECYLKELTQRSLLEVAERNVYGRARSFQMHDLVRDACLIVAKREKFAAVYDNSGIIQVTSEARRLCIQKDAQSLKVAAASRIRSFILFDRQVASSWINDISSNFRLIRVLCLRFANIHQVPGVVSELLNLHYLDLAHTKVKHIPASFGKLRNLQVLDLRFCYVDQLPWEITLLSKLRHLYVYMLHDVQERIFDCFSATNIPGNICRLKNLQSLQSVAANKNLLTQLGSLTMMRSLSVIKMHQDYIAELWDSLGKMPSLSKLVIFASSKDDVLNLVMLNPLPNLNFFWVRGRLYEKVLPQMFASFGKLTTLKIDCCCLKKDPISSFAHMLVLVDLNLYKTYDGEHLTFRSGWFPKLSSLELVDMEHLNWIEIEEGAMKVLHTLEMVGLRSLKAVPQGIKHIKTLQKMLLTDMSKEFMDRLQGDDSDIVEHISNVQSFYSNFQSGGLQEGCRAGIWCASSTICLVRYPALSWSHDQMWEVMQTCVIMHNMIIEDDRKNHVRSHVGPYECQGPLAEVDHELPADFADFLAMHAEIRDSNVHEQLQADLVEHLWRIKGNTVAP >cds.KYUSt_chr1.2360 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13897714:13897938:-1 gene:KYUSg_chr1.2360 transcript:KYUSt_chr1.2360 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCEASLYDALLDANWAQLRRVCVLGNSFLRYALQAEENRSGPAAKALQSLRARAPGGALRVGGARRRGRGCG >cds.KYUSt_chr4.16585 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103038506:103045512:-1 gene:KYUSg_chr4.16585 transcript:KYUSt_chr4.16585 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLAGGGAFGGRVPCSGAATAGDQRKREAERRSLLPGTCSSRGGEDQPTRVDQQQLPAEEELVAEEELPVEEELVAEEELPAKEELVASPALASSPPSSTAFPAEMAGSRGELFLPWRQGIILELQRQEAQEATMVQIEVSGAFYPDRIRHLQTIGGLKFQGPVHTDETITQASLLVRDDAVKKLVQLTMSIRRQVHGILLQQLERLKKMQRAIQAIGSHGSVLKSAVLKHISVAKPAMLPAVFPRFMSVSSAQIEESGFECGTIADILKSKGKSADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGQDKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENKLITAKPDTSVLQAMQLMTEKRIRHIPVIDGTGMVGMVSIGDIVRAVVNEHKEELNRLNAYIQGGY >cds.KYUSt_chr5.27677 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175172609:175173670:-1 gene:KYUSg_chr5.27677 transcript:KYUSt_chr5.27677 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGTAGQLNSVHRESDIDGLRQEGKGGSGTSGHGAMETQWLPLNRIRIAYLQGKLCVASGGAVVPNGTDDIEEPEVPEMDDDMPDAPAAEMVLPNPSSHPRLSEIGEINEITLVYL >cds.KYUSt_chr4.40909 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252789412:252789840:-1 gene:KYUSg_chr4.40909 transcript:KYUSt_chr4.40909 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCTGCRPAVVVQGIVFSLGPDLDALRADVSTAPSAARNRKESDSVAEPDSVGRDVDEPVRPRPDTEDEPARRSGLGVVEVRNHPVVERGGRRATDRGMPPTTAMPPPTALSIAESGLYAGEWNAMIWFFRSGLALVWFFL >cds.KYUSt_chr3.14018 pep primary_assembly:MPB_Lper_Kyuss_1697:3:84859845:84860510:1 gene:KYUSg_chr3.14018 transcript:KYUSt_chr3.14018 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSAGGEREALDLFPAKETASAIPHWAGPISKPAAATGNQKLLERLASEPMAAEDAELRERVMETLLTFPETKALSANQLVEAIDRIVKESKPETASAAAAGMVRLPDDDICIWLFLLKTPTVDDILGVDAGGVFPPQWISERKMYLDEQCQVKNKKPGQNILLVHKIRVDLITKGYVEVQKEYVQSDSDEEDSDDEVACPYDTYGPDDEVQGGVVLLI >cds.KYUSt_chr1.33595 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204082132:204085369:-1 gene:KYUSg_chr1.33595 transcript:KYUSt_chr1.33595 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGWWNDLVREVGGQSSEVSIECLASTVEVSIECSITRAPPLRSLKHTEISKEIKVAMSLLRCSLLIVAALVLCATAVPGAEAVTRKYHFDVQMTSVTKLCNTKSIVTVNGQYPGPTLFAREGDHVEVNVVNNSPYNMSIHWHGVRQLLSGWYDGPAYITQCPIQPGQSYVYRFQIAGQRGTLWWHAHVSWLRATVHGPIVILPPAGVPYPFPAPDHELPVIFGEWWRNDTEAVIAQALLSGGAPNVSDAFTINGLPGPLYGGCAGKKEDAFTLRVRPGKTYMLRLINAALNDELFFAVANHTLTVVDVDALYVKPFAVDSLLIAPGQTSNVLLTAKPTYPGARYYMLARPYSTTRPGTFDNSTVAGVLEYEEHDTAVKNRLPIFTPTLPQINDTSAVSNYTAKLRSLASAAYPASVPLTLDREFFFTVGLGTHPCAAGVPVNGSTCQGPNGTARFAASINNVSFVLPTTALLQSHYTGMSGGVYASNFPFAPAHPFNYTGTPPNNTNVMNGTKALVLPFGAAVELVMQDTSIIGAESHPLHLHGFNFFVVGQGSGNYDPASDPARFNLVDPVERNTVGVPAGGWVAIRFRADNPGVWFMHCHLEVHMSWGLKMAWLVLDGSRQEQKLPPPPADLPKC >cds.KYUSt_chr2.30086 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185285629:185286483:-1 gene:KYUSg_chr2.30086 transcript:KYUSt_chr2.30086 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYVFREYIGAQFTGVQFSDVPVNAGVSFHFILAFAIDYLASQSSPPAPTNGVFSPFWDTDNLSAAAMSATKAAHPNLSIMVALGGDTVQNTGVNATFAPSSVDSWVANAVTSLSVMINQYGLDGVDVDYEHFGADVDTFVECIGRLLTQLKAIFPNIATSIAPFERPEIQEYYQALWSKYSNVIDYVNFQFYGYGANTNVDYYVKFYNDQQANYPGAKVLASFKTGDVTGLLSPEQGISAAMELQRQDKLPGLFIFSADSSKLESYGFEYETRAQVIIANH >cds.KYUSt_chr5.26339 pep primary_assembly:MPB_Lper_Kyuss_1697:5:166809459:166809949:-1 gene:KYUSg_chr5.26339 transcript:KYUSt_chr5.26339 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVAPDADGFIRLAEFVALNATVDGDDAAVVEDLRLAFGSLDVDGSGAMSSTELAHVLHCLGSEAAVRGSASEAPQRQAE >cds.KYUSt_contig_1861.191 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:1138566:1140106:-1 gene:KYUSg_contig_1861.191 transcript:KYUSt_contig_1861.191 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVLPELAHCCLATGQLAHCRLAVGHLSHCRLAVGQRASSGHGATRDSAMDPVFGEVSGDVSGDVSGDISGDVSGEVRSTVTVNRRLRNISIFICEHDSGEDFSSETVHLDVCHFTVARSIREYTCRRPPYAFSPSFQEEKFHTMATIKFAELH >cds.KYUSt_chr2.44167 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274719889:274724469:1 gene:KYUSg_chr2.44167 transcript:KYUSt_chr2.44167 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVPGSPPWVEAACSRRPPHACELSEQERGRLAVGLPMLGMGRHWVVGIRDGALPLRLLAAEYGADITYGEEIIDHKFVHCQRVTNESLGTTDFVEKGTGSVVFRTCPQERDRVVFQMGTSDAVRALKAAEIVCNDVAAIDINMGCPKAFSISGGMGAALLTKPELIHDILTTLRRNLNTPVTCKIRLLSKREDTVELARRIEKTGVPALAVHGRTIKDRPKDPAKWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGATSVMAARGALWNASVFSAKGKIPWEDYKREYVRKTILWDNDVKSTKTTLREIITHYTNLEFAEGKGVIKCGSSADVA >cds.KYUSt_contig_1790.350 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1747908:1748468:1 gene:KYUSg_contig_1790.350 transcript:KYUSt_contig_1790.350 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTAAADNASPSPLLLLKVKRLSPNAVLPSRASAHAAGYDLSSAVDTMIPARGRALVATDLSVAVPEGTYARIAPRSGLAWKHGIDVGAGVVDADYRGPVGVLLFNHSDADFAVKPGDRVAQMVIERVATPEVAEVDDLEDTIRGDGGFGSTGV >cds.KYUSt_scaffold_1259.281 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1770947:1771568:1 gene:KYUSg_scaffold_1259.281 transcript:KYUSt_scaffold_1259.281 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLWDDVVAGPRPETGLDKLRRAAATQPLAINTVALATGEGSKSIPTTPTTPVTPSSATPPRGGNVWRGVFHPGSNLATKSLGANLFDRPKPNSPTVYDWLYSDETRTRSNHR >cds.KYUSt_chr5.39809 pep primary_assembly:MPB_Lper_Kyuss_1697:5:251705810:251706043:1 gene:KYUSg_chr5.39809 transcript:KYUSt_chr5.39809 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPSKKQKTAADADAANFSSLPRDVLGSILLRFPASDVRRFRRVCRDWRDAISDPVFIAGGVGPPWHPSGLSPVL >cds.KYUSt_chr2.32185 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198528657:198529679:-1 gene:KYUSg_chr2.32185 transcript:KYUSt_chr2.32185 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGLLALAEEAERRCDFSTSTSCLESALSPPHAASLLPLAEARARLRLAGLLLARSKGLASAKAHLERALLVLNPLPSAPPRLKLLAHSLLANVYGLLGSVPSQKHVLRRGLGLLASASASGLLPAGPSLLWTSNFQAQLASAFAAEGDAASALSTLSEGAAAATQLDSPQLDLFFAATTLHVHLLCWEDSTTVAAAVARVSDLWEALTDEEKEHWVGLFFYTELLQTFYLLRVCDYKAASKHVERLDTAVNSEMQRGQRIKEFGTELSAVERSLAQPELKERERGGVGA >cds.KYUSt_chr4.21440 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135020879:135023666:1 gene:KYUSg_chr4.21440 transcript:KYUSt_chr4.21440 gene_biotype:protein_coding transcript_biotype:protein_coding MAERHEDAGVRNPDLDGGEDYIGALPDVLLQQVLSLLPSREAVRTCVLAARWRTLWKSVPSIRINSAGEIYRNPLALSMFANYLLLLRDRTALHECEINSYYGGDTEEAFRYIELWMRYAVSCQARVLRVHVKNELKDLCLSNVSLLTQHLARLELCSIEVGGRFLDFLGCPALKVLKMEHCKINAERISSLSLSHLIICYGSFIANGRTRISAPSLVTLELDEFMGYTPLLEPMPSLIRAFLRFGETCLDRCDNGLSGIKNLELISQSQLFIGRMDFKWHVMFSQLKTLLLSEWCMAADFSGLIYFVQHSQVLERLTLHLKYYEEESAIETEESYNPRSRFLVSKHLKVVEIKCRKEDETIHQIVKILGTHGVPPERINIKPNFHDYGLGWDANRLEFFGG >cds.KYUSt_chr1.18641 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109159801:109160616:-1 gene:KYUSg_chr1.18641 transcript:KYUSt_chr1.18641 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQRQRIHPLDLEAGQPTPRPTAPLVPQGSSFRSDKGAVPPTATRPPPPPHHQPLPPPPHRFAPPPPLPPPKRRRGCCCRVLCCAVTTLILLTILAAATLGALYLAFQPKAPRYSVDRLSVSQFQVDSSLTASARFDVTVTAANPNARIGIFYERGSSLGVWYGTHRLARGGLPAFYQGHRNTTVLGVVMAGQAQLGSEVITALRDAQQTGAVPLVFRADVPVRVELGTLKLWTVTSRVRCDLVVDSLLNAGSQIKIKASNCKFSLKLL >cds.KYUSt_chr3.20391 pep primary_assembly:MPB_Lper_Kyuss_1697:3:125455958:125456769:1 gene:KYUSg_chr3.20391 transcript:KYUSt_chr3.20391 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVVVVGGWASPFVTRVCIALKLKGVEYEFLQEAVGRKSELLLRSNPVHKKMPVLLHGGRPVCESLVILQYVDEAFPGSSILPDDPYHRAVHRFWAEYADAKLHTVLRTMRGMVGGDKADAAEQVSAALRQLEDALAECSGGKPYFGGEDVGFLDIVVGSYIGWFGAAEKIAGLRVLDEASTPRLAGWAARFCAHEAVRDLMPDADRLVEFGEVLRAALAANASSRP >cds.KYUSt_contig_1467.87 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:679940:685951:-1 gene:KYUSg_contig_1467.87 transcript:KYUSt_contig_1467.87 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAPERRRSTRQRPKLSYADADSDAEPERGGKRRRNAAVKPGTRKSGPAKGEPKRRRRLAEEGDAIDDDDDMCASEPDAEEMQMAEEEEEAAALEAAERAKAGTLRTIRRKRRVATRPVSKQKEEEEDASEAEDHFVGVDPIPDDEARRRWPERYKPKDSDHPQLTTNKRKKRSNAPEEEKEKRARCHYRSAIVEGVNFDLGDDVYIRPAGDGEDDYIGRITEIFQEIERGSYFCCRWFYRVADTVITSNVWNVPDHEHDHKRVFLSEEKDDNLVGSIISKVNITYVGPNLTQPEKAELISKCDLYYDMAYSVAYSTFANVPPENVDPTGSETTSDISSDNVDSSKEKPVADLVVSPDAQMETATLLDLYSGCGAMSTGLCMGAPLSGLKLTTKWAVDMNKYACDSLKHNHPRAQVRNEKAENFLKLLQEWDILCKEYAVHNSTSLPRISNDNEDDENGVNESLSEGTFEVEKLVDICYGDPNKTKTDGLCFKVRWKTYDSSHDTWEPLDGLRDSPEAIKEFVESGYRESILPLPGHVDVICGGPPCQGISGFNRHRKHKDPLADEKNKQLLVFMDIVNYLRPKYVLMENVVDILKFAEGFLGRYALSRLVAMRYQARLGMMVAGRYGLPQFRMRAFLWGALPSVVLPKFPLPTHDAINRGQHEPTEFTQCLVACDENESKDLENALCLRDAIDDLPKVENHQPEDIIAYKSGPKTDLQRYLRLNRNDMRDDSVGDAPPKECQLFDHQPLQLNKYDYERVKQIPQKKGANFRDLKGVRVGKNKTVEFDPNIPRQYLSPGKPLVPNYAMKFCKGKSLKPFGRLWWDETVSTVVTRAEPHNQAILHPKQDRVLTVRENARLQGFPDYYRLSGPIKQKYIQVGNAVAVPVARALGCSLGEAYQQGEFSGDQSPLFKLPANFIPAPQARVARLPRGSSAGEVVEEE >cds.KYUSt_chr7.22453 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139080340:139081122:-1 gene:KYUSg_chr7.22453 transcript:KYUSt_chr7.22453 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSKRLDYVLVPLGLAVMVGYHLWLLLRIRRRPATTVIGINAINRRIWVRHVMEDPSGKHAVLAVQTMRNAIMASSVLASVAITLSSLVAALMASGAAHGLLSGTAAALNNKDNNNIVVGAVGEAALSVKFLAILVCFLVAFLLNVQSIRYYSHTGFLVNVPLTAHRLRRPGLAVDYVTSTLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFAACVAMVCSLYFLDVYKEWDRGEEGDCIVPDDGYEKEATKTAVSAV >cds.KYUSt_chr2.53190 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331849598:331853791:1 gene:KYUSg_chr2.53190 transcript:KYUSt_chr2.53190 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRNSVEQLSELFGFDHGSTSGNPAMDQQAYWNSMLGSVESQNIQGYEENRSDTTIPFGSEAHQDGQFLGFWGSGEASSSANSLNYGTSSVLKAEHLNIGGALRIGERRMVAERNLSLDNVDINLNASGHDLFGQGSNANGASQGSEQYAGCSRDVTSSQATDLRLHPYRSFLSDDEQPESFPSLNASLGNFPLMPEDIDQRSGSSLDGRRLACKRKTIEGAHGIFSAGASTSFSHRNDNVFHNIPSTSFSPAPTMNVPSHNFLLPPSSIEEQLPHYGATTGLPSSSYNHPSGGNYNSGNSQRSFRVRTTTAQQVNPYGVWPSSSTMSHSSSWNHQAPALQSAFDEMQEGIPMVSGINLQYQHPANVVPGIPQIAHRFACHGASSSRAGSLDNRILGSEDVTGRSVAAPNFSNAAPLAGIDMRPLVPEPSTWNSDLRGTAIPGNVSSVSRANPSSIVNRPAGSPSVAHPTLHRRHPRNLSEEIGRLSGALRGQQQPRLRSGFLLERQGDGVWGVPLPMRSSREGRRLIESIFYGGVDIHDRHRDMRLDIDNMSYEELLALEERIGNVSTGISEDDVMKLLKQRKFSSWRLASMEYEPCCICQEEYVDGDDLGTLHCGHDFHAGCIRQWLVVKNLCPICKNTALKT >cds.KYUSt_chr6.19000 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119643501:119647273:1 gene:KYUSg_chr6.19000 transcript:KYUSt_chr6.19000 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVLVDYGPQRQIKRSYDEISYRAAAPPRGYAETVGESGSPVRVDSEDSSAPKRKCISLNSDAGGFVLKRETFVPSKLSSSERRYLRKRFRSELDSVRDLLKKPEFSAIVPVVSRAPAFSSSAAPRPKKVQRGSHVVRGAKGRFLPTKPRPEASSAATPLPEATVMKQCEAILKKLMTQKCSHIFNVPVDAEKLNIPDYNDIIKHPMDLGTIKAKLDSGAYTSPSDFAAEVRLTFNNAITYNPRGHAVHDMAIQLNKMFEARWKTVEKKLASAPAKPHVEVARADSKRRKTPPVDRSDLSVEGVRPTEAAMPKMTDAEKESFGNCLATLFEDPDQLPGHIIDLLQQDIPNNPDEAGDGEVEIDIHALSDDVLVELKKRVDKFLQERDKQHTKSEPSENEAVGVSGLSHSSTDPCKGGEPIEEDVDICGNTSPILIEKDPQIKASKLGSPSSSSSDSGSSSSDSDSGSDSESESEKVGSPAKLAKDVMVPEQPAEQPTEQEKSDVISPVDANQNAADAELREQDNESKAAPEGENAKPDSQVSPDKLLRAALLRSRYADVIVKAHGVLSQGEGGDTQEELEKLQKEEKARLLAEGNAAMEARRAEAEAESKRKRDLEREKARQALQEMERTVEINDNVHPKDLEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGSMLEKLGLFMKVDDEEDEEEEPSVPSSNDGEEEEPNVNSSKDGEEEEPNVTSCKDGEQEEPNVTSSKDGEEEEPNVTSSNDGEEGEIN >cds.KYUSt_chr1.39023 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238553166:238562346:1 gene:KYUSg_chr1.39023 transcript:KYUSt_chr1.39023 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMAAVIPRSPPPPASTSAEAASSGGALGSAAEAPVLIFVYFHKAIRAELEQLHAAAVRLATERRGGDVADLESRFRFLFSVYRHHCDAEDAVIFPALDIRVKNIAGTYSLEHKRENDLFAHIFALLKLDVHNNDGLRRELASCAGAIRTFITQHMFKEEEQVFPLLITKFSYEEQADLVWQFICNIPVNMMAEFLPWLSSSVSSDEHQDILNCLRRIVPEEKLLQQVVFAWIGGKAVRTVAQDLDIPCSKGSCGNEDISGHTDKNRCSHEHSKVGKRKYAESSHCQLAVHPIDEILWWHNAIRKELSDIVEETRRIQQSGDFSSISTFNARLRFIADVCIFHSIAEDQVIFPAVDGEVCFEKEHAEQEQQFNKFRRLIEQIQTTGARSTALDFYSELCSQADQIMEEIEKHFNTEETKVLPQARIKFSPEKQSKLLYKSLCVMPLKLLEQVLPWFVSKLDDANEQSFLQNMFLIAPSSETALVTLLSGWACKGRLKDTSNSGKFICMPSRELNCSLDGDELGKCQSICPCSLGNSTTFSVPETENTSRPVKRGNHSESSTSINISHCPETTDIEESRCNSKPCQIPGLRVESSNFGADSFASLNSFRSLSYSYSAPSLHSSLFSWETDKTFSSPDNISRPIDAIFKFHKAIRKDLEFLDFESGKLIDGDKSCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKDALHNVSHSYTLDHKHEEELFQDISTILSELSHLCDDSGHPIDKAEERHICSYNELDWCRKHNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVDDQDKIVGRIIGSTGAEVLQSMIPWVTSALSLEEQNKMMDTWKQATKNTMFDEWLNEWWKSSPTSSGPSNETSYPSEESQFEENLDQSDQMFKPGWKDIFRMNQSELEAEIRKVSQDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPRSEDHNTVIPGWSPSYRDPEKQIFGCEHYKRNCKLVAACCSKLFTCRFCHDKVSDHTMERKSTVEMMCMLCMKVQPVGPNCQTPSCNGLSMAKYYCSICKFFDDERSVYHCPFCNLCRLGEGLGTDFFHCMKCNCCLGLKLKEHKCREKMLEMNCPICCDFLFTSSAAVRGLPCGHFMHSACFQAYTCTHYTCPICCKSLGDMTVYFGMLDGLLAAEELPEEYRNRCQDILCNDCGRKGRSRFHWLYHKCGACGSYNTRVIKTDAPGCSTSN >cds.KYUSt_contig_686-1.1150 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:7078568:7081795:1 gene:KYUSg_contig_686-1.1150 transcript:KYUSt_contig_686-1.1150 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWRQPRREDNYDDDEEEDYEEEEEEDDEDDDYEEQEDDEEGTEEGTTGENSPAPYNMELHSMTAKGIKHLCSELLEINEASQEDFQRNVHLTYLSFLRLFQEAGDLEKDVDHLKRQAMAQRSLIQQLTDNIYSSSMVPDISPIDPFEFDGCSPTTVDPLDVLLSEHRMEEALELLEAEGQALEKLHSDDARVIASSMSALSARRARVADRFASLADSPRTPPRRELLRALSGLCKLGDSQRANHLLFKFYRSDALRRVDELRCSSSSHRNYIKELACTVFSSVLEASRSFVALHGRQQPSPELSRWAREEIEDFIAAFREYVGSISEAGSGDGLALATEAANCAVSYSSMLRTVVPEEDILALIRPCMDKVLATYTKHLKKVVRLLVSSDAWVLGKFLMSGILRRKTPSPLPANGGEPEHCLLTASGRKFTTLMQEVVEDVSPLLRLGMKSSVLQLLSGLFREYTHSVLAVDVVDQQQQYMWQLSFLINCTTLVSLFPIIAHGIFMSNNNQASSNQAAQTELDGLTLFIKEASGQVWAQFCQQFIRDTMSSLQDRSRTHDVQGGTMPCSAFQVVFLRLRRLSDLYGVILAGKDGTMRKLLQQLMEAIIIWLHNNLDIWIFHHAQNNLPKDTLLHQIQLDVNFLLQVAQFCGFSSDSIRTSALDLLSKAEEKVSSLEQSMSSSGDIHEEASASDAAKRAVQVLTGDGVNSSTSQEDVAYSEEDVLKAGGVRMTTSAQESDEEIDNRIDDSVAGSGQSDVPETWSGEHAGSRALGDSGGDGKSSDEFVSIEDDGGAAEGGTEDVVSPGSPIGTIVLESEKHKLLVDNIPIDVHGDSLNGLGGSGAAMEATPCDPQEDEDEDDDESALPEFLQDKMNPIPVISDQSGTDTTSVSSAEMESGDQHSDPGSISSGGHGWRRRAGAPAAAKGDGGIRKRREGLSRNSRPRWRQ >cds.KYUSt_chr4.23428 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147477006:147477692:1 gene:KYUSg_chr4.23428 transcript:KYUSt_chr4.23428 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGLGLGRLTRSATRILAKQANGFHLLRIDGYSQTKTVLPGHKLSCNGFNVGGHSWRIDYYPNGRDTSANHNAISLYLQLTNRLPQHLQARYRFSLLDHGGNAAYELPAANGTFIPVPEVNHYALQNAGTQPGTGCGHEEFIGKEELERREHLIRNDSIVIRCDVGVAEIGNSWLAQDELNAWEDGEESEEEGYEAPGGYGAPRRRNRRKRRADDKEYVKWCLAQR >cds.KYUSt_chr1.33600 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204131736:204132885:-1 gene:KYUSg_chr1.33600 transcript:KYUSt_chr1.33600 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGVVAERGKTGGALAEREKVSERVMVTTGRDSQVVELPGWHLSAYIVEKIGDHGAVSGVPCLLCSRRALIRQGGYATWLGLVLLYVIKTAEYSLLNGNLLRPASAEKAEMLALLSGIKHLLALGSGQAIVESDCLHAIQTISGTGREFSGGWALYQEARDLLRVFGNISVSKVDRVCNGVAHVLAQLGKSGFNGLLRDASPPCVEELITLDVT >cds.KYUSt_chr2.26503 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162360748:162365487:-1 gene:KYUSg_chr2.26503 transcript:KYUSt_chr2.26503 gene_biotype:protein_coding transcript_biotype:protein_coding MATASRSTASIAAATFLASGGAAVATVAGAEEEEEELNGEGSSAFFVIGVLWLLLELSDGSRHEEILCISSTSVVVISTGPVHSSPIPTSSSMGPEEHEIVATEDDFEEMEEGVDPYTRGKWEGSNVTPAEVDWLYKSRRILAGVECRLPADEIEPKPEPGEYVVFTVHFMHGLGLPASGFMRAFLNRYALQPHHLAAYAFFILSSFVAFCEGYVDLLPSLELWARLYSLRTNSIQDPSVPKPKPKPMVQCSACMVVPRRNSRHVKMSGLESCRKWQRAFLYTKNTGLTDLINLPAYVAGDPTKLNWTYNPKESHRETNRICEYIEALRRKRCPQSMIPSAHSSPGEFCRSNIGVIRFAR >cds.KYUSt_chr3.39202 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246974038:246974535:1 gene:KYUSg_chr3.39202 transcript:KYUSt_chr3.39202 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLASIAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr3.31799 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199809443:199809834:-1 gene:KYUSg_chr3.31799 transcript:KYUSt_chr3.31799 gene_biotype:protein_coding transcript_biotype:protein_coding MANHAAAALLIASLLVAVVLADARVAVQVQRDIVGGYAAVKAVPALKCNEVHQVQTDDTCSSIAASAGLTDAQFLGFNPNINCLKVFLGQWVCLDASSA >cds.KYUSt_chr6.27835 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176578858:176586665:1 gene:KYUSg_chr6.27835 transcript:KYUSt_chr6.27835 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLHFSNKTHSKILHIPSFGVALVLLICLASPTSSCTEQEKHSLLQFLHELSQDGGLATSWRNGTDCCNWEGITCRQDGTVSDVVLVSKGLEGHISESLGNLTGLQHLNLSHNSLSGRLPLGLVSSSNITILDVSFNQLNGTLQELLPSTPARSLQVLNISSNLFAGQFPSTTWKAMENLIALNASNNSFTGSIPADVCNTSSSLTMLDLCFNQLSGNIPPGIGNCSRLRVLRAGYNKLSGTLPDDLFDATLLEHLSFPNNDLHGGLDSTRMTNLRNLVTLDLGGNKFGGKIPDFIGQLRKLEELHLNNNNISGELPSALSNCTNLVTIDLKSNNFSGELTKVNFSNLPNLRTLDLYLNYFNGKVPESIYSCTNLTALRVSTNKLDGQLSPRISDLKYLTFLSLATNSFTNITNALHILKSCRNLTTLLIGDNFKGEIMPEDDIIDGFENLQVLDIQDCQLSGKVPLWISRLTSLEMLLLNRNQLTGPIPGWINSLSHLFFLDVSNNSLTGEIPLSLTEMPMLTSMENATHWDPRVFELPVYSGPSLQYRVVTSFPTVLNLSHNYFTGVIPQQIGQLKMLAVLDLSFNKLSGEIPRSTCNLTNLQVLDLSSNNLTGAIPAGLNSLNFLSAFNISNNDLEGPIPSGGQFNTFQNSSFDANPKLCGSVLTHKCGSDSIAPSSTKRDKKAVFAIAFGVFFGGIAVLLLLVRLLVSVRMNGFTRKKGRENNVDVEETSSYSCSEQTVVVMHMPQGKGEESKLKLADILKATNNFDKANIIGCGGYGLVYKAELHNGSKLAIKKLNGEMCLMEREFSAEVDALSMAQHENLVPLWGYCIQGNLRLLIYSYLENGSLDDRLHNRDGDASSFLDWPMRLKIAKGASLGLSYIHDVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNQTHVTTELVGTMGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGKRPVTVMATSKELVPWVLQMRSEGKQTEVLDPALRGTGYEEQMLKVLETACKCVDHDQFKRPVIMEVVSCLASIDADLQNFDQEAMEKQQQLRSSCKKCSNRFPVPFLGCALVVVLLFSMASPASSCTEQEKGSLLQFHAGLSQDGGLSASWKQNSTDCCVWEGVTCGADGTVTDVSVASKGLEGHVSPSLGNLAGLLRLNLSHNSLSGGLPLELVLSSSIIVLDVSFNRLKEDMQEVSSLTSVQPLQVLNISSNLFTGRFPSSTTWGVMSNLLTLNASNNSFTGQIPSHFCTGSPSLAVVALCYNQFTGGIPPGLGNCSMLKVLKAGHNNLSGTLPNELFDASSLEYLSLPHNGLNGVINGAQIVKLRNLATLDLGGNNFSGKIPDSIGQLKRLEELRLDNNNMSGELPSALSNCTNLITIDLKRNQFDGELTKVNFSSLLNLKSLDLLYNKFTGTIPESIYSCTKLAALRISSNNLYGQLSPNIASLKALTFLSLGNNNFTNITNTIQILKNCRNLTFLFIGGTNFMGESMPEDEIVDGFQNLQVLSIAGCSLSGNMPLWLSKLTKLEMLFFQNNQLSGPIPGWINSLNLLFHLDISNNNLTGEIPRALMEMSMLNSEKTKAPRLDLRAFELPVYATPSLQYRISSAFPKVLNLGNNNFTGVIPEEIGQLNSLVILNFSSNSLSGDIPQQLCNLINLWVLDLSSNNLTGIIPSALNNLHFLSAFNISNNDLEGPIPSGGQFSTFQNSSFDENPKLCGSMFTHKCDSAETHQSVILTGKQTDYKVAFMICFSAFLGVGVLYDQLVLSRFNNISSEQASAIGENPLSLTEMPMLMSMENRTHWDPRVLELPVYSGPSLQYRVITSFPTV >cds.KYUSt_chr3.6851 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39387982:39388287:1 gene:KYUSg_chr3.6851 transcript:KYUSt_chr3.6851 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMCLCCLLMAMAVAVSADGCEGDRKDMIRECGKYQQWPAEPKMDPSDACCAVWQKADIPCLCAGLTAEKQKLWCMDKVAYVANFCKKPFPHGYKCGCK >cds.KYUSt_chr7.14964 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92639700:92656812:-1 gene:KYUSg_chr7.14964 transcript:KYUSt_chr7.14964 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVGSSGGPAEVNIMMGRRKMQVATLAILCLWSSAGICKAQTAEFKPADSYLVDCGAAKGTTVGGRNFAADGAAPVTVDTSQDILAGTSANGVSSFDNSALYQTARIFTAPSSYTFPIQKQGRHFVRLYFFPFIYQSYDLATAKFTVSTQDVLLLSDFQQPDKTAPLFKEYSLNITHDKLVISFKPSNGIAFINAIEVISVPDDLIADVAQMVNPVQQYSGLSTQSLETVYRVNMGGPKVSPNNDTLSRNWQNDQKYILNPSVTKTVVYGKSINYKNGGATSLTAPDIVYGTATELAASNTSNALFNMTWQFDVDAGFSYLIRFHFCDVVSKALNQLYFNAYVGGFYAQHDLDLSEQSMNQLATAIYVDVVLSSNDASSKLSISIGPSTLNNALPDGILNGLEVMKMGSGSGSAFTVGSSGGHKNLGVIIGGALAVIGLVIIILVLILLCRRKKTDDKQHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGMNGGSYGYRFAFNVLQEATNSFDENWVIGVGGFGKVYKGTLRDDTKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMENGTVKSHLYGSENPSLNWKQRLEICIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVMLEVLCARPVIDPSLPREMVNLAEWGMKWQKRGELHQIIDQKLSGAIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDVDSSTVSDVNSMNRIVDLSSQVQHVGALDSISVTMAEGGASHEPDHDLSDVSMSRVFSQLIKAEGRSTAGRRKIEIKRITNIVSRRVCFAKRRAGLFKMASDLSILCGAEIAIVVFSPSGGKAFSFGHPSVDSVLNRFSWGDNNPTMAGRRQDGEGIPKYIIGQIVVNALLPDPRDTPHRIAARRQAPPQLLQGDPIPGWSYMPPRFHVGAGIPGVAPHYIPPPSTFDVLLDSYWFHVGAGIPGVAPHYIPPPSTFDVLLDSYWFDKPWFLTEGNLLLYASHLPLGVPNERVLYASSNIPLQHSKLFDNLN >cds.KYUSt_chr2.33903 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209641844:209642737:1 gene:KYUSg_chr2.33903 transcript:KYUSt_chr2.33903 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSAAAPLLLPSAKKPSSAAQYTRCASHARDELRSFRACLRWMCVDHTSGPRSARSWAAFFLLAVAAPAAVTLALPPDTPDRPYDGQVQASLTLAAALAHLSLASLLRVGLRRLLCIDRLRHDSDAVRAGYTAQLARSFRVLACFLVPCSLADAAYKAYWYWDAAPFRSPWWNAAACALDVASWVYRTAVFFMICVLFRVICYLQVLRMVGFAREFGRFADVAVVLEHHRRIRDQLRRISHRYRRFIIFCLVLVTASQFCALLATTRPHAQINLATAGELAVSTLCFLLHLNYL >cds.KYUSt_chr7.29633 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184415128:184417396:-1 gene:KYUSg_chr7.29633 transcript:KYUSt_chr7.29633 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFGLLSQKLGNLVSSSFCKLHCGLASRLKYLKKLDTSFGLQLSGTSKPPQKPKRSNPKRAISSDEDDDDKKNVSVTRIDKGNNEGTPGRLCDVVNTSQESLGVMTPIRDSSPCSTMAMSGGKDRRLSNPTYGHDDCNTRRQGVPRDGDTYKGDDGDIYWQRVPRDTYEGDDGDV >cds.KYUSt_chr7.23822 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148378788:148379581:1 gene:KYUSg_chr7.23822 transcript:KYUSt_chr7.23822 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAVKKRQDENAAAVEPTLQSVALVVGSTGIVGTSLLDILPLQDTPGGPWKPLKDITHVFYAAWSSHPTEDENRRANSAMLRNVLSVVVPNCPALVHVCLQTGRKHYVGPFEAIGKIPAPDPPYTEDMPLARSRSGERAKPVGTTGSDEASTRYEASSLLQLRSRTTTVACRLMCGFLGAYPCAQHHGRLHRFAAQEKHLQPRPSPLVPPFGFSLQSPPFGS >cds.KYUSt_chr2.1940 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11655226:11659324:-1 gene:KYUSg_chr2.1940 transcript:KYUSt_chr2.1940 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLLRALLPVLLFAGVAGGTSGGRGQWTRAPSLEFHHRFSAPVRRWADARGHQLPGGWPAPGGAAYVAALAGHDRHRVLSAGGGAGDHPPPLTFSEGNATLKVSNLGFLHYALVTVGTPGHTFMVALDTGSDLFWLPCQCDGCTPPASGTSGAASLYIPSLSSTSQGVPCNSDFCGLRKECSGTSSCPYKMVYVSADTSSSGFLVEDVLYLSTEDTRPQILKAQITFGCGQVQTGSFLDAAAPNGLFGLGVDMISVPSILAQKGLTTDSFSMCFGRDGIGRISFGDQGSSDQQETPLDINQKHPTYAITITGISVGNNAMDLEFSTIFDTGTSFTYLADPAYTDITESFHSQVQANRHAADSRIPFEYCYDLSSNEARIQTPGISLRTLGGSLFPAIDPGQVISIQQHEYVYCLAIVKSTKLNIIGQNFMTGIRVVFDRERKILGWKKFNCYDTDSSNPLSINSRNSSGSTPENYSPEKTKNPAGVTQLRPLSSSPHVMWHSNSLLLMFLFLNFLVF >cds.KYUSt_chr3.26423 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164543148:164547396:-1 gene:KYUSg_chr3.26423 transcript:KYUSt_chr3.26423 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKKRGASRAIRRRQLIAGGSVGYVFVEAVRCPCQLRRLIRLRPPTSFVRTGGARLWRTGGKERLLVNSTDFIMAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRIHNRPTISPTIVLMNMYQRPDMITPGVDAQGQPIDPRKMQEHFEDFYEDIFEELSKFGEIETLNVCDNLSDHMIGNVYVQFREEDQAAAAHTALQGRFYSGRLIIVDFSPVTDFREATCRQYEENTCTRGGHCNFMHVKQIGKDLRKKLFGRYRRSQRGRSRSPSPQHRRERRDHDDYRGREDFRRGGDDFRRGGGGGGGRRGGSSRYDRQDDGGRRRYGGSPPRRARSPVRENSEERRAKIEQWNREKEAK >cds.KYUSt_chr4.26811 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168431911:168433636:1 gene:KYUSg_chr4.26811 transcript:KYUSt_chr4.26811 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPASGTAPAASGGSLAATSSAPASAPAPSSARSTSSSPAPAPAAIPSSGAGGGHGGGHPRRREAEARGAAPRRSHPRRRRAITRARRRAVHPRPSPAPGPAPVAAPRGRSKKPAGRPKNAAAAKRALAPPTSGKKVVSRKKAAPTPPTAEPVVHDVFEKIPEGTLYMDLLQDAEVDIGAPPLDPFEFGDDLHGEEEEVGEGEEEDDELTEIGVEAFAAGGRRKASNYTEAEDIILVRAWASVGMDACTGTDQTGKRYWQRIEDAYCKMKPKTGGFAPRTFWSLQGRWELMKPSCARWSAAMSNVIDAPPSGTVESDYEDIAEKRYMQMAGSKGKQFPWKHVWKHLKDFDKWKLRDQETAPKKAAMESMDDSAEEERNADKPEGTKKAKLRKKMEGDVSSIRDKMETMMKTRETLTLKTLETKLLITDKKKEVKLAQVEARREEAKRKAEERARKADLEERMLAMKEAKAWKELMLEEKEHMMMSKKDMDEDQLRWWKDYKEDIAARKRMFRRASSSLRGDTPMSGCGDGGVEVSTTCAYEGA >cds.KYUSt_contig_2703.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000456.1:65483:65851:1 gene:KYUSg_contig_2703.7 transcript:KYUSt_contig_2703.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLESYWLGKAGFVDAVQSKVREHGQRFRRKTLMSTKIPSGSSPGSAARETALKWWLHSRTQAAERTPGGSGKERFPRRIFSMLTGYLTEFADTSSLLSVLAWKTGRNKGRTAAGGADEEED >cds.KYUSt_chr6.3469 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20018840:20019969:1 gene:KYUSg_chr6.3469 transcript:KYUSt_chr6.3469 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVVDIPSSPVEVVQVVQVVQVAYDSTTGTVVAVDSSTGTVVLPASSPGSPASPTSVLRVAPLTVRPLLLISLFIDVVLLKDVDVDVLVRSGVCFNMGFKEQQMKKRYPRHHQYVGTPITNYAQMKTIFTPRFVCKAQLFQPNLLVRAIDFIADNESEYAEYRKLQPPQRRTWLHNQFPA >cds.KYUSt_contig_60.502 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:3047366:3049054:-1 gene:KYUSg_contig_60.502 transcript:KYUSt_contig_60.502 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGVGADEGSGVALVFPENSSPEVKSDDARPISGVLAGASEAGMDGLSLGGDIGAGSGNTEDLEMEDFKPAAEAVASKPEDEADALLRGDFGAGNGKMGDEGAHTLEVFVGEEKDQFPCNGNEAGAATLKVPGGVVFPDSCSHEVKPDEAHAISGVRVGASEAGMDPLGGDMGAGNGNAEDLKVENFKPDAEAATGTPADEAGIDALLPGDFGARNGKMEEDGAHTLEVSAVEMDQDPCNGNEAGAATRKVPGGVVSSIVKDDVGMETLGAQGPSPSLRADMSSIDTISDPESHTGRLFCNKKEEQIESEVTALQKAEDELLRIKEELDCKQNQQLKREQDQRLKEEEWKLLQCKEEKALKEIEARTLRQIQLVQQMEMTVSRKVEELAQTEEKLDRKYKQLNKNEDDHRLKEKEWKMVQCQEDQALKEKGIELFERENELSLRQKRLLQFEKDLSTAANDLAVEDRELKRMQERLNKKLSETLQFHQSNLAQKETELNNREKELGVNSHKVQTTYYAAKLGRAIGMKNLRTLIGRDEGSDLILPLVEPKDEVIKIKLIV >cds.KYUSt_scaffold_1700.590 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:3685946:3686296:-1 gene:KYUSg_scaffold_1700.590 transcript:KYUSt_scaffold_1700.590 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSFLLQLVRYVSSLPTHFMRATTRALPLPTGGAGGAIRPLAARKPRPPGPPAQGSGGKGGIIHAASPLPRELMRAAPPRNGAGGKTKAPQRPGAPAEGTGGRGGIIHAASS >cds.KYUSt_chr5.98 pep primary_assembly:MPB_Lper_Kyuss_1697:5:672142:674606:1 gene:KYUSg_chr5.98 transcript:KYUSt_chr5.98 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSSTFAGKAVKNAAPSALFGEARVSMRKTAAKAKQVSSGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVNFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGNLEAVSPGSIKEIGCLLSEKATGNKVKKVKEYLRSKSKKNGATRNASAAA >cds.KYUSt_chr4.41965 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259512538:259513347:-1 gene:KYUSg_chr4.41965 transcript:KYUSt_chr4.41965 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSDAPPPPGYAHGPPSYPQRRPHYGGAGSPSYGSSSASLRGCCCCIFMLVIFLALLALAVALVVILAVKPRKPHFDLNQVSVQYLLVAPPSAAAAAPAAAYLSLNITLLFTAANPNKVGIRYGATALDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVVVDRFNVLQSDAQDLIRDASLNDRVELRITGDVAAKILLLGFSSPKVQVSLDCAIAISPRRQSVTYKQCGVDGLSV >cds.KYUSt_chr1.5408 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33342949:33347380:-1 gene:KYUSg_chr1.5408 transcript:KYUSt_chr1.5408 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLTHGAVAAMSRMVQGLRPVLQLEEAPRTTWIVGGHTELYGLVLSDGVHSQKGLLATSLNGLVKAGLLRGGSVVRVLDYICNNSVENPRVIAVIQLEILQTECTLIGSPTFFDANASQPKLRSYSDSLGIHRHCMISRAEQGVTNIACYPDQSLFDSSNAARVEIMQRSYGLVPTPNTIDAKMQQLSLKSYQSQVPSTVGGFDSPGNTYGQPVQSLCEQAPPMYLNRYPVVNSEAHLIPISALTPYQGRWTIKARVTGKTEPGYLGKAKIFSFDLLDANGGQIRAIGLNSAIDLFYDKIVPGNVYLISGGLVKPVPKMLSPLNSDYQIILVPTTSIEIYSGDDSGIPGQKHNFRQISEIANMEKDAMVDLLGVVTSVSPSVTIVQNALKTHKRTIQLKDMSGRAMAITLWGNSCSAEGQQLELQLKSGLNPILSLKSGIVVSGFSGKSVGTTSSSQLKINPDLPETEKLRQWYATQSKNNVCRTITQIKEENFGMLGQPGLITVVASISYVHTDAFCYPACTLMFNGKQCNKKVTANGDGWWCKRCLRSSETCDYRYLLMCQIQDHSGSSYATAFHEAAEEMIGHTAQELYMIQNNEQDAAKVEEILRGILWRKYLFKLRVKEGARHGVKLGIVKAEKFDPSDMSRHVLGEIDNLFKGNSTSASGTQGMVTPSVGRTNLQAGMTAQTSNNASGSTAIGGASSMIQ >cds.KYUSt_chr4.22416 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140843085:140844834:-1 gene:KYUSg_chr4.22416 transcript:KYUSt_chr4.22416 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVYQALVAAVAAFAAISFPCLLLAFLCRHRKNRLLEPDRRCLSSSSLPVRVSTELSSSWSLYGSSIDVSLKKLSLDDLARATGDFSPDNIIGDGSFGFVYRAVLPDGGAVAVKRLSADHAAGAGNREFRAELEVLGSLSHRNLARLLGYCAAGRDRLLVYELLERGSLDAWLHGDGAGGTPLLPWIARLRVTRGVAAALAFLHHDCHPPVLHRDVKSSNVLLDEGFEAKLADFGHARVVTGGPAASHVSTQAAGTAGYMAPEIRQGVGASIKADVYSFGVLMIEIVAGRRPSWPMKNIGGKEVEPLKWAREKVEAGMSLEIADCRLQIEVEKETKEVEAFLDIAHSCTEECPKYRPTMKEVVEMLTKI >cds.KYUSt_chr2.230 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1399501:1401535:1 gene:KYUSg_chr2.230 transcript:KYUSt_chr2.230 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLADLLAEVFREPTLAGVCRELATLAAPLWLAALVGLLLGWAWRPRWAAAVVAVPHDQLTPPALPQPPPALPIAKEFAVIPRTKQVAAPAAEEELPVNADDLKHLRRVVQEKDGGPAWIHMMDRTLPTFRYQAWRRDMENGPPQYRSSTIFEDASPDVVRDFFWDDEFRTNNTWDDMLLQHDMLEECTETGTMVVRWVRKFPFFCSDREYIIGRRIWASGNTYYCVTKSVPRPSVPRSSKPRRVDLYYSSWCIRPVESRNGAMTACEVLLFHHEDMGIPWGIAKLGVQQGMWGCVKRIEPGLRAYQTARAAGEPMSKYAAMAHVNTKFVADELNDSEGDSEAGSSSSNTNNAMAEKPKHWTGNLPKVFLIGGAVALACSFDQGLLTKAVIFGTARRFAGPGRR >cds.KYUSt_chr3.34733 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217806039:217808380:-1 gene:KYUSg_chr3.34733 transcript:KYUSt_chr3.34733 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASAVSELLHGAGPDRKDAIGAERELQPNLPTPMHMVSMSRRWTQRRMDPENIMQMLIAYCDPSKPNEPITEDSTDVQPDNNTKEAGDSYLCNPIPENEHVGIDEEKMYLENEKSI >cds.KYUSt_chr3.31404 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197217400:197218842:-1 gene:KYUSg_chr3.31404 transcript:KYUSt_chr3.31404 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLLLTAILPVYKKTSECAADPRECTRLPSQLPLLFTSLMLMSVGAGGIRPCTLAFGADQLDKKDNSVKNVRILQTFFNWYYTVLGLSVVFAALVIVYIQVNKGWVIGYSVPVGLMLIALTLFLLGSPFYLKADADRSVLVSIVQVLVASYKNRGEMLPPETADASGFHNKAGSRPRVPTTMLRSMNRACVLKNPSKELNADGSACDPWRLCTVQQVEDTKAVIRVLPIWSTGIIPGVIVGQQMFPVLQASTMERRMGGVTVPAGSFGVFAILTLTVWVAFYDRVLVRPLSRLTGQARGLSLRQRMGAGNALFVVAMVVAARTEGLRRAAAIAEGFQDTGHAVVRMSAMRLVPQHCLAGLAEALNLIGQLEFYYSEFPKTMSSIGVSLLALGMGVGAVLGTAIVGILNRATARDGRDSWLSSNLNRGHYDYYYLLLAALSVANLMYFIWCGWAYGDEGQNRVMAAELEDEEETKQEQQK >cds.KYUSt_chr1.7550 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46261864:46270890:-1 gene:KYUSg_chr1.7550 transcript:KYUSt_chr1.7550 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSTQVFDFPRHSGATDMGIPFRMDELNNQMDRPAAVLKAIQFDLMTSTDMKDGAKLYPSVIFKTLKSPRIILSRKKFQRNPAVMDKVSITAEVADRVTNKFKNNDSPEVLPHDYWDFLPHHQPPQSNTTRILLSPHQVFHMLKQLDPELIHKFASRRALLFLSCLPVTPNCHRVAEMPYGFSDGPRLTYVSATSVRLEVLEEPSYDFLIWLDK >cds.KYUSt_chr4.40346 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248967915:248973732:-1 gene:KYUSg_chr4.40346 transcript:KYUSt_chr4.40346 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRNAVHRAVEAGGGGISLTTRTVRTSLGTVVHHAGQAVVGGARLINGIGSNRYYKSLKQTAKRLEEAALSYRGEERVQLLRRWVVGLKETERAASSMREPSQRVDDPNQPAPILDLYVDYETGGADPMNFFHVFLYSQALECIVLSMAKRDELLQFAQCAISGIKINAEVARLDTEIMQLQQEINAIDAVRANSARNRNKTSPTVPEDFKTAVAEVHLCSRMEDLVLKKKSIHPGDSLETHFHKVNTKLNATALKLKKTREEREQFDEASNQIVLHLKAKEDELSRSVASSKVEASTVRAWINFLEDTWKLQSLYEEIKEKQANDELDRCGVCFVNSIKHHVSACVEELSTSIDRIKTFVDNLKIFSDRSVSVDGGDNGPSKQSNPQKYLEEGYLETEKKVVAAFSLADNIRTLTFSNPERRARDNPEVKDLFATLDKLRVEFQSVPRPVLQIEIKEKEERSRRLGSLSFKSSAGTPGHSRTESPIAAQLRTRLPSESDSEPGKLDQDFKEYAADDIGGWEFDELEDELRTGVQ >cds.KYUSt_chr3.43512 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274604504:274605478:1 gene:KYUSg_chr3.43512 transcript:KYUSt_chr3.43512 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKQRVHMNQGQGETSYARNSSIQGMIDKTKFDSFYIPAYGPSAKELRVIIEEEGSSITEMQAHDYATGMDRALLTPNRIANTLRAIFEPIIVQHFGEIMDEFVRTGEKHLSLQQSSQVEGTKDMVLVSLAKA >cds.KYUSt_chr4.44878 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277812026:277813927:1 gene:KYUSg_chr4.44878 transcript:KYUSt_chr4.44878 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAVLLGPPISVDTKMADPKSKPKAKTPSKPKPKRQQSRNSSTSDDSDDSSDSSDSSDSEPSYGARSRAEKKAPRAARPLSHTSSGDSCVDFFFQVVPGATAAADVASLLSVAWGNDARTALRLVCHLRGVRGLGKSDREGFYAAALWMHANHPRTLAANLAIFGKFGCLKDFPEIIYRILHGPRDDRKDDVDDDHDRLPRNGSKRRRGVDGAEAARAKRQKEVEHARVTVARYESDESFRFLYDRVADLFAELLKSDLDHLRSGDTAKIGLAAKWCPSLRSSYDRSTLLCEAIARRIFPRDSSPDYLGLSDQHYAYRVRSRLRREVHVPLRKVLELPEVYMTKGKWDELPYARVASLAMRQYKDAFQKHDKSGVDGFFDEVRSGLAKIPADAVLPHDIVAAALKGEHDESAELQWRHMVSTLASEGRLTNCIAMCALSSSVEKPPASAAIALGLLISELSQEPWKGRLITFDATHQLHKVHGASLVEKLRPLAAVRAQKGANLQAVFSKILNVAVAGKLDKEMMVKRVFVLSDMEFDGWVGGEAWVSEHEAIRKKFMAEGYAVPEVVFWNVGTSKASMPVVAAQAGVALVSGYSKNLVRLFLEADGVLTPSAIMADAISGPEYNDLEVLD >cds.KYUSt_chr7.10667 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65559581:65561773:-1 gene:KYUSg_chr7.10667 transcript:KYUSt_chr7.10667 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPYTNFLRGYNLRYSNPASSSSFPSYASYLHHPPSPSSPPIREALPLLSLTPSSSTHQHHHQHHDEEDHKDKLITTAACSNQAAETADQGAGEVTVALHIGLPSPGPSDAAAGHHQEQDAAAGGAEERSEKQQEEGEEEGEAEEEDGSTMALGVGCASLGVGRLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCASGCRNNVDHPRARPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAPCGIDCFDLDDDDRDPSSEVDHAAVTTAAGAGAGVVGSDHRHWKSN >cds.KYUSt_chr6.31596 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199703520:199708220:-1 gene:KYUSg_chr6.31596 transcript:KYUSt_chr6.31596 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSGRTLDRVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGTVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLKENDLDVHALFNNYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSSKLAALKGLDARLTEIRGYLDHVIEGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKVEDSKPAAIPAVAGS >cds.KYUSt_chr2.8663 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54392112:54397848:1 gene:KYUSg_chr2.8663 transcript:KYUSt_chr2.8663 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGADTVATAWAALRAHAVAPALRAAVWACLAMSSMLLVEAACMSLISLVAVWLLRWRPQRRYKWEPMAGAAAGCDVEDPAELTDGREFPRVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPIIKELVELECQDWASKKIDIKYEVRNNRKGYKAGALKKGMEHVYAQQCDFIAIFDADFQPESDFLLKTIPFLVHNPEIALVQARWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRAGLKGWEFLYVGDIRVKSELPSTFKAYRHQQHRWTCGAANLFRKMGWEIATNKGVSIWKKYHLLYSFFFVRRVIAPILTFVLYCVVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPRSLHLMPFWILFENVMSMHRMRAALTGLLETAHANDWVVTEKVGDLVNDDHNVPLLEPLKPTERAER >cds.KYUSt_contig_3913.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000761.1:36909:40153:1 gene:KYUSg_contig_3913.4 transcript:KYUSt_contig_3913.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLRPEAIDGGGGKDGGGGVEKKARAARRHPTRNGRVYRRHQREPMDVSSTFISRDRRPSQRWAELLKRQEEKAGAQETQGRHVPCGNIDGGNVSPDAAAHNFFKGQILDEIEAKMAAASASATEPRTHHARDEADRHEVVVLDGPASTRDTTAVDQPLLLIPECIWHDAGTYDVNTRTGGANGSIRYEEEFTHGSNAGLKIAIDLLDPIKAKCPKITYADLYQLAGVVAVEVTGGPTVEFVPGRRDSSVCPREGVFLMLREDEDIFFKDYAESHRNFLNLASLHG >cds.KYUSt_chr2.35265 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217624657:217642614:-1 gene:KYUSg_chr2.35265 transcript:KYUSt_chr2.35265 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSLQFLFGKSTTTVTVVDEAPGIAMDEVRKKLFSLSLSGKAAHCVSAIPYELYTEIMHEIDSCELEDIDVVIQLANRETISPIGIVRDVEVLCEVFMDDFSVYGNSFDSCLRNLDKVLQRCEETNLVLNWEKCHFMVNEGIVLGHKISERGIEVDRAKVEAIEKMPYPRDVKGQTPSKDVGKLYMEELRMHPKELLLVEGEPQVKDVQGPKGEGSPEDRMEKLEQEETAKLWSDILSLHDTTNKLQARLYDFRNRNREYENRPKPRGYLAKSYRPPRGAENTREKELSGGRGIRWEIPLREGEIDAIVTVIELDIIAITIIIISTIITAVITAGHRHRRSNLENTKPTSGSSVIPLVPSFRSLAASTLLCAAAAAAMVALASLSSLCPCGLARRRSASVSTSASTSISCCAVATAPPSGRGSHESRIPRRRFRRTEGATKSMEDSVKRKMEQFYEGLDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSSTPIYASSFTMELIKKRLKEFGIFLSSRLKSFRVRNRFQAGPFEVEPIRVTHSIPDCCGLVLRCGDGTIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLMMSDSTNILSPGRSTSESVVASSLLRHISEAKGRVITTQFASNIHRIGSIKAAADLTGRRLVFVGMSLRTYLEAAFRDGKAPLDPSTLVKAEDMDAYDPKNLLVVTTGSQAEPRAALNLASFGGSHALKLSKEDVLLYSAKVIPGNESRVMKMMNRLTDLGPKIIMGKDAGLHTSGHAYHDELEEVLQIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRKVLSNGFVSLGKQEFKLMYSDGDKAFGTSTDLCIDERFRIASDGIIFVSMEIFRPQKELDSPQSGLKGKFKITTRCLWLDNGRLLDGLYKAAHAALSSCPLNCPLSHMERMVSEILRKMVRKYSGKRPDVIVVASENTTVGFTEEVINKSSGKSGPYSGNRHMNSSPGSTLEDGDTTRPENPEREAEESVPDVTRTTPDDATTSSNGESFFSSDLHKPKALDQFWESFKSPTAVKIARIVNASAQGNKPKLGKISIVDKDSSTSAPAPAKLSRKNKWKPEEIKSLIQLRGEMNEKFQSVKGRMVLWEEISGNMLQQGITRTPAQCKSLWTSLVQKYEVGTPTHEI >cds.KYUSt_chr3.24382 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151404102:151405904:1 gene:KYUSg_chr3.24382 transcript:KYUSt_chr3.24382 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGDQDRHGGLYHQHGHGHLVRAEDAATGYQFNSNDIESFFSQQHVGIGGGGSSADEIVPYPSITGYLQGFLDPAGQAWHLDVPTQDVPTKHELSVDVRSYDLDSQGTGSAAGEGAALLTPKSSVSFSSSGGEGEGKSHRCKGPAKEADEEDGKNQQDDEENPKKTNNKVTKKKAEKRQRLPRVSFLTKSEVDHLEDGYRWRKYGQKAVKNSAYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRGSAAHLFMPPGLHGLPPPHLMPPGAFHPDLMSMMHMQYPSPDMYLPPPMATPNAALQQQHFTDYALLQDLFPSTMPNNP >cds.KYUSt_chr4.22546 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141784239:141784997:1 gene:KYUSg_chr4.22546 transcript:KYUSt_chr4.22546 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALASALTKEVVLKLVTLLSEKHKLSKGLKDDIRFIQTELEMISIARDSHLVDPSASRPQVMSMEEMRDLAHDIEDCLDRFLPCVACEGESSVLHRLKKAVASTRSRFAAEIRKLKKRLKDAQERRVNYDVNGCRSSAGAASPATADTAENDPVGIDKPKQELVELLLDSEPGKLSVISIVGFGGSGKTTLARAVYDCSSVVGRFPCRAWAASSEHKDAGGLLTAILRQLHADDVPQVQNSINDFLQTTE >cds.KYUSt_chr7.373 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2089105:2089830:1 gene:KYUSg_chr7.373 transcript:KYUSt_chr7.373 gene_biotype:protein_coding transcript_biotype:protein_coding MGELIEKFMGWFPGGRNAVAGAAPLSAAVDYDGEDRISALPDDLLRCIISRLPVKDAARTAAIASQWRHLWRSSPLSLRDADLLPSAVGRILTDHPGPFRVIDIARCSFASHERELAEWLRLLASKGVEDLVLLNDAADLELTSDTVRLPRDILRCASLQRLFLAFFWFPDTDGLSHGAYVLPNLQELGMFSTGISDWDLDYVLACCPVLEKLVFVLNSYARPHPPPQRKPPVRHPLVFHG >cds.KYUSt_chr5.13615 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88452765:88454318:1 gene:KYUSg_chr5.13615 transcript:KYUSt_chr5.13615 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALPPHHQQLQDQEHDQVGFTTMKKEPGWAKAAARAVAGEARAQRGIALPLIGMNLAGFAKEATTTVFLGRLGELELVAGSLGFSFASATGIAVLTGLCGAMDPICGQAHGAGNAALLRRTLLMTTAMLLAASVPIALLWLHVDVVLARVFGQQPDISAVARRYVVCLLPDLAVASFLCPLKAYLSSQEVTLPALFASVVGLAVHVPLTVWLSRTRGIDGVAAAFWLSDLATAVGLAAYVLLLAKKDDNGNAEAPLCERWWWPVPERKAEWVRLIRLSVPCCLNTCLEWWCYEILILLTGLLPDARRAVAVIAVALNFEYLFYAIMLSLSVSASVRVSNSLGAGDAAAARRAATISIMGAIVAGAAGSALMLASRRQWARLYTRSEGVRDGVAKAMTVMAALEVVDFPMHVCDGIVRGTARPAVGMYAILGGFYLVAMPIGVALGFRARLGIEGLLAGLIAGATVSLAVLVVVIARMDWKAEAHKARARAGVGAVGDDVPGSDKEEAPSGNARQV >cds.KYUSt_chr2.43086 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268131038:268140701:-1 gene:KYUSg_chr2.43086 transcript:KYUSt_chr2.43086 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQCIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFEFSTVIRGGESKKVVTLSNVVTSSIMPFGNAHELLTKLQDKYDVSNIIEDDCIASTSGRDEFSSSSTSPMCDKTQGNDMGYINSGWLLVFFAAAAAAVATATTTTPPRLPLLRRQKEKMLCENIHSHAQIQSLQARSDELARSDEQMLANLVSLESVRIAPESYALLSPLVKRASMICSELDSLSVVAGLALELHKLEHDLLPQLMDQEAKLERGVLEALLLMKNSALALLNLGETHVLARLRDEDLDSGQVRILGVMLKDSADRVLEGGFTILWLREHRVTWLVQHVTALLATPVRFSDSEI >cds.KYUSt_chr7.25608 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159836240:159839112:-1 gene:KYUSg_chr7.25608 transcript:KYUSt_chr7.25608 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPAPATTPRAAASRPISARAVAGGTPRELPFALLAERGMVVGGHRGMGMNAVGAPPGARVAAVRERENTLLSFARAADHAAVAFVEFDVQVTKDDCPVIFHDDFILTQRTEALYERRVTDLLLEEFLSYGVQRESHKVSKPLLRRMEDGRILTWSIEEDDSLCTLQEVFEHISPHLGFNIELKFDDNIIYPSGTLSCALQAVLQVVFQHAGTRPIFFSTFQPDAARIARELQSVYPVLFLTEGGTAKYNDERRNSVDQAIRVCQEYDLHGVVSEVRGVLKNPSAILKAQESNLAFLTYGQLKFPGFIGCIGSNVREAVYLQHLMGVNGVIVDLVEEISNVVAEFSKPSLSQSTFSNSSGIEMHEAFSQQQLGFLLRLIPELIQQPH >cds.KYUSt_chr5.18479 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119314626:119316380:1 gene:KYUSg_chr5.18479 transcript:KYUSt_chr5.18479 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPSPPPPAAADALAQILHALLPPLLLAAASANALHSRWRALHATLLALHSSLLSAPVPAAAHPLFADLLASLLPALRSLHALSARCQDPALPGGRLRLQSDLDIAASSLSLHLHDLSLLLRSGLLSLDSSSASSPNAIVLQVPAAAASRADKSLFIRDAFARLQIGGLDLKLKALASLLDLLGNDDATGEAANIVATDGDVAALLRMLDASAHSALRDRAAAVVALLTTACAASRKAVFDEGGLGPLLRVLDSGSAPATREHAVVAVEAITADAGSAWAVSAYGGVSILINACRPGSGSLAMQALAVAALKNVISIDDVRAALVDEGGLPVLVDLLASGSTDTQRSAALCLLSIASFGDLEMKQQIVQDGALPPLLQALHITTDLDLQNSVLQAIHELAAVPAAARTLCSSPLFFAQLTDLMRRGGSVLLQQMAADMVADLAPGVSDDTKRCMAPCVGTLVKMMEVAKPASVQESAGRALLALMTLKSNRKEFVRDEKNLTRLVLMLDPRNEEIDKKYPVSILLALAMGGGNGTRRRLADSGACQHLHKLADAEVPCAKKALQRISSNRFKSLLSRGWNI >cds.KYUSt_chr2.53852 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336128625:336132603:1 gene:KYUSg_chr2.53852 transcript:KYUSt_chr2.53852 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAPAATSSPKPSFSQSGSNDSAEREKKAVAAHPLHERLLEDHVACFRVATPGAQLPRIDSQIAARPPPLVAAIEIVGGPSNLRRRHLRPFHRRSHRFHSLHRVRTTDLVARNCNSYLSMSTVLRIGCSHGVSVTSFTVHMNVQLAKLELALYAYWTDDSIDEGFVRSDCVFFFQFVITKGSRRRIWIEAVSNGRLRIQDRSGLQLCHRPSAASMALRRLARRLATVPFPPRLLPLPIPDSPGHLRFSTSSKPHFMAEEYLVSTCGLSPEAAAKAAPRFSSLATADRPDAVLAFLRSQGLGKAQVRAIVSHKPALLLSDVDATLAPKFTALRALGLSRADAARLFALFPSALTYGVHSNLLPRVLLWLDLLGSTTLLMKWLAKAWLLKYSVDLLLKNLATLRAVGLPEARLTALVRLQPTIIMQSPDKLKALVARVDEACAAALPPTSGMYPWCLFALHNVGVHAFETKKAVVTTGFGCTDDQFADMFRRAPCFVFSSQEVLRRKVEFLSTECGLGCVVNNPVLLTFSVDKRMAPRLRALQALRSRGIVLRKTSLATVVRLPEAVFLESFV >cds.KYUSt_chr1.38689 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236448250:236452269:-1 gene:KYUSg_chr1.38689 transcript:KYUSt_chr1.38689 gene_biotype:protein_coding transcript_biotype:protein_coding MISERWTRVRTLGRGASGAEVFLAADDASGELFAVKSAIASGAAALRREQAIMSVLQSPRVLSCFGGRAGRDGSYQLFLELAPGGSLADEIAKDGGLEERAVRAYAADMATGLAYLHGESLVHGDVKPGNVVIGADGRAKLADFGCARKAGGGPIIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSGTDGDALVALHRIGYTDAVPEVPQWLSAEAKDFLAGCLSRKASDRCTAAQLLQHPFLASAVLDNKLEDVKSKWVSPKSTLEAALWESESDNDEADDELSHSTDERIKELACPAWAFPDWDSDEGWIDVLSVPNEASDMVAVPAEETTDLDDAISSEEQAGDCGVLHVTVDRSVLNEVEEANHDSELQLRHQCLGVWLGGKAMPLAELVELALDHGQLEGLLLGQLWQLDLWVNEGLIGLPVTIALAPFVLVLGIIVVQEVAS >cds.KYUSt_chr2.54295 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338734379:338736296:1 gene:KYUSg_chr2.54295 transcript:KYUSt_chr2.54295 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLACATLARRAANSSSSGGGAAARRHLAGAAVAAEAELKKTALYDFHVAHGGKMVPFAGWSMPIQYKDTIMDSTLNCRANGSLFDVSHMCGLSLHGSQAIPFLESLVVADVAALKDGTGSLTVFTNEKGGAIDDSVVTKVTDTHIYLVVNAGCRDKDLAHIGAHMEAFQKKGGDVKWHIHDERSLLALQGPLAAPTLQFLTKEDLSKMYFSDFKIIDINGSTCFLTRTGYTGEDGFEISVPSENAVDLAKALLEKSEGKIRLTGLGARDSLRLEAGLCLYGNDMEQHVTPVEAGLSWAIGKRRKAEGGFLGADVILKQLKEGPKIRRVGIFSQGPPPRSHSEIVSSTGENIGEVTSGGFSPCLKKNIAMGYVKNGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKGP >cds.KYUSt_chr5.6701 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41541691:41547326:1 gene:KYUSg_chr5.6701 transcript:KYUSt_chr5.6701 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSGDQLVVAPSTAAAAATSGTRFPDEGEGVSVGELEEALRRRGPDSLGRHRLHLCADGTILGSDRGAGVGGGVGATELCFIGATLQLRGAEPVSQPLVAQSGNVLVYNGEIYGGIDIAKDQNDTQSLLSSLESCCSCDLHAVDSDEAYPCCESVGKSVPQVLSTIKGPWALIYWKADSKTLWFGRDAFGRRSLLVHWPTPDDSRFILSSVSPPSLSGNNSATEVTGLESGADPDISGCTKVSYWEELPCGIYNIHLKRLENTATCMKEGRVVEVRKHDWTDSSLHTLIRWERKSIVPTPSVPSSSSVDEDNQQLSPSFRNLRKVEDSTSIGLEKGDLPNCITQSVHRVLIALRESVMLRTNMNRLYEGDLNKLKDEELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGQLAPDRVSSLAGLKELQRISPLRRWRLVEIDTDLANLKGESEHVMSLIHPSNTYMDLNIGIALWLAAGGDGWVIGQDGHRYKYKSISRVLLVGSGADEQCAGYGRHRTKYRVGGWVSLDAEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWEIANLDEPAGKGDKKILREVAKLLGLQAASFLPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEVHKRNH >cds.KYUSt_chr4.48508 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300446161:300446890:-1 gene:KYUSg_chr4.48508 transcript:KYUSt_chr4.48508 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAVAASASRWSSILDPIAISRGTSRRPRIDTQHVLYHSDLFLPSFQDLTEEPVVPLTWKIRTCFRHTSWNLWCKARPTLHVDDIPVNDPSYFMDLPELWDFSAAKFTLRNLTAVRPTLSIHCSELVYLVLKVGANDNKAWVPGWLVLIFGTRRWKYCLLVSAGGPSFVYILWISEYNSKVMCPRRWLWPELSIESFS >cds.KYUSt_chr2.5782 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35921061:35921819:-1 gene:KYUSg_chr2.5782 transcript:KYUSt_chr2.5782 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGDESPLPTLWDLLPSIAVVGGQLAHMTSNCLAPTIQARYYYLGSTRGVEFGKIFSAGERCWEGFPAQGFRHRHLSPPGSAAT >cds.KYUSt_chr5.33189 pep primary_assembly:MPB_Lper_Kyuss_1697:5:210471565:210472545:1 gene:KYUSg_chr5.33189 transcript:KYUSt_chr5.33189 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARSHGKLPNPPPLKPPRRVRGDRDRASGASFSASLLDAIYHSLDEHSDADTTTTRRSEEKALAPAPAQFWWAGKPKHAAAADRHRRRPDTGPAARPRHSGYASSTTSSSDSTSSYSFSCSSASTTDTECTRRRRSPPPPRRQLEDFVAPDGADATGVLPPSEKAKKKGRPCFPVARLRPRDAAPSSPGQALPPSPATFACALKALFTSGRLPRKPKKPTRIPPSHILPELPEPSCTSATTDASTVQRRCVRFCSDAEASVVVRRRVEELVRSLGELEEDDEGSDSSSDLFELESLAGANGDELPVYGTTSLVANRAIAHAAVF >cds.KYUSt_chr2.55565 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346583705:346585108:1 gene:KYUSg_chr2.55565 transcript:KYUSt_chr2.55565 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSSSSPPPPASRRGRARKAPAFHVSVFKHMELPCGRDWAELPADAISCILHRLDQTELLIGGVAAVCRSWRRAAREEPELWRRIDLRDFHHIPPFNWPVGLRSIMRAALRLSAGQCHTFFGEHLHDDHFVLLAEQAPLLKSLHLINCYCISDEGFANTIKKLSLLEELELSWCLNRTQVLELVAGACPGLKHFRLVNHWNFEPKDDRKARAIARMCGLRSLHIVNDKLDNEGLATILDSCHHLEYLNMRDYWNIKMDENLGAKLARINVDDYEYLLPSDPDSCCSSPFSSYDDYGDLSLSYYLGDDIDDMDEEHGRTIDIKSMCRYLS >cds.KYUSt_chr1.19581 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115118033:115121411:-1 gene:KYUSg_chr1.19581 transcript:KYUSt_chr1.19581 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIQVTDMKKSETASIAHMSSPDGEVGEIKENDNKHIDMVGNAKMTSTDVEMGEIQENDMKQSETACSAQMSSIDGEVGEIKENDNKQIDMMSSTDGEMEEITGDDKRLDDIKKYIMSFPLTTKLVRIHDSCLRRSDFEECLFPNNGWLDGDCINAYTYCLRAKEHLTNKAGGKVWYESTHISQLMRRDANNNISEKDRDGIIKRVRIYLEHDMLQGLQNQLQLAAQMSDFNLGQKWQDLEVTTWKWVECIQQPLQTDSSSCGLFVLKLMEEWTGQELAHHVTQVANAPTHPLKIIKKSFNKSEALSKLRNYILSVSDNDAMKQIWVKSSKPYPISISLKQLKDLLNDKNGIDTRFFQHGRTVIDSSIDPKKRLPFDKKREIMAMMCERRPGMEFHVSECNSVGYTLRNKAVIHMLSYMDNKCEANIPQHVKDLVKMLGSDFFL >cds.KYUSt_chr4.16275 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101009665:101010119:1 gene:KYUSg_chr4.16275 transcript:KYUSt_chr4.16275 gene_biotype:protein_coding transcript_biotype:protein_coding MCHALPPPPSEFLLPNLPILLHYTAGGSSCVFVIRSQRYALDVNVERVEDMVTHQRLLEEARYLQHRLSLEVGVVQIAEILSKLQIKEGAKRDCDVGWLQNKKVYNVLQQQ >cds.KYUSt_chr4.37092 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228146388:228147164:-1 gene:KYUSg_chr4.37092 transcript:KYUSt_chr4.37092 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLWRYWCECPHVPQFGRFLEPAEANPQPRKRSNILKTRFITLTLLSRGGTSLYKPPALSPIHFLISSSTQLGCELIDCAVRLTTLSRRTLSSRSYDRSHTREQIEIIEMDKLRVHHGQHNGVSKTRVHKGSCTGRRGGSGKGIKVVYISSPMKLTASAEEFRAVVQELTGRYSNVADHDAPGVPSYFSSSSSSYSSYGRASPTTTGTSTAPAAAQALPPVMASDYVTNAGAITPPFQSMYDQTGGAGLLYGQDYYW >cds.KYUSt_scaffold_1854.222 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1207124:1210075:-1 gene:KYUSg_scaffold_1854.222 transcript:KYUSt_scaffold_1854.222 gene_biotype:protein_coding transcript_biotype:protein_coding MVATALCLLAVAVLLAARDNNGAAALDAQAAYLSRLKQDFAGPAMARWDFSPAPVVDYCRFQGVVCDGAGGGNVTGIDLTSWRLTGRIPPGICAALPALRELQLGFNDIRGGFPATLLNCSSSLETLNLSYSGVSGAVPDLSPMRALRELDMSNNLFTGAFPVASLVNMTSLEVVNFNENPGFDVWRPPEAITRLRRIRVLILSTTSMRGGVPAWLGNMTTLTDLELSGNFLTGRIPPSLGRLANLEFLELYYNELSGAIPNELGNLTRLADVDLSENRLSGAIPDSLCALPRLRVLQVYTNFLAGPIPAVLGNSTQLEILSVYKNQLTGELPANLGRYSDFNVLEVSENLLTGPLPPHACANGNLQYILVLSNLLTGPIPAAYGECSPLLRFRVSNNHLEGDVPPGIFALPHASIVDLSYNHFTGAVSPSIAGAKNLTSLFASDNRLSGVLPPEIADAGSLVKIDLSNNLIAGPIPAELGEMVRLNLLSLQVNRFNGTIPETLAELRSLNVLNLSENALSGQIPEALCALLPNSLDFAGNNLSGPVPPAIIKDGLLESVARNPGLCVAFRLNPRDTTMPMCHKDADKRGLAGSAWIIGACAVVCVVAMLALARRWLMRRWAAQDGDQDGTSSSSPGSRRGHGGSSYDVTSFHKLTFDQHEILEALIEKNIVGHGGSGTVYKIELSSGELVAVKKLWVSSSKQNQKHRGGGWGGGEEDEGRELRTEVETLGSIRHKNIVKLYCCYSGADSNLLVYEYMPNGNLWDALHGGGWGFLDWPTRRRVAIGVAQGLAYLHHDLLFAIVHRDVKSSNILLDADFEPKVADFGIAKVIQATASSRGDTTASTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGRKPIEPEFGETRDIVQWVTAKVAAAAEAEALDKGLAWSPFKEEMVQALRVAVRCTCSIPGLRPTMADVVQMLAESGPAGRTGKTDVKGYSGQLPKPVAV >cds.KYUSt_chr1.35514 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216519126:216521953:-1 gene:KYUSg_chr1.35514 transcript:KYUSt_chr1.35514 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIEGQSPGEAEAAARGIPKEARSLLHELAAEWADVADFRALEVVPLKGAMTNEVYQVRWLTAGEGEYRKVLMRVYGEGLELFFDREDEVRTFECMSRHGQGPRLLGRFPTGRLEEFIHARVRNLPSFSVLSSVDLRDPEISATIASKLREFHNLDMPGPKSFLLWDRLRNWLKTAKSVCPSDEAKEFRLDSLEKEITALESEFSGEDQCIGFCHNDLQYGNIMIDEETKALTIIDYEYSSFNPVAYDIANHFCEMAADYHSEEPHLLDYTKYPDFDERKRFVQTYLISSDGEEPDAEKVKDLLNNIEKYALASHLFWGLWGIISEHVNDIDFDYMDYARQRFVQYWLKKPAILACHADE >cds.KYUSt_chr5.13252 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86448643:86449068:-1 gene:KYUSg_chr5.13252 transcript:KYUSt_chr5.13252 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGHCLAPARSLLLIGGLGHEPVELLPASCSVQARVPGRPPANARTGRQHPILVEQHEHVVGVVQRPLRQVKQRERVEGHRVEKLDGESFTSSVTTPCCTINTFISSTLLRFLSTAKDEPSSLPPLLASRAPARTRRSW >cds.KYUSt_chr4.10479 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63617873:63618715:1 gene:KYUSg_chr4.10479 transcript:KYUSt_chr4.10479 gene_biotype:protein_coding transcript_biotype:protein_coding MHARRCRKKDVLPFSNDTTDLRLLCAIFTGKRGHNWPARLRHHQDLHCIDLHYIDPTVYIKPYDYANIYVEFYIAKVYINMVSIDNFVNTAVAYNQKSRRRCRSAAAAALQHKNPPPLQNRCRRRTTTKTAAAEPLPPPRYDKNPPPPQNRCRRRTKPKTLRPKTRNFLRLRRIRLHHMTTTTSTTSRPRLHHDRLPRHRHQENVYGNSKTPVNSVRVVTCVHDTPAVTAGGKRRNQKGTPMMTRRRSDGSVGLLQSQAFASLPLRLRGDVRIHVRLRLD >cds.KYUSt_chr3.39295 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247542718:247543533:1 gene:KYUSg_chr3.39295 transcript:KYUSt_chr3.39295 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLVYEILEKPASSSVIAICSLIWYLIQKRGIGYSDVGLSYEAVMEGGQYWRIITSAFSHVSVVHLVFNMSALWSLGAVEQLGHAGLGVQYYLHYTVVLVVLSGLLVLGIYHIMIQRFKVEYFRRVTAVGYSCVVFGWMTILAAKQPSSKLNLFGVLSLPISFAPFESLIFTSIMVPQASFIGHLSGIIVGYSIAWGLIHGMNNYWAITMLGWIVLVFVMSLKRTGSMELRFIEIEPVTDPSLPSVGVVASRNGGRMDVLPGRGVADFV >cds.KYUSt_chr7.3277 pep primary_assembly:MPB_Lper_Kyuss_1697:7:19534676:19536372:1 gene:KYUSg_chr7.3277 transcript:KYUSt_chr7.3277 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVHYHQSFAGLTVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVMYLRGVPEDTEIEDAE >cds.KYUSt_chr2.50895 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318332901:318333771:-1 gene:KYUSg_chr2.50895 transcript:KYUSt_chr2.50895 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPGEAPEMLDPPSRRTRIRAILDGGKAQAGRRVVVGGWVRAGREQGHGAPDPFAFLDVNDGSCQGNLQLFVKGEVGGYPLARLTATGTSVFVEGVVRRDERAKHGVELAVTRVLEVGEVDAAAYPLPKTKTGHSLDPAYIRGLRPPPCTHLPDKCRV >cds.KYUSt_chr5.36243 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229056227:229056724:-1 gene:KYUSg_chr5.36243 transcript:KYUSt_chr5.36243 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPEAATVAPEAGAGDDADGYRIRPLELGDISKGFCDLLAQLSPSAPLTEDAYRARFAELARLGADHLVLVAEHAATGRLAAAGAVLVERKFIRRCGTVGHVEDVVVDAAARGRGLGERVVRRLVEHARGRGCYKAILNCTPELKGFYAKIGFEEKNVQMGLYF >cds.KYUSt_chr6.25305 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160288034:160291837:1 gene:KYUSg_chr6.25305 transcript:KYUSt_chr6.25305 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLLPSTTTAVSSLPRDARTSPAPFLALRGLASRSKQARPIMAVASEQPTAPSKFPKVAAPTTGPIPADELLGVIQAAAKAGAEVVMEAVNKPRNIQYKGTADLVTDTDKLSESVILEVVTKNFKDHLILGEEGGIIGDSLSEYLWCIDPLDGTTNFAHGYPSFSVSIGVLFRGKPAAATVVEFCGGPMCWSTRTISASSGNGAYCNGQKIHVSPTDKVEQSLLVTGFGYEHDDPWLTNINLFKEFTDISRGVRRLGSAAADMSHVGLGITEAYWEYRLKPWDMAAGVLIVEEAGGVVSRMDGGEYTVFDRSVLVSNGAVHEQRVSIGLLMNPITELQLLDRIRPATEDLKKKGIDFSLWFKPDKYPTDF >cds.KYUSt_chr3.19687 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121184596:121189048:-1 gene:KYUSg_chr3.19687 transcript:KYUSt_chr3.19687 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQAAAGAGAGDVLAQEHKGDVRLVKKTKAKVAQQPAAETRRPLVEVGNVINGRHAPADPSRHREVAAVEDNKCVRAIKQQKENNRVKPQVIVISSDSENEKKNQAKRAASRRSPINTLTKILSKCSRASDGVISSPKKAPVTYNIDASDAHNELAVVDYVEDIYRFYKSTESTCRPLCTYMISQTDINERMRAILIDWIIEVHHRLILMPETLYLTVYIIDQYLSMKNVLRKDLQLIGVSAMLIACKYEEIWAPLVQDLLCISDNSFSREQVLRKEKSILNKLQWNLTVPTLYMFMVRYLKAAMGDKELENMAFFYAELSLVQYTMLQYPPSKTAAAAVYAARCTLKMSPRWNDVLEHHTGLAEWQLLDCAMRLASLHSAAPGSKQKVVYVKYSNPKLGSVSLYAPSKRLMI >cds.KYUSt_contig_444.280 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000041.1:1858485:1862575:1 gene:KYUSg_contig_444.280 transcript:KYUSt_contig_444.280 gene_biotype:protein_coding transcript_biotype:protein_coding MFDENSLTSSQPTFKDMDNMVHIDEKWFIMTRERNTYYLHPQEPKPLRTVKNKNNIGKVMFLTAVPRPRSLQSLTDTRAPNNIRELIHGVEEEYRNYEVNKLSRSFLTLQSCMIGGVGLRNMGQQEDAHEFLRCLLDNLHHCTLDPKSKGKPSSFDEESIVKHVFGGRLKSRLTCRDCGHCCETFEPFLDLSLEIDQVDDLIVALESFTKVEQIGDVENKLTCERCNVEVCKDKRLMLDKVPDVLTLQLKRFTTLDSSVEKIDKHVAYPSELDLKPFHSNPETEVNSITKTSALRHEAYVLFYVRQEHIGFNFSTQQTKRKLFQTLNSIKKRQQP >cds.KYUSt_chr3.26232 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163145733:163148033:-1 gene:KYUSg_chr3.26232 transcript:KYUSt_chr3.26232 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDISAALSRTTPVFNLRVWVLIAIGIGILMAILFILVLWLSIRRKNKTEKGFDGMSQAEIPVVSKEINIDKGADTQSMNDGEVAFMPVHDKYTKMKNVALLAETRSVDVDAFSQCSSLYNIEKAGSSYSEDYNSSGPKKAGSSPYGYASGSPLVGLPEMSHLGWGHWFTLRDLEFATGRFAKSNILGEGGYGVVYKGRLMNGTEVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMSQHGILSWESRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDTEFNSKVSDFGLAKLLDSDASHINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLECITARDPVDYSKPADESNLVEWLKMMVSTKRAEEVVDPSLEIKPPKRALKRAILVGLKCVDPDADKRPKMSHVVQMLEAVQKAYQEDLKKHSQMGSVDLESQQSLEELSNGADA >cds.KYUSt_chr4.44997 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278594349:278594657:1 gene:KYUSg_chr4.44997 transcript:KYUSt_chr4.44997 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAGSLKGVALISAGGTNSTVAGALHFVQDPSTGYTEVRGRLTGLAPGLHGFHIHAFGDTTNGCNSTGG >cds.KYUSt_chr1.30159 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182538228:182546703:1 gene:KYUSg_chr1.30159 transcript:KYUSt_chr1.30159 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRKTKAKAAAEAEASSPAAFSIGNCKVEIHGSGLRCASTERNLTISGTNGAKIVIAVDGAKTSSDGIGDGSDFILLNPNDIDSRSKSLLQDVLMLYKNELPTMDYAANTGKKSGFLEKCVMGGKYKTLILRSTSLAGLEEIIAAVSYQIVPADTQYAEIPLTVVTSAFQRVGFGHLLYKELSQRLQNVGVTTIFCWADKDSEGFCWPLQTLTKAQLGSGFKQGFVSVGEVDTKGKMRRIPVRADIKRTLCFPGSSTLMVTHLKKVLPTVPKNSVGELQTSQLHPVVPDSMSPGDTDSAVPSCENLFSPTSGCHKVSKTANITRSEAFSAGEGCSFSDQQPKKRTYQSSSSSLKSKRVRCSGHADHKQGLGRSDICDKSLSVNTPTMAVHVENNVSGDAKATICSSGRPSVMLMNIADETKKIRLTEVVEMLGGFVTSEGSSCTHVVTGKVRRTMNFCMALSSGAWIVSPNWLKQSFRQGKFVGEAEHVLDDEEYKMEYKFEIRDAVMRAKERPCLLFSGYTFCLTKYIQPSPGIINKLDDLDELSEDSDELSKTIFLACEEGMELAMDAAKRGIRTFSTDWLMTCVMKQELDLEAPQFAESL >cds.KYUSt_chr7.38243 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238501593:238502156:-1 gene:KYUSg_chr7.38243 transcript:KYUSt_chr7.38243 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQRLAMAKRCSREAAMAGAKAAAVATVAAAVPTLASVRMLPWAKAHLNPAGQALIISTVAGMAYFIVADKTILSMARKHSFEGAPEHLKNTSFQYRL >cds.KYUSt_chr3.40618 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256219326:256225333:-1 gene:KYUSg_chr3.40618 transcript:KYUSt_chr3.40618 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGEAPNQRAALPLIAAPDAAPTVPGGRSDYFGRLPDHLLLRILLKVGTKQAVKMGGVSRQWRRVWTQLPVLESDGVDSSVPARALAAYQAHGEDDIHSLNVSPNQVDGEQTTAWLSLAAPLLSGVLYLDNSHTVSRETLQLFLHDAEEAVMRRGAFELPCFKKAAVIRMDLGFLGIALPPAGVFHALRVMRLEHFWFRGQLTLIDTMLPSLRDLFIRRVHGLTILMLSSKSLLDFHLCLLPELRRLNILAPRLEELEVTGCFYVPESVARIAAERLEILKWEVPCVPEFGEMPHLRELRVPPITTDWSEDFCADLNCFPAANHLALAIILGVSASSLSLGPNYALPIQMILSLNYTTVCHGDRIVLCQHKIILQYEVQDFYSPDCICHKSRPFFNNSHTVSRETLQLFLHDVKEVVMRRGAFELPCFNKATVIRMDLGFLGTALPPAGVFHALRVMRLEHFWFQGQLSLIDTMLPSLRDLFIRRVRGLTILMLSSKSLLDIHLCLLPELRRLNILAPRLEELEVTGCFYVPESVASVAAERLEILKWEVPCVPELGEMPHLRMEGQGEAPNQRAALPLVAAPTIPGGGSDYFSRLPDHLLVHILLRIPTKKAVEMSALSQRWRGVWTQLPILKFDGVESSVPAHALTVYRAHGGLDIHRFTVYTNQMDAQDAAAWLSLLAPLLTGRLYFDNTDDVSPETLQLLLQEEEAEVPRDAFELPCFKKATEIWMDLGFLCLAMPPAAVFDVLRVMWLERFWFRGQFSLSDTMLPSLQKLTIRRVRELVRLMLNSKSLLYIQLSLLVEIRRINILAPRLEELDVTSCFYGVPEPVASIDAERLQILRGSIVGSATSGFDFRLGYCTGIFLVLCIYARIVRKLRRVLQLLTKCSGITELNLSFQVRDEVQDLCLPDCICHNESGIWEAKVVLMRALKNVDIANFHGSPREVHVVDQLVRRAPRLEVLRVFSNVTDHSLEGIPCLCPPGCNFHIYYWDTRSKEWNN >cds.KYUSt_chr5.27954 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176866631:176870593:1 gene:KYUSg_chr5.27954 transcript:KYUSt_chr5.27954 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWMMMSKIMDKLRSVISLMSSSEQGELETQGSNKKLKSSIPMNQDGPTPPSSVRSHLLEIAAGADIMEEVAAVASRQQRSVLVLSGDGVIVNPILRQKRSGVVRFPGLFQIISMSGVFAVSSTCSTGFAELTVCLTEGQGDVIEGVAVGKFMAASLVMIKLATWSSATNERLPETSDKPADAAVAAAVAAASALLDGMLLLEGLPPEGHGAATEVDGAPTFRSPCVASLKAFFGSMVDRIIREEVQTALEMKPAHTSESLTHGEMQLRDERRVLEGTLSTMHDFMQRAEWCAHKDGMLFMDESVSLGFNHFQEEESMFGRPKELLELINLLCLPGIISKRVKDGTSGTMIAARTDNVSVLPIWGISGVGKTTLAYQIFREKRVCDNFDLLIWLCVSDGFDKKKLIKKFILSVAEREMKSDDLSYLQRILTNGIIHPSRRFLLVIDDVQEDICREDFHEWKSFLAPLKCARPGSMVLVTTRSLRVAEHVGTMKHYVLEGLPEGKLWEFFRMHAFGSDNSDINPVLASIGRSIVSRLNGSPLGAQILGRLLSLKLDPIYWRNILESELWEVQNEREDNRSICSALKLSYQYLPFHLKRCFSFCSMYPRNYEFDAVTLVDCWVAVGLVLPYGRMLPLDVGHVYFQQLVNRSFFQKAPTSSRHVMHDSVYDMAQQTSRNQCFVIKDTCDLSRIPPNVRHLSVLCNGLESSDLESLQAYKVLCSVICISTEPNIIPASVVERWFRDLVNIRMLRFISCQLKELPNNVGNLVHLRYLDISACEFDKFPDSLWRLYNLEILDARNCKIQDVPKDIIKLLNLQRVILKGDLIRQLGCLPGISNLLYLQEMPYYSVQDEPGRRIHELKNMDHLRGLLEISGLCSVIGMEQSAEAALHKKIYLNTLILSWHESIRPEKHNSNQEMEVLEGLRPSSNIKHLEVKFYMGAGFYPSWLHEDVLSSLSSLSISSCPHITTLFGQPSRTDGSSSSSTGSFTGFRSLTKLCITWCKRLTGLDNFLHPEYLPAIKVIRISNCEDLVSLPTKSLGEFVHLEDLEVSHCWSLNWEKGLTLPPSLKVLKLEACGEFSDSMLSCLGGLAALTNLDLQFCPSIESIGTQIWSHLQSLKNLKIVCCQGLNSIGGSESIARIRNVDIRHCPKLKELEQPFHRGCYC >cds.KYUSt_chr4.2287 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12761053:12763838:-1 gene:KYUSg_chr4.2287 transcript:KYUSt_chr4.2287 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSGGGPRQMGRKAAGAKERCGRSQGDWRWADRRCTRVAAARVSGEEELREESVGHRSGAQTALAPGCSGVLPPSGGALRSSSAPLHGDRPHHLRPNGADPPHVDSTYPRISQYRTVPLYAIVCMGSSMSNGKSPVEQKDDTNQLMGKVLDWNMCGAKLKKFGGRGDDCTAPPEDCGDGPEGGGRGLENHDSGMNDSGMASKDSNDDSISLIGLFGHHTRRIYVQREREVQEEGMVDLVPIGPREILMAYSTFGLEVYTDDESGPPTITEAWDVCADDETEEYTQTICAGPGRKLEITYLVIPYAIEAKVEVKLKLKDLGSRSRAIYGKIKARPHLQL >cds.KYUSt_chr1.38543 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235650017:235654446:-1 gene:KYUSg_chr1.38543 transcript:KYUSt_chr1.38543 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDDNALITLKKGSKLIKYSRKGKPKIREFRLSSDETVLVWYSHSKEKCLKLSAVSKIIPGQRTAVFRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQTEVEVWFSTLETLITSRRASFSTDCPSDRISFSDEVSQYQVAYDTRLDTASSNTRNFNSAGYGTAYSLNSSRADVGSDRVNMLRVSTGDSSRISISSSVPSSSSQGSGPDDIESLGDVYVWGEVWIDVVQSEGSSNLVCSKADVLIPKPLESDVVLDVQQISCGSRHIALTTRQGEVFTWGEELGGRLGHGTDEDISRPKLVESLAVSNVEYIACGEFHTCAVTASGDLYNWGDGSYNAGLLGHGIGASHWLPKRVSGPLEGLQVLSVACGSWHSLLVMSSGKVFTFGDGTFGALGHGNRENVAYPKEVESLIGFKVLKVACGVWHSAAIVETNSQTGMNVVSRKLFTWGDGDKNRLGHGDKEARLVPTVVQALVDNNFHQVACGYSMTVALATSGHVFTMGSSNYGQLGNPKADGKQPCQVQDKLNSELVEEISCGSYHVAVLTSRSEVYTWGMGANGRLGHGGIEDKKKPTIVDALKDRHVKSIACGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKAADGGGGGGGGGNSPYNKKSVITRRSVDIKDKSERPDIRPSRLATAATAEPVKYADAKSARNEIKPEAVSMARATQAPSMSALAVPTTVKPMGMGMGGMGGMGGMGMGMGMGMGMGMGMNMPMAMAPMGMGMPVVSPAQPKKQSPTPATTSTLSIKSVDSKNDIDNLKKTNETLNQEMSKLQTQVTKLKQKCEVQDDQLQKAEKRAKSSASLAAEESARRNSMLDFVRFLDSELKGIADKVPTEFTDSIKTLQSQSERFLTGQCGHPPEGISGHEQARLSSSGMHEITHNRSASMGNLDGSGFSLASESPCHRVMDGNGRAPGDFAPKFGTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFRYSIPTLVTAFILHISQPD >cds.KYUSt_chr1.689 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3728187:3732527:1 gene:KYUSg_chr1.689 transcript:KYUSt_chr1.689 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEAAAADAEAAWHPRSPAPHDLSDESDYTAATSVSSSIHAAMRTDMTDLGSEETSRMDVVYEKELVDSLVGDARGRHLLRHAWLGVANHGRALFSPRPPPSKQTGSAPACPPTHPRLLNMLPSHTGLMITIPHHPIYFSKLPIVNASFPFTVIMLLFSMRWNAVEVEECHWRDQRLLWWCLPEITARCSAGRGDLAGEVGDELLVKDHAAHTTFTEKLDMSHQHLTGSSYLSLPLDLRLRRKLVSGSLDLPPPSAPST >cds.KYUSt_chr4.33868 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207915737:207917804:1 gene:KYUSg_chr4.33868 transcript:KYUSt_chr4.33868 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSPKGTEKKADADHDGENGGFFDKVKDFIQDIGEKIEEAVGFGKPTADVTGIHIPQISLEQVELIADVLIENPNPVPIPLVDIEYVIESEGRKLVSGTIPDAGTIHAHGSETVKIPVLLIYDDIKSTYGDIKSGSIIPYTVKVVLHVDVPVIGRISIPLEKTGEIPVPYRPDVDISKIKFEQFSFEEATATLHLNLDNKNDFDLGLNSMDYEVWLSNVSIASAEMKESANIKKQEVTTMNLPISFRPKDFGSAMWDMIRGKGTGYTIKGNIDVNTPFGHMKIPICKEGGTTRLKKGDDDDDNDECCMLFPHAAVLAGFAYKGSRLSSVVRSERTASEGDALKEFTGTEIKEEALASIGDLKAAGPDVMPAVFYKKFWHMVGPKVQEEVLAVQNGGSMPVG >cds.KYUSt_chr2.41863 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260626567:260627257:1 gene:KYUSg_chr2.41863 transcript:KYUSt_chr2.41863 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAAAAVLLCVALSVVAAASAAPEPRFITMEALKATEPASSRKLMMGFPAGFCPVQFDERKQITKIANKCRGQEVPLPSCCEAFRSVACPYSALLDDVTNGCSVDLLMKIHDFCRMPAGYFAMCGDSTMGLSCPVS >cds.KYUSt_chr4.19642 pep primary_assembly:MPB_Lper_Kyuss_1697:4:123577574:123579388:1 gene:KYUSg_chr4.19642 transcript:KYUSt_chr4.19642 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGDFAEWWSLVVRTVPRQLRKGTSSVIMLTVPRRMLAEEKPLQKRESRTNIISRTKAKPSASPKPDVLHKSGAQRNLNIAGKNSVKVLPSCVSPDNLVKGVMSYRDAVEMAAVEAMQEASAAEIVLRCLSAFADLAASAAKQSPQDTVDEFFALQAAITRSTAALDSQQKRNGHAGEWLHAAVTSNLAPFLLYAAASSSRKQGTGSPRTVAAAEEETVMWLETAARELREEMCAWFVGHVERLLDDNVAGTLGQLKRVNDWLDDGVGLRPGEALERLRKKIFGYLLDHVESAVVALNGGVASNRNRRK >cds.KYUSt_chr3.29681 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185692941:185695401:1 gene:KYUSg_chr3.29681 transcript:KYUSt_chr3.29681 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRAVEADENATPRRPAPAARSASPQRKKVLGERNSGDSRSRGDGSSAQPKPPAPSPNPTPASPTGRAGPYDPKTNCTTPRPEFLRYDPKRSAEILLRLEREAAEEEHELSGVTSGTEISEPVSSGSSARDSDSECDEEEEEAVVPARGRGWARRLFLLLVSAACLLCYVYCMSSSPPFPATTLDFVGWNGSAHGVGGHEVFSLPGPVDMMGLEDGPEAIVCRDSEDGIPLRGPGGSPSKFMAVAMMGMADACPNVPLGEFSCQIGDESTENVDVLKEDSETGELKSEAVTGGDDLEDSFGSTHSHDTSMEEGSLHHEKAEDDSEHSMEKTMEPESLKVENDDEELHSLEYGNTAGAARQLIHMGKKLWPAVEPHLLKMLACLSVAAAFVTAMLLKYSRRSRKANVPVSRRTPSAPPARVPVLAPHSVAQPTVFRSEQPVHRPMPKPEPSSCLELPVQSVLTRPDPPASLNVPSVGHGNRDQMIQQGDGGIVRASDDKSMPPVVQLLGEFSYVDTGSSRGRPVKDSSQHGGDIRVQESTPPRKDVVRMQKESDKAPSPGIQAALKKESAAEEEKIDATPTPLRRSSRLRKKPSP >cds.KYUSt_chr2.1671 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9924639:9925103:1 gene:KYUSg_chr2.1671 transcript:KYUSt_chr2.1671 gene_biotype:protein_coding transcript_biotype:protein_coding MPGESSAQQRRCPPPQWLPLCWQAAPTKFLFTGRLLLCREPPPPKNSMPGRTAVLHLSAATSGRHQHVSLGERLTGALYDHRRGHIQFLFHELTPPTASLVREMASGNFPDGLTSRRTTNVCLGQLSAATPFPSWLAQRLTMEQLSLSPTRCMP >cds.KYUSt_chr3.36332 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228559943:228560314:-1 gene:KYUSg_chr3.36332 transcript:KYUSt_chr3.36332 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFKLVLFLAVNLAILASAAHACAPYCPTPSPPPPPSTCSIDTLKLKVCANVLNLLKLNLPVPENEECCPLLSGLVNLDASVCLCTAIKAEILGIKLNLLDDFTLLLNQCRKTCPDNYTCSI >cds.KYUSt_contig_402.414 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2565102:2567880:-1 gene:KYUSg_contig_402.414 transcript:KYUSt_contig_402.414 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVTGHHYQGYHPPSPTPATKISIPVSPGGGTEAALLGKGRYKAWALAAIALLALWSMFAASVTLRWSSGDLAAASQDVSDPLFDDLDPLEMEEREKLVRRMWDLYTRTGDHVWLPRFWQEAFEAAYEELAGDDTPASDAAVSEIARMSVHRPELEQSRNNN >cds.KYUSt_chr5.9454 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60012893:60013690:1 gene:KYUSg_chr5.9454 transcript:KYUSt_chr5.9454 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPAAAAAETALGDLPEDALLAILAFLTPPDAAAAACACRALAGAASSPELPLALALRLGLPPPRACPASARRLFRSLHRLRRLLGLWRRLPSSHPASPSLAAFEWAPRATLAASLLAPATHGLAVSKSPFVTVSIAESGDTVAALGDVPVCVNFVGDNHIVVEPASGEDEEEEMQGGSPPEEMYMHFANRRSPGAGRRRRERLGRRKGGRMEAQHFVRIPDAEPTKARPLQGLWKVLPLHTQLAHLCSIAFVTDSREGVCIS >cds.KYUSt_chr3.8883 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51822434:51823984:1 gene:KYUSg_chr3.8883 transcript:KYUSt_chr3.8883 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLLPAASPALSHVATSGSHGEDSPYFAGWRAYDDDPYDAVSNPSGVIQMGLAENQVSFDLLEGFLREHPEAAGWGGAAAGSGVASFRDNALFQDYHGLKTFRKAMASFMEKIRGGKARFDPDRIVLTAGATAANELLTFILANPGDALLIPTPYYPGFDRDLRWRTGVNIVPVHCHSANGFQVTVAALEAAYEEAAAAGMRAAGVLLTNPSNPLGTTMKRSVLEDVLDFVVRKNIHLISDEIYSGSVFAAPDLVSVAELVESRPDVAERVHIVYSLSKDLGLPGFRVGVVYSYNDAVVTTARRMSSFTLVSSQTQKTLAAMLSDDAFAEEYIRTNRERLRMRHDHMVAGLARAGVPCLQGNAGLFVWMDMRRLLLGGGGEATVADELRLWDLMLHEVKLNISPGSSCHCSEPGWFRVCFANMSLDTLDVALARMGRFMDRWNKATTQQ >cds.KYUSt_chr2.16426 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103320713:103322858:-1 gene:KYUSg_chr2.16426 transcript:KYUSt_chr2.16426 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGALMSSPASLPWSFLVYGTLSFVLLWLLDRLWWHPRRLERALRAQGVRGTSYRFIRGDLIDYARRTREARSRSLPLRCHNIAPLVGPLLHDIVQEHGKTCISWFGVFPKVTISDPDLIKEVLSNKFGHFEKLKFPALSRLLAGGLAIYEGEKWVKHRRILNPAFHLEKLKLMVPAISTCCEELVSTWTRSLGSDGTFEVDVFPELQRLTGDVISRTAFGSNYLEGTRIFQLQSEQAERILATLKRNTIPGYLSLPTKNNRKMHQINREIESILRGLIEKRMQAMQEGENTKDDLLGLMLESNMRTSDEDGQSISGMTIKEVVEECKLFYFAGTETTSKLLTWTMIVLSMHPEWQDRAREEVLGVFGKNNLEYEGLNRLKRVSSVLLFNSIFTTMEHIIGMHNLAMQVTMVLYEVLRLYPPAVGLIRKTYKEIEIGGVTYPAGVLIELPVLLVHHDPDIWGSDVHEFKPERFANGISKASKNPGAFLPFGWGPRICIGQQLALIEAKMALCMILQHFEFELAPSYTHAPDNRNMMRPMHGAQIKLRAI >cds.KYUSt_chr4.25498 pep primary_assembly:MPB_Lper_Kyuss_1697:4:160275867:160276373:1 gene:KYUSg_chr4.25498 transcript:KYUSt_chr4.25498 gene_biotype:protein_coding transcript_biotype:protein_coding MALVANELKGKAEVYYDDEICQQCTRLLLKEAGLPNGLLPLKDITECGYVEETGYVWLKQKKRIDHVFQSLGRVVSYGTEITAFAEKGRIKKVKGIKTRELMLWVPVEEISLDEPASGKLICKSIAGISKIFPASAFQIQEKENEKMNCAGLKPVVLMERSPRVVRNN >cds.KYUSt_chr5.40805 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257614472:257616703:-1 gene:KYUSg_chr5.40805 transcript:KYUSt_chr5.40805 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAAKKMLTLESSDKQQFQVEEAVGMRSQTIKHMIEDDCASNVIPLLNVSGSILARVIEYCTKHAAAEGPAGPDGDLDPPSKDAADGLKKFDAEFVNVDQTILFDLILAANYLDIKELLDLTCQTVADMIKGKSPEEIRKTFNIKNDFTKEEEEEIRRENQWAFE >cds.KYUSt_chr5.7086 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44063127:44068294:-1 gene:KYUSg_chr5.7086 transcript:KYUSt_chr5.7086 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAAMRRKLQRKFRLRGFTLKVDALEEAAAFLDRFPDAEDDALDLLLDELDKEPLKSSILDRDAVRRVVSLLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTGRLSIHGEAGDKAALYRDRYQVLLQRLARDKYFSRPAFETVMTESDSCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVPIDLANAISLKPLPCFFVENTVIVAEGELLANGIFQVNTCGFPPLEDREASLSLLMGLDFFGGGVIPTEETLRLSSLENKAVNDMFVILSDVWLDNPETMEKLGIVLDGYESVEVVPSLFVLMGNFCSQPCNLAFNSFEELRLQFGKLGEMIAARTRLKEHSRFLFVPGPDDAGPSKALPRCALPKYLIEELQKHIPNAIFVSNPCSYALLKSQSGS >cds.KYUSt_chr3.44287 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279543157:279543933:1 gene:KYUSg_chr3.44287 transcript:KYUSt_chr3.44287 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLQYVATPRSSLLCFKVDAIRASVSPSALNQVKPSLVHHIARWGLGVWNCGSTCRLPPIMEVLVKAKATCSLLLEFMAQISYSAYPFSDRLIQLSQAIPTL >cds.KYUSt_chr1.19665 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115568488:115571523:-1 gene:KYUSg_chr1.19665 transcript:KYUSt_chr1.19665 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYPADALVSGTACIPYLEDTSSAGYKSICYDGFIGAPSSAVLLSIAQEPPPSLMKIFEKIIHIDCLRWESRRALQRIIAEELQLPQGLIHIFDGQDEEDDFRGVYESSRAEIGGVSREILRVLLDKKCLVVIHNVIDGIDGIVEFNDFGIPPAWFGTKVLWRSWVRPSFSETLGKLDDSHLFLYNNNGFSGSRDWKFLLQEEASEIARYTCKLGATREIAMECCLYAFSLMDRSWNMGYTNNCRMQVSNYWVCDGIIQAGGESDQAWEVAAAMHQHLYLGNDPVRIPYDEVPKRWICSGNYNIKEDYLTFPRETTSLFLAGSDVPFARLPNDMFHQSVMLRVLRLYDCTFNFSSPPFHCCHGLRFLGLELCKDQPQGRDEQKDIPRVGFFRSLWVVDICNTDWELVASPEITEEMVKDIREIHMRKARFWHHNFPWRQLQNLRTLRIIEPTHPWEIQVFPRLSRASSLKTIVLVDCVALKHVTCLPPSLESFSFGSFGHREEAKVTYISVAGCPRMVDFSLGGTLPNLEELNLSGTSVKTLDLDYGVHVPQLRKLMMLGCQQLCAVLWPEQGMSCLSELCIDTRGGDVGRKFPDSNKLKPSQAYVAIMDMRFIQSLVLQNDRRRDPSYRAKEEEERAVSVLSCSGFCWNKDTVNLTLSLSASSTGGPSYKNLSLEEIIEGWGHLGKPRPPLEKSVIPNPSCRAYPDIITSDMTDVDHNYNSAPQLQPSRCHVDIGEGISKTDAESIHEIKPIIFVMNNAESLHVHENSSITTVIPERVMSVVEEKLVWRHLKRCRVERCSKMRVVFSNYYDIACFHELESFCAVDLPMAHCIWSKGRTVAATSTCSFAKLQSIHLYSCPRLAFVLPLSWSYNLTSLETIHIVRCDDLRQVFPVDEQDLREIATDGPESITMMFPNLKHIYMHELYKLQHICKAKMSAPKLETVKLRGCWGLRRLPSVGRRSRRPVVDCEKDWWDKLKWDGLEAGHDPSLFEPRHSSYNKKPLPRVSVLR >cds.KYUSt_contig_319.436 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2831006:2831582:-1 gene:KYUSg_contig_319.436 transcript:KYUSt_contig_319.436 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMAMSSFAGAAVLPAGRFGSRSLPALGRRAFVVRAQTEKPSTPSPKPSPSIWDALAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGNGTGQAWFAYTVAVLSVASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEILTGAPFINI >cds.KYUSt_chr4.983 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5074938:5075909:1 gene:KYUSg_chr4.983 transcript:KYUSt_chr4.983 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSEPRLCSLPTPGPPRSPRPPGFPDGDGPDRFSALPDEMLLQILARLRCARAAAHTSVLSRRWRGLWRHLRELSFRGMPADAIDAALDQVAGQALTFLAVDIPEEHRVLEHARVSALLLAAARLAPADLVFSLWGCAYDKDGDIPIEIPSFERTTSIKLDVMDHVLIPPAGAVEFPLLEKLSVVCCHIDTAELVRRCPRLRVFEIEVFPSQDVAANRIKVHSPTIEELVVKVDDVQLHSLDIMAPVLKRFSLRVNMAKDFTVSFSAPMVENIRWDCLCEDENVGFGEKWRLCYLKLVMKENVGVLRMSISCEVDDFSPES >cds.KYUSt_chr2.53581 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334202550:334209266:-1 gene:KYUSg_chr2.53581 transcript:KYUSt_chr2.53581 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGSTIPTVDLAPFFVDDDEDGVARATEVVREACQSSGFFRVVNHGVPRELMARALELQALFFALPDEEKAKVRPAEGTTSPPFPAGHARQPTHSIDKNELLLVLLPKLWLNLYPAEPAGFREALVECHTKLTELALLIQELLNECMGLPAGFLREYNDDRTFDFMSARHSFPATEEENTGTRPHQDISCVSFVVQDGVGGLEVLGPDGDWAPVEPVEGSIVVNIGDVVQVLSNGKFRSVMHRVVRNPAAHRYSLVFFLNVHGDKWVEPLPELVGEAPRYSGFKYGDYLQLRAKYTKAYQLLKPVDLVDITHYAI >cds.KYUSt_chr1.22658 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133984118:133985588:-1 gene:KYUSg_chr1.22658 transcript:KYUSt_chr1.22658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to actin [Source: Projected from Oryza sativa (Os10g0510000)] MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKTYELPDGQVITIGAERFRCPEVLFQPSIIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRTEYEESGPAIVHRKCF >cds.KYUSt_chr7.19896 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123323794:123324360:-1 gene:KYUSg_chr7.19896 transcript:KYUSt_chr7.19896 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRASTTFHLILAAVVALSFSTGDACDNIPSMSIDEACDKACINVSSPQVQLCQSMLRAMGAPPTAEVTVYVVAAAKAAKKSYNSSMAIIHRLLANPVLPDGEKAAYELCKERYETALEYMIGVTNQMSLRAFAYPRQEYIDATVAITACGNSLADFQSSPLYAANAADLNNTFVAYDLGGLIVGK >cds.KYUSt_chr3.46293 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291272504:291276461:1 gene:KYUSg_chr3.46293 transcript:KYUSt_chr3.46293 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGDTTLTKVFVGGLAWETHKEGVRGYFERFGEILEAVVIFDKSTGRSKGYGFVTFREAEAAMRACLDPYPVIDGRRANCNLAYLGVQRSKPQLSPYVQPYAAVGHVHGGSNMNTRAMKAAAIAGGASFVDHGIQQGIPAAAYNLYGYSPYFSDYSYQPMTYYQAYGGLAGGEQYQVYNGNAGAAATVPAAGLTLADPSGLYNPYYQYSPVSAHAAYNMMHYPQMYHYAAVGALPESSPTAVSSLHRFLGAAAFEPNTAGGQAAAMAMSRTAPALSAPPTPQYQHRLVSSMPPATPDQKRPLA >cds.KYUSt_chr7.29223 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181837437:181838361:1 gene:KYUSg_chr7.29223 transcript:KYUSt_chr7.29223 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLRAGAASAASPLPASKNGPTASGGGGIPWLLRKRGASKAPRQGPLAAQEERPDNVDDEVELEEGAGFAVSTPSAAGGMTPEPARKRREAALARLRSAVLAVVARARRRRGRRPMGSSVTGTIFGRRRGRVHLALQTDPRAPPALMVELGAYSTGALVREMSSGLVRIALECEKKTPPHIGAERRRTALVEEPTWRAYCNGRKCGYAARRECGAEEWRVLRAVENVSVGAGVVPDGGAGEGDLMYMRARFERVVGSRDSEAFYMINPDGNGGPELSIYLLRV >cds.KYUSt_chr6.26914 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170621300:170622897:1 gene:KYUSg_chr6.26914 transcript:KYUSt_chr6.26914 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPLCLLLVLLLAIPLLFLKTRRPPPAVRLPPGPWALPVIGHLHHLAGALPHRALRDLARRHGPLMMLRLGELDAVVASSPDAAREIMKTHGASFASRPLTCIQQMAYGDDEGLIFAPYGEAWRQLRKICTVELLSSRRVQSFSPVREEELGRLLRSVAASANSPVNLTEGISAYVADATVRAIVGSQFKQREAYLKMLHEGLKIVPGMTLPDIFPSSRLVRFFSSVPGRMLRHSKGMKQFMDAIIQEHQESRVSGGDTEDKDLLDVLLRLQKEANSEYPLTTDNIKTVMLDMFGAGSETAATTLQWAMAELIRNPRVMRKAQDEVRQHFAGQGKVTEAGLAHLHYLRVVVKETLRMRPPAPLLLPRVCGSPCQVLGFDVPEGAMVMVNAWAIGMDPAHWDAPEEFAPERFERNGRDFKGADFEFLPFGAGRRICPGMAFGLAHVEIALAALLFHFDWELPGGMAAGDLDMTEQFGVTTSLRSDLVVVAVPRVPVPTE >cds.KYUSt_chr1.3889 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23759581:23767504:-1 gene:KYUSg_chr1.3889 transcript:KYUSt_chr1.3889 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPAGGGDGAALHANSPVKVIVVAPRGRRGDGAALPAGSPGTDVVTAPRQCVDATALHASSLDTDVVVAARGCVDGATLYAGSLLAGVVVPDRRCVDGAALHTCSLLADVVVAACGCVDGAALHAGSLLADVVVITPCCGVDDAALHAGSLLADVVVAACGCVDGAALHAGSLLADVVVIASRRFHGDGAALHAGMPCADIVVAPRGWWRWRRAARRHALRGCHHRAMWVVEMAPCCAPTCLARMSSSRSVGGGWRRVVEAPLPSAKGGEYRPSFADDFLLAFFRAKMVEEVGWDSQKPGYAGLIEVANRLMVKGKSALETEQSAVRVLQALFPPLLLDLFKALLAPIANGQLASMMVGEFTATQKF >cds.KYUSt_chr4.36567 pep primary_assembly:MPB_Lper_Kyuss_1697:4:224783036:224784703:1 gene:KYUSg_chr4.36567 transcript:KYUSt_chr4.36567 gene_biotype:protein_coding transcript_biotype:protein_coding MPSERSVLPPRPSLLPLTQSSQEATGADMDLPASLPDPVPSPILKHSPSPSRLSRSARSAADTPSVTFAADFRSRSRAESTSPSFESFRTARSRLSVSRRSTSEHHVGSQRDLRDEDARFVYINDAACTNAPPAMFPNNSVRTTKYSILTFLPRNLYEQFHRLAYVYFLILAALNFIPQLHVNSAAAGTAPLSVVLAVTAVKDAYEDWRRHRSDKNENNRSASVLVDGVFRPKRWKEMQVGDVVRIVANETMPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETMLTPPEALAGVIKCEKPNRNIYGFLATVDLDGRRAISLGTSNIMLRGCELKNTVWAIGVAVYTGRETKVMLNSSGAPSKRSRLETDMNRETAALAVILVVLCFVVALLAGIWLGKHNDQLGIIHFFRTNDYSSLQVRKYDWLGVGAQVVFTFLSGVIQFQIMIPIALFISMEMVRAGQAYSMVQDNHMFDHKSKTRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFRCASVHGRDFSDSSGDKEDRNAMLGKQFSLFQFQFP >cds.KYUSt_chr1.5597 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34509228:34516947:1 gene:KYUSg_chr1.5597 transcript:KYUSt_chr1.5597 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVPWKRLELAVLCAYALVFYLFMIWKSLQLSQEYSGRLYGLRAGSLAGRLNDLSDAQWRNFRGNLPILTAVMGAFMILANGLRYVYSLKGRGASLVWLILSLIYLCYLHGACVGFILAIAGINYSIVKLFARFKYCTGLIWSCNLAMLILNRVYEGYSFSLFGQKLAFLDNYRGTFRWHICFNFVVLRMISFGCDYCWTLRSPHFDHKKHMQKCEVCYSGKTCYLALQEKGLSVDKYTFLTYLCYLTYAPLYIAGPVVSYNAFAAQLDVPQKNYSVGQICIYGLRWILNFLLMEAMTHFFHYNAFVVSRLWRQLTPFEIFIISYGVLNFMWLKFFLIWRYFRFWSLVAGVETPENMPRCINNCHDLESFWKSWHASFNRWLVRYLYIPLGGSRRKLLSIWVVFTFVAVWHDLEWKLISWAWLTCLFFVPEILIKSLSNNFQAKSALGRFIHRELGAIGGAVTISCLMVANLVGYVVGPSGIKVVMSQMLQKDALPALAIIFATFYVGVKLMFHIRDARKSQG >cds.KYUSt_chr4.4802 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27454690:27458945:-1 gene:KYUSg_chr4.4802 transcript:KYUSt_chr4.4802 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFADAPVQSDIKMWPYKVIPGPADKPMIVVQYKGEDKQFSAEEISSMVLIKMREIAEAYLGVTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRSLRRLRTSCERAKRTLSSTAQTTIEIDSLFEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTIHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLITRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDDIEKMVQDAEKYKSEDEEHKKKVDAKNSLENYAYNMRNTIQDEKIASKLPADDKKKIEEAVDQAITWLDNNQLAEVDEFEDKMKELEGLCNPIIAKMYQGAGADMAGDDDAPASSGGAGPKIEEVD >cds.KYUSt_chr6.573 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3537865:3538617:1 gene:KYUSg_chr6.573 transcript:KYUSt_chr6.573 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRSHDALANTMHLDAFAASPIKPRRRRHAKAGSPPPKGAVPVVTSSPPPKQAQAPVSSSPPKAPAAGRRSPPAKPARKQPSPSKEAPAKPPQMVVRILKRGEEPPAPAPVPAPVQPKVQAPPADRRVRSPSPPSAAPVQTRAPAERRVRSPSPASAAAPVPARAPADRRVLGFTARIGPQSPAVVPTKRMVSVAAVAATAATYAGPAFSVAAPEPSSLPVPGFLQRAEEEATRGLRCLLRIGELS >cds.KYUSt_chr5.29346 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185988881:185992327:1 gene:KYUSg_chr5.29346 transcript:KYUSt_chr5.29346 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQQQQHRSAMRREDRRASEMPAHRTERVGEADTRRAAELPPRRTERAGEADTRRAAELPPRPIETTRRAAEKPAHRTERAGEADTRRAAELPPRRTERKKSLESLLDAPEVRGKRSGPVPAGEKVTNFPGQGLEFKNLSYSVIKKQKKDGVKIKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDAIAGRIAKGSLEGSVSIDGRPVTTSYMKQISSYVMQDDQLFPMLTVLETLTFAAEVRLPPSLSRAEKLQRVWELIEQLGLQTTAHTYIGDEGVRGVSGGERRRVSIGTDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKDIAKGGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGNPVTLPTYLAGFGRPVPDGENSMEYLLDVIKEYDESTLGLEPLVAYQRDGSKPNEAAKTPVPRTPRTPYQKSVQFRQIQLKSNNHFSLASATPHANPFSNFESYNIDDEEGDFDNSLERKTRTPLHNITSGYHPRLASDFYKDFSVWVYNGVAGTPQRRPTWTPARTPSRTPVPSYPPSRVTTPHRSIPPSPQEPVFKIEEPTYQEYELAIEPLDAPEDGPKFANPWLREVIVLSWRTALNVVRTPELFLSREIVLTVMALILSTLFHRLSASDFLTINRILNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITKFMLHLNSSLLYFWIILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRSKIPIVWRWLHYISAIKYPFEALLVNEFKGGHCYVGQANELSPGPLGQIKDSDLFTELHLNRTICPLIGQDVLTTMDITMDSIWVDVAILLAWGVLYRLFFYVVLRFYSKNERK >cds.KYUSt_chr3.42807 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270578762:270587107:1 gene:KYUSg_chr3.42807 transcript:KYUSt_chr3.42807 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPFTPAAGAGAIVEAGGAGSIPAMVAAQQATLHAQVDQLQRLVVAQCHLTGVNPLAQEMAAGALSIKIGKKPRDLLNPKAVNYMQSLFAVKDTIGKRETREISALCGVTVTQVREFFASQRTRVRKAVRLSREKALELEASKMPNSVCSMSTEQTPLHIETHAQVVEPLNTLGPIEMLQSSSQQADTLQNSLQPAEAPQNSLQQAEAQQNTATPTPTPTPVTPMETAELTDAKINPGSVQKETKQEEVAPGVESEDKKFLDSIFALMRKEETFSGQVKLMQWILQINNAMILSWFLTMGGLTIVSTWLSEAATEEQTTVILVIFKVLLHLPLHKALPVHMSVVLQAVNRLRFYRTQDISSRARNLLSRLSKVLIRSQASKKPQKDLICKQRISEILRDDSWRSEVDITEEILSLTEGASESRKPESKKTPLLLTASADDSVKNSPVKPKSKERRKVLLVEHPNRKVAGKNVQSARSLSTNNSRPLSADDIQKAKMRAMFMQEKYGKVDKSKVTDKLETTETQKPSGSVNSNVPPMPSSPLTSTTKQPVDPSPSTLIQNGVPSPDKPEILASPKLNLAAKENSVVKLDSKRIRWRIPPEVWIDPSWSLSTGENSKELDVQTQRNRRGKETFYASLKDIPLNPKDPWDLEMDFDDSLTPEIPIDQAPDADAMESDSVDAAPPDIGFLDENKQVGSTLSSSLTVTAGANGAATEPDLELLAVLLKNPQLVFALSSNQVGNLPNDQTVALLDMLKQTGLGLSELVNSLANSAGVPKEPEPGPEMIPTSLPSPTPPKDLPASAGWRSEFPTQASAANLPQAHLSNRGSTPLSNAVDQSFSNVVSLLPSQPYTSVSAMPAHIQSNIPSLPQLVVSVNPLTQHVAPVNNLLNRTPVHQHTQPYAMVSDPAAVTIHQQPAANKPAHEFQNTTNPAPAHSLTPDPNASYTTLPWQSSAANVASTGRSTTPDPNASYTTLPWQSSAANVASTGRSTTPDPWAARTTNSFNDASAAYLNQSAYNNQSMQTTYDAYGTMPVSSQGLARNVYAQTSEYQVSGRIAHQRHSLSPEPGAARVYGGTQGYIPEPSKLGNYGQQNYNSVASRDWSSGQQSYTPAEPSRQQSYTPVEPSRQQSYTPVEQPSRQWSSGQQSYASAEPSRQQSYTTAETSRQWSSGQQSYTPAEPSRQQSYTSAEHSRQQSYTTAEPSRQWTSGQQGYTPPDPSRQWSSGKQSFTPADPKRTWSTANQGQNPDTSRQWTTGKQDRYNPSDGQNAYDQRRRRRWE >cds.KYUSt_chr7.29592 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184229114:184229465:1 gene:KYUSg_chr7.29592 transcript:KYUSt_chr7.29592 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKAKVQDAASSAKAGIDKARATAGEKMEKATTTDPMKKRDAEESKDDRKLKVASDEREEKEDHAAQRSGRRTIVTGT >cds.KYUSt_chr4.54911 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339161330:339162603:-1 gene:KYUSg_chr4.54911 transcript:KYUSt_chr4.54911 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPQVFVGVFCYSPFPVAILAGFRFIQIVQPQTPLHQGIPKVTYPTSSAFIELISHFDVIDKVAITSAKVKHVISVLRHSGPYKEDIMKESTRQQDDGNVE >cds.KYUSt_chr2.6694 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41724068:41726745:-1 gene:KYUSg_chr2.6694 transcript:KYUSt_chr2.6694 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLQKSRVLNMETYAEMGSDAGDPAPNPSAMKDPRADAATAFPALAGSDALLDASGPNLGTVTGAKKRKAPATAKATRKPRKLQVEEPIQMPVYYGRREDFFRVRGEDLVDDCPGLHAKNKCAHLVGELPLQPQSMSLVDLQLWIFKLFRLHPETQDLEIKGLLKQYKNDFFDEESSDLECPLDYCPWDTHYFTSDKCWSSFANKMKRKRNVTQKFMLYVQSSEIKHYDILLKSVNNGYSHLLTVVLPGTKCLSSSRIGFRDLVEKPSMTAMEIVDYFSGHLGEHMSPAEAWRARQSALEREYGTFYDSHNFAPRLLREIARKNPGGFVDIKDAEVADCKDFRVLQRMFWAFGQCLQAFRTCRPVLCIKATPLCGKYQGMLLTAVAFDANDFSIPVACAIVEGETKATWLWFLQNLERAVVHQSDVCLIHDYKSELIDAMEDLLNSRQRKWRKAESRWCMEDLAEDFSAYFGDKELVMMFKKLCQQKRRHKFAKMWNELDELTSKYMLEKEQSATGEMQLEPVEHEVAGVEAENPRNQSDSVEDVKEGEQADDNNRKITNFSDWIDLKPREKWSLAYDRGGARYGIMGSDITDLHKNDPVLKGITCLPLSAIVEVTFLRLVKYFENTSAAANKAIGNPSLNFPERVQVDMNSKMQKAEMHNLTYTYTTDKNIISGEDDRKFTVEGRKREVTVHLKLENTFSMDKCKGSTIRKTATCSCNKPQLLHKPCSHVIAVCCEIGVRTASYMSPYYSLPYLVSTWRKKFSKFSREYRDIIPHQFRDIIPFERETPTWIPDKRLECGLPACLSPDCIQTAMVEEEQQCRT >cds.KYUSt_chr5.20643 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134100352:134101799:1 gene:KYUSg_chr5.20643 transcript:KYUSt_chr5.20643 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSMSSSSLSFSTLAASTGINEGPRSNVLAGVAGAGRSKPTCPHLADCGDERNIHGRAADGMSWAELDEVVPGYDFFAEWLDADNCDVKHIVASVCFLDEMGHKTRRVKKTMENYMFVIENGVDFAVDQFTSLL >cds.KYUSt_chr6.21958 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138619723:138620049:-1 gene:KYUSg_chr6.21958 transcript:KYUSt_chr6.21958 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLRARRPSASCLRRRFLSALAAGGAPHPPRSGAVYGFGDNSHGAVGQPAPAADVYVPTPVPSLPPSVASVAAGHYHSLAVSAAGEVWAWGRNDEGQLGRGLHAPR >cds.KYUSt_chr4.5398 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31153770:31156081:-1 gene:KYUSg_chr4.5398 transcript:KYUSt_chr4.5398 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >cds.KYUSt_chr6.29036 pep primary_assembly:MPB_Lper_Kyuss_1697:6:183898755:183905102:1 gene:KYUSg_chr6.29036 transcript:KYUSt_chr6.29036 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLTGLCDAAQVQGQPIYDTDGHELTKDNLYQILPADPKMNGLCLFAGSLSPADCPLRAIIARCWSKRGNAVMVMPAEASGGSVPRLSSDLLLTFNHTINKCMMQLQWYVDDYFDTDQMHVTVGHTLGVPVPEKTDRTERASTTDTTDLSTLNISRSNDGSSSVDRVIDWSQIEIAPANENEIEVPVAEENLCLILGIDDKFGHQRAIAGAAIEASIANINVSMDDIDQHLLADAAVPVPDHMPEEKHFWYDKEHPVIEEGSLFTSMEEFRMLLRTFAIRGKFDIQIQDSDTTRFIGHCKGNICRWRITARTTEDGKTVRVNKIVKPHNCSSTAEVVSSMADQAWVVEKSIGILRTEPNIGATDLQKRLKDEYKVTTGYQTVWRAKEKAMDKLYGTWGESFQNLWNFKAEIEKRSPGSIVEVDVKSEGAYAGEIEPITDKSQWPQVKLDFDMVPPISKRPIGRQRKLRIKGCLEDGGSSSKGKKKLHDKGKEQDDGGEEKAQKNGVKGKPKEKKRFGTTNRCKKCGELGHRQTKCPENEPRERKKRAKKVHEGDDQLPREVLEQELLSTAVGTPKRDTITLPPSLHDSPGVITRRYGGGMSSAKAALESDTEYVLCRFLLMKQVKKTKSE >cds.KYUSt_chr1.24871 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148825162:148826275:-1 gene:KYUSg_chr1.24871 transcript:KYUSt_chr1.24871 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDEVLSEVIALDIFKKNAENLVARAHNTRKPNLALKMREHEASESDENPMKISSAENQGLTTNTTLHADTLAHQNTQAHTTSTRTHVMAMTPRVLLLLALVAVASATYCPPPPAPVPVPRHGSCPQNALKLHVCANVLGLVKAKIGVPPTEPCCSLLDGLVDLDAAVCLCTAIKANVLGIHLNLPIDLSLILNNCGKICPEDFQCIH >cds.KYUSt_chr7.40544 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251738585:251741255:1 gene:KYUSg_chr7.40544 transcript:KYUSt_chr7.40544 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQVSTLLWDLLGVPDFAAVIYILPVRAMGFPVVYSEMPRLLLHLLFLLGHLRRLSSWLLRLAGADVVDYYQTTTFDAFADDNHYLHYHEPAASCQGGLELEEHSPAVRFDELPSGVADTPLPEGCAVCLGDFHGAASVRRPRGCRHVFHRGCLDRWAAHGHRTCPLCRAPLLPPEQPAPASLLGPVLLPMPMPLPAS >cds.KYUSt_chr2.50051 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313126388:313127032:-1 gene:KYUSg_chr2.50051 transcript:KYUSt_chr2.50051 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRDVEEVHCKAEDEEGKVSGEHGKVDGLHGEFDGVDGEVDPSDGVDGEVEASDDEVELTEGQVEELEDEFQRVYTTIEDLFQKIDAATNLSAGHSRISARARYIRTSNLAMRRRATFQSSCDACMFPPMCRKCGVRGDSSIFRCLACSMPVPMDVLPCEMCANPLLYDDYWCKDCSTTIGVGEDGVCSRCGRNTTALAEEDNEFVPETEFN >cds.KYUSt_chr6.377 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2301810:2304092:1 gene:KYUSg_chr6.377 transcript:KYUSt_chr6.377 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAALARRRLLRLLASSPNRKALSLSSSPSPSFDAPATARQRDPEREGDLLSRRLLRLHSMGSVAAAIEGWAQMRGRVSRADLHRAVSQLRRARRYNHALEILSWMDSRKDIKLSPSDHAARLDLIGKVHGTSQAEEYYNQLQKPASREAASFPLLHCYVAERNVQKAENLMASLQSVGLPVDPHSFNEMLKLYVATCQYGKVHSVINLMKRNNIPRNALSYNLWMNACSVSGVGSVQSAFKEMVNDGMVEVGWSAYCTLANILRKHGLNSEALACLRTAETKLSRTQRFGYFFVMTCYAALNDSDGVTRMWEASKCVPGRIPAANYMSAIICLIKVGDIDQAEWIFGSWEVECGKYDVRVSNVLLGAYVRNGWIEKAEKLHLHVLEKGAHPNYKTWEILMEGFVESNQMDKAVNAMKKALSSMKSCHWRPPLKLVEAIAAFFEEQGNVDDANRYIKVLQKFNLTSLPFYKSVLRTYIKADTVATDIAEMIARDQIVMDEEMDHLIIRASKIDTRGNA >cds.KYUSt_chr4.35814 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220201726:220206164:-1 gene:KYUSg_chr4.35814 transcript:KYUSt_chr4.35814 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAPGSRSARMATLREVLLLVALVLTVWVRGSHGVTDSQDTSVLRALMDQWQNAPPTWGQSDDPCGDTPWDGVTCSNNKVISIKVSTMGIKGVLPADIGQLTDLQSLDLSFNKDLGGVLTPTIGNLKQLTTLILAGCSFHGNIPDELGSLPKLSYMALNSNQFSGKIPASLGNLSSLYWFDIADNQLTGSLPISSNGGMGLDKLTKTKHFHFNKNQLSGPIPDALFSPEMTLIHLLFDGNKFTGDIPDSLGFVATLEVVRLDRNSLSGPVPANLNNLTNVNELNLANNQLTGRLPDLSGMTLLNYVDLSNNTFDPSPSPQWFWKLPQLSALIIQSGRLYGTVPTRLFSSPQLQQVILDGNAFNGTLDLGRSISSELSMVSFKDNDFSSVTVTSSYNGTLTLAGNPVCDHLPNTAYCNLTQHEPSPAYTTSLLKCFSGSCPPEQSMSPQSCGCAYPYQGVMYFRAPLFGDVGNGTAFQELESKLWTKLDLTPGSVALQDPFFNSDSYMQVQVKLFPSGGPYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFIASPYPFPDRNGPVSRSKGAIIGIAVGCGVLVIALVGAAVYALVQRRRAQKATEELGGPFASWARSEEKGGAPRLKGARWFSHEELKRSTNNFAEANELGYGGYGKVYRGMLPNGQFIAIKRAQQGSMQGGQEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEYMSAGTLRDSLAGKSGLHLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVSDSERGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLELIIARQPIEKGKYIVREAKRVFDATDPEFCGLRAMIDPRIMNTNHLAAFTKFVQLALRCAEEGAAARPSMSDVVKEIEMMLQSEGLSSASTSASTSATDFGVTKGAPPRHPYDDPLPKKDKEMSTDSFDDYSGGYSFQSKVEPK >cds.KYUSt_chr7.2101 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12077093:12081697:-1 gene:KYUSg_chr7.2101 transcript:KYUSt_chr7.2101 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSHRRCLVVMLLVAVLASLYVSSVSSAPLAAERTRRKDPLDGLRYYVGGWNISDRHYIASVGFSAAPVFAAAAVWFVVFALAGLVACCCRCCRGKTISDYSYSRKKFAVSLILVLAFTAAAVVGCAVLYDWQGKLDGSTSSTLRYVVNQSDNAAASLRGFAGFIETAKAVGGASAVLPPDLGRKVDEVAARVDAAADELNARTASNARKIRTALDTMRKILIGVAAVMLVLAFLGLGDTCMAMEEWVLRPQNNTALDDILPCADAAVTTDAVRRSKEVNQQLVATLNGLLSNVSNANNVPPQVGPPVYYNQSGPPVPLLCNPYRADLTDRPCAAGEVPAINAPQAWQGFVCRTTGASGSEVCATVGRLTPAMYTQALAVANASDGLVGYGPVLAGLADCTFVRRTFETVVADSCPGLRRYSARVYQALLAVAAGVMAAVVAWLVHSRERRRRREAVRFRVSPYRLPIDEKSLLKSPRRPYRRAESNGGLMTR >cds.KYUSt_chr6.8546 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52517532:52518884:-1 gene:KYUSg_chr6.8546 transcript:KYUSt_chr6.8546 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPPPPPLSALLRRLSSHPSHSSAIHATLLKSSSLSSPIPATALLTAYAKAGLPGAASRLFDEMPARDAVAWNALIACHVRHGRPAAAAEAFRSMAAAGFAPTAATLCTMLKACASSRAVRPGRQVHARTLLARHDDIIMKTALVDLYMSCGYVEDANRLFIHMERPKDAALCNALLSGCVENGHFREAFLMLGGIEPNGIALTCALSACSATANLAYGLQVHCKALRCGFDSETILCNALIDMYAKCGRTVAARKVFDRMTGRNVLSWSSMVDGYSRHGHGEEALDLFERMEKAVSPNAITFLAVLSACGHSGLVDQGRAKLHLMKSKYAIDPGPEHYACFIDMLGRAGQIDEAWDLYCSLRANLTELSGAICVAMLNACISNIDVVRGNKVAGHLLEVDPQNTRNLVLISNFHAAARQWSVSDESREVIMKKGLKKEAASSHVSMG >cds.KYUSt_chr5.9344 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59281054:59282397:1 gene:KYUSg_chr5.9344 transcript:KYUSt_chr5.9344 gene_biotype:protein_coding transcript_biotype:protein_coding MDDETGSSSQLHIVICPWLAFGHLLPCLDLAERLASRGHRVSLVSTPRNIARLPPVRPAVAPFVGLVALPLPRVAGLPDGAESTHDVPLGKFHLHLEASDGLAAPFSEFLESLCAVPGRKKPDWIVVDYLNHWAAAAAVRHKVPCALLVLLAATVVAALDIRLFRRTESQSAKTELISVQGVPATSIAERVSSTLERCDLVAMRSCVEWEPESVPLASTFGGKPVVPFGLLPPSPDGGRRGDSGKDGAAVRWLDAQPAMSVVYVALGSEVPLRPEEVHELALGLELAGTRFLWALRKPPLGSDAVLLPVEFEERTRGHGLVMNGWVPQLEILAHDAVAVFLTHCGWNSTVEGLLFGRPLVMLPIMGDQEPNARLMERRKVGAQVPRNLNDGTFDREGVAAAIRAVAVEEEGRRVFAANAKKLQEIVSDTECHERYIDRFIQQLRCYK >cds.KYUSt_chr6.7612 pep primary_assembly:MPB_Lper_Kyuss_1697:6:46474973:46480871:1 gene:KYUSg_chr6.7612 transcript:KYUSt_chr6.7612 gene_biotype:protein_coding transcript_biotype:protein_coding MWPATRVEAAAPPPPPKGPAASPRASLPPLRTASYPPAPTTPPPAPAAQKQEEGLLSPRPASADFFLKDGREFRVGDCALFQAVDVPPFIGLIRWIEKKEEGFPKLRVSWLYRSADVKLNKAIQLNAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCRRVYDIDNKCLWWLTDKDYINERQEEVNRLLHRTRLEMHAAVQSGGRSPKRLNSPSSAQQKSGSDDAQNCGLSKGKKRERVEQGIDPAVRDRDRPLKVEDGELGNLKVDNMKHTVAKFMTKFTNKGGLCHDEAVEKLIQLMQLDRTERKIDLGGRVLLAHIITATESPDCLRRFVQLRGLPVLNEWLQETHKGKSGEGGSPKETDKRVEEFLMALLRALAKLPINLNALQSCSIGKSVNHLRSHRNVEIQKKAKCLVENWKKRVDAEMKSNEAKPVVSGQAVSWSGKGGAAEITNGGNRRSGLSETSPKNSASHHSSKVLTAKHGTSDAVTKSSPLTSGSLKSQHPQPCKSNGGSELPTVKEEKSSSSSQSLNNSHSCSSDLAKTFGSPWKEDARSSTAASGNASKTSGSSSRVHRRANSARLGSGVKKEATVGRSTSLDRSSFQEKSSQPGMASEKVGDTPPDNGNSHRLVVRFPNPSRSPARSVSGGSFEDPSVTGSRSSSPVLADKHEQNGRRVKMKTENCQPQLACDANADSWHNNEIKGVAGSEEDDKSAVLTLESNRTTEEAGKDASALRAASSLQVNEKGVCSSETRGNSFNPMNALIEIKYSEAPPLQTGDDTAMNLLASVAGEISKSELVSPSASLRNTSTKEVGCEGDSIEKLKVECDTVLSQREGTSLVQKVIVEKQVTSDACLGGKDKLNRRAHLSLQDDNKCTTSSGPPPKNGANCNVAESSAKTENQEEECTNKCSSVPGVDSQGDGDQNLNISKSSFIEICTESNIHSSAGEDHTACASRGTVENSPDFVGATSGGQSNSVVSNRKSELLPPEELQLCALDKQVHALSKSTDQKPLAGGLDQPEATDTCGKLGLKSAVCPVAVSPKQGEGSISTVVKGDKKEQPSSTSADVNKLAGFRVDVANGIKDSKDSSSESSSHVELQAVTSQDIGHIPKKLSDDVGRKEDLVSSDEGSSIAAKAKSNGTAKLDFDLNELGDEGNHSEPATSPATCSSAIHLPGLSPFVPPVLSGLPAPITVAAPAKGPFVPPENLLRVKPEAGWKGSAATSAFRPAEPRKALGTFLTAPGIVPSGAAGKQPRPAFDIDLNVADDQILEEDISQSSAQTTGSESGNTRSRDGPVRSAGIELDLNRADEVGENSQFMPNSSHRVEVTLLPARPFAGVIPRNDINSSRNFFDLNNGPSLDEASTEPAQRSQSSKGASSIPFLPQAAGLRMNGTEINNMSPWFPSANPYAPVAMQSFLPARGELPYPIETAPGTQRIIASAVDSSQLGSDSSRAPVISTPPTMVLHPPAYQYAGFPFPSSVHLPTTGFPIGSTPYPSSVPAGVPYFPTMAPSLVGSTGALPAQSVRQYAMSRHEGSSSDGHDNNWKWRRQGFDLNSGPGAIDLEGKDERVPLSVRQNLITPPQAFVDDQSRMYQMPGVGIKRKEPEGSWDAERSSSYKQLSWQ >cds.KYUSt_chr2.18750 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117996270:117997577:1 gene:KYUSg_chr2.18750 transcript:KYUSt_chr2.18750 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAVLLMLLSAALASNAAAVRVGLTRIHSNPDVTATEFVRDALNRDMHRHARFTRKLASSEGLTVAAPTRKDLPNGGEYLMTLSIGTPPLSYSAIADTGSDLIWTQCAPCGSQCFKQPGPLYNPSSSTTFGVLPCNSSASMCAALAGPSPPPGCSCMYNQTYGTGWTAGIQSVETFTFGSTPADQARVPGIAFGCSNASSDDWNGSSGLVGLGRGSMSLVSQLGAGMFSYCLTPFQDTNSTSTLLLGPAAALNGTGVRSTPFVASPTKAPMSTYYYLNLTGISVGTTALSIPPSTFSLGADGAGGLIIDSGTTITSLADAAYQQVRAAVLSLVTLPLADGSDSTGLDLCFGLPSDTSTPPAMPSMTFHFDGADMVLPAENYMISGSGVWCLAMRNQTVGAMSTLGNYQQQNIHLLYDVRKETLSFAPAKCSAL >cds.KYUSt_chr3.31161 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195588403:195588939:-1 gene:KYUSg_chr3.31161 transcript:KYUSt_chr3.31161 gene_biotype:protein_coding transcript_biotype:protein_coding MCVHMCCAGCEKKIRKAVERLEGVDEVEIDMEMQKVTVNGDVEQKKVLKAVRRTGKRAVLWPTAPYIAAGVAGGAVQLLAQHQLQHHPGGAQTYAAGPTSSYNYYKHGYDDSRLYGANSSVVGTRATDYFSDENTGGCSVM >cds.KYUSt_chr2.34268 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211697833:211699181:1 gene:KYUSg_chr2.34268 transcript:KYUSt_chr2.34268 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGLLARQVVSGTLARSVRPLKAWTRWLHGGKEPHEEEIPYADVPRPGRKWERKPYVTPMKVLIRRAKEERRVRQESPCRVLEHPPDNGLLVPHLIKVAHQVHSARERFLDGVARLVKGEAAIPVKRCRFCSEVHIGEVGHEIRTCEGPDSGSRNSMHVWRPGTVKDVVGFPYCYHLFDRVGKARVVHKEKYVVPRLPAILELCIQAGVDVERYPTKRRTRPVYSIDGRIADFELDEEDGSQAEAPPEPLPCASRASSAPAADGADGGDDDEEISVSELSSKTLQSWLDMRSGAARLMRKYGVVTCGYCPDVQVGPKGHKVRMCKASKHQQRDGQHAWQEATVDDLVPPNHVWHVRDGDGDGAPLANELKRYYGKAPAVVELCVRGGAPVPAQYRSMMRLDVVPPARDEYDLVA >cds.KYUSt_chr7.16386 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101470476:101475437:-1 gene:KYUSg_chr7.16386 transcript:KYUSt_chr7.16386 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNAPAAVASAPVASEPVAAEPVATERVAAERVASEGGASKRGASVNWASLSADGPLHKIGECLLANEEYADTYSAMRQVCRNWRSGLPEPDVHLDEWIMLDHDLPRVAEFTFLQLGTSRYVTIDLSEVHTRYYFVGFCRGVIVLAQKNPPHKIRLLNPLTKKSNTMFEAQMPSVFLKSVAVIKSPTMVFVAAHYPPEIGWVDESTPTKDINEDWGEGKFSIKNHCLRCITPFNGKLYAVAADNFEIERIVCTNVQLQQRASTVKMETLISFPELGRQKFYLVKSDGDLLLVLLVSEALAGKPLVYRVDTQRRSLHPVSNIGSNAFFVNYVRCISVDTRVYPTLRPGSIYYTDLGYIREYSHDTKAWDEWPLRVDRIGLYGLRNEHRPYRLEDVLASHYRRKEFNAYFLGSDNESFIVCFLQIIERIEAGAGQEMVAITEFKSFVSKDVELTSVASAAAPILGDIVAEATIQIVRAAGDASLLFDAAVVDGAAPFLCAAVVVDAAKSLVVSFIDAATAAAAFLGPDEVRSFLLFIPTLHHKPVKGYRRVGRRDQGGYVLGQRVEIIGGYSPLEELGERSFSLDLPRRTLTARGPRPPA >cds.KYUSt_chr3.29957 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187523924:187524419:1 gene:KYUSg_chr3.29957 transcript:KYUSt_chr3.29957 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSGKLFWFKNSAVTCVLVPRGVIPIATCLIVKGAEDVLNRKFVFEFSTLQETMYFVTDSEKEKEEWINPIGCSIVQHSRSITKTLTMRSSTTTAARPQQASSQHRFVDRSEPTGEAVTL >cds.KYUSt_chr2.47681 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298274060:298277700:-1 gene:KYUSg_chr2.47681 transcript:KYUSt_chr2.47681 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPFTAGWQSSDVHPLIIDRSEGSYVYDNNGNKYLDSLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNRTTKPTLDLADDLLSMFTARKMGKAFFTNSGSEANDSQVKLVWYYNNALGRPDKKKFIARSKAYHGSTLISASLTGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGETEEEFATRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFDKVQAVVKKYDILFIVDEVITAFGRLGTMFGSDMYNIKPDLVTLAKALSSAYVPIGATLVSPEIADVIDSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYRERNIPDHVKQIAPRFQEGTKAFAGSPIVGEIRGVGLILGTEFADNKSRDSPFPAEWGVGAIFGQECQKRGMLVRVAGDSIMMSPPLTITADEVDELVSIYGKAMKATEERVAELKSKKN >cds.KYUSt_chr2.41204 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256181911:256183227:1 gene:KYUSg_chr2.41204 transcript:KYUSt_chr2.41204 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGEIGPPPPVASGSRLRRVLLDRVVHCTNDEAARDGTLSETSATCTGQPLAVSLRAAPPPAVSRLHLHWPEGLRPEMAELDPPHVIAAHGHSILFQAYVPHIDQSRPPYYPLDYFLYTASGGRRSQSLRRLPPCFDGGQVDQQTDQLFQPYRLQQQRLMPSEHIGLLCRGDEDFTVAELSCTGELCLLHHAPGMGEKPMRWAVQKLQMPNYNDAPDLFSDSWQTDVVIPYGDSSLCWVDCYLGLFFVTVHGDLTRKPHYVPMPAALDPRRLYIDPGCPDPARRVCVTDSGMINLICISDLAGRSVHGRDHHIFTITTWNLTGKEWQLDATIEASELWAALTSDKRLPLIRPEFPTMSLVDHDVVCFVLNDRDNVTWLVEVDLKKKVLGAVTLYIDEEEEKQAAEGEEQTKFCRFSSNSFIPSQFTMYLDKRDIKR >cds.KYUSt_chr5.357 pep primary_assembly:MPB_Lper_Kyuss_1697:5:2439723:2448425:1 gene:KYUSg_chr5.357 transcript:KYUSt_chr5.357 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSTGVPFKGPLQPAALSPVIFFRAIQLDDLVLYEVTEGPSLLIETGRVGKLIKLLNLGEEVIPSLPPQFAEIGPPTPRMLAKASRNALPKVNAATVRPQLLDTKGQLILDHLFKTGYPKPPGLEEKPRRPANPLFAVIQEKGTVESANGSETDIMTNYIMQILGLQICSDTLVGSDMARGISGGQRKRVTIGEMLIGPARAQFMDEISTGLDSSTTYEIVNFVRQSVHILGGTVVISLLQPSAETFDLFDDVLLLSEGRVVYQGPKENVGEFFGSLGFKCPPRKATADFLLEVTSLKDQKQYWLHGSATYRYFTVEQFSKAFHQFHEGQAIAKFLEVPFEKNQSSLAALATSKYGVGKRELIKAVSTREVMLMRRNSSLYLINIAIILTGTRESLGTSILKSRGLLVQAKWYWIGLGVLIGYIFVFNGLYTAALTYFKSSGIAFSSLQTNALEKNHAKLSADAPSKLYHQKRVMNGSQSSVNNRRAALPFVPLSLTFDNIFVYDIMELLELTSLQDAYVGHPGETGLSSEQRKRLTIAVELVSNPSIIFMDEPTSRLDARAAAIVMRTIRNLADTGKTVVCTIHQPSIEILETFDELLLMKQGGEEIYVGPLGDHSSQLINYFEVAIELPYTFVQTLIYGVPVYAMMGFEWTSAKFFWYLFFMYFTFLYFTFFGMMAVGLAPNGGVAAIGCSAFYALWNLFSGFLIPVSKIPIWWSWYYWICPVAWTLYGLGVSQFGDIEERLESGETVSEFLRNYYGFRHEFLGVVATVSVTFPVAFALIFGFAAKYINFQKR >cds.KYUSt_chr7.23462 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146129023:146129259:-1 gene:KYUSg_chr7.23462 transcript:KYUSt_chr7.23462 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMERIFKRFDTNGDGKISLSELTDALRTLGSTSADEVQRMMAEIDTDGDGFIDFSEFISFCNANPGLMKDVAKVF >cds.KYUSt_chr7.5937 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35485658:35486911:1 gene:KYUSg_chr7.5937 transcript:KYUSt_chr7.5937 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRCTMGAVLPRQRSSDGQHGAGAGHKSLAPDDFRDVFGGPPRTVLLSSFYGDTAADYHAAAGHGGQYPHNYSYGGATDAFCRRDGRSKMPPAAVPTEEGFFDDIFGARTRHAQRSRSRSKSTKSSSVVSSDEFGSGRSAFRSVPTGGGRGDAALSSFASKLRPIAIPSRRYDSSPPSTASTRGEYQSTFTCSTAANPACRYYYGNGGDWTNQSSSTVSVSGNGAAAESSSATAPRHHRGASSGFCCFTSNPETSSRDPSFRRTQRRGARAAQPPADESSATTECSGAADYGWYYSPSSAASSSLFGNPPPRRTPHQLEEAVMTHRLEEAMMMEVRERAPLLVDDDGDIDSVGAAAVDEAIAWAKERFWSQA >cds.KYUSt_chr3.14351 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87162055:87167214:1 gene:KYUSg_chr3.14351 transcript:KYUSt_chr3.14351 gene_biotype:protein_coding transcript_biotype:protein_coding MECHHAQDRHREQAATLRGIQPGGVQNVARLRVGKRRARQDNPDRSKPPPAFASPNPHPHGYRGPPPLPSARCLAAPLRTKQLTAPSSFSSPTARPRAKNKAYRPERQPHHRPTVCPFSSPRASPPARRSRQRRTSAPLQPPANPHDTIASAAALPCTRTRGKKGLAGAMDRIVGGKFKLGKKIGCGSFGVIYLGTDMDTYEIVAVKIESSNAKHPQLFYEAKIYNALQGGSGIANVKWCGVDGEENVLIIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYAIDFGLAKRYRDSTTNRHIPYREHKNLTGTARYASSNTHLGIEQSRRDDLESLGYVLLYFLQGSLPWQGLKAATKKQKYEKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYGFVKRLFRDLFTSQGYEFDYVFDWTVLKYKQGQKPQ >cds.KYUSt_chr7.28637 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178607245:178608489:-1 gene:KYUSg_chr7.28637 transcript:KYUSt_chr7.28637 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQAHSATQIFPTPNPRFPMVRLAPSPPTRTTATDPDADHPSPPSRLLSKHRPRRRAAPPRPTLPPPAPTRGQPDLNRCSCCLVRLPPPPPGAKRHPIRPLRSLWRIVLLCSECLSLLRAAAVCSYCLSLDNLPSEDSAVTCRSCSRCVHHHCILAEHRMALVQPIDLEDFVCVDCCPTVKPGRRNVDATSAPKLELAIREPHSAVRGKREALTSAKLNSPKKAVPVSKCSKEVRALIAIGGESQSNGDPDLPDEKLALQLHLAMNGSQRISRSGSASGIVSAGQAKVKKGLVSGRKVNEDLGLCVTNMMDHLDYGENVAEMQSNWSAKHVMGFDPSVTVVLALEYTGKYAEDCMGGKRKGPPGTNQHDGLVDRYQMKYTRKSSKQANAESTGNRTMPNGNVSDSGKGKTPMT >cds.KYUSt_chr5.43412 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273542005:273545685:1 gene:KYUSg_chr5.43412 transcript:KYUSt_chr5.43412 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKHFKYVVLGGGVSGGYAAREFVKQGAQPGELAIISKEAVAPYERPALSKAYLFPQNPARLPGFHVCVGSGGERLLPEWYSQKGIELILNTEIVKADLASKTLTSAAGATFTYEILLIATGSSVIKLSDFGTQGADSNNILYLREVDDADKLYAAIQAKKGGKAVVVGGGYIGLELSAVLKMNNLDVTMVFPEPWCMPRLFTAEIAAFYESYYTNKGVKIVKGTVAVGFDADANGDVTAVKLKDGSVLEADIVVVGVGGRPLTTLFKGQVAEDKGGIKTDASFETSIPGVYAVGDVATFPMKIYNDVRRVEHVDHSRKSAEQAVKAIKGKEAGEAVPEYDYLPYFYSRSFDLSWQFYGDNVGEAVLFGDSDPSSTKPKFGSYWIKDGKVVGAFLEGGSADENGAIAKVAKAQPPVSSLEELKKDGLLFASKI >cds.KYUSt_chr4.39023 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240928224:240930376:1 gene:KYUSg_chr4.39023 transcript:KYUSt_chr4.39023 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAFVLLLLAARVHYAEANPGRRHPIRSIFSFGNSYTDTGNYGKLAAPVLPVIPFSNLPYGETFFGRPTGRASNGRLILDFIADEFGLPFIPPILGEERNFTHGANFGVIAATALDLGFFLEKNITTVPPFNSSLNVQLEWFRKLKPTLCSTPQGCRDYFRRSLFFMGEIGGNDYNFMRAAGKTNEQVALYVPKVVQTITAGVEAVVEAGARYVVVPGILPTGCIPIVLTLFASPNRSDYDARTGCLREDNAFARYHNSALLEAVRRLRCKYPAVKIVYADYYAPVMAFLEKPTTFGFSATTSGLRVCCGAGGPYNYNATAPCGLPGATACPDPATHINWDGIHLTEAAYKHIATAWLRGPYAHPPIFDAVHP >cds.KYUSt_chr3.13187 pep primary_assembly:MPB_Lper_Kyuss_1697:3:79340943:79342214:-1 gene:KYUSg_chr3.13187 transcript:KYUSt_chr3.13187 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRGWGQRALEEEIVEPPKSPVRMTRVGVVVLNSSVVYLPMHNLPLDSWKPCCVDWRSSGAVTGIKFQGTCSSCWAFAAVAAIEGMNKIRTGELVSLSEQVLVDCDTGSGGCSGGRSDTALSLVAARGGITSEEKYPYSGVNGKCDMDKLLFDHQASVKGFKAVPINDECQLALAVARQPVTVYIDASGFEFQFYSGGIYRGPCSADATQVNHAVTIVGYCEGPGEGNKYWIAKNSWSNDWGDQGYVYLAKDVPWSTGTCGLATSPFYPTA >cds.KYUSt_chr7.9569 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58350630:58351322:1 gene:KYUSg_chr7.9569 transcript:KYUSt_chr7.9569 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAVLPMWKELEYFQEYQQRLAKHVGRDGAKHIVSNAAYIVSVGTNDFLENYFLLVTGRFLEYSVSEYTDFLVARAEEFLTTIYRLGARRVTFAGLPAMGCLPLERTLNVIRGGGCNEEYNTVAREYNVRVNAMMARLRAKLQGFRLAYVDVYNSMVDVISHPEKLGLENVSEGCCATGKVEMAYLCNDVSPFTCHDAGKYFFWDSFHPTEKLNRFFATGITKALLEII >cds.KYUSt_chr6.9104 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56283594:56286102:-1 gene:KYUSg_chr6.9104 transcript:KYUSt_chr6.9104 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALTLQEQLAPTSPRTSQRSNHRRLFDGMQEGGVVASTAGPLFDEMPRPRSGEKTASAAILALAHASKHAEVAELFCRLQMDGVPVSKFMLPSVLKACARLRDSRMLRAVHVLVVKCALCRHVVVGTALVSAYVDSGLMDDAGKAFAEMDDEANMVSWSVIIGGYVRSCRWDEAWDAFSAMQRAGVLPVDSVLVMAIQACSALLCLVRGKQVHALAVSLGFERSATVWNCLIDMYGKCGDMGNCRSVFETMVDRDQVSWNTIIASYVRLGLCEEALDMVMQMQQSGFTVDRFTLGSGVAACAHLADIDNGRAFHGYLIRRALDTDAIRGSALVDMYGKCGLTELARVVFDRMDERNYVAWDALLSGYVENGQVDLALSIFRQMESANIKANQHTFVNLLKLCGNRRYTEYGRQIHAHAIKAIYQMNVVLETELIDMYAKCGCIEVARLLFLRMNERNQISWNALLSGLLSLCRYQGHLRYGRQIHAHLIKTGYETNVVMKEMDNLLLL >cds.KYUSt_chr4.6884 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40685527:40686854:1 gene:KYUSg_chr4.6884 transcript:KYUSt_chr4.6884 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVPRRFTVRAFGAATSGASIDPAVAMSTPGRLVVRSPRVPSAAPVPVAGRRALSVAQTLSKIKEQGKTAFIPYITAGDPDLETTADALRLLEACGADVIELGMPFSDPYVDGPIIQASAARALAGGTTIDAVLSMLKEVTPELSCPVVLFSYLGPIVRRGAAKFMTAVKEAGVQGLIVPDLPYAETCEFRNQASKNNLELVLLTTPTTPAERTKEIAEASQGFIYLVSVNGVTGPRATVDPRVKDRLKEMKQVTDKAIAVGFGISTPEHVRQIADWGADAVIIGSAMVKQLDEASSPREGLKRLEEYAKSLKDALP >cds.KYUSt_chr7.23194 pep primary_assembly:MPB_Lper_Kyuss_1697:7:144111760:144118523:-1 gene:KYUSg_chr7.23194 transcript:KYUSt_chr7.23194 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCSVSSLAARFAFFPPDPATYAVRKDEATGRLVASGVPRDNAMDVLLVDTRRGNKVVAFYLRNPCARLTVLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQENLILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKCPVLVIHGTDDDVVNWSHGNELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSRFIREMESITTKTMLKKIRQSLQPTKAAHRVNTATTTTFTTNCCCRIRVRKPTCPSCNFSCSCCHGLRNCFTLPKFKCPACLSFSCRGCFKCCCCGGGAS >cds.KYUSt_chr5.1463 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9949515:9953192:1 gene:KYUSg_chr5.1463 transcript:KYUSt_chr5.1463 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAALVFAGKSVATPAISFLVNKAFSYINKHLEYEGMDEVKNRLLLAMPKIQAVFDVVRPERVREQSSALDAWLWQLRDAVEAAEDAVDELEYYELEEKAKERKVSDWGSPFGKMKYKFFRSVKGVPVLNKTLKKITHRDTLKRLMKSVDGLDKAAAGVVRFLNLACHLSGVCTSSQRQVQKFVDNDRQTGSILSATIFVGREKEKEQILGWLANTSVELAETGVTRTNSIPIISVVGHGGMGKTTLAQSICEQDQVLKYFKVIWVTVSTSFGAASLTNKILECATGVKPSADHLEPLQRDLIEKLKSINFLLVLDDVWEDKRRDEWEKLFAPLRKLNTGSKILLTTRMPSVADMAAKVMGVQRDQCLTLQGLEEDENLDLFIHHAFSGLNPGDYVHLKSTGGQIAKKLRGCPLVTKVVGEHLQGNTTLENWSRFLDQGLEHFKGTEDDIMKVLRLSYYHLPTELQICFRYCCIFPQDYAFQKKELVQLWIGSGLISQPASDTQTSVDTAEQVLAQLTRKSFFDLKSKARGWEQEESYVMHDLMHELARNVSTGECARIDHPVQLKDEKATVRHLCIVNIHSFSADEVKKISHFENLRSIIIYDDIKVKSDIVCVLEMIVESSKSLRLFRSKLWNTFRFADKIGKLKHLRYIDLRIISPDMICGVAKLYHLLVLCCQFGLKVGTYEERYLGNLEHLRYVSYGEDRFGNFGISRLTSLQELGNYQVGGRICNKISAIRNLRELRSLEVKSLEKVENYEEAKNAKLKEKQHLNELFLEWSTPNQIMTDDLVLDHLEPHVNVKVLGIQGYDGPKIPFWIENRSVKTLLSLKLISCTNWEYLPCLGDLVLLKLLMLRDLPKLRQIGRPCDISSSSSTELVLPQGLVSLVIFECQKLTELPILPPSLVSLEIKGVWLTKLPMIGKISSESIKSKSSKLTEIIITGCPCLASLEGSLLEQKLNMGALHVPNDDDCIRPRSASIPFDEMKELLVLGSLQQLTNLSMLKLQNCSSLVSLPSADVFKSLRSLKIVNIAGCKNLSSLGGLGLLSSLLLLGIIGCSKLAEAAQSLVTRGDEEAYLVEPSKSLQITTLHIDLPSLLLVEPLKSLCRTEKLRISGVSAMDRLPDRWLLQNRPALQHLVIYKSNSLKSIPPSMQDLCSLETLCLFDVGQLQSLAYLPSSLKVLFVSGCHPDLEKKITKHGTSEWNKIAHIPDVIVGTSTLPLLSSRS >cds.KYUSt_chr6.25409 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161127488:161132992:-1 gene:KYUSg_chr6.25409 transcript:KYUSt_chr6.25409 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSVSVRASASTVAGSRAAADPAKVSCARSAGSARFGCSFPSIAASSSSSIEPLRAVATQAPPAVPQYSSGEKTKVGINGFGRIGRLVLRIATSRDDIEVVAVNDPFVDAKYMAYMFKYDSTHGPFKGSIKVVDDSTLEINGKKITITSKRDPADIPWGNFGAEYVVESSGVFTTIEKASVHLKGGAKKVVISAPSADAPMFVVGVNEMSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDTRSSIFDANAGMGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALVTAKH >cds.KYUSt_chr5.42768 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269430689:269431291:-1 gene:KYUSg_chr5.42768 transcript:KYUSt_chr5.42768 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGVMPFLCRFLELASSFGISSSPSFGGCPWWMMALGKGFGDGSLNKLAGALGHGALARSGHRGGGRWGEFLRSGVSWDVQGRSELHLGDLHMVAMPGYRDLWPERRHLQTPLMACVQPPVRRPFRGFMLAFNVLVAPSGSVPGAGKGGRRWISRSGGEEEGLDRFSYLLFRVLSVKPEDCSVIFLFSGVLCNLYSHRE >cds.KYUSt_chr4.2305 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12859956:12860363:1 gene:KYUSg_chr4.2305 transcript:KYUSt_chr4.2305 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCTPAASLRTSSSRLVDGEGGAALHASSLLAEVVVAPRGCGAPCYAPAASSRTSSSRLPSVVLMAPRCTPAAPTPDVVAASCGDEDVAGLHAGSPDMGIVLIMARGDGRSAGLHASSANTDGCLRSSSCMHA >cds.KYUSt_chr7.30186 pep primary_assembly:MPB_Lper_Kyuss_1697:7:187959725:187960543:-1 gene:KYUSg_chr7.30186 transcript:KYUSt_chr7.30186 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSPALITPPNPFPFPFPDPIPFPPPRRRRRERGPGFAAAAAAFRPALHGSRAHRRPSRGVQGHHPLVRDVPLRVRPPPQAGGGGRAPKPTAPGKKTAAAAAAEAEGLSLEPDARLALYIAMAHAGLAKALLVLYGLYLLLADFLRPLQWALLCSIPLRETQRALIAFWEPPLAAGLNAIVLALPLAALRSCAATLADARAALLRRRLPPSPPSFPRLLRWLVSSFFFLLLLERLGTAAALVILALSLAFSAASSKPSIEGTPRQCPPIGA >cds.KYUSt_chr7.23293 pep primary_assembly:MPB_Lper_Kyuss_1697:7:144928475:144930934:-1 gene:KYUSg_chr7.23293 transcript:KYUSt_chr7.23293 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVISTSKSLRSSSPIPVSSHLILALIRRYVRADMPSEAAELFRRMEDYGAGAPDPAVALASLLGALSRKRLAGEAQALFDSYKSVFPPDVVLYTTLVHAWCRAGCLDKALRTGTTAGELGKGADLLYARDQGT >cds.KYUSt_chr2.34398 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212430163:212432826:1 gene:KYUSg_chr2.34398 transcript:KYUSt_chr2.34398 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCVFYHRLLDYRRPEVESLAELFGGPGAGDAVEWRLLENHHADSPFHLVRLPGDERLAAQIANRSLLVKGFYELWGHGATYDELEKAIKEYPEERKLPYLTPESSFKIIVDSFGKVISFEEQNEIIKGFTYIPFEGRVNLKKPDHKFFVLETDDYGSQNGLPPVAQKTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDCEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCVLRADNNLPPWRPGLKEIFDAIICDPPYGVRAGGRKSGGRKLLKGIIPPYTVPDEKRENHIPSTAPYSLAECVHDLLHLAARMLVIGGRLVFFYPVLRDDDVADVTKFPEHPCFKLVASCEQILSLRYSRVLLTMVKVEPYTEEIEKMGEERHQEFRENHQKWMEEGNLHSAVFSPAEQDGKPKFDKDSKPKYRGKYV >cds.KYUSt_chr7.39864 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247648938:247652641:1 gene:KYUSg_chr7.39864 transcript:KYUSt_chr7.39864 gene_biotype:protein_coding transcript_biotype:protein_coding MERYNIITEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRKMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKSKGKPFSETEIRNWCFQVFQALSHMHQRGYFHRDLKPENLLVTKELIKVADFGLAREIISEPPYTEYVSTRWYRAPEVLLQASFYSSAVDMWAMGAIIAELFSLRPLFPGSSEADEIYKICSILGTPNQHTWAKGLQLAASINFQFPQSESIQLSEMVPSASEDAVNLISWLCSWDPCKRPTAVEVLQHPFFQPCFYIPPSLRFRSTGYPATPPSVGAKGALDQKNARRYPVGTLSNGRPAVNNSYLSTNTPARAAGVQRKLELDHQMKLESNHKLTKENAMNQPWSRLPPPIRSNGNYLAKDQITHAPDLAEKLSQLSMASNRAPVLSSDKFADLKGRTHGDAVRQPLPLGSRAWHAPNDPFRRTYEMPGERALLQRKLVS >cds.KYUSt_chr4.19353 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121602400:121603221:1 gene:KYUSg_chr4.19353 transcript:KYUSt_chr4.19353 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVLPTLRRKREVDTAIRDTLDKVLVLRFGRAADAACLQLDDVLAKSSWDISKFATIALVDMDSEEIQVYVDYFDITLVPATIFFFNAHHMKMDSGYVIVPLVLISLL >cds.KYUSt_chr3.2075 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12078527:12079360:-1 gene:KYUSg_chr3.2075 transcript:KYUSt_chr3.2075 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRILNTSKPTLPPSPPPPQLHHPPPQPPPKLGRRAAAVAIAVSPALLGITPVVVAPPSARAQEAAPAAQEVVPAAAAACIDELPITAKAFLDVSIGGEPAGRITIGLFGDAAPAGAARFLSLATGVGYRRKEFVKVVPGYVQHAGVVSYPALPAVTDRLAAEMDAVRARCAGGGGGTTMHVAGAVSIVVRDPSLPPPRPKLVARGGKLEIQEEQAGVVPNGTEFVIATRDSPELDASALVVGKVVDGMDVVAKIAAVPTVKDNSGSGYFKSVLLN >cds.KYUSt_chr2.3197 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18936743:18941869:1 gene:KYUSg_chr2.3197 transcript:KYUSt_chr2.3197 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRFASGANDDEASSSRRRPPALRLRGGNRGGLHIGEAARGGAALPQPPPLLLEPKPESSEEDPDLRAALLISAAEEDAKWPHLHAAVRTSEMEEAARRGAAPHSPSLPPPGPPAHASPPAASHKPLPSPATAADPAPHAAGTGGRSPNKSSTQSSHGSHAGASSTPSNGVSDTVVIAVGTVLAFLVITLIGAAVWYTKKRRRRESNDYHAGFMSPTSPPSYASSSQRPLGDSANAVSSSADPSFHNTNHSAGSPRLKACASDISMGNSRFFTYQEMYEITDGFSPHNLLGEGGFGSVYKGRLPEGKDVAVKQLRDGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIANSQRLLVYDFVCNDTLHYHLHGHGRPLLDWSARVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFDALVADFGLARLALDAATHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELMTGRKPVDASRPLGDESLVEWARPLLSRALETGNLEELVDSRLEKNFNEVEMFRMIESAAACIRHSSSKRPRMSQVVRVLDSLADIDLTNGVQPGQSELFNVANTADIRMFQQMVQGKQDDSSGFSQYSWISRSRADAEAASSNSRIL >cds.KYUSt_contig_319.869 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:5579729:5587591:1 gene:KYUSg_contig_319.869 transcript:KYUSt_contig_319.869 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRAPKKILESYTIKGSDKVIKPGDCVLMKSVDTSKPPYVAKVESIEAAGSRGTNVRVRVRWYYRPEESIGGRRPFHGSKEVFLSDHYDVQSADTIEGKCNVHSFRSYTKLDSVNAEDYFCRFEYKSASGSFVPDRIAVFCKCEMPYNPDDLMIQCEECSDWFHPSCIGMSIKEAKKREHFFCQSCTAENGKTAENSHEATAQSDEKVPPLYATPPRTIVVAVRPCPASNTAHNPRLAAHNPPMRFRPQFQRHQHLDQTAIEVPAVVPYTSENVIEGNTVTEGALQKKLGL >cds.KYUSt_chr3.13392 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80684072:80690621:1 gene:KYUSg_chr3.13392 transcript:KYUSt_chr3.13392 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDSITEQSFATGGWGAALADHFARQALSADVVLRGLSGYNTRWALKVLDRAMEGAAAGGADPEAVTVFFGANDANLPDRSQGHQHVPLAEYQDNLRAICAHFKNKWPSAATILITPPPIYEPARIRHIYGDNDPSRQPERTNEAAGTYAQACIAVAKELDHPVIDIWTRMQQFPDWQTSALCSLTSPKLSHHPAVPLPRTNPPHRLATSTATGGGDAGDQDLDIGRNLLRSPHRHLVFARDLELVLGRKNGAVTRCSGGDGDDDGDDDGDDDEDDVQLDDGDDGVDFPLREGISPADSCPPESSFLSGVFRPAEAAVTLRDYPLGLRKAVRAIFSELDEINAKPPIFPEASEHRRRVGEGPGGHHTTWRRGPGLGRAGLGCGAPSAPSRRLFAYKSPFDLKTQYQLTKLQKDSRGAATVAKLQFGGQNSLFRHPAGRGIAPGAISTAVFTAIAASMMRRE >cds.KYUSt_chr7.3124 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18527641:18533081:-1 gene:KYUSg_chr7.3124 transcript:KYUSt_chr7.3124 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSVGIPTLPADVSAALAPDVEYRLREIMQEAIKCMRHAKRTVLTADDVDSALSLRNVEPVYGFASGDPLRFKRAVGHKDLFYIDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGVQPAIPENPPIDAISAPAENKRTEHVKDDGLPVDIKLPVKHILSRELQMYFDKIAELTMSRSSTPVFREALVSLSKDSGLHPLVPYFSYFIADEVTRSLADLPVLFALMRVVQSLLRNPHIHIEPYLHQLMPSMITCIVAKRLGHRLSDNHWELRDFSANLVASVCRKYGHAYHNLQIRLTKTLINAFLDPHKALTQHYGAVQGISALGTSAVRLLLLPNLQPYMQLLDPELQLEKQTNEMKRKEAWRVYGALLCAAGKCLYERLKLFPGLLSASTQPLLRSNKRVATNNPNKRKSSTDLSASQPPLKKMASDVPMSSMFPAATMAGNMPGSVDSFSMQLPNPSMMQASSSGQMADGIAAAGLIRRDQGGNHHAQMVSAVLRQAWKEDQDAGHLLASLHDVFGEAIFSFIQPPEISFFL >cds.KYUSt_chr2.45695 pep primary_assembly:MPB_Lper_Kyuss_1697:2:285012095:285014692:1 gene:KYUSg_chr2.45695 transcript:KYUSt_chr2.45695 gene_biotype:protein_coding transcript_biotype:protein_coding MDEARSTALSIGLIDESHRRKTPRALELTSTRDLDLELGWRGLSAGRSERQDDGDFDLARCQVGWVVWPRQNVRKMDLPRDKRMVIVNLREDPSSRELEGVRPRENAAVNGWESECADLHDRTPGGLLGMGGEMGGALA >cds.KYUSt_chr1.9552 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58273318:58274349:-1 gene:KYUSg_chr1.9552 transcript:KYUSt_chr1.9552 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGSRFPQANYGIKMSGGSGGGRSGRGGTTSKKWQNGLDVGRSSSFSTESLHHITINHLVVGDDATWDGAAGARCTRAEGPPFGIAARRFFNAISHRLEGTEAVDGGGSGRPSTIGDGSDCSATVDALPQREASTLPCRGSDLLQGSPFNYRRRQRLQCHRGCTPAKRSLDSSLPRIRPAAGMSIRDPTSLVSMTSERRKP >cds.KYUSt_chr5.35825 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226224702:226228097:-1 gene:KYUSg_chr5.35825 transcript:KYUSt_chr5.35825 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGANTASSVGESPPPVSPPGRPVAKGRGLRRWRRIPREHHDDEGSPAGPVTPAAAATTTSTPRADEDLAQLHKRRLPVGADAPKGKQDAKAEEEEEEDSPVASVESSFLPPDAPPSPPPAPAPTKLDLDLGFLIASAGFSVGAGGADSDNSDDRASKSSAALPRHDFSLAGFGRDRDRARSRAPGAAAHAKNLRTARARGVGAARAVSVASSTADAENSRSSVESDLRSTGAAHARKSSAGVSSNGVHKFLYTDGEHSDEDAPSEHLRSPAGGFYKENGSVVGRMVMGNGDLYAHDNGFEGSIGKGENGGIHSGLDPYTESISMLQSAQEALENEIQMFVEIGKENSDNSTANYDDNEWSGSPDREEFSEELGEKLKLLESKLEEASTLIDEKNSRILELDTLNQPREVALYSSKLLSLQSEVDQLLMEKMEAEIQCFILTRASEAWQPQTEDHHTLYEAQKSLSEDHKQLEVKLRHTENRAIMLQEMTEKLDAQCKDLSNASEVLKLQAGASRASLFCSIQLVLLCIAVWTFITRFLPTPTEFVPT >cds.KYUSt_chr5.30281 pep primary_assembly:MPB_Lper_Kyuss_1697:5:192044126:192052700:-1 gene:KYUSg_chr5.30281 transcript:KYUSt_chr5.30281 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRGSNARRAGHRRIADFLADDQTTNTDTSDNESYTTAYGDEFFAAAAAAAGGGGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTTASLYGATSAAFPPRTPEPPSGALGAALSRCSSTSSRIRKKFAWLRSPSPAPSPSPRAPTPAELQREAAMAARERRRIQARVNRSRAGAKRALKGLRFISRTTGSVEAAELWRRVEDRFNELARDGLLSRDDFGDCIGMVDSKEFAEGIFDALARRRRQSLERITKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLETLLLQRDTYMNYSRPLSTASGAQWSQNLGAGVSSGALPAARGGAEDDVENGETTTWGGGMRARRLGLGRGVRRAASHVRVAAEENWRRAWVLALWFAAMAALFVWKFVQYRRTAGFEVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRVIASGPEEYRLVAGAFGAEKPSYAGLVSGVEGMTGIAMLLLMTVSFTLATHPFRKGEKAASSAPSRLPAPLNRLAGFNAFWYSHHLLGIVYALLLVHGYFLFLVTRWYEKTPANVVISWSFQTWMYISVPLVLYVGERMLRALRSNAHPVKILKVSSEFTFFCSVFFLRHPFSITSAPGDDYLTVHIRTMGDWTQELKRTFVENYFSPHVNRRASFGELDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETTQTSRSEDSANSFSVSTASSNRKRAYRTSRAHFYWVTREPLSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWREVFTKIAAKHPSATVGMIFTQAVYSFTSVDVSNIPETK >cds.KYUSt_chr3.37946 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238788906:238791661:1 gene:KYUSg_chr3.37946 transcript:KYUSt_chr3.37946 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLISTLPAFTLLLVAPASYKPWKLARELGLVALLLARELLCHSASAAAAPGRKDRAARRMPPPPPKAKQAPPALAMDDDALPLLDLPELALDRVLEELAPASLAAMACVCAGLRDRCSMDSLWARHVRAKWGRVLGAAAREEWEADLAARAAAAARPRPSRRRSWADSLACAWPFSWIGCSWVKAETPAAPAVHPAPAPPADTVAAWYRALDCGEFWFPAQVYNREDGHVGFLLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEEDGVQWCRVRAAPVSTPAQDLHASGCLDGLHPGDHFEIQWRKNKDFPYGWWYGVVGHLESCNPNEHLCRCHEDDTIMLEFKHYAAGSRWRQTTVSRKDHREKGDEADGFYGGIRKLQTKDEISTWRRFWPVDVLS >cds.KYUSt_chr3.6902 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39688058:39689500:1 gene:KYUSg_chr3.6902 transcript:KYUSt_chr3.6902 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLPAAVRLKELLDQFADATGLTINFHKSTLVPMHVPEADLVEIQAALGYRVEGFPQTYLGLPLSCEKLTMLHFALLIAKVDKCLSGWCALLLSSGGRVVLLNAVLDALPTFAMGALDLPPALLRAIDALRRAFLWNVPGRATGAKCLVAWDAVCRPKREGGLGIKCLAAKNECLQLKLVHRLHWKHLQALMPLYRSISVAKVGDGQRVLFWLDNWIGGEAIGSRWPILLSHALRRDATVSSVIAVGVRQSLVPRLTAAAERLLPALLALVGEVALSEATDMRELVRCRKKAGGLDASVLYRLRTWGGVDDPAHDFMWKNFAPSKVRFFAWLLSKGRVQSRASLLHKNMLAVAEAGCPICHAPLETANHIFFECPFARRFWGAVGFQFPDTADVKLLHEYAAHAAVPPDSASTFTLLCLWNLWKHRNAVVFREQQPCLPLLLHLCRSEARFWRARLPGDQELAEAAWLGCLTENITSV >cds.KYUSt_chr7.4818 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29117403:29120461:1 gene:KYUSg_chr7.4818 transcript:KYUSt_chr7.4818 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGLSPSCTAGKGLAAASRWASRLHSALPGGRPPPPRAAGLPVSMARRREGPRRRAPLGSVSMARRLKDAHLHHALQASRFHGAPPGRGSLPPRVLLGPFSHGPARRQTSPMDPLLFPSTPAPGSKKDPPPSGAAPVAMVETYPVSPDDVIEDIFAQLPAKAVYRFRCLSRRWVARLVSDNFADLHLRLANSPGVLKMPSHVMVVGWRMCGRWSRCRRRRTSACPLRPRR >cds.KYUSt_chr4.1165 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6136176:6137747:-1 gene:KYUSg_chr4.1165 transcript:KYUSt_chr4.1165 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTEDTVNPRPWQHGGVTGSNLQLKPLRVLAFRALSDCVRRQAFHREQIAYGGRFQLLSVLLCAAVRAEGAASNRSKLCGRILICGVLSDYIHHGPIDRRKEPVIHGFEPLNILAFRAILDHIQRRVFHREPVQNGNFQLLSVLLCSAIRAAHDDRFKLCCILTCGAVSDYICHEAINRRKEPAIDLLRDLPEGVLCTILSKLPLDEAVRTSAVSRNWRNLWTLCPKLSFDGSMMCGKNNYPERVHVLMFIRNVNRVLAQCCGKLVQELAVKINFNWTLVEHLNNWVSFSVSSHTKALVFDLAPEGRQPTGPYDDRYKFPFELLDKDSIHRLQKIHLSYVEFHPPMLFSGFPNLRKLDLNLVNVNGEDIAHMLSNCCNLEWLSIVRCHLNGELEVKGPLPHLLYLKIASCERNTNIAFHAVNLATFEYRGMAVPIDLGNSSELECADICYFGDTLERTISVLANVLTNVQRLTLTASCKRPEVLSSIILYNS >cds.KYUSt_chr3.7055 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40685211:40687371:1 gene:KYUSg_chr3.7055 transcript:KYUSt_chr3.7055 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCRSRVVANMPVACPRHRELWVTDLDSIVARAVDKGGGDGGVGEGEGGWEGRTHERIGRKESHEWIGDRQLWFFTVLQCRGKRTDDLESPETLDGTASQILFTGRPYEVLTIAHLAPVVRPRSATRRSEDPVLLRLVPSCEQERVATRRSEDLLLLRLVPRCGQERVATRTLHRVTITTAPLPPSAHLLPGVRPRSATRRCEDLVLLRFVPRCEQERGGRSLVATTSRTGRPSRHRHGRAYFGCRASRACWRQQARGFRSSAPMAAWWGALTAAPLLLTAISEEDPLIGRSHAVRHGAAGSLLHLDAGVLRGTPPPAPAPRQDDELHADAEGGDPIPGDELNADAEGGDPIPGDELHAHAEDDGEVGALPVGELNAHMGNNDEVAALPAAAAEEAADIADVRGKLVDVYQLMDELAARVDPQARRECAKLCRDQVRLLELYDRLAAEATGHRLALSAALAEPTPMPARLATHPAEFVR >cds.KYUSt_chr1.42309 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258997836:259001551:1 gene:KYUSg_chr1.42309 transcript:KYUSt_chr1.42309 gene_biotype:protein_coding transcript_biotype:protein_coding MATELAVTGWFLSPIIREMQDTALAYIRGQFSWKKDQEKDLERLDTTLTEILTIVDVIEKREIKDGNQRRLLGKLKDAIYSAVDVLDSFQYMVLESKVDSQSAVSCVTSSCIYLGKRLVGTDNFRRKLADILEKLGEVKTTADTLLRVVSFDNATAKLLPVTRLRVTTPLKENHHIYGRRDELDNLRDMLFEISDSSAPGPSNAPVAPGDSSIPNVISIVGVGGVGKTSLAQLAFRDEQIRMNFSLRMWVSVSDTYDEIRLTRDILECSTDENYHTVTEFDKLHNAIREKIGGKKFLLILDDVWYDEDRTNWENKLLWSKVLSCLNTGLEGSKILVTTRADKACSILDARTPPLRLGGLDTDDYWLLFRNCAFGEKYPGQFPELKEIGVQICRRLNGLPLAAKIIGRLLSADFDIGHWKKVLESDLSGDVMKILRLSYQHLPVQLKLCFSFCSLFPKDWLFDLNKLTEMWIAQGFVQREDPYDTDSNIEDVAKGYFDELVQRSFFERSLFGLPTDYVMHDLINDLARNVSKDEYVRVENDKQQKEILPNIRHLSISANFLGAMKKTELRNLRTLIVWKKRFTCLKLSLPDDVFNKSKSIRVLDLSGCCLDRLPTSVQVLKHLRYFAFRVPERPWQTPLIRLYHLEVLATSGHSCRESECVNLPATMKRNLLKLRKAFLYNIYGATISGFGGQTHLHGVGEFHVRKESGYKLSELKEMKNIIGQLKIRSLENVERQQEAINACLDCKEHIKYLELEWSIHARSLTCDLDYDVLSALRPNPDLDRLKIIGYRGARSPNWFETNWLTALSSLALENCISWVQLPPFGQLPLLKYLQLSGMHAVKQIGQEFYGNGCFPVLEDMVFDGMLQFEGWSGTEDGSSLLPCLERLHISRCPKLREIPSSFSAKPRVEVEISSDSSPTSCLIDSLIATASRLIFLACSYSFLSDLNTEQLNHVAELNMKNCTDPMPTGGFHRLGSLEVFRISNCSTLLSSVSTEAVEDQLETYLLPPSLCHIEISQSNFHRNLLPRYLQGLTCLSTLVLESCHLMTSLSFASGPHHLTALETITIRGCNELASLDGFRNHRALRKLVVADCYNFCSLPTDLNIVGSLEELVICGCPMMRFLPQDGLPTSVQTILLSSCHPELESQLQRKEGAEWNKILHIPKKKFEVELIDLLTLFPANSL >cds.KYUSt_chr2.34809 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214825885:214829180:1 gene:KYUSg_chr2.34809 transcript:KYUSt_chr2.34809 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQRWRPTVNEREFIEHALQSDLRIDGRRPFDFRKLKIAFGREDGSAEVELGETRVMGYVTAQLVQPYKDRPNEGTLAIFTEFSPMADPAFEPGRPGEAAIELGRVIDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALCTFRRPECTVGGDDGQQVTVHDPEVRDPLPLAIHHLPIAVTFAYFGDGNIMVLDPTYKEEAVMGGRMTATINSNGDVCSIQKAGGEGVTSSVIMQCLRIASVKAADITSKIKSNVDEYTTEKAVRKVKRTPVLVAKKNDVPDITMESNDGALESQTSKTPNDAQDTSKDPPAKPNDKRHEDAKSRLSESNLDVESMPNSGIAGESDEAKESVSPKSLKDAVKPKHKRKKKQHGKS >cds.KYUSt_chr1.35112 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213997848:214002237:1 gene:KYUSg_chr1.35112 transcript:KYUSt_chr1.35112 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAAAAISRSSSSALRRQLARGAAGAGGAEQRQWARGYAAKEITFGVGARAAMLRGVNDLADAVKVTMGPKGRNVIIERPNRSPKVTKDGVTVAKSIEFKDSAKNVGANLVKQVADATNKVAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRKGINKAIDAVIAHLKSKAWMIDSPEEINQVATISANGEKEIGDLISKAMGIVGKDGVITIVDGKTLDNELEAVQGMKLSRGYISPYFVTDHKTQKCEMENPLILIHDKKIVNMNSLLPVLQISIQKKRPLLIFADVEGEALSMLVLNKHRAGLKICAVKAPGFGENRKANLDDLAVLTGGQVVGEDQGLDLSKVELQMLGTAKKVTISLDDTIILDGGGDKLQIEERCQQLRESFEDSTAVFDKEKAQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNAARAAVKEGIVPGGGVALLYATKELDKISTSHEDEKIGVQIIKNALKAPLMTIAANAGVDGSVVVGKLLEQDNLSLGYDAAKAEYVDMIKAGIIDPVKVIRTALQDAASVSLLMVTTEAAVAELPATTARIASRMPDMSGMGF >cds.KYUSt_chr3.11119 pep primary_assembly:MPB_Lper_Kyuss_1697:3:66185043:66197613:1 gene:KYUSg_chr3.11119 transcript:KYUSt_chr3.11119 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFELRNEVGLGDPALYGAAAAANAAGAGKRGGTGVGGGEADPKALLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVITTSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVAGSDWHTQLQNEKNHLLSSDLPRFMMDSYEECRDPPRLYLLDKFDNSGAGACLRRYSDPSYFKKSWDVMRADKTANLQNESRSQKIKRKGSRLKEPYPGQATSRHRNGELQRALTSVQFTSRQCASPSTDGRSFSEHRSTSDARSNPENISRSSSFSSKARLSFAEQASEAKPSNNDHDRLSNNGRDKLSNIHLHKLSDASSSILLNGTRADDLGDDPKQDSMSDEMVARSPSVEWHEKTAIVMTTSSVYCDDVVMDRAENPETEHIKPVRREVDHREMETLEQQGALLQKAKLLLLSSGLNHHDEVPSETDNYMDALNTLESETETEVESATKKRGKPVQSSNAHAPQMESVDSIVSQLPVSSPAEFPNTCRDSRMSHMLERTAEFPSLSSADGPGISQHAFSGYTDIHPNEWSSVATIAENNAEDAVGGPHPPEISEPAPRACTATLPNQRSPDAKEIPESKAEVAPRDSPEMSKPGLSTYAVTPPNKASVVNKILESNAEDALEDSSVEGTSCLVPQPTISFTPTCDASAAKISPGDSAGNSFVISERSPQDYMGENHEEFGGFGMAKVSNSQTMPLNESSENGCATQQLPANAPTSSVEVPSVKLWTNAGLFGLEPSKPPVFGGQDGPREVTANQNNSTTIESAIRTPDTVSSQTNRPSECSAYFEQSVHNNMNGKQTSISELLESEDIAENGSETYSATDMAGRNNLHVVSASSFSSIAQRFLANTLQRRTPKYSDLPMSSGKVNTDANGSYEATVNSTVTPKETVFEASQLDKKTENGMDGLSKSSTFSSCHYSEKSSPPLEHMKISFHPMSAFEMSKLNLDFSDSNLHDTADDMILPTFQLLPECSVPQPGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSELWDQEDEIGLEDHELHNDSNQIGSSTAPISSYMGFEQMNLSGEKSAISFSDTGDHNGLGTLESHPAGELPNFDTLMSTNNHQNGFEQMNLSGEKSTNSLSDIGDHNGLGTLESHPTGELPNFDTLISTNNHQHGDTLIPDNPVNLSPDQDQMPPPPPLPPMQWRTMRQTTSLEEERDFTAKNMLKNASSLLPVHTPVQEEHLPPDVAPDPQGNDKEVINQKVDAVKEISHLSNIFEIKSSLLQQIRDKPDLQKLNGHQKSKALVSDVNSLDEREELLQQIRSKTFNLRRINESKTSTSSQSTANSNVVAILEKANAIRQAVASDGGDDDSWSDLADTCRVESAHDAWEVGAMISLHSAPLFTLLIKLEPGGRRRLALPQYAVLRRPTARSVRAAAVAESVGAELRRLARDRVAGDDDDRLLNYETLLVDRFLDILQELHGSNFRRVAEECLRVSGEYQRDGGDQAARLAQLGALFTSLDVGDAITLTSSFSHMLNLANLAEEVQMVRQKKMEASRRGSFADEGSAPTESDIDETIQRLVHGLGKTPREVFDALRTQTTDLVFTAHPTQSVRRSLLEKHASIRRHLTQLCVEDMSENEKQEIDEALQREILAAFRTDEIRRTPPTPQDEMRLGMSYFHDTIWNGVPKFLRRVDTALKNIGIDERLPYDVPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSKMGSLMFELSMWRCNDELRAHADELHRLSSRKYAKYYIEFWKQISPREPYRIILGDVRDKLYNTCERAREILSHGESSIPEDHTYRSVEEFLEPLELCYRSLCDCGDQLIADGSLLDFMRQVATFGLCLVKLDIRQESERHTDAVDAITTHLGIGSYRDWPEEQRQEWLVSELQGNRPLFGPDLPQSDEVADLLGTFRVIAELPEDSFGAYVISMATAPSDVLAVELLQRECGVKKPMRVVPLFEKLADLQQARATMELLFSVDWYKERINGKQEIMIGYSDSGKDAGRLSAAWQLYRAQEEIVDVAERHGVKLTIFHGRGGTVGRGGGPSHLAILSQPPNTVNGSLRVTVQGEVIEKAFGEENLCFRTLQRFTAATLEHGMNPPVSPKPEWRALLDDMATVATEEYRSIVFQEPRFVEYFRSATPETEYGRMNIGSRPSKRKASGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHAMDTPSGLATLREMYEEWPFFRVTIDLLEMVFAKGDPGIAALYDKLLVPEDLLPFGEQLRANYAETQSLLLKVAGHEDLLESDPFLRQRLMLRDSYITALNVCQAYTLKRIRDGEFRPATRPPLSTELIEDTAESLVELNPSSEYDPGLEDTLILTMKGIAAGMQNTG >cds.KYUSt_chr3.18617 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114494237:114494707:-1 gene:KYUSg_chr3.18617 transcript:KYUSt_chr3.18617 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQHRPRPRNGGGRRRSLTPAGFRELCTGSVSGRTSLCAVREAERVASLTEAVLSVTIRIGAGARQDGVTRAAEAQHGMHMSGGHAVNVELLKDTPVRPCPLPPLVGKMCCSLSLWVSLGWSLSSDRAAAVRAGTRSTSSSSRTLPCGRAPSLHL >cds.KYUSt_chr1.39115 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239112257:239113036:1 gene:KYUSg_chr1.39115 transcript:KYUSt_chr1.39115 gene_biotype:protein_coding transcript_biotype:protein_coding MALPWNLDGGRAIVIEDVPPGTGIADLIGAFSVYGPIRFATVGPPAVVSFETEASAAHAVLVASMKSVSLLGAFREKKMHVPAPAPAQPAASRSHPPPSSALAPPLLGVWTETEVRSNEASPAEVAASQTQVSPIEAAPPAEAVAPDTNSKTSQVQLQPPVREKTLQVPAQPATCCNHPPSSPSMASASENTEIGSITGGLNPAPREERRVPLLRSVASSVATLTEDEYYYKIIRAFLGGFLFFSFFIIPIFTVPEVFP >cds.KYUSt_chr6.3561 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20563410:20565218:1 gene:KYUSg_chr6.3561 transcript:KYUSt_chr6.3561 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIPPAVAATPGAKERVDSTSSCKITPDDCFSIQLAASRPIVTRARTPHEITKSAWKPLTQPAVLSEELKRASPPATNPTAKRSRVTSSQAAKDSASKANRNVRSGKNNRNEECASQGDKLDGPVIPSLPKKLQSGKSSSDVVSKRNPTIRSQGAKLAAPVLTREPETETGKDSASVVPLLARQLQFETPKTSEINRSVAPAIAEATSSGTNQVNQSVAPATTDAIVRERRQVNQSVTPVFPEAVGNRTRQINHSFAPVFPEAIGNRTRQINHSVAPVCPEADSNRTRQINRSFAPAFLDHSFAPAFPGHSFAPVIGEAIGHRTRQINQFVAPVSTEAIDNRTRPVNQFRAPVVTLPRQHLQTNNQQRFIRMPLPTSQASVPGGATGPVVVPKLEIGNVNDPPKVPPHPAYRRALLIRQQEQLLEQFKLANSESELHIKGPAIFEDDDVPEPEPLGTRCALCKIDLAFRPQGAAAHDPLAPPVVAVLVCHHTFHSSCIEDVYGLAEPSECIACLDSQTAH >cds.KYUSt_chr2.11487 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73054874:73056031:-1 gene:KYUSg_chr2.11487 transcript:KYUSt_chr2.11487 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPRTGGATLPTRGLPEEIIFWEILTRLPPRSLLRCRAVCRDWRRGTSSRDFLLVHHGRQPRLPVVCSSGYGDDRHQCFFAFDHRAADARLQPVAHLEDSSCLEACCDGLLILSNHGASGTCYSVSNMATRQHAPLRQLSDFDLLGMYLHRATDEYRLLLQRTLIDPLPEGQVGCYIFALGSDQPPRYIGGPVAAESTYLHTPALVRDSLHWFPVHRQSDPQQYHAGSKVVIVFDTTSESFREMRAPDVPGRSYIFEMDATLGIYSLDKAMQTVYIWVLQNYESEVWEWAYSIKLPAAEIERRFRWLDHNWTASVVSVEGEVLLLVSHGEWLFYINIDGKLVDNFHRAGQHIYAWDLRLKQTLVRHNFFVALEGYAVNALPFV >cds.KYUSt_chr4.35619 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218708196:218717673:1 gene:KYUSg_chr4.35619 transcript:KYUSt_chr4.35619 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRFELAGENSNDEKHGEEGHLCCLLLSIGYLGSLSQVARDVEGADFARARQGARSGELPSSPVVANCQLMQNVMSLLPHAKNDMTFILSWRVGSNNMVAREFQLSFGFFVKWECQQIHGADGLDLVVGLSMPWLHINTTTSRKSLVPVRLPNLWLQNVLPDFLQDQQTLGSCADALLSVATGSRNMLLLLRLLCVWRMLNNMIAMLVMPSDMVFDNLDVWVRVLDLPMDMISRFHGELFGGWIGTYIGTDVDEEGLAWGKDLRIRVVVRVDQPLLRGISVKETEEEVEAKWFHVGAGIPGVAPHYTPSPTTFTCSLTPTGLNSSSRNLLDSAAGGTFMSITLGAATKLLDDMMINYSEWHTERAPQGKKVNSVEETSSLSDKIDAITSMLVNGRSNFDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSGNNYRPYPSNNGNGYGNSYGNS >cds.KYUSt_chr7.30879 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192308841:192309044:1 gene:KYUSg_chr7.30879 transcript:KYUSt_chr7.30879 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHNGGMKGFVSNLVGGSKGHGYGYGHGGYEQGYGGHGQQHGGYVQQGHSAYEHGYGGGHVQQHA >cds.KYUSt_chr5.35519 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224411620:224412084:1 gene:KYUSg_chr5.35519 transcript:KYUSt_chr5.35519 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPAPKESLLIYLLYHAVVSVAALAGLLRAALVFFGLPAPPPLLDDGEGADLLTPSGPTLAELFRARFRPARFGRRRGTGAAAASATPDCRVCLVRFEAEAVVHRLPCGHVFHGACLETWIDYDHATCPLCRSRLLPAAPPAPHGLAAWI >cds.KYUSt_chr3.14374 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87322132:87323782:1 gene:KYUSg_chr3.14374 transcript:KYUSt_chr3.14374 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLAIGHCREASDPRCLRAVLGELVLTFLFVFVGVGSAITGGKAVAAGGDTSAALIAVALGHALVVAVFATAGFHISGAHMNPAVTLSLCVGGHITLLKSAFFVVAQMLGSSLACLLLRALTGGEVTPVHALAAGVGPIQGVVSEVVFTFTLLFTIYAAILDPKSSAPGFGPLLTGLLVGANTIAGGALTGASMNPARSFGPALATNNWENHWVYWVGPLAGGPLAVAVYEFLFTVPATHQQLPVVE >cds.KYUSt_chr3.33285 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208941177:208945704:1 gene:KYUSg_chr3.33285 transcript:KYUSt_chr3.33285 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGEADPGAARRAAAGDDPAPRPQRPQLTKSRTISVSSPSAAAAAERPRGGGGREGLLARRSTTGPLPPVDALAPRRLTVAVDDPSHSASPPNGGVLDRDWCYPSFLGAHVTRPRAPRQQQQQTPPPSVVRRNPSASGPAPPLPRRAQREEEKRLASVVKLPPLLGERRPLSPPPPPPPPRARRFDLSPYCLLLLLVVTVTTSALALWQWIKVLGLQEKIRSCGGANAADSEDETLLLTDPGSAFASSANWNFVPMLALAIPAFLFKYGDQLRRHRANSIRTRGTEEEVPLEKRIAYKVDVFFSGHPYAKLLALLLATVILIASGGIALFAVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGRSEVIETNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIGKLGFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHIVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKLAAKGGKILMNPADDYVLREGDEVLVIAEDDDTYAPAPLAEVHKGFLPNVQIPPKFPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKEREKKLTDGGMDIFGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVQSDSRSLATLLLIRDVQSKRLPSEEVRSPLRQNGFSHSSWIREMQQASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFLDIMVRARERDEIVIGYRLAQTDEAIINPEEKSEIRKWSLDDVFVVIANGD >cds.KYUSt_chr2.5899 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36671965:36673283:1 gene:KYUSg_chr2.5899 transcript:KYUSt_chr2.5899 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSKAYVNGDEKHLVCVTGAGSFIGSWVVKELLDRGYHVRGTARDPSDQKNAHLLALDGAEERLTLCRADVLDYGSLSAAFSGCRGVFHVASSTNNDNAACTKDSMVVAVEGTKNAINVAADMGVRRMVFTSSYGAVHMDPNRSPDTVVDETCWSDYDFCKRTGVRNERARSFSSLHPNLYCCGKMMAEIAATEEAAKRGLELVVVVPAITIGPMLHQTLNYSSDHVARFLTGKKREYQNAVTAYIDVRDVARAHAIVYKLHDARGGGGGHRYLCLSAVLHRAQFVQLLRDLFPHYPVTAKYQDEGDGKPMVKPYKFSNRMLKDLGMEFTPMRESLYDTVVMLQQKGHVTVPALPMPKRARL >cds.KYUSt_chr4.7287 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43146007:43146978:-1 gene:KYUSg_chr4.7287 transcript:KYUSt_chr4.7287 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPFPMWHHRRVVAPPSPRVSGGQLLAANQSRSPWTWTQQRRIYRASTAAPVAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr4.35684 pep primary_assembly:MPB_Lper_Kyuss_1697:4:219184664:219187398:-1 gene:KYUSg_chr4.35684 transcript:KYUSt_chr4.35684 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAQVLTAPGQDRRGDGGGGEVRKPAVRCHPALSSEGKASIRGVVGQLLAATGKDKDLVSLGVGDASVHACFRRGGEFAADAVAGAARSGEFDCYAPSHGCPAARRAVADHLSAGARHRTRESDVFMTVGGTGAITAITTVLGGAPGANILLPRPGFAPYESACELVGAEPRFYDLLPRRGWEADLAGVRALADGATAAIVIINPNNPCGAVYSVQHLIQIAETARDLGIPVIADEIYAHMVFGGSKFIPMATYAHIAPIITIGGISKRFMVPGWRLGWLAFCDPNGTLKHVRTATEMLLNVTSGPASIIQAAVPKILTHEHNEFHLNVVDLLESAADALYKRINQIEALQCYSKPHGSMFMMVEINTSLLSGIADDMDFARELINEESVLVLPGFVLGLKNWVRIFYGAPINQILEACDRIESFCQRRACQSKLSKNKF >cds.KYUSt_chr2.48924 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306140596:306148812:-1 gene:KYUSg_chr2.48924 transcript:KYUSt_chr2.48924 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASARLLLHRATRTTASSSVLLHRPLDSFSRCSRSLEPPLPRPPSTVDFRRHLSDATFDAQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENENKPYCPFVCSLVKWISIAHLSLTIHPLLKTLNNGCLCCTVRGDLVKMLLKLVKQKGDKFDHIVIETTGLAKPGPVIETFCSDELVSKYVKLDGVVTLVDCKHAMKHLNEVKPRWVVNEAVEQVAYADQIILNKIDLVDDAELEVVTNKIKLINGMAQLKKAKFGDVDMNFVLGIGGYDLDRIEDAVQSNENKETGHCHLGHEHGHHHHHDHVHDSAVTSVSIVSEGVLDLDEVNDWLERLVDEKGEDLYRLKGVISVNESTGRFVFQGVHSMLEGCPAKPWEPDEKRINKLVFIGRNLDEAALRKAFKGCSDSMSPERISRRCAPASRPPLQEAGSRPYMPSLCSASRNPAVKCYGDRFIPVRSAMDMDMAHYLLTEPRKDTKNAAMPSPAKEAYRKLLAEKLFNNRTRILAFRNKPPEPENNILAELRADAASIQARPAKKRRYIPQSAERTLDAPDLVDDYYLNLLDWGSSNVLSIALGNTIYLWNAANGSTSELVTFDEDDGPVTSVSWAPDGRHIAVGLNSSTVQLWDSTSKRLLRTLQGVHESRVGSLAWNGNILTSGGMDGKIVNNDVRIRNHAVQTYRGHEQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVQSAGRTQWLHRLEDHLAAVKALAWCPFQSNLLASGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNDRELLSSHGFTQNQLTLWKYPSMDKMAELTGHTSRVLFMAQFSRMLVTLVAVCLFYIDPDDEHQDLFDVYLTGVDPGHPEVSYVWFLFLVRCYYPPAITKTGPFCPPRRCAAHYLLGSLPHARARRPARRHAVEEAAVHREGSPVSGIGGAAAAASASSPNTAYARHSLGCNRSSAPEGPDPPA >cds.KYUSt_chr6.12489 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78061618:78065020:-1 gene:KYUSg_chr6.12489 transcript:KYUSt_chr6.12489 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACAGSARKVSAVLYHYPCPDGAFAALAAHLYFSAATLPVRFFPNTVYDPIRSDGLPFDEIKDVYLLDFVGPPGFVADIAPKVQSVTILDHHKTAMESLCGRATLGENVNKVIDMQRSGATIAFDYFSNKLLTEASTSRNHGSGNAVTDVKYLPDNKLEMVHKLFKLIEDGDLWRWTIPNSKAFSSGLKDLDIEFNVNENGKLFDQLLELDPEQVISRGQVTLSQKQTLIADCLEKSYEIALGCGQFGNCLSIDWIINRPDPLARNVMACRRRPLLEVAVLKGERRESVAAGGGRWWRRVLLEAALIEEGAT >cds.KYUSt_chr2.48421 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302773779:302775737:-1 gene:KYUSg_chr2.48421 transcript:KYUSt_chr2.48421 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTGVGRTRRRRGDEEDLSEDEGLGDLPYEPDPNLVWVAPEEYHSLKYEDPSQRLPRGYANILGGLLRRYFRKAEGKENACDAVLHQMARTRMTGMHYEARVQCVRNWHADRFVHMTKEDARDTLMQPWQYLQNPPQYVGNDDQCFLAMVMWWTCPQYLKKYEEGKKKRAEMRGGSHIQGIIPISLHLQNEEVRTGVKPNVFAVLKKMKQRKTPDPEMGFVWVNPQSETQCLSYVSKFKQKYGEDANPEAEDFYPEVAVLAGEGLKHGRLWLGDGCVDPAKVPSLRQIRRGRGDGSKGAGSPGARTTDGVADSGVPAAPDTDDAADPTAAADDAAASGTDELADEPDGSVFSTGESSCSSFLLAVDAATVHSEPGDTSHREQHEHHPEHEPR >cds.KYUSt_chr3.7889 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45262129:45262587:-1 gene:KYUSg_chr3.7889 transcript:KYUSt_chr3.7889 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVEEEPATEKAKKTPAAKKPKAGKSLPAGKTAAKEGGEKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >cds.KYUSt_chr1.32222 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195696864:195698540:-1 gene:KYUSg_chr1.32222 transcript:KYUSt_chr1.32222 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDAARSRRRMDLNLYLGLPRGARTRRPDLGSDLALGNSMLSSSPSSSAASADAPPPDTEPLRPLHPPYSPTRADLMRPPPDLYLPAASDAIPELADDLDFAFLHAPPPPAPLRPSELLGWADRPSSSTASSTFRPDRSERYRRLISPSGRQLRYHRPRRFRSDLPPLSSDAPTPDNEAPPPPPPPQEPERDDTVATDTKLTAAGAVVASDNEPAEGGKSTAMFECNICFDMADEPVVTSCGHLFCWPCLYQWLHVHSTHKECPVCKGEVTEGNITPIYGRGNSSSDAADKKLADDGNASAPTIPARPHGNRLESFRQQFHHLRPISRRLGEAHGILSSWRRILDQQIMTSVGRFEGPPESSSVQEMIDHAHQTGRLGRITTRMRARRLQREAENPTFVDSSAPSGGVPVNSVPDPPRRSSSPLSSEGIDLLQRLTLEGLANTERLATAVSDLRRFSRPSPFSHFSHRASTSSSSPNHEPAVDGAHAAAAALAADQVSNSSTMAVIQEDAAFTESVGEPSNAGSSRTLRRRGRSDALASLDVDGGDIHRNKRRRLN >cds.KYUSt_chr6.4878 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28720682:28724163:-1 gene:KYUSg_chr6.4878 transcript:KYUSt_chr6.4878 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGAAALLYYTLNRRLQTEKLDSGEDSDGGSGRDAAASGALLESSTPSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNLSIASIYAGDDSVELKGAPVVTELKYLLSLLTLCWHFSKKPFPLFLETIGYSAEDVLMQEPKAGILKPAFTILIDRDRQCILLLIRGTHSIRDTLTAATGAVVPFHHTVVQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLTEALHMYPDYKIKVVGHSLGGGTAALLTYVLREQQEFASATCVAFAPAACMTWELAESGEHFITTVINGADLVPTFSAAAVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSMANAKARVAGAGCNEKSSQCSPGSMDKTRTTTILMGVYWAKASKYYFIYLNCDIVRSETSQYAASEEVQSSITASNAVSTLDDKVDSDGEDIIDHHVDEDRISDVELWQQLENELYRKREEENNIVEDTTENNVAEEVGGTAQDVLSETNEKEVHRFYPPGKIMHIVTSRKLAVVDEETEAVIDEEENDIHHDEEPIILPDDTTGESEASIGIYLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLVAELEKDLAL >cds.KYUSt_chr3.4204 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23950604:23952426:-1 gene:KYUSg_chr3.4204 transcript:KYUSt_chr3.4204 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKERGAGGGKKRKRKGDHPRPKQHEQMKKPAGTNGSIDLELLDCTICMNPLRPPIFQCAVGHVICASCHDSLPNKDSCHTCKTTGGYNRCVALEKILESFCVLCSNAKYGCTAKMRYHEAEKHGKSCLHAPCSCPESGCRFTGSTVKLLAHLTGDHKWRSKEVKYNVKFTLQVQEGIRVLHSRDGVPLFLVKFTMSPPLGNIASFLCVDPHATTKRKFKCHLGSSCLATGRQQSLDFQIRSTTLSDGLPPTEDGDCSLFPSSTSSISVSIMKIEQKHFRQSLLPYVAAS >cds.KYUSt_chr7.14749 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91167462:91167785:1 gene:KYUSg_chr7.14749 transcript:KYUSt_chr7.14749 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSPLYTAGEVMRWTTKDGPEDAWETAVAVCCSRDAGTVSCQGLIGVDVEGRWQACGHRSFAKVSSCNRADASSSRAENFIRTQDGDEVCTGAVKAGDFSFVADG >cds.KYUSt_chr6.23962 pep primary_assembly:MPB_Lper_Kyuss_1697:6:151433991:151435085:-1 gene:KYUSg_chr6.23962 transcript:KYUSt_chr6.23962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin [Source: Projected from Oryza sativa (Os02g0227200)] MAGRSPKEESSAAVREAVLLGGKNAAIAGTVVAVPTLVACRVLPWAKHNLNYTAQALIISAGTMLLKTILTELVCYCSSDCRLL >cds.KYUSt_chr2.35873 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221772874:221773299:-1 gene:KYUSg_chr2.35873 transcript:KYUSt_chr2.35873 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSALLLLVLVALAGLADLQVAARPVDVAAAKHPVTDNSAPELDGMMECMIGCFTTVMSCAFGCMGKGPDLPLCVISCNQKSIVCMIRCGLSPSPPGPKPPAPPAPTPKPPSPKPAPPKPPAPAPGPPYAAHNTETSV >cds.KYUSt_chr6.5360 pep primary_assembly:MPB_Lper_Kyuss_1697:6:31795564:31800439:1 gene:KYUSg_chr6.5360 transcript:KYUSt_chr6.5360 gene_biotype:protein_coding transcript_biotype:protein_coding MESELTEEEVIQHAMVASEAEERAKWIGLEEVIQRSQQEAAPALSPPPPTVEQLPPPPPLANIAHQYALIKQLHASCDVTSNDPIGLVALYNHASFLVSTGDSYGKTVDDHMSSTFTFGNKHSAFSSQNSDYGQPMACISYPFSDSGSGAWAAYGSRALPYLHESRHLHAMKRARGSGGRFLTAKQLQEQSRAASMKATADGVNSAGSTHLRLGNGADGDGTVSASKTIASHDNSKRVAAPAPAFTMTNAAHKDDDFFQHHGYHLSFSSRFGQASGRYS >cds.KYUSt_chr4.51145 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317125890:317126213:1 gene:KYUSg_chr4.51145 transcript:KYUSt_chr4.51145 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAGVQLGTSKPQIATQAEMAEERVPIAYRDQCAHLLIPLNKCRVSEFYLPWKCEPERHAYEKCEYELVMERMLKMQKIREAQAKGGATIGVPLIPSTAKLG >cds.KYUSt_chr5.12054 pep primary_assembly:MPB_Lper_Kyuss_1697:5:78391778:78397180:1 gene:KYUSg_chr5.12054 transcript:KYUSt_chr5.12054 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSGGGGSLRDRLRAVSRLAGSGFRRPQAEAQCSTGPVPGAAFDLEADPLPPVLTPEQVRLCKEALAHFEPRSKQLDVLSDEFQTLQGMRTMHPELMKTSNVARHPTNMEKNRYTDVLPFDDTRVQLKSSTTSQFSGNDYINASFLKATEDNRVSTFISTQGPLVKTFGDFWQMVYENQCPVIVMLTHFDRIKVPATKQSALRWISSECDKYLPLENREGTYGKYNVKIGKTKRDNHQLWLRDVEVRCNESGKVHSVHHIEYPDWPDHGVPANTDAVRQIRKRLHDIPKEHPIVVHCSAGIGRTGAYVTVHSSIERILLGDRSSYDVVETQQYVFCYRAIADELKDLLKSNH >cds.KYUSt_chr2.55119 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343685954:343686526:1 gene:KYUSg_chr2.55119 transcript:KYUSt_chr2.55119 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDIVDGETGRSVPRDGATMGEIMLRGGCVTMGYFKDEDATRAAIRDDGWFYTGDVGVMHPDGYLEIRDRSKDVIISGGENISSVEVESVLYEHPAVNEAAVVARPDEFWGETPCAFVGLKDGSAGKVSAAEVIAWSRECMAGYMVPKTVVFRAELPKTSTDKIQKYVLRNLAKEMGPTRRGVSTSKM >cds.KYUSt_chr7.3798 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22611136:22619407:-1 gene:KYUSg_chr7.3798 transcript:KYUSt_chr7.3798 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCLMWYSLLPTAQYWGSANPGLGFFHVEVEGPKVVQWLNMDNAGVVVVKDGEISAEELEKCFNDMWKVNWYWQIRQLGPKRFLVRFPPSKRVKELVEYPSINLKKDGVVIYFVNWEGEAEPFEEFQEVWVRITGIPAKWLTWKTICQVSTALGVLVNIDWHGIFRSFYKEVRVKVSVRDKSKIPANKLFEMEQCFFLINFLVENEGEPIDLDDDDGEDPGYTNEEGKLDDDADIGDDFRALDKNKSGGNNSKMETDPSIPSGNSGPRAAQMSLETSVQAKVYGKEAHIPNESVLVVRRAEDNIGKNLIQQFDDESDDDVEDINVGKEVMVSSKPELPVPPMAWKEKKHWGPVQATRMSSRIPRDGKSVIEKAQDLKKKKNLEIPKGNKTLGFSNSFAALDNHLLLGRAKNAGISLGVKTKNADSVIDKIKEGELKRLEDFHLTNPASFLPKDISLSMEELRAGLEDENEMVDEQDDHLSDVPDENEPWTLGHSRKRDDFWDPEDMVSQEHNAMLNADFSEKEVKDAIFGSYAEGAPGPDGFSFLFYQHFWELIRADFMAMSLSCKLGNFPMNYLGVPLHHSKLRKEDIQPVVDNILNRAGGWRGKLLSHAAKLELVKSVLASIPLYLLSVIKFPKWAITLINSQMAHCLWDNYEGHHKYHLANWGLVTRKKEFGGLGIPDLAEINLCLLASWIKRYHLSEDKLWKQIVENKYNLDNPNLFSCTLNGASPFWKGVMWAARAAKMGYQWKVGNGRSIKFWEDHWFGSCSLAIQYWELYFLVNEQDRSIADLWDGSSLKVELETVLEPLKIHFHGASTDMTHDERYTEHIEFLGLLPFISLLDNVCRMTEKV >cds.KYUSt_contig_97-2.129 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:595494:602040:-1 gene:KYUSg_contig_97-2.129 transcript:KYUSt_contig_97-2.129 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAAAPDAKIEKFRDAVAKLDEISENEKAGCISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLAPAPEDLDAMKALLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCDVPLLLMNSFNTHDDTQKIVEKYSNSNINIHTFNQSQYPRIVTEDFLPLPSKGKSGKDGWYPPGHGDVFPSLNNSGKLDTLLSQGKEYVFVANSDNLGAIVDIKILNHLINNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFEKAIGINGPRSRFLPVKATSDLLLVQSDLYTLVDGYVIRNPARVKPSNPSIELGPEFKKVASFLARFKSIPSIVELDSLKVSGDVTFGSGVVLKGNVTIAAKSGIKLEIPDGAVLENKDINGPEDL >cds.KYUSt_chr7.16267 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100766379:100770357:-1 gene:KYUSg_chr7.16267 transcript:KYUSt_chr7.16267 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQSSYFASRPPLLPSAATSPSSSSWSPPRHGPPRCRRALTAASSLHLGPEDFAELVHNKVLIAATAASLIGQLAKPFTSGGDVGKIDIIKVAARSGGMPSTHSAAVVAVTTSLALERGFADSIFGMSVVFASIVMYDAQGVRREVGKHARLLNKLWTLRDQTTQDQEDGGDLVNSTSEPHIANREMASVPQDASTSQRSNTMSFSRRSAATKLLRSNALRSSESELTELTEEYSRLSESVGHTEAQVAVGALLGFVVSLAVYATL >cds.KYUSt_chr1.36891 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225070841:225072497:1 gene:KYUSg_chr1.36891 transcript:KYUSt_chr1.36891 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGQSTDKALLDAEHELWRTSFSYIKSMAIKSALDLCLADAIHHHGGSATLSQIVARVTLHPSKIQCLRRLMRVLTLSSVFTIQPDGGGGEPLYALTPLSRLLVGSQNSASIMAFVLNPVLVTPFLGIGEWFQHALPDPSFFEHTHGKALWEMAGHDAALDVLVNSAMVSDSRFIMDIAVREAGDVFRGLSSLVDIGGGLGAAAQVISEAFPHVECSVLDLEHVVSNAPVGTNVKYVAGDMFQSVPPANAAFIKSVLHDWDDEKCVKILKSCREAIPPREAGGKVIIIDIVVGAGPPDKKHKEVHALLDLYIMFINGIERDEQEWSKIFLDAGYSGYNIIPVLGFRSIIEVYP >cds.KYUSt_chr6.27057 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171558745:171558951:1 gene:KYUSg_chr6.27057 transcript:KYUSt_chr6.27057 gene_biotype:protein_coding transcript_biotype:protein_coding MDTADDVGFATIHPHLDASSRAAAFPNPKGGRGGGGAGGMVASGRSVHELLECPVFINSMNPPIHQVL >cds.KYUSt_chr3.18075 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111098743:111102619:-1 gene:KYUSg_chr3.18075 transcript:KYUSt_chr3.18075 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYRVAPSRPVFLSGATHRLQPAAGRRSAGPDTELDIFTAERYFNAADAIKYSAAAVHAADAPTSQTAASSEASWNSRSGLLASNRSSSTAAAARQHDKVGHGGGNAIDLGLGLVVEVARDDRYHRGGIGKKTGQRWGLFSRDCPCAGRKAVTVDVASEPPSPTTPRIHHPRIDALEERAVFKANGLPPPSPNDEPGVMKIVSTAGSRAFPLRASIDGVIPPAPNQGSASSFPAFPPDVGRRVVSSGSGFTFPVIAPAVVDDPPRESLEVFRPIDEDSVVLVDPPPPSLGVAGFLRAPAAVAAATDHDDVDDAMSDASSDLFDLESFAASSSYPTTYRGRSSRRNSGDEDHLGLGYAAAAAEPALSECMYAPSEASVVWSVATAEGVAYDAGSVANFSSAASACCVDDFRFVPPTESAAGHNGFTAAMSRSAGRKKGGGFLNSCRCEKAVSVGPTPVRVARPPAVPAKTAGRAMGLESGGAARYHNRRVHMPVRTGLDSHPPGDFLGFFTNTSSHKQTVENGTSSQPIKVSDDTNGDNCARTEKRLLWTKEEDLRLVSAWLNNSNDPIQSNYRKNEQYWKEVAAVYNSTTPKNRARLVKHVKDATLDHNS >cds.KYUSt_chr3.46298 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291308255:291312271:1 gene:KYUSg_chr3.46298 transcript:KYUSt_chr3.46298 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRRPAHRLTRLTLVLVPVLLCSPPSSALSPGGGGDGDSLARLNLSRLSPSADPALLSAPSLAARTLAPGCRRLRNRTDFISPGKYSSPFYPFVNSWGARWASYESVNLVLSDDGKPKFEIEEVEPSKKGRYQTKRRLKLQRKREKKKRKEANKNDPRRIRPKGKKIKQKFPTAEARLKYKIEKARLKEAMLVEKLKRHLKNQNTEQSLETVRRFIAVSEKELELYYRHVALYGKPESENTDLVHGYGREASSLEMVELKHCGKDQGPHLAGKDFSDIDTMDTSESDEEYDSSSESDVNNVVTGDAIESSEDTDVLDNRVL >cds.KYUSt_chr7.30279 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188574118:188576710:1 gene:KYUSg_chr7.30279 transcript:KYUSt_chr7.30279 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVRDDDQLRGKDDRHTSSDGNEDSAWEIEEVAGPSSPPPPAAEPPASDADDVYVAVGKGGSSMAALSWALRQLSKPRSFVYLVHVFPAVATIPTPLGMMPKSRATPEQVESYMNQERSKRREMLQKYLDHCRNFKVNVDVYLIESDQVADAVVELIPVLTIKQLVLGVSKSNLR >cds.KYUSt_contig_6118.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001233.1:106400:111270:-1 gene:KYUSg_contig_6118.14 transcript:KYUSt_contig_6118.14 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSEIALHAAYQGDLSLLKKMAMEVDLQGVKDTEGRNTLHLAASKGCLQSCIFLLETCAVDVNSLSKSGETPIYYAALNGDIQLVKYLLDHGGDPAMPNEKGCTPLHAAAQEGHCEAIKLLLSRGLPVDTVDQQDGTPLHAALGKDKAEAVKVLLEHGADAGADLNAHCSFGPTALTHAIFHDSLDCLKLLIEAGADPNIPDRNAASVDERIAAWKSQGKEAYAKEDYRTAISFFGKVLDIHPTDAAMYANQSICWLRMRHGDKALEVARKCRKMQPRWPKAWTTRAPLMHSGEALQLDPNNEEIKEELRKAEKAVEDPQRVGKISKG >cds.KYUSt_chr5.35168 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222383862:222385070:1 gene:KYUSg_chr5.35168 transcript:KYUSt_chr5.35168 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLFSAARALVPPVPAPTPAPVPASSSAVAAAAESLLPLLPCKRRKKLLKKLRSPRVAPIEPEPDRRVPALDAVLDRDAAFRFLSRARSFMASLPPPHRIPLAEAGKLHRELGFPRGRSVTRAAARHPLLFHRTTVGAVPHLALTPLMRALLDEERRVHDDLLPSRVLAVRKLLMLTAHRRVPLAKLHHCRAVLGLPDDFRDRVRDFPDDFRVAVDPDGLHVLELARWDPALAVSALERDFVVDERRVRRTFRFSVPHRRSMPLEAEEAERLDAATTFPLVSPYTNGALLRPWTPEAEKYRVGAVHEFLSLTLEKRALIHHVFEFKEELGLTRHMYESLRRQTRAFYLAGTEMNWAVFLREAYDDDGVLRDKDPLVRFNEKLQGYACMTDMDPSQSIAEC >cds.KYUSt_chr4.40251 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248481418:248485764:1 gene:KYUSg_chr4.40251 transcript:KYUSt_chr4.40251 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPRPISPPRPLLVVLFVALCSIPGTTSLRLVTLDTIDIFTTGKWFSSKPTLYFRCNGDNMTYLPDVKEPNLIYTFKGEESWQPLTELAEKKCKRCGLYDDDFFKDHVFDEWEMCSTEFKDGKYTHFKEGQFNATFLCPNCTGSAGDSAAHHSGSEVEPKKTSVAVIIVVSVLASVLVILALFGGYKYWLKKKRERDQLRFLKLFEEGDDMDDELGLSNEL >cds.KYUSt_chr4.17156 pep primary_assembly:MPB_Lper_Kyuss_1697:4:107083915:107086218:-1 gene:KYUSg_chr4.17156 transcript:KYUSt_chr4.17156 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSSKPDDASAIRRRPASIGEVAVFVPGLRVPEPLELPPPPLTDSLPRRLTERLASSRGRIAAMAAREALAVTRPRRRAITQHGGSTSADLVQALEEYLPVVLGMTKDGSQLEDKIQYSWMNQEDDAEETALTSAWYEVLSVLHMMAMLRLSQANSLLLPKTSLEGYHTKVSEENKRASVEIFLKAAGHLECAMQHVLPRMSPEKRKGLPVDLSEGVLKATCMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESIADLPLLDGWGEKHRLFVKWKHMEAKAAAYYYHGLILDEGNSEKSHREAVAALQSAEEFLKESRAASEEFHAASPVSKSPVLWGSMKYLYDKIHKDSSCKVRINKDLYSNIDRTTHETAAPELPDFAVALKPEDYRLPRTDAASVND >cds.KYUSt_chr1.30996 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187812963:187815918:1 gene:KYUSg_chr1.30996 transcript:KYUSt_chr1.30996 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGLARSMAGKERKGEEEHGTVLRTSGTLRGEGSETFAAAYSRRGEKGTNQDCSIVWEGFGCQEDSIFCGIFDGHGQWGHYVAKAVRDSLPPSLLCRRQEAVALASLIDGEKKLSDCQFDLWKQSYLAAAATVDEELRRNRRLDAVDSGSTALSIVKQGEMMVIANVGDSRAVLATTSDDGKVAAVQLTVDFKPNLPQEQERIRQCKGRVFYLDDEPDVHRVWLPDQEAPGLAMSRAFGDYCVKDYGVISTPEVTQRRITARDQFVILATDGVWDVISNQEAVQIVAATPNREKAAKHLVQCAVRAWRSKRPCYAVDDCSAICLFFHSPS >cds.KYUSt_chr4.49692 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307646164:307648174:-1 gene:KYUSg_chr4.49692 transcript:KYUSt_chr4.49692 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDEDQAPPKRPRATPPPDQVLDNVLETVLQFLAAPRDRSAASLVCRSWHRAESATRVSLAVRNILAASPARAARRFPNAHHILLKGRPRFADFNLLPPGWAGSAFRPWAAAFASAAFPALRSLSLKRITVTDDDLDLLARSLPPSFRELSFLLCDGFSSRGLASIASHCRGLRVLDVVDCEMNEEEDDEVSDWVAAFPRGHTNLESLCFDCFTPQVPFAALEALVARSPRLRRLGVNQHVTLGQLRRLMALTPHLTHLGTGAFRPGDAAEDQGLDLAQILNAFSSAGRANTLASLSGFRDLAPEYLLTIATVAAANLTTLDLSYAPVTPDQFLPFIAQCRNLETLWVLLSRWYCFIFLTCALLPLISDDNLFCHQVLDSVRDEGLEAMASFCKKLQVLRVLPLDAHEDADELVSEVGLAAISEGCRGLRSILYFCQRMTNAAVITMSHNCPELKVFRLCIMGRLQPDHITGEPMDEGFGAIVRNCSKLTRLSTSGRLTDRAFEYIGKYGKSVRTLSVAFAGDGDLALQHLLQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFVWMSGCNLTLQGCKEVARRLPRMVVELVNSQPESERTDGVDILYMYRSLEGPREDVPPFVKIL >cds.KYUSt_chr3.41483 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261684406:261688281:1 gene:KYUSg_chr3.41483 transcript:KYUSt_chr3.41483 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSFCLLILLLVIPSCVLSESSDISTLYTLRHSIAEEKGFLRSWFDLEIPPCNWSGITCSGHNVVAIDLSFVPLYVPFPSCIGAFELLVGLNFSGCGFTGELPDVLGNLQHLQYLDLSNNQLTGPLPASLYNLKMLKEMVLDGNQLSGQLSPAIGQLQDITKLSMSMNSISGGLPTELGSLQYLEFLDLQNNRLNGSIPEAFCNLSRLLHLDLSQNNLCGSIFSGISSLINLLTLDLSSNSFVGPIPREIGQLENLKLLILGQNGFTGTIPEEIGNLKWLEVLQLSVCKFTGTIPWSIGGLVSLKELDISENNFDAELPPPIGRLGNLTQLIAKGAGLKGSIPKELSNCKKITLINLSFNELTGSIPEQLAELDAIVAFSVEKNKLSGNIPGCIRNWTNARSISLGQNFFTGPLPLLPLPHLLSFSAESNLLSGSVPAKLCEDNSLHSLILHDNNLTGSIEETFKGCKNLTELNLQGNRLHGEIPGYLAELPLVTLELSLNNLTGMLSESLWESSTLLQISISNNQITGQIPHSIGRLSSLERLQIDNNYLQGPIPPSVGALRNLTILSLHGNGLSGNIPVELFNCRNLATLDLSSNNLSGHIPRAISNLIMLNSLSLSYNHLSGAIPAEICVGFENEVHPDSEFVQHKGLLDLSYNRLIGQIPTEIKKCSMLKVLNLQGNLLNGTIPSELGQLTNLTIINLSFNGLIGPMLPWSAPLVQLQGLMLSNNHLDGTIPAEIGQVLPQVSMIDLSGNLLTGNIPPSMMCNKNLNRLDVSNNNLSGKIIFSCPRDIESSSELVFFNLSSNHFSGTLDESISNYTHLSSIDIHNNSLTGSLPPALSDLSLLNYLDLSSNDFYGSIPCGICSIYGLTFANFSGNHISTYRSADCAAGGVCSTNGIDNKVAHPSHRARRLLIICSLSLAVVIMLVLLVVYLRKKPLRSRSIVFVSASKANATIEPTSCDELLGRKSREPLSINLATFQHSLLRVTTEDILKATKNFSKEHIIGDGGFGTVYRAALPEGRRVAIKRLHGQFQGDREFLAEMETIGKVNHPNLVPLLGYCVCGEERFLIYEYMENGSLEMWLRNRADAVEALGWPDRLKICLGSARGLAFLHEGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTLLAGTFGYIPPEYGMTMKSSMKGDVYSFGVVMLELLTGRPPTGQEDVEGGGNLVGWVRWMMARGKRNELFDPCLPISGVWREQMVRVLAIALDCTAEEPWKRPIMQDVVKGLKMAQTMECGPLVVMVSRGT >cds.KYUSt_chr2.7856 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49154411:49162061:1 gene:KYUSg_chr2.7856 transcript:KYUSt_chr2.7856 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASLEGFPYRGSRYWGILDESFNHSYLSRFRSLHGYYLDMNCRLVNDFSEDETVAALFNHETVPASMWSTCNSQLATFMLICSRNSMALLNGGQQGYFHELYVALSSVLQPVQDTKLRWDPGIRGLLSFSGVDCDQVLRHCQHNDSSEIKRFCLLWIQDGSARCQWFSSCDDQAPFQGVRRMPALGRYEELRLPWDPGGAAAAWGQAAFQEGRDVRDLSYTVSWAWAWLVPVMGLALLAVNEGTTSTRDSATRKLSSWIRTETAELKKMARSVDSQELLDYSLRGLRGKPMDDGHFIAVRCNAETSAIEYRKKKLLSYPVEQIPESPPLAPDLSLSRDLAAAATELGGGFGIDGGGATNLAHQRPAPHFLPFSTSFLDADGGSHHHRFLRPGALARLRDSKIVAAPSAPPRGSFRPSRPRPAHPRPAVPSGGRHAALLFRRGRRQGRHAPVPAPEEARRGQGRSLSAPAAHVDRRCAFSAAVWVFALACCCFSASVWACGD >cds.KYUSt_chr2.16784 pep primary_assembly:MPB_Lper_Kyuss_1697:2:105554852:105555524:1 gene:KYUSg_chr2.16784 transcript:KYUSt_chr2.16784 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGLTIVELPSWYGFNIEHLLSSPMLKTSDASCVSSSSRVRSFSLAWVLVYNKKLDLDALSQGLPSHRDPKGTRKDKFYEKAREPALRVIRKLLEADGKYFISFRYADDNDVEVHAQQPNLELEALWSVNFLNTMRKAYGSVKLIVIFVFVF >cds.KYUSt_chr5.6980 pep primary_assembly:MPB_Lper_Kyuss_1697:5:43248567:43251800:-1 gene:KYUSg_chr5.6980 transcript:KYUSt_chr5.6980 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDGTDQLFRLPPIKSIGTRPATLARCSFLSAATLFSLLFSSSLPPHVGLPLLRFACSSGLLPAVRVASVLHHHGHTRRRARPATSTPSSSLLHLRHVIRASRRLALFRAVPQRQDPASSTPAAAARPQARIIAKIVLQQLSLPNVDVFASSSTPLPSLRVPPLSLCLRHLCILLLRQRLVTFWSQWSVTWTGSSLGMKIGTMKNDARRLPLRLGLGRVMDAIGYLQDS >cds.KYUSt_chr4.20748 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130626688:130631994:-1 gene:KYUSg_chr4.20748 transcript:KYUSt_chr4.20748 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVGLAKSVVEGALTKAQSAIEEDSRLRQSAQRDLVFITGEFQMMQSFLKLADDERTRNIVVRTWVRQIRELAYDVEDCIEFVLHLDKKSQWWRRMLPPFIAAATQPLDEAIAEIRQLKARVEDVSSRNARYSLISDSGSKPAVVQQQPPAAARAAMGAAAFSMLVEATDIARRQHGDLTQLIPKKDDGLQVISVWGTGGDLGTTSIIRKAYNDPEICQNFTHRAWVKLTHPFNPHEFIRGLTAQFYANSCIELHEEALRGVLTRSDATQGDFLSEFVRQVNDARYLIVLENLSTMAEWDSIRTFLPDKKNGSWIIVSTQQFEIASLCVGHSYQVLELKQFSAEHSVYAFREGSQGDREKDEEIPAEHGVGPATILTSKNNAATAWMKKNSIIGRESEMNELREYTTRARFTSLQVISVWGIAGVGKSALLKKFFCDRIRTNLFVKYGWVDVSHPFNLRDFSRSLLLDFHSESLQDKEAAHHGTIRFKNPIQECRDLLEQHHCLVVIDDLQSKEEWDLIKGALLSRSSESIIIVITTDSSIATCCADKEELVFNVKGLQDDAASDLFHQEVERMKPSSTLKNLKKEPLEELILKCGGLPEVIVAIAGVLAKKTVTLMDTVDSTNGKFMHTLETNPEYDSLQGLFGWMYSYFRSCPDSLKPCIFYMSLFPRDHSIRRRRVVRRWVAEGYCRDSEDKSAEQNGEVFFSMLLDLSIIQEPSQLVTTPVGDTRMIACRVNGFIREYIVSRRMEENLVFELEGSCNVTTQRTGRHLIIRDSWDRDKIVFESMDFSRLRSLTVFGEWKQFFISESMKLLRVLDLEDASGIKDADLEQMLKQLRRLKFLSLRGCGEIHRLPTPFGHLQQLQTLDIKRTSIMKLPGNITKLQKLQYIRAGTSVQAEESLTPCLSVPKFCGSRGQVGVVVPGGIDKLTALHTLGVVNVGASGGKAILKELEDLTQLRKLGVSGINMRNSKKFSSAISGHVHLESLSVQLDNDSEGCLSGIKLPLKTLQSLKLHGPVEKLPVLEVSELKKLTKLDLEMTTLTETDKRLIGSLPKLCTLRIKLLQDSQLGFFITDTGSGLELPTYEKVKVLEIASCSSLDVTFGEKTMKSLELLKAHCCSGSSVKFSGLDNLTELKVVLLKGCCDDELKEELRTQLNGHPKKLTLKLEA >cds.KYUSt_chr3.6849 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39375195:39377938:1 gene:KYUSg_chr3.6849 transcript:KYUSt_chr3.6849 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFVQVYSGGELVKGPKGVEFGDLSQEGIWFEAAPTYGELIDAVCKRLGLDPATHSVRVQGRTNVGGGAHRHFIMVPINDDMSWSNYVKAVFNGTDWNCLEVYVQAEKRSSAEAVSSEVELMDSEPPDVQRQDAPPPDPAQGASLFNPSMMNAYAPAVHSLRARLRKPRTNTRTYAAKGGAEVQFGQYHAGALETVDTTIYPLIGLYDEDHRARALVSGKNLTELNPRNREPLRFDKRYECYLRAAGLIGLANISRAGLPSIDRALVSALVDRWRPETHTFHMPCGELTITLQDVAMILGLPIAGRAVTSNQTESHSELYQRYLGKMPPSDKTRHGLKVAWVRAEFNNCPEDADEETVKQHARAYILSLVGGLLFPDASGDRYNAYPFPLIADLENIGSYSWGSATLAYLYRAMCDACRRQSDQGNLTGCLLLLQLWSWERFPIGRPDMVKLKYPNVEELEDERDRPAVGLRWVVGVCTYRSAPARCYEHFTNEFDLLTDDQVVWSPYREERVEKLQLAPICTQDSHLWLTQAPLVFFFMVEVYTPERVMRQFGLHQECPPPYRDTSMELHWCRRGRVHNDWADKHKSFVDMWEVKEQHVIKEERPYNPANYMDYLRWYRRSTRIRLCTPRISTGNQAGASGPSAIADPEDSLRASRLRYTPRAHLIHAVTDKLTILAKEATSQKGCSRGECNAFIELVTRTCVELVGELGGSSLCDIAATVPCSLTAATVAAEPEVEHQRDNEDGTNDPMLPDQETEPGPDSEMRTRSQVGHTQVDGTVHTNSPPGKRKRGRPGPR >cds.KYUSt_chr2.49108 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307359708:307363252:-1 gene:KYUSg_chr2.49108 transcript:KYUSt_chr2.49108 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQRMEGDQAGGGGDLTDIVRAGGAMPGNSDGDLPSTAAEWQLQPAGQPMLFPPLPSSSDGADVFGDPFAGLGDPFSSDYSSGAANFLDGIPDAMAKVGFDGGMSACGGGRGGEQMLDMGRKPLLPRGMQQMMPGGIGGGMGPRLMPSPLSPIAIRPYPAMTTGDMMKLGITAGQAAGCAIDAAVAGMQMSSPRNSGGIKRRKNQARKVVCIPAPTTAGSRPTGEVVPSDLWAWRKYGQKPIKGSPHPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHGKNGSGGGGSGSGSKSSQNVKQPQPNNVKEERKDHQTATTTSTVTTTTSTSPMIVKEETMTLARSSDQSLVRDQRSVDTAAGVQQVDHSELMDHVFTESYRPMMAESGQHEDFFADFADLTELESDPMSLIFSKEYMEARPSGNGHHVQEKAAVAKELDPFDMLDWSTASTAGSTSELGKRS >cds.KYUSt_chr6.20830 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131362801:131365665:-1 gene:KYUSg_chr6.20830 transcript:KYUSt_chr6.20830 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLALLLCLLLLLSALPCSGAASPSRSSSPSAAPTPLVPALFVIGDSTADVGTNNYLGTLARADREPYGRDFDTHRPTGRFSNGRIPVDYLAEKLGLPFVPPYLEQSMRMGVGSVGLTNIDGMIQGVNYASAAAGILSSSGSELGMHVSLTQQVQQVEDTYEQLALALGEAATVDLFKRSVFFLSIGSNDFIHYYLRNVSGVQMHYLPWEFNQLLVNSVRQEIKNLYNINVRKIVLMGLPPVGCAPHFLSDYGSQNGECIDYINNVVIEFNYGLRYMSSEFIRQYPDSMISYCDTFEGSVDILENRDRYGFLTTTDACCGLGKYGGLFICVLPQMACSDASSHVWWDEFHPTETVNRILAENVWSGEHTKMCYPVDLQEMVKLKK >cds.KYUSt_chr5.28137 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178048396:178049280:-1 gene:KYUSg_chr5.28137 transcript:KYUSt_chr5.28137 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDLSGEELLRALEPFIRDASASPPLHSHLSPTSPFSFPHAASAAAAYSGYQYGVQAQAQAELSPAQMHYIQARLHLQRQNNPPGHLGPRAQPMKPASAATAVATPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRGPLHASVDAKLQTLCQNITAAKNAKKSTACLSVSASTAAATSSTPTSNCSSPSSDDASSSLESPESSPSPTTAAPAEVLEMQQLDFSEAPWDEAAGFALTKYPSYEIDWDSLLASN >cds.KYUSt_chr7.27280 pep primary_assembly:MPB_Lper_Kyuss_1697:7:170461854:170463793:-1 gene:KYUSg_chr7.27280 transcript:KYUSt_chr7.27280 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKGWSRTVGSARSFVGNAMGGVRGWSNAASWAVAGSLAYYLWVRPARELKKEQEERAALTAASDPYRYVEKRKPIPDPQDTGLTYGKKKDPTKSDN >cds.KYUSt_chr4.4879 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27976391:27976924:1 gene:KYUSg_chr4.4879 transcript:KYUSt_chr4.4879 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMKRAREEEPVSLALSLTTDSTTSTTSADSSGSPAATQKKRPRRGRVVATSGEGDFVCKTCGRAFATFQALGGHRTSHLRALHGLELGVGVAKAIREKKRSEEKQQHECHICGLGFEMGQALGGHMRRHRDEMALTLSGAGSGANDHWVILRPDQEQVAGHGSHRPPVLLELFV >cds.KYUSt_chr3.17876 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109717344:109718138:1 gene:KYUSg_chr3.17876 transcript:KYUSt_chr3.17876 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMRAADVLPPRPSYPACTSGRSRLPLSSCAVLPPLAESEADYPVFTADDEYQALMLSEFGPLMPLLTQEEVQAAMLADGGEIHPPPLLPEDESKPMIADHNSTEVRPLIQPCPDDSNEVRKKRKNPPPPQPSAEEDAVDEPSSRPSKRAKMPSPRRTNRMMATCLLERSHNAPEPWESALWCHCNELPRNSRPCALHQNAPGWRWMKEHDQKPPVGSDDGITVPKSRTDGKRMALRYMRWRCRVWMPTRFYVEHAQLIKMEL >cds.KYUSt_chr2.8075 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50789485:50790315:-1 gene:KYUSg_chr2.8075 transcript:KYUSt_chr2.8075 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFGSLAPDLLGKIRCVWWWRRRGKELRRSEMAPLDLPPRISVNKPKVCSPSSISMFVLFVLLPLAGRGGEGWGRWMEDGGSMEMSRGSLSLVFWCGTGGWPSSCDVKSPRWGMESYATPAKPPCNKHCGLQRCALDILDIDLAGRGGEVEDEDGDDDVFFAFRRWEVTFLSSSKATPWPILLPAMDSGKSTSFARSFLRFAVAYYGCMEASGFVPASLHDGGVADLWLDGGEREGLDCFFSSFSEVFSANARDLYVYLDLMGSFVILCTSSVWI >cds.KYUSt_chr2.2046 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12307629:12307958:1 gene:KYUSg_chr2.2046 transcript:KYUSt_chr2.2046 gene_biotype:protein_coding transcript_biotype:protein_coding MSATIPGFHAHPSHRRMAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPEYCGKPTRRGRPQRRPSHPP >cds.KYUSt_chr4.48422 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299845930:299848434:-1 gene:KYUSg_chr4.48422 transcript:KYUSt_chr4.48422 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHDRIPPIQQTPGIKHCYIRRRSLNSTLRLDHESRPPVGLPLHARETLGPHPLFRSDPHVGDHPGMATPTLHRRLRRRLPSISISPPERGDRSIDPPPPTAGRPSPAKKRATSTVVPKAAAPGDLVSRVKPKIASTHRREAGGNWRRPWAWPPPTTSPCRSAAESRREEGAQRRPPPPINRAGRSTMGSRREKAAQRRPPPPIPSVRPPCSRRGDPAAVHHATTRKGPRRRHAPWVFAPEALPAAAAVRAGKAGRPEESATEAEEALKKQAEEGALLNSTGSEPLMHKQTEEEQGKRPERQLYAEIQRALLDIQEKLAKKNWKTDKRTLQLLKDMSNKLDMLDQGGDDKETDEIDDRGELDIDQTCLDTNEAFFEPDKLFLDPLAKEMADEAESFASYSRLWEYKWGKTRGFFTDPTVLSSMQFTHYTPGRLPYSMECTTLETLQIISIKLTELAGALELPLSVYGVVAVRDMVDRNRNILFSRDWRNPQELKQNDPFLHLTGPSRAIVFMDKVCIEIMLRVKSGAYSQDKALISCVRRYTGVNGPCVSTICFKNSLCTVEVCLQPVKQTVQATILGVQVASEDGSWPLKYGGLVACSPQSGKLVFTDSGYTRRINPSSSQIVLIESGDEAMLKGESGHVLLRRQVVSVQLDGRLDFFIKAYSKSGAIAAETRVHFYPKVCNISQKKCYLGDAGVTVTVAWSLVAANKTELCLELKGGSIF >cds.KYUSt_chr2.41477 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258025917:258027631:1 gene:KYUSg_chr2.41477 transcript:KYUSt_chr2.41477 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCIPLLGGDQYSNDDMKKSQLKGRFLSWLKFANSLGALIGLDVMTSSKKRQAAIADDIELQETSTAECVDGQDKSDSRIIRRILIPLLKRFTGHTHGITPLQRIGAGSACGIAAACVATLVETRRLIAAEQHGLTSTGAAVPMSVFGLVIQFFLLSIMDIASFSGLIEFIKSESFPQMELIAPAVQSILSGIAAWLACAFIQLMNRVTRHGDNVRGWLDGADFNRTRLDRFFLLLAAFELVALINYAFWARRYANKQHSSA >cds.KYUSt_chr6.26940 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170820685:170821359:1 gene:KYUSg_chr6.26940 transcript:KYUSt_chr6.26940 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVRVDGGRDGTEKSTGGALENEAHRCKVGDDDTDVSDILEVMATARSSAWTQRGRSARRGSKGTRADEGAVQNLDTLLAATSSDGSNKEKRE >cds.KYUSt_chr7.39550 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245840690:245842307:-1 gene:KYUSg_chr7.39550 transcript:KYUSt_chr7.39550 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPLRYLAGTAGPSGFGSRTTAEEATDGAGDLSHITAIVTGATSGIGAETARVLAKRGARLVLPARNLKAAEDARARLLAELGPATDAGRVVVLPLDLSSLGSVRRFARRFLALRLPLNLLINNAGQFADRLAVSEDGVEMTFATNYLGHFLLTRLLLGRMAETARDTGVQGRVVNVSSTVHTWFAAADDPFAYLHRVTRKTTPYDPTKAYALSKLANVLHTNALAERLKEMGANVTANCVHPGIVRTRLIRDRAGLVTNTVFFLASKLLKTVHQAAATTCYAAVHPAVAGVSGKYFADCNEASPSKLASSSKEASKLWSFSEGITAEKEKQGVVHPTSFRLQVQNSNADRGMAIA >cds.KYUSt_chr7.12961 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79958817:79963252:1 gene:KYUSg_chr7.12961 transcript:KYUSt_chr7.12961 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLSSRLLILPPAATTTPLRPRQPAKTLAASYRRHGPRVSVAVQAAPSGGAVAPAAAAEDAGLPPAEAQRLVEFLKADLPHLFDDVGIDRSAYDDRVRFRDPITRYDDIDGYLANINLLKIIFRPDFYLHDAKQTGPYEITTRWTMVMKFSLLPWKPELVFTGLSIMGVNPQNLKFCSHVDIWDSIQNNEYFSFEGLVEVFKQLRYYKTPDIETPNYLVLKKTANYEVRRYPQFSVVEAKGEKLTGSSGFNNVTGYIFGKNASSEKIPMTTPVFTQASDDKLSDVSIQIPLPMNKDLNSLPAPNTEAVTLQKVEGGIAAVKKFSGRPEEEIVVKKEKELRSQILKDGLKPQQGSEAQLVFPNVDAEMVDT >cds.KYUSt_chr6.28457 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180305765:180308464:-1 gene:KYUSg_chr6.28457 transcript:KYUSt_chr6.28457 gene_biotype:protein_coding transcript_biotype:protein_coding MHERPPGPSLAAVLSVLLLSLSLLAGPSYCWWCSEEDQPCSPPMGFRSDGSPATGGGISEKGRFSYGFASCPGKRASMEDFYETRVDDVDGETVGLFGVFDGHGGARAAEYVKKHLFSNLIKHPKFMTETNAAIAETFNHTDSEFLKADSSHSRDAGSTASTAILVGGRLVVANVGDSRAVVSKGGKAIAVSRDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDGSLEFLILASDGLWDVVTNDEAVAMVKPIEDPEQAAKRLLQEASVRGSADNITVVIVRFLDGTTTTGAGPSEEVAKDQSS >cds.KYUSt_chr4.55042 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339864328:339864639:-1 gene:KYUSg_chr4.55042 transcript:KYUSt_chr4.55042 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNPSVAVVALMLPLGALMLALVVLAAAPDGARAACDASQLAVCVSAIMGGAPPTPECCANLTAQQGCFCQCAMEPANGSYIKSPNARKTLQSCHLAVPTC >cds.KYUSt_chr4.47141 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291781952:291784334:-1 gene:KYUSg_chr4.47141 transcript:KYUSt_chr4.47141 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYYFRCFGRGCVHPAAVNQHKGARHDPKRTQLQSTPSTSSASSSSLDFREEYTSAFRTESYNDFWARVLDITLAHGDALVPRPSSRSGGGTSSSKRLSSYRLFAEHLLEPDQAAAAAALASARNRPDVHGLLAAYYHETAGASFLCSHFLRDIEQIRLRYRPLKNALRGRLGAGLADVSAALGKPFTALAATQGRLGDARLSSADLLKGLDSGRKAARRRIRTLARLRQALSVSFVAVVAAVAVVGACVGVHVLAAFAAFPMMSPAWLGLFSGRGARRALVQLEAAAKGTYILNRDMDTISRLVERVRDEGEYMLALLQLCVAEEDAGGKGRLVQEVLRQLCKNEESFRQQLDELEEHLFLCFMTINKARTMVIKFMPTAADR >cds.KYUSt_chr6.6032 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36223846:36224591:1 gene:KYUSg_chr6.6032 transcript:KYUSt_chr6.6032 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVASKRIPLARAMEKLLAARCAPGAGPVLRPVAVAGGLRGYNSGAPLRRYEREESDDDARRGATRDVAVPGFFSDVFRDPFSAPQSLGRLLSLMDEVASPVSRAAAAPLRRGWSAKEDEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGDKDSEEEEGAAAPRYSGRVELAGDVYRMDQIKAEMKNGVLKVVVPKVKEEQRRDVFQVNVD >cds.KYUSt_chr4.8052 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48032238:48037925:-1 gene:KYUSg_chr4.8052 transcript:KYUSt_chr4.8052 gene_biotype:protein_coding transcript_biotype:protein_coding MHLREHSCGYRRWIVKAIYDARGKMYLNIGREKFARHHSPRAGFILVFSYFGNRDMSVKVFDERRRLRDYHVDRDSHDDKHRRGGRPNECCFFAAEYVHGGGDDGLIPSHRAVTRLPTVAVSTRTILAPRRAVKDVPEAGLDAPDPGRLVDGRAGVLFADEDQHGDAAAVLPRPLGSVIWSSGPELQQRQFSDKRKSSLPSGKSYGTLERPATPEAPAATVASLTGQPYGAREGGSAREAREQLATMRRQRSSRTTTARPAPSSAAAATPRPELRCRHLAPMPP >cds.KYUSt_chr1.5678 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35072093:35075132:-1 gene:KYUSg_chr1.5678 transcript:KYUSt_chr1.5678 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRGLRRNLPRAASGPLHGRCAPSISSARFEAARRGGDEAAASAAARGGGDEAAASASATAGAGVLCRRLMSTSKGRSMRSKVEKRMAKETGRTQRELRRAVKLRKKLMTEDERLIYSMRRAKKKVALLLQKLKKYELPDLPAPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMASMLTRLSGGIVVNIHNTKTIIMFRGRNYRQPKNLIPFNTLTKRKALFKARYEQALESQKLNIKKIETNLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDNQPPAGTTELKEPHDHPSEDSDQEELDHFIAEIESAAEKQWEEEEAAEKEETSRLQYRYREEMGERRGFSRSYDNSDGDDRSQGRYRRDNNSNKWTTDKRRWDDDSDIEASGEDLDDRRGFSRSYDNPDAEDRSQGRYRRDNNNNNNKRTSDSRRWDDDTEASGEDWDSDDGRDNAVGFDNERGAPDEHPRRFESMRPERSRSSHTQNYTPGASRGSSQTPKRSVTVSDDSEDDDSDTENDDVWGSDYKEETSSRAQKVNFSNYESSSSSEEEGTSDNWKRSGSTGQMKKNTDEDWDSD >cds.KYUSt_chr2.52597 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328166914:328169460:-1 gene:KYUSg_chr2.52597 transcript:KYUSt_chr2.52597 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFVVLFTTFFPRSALAVFSSEFSFFLACGASSNLSFPSDSPTRTFVPDTAYLSPSPSPAPAAYSSNPTPNSPLYDAARVDGGSAFSYHFPYPASQSQDASSFVVLRLHFFPFVPASSDPAASLSSYSRFNVSVLDDDYALMSFFSPPDAGVVKEFFVPRGNYSDFTIKFIPNNSSSAFVNALELFQAPAELLWNNPVTPVGSVGSNDLAIWQQQPLETVYRLNVGGPKVTKENGTLWRTWLPDGPFLLGASGQTRLTSTSSPIVFNPSAGYSNNVAPDVVYKTQRAANVTDFLLGTNPGLNSEITWTVPAVTWTFPAEPRASYLVRLHFCDYEVISSVIGVGIVFNVYVAQAIGSRDLSPNDQVSVSRSNTAFYFDYAYTARAPSTGNLTISIGRSERSSEGGILNGLEIMKLPAIDISSRGETKRIMVIALSTVLSAAVLACAVLCFFVVRRRRRRLAGQSTNRSSGGTTIRMQRVSTTLHIPLAQIKAATDNFHKRNLIGAGGFGNVYKGVLPHNGGTPVAVKRAMRVTKQGLPEFQTEIEVLSSIRHRQLVSLVGYCNDQAEMILVYEYMEMGTLRSHLYGSDEPVLSWKQRLEICIGAAQGLHNLHSGYSENIIHRDVKSTNILLGGRDGGVIAKVADFGLSRIGPSFGETHVSTVVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLLEVLCARPVIDHGLDRDEINIANWAMRMHREGQLDRVVDARIAAEVNDNSLRKFVETAEKCLADCGKDRPTMGEVVWNLEFCLQLQDTHLNTDAFEDSGAVATHIPADVVAPRWMPPSMSLSMDQAQADETVMSITDVPGSQVFSQFDARGDGR >cds.KYUSt_chr5.18986 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122881139:122882389:1 gene:KYUSg_chr5.18986 transcript:KYUSt_chr5.18986 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTSVPTPPPVEEPRSGAAIDVLHGDDLRDILRRLSLADLLRAALACHRWLRVAARCLPRAPPLLGHFFHPVNISSPMPIKPKPVHYDAVFAPVGLDASSPRLSLDFAPEASRFELHDCYQGLLLLEPAGSLPRMILPRLLVLDPATQRRALLPPPPRDTVPDDHRWRGSRCYVGSALLSRAHPSKLCFEAVCFAIDGGHPRAWVASVDNGECRWRALPRDEDVVVDFHPWWFESRCVHAAGKMYWHICSSGRVLALDPATLRFSYLLAPSALGDEFFKYRVGETPDDGRLCMATVEEQVMQLWVRGETRCSDNGWYLEKEMNLHKVYDAVPGLPRDVVSRVKSIYLSDIDAGHTGRLFIQMLGYGRYSFDLNTGDLSRLPTDGVKEYGHPIYAYFLAWPPAAFLAPEQRLPGR >cds.KYUSt_chr7.16399 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101586543:101586824:1 gene:KYUSg_chr7.16399 transcript:KYUSt_chr7.16399 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVLRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPEYCGKPTRRGRPQRHPSHPR >cds.KYUSt_chr3.26395 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164392359:164395527:-1 gene:KYUSg_chr3.26395 transcript:KYUSt_chr3.26395 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNSRQQWTSMFRSKHGNQMWQSQADMSGSPPSLVSGHSFKSSFSAGPDLGRSTETKPRWNPRPEQIRILEALFNSGMVNPPRDEIPRIRMRLQEYGQVGDANVFYWFQNRKSRSKNKLRNAAAARPAPARSCAPARHNQAAPPYMPPTPPKQFQPQQQKQLLFSPVAPTSSSSSSSDRSSGSSKPVKPAATQAMDLLSPIAASCHQQMRHQLGLDQGQPAVSAPLPAPTPTMHEPAPAAEVEPIFPQYPQQGNCLPAGELAAILGAQYMPVPAVQQTLPASMLSGLYNELSPAPTSTGHRNSGWAGGRGQYWPSGADEQLGLGKPLNASLVANEEAHEDFTKLGLLQYGLAVTTAPATSSAAVWPLPASPDPSSVTVASAAAPAAGLTSLFAATDAVSYNHLQGPGGDVGFEGAAGAGAGTAGTAARGAAVVCFAGTSAACSVPAAHLDVKLYFGEAAVLFRCNGDRPEPLLVDETGHTVEPLQHGGVYYCVLI >cds.KYUSt_chr2.13702 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86852298:86853971:-1 gene:KYUSg_chr2.13702 transcript:KYUSt_chr2.13702 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSMATGPEDSLLLLLLSATTLLPPLLAALLLAASLVWLSPGGPAWALSLCRRPPPGPPGVVTALSSPVAHRVMAALSRSVQGGAALMSFSVGLTRVVVSSQPDTAREILVNPAFGDRPVKDAARHLLFHRAMGFAPSGDAHWRALRRLAAAHLFGPRRVAASAPQRASIGARMLGDVATLMARHGEVAPRRFLHAASLNHVMAVVFGKRYDDFASQEGAAVEEMVNEGYDLLGTFNWADHLPLLKWLDLQGVRRRCDRLVRKVEAYVGNIIQEHRAKCAARGGIADELSGDFVDVLLGLEGEDKMSDSDMVAVLWEMIFRGTDTVAILMEWIMARMVLHPEIQAKAQAEVDAVVGRGRAVTDEDVARLPYIQCIVKETLRMHPPGPLLSWARLAVHDAHVGGHLVPAGTTAMVNMWAIAHDAALWPEPELFRPERFMEEDVSVLGSDLRLAPFGAGRRVCPGKMLALATVHLWLAQLVQQFEWAPATSGGVDLSERLNMSLEMATPLVCKAVAR >cds.KYUSt_chr2.49553 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310117678:310120029:1 gene:KYUSg_chr2.49553 transcript:KYUSt_chr2.49553 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPFSSAEPDSALVASVADALVSASRLPAPPPMPTLLAAYLPRLTASHHPRVLSLAASNPGLASPDPLLAYRSLVSPPSCLPSLLPLLPVLPYRHLFPLLLSFVPLDPLRHLHRHLLANLPSTSLADAALSAYSRLRLPHLAAQLLHSIRRRGHVRPSLQAANAVLSALARSPSTSPQASLDAFRSLIALRLHPNHYTFNLLLHTHCSKGTLADALATLSTMQGFGLSPDAVTYNTLLHAHCRKGMLGEARALLARMKKEGIAPTQSTYNTLVSAYARLGWIKQATKVVEAMTANGFEPDLWTYNVLTAGLCQAGKVDEAFKLKHQMERLGTLFPDVVTYNTLADACFKWRRSCDALKLLEEMRDKGVKATLVTHNIVIKGLCKDGELEEALGCLKKMAEEGLAPDVITYNTLIDAYCKAGNAAKAYALMDEMVGRGLKLDTFTLNTVLYNLCKEKRYEEAQALLQSPAQRGFVPDEVSYGTVMAAYFKEYNPEPALHLWDAMIERKLTPSISTYNTLIKGLCRMGRLKEAIDKLNELMEKGLVPDDTTYNIIIHAYCKEGDLENAFQFHNKMVENSFKPDVVTCNTLMNGLCQQGKLDKALKLFQSWVEKGKKVDVITYNTLIQAMCKDGDVDTALQFFSDMEVRELQPDPFTYNVVLSALSEAGRPEEAQNMLDKLADSGKLSQSFSSPLLKPPSLDETESGKDLQSKTEEETGGNPEDSILEAYTKRLNELCTGGQLKEAKAILDEMLQKGMSVDSSTYISLMEGLIKRQKRLTHAAQ >cds.KYUSt_chr1.5970 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36705679:36707625:-1 gene:KYUSg_chr1.5970 transcript:KYUSt_chr1.5970 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKAVKHTLTTTFTRLKAGLTKDSPLSRLVAGLGNNPHTTATTHKGSHYLKAIVQLRSRDEAARPPVSLHGKYLFEECCYLDVKFALPYELGSMATSTKLHLPPARLLV >cds.KYUSt_chr3.17900 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109888127:109889044:1 gene:KYUSg_chr3.17900 transcript:KYUSt_chr3.17900 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVLSWYTGFLIRETADLLSTESFTAVVSSPVELSSGKEVIGVLPNIEEIRKCTGRGVITTGPRPAGSGYNFFMCFFFPKWGIDECTLCFGTLYWARKLGKQKLIAFQVSSRSGILYLELDVANRRVRLKGEAVIVMVGTLFA >cds.KYUSt_chr2.50664 pep primary_assembly:MPB_Lper_Kyuss_1697:2:316716269:316718917:1 gene:KYUSg_chr2.50664 transcript:KYUSt_chr2.50664 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDAMCAATDVLVYNTFNAAAASSFHFGNAGGASTEGAASWMAGSAMVAPPVSVAMPAQEEGEKVQAGRRKRRRRAMVCRNREDAESQRMTHIAVERNRRRQMNDYLAELRSLMPESYAHRGDQASIVGGAIDFVKELEQLLQSLEAQKCTLLAQKQQQQQQQQLLVPDPMPAPSNAVMAAAATTSSGSGAGEETPAPAATHDTTGTPFAGFFTYPQYVWRLPARDGADDNRAGLADVEVTLVETHASVRVMAPRLPGQLLTMVAGMERLRLAVLHLNVTTLGSLALYSLSVKVEEGCGLATADDIAAAVHQLLCFIDAETTSRRLLELHGGRPDLQS >cds.KYUSt_chr5.40145 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253570480:253575993:-1 gene:KYUSg_chr5.40145 transcript:KYUSt_chr5.40145 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLASSHLSRLADLRRAASAPAPAPQHHQLRLGCSRRRAQRLVAMAGSGKFFVGGNWKCNGTKESISKLVSDLNAATIENDVDVVVAPPFIYIDQVKSSLTDRIEVSAQNTWIGKGGAFTGEISAEQLVDIGCQWVILGHSERRHVIGEDDQFIGKKAAYALGQNLKVMACIGELLEEREAGKTFEVCFKQLKAFADNITNWTNVVIAYEPVWAIGTGKVASPEQAQEVHAAVRDWLKTNVSADVASAVRIIYGGSVNAANSAELAKKEDIDGFLVGGASLKASGKRKALEEGPWMFENDLLVMEDFDVNKTVDE >cds.KYUSt_chr7.31434 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195828774:195845804:1 gene:KYUSg_chr7.31434 transcript:KYUSt_chr7.31434 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGDGDDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAAQEGSTLAPESLVLRRTTLLHKQPSRGLHLLLVPRWRRNLWCCAALHSSTNNLHVAFISYWFDNLGFLLRKTCCCTHHTFLLGFPTDVCLRIGITIVIEISLRIGDHCTHIIRFDQGKMQDEWRTTCYRETSVTERGNVGCPGGVVKVVAAELLWSSSAGGSSPPRWCPLWCCRWSSGRRCSGIGRSVELLFSKGGLRCGGEDRLVEFLSLALVFVSVWWLLAVPWRCWGSCNGAVPLRLRERSRCSALSVLFPAGRGGEGVEKGRVPACFGSEVRAESGEWCDLEGSGSSWPDRERVELGVCRRLLFVRVRDPVLWDWWLLQLIKAPGLGVLPAPRSISYDDGEDSDRYFSPGSELSQDTSDTDSMSTSISRLYTFRLASSPVQSPMKRPGLNGHRNSGHGYDDLDFSSSMDSPKGDGEQNDSTIHPIDFESNGIWFPPSPQHGGDDFENSFFEYDDDDYDNADGRNFGHGNHDSGGSDDLPGVEGKHNIAHKEFLRNALHGHFRALVSQLLQGHGVDLVDRWSDIVSSLAWQAATFVRPDTSKGGSMDPTSYVKVKCVASGNPNNSTFIKGVVCSKNVKHKRMVSAHENPRILLLGGALEHQKVTNKLASINSLLEQEQEYLKNAVAKIVAQRPHVLLVEKSIPMYAQELLAKDISLVLNVKRSLLERISRCTGGQIASSIDNVTSARLGHCQAFWIERVSETLAPKDSHRKSVKTLMFFDGCPRRLGCTVLLRGTSYEELRKVKLALQFAVFAAYQLSLETSYLADEGAILPKILSDLPVPPLENYMNGGNSSLSNHTHASNDIQIISDRTSENGCIMPNFPDSSSELLSTNQSPSESNFQEDYTGGANGTSSHSPILSLDNGCMAPLGITVHRDAMVGGTSRVENDLDNGWHHISDEENAGAAIHDHNENLAEYFPTSDNAQSILVSLSIACPQRRIVCKQSQLLRIKFYGNFDKPLGRYFREDLFNQSSCCASCKEPAESHVQCYTHQQGSLTISVKNLASVKLPWRNDGKIWMWHRCLRCKSKDGNPPPTKRVVMSDAARGLSFGKFLELSFSNHTTANRVACCGHSLQRDCLRFYGSGSMVAVFRYSPVDILSVNLPPSVLDFACPIAQDWLIEEAGDVANRKDNLYRVISDKLDCIEKTVSAQEDMSVKAGLYKHVVDLKDLIKIEWKKYDVSGEETIDVLGLNHVRRELVLDAQVWDRRLHMMHSLTKENYHTMATDAPCPKKLPGSLLEESKAEISSEQENMRNSVEYTHPSLSITDTGKPLLRREQSDTTLSHFGLETNIDEVYHQSVEGTTGSAGLHFVSGPSEIQSDGVVADELKLEKTLEKSESSASNLSDRIDLAWTGSSVETLPVLPTALMNGSSFQNVMAPIRIRSFDSGINFRNRLSPVDDPNVSIRRAYSQRPPRALERTGRGLSPTFTNTLSLSGMMDGEGRMLLSQSVLDVVVPVYDNEPSSIIAHAMTVPEYHSFVLPLNELDASDQSQPRNGNDSKDTHLTVSFEDEDSCSAEKAKFSVTCYFAKQFDAIRKKCCAHELDYIRSMSRCKRWSAQGGKSNAYFAKTLDDRFVIKQVTRTELDSFEDYAAEYFKYLTESVTSGSPFCLAKVLGLYQVVGKNMKDGKELKVEVMVMENIFFNRKVSRIYDLKGSLRSRYNPDTSGNNKSVGVMDYSLLVGIDEESKELVIGIIDYLRQYTWDKQLETWVKASGILGGSKDGLPTIISPDQYKKRFRKAMSKYFLTVPDQWSP >cds.KYUSt_chr2.13888 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87914792:87919888:1 gene:KYUSg_chr2.13888 transcript:KYUSt_chr2.13888 gene_biotype:protein_coding transcript_biotype:protein_coding MDADESRQVRVRFVTKLPPPLRAPTTAIAVPAELSRMGLSEIVNSLILSAEPDHQAQPFDFIVDGELVRMPLHQFLLAKGISAERVLELEYVKAVAPKKQEQPLPHDDWVSAVDGSNSRFLLTGCYDGLARIWKDGAVCTQVLEGHSGAVTSASFINKGVETDGSLHVVTGSKDRSLRLFKFDTSVTTDSPKQIGAYKIFPGHSSSVQSIAVDPSRNMICSGSWDTKIKLWAVEGSEEDGDSVTVKKRRTNSDASGPEESQLEHLQHLRDIHNVFLLLLGLSSKQYIRHLGIILFGSGMLKQGKKHGICAFFLLGLLLYCVTMSGAVRILEETAPTKGEEHKPELSPLPKVELPPFPEVHLPPKLELPKVESFPEVHLPPKPELPKVELPPKPELPKVELPTFPEVHLPLKPEMPKVELPPKPELPTIPEFHFPEPEAKP >cds.KYUSt_contig_1253.614 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3776568:3778467:1 gene:KYUSg_contig_1253.614 transcript:KYUSt_contig_1253.614 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVAAIATGVEAAADLPPNSDDELVKMKEYVSRAILLLQMELNHEQKFLGHDTSDVAADDEAAEPEVHKICAIRISNAVDVTAVEAVLGYTFKNKALLKEALTHTSFRATHQLVDAEFTFKDLEFLGDKAISNAVTRHHLLRNRSKSSSLTRLHSLNVDNEKLARAALAHRLHRFLRHEVPSFQRDVDDFAEEIAGYPLHSNGQVCTPKLLSDIVESLIGAVSIDCNDDLEQVWQVFQRLADPLISPETMAAIGEQPMTEFNELNHRMRVKAKIITKQSAKSWTVKVYLAGETIGSATYGRSKDVAMNRAVKSSLDTIKDKIAGQHPSAKLPTQVWRKK >cds.KYUSt_chr6.9179 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56618098:56620037:1 gene:KYUSg_chr6.9179 transcript:KYUSt_chr6.9179 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPASYLCLSLALLLPLLVLALFRNRGGRDGLRLPPGPWRLPVIGSLHHLAGSPLVHRVLADLARRLDAPLMYLQLGEVPVVVATSPEAAREIMRTHDAVLATRPWSATVKIMAADGVGIIFAPYGPMWRQLRKICILELLSARRVQSFRHIREEEVGRLVAAVAQSASSNEAINVSERITALITDSTKRALIGDRFDKTEEFLQMLEQTVKLVSGFNLSDLFPSSRLASFISGTARLAEETHRKTFELMEYAIKQHQEGRDGAAADGEDLVDVLLRIQNEGGLEVPLTMGVIKAVILDLFNAGSETSATTLQWAMSELLRCPNVMQKAQAEVRHNLKGKPKVTEDDLANLKYLRLIIKETMRLHPAGPLLLPREATEACKILGYDIPKGTTVLINAWAISRDPKHWEDPEDFKPERFESGIVDFKGTNFEYTPFGSGRRICPGMIFAEANMEIVLATLLYHFDWELPGGAKVEEVDMTEKMGITIGRKNDLYMHALVRVPPV >cds.KYUSt_chr7.21737 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134808597:134809548:-1 gene:KYUSg_chr7.21737 transcript:KYUSt_chr7.21737 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDNGNPIVHDVVGSKRDASLFDAVPSTAVAAAGASEAAAGGSQIPLGWDPYEEVPYKSPPNPYDTCMDDPWNEDCRNNKSSHCCRGNVHYHEKVSGRGLPCRNALKSTRERSGCENGASCEAPLMVAKESMSPYT >cds.KYUSt_chr1.12926 pep primary_assembly:MPB_Lper_Kyuss_1697:1:79118430:79120038:-1 gene:KYUSg_chr1.12926 transcript:KYUSt_chr1.12926 gene_biotype:protein_coding transcript_biotype:protein_coding MCVNVKNHTQGRGHGGMEEKKEEERLRSCVTSADLSFPHLFPIDSIQFRADLVNFRSALVKNRSSSSSRSSRSVLPELPTPPLFTAGHDAPLSAALLAPLACAHRRPAPSSTPRRTIPLASRRPASLPPARPPGQPSPAQAAAPRANRIGRNALLATRLATAHAAPPSICLRPGPAPPHCRAAAGQPPPPCSTPQIEPHARDASHAPARHLQQSSPPSRLGPITSTAPAARSPCLTRSASRGAPFARAPLDLCHGQLFLFPLTLRPACLAAAPLVTINNSNCRSNRKHKLASQFPFIRMQQFTLPRAHPYSC >cds.KYUSt_chr3.30199 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189067339:189081910:1 gene:KYUSg_chr3.30199 transcript:KYUSt_chr3.30199 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPATSAYAVPHGQPQPQPAPRPPGCPYSSSASAPPVSTSYHSLPPATSPPPVSSPPPASPPPSPSPSSPPPEPTLPPPPALSPPPPDAPPPTVPPPPYAEPQAPPPPTATDQPRVQPRVYPSPPPPSLPPPPPVAVSPPAPPPSHPPSPSPAPSPTPAPAPVAAYSPPPPPRVAPPPPPPHHPRPHYVTSRSPVRPHSNSTRSPPGNNIEISRGTATTIVAVASLAMLSFIGATIWLVKKKRRRGEPPAAGLPTQQPPPPPPPNYIPSSAASSLASDGFYLRSPGYPFMRSSTGSHGFPYSPADSGIGYSRMLFTPENLSAISNDYAEENLLGEGGFGCVYKGILPDGRPVAIKKLKIGNGQGEREFRAEVDTISRVHHRHLVSLVGYCVSEGQRMLVYDFVPNNTLYYHLHVNEVPLDWRTRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLARLAGDTNTHVSTRVMGTFGYLAPEYALSGKLTAKSDLYSFGVVLLELITGRKPVDSSQPLGDESLVEWARPFLTDAIEHRVFGDLPDPRMENKFEENEMFHMIGAAAACIRHSAVMRPRMGQVVRALDSLADSNLNNGLQPGRSEEAMKISALLTSAGINVGLCVLFLSLYSVLRKQPANIRVYFGRRVAEDHNPLREGFILERFVPSAGWIVKALRCTEEDLLAAAGLDAVAFNRMLVFSIRIFSLAALLCVFGILPLNYFGKNIHHLKIPSEELDIFTIGNVEVQSRWLWVHCLVLYIISGVACILLYLEYRHIARLRLLHLKRATPNPGQFTVLVRGIPKKTKESCSSDVDDFFTKYHASSYLFHQVVYKAGKVQKIMTGAKKACRKLKHFTDSTVDQSCKAITYRCCLCGASSNSFQLLPTDEVAQSSGKECAAAFVFFKTRYGALVASEVLQTSNPTKWVTDRAPEPNDVYWSNIWLPYKQLWIRRIATLLGSIVFLLLFLLPVTFIRGLSELEQLQQKLPFLNGLLTKPYMVQLVTGYLPSVILQIFLYTVPPIMMLFSTLEGPTSHSERKRSACCKVLYFLIWNIFFVNIVSGTVIKRLNFFSRPKDIPVELARVVPGQATFLITYVLTSGWASLSSELMQLFGLIWNFIRKYILRMKEDTEFVPSFSYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQLLNVYRTRYDTGGLYWPIAHNTVIFSLVLTQIICLGVFGLKESPVAAGFTIPLIILTLLFNQYCRKRLLPLFKTFPAQDLIDMDREDEQSGRMEHIHHRLHTSYCQFPDTEDIQLEKIHIAGNGEDENCSSGESNSKETCEDQQPRRDLSHPTLKGLPVSRLQNAVRSITFLIRLQKRGLS >cds.KYUSt_chr4.45311 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280548384:280549577:-1 gene:KYUSg_chr4.45311 transcript:KYUSt_chr4.45311 gene_biotype:protein_coding transcript_biotype:protein_coding METDGLDDFYKHHHFKPSKEEAVTYFLPRLLAGTPLPHGADSLIRHADVYACEPRDLAAQFAPVPNAASTGDRFFFTTCRRKSGNDARVVRRAGAGTWAVQTTEDVYHEGAKVGEAKHLSFKKGKTTTGWVMKEYRCLRPEAVVAGGEMVLCKIHLAQHAPAAARQESDAYKLLPQEPAQPAPAQLSHKRSAHAAAAADPPCSKKMRMAASAPEPAASVHVPATVEMEFEDCPLWFTSAAPVSSPAASMEMPHAAEADGDTGRFSSTMEELLGPQQQQEKTLPVAVEDEDFDWDSLDRESEVHLLLKPWDDDDGWESAAQAEQTPPIEAEKNNIQQVDTHQPAPSASWELPEDLRNLLAHHDDQEALLYMGCSYNTVAAACLHAPSLQGFFSFGAVN >cds.KYUSt_chr3.35233 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221274796:221279468:-1 gene:KYUSg_chr3.35233 transcript:KYUSt_chr3.35233 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPSTLRKRPLSVRTSTSDDNGTDGRTAPDDELGEPVSPSARLVEDFYIIVVIGAATPLNIPALRAGIEAQLARYPHFRSIQVTDKDGNLRWAPTTVNVKDHLICPVLDPAAVAADPDKAVEDYVASLSTLPMDWSRPLWEFHLFDFPTSEATATVAIRVHHSLGDGMSLLTLLMACTRSAADPTRLPAMPPLPTRTGAIYQRPRPSAGALAYVAWLWSFVVLAWHTAVDVVAFFATILCLKDPHTLFKRVNHAESQRKRIVHRSLSLDDVKFVKNAMKCTVNDVLVGVTYAALSRYYFRKSGDTDKEIRVRSILLVNLRPTTSLHACVNMIESGKGSDVKWDNKLGFIILPFFIGKHSDPLDYVRKAKKVVDRKKSSLEVVFTHLAAEVILKVFGLKAAAAIFHRMISHTTISFSNMTGPVEQVEFCGHPVVFIAPSGYGPPEALTVNYQSYVNTIMVNLALDEAQFPDCHELLDDFAESLARIKDAASRLGKQHRKA >cds.KYUSt_chr2.38912 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241248907:241251771:1 gene:KYUSg_chr2.38912 transcript:KYUSt_chr2.38912 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRFAPGANDDEASSSRRRPPALRPSGGNQRGLHIGEAARGGAALPQPPPLLIEPKPESSEEDLDLRATQIISAAEEEAKWPHLHAAIRTSEMEEAARREVEEVEEAEGWELYAQALQARREEEEEAARREEAGRRADERRRQEDRRRADQRRRRERPARGAAMASPNGGSRASEIPELLASSDGRPLQAVGVGTSSFPFVAEDVRDAVLAALELGYRHLDTASLYGSEQLVGEAVAEAALRGVIASRADVFVTTKVWCTQCHPDLVLPSLKESLQLRSQLTIRFPQISLRWIYEQGASMVAKSMKKERLKENIEIFDWELTDEDRFKIAQIPQHKKVTVLGILSPEGVPGVDLSEVDVVEV >cds.KYUSt_chr7.331 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1909865:1912662:-1 gene:KYUSg_chr7.331 transcript:KYUSt_chr7.331 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLYWLSCSGAGYMANIIDALALKLQSKICYHRDANIDYLEIKDMVDKLVEENKELRGEKRQYLDMINAVIEEKENLQHDYEEIKEQVAPMEEQLETVKKELALAKEQLVAAKHENEATKHEHVVANEELMAAKHENVAEKHEHVITKEKLVVANAELAQRNEELEVLRKRLEESEAMHTQIQQQKRSAPGPEPAQSIRIDSLTVARKEVIILVVYDHDV >cds.KYUSt_chr3.39296 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247547825:247551274:-1 gene:KYUSg_chr3.39296 transcript:KYUSt_chr3.39296 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRRSGGRLELRLQLLHRLLALASLLLLASGEVIFEERFEDGWETRWVESDWKRSEGKAGKFKHTAGKYSGDPDDKGIQTTLDARHFAISAKIPEFSNKGRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKYSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPVKKDLQCETDRLTHVYTFILRPDASYSILVDNRERESGSMYTDWDILPPRKIKDVNAKKPKDWDDREYIEDPDQVKPEGYDSIPKEIPDPKDKKPDTWDDDDDGIWKPRRIPNPAYKGQWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYAKRAAEETWGANKEAEKEAFEEAEKERKAREDKHITEPKILRHEYQYQYQSPYPLTTMHN >cds.KYUSt_chr4.3048 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17498902:17501305:-1 gene:KYUSg_chr4.3048 transcript:KYUSt_chr4.3048 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYLHSLASSPFLDQVPFHPSSPITIPQICVAGSICDVVQFLQVPYYLGEMTGQVEQEDRIPVLKASQDHLKGFTMQALKGGLGSP >cds.KYUSt_chr1.41114 pep primary_assembly:MPB_Lper_Kyuss_1697:1:252196081:252203389:1 gene:KYUSg_chr1.41114 transcript:KYUSt_chr1.41114 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKDGEPLEAILGRFWAIADDEASEISVEDEEPDTGVSPFMCTPAAEVCTLVEAGDSTLQRREEKKRRQRLAAITLRSGEFFNSAISDPTAAIHECREIGRRSKIPMSVLSPSTFLLESFDASEWVLVQRRRRRARMAGPVERRWLRDFPFDRRQSSSSVRVTAPIHQRVSLNFKSHDAELGRAVSSPSGVNRLVLNKGSMGPEPMITSRSANRVTRFDRSPRCRLGFSKRSFLPAPRIPSLSPSTAAVMNRGGGNSSWGGRGSGRWDGGGSGPPPGGRSRFEQGGPSGTAGHGGNSGNGAERADDVFGDGIFRAGSGRPNNAGGAYRNQQGYNRGYNRSFGGNNFNRRPSNTYVRRDNLPRDGPDLSRLNDAQKHLVKEAAEAFAKQLLASSTSDSGTHNANTSTVRESVRAVPSVSRQTQVAKQADVQRPAVLNTAGATVQGQTHGDMPFGSDAVHSHIGTQDLNTESALVAKKKGPECFRCHKTGHCINDCKAELCECCQSADHATRDCPILRAPKPRIAVYGVGHADLTFWKIPLSGDVRPRVENTRLGRVAVEGGIMTIPELIAQLQFLMPDDHYQWDVQQMENNVFRVNFPSRSDLVKAQHFGKFCVPKTQITLSFDFWRKDVEPVWTAEEVWVRVYGFPPFALDEILALWAFGDIFGETTDIDLPFTRANNVLRIRISCLDPALIPASLDVKIRNDFFRLRFEVEGFKAPTTHDMADGATKNNMKPVTVNTPVSKAFSTEEVIAFGGIKSQEVTGVRSSGRLRAQPNADATQLEKAMMLAQRRNESFAQVNGDFCVKLSLKSKSDGFEWVLVPVYGAAQDSLKHEFLAELVRLCEGEPLPLLLAGDFNILRKPEEKSNNNYNPRWPFIFNAIIESLNLREIALSGRQYTWASRRETPTYEKLDRVLASVEWEQKFPLVSVRALPRSGSDHTPLLIDSGYSSSCALDTVMGFPTTGGSAQGYGYWMQQAAVGYSGFLLPGYWMATQ >cds.KYUSt_chr7.1954 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11174174:11175097:1 gene:KYUSg_chr7.1954 transcript:KYUSt_chr7.1954 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSGFTRRSSQQLGPASGGEDRISALDDDMLLLVLARLGCFRAAARTGGLSRRWRGLWASLRHIVFCDVPFPSLEAALGRVTRPPPGLSLLEIRVTDMQPRRDAAGVTSLLCAAALLEPKELVLALPSGYMGNLSPLFFLQLPDHVRFHELETLSLLGCHVRFDSLLPCCPRLRVLRLKFNDRWGHYNIRSFMSLHSTSLQELCVDVENVSIDTVDLVAPELKKLTVSLKAFREVNISILAPMLEKLSWKCSYSFINFGPWRIAKLWLQKAERQGELPSLLIHARIVRVPSRSIYRAPTKPKFVE >cds.KYUSt_chr2.44146 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274532748:274534975:-1 gene:KYUSg_chr2.44146 transcript:KYUSt_chr2.44146 gene_biotype:protein_coding transcript_biotype:protein_coding MALATRSLERSLRRADAVRTMALEWRSCAALSVSGVQTMTAVDRAGRRCASPGRDAIRAGGGGGGCRRSLVARHGFGPARHSLAMCPLRRQERHLTGSRQSEMWWPGLRHLKHSPRRRFLWRGKRGGLITPVAEGALERGRLAAEDGGGMDQGCMAAITNKPIVSDTKPMKNISGEMPATATAGSGSFDCNICLDFAADPVVTLCGHLYCWPCIYEWLRPSVGSASTDNRTSARQQCPVCKAALSADSLVPLYGRGGSSKKSLDGKAIPRRPMVHRENVEHQHAQSVVDHRHHQNMVEPIPPLRPLRHAHHHHSGATEFDFVYPHSPMGRGLIHSTAGGVLGGMAEAVLPWAFRGQMPPSMYYTSPFSVADNTLGPRLRRQQMEVERSLHQIWFFLFVFVVLCLLLF >cds.KYUSt_chr1.38542 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235643681:235648478:1 gene:KYUSg_chr1.38542 transcript:KYUSt_chr1.38542 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRLARPSFEGFTHNDGKRESRSDADNSEGEKKTKINSFKKKAINAGNKFRHSLRRKNKKKNEISIEDIRDVQDLQAVDAFRQCLLDEDLLPQQHDDYHMMLRFLKARKFDVEKAKHMWSEMLKWRKEFGTDDIEEFNYSELNEVMKYYPQFYHGVDKDGRPIYVELIGKVDANKLVQVTSIDRYVKYHVKEFEQCFKMRFPACSIAAKRHLDSCTTILDVQGVGLKNFSKYARELITRLQKIDSDNYPETLCRMYVINAGQGFKMLWGTIKSFLDPKTASKIHVLGTKYQNKLLEIIDESELPEFFGGKCRCDEHGGCQRSDKGPWKDPNTIKRVLNGEANYDRQIVTISSSDGKIIGYARPQRPNRKGSDGSAESGSEVEDVASPIAPKNLITNPLLTPVHEESKFAAHASTSATRPTIEESIPVVDKVVDDGWGSPRASPTASSSGSFSLSNVPTTFEGIRTLTIAWLTVFIVSLFTMLRTIPSRMAKRLSNQSENHDHYYVDCPQEPEYKEEFRPPSPVPSYTEKDVLSAVLRRLVELEEKVQVLETKPSEMPFEKEELLNASARRVDALEADLISTKKALHEALMRQDELLAFIDKQDMLKFRKKKKFCF >cds.KYUSt_chr3.15018 pep primary_assembly:MPB_Lper_Kyuss_1697:3:91530124:91531670:1 gene:KYUSg_chr3.15018 transcript:KYUSt_chr3.15018 gene_biotype:protein_coding transcript_biotype:protein_coding MIATNPAPPMASALIPPPASSYDYSAMFSSAPSNTTGLYPGGEWVMDSGATTHVTNSQGNLNSFHSPTSSDSHSIVDLATKQILMRSSSSGELYTFYGDLQFPDVALTVSSTRDLWHSRLGHPSSSSLSKLSSFLPPSSCHTITHRAPSHTRFAPKFPTDPALPTCHRISLGIRADRILLLVCTSY >cds.KYUSt_chr7.26012 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162422146:162423594:-1 gene:KYUSg_chr7.26012 transcript:KYUSt_chr7.26012 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDGSLHDDEDLQGSDMGSTDGSFYDDEDARSMEEDEDRSVKEEPMIVPPKEYAYLNPPAHVCSDVVVRPPFVLVEDFAYFADRDNTTTATCKMSGGRGDFKVTIYTAPPPLVSYLCVHATAFHHTEFAIEPQIMTTEPNSGLLLLRVVIGDHHSDVMNTRKREYFVYDFRSGTPSLTHLPHSGNLGFNETSLAIVRKCNKRSDNNHDGILRPHGDGSTHSEEDDHNCSNCDYVVAAQCHGFGYGKHSAICLYHSDTGTWSKEPVVAKRYLDHATCKTITIGGDKGTVAWVDLSRSIVYCDVLDKRPKVRYVRLPRQGEQLDYGDPRTVRDVALVGGRIRYVDLKPRFQPGFECIYHGWEARIWSINTSSSSAKDWNADYQLDSSHIPESSLPKLNVSADAARPTLSSLQIGLPNLSLQDDGIVYFLAKIDHRDKDHIAWVLAVDMRNKTIQEVAEFSATRTVGLAKAYSASSISKYLQI >cds.KYUSt_chr1.22472 pep primary_assembly:MPB_Lper_Kyuss_1697:1:132898537:132900017:1 gene:KYUSg_chr1.22472 transcript:KYUSt_chr1.22472 gene_biotype:protein_coding transcript_biotype:protein_coding MPACRYSPLPPASARFLPSSFSSLVGVSLIQELNPVPPSLILGSATAADSRVGPPLLQLAHTGLRLVVASSFVLVHLSVAALDGFTDLVSEDLWHDGFIAGELESNMGAPPRTLGMVKVAWAYVIKNVWLHTATTVGEFNFPKFDGPVPDLAPFDRRIVSASKVWEDREAREWLKTERAIEEYLVEFHHRYLERFKARGSVFHDVRHPPCIVIHRCSIFVCCSIFVYRSIIIRRR >cds.KYUSt_contig_786.65 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:380398:383977:-1 gene:KYUSg_contig_786.65 transcript:KYUSt_contig_786.65 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFQMVVGSGRGSSMEDGDRNNGGILSLEPVEREQSFIPRHHEQLPPGTDMDSDDNGGMIPLPEVMQEVEGQLTAGFQSSNAIHILDMQGGYVDHVSIDMPSHQQVLARLSPRAPTQLIRSIWTISFFTLVPAVRAALYKPARGVVFGDNKIAYYLALFAIIASGLGEATMAFLLSRPGGGSRRCVSIGRTVLCAYVLPFIAIHGIARYGFMED >cds.KYUSt_chr5.18068 pep primary_assembly:MPB_Lper_Kyuss_1697:5:116802862:116804926:1 gene:KYUSg_chr5.18068 transcript:KYUSt_chr5.18068 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRATVALFFYAIGVLLLSCSPPARSQQPYGSQIADCGSKHNDTGLLGYFCGSGAPSCESYLTFHARSPFSDPASIAALLGADAASLAAANSASSPFAQGTKRNATYVARNGDTLLIIANNTFQGLSTCQAVEEQGLGGAAPTSLLAGQSVTVPLRCACPSASQAAVGVRFLVSYLVDELDTVDAVAARFGVDAGSILEANQLKSNATIYPYTTLLIPVKSQPNISQLQSPPPPPPPVASVPATKSKSHTGVYIGIGVAVAVVAVIASVVAALVLKATRRRASTGPTTAGGFAYKDGKDMGKLPYGVTGDEVSMTISEAFSSLSDIKSSLKVYTYDELVTATDDFSTERRTSGSVYRAAFNEDTAVVEIVDHDVSTEVEITRRMNHFNLVRLNGLCHHRGRWYLVSEYAEHGTLRDRLLAGATGAAAPLSWTQRVQVALDVAEGLRYLHGYARPPYVHMDVCSGSVLLDTAFRAKIRNFGGARVIRGGDDGDQGDVRELFTMTSTIAGARGYMAPEYLEHGVVSPKVDVYSFGVVLLELFTGKDVEQLEEDGGGDPLAGLNALGVDRKNDEEHQGDDGAALKRLEEFVDPAMAAGSCPLDAVVMMVRLIERCVQRNEGARPGMGEVAQYLLKLSDISGDSWQSSSEYRQRSVSEATSEQLAR >cds.KYUSt_chr3.26800 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167153793:167154155:1 gene:KYUSg_chr3.26800 transcript:KYUSt_chr3.26800 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSALQAKAEACLAAIQGADKIGANRGIFESDVYNLIQCLKSIDYDKSEIGVLVKEARSSCPLNIVSFEFTFSRRFCNNAAQELAKLGAISESEDSYCDAYAHRCIATILDSDLAGPV >cds.KYUSt_chr3.2734 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15782905:15785821:-1 gene:KYUSg_chr3.2734 transcript:KYUSt_chr3.2734 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATPSKRARTTAASASIASTPQKPKIGAATLFADQVATPEKPAKKAPAAAYPIWTPEKTEQRPLRRKGCAAVAEEEEEDDDEEDEEEEEEDALDSVGRELGVSVGASARRSPVKRRPEVKLPESYEMLCEFFNCFESSTRLLRMKGSKATFPNICAIIQKLTDRRFTYGNLAQLKYIMPEAFAIDKILLRDESTCCMKPDLQVNLLVSAAKGSEMQKGETRYSALRRIFRQRVVDFFKNHPEGDDIPEHELPHPFTQTKPSMVQIPLRAVPIALSTGPSPSMAEQQPVGMSHMPHSFKRMFSQRSSTCPATASATSSPANAESTTSSPLSRKSVPVSGGTDHESHVALRFGASEGTPAKCASTPVRLLAATPGLHTPKRPISATMGDSPPLTVAKRSARAKLFMTPTKDASSMQEENESTSTSVVDGDDELLSFLPKSVLQSVKEKEKRVLEDKVTGSADQVQRQKLISSLPNTFDTIFLIYQSRQQSVLTKQELLDKIIESNPKILDKGEIEEQLKLLQEFVPEWISEKTALSGGILCCVDTSLSQSEIRQRLNGVK >cds.KYUSt_chr4.29345 pep primary_assembly:MPB_Lper_Kyuss_1697:4:184145709:184158527:1 gene:KYUSg_chr4.29345 transcript:KYUSt_chr4.29345 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTLRDVRVPVLSLSSMKGKKKGERDEGDTQSYLCDPRPTPVTGSARNLDFSTIDDCMRDAASVRLREDRNGNICIKLDQHITQLFLRILERELAVTEKIKEYFFADFTQLLLNAKALQMSNSGQTKWEICSPAWWLQHPPSTLRYHACPITRKMKLKIEKNASARSYIARIKLLQVIYGYALEVAVYV >cds.KYUSt_contig_973.548 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:3993231:3995556:-1 gene:KYUSg_contig_973.548 transcript:KYUSt_contig_973.548 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKNGCRGPRRGDGWRFSYLLSLKQLSFAAHGFSSALLLPFPTGSACTFVPAVEVCTTSPPPDLGGTPPTGKAEKAGGRAANLVRCCKGLCDMLPLAVGFATSGAGHQGGRLNTGPTPHSWRAELSGNRNCFRLVDSCTHPIAPNRDPARTQSRHALHRACFLCSADGLELGGAVMAVAPDEELQPGQLYFILVAMRRRPLQAEEMAALAIRARAALAGDHDGPLVFLDSTE >cds.KYUSt_contig_195.172 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1082250:1083934:-1 gene:KYUSg_contig_195.172 transcript:KYUSt_contig_195.172 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPWLFNGCRSARSLLFPVNEASCLMDGRSCDPGGVPVVASDGTSSTDARGGTERSALDGASNADGSSEAGMCVGVSKLVGSGVKKDLQKCATFPSSAAEVEQEDLCSDADDAKDAHTYQRSVSLPSPVKLIPAIKGSREKNGVPSPRENRHIKWAEDVYDPPVTSVSHSVNNSYKRRPNPRKKDKSKQKQKQKGRSKKKTKNTNQNPAVIQTPDGLEDLGTSVDTEAPADPGKHETEVLDYGISSQDAKCGSSFLLETVAKMHFSTAEAS >cds.KYUSt_chr7.22492 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139402577:139407399:1 gene:KYUSg_chr7.22492 transcript:KYUSt_chr7.22492 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKPAAMYTADDDDDDDVPLSFKRNRPAPARQDGPSGNATYARNPKPAAPIPQRNGMNGASRPQPLPLKPQPTGSNPRPSGSGHPNSSMDRSQKSNAVDKSKLKRPHDDRSDDSDDDKPLASRKKFETKFQRADGRAENARGPADDRRPPGMKINSANMASNNSTNKTGTLKPAPKPQKPDEDSDDDHKPLSMKISSAKMASSSANKTVPLNAAAKPQQPDYDSDDDKPLVSRLPGANAASKSAVKKESNASDDDSEDEKPLSARFPKAVAGASASISNSKDKVLSNNKASSSNPNAPRNPVKRPSDSNQTGSALKKAKPSDASASATVKKESNAGTPPVRKLTKGESSNSKPSAVVKKSPSSFKNNKKLKMKTKKNSQFSKSSRVPPGSGDGKKWSTLEHNGVIFPPPYSPHGVKMLYNGQPVELTPAEEEVATMFAVMKDTEYAGKKTFIDNFFGDWRKVLGKNHIIKKFELCDFTPIYEWHLVEKEKKKQMTSEEKKAIREEKLKLEEKFMWAVVDGVKEKDDDEADTVGCCTLKVDNVTCVPPNKLQFDFLGKDSIRYFNTVEVELPVYSAIEEFRAGKKPGDPVFNELDTTRLNTHLKDLMPGLTAKVFRTYNASITLDAILHKETEDGTLLEKIAVYQRANKEVAIICNHQRAVSKSHDSQMTKLNEKIDELKAQMDELKTDLVKAKKGKPLGNDKDGKPKRNMPSEAIEKKITTIESKIEKMEMDKQTKEDLKTVALGTSKINYLDPRITVAWCKTHEVPIEKVLNRTCFAWLLLLTDFQQDDSSKIWMGDG >cds.KYUSt_contig_444.207 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000041.1:1314649:1324263:-1 gene:KYUSg_contig_444.207 transcript:KYUSt_contig_444.207 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLRCGDCGVQLRSVEEAQAHAEATSHTNFAESDEAVLNLICAGCGKPCRSQTEVDLHTKRTGHAEFTDKTMEAAKPIDLEAPLKPVSGAMDVDASGSGESQEMVGPEVNKEMLADLQSMGFSMGRATRALHFSGNSTIEGVINWLSEHQEDPDIDEMPMVPATSNAKANKPSLTPEEKKIKAQELRERARKKKEEEEKRMEREREKERIRIGKELLEAKRMEEVNERKRIIELRRLEKEEERRAREKILQKLEEDKAERRRKLGLPAEVPSASKPSAAPPVEEKKSALPVRPATKAEQMRDCLRNIKQQNKEEDAKVKRAFQTLLTYIGNVVKSPEEDKFRNIRLSNATFQERVGNLGGIQFLELCGFEKLEDNEFLFLARNKVDKAILNTAGAELNSAITNPFFGVL >cds.KYUSt_chr1.27996 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168892873:168893247:-1 gene:KYUSg_chr1.27996 transcript:KYUSt_chr1.27996 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQVEGLLPFLYKAIKDRRRSSGSSRPAYHAGADAHAEDDDHHGAVDLGDTEQRRRWLEQELRSPIRASGPSSSSAAAAEGHGRNRSLEELAGQVGGTPDRRLRVPLPKARSVRVFSCIGAA >cds.KYUSt_chr3.17577 pep primary_assembly:MPB_Lper_Kyuss_1697:3:107755779:107758210:1 gene:KYUSg_chr3.17577 transcript:KYUSt_chr3.17577 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVDGSLPAAARPPPPPPPVQMVPWLLLSRVHKDVEIPDGASFHLTPVAPPGISSFALARTMFLGDTADPPFPFIVAADDCGRRFLVLTEGPSSSSRLAALQLMEDVPAQGIASPSSTAPAEASPSPPTTAGAASSASGHAVGVPPTSPGCGLVVCDVSRLASLQLMEDVPVPVLGIASPSSTAPTDASSSPPTTAGAASSASGHAVGVPPTSPRCGLVVCGLFSHDALQHKEDVPVPSSTAPTGASSSPPTTAGAASSPSAHAVGLPPSSPRLRLVVCDVLTQDALDISDADNVGITDADNVGIMTAPGGERYVVAKLDFHAAAAAVADNSSTCTLERYWSITGRWTRTVLAHPPPARQHSYDSVFPHRRRLWWADVASGVLNLDPFAKRPILKYIPLPVGFEIDQSDCPCSLKKLRCINVSSGNLRFAVIVHDNAATDTVKIWTLNCPDSGGFWSYDYSVTLADIWASGLPATKPHICLVHPIEPHMLYLLSGNHIFCVDLRNKEITDCILDPLASCFVFPWPFAPRVSLPPDMPEDNMASLVMQHLQRLQNFATDGENAFNMGVRLSNLANRIMQLNSRLTGTTERINQAMPYLEMFAETLGDGAIATLGQQFVVLLKYLCQSSSGIAVASRACSVIGLRLQQRHPTAQTGARVQTTPIPEPVIVRNMEEANEVINEWKETQQLVVAEIEQDLGREEAIEIYRKFRHEAFDLIKDSSVLLAKIQDPDETDWVTV >cds.KYUSt_chr2.26664 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163270912:163271770:1 gene:KYUSg_chr2.26664 transcript:KYUSt_chr2.26664 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAIRKRPATDQVPRVGGGGGGKKPRYSWGSIADYEKLEVLGQGAHGLVIKALDRRSGKKVALKCLRGYDHRAIAREAGCLAACRGHPSILEIFDVATDAETGDFFLVTELVAGGLTLRENIWRPSDENVARFMMRQLLDAANKMHGAGIVHRDIKPENVLVTMFGALKVCDFGSTGKLHGLEFFDELSEAGRELLLGLLAFNPDQRLTAAEALQHRWFNKSSASTGDKHPGFLTLFG >cds.KYUSt_chr4.42700 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264374715:264376862:-1 gene:KYUSg_chr4.42700 transcript:KYUSt_chr4.42700 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALDPPSSLQLPQIRLELIDDTYTLASQKWRKANSLFGSLPSPPLHCRACPPLMPSRQPPPPDASPPPASAVFSHLPSHARHHLPPSAVASATASAGAAALILVAFALFVWLRRGRKRAPAAPTTHPAPALRRLSYQQLRRATSNFAAGSKLGQGGFGPVFRGALPISGQPVAVKAMDAAGSLQGEREFHNELALASHLSVATPHILLPFAYSLSASAHPRRRRMMLVYHLMPNGSLQDALLGARTCPDLVARWPRRLAVARDVAAALHYLHFVAHPPVVHGDVKPSNVLLDAHLRARLSDFGLARIKSEEEENGELHSGGALGDSGVVIGIPGHDDVSVAAESTTTVAVNDGATKSAEDDEGLATASLAEAASASGCDKTSVGSGFTGRSCNAGGAAASGAARTSDWWWRQDNGSGSGSGVKDYVMEWIRSEIKKERPKTDWIAGASTTTNTTASAEKRKPKRRAREWWREEYAEELTKKQKRRALAKSKSDAGAIAGMQWWERDCDAEEKGQSRWRMMKSWSRRSNNGNGNGSGGIDWWIDGVRRSSRDWASTEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQVTASPMSEFEKASLISWARHLAHVGRLLDLVDSALLDVNRDQALLCITVALLCIQRSPARRPSSEEVLEMLSGEGEPPHLPVEFSPSPPGGFPLRSRKKGR >cds.KYUSt_chr4.40350 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249001565:249007672:-1 gene:KYUSg_chr4.40350 transcript:KYUSt_chr4.40350 gene_biotype:protein_coding transcript_biotype:protein_coding MWFNLDGLPVCFPYAAIYPEQRAYMGELKRALDARGHAVLEMPTGTGKTAALLSLITSYALANPSRPLRLLYCTRTVHEMDKTLAQLRLLFSHLPDPSHLLALGLSSRKNLCVHPQVSASAAVDTGCRRLTASWVREKAASDTPLCDYFETFDTAAHRGDLASYISPGVYTLADLRSLGCQRRICPYFLARHLVKHANVVVYTYQYLLDPKVASIVSPEMEMMMHKECVVVFDEAHNIDNVCIEALSVTIRKQTLEGAQRNLRHISQEIDRFKATDANKLRAEYNRLVDGLAHSGHLPISDAWLANPALPHDILKEAVSGNIRRADHFLAALWRLVSFLDQRLETENVVNERPVSFADSIYSLTVIDEEMLRFFYDRLQSLLITLEITDTDEFMHIQKICDFATLIGTYTRGFSIITEPYDDRMPNIRDPVIQLSCHDASLAIQPVFNRFQTVVITSGTLSPIDLYPRLLNFTPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFVVSYSYMDGIVSSWNDMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAVFFSVASGKVAEGIDFDRHYGRLVIMFGVPFQYTLSKILSARLEYLRETFQIEEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILPHLHAAHLNLSTDMALHTAREFLRRMAQPYDKAVSGQKTLLTEEDLQGMSQDDMEM >cds.KYUSt_chr3.38180 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240304460:240306542:-1 gene:KYUSg_chr3.38180 transcript:KYUSt_chr3.38180 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTERTPAAAPQADGVSEVAASPPVASTARGFRFLGEDKSVHKALGGGKTADVLLWKDKKMSAAVIGGATVIWLLFEVVEYNFLPLVSHVLIGTLAVVFLWSKATAFINKSPPDIPQVQISEELAANIVKVLRTDINQALGLLREIALGHDLMKFLAVIVALWILSEIGSLCDFLTLIYTAALMLHTVPILYHKYQDKVDHFAGKAHVELRKQYAVLDAKVLSKIPRGPVRAEKQE >cds.KYUSt_chr7.5507 pep primary_assembly:MPB_Lper_Kyuss_1697:7:32997796:33006756:1 gene:KYUSg_chr7.5507 transcript:KYUSt_chr7.5507 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRPKLLLCFCLFLCLASRSCSQSEDQQLAGGGYRVAAINVDRGGRRLRADVAAAGAGVSTGDVEKLDVYASWPAAGLLHTPVSSSLRLRSLMATPRSAPASCLLLLILLAVARGDGCCGYDVVSVAGSESALSARLELAGDAPALAELGPDVQRLSLAARQVVSNVGSAELEFLGGSGISSGKDPDESPAPEASALSIRPCDHFVEEFVIFLPITELTVSVVCNAVGSLETDTRLRVRITDADHPRWEVPQDIIPRPAPEGVHLDAPRQDSSASPPRTRVLSTAGSDLVLTIHASPFRFTVSRRSTGDVLFDTSPSLVFKDRYLELTSALPAGRASLYGLGEQTKRSFRLRHNDTFTLWTADIAAANVDLNLYGSHPFYMDVRPPGAAHGVLLLNSNGMDVLYGGSSVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLVGRPAPMPYWSFGFHQCRYGYLNVDDLKGVVAGYAKAKIPLEVMWTDIDYMNKFQDFTLNPANFSYAELRPFVDRLHQDGQKYVLILDPGISIDPTYGTFIRGMQQDIFLKRNGTNFLGNVWPGDVYFPDFMNPRAAEFWANEISIFRRTIPVDGLWIDMNEISNFYDPVPLNALDDPPYRINNSGNHRPINNKTTPASAMHYGGVSEYDAHNLFGLLESRATNHALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNNASWDDLRYSINTMLSFGLFGVPMIGADICGFGGETTEELCGRWIQLGAFYPFARDHSAIGTVRREPYLWASVAASARKSLGLRYRLLPYMYTLMHEAHTTGAPIARPLFFSYPEDVATYGVDRQFLLGRGVLVSPVLEQGATTVDAYFPAGRWFCLYNHSLAVDTRSGERVTLPALPDSPYVHVAGGSILPLQQSAMTTAQARRTPFHLLVALAEDGTAAGDLFLDDGESPEMGGARSEFSLVKFSCATWSDGKIRLRSQVVHNSYAPSRTLVISKVVIMGLQSTEPARNFAVYVNGAAVQFNRAVSTSYRSRGGLGAAHVGGLSLVVGEEFELKVAMSY >cds.KYUSt_chr6.23767 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150215714:150217723:1 gene:KYUSg_chr6.23767 transcript:KYUSt_chr6.23767 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFSFIASVALILGGRTCSCLQFNYPSFDMTNKDDFSFSPGSAIANGSLQVVVPNTGDLNQRSGRVVYTKETLKLWNRKQGVPTSFRTQFTLNILPQDESHETGEGMAFILTNNPSLPRNSSGQWLGICNNKTDGAPMNRIVAVEFDTRKSYEDDLDGNHVGLDLNSIKSANQYPLSNLSIILTSGFDVLVSITYNSTTPAFILSVIGHGGHNLRESWPVDLSQHLLDENEIHLGFAGSTGDYTQLSQIKSWNFTTVEEVVVETRHETRNVFVCLVTLVSFTTCSILVLFVWRRVTRQRRLAYQALEKRIDAHGPVRFKLKELKHATGNFSPRRKLGRGGGGTVYHGYLSRIRREVAVKRVSANDKSRRGEKEFVAEVNTISKLSHRNLVKLMGWCHEGGELLLVYDYFPLGSLDKLLFANCRATALSPGTPELTWDRRFRIICGVASALDYLHHGSSRRILHRDVKAGNVMLDEEYNARLGDFGLARAIQLEGVTHHSTQAVAGTRGYMAHESFFTGRASLDTDVYAFGVFVMEVISGKSPSRSMLYDRQEMYIVDWFWRHYSLGKVVETADAELGGVYDDEQVDAAVRLALACCHPNPRHRPSMKKAVQVLIGGAPAPIPPLERPAFVWPLSGTQQEIELAQVGLLFTGGQPSFCSITSTSFTGR >cds.KYUSt_chr3.40634 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256313466:256316130:-1 gene:KYUSg_chr3.40634 transcript:KYUSt_chr3.40634 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGKTPNQRAALPLVAAPTIPGGSSDHFSRLPDHLLVHILLRIPTKKAVEMSALSRQWRGVWTQLPILKFDGVESSVPAHALAVYRAHGGLDIHSFTVYTNQMDAQDAAAWLSLLAPLLTGRLYFDNTDDVSPETLQLLLQEEEAEVPRDAFELPCFKKATEIWMDLGFLCLAMPPAAVFDVLRVMWLERFWFRGQFSLSDTMLPSLQKLTIRRVRGLPLMNGLPLLMEGIIIPYVKVLWLYLETNGHVYEGRVLQLLTKCSGITELNLSFQVRDEVQDFCLPDCICHNESGIWEAKVVLMRALKNVDIANFHGSPREVHIVEQLVRRVPRLEVLRVFSNVTDHSLEGIPCLCPPGCNFHIYYWDNRSKEWNN >cds.KYUSt_chr1.7751 pep primary_assembly:MPB_Lper_Kyuss_1697:1:47721171:47724506:1 gene:KYUSg_chr1.7751 transcript:KYUSt_chr1.7751 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLARRRAAEAVLLRRPHAAAWASACRGYAASGEESDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKSSFAHHGVKFSNLEVDLPAMMSQKDKAVAGLTKGIEGLFKKNKVEYVKGFGKLVSPSEVSVDLVDGGSTIVKGKNIIVATGSDVKSLPGVTIDEKKIVSSTGALALTEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEIRKQFQRMLEKQKFKFMLKTKVVGVDSSGSGVKLTVEPAAGGEQSVIEADIVLVSAGRVPYTAGIGLDTIGVETDKGGRVLVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKASGVAYQVGKFPLLANSRAKAIDDAEGMVKVISEKETDRILGVHIMSPGAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACMQTYDKAIHM >cds.KYUSt_chr6.28690 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181795067:181806629:1 gene:KYUSg_chr6.28690 transcript:KYUSt_chr6.28690 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDKLSGLHERDIFDLFLPEFDKPWVIHLRENLLLFYKHLLLEAQHCLQERRGNVDIRRVPERFGDRAPLPLNGTLAFCGYTGASCCDAAADAALRKQFDAANVSDAPCAAVLKSVLCAKCSPYSAELFDSGPNIRPIPFLCNSTSSATSAQSKETTTQDYCKLVWETCKDATILNSPFQPPLQGGARLPSSPSKLTDAWQSENDFCTSFGGAATDRSVCFGGNTVSFNTTETSVTPKGVCLERIDNGSIPYLNMVPHPDGSNRVFLGTQAGKIWLATVPEQGSGGTLQFGEATPFVDLTDQVHFDSAFGLMGMAFHPDFATNGRFFASYNCDRTKSPSCSGRCSCNSDVGCDPSKLGLDNGAQPCQYQTVVSEYSAKGSSSNVSEATSADPSEVKRIFTMGLPYTSQHGGQILFGPADGYLYFMMGDGGQKGDPFNFAQNKKSLLGKIMRLDIDSAPGPGKITNQSLWGNYSIPKDNPFSDDSGLEPEIWALGVRNPWRCSFDFERPSYFYCADVGQDKYEEVDLISKGGNYGWRIYEGPLVYDPPWTPGGNTSLKSVNIIPPIMGYNHSDVNKNIGSASIMGGYVYRGSADPCLYGRYLYADLYASAMWTGTETPESSGNYTSSLISFGCSKSSPIPCETAAGSPLPSLGYIYSFGEDNSKDVYVLASKGVYRVVRPSLCGYTCPTEKPETNNGKAPGGDSSSAPGAGMGTQMGALLLSVIMSLVLIR >cds.KYUSt_chr5.20079 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130497479:130497940:1 gene:KYUSg_chr5.20079 transcript:KYUSt_chr5.20079 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAAGAVFKSHTHHRKGPARFRALDFGERQGYLRGVVTDIVHDPGRGAPLARVTFRDPVRYKHRKELFVAAEGMYTGQSLYCGRRATVAIGNVLPLGVLPEGAVVCNVEQHVGDRGALARASGDYAIVISHNSENGTTRQASTA >cds.KYUSt_chr6.1619 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9880396:9885429:-1 gene:KYUSg_chr6.1619 transcript:KYUSt_chr6.1619 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAARQLAAALLLLLTVATAIDFPAFPRHSLEEDIPEDDYGGDGVGGGAAAGGGGTKGLAGNPVVAEIVNKRLKALTATFAMAIRGELGYCIKDTDSEWDQAFNFTKDTSFLNTCMKQTNGDLHQRVCTAAEMLFYFNSLMDSGEETRGEKSYIRPNKNCNLTSWIDGCEPGWACSAGEQKVDLKDSKDIPYRADDCQACCAGFFCPHALTCMIPCPLGAYCPESTLNKTTGICDPYNYQPPAGSPNHTCGSADNWADVISTDDVFCPAGYYCPSTTKKLPCSSGFYCRKGSTAPTRCYKKSACPPNSANQDITIFGVLLVVASCLVLLIIYNFSGQLLTNREKKQAKSREAAARYAKDTAQARERWKSARDVAKKASSGLQSQLSRTFSRKQKPGQTGGMSSKGLPSVGADGDGKKNNFADMNEDAPEPDFHLETGDKGKKPKGKQMHSRSQIFKYAYGQIEKEKALQQELQENDNNLTFSGVINMAKEHDETSRMVIEIAFKDLSLTLKGSKKKLLRSVTGKLMPGRVAAFMGPSGAGKTTFLNAIAGKATGCDTSGLVLINGKVEPIRAYKRIIGFVPQDDIVHGNLTVEENLWFNARCRLSGDMSKADKVLVVERVIESLGLQAVRDSLVGDVETRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPNMSAGVTVKDLPLRWMLHNGYDVPRDMLQSSSTSESSSGGSAGPSSPSAGAGPSFATELWANIKDVVMRKKDEFDYNKSTEDLSNRCTPGILRQYRYFLGRVGKQRLREARILGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFALDKIYYWRERASGMSSLAYFLAKDTIDHFNTIVKPIVYLSMFYFFNNPRSSIWENYVVLVALTYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKNTIIADLCYTKWALEAFVIANAHNYSGVWLITRCGSLQKSGYDISNRSLCLWVLMANGVIFRCIAFFCMVVFQKH >cds.KYUSt_chr4.52930 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328245655:328246017:-1 gene:KYUSg_chr4.52930 transcript:KYUSt_chr4.52930 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLVLFLAVNLALLATTAHACGPYCPTPAPPPTCSIDTLRLQVCANVLNLLKLNLPVPVNEECCPLLSGLANLDASVCLCTALKAEILGIKINVPVAFTLLLNQCRKTCPDNFTCST >cds.KYUSt_chr6.4921 pep primary_assembly:MPB_Lper_Kyuss_1697:6:29040238:29040912:1 gene:KYUSg_chr6.4921 transcript:KYUSt_chr6.4921 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAQNANRVCGTSPSTDQLGVKQPPSSSRLHPPPSTRAEPPITLRLPPLPSPAEIGGPPVRRPPPSDPHSRRQAAGRLTEQRRGRVGNVAPRLRLSTWAEGRMWRWRWVRRSMAVALVGARRPKFLCPPPQSSFSHLLGIVAIPLDAVTPCCYCSAPGLQQRTGPGERAQHRCAQRLGVTAQGRPFPLPPGLLSFRSSCDVLVLGGGEMAEGCGVAVFKQSSG >cds.KYUSt_chr5.42716 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269057016:269060342:1 gene:KYUSg_chr5.42716 transcript:KYUSt_chr5.42716 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKTKRRFPTVATSDDATDQYSTLWTTSLPGDLLLLVAWHVLAGDFLDYVRFRAVCRLWRDGTMCPRGRGLVDPRFHPRRWIMFPEGHGLHPGHNKLRNHVRFLNLDTGTFVRVKLPLFRDHCILDSVDGLLLLQRDQDAVVRLLHPFTGDLADLPPLSDLHTQLRRYKYNFQTEGDRWFFLTLGMFAVVSCDANAGTITLMILFYRVKRLAFATTQDTQWTVPSWEVPPYAAPLAFHGKIYMVQYPTYDGSLVFQIDPPPQAGSPPPPPKLVAKCPKDKLYDGHSLVEGDSEILLVGHTDNSRSHILIYKLKDIMLDRFVPVTSIGDRALFLLDTNLSVSTKAMPMVMAETIVYKDPRMRNYAQYHLGTGTRSPAVDECGIRGYDPGPRSLIQHIITCCIRSAWNKGVMYSFKEADRDTWLIWKVKRKFRHWVVLKLYIILR >cds.KYUSt_chr7.26467 pep primary_assembly:MPB_Lper_Kyuss_1697:7:165279259:165282269:1 gene:KYUSg_chr7.26467 transcript:KYUSt_chr7.26467 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWLNQAEAWAGQAERWIRQQPPEQIYVAVAVIALTVLVLLAASCLKSSKSNTIVLSGLSGSGKTILFYQLRDGSSHQGTVTSMEHNNDTFVLHSELERNGKVKPVHVVDVPGHARLKPKLDELLPQAAGVVFVVDAQDFLSSMQAAAEYLYDILTKATVVKKRIPVLIFCNKTDKVTAHSKEFIKKQLEKEVNKLRESRNAISSADITDEVQLGVPGEAFNFSQCQNNVTVAEGAGLTGNVSAVEQFIREHVKA >cds.KYUSt_chr3.35945 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225852982:225860922:-1 gene:KYUSg_chr3.35945 transcript:KYUSt_chr3.35945 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKPNDGPPPADLPEEKMLRFRAVLRDFKASHEQGMGMLDALGFTNTNVKIINPHRSLQKALADLDFLKKQHRPTMSGRDIAKKVEMLTSNTSGQLRKIAEDRSKLPISSFKDHICSTLENHQVPQYILDYVWGKGESFAERISAERGEAVGNTVGYKVKTFYLEDVLSILQSVDDNHLHPASDDLELKTVLTDEYKSSLDEVISMALVNDEFDPLIELISVEQNPEVFNYSHSETGVTPLMVFAGKGQLGDVCMLLSFGVDCSARDHDGKSALDWSQQENQKEVYEIKLLDPNCRIADFLKKTLDPPVSETVKNAITVLQDLGALTQDEQLTDLGEKLVDYRDPFVLPVDPDQRKRADAAKIELASLYGGFSDQLAVVAAFDCWRCAKDTGQKALFCSQYFVNSNTMTMLSSMRKQLQNELAQRGFLPAEASACNLNAKASGIISAVLMAGAYPMVGKLLPPCKNARSTVVETASGAKVRLHPSSANYNLSLNKSSGYPLVIYDEITRGDGGTLSIKKCSVVGSYPLLLLASEMAVAPPDGDNDEEEGSSGDEVIMSSPDNTVSVVVDRWLRFHATALDVAQMYCLRERLVSAILFKVQHPQDVLPPALGATMYAIACILTYDGLPAMVQCNTGAFDSRPAERRGGGYIRPNDFLTSLLTDRVAPLAEYLSPENILPPSAGDSLPSKTVPGPSAPQSSKRQRDSARRRRPQ >cds.KYUSt_chr6.13962 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87337289:87338233:-1 gene:KYUSg_chr6.13962 transcript:KYUSt_chr6.13962 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTCKLCFRRFASPRALAGHMRSHSLATAAAAARQQISTASSAAATDEDVGFKRPLPIYTLRENPKRSLRVSESSDRESEAESTPPRAKRAQAGAGAWGDAEPVSSLSDAATPEEDVALSLMMLSRDSWPSAGYGDGYEYSDDDDDEEESDGGYALVLARDPAPVAAEKRTRFQCGACKKVFRSYQALGGHRASHVRGGRGGCCAPPVAPPPTPAAPKPRQAPALDGEGQPRECPYCYRVFPSGQALGGHKRSHVCIAAAAAAQAQAHAAAAVAPPHAGRDLDMIDLNVALPFEEVEVSAVSDPRLSPNPGA >cds.KYUSt_chr3.31896 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200373692:200380791:-1 gene:KYUSg_chr3.31896 transcript:KYUSt_chr3.31896 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFACYILLSLLLLFTACHARDTVTPGHPLAANETLLSEPDGKFVLGFFTPPGANNTYLGVWYSEVSVRTVVWVANREHPIPGDAAANPGSTLSVSATGTLAIASNNSTVVWSVKPASALASPAARILDNGNLVLADAGGAVAWQGFDYPTDTLLSDMKLGIDFVTGRNRTLTAWKSPSDPSPGPVVMGMVASADPEIFIWNGADTVYRSGPWDGVQFGGVPDLVTYNDFTYSFINNAQEVTYSFHVHNESVISRLGLTGTGSNGLIQRSTWVPAAETWELYWYAPKDQCDAVSPCGPNGVCDPNSLPACSCLRGFVPKSPAAWALRDGRDGCVRSTPLGCSRNGSATADGFVTVRHTKVPDTTRTVLDMGLSLEQCRQACLTNCSCTAYASGNVSEDRRENGWGCVMWSSELTDLRVYADFGQDLFVRLAAADLGSTSKSKKPRTVIAAVASISALAFLLALAGFFFWSRKKKLTRTTGSSKWSGGSRSTNPRRYEGSSHDDDLELPIFDMGTIAAATDGFSIDNKLGEGGFGPVYKGKLEDGQEIAVKTLSKTSMQGLDEFKNEVMLIAKLQHRNLVRLLGYSISGQERILVYEYMENKSLDYFLFGNLSTFLF >cds.KYUSt_chr3.10137 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60078039:60083674:1 gene:KYUSg_chr3.10137 transcript:KYUSt_chr3.10137 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGLDQLQGLVRSYLNNRYQEETSIARGGEEQLDMKTDVKMDVKLDMELDMKISHGRAREEREACARGEEDVQAGPAPDIITWREYEPLRNEMRREFRAQDEVLNGKIDEISQKLDATHVTVTTMQDQMTDVQRNLADLRLAVENLTAQQHQEDDDDPELQDDAHNARDAPRGNRPRGLVPLGRNGRGQDEEDGLGKPKFSIPKFEGGADVEEYLTWELKIEKLWSLHPHYTEDRKIKLASSEFDGYALRWWDVRNREEDRWLLPFRLPPHSSRSLFAKGGGEAGDRRATRADPRLSPLARRGGKERSGGCYRRLRESWLLEMAEEGERWVPLATARGRSLEPPSAGSPFRPLPDAFFLEQTEEWMCRTSSRSTTDLSSTVFIKPPTGYATITAISLLCKLYSFSEKSPVQCSQLHLCSSARAVVLAVAPVQYVLQPHDGRYHMSTLIKFLGTWTNKLKSIITDVEEKKTRSAFLEDVSFLFRWREAEIWKNHGDLLFCRARKKVLC >cds.KYUSt_chr2.18378 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115821034:115821578:-1 gene:KYUSg_chr2.18378 transcript:KYUSt_chr2.18378 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKASSSKASNVDDDDN >cds.KYUSt_chr2.48374 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302491949:302492410:1 gene:KYUSg_chr2.48374 transcript:KYUSt_chr2.48374 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRARQFRKRPLDSKAAFLAPALPPAGLAPLTGATCGLLPTAPTMCSQPRPAASTSTLVLPEEVPPRLPRPHHCNRTATMAAPPTGPRSSDGMLYDPAVLVEGLGSLSLPPVVSGGPAEVPLPGEDTLSAPGLLWVASLGFDDDDGNGELAP >cds.KYUSt_chr7.11277 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69308285:69309922:1 gene:KYUSg_chr7.11277 transcript:KYUSt_chr7.11277 gene_biotype:protein_coding transcript_biotype:protein_coding MADVKTNTAVTLRTRKFMTNRLLARKQFVLEVIHPGRANVSKAELKERLAKVYDVKDTNCIFVFKFRTHFGGGKSSGFGLIYDNLESAKKFEPKYRLIRNGLATKVEKSRKQIKERKNRTKKIRGVKKTKAGEAAKKK >cds.KYUSt_chr3.35136 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220494419:220495651:-1 gene:KYUSg_chr3.35136 transcript:KYUSt_chr3.35136 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTAAAVRRLCAAGEVRSALALFARGSKAGDASLDVAACTALVHGCCRSGDAAEARRVFDVMPRLGLAPNEVTFTALIHGYFICGHREEGLALFEEMLSRGVEPNLYAYNILIGEWCRTAEFERARRLFDEMPAKGVARNVVSYNTLIAGLCRHGKLKDAAQLLEIMRAEGIHPTVVTFNLLVDGYGKAGKMSNALHFSNQMRAAGYQPTAVTYNALIAGFCRARDIARANKALSDMKERGLAPTKVTYTILIDALARDNHMDKALEMFAQMEQTGLEVDVHTYGVLVHALCAEGKMKDARKLFQSMADKGVSANNVIYDMLIYGYGREGSSYKAMRLIMEMRKNGLVPNSASYGLTIRSLCNDGKVSEAETLIHDMRHAGLQTGDSVCQALLDAKARQRSSTDDSFP >cds.KYUSt_chr5.37763 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238531119:238533845:1 gene:KYUSg_chr5.37763 transcript:KYUSt_chr5.37763 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKPLLTAAVLLLMVAAAAAISVEDAAPDNIQPLSTLNLAAAKVAMDSASAIHASPDVLGKDGEDSAWVTVNFTTPSPSSDHWIGLFSPADFSSGIGSTKVAGQQDALPGLPMAPIKYKFGNCEPDFLSTGSGNTSFLVINQRYDYAFGLFSGGKDNPKLLAVSNKISFANPKAPVFPRLSQGKEWNEMAVTWTSGYNIGEAYPFVEWRIKGEETSKRTPAGTLTFTQSHLCGNPARGQGYRDPGFIHTAFLKDLWPNREYSYQIGHELQDGTVAWGKSSTFRASPFPGQASLQRVVIFGDMGLGARDGSSELEGFQPGAQVTTDRLIKDLPNYDAVFHIGDLSYANGFLAQWDQFTAQIEPIASKVPYNVASGNHERTFMDTGGFYNGNDSHGECGVPAETYFYVPAPAHRGKFWYAADYGMFRFCVGDTEHDWRPGSEQHAFLDSCFAGADRKHQPWLVFLAHRPLGYSSNDFYEQEGSFSEPMGRTLQPLWQKHRVDLAVYGHVHNYERTCPVYENTCTVKGKDAQSSYSGALGGTIHVVAGTGGAKLRTYAGGAWPQWSVARNESFGYVKLTASDHSTMRFEFIHSDDGAVHDAFNITRDYRDVMACAVDSCAPHTLAN >cds.KYUSt_chr3.20996 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128661259:128665042:-1 gene:KYUSg_chr3.20996 transcript:KYUSt_chr3.20996 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYALLVGAELDGLTNLQPAGGCDDPTYPYYFKLLCEGCKETSTKATCVSLDEVVELPNGKGTANLVQKCKFCPREGSIVMIPGQGTPLTSELSQKGEMACLMVFECRGYEPVEFAFGNGWKAESVHGTKFDIDLSEGEFDEYDESGGCPVSLSKLQSTFKVVKKQGFHGKTRYV >cds.KYUSt_contig_257.316 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2422751:2423023:-1 gene:KYUSg_contig_257.316 transcript:KYUSt_contig_257.316 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSKSAFASLFGLRSKRTQEQEEEDAAARRQQQQEERYRRGTRVRPSDDDYYYGRHWYADRDINRKASDFIDRVHRGMLTNDEQDQNE >cds.KYUSt_chr7.4795 pep primary_assembly:MPB_Lper_Kyuss_1697:7:28965942:28969902:1 gene:KYUSg_chr7.4795 transcript:KYUSt_chr7.4795 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAATLAAATSSFLRRSPLLRPHGFRVARDFAPRSFDKIIAKEIPSSIVYEDEKVLAFRDINPQAPVHVLVIPKLRDGLTGLDKAEPRHADILGQLLYAAKVVAEKEGVADGYRVVINNGAEGCRRVQLGGQDHEQRRVLQISLERRRLRVGNPLLSCIWVGQRICWNVDGFLVSGETFAAHKLVIASRSPVFMAEFFGHMREASAGRVVIKDMEPQAFKAMLHFIYTDTVPELDQELEAVAAMAQHALAAADRYGLDRLKVICEGKLAGGITIETAATTLALAQQHNCSHLKIKCVEFMVSTPAVLDAVVATEGYKHLEASCPAALTSIVISMRGRRN >cds.KYUSt_chr4.25748 pep primary_assembly:MPB_Lper_Kyuss_1697:4:161865308:161870801:1 gene:KYUSg_chr4.25748 transcript:KYUSt_chr4.25748 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAAAADGKGSVAPYVVPSAFYYFPLISFFRWAGVPPTRKPDYARAPKPVSFPGERLDSHGLNSRNEETVPKGTAAWGNKSALPSPNAWGSPWLLDHKNDGAPVPPGHMDGRPSSRGSSMSSSTIGSDFLDLPSHHLPTAASRPLSTETRSGGLQLSGFPDSYTNVLKAPFRNVGRRAPTSRGKGFSLSMDDFPELGSKNSASNSQQGQNSGRWPTVGSGMAATQDEQGKNPITGTGEVISSWSYEHESISGMNYMFKGGDPIPAAKLTGGAEQAQLHGPKGPNICMPPPWVDYWHPPPDQPPDEDGILHRGEAQYGPYKNADPIGFPAESLAHVGQSTLNKKAAARQHTGHGGHFSDNWDPSHPHMPADCCATNQPCHVLLKVKNGCADVLEIEKQPIIKKDLALLEKIKCLNIKARNLRALNLANTHSSLPKESKVEHPKSINVEAGHAAEYVPFSAVTSEVGPAFDKLNSVSESSSSVPTKPSNVPAKGVVVVGLSEEQVTEYSEPGKAGKSANCHPYGRGDISGYGLDCSAQDIPSNGHGWEEYSMVDSSHGVVTADAQQDQLFSGNASQQAHVTAADKVSNWLDCEFQHSRMRDLSSQAAKQLHDAENWISQQKANAITKLEELRIYQSIQSHKSNDAPPDADNMYCKQKTQGDGTTSSTAGTHYIVSADGLSVPQPVNGVKVTEVSIGSGPASNTSGVIKGPWIYNVMSSAKKTEVNMIEYIANESTPLSHDNSTPEHLRMENRRRHFDSRERNMTDSKTLADTKGAEAKSHEDLLTRNKNIRRDSEAIRPAATLVFGNQKNSTKVSSVHKTAINGSTIPTKVTSVTGLIVGSIMIEDVATSLSRLKQVKKSEKNQHGLQLAEDSVSNDSAMYKTIKQTGKKELHAEGCSNGMAVAAATEPSESHSMVLENVATTKKSEVGWYAHEPLHEELQQQNGVPILPGENQTSYGNRANSNLETTYHDKEAPASCTEVKVTTELNDWLDRKTTLDYQGRPGGPWKQNSDGLASRVQNVAEPADYFNVANVVQQSSDQWLQGAEQFESKTDDGTVEHSKQTHMVPWPDNTWVKHRATGNPRRYHVEGQRNAVSRSGYEERASRRHLFHESPAPSRLRWMPKYTFHPPSDAQDNGVPEWFHDSHQIAEGDSRVDLQGGEGNVSMSFVDENLMIWNEKEWEYQQPFPAPHRLGQQQGGRGHGWYQGSGRDTDVDSQRGRGRHSEYHFVEPVRYRHAAPDIQWNLGGADNYRPLVSPSAGVHMQRRYYI >cds.KYUSt_chr3.12653 pep primary_assembly:MPB_Lper_Kyuss_1697:3:75749742:75751254:-1 gene:KYUSg_chr3.12653 transcript:KYUSt_chr3.12653 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHLWQCAVLLLFLLFAQSEPAVLSASHSIGHRRYGSIFSFGDSFADTGNKPIAFAANSVPVTVMRRPYGDTFFGHPTGRTTDGRLILDFLAENLGLPFVPPSLAHNGSFHRGANFAVAGATTLDADFFHDRNIPGAPSKFPLNTSLNVQLEWFEKLKPSLCGSTQECKEFLGGRSLFFVGEFGVNDYHLSLKKWSVEQVRSLVPLVIETISAAIERLIKHGATSLVVPGVIPSGCSPPILTMFADARPPKYDPKTGCLRAHNELGIHHNTLLQDSLRRLRAKHPGVTIVYADFFSPVMEMIESPHKFGELLKDCRARLNTLCGDGNLILNKKVNLIDEMTGFRQDVLQVCCGGPGRYNYNDSVSCGDPGATACESPSSSLYFDGVHLTEPGYRYVADGWLNSINSAASANTGWCRSEL >cds.KYUSt_chr1.16586 pep primary_assembly:MPB_Lper_Kyuss_1697:1:96290818:96292313:-1 gene:KYUSg_chr1.16586 transcript:KYUSt_chr1.16586 gene_biotype:protein_coding transcript_biotype:protein_coding METPTAPAPDLLSLALPEDEPLPLLPPPPRLRLMTEMETLTTPAPSSQLDAETTPLASDDLLGDWIRCEAHHDVGV >cds.KYUSt_chr2.40176 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249495532:249496179:-1 gene:KYUSg_chr2.40176 transcript:KYUSt_chr2.40176 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIQVSVSSDKSRRKVMTTAAKTTGVVSVGITGDSRDMLEVVGNDVDTVSLVGRLRKKLLGRLLLGRLRRKLLGARIVKVEEFKDKKKKEEDEKLPYWYPGYYYPHHQYPSHMVVADQPSSCAIM >cds.KYUSt_chr6.29216 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185088769:185089020:1 gene:KYUSg_chr6.29216 transcript:KYUSt_chr6.29216 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPTRRSRTRASGYLSLAKWTSSLSAPSPSSAPPCPAALSLQVAVYWWCGDAAGHVSTTVSTPVERPLVCNPNVRVLVVLR >cds.KYUSt_chr5.17586 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113469641:113470042:-1 gene:KYUSg_chr5.17586 transcript:KYUSt_chr5.17586 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVACVFDPATCRSLRWVSTFLCPGLLLMDKIFAWVADEEALFLFRGGFPHLPASESRVEGGGSTMSPFTSAMDADLSCDCAIIDDATKEHTIDNASVQRSTHTGCGGHRLHEDELRGEALPHTGGGDAGWS >cds.KYUSt_chr3.27648 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172664304:172665185:-1 gene:KYUSg_chr3.27648 transcript:KYUSt_chr3.27648 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLRLLVFVFLVVHVSHVSPLPLSTYDDSMCSESFSCGGVEIRYPFYLSNATRAAPDYASNYSCGYNDLKIFCEGEGKAIAPLLHLHGDTYTILNISYDDRHMVLADTDVLRGNLCPRVSHNVSLGQAWLSYTDSLDNLTFFFDCYNPGDQSPPDLPDYQINCKGFSGDGVSFVFTSEKVDVSQEYDLAGHCNHTFEVPVHKDPLLGSGLLKLPTAYGAVLQRGFELEWNPGTEQVCNLCEQSAGGRCAYSESKQFLGCLCPGGKVGVQDCNGATPASAYPTPSKFFNLDN >cds.KYUSt_contig_1253.545 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3303280:3304037:1 gene:KYUSg_contig_1253.545 transcript:KYUSt_contig_1253.545 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRSSFSSRAARYILLPIFSAAHRVAMAAPPRARFAALYLLLAALWLANANTITKIFERCARLDCFLSDIECRICLEFTGIGFMTLLLLRVDWQRFSEACEAAAGAQDGLPPAAAGPALEPGRPYPPAGETNHQNDLDLDILFLVNYSTPFAVFGLLGMMYRLPAPRMGSDGVIFANILAKVGFLTTACSCCLLVIPCIVLRLRRFHMEKDEGASAA >cds.KYUSt_chr2.43553 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270972667:270975821:1 gene:KYUSg_chr2.43553 transcript:KYUSt_chr2.43553 gene_biotype:protein_coding transcript_biotype:protein_coding MKASPFNYFIMAIPLPCILLFLHLLLFTASAEYAQDLLRRAEGERDWIVGVRRRIHAYPELAFREHRTSALVREELERLGVAARSVAGTGVVADVGSGRPPIVALRADMDALPVQELVEWEHKSRVDGVMHACGHDVHTAMLLGAAKLLHERKDQLKGTVRLLFQPAEEGGAGASHMINTGVLDGVEAIFAMHVDYRMPTGIIAAHAGPTQAAVCFYIVRIEGKTGKAETPHLNVDPVVAAAFTILSLQQLTSREDDPLHSQVLSVTYIKGGKSIDATPPVIEFGGTLRSLTTEGLYRLQKRLKEVVEGQAAVHRCMGVAEILEAPDHPMYPAVMNDDRLHHHVENVGRGLLGPDKVKPGEKIMAGEDFAFYQQLVPGVMFGIGIRNEAVGSVHSAHNPHFFVDEDVLPVGAALHTAIAETYLTGRSTLDENRSVHSI >cds.KYUSt_chr7.18736 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116115470:116115757:1 gene:KYUSg_chr7.18736 transcript:KYUSt_chr7.18736 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLYQLMSRLHMARSQSSSAADVPRGHFAVYVGEQRRRFVIPTAYLRNPSFLVLLKRVEEEFGFDHPAAGGLTIPCSEGDFADIVGSAAVDHH >cds.KYUSt_chr7.39424 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245146374:245152288:-1 gene:KYUSg_chr7.39424 transcript:KYUSt_chr7.39424 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPEKPRRRPSPDPVAVLRGHRAAVNDTCFHTTLPLLFSGAADGELRVWDTASHRTVSSIWAHGGAAGVYSIAAGSGLGNMIVSQGRDGLCKGWVIEEAGLSRRPIFTISTSTYHFCKLSLVKVPCSAHGIQSGLSCSNSDTEPHRVLSGENAGSRDANPAGATQEYEQGSSSDRQNILAIAGQESSEIELWDIKSSRKIMCLPQRCSANVTVHPTKQRGLCMAVQAFIPCESGGYINILSSYEDGSTLWWDVRKPGSPLSSVKYHSESALSIAIDGLCTGGISGGADSKIAMFTLDHQKGTFSLRNEIEIERSGITGIAIRPDNKITATAGWDHSSGLNVPKCEVFESPSQVESPALWLDD >cds.KYUSt_chr3.46690 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293454263:293454608:1 gene:KYUSg_chr3.46690 transcript:KYUSt_chr3.46690 gene_biotype:protein_coding transcript_biotype:protein_coding MHAELYVHPQAIGYQHAYINGVSRCSAGIRFTGMASSRTLAVILCVILALLAFVAQDALAARVLDDAPYSGGWNPGPGGTYPTYP >cds.KYUSt_chr7.8029 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48552699:48557249:1 gene:KYUSg_chr7.8029 transcript:KYUSt_chr7.8029 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLAVLQQLRLPDPILKDVVVATFPSLGFRAKTLARLGLDDDSGDIRRNGTAFPTTIWWVWKSMGNFRGHNPETTTVHSHKSRRPRRKFITSDSDDDDKDYSVEEESNQLVLYEPRTTTCRKQREVQFAEPIYHPTPLQQRPPKAKYGHSKVLPSIGAYTVQCADCFKWRIVPTKEKYEELRETICRQLFVCARACEWNRPLSCDDPEDMSQDENHVWALDKPEIPQTPPGWDRDVRIRGEGCSKFADVYYTSPSGTTLRSMVEIGRYLAENPYYIQQGVNLSQFSMLTPQPLQQDYIRKRKYPANRHLTERLEPFEVSPLACAPPPTRKELLRMGTSASNPVDLDESEVYDAAPLRTKKRTPRQASSPSSSEHTRSTVTTASSSGEPKKRSLKQVSSSRRHPTPPPSWSHSGRQPQGSSSDIEHVEL >cds.KYUSt_chr2.47404 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296639017:296644923:-1 gene:KYUSg_chr2.47404 transcript:KYUSt_chr2.47404 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEEEQMFAELFEEEMLAAIRDEEHMLILTCLSGLYAETAIGRSGGSAPGRRKCKPRQRMEGYCMLYADYFADWPLHGMHSVKVFSYSELRKATQNFSEANKIGEGGFGSVFRGKLKDGTFIAVKVLSATSRQGVGEFLTELTAISDIKHENLVTLMGCSAEGSHRILVYNYLENNSLQQTLLGAKYSSIQFNWEARVKIAIGIARGLAFLHEEIRPHIIHRDIKASNILLDKDLTPKIADFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYGYGVLLLEIVCGRCNTNTRLAREDRFLLEKTWALYEQEHLDEIIDADIDDELDIEEACKFLKIGLLCTQDAMARRPHMSTVLRMLTGCKNVSMEKITRPAMITDFCQLIPSGAEKTRSNTSGSFTTTETTDPFSSSDTATQSSM >cds.KYUSt_chr3.32435 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203755272:203757362:1 gene:KYUSg_chr3.32435 transcript:KYUSt_chr3.32435 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLALLVGINYPGTKAELKGCHNDVDRMHKCLVDRFGFDEEDITVLVDRDSSGPQPTGANIRHALAKLVGDARPGDFLFFHYSGHGTRLPAETGQDDDTGYDECIVPSDMNLITDQDFRDLVMKVPDGCIFTIVSDSCHSGGLLDQAKEQIGNSYQTQTQSREPEERSESGSGFRSFLKETARDMFDTHVRHGRSQHGGEDQDEADEQPTGDGHTKNRSLPLSTFIEMLKDQTGKDDIEEGSIRLTLFNVFGEDSSPKIKKFMKVMLGKFHEGGSGEQGGLMGMVGSLAHEFLKAKLEGNEEETFKPALEQNVGSVDEVYAGTKSWAPNNGILISGCQTNQTSADATTAQGSSFGALSNAIQTILAGKHGKVTNKDLVMKARESLAKQGYTQQPGLYCSDENVHVAFIC >cds.KYUSt_chr5.38316 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242295988:242296521:1 gene:KYUSg_chr5.38316 transcript:KYUSt_chr5.38316 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKKAAAMCKSKTAMLAARLLLLASLQSRRMAAVAVISHKIHALVVADRQRVDGPGALVMCKVENRQPVVHGVDMAADLSHQLALDDENCYTDECDDEDDGDVLLDACHDEDEPSVMDVIRINREVDGLEFNMEEEIDQAADMFIRRFRERLSKTF >cds.KYUSt_chr6.2740 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16059652:16060128:-1 gene:KYUSg_chr6.2740 transcript:KYUSt_chr6.2740 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREDDGAPKEKAEAEDSSLKAEETAALEDVDEWSEEDDDPVIRNLNLIARRNLMTPEWVENRKKQHYELHALLNSVYDALENGEIESDSEDEDEAAAAATAERSKRLWASVDWSRINTVDGEDAVAVYPAGVYPPQEGKQKDHDAPAAESSTPHVG >cds.KYUSt_chr3.33389 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209655036:209656202:1 gene:KYUSg_chr3.33389 transcript:KYUSt_chr3.33389 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQNPPRRQLAAGQSAWPDLPPELLESILLRLDPLDHVAVRLVCSSWRSCARALLSADLPFEAPRLLLRRPGSCGRLAFFSLRRLEILPYALPDRLNAGRCCGQIGCWLAMAFDDERAIELRNLFSGESVAMPRPPVFPVAKIMLSAPPTSLGWVAALLGNSGTLALLQPDVSGADAWISIAAGAEHGGFRDMAFWRGRLCAVGYDGTVLAYRADLRARVAAVSELREKDGWHERNVLRQWRRRTYLVESEGELLLVRKLYSVDGDWAEVDVEVHRFRPEECNWEEVEELPGRAVFVGAVASVSVLVTAALPGVQENCVYFARREVEMIVPQAIGVYSLEDFETSVVAIAGGHSADVEPVWIIPSVPCSHASASRNYQQASRLCDG >cds.KYUSt_chr6.6224 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37610819:37615628:1 gene:KYUSg_chr6.6224 transcript:KYUSt_chr6.6224 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVLSLLLTLLCLHHLAMALDLEQQAASLVAIKNSFSPPPPALHATWTLANHAALCRSWPAVACDNRSAVVSLDLSAHNLSGALSPAIAGLTALRHLDLSSNSISGELPTSLAALHNLRYVNLSNNQFNGTLQRLDLAAMSSLRVLDLYDNDFAGPLPRTLPYETLVHLDLGGNFFSGIIPGTTFGRLQAVEFLSLAGNSLTGAIPPELSRLAALKQLFLGYFNQFDGGIPPALGRLANLVHLDLANCGLTGGIPPALGSLARLDTLYLQTNQLNGTIPQELGNLTALRFLDVSNNALTGEVPPELAALRELRLVNMFINRFRGSVPGFLGELEHLEVLKLWQNNFTGAIPAALGRAAPLREVDLSTNRLTGEVPRWLCARGQLQILILLDNFLFGAIPDGLGACTTLTRVRLGQNYLTGELPRGFLYLPSLTTVELQSNYLTGHIPSPAPATAMTNKSNVLSLLNLSSNRFNGSLPASIGSFTSLQTLLLGGNRLAGVIPKQFGQLKRLLKLDMSGNNLTGSVPDEIGECVSLTYLDLSANQLSGAVPGVALARIKVLNYLNVSWNRLDGAVPPEMGGMKSLTVADFSHNDLSGRVPRDGQFAYLNATSFAGNPRLCGMDASSPCDLTTSGPQLWPDGGGGEAATKVSSVWGARLKLAAALGLLACSVAFAAAAVATTRSAMQRRRLRQASGGWRMTAFQKVSFGWSDVVGCVKENSVVGRGGAGVVYRGTMPGGEVVAVKRIAAGVDGDDDDGGFSAEVRTLGKIRHRHIVRLLAFCCSDAGGKGRGKSRRSNLLVYEYMVNGSLGDMLHGGDGEARTMTWATRVRVATEAAMGLCYLHHDCSPPILHRDVKSNNILLDAAMEAHVADFGLAKYLRHGGNGAASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVVLELVTGQRPVGPHLGEEGGTDLVQWVRARVGVDHAGVAGILDPRLRGDVPAWEAAQVLLVGLLCVQEQSVERPTMRDVVHMLQQARQPQQHAPRGGGPAPPIPGGVRQDDGDTTDEAAGDSWPERRRTVIP >cds.KYUSt_chr3.2956 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16992375:16998333:1 gene:KYUSg_chr3.2956 transcript:KYUSt_chr3.2956 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSAPSTTGRRPPPSRPSLRPEANSISARRPLGVLHRQRRAPGRRSSPGHASIGELLPCPATPPIRRTKAASVHPATAPLSYSPDPCRPPPQAGEDYLKEKLQPYRSIVEDKVSAPALGFDYPALVRDATAVVMLCLGYKLFRWWRMDKRDAGGALFLDLRW >cds.KYUSt_chr3.40583 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256037079:256041483:-1 gene:KYUSg_chr3.40583 transcript:KYUSt_chr3.40583 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRTMPRACLLLLLLYHLAPPLAAATDADALLALKSALDTSHRLPWSAATAPALCTAWPGVRQCDANNRVTKLVLENLNLTGSLTTALLAPLRELRVLSLKSNALSGAIPDNLAAALPNLKLLYLSGNRLQGRLPASLALLRRATVLVLSDNDLSGGIPRELASGVPRLTALMLDGNRLTGRVPALPQPTLRRLDVSGNRLEGQIPAVLARRFNASSFERNAGLCGPPLAAPCAEAPLSPATAAFAPLPPPGGGRRRSRKLAIVVGCSVAGAVLLALLLAAAVTACRRGRNKRVAGDVDKGGPETGEEDAHHHQHQQHHSANAPPPATNAAAAAGGGREFSWEREGIGKLVFCGGGAEEMSYSLEELLRASAETLGRGEAGSTYKAVMETGFIVTVKRMRCGDAAAGVGAAEFGRRAEELGRVRHPNVVALRAYFQAKEERLLVYDYYPNGSLFSLIHGSRPSSKGKPLHWTSCMKIAEDIAAGLLHLHQTPAAPIVHGNLKPSNVLLGPDFESCLTDYGLVPALAAADASSAVSLLYRAPETRSPSAMANACFTSASDVYSFGVLLLELLTGRTPPQDLVELRGDDDVIPAWVRAAREEEMTESGGESAASAGGGGAEEKLGALIGVAALCVAADSGRRPTTAEVLRMVREARAEAMSSSNSSDRSPARWSDALVGAPPHREHGAESFAERD >cds.KYUSt_chr3.22024 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135650688:135653678:1 gene:KYUSg_chr3.22024 transcript:KYUSt_chr3.22024 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPAKIPRRKQPKNQQIKVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDCIGETYLGIQIFRFYFKCTRCSAEITFKTDPQNSDYTVESGASRNFEPWRAEDEVVDKEKRKREAEEMGDAMRALENRANDSKQDMDILAALEEMRSMKSRHAGVSVDQMLEILKHSAHLKEEKTVAELDEEDEELIKSITFRNSKDYVKRIDDDDDDDEEFGIPGQSSITAKTNGSSESVLNPTDVLTTTNGPESTNKEVKKTVASKMPKFIVKPKPTAANPLKKQKTESAAVQDNGKAPVVATEEKSGASEEKTNVLQSLCQYDSDESDD >cds.KYUSt_chr2.48147 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301051623:301053712:1 gene:KYUSg_chr2.48147 transcript:KYUSt_chr2.48147 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKDFVPQGSRRNVVVCVSWIWETIDHQQNVYELGSLSLTNYYLLNIELSLYLVEDTDTRQKSHRGSPQNQLYQEPSSGQLRRHPRTDKLRYYPPQAASKKDEIPAAEPDSQANRVRSATHVIAADTDRITSAIIDISSDEEEGDAPY >cds.KYUSt_chr3.26987 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168385317:168388309:1 gene:KYUSg_chr3.26987 transcript:KYUSt_chr3.26987 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGTEVAADGSLKRYPEVALRLRVPSPPSLVDPFLVGSLEGFADFGTHHLLAYAEGDDYNYKYNSKPATCSPPAQAARGSLQVLGNNSACAHLKEQLTTSYRLEHGGTPLLQRLREPRMHINQMQCTADGAMRAYMVFSNDTESTRRGRYHRQRRFFVDEEAAVADGQWDSDRGVLCLRACRVVRLAPSALAVREHECGIRMSFWFPTVWTLRDRSAVAGMLWISTQETTGNNDDAALSSGAISASSIDVTDNHKINFTDVKYNYNDTMLEEARKHYLKINKEKIKGPDSFPTNYTYRDFEFRYHEHEFASLEARGQGHGRGVISSLRERTDRLFFEKTDIYLYGMYSNELSEAISRMDLESIMLVASTTLSCVFTVLQILHTKKNPEAARGTSITMLVIPALGFLTPLVLNFEAMFKSRRSRYFAYSMGGSLEIKEVMMRAPLLIAFVLQLRLVQLAWSGRRKSADETTPSPAASERIVLQICLPLYMMVSLSSLPVRRSGEPSPSNTVRTSNAEGIVPELMVSTSLSLSWLHHVLYFLDLLDLLVEVSSVSPDDTISGDSPDYTSTKRSKRSKKYNTWCNQDKLKLVDTIMKLRENNSGTMPSVLEVLTVLEGDGSPLLRTGKLDKDTIYQKIRHLKKKFKTKLHGRRFRGPRALHEKRYMSAP >cds.KYUSt_chr7.36905 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230546279:230549481:1 gene:KYUSg_chr7.36905 transcript:KYUSt_chr7.36905 gene_biotype:protein_coding transcript_biotype:protein_coding MPATMRCGRREEDGDNGDKGFVEPPLEQLDRVGVGRKEKDSVVELGNTGEDDDVTIKVLFCGICHTDLHIAKNDWGNTLYPVVPGHEIVGVVTDIGRGVDGFKIGETVGVGYFVGSCRTCISCSKGYENYCAKLELTSNGVGRDGENTRGGFSDVLVVNQDYVLRVPDTVPMDGAAPLLCAGVTVYTPMMRHGLNAPGKHLGVVGLGGLGHLAIKFGKAFGMTVTVISSSPAKRQEALDRLGADAFLLSSDPEEMKAAAGTMDGIIDTVSAGHPIVPLLDVLSPMGQIVLVGGPSEPLELPAYAIVPGGKGIVGNLVGSIRDCQAMLDFAGKHGITADVEVVKMDYVNTAVQRLQRNDVRYRFVIDVAGSQL >cds.KYUSt_contig_2771.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000490.1:19471:30779:1 gene:KYUSg_contig_2771.1 transcript:KYUSt_contig_2771.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVRTVPGVRSCFAALPLPVIQALERTSAAGSLPAVLALELRTPTGRAGASRGQAPSSASPNAVEVGIIYDGMKFPLWLHDHNIVEFLVVSASPSNSIVQLVPGTEVAVAPKKRKDGSDFNQCALKQDSLKEQPHKKALLRVQEAGEKYVHRFEYNGIQLGVFVTYVVQIHPDTAAKLSLGNLQSVSITPKFSPKGSTENAKGSDQQIKGSVSGIKRNRHIVVHIILSNSVAKGHIMLPQSIRCYIGTGVHSWVYVQRFSPIVNKNTPSVNISPVYFKMLERNSESTSALDCQEQDNYQRLGEISSADHPPTDDKISILRGFNDIAATANGEESSKLKQERVYIDCSKLAIENNKKQLQAIAEYIYEAIVHSPSIVLFDDLDSLISFSPDNRKSQSSNSGAIAKYLVDLIDEYRDKSHGMCGYGPVAFVASVKSLKCLPDELTSSGRFDLHVQVPGLSVPARIEILRQTIGKLHLVCSAEIVSDIASKCDGYDAYDLEIMVDKAVLAASDRLLGSSSVNLVEEDFLKAMMDFSPVAMRDISKFSPESSSGWEDVGGLSEVVNVIKEFLTELDGVEALTGVFVFAATNKPRAIDAALLRPGRFDRIVFCDFPQRNERLDILRVLSKELPLASDVDLEPIASMTEGFSGADLKAILTDAGLQAAKEAVQRQSGEACSSTPQGPPGITRGTLMSVASEARPSTSEEDRMSLRQLFNQFSTSRKSSISTQVSPGLSRFRIATLGVYISTLSLDPSGNLEPKEQDSVLLYNPVGQNSVWLVRRKELAGGYLKNDTLTLQCAITVLKELPEPTITAEEMAAPSYTLNQHLGELLQSGTGADVTFLISGESFPAHKNILAARSPVFMAEFFGETKEKSSQAVAIDDMEVGVFKALLRFIYTDTVPEFEKQEEALMAGTSICCC >cds.KYUSt_chr2.26316 pep primary_assembly:MPB_Lper_Kyuss_1697:2:161038420:161040993:1 gene:KYUSg_chr2.26316 transcript:KYUSt_chr2.26316 gene_biotype:protein_coding transcript_biotype:protein_coding MVALHPRSKGEEDGSPSPPSPSPPVGSLRNAPPLLSDSRSIASTAASTPAAGMEKHHINPRSALFSNAPFALRGALGSSNSSFSSMDGLRSSSNLGQARGYTSCPLGAVQPRVSPSGSRSLHTSRPLSAPVANRPLSPHLPLKKPQLSATFSISHRIFGVALGAAIISVPLATKFSLMFDV >cds.KYUSt_chr1.18225 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106404364:106412106:1 gene:KYUSg_chr1.18225 transcript:KYUSt_chr1.18225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil protein, Homologous chromosome pairing and synapsis in meiosi [Source: Projected from Oryza sativa (Os10g0405500)] MRSAKSVRSAGILLVPEVYTVFGTATSSDYWSLASNQYPSGKFPKVSIGIPISRAGSVSRGRDAATAPAFERNLSQATDGRSRPPKGCNAPLRVSQEAANHGGSATEAPEAVPVKVSLSQQEQTGTFSFGTRKEQGSQLDQPEKAPFVSSEGKRLVESADKTKPNSEVLRMKLWEILGGTAQTKQAVTSPNSEDIDTPDQPKMQTTNVPSPGNKKVNTSPLPDNIKTPDLLNCQTASYMKSKPSSDPIESDSHTPQVVEIRHVTRTLGIRKPAPAASKQQDKSQSAKKPLCTSRSAPKQKKLDNVFVFNEKCTPKTVEKTTNGNSACLRNLRSSSRKAKAELKKIHCSDRISDKTTQDDGEGQLPTRNAPSENKGEKTASFSSLSRTGKTAESRSRSPTREKQLKGRAKVGPRKMQFSETLLATKLNEGEDKVSSQNISSKSKENYSSSLHRKENSNFKKASDRNPQAYTAAGNNFNSPLSGAASPEPKTYPWDHDASPQINGKAGEMLASPLADRFRDMRDDFASPTFANDVNGNHQRSKMLDDDTYSPKYPRRVNRSRSSSYASDPESEQLDGMDKTDEVPSSESPDSPEERENKKQPYTSPISLTEDEGDQISVPSFRKGYKSRKWLSDIDMPDKSPPENLDKEPLLKEGKRGKRRLPSPIPFATSGTQETTMSDKEPVQCPDDYLSRAFDQLLVVLGRFQTKIKFETSNKSNEILASTGEIISQHLQGVEGQMQDDLDKLVNAGKSKRRRLESIFEEQQEQLRVLHEKFKEEVNQQLLDCKNSLSNFEAYHTELKGVADKQKASHKKLLQLAEKTVGSQLNDAEIKIAEVQKRARKKMNGLKYVLKELIMETAD >cds.KYUSt_chr3.2707 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15600762:15601499:1 gene:KYUSg_chr3.2707 transcript:KYUSt_chr3.2707 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGEEVTLMGHWGSPYVIRVRLALYLNGVPYTYVEEDLRSKSELLLRSNPVHQTVPVLLHNGRPICESQVILQYIDEVFVAGSGSTSSAFSLLPADPHERAVARFWAAYVDDEIGAPWDKAFRAGTEEERAEWMGKVAAAVPGLERGLRECTDGGRKGCFFGGAGGVGYVDVVLGGVAPYVHAMEKVSGLRLFDADKTPLLAAWLERFGELEVARAVLPDVDRVVGYVRMIHAKNAAKAAE >cds.KYUSt_chr2.39999 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248340294:248348583:-1 gene:KYUSg_chr2.39999 transcript:KYUSt_chr2.39999 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAGEASKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNTTNTEIKSKMIVLPEQSMASERAGMAVNKRGLTLRELLQQTVHYNPKVRRAALNGIKDLVTKHPAELKLHKVAMIEKLQERICDSDKDNAISTRSTLSLLMANVLNGMTHLSMDIQLMAFRFLELVVLNFPSSFPRYAEQAFNNFVAVLSNDRIHLQDKSKLNSVLAGLGHCLSLVARATDNDDASNRPGHNLSTKELWKPTLDEDNSGSSTFATSDVLTKLQNLIQILVNSIEVSASEICAKPANDAPSSEALLSALHCLDLICRTFVREAKKPQMEFGRTKTLFGPDWLNSSVLVYLKKLWGVKRLFHEKGDDRFFIFNLKIAEIFLCLRTSVDDTMFSSEELCQFVSSLFSKAKVLRNKDLMETHLGPLITCIPGLISNAADDSKGYLLEAFTDAFRDSNVDCKLILPYLDAVGEMLLPEKSGITFTEHDSGISEYHSTWINELPGVLLQSVDKAPTVTKVVLELLLRIGQYFPTLECENLRPIAQLFGTKSSSGTVELGPFICLPHDCQELAIACLYYFSNLLPDIIKPLACCCLSDKLEPLILFRIVEVLQSTYKAGNLQITEQLSFLSLLMARFDVNRGMACTQEDARKMSNWNTFKALNHLILTSLSEMGDGSLVLELIWNNLSNEIARKPSPHNINGLFRIIVTLDAATNKLMDEDVIKLIAGYLVDAARDLSKTIEVGFQSDKTRLFQYFIKPCRIMFEQNDKLLCSTLEMLKSFASGNDHIFSSVSNLDYPRELSQRVCIVTTILVFLFNDQRLHRNLSLNKTVIKGILHYIRHQMDPNVPDVTYGEKQTLKFAFEQLKTKALQLNCWDRSELEGLSSTT >cds.KYUSt_chr4.45501 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281583985:281585805:1 gene:KYUSg_chr4.45501 transcript:KYUSt_chr4.45501 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVPVAPTIEGKLLNAWEQIPDLNDPEVQETARWAVAEHAKQANDGLQLKRVVSGMQQIVAGMRYKFRLDAVNGDGKEGMYRADAFYQAWTNTRRLDYFAPAQ >cds.KYUSt_chr4.52316 pep primary_assembly:MPB_Lper_Kyuss_1697:4:324544463:324575284:-1 gene:KYUSg_chr4.52316 transcript:KYUSt_chr4.52316 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEANWERLVRAALRGERMGGGYGVPASGIAGNVPTSLGNNTHIDEVLRAADEIQDEDPTVARILCEHAYALAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREGGAIDRSQDIAKLQEFYKLYREKHKVDELCEDEMKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEDITREISPEDADKLISPEMKKVMQKDAARTEDVVAYNIIPLDAVSTTNAIVTFPEVRAAISALQYHRDLPRLPGNISVPDARNSDMLDLLHCVFGFQKGNVSNQREHIVHLLANEQSRLGKLSGNEPKIDEGAVHVVFSKSLDNYMKWCSYLPLRPVWNNAESLTKEKKLLYVCLYYLIWGEAGNIRFLPECLCYIFHHMARELEETMRKQIAEPAESCISNDGVSFLDQVISPLYEIIAAEAANNNNGRAAHSAWRNYDDFNEFFWSLKCFQLGWPWKLSSPFFSKPTRKEGLLHRPHHYGKTSFVEHRTFLHLYHSFHRLWMFLIMMFQGLTIIAFNKGSFKTKTVLELLSLGPTYVVMKFIESVLDILMMYGAYSTSRRSAITRVIWRFCWFTMASLVICYLYIKALQDGAQSAPFKIYVVVISAYAGFKIIVSLLMSVPCCRGVTNACYSWSFIRLIQWMHQEHNYVGRGMHERPLDYIKYVAFWLVILAAKFSFTYFLQESSIQPLVEPTQLIISFRDLQYQWHDFFSKNNHNAFTILSLWAPVVSIYLLDIHVFYTIMSAIVGFLLGARERLGEIRSVEAVHRFFEKFPEAFMDKLHVPVPKRKQLLSSGQLPELNKFDASRFAPFWNEIVRNLREEDYINNTELELLLMPKNKGGLPIVQWPLFLLASKVFLAKDIAVDCKDSQDSQDELWLRISKDEYMQYAVEECFHTIYHILTSILDKEGHLWVQRIYGGIRESIAKKNIQSDIHFSKLPNVIAKLVAVAGILKEAESADMKKGAVNAIQDLYEVVHHEVLSVDMSGNIDDWSQINRARAEGRLFSNLKWPNDPGLKDLIKRLHSLLTIKESAANVPKNLEACRRLEFFTNSLFMRMPLARPVSEMLSFSVFTPYYSETVLYSIAELQKRNEDGISTLFYLQKIYPDEWKNFLTRINRDENAAESELFSSANDILELRLWASYRGQTLARTVRGMMYYRKALMLQSYLERMHSEDLESAFDMAGLADTHFEYSPEARAQADLKFTYVVTCQIYGLQKGEGKQEAADIALLMQSNEALRIAYIDVVESIKNGKPSTEYYSKLVKADIHGKDKEIYSVKLPGNPKLGEGKPENQNHAVIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFSQDHGKFKPSILGVREHVFTGSVSSLASFMSSQETSFVTLGQRVLSNPLKVRMHYGHPDVFDRIFHITRGGISKASRIINISEDIFAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTIGFYFCTMLTVLTVYIFLYGKTYLALSGVGESIQNRADIMQNKALTVALNTQFLFQIGVFTAIPMILGLILEAGVLTAFVNFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGLEVALLLVIFLAYGFNDGGAVGYILLSISSWFMALSWLFAPYVFNPSGFEWQKVVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELGHIQTFRGRILETILSLRFFIFQYGVVYHMDASEPSTALLVYWVSWAVLGGLFVLLMVFSLNPKAMVHFQLLLRLVKSIALLVVLAGLIVAIVSTRLSFTDVLASILAYVPTGWGILSFVDAEEDGIILLVVWLAVGVRVRRSTAPTVSGEWATVKKEAASPPPTRGRSSGALVIRDQPSAPQSGRKKTKKEAAASQLAEEEAKRAEDAAMAEAIARSLKDMEEEKRADDTALDWSRRDWEREEAEQQRRLLDLAAARQLAIRAAAPSAARNAAPREVVKLEESSDDDMYLPSPPRTGDAGQGTSRWYEAPPPQDNAGSSDDDDGGDYTSFYRHFGM >cds.KYUSt_scaffold_6468.908 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4377357:4380615:-1 gene:KYUSg_scaffold_6468.908 transcript:KYUSt_scaffold_6468.908 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHRQSLGNVISGEAHFSLRLAWAVRDAFSGRALVLGKGHGGSVLRWRCSREKPCDDEAEEPCQPACSTSSCYDHERRGSLVPRPLIITSMASTNSWTLELESKVSAPRKFRACVMDWHTLAPKLAPHVIDNAHHVEGDGGTGTVRHYNCGSAVPFNAMKKKVEFLDVDKCECKYTIECDGVETSTWNIKMKPTANGESVAIVECTSKGVQANDMMLKAKESAAEMFKTVEAYLIANPNAYN >cds.KYUSt_chr1.19079 pep primary_assembly:MPB_Lper_Kyuss_1697:1:112027565:112028179:1 gene:KYUSg_chr1.19079 transcript:KYUSt_chr1.19079 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDTKLADAENPAATKFSDDSDVDFAGRANWLRAAVLGANDGLVSTASLMLGVSAVKHDVRAMVVSGFAGLLAGACSMAIGEYVSVCCQRDVELAQLDRDGKRGGDEERALPSPVQAAVASALAFSVGALLPLLAAGFIVGYRLRVAVVVAVATMALAAFGYVGAVLGRAPVARSCARVVVGGLAAMGVTFGLMRLFRELAE >cds.KYUSt_chr2.26310 pep primary_assembly:MPB_Lper_Kyuss_1697:2:160980385:160986538:1 gene:KYUSg_chr2.26310 transcript:KYUSt_chr2.26310 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAGYPVPPDMRLPSISGWRMAVNGIGVPPPPSPGSEHWRDSIRARRSALDADERVDPTWAATGNYAWWVAYFQAQYDMEMNSTTGLVGRPNSWNKDGFSNQIKGIIVGSLVGIFIGACMGMSTESGVLRGAGVGAVSGAVFSIEAVESCIEISRSSESGKHSILFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGNRGGMSRDLINKIPAIRLSSATNSDQDIDRSCCSVCLQVRLWMPAIRESLASV >cds.KYUSt_chr7.38375 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239129319:239131398:-1 gene:KYUSg_chr7.38375 transcript:KYUSt_chr7.38375 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAILGLLNLLLLLLPPSLRDYLWAAPSEHGVGQQQQLQVYHPIILVAGFSCSDLEARLTDAYAPSLPRCGALKGKGWFPLWNDTMELVNHDYVPCFEEQMSLVFDPVVDDYVNQPGVETRVPNFGSAYGFTVKNESSPFWCNVKLRNELEGLGYRDGDTLFGAPYDIRHAPPRPGQCSKVYTDYFARVKDLVKHASEKNGNKAVILIGHSFGGRLMLDFLNSTPPLWRKNFIKHLVLLSPTPPIGFTETLTNLASGPTCIPMETIPRLALRPMWWSFTSSLLSLPSPTVFGHEPLIITKHRNYSAYDYQDFLAALGFSVKGTLPFKKWVDKRVEAPMVPTTYLSGFGVQTPKQVVYRDDNFNVYPENVYGDGDGVVNWNSVLVFAKELKRQQSPENILFKFIKIRNVSHSYIAIQDDSLKIVIAEILEANS >cds.KYUSt_chr6.31613 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199829116:199833772:-1 gene:KYUSg_chr6.31613 transcript:KYUSt_chr6.31613 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFAAAAPAAGVFAGAGAAVRPAVTLRRRGSSRGAGRVRLVRARAGGEDGGDLPPLDKWDMMELEFGRFLGEDPKLTLAKILLKKSDPDASSLDVDKLVASKKDRLDVILKEFMEANKQDKASTTEEAVSNPVRAQQPLGVPRPALSKPKLDEASLSLLRPVGSKPKRVEPPLSLQRPAVSNVKVNKPSLTLMRPMGSKPRAQAKLVQDSWPSKESLAAGTESGENGSTSTDDNVDVTLRKPTVHQSEDDEPELKTKPDVNLKMRKDMDEDLSNISLLQKPEATKDTAAALASAGSASIGAGEDTDEREAGLQPSEESLIQQVDTSPLENQSVTSNNFSMQAFLQGRPRKDLSVETLPSQVDAGKNADSDEDKKSFVDDGGNVLASTLEDITESDWARLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKYLAFETWLRRKGGDPSLYKQSMSMEEGSEVNDRSIEEESSSVSEVAHQDQGTLQSRLKFEELLRTYDEEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESDELIQKRKSLMARLNVGDIVTCTIKRFVYFGIFVEVEEVPALIQQWEVSWDETLDPSVSYRIGQVVDAKVIQLDFNNSRIFLSLKDVKPSPPVGALEPVIGDEESLDGSLEPAQADFEWPEVDSLIEQLKNIEQVKDVYKGRFFRSPGLAPTFQVYMASLVGRKYKVLARYGNNVQEVMVETSLDKEGLKEAILMCTNRVS >cds.KYUSt_chr1.10987 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67301816:67302466:-1 gene:KYUSg_chr1.10987 transcript:KYUSt_chr1.10987 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSRVPREVEPEYIDDEERLYSDVVQNLRRPCRAENRDECDNEAFVIDDEEVEDEDLPAIEWDPANSQMEEGKF >cds.KYUSt_chr1.4510 pep primary_assembly:MPB_Lper_Kyuss_1697:1:27669764:27671203:1 gene:KYUSg_chr1.4510 transcript:KYUSt_chr1.4510 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEWNKDLIKQADRSSRSTPLHFAASWGEHEVISLLLAADHSAAYQADIDGSFPIHVAAFANKVKAVSVLLKDREDSAELRDANGRTFLHVAVLEESQSVVTYASKLQSRKFASSVMNMQDKDGNTALHLAVKMGNLWIFNPLMKNRKVMLNLRNNNGQTPLDLSWTMIPAGVHYGLNPSIMIHKLLQDAGAKNGTFRCDHFHKKHIAKLDKENEAKKITESTQTIGIASVLIATVAFTAAFALPGGYRADDHKKGGTPTLAGHYAFDVFIIANTLAFICAGLSITSLMYAGVTSVDIRTRMISFVISVTLMAGSARSLGAAFVFGLYVVLAPVARATAIASCAITALGLVDAVWVFLMVGNVELMLLKRLGVRAWWRLPRAVLVTSMSLFWPYIVIAGVLALSKIKKMH >cds.KYUSt_chr1.37190 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227267398:227269976:-1 gene:KYUSg_chr1.37190 transcript:KYUSt_chr1.37190 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSDLGDAKRMNLAAPGIHEVAPPMPATPTPEAIDLEAMVVEKSAEPPPGAEKDEGQLLDHISCVSDHILGEIISLLPLKEGARTQVLSSRWRHLWRHAPLNLDFRGLPASDNMLSSRCVLVSDILAAHKGSGRRLCLPGNHIQCRADALDAWLRSPALNNLQELEFYFYGKRYCAPDLVLLLPPPASIFRCSSTLRVAIISNCYLTDDAVETLRFKQLRRLALVHVKISEVSLHKIISTGCPGLECLLLSTISGVRCHRINSPTLRSIGICSSSDELIIEDAPSLESLLYPEMNKRMKTTVISAPKLETLGCIPEKYRDSRIVFGSTVIQANQPCEQNRWNRKNWNLLTSLDFRLRTIVLRCYCKTYFQVNFATFFMLNSRMLETMRLEVASCNYNEHFFAEQHEMLQMEKRASRGARLCFTTGCHHEVSGTVLASAFGVWMFLHANYFVVWTLSCALLRLPSCYPLAVLL >cds.KYUSt_chr1.22719 pep primary_assembly:MPB_Lper_Kyuss_1697:1:134398847:134416787:-1 gene:KYUSg_chr1.22719 transcript:KYUSt_chr1.22719 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSANPSPLSPSASLVRPRRLLRLRALAVAASASASASPSARSLRLLEWGKVCRAVASFAGTAHGREATEKQLWGVEDVSYERSRRLLRETEAAVRLLGTSGGSLDFSGLDTVAVESAINRVSGGSVIKGQEAMAVVSLMLLVESLQGTIKDAMKQGEDSRDLLMPLTETILDAVVSKSLVKSIQDIIDDDGSVKDTASPELRRYRDQVQVLESRLCQLMDKLIRNADSEASLSEVSSVNGRCCIKMTGNKSSNFDGLLLSSGSDAGSMIEPIVAIPLNDELQGARALVARAELDALSKLTDKILLELDNIQILMQETITLDKVTARAKYSIAYDGTLPDLYLPNFEHGTIDTAKDGPVSTTSSAQLPKRSWKLFIPNAYHPLLLQQHQENLRRTKKDVASATSEIRRRRIYGQDIAEEDQLAADLDFMKIRVSELERNHPIPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFDAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTLKYSNDSFENACVEFDEENLKPTFRILWGIPGRSNAINIAERLGLSLDIVESSRRLLGTAGAEINALIMDMEKFKQDYHEQLQKAQHYLMQSKELHNNLEVAQKNIVDHTTAQRKRKSRVVSEYAVMARSIIHKKFQLFRESAIAQRVLEEEKAAEKAKLERVKGPEPSSTSSLTNSSLATKASDNIIDEDGGIPEVGDLVYVPKLKNQATVVKIDPSKNEMVDALTFYKDDKKGKDFTFMNCFKKLQGRPIGNKKAKAERSWAPTLAAIEASIEKLVSSFSTENNDRDERPTMASSRSGRNVKVMESTLSDYDGGLADILQAMLLEFGCDPQIQVMKYMYYDGTVLAKCRVGLRLPESLGMSIVMPAGEARTIQTAYHIGIMRAITDIREHKTKELIGSEFAHIPHMEEEDDPMLNHFKLAKCKPAEAAKYMDNSRNLLSLFFQLNRHLSGAMDTMLEEFTEPKEEAKGKEPMEGEVHTPVYSAGYYISIDHPEQQTTPITPRYFPSSSHRGYEGGEESGNHQRSETPIENSTGWRWGSDTGTSGDPVRGNSEMNEDATTQFQNNQYNRGDYEEYPILIESDTDGGNTYGRVTGEYTRWVDYDVLNNQFMNTDFSLGSSSDSDYQSTGRPYVPDSVRRTTRSTGWKPGMYRE >cds.KYUSt_chr5.2565 pep primary_assembly:MPB_Lper_Kyuss_1697:5:17161719:17163970:1 gene:KYUSg_chr5.2565 transcript:KYUSt_chr5.2565 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYGNVKIYSSKELKKATRNFCSANKLGQGSFGCVYLGKLKNGQKVAIKVLSSESKQGTKEFLNELSVISNITHPNLVKLYGCCVDGGQKMLVYNYLENNSIAKTLFGNSRSSIRFDWRTRVKICIGVADGLSYLHEEVRPPIVHRDIKASNVLLDKDLNPMISDFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRWHTDPRLPLQDQFLLETAWTLYESGDLESIIDKTLKDGFSTEEAHRFLRIGLLCTQDTAKIRPSMSMVAKMLKGECTVSDKIMRPGLITDVMDLKVRAIEPPQLTASPSMSPMDHSRVSTLALAGSTVIRESP >cds.KYUSt_chr1.1793 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10311674:10319207:1 gene:KYUSg_chr1.1793 transcript:KYUSt_chr1.1793 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVLYCARNCPPLEPPFPLPPASHKEPKSTPSILSTTATQQHFVDLDDPNSPTSPLPDTSNQEQDYYEYETDSDCPPTPEQQDYSDIEDESSHLLNVPEYANTALEHYNSQDEHKIKYRLIKAIVSCKIIYDGFYQHVNFTAKSDLENSKEEFFFAELRLDHDIQAWVPIVAAASTRSPPEKETTSGDATATVGFLIDDNVPAHISDDRRSSDELADPLPPSPMEPVNTKFYQLGNGGSLVFEHDLNALSDHLDRPHPEFHGVQVTDQPGGELQWIITADLRGKMEPPTSERILFSFRESNWLDGLARALQEGLARLCGMSGEALKDPRFSHLARRNSAGEPMDMSPHPELKHHVEHLDFILYHTQQDLDNAREYANQTHAHIIEQGEAIKLLARDRRTLRLQRTKKDATITRLREKIAALEATIKNQEEQMKKMEEDGDDIQGGSAFLSDDDDFEEDENTEEEDYEFLNAGEDDHIAIDDE >cds.KYUSt_chr3.31991 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201115999:201117429:1 gene:KYUSg_chr3.31991 transcript:KYUSt_chr3.31991 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAAAAAAAAVSTRANSLSRIFATSTPTAKPPPKHKPKIKRSPTPKPPAAATATDSIADKKPAKPLGAQPAADAPASGADHKLPKPLQETLKRLLRQRDPDKLVSEFVEASTLSSRFRDRHRVYEVAVSRLATFGRQDGIEAIIDAQKPFLETSTEGFATRLIRLYGRASMPSHAAATFRELPAHHQTTMPFNAVLAAYAEAGEFDALAVAFKEMPASHPAVAPNVYSYNILIRALCEKPDLAAALDAVQLMEKNGVSPDVISFNTLLNGFYNHGRMDEAETVWGMLKERNLEPDSKCYNAKLRGLVAEGRIEDAVAVVEMLEKDGPKPDTVSYNELIRGYCNAGRLQDAKKVYDDLIKNEYTPNRGTYETLVPRLLQAGDLDCALRYCHDLLTGKGSSRVAVGLLQDVVDALVEASRVQEATNLVGLGRKKYYPRKGLRMPHTTEDNESGAETDEGESISEQKEREVEDEAEK >cds.KYUSt_chr2.37433 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231843878:231847622:1 gene:KYUSg_chr2.37433 transcript:KYUSt_chr2.37433 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGAKVPTPSSTSSSAKAAADALAAVHLSDRTCTAVLTSHPLSRDIHIESLTLTFHGHDLLVDTELELNYGRRYGLLGLNGCGKSCLLKAIGYRELPIPQHMDIYHLSHEIEASDMSALGAVISCDEERVKLEKEAEILAAQDDGGGEALERVYERLEAIDASTAEKRAAEILFGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNRKLKLYTGNFDQYIQTRSELEENQMKQYRWEQDQISAMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDRILTFRFTNVGKLPPPVLQFVEVTFGYTPENLIYKKLDFGVDLDSRVALVGPNGAGKSTLLKLMTGELVPLDGMVRRHNHLRIAQFHQHLAEKLDLELSALQYMLNEYPGNEEERMRAAIGRFGLSGKAQVMPMRNLSDGQRSRVIFAWLAWREPQLLLLDEPTNHLDIETIDSLAEALREWDGGLVLVSHDFRLINQVAEEIWVCENQAVTRWGGDIMEFKEHLRSKSGLSED >cds.KYUSt_chr2.854 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5075232:5076715:1 gene:KYUSg_chr2.854 transcript:KYUSt_chr2.854 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDGKFANPIDFKETHRYAGPFLLYFCYGLLNAMFQSLIYWIIGALANDSQILSRYVGFYKGVHSAGAAVAWQVDTHKTSLLSQLIVNWGLTTISYPLLAVLVFFAVKDEDYSVSSVEDGKEKQMKMAAPSSLH >cds.KYUSt_chr4.49748 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308113273:308113710:-1 gene:KYUSg_chr4.49748 transcript:KYUSt_chr4.49748 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSIPIHNSQLFTSNSSSKMARFAVVAAIVALFAVTAAAQGPMPAPRMAPLPAPPARSPATAPAPVATPPTAASPSPMASPPFPSMETPTEAPSAVTPSAMTPSAVSATPVGAPADAPASSAVYTSTVSFVAVAGALAAAIVF >cds.KYUSt_chr7.6024 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36066819:36068565:1 gene:KYUSg_chr7.6024 transcript:KYUSt_chr7.6024 gene_biotype:protein_coding transcript_biotype:protein_coding MVACLPACLRDKLEQIRPSLAAASPSSQFRRRRSSLMAPGGLFGTAAVAVAVSLAVHVVLNCPIQPVPSPTPPSAAYPPNNLLQGLEKLGEGQLSGPEDVYVDAAAGGMLYTATRDGWLQRMQPNGTWERWRFVGGAGLLGIAPSADGSMLVCDAYKGLLRVEEGRVTILASTVEGSPIRFADAAIEASDGTIYFSDGSARFGFDRWVLDFMEASSTGRLLKYDPGTGKLSVVLDNLAFANGVALSRDETFIIVCESWRFRCSKLWLKGDKSGQAETFITNLPGSPDNIHLAPDGSFWIALIQLRSPWIDLVTRWTFTKRVASSFPALLDVLKETGKGAMVAKVSESGEIIRVLDDSKGKVINFITSVTEYDGNLFFGSLSTNFVGKISLDKVPQV >cds.KYUSt_chr2.14530 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91777495:91782352:-1 gene:KYUSg_chr2.14530 transcript:KYUSt_chr2.14530 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSSRVPEAISWIPRDGIGGGGVSGKVFRIVVLDAISMATLALEPFGNRSRAPPISPRLSVAREKSEITGRDLGAAPAAGAKMNKGKIVKIAVASVLAMLLLAAAAVSLACYDGAELRAFFGSDNFLMICVGTHLASICLLARCAATAPTPAARRYYADSAVASSVHLAANLYCVLVAAKIVKIAAVSVLATLLLTAADRFMPCYDGDQLAFFGTDYFMVCVFKHKAVALT >cds.KYUSt_chr7.35649 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222748891:222750631:-1 gene:KYUSg_chr7.35649 transcript:KYUSt_chr7.35649 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVLALVALAVMLVTWVWTVAMQHLVWRPYAVAKAFRRQGIRGPAYRFFVGNNEESKAMRVATADDVLDLRSHDIIRRVLPQYQAWMAAHGKVFLSWVGYRPALCVADYDMAKQILSNKTGLHSKTDPGPNIMALLGKGLVFTEGDEWARHRRVVHPAFTMDKLKMMSKTMEECAAKVIREWEARAMVAGGVAQVEVGQQFVELTANVISHTAFGSSYKEGREVFEAQRELQYIAFSTINKVHVPGLEYVPTNTNVRRWQLNRKVRSTLMAIIDERQAAAKDAKGYGNDLLGLMLEANAGKEGQRAIMTMDDIVAECKTFFFAGHDTTSHLLTWAIFLLGTHPEWQRKLREEVLRECGGVGAPLQGDALNKLKLTTMVLYETLRLYGAVTMLTRTTTTDMELGGMKIPKGTSTMIPIAIMHRDEEVWGADAGEFNPDRFRDGVGRAAKHPSAMLAFSVGPRACIGQDFAMLEAKATLATILRRFEFEVAPEYVHAPADFLTLQPKCGLPVLLKLL >cds.KYUSt_chr3.41243 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260262929:260264677:-1 gene:KYUSg_chr3.41243 transcript:KYUSt_chr3.41243 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPLALSILLSRLRGCSSSAASHALQCHALLLTSGHLAASPLRLSNLLLLALASASAASHADAVFARLPLPASRHPFPWNTLIRLHAAASPRKALAYFARMRRAAVDPDAYTFPAVLKACGCAVGLPLHAEAATRGLDRDLFTRNALLSFYCRIGDCRSGRKVFDDGARDLVSWNSMVAGYVGCGEVELAQELFDEMPCRDAFSWATMIDAYGKQSGGVDRARELFDQMPDRDLVCWNSMIDGYARQGRMGEARALFDEMPERNVISWSIVVDGYVRCGEPSEALELFRRMLRCGIRPDRVAAVGAVAACAQLGALEQGRWLHSYLEKKKVLFDVVVKTALMDMYMKCGRLDLATLIFESMPEKSVVTWNVMIVGLGTHSCGHDAVKLFYKMVSEGAPMDDLSVLAVLTACTHAGLISEGLTIFHRMSKDLGIDPKVEHYGALVDLLGRAGHLDQARHAIETMPMEPTPELWGSLLAACRSHRCVELAELAVERLASLGADDSGVYVLLSNIYADEGMWEGVMRIRRLMNAEGMKKDTGRSVIEVDGQINEFVNGGTSHPQKDEIYFMLWNLANMVAST >cds.KYUSt_chr7.39996 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248427089:248432672:1 gene:KYUSg_chr7.39996 transcript:KYUSt_chr7.39996 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIKPRWFHHLHRVLAHPLSLWLRCVVKANHFFAELPDKDLHQYDVTISPEVTSRGVNRAVIAELVKMYRQSHLDGRLPAYDGSKSLYTAGPLPFTSKTFEITLQDEEETLGGGQVAPRRDRLFRVVIKFAARADLHHLAMFLAGRQPDAPQEALQVLDIVLRELPTARYSPVSRSFYSPNLGKRQRLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQLLCRDISVRPLSDSDRVKIKKALRGIKVEVTHRGNMRRKYRISGLTSQATRELSFPVDEHGTVKTVVQYFVETYGFNIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREKDIMQTVHQNAYYKDPYAQEFGIKIDEQLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVSHWTCINFSRSVQDNAARIFCHELAIMCQISGMNFAPEPVLPPLTARPEHVERALKARYQDAMNIIRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMVKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDSRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIAFRARFYMEPDTSDSGSVASGARGPPQGPARSTRAFGNVPVRPLPALKENVKRVMFYC >cds.KYUSt_contig_686-1.1189 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:7384705:7385909:-1 gene:KYUSg_contig_686-1.1189 transcript:KYUSt_contig_686-1.1189 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVLLVMAMAVLAAATAATATTPRPFFVFGDSLVDSGNNNYLVTTARADSPPYGIDHPTHRATGRFSNGLNVPDIISEHLGAEPVLPYLSPHLDGRKLLVGANFASAGVGILNDTGIQFVNIIRMEKQLRYFEQYQNRVRRLIGEEATRRLVRSALVLITLGGNDFVNNYYLLPFSARSREFALPDYVSYLISEYRTILQQLHGLGARRVLVTGSGPIGCAPAELATRSANGECDIELQRAAALYNPQLVRMTRELNAQFGSDVFIAVNAYRMHMDFISAPAAYGFITSKVACCGQGPYNGVGLCTAFSSICPDRSLYAFWDNFHPTERANRIIVSQFMAGSPDYMHPLNLSTILAMDAAAATTAMP >cds.KYUSt_chr6.20361 pep primary_assembly:MPB_Lper_Kyuss_1697:6:128269251:128271142:1 gene:KYUSg_chr6.20361 transcript:KYUSt_chr6.20361 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQNRKDTTSKQDERHDLDLKEIGKYRAEAQQNSTDAIRAAQERYNEANRKLQAGGGAAHGAKGGTVTVTQAPGVTAVVSCQESKNHPSKQETSPAAAHGQGATTASSRGTQKQHATKQKESRGHETSGHDEAGRHRGEEQKSSADAHAGSHDMVKERGSTGVKDTATQTLGSAGDQAAAKGEQAKDVAARGAGLTAEKTKEATGTAAEYAKQTAAKTKEVTLAAGGTAAEYAKQAAVKAKDVTASTGGSGGTAAEYAKTAAEKAREAALAAGKTTAGYTQQAAVKGKDVTLSTGQTAAEYAKTAAEKAKDAALAAGKTTAGYTQQAAVKAKDVTLSTGAQVAQKAKEVTADTAQKVAVYAKETAEQGKAAAARAEEKAQEAAARVADKAEEPGFDTSVQAKGSSVKDATGSMAQKASDRAAYMKESVKDAAGGTAEKTRDMTAQTKGRAEDTTGDMRDRTGGVTAQVKDSAGAMAQKVSDTAAYIKDSVTGAAGRTVEKSRDVGSQAGEAKNRAVETGKNATTGGGASETAKAKAEGTEEDTTIVGDVLEAVGATVYGIAKHTKGIVAGEEELIPVKGGDQAAKMEGRDKSD >cds.KYUSt_chr7.20843 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129215011:129215292:1 gene:KYUSg_chr7.20843 transcript:KYUSt_chr7.20843 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPGSEHGLKSKSISCEPTTEVLDEEMDWQEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEESMETEKKEFAEHLARWESSFGKGYEVLSE >cds.KYUSt_chr2.49365 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308888140:308890006:1 gene:KYUSg_chr2.49365 transcript:KYUSt_chr2.49365 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLSQPTLLALSLLLLALYLARRCLLGKRRNYPPVPHLPHAHPTCNYVYTVDPANVEYILRTNFANYGKGTMTHDVLEDLLGDGIFNVDGAKWRHQRKVASFEFSTRVLREYSTGVFRDTAAELAGIVAVAGGGGERAVDMQDLFMRSTLDSIFKIGFGANLGGLSGSSQEGAAFARAFDDASEQVLYRFFDPLWKAKKLLNISSEAAMKRSVRTINDFVYAVIDRKIEQMGRDQHEFAKKEDILSRFLLERENDPGCFDNKYLRDIILNFVIAGRDTSAGTLSWFLYVLCRNQHIQDKIAGEVREATTGDRDVGVQEFTACLTEGAINSMHYLHAALTETLRLYPADVKYCFSDDTLPDGHAVKKGDMVNYQPYPMGRMKFLWGDDAEEFRPERWLDDDGAFVPESPFKFTAFQAGPRICLGKEFAYRQMKIFAAILLYMFRFEMWERDSTVGYRPMLTLKMDRPLYVRALPRRSSAQRA >cds.KYUSt_chr7.11483 pep primary_assembly:MPB_Lper_Kyuss_1697:7:70444194:70449402:-1 gene:KYUSg_chr7.11483 transcript:KYUSt_chr7.11483 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRSLAGGRSRTYAERFPSLLDLLPGLHLPPAPHDEYQQSPPPPSLPRTEVTTLPNGVRIASQDIPGPLCCVSVTVAAGSVHETPSSAGAAYMLEKLAFHETQNRGLGEIALSVEATGGKLGAEAERERMFYRFGALRASLALTVEVLLDCVRNPAFLRNQVRSKVAEAREELSFLESDPELFLRESLHRVGYSGALGNPLFPTKEALARIDRAAIRSFYFENYTADRLVLAASGVNHQHLLDIAAPLLSDLPKGSPVHKPKSAYTGGDFRHKSDSEMTHVAMAFEVPGGWHQEKAAIIMKVMQDNHAEDMGRQILVDSSGKASQDLLERIDEVTLDDITSVAQKMLSSCPTMASWGDVDKVPTHEYVQKLIESPPSDLMWIFLPRIPVNRTTDRSVRRRGRSDRTRDRTRWSKPGGIPDPNRSLEMSPSTPSGCCQRRARLAPGCPVGPGHGPDPPVQTGPQTGP >cds.KYUSt_chr3.28656 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178879341:178880429:-1 gene:KYUSg_chr3.28656 transcript:KYUSt_chr3.28656 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISDLAFSFARFVAREHHPRRASARTAPRRNHSHWYFGPRRHGRPDWNGGLRHRGNRWVPRRGGKRRGLSLRGGGLRRRLHSNRLRSNRRTPQHHNRHWMRRHRAPGDPGPSTTAVVRREQTPVVAAEPAVVVAAPAVAAEEVVDAVYEDEASASNISADINELMPVPPEFALPPMEWLLGGPSAGWLVDDPERDFGDEELLVPPPPPASPPTMYYCMRHGFQPCLPSPTPSDEDRAHFAPPGYDPVPEFFAPPNAVQPEAAMEEVEAVAPAPAAPVLPDLNLPAPEKEENKPVLALPTPSPEARVLLRRFASAMAARPAGIRGGTWSPEALGLTGRVAELRLNEASHHSSSSAEGPRRC >cds.KYUSt_chr3.28477 pep primary_assembly:MPB_Lper_Kyuss_1697:3:177694625:177699109:1 gene:KYUSg_chr3.28477 transcript:KYUSt_chr3.28477 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDFRGDVTAIQAERFFTKLLDKEGDAEAYSPVVYDDAGVTYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYKMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDKVLLEAQGRATKGKAIDLDDIKFHQCVRLTRFENDRTISFIPPDGSFDLMTYRLNTQVKPLIWVEAQVEKHSRSRIEFMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPERDAMVWKIKSFPGGKEYMCRAEFSLPSITAEEAVPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLL >cds.KYUSt_chr4.50841 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315149142:315150176:-1 gene:KYUSg_chr4.50841 transcript:KYUSt_chr4.50841 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRRLATLTVPKAASSLRRTRHKKLSYTRTRSASLPGRFHPVVAGLHDSANALLGWTETPASSQPGTAWIADGVDHLGRLLAGLTDLLHHPQAQDPLRHSQRRSSKTTPPWTERLLDDLLVLADAHGCFRDALLSLKQLLAEAHAAVRRRDAPRLAAALRARRRSDRDLSRLASTLRALSHRSSASSTSTSDSSEAAVAEAVAAATCAAAAASATIFAGLASASASSASRALTSPTSASSPASKAAAAPVWWVADLLRWRRRTVSVAAACESSGSPSGGGAKETPPEECCDEEEDERKAAMERLRSLEECVVAAEDGCEQVYRALVNARVSLLNVLTPCF >cds.KYUSt_chr5.1429 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9826496:9829176:-1 gene:KYUSg_chr5.1429 transcript:KYUSt_chr5.1429 gene_biotype:protein_coding transcript_biotype:protein_coding MTASDACSGSGASRWLRSVDGSSPSRSYREVLSCASTSPRRSNPTPTPPPPPPAAAPAPRVRAQARLGPRSVVLRVENGVQVDADGFQHPRRRHRQRRPRRTPAQARDVRPRSPTPEEEDGLCFRCLSPHHRVRGCTNDVRCRLAPSPGTRPRTARPTAPAPPPPPHAHPRVPSLCRITPPRAVEQAEDTLRRAMVASITGTRPAVSADAVAAALYEQFELRPGDFSVHLHHPEDFLLIFRSIELKERLSGDHYLGGPRFGFSLSLRPWCKLAHAGSGSFAYNVQLEIRGIPPQAWNLSTAEHLLDSSCWIERLHPATRSRTDMAVFRLDARTNDPRAIRPRAILEVVEFSPARIPSQAPVVTRLTYPIAIELVHVEASGGPPPAPQGSPARRDGRGEAGGDGNHGRDAARRRGRKRRRPNPSSPAGRADGMAVDSLGWPSAGLGARADGLAVDARRHSAAARRGRAPPPPAHAAAERTVAPWPHLHGPALPRRGAKRGNRVWRRKSVQSCSADPATTASPTGPGQGPGQTTPGATPLVDPPTTTDPGPDGGNPELENAVADRDPPAQSERAPSTSPSPSSTHGLSIPEPAAPVQVLLHQEPTPTAEQSDFAVSEVPDSLPASPCMAPEEDPLSGQAPPPPPSMAAAGPAQETVLEEAGIQTIQTSPDSRPLSWAASELPPPGPGPNTVADMCVAQDGVAQPTPPTSPAITRPPSRFASPPITLRRIRQRAPAQEAPLCTLGDFLKAATKSICASLPCPGRRPRRHTLNFSPRRGRSARTASSSAPPTAERRARVQILRTLEVIGVDQTITAAEMRAYDNIFAAPLSRPVLAAIAVLVDRQLPAADTAPHHVEVVANV >cds.KYUSt_chr4.23841 pep primary_assembly:MPB_Lper_Kyuss_1697:4:150171224:150171796:1 gene:KYUSg_chr4.23841 transcript:KYUSt_chr4.23841 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLLLVTPPVTPSSRDPPIQITNQVPYCSLAQPAPLLAAPRRVLSQLPAARTPARRAASRLPAAWTSAAKPHREPRRATCLLGENGCASPSSLPSFTGVPRPNSSRADAAAGYKCTATASCRNSRTTGRSSDLPHGRCCWPESRPPSMPAVLRQTTIARVGKCDLEAGGRWFLYRRQCGVRSGSLIRCL >cds.KYUSt_chr2.2000 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12040033:12044301:-1 gene:KYUSg_chr2.2000 transcript:KYUSt_chr2.2000 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSGSGVAEDGSYEYKEEFVKNARGTKLFTCRWLPGKGQTVKGQVFICHGYAVECSITMRETGMRLARAGYAVHGVDYEGHGKSEGLQGYIPSFDVLVADCDAVFAAVVASTANTELPRFLLGESMGGAVALLLHRTRPDYWTGAVLVRSTCVIAEEMRPHPVVISVLKLMTNIIPTWKIVPTADVIDAANKMQEKRDEIRNNPYCYKGKPRLQTAYELLRVSLDIENNVLKKVSLPFLIVHGGDDKVTDPSVSDLLYRSAVSQDKKLNLYPGMWHALTSGETPENIHTVFQDIISWLDQRSSTGSSVAVAADMSSEMEQKAKHDEQNFEKI >cds.KYUSt_chr2.55103 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343583591:343598319:-1 gene:KYUSg_chr2.55103 transcript:KYUSt_chr2.55103 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGANPANSSPLTPLGFLERAATVYGDCSSVVYHGTVFTWSQTYRRCLRLASALASLGVSRRDVVSVLLPNVPAMYEAHFGVPMSGAVLNNINTRLDARTVSVLLRHSGSKIVLVDPAMLPVLEDALRLLPPGHPAPPRVVLVEDPHERGFRPAPAPALTYERLLEMGDPEFAWVRPASEWDPMILNYTSGTTAAPKGVVHCHRGIFVVTLNTLVSWAVPERPTYLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVDAGEVYDIIADHRVTHLCGAPVVLNMLANAPDGVRKPLPGKVRILTAGAPPPAAVLHRTEALGFDVSHAYGLTETAGLVLSCAWKGEWNRLPSSERARLKARQGVRMPFMAEVDILDGETGRSVPRDGATMGEIVLRGGSVMLGYLNDGEATRVAIREDGWFYTGDVGVVHPDGYLEIRDRSKDVVISGGENISSVEVESVLYCHPAVHEAAVVARPDEFWGETPCAFVSLKDDAEVTAADLIAWSRERMAGYMVPKTVVFRADLPKTSTVANANKQGGFVICPCAGWVRMKENGEGDDIDDNYHDHFGDTFMEDAEGGEGEGQGEGEGEEEARDEPADVLGRTIVDARRRCETEKERENLDDMLEDQKKSLYTGCDNGLKKLGCTLDLLKWKAQEGLSESGFEKLLKMLKNMFPKNNELPASTKAVRAIFSEFDETKAKPPILPRRTQNTEGETEKGQEGPTPPGGAAKEGARPGLLERDIFDLYLPEFDKPWVIYLREACCCTTNLCSWRPNTVYRNRSVRRHQAISGAVAGEVRFHVGAGISGVAPHYIPPPSTFNVLLGSSWTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYANAIQVRINENIRLMAELRARWDREENEKLAKEKNIAKVWTITTTSNANATHVAAPPTNTNKRIDVSNVSTSNAKREKLPESLKLLNTARDKAAEIFSNIGDDDPIALDYNGLNFDDCHISEVIKFLQKLAKSPNANAINLAFTHHITNALIKARQEKLEREASIPKKLEDGWEPIIKMKIKYFDCNALCDLGASISVMPKKIYNMLDLPPLKNCYLDVNLADHSTKKPLGKVDNIRITVNNNLVPVDFVVLDIECNASCPIILGRPFLRTVSAIIDMKEGNIKYQFPLKKGMEHFPRKRMKYPFDSIMRTNYDVDTSSLDNT >cds.KYUSt_chr6.27606 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175222953:175223492:1 gene:KYUSg_chr6.27606 transcript:KYUSt_chr6.27606 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVQGLSAAMSEFSKEHGDAVGSRTVVTAKPDAVGQFRGVLRQPSGRYRAQIWLPSVGANVCLGTFDTAEEAAKAYDAAAAELHGCTPAEAEKKNKPAAKKKKRTPARPDARTEFRGVYRMRNGRYGAQIGSTRGKARTWLGTFDAAEDAARAYDDAAVKLHGARAVTNFKQPKEDK >cds.KYUSt_chr3.15284 pep primary_assembly:MPB_Lper_Kyuss_1697:3:93253526:93265807:-1 gene:KYUSg_chr3.15284 transcript:KYUSt_chr3.15284 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGFQGEEPARALLHELADFGIGVQVPRDLRFYSDSVASGVVQVLLLLPAAGGTKVRESEEAQWKVQSWKKSNQFRDQLKEGSIYTTKKFDLYDPRKSYRSVDHPLRICFTMRTTLSEVVPPPENFPMYAYTAVPFSMLSDCIDWNILISDLQQMSDGLTKSPMFCLLLRYYRSRYRLRYRNRVQTLLDPKRYRSGTKAVLFSDINGYEVDLGTKRSTASTETEHCSGNIFHVVLGLFMKFGTGVFTKDVAIIVHKGISAYYLSLFFS >cds.KYUSt_scaffold_3611.244 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:1348679:1357712:1 gene:KYUSg_scaffold_3611.244 transcript:KYUSt_scaffold_3611.244 gene_biotype:protein_coding transcript_biotype:protein_coding MISTLYVASLVPPADEPVSFEQFVAEHGVEDEKEEASCFAGPQEGISGVDGRGDQGLGSSIEDGGEQERGSDIDDHCAEELGSVDSGFGSDVLQEGAAVSMTLVSGTRKGNEALKNKMQAWKKMLSSFYFRPRIVMPAAPPETIDLNIRQGDSGYDADDEDIIGYDGHGNSGQAAAVVEVENAEDLLVAPIGTNEAVIAGLQGLWRMEYVDQDLVTRSNPESYYPDKPTTRFSLDLVEGNRDNHGEQRKSIDQFQFAGDQQLSSQATCCPIGLGKSSLGMLDNEVDMLSKLPDDVLLNIVERLDITEVARTAILSRRWKQIPAMLSKIILTVCSFVPKDGRGKLTSDDIARANTTMLKATKSILESRTGSLYTIDQMSMRFYLGDDSIFFAQTVANTLATQKVASVEFTIFTELGKHCTSDDALTYGKKFMSLFDSCPNTFGGLTHLHLQNLRLGESYFPKIFGICKQLEFLHLWRCDTGHLSLLEVQHPQIRELVISSSSLQRVDLKWVPKLTVVKFHQFQSPDDPFTLGYVPLLHTVSIINTGLSWHKMLKLSELLRKTAIRNLDLNFRSEKIWVKPEGRKQLLPVFHKLSLVNLFDISEECDLSWTMFILEGAPVLKKLCIMVRDHLCEMIKGKRRYMYAYSEEKDKGLEWEPSAPDFKHHNLAELRIYGFQAEEKFVRYARNVMEAAVNLEAVYLHENPGCEKCKPCLPNEWTLMETLLIRDKINKGICSNVGIHFPSRGREY >cds.KYUSt_chr3.45996 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289580919:289582055:1 gene:KYUSg_chr3.45996 transcript:KYUSt_chr3.45996 gene_biotype:protein_coding transcript_biotype:protein_coding MASADWSSLPAELVSRIADCFLATNDLDYFMDFRAVCQSWRSATEDPKISPDPLFRPRHWVIIDRSFGGDKTYLWANTATGRFVPKELPLLRNYWGSSTTPDGLLILMDNKLPYAVILLNAFTGYRIRFAAPLPDQIMESAALVSGSPPTLVLSCKKMDIDDDLVLHETDRKVYTAYPESESFAVFEERHACPLIRLALRGIYTNGELGSVAPFPIDMAEKIFTLMRIYNAEPDASSSSDDEDVSMSDEKAAFNFLIGYDNRCYLLRSAGEILIILKLKGGMEVYKMDTQNYILESVKNIGNRAIFLSGNCKCISLNADKFPSVDANCIYYIKSLDYSGDYICMYNLRFRREEKISKDMGCGSRPYTIIQHLSSYDLQ >cds.KYUSt_chr6.33726 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211575158:211575511:-1 gene:KYUSg_chr6.33726 transcript:KYUSt_chr6.33726 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRASHVLSYYHTQREVVKMQMDAGGCSADFADARAQCEEWRKKKGKAADVRRCREATAALRRCMMKKEEHFRTCIAAMDEGLVEDESWRKRGWFDDKPSVEERWKWRWWTGMLRP >cds.KYUSt_chr2.43745 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272187593:272189913:1 gene:KYUSg_chr2.43745 transcript:KYUSt_chr2.43745 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASPSLSSLASSTAAIAAAAATPSPSRCPTSNPFPDPKPLHLSVKLFSPLPKLPRLSCPGPQAPRAATGDGSGAGNHGNGSGRNGGKDGGGDDDDGSGEDYEEAEFGPLLGFSEVLRLAAARGVALPGDMMEAAKDVGIRQVLLLRYFDLQAGPWPISAMIRAFSALRNRMLADPSFLFKVGTEVVIDSCCATLAEVQKRGEDFWSEFELYAADILIGVVVDIALVGMLAPYVRFGKASSSTGLFGRFSRMAGALPSSVFEAERPGCRFTVQQRIGTYFYKGVLYGSVGFVCGIIGQGICNMIMTAKRSVKKSDEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERVVEASPVAKGVPPVAMAFTVGVRFANNIYGGMQFIDWARWSGVQ >cds.KYUSt_chr2.35337 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218140653:218141300:1 gene:KYUSg_chr2.35337 transcript:KYUSt_chr2.35337 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKHHKHEHHLRRCCGGFAACLLALVLIVAFIALVVYLALRPTKPSFFLQDLQLRSVHLGDPSLSATAQVTLASRNPNDHVGIFYRRLDVFVTYRDEPVTVPISLPPMYQGHRDVTIWSPVLSGESVPVAAYVADAMKQDIAAGYVALQVKVDGRVKWKVGSWVSGSYHLFVSCPAMLAAGPGGVPLGGGPNGTGGAVASLKFTQPAGCTVGT >cds.KYUSt_chr7.33621 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209658285:209659346:-1 gene:KYUSg_chr7.33621 transcript:KYUSt_chr7.33621 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALMDSELGSLLKVSAAVWAAMSYVRVASAFIRPGAARLGALLPVVALFYAIPFAFSTTTFRGISAFFLCWLGTFKLLLLAAGRGPLDPFLSLHHFVFSASLPVKFQQPTSSKAKKQDPASAHSRYTLAKILISATVIPFIVYAFQFKHAMDQWQLLLIYAVCMWLSLELVLASVRTLIHGVLGMEMEPQVDRPYLASSLGDFWGRRWNLMVPAILRPSVYGPVRARFGVAAGVLVSFLVSGLMHEVIFYYMMWQPPSGDVTAFFVLHGACTAAEGWWARHAGWWRPPRVVAVPLTLAFVGGTGFWMFFPVMIRGGLDELVLHEWQGFVVLMEEAGRWLAGANGQTVSSLR >cds.KYUSt_chr5.28384 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179769214:179770686:1 gene:KYUSg_chr5.28384 transcript:KYUSt_chr5.28384 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTPRHHSSSLTLPRLVKKILTLSLYALVPLGLLHYLLRPTPVAVTSISTSPLNGQNEQQGVQNAASGVPARKQPVSEASPAPRCDYSEGEWVRNAAGPRYNGTSCGATIKDGQNCMAHGRPDTGYLHWRWQPRGCALPPFAPAEFLEQVRGRHVAFVGDSLARNQCESLVCLLASEFPAELVLDGGEERKFRRWAFPSHDATVSVFWSPFLVNGTEKSSAPGGLGHNRLYFDQPDERWAAEVTGIDVVVLSFGQWFMHPAMYYDGGEVIGCHHCPEPNRTETSFFGVFRLAVKNALREVIARAAGAGREKLAVVTTFSPGHFVGEWDSPDACSRTEPYAPGEKELPYMERELSRAEAEEVAAAADDARARGSGVTVEALEVTRLADMRADGHPGPYMHAFPFGDGGGEKKTERVPNDCVHWCLPGPIDTWNEILLQMVKRWRASSSSR >cds.KYUSt_chr2.53670 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334773209:334774453:-1 gene:KYUSg_chr2.53670 transcript:KYUSt_chr2.53670 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVEPAAVAGPRPSRSFSLPSVDRQRLRSKAASVLGNLGLAQGRRSGATSCKYASLTVDEIMMKFESDCAAGAGDMIVIGPVKEMEAPMRQRVVRPSTPTRTPPNEPEVINAWELMAGLDDFEAPTPRAANHHQPPAPTTPPWMQADADVPMAFEFDPEILSGFREALAEDTSRSQPVTASSPTGNEEPASRKVAHDASACTPVSPATRDMPELSGIVRARINAFQEKIIERRASNGGRDAKVAAAALGPPGGKRRAVVYFTSLRGVRKTFVECCSVRSILRSYGVRIDERDVSLHAAFKVELAQLLKPTTGSALPRVFVDGRYLGGAEDVQVLHEAGELARALEGCDAAPVRKLGCMEACAACGDVRFVPCETCYGSCKIFVEDEDEDEGGEFRRCPDCNENGLIGCPVCSC >cds.KYUSt_chr7.27943 pep primary_assembly:MPB_Lper_Kyuss_1697:7:174367552:174368814:-1 gene:KYUSg_chr7.27943 transcript:KYUSt_chr7.27943 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLDRARALRVLGRGAMGTVFLVAADPAGPGCGGRYALKVFDKRSSASKRDADRRARWEVTLLSRLAHPHLPSLLGTAETPDLLAWAVPYCPGGDLNELRYSLPDRVFSPAAIRFYIAETISALADLHASGVVYRDLKPENVLLRADGHVTLTDFDLSRLLPVPSSSASTSPPPQAPPVLHRRTRTFAAGHNAKREPTVSSAASAPKQQLQNLVRFLMRSNGAALELAKKTKSARVSPVSRKFAASSGAAWGKSYSFVGTEEYVAPEVVSGYGHGFDVDWWAVGVLVYEMAFGRTPFKGKNRKETFRNVLHMEIDFPGDTQRRMPELTDLISRLLERDPARRLGYAGGADEIRAHPFFAGMAWDMLAEVTRPPYIPPPAEDDAAAAGEGFDVRDYFRKLHQPPPPGSDTSSSDFSSEF >cds.KYUSt_chr3.41095 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259308514:259313081:-1 gene:KYUSg_chr3.41095 transcript:KYUSt_chr3.41095 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAMLLTAALGSAPARLSLSLAPRPPRLRAPERRIRPARIRAAAAVGGEFGGLGRRREFIGRLRNVLPGGRWWRLEEEEEGADGRAEASGATAASALHRMWALVANDRWVIFLGFASLVCAALSEIAIPHLLAASIFSAQNGGAVFYTNAKLLVVLCLISGVFSGVRSCCFGIANMILVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGIGALIYLLILSWPLGLCTMLTCGTLSMIMVVHGRYQKKAAKFAQEFTASANNVAQEAITLVRTVRVYGTEKQEIKRYAKWLDKLYDVSFRQTMAYGGWSLSLNYLYHATQVVAVLIGGIYIMSGKLSAEQLTKFTLYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSKHLTSKGLRLQKLEGRIQYEDVAFSYPSRPSVPILKRLNLTLNPNEVVAIVGLSGSGKSTIVNLLLQLYEPTNGQILVDGVPLSKLDTRWFRERIGFVGQEPKLFRMDVSANIRYGCPREVSQEEVEWAAKQAYAHDFIMALPDGYNTTVDDALLSGGQKQRVAIARALLRDPAILVLDEATSALDAESEHYVKSVITEVSTDPKAGRTVIIIAHRLSTIQAADRIIVMENGDIVEDGPHSELVKQDGLYSRLARRQNDALP >cds.KYUSt_chr3.22309 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137742595:137743095:1 gene:KYUSg_chr3.22309 transcript:KYUSt_chr3.22309 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSQSRVALLLPVAALLAAALLLPLARSDADADADADAGSTPTTAYDELRLRGFPRGLLPANVRGYTLDAGSGDFAVDLVSSCRIVLPAGSYLANFNDRLTGHLDDGRISGLSGISVKAFFRWWSITGIRADGDELVFEVGSVSAKFPARHFNASLECPAKADS >cds.KYUSt_chr7.12708 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78270123:78277245:1 gene:KYUSg_chr7.12708 transcript:KYUSt_chr7.12708 gene_biotype:protein_coding transcript_biotype:protein_coding MRALFSRIPISTATSFFSSPAKPLAPSPSLVPLRLGRRFAAMAAAAATEEFVKGRVFPNGVAVITLDRPKALNAMNLGADRSPPPPSPARSGLPCVVICAGVPRFACANLASLLEMDLRYKAFLDDWETNPNVKCVLVESSSSRAFSAGMDIKGVAAEIQKDKSTSLVQKVFTAEYSLICKIHEYAKPYLCLMDGVTMGFGIGLSGHGRYRIITERTLMAMPENAIGLFPDVGFAYIGAKAPGGGAIGAYLGMTGKRISSPADALFIGLGTHYVPSGDLGSLKESLLSTNFTDDPHKDVESLLTGYKKEPESVPQIEKLLPVIISSFGADKSAAESVEELNKCSQSGDTEVAEWANDALAGLKKGAPFSLCLTQKHFSRVASSHGNSENHLSKLAGVMKLEYRIALRSSVRDDFVEGVRAVLVDKDQNPKWNPATLEDVNMDDVESIFEPLGADAELNV >cds.KYUSt_chr5.30931 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195795677:195797596:1 gene:KYUSg_chr5.30931 transcript:KYUSt_chr5.30931 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGWRSWAERYKPGVAMVLVQLFYSLVDMALKTAYGLGMRPIVFVAYRQGIAAAALFLGSLATRGFTLRPMAVGSRAFALLFVASLATATGQYCYFMGLHLASPSMARATTNLAPGITFAIAAVIGLEKVDLRSSRSIAKIVGTIVCLAGAMLMAFFKGPKLLSALVTDDWVIGGMYLMGNAICFSIWYILQVPICKYYLDPLSLATWMCFLATLQCMVMAFFLEENYLQIWKLASIWELPCILYGGVFASGANFFMQSWCIAVKGPLYSAIFTPLSAVITTILSTLFLHEELHIGSVLGAITIIFGLYVVLWGKADDVKSERLAISCTEPESIVEQDSIGVKIESDTNLSEPLLSENGNPDTSTCQ >cds.KYUSt_chr2.54235 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338428196:338428519:-1 gene:KYUSg_chr2.54235 transcript:KYUSt_chr2.54235 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQLVARLAVELASSSPLSSIIRQRRRHWIPRTKLDTIAEEENMALVHPHRNVTRGALSVQAGSDKGKRCAGSSGDGSSGGAVLGEKRLVPGPAPAKAGRSKVAT >cds.KYUSt_chr2.30167 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185720637:185727357:-1 gene:KYUSg_chr2.30167 transcript:KYUSt_chr2.30167 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCMSSGAAAVAAVQADGLAASTAMVLLPTGELREYTPPATAARVLQDSVEVGAGWFLCDADAMGFDGAVAAVGGAEELRPGQIYFVLPADARRSGLRRDDIAALAVRASAALARTSRTSQLVFEPPAEKVDPTAAYKTVPALAAKRRQVARAKSAGRMQPRFAPDLSAISECDTSVPTVLPHCLGCLLTAFAVLQVGLHSVGTSPPTELWWARVQPPTSPPNLRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRSSPPGLEAMLKEFISTQIAFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVLPNENHHNKIVTTANAIQVRINENIRLMAELRARWDREENEKLAEEKNVAKVWTITTTCNANATHVAAPPTNTNKRIGVSNVSTSNAKRKNCPKLLKLLKLPRDKVC >cds.KYUSt_chr5.29270 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185430175:185430537:-1 gene:KYUSg_chr5.29270 transcript:KYUSt_chr5.29270 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSDLVVVHSGGCHCRRVRWEAEEPASVAAGTCNCSNCAMRGITYLLHRAQCQVRAPGRLRGVPSAPAPPSTSSARSAGSRPSTSSGNPGEVALSMNCIDAGTAAHVELTEFDGKNLL >cds.KYUSt_chr6.22358 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141263006:141272127:-1 gene:KYUSg_chr6.22358 transcript:KYUSt_chr6.22358 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQGESSRPSSSDRSSSSGHQMDAKEGMESDDEIGTVPELGLGPGGPSTSGRRDPGGSERAQSSTAQGSARRRGRTPADKEHKRLKRLLRNRVSAQQARERKKAYLGDLEVKVKDLEKKNSELEERHSTLQNENQMLRQVDSSSCLCRAERGVVVPGAGSHVPRSRLCVQSSITASIHPLNDKRPARGDRRRGQQWPLLPGLPDDLAIACLIRVPRADHWKLRLVCRRWFRLLAGNYFYALRGRLGLAEPWLYAFKCDGDGRVSWDVLDPEAARGGGRAWRPLPPVPGEYADATGFGCAVLGGCHLYLLGGRDPRRGAMRRVVFYSARSNRWHRAPDMLRRRHCFGACVMGNRLYVAGGESGGGGLRSVEVFDPAKNRWAFVSSMAAALVPFVSAVHGGRWYVKGLGAERQVLSQVYIPETDTWSTVAALDGMVAGWRSPSACLDGRLYAADCKDGCRIRSYDEATDAWCGCADSRRHMGSSHALEAAAMVALRGKLCVVRNDMSVSVVDFAVGAENQRWQTLASKRQIKSFVTNLLSSVAGRGRAKNRVLHCQVLEA >cds.KYUSt_chr6.767 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4880245:4880796:1 gene:KYUSg_chr6.767 transcript:KYUSt_chr6.767 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTASAGSWGLVSSSCEEGDYELLGGDVPARWPRRGHGTDACRLGHPLADPWRRGQQAQAGGTAGAGGRARARAWSYANGQRVRRRPASVLTRRCRGRWKDGPRNAGELPEAGLDAEWGGGAAPEWGGRGAVVKFGEEEESEERDGGLVIHMSPILLPVCHLPGSRTDYSNQFLAIRSGQSY >cds.KYUSt_scaffold_3611.233 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:1276388:1277449:1 gene:KYUSg_scaffold_3611.233 transcript:KYUSt_scaffold_3611.233 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSTSAANHVHNLPKTSSRCITESFTATHDFEVTNYLQLDGMGVGKFVSSGTFSVAGYDWSIWFYPDGVSGDCDGNASAFLYCVSQAKAVRTKFTLNMLEKEGKVKVTDRGAIECIISPPTYTWGYSKFIEKSKLKSLSHTTSGNLIIRCVLTVMKEHRTDEVTQPNLADHLLQMWKDTEGADVTFSVCGQVFRAHRCLLAARSPVFKAELFGPMKEKATPSIKIEDIEPQIFEALLHFIYTDSVIDDERFKEDKSARLQHLLVAADRYGVEKLRVICEGKLCESIDVETVATTLVLAEQHRFKDLHEACLEFMASRKVLRAVMETDGFKHLVTSCPLLMKEILEKVCRSD >cds.KYUSt_chr3.31769 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199652617:199653793:1 gene:KYUSg_chr3.31769 transcript:KYUSt_chr3.31769 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMEAACGSGAKHIILVHGACHGGWSWYKVASRLRSGAAELSYHVGAPDLAASGVDARRLSEVPTFRDYTAPLLDVLRSLPEGEKAVLVGHSLGGLSVALAAELFPEKVAAAVFLTAFMPDCVSPPSEVILKNVARSTYTPLDNEMRPQDAEGKLPSSFMFGQQFTEQLLYQLCSPEDLTLGKSLMRIGSLFLEDLQTQPPFTKDHYGLVRKVYVVCKQDKTITEEHQRWMVANNPVDEVMEIDGADHMAMLSTPDQVVKCIIDIANRYN >cds.KYUSt_chr7.11773 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72310350:72312235:1 gene:KYUSg_chr7.11773 transcript:KYUSt_chr7.11773 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFEEMGWSYAPYLRTHLRQLAASVSAASCEGDAGDDECRDEAAALRLKMVAVAAILVSGAVGVAIPLAGRRLRGGGGASPSSSSSSSSGGGTFVLAKAFAAGVILATGFVHMMHDAEEKFADPCLPPTPWRRFPFPGFVAMLAALGTLVMEFLGTRFYERKHGQEAAAVDETAALLEDGALSGTAGMSGDDGKQDAMHIVGMRAHAAAHRHSHAQGHDACDGGAVYDAHGHGHDHGHGSEERPSQSRHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQFKNFSALLMAFFFAITTPAGITVGAGIASFYNPNSPRALIVEGILDSMSAGILIYMALVDLIAADFLSRRMSCNPRLQVCSYFALFLGAMAMSSLAIWA >cds.KYUSt_chr4.20087 pep primary_assembly:MPB_Lper_Kyuss_1697:4:126332617:126335837:1 gene:KYUSg_chr4.20087 transcript:KYUSt_chr4.20087 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSKSRVEECMVEHIELIPGMPDDIAVDCLARVPHDAFRSMRRVCRGWKSAAAAPEFAMARAEAGANEDLVYLLQFGSPAAGDDAPKDDSSAYGVAVYNVTTGEWHRERAAPPMPMFAQCAAVGTRLAVMGGWDSKTFEPVADVHVLDAATGVWHKGTPMRSARSFFACAEAGGKIYVAGGHDKLKNALKTAEAYNVEADGWDPLPDMSEERDECDGMATVAGDKFMAVSGYRTGRQGGFERDAEWFDPATGEWRRLERVRAPPSAAHVVVRGRVWCIEGTAMMEWRGERRGWIEVGPYPPGLKAGTARAVAVGGGERVVVTGAIESGGHALWVFDVKSKNWTVVPPPPEFAGFVFSMASMNRQWMYIDRQFDEFTAGLDNFMAVAEANKHGGFMYCPCVDCENTVNYAHSSLIHSHLLRSGFMPSYYCWTKHGERGVMMEDNDEEEEDDDGYPNFPEYDDTAEGNEDNEVEDQEAPDELADDDLGRAIARCKERM >cds.KYUSt_chr4.2293 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12805605:12805886:1 gene:KYUSg_chr4.2293 transcript:KYUSt_chr4.2293 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPEYCGKPTRRGRPQRRPSHPR >cds.KYUSt_chr3.22939 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141875058:141877843:1 gene:KYUSg_chr3.22939 transcript:KYUSt_chr3.22939 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGPISSDFKKCSDDRHVGVEQDVIPAAAEATPATVDESISSEEDEDMWAKQVNESRVRVSISRIERLGFGSDYYYKFKLSVITFVAFGFAVVAAAAVEIVVVDVDDAVVVVAAAAAAVVVVVAVAAVVVVVVVVVVAVAEIVVVVAAVAVAAAAEIDVAAAAEIDVAAAAEIDVVVAAAEAVAAAAAVDVPLLSLTESERVNYS >cds.KYUSt_chr5.40022 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252930980:252932344:1 gene:KYUSg_chr5.40022 transcript:KYUSt_chr5.40022 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDEDRRIDLSSLTVVEDDEIRMGHGSEDEYDASTDEDECESFTEEEEGAGDGDAGPPIHFAEDLGSPPESILLDIRGYIDARTNASTANACLSTGRDAIRVTFWAARPPRVSCFTVHCDGVEPNQFRGFPTVLAAADDLVLLRVPINPWQNTFPTRFIMEYYIYQAAGAASTLRLLGVPRHLSCAGILRLRGGEFMVASIEVVEGADGKRFDLHLFDSRTWTWTSRLMRVDKPKRYLRAGFRPMKVLAIGGERGSMAWVDLWHGMLFCDLHAVHDDDAMLRYIPLPPSPSTECEGSPSDVRDIAIVQGRIKFFEMRFKVKQHAISSTTTLVTRYWKAAAWEMEDDPWHSWLKDCGLDIFKVPVDRPHPELPPRLHDMGKLHGEQPPLSLHEEDVVYIMAKAKGSDRRAWMLAVDVRKKTLREVAAFDAGRSYNFTYLESRISNYLRNQSSM >cds.KYUSt_chr6.11480 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71252986:71268790:1 gene:KYUSg_chr6.11480 transcript:KYUSt_chr6.11480 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEASRSRVLVVGATGRLGGSLVRASIAFGHPTFALVRPHHFALPDSAPLKPLAAAGAMLLKGALEDYPSLLEAVRQVDVVICAVPTKHALEQRPLIRAIKEAACVKRFIPAEFGVDHTKIQICDMDHGFYEKKAEIRRLIESEDIPHTYVYCNFLMRYLLPSLVQPGLHAPPRDEVMIFGGGNTKGIFVEESDVAKFTVCTIDDPRTMNKSMYLRPPGNVYSLNELVGLWETKINKHLKKIHITEEQLLKNIHDAPFPLKMDLIFIYSAFVKGDHTHFEIDSRTEGTQLYPSVKAPLEKTSVKHNNIDESFTSDSHVDADNDGAVTQSNSSNLVRTSQFVSVRTGLSRKTTNTSYATGRHSQISRTTTLSSHADRFSGDSGKFSDFTGKLVGGVMKFTSNMQKAQNDAWLPCISRFEELHWPAFMRIATCSLHKGSASVPILVPLSSSDHHVELDMRYQSKNARYALTALANEIPNKHKTAAIAPRKNFKVIVLYDVDKVSENNQRLIKWIIDSSSDACKIIMTCKDEPNLLDSIISRSKIISIDMPNARENMREAILALEACKSNNYPFIDGQAIPLGWENVLQELAADILDDPSPKRFYGIPLRAMQVDGAVVSDHAAMAEATFTHFEGLLGTSVDRLHSLDLDFLGTHSEDLSELELAFTEEEIWDVVRRLLIRVQHASVGNPKRKV >cds.KYUSt_chr4.26301 pep primary_assembly:MPB_Lper_Kyuss_1697:4:165336371:165337998:-1 gene:KYUSg_chr4.26301 transcript:KYUSt_chr4.26301 gene_biotype:protein_coding transcript_biotype:protein_coding MRASIYGCCKQICKLKLHRPQVHSYRICDSTMQYASAKLFVLVITTILTTTGGCIPHERAGLLSFKQGITSDPNNLLGTWKGHSCCRWRGIKCSNRTGHVIKLHLRNDAYIIYIDDDYNIHKFGLSGKISQSLQSLDHLEHLDLSMNKLEEPNFRFPEFLCSLKSLRFVRTTRKTRNDSEAYLFQ >cds.KYUSt_chr3.35427 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222449005:222450238:1 gene:KYUSg_chr3.35427 transcript:KYUSt_chr3.35427 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMTTRSRGREQQKSLRSKSARNKLDGSGERLPSEGCGKRKKAKLDVISRRVSSERSSKKGKSNQDRDMLEKRPKKRNRGEDEDDGSCSSLLGTRQMKMPMLCELTPPNCLVNDPTLLHIRESSKKIVLRAAQFIVGLSSSFDGEPLAWCSGFWIDLDSEKRTGTVVTTAHLIRTKRPSPDAWLCKDE >cds.KYUSt_chr6.29092 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184237791:184247364:1 gene:KYUSg_chr6.29092 transcript:KYUSt_chr6.29092 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRLGSSTAAPWCDPWPPLPGSAPVFKILPGDYNWRVNIALIIACVLRYLHTELQPPLALITQRSSSSSRLKPFLSSSVIIHGGHLNGIVGIYGNTFAFDVILLELICGRASLQRFHVGARIPGVASHYTPPPSTFNVLLGSY >cds.KYUSt_chr3.28727 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179299836:179302004:-1 gene:KYUSg_chr3.28727 transcript:KYUSt_chr3.28727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to lysine decarboxylase-like protein [Source: Projected from Oryza sativa (Os01g0708500)] MGDTAAAAPTRKFGRICVFCGSNSGNRAVFGDAALELGQGLVSNAFRVRMPMMLLIAGRPELPRQPRNSIALAPPKPGRTPPCLSGLGYRFVAVARVDGGISWWVFPFLQVTRGIDLVYGGGSVGLMGLIAQTVLDGGCSVLGVIPRALMPLEISGASVGKVKIVSDMHERKAEMARQSDAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLALFDKGAAEGFIKADCRQIIVSAPTAHELLTKMEQYTRSHLEVASRTSWEMTELGYGKAAAEEEK >cds.KYUSt_chr6.11177 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69333825:69334535:-1 gene:KYUSg_chr6.11177 transcript:KYUSt_chr6.11177 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGCGRRALRAKGAEVVKVAVHGDLLAYDAVVPFDVLLDHVRRCAGFDKEGIEQAVCAEPYAVPQAPGPWPAPGLRPRCRTDFEDEKPEQAMVQPSRAWSFAAASKSLTPIRDQRKRFLWLAAVLERQHGAPRSA >cds.KYUSt_chr2.19120 pep primary_assembly:MPB_Lper_Kyuss_1697:2:120307139:120310681:1 gene:KYUSg_chr2.19120 transcript:KYUSt_chr2.19120 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSGKVFRIVVLGARGFVTEAISPPRCSPDAHPEDFDVEVAVLAGQGKKGGRLWIVDGLVDPRTIPSLRQIRRGRTSEHPRVETRPRASDLAVEKLRAEMEEREQRNQEEKMQMQQQLRESMQMQQQMLQQMQQQQQMFQQMFMNQAVLTSPLGSSASSTSCPPIFPNWPAPDPHMLALLQRPPSQSPLTPGLTVNNMGIIRSLQQFLGGQGGGEEQGGGEGQGSGHGNGEVEKHTAKAFFAVHIHTAKGAVLLGYFVVRGRGEEHGKAEALPCGLEVKRTAKDAARQTAKPHGKECRSAKSAQRTAKALPCDFRLTHGKEVAGQIFAEQSLPCTHARQRLCRADFGLCCAFRLHGKA >cds.KYUSt_chr5.28437 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180065978:180068191:1 gene:KYUSg_chr5.28437 transcript:KYUSt_chr5.28437 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVNRSSQGKSELKSAKDCDEELQLSVGNMLSASYNHQPNQSQNTPDNQLHLQVSFVTMADGGHEHQQPPPEPQEPQGRSRWLAMACVLGCAGVALMCVSGALVSLLLKSINRDRFLPHLPAAAIGVIGAALLIFVFSLLALGFFLLLCSIATLVEARRVNRTVRPIPPYLIDAL >cds.KYUSt_chr4.37413 pep primary_assembly:MPB_Lper_Kyuss_1697:4:230397056:230400110:-1 gene:KYUSg_chr4.37413 transcript:KYUSt_chr4.37413 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPEPSAESKPVEMDLEDQADAKGKGMAGSEEAKGKGEELADSIGNLSIGAGRTNFKKKPVIILVIGMAGTGKTTFMHRLVCHMQAKSNMRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKEYGLGPNGGILTSLNLFSTKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTSPVTFMSNMMYACSILYKTRLPLVLTFNKVDVAKHEFAVEWMQDFEAFQTALDSDSSYTSSFTRSLSLVLDEFYKNLRSVGVSAVSGTGVDAFFEAIEASANEYMETYRADLDKRIAEKERLEAERRNENMERLRRDMEKSKGQTVVLSTGLKDKIPSSEMMGDADEEEEDEALEDFRISEDDDDEDEVEDDEVVELEMAFTLGVQENQIKSDVCFLD >cds.KYUSt_chr3.5093 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28869721:28873043:1 gene:KYUSg_chr3.5093 transcript:KYUSt_chr3.5093 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQHHGDQYGRLFIEETGWYNEMLLSVVLPGDWWRVLPHLVQSWLRNCIGVYLMYFIIGFLWCFVIYHWKQHDYVPKGLASHPVDGILLAMPHVVAPFLFPTHFRTNVALLFMEAMWTANIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTIWMDSLFGTLQKPEDIFKED >cds.KYUSt_chr1.7463 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45755164:45757611:-1 gene:KYUSg_chr1.7463 transcript:KYUSt_chr1.7463 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLCFRCLEPGHAVRDCKNDVRCRRCLLSGHGSRDCTPEQRAYDHARARRALQEEAPAQRRRSSPPPPPPPPPPRTIAATAPPPPPRHPAPAETAMARTVDPLGPVRAPAAVMTTTARAVDSPSPVRVILSRSTEMDEAEQVLRRAMVASITGTRPTVTAEQVAQVLHASFNLQPGDFTVHLHQPEDFLIIFASQELKDRLSGDHFIGDANFSLSIRPWCKLAHAGSARLEHRVELALRGIPPQAWQVSTVEFLLAHGCWVERIHPDTRSRADMATFRLTARTNDVAAIRPRAILEVVELIPARTASEAPTVRTLTYPVSIEIVHAEPAAQAAPPAAAVDGGNGGAGNGNDATNGDHAARRGPRRRCRKRRRPDGGTDAPAGRADGMALDAPAWVMDGPRRRADGITMDGRWSDARWPAPPPRQRRSPAAGERYMDPWPLLRGPRRQGRPRAPAQRGHYFWRQKRPHPEPTAASPATTAAPASDPMHGEGSPLPPGTLPLVAPPSTPTVQPTAAEPTPSAVPDSGDHVPTPMTESPVCLNGLAPSAPHDGPGEPHPDKTNSCSHRDPATLQSEVPDSLSPGPANEDDFLSGQSAAPPSVHDLEPSADLHDRAQHSPGALQTPCEPAQPDPCMEAQHRQLTQSTQPEPNIMHATEPTPPVDDRTPLRSAPGRFATPPITLRRRMRPTAPQPCTLGDFLKAATKELDAALPTPGKRSRRLPLNFSPRRGRSAMRANTGAPPTAERRAHVQILRTLGIIGVDQAITAAEMAAYDRVFAAPISLAVLTAMAALVDRQIPVVATPEPVAANVDIACEN >cds.KYUSt_chr1.7469 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45782292:45783356:1 gene:KYUSg_chr1.7469 transcript:KYUSt_chr1.7469 gene_biotype:protein_coding transcript_biotype:protein_coding MNILPLPRSALPLSDLPNQLDLPLNRLGRSMEQQAAAHESKMEELELPTLDLDHESSSRFTEQLAAACRDHGVFRLVNHGVPADLTARLFRLTRDLLDTDPAKKAKLPGYFWGTPALSLRVKDLNWVEGLHLAPDNASADDGGAAYSAFRQAVTAEYVAHMARIARKLFDALAGDLALALDAEQRASYLEERGGTFRAYRYPACDPAAGRQHLGMEPHTDSSVLSILNMDLVGGLQVLRRDGPISRWCAVRPVEGALVVNLGDMMQAMSGGAYRSVEHRVVAPPPGTERMSLCYFAFPQEDAVIVPSSTGKEERYRAFSYREFREQVQADIKATGAKVGLARFRIPDHPSPAPQ >cds.KYUSt_chr3.34301 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215194645:215197260:1 gene:KYUSg_chr3.34301 transcript:KYUSt_chr3.34301 gene_biotype:protein_coding transcript_biotype:protein_coding MVADCCLYKLFLHATFCTTSKYDWASHAANCWICDGILHEDIEREISDMLHREISLECDASMIPGVIRMFKEHLKLSFLTVKDDQKYKEGPYRWISVTSKDTNLHGIKNIPAQTSSFILKFDISEPPLALTNSLFQHSSILGVLILCCCAFSFASPPFVKCHSLKFLGLDHCTDNNTSEIRDHTEWAYLYRLRVLDLCSTYWTEILSQEKIDLMANIRELNIEGFMCWQYTTCLQGRLPNLERLRIIKPTCEPNISTDSSNSFLGKTKLEILDLSCNSDMEILPSSLTEVSSLQVLVLDGCTKLQDIVPDVLPRLLRSFRLDGYVPPTRHKPAVDQPMEEISPYKESDEEGANNIYKISLKGCTQLDNLFLRGLLNLVELDLSGSAIKVLDFETMVVEVPSIKRLFLLGCEHLRAIRWGGVGTQADLELLCIDTRAGTVRPWPSLSQNKPFKLQVHAVVEDARLVWSLCPPISKESRASSIKDVYFDIHVTSSPVYKTSVQLKAVCEEKTVMYSDQVCSVPASKYIDVLSMVRDAHMQAFPEPPTTNLDRHIEIAQGSCGLESALDGDDGDDGDSQPHNLAYIMKLFAESLHVHNVSARHGIIIPVGKLEVLKQCRVESCPNLYTVFPSRSDGFYKLETFWALDLPMARQILGRGYRLENIIQKNLLFELWFGQALPRQISSFQNLRYLHLSSCPRLQFVFPVWFSSLPSLETLHIRHCGVLKHIFVLDGEYPLEIVTKGVAFPKLTAIHLHDLATLRQICEVNMVAPILESIKIRGCFGLRRLPVVEARGPGVKKLTVEIENDVWDALEWDGAEAGHHPSHFEPPVHSRHYKKKLPRTSVLRYTRLHIHISLNAWLELACSPLVSPSHVA >cds.KYUSt_chr2.4553 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28158449:28158928:-1 gene:KYUSg_chr2.4553 transcript:KYUSt_chr2.4553 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTVAGPQPDSGLGRLRKLAGRPAAVKINDVAPDAGTVIPPTTPAGGEDAPMKVTRSIMIKRPAGYPSSPRSAASTPPASPLGSTPPISPFAMSPFAGGGEFHNAPTHLLALTFFLSG >cds.KYUSt_chr4.38413 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236939470:236940735:1 gene:KYUSg_chr4.38413 transcript:KYUSt_chr4.38413 gene_biotype:protein_coding transcript_biotype:protein_coding MVATHRSHYIAAMSESTRAPEQRLRPFAGFPEELVSEILLRLPPKSILCCRAVCKDWRRITSDGAFLLAHHRRQPPRRLLSFVRDVGRRRDLDYCIETLDLHTNQLRSVVRFTDSGLSHYDDSPFKVYAACDGLLLMSYYTRLHLWNPATRQWCSAYPPAPQHDTIMGLYAHGCSHSSREYRVLYYRSIGVAPTFYINTVGTENERVIWPETSSGSVTQWLQRGPLNLRLDKPCLFSSNLHWPPCSTGLKCLLVFDTVAEVFQWLQGPTELGHVTWLLEMEGTLAISSSNVGGSEVDLWLLQDYKSAIWVHKYRIKLPVLEIRRFDPEFISPEGDVLVDEDGETDADWFSQVVSPEGDVLVDVGDLLLHYDIKGNMLQKFHCDGRMLNFTTHILQESLVPHTFFRTQEDGNAEEPPFFRWL >cds.KYUSt_chr1.30394 pep primary_assembly:MPB_Lper_Kyuss_1697:1:184019217:184026952:-1 gene:KYUSg_chr1.30394 transcript:KYUSt_chr1.30394 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGQTGMGQPGSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHAFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILRRFDTHSKTNEETVEEMLSLAIKYNKAVQEEDELTPEKLAIANVGRQDAKKHLEEHIMVDSDDDYFFKNFIDTSSDEDSDDEFFTDAALMIHDHIVSQIPVHRGSLPGRAAALDRKRERGHDQLFTDYFHPKALYTPALFRRRFRMSRPLFRRIMDGVKLYDDYFHAKVDAIGKEAYSGHSEGCTIILEAVASQDTWIWHSFFRMAGSHNDINVLQRSPVFDRLAYGQSPDVDFEINGHHYTKGYYLADGIYPPWATLVKTIRKPNSVRRQGLPKSRRQPGKMSSGRLASSKLVGLSSDTLPSLGMCKLCGR >cds.KYUSt_chr3.3390 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19324149:19326095:-1 gene:KYUSg_chr3.3390 transcript:KYUSt_chr3.3390 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQSAARLGAPLILHSRAASQQDGTDCCLWEGISCSSSSGYVTALELSGRGLYSPGLDPAIFELTSLQRLDLSINCFGQYSLPANGFERFSLLTYLNLSNSGFSGQIPIGIGRLASLISLDLSTYQYTTSGDSVYADVESIYASISVVKNSLQLYQPNFHILVANLNNLTELYLDGVDMWSSTEGLCDIWNSLRWTQQEI >cds.KYUSt_chr2.26198 pep primary_assembly:MPB_Lper_Kyuss_1697:2:160347813:160348721:-1 gene:KYUSg_chr2.26198 transcript:KYUSt_chr2.26198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain 2 containing protein [Source: Projected from Oryza sativa (Os07g0476900)] MASTASFLATLASSTATASLSATPVSGGSSKVRFLPATAQRRRAVVLRAAVSGAEKEKAKPSESGDKGGKDARVVQVHSAEELDSALQAAKNRLVVVEFAASHSVNSSRIYPCMVELSRTCGDVDFLLVMGDESDATRELCQREGITQVPHFSFYKGTEKVHEEEGIGPDQLAGDVLYYGDNHAAVVQLHNRADVEALMAENSGEDGKLLVLDVGLKHCGPCVKVYPTVVKLSRTMADTAVFARMNGDENDACMEFLKDMEVVEVPTFLFIRDNKIVGRYVGSGKGELIGEILRYQGVRVTY >cds.KYUSt_chr5.22693 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148135307:148144622:1 gene:KYUSg_chr5.22693 transcript:KYUSt_chr5.22693 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLGGGGVASAGADVAGLLDKAKELDQLRKDQDEVAMEINKIHKKIISSPEMVDKSVDAIVAKLRLLYVQAKELAESEASTSNALVGLLDGVLQSGVSAAPRKKIEVGEQKKKRMKSDTDTPRFSSASMRSQLDQAANLKGEQVAARVKSDDEKDEWFVVKVLHFDKETKEFEVLDEEPGDDEESTAQKFERKYKLPMSYIIPFPKKGDPSSAQDFGQGRQVLAVYPGTTALYRATVASHRKRKSD >cds.KYUSt_chr4.45020 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278769061:278773363:-1 gene:KYUSg_chr4.45020 transcript:KYUSt_chr4.45020 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRPSYTDDDPDSDRRRFYDRPPSSPPPPRRRPAAAADYSSDELDRRKGLGGRFHDHRYRDPSSASPRGHYGAMHRSESFSGFRRDGFRDRDRPRWDAAGSSAWRRPSGGWRDPHNGVDGHRSAARRQAPSPPTPPRRSPSEPRRRVDAAAAKSRKPSCGAGEIEEGEVAPDPGTKAPLAAPEHHKRADSIRADERCDSKKVVESSRPRIRVDFRTQAAHNLGKEKAKIGQDAVAEAGDVKSAQHHKSASDAASQKVGGGDGAPPSAVDQGGQTTSPGMRQEELVTRPDTANASNVGGQSTSSAIPKETTQEQVTTQDETASREKEEETAVAVDEVGQGTSSSVHQEKPQEGVMPLGEIANDVDVVCKGGSSSMLQEVLPEEVRGRNADVSDGVGNCTSSASLEGAVQGQVNIVEVAANVVDAPREGSCSSVLKEAMHEGDMLLPASTIDVAGPNNSSGMLEEAIHETVSTQEGTPDDFGIARKSSSSTLPQEEVMTPLFQQAQEIKETNIASMSGKKTDETTESIAPQPVEEGLERYTCESRVSFGETEVVHKEAVIEHEIVVEPAKHSDLEANPVGTSVFLQPPTDHITDSKEEGMTLDLIAVKPRAEDKGKGIAFDVLNKAGTASLAERSVDLGLRPPDIDQNDSPKTARITSVKKEDDNLKIGRLDLSLSLSGGLQNPEFKCFIPRPDSLAHGPCSQSSSSSSSFCTNSDGITASIPLTSSTLVHNPSFSLTQQPLDNCEHSVGSKPLFQGADMVGNNTGWQTQLSSNRSTEKGDATPLLQRVLQNGHLSDNTLIGANMQNNGFSHVLSPTRNHGSPDAGPEHNRKIRQLTRERSSSSLSRGERQHEERLVLNGAGVVEKVISKIVSEPLNYTARMFQEMTSNSRAYLKEAISEIIIDAGKREHVLALQEALKKRSDLNSEILQRCPRVLLEILVAIRTGLPDVIKKSGSIATSDLVDIFLNLKCRNLSCQSVLPVSDCDCKICQQKTGFCSNCMCTVCLKFDMASNTCSWVGCDVCLHWCHTDCGLRHSLIRKGGSGSRAHGTNEMHFNCAACGHASEMYGFVKEVFRTCAKQWRMEALIRELQYVERIFSASDDAKGRRVRNFVKQMLIKLENKAYYSEVVKYVIAFFSGKQLIINNNPSLGMGSGPLVPLKGIPCSIAEGTNGIPSSSRTATWLPSVTLEGVPFLEKAGLLSTPGSQSMSKKMAETELQAVNSNKPVSDELDGLVRLKQAEANMYQERANEARKEAESLKHVAMLKYARIEEHYATQISELRINELQERRKQKFEELQVIERSRHQFLSTKIRMEDSIRELMLKMEAAKQNLRT >cds.KYUSt_contig_686-1.1167 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:7264770:7267206:-1 gene:KYUSg_contig_686-1.1167 transcript:KYUSt_contig_686-1.1167 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRRRHGQPRHLGLVADQPESRCSMSSCSAAPKQLLHLLLVSLLLASTCAAASSSATADAQYLSPKMARIQRHLDRLNKPALRTIQSMDGDTIDCVPRHEQHALDHPLLRNHKIQLAPPRKPVSTSTSGTASALSSSWNSTGARARRTAWQTWHHAGHCPRGTVPMRRTTAADVLRGRRSLFRFGRKRHRRDRAPRAANAPDVVTGNGHEHAIAYTAVGQQEVYGAKATINVWDPAIQESNGFSLSQLWLLSGSFNGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRVAIGASISPVSSLGGEQYDMTLLVWKDPKLGNWWLSYGDGGASQLVGYWPAELFTHLSGHATMVEWGGEVVNTSPGGAHTATQMGSGRFAAEGFGRASYFRDLETVDAGNSLAPVSLDALQTLAEDGGCYDIRKAYDDRDGWGTHFYYGGPGHNPACP >cds.KYUSt_chr2.43389 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270051205:270053420:-1 gene:KYUSg_chr2.43389 transcript:KYUSt_chr2.43389 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSAANGDGLCVAQPALADPLNWGKAAEELSGSHLDAVKRMVEEYRKPVVTMEGASLTIAMVAAVAAGADTRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVATAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILGVLAEVISAVFCEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMALAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHIEENVKNAVKNCVKTVARKTLSTNDSGHLHSARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERDAQTSVFAKLATFEQELRAVLPKEVEAARCSVENGTAAQQNRITECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNGEPLPIC >cds.KYUSt_chr2.37563 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232572590:232575719:1 gene:KYUSg_chr2.37563 transcript:KYUSt_chr2.37563 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEENRNWHFGISSIGDDLKLIGVWASPFVVRVKLALSFKGLSYENVEVDLTSKSELLLSSNPVHKKVPVLLHKEKPICESMVIVQYIDEAFAGTSPSLLSSEPHERAVARFWAAYIDDKLVASCIQSFRGKTEEDKSEGTKQMFTALENLEGALKESCNGEGYFGGESVGFVDVSLGGLLSWLNAAEVMSGTGIKIFDPIKTPLLAAWTERFRELDGAKEALPDNDMMVEFARKRQAQAAAVVAASEN >cds.KYUSt_chr7.22079 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136748539:136751512:1 gene:KYUSg_chr7.22079 transcript:KYUSt_chr7.22079 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPDASNMAARAASPAARTASPPRRRATPRHRSLCATTQVRRGHRAPARTAWPCSMAVVLRRCGLSRSTTPPCRHAAAATMQCLRGFHARRSLGRTSIVAMEAKIFQFLNLVGVGFKARTERKVCEQFLKVGYNQEGHRAHHLAVQEALNMNESEMHGRQIKVSAKSTNVPRMKQHPPRGAFNPYHGYPYRSYGLPYFPPYGGYG >cds.KYUSt_chr7.1519 pep primary_assembly:MPB_Lper_Kyuss_1697:7:8401620:8404316:-1 gene:KYUSg_chr7.1519 transcript:KYUSt_chr7.1519 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISWFVSAKSELPSSWGRGDDCCSWEGIKCDNSTRRILHLDLDYMYQPVRTYGSDGSVSIEVMDVPCCRNLNLTVFSSFRELELLDFSGNCACLQNINGLQGLSKLKYLNLNDNWSWRIPGSVSNFNGYNTSGALQDAGSIPPCIGGIPFEDLDAYGWPTAGTRSGENDFSYKRQYDLKGFTFTTKGNPYTYGSNFFMSMSGIDFSGNMLSGEIPQEIGNLGYVKSLNLSHNFFTGTIPATFANMSKIESLDLSENRLNGSIPWQLTRLSSLEVFSVAYNNLSGCLPDSGQFGSFDMDSYKGNDNLRSCTSSQGPVVQNGTVGNAADDSDPILYVVSAVSFVLAFWATVTFMFCHSFGQRVILNL >cds.KYUSt_chr4.5215 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30026079:30029901:1 gene:KYUSg_chr4.5215 transcript:KYUSt_chr4.5215 gene_biotype:protein_coding transcript_biotype:protein_coding MATMERELLETFEAAKKAADAAADEDGASSPEAGRCLDALRRLRGFPVTTEVLVSTQVGKRLRYLTKHPHSDIQAVATELFGYWKKVVIEETGKKNGTPVNGKSDSSVAKLEKSQSMKVEKNSTLANVKVEKNSTSATVKAEKNPMSPTVKTEKNPMSPTVKIGKKDVSLKVEKTVNNDSKVQHVKVEKVSKEVSRTPDTKKPSSVANGPPKLTSLVRCNDAARDKYRELLVEAFVKVSPETSKDDREEVRDLLDQVDACDPYRVAVTVESAMFERLGRSNGAQKTKYRSIMFNLKADNNPDFRRRVLLGKVRPGSLVDLSPEEMASDARKLETKQIKEKALFDCERASAPKASTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >cds.KYUSt_chr2.8855 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55754129:55755986:1 gene:KYUSg_chr2.8855 transcript:KYUSt_chr2.8855 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGGGGSSWPYVKKPQPHQLARSLTYHHPYQGQGRRLQPPRRQQQQLPDARIPHPAVVFYTTSLRGVRRTFTDCSAVRAVLRGFRVAVDERDVSMDAALRRELQGLLAARGRGFSLPQLFVGGRLVGGADEVRQLHEAGELRRLLQGAAGQDPAFVCGACGGVRFVPCPACAGSRKVFVEEEGHTRRCGHCNENGLSPAEAVSMQAFCSFAYITWR >cds.KYUSt_chr3.1242 pep primary_assembly:MPB_Lper_Kyuss_1697:3:6968413:6969513:1 gene:KYUSg_chr3.1242 transcript:KYUSt_chr3.1242 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVKRKAKAAASCSPADPISCKATSFYVALAAIGNTPRVLSTFRFASYLSLPCSSSSPLVLFSFSPDTTQRERETRRGEAAAMSGQVSETDLGIVLLQAMLAAKNIRPQRDRLLQLRRRLEQLSLSPGDDRADRIKKLARDLFDVYFIGIEYGARVLATCLKLAVQHGARFAVNLAFTAMPDEQLHDALLAQRLPARPTTQAEAFSRVEMTLNAVKVIQDHHIPRCIEHLVGERPPTVFAIYSGQAKTDSSDKAPTAATPVDLYKARDYLDRAITLADLAVKHIDLAVVVISRFMDPKKVASHAEYTDKRAYISEVPALATRFAATRSDVYLPLSARTNLFMYYVITNTEFILLASLQKGPYPSD >cds.KYUSt_chr1.40195 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246241614:246241916:1 gene:KYUSg_chr1.40195 transcript:KYUSt_chr1.40195 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATAVLHINMDRSPYLNLRLNDDVTRHNLECYLHGLAGDQGDAKDFEMVVDRDVALVNKSADALKDDYPVPANWWAINHKHKVKGVVGRWTFDNINDL >cds.KYUSt_chr3.4843 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27521543:27522824:1 gene:KYUSg_chr3.4843 transcript:KYUSt_chr3.4843 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRSGGVGVVPLLLVFSLAYVLGWMSSTSFQNLYIQNILSAPTPSPLPPETVPCILPPTPPSQPPPPPAPSTTPLEGRRMAFTDFLAPSAGVMHNMTDEELLWRASMAPRMNSQPKHVIAPKIAFLFLVRGDLPLRPLWDKFFEGHEELYSIYVHASPDYAGSPPPDSPFYGRMIPSQRTMWGNINLLDAERRLLGNALLDLSNAHFALFSESCIPLVDLPTAHAYITGAGTNFVDSVDRRDSRVRHRPYFAEHNISLAQWRKGDQWFVMDREFALEVISDETYYGPVFRDGKHGVGHMEEHYIPTLLNVLGLGDRNSNRPLMYSDWRHAQGPHPKSHNGSDVTEDYIREMRRGLSSWNCSYNGGVPELCALFARKFKPDTLEPLLELAPKVMGFG >cds.KYUSt_chr4.20413 pep primary_assembly:MPB_Lper_Kyuss_1697:4:128466415:128472244:-1 gene:KYUSg_chr4.20413 transcript:KYUSt_chr4.20413 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVRGAFRRRGGAGVPASDSAVFVVGHGIARDFESLLYQSSAHGTPATSSVHCLPDHAASLLRLKQSFNLDVSDTTLPSWQDGTDCCLWEGVGCEDLSGQVTALSLRGRGLYSKAINRALFNLTSLHHLDLSGNSFGGSRLPAVGFERLSLLTYLNLSDAYLCGQIPISIGYLTSLLSLDLSNYFSNSIYSENMLVLLEPSFTALLANLTNLRELYLDYVDISASGEEWCNALARHVPHLEILSLKDCGLSGPIDESLSRVRSLNVINLNRNDISGAVPDSFADFLSLSVLQLGYCNFDGFFPPRIFQLKKLSVLDVSNNPSMSVHLPDFLNGSSLEILNMKATNVSTTNPRSFSNLKSLDELSLDGKYRYIAELHPSSFMTLHKLYLSQLGSDSQISWVGDLKKLTNLEIFRSNFSRRAPSWIGNLTSLRSLEIVLCIFSGSIPSGIQNLKNLKLLTLQDCGFSGRIPAWVANLTQLSYLALDSNNLSDFLPTENLISLDVSSNRLLGEIPMPPISLRVDFLDYSYNRFSSIMKNCTSCLSQTTYLKMSNNRISGKIPEFICNASKLQVLDLSNNSFSGTIPSCVIENGHLGILNLKNNHLEGRLALNIEKGCALQTINLNGNRIEGHLPRSLTNCKDLEFLDMGNNQIVDSFPYWLGKLPKLRVLVLRSNQLHGSIGNSPGDDSYEQFSSLHIIDVASNNLSGSLTSQWFDKLESMIKGSDFTEDIIKTQNVTESYQDIVTVTFRGQYITYEKIWTTLTMVDFSDNAFRGVIPESVGKLAQLHGLNLSHNTLTGEIPPELGSMTNLESLDLSSNGLSGKIPEELTNLTSLGTLNLSNNQLMGKIPEMRQFGTFQKNSYFGNTGLCGAPLSIQCGSTDGPVEASRSNSSGHVDVILFLFVGLGFGFGFAAAIVVKWRRIGEWFQRIAITPKHKDTAHTMTSPTLARKAKLKNHLVSAKAKLRQHLTLRRILLVAAASAAAFLLLLTLRTLSASHARSPAGAATVSPPVSVDRHNAQQQQQQGGCAKLPGAVAEALVHYATANATLSQTGDELAATARVLARRAPCNVLVFGGLGPESALWAALNHGGRTAFLEEDAASIAEAAARHPGLALESHQVAYQTTLADADELLGLRGSADCTASPPKDRALSADHFERSPCKLAMRGLPAAFYETEWDVIIVDAPAGWVPEAPGRVGGAIYMAGMAARARRPGSGETDVVVHGVDRKVEDSFSRAFLCERYMKEEVGRLRHFAITSHREKDGMPFCP >cds.KYUSt_chr6.4904 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28865792:28879422:-1 gene:KYUSg_chr6.4904 transcript:KYUSt_chr6.4904 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCESLCFLCPDLRTRSRHPVKRYKKLLAEIFPKSQDEPPNDRKIGKLCEYVSKNPLRVPKITVYLEQKFFKELRAERFGSVKVVMAIYRKVICSCQEQLPLFANSLLTIVETTLEQNRHDDLRILACQTLFDFVNYQIDSSYMFNLESQIPKLCHLAQELGEKEKISILHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSENNDDTVEEKRSRWVNEVLKADGHDPPGVTILARVSSWKDIRAAHGALNLTIEETGSQNFWSGICLHNLARISREATTIRRVLETIFRYFDNNNVWSPSKGLALCVLLDMQIVMEKSGQNAHILLSMLVKHLEHKNVSKLPDMIVDIIEVTSRLAEHSKAQSSTAIMSAISDMVRHLGKSMQSLDSDAGAGDDNKWNNRYRKAVDECLVQLSKKVGDAGPILDTLAVVLENISSTTPVARSTISAAYRTAQIIASLPNLTYKSKAFPEALFHQLNMAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGTPQTSKNNLHRTLSRQSSVFSSSAAIFGKLKRDVFSFRESPRRDSTNLMPISEDSDQGTENEPKMFKSQTLQRMTSTKDTSSPFATELSNSDGPTQETEPVILMLSARQANLLLSSLWTQALSPENAPRNYEAISHTYSLMLLFSEAKNSRLEVMVGSFQLAFSLRSISLHAGFLPPSRRRSLFTLATSMIVFFSKAFNVPTLIPVVKDLLTESTADPFLHLVEDLRLQSVDSAAYGSKEDDELALKSLSHINMNDQSKQIAVSIILDSLELSESELNTARNQLFEDFSADDVCPIGSHFIASPSKSHAYNAKMHQKSLEVIPMGFVFEDDTFVEPDSLAEPQSRNPSDNSLLDVNQLLESVVETSRNVGRLSVSTNHDLTFKDVANQCEALLIGKQKKLSVCMSAHQQEVGELSTGKLESSEPDSPTNGLIPLTNDDQCYSNFCKLPVLNPYDKFLTSAGC >cds.KYUSt_chr5.20810 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135145518:135147405:1 gene:KYUSg_chr5.20810 transcript:KYUSt_chr5.20810 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLASFWEQWNIQILVILSFALQVPLLFCAGIRRSRKASAVLSILLWLAYLMADYTAIYALGHMSISMSRSPPRDLHQHQMVPFWAPFLLLHLGGPDTITAYDFQDNQLWLRHLLTLAAQVLGAAYVVYLFVAAGNPAGTLLAAAASMFVTGCIKYGERTWALKCGGIDSIASSLHDGKPSATAGPYHAREEGKRLETEEVVLGAHYMLNFCKGLLADVPVMHKSEYEAVRQGILLNGRNHLFQLAAMELSLLDDILYAKAAVIHTWHGLCIRIIAPLSVVAVFVLFQLSSKDAYGRADVAVTYILLVGAMTRDLDFVRKIRIASEFRPFRVAGSSWACASYHARGWHRLCGTVVRLRQIFKAGARRSACLDTLGQYNLLDLCTDARKDDHLRGKIAKMIGLGDRWQKLHYSGTAPISDGIKALVLGEIWKRKVDDLRNALGRWILKEKGMYEDLTRIADDTELDRSIIVWHIATDLYLSLCPDPQEEVRDNIRVLSNHMLFLMVVHPYLLPGVVRNGRYKENLKYYDMVWWVNLRSTKESTMKLSRSEIINKIAEWQLPADSRHKYIYGIGDEAADDVDDRPVYADGWARRDAVRQQVVLACR >cds.KYUSt_chr1.27939 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168479800:168481635:1 gene:KYUSg_chr1.27939 transcript:KYUSt_chr1.27939 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAAAVVAAVRAAAGSRPAVRCAHATLLKEGLALHPPAPSLLVSAYAKCRLLPDARQLFDESPQRDLHLYSSLLAAISNSDSPALVLPLLRRMLSVDALQPDHFVLASIASASARLRSLSLGKQVHGHFVASPYSGDNVVKSSLIDMYCKCGVPEEARKVFDSMTAKNNVVWTALVSGYASNGYTDEALQLFRSMPGRGGLFTWTALISGFVKAGESVRAVELFVDMRRDGITIDDAFLLSAITGGAADLAALVLGRQLHGLSMRLGFLSSMMVGNALVDMYSKCSDIHSAREVFEEITVCDIISWTTMIVGEAQHGRAEEAFALYDRMVLAGVKPNEVTFVGLIYACSHAGLVQKGRQLFDSVKQEYGINPGLQLYTCYIDLLSRSGYLSEAEELITRMPYEPDEAVWGSLLSACKKHNNAEMSIRVADNLLELRPKYPSTYVLLSNVYAVNGKWGSVDTVRKLMANTKILKEPGYSRIDVGRESRLFHAGEVPLDVREAILAFLDELVVKMRQRGYVPDTSSVMHDLEEHEKEHHLFLHSERLAVAFGILKSPPGSVIRVVKNLQVCGDCHTVMKLMSEIFQRKISVRDASRFHHFEDGKCSCSDFW >cds.KYUSt_chr4.50271 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311417367:311418411:1 gene:KYUSg_chr4.50271 transcript:KYUSt_chr4.50271 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGVAANTFALGSALRSAAAASRCPALGTQLQPAAARFQVRPQQAPCLVPRSCSLIFMYSKTGILDDAKQSFEEADKSSSVPWNSMMFGYAQPGQAQTVHNLFNEMVEQKLDKAKELIDSMPFEPDAMVWMTLLGACRIHRYMELASEVTSHLLVAEPRQHSTYIFLSDIYSGW >cds.KYUSt_chr4.27140 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170599665:170605298:1 gene:KYUSg_chr4.27140 transcript:KYUSt_chr4.27140 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEVFCCGPFNCSMQRRWKKPVDSARTRLEGRTRDHRLDKLMIQLRNLRQALDLHEVISQQRNGYASLQLLLRWRREIGLNIEIGAFLKKYPHIFEIYVHPVKRNHCCRVTPKMADLVAEEDAVVRENEPAIVQRLKKLLMMSTNGTLNMHAIWLIRKELGLPDDYRSSILPNHQSQFSLEIPDTLTLISRDENLAVANVEKWREKEYTEKWLAEYETKYAFPINFPTGFKIEKGFREKLKNWQRLPYTKPYEENGLHPISNAERLEKRIVGIIHELLSSTVEKMIPLERLAHFRRPFSMEVNMRELLLKHPGIFYISTKGSTQTVLLRESYSKGCLVEPNPVYSVRRKMLDLILSGCRNIGETEKVTWLVEEDNQGSSHGLHNHTWPVDSMNSMLQFESDSCGEEVSSCDLRETEIISATMATPFFSILLLSLLALPTMDAGEAAPRGDVLALKSSLAVEEYETSILRSQDGTFSCGLHSIYTGAFTFSIWYSDSLNKTVVWSANRGRPVSSRRSAVTLRKDGVMVLTDYDAVVVWQTEDSLPNVQYAQLLDTGNLVLKNTSGAILWQSFDSPTDTFLPAQRITATAKIVSSTQLHVPGHYTFRFSDQSILSLMYDDTTVSDLYWPDPDYQYYENNRNLYNSTRMGSLDDSGEIFASDFARHRPLAASDKGYGIKRRLTLDFDGNLRLYSLSNGSDSNREWTVSWLAVPQPCMIHGLCGPYGICHYSPAPTCSCPPGYAMRNPGNWTRGCKPIVDTTGCREGEHKFLRLPNTDFWGSDQQRINRVSWQDCRKVCLSDCTCKGFQYQEGNGTCYPKNFLFNGRTFPTPTVRTMYIKLPASFNVTNTLIPQSNVLTKERHHLQCDHVSKKTIEPVPDVVREDSRVEPKWFYFYGFIAAFFVIEVFFFTFAWFFVLRREFRSSQQLAAEEGYRVMTSHFRMYSYRELANATEKFKHELGWGGSGISYKGTLDDAREVVVKRLDNVTRNKAEFQDELHVIARINHMNLTRIWGFCSERSHRMLVLEYVENGSLANILFSSKMLLEWNKRFNIALGVAKGLAYLHHECLEWVIHCNLKPENILLDQDLEPKITDFGFAKLLSRSGSNQNVSRARGTLGYIAPEWVTGLPITAKVDVYSYGVVLLELVTGTRILDFVVGLEEDVHVVLKKFVKMLSYRLDGEEPLWLAEFVDFRLVDNFSYVQVKELIKIAVSCLKEDRKKRPTMESIVESLLSVEEAEN >cds.KYUSt_chr7.1875 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10817179:10818054:1 gene:KYUSg_chr7.1875 transcript:KYUSt_chr7.1875 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGADAECDRIRGPWSPEEDEALRRLVDRHGARNWTAIGRGVPGRSGKSCRLRWCNQLSPQVERRPFTADEDAAIAAAHARIGNRWAAIARLLHGRTDNAVKNHWNCSLKRRLAGAVELDRPCKRASISPESSPSGSGSGSDRSDLSHGVFHGQQVYRPVARAGGFEPADCAMSRRHEVAEDEEPEDPLTSLSLSLPGMDAAVHSGFRHDCSHSHFHQPPSSSPSPPPPPTAAPATSPYNFSPAFAAAMQEMIRDEVRRYMAGVGCSAELSMPQVVEGVMRAGGVARMQ >cds.KYUSt_chr3.15096 pep primary_assembly:MPB_Lper_Kyuss_1697:3:92149245:92152418:1 gene:KYUSg_chr3.15096 transcript:KYUSt_chr3.15096 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVDRGASDDDLKKAYRKLAMRWHPDKNPTNKKDAEAKFKQISEAYEVLSDSQKRTVYDQLGEEGLKGQPPPGAGGPSASPFYPGGGHSTSFHFNPRSADDIFAEFFGFSGPFSSMGGMGGMGGMSGGTGGMRGDPRSYGSMFGNEFLSSQFGAQSSASNMPRPSHKPASIENRLPVTLADLYKGVAKKMKISREVIDATGRVSQVEEILTIDVKPGWKKGTKITFPEKGNEAPNMIPADIVFIIEEKPHDVFTREGNDLVVTEKISLVEALTGYTVRVATLDGRILSVPVSSVIHPSYEEVIPGEGMPIPKEPNKKGNLRVKFSIKFPSRLTSDQKAGIKRLLGS >cds.KYUSt_chr4.10726 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65126617:65127099:-1 gene:KYUSg_chr4.10726 transcript:KYUSt_chr4.10726 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGSSGGGRSADSLEGVRAIVLKPSESLDESRFTMIAGAGLGLDGLLGSLASTGPPTSSIRCWISCEMKLMSALFSPKMLSFESKYCHAPCRCDCRREALMDAGLGAEEE >cds.KYUSt_chr5.19237 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124697957:124698862:-1 gene:KYUSg_chr5.19237 transcript:KYUSt_chr5.19237 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNGAIALVALVSMLVPSVRSAVDYSISAARSYNSGWLPAKATWYGRPNGAGPDDNGGACGFKNVNQYPLSAMTSCGNEPLFQGGAGCGTCYQIRCTRANNPSCSGQTKTVVITDMNYYPVAKYHFDLSGTAFGALAMPGQNDQLRHAGIIDMQFRRVPCNFAGMKLGFYILRGANPNYLPVLVQYANRDGTVVKMDLMRSTNGRATGRWEPMYRSWGSVWRCDSRDALLGPLSLRVTSESGKTLVANNAIPNGWKGDTSYSSNIQFS >cds.KYUSt_chr4.26033 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163681791:163682423:1 gene:KYUSg_chr4.26033 transcript:KYUSt_chr4.26033 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSSTPTCSYRSLQGRWDTIKTACNRWVGCIEVVRNAPPSGTTTGDWEAIAQQMFREMPGLKNIPFKFAHCYTLLEHNPKWKLREQEAPPPKHKLFELEDAKEDDVLESKKNKKRPDGCKATKDKIKKLGEAASLSLKIDVMVKSKEALLMKTLEAKKEMMEAKAREEEAKWTTLLRMQSARPTLRRGEHAPRSTALWRSSSRRRMLL >cds.KYUSt_chr7.30759 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191437101:191443305:1 gene:KYUSg_chr7.30759 transcript:KYUSt_chr7.30759 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTARLGELMLGRLGNKGLEIVDVARIFFGGVEIPNCSLGGDYNLQVARLCAVGLVVMVAVVAAKLLLLLRVGFRREASTVFFQGSESEVTQGNTNEFTVPMFGSEMGFAVDYSTRMEQTRFFVESLRPAQLRSYVDPMLEEVESFFAKWKEEGVVDLKYEFEELLMLISSRCLVGKEVREKMFGQFCTLYHQIEEGVNFVSFMFPYMPTPVNRRRDRAQMKLTGILADVVRSRKSFNRVEEDVLQRFIDSTYKDGHGTNEREVSQMILGLIFAGKHTSAMTTTWTAACLLSHAKSFNAALEEQKEIISKHSEKIDYSVISEMGILHSCIKEAARLHPALPTLVRQVKKDITVRTKEGMEYGVSRGHTLVNLVMVNGKLPHIYKDPEVYDPNRFRPGREEDKVGGKFSYTSFGGGRHACGGEAYAYMQIKIIFSYLLRNFEMELISPFPKPDWTKFLPEPKGKVMDPEVS >cds.KYUSt_contig_686-1.975 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5919693:5922553:-1 gene:KYUSg_contig_686-1.975 transcript:KYUSt_contig_686-1.975 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEYGGSGGGGGGGGSGSPGKIFVGGLPRDTTLATFQKHFGAYGEIVDSVIMKNKHTSQPRGFGFITYADPAVVDTVIEDNHVINGKQVEIKRTIPKDSMQSNPKDFKTKKIFVGGLPPTLTEDDFKDFFENFGAVVEHQIMHDHQTRRSRGFGFVVFDSEQVVDELLAKGNMIDLAGSKVEIKKAEPKKSTNPPPSAGSDSRSAYGRVSRDRPSRDDVGVGLADAYSSYGSGFGPYRNHPSFAGSLGNGGVGDYHGRYGRYPPGIGSYEGMSSYGYPSRFGPYGGGFDGPYAGGNLSAYRRGGDDSFGGPGSSSYAGAMYAGAYDPALGAYGPGGPPDMNRGSFAPGRYHPYG >cds.KYUSt_contig_195.228 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1491843:1495383:1 gene:KYUSg_contig_195.228 transcript:KYUSt_contig_195.228 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHRTRWELRSRTAEADAEEEELLRQRRQPCHEERFVRVQVGTWNVGGRAPHQELDLSDWLLNQHAPSSSPHIYVLGFQEIVPLNAGNVLGAEDKGPASKWLDLIGQALNPSSSERSHSLHHNYRCTLTQEDAKPKSVQKAKVSFSDVLAVDDMVSEPEEEESEPSTSNPESSSEEEMSEFATMLHERARRGYHLAASKQMVGIFLCVWVRADLMPRVTGLRVSCVGRGIMGYMGNKGSISISLTLQGSATAASTSLCFVCTHLASGEKDGDEVRRNCDVAEILKRTRFPHPHRFSRPIPGLSPETILDHDKVIWLGDLNYRLSSTGGSETRSLLERNEWRALLEKDQLRAEQRAGRVFGGGWEEGEIRFPPTYKYLAESDTYAMAALSSSGKPSRDKKRTPAWCDRILWRGEGVDQVWYERGESRFSDHRPVNSLFSIRLSHLHHEDGGSLQATAIACCPQGRPPPKSAATTATATPTLRGGAVIEAEEMLPHLPRRRDWQCLHSSRF >cds.KYUSt_chr6.14574 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91395016:91403882:-1 gene:KYUSg_chr6.14574 transcript:KYUSt_chr6.14574 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASTSKDAVDAVEKWMAFPSGPGEEEASFTLPTPPSLLSGSTGKEIVEEAGGSDGKPPQQQGWWPKPPEQQNRGRPSGMGSAKSSMEGRASYEALPRVSQELKDALSNLQQTFVVSDATRPDIPIIYASAGFYTMTGYSAKEIIGRNCRFLQGPETDQNEVSKIRDAVKAGKSFCGRLLNYRKDGTPFWNLLTVTPIRDDNGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIRYDDRQKEKAMSSMTEVVQTVKTRRADSPEREPPKPSDADKMLIASSPLVAPATPSGGGYKSPHWDLKKEESRLSKMMTGRKSGRSSLMGFKVGKRSSVGSREPAVVEAPEVKMTVERTNSWERAEREKDIRQGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGSETDQTTVDKIREAIREQKEVTVQLINYTKSGKKFWNLFHLQPMWDQKGELQYFIGVQLDGSDHVEPLRNRLSETTELQSAKLVKATAGNVDEAVRELPDANLRPEDLWALHSLSVSAKPHKRDNSSWKAIEKIVETGEKIGLKHFKPVKPLGCGDTGRFYAAEVVIGLEYLHCLGIIYRDLKPENILLQADGHIVLTDFDLSFVTSSKPHAQAHIAVGQCLCCKPATELGLHS >cds.KYUSt_chr5.28419 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179978376:179979123:-1 gene:KYUSg_chr5.28419 transcript:KYUSt_chr5.28419 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIVSTWNKKRRSKSLDQLNPWVYKTAELWQVKEQGAPLPLPAPRKRNCSMVFTLKEMEEATGRFGDKNLVGKGGFGRVYRGVLKDGQLGRELPIWFYIFVPLIKYCRTHPADLNVIIMANRAKIAVV >cds.KYUSt_chr5.12263 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80000052:80002822:-1 gene:KYUSg_chr5.12263 transcript:KYUSt_chr5.12263 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYYTSTFQFPSQGGVKPQALGSGLCSASEEEINARSKNPRRLFPSPHQSTEINKCSREARIRGHKTTSSSVMDRLPKLCTCDVLLGDGDSPEELDLEALLIVGVLEDDDVANLEDRLHPRRDLQREEVGGNDLHLEAMRLVLHGAVDSPQSTPDGRLGLHLVDKLMEMQNRRPDDHLHLTDVVGDILRWDDGPPAHVE >cds.KYUSt_chr4.2373 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13229817:13236788:1 gene:KYUSg_chr4.2373 transcript:KYUSt_chr4.2373 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSPASKEKALKEKEALGTSRKEGASRTPLPRTRTQSRGQDRTRRSDSSEIIVHERSMQCNVPSGTIVWPMLSPTNYIYMEWALVMQINLDASFLREAVEGFPVSVPNVRAALGAISRSVPPKMVGMLVVKKTAKEAWEMIPISIETLLNVNLLTVEELIGLLCSADERYGGLGPSGNDGQLLLIEEQWNTRRKEHGQSSGSDDKNRHGKKTSLAATTTAETPTVAAQQYARWGMRHPGVFSASRNGRASPYALALATLLVASASLLALIAFGVFSLPVSAPTNLATTGDTETTGSGTAEATDGSSSRHARDRRDLSEGLGERGAQWTEVVSWEPRAFVYHNFLGNLHTYRYCDSVWTFNLRDGTFKIKDLSQVASADGVDSGDQPLQNMPALGVVTFWSMVSQKKEYHEGRIGGLAKGGSSLRDADGSAWCSGLCSSDMLYYTGLANPQGLRICEVPVNLHGPFVYF >cds.KYUSt_chr2.32883 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202771992:202773386:1 gene:KYUSg_chr2.32883 transcript:KYUSt_chr2.32883 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQTGAGGADPVRIISRRMIKPPPSPSDSGEAVNIHLTPWDLRLITIDYIQKGILLPKPPAGGVGERLVDTLASSFERALCKFYPFAGRLVVEPHDGGTVAVLLRCTGEGAEFIHAVAPGVAVADIVGSLHIPSVVWAFFPLNYVLGADAATESLPVLSAQVTELADGVFIGMSMNHSAGDGTAFWEFFNTWSEINRGGATGVDLRELSTAAPVPQRFFLDTCPVPVRLPFSKLRDVVRRFERRPVEECFFTFSAASIQKLKARANSETAATVTISSLQALLAHVWRAVSRARRLPPEQETSYSLMIGCRGRVKGITRGYMGNAVVLAKASSTVGGILDKGLGWTALQLNHVVASFDEAAMVEWLDRWTREPDFLYVGNLSSRGASVVTGSSPRFDVFGNNFGWGAPVAVRSGPGNKVDGKLTVFEGPERGGSMSMEVCIAPEALERLIADEEFMDAVSVPM >cds.KYUSt_chr3.36561 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229896870:229898474:1 gene:KYUSg_chr3.36561 transcript:KYUSt_chr3.36561 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLYLGLPLAPRPRRSDLGSDLALSTPMPSSPSSSAASVDAPPLPEPPYVPYSPSRVDLSPPPPEAYSSFHLEEPHLQYVPPSPAPVIHGPQDDHGFGFHPPPPLVRASELLGWEDRPSSSTASSSFLPDVATRYRRLLEQTGSRWLRTRRFRSDLPPLGSEAQPAGYDTALPVPHHEPANDTVGDNKVAGSGAEAGASEEPEERGKSTATFECNICFEMAGEPVVTSCGHLFCWPCLYQWLNVYSNHKECPVCKGEVTEANITPIYGRGNSSSDAEKAAEEGKQPGPAIPPRPHGNRLESFRQQFQHLRPMSRRLGDAHGILSSWRRLLDQQIMTSVSRFEGPPEPAANEVGDIAQHTSRLSRLANRMRARRLQGELDNPPDAGSIAPDSGLLGNNAPDAPRQHLPEGIDLLQRLTLIGIANTERLATAMNDLRRIAAPSQYGASASSSNPLNPEATPERTHVGAVPSTDQASNSSTIAVIQGDTAISETAGEPSNAGSSRTLRRRGRSNGLASLDVDGGPPQRNKRRRMN >cds.KYUSt_chr7.9820 pep primary_assembly:MPB_Lper_Kyuss_1697:7:60136852:60137589:1 gene:KYUSg_chr7.9820 transcript:KYUSt_chr7.9820 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMRRAISEDPSLPFDQPALLHSVPEAWPWDSDPKIIDALLMPLDNPIVHAVVPVDVGGPETCDDAETLDETGPKPETYDDAETVEDDRFERMGHWVGANGEWIAFAQRLGHWYLLNVYTMVQVELPPVQRVGIDQYGAQWYPCPL >cds.KYUSt_chr3.7730 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44412467:44414333:-1 gene:KYUSg_chr3.7730 transcript:KYUSt_chr3.7730 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNVSSAGTGRAPVDAPAPAGLGSSKASSSALRLIQHKREALWFYRFISIGYDHVFNPGQYTEDMRDVAMDEHADLRRPNLKVVDVGGGTGFTTLGIVRHVDPENVTLIDQSPHQLDKARQKKALKGVKIMEGDAEDLPFLADTFDRYVSAGRLVPPSSAFNISSLQLGPKAEDISKHGNPILVFVRFLIGTICATYFFLVPIYMWIKDKIVPRGMPI >cds.KYUSt_chr5.8860 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56171226:56177624:1 gene:KYUSg_chr5.8860 transcript:KYUSt_chr5.8860 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSINLRGFAGSAGKNIMQGIGGFVFGNETSESREDSYVERSLDRISNGTIPDDRRSAMTELLSVVGESRSAQMSFGAMGFPVLLNVLKEDREDVELVRGALETLVGALTPIEASQGPKTEVQPASMNSDLLSRETENISLLLSLLTEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGAFDKIFSIIAEEGYSDGGVVVQDCLELLNNLIRNNASNQMLLKETMGFDPLISILKIRRGSAFNFTQQKTVNLLGALHTVELLLMGAPPGEPSKDTSKITNQTALAKKNILDYLLLLGVESQWAPVALRCTALRCIGSLVLRNPQNLESLASKQVGEESHLQPALNAILVIILRTSVAQEFVAADYVFKCFCEKNPNGQALLASTIAPHPNQGPATHGASSDMPFGSILLQALVSSDVNGDMEACCRAASVLTHVIKDNLQCKDRVLQIQLETPTPSLGRTEPLLHRIVTCLSVAALTEGENEQSRQSEESYIQPVILRLLIIWLADCANAVDCLLESAVHLNYIIELASNKRYTGCVRGLAAVVLGVCVLNNASREKGRDAFAVADAISQKIGLTTYFMRFDELRKSFLHLPSGQQHHKQLSRSSANSMSDFQEIEEEETNKGEPHPVLSEIFDSQFVSFISKLETDIRENVMDLFSRTKTATAVLPAELEQKNGEVDGEYIKRLKSFVERQCNEMQVAVVFGFQERYTLPGIEIVKMILFDSIFQDLLGRNAILAEDLVRTGGGSTSDSSERSSSGRERVQIEALRQELEGAARRNEVLKTEKAQIEAEANNQRNIAVKLESDLKSLAVAYNSLEQANYRLDAELKTLRQGGSTPYPDVEAIKAQAKEEAEKESEVELNDLLVCLGQEQTKVEKLSGRLAELGEDVDTLLQGIGDDAALPDDDDDDEEEDDDDEK >cds.KYUSt_chr3.20136 pep primary_assembly:MPB_Lper_Kyuss_1697:3:124297796:124300330:-1 gene:KYUSg_chr3.20136 transcript:KYUSt_chr3.20136 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAPSHQNFLEQTMDRDLICYFDIFGMVEKYGYTTSDVLYCKRDRHGRHKACLVKISNDNDVGKMLLEHEADRKLTFFVEKRTASLNTGVHFAAPTHTGSSDDAMEGYDTESSNESDHETDIDELDHELEAMKRRRADPSVHPKKHKRPRKSVSNLEDHDHGDLDDPLEPLQNGDEDEEILQDSLVDSENEYQDLAELDTTISGVSAESGSIKIVWPNGVIQEYRGRFTVSNVMNIKSGGKVITETDENGVPNQRSAGLLGSFLHGLAKNSSHVPLHIPRWDNKLMRKPKKNLITYVEEVKNKGPVSKIDLWDEAHKKKDGTYTNENVQQLMHKARKELAIVERKKNGKLSPEDYDKVFDDVIAKDSTIGRHYDDKYWGDARLCRGPTSVPGASSEVMVQNELQEMKADLKNVTGLMGRMCAFMARNHPGEDWMNDVMTAGNEVSTF >cds.KYUSt_chr1.21084 pep primary_assembly:MPB_Lper_Kyuss_1697:1:124560156:124565988:-1 gene:KYUSg_chr1.21084 transcript:KYUSt_chr1.21084 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQPLVSDFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQAAALADAIRAVGEHLIAANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSITAVSDDEYDSGNDDRPTLSAAVLASHARNTLRAPSLQTLLDDIPVSTALSHSASSAGDSDGKTGDKSLKTRKLKHDVIAAIGDLIEEIDTCYDQISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPIGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSNCMNFSTQDGTPLLDVVNPTFDYVPPKLVSLFVTDRNLLTGNGSLGHCIAINRPIRQLIGRLIG >cds.KYUSt_chr2.43262 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269149031:269149273:-1 gene:KYUSg_chr2.43262 transcript:KYUSt_chr2.43262 gene_biotype:protein_coding transcript_biotype:protein_coding MANTAESPTSSCVSSDTEEEVAAVAKPMVVVGCPQCLMYVMLSGAAEEQPRCPRCKSPVLLHFLRGAAADANTNRQTGKS >cds.KYUSt_chr1.29960 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181388269:181393178:-1 gene:KYUSg_chr1.29960 transcript:KYUSt_chr1.29960 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDHIKSDVELVVRKKKLHKSKVQQEVFDVPSIDVGDVSAMPVDDKPVIVGDKPDEATLVVDVDVAACATVPVCVDANTDPSNARERLEHLLNQPANKFCADCGTPDPKWAALPFGAFICIKCSGTHRSLGVHISKVISVNLDEWTDDEVNCLANSGGNATVNTRYEAFLPENYKKSRVDFATEERATFIRKKYELQQFVTDPQFACPLRKPGSNKHHNQQHSSSKHGTFRNSWRKKDHDHKGVKKMMEIGMVEFVGLIKVDIIRGINLAVRDVMSSDPYVMIILGHQSMKTRVIKNTLNPIWNERLMLSIPHPVPPLKVQVFDKDTFSADDRMGEADVDIQPLISAAREYQSSMNTESAQICTFLASENSILVKDSVIAIVDGKVEQEIALRLQDVEHGELEIKLECVPLSQ >cds.KYUSt_chr1.36736 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224183153:224184129:-1 gene:KYUSg_chr1.36736 transcript:KYUSt_chr1.36736 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQEADVLWPETPPPTPTSYQPELDEAAAYAGFSFEPFGSELAASSSSSTSSTSSAPPFDRGSSDGFLSAPSTFAGGHGCDDASFQEADVLWPDDGYATRLEHGVDGGELWRLYCGFGADAAGGRPAEPAAAGVNFCLGKRRLAVPD >cds.KYUSt_chr7.39837 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247471932:247477324:-1 gene:KYUSg_chr7.39837 transcript:KYUSt_chr7.39837 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTPAMVGGAVLSLALSASSTSSSASFLPLRAGGDSRRRRLAIPRRGGARGQSWRRRNLHISHCAGEATAVTEDGSHNAVTDAGADQADDTNGDSTDVPESLNPDDVSSVYWIKQQPLPYPTDALEPYISKETVEQHWGVHQHKHVERLNGMIGGSEWEGMSIGQMMLASFNEGREPPQAPFFHAAQIWNHDFYWRSMKPGGGGKPPERLLKFVNRDFGSYDGMIKQFMDAALTQFGSGWVWLSYKGSKLPHVNSKSPIPSDNYGRLVISKSPNAINPLVWGHSPLLAIDVWEHAYYLDYENRRAEYVSAVLEKLVSWEMVESRLRKAVLRAIERDGRTSMKQRRKEIHVGDASTSGEIWTSVVRFFPPHLVFLNPLDVLYDAFVTALSLFPQLAGFLCEVVRVMFSGTGTETARPLCGGAWGCIGELGPEEASVAEHCAAPSSTMDSGGAPPLRTWRCDVLPRPCRHPGGLQRNAAGLRDAFAPPSSSSGTPPSTTQRCAWH >cds.KYUSt_chr6.19948 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125772392:125775807:-1 gene:KYUSg_chr6.19948 transcript:KYUSt_chr6.19948 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLYTTLNAMEKIFGWSNDMVQELGLMVNYLLATTVGKSPAAAHISARVQVGLVPCPVALPAESSYRQLPASKVAILIYLAFLVYLLSCLSDGNHNKFMVGSCVKKLQDIITEREILILGVKEELRELQGTVSQIQCFLQDAEQRRMEDLAVNNWLCELQDAVYDADDIIDSARLEGCQLLEDHPLSSRNSTGCSGISILSCFPSMQRRHEIAIEIKNLNTRIEKISKLGKKFLTRSEAAPSGKGSTSKPRKSSQLVEPNLVVKEIIHSTRKLVDLILSYKEDKAYKVAIVGTGGVDKTTLPQKQGQGETIAELQSKLAEIIKDKSFFLVLDDLWQINVWIDLLKAPLHAAAAGIILLTTRDHTIAMKIGAEHTHRVDLMPVEVGWELLWKSMNIREENELQSLRNVGIQIVRKCGCLLLGIKVTASVLASTDQTENVWKKILSNPG >cds.KYUSt_chr3.42688 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269895520:269896641:-1 gene:KYUSg_chr3.42688 transcript:KYUSt_chr3.42688 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKLYGMALSSNVVRVAAVLNEKGLDFEIVDVDLRTGAHKHPEFLALNPFGQIPALQDGDEVLYESRAINRYIATKYKSQGPDLVPTPSAKLETWLEVESHHFYPAVSPLVFELLIKPMLGGAPDAAVVDKHAADLAKVLDVYEAHLAKGNKYLAGDAYTLADANHLSYMFMLSKTSKAELVASRPHVKAWWDDISARPAWLKTVASVPLPPGA >cds.KYUSt_chr4.47136 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291751283:291752835:1 gene:KYUSg_chr4.47136 transcript:KYUSt_chr4.47136 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKDNAKEDVYHVIGDLRSPKIPRRSPDGSKDREFDRTGREDENDCDSSRSETSADRADTRGRRFSSTENINERRGSVVAQNIGEDSRLLHLTNGRSKRTVNYTKVEDIALVKAWEAVTINAVTGNDQTGKGYWQRILDKFHQLMPEPSPRSLRSLQGRYDTIKQSCSRWSTCLEEVKNAPPSGCTDYDRIAQERYKQIAASKGKTFVLQHCWKLLEHSEKWNLRDKEAPPTEKGISNSLSIDLKDNDNEHDNDHDHDHDHDHDHDHDNDNDNDNDNDNDNANANANDNDNDNDHDHDDGDAADDDDDGGGDDDDDDDDDDDDDDDDEPMPARNKGRPDGMRKGKDNLKKAEAKSMREINELMKSRQAMVAEALQAKVELAEKKQQDKMTIWQQIRAEEKRKMDFEERRLLLKENKMRLEIIAEESRLMMMDPSGMDDKAREYWETRRGEILRSRISWPGVMGGGALASGGSDGGDGSGGVA >cds.KYUSt_chr4.51729 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321017826:321019825:-1 gene:KYUSg_chr4.51729 transcript:KYUSt_chr4.51729 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLPLQLVHGGEALWARPWRWAKTAFFLVSMLASLLLVCAPPLLIVLLDLLLPPALLSNFLRDAAASQTHSILDQARGFGFRSSLVDLPAVSAARSLLILCAYTACGGGAAYLWVAAACSVGSLLYVLAKAVAVFGLDPAHGATSLQLHGNGQLAAVQAMFLMSLALAAAHLAMACRASSRERRRLHVVYRIDIEAVRLKGAHTPKSLKQCIV >cds.KYUSt_chr3.1800 pep primary_assembly:MPB_Lper_Kyuss_1697:3:10409104:10410291:1 gene:KYUSg_chr3.1800 transcript:KYUSt_chr3.1800 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPRPDTSRETVFDDLPESIMAGEIFMRLPAKDVLRCGAVSRSWRGTTTNKEFLLEHHSRQPSLPLIVFPHETSSLIRTVDAFDIRRAPAERRPVLGFNDCIHGQTFSICASCDGLLLLSLMNYVRFSIVNPATRQWLALPRLAGASGNIAGMYPSPSRSDEYRILFWRGKHEVRNAGYYVLTVGSSQKPKYLGLPAASACVKEAVMKAGTNPCWWSPPVLLKGCLHWNRSIDWNRSPWNRGSCDRVFVFDILEESFRFMTSPDNVRGFRVLFQMEGMLGMRCLDTNEGVMQIWVLHDYEREAWSLKCQIDLPTTKYWYRTIMSDKSDMLVCYLESFLHQLHYDDNGKLLEKFQWETQSPDITEHWFKESLVRHTFFPKRRGSRVRQARFFRML >cds.KYUSt_chr1.27303 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164547723:164550035:1 gene:KYUSg_chr1.27303 transcript:KYUSt_chr1.27303 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGAPVAAAASDKSVCVMDASGPLGHALVHRLLRRGYTVHAATYGRSRDDEAALLAAGSQGERLKLFRADPFDYHSIADAVRGCSGLFCMFNAHDQEPADEFMVEVEVRAAQNVLEACAQTDAMERVVFTSSVTAVVWKDDHKLVDAFDERNWSDLNFCRQRKFWHALAKTLSEKTAWALAMDRGVDMVAINAGLITGPGLSNAHPYLKGAADMYQNGVLVTVDADFLADAHVAAYECPTAYGRYLCFNNAVCRPEDAVKFAHMLSPSSPRSLPSDELEVIPQRIQNKKLNKLMVEFASAIYEE >cds.KYUSt_chr5.31157 pep primary_assembly:MPB_Lper_Kyuss_1697:5:197338174:197339581:-1 gene:KYUSg_chr5.31157 transcript:KYUSt_chr5.31157 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGGGDARLPRNKGKTKRAPAAAPPPPAEREARGRDRFEALWHDYHDLLQETEAKKRRLERMHRRKLGLLAEVKFLRKKFSSFVNDDPQQTHYSLKNKKARQQIPSTLGMNEGPSTSKGANMDSNQDSAMNGVGAGRQGYQDHSEPGRHDLAGVDEDMMMNSNVNLSGYRDTGNSPATDDKRATAWQDRVALKI >cds.KYUSt_contig_662.447 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:2448942:2449511:1 gene:KYUSg_contig_662.447 transcript:KYUSt_contig_662.447 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGPLLPWTAPLFKAPIPLKTKIFLWQLLRDRLPSGVEVLKRHGPGDGLCPLCAVPETTTHIMFSCPAARFLWSFLLEALGPEWQASALGEFIEVRANTTGRRRRLFWLLFAALTWTLWTVRNKMIIERILPRRASDSVYSFLALLQQWYPLCRQRDRERLDGMWRIFLRLPVAYLHRPASECTSCVG >cds.KYUSt_chr3.29839 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186632289:186647513:-1 gene:KYUSg_chr3.29839 transcript:KYUSt_chr3.29839 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAMEMAAVSMEKPSGGTSPFRQRAGTETPVPQILASRWRRLWKMSQDMVGPLVWFSSAKQRGHIPFLLLLLLHVVIARPLFPLPSKAGNEEKKPIQTFRPYNIAHRGSNGEIPEETTAAYLRAIKEGADFIESDILASKDGALICFHDVTLDEMTDVSSRKEFANRRRTYEVEWLNVTGWFVVDFTLEELKTLKLKQRYSFRDQQYNGKYSIITFEEFISIALDASITVGIYPEIKDPVHINKHVKWADGKTFEDKFVDTLLKYGYKGQYMSENWLKQPLFIQSFAPTSLVHVSKLTDSPKIFLIDDFSVRTQDTNQSFWEITSDDYLAYISNYVVGLGPWKDTIVPAVNNYLMAPSDLVARAHAHNLQRAIEEGADFIETDILASKDGALICFHDVTLDEITDVSSRKEFANRRRTYEVEWLNVTGWFVVDFTLEELKTLKVKQRHPFRDQQYNGKYSIITFEEFISIALDARRTVGIYPEIKDPVFINKHVKWADGKAFEDKFVDTLLKYGYRGQYMSENWLNQPLFIQSFAPTSLVHVSKLTDSPKIFLIDDFTIRTQDTNQSFWEITSDDYLAYISNYVVGLGPWKDTIVSAANNYLMAPSDLVARAHAHDLQVHPYAFMNENQFLHFNFHQDPYAEYDFWINTVGVDGLFTDFPGSVHRYQQMTSPHPKDATAENLLVHIGQMIAAYEGL >cds.KYUSt_chr7.1360 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7370053:7374789:-1 gene:KYUSg_chr7.1360 transcript:KYUSt_chr7.1360 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPCDGDGVCMACRAAAPAEVDLLHCTTCATPWHSPCLSRPPALADAAAWDCPDCSGDAAPSLAPAPSLAAAGGAAGDMLAAIRRIEADPALSDHDKARARQEILGGAAQRKAAAEQEDEDGDDDDDEDPLVKRFSCTFCMKLPDRPVTTPCGHNFCLKCFEKWIHSGKRTCGKCRGPIPSKMAEQPRINAALVTAIRMARTKKNAKSAGSANAYHYIRNEARPDAAFTTDRAKRAGKANAASGQIFVTTAPDHFGPILAKNDPRRNTGVLVGETWEDRLECRQWGAHLPHVAGIAGQSTHGAQSVALSGGYIDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSSDQKFESYNAALRLSCLKGYPVRVVRSHKEKRSSYAPEEKGVRYDGIYRIEKCWRKIGAQGTYKVCRYLFVRCDNEPAPWTSDLHGDRPRPLPKIKELQRATDITERKESPSWDYDEKAGWKWVKDPPISKKPVQSGNPVSDKEIRKYQRQARMSVAERLLKEFGCSICRNVIKEPMTTPCAHNFCKMCLLGSFEDKASMRERSRGGRTLRAQKIVKKCPLCPTDICDFMENPQINREMMEFIESLQSQAAEDAKVAEESGEENEDALEKEDGDDSSLNEEENDGPEKKTEDLGEQDADVKKLVKIVVTSKEEIVKKGRKRKGDDEEGKDEMKTKIAAADAAEEDAVKKQEAEKGSAENKEGEGAQQQVQKKRKGRGAETATTATAGGGKRKKIGHAAAAAEEKIVRSATVGGSPAAASSPRRSVRGGVKGTGEADGSPASRTRSRAKAVGSGN >cds.KYUSt_chr3.5312 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30174966:30175700:1 gene:KYUSg_chr3.5312 transcript:KYUSt_chr3.5312 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGCWLILVFVWVRWLPLTLAGALQQQVEGCSGSATRCGNLTISYPFWLVDLKTGISCGHRDFGVACYNNTPVIRSTGFFGFAIIQMNYTERSLRAIDVGKLKLLNASSSCNANLPAWNTSVKLGHRFQISNTNQNLILYNCSAEVATAALRGDKELVETRMRCRNQSGMLASVGGPYDETSDYNRYAVEGCQACIVPVLGSSSSGEVKASDYKQLIRGGFLMTWENPRRKFAPSNHLSFNFL >cds.KYUSt_chr2.8896 pep primary_assembly:MPB_Lper_Kyuss_1697:2:56066645:56068112:1 gene:KYUSg_chr2.8896 transcript:KYUSt_chr2.8896 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAVPTPLPSPAADAESLRNAMQGWGTDEKALVEILGRRTGEQRAEIRRAYASVYKESLLTRLDSELSSHFQVRSRLAFTSDRCKITIDDGLVFLHFLPVKKAMILLTTDPAERDAKLVRAALEKKRGDDKDAWVLIEASCASTPDHLIAVRRVYRSLFGCSLEEDVAASSAFQQPLRTLLVSLVRSYRCAEEAVDADLARLEAATLAEAIWRKKQPHGSEVLRIVSTRSKSQLTATFQCYKEEHGSDIEQDIDNCSSQFARMLKIAVWCLTSPEKHFAEVIRYSILGLGTDEDALTRAIVSRADIDMKHIKEEYKVRFKTTVTKDVVGDCSGYYLDILLALVGSED >cds.KYUSt_chr2.48499 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303389033:303389497:1 gene:KYUSg_chr2.48499 transcript:KYUSt_chr2.48499 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTSAHLYAVVQGLLKVMAGIEISFTLTAKAAAEDNEDIYADLYVVKWSSLLIPPITIGMINIIAIAFAFARTVYSDNPRWGKFIGGGFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLISITISLLWVAISPPDANSSGGVRGAGFQFP >cds.KYUSt_chr1.5822 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35874157:35877150:1 gene:KYUSg_chr1.5822 transcript:KYUSt_chr1.5822 gene_biotype:protein_coding transcript_biotype:protein_coding MSISIRVCAIPPVLLPEDVDLEAIVHRGEDDCVVDAEGKPAGELAPFLDEVDALGPPLELHLDDSSPMYNHTFTGGPLFFSLLANQVLLQSELLQYHRTHPHYHLSGREGLKCLRWGGVTAVLTEEEAAHRRRHVLEDIAPHIQVEVNPSSKQHKEAVLVPVRMKFRGVCRKLYDYVRYDLREIAFPSSLPDPPGTKRRPKLSLNEKWCILKEATRLYAASWVRDIGPELRPNDYKAKEEPGPNITEEGKTTSEPTMLEDLAVAARGGAETLKPALRRIYMTRASTYTSAVKNYVETYQEGLKDVLDDKAAGKGHQQGNEATKLSTATPPPPSSL >cds.KYUSt_chr1.18357 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107361562:107362437:1 gene:KYUSg_chr1.18357 transcript:KYUSt_chr1.18357 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPEARRTTSAANAYSTSTSGPKKQEREAALHCYYYKPALASSYRARDPHTPGTTAGDCSGRGYFSSSEAEHSLRRLRPIRTSVGLAPTQKQQPQYSEMARTKKSTAATRGCSRPASPGARLASLLNSIFSAKRLSAPRRMPTDQEPVCSTAPSYARSCLSKKARPPASRSRSTRMVKFLDIDGEVAVAAASVGRCSGIPVVEVEEELLRSADVQVDMYGGEKSSGASLELFELENLGVIAPESGLPGRDGSYRNELPVYGSTGVAGLRGGVAHHRPYASLGRSCRNKGF >cds.KYUSt_chr1.24883 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148910048:148914256:-1 gene:KYUSg_chr1.24883 transcript:KYUSt_chr1.24883 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTTPASFPSSGLYLNTPKKNALPKQLEEVRAAGWLDLMMASSPPRKRQSKDLFPTDVQADDLDLRYRNWMVKYPSALTSFEGITELASGKRVALFLDYDGTLSPIVDNPANAVMSDEMRDAVRHVASLFPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVRMSESSGHHVECVTSTDSEGKEVNLFQPASEFLPMIAEVFENLSESIKDIDGARMEDNKFCVSVHYRNVAPHDYEKVHQRVTAVLKDYPFLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLSESEDVLPIYVGDDKTDEDAFKVLKANNRGFGILVSSMPKESDAFYSLRDPAEVWLLAVAVLCLPWTGLEHAELGFTTAEKLGLPFVSPYLEQSMRMGVCGVGLSNIDGMIQGVNYASAAADALTTTDACRGLGKYGGLFICVLPQMTCSDASSHVWWDEFHPTETVNCLVENVWSGEHTKM >cds.KYUSt_chr3.40459 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255033438:255034820:-1 gene:KYUSg_chr3.40459 transcript:KYUSt_chr3.40459 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVFPKAISNLRNLWKIELYQNNLTGEIPPELAQLTLLSEFDVSQNQLSGVLPKEIVRLKKLKVFHIYRNNFHGELPEGLGDLQFLESFSTYENQFSGKFPANLGRFSPLNAIDISENYFSGEFPKFMCQNNNLQFLLALSNNFSGEFPSSYTSCKTLERFRVSQNQFSGNIPHGMWGLPNAVIIDVADNGFVGGISSDIGISATLNQLLVQNNNLSSKLPIELGNLSQLQKLDASNNRFSGQIPAQIGNLKQLSYLNLEHNALEESIPAELGLCNSLIDLNLAENSLSGKIADTLGSLLVLNSLNLSHNIISGEIPGGLQSLKLSYVDFSHNNLSGPISPQFLMVAGDDAFSENSDLCVNDTSEGWRQSATSLPSCQWTENHHNFSRRRLFAVLIMVSSLVVLLSSLACLRYENNRLEVFNRKQDTESGDDSVSKWVVQSFHPPEVIPSAALPVPRVA >cds.KYUSt_chr1.26263 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158220070:158223317:1 gene:KYUSg_chr1.26263 transcript:KYUSt_chr1.26263 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEPDVRFEFVLVLKGDPRGIQRLPDSFADYVAGDDRPRTMHLREAACSYYRWIVDVIYDARGKMYLNIGWEKFARHHSLQAGFILLFSYFGHGDMSVKVFDETRCRRDYQGDKDRRGGRLSVLSSQRTRARRFMPVRLIAACGGTARLNSKAPSPHSPVPRREKRRGLATAPPEAPSPIQGLHQPNSMLCGVKQMEKPESGVEQKSEPDVTEKSVGMGIDLQKLTEEMQGLEVNQKPVLGSVVETGGKHTDVEPRREINQKPVETCQDTAVETKGASPEEKETGNPAGVIYRCKKCRRMVATQEYVVTHKIGSGESRFFRRKTDDKQPECTPAIFVEPMKWMEAGEFPSDKILDLPFLVWLREVIDFFPKSCCRSAVEEGYVSQKLWCMDCKTRLGSFDWAGMQCSCGAWVIPAFQLLRSRIDECQM >cds.KYUSt_chr6.367 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2254706:2257798:-1 gene:KYUSg_chr6.367 transcript:KYUSt_chr6.367 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFTAAKFLAPVDGRSGGDRPAPFLPAPSSARPLRRTRPAQRLLAVSSDVLAGNKAAPAAAAQPAVTREEALELYEDMVLGRNFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQPDCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSEPHNVLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSSPDGLDVTLAFFGDGTANNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHIRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIDRARRGEGPTLVECETYRFRGHSLADPDELRRPDEKSHYAARDPIMSLKKYIIEQSLASEAELKSIEKRIDDVVEEAVDFADASPLPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQV >cds.KYUSt_contig_1163.50 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000111.1:286983:289142:1 gene:KYUSg_contig_1163.50 transcript:KYUSt_contig_1163.50 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNAAKLAAPPSDPAPATTLAAAALAARRSDQAVRGRLLVKRPLLPEAVFPAPPPAAPAPLVGATCGLLPAAPAPLLAGAGSMPLAAASTTTSPPPRTGSVNDLVPEAIPVQMVQAALDHDPPLAAGSSQPVPAEALSLHLCLSAVVELVPELPILEQLTPSVDVVSVEVPAVVAATAAAGREEAPQPPEGCCRAPVLLWVASLSSDEEDDEVPAPRTPLTTAPGLDSDVCVSSKVGTPPPNGNLLAAMSTPAVDGKVEGTAVKICAAAAAFGDKEGWLQVGRGDRPGREPTSSFREEGLECSLAFKRWARGRCFRCLDRGHKVSTCRGPFRCIRCRRAGHREHFCHARFPAALGEEVVNCVRSPVASAPGQHCHSPSAQPRRPSSPRSWVEVVGHSAVVPRPSPTSFEQFKVNATLDSLFQSQVALMRMELLQLVDVRVEEVSRPLREEVAALKLLLACAGVSVKPTEACPYVGLGLAKPTASVALDSSEQKSSVVEEEHLHGCFSPRSPSSLLNVSAASEREGMDMIAAQSLDLELSDVADTPVSLSHEFGEQVVAKSVVLTPMPASSLPQLESCQSPQPVVLAESKCEDIDEFLAPVLQITEELHVLRGDSPVVLPSALCSFETLEVAMTPQSEPCQSLDSLGHGAVLVPSSDARFAKELCGLVASLEAASPGYGKEIACVLAGKASESMIKKVEKSLKKVSIRRIRRRAVTREV >cds.KYUSt_chr4.52599 pep primary_assembly:MPB_Lper_Kyuss_1697:4:326319739:326321262:-1 gene:KYUSg_chr4.52599 transcript:KYUSt_chr4.52599 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESRARGEEAAASKFTLPVDSEHKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLAAPTVFCMSLVDDAAGYITIRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIEKCGATPFVAWRIAYFIPGLMHIVMGLLVLTLGQDLPDGNLKSLQKKGDVNKDKFSKVLWGAVTNYRTWVFVLLYGYCMGVELTTDNVIAEYYFDHFHLSLRTAGTIAACFGMANIVARPMGGYLSDHGARYFGMRARLWNVWILQTAGGAFCLWLGRASALPASVTAMVLFSICAQAACGAIFGVAPFVSRRSLGIISGMTGAGGNVGAGLTQLLFFTSSQYTTGKGLEYMGLMIMCCTLPIMLVHFPQWGSMFFPANADATEEEYYGSEWTEEEKKTGLHLAGQKFAENSRSERGRRNIILAEATPPNNTPQYV >cds.KYUSt_contig_990.149 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000093.1:798196:800260:-1 gene:KYUSg_contig_990.149 transcript:KYUSt_contig_990.149 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMKSVLIATKSEMRELHHNPSTILHYVLICKGPSLETNNLTTIPSSLLSLLKEFQDVFPDELPHGLPPLRGIEHRIDLIPGAPLPNQAAYRTNPGDTKEIQRQIQDILEKGYMDKSQLSNSQMDLSNEINSQMDNSSFLDMLNVGGDTHWGGTPDSSPIGEQARMPTQNIRTSAKPKSRKGTSTKGKNWSSVEDKVLIQAWANTSLDAVTGTDQNSQSYWGRISEYYKAHKQPSWPERNANALNCRFGGISASTSKFCGYLQQIINKDESGRTIDDKKIDAEEMYISLDPNNKPFTLMHCYIEFEKHPKWQTQQGSKKKQKKTSDASPGTTSTDEDFGVCTDALEKEKRPPGTKYEKERRGKAHVSDGT >cds.KYUSt_chr6.10954 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67865328:67872292:-1 gene:KYUSg_chr6.10954 transcript:KYUSt_chr6.10954 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSVLSDGYGPGGSGDLIPRGTLSAFLLKVESLADLLFPCGLVPVGGGANRTQNPPPQSQPSSLSPRLSPPTFSFHSAPDSLLPAAAAPIHSRRHPEAAGSPRAKIPSDPRLLIPAPCQMAQQQQHAGSASGSASASSSSSGLHLTASPFGDTTHTKLFVGGLAWETTSERLRRFYDPFGEILEAVVISDRHSGRSKGYGFVTFRDPESATKACEDPSPVIDGRRANCNLASLGRAQPSTPLGRPRSAGSYFGVPVPRGVYVGGYGQHRPLPFGYYQGFTPVPQYSYSTYGTEYIYPQGTLNPYVGQQFVPVYGVSTAAANTANQPFSQLSPSISGGFSGYPTMHGYNMPGNHFVHLTGSNFNNSSPTHRPTIQAPFLVALLGCVACTCQASYGYPYPSSVPAKSTPPAAPALSYAYYYRTCKGAEKIVRDVVQAEIKRNRGIGAGLIRLFFHDCFVQGCDASVLLDKTQANEQTEKFGLPNIGSLRGFEVIDKIKTKLEAKCKGVVSCADIVAYAGRDATYFLSNKKVYFEMPAGRYDGRISSANETLFNLPPPFADVTLLEAMFAAKGLNLDEMVTLSGAHTVGISHCSSFGDRLPRNASDPKAMNSRYAKSVTRKCKSGSSTVDQDIYTPNTLDNRYYKNVLNHEVLFTSDAALESSKTKYLVKQNLKPYLWEAKFKQAMRKMGGIGVKTSANGEIRKNCRLIN >cds.KYUSt_chr3.48175 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301467252:301470435:1 gene:KYUSg_chr3.48175 transcript:KYUSt_chr3.48175 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGCRDKCGNISIPYPFGIGPGCFIANQFQVFCNDSASPPRAFLVNTSGTYQQNSESSLVPGGVTKYPLVIDWSANPREPIELMDISLARGEVRAYGAVSSYCTKSSTSQVIKLQLTVVSPSKTGNWPFTTSMERNALVGIGVSVEARLATHMYMDWPSSDSWLYTTCTSDASDNFRKPINGSCTGNGCCQVPFAGNSIFQVPLFAVSFKPENQTRWDSDKLPCLYGMVVENGFYNFSSLDLSGYEVLSKKFPRGVPFVIDFAIIADDGYTGNGSCPVEGRKAPPGYACASRNSFCANNTYDSERYLCHCKEYYEGNPYVTNGCQDIDECKNPDLYPCHGNCKNRLGGYDCPCKRGMKGDGKAGTCKEIFPLVAQVIVGVLENEQFANEVIIQSQVTHKNIVRLIGCCLEVDTPILVYEFIPKGSLHDILHDHNNNNKVALSLDLRISIAAQSADGLAYIHSKTNNEILHGDVKPANILLDDNFSPKIADFGLSRLLARDTKHTELVIGDIKYMDPTYQKEGLLTGKSDVYSFGVVLLELISRRKVVRSDTNNLLNSFLEAHERDMMGIELFDEEIAVPKDLGVLNSLIELAMECLSLQVDERPTMTEVAARLFLMGRSHQQ >cds.KYUSt_chr2.51594 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322345748:322348328:-1 gene:KYUSg_chr2.51594 transcript:KYUSt_chr2.51594 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPKVGPAKELPLDHKIGLWWSVNMSDDSKSIPPEQLPSDDLHPPPMPVINLGHLILEPETRSGVVEDIAKACHDLGYFQVINHGISQSVMDGAFEAALDFFKLPSEIKEEFASDDLRQPVRYDTSSKDGISMSRAFLKHYAHPLSDWMQYWPEKPPIYRKHMGTLAVEVRRVALQLMEAILEGMGLGKDYQHEKFEEGLQLMSVNCYPKESEGDAAIGLAPHSDYGFLTILLTSCRGLEVVDRNSNSWKVVQQLPHALHVHVGDHMEVLSNGRVKTVVHRAVLNPEEERISIASILGFALHEKVACAKELVDEQNPEKYKGSSFNDFLDFLTSNMDNKDRNFLGSIRM >cds.KYUSt_chr3.18735 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115195924:115198688:1 gene:KYUSg_chr3.18735 transcript:KYUSt_chr3.18735 gene_biotype:protein_coding transcript_biotype:protein_coding MTADATPPPAEPPQNPPNSTAEEAEADAVGTPSRGGPVGMVSWGTGTLVGVFTGLLYGGAKEANANVSKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLVGGARLGMFTATFFGIQNLLIENRGVHDVFNIAGAGSATAAAFGLILPGSPMWRARNVLVGSALGAGICFPLGWVQLKLAEKASLEIETSKSPSDLAREQGNQSRVGAAIDRLEGSLRK >cds.KYUSt_chr6.8342 pep primary_assembly:MPB_Lper_Kyuss_1697:6:51153850:51155127:-1 gene:KYUSg_chr6.8342 transcript:KYUSt_chr6.8342 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRSLPSSCEQESRLAYMTYHLLEITRSKRPSGVLAIEHEGIAAAAVLAKRPKSEDKHDGVPLDCQGSNQGYSDSSTLINSIGRDNSINCIARCSRSDYGSIASLNRNFRSLVREGGLYKERRRLGIAEHWVYFSCNVQEWEAYDPYRARWMTLPRMPPNECFMCSDKESLAVGTELLVFGKEILAHIVLSYSILTNSWSRGVEMNAPRCLFGSASFGEKAIIAGGMDSQGRVLRTVELYNSETRRWITLPSMNKARRMCSGVYMDNKFYVIGGMASNTEVLTCGEEYDLDKGTWRLIENMSEGLNGASGAPPLVAVVDNELYAAQYAGKLVRKYNKIDNTWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVLGGGMIELHSWIPRDGPLQWNMIGSKPSGNFVYNCAVMGC >cds.KYUSt_chr3.32881 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206534656:206535679:-1 gene:KYUSg_chr3.32881 transcript:KYUSt_chr3.32881 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLIAEDVAVKRMVRIRRTVMCMLRDRGYLVVEHELSMNRRDFERKYGESFHREDMLINKCKKNDPNDQIYVFFPNDEKVGMKHIKKYVEMMNAEKVSRALLIVQQNLTPFAKNFIIQELEPKIHLEVFQVGLVGLV >cds.KYUSt_chr2.29818 pep primary_assembly:MPB_Lper_Kyuss_1697:2:183479678:183481042:1 gene:KYUSg_chr2.29818 transcript:KYUSt_chr2.29818 gene_biotype:protein_coding transcript_biotype:protein_coding MECYSSKLAWSLVLAFAMAAAAGIAPCAAQNAPSDFVSPHNASRAAVGVGPVTWDATVAAYAQNYSNVRKADCKLQHSGGPYGENIFWGSSGYAWSASNAVTSWTSEQQYYNYATNTCSAGHICGHYTQVVWRSSTAIGCARVVCDNNAEDAEDIRARADGLLKLAGKTSNSSGGRSSTEHQRPLRLLDVGRNDDDAADMELKMEMLQMHEYWIVCAYYTVADV >cds.KYUSt_chr7.2526 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14784711:14784914:-1 gene:KYUSg_chr7.2526 transcript:KYUSt_chr7.2526 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWASMADNDPLKRGSSSSPLRRMSPTTMAMGGLLVVGTLGYFMLSKDDRRKAADDRHNERLAHRP >cds.KYUSt_chr7.22272 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137935830:137943578:1 gene:KYUSg_chr7.22272 transcript:KYUSt_chr7.22272 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAARAMSPVICKLGELLVGEYNLEKRVQGRVKSLHTELELMHAVLRKVGKVPPDQLDEHVQIWAAKVRDLSYDMEDTVDDFMVRVDGPSDKPANMKNRVKKFLKKTTKMFTNGKALHQISDAIEEAQILAKELSELRQRYELDMGITSIGATIDPRMVALYKDVAELVGIDRTRDELIQKLIGDDKASKKQLKTVSIVGFGGLGKTTLTKSIYDKIKAQFDCVAFVPVGQNPNLKKIFKDILYDLDKRIFNNIHNTEKDERILIHELREFLADKRYLIIIDDIWEEDTWKYIKYCSTEEYFDMRTTVQMPDELQEVSKDILKKCGGVPLAIITIASLLVSNQQVKQKDEWFNLLSSIGRGLTEGSFSSIDTVKELSNLTELRVLHLVCYEMEKITTLMESLGSLRKLQSVQIDGSSGVVKLMGARWVPPPCLCSFLADDDFLTLPKWINLTSLPHLSSLSIGVTNLHKDDINAIGMLPALRFLILYADTEDRWNIPLPVVRAGAFPSAIECEFRGFMTWPCLFAPGSMPRLKRLFMEMPHHVNYQSGEVDMSMAHLPSLEEVMVEFRGGGSIDARRALMRAGEAHPNRPTITFLVSKTCAYKGIYRCKEYCVDGYYAVLHINMLLHKLMPNGSLDGIIVSMTLRADQNELWADSVCRRLNSGVAADRISVQGNKVRMQGSQEVKWAELIHTDAGAAGVTQNMSGVLKNSITASKGRSEVGGEKSVTALATVSFFRCGQRGERSLDAGTYREGRARPRELEGERGTARAGPGGMRPSGRGGA >cds.KYUSt_chr2.49138 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307571726:307575872:-1 gene:KYUSg_chr2.49138 transcript:KYUSt_chr2.49138 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLEFLETQGATRPELAEWYAALADLYQRKLWHQLTLKLDQFLALAVVQVRFRSIRPSLFLLPAGDALIQLYNHFISDFETKINLLKFAHFTVVVSRQYSDKDAAIKYLEGVISKLHDTKESRVEEPILYVKMQIANYLLEKGSQKECKKLLDEGKTTLDGMVDVDPSVHSTYYWICSQYYKVCQDYSEFYKNALLYLAYTTVESLSEPFKQNLAFDLSLAALLGDNIYNFGELLAHPIIHSLVGTAVEWIYHMLQAFNSGNLAAYQELCKVHGTALAAQPALAQNESGLLEKINILCLMEIIFSRASQDRTIPLSTIAEQTRLSVEDVEYLLMKSLSAHLIEGIIDEVDGTVHVSWVQPRVLGIDQVKSLRDRLDTWVGKVHTTLLSVEAETPDLVSS >cds.KYUSt_chr7.7433 pep primary_assembly:MPB_Lper_Kyuss_1697:7:44714330:44715382:-1 gene:KYUSg_chr7.7433 transcript:KYUSt_chr7.7433 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAVSVLAILLASCAVAAAASFDKEFDITWGDGRGKILNNGQLLTLGLDKTSGSGFQSKHEYLFGKIDMQLKLVAGNSAGTVTAYYLSSMGATHDEIDFEFLGNETGQPYTLHTNVFTQGQGQREQQFRLWFDPTKDFHTYSILWNPKHIILMVDDMPIRDFRNLEGKGIAFPKNQPMRLYSSLWNADDWATQGGRVKTDWSHAPFSASYRAFKADACVVVAGGRTRCGASIGKEAAPGGTAGAGAGEWYNQELDLTRQQRMRWVQSNYMIYNYCTDPKRVAQGLPAECSM >cds.KYUSt_chr2.44212 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274954136:274955505:1 gene:KYUSg_chr2.44212 transcript:KYUSt_chr2.44212 gene_biotype:protein_coding transcript_biotype:protein_coding MEGANSGPPNTRIAVVTGGNKGIGFEVCRQLASGGVTVVLTARDETRGTAAVEKLKGLGISGVVFHQLDITNASSIAGLADFLKTRFGKLDILESFSFPSVNNAAIGGVEYLQELDTNEEKFSGLDFHQRLEWMFTNINETVDGSKEGVKTDYYGTKHVIEALLPLLQSSSDGRLVNVSSDAGLLTASSDVPVMLYSDAPKFPPYPTFIVTVFLLIHVYVQFIKNEEVRQELNDIDNLTEQRIDELLDKFLEDFEAGTSEAQGWPTVLAAYKMAKAAMNAYSRILAKRHPELRVNCVHPGYVRTDITMGSGILTPEEGARNVVKVALLPEGSPTGLYFDKGEEASFV >cds.KYUSt_chr6.3056 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17899605:17902052:-1 gene:KYUSg_chr6.3056 transcript:KYUSt_chr6.3056 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRLQHRKCVTECCRHVRAPPAESSARRRFSLLAVAAQPADYTSSSDDADDRPSCAPAETVQISRGRGLSSARVVQNLRLLKRRPDVALAYFKDTESVGFSHDLSTYAAIVDILSSSGQSRMLFSLFREIVSRGGGPEILPLMDHLRRTCAASDSLVSATNCLVTAYITCYDAQDTIGLFGELCRLGVVPSVWACNALLRFAADRGGSEVVLSAYDQMKLLRLTLDAHALGIITRSLFQEKKADKAFEVWVEMIGSGAKPDISGYSSFLTGLCDCGKIDLAYAILQEIIREGIQVDSMAYNKVMDGLCKEMRLEEAEKLLESKIRLGFNPDIYGYSYLIRSYCKKGDILKVLDHYQAMESHGLGTNCHIVSYLLQCFTKVGMASQVTEYFQKFRDSGVHLDGVLYNIAMEAYCKLGNVDEAVKLLAEMKTDGLAPDRIHYTSLVKGYCSKGDIPNAQQVFEEMLKANVKPDVVTYNILASGFCRSGLVTEVFDLLDHMQDQGLEPNSLTYGVAIDGFCRRGNLSEAEVLFNIVEERGIDHIEVLYSSMVCGYLNSGWTDHAYVLFLRVANQGKLVDHFSCSKLINDLCRDGNAQGASNVCSTMLEKNAVPDLISYSKLIAAYCQTGDMHNARLWFHDMIQRGLSADVIVYTVLMNGYCKVGQMQEACTLFVQMTSLGIKPDVVAYTVLLDGNLKEYLQKCWQGISKETRSVLLRAKQNQLLSSMKELEIEPDVPCYTVLIDGQCKADYLEEARGLFDEMLQKGLAPDLHAYTALINGYCSQGEIAKAEDLFQEMVDRGIKPDVLTFSVLKKKS >cds.KYUSt_chr2.41759 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259861058:259864306:-1 gene:KYUSg_chr2.41759 transcript:KYUSt_chr2.41759 gene_biotype:protein_coding transcript_biotype:protein_coding MERLEGCQLAGQGNGHARQRLLCHPHALPIGVAIEIKTAPADFRFPTTNQSRHCFTRYVEFHRCVSAKGDDTSECEKFAKYYRSLCPAEWVDRWNEQRENGTFPGPL >cds.KYUSt_chr4.34437 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211429857:211430963:1 gene:KYUSg_chr4.34437 transcript:KYUSt_chr4.34437 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPENHPLPPPRPRPRPKPPKPKPPKPRPAAVRDQTHGVAVPLPPDPIACALHCSQACAFHSLCAPPPPPSRTTTLHLQSSRSRLPTPLIALSASVLAVSVLLLLVLLVCRVVRWRRRRQTATAQQQDTPLNHHNAIDEEGGPVPLAPAPADEEGSDDGDGMHHVWYIKTVGLDERAIAAITALVYDADKCRGVGADGGCAVCLAEFRDGETLRLLPRCAHAFHRACIDIWLRAHVNCPLCRAPVKVASGNAAALAVNLGVAAGAEEEAGRDGDDPSTEDRALRRAASMLALPRRAWPDVDSLRAPASNSARQGEVGLAKITRVLKLSEALEMAGIGERRSASFSAAASLRLPGRSPQPAADDNTR >cds.KYUSt_chr3.46158 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290519191:290525672:-1 gene:KYUSg_chr3.46158 transcript:KYUSt_chr3.46158 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEASFVRSDMCAAELELGVVQSFVHAARSHLVHNAAASCLDTRLVKGYRYIDQLDAAAELEHQRQVQGLVRVKPIPILRPIRQSAVRRVREKASPSLPFLVSGRTPSPHRRRHVVCWVTTEQQMKKVSADVLAFAGIYVTTLQLYNHIRNRRTKWSLIMKMKSDRILDWSEDGCCFYGGDEETANEYIQLRSLIEFLHRSENNEAEYVEYRKVLLRSLWLKILLDQTPWLHGKTPIDIAPLIYESSKRKSWKIAEFLHQAVTICLNIQKQHGKLLKDFSKGLVNNKDIENLKVEVEKFATSFDMPGFTLESMKYKE >cds.KYUSt_chr2.52473 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327393661:327399596:-1 gene:KYUSg_chr2.52473 transcript:KYUSt_chr2.52473 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVHRNLTRNGPGSAKLLPEEEDDLWHAYNLIAVGDTLQAVTVRKVLRDSASGGRDAERVKLKLEIEVESVDYDNEGSVLRVRGKNITENDHVKIGQFHTLELELKRPFVLRKENWDWLALDTIQQACDPTASADLAVILMQEGLAHVFLIGKSITATRARIETSIPRKHGPAIAGYESALKKFFEHVLQALLKHIDFEVVKCVVIASPGFTKDQFRDYMHLEAARRDLRVIVENKSRIILAHAPSGYKHSLKDVLDSSGVMPLIKDTKAAQEVQALKEFFAMLSNDSDRACYGPKHVEIANDRLAIQTLLMTDTLFRNTDIRTRKKYVNLVESVKKFGGTVYIFSSMHVSGDQLAQLTGIAAILRFPLPELEDIEM >cds.KYUSt_chr5.19024 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123122416:123124104:-1 gene:KYUSg_chr5.19024 transcript:KYUSt_chr5.19024 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGARGCLGTVVVWWPPQFHPRPGGCGWATVCGRLPSLAPAAHGRGVPWRMDAARRRRSSGGRGAVRRPAAGGELTSLSSPRFQTQNQNSTFVYLLGPPAEPKMAATIDVLDNDDLRDILRRLPAADLLRAALACHRWRRVASRCCPRAAPLLGYFFHPTKDSDRTCYDAMFDPLDASIPRLSFDFAPDASNFFR >cds.KYUSt_chr5.673 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4740575:4743089:-1 gene:KYUSg_chr5.673 transcript:KYUSt_chr5.673 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAALEGSQPVDLSQHPSGIVPTLQNIVSTVNLGCELDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEEQSKLAARKYARIIQKLNFPAKFKEFTIQNIVASCDVKFPIRLEGLAYSHGAFASFREDTYTAFENIYPVVSEFRKVQQ >cds.KYUSt_chr7.41071 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254485632:254490352:-1 gene:KYUSg_chr7.41071 transcript:KYUSt_chr7.41071 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVVAEPAWDQFKEEVEIMEEEGGSDAAAGELLTREIKKRLLEHAAHENGNGNNAHVNGGEAEAAEEEEQHKSISFDPAKVPHIITEVSARYLVREAAQSGPEEEETNETPSPKGKEIDTHENGDAQESNGSHTNGSHQVCNSDEPEIADMMLRIDKTEIFPDEVKKEEHVTEAQLEEKGSQSNGSHQLSTGAEPEIPYMLRIDKTEILPDEVDKDEHITEAQPEENESHSNSSHQVPNGAEPEMTHMFHINKTENFPAEVAKNEHVTEVQPEIEEYDLEKILDQQETHDLYCPNCNSCITRRVILRKRKRRARPTTPTEQPKRLHTQEAPLAPTPESGGQEAPDVFRCLSCFSYFIPTGGNNKLMFCLLLHQRTCLHLRIAQVGFCLVSSQEIAQTKLLHIHYRLHCYMMQRLPVNIVQIRYRLHCYMMQRLPVNIVQIPYRLHCYMMQRLPVNIVQIRYRLHCYKVQRLLIADLRDIQDVDGDDERVGHYWVNLGRRSKAQLHMVMALLSYVVFGLLPPVLYGLSFRESNDRENKMMVVAAATLACIALLALGKAHVKSRPRTYFKTLMYYLMIAVSCSGLSYVAGVLITRILVSYGVIDEGASGAPAPPGLSLFPHAVGAKTSSWASF >cds.KYUSt_chr1.30405 pep primary_assembly:MPB_Lper_Kyuss_1697:1:184137366:184137671:1 gene:KYUSg_chr1.30405 transcript:KYUSt_chr1.30405 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLETTFCCPFCSHAAAVECVIDLEQEIATASCYVCQESYSTVPDTLTEPIDVYSEWIDECERVNEGVPRHRRPVVCKLFRCSCATNVWRAGGGRRDRIG >cds.KYUSt_chr3.46466 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292339815:292340780:-1 gene:KYUSg_chr3.46466 transcript:KYUSt_chr3.46466 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPSLPLLCGYDFLGACSISLDVIPFDHRAGVAAVDQLKPVARLGKSYFGVRASCDGLLILCGLYRNMDYSICNPATRQHARLPQLDGFAFLGMYPHSPTGEYRLLLGKSSHAPADQDGYYVFTLGSDQPPRRCPNDAEDMIQELSSVLFRGSLHWHVEQHGTASNMIKVFSTATESFRRMRAPAVPGKAHLFEMDRMLGMSSFNDAATTIDIWMTQDYESEAWAFKYRVELLATAEVTVMFGIGRFDGRWSVAPSLDGDVLVLVEFSDWLIQIDMDSKVVASFQRALRCADQLRLKQSLVPHSFFPTLEGYVVNDSPFM >cds.KYUSt_chr3.7462 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43142448:43142849:1 gene:KYUSg_chr3.7462 transcript:KYUSt_chr3.7462 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSWIRPWPTLNTALVYIEAAEILALHGGGANPWMPLPSVLNFTLRDTAVQLALARYQTARVYVLNALTSVEYSRGHLATAIALFTANPGIPYSLSFVLQEYDTAHFALQDAVKMAEDALSEVTISRQRITP >cds.KYUSt_chr1.37872 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231263922:231265184:-1 gene:KYUSg_chr1.37872 transcript:KYUSt_chr1.37872 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRAIDVRKVDSGAAPKWRMSLLESTFTPFLQSPGAGADAAAKAVFAEGSLFSPYLFGKFFDPADAFPLWEFESEVLLAALRRGCRTTVDWAETDCEYYLRADIPGGRKCDVELSGDGARVVDVSGLWRAPPADGRDWRAGRWWEHGFVRRVELPEDADSGRVEAFLDDGEGSLEIRVPKRKGDQAAAS >cds.KYUSt_chr2.30206 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185961024:185961867:1 gene:KYUSg_chr2.30206 transcript:KYUSt_chr2.30206 gene_biotype:protein_coding transcript_biotype:protein_coding MTTESLYGPLQNNKLATGRLGREELYQTGGADQLYQTGRPGRAQCATAELTNAALPQLHHSSFRPRRSPQERYADDTHSEHGVAAYSRRLSTSIESSSYGYDRSPKTMEVDTGRPKSRSSSRQASSPPLDPCEEWCAANNPMSSPLLPYHMPGSAPLRIAVSAPRYLPE >cds.KYUSt_chr5.22811 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149006411:149011713:-1 gene:KYUSg_chr5.22811 transcript:KYUSt_chr5.22811 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKAVAGAAAVKTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDHSRNLSFHKIDLRDKEALENVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVNGTVNLLEVMSAHGCKKLVFSSSAAVYGSPQNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRTDPEWKIVLLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTILGNDYATVDGTGVRDYIHVVDLADGHIAALQKLFENSSIGCEAYNLGTGKGTSVLEIVHAFEKASGKKIPLIIGPRRPGDAEILFSSTTKAERDLSWKAKYGIDEMCRDQWNWASKNPNGYGAADSIKQNGHRGYGSADPCKQNGQYGSTGSAKQNGNGHLQ >cds.KYUSt_chr6.33404 pep primary_assembly:MPB_Lper_Kyuss_1697:6:209752904:209759081:-1 gene:KYUSg_chr6.33404 transcript:KYUSt_chr6.33404 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTTRWPGLAPDVVRDVASRLHDAGDFVRLHAVCKPWRSSRDAPPPTTTNQFLPWLLAAADGHSSTPLRFRCIFSKSSYRAPPPSPSVSRSNWVGSADATAVRYITVKHDHASLHDPLAGEVTRVPPILYFLHDHYWDRDKPQGIVYGDGTVLLYNRVDLEHNRDVASFSAALLRSREAKWTLVERILKNETPRRHGGFCTAYHHGRILILEDSHWRVVLPDGAVAGDVLVPLPACNYPSHSNYIFESRGELLWVSVHAWIKHSYENGEEVASSSRTLSVLVHALEDDASALTKMRWVRKDGPSVSDRVFFLGSPNSFAMDAPQLGGHGGCVYFVYCNHSKALPGELFGVYRYNLVDGETEFVERVPPGWDNEKCTWLLPQPAMSPIQEITKRSRKALEPNLKRQKTIPTCMTHISRHYEPWFRVIVRNLPPRVNSSELQLLFSKHGRVSSAEVVYRKTRRSERIGVITVSMVYAHRQDAVDAFKGLYLDGCKLEPCVVAFDIMFTRLPRRSSLLLPYLVISGVARLDVSCHEAHMIVYVANYMAASMQSFEMPSLVARLTGSAACLTGSDGWDPCCLVSNAFEACAFMAVYMYSVQSRAYALYVVWCVLYSWHAMARVSSLLVVTTTSMYAEIAPTSMI >cds.KYUSt_chr2.48651 pep primary_assembly:MPB_Lper_Kyuss_1697:2:304296639:304299358:1 gene:KYUSg_chr2.48651 transcript:KYUSt_chr2.48651 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQLVIGPPGCGKTTYCNGMSQFLSLIGRKVSVINLDPANDALPYECAINIEDLIKLSDVMSEHSLGPNGGLVYCMDYLEKNIDWLEEKLKPLIEDHYLLFDFPGQVELFSLHTNARNIINKLIKKLNLRLTVVHLVDAHLCCDPGKYVSALLLSLSTMLHLELSHINVLSKIDLIENYGNLAFNLDFYTDVQDLSYLQHHLDQDPRSAKYRKLTKELCDVIDDFSLVNFTTLDIQDKESVGNLVKLIDKSNGYIFSTIDSSAVEFSKIAAAPLDWDYYRYPLLCLLPTY >cds.KYUSt_chr2.4554 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28172808:28174291:-1 gene:KYUSg_chr2.4554 transcript:KYUSt_chr2.4554 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDALSEMCPASLRTRRRIKKRPQLTTVEMKVRIDCEGCERRIRKAVHHLKGVTGVEVIPKQNKVAVTGYIDDPSKVMRRVARKTGKKVEPWPYVPYDVVAHPYAPGAYDKKAPPGYVRNVVADPAAAPLARASSTEVIIFDHGAIATTLLPPSLTPESRRHGHQSNTLAAAVAIFGFEYSESVPSGRKGTRVNRPVQHRGRAIRPGKPGTPSSITAEEEEKQQQQIIPTRRKKGLSPDHLADFGVATSDRLLPSPPRPAEEAARRDSRNPPEEHKP >cds.KYUSt_chr2.13390 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84923494:84933052:-1 gene:KYUSg_chr2.13390 transcript:KYUSt_chr2.13390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase, Regulation of cellulose and hemicelluloses biosynthese [Source: Projected from Oryza sativa (Os07g0616800)] MGESAGERALSRLHSVRERIGDSLSAHTNELVAVFSRLVNHGKGMLQPHQITAEYNAAIPEAEREKLKDTAFEDLLRGAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELGVEELSVPEYLQFKEQLVNESTNNNFVLELDFGPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQDALRKAEKHLSGLPADTPYSEFHHRFQELGLEKGWGDCTQRAHETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLDITPKILIVTRLLPDAHGTTCGQRLEKVLGTEHTHILRVPFKTEDGIVRKWISRFEVWPYLEAYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKLGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTADLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMTIYFPYTEQQKRLTSLHSEIEELLFSDVENSEHKFVLKDKKKPIIFSMARLDRVKNMTGLVELYGKNPRLQELVNLVVVCGDHGKESKDKEEQAEFKKMFDLIEEYNLSGHIRWISAQMNRVRNGELYRYICDMKGAFVQPAFYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVNGVSGYHIDPYQNDKASALLVEFFEKCQEDPTHWNKISQGGLQRIEEKYTWKLYSERLMTLSGVYGFWKYVSNLDRRETRRYLEMLYALKYRKMATTVPLAIEGENLNDSMETPNEARSYSSLPQFQPNYSQGAPMSDQAPENNYLAHDYLYEPSLEPDFPSEYGSREDPFATARASPTINLKTVLGGIAAIVAGASKGEDGASQQQNFGTGVSFLASGKDGGLHPSVCVPSAPPLLEENAMQFSAYKEVLLADPPEWLPDSSTSVCLQCSNPFTALTRGRHHCRFCGGIFCRECSKGRCLMPMKFRQRDPQRVCDACYDRLDPLQGLLINYNSNAMQPAKHDVMDWTSTRSWLNLPVGLSMEYELYKATNTLRKYCQVSRLNPEKSIPSSILKGAKGLAVLTVAKAGAVLTYKMGTGLVVARRSDGSWSAPSAILSVGLGWGVQIGGELTDFIIVLHDLKAVKAFSSRMHLSLGAGLSAAAGPIGRALEADVRASEKGSGICYTYSCSKGAFVGISLEGNVVTTRSDTNLRFYGDAYLTTTDILFGRVEKPRAAQPLYKALDDLFSKMVC >cds.KYUSt_chr6.2003 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11905152:11909012:1 gene:KYUSg_chr6.2003 transcript:KYUSt_chr6.2003 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGGRRICTVAHNAGHCEALSSWAPDLGTDADGPGRGARFNCIACHRAPVGGVGLVCSPLFFSGELCATAAVDGMDAQATWRAGSVGSRMSYKNATLALCAINVLAVALLLRNHFSAWPRLAGSHRFDSAQLRYIWESEELRRAMEPADLIKRVKEIEQESYGEHGMSTQEDPKQTAAVDLSNRLKDLRQGNDGSSQRALEEWRKRKMERARQRAIDKDAAMPGTKAR >cds.KYUSt_chr5.37174 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235124593:235126746:-1 gene:KYUSg_chr5.37174 transcript:KYUSt_chr5.37174 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASERFDVIVVGAGIMGSCAAHAAASRGARVLLLERFDLLHQLGSSHGESRTIRATYPQPHYPPLVRLSRRLWDDAQRDAGYSVLTPTPHVDLGPKDDPAFVAAVANGGATELAAGEGGARPKWAEVFRVPEGWTMASSKLGGVMKATKAVAMFQALAVKMGAVVRDRAEVVDVARKQGDGTIVVRTSSGEEFHGAKCIITIGAWTSKLVKSVTGTDLPVQPLHVLICYWKVKPGHEHELTTEAGFPTFASYGDPYIYSTPSMEYPGLIKIARHGGPPCDPDSRDWAIGSGEGGLVDPVARWIDEVMPGMVDTAGGPVLRQPCMYSMTPDEDFVIDFLGGEEFGMDVVVGAGFSGHGFKMGPAVGKILAEMALDGEARTAAEAGVELRHFRIGRFKGNPMGNAMSF >cds.KYUSt_chr2.30372 pep primary_assembly:MPB_Lper_Kyuss_1697:2:186995714:186998076:-1 gene:KYUSg_chr2.30372 transcript:KYUSt_chr2.30372 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRNALSLRALLQMVFMLIAAFQAVSVQGGRVLEDFHRLDSEDLLPLERIIEVTENTLYLKKEKQSRTGLRAAPSPPRHRCNQARSSASPPGTTPLGVEQREHIVLALESLRNGNQAWR >cds.KYUSt_chr7.8127 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49204872:49205156:1 gene:KYUSg_chr7.8127 transcript:KYUSt_chr7.8127 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAVVRATSSCAVSGSIGRFRMTVYAAFALRYCREIEADGLRGLRHCREIGEDGRPAQRSGLLISIHITRTRTCSLTLTPSSEVLLPDMAQHC >cds.KYUSt_chr4.10321 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62475460:62476732:1 gene:KYUSg_chr4.10321 transcript:KYUSt_chr4.10321 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFKFNGIVKEEEFEYFAGAAPDGYPPSSWAAADGYPPSSWAAIGAAADGSSWDGAGALAELPRPMDGLGEAGPTPFLNKTYEVVDDHSTDTVISWGVAGNSFVVWDAQAFSTVLLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFAAEGFLRGQKELLKTIRRRRPQSSTPAQQQHHQQGTGPGPCLEVGQFGHEGEVHRLQRDKGILIAEVVKLRQQQQATREVMEAMEARLASTEQKQQQMTVFLARAMKSPSFLKMLVDRQDQQARRRELQDALSKKRRRPPIEYILPHQNGETGSGSGSYSPAAAHGYSPGLADGAALANGGEGVRADGGDGGGEDTESFWMELLSLGLEEKQREAGGGGDAEVDDDVDDEVDVLVQSLYHLNPNRTHNPE >cds.KYUSt_chr3.33583 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210870757:210872115:1 gene:KYUSg_chr3.33583 transcript:KYUSt_chr3.33583 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDVQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVGNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPMNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGFTLPHAIIRLDLAGRDLTDSLMKIMTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETARTSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEIAGIHEATYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMNKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHMKCF >cds.KYUSt_chr4.1635 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8630072:8636247:-1 gene:KYUSg_chr4.1635 transcript:KYUSt_chr4.1635 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGESSGDEDGGGVDGGAFRGHFPVPAACRNRDSCPPDLGFAMAAALEEDFRSVRPQHDFLNQELRSMFRGTQCGRQGLRLPAGGDALSVSPYRSEFGPSVADRYKENLAEEPKQHVPTAAAASTGRYTRHLQTDELAVGIWRSAAPLKCKVFCWLARRWRLQTNARRFRHHLSTSATCLSCPEDEDIDHLLLLCPRAQEVWHFFHNNFGSRGVAHFTDIWLARDHSYEEATINTAIAWTIWKRRNALAFNGIVEDLSLASRRCIEDVRLWAYRCNTPSSADALNFWSRAGWLSKERSTPEGINMEEHGWRFQFHFPQPTGGYDSSSDSDWETPVIRQRKPLEVRNARHERRAALREKTARHHAEVALRKYNRANKTKFELEEVRVISIFFEFGGGCIHYNFTAKQPEGHQLGNSAITKLFFSEVDPRFRNENDVLLCCIVEENDAGHCFGCEDYKPIVHPSSQAYGGGSSTCIEFPRSDGDSTESD >cds.KYUSt_chr3.3689 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20928793:20929854:1 gene:KYUSg_chr3.3689 transcript:KYUSt_chr3.3689 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLKPVIFFVLLALCACTAASAPLLIKINKGAASTALYTAPLRAGRPLVLDLSAPAITTPCSSGTTTTVTLSANTTNGANPLSPVSFAATATCAAAPSGAAGVAGLGRSSASFPAQVASTQKVANSFALCLPSDGRTGFSGNGVGAAIFGGGPFYLAPPADREAITTLLSDPVPLRQPFAGNPGYFVTATGGVAIDGSVAAAGPLVVGLSTTVTYTQLRADVYSRVIAAFDRALGQTAKVAAVAPFELCYDASKLGSSLSGYSVPQVDVLLEGGTNFTVGGGNSMAQVNSKTACFAFLKSSGSTTGPPVLIGGFQLENRLVAVDNAKQQLSFTGYLPARGFSCSNFNFTRAG >cds.KYUSt_chr5.34562 pep primary_assembly:MPB_Lper_Kyuss_1697:5:219166351:219170100:-1 gene:KYUSg_chr5.34562 transcript:KYUSt_chr5.34562 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGAAAPPCHSPCRLRLRRQLLLRPSHLRLRAPHSITSPSHSSPSPPLAPAHAVEKDPIKLWDRYVDWLYQHKELGLFVDVSRMAFTADFLRRMEPLMQRAFVAMGELEKGAIANPDEGRMVGHYWLRDPGLAPNSFLRTKIENTVDHILAFSQDVVSGKIKPPSSQAGRFTQILSIGIGGSSLGPQFVSEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGAELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQENSLLDNTARIEGWLDRFPMFDWVGGRTSELSAVGLLPAALQGIDVKEMLIGAALMDEETRNTVVKENPAALLALSWYWATEGVGNKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPLGHDWELEPGVTCGDYLFGMLQGTRAALYSNDRESISVTVQEVTPRAVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLTVMNEASCKDPAEPLTLEQIADRCHCPEDIEMIYKIIQHMAANDRALIAEGSCGSPRSVKVYLGECNVDDL >cds.KYUSt_chr4.3263 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18579369:18580474:-1 gene:KYUSg_chr4.3263 transcript:KYUSt_chr4.3263 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSLLLLSLLVAATPLPGSCDDTAGSFLIQCYEPTLTTNGTALRTDLLRLLRALPSAAASTGFASLRSPTGVAFARGLCFGESTAPSECRRCLSVAARSLASGCGATTRRAGVWSDRCFVAYADTDASTPREDAFRSRLLLRGDDAVPVPGADAVAAYKDEYYFHAQLVYMAQVAAQGAADNISSARMLGTGGATMYGVAPAMSTAHVLGQCARDRTEAECVRCLQHSARAVDWDRHADRHGGVAAAVLGFNCYLAFNVSTVLPPRETGDSSGFVLLGAILGGIAGASILIVLVYALCAALSAKQEKTDNEEKKKDDAEAAAAAGAVFK >cds.KYUSt_chr6.7431 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45140617:45144750:-1 gene:KYUSg_chr6.7431 transcript:KYUSt_chr6.7431 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAAAWRGHGGGVAAAVADHLGASVAPGARRARLCLYGVALAFALFAAFLAFAPSLPAPSPPSSPSAAWLGGFLASASPYRAQVSGFFSSLFPANSSSSTVIPGGVVAARRSGPSGGGFPAASGGLAGTNGSGAGVPAERSGTGGGGGSEGVRADISASVNATASAARSNAPPNDHVLDSPAASNSSRIAGADAKAGAGLRGDISASSNGTTSAVQSSNSTGIAGAASNNSSGIAKAGVTIGGSAQNGTAATAGAPILATNAPGANASSAEPPTSNGTAVPFISQTGSAVSAATHRNGDAPPRRGDPSKKRAAQDSAAGSTHKQSTSRAPAASAGGSSNSTRNLQKETTATSSQRSAGNSSAVPVMGNARPAKGAGIAGGGQNKEYSVEEMGRCDMFYGNWVWDDSYPLYAPGSCPHVDESFNCFLNGRPDKDYQRLRWKPRGCSIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSIKDKRKVFEVSGNHKFRAEGSYSFLFQDYNCTVEFFRSPFLVQELEVPLKHGKVKETLRLDKIDRSSSRYKNADIIVFNTGHWWTHEKTSLGKDYYQEGDQVYRELNVHDAYRRALNTWAKWVDSNVNPKKTTVFFRGYSASHFSGGQWNSGGSCDKETEPITNEQYLIPYPQKMSILEEVLHGMKTPVAYMNITRMTDYRKEGHPSIYRKQKLSEEERKAPELYQDCSHWCLPGVPDSWNEVLYAKILVKQHQMLHQ >cds.KYUSt_chr2.48106 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300713922:300721381:-1 gene:KYUSg_chr2.48106 transcript:KYUSt_chr2.48106 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLRLWGGWGSYPSRLRMKLFFPSSLPNSTPIPLPPGLVGSADGMGGLPIGTWETLALAPPLSGKTSVLASPPSALSLLSVSKAAKPLPSGLTLGWGAPLSDRFPALYSHVTQTNISVASVCLQGISASLVPRLTSVASNELLSLSAEIATFPLLPEVPDIRLCRATNKELLNRDHYAYYFRHLLNDEQAMRGWRSFAPLKCKIFLWLAHRRRLPTNARRLRHNLTTLATCPSCTEDKASEVWNHFLPSQRLAPHSLQAMLGSWFCSTTDATISTAIAWSIWKRRNSKVFNGIAEPLPLTLAALTPAAARARRTSQASFSSPRLPGRRALRVRAAVAIEKEVPENEAPPTFLREDGAGAGSGSVRERFEGMIRRVQGEICAALEEADGSGARFVEDVWSRPGGGGGISRVLQDGNVFEKAGVNVSVVYGVMPPDAYRAAKGAAKNGAADGHKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDVPGAPRSWWFGGGTDLTPSYLIEEDVKHFHSVQKQACDKFDPSFYPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQDMLLNFATECAGSVIPAYLPIIERRKDTPFNEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGTEEWKLLDACINPKEWL >cds.KYUSt_chr1.14638 pep primary_assembly:MPB_Lper_Kyuss_1697:1:85438971:85440437:-1 gene:KYUSg_chr1.14638 transcript:KYUSt_chr1.14638 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPICLPPHLLRHLDGRTLSTALLDPLIRTTSSSPSTPHLSFSLFLLLLRSALRPSHLTFPFLGRAAARLASPGLALSLHAHPLRLGLLPCDLHVANSLVHMYAACALPDLARRLFDEIPQPNRVSWNALLDGYAKCHDLLSARWVFDRMPQRDVVSWSAMIDGCVKCGEHREALALFEMMEIAAGSDQGVMANDVTMVSVLGACAHLGDLGRGRQMHRHLQERGFLLNLKLATSLVDMYAKCGAISEALEVFRAVPVASTDVLIWNAVIGGLAVHGMGMETAEIFREMQHSGVVPDEITYLGLLSACVHGGLVDEAWRFFRSLEAQGLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMEPSVSVLGALLNACHLHGWVELGEVVGRQLVQLQPDHDGRYIGLSNIYAVAKRWQEAKKARKVMEERGVRKIPGFSEIDVGGRLRRFIAHDNTHSRSTEIYALLNLIAVEMKMKDAVAVPEYFCTD >cds.KYUSt_chr5.35428 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223854301:223856076:-1 gene:KYUSg_chr5.35428 transcript:KYUSt_chr5.35428 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGDGSFHRKEAISAVQDVDQYYGDDDDYDDLYNDVNVGDGFLHATQPPPPQPPALPPKQHQPPPHQTPPPQQQLPSPSLPLPPPPPMGGQPDKPHIPGVQPVPAPAMDRPNPGHLPPPPQPPLAAQPPHHHQIQTGGDGFHRQGGGPNYGGGPIVVGNGGGGDGPGATTLFVGDLHWWTTDADLEAELVKYGHVKEVRFFDEKASGKSKGYCQVDFFDPGAAAACKEGMNGHLFNGRPCVVAFASPNTVRRMGEAQMKNHQPMGQQNSGIQKGGRGGGGPPGGPVGPQVGGNYGGGGRGGGGAGGGGGGGAGGGNWGGRGGGMGGRGPGGNMRNRMGPVGGRGIMGNGGMVAPPPPMMHPGGMMGQGFDPTGYGAAMGRMGGGFGGFPGGPGGSPFPGLMQPFPPVVAPHVNPAFFGRGGGMGAGGVGMWPDPSMGGWGGGGGGEEQSSYGDDAASDQHYGEGGSHGKERPSEREWSGAPERRREREKDLPPPPELPERRHRDERDMGRERERERDRGDRDRERDRDRERDRGDRERHRDDRDRHGDYHRHRDRESDRNEDWDRGRSSGRRSRSREVDHSKRRRMSHE >cds.KYUSt_chr4.16910 pep primary_assembly:MPB_Lper_Kyuss_1697:4:105278889:105284076:1 gene:KYUSg_chr4.16910 transcript:KYUSt_chr4.16910 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVEEWEVVLKQYDVNTTHKGKENSYSFTWNKRKIIILPNQSNRNSSKEKEKSDHKRRLKSFQVGDKVMVYLRKEGPPLDIKEKLRWWKYRPFSVLRKINDNAYMIDLPAEMGISNIFNVADLALYHQEQALYEDNSRSSSKQPGENDEGHINNKYRSIPGEFPLSNNQDQTQIATAVTVSSGDDGGDDGGDDDDGDGDDVQLDARALWGYRRKANGEHGLDSCFASDSTEWAMWQHLVGLEGGEKATGLLPVVTQQTLSPRASQPLLAPPIPAGVVRLFSPTARPVPAPRLRWRGVLLFPIAILASSASADGRPLRAMVYDGAEAEPRATASGPELSASTHRLPCSPKEEAEPSTMQASSSSMCASARGKEASLPQVELRRPSWLQRRRLALAEHEPPG >cds.KYUSt_contig_554.90 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:691858:694511:-1 gene:KYUSg_contig_554.90 transcript:KYUSt_contig_554.90 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLDRLLFNDSWDAAFPNCYMQALSSELSDHCPMLLTCNASFCHSRRFRFENHWAARDDFLQVIADAWTACSTKENAFVNLHHKLASTARCLKRWSANFTNDLALRAAITSELIFRLDQAMDCRSLSQEELRFRSMLKMNGLGIAAMQRSMWRQRSRIAWLRDGDASTRFFHSKANARRRKSYLHRIEFEGQVFTEQEEKEDALWKYFDELIGTKKDRPHSLNFQFLGLDAINLSELDLPISSEEAKAALMEMHNDKAPGPDGFTALFFKKSWDVIVADIMRAIRAIETCRTDRMELLNDATLILLPKSPAAAHPREFRPISLINFFAKLVTKVFAIRLSPRMDELVSPCQNAFIKRRSIHDNFIYVQSQAKLFRQSKTPAIMLKLDIEKAFDTVSWQFLLEVLEARGFSLHCRDLIAALLASASTKILVNGCLTETIHHCRGLRQGDPLSPLLFDIVMDSLARMIAAADNLGALQQIGCRPLPHRVSLYADDVVIFIRPEISEFLMIKAMLQAFSEATGLHTNFAKSTFTPIHCNDIDLDALRLRKCDLQPALDKLNSKVKGWIQGSFSIDVRLILVKHVLAAMPIFQMLSIAPPVWLSKAMDKLSRGFFWAKDEVAPGGKCLVKWQTVCRPTTFGGLGVRDLQAASIALRMRWLWQTWMAPTKPWQGLPLPIDDKVRSLFAASVIFHLGDGERLSFWSDRWLDGMCIKEMAPNLFKMCTRKKLTIAQAMLDQRWTRHLKRDLPHLAVIEMVAVREKLQGVALQPGTPDSSPGVGQQTAHTRLLQLTSCNSLVQHKQATHLASGLATPPCAAGSLHGWLFRDGVSQPTCWQRGVGLTMMDAPYARRHMKRRNICSVLAR >cds.KYUSt_chr7.33148 pep primary_assembly:MPB_Lper_Kyuss_1697:7:206971650:206976468:1 gene:KYUSg_chr7.33148 transcript:KYUSt_chr7.33148 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPNSTPKAKAKAASAGGVARPSQASASSPGSAKISKFRKRKAKVAATAAVAAASAGDGPASASVQKPPAASPVASLKPTTAAEGSSAAQALAPKPDTAEASAPEPKLKPDDAAAATSNGVGASGGDGRKRAKRERKKERSMAWKGKGKEEEAQGQGQGKKEDRKEDRSKKEVGSGSKGAGLIFMCNAQTKPECFRNRLFGMPMGKKEMVEKVRPGTKLFLYDFDLRLLYGVYNATSKGGVNLVRDAFNGKFPAQVKFKTDKDCLPLPESSFKHAIKENYSASRKFDPELNSTQVRRLMALFKPINVPQSAPEERHRYEERRNLHQYEDRRHALPVEERRQQVVAQQALPAPESRHMALAPESRHVPSIPEPRHVPLAYYHHLAPSSDDSYYRSQVDPLHERIAARSPPRDYIAQPGELSARADRLEELYRSGNVAVRGARLEDLYRSGEISVHGARLEDPYRPREIDARGARLEDPYRPREVDARGARMEDPYRPGDVDARGARVDDLYRPGEIASRGVRMEDHYRPGEITTRDARAEDLYHPGGVAARGSYGELYRSDRLVTRAVDPPRHPYETSDHAYAETSQRPVSTTRPNGPGVPVSSLYSFAGAPVYR >cds.KYUSt_chr3.40710 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256930034:256936469:1 gene:KYUSg_chr3.40710 transcript:KYUSt_chr3.40710 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGERCTDAPSDRSLLHRPAWQRACIEGIASTAIASAVCAAAFAINVVDASSACDERRLSFCAAFNGIVCRAQPALKVDRHSSCHRPHLHSQLHRSTMRKNKNDFEASDSGTQKGEGADAEGAAAHQRGEADARELDVVRRLGACKWLSLPCLRGRQIGARRPGEGGSTCRRILRVDPVYAIDSDSWRSYLESEKDPRRRAKFLGDRDFSFDHPRVPRHGRRQAPTPAQDDHHDDDGGDNDEDYSEALEYHNEEAKDDSDDYIACIFQEWQLVMAEGRKFEYPYNMTDDEIARLGLLVCEVDRPVHPPLLRYATGITPPGLLEVEALRLALQDSATPPVQPPPPPPPYNPWEPPPPAWAPPPPPQAWAPPPPPQACAPPPPAPPARPAPLSLRGSLKALEADIHHANTLAHAIHRAYGGTCVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDGDASISTIERRASLKEFYAIIYPFLQQIEENVMEKECKGKGWCKGDGDSGGRKLYADDKDAEREDECGICLETCTKMVLPNCNHAMCINCYRDWLSAFALNPGVMDEITWNLTENGNYSSKSAYKAQFYGSIEAPIGSSVWRIWAPPKIKFFSWLFVQDRIWTSDRLERRHWDNCGNCPLCNRVLESVEHLFVHCRFSIRIWALIKDWLGLHLLSPNTWTTLPFIDWWYTRSKSCPFCRGSLKRVRSTDLWVLTRDEDVIDTVALEKENVRHFHSFIDSLPLIVPDNLLLVYYDYLV >cds.KYUSt_chr6.31893 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201455830:201456267:-1 gene:KYUSg_chr6.31893 transcript:KYUSt_chr6.31893 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVGIISEGRLSRRSILALLVSESVGSAGGACRRVRRSTGSAGRRVCCGTGSACRRVCCSPGSAGRRVGGMLAGLQRAHEVESAARDENEMVQCVGSREQTYLLDGLGGAVRLQAPALDGGGGGVVHGLGGVAHLVLHAQRKSP >cds.KYUSt_chr2.5430 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33650746:33650988:1 gene:KYUSg_chr2.5430 transcript:KYUSt_chr2.5430 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLQEALLGRRFPELVAEWPRRLAVARDVPNTSARPVPCGRPQDHGARGDDARRHLAGRAQQGVGVLPQQPPSRSTS >cds.KYUSt_scaffold_6468.797 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3859192:3859545:-1 gene:KYUSg_scaffold_6468.797 transcript:KYUSt_scaffold_6468.797 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLTLIPSIWTGRGRGERAAGRRGYCLGGLEEDLEGGTKEELDQRRGGAPVVSPLASASTREWNWDEYGILNPNPLASASTPRESPPRHRVRARLHSSSSLFLSLRPKAERKGSA >cds.KYUSt_chr3.5541 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31302731:31305091:1 gene:KYUSg_chr3.5541 transcript:KYUSt_chr3.5541 gene_biotype:protein_coding transcript_biotype:protein_coding MITTVIPLHGYYWVLLNTLEKFEDCLPGRVDEDSPALCQLGAVCSVTSISPRPHDHHSNKFSNPTLLVLDFHLCPGAILMLHVEAAEEQEEFSPVTCCSNLSNYGPFWLTLRLKDRAPQTSRSFYLPTVVQFYGPHFHRLALHAHLCQEGRHLKMALETEGNIGQEHTMVLWSIFGMYPNSLLAILLLLFLIQRTRADCKTVACGNLTVKYPFWLGAPSQPPPEVSCGQPAFELLCTGNGTSSTASLRGSAIRVLSINYAASSFIASHGRVAVGNDGVCRTDFNMSSSLSLSPFKISPSNRAMCFLYNCNGTEPRGRGYMNITAGCGRSILAYLAGSYDRDRPPAILAGSCTYAYLPVLRSEAETLTAANYSRLLKGGFMLEWSGTSVGDCSACSASGGQCRYINESAEFACLCPSSKTNGSTCSANSHSL >cds.KYUSt_chr1.7583 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46549497:46553508:-1 gene:KYUSg_chr1.7583 transcript:KYUSt_chr1.7583 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAGGPNGGGGGKMVSLRLQYYCVFAAVGVAVIVLSLTFLSPSAMGAVRQNLGTVVANSGAGERAARQAVAAVVAKPEPEPEPEAEKVEEKKEPPVVLFNFGDSNSDTGGVAAAGGINIMPPEGRTYFGHPTGRLSDGRVIIDFICASLNTHELNPYLKGVGSDYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKRRSLELFERGLKGPVNKEGFENALYMMDIGHNDVAGVMHTPSDQWDKKLRTIVGEIGDAIRILYDNGARKFWIHGTGALGCLPAMVAREEGEHDAHGCLANYNRAAQAFNKKLSDLCDEVRLRRKDATVVYTDMFAIKYGFVANHTKYGIEWPLMVCCGNGGPPYNFNPGKYGCGDLCKPEAKVLSWDGVHFTDFGSGLAAKHAMSGEYSKPRVKLASLINGASKKPSSVS >cds.KYUSt_chr1.16888 pep primary_assembly:MPB_Lper_Kyuss_1697:1:98078401:98083074:-1 gene:KYUSg_chr1.16888 transcript:KYUSt_chr1.16888 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDAAAPEASTAPTSKRSLGFFANEDDLMSDSSNGDKDPPPSKKARISSERTASTKEVVPVSSEEAMIIPPPPRRAVRKVKENAPPITPSADAPVPLSSDGHTIQAAVDIVVDFAAQFIHLEAENARLREVAKSLTDQLERANRLATESQEEAADSKKELDLLKAKIREEEQQKIEAQAQADKKEGDLRKSIESLLSAADMPVNRTNRLWVDSISDAISFLVDSSDQIQELLKKAKGALSKLFLLIFPKLDQERTMEELVNAFCIDTDDTIEQNIPDGEIPGWYSRYGSSGEKESHCRAPDLCSPLLPHLLFISEAKEFAPRIADFGGGAEQPPAEKLRQAAALDHHLRT >cds.KYUSt_chr2.1949 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11696332:11701003:-1 gene:KYUSg_chr2.1949 transcript:KYUSt_chr2.1949 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCMRCPAAGAGGGAVVRRAAGPHPPPPPSVAFSFARCGGRSAAAAAGWRIDAVAGKGVKSPMDTGVENTATTSAPPKVENGTPSAITVEEFEDLAALSKDDEASVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFSIFGYARSKMTDAELRDMVSKTLTCRIDKRENCSEKMEEFLKRCFYHSGQYDSETDFMELGKKIKEHEGPRVSNRLFYLSIPPNIFLDVVKCASRSASSENGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDSYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVVGQYKSHTKGGITYPGYTDDKTVPKGSLAPTFAAAALFINNARWDGVPFLMKAGKALHTKQAEIRVQFRHVPGNLYKGAFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWELFTPLLKELEQKRTAPELYPYGSRGPVGAHYLAAKYNVRWGDLSSED >cds.KYUSt_chr5.7218 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45142563:45143216:1 gene:KYUSg_chr5.7218 transcript:KYUSt_chr5.7218 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAERLALAFLLAAAAVVAASAVDSKLTMHNLCPFPVYPLVTPIDGLPSIADNTIQLGANGRGLVSFPFPATFWAGRVVARTDCASPTSCETGTAPPMTVVQLVVHSADAGPGADLATYSVSLKDGFNVGAAVSPQFIGGGQCPVLGCPVNLNDGCPVNQRVVDKRGMVVACKGDYGYFKQRCPLTRVGGSDVEPVPQRCLAPRELKVIFCPAAI >cds.KYUSt_chr2.40588 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252128092:252128340:-1 gene:KYUSg_chr2.40588 transcript:KYUSt_chr2.40588 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSDSARTNLTVSEAEQLMATSPVYLRWMSLPASGAKLPRVTPRGGPERVDNGVEGWHVRTLKEQDVLQHWEDDPRCPPWR >cds.KYUSt_chr2.30743 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189341500:189341955:-1 gene:KYUSg_chr2.30743 transcript:KYUSt_chr2.30743 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHKSEPEMYTDTFIAALVAVSLARTSTTHASILKLYHVLVNAHVINRDPATWGPAPDEFRPERFEHGAVDGKLMISFGMGRRKCPGESLAMRTMGLVLGTLIQCFDWKRVGDEDVDMAASSGTVMLKTHPLEALCTPRPGLHALLHHI >cds.KYUSt_chr6.10822 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67131013:67133529:1 gene:KYUSg_chr6.10822 transcript:KYUSt_chr6.10822 gene_biotype:protein_coding transcript_biotype:protein_coding MGAILRDDKGGVILSAWGKIQNTANAEAAEAIAGLQAVKAVVGAFAGPISIENDCATLIKEIKEANKSKPAIMNSIEEIRRLLDLFPSYSVSKINRAANQVAHNLAKLGRNESTECVLFGAVPSGVEDMANSECFAAAAGTSVAVGGAVHGQEADDGEADPTDTEARRHAHPRHRHAACRPPRGRPRRRPLSLLRTTITDAVLSNDLQDPRQMVPLVAVREENRDQRPGPRALGGQPVHVGGLSSRLARPTATKGARRPLVAVGQANRD >cds.KYUSt_chr3.9797 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57576355:57576894:-1 gene:KYUSg_chr3.9797 transcript:KYUSt_chr3.9797 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAATSYPVARDAPPRPAPQTAQLGHGPARPGWGSWAILALAFLLLSGSFAWGVYQSRHRPRNLAFVVVTYYLIALLYCCLAKLDLLRRDDPAPAVAAERWRVRMAVWCVSVALANTVAARVADAMPSAGFKVAVWVFTSACIAVGFYFFFVRAGAGRRTQTDGTDLREVSPEQRV >cds.KYUSt_chr7.6851 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41333125:41337225:-1 gene:KYUSg_chr7.6851 transcript:KYUSt_chr7.6851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A2c, Reguration of meiotic crossove [Source: Projected from Oryza sativa (Os06g0693300)] MAAAAASYFSGAAFMPSQRPGPAAAAAHDYAAATPSPSKPRGNPRYSGCVPATVRHIARSLAAADAGGDPAFSIDGVKTSNVRVLGKVETVVSGETDVSFTLDDGTGKIPLVRWIADDADARDAAYVKAGVYVRVHVDITGFQANQQGFARSIRPITNFNEVVLHFIECIHVHIENTRPKMQETFPPAVQTNAYTQSSAYSGTGGQQHDLQSKVLKVLQAPDIISLENGIHIDELAGRTGAPRTNIMYHLYLTLSPHNMQVSDTGLLLQGGYQLTR >cds.KYUSt_chr4.27138 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170580206:170589689:1 gene:KYUSg_chr4.27138 transcript:KYUSt_chr4.27138 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLRMSSPPPDRRAFFTVKILINFVDPTDATTMAPDIAFSMCAHLSMHSPWRLRGSALPRPTGVDTEINHAGAGGIWAELVSNRGGCFVEGEWLRNAFRWRETIGPWEERPGHYGDVWKYWTDDGLGYYEFLQLAEDLGAEPIWVFNAGISHNDEVDTTTLAPFVEDVLNSIEFARGSSESTWGSIRAAMGHSERFPLKYVAIGNEDCEKQLYQGNYLMFYNAIREAYPDIQIISNCDGSSKPLHHPADLYDFHVYSNATFIFLMKDKFTRTSRQGPKFTVLERKFLTDPTVTIVFVSEYAVNDATDAGNGSLLASLAEAAFLIGLEKNSDIVHMASYAPLFVNDNDRSWNPDAIVFNSWQQYGTPSYWMQTFFRESSGSVIHPVGIASSYSSSLAASAITWKDNEDMFLRIKVVPVRSGLPGAAEKMQALLVPYSLTSFDLALDQYGGLVAEM >cds.KYUSt_chr3.9213 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53815410:53816682:-1 gene:KYUSg_chr3.9213 transcript:KYUSt_chr3.9213 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSGFRETVGDSGLELRLGLPAYFSKPVAAAAGFHGEESTGAGDFARQPKGEGSNNAYKTKPAVAAAPVVGWPPVRSFRRNLAASKPSSSKEDGRGNQDVDVAVKAGAGDERVSRKGQFVKINMDGIPIGRKVELKEHGSYADLSAAVDNLFRGLLAAQRDLAATADGKLLAISGGEYTLVYEDDEGDRMLVGDVPWKMFIATAKRLRVLKSSDLSASSLTAGSRKRPAAADC >cds.KYUSt_chr4.24287 pep primary_assembly:MPB_Lper_Kyuss_1697:4:152976437:152978684:1 gene:KYUSg_chr4.24287 transcript:KYUSt_chr4.24287 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFDPGCCRQIPALLHPGDRGDAFTDSFVITRRPDLALHLRAHQATPSASSWLYRWRDAERRCCLCVGDVLLMVVVMELLVRFQFEKKKMIKT >cds.KYUSt_chr5.21793 pep primary_assembly:MPB_Lper_Kyuss_1697:5:142295931:142298483:-1 gene:KYUSg_chr5.21793 transcript:KYUSt_chr5.21793 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAQPAAGGHWLAAAPPNLRERVVQNIVRNLPQVDDIHGIASRYEAMVFSQSGSVEDYVRTISKKMACFQQKRQPVERPASGHQQQTHMGHQMRPLNAVPANPSAAAMPRSASAVPLAVRPSHVQHIQAQPSFATPVAAAQWHHAPRNTTSTTPVTPVVQQLHPRHRTAVTQVQTQCPARHNSTQQPRPQLMRMDHQQDLRQSYHTHGGQQSSAATMQMGHPEGHNTQQFGHPQVQINQQSAVQVDWREDFFQKITSLKDAHLSELMEFERALRASVARSKTDEELKSLPKEQADQYKNAASVMTRISCVLGFLQLQKSSIPEHAKDHFDMCQASLYGLLQFYRKSNARNARRNAELQSQNCHELPRVVNITDAGATDVTLQKHEEQPAAEALSQSSSQNVPAESPLAHHQNHSGQLAGEAENSEVHRVAEAPVAISLTPVTSDTPPFAGGTCCQEIQEHPTEQEATSQLTQNVDPAGTSPAQKAEDDSVKAEAETPVAVEAAKTPLSMLALRRLASDMGVNLKRAFPHTTTSGTTDGSSCVWFDDEPSGESSCKRRKTQEVSLLDDIRATCSMLVETHIIIISEDHTDGTVIELCYNGVSLGPDLKLRAAIGASEISTKLLVPGDYPRSSPVIHSDGEQRSGLPGVVDMAFRRALGLLPEPRSIEGMARAWDSVARRAVLQFVYRLTGGTFGSRYSHWESCIPV >cds.KYUSt_chr4.49012 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303577197:303581581:-1 gene:KYUSg_chr4.49012 transcript:KYUSt_chr4.49012 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSTKLSQYLRERNTATRKQLGTTRLKLLAEPRQELKYALKLQIRAHSQTVGTGGTHSCTKRIKLTPDSSTLSIELINSEITLLKSMLLPNHRRVKSIPRLMIALTKKSPLSSNSGKTHELSTILRQNTGSENSSSTSILIYPLSVVSQIQPVRRNSGRILLDLPVILSQSSIISTLGCILDLLSSIRGKGNDRRWNRRHRSSGARRTGDLARKQTPKTKTVHVDAHKGNEIRLFGVACAGEELEMDNSGWLGFSLSSSSAAARGYGDGGGEGGRGSGGDGGSCSSPTAAATAAAAGSPVVGVPLHSGGSAQYDGQDWRHHAEAKGPKLEDFLSGGYNNDRSSGIYDDGNRADQLKYHQDVHAFPADSYYRGHGGGGIIGLDINNAPPCAGLSDHRSLAPQDHHHHFMPPHHGHAQYALCTPNQGAGTMQAAPMYNAAAGFDGSMSISGIKSWLRQSMYMPERSSAVPPSVAVPAPIEPPLPAPSVPVPRKLAQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQGGYDKEEKAAKAYDLAALKYWGPTTHINFPLSTYEKELEEMKHMTRQEFIAHLRRHHQHGRWQARIGRVAGNKDLYLGTFSKFLFSTVGHIM >cds.KYUSt_chr2.29476 pep primary_assembly:MPB_Lper_Kyuss_1697:2:181376567:181381382:1 gene:KYUSg_chr2.29476 transcript:KYUSt_chr2.29476 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRQEKGKANPAAFSVAEAGFGDRTDIDDDGRERRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPAVLVAFSVITWFCSSLLADCYRSPDPVHGKRNYTYGQAVRANLGVGKYRLCALAQYINLVGVTIGYTITTAISMGAIGRSNCFHRNGHDAACKASNTTNMIIFAAIQILLSQLPNFHKIWWLSIVAAVMSLAYSTIGLGLSIAKIVGGVHAKTTLTGVTVGVDVSASEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSSPAENTVMKKASLIGVSTTTMFYMLCGVLGYAAFGSSAPGNFLTGFGFYEPFWLVDVGNVCIVVHLVGAYQVFCQPIYQFVETWARSRWPDAAFIHAERAVPLPAILGGGEFPINPFRLVWRTSYVILTAVVAMLFPFFNDFLGLIGAVSFWPLTVYFPVEMYMAQAKVRRFSPTWTWMNVLSVACLVVSVLAAAGSVQGLIKDVAGYKPFKVS >cds.KYUSt_chr7.31709 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197529933:197534089:-1 gene:KYUSg_chr7.31709 transcript:KYUSt_chr7.31709 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWHAVAALCIVYIVAAAVAADDKGLSSGGTVAAAPSEASTMGKMASSGGATYHHVWPPMKFGWRIVLGSFVGFLGAAFGSVGGVGGGGIFVPMLTLIMGFDPKSSTAMSKCMITGAALSTVYCNLKLKHPTLDMPVIDYDLALLIQPMLMLGVSIGVICNVIFPDWLVTVLLIILFLCEEVVYTLPPTETKTPSDEAESIWKNIYWKEFALLAFVWVAFLGLQVAKNYMAICSPWYWVLSSLQIPVSVGVTMYQAVGLAQGKRVISSRKRVCNPLPTLKKPLEKNSCLTPINPFQNGESDGFG >cds.KYUSt_chr2.31285 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193140576:193141112:1 gene:KYUSg_chr2.31285 transcript:KYUSt_chr2.31285 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYVGNVITFAVAEASVEGIGRLPLPGVASMVRESIKSAVNDEHFQELVDWVEEHKGAAKYVETATVGVGSPVLSVSSLASFRLDTDFGFGRATLVTWLDEMEVEKEEEKEMDWGRLSSGFVKIVARPGGSCWFFGMSIWPSLAAAFDSDEQHIFKPLTAEYLGLVAGAKNSRASRL >cds.KYUSt_chr2.14625 pep primary_assembly:MPB_Lper_Kyuss_1697:2:92406217:92408473:-1 gene:KYUSg_chr2.14625 transcript:KYUSt_chr2.14625 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPSSPHRPALRPGSLQRLLRPHDPSDDTDDAPTPRSCSRSRSHGCRVLLQVTNITPALSGADPFSGHQGFYLRLSDSSRSCYVSLHADHDDLILANGLHIGQVIEVDHLLPSVPAPVLRTFRVLPGRYPCIQLHDSTDDDVDVCSARAEVKDKEVVSERPRRPSPTPPLPERKPRQSGSPAAIGHRSRSITNLSDACAAASSPVKTRSGERRGADFLKKVRKISVASIDGNSSDVDDDDDESDVSSSLSYARRNWDFSGSTKDMRPVAPRRRSNSISPGKSGPDSTAHQNDPLESVRRKAEKAFKVLSKRNTHGSSKTPRESSSPAAPQQSASTSGIRWCEDNVLWSSLSSSLVRHGKEAVKQRDMALQAVLDGLLEASATEKLIKCLITYSELQSDKDEDPKELIDRFLQFSQELDHAIFVAQSQTRLRHSKSCGSNSTSSASAKTAIKAALDRKQSAISWVRAAIEADLSPLSSHTKVTSESAKPSLSESKPVTPRFCCSKPKCNCNGKSSSRKPGDACAEGSNLSAAMDLAVALRSECTWWFLKYIDKFLDDTESEAGYVTCDSQIAGLLQQLKRVDDWLNHVVRHERMLPVDRSSRDGVFSEEEKNDACERVRRKIYGALLRHVQYAAMALESINSVVTEEEN >cds.KYUSt_chr3.39971 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251913996:251919113:1 gene:KYUSg_chr3.39971 transcript:KYUSt_chr3.39971 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLLVMAELEQDDVRVLKQTIIGSHGWFWSWGYPACPAFTDAAKQLPVSLEFIIDEPREAKQLPVSLKDIIEEQGEDAVEQLDYPLEVAVEESREGVTQQVADSLEVGIDESPEGASLRNSAACEVAFEDGGTTQVINIDSGKDENGSRHHVGCDKEVINLDSDDDEDLHMEQRVPERATCGAPEATNGIAARTHHAPEAAYELAAHKHDAAKAINGVTVPTVCASKDLNGITVPAVSTSQAMTDGVAPARITLNGATESLRMWHYIDPTGKEQGPHSMDQMRKWQEAGYFDQAFLVWRTGQTRRKAILLVEAMRMTF >cds.KYUSt_chr7.37920 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236479529:236481605:-1 gene:KYUSg_chr7.37920 transcript:KYUSt_chr7.37920 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEHFDLKIASRTLVQASHPPPDFPAVHAVSNLDLVLGPFPIWLVCIYGPPPCGLDAVLSAVRAALPAYLSRFFPFAGRVVRDPATNIPQVACSNAGAELVVADAAVPLAAVDSARVDRSLGLIQIPFDAGLPMSIQLVRFACGGFSLTVATNHLLADGRAFILLLNSLAEMVRTGGGGLSREPRLDRSLLAPRSPPRYSPSLDAEFARFTPETMINPLLAAAIERRLYRIDAADLVGLQRAASAGGRRRTSRFVALCAHVWKLLARAVGESDPSCRMAWIVDGRKCVEPSDGALDMYVGNVVTYTSREASVAELLRAPLHDVAAAVRAAIAGVMTRDRFQELVDWVEENKAAYKDGGKWTEAVNLGLGSPALVISGLLPFTIDGDLGFGKPRLVVPWLPHGRLGSASVTVVPCPTGDGSWFVGGTRLWPRLVEVIEAGPESLLKPVTAASLGFEVSTGTHGSRLWSVNSATKPGRHWCEGNLSVVASLPFPTADQLVLVLVAASGGGSVKCKRHGTHIVLVGTATPDAVMIMPGGASKAAPAPHTRKKSDVNKFSMAKPPKKASMAALTATTMAAPPSDAASAQHVFVDC >cds.KYUSt_chr4.50764 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314648709:314650490:1 gene:KYUSg_chr4.50764 transcript:KYUSt_chr4.50764 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVRCEANYVPLTPLSFLERAALVYGARTAVVYGGREYSWRDTRERCLAGASALARLGVGRRDVVAVLAANTPAMYELHFSVPMTGGVLCTLNTRLDAAMVSVLLSHSEAKVFLVESQFLAVARDALALLYVECREGAPEYEALLRSAPRGFEIRWPADECDPISLNYTSGTTSRPKGVIYSHRGAYLNTLAAVVSNEMPTMPVYLWTVPMFHCNGWCMVWGTAAQGGTSVCMAGSLSPKVVFEKIVRHRVTNMGGAPTVLSMLVNAPASEQRPLPGTVRISTGGAPPPPHILAKMHELGFNVVHGYGLTETYGAATLCVWKPEWDALPAAERARIMARQGVPQLMLDGLEIKDPVTMATVPSDGRTVGEVMLRGNTVMSGYYKDAAATAEAMRGGWLRSGDLGVRHPDGYIQLKDRSKDIIISGGENISSIEVESALFGHPAVLDAAVVARPDDHWGETPCAFITLKDGANATEANIIGFCRERLPRYMAPRTVVFADLPKTSTGKTQKYLLREKATAMGSLPKQGTSKL >cds.KYUSt_chr3.16855 pep primary_assembly:MPB_Lper_Kyuss_1697:3:103278105:103301848:1 gene:KYUSg_chr3.16855 transcript:KYUSt_chr3.16855 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTGGDGRGRNRAGAGADAGGGERRPPVWWWRGAWAAESALCASTAAARRCGEPLGQISAAAPTSPSLYNGQCVGLFSSKMLLPPVWTAATRHSGSSAASSDGEEESMASWGITEDSGNDLSLYNRPRVGLFSAKSLPKVRSIISSMSTAQMSRRLDLCDRQWMEMRQKEALLLDVCGFCGFQLVKDIIDFVRWRVLSKLQLGESAAADGYFSCLGGKENQALPVPSAVKPCYCSMTKLKDRVRVEMRLPSQELRRTCQEVVTMMPWRRDGVWWQRMLARHKIAADEDGLAFKPWEQAGEIDNLTVSLGQSTLVVLCGFHVGAGIPGVAPHYIPPPSTFNVLLGSSWTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNSNGYAIETARDKAAEIFSNIGDDDPIALDYNGLNFDDCHISEVIKFLQKLAKSPNASAINLAFTHHITNALIKAREEKLERETSIPKKLEDGWEPIIKMKVKDFDCNALCDLGASISVMPKKIYNMLDLPPLKNCNLDVNLADHSTKKPLGTVDNVRITVNNNLVPIDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEVKPLIEKKAVRAIFSELDEINAKPPIFSGRLQNTEEESERGQGATTPHGGAGQA >cds.KYUSt_chr1.29044 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175661822:175662064:-1 gene:KYUSg_chr1.29044 transcript:KYUSt_chr1.29044 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMACSHCGELRRVRTEGQFVSCDSCGKVLQERGRKQHAVIDGGSAAAPAAVAGEEEEGWPRGGLGSLRRRHGGRMRSI >cds.KYUSt_chr2.44944 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279988124:279989119:-1 gene:KYUSg_chr2.44944 transcript:KYUSt_chr2.44944 gene_biotype:protein_coding transcript_biotype:protein_coding MGANAGDNEGLAEPSGESSGAMMGESSGAMMGASSGAMMGASSGAMMGASSGAMMGASSGANVGDGVGASTLGASAGAVAGDATGTMNRGDGADAVGAVAGGMAIGEAAGGNVAVVGAATVGAVAGEAAVAARGGAETGADKVAGDVAASEGEAALVGGDDVAANCGEAAVVGGAAVAACGADAATVGAAADTSDGAAADGTVVGGAADTCGADTAVVGDGADTFGAGAAEVVGDAADTCGAEAAVVVGGAADTCGAEAAAVVGGAAETCDGEAAEACGADLAVVGAAADACGVEALTGAAFDADGDDAGDCAAQETRRSERRRGTSAIAA >cds.KYUSt_chr4.7899 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47053772:47059990:1 gene:KYUSg_chr4.7899 transcript:KYUSt_chr4.7899 gene_biotype:protein_coding transcript_biotype:protein_coding MVWAPRQPSNPALPPTYSLHRENPCTESHDTENLPETPPPPIPSRGIQEIASGTLPERGIMSRRTLHHHDRLRIDLFHVGAGIPGVAPHYTPSPTTFTCSLTPIGDYRDDKKRRLPKGHTGELSSRLLLLDEDLEEMLASLTVDDIFDEGKSGDCRKDRKRKSNQDNAGDLVNRASDDLLENMFSSLLIKDSALASSASIRSGKHASVLVLDDNILSEDNTDCTTSTDCSAPDPRSLSSEEFIERVNNLLLCHEGTGVEVFEEPRCILYLKKFSVLQFVNLFILPSWNNALALTYLLKATPYLKRLRLEDIMNSLELDLPCMQACSKQHHHLDNFGVSWPEGISLDKLRTVIVGGFTAQAPLIGLLAFLMRVATRLKYVQIDTHHHICKGMGKWVREDVGDKAARDHARNAAMATIALKVPPSAKLVIK >cds.KYUSt_chr6.12885 pep primary_assembly:MPB_Lper_Kyuss_1697:6:80338617:80338976:1 gene:KYUSg_chr6.12885 transcript:KYUSt_chr6.12885 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSPRPFHRPPPRQLPSAISDGVPEVGCMVCRWVHDNHQCEWLGPEPRLDGWRHALLHLPLARPLAGPCGCKGQREPHARALAGALGGDGGKYREARLENLGEMAATGSPRVAQASWD >cds.KYUSt_chr3.10662 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63336491:63337152:-1 gene:KYUSg_chr3.10662 transcript:KYUSt_chr3.10662 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGSIVSRSSAASRREASSGGSSGARDLGRAIVLADGKAPCDELGDAQPVLLCACSRPALSLRANTIANPYRYFLKCGNPARPPVRCESYRGIQASRGRECCVSLKGQAPHDADVANLQTHHEKEIDGIQAELAEAKANSRKLKIVIACLVLFCMLRMA >cds.KYUSt_chr1.39359 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240858877:240860059:-1 gene:KYUSg_chr1.39359 transcript:KYUSt_chr1.39359 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDGDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTMTDEEHADPRHHPENYTRWNSYFLQRWERELAAYDGPPPPPARNNAAGRRRWWSAPERTLANVLTHIEGGNFPVLTMPPLSASRASASRRRGSVWQPRRMAASSSSSGSASRGRSSGALVIREQPSAPQSGRKKTKKEAAASQLAEEEAKRAEDAAMAEAIARSLHDMEEEKRADDAALDWARRDWEREEAEQQRRLLDLAAARQLAARAAPTANDDVARYRRPATPPSFVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQAAQPKANDDGSDDDGGDYTVFYRHFGM >cds.KYUSt_chr2.3276 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19432025:19434010:-1 gene:KYUSg_chr2.3276 transcript:KYUSt_chr2.3276 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCNGNSSASPMEQQTVTESKFGGIAPKKPLISKDHERAYFDSADWVLGKQAASNSAQATAIESLKPKLKVVGCGITRVAEAVREMEEAGLDLWFSLLRMLWSARFRVENLQLDSWFLQLETVRIG >cds.KYUSt_chr5.33848 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215003243:215004220:-1 gene:KYUSg_chr5.33848 transcript:KYUSt_chr5.33848 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSAEIVIDAGCFRLYKDGHIDRLSGMDTVPAGFDADTGVTSKDVVIDAATGVSVRLYLPAVRTAESGGDIKATAATTKLPIVVDFHGGYFIVGSTGYPGHHRYMNSLAGNARVLAVSVDYRLAPEHPLPAAYDDSWAALSWAVSGAADPWLSEHGDLGRVFLAGGSAGGNIAHNMAITAGLTGLRAAQVRVEGVILLHPSFSGEVKLDTEAEEYRVSVEKRWAAIFPGAKGGLDDPRMNPLAAGAPSLRTLPCKRMLVCAASEDPRRPRNRAYYEAVRSSGWGGDVEWFESRGRGHCFFVEDHGSREAVALMARVAGFIAGH >cds.KYUSt_chr3.11261 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67138745:67139893:-1 gene:KYUSg_chr3.11261 transcript:KYUSt_chr3.11261 gene_biotype:protein_coding transcript_biotype:protein_coding MHMAAPLVVVQAAQQHQMVPCMYIFGDSLVDNGNNNNILSLARANYRPYGVDFPDGAAPAGRFTNGRTMVDLLADHLGFRPPLIPAYALAEPADHARGLNFASGAAGIRHETGNNLGGHYALSEQVEHFRTVVQGLEPGKSQQLGRCIYYVGMGSNDYLNNYFMPDYYPTARNYDPSAYAALLLADYERQITDLYALGARKFVIAGVGQIGCIPYELARVNDNPPPAAGIGIAVPGISISVGGGGGGNAGKRNGGCNEEINSAIAIYNKGLLAMVKRLNGGSQTPGARLVFLNAVNSGKDLAANAAAYGFTVLDRGCCGVGRNNGQITCLPMQTPCDDRSKYIFWDAFHPTEAANRIIAAKVFNSSSTADAYPINVSRLAAI >cds.KYUSt_chr2.54219 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338332432:338336604:1 gene:KYUSg_chr2.54219 transcript:KYUSt_chr2.54219 gene_biotype:protein_coding transcript_biotype:protein_coding MESAIEWLADTILATLQIGKLGAWIRRAGLADDTEKLRREVERVELLVRAVRSGAAGNASGSSSMKPMTWSTTSTTAGSNSSRGKKQSKAWDDFDITEDTGKPVKAKCKHCQQVVECRTDKWTSVLHNHVKSEGYKKKLGATDQTPNPSSNNDPTENAAPIVTGDSFGTKRRRDEDLAQITATSIHSWHKAEFSNRIQEITCQLQDIREDVSDTLKMNGSSYDAGIGGVGKTALTQLIYNDPLVKEQFERIWLSVSNNLDEMRLLEQN >cds.KYUSt_chr7.32069 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199648337:199648591:-1 gene:KYUSg_chr7.32069 transcript:KYUSt_chr7.32069 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGRGDACGGLRRSFFWPAVEAMRRGDDVGFLWRIGDPATGVVIINISDLRALCLSWPAKAARERMMKIVRWLRFGGGAEGF >cds.KYUSt_chr2.2087 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12613662:12626384:-1 gene:KYUSg_chr2.2087 transcript:KYUSt_chr2.2087 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALRASAFRRAVPAARAATRSWAEGAAVALRPAHRLCQLHCSARPNSSLKEVPYTLNQPLRYSTTIFQRFGFSSSTPQENDKEVNQPKDQETTAQEINAETSKEDSGSSGGAEDLDLSKEDLVKLVLEKDELLTSKDEEIKDMRDKVLRSYAEMENVIARTKRESENSKKFAVQNFSKSLLDVADNLARASSAVKESFSKLDTSEDSSGAVPLLKTLLEGVDMTDKQLGEVFKKFGVEKFDPLNEKFDPERHYAIFQMPDTSKPSGTVTAVVKGAAGSVKQHISSLVASSLRATAPQVDVQPMVEVCAQNFGDYQCNNAMGLWPRVQGSAGTSFRNPNAIGQAIAENLPACDAIESTSVAGPGFVNIVLSNTWIAKRIQDMLVNGIKTWAPIVPVKRVVLDFSSPNIAKEMHVGHLRSTIIGETLARILEFLNVYVLRRNHIGDWGTQFGMLIEFLFEKFPNWEELGSQAIGDLQLFYKASKERFDNDEQFKVRAQQAVVRLQGGGEKYRTAWKRICQISRNEFDEVYKLLDVQLEEKGESFYNPYIPQVLEELSNQGLIKESKGARVIFIEGHKSPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMIFKAARMAGWLPDPNEKKFPKTSHVGFGLVLGADGKRFRTRSTEVVRLRDLLDEAKSRSKSVLVELLDKKGKLVDWTDEELDKTSKELGYGAVKYADLKNNRLTNYTFSFDQMLSVKGNTAVYLQYAHARICSIIGKSNMDLEDLKMNASISLGHPDERALGLHLIRFAEAVEEACNELLPSVLCDYLYNLSEMFTRFYTSCQVVGSEEEPSRVLLCEATGIVMRQIFQFLGITPVYKL >cds.KYUSt_chr6.34045 pep primary_assembly:MPB_Lper_Kyuss_1697:6:213364405:213368226:-1 gene:KYUSg_chr6.34045 transcript:KYUSt_chr6.34045 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVGARRSTRVFVPPKTNPRPQSAERVRRSGKRLAFSSSDNTPHSHWLRWEPDTNAQQAADEQPKPHPTPPVPPPPPERAFRAVYKRKRRQSLLPDEGGTSLDRRFGIVFTRKSKRPKVAPFHGAAAIPCSSSSRDFLSRIGFLDTHFFTLGDGVATRTGVLVALVDTSFPGSSPEFLRFLLPMMRWMRRSQWSRVRNLASFFLLSSTALVTMFASQGLHFVRLQRPIAVRALLQRAMLHCGWCELRGADRLQQPVLSLNFSALPSYFQGLHSVIALRSIYLPAVIRRAMGFVGEAQEAYPRAHLEAHSGSPTPPLGDAAQPRGLVQDYVPLEQAAGVVVHGPRLKKHQRKRTSTRHPLSRHRLVARFPAKAIAAKQGTMASQTVLKPALTDHKVSGEPVQPKPALEISLDLLENMDDSDVSTPIGPNGMHKRCSFKSPTIERTNERLALSEVRQNIDTFQCKANLLIIQADRGWREEGALVMLEPSNSNGWCVAVKLHDVTRVSLKPSEQRFYVVNRVTGAYVWQVEDGWKLEFPHKWDWLLFKELHIEGRERNSQGKTIPIPGVNEVSDDMGGTVKVPFSRPEPDYIKTADDEVARALSRDSAYDMDSEDEQWLIQLKHGASDRRSTRQNNVSFEDFERIITLFEKDAYSNPEEANDVDQLLSRYPALGKGDNVLAIYQYWINKRYKKGTPLLKIFQGAPVRRGRLLQKSSVKKKRSFKRQRSQTGRGKPGFFLQDNAEEESALQRVVDAERAAKQATEKAVELRTRAQALMAKANLAAYKSVMALRIAEAASVSASYRDHVCKALLD >cds.KYUSt_chr4.21285 pep primary_assembly:MPB_Lper_Kyuss_1697:4:133988592:134005363:1 gene:KYUSg_chr4.21285 transcript:KYUSt_chr4.21285 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRAAMADDAAGRGEAMSTIGGDNKEKSSAAAAALLSLLRAKSERSAEAEAKVEWVRSQVVGRDAGVDTPFGRRALVYADHTASGRSLRYIEDYILTQVLPFYGNTHTEDSHVGSRTTRMVKMAASYIKRCMGAGADDALLFCGSGATAAVKRLQEAMGVAVPPLLRAQVLAQMRAEERWVVFLGPYEHHSNLLSWRQSLADVVEVGAGDDGHVDLAALQRALSAPEHANRPMLGSFSACSNVTGIITDTRAVARVLHQHGAFACFDFAASGPYVEIDMRSGSMDGYDAVFLSPHKFPGGPGTPGILLMHRSLYRLASSPPTTCGGGVVAYVNGVSEDDTVYVDDVEEREDAGTPPIAQKVRASLAFWVKEHVGLAAIALREGAHAEAAMRWLLSNPAVEVLGDVAAHRLPIFSFLVYPGEDDGGISTPTTRRRLPLHGRFVARLMNDLFGIQARGGCGCAGPYGHALLDVGDELSLRIRSAILKGYHGVKPGWTRVSFAYYLPREEFQFILAAIDFVAAHGHRFLPLYDFDWASGNWTFRHQAIKHHLMMGELLHDHGTCSTEVSCSGDDDEPRADVSDKFDRYLQFATKVALSLPDTCGEQQTSTLRLGLGPEGDAKAIVRRHPTMDGSALGIGVLRVYDGDEESKVSTTDGDRNRNRVSHEDRPDRIATRWDIKGNQGGRVSNLIRVFDTQSTIYDPGSNLRPVPAQTMSLLCGGGDHGGTGEVPTHPKPPAGDKIVLAGMERCLAIMGREEDLDNNWDGAPTEGKNAGPEARLAGGIDLVDEFCMEEEAEVDVPPARPKVWRMLARYYSLKPANFNLIHTHFSEVWRIRGKMIFKPLKDNFFIITFTQEGDYKFVDGGGPWIHLGVACVIAPLVDSAQPSETVLDTYPVRLWVRFYDVPWRKQTKEYGVLLGSKFGKVVTVDVDEEGLELSEYLRVRIDWPLNQRLLARFKTTIAGQPAPRIYQMRYERVPYFCFHCGFIGHNEDQCEQKVLGMRSLQYNATLRCSPKRKFQSRAVATPDEPAVKKSLRFSTPEGSVSSSSLGIPTNQARVLSNPAAPPSTEIPQAVDAHVGFEEDEQRTEDAVETALANTVNNMRLKMTKENIVPDSPDFGHGKKVMPGIPQFAPGSSSGTAEVALAAGAMEIMQHVNLNTSGGREALSGPHSSDMIPALRGLSQLEGSQGSGSDVSMTLADTVLGKRPTEDDVAPGQKLDLSLTLHSIALGGKQKKGKKGGDEAHEEKKGTSADSVAARTRRKIKTGHGASGVPYTYDNKRVGRANVRVRLDRAVACPAWRDLFADTQVQHLTSPVSDHCPILVQLEQESWSKRKFGNVLRELDKARKQLEILKLNGADQREVRQATDHMNELLYKEEMLWLQRSRATWLKEGDRNTRFFHQKAVWRARKNKIKKLKESDGSWQHVPSEMERMATSYFQELFTRDPSLNSELLIAMTRERVTESMNTDLCRDFTDEEIGDAMFQIGPLKAPGVDGFPARFYQRNWGTIKVEVINAVKLFFVTGRMPDRVNETAIILIPKVDQPETLKDFRPISLCTVIYKVIAKCMVNRLRPILGEIVSINQSAFVPGRLITDNALVAFECLHFIENNTNTNKDFCAYKLDLSKAYDRVDWDFLKKVMQRMGFSCRWVDWIMSCVTSVSYKGMDHRHGMQLNISKGKMVVDHHRGFKKNNKPVEDRKKVKMKWIPPGDNEVKLKVDGAFSREDRAGIGMVLRNQQGAVIFTACRQLQKCRDATEAEIMAIEEGVILALQWTDTRLIVESDCSMAVELITGTKPNRSAYAFRINLKNNGSNFTDWFPNMRIVLTAEQLLYVLDAPLGDPPAETASNEVKNVYLTRKNQYSTVQCAILYDLESELQKRFENQDLI >cds.KYUSt_chr1.33717 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204887205:204888401:1 gene:KYUSg_chr1.33717 transcript:KYUSt_chr1.33717 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQEGSRWQFPTDAFVEILLRLPPNTRHRFRLVSRHWRNVVDESSFMFPQCPKTIVVTDGCSPFVVDDRTGRRRDLWSSSTPARCTSLCIVGTSNGLVCLCDDEVAGGAITVANPVTGETLDVPPLPLPPSCDDADQEQCHPVRWHQAYSFGYLPTTKRYKVVRVPCRFDDQDCEFPTLQVFTLGEESWREVVIPASLSGCNLGLGIVNINGTMYWIAADGHLVSFDLEEECAMLVRPQPWMSNKCFLTEVHGRLGVVVRDFILMDEHTVVWVMDNPQWSRWYILQVTPPRKRPGQRRRGYQRLTRPHFVQYTDHVLTWESNSGHVGLYKHKPPKNNESIGWGRGGWGRVVGRIDDRDRGLVVADIEGCSDTRVFAYVETRESLNAYTLGDKRRPEL >cds.KYUSt_chr2.2408 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14557738:14562750:-1 gene:KYUSg_chr2.2408 transcript:KYUSt_chr2.2408 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPARASPPPRPTRCDSAARTLLPGQFHRWRLLRGEAAMLHALLQDIAVPPGSSYVLMKESMHAQIVVLEHSPQPTLVAIRALSATLRQRQPCRCSSAMGVRASLRTTTVPLELSQLHKSSEERRQGGNGRDRAGGQDGFPNVHRGGLGAALQEIVNLATQRRHRSCGTCVEALPRSFDLAKVLRTEVHADGLLELLCCLPLDTGRKMVVAAGSMGAMVAAGR >cds.KYUSt_contig_1307.30 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000126.1:225203:225625:1 gene:KYUSg_contig_1307.30 transcript:KYUSt_contig_1307.30 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAHEHDGPRRRPMLGGIQDAPAGRENDLETIELARFAIAEHNNKANAVLEFERLVKVRLQVVAGCMHYFTIEVNEGGVKKLYEAKVWEKAWENFKQLQEFKPAA >cds.KYUSt_chr7.1359 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7364233:7366656:-1 gene:KYUSg_chr7.1359 transcript:KYUSt_chr7.1359 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAYMGQLLAHPLLTKAVTSGVLAGCSDAIAQKIAGAKKLQLRRLLLITVIVEQLTASPLNNLLFMVYYGLVVEGRPYEQVKSKIKNNYANIQLTSWKFRPIVSWINYEYVPLQHRVLFASSVASCWAVFLNLKAARSSSLPATSKNA >cds.KYUSt_chr6.1225 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7617417:7618568:1 gene:KYUSg_chr6.1225 transcript:KYUSt_chr6.1225 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRGCLLTHILSSSSATYPISPLHRLLSAAAADPSPVFAVEQYLVDTCGLTRPQALKASAKLSHLKSPSKPDAVLAFLAGIGLSSADIAAAVAGDPQLLCTDVDKTLAPVIGGLTAHGLSRTQVARLVSLGRPIFRNRSLASNLPYYLSLFGSNQNLLKLLNQSPHLLGCSLEKVVKPNVAFLRECGLGDCILSKVHFSTPHILSVNPERLPAMVACAEGLGVPRRSPMFRHVLYAVAMVGEDKIAAKVDYLKKTFRWSDAEVGVVACKTPQLLSRSKDTLQRLSDFFIDELGLEPTYIAHRSVMLMYSLESRLKPRYYTVKFLEKNGLVKSFPSYSTIFDMTDKVFVERYICPHKEAAPHVYEDYVAACKGDVSTRFLSA >cds.KYUSt_chr5.9061 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57533564:57536950:1 gene:KYUSg_chr5.9061 transcript:KYUSt_chr5.9061 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKKIVCEYEKKKPWAFWAKPRAGPNSARSLSLLRDSVWSLSCTIPGQKGEKKRRNKTLLSSASTTPAGAPHPLSPPARRRRRPSTGMESTGGDPSGLASAAALHASADASGPEESGHVDDSNTISDDAPEQFNCFPEQESNDASVSTEKKQPAGSKCKSVEELPRAETAKRCKTTDSKKLSSNNSNSLSLAAIQALRKPPRKGAHPAQLRESVMSEDKRPLSTWICKNAACKAVLTSDNTFCKRCSCCICHLFDDNKDPSLWLVCSSEAAGDRDCCESSCHVECALQRRKAGRVDLGQSMHLDGHYCCAACGKVIGILGCWKRQLAVAKDARRVDILCSRIYLSHRLLDGTIRFKELHQIVKDAKAKLETEVGPLDGMSSKMARGIVGRLPVAADVQNLCSLAMEKADDWLQSNSQAETKQIDTLPTACRFRFEDIRASSLVVVLKETASSPYHAIKGYKLWYWNSREPPSTREPAIFPKDQRRILISNLQPCTEYAFRIISFTEEGELGHSESKCFTRSVEIIHKTIEHGAEGCSSTAKRDVKSQNGRSSSGFMVRQLGKVLRKAWAEEDGCPDEFCKDEIEDSCDHSDSLTPDKDQVAHVSCKRDLNENSVPDLNAEVVMPTEYLRNENGCSSGKIGLRTSNGCGDFATCAEGHVTEAPAMESRSQSRKQTSDLEQETCAEDGNLVARSQTHFSGRLGQLDDHYEYCVKIIRWLERSGHIKKDFRMRFLTWFSLRSTEQERRIVFTFIRTLLDEPSSLAGQLLDSFEEIVASKRARIGGFCTKLWH >cds.KYUSt_chr6.4608 pep primary_assembly:MPB_Lper_Kyuss_1697:6:26946886:26948048:-1 gene:KYUSg_chr6.4608 transcript:KYUSt_chr6.4608 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSEDQEGRSSGDVKWSIPGRAPPPRPAMSAYWAHWRYRRGRGHCSREQGREGTMAEVELDVKQSAMAEEVKLDLKDHAGKMKNRAGAGMDSSSRLSK >cds.KYUSt_chr2.31629 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195131220:195135204:-1 gene:KYUSg_chr2.31629 transcript:KYUSt_chr2.31629 gene_biotype:protein_coding transcript_biotype:protein_coding MPARDVDKSMRMDRCEPSTVTLAVLLRACTADRDIPGRSYLRNSGEQSMMFGLMMNSQLELNADDVLQGLVISYRELGHGLGDMGDSALPPPPPPYKSPLDRRRAADPRLYPDRNHQDPPHRSEIDLVRLDQDLQAMEAQQDKRGSRALDLAALDLAEEEGMPALLHRTTYDVPWQPQMVAEMATCMCPLSLWDYCWHRRQGAAPAIPDLNDKVTSNQQEAVSTLADRVDKMIVDPGQEVHQHEPWMGKDASLPIIQFPDEEEEAGDCRQESYGLLGMTADMFAQVQAFTNAN >cds.KYUSt_chr4.19152 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120334547:120335143:-1 gene:KYUSg_chr4.19152 transcript:KYUSt_chr4.19152 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISWVLLLSSALLAATAYIGRDAGQAAGNVATTHLHFYMHDAYDGPFPTAALVVTGRAPLSLPPDANAAGEATPPSAHSRRFGDIAVMNNALTEGPERGSARVGTAQGFTVRVAEHGAVNDLSLYLVFQAGEYAGSSLSVKGRVDTDTGVRESVVIGGTGRFRFARGYALSRSYDYDLANGGVVEIDVYVQLPPV >cds.KYUSt_chr7.25687 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160260184:160260768:1 gene:KYUSg_chr7.25687 transcript:KYUSt_chr7.25687 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRIFCHADLQAMRNRSDQLLSVERVSLDSVSIASDSCKLLLNLIEGRDYPKKVKLTERRYWNCRQMVLLSLIAGVALELHKIKHDLYPLVSREAKLEGGFVEHLLLLKNSALTLVRLAKDAKEIVEGLDQEVVIDGLLGKVNKFGKVVEDTADLVLKGTHNIAWLQKRLPSVLDPVDLLLLTPVQFPDPHK >cds.KYUSt_chr6.33629 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211201196:211201741:-1 gene:KYUSg_chr6.33629 transcript:KYUSt_chr6.33629 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRVFGVSPAASGCEWRSCRRWACVYFDAAVDGRVVPAALSFLCVLSAAWHVFLRPRASPVHVDGLPTGGSGGGFLVDGDDLVHRAAGGVAMDGVVSAMVSRLRRRSELHKGSALRHPRAYVSGTSSLYVAAAVEPRSAASDLFSCQARLVRCPLFLASVPLVDCTMYVPAAQYNHHGS >cds.KYUSt_chr2.53821 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335935147:335940521:-1 gene:KYUSg_chr2.53821 transcript:KYUSt_chr2.53821 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAPGQSAPKSLPCSSGKPFAADDDLLLFFFADTRDRLDPPVDAGYIGVCLTGCAATLPAAELRGERALAAATLAVQGEINKMKEDPVAGWNFMNPTLWALLDRMMNISGSPGFRAYDIADFGWGKPRRTENIKMNQDGQVAMMRSRDGQGVQVSVSLLHAAQMDEFKSHFLMDDADAERSSEEDWAWEALSAESSPSRPAPLATLDGFIARAAELGGSLRHGRRSAFAPGGKGSRFRRDFPPRFRRLGDGPTSVQGPRRARRGLAAAAAPVHRPGTAPVPDALRSPLRATPLRSGLVTCEGRWDPRKERRPGEAPVFALALQEWPATPARPAAAPPPPASPVGPMLGDANAFLGPLAHPGLFLSPWADGSLDPAHPTLGLAAKAQAVHPLGQYPKAKPGPGARWLWIPIGCTDPSLGFPARTSEVRLGRSLSRTLCRIPDPPDLSRSFAQALMAGGGGGNGGGGDGGDARKCRYDEHGYNNNNGPFGNQGSSSNYGGGRRQEQGGCGDSGWQEQGGRYDDYLSFNGPFEGRGRGDQGRGGDQGRGGDLGRGGDSSRGGDQGRNNNFTRQDGGMRPHFSAGTADDDRSWAAADDGSWGPPLHGGSRNRRGASSQPKPKGKGKQAGGAPAVAVGGECFRCGQEGHFQSDCVNDPVCILCSKTGHVTAGCPTRGRPLLLQSMGHAITGGGFFNSDVEPIKEPSQGELYEAVIHFASAPLSPELLSDELKYLMDVNWDWQVTRVSESEFTVLFPSRETLRMNTRRGQIFLPLCKRDVDIREAFVTPKPGKAFPSVWVQITGLPGDLMEKERLLAALTMLGRPIDVEELSLKKWKTEPIRVRFQCRHPERIKGTVQLCVNGEPYTLGVFAELNAPGGGGASGPPKPPAPRDDDDDDLDDLDSDDKSDGEHWNRHRRNDKNDKSGAANNTKAGQDGGSLGGSGKTTRAAAPGTRSAPSLGAISDQYGSNLAGSIPGLSLSRRFEALADLAPPVPGDGVPTPMDTSLPGLEESLASGETVSHVSDPMDDWLLDSPTGQAPEVPLGPTLPTSELDLRGEVIAAISLSQGKRTKVVEVQASPRTTKKTTAASAVRKSSRNQGPAANLPALEKAKLLTKAKNLDPPPSGPGTNPFAALPSLSDSHISSVITDNCIVFVPSVGTREEAITLLRAKEQVQAALAEAAADKVRQASELVAREAVENTVDSPGEGGADLSNALDLPAEAGCGKASSPSTRITRSMSRSKLST >cds.KYUSt_chr2.38662 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239652938:239656923:-1 gene:KYUSg_chr2.38662 transcript:KYUSt_chr2.38662 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDPYRNRKGTLSQNVMVACDFENHFVHVSAGWEGSASDARVLQDALQNNFYVPEGKFYLVDAGYANTPNFIAPYRNVRYHLQEQGRSNLRPKNPQELFNLRHAQLCNHVERIIGTLKKRFAVLKCATQYPIDSQAEIAIACCALHNFICTNEGGEHWLDEVESDIDPNKIIDVPSGDKQYTSDIHSLNVRRTLEEEQVLIVKQRRSYSCACSKECGMRYGVEVNTTSAVRKGYRTVRVEMSLDDWKKWQQFKRMYMNEKSSMEAPLTSASTNPTDSRAAYLMNRMPSRVLNNKTPIECLTGETRYVVPPRVFGCVCFVRDHRPSVGKLDPRAVKCVFVGYSGKQKGYKCWCPSERRMFVSMDVTFRENVPFYGEPTDLTDVFPELFNDDSSDGVLRTGGDERREDNDATSQKMIVGVIPTGDEPDGRDEVEPDGRDEDTSNVEQDHTQGE >cds.KYUSt_chr6.10601 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65670529:65674209:-1 gene:KYUSg_chr6.10601 transcript:KYUSt_chr6.10601 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLRNALSLGYGLPQRRNDPVSFSPLVRPRAFNVRIHLLRNGCSAWSDLVWNIAPKTAGESDEDRDKVLFQLDQECLDVYKRKVDQAMKSRDLLLQALDYSKMELARLASALGEKSIATSPEKTTRTIKQQLAALAPRLEQLGRQKKERIKEFADIMSRIEQIRGELAGSLEIGQQVAIPQINEDDLTDEKLLDFQSQLQELEKKKRERLKKVLEHVSTVHGLCTVLGMEYFSIITEVHNSLDDSVSKDHKSISSDTLSKLDRTIATLNEDKRLRLNKLQELVSQLNDLWDLMDTPTEERSLFDHVTCNRTATVDEVTAPGALALDMINQVEIEVQRLDELKYSKMKEIAFKKQTTLEDIYASAHIVLDTAAAHDKIFALIESGNMEPTELIADMDSQILKAKEEALSRKEILDKVERWISACEEESWLEDYSRDDNRYNSGRGAHLNLKRAEKARIQVNKIPVLVETLVAKTRAWEENHGLSFTYDGVPLLAMLDEYVMLRQEKEEEKKKMREQKRYTEQLLNIDREGPFGTRVSPYRLASAKKVAGPKPNGSVTNGSPGRRLSISTQQNESKSSRSAGKDGKKAAAAVKTSASLDEAVIAKEEDTSIHHSDTDPIPCSP >cds.KYUSt_chr1.34761 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211727362:211730850:-1 gene:KYUSg_chr1.34761 transcript:KYUSt_chr1.34761 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPIEDNSEEDGGGGVHLGLGVREGKKARGIGGLGGGERVDEEAQMTGVLGGGEGVEEEVLEQVSRDSGGGGGGDEEDVHGAADSSGSKEEEEDADTRIFLFPPLALSLCCCPLLFEVLGRLKALCNVLASNYNDKIDELGIVKGMEITEATKTRLLKIVLFLQETKEERFEKMQDSANELLELWKESEQSTQRLLKVYQIFEIISAHSSDEINEEKYLSAALLRKIEKQIQTIKAANLTLLRDKYQRKRRKLMELLKELHFTTSDVGFDPKEKYMVEDVKEFLDRAQSAGEILHYDGKNAVDVINEIQEMVTTPKQAERTENNTSSRSMRLEARLKKAMDSEAGEDSLKVPEKCHCKTKYPAATYISVENCSS >cds.KYUSt_chr1.37049 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226222796:226224205:1 gene:KYUSg_chr1.37049 transcript:KYUSt_chr1.37049 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLRRVRPRTAGEADRLSALPEELIHLILSKLGTRAALSTAVLSRRWARIPRDLPAFDFRVRDILPPEYERTVALRARNLPRDKALARTLDGLVASCERCTMKVFLDGITGFLEADGGHARRRAKTLRLEFFETHDVGVVDRLVAAAVGAWGVEELEVVVRHAASTCPEDAPPAYTLRLKEDGQRSRVRSLTLGNCMVPRPLQRYDALTTLILRDMAASTPVHVYERVFSLCTRLQVLHLTSCGCLEDCLVVDAPRSEIRELVVEACSFLVIELRRLPMLTRLACLTNTVELMFGSVPCLTHTNLTFFVEQDILALPPPHNELKQFLATSPSTMKNLIIRFTGPKSWIRTKDLDKSLFHLKKLLVADLPSNWEGSWLRALLMAAPSLEILHIHVAHMEVEPEAFGGIIWPKRNQEQHPSNLKELVMVGFTQQRHVEFLKYVVSACAALQRLVLLKDGHVRYNGLWDWC >cds.KYUSt_chr3.12293 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73385346:73386527:-1 gene:KYUSg_chr3.12293 transcript:KYUSt_chr3.12293 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVAAEAETLLPGLPDAVVVWEILVRLPPKYLLRCRAVRRAWRAVTSARDFLLAHHGRQPILPIFSGDDERILGVRYHTILAFDHRAATEDDQLHAVARLHVAFQSVAACDGLLVLSKLGSAGSCLSICNPATREHALLGLPSDFRVMGMYLHRPTAEYRLLLLRKRCQAGDLVHKLGCYVFSLGSGQPPRYIGGPEIASGNFSVPVRVRDRLHWYPVYCLTERNPWHYESQLLVFDTIAESFLQMRELMVDGYSYIFDMDGMLGIYTRNLSTKAVEIRVLPSYETEVWDFKYQIKLPVAKIRRAFQDCGDYGNWDLDVVSVDGGVLLLVKLPQWLLHVDSNGKMVNSIYKGQRCLSMTGRHLKQSLVQHTFFPALEGYAVNASPFIGPVE >cds.KYUSt_chr2.13113 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83192221:83198750:1 gene:KYUSg_chr2.13113 transcript:KYUSt_chr2.13113 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPREVRRIDHVADKRQGSPSRLSVSRENGGTQVAPDTPGVKLAAFTERDEILNDEFDKEFPVLVRVTAPATFDATDVDFVAVLDMSASMTRERLQNVKKAMLAVIKDLGPRDRFSMLSFSSEVQSRTELTEMSLNGKEAASSMVQNLVTGGKTDMGAALQQAAKILKGRKMHDCINRECLTVFISAGKDDSVYTKPINHGFPVHTIGLDTEHDPKVMDHIAQNTCGTYSYIYRDMDAMGSTLKELIQVQRSVIARNAEIKLQTQDGVTISSIKSGGHKNCVTNSKQSVIEISRLYASQVSDFIVYLTVMKGKTLTMAEKFKTGGGGSKMQLMTVSITNVSADPVVVSVKRPEISYGAKYCPLVAAELYRLALVLAISTLAEPTADGLQKIRNKYLTPQQHRMYSKWEFQKDILEMQKGISDANKHKMQGLPYMLSWLSSHNWQRPTAKGSPHIFGHFCSGCLFGSPYCNTEPDAIPAPEDIVHHRDNGYKPYHAVFVLGITSSIPVLQQKAEGVDKEDIKVFTNTFLREGANMAANPKTCEGTAGILSGKITVQGGFVFTS >cds.KYUSt_chr7.10048 pep primary_assembly:MPB_Lper_Kyuss_1697:7:61529058:61531375:1 gene:KYUSg_chr7.10048 transcript:KYUSt_chr7.10048 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRLAPLLSNRRRLLRSLNPSPTMPPPAAAHLTTAADPDEDICSSPAAAAAAASEEEAAPLPPPPATAEERVERAWAHWRRLGAPRLVVAPMVDNSELPFRMLCRRYGAGAAYTPMLHSRIFSENEKHRDMEFTTCKEDRPLFVQFCANDPDILLQAAKIVEGHCDYVDINFGCPQRIARRGYYGAFLMDNLPLVKSLVQNLSENLRVPVACKIRIFPRLEDTLAYAKMIEEAGASLVAVHGRTRDEKDGKKFRADWDAIKAVKDALRIPVLANGNIRHMDDVKSCLEHTGADGVLSAEPLLENPALFGGFRTKEWKEDGDEDSGLDQADLAIEYLKLCEQYPVPWRMIRSHVHKMLGDWFRVHPQVREEFNAQNKLTFEWLHDMVKRLKELGGGVPLYRNKSTSQHNSSNGLAASSA >cds.KYUSt_chr4.23052 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145106545:145108292:-1 gene:KYUSg_chr4.23052 transcript:KYUSt_chr4.23052 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSTARASAATLVKNTGVAEADVVPGGWSRRGAAASGGGLVLTVADEQEHGLGGEIVPDLNVQPMAEDPLLGETSSVRKRKFEEFDDSEDSGHSYISSEVESGDAVSYNSDASSDNEVSKYNVLSYEKKVEEKIWAEGISAYMKPDGRYYCKFHPSKQVPRDGMREGLVSHVKEVHPKDLRDKANHAALRKVLEYYGQD >cds.KYUSt_contig_786.481 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2674286:2677006:-1 gene:KYUSg_contig_786.481 transcript:KYUSt_contig_786.481 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIARLVLLLAIAAVSCGFFVVAHASCWPHERDALLAFKQGINDTNDVLASWQKRHHDCCQRWTGVTCSNETGHVTELDLGETGLVGQISPSLLSLQHLEYLDLSLTYLHGPSGHVFPEFLCSLHNLRHLDLSYTSFSGRVPPQLGNLSKLEYLDLAWTSLHGLNGRVFPEFLCSLHNLRHLDLSNTLFSGRVPPQLPNLSKLDYLDLSGVLLSGILPPQLANLSKLDYLDLSGTLLSGILPPQLGNLSNLRHLGLSFMENIHTADISWLTHLHILEYVDLSDINLSAVDVFLVANTIPTLKALILANCSLPNANQTLTHLNLTKLENLDLSRNNLGHPIETCWFWNLKCIKDLALVSTYLYGPFPDALGGMTTLQSLEFTNNGNSATMTVDLKNLCDLESLWLDGGLALGNITEFVRKLPQCSSSKLFFLSSSDNNMTGTLPDMVGHLTSLKYLLLSNNSITGAIPSGLRKLTSLDTLNLNLNQLTGQIPMLPRSLTELAISMNSLSGPLPLDFGGPNLIQLSLSSNYLTGHVPKAICESKHMAILDLSNNLFEGEFPRCSAMSIAFLLLSNNNFSGNFPSWLKASYFLIFLDLGVNKFYGMLPAWIGQLVNLRFLQLNHNMFYGDIPVNITNLKLLQYFSLASNNISGSIPLSLSKLTAMTLDHPPRVGSNWLEEETSKGILSVVMKQQELKYGTSALNEMVSIDLSLNRLTSEIPNEIGSLNGLLNLNLSRNLLSGKISMKIGSMKSLESLDLSRNNLSGETPSSLSDLTFLSSLDLSYNSLAGRIPTGGQLDTLYNENPFMYSGNSGLCGPPLEKSCPGNDAPEHGNQHQGSENGYDPVLFFYFGLTAGFLAGLWIVFCALLFKRSWRNAYFRLFDKLYDNVYVFSVVTWGRIGSKATAS >cds.KYUSt_chr7.25470 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158942331:158943906:-1 gene:KYUSg_chr7.25470 transcript:KYUSt_chr7.25470 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVVLLISLLSAIAPLPSEALNVRGHLLKSKTFCSPPILLAPGSVSNKFYADIDFPQGHLAIKSFDAEVVDENGVPVPLHETYLHHWVAEPYYALKNSNHSTDTQKLPKGVLKRNDGVCKTTLGQYFGLGSETRHTATWVPDPYGIEAGNPEKAPEGYEEKWLLNVHAIDTRGVADKPGCTECKCSFYNVTIDEYGRTIPKNYIGGLNCCYDQTQCQVKEGFNGEVRKLFLQYKVTWLDWTDAVVPVKIYIFDVTDTALLDGTPEHSCKVEYQVDECSSVNRANKDCTHVKTSRAVLPRGGDIVFGVAHQHSGGSGSSLHGQDGRLLCESLPTYGTGQEAGNEANYIVGMSTCYPKPGSIKVSDSEVLTVVSNYSSDRQHTGVMGLFYILVAEPQQLAPAPSLCFSFPAKCEFL >cds.KYUSt_chr6.16719 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105114681:105117961:1 gene:KYUSg_chr6.16719 transcript:KYUSt_chr6.16719 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKTRCQTGPVKTRADILRVREFGPGLRLCALSGQFCVTVLERVPDTVKADAAGDALRSGLLDRTSSYVIICMGFNGLTFQASLPARVPMAAAMARKLLSPSNSASRLLLSRHLSSPTPSTLRRAPAAAAAMYLLRPAAAASSSLLLRLRLAGARGMARRPGGDGYSPARSGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGDGASKQQMIECYVHTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGIPGVLFVLPDSYVDPEHKDYGAELFVNGEIVQRSPERQRRVEPVPQRASDRPRYNDRTRYARRRENQQR >cds.KYUSt_chr7.13609 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83963382:83966035:-1 gene:KYUSg_chr7.13609 transcript:KYUSt_chr7.13609 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAANAPDEEEPPVLLDRAARATRGKRITKLVEEEVEHDEAFWGQAALKEDEEDDNYQEEQDAGDEFDSDFSEQEPEPDGDPENEVHERLPIKKRLVFPGKTMKKMKANKKKKKMKKVIKVEDEGAIDETADRATTSKQSDFPDDLEFEKTTRKSTRTSVVVRQAEREAIRAEKQATAKPIKKRKEGEEKRMTQEEMLLEAAETEIMNMRNLERVLAREEEVKKKAVVQKAVYEGPTLRFYSRDGNSHLEFINGASFGSELCTTSTPYPEKPVCAVTGLPAKYRDPKTGLPYATMAAFKIIRESFLKEEPDKKRPNISSMGELFESVTSEYSTPKKRRIEAARSPISGDPRHGARFRRIPALDMMDED >cds.KYUSt_contig_686-1.935 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5679421:5685144:1 gene:KYUSg_contig_686-1.935 transcript:KYUSt_contig_686-1.935 gene_biotype:protein_coding transcript_biotype:protein_coding METMFMQVFEHRDWVEAQMRQQVASSSDSIACSLIAAGSRPPAWLLPQPAPAIVEQGNTQRKPPIDLANLKKAHVVHLAPPTNHQVSKPKPLEFGGVKPGSCNITVSSERFNQSKSCMSEAILTKFASVHPLNEDLSATISHEASLHEVLHSVSSPLPEEETTHAAANYSLEGPNSVTNPLLENGLLQSGKPNFLEGIDSMAGPMPGKDTVHTAVIDFLEGPTFGAQEMLGSPQNKILDDDRGHSPQPQKNMLEDGCRHDSHSHVCGAFNTFSLQSAANLEKLLPNSANDECLYQNIIPFPGTINHNDFCSASASAAFISARSDPFQMQTSLPKLSPEFVRTAITGDAHIGSSSLSTANKSLQIKPVLDSVSCHLTQSGHAESKLLIQTEAYDVSARNNMGKCVTSAVMRGAGASSEGAQILQTAERNSTPSAKECLDSSCERIAMNCQSSSSASLVPQYSQSHYLENGLDKKISCFANPGTDVKVATIEDKILTGIDFVAFRSGMLNTEKYPIMDSPTTDPRYALYQKNHHASLEFDEKGTDDGQKVSHGSIPWKNVDIYADYDETAQQCQSFNIPILSKNKSSSVKERAFVGLCESEKLIHLSCNLSRKYKMGSKMKPLCGKYESLAARFEKLVSRCSVDSVDTKWHDPSYDINNLGIPGEYSLEFDDSLLMSNVQTYGPANANSVQEDSNIPLTPSAHKYSLEKLSRRTGSSSECMGSIPERACFQIHEDSNIAEENENKEVLPGCPVKEDIRVKALEAAEAAKRCQEKRQNERVACKAAAGLECERPKQAGEQKQKQAGQKNKIGTDVVTRKRRRGDEENKENGRKKKCAEGAQIPEQQVDRMHATHGKKDGCRNNYDDKEPRNDLVGGLKHELVPGERTESVHRFMASESNNLANGRSESSTLQVQESFSDAVDKSSRFSSLHAATPCSIRAAADDPSGRRCSTFLLLSRACRDRPLLAFFFVVLELDLELLLPRSAEPGASRPSPGRPHLRPAPSPSRPPPAGSVPAKAGPSRPPPAGPAPALAGLVPAPFPAPLAPAGHLR >cds.KYUSt_chr4.40293 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248690825:248695015:-1 gene:KYUSg_chr4.40293 transcript:KYUSt_chr4.40293 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDEDLPASEPCSTFAKLASFTGPSASTSSYAGPEVEVRALASMATALYPLARAEGLHGLAAVLEKGNAGSEVAEYCYSYAAALLRDEDEGIRLGAVRLAGICVEKIAMREELSGDADQIDLIFLQLSSMARDMCAKVRIEAFNALAKMQRVSDGVLLQSLSKKMIKTDTGGATGKKISPKLVFPCAAGIFAHGIEDEFYQVRAAACKALGELAKFSNQYAQKALDLLMDMMNDDTEAVRLQTLRTLLDMATYGHLSVQEKHMHMFLGILMDANVIVRNAARKILGLVKLPKLQMFKSALDGLIAGLEKDPEIEKTAMSTNCLDEVVIMQSVRLILETVQGAWAMRKSCIIGEVQSTLRTCKEEVNILAVNSSGPAYGFLSFVSDYIDAVQLIVEMWHFVQSENSYAFGPTSQDILLEKLDTSVRRMKCCYVGLHRELEVQVLELALLAILFRLSELAICSELVLDKLHWIINHLDGLCADGSYELSDFSQEVKKSFDANCIDCNPAVNICSFLEIFDLKPATSFGMVNARSAVLKVCDTDTENPLSCVRGLPVGVSFDVSLQNIASHDRIWLRMIAGESIQHVFLDLSCFGGDDKVKSCSTVIPFYATPMACSFVLRACLVMECPFGSIGDHQEGHGGPRDCVVQLCDELDVYFVSADMSKGGG >cds.KYUSt_chr5.31334 pep primary_assembly:MPB_Lper_Kyuss_1697:5:198529223:198530569:1 gene:KYUSg_chr5.31334 transcript:KYUSt_chr5.31334 gene_biotype:protein_coding transcript_biotype:protein_coding MARGREEGEVRKLVLGRYELGRMLGQGSFAKVYYARDLRDGQSVAIKVIDKARLRRTEGMVEQLRREISIMRMVRHPNVVGIREVLASRERVFVVMEYARGGELFAKVARGRLTEDAARRYFQQLISAVGFCHSRGVAHRDLKPENLLLDEDGRLKVTDFGLAALPEQLRQDGLLHTQCGTPAYVAPEVLRGRGYDGARADMWSCGVVLYVLLCGFLPFQHENYAKMYQRIFKGEYQMPPWVSGEARRLIGRLLAVDPAKRVTLPELMLTPWVRKGFVQPVPSSSSSVSPSPRKWDDDDNGILVDGTISPRTCNAFQLISSMSSGFDLSGLFESEQKAATVFTSHSPAAAVFEKLEAVGRALGYNTTRGKGWRIRMEAKADGANGRLAVTAEVFEVAADVTVVEFAHDAGDALDFNKFCAEDVRPGLADIVWAWQGDVPTLPPAAVVV >cds.KYUSt_chr2.7964 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49918325:49918660:1 gene:KYUSg_chr2.7964 transcript:KYUSt_chr2.7964 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSASCSHVLHKLLQTLDSKSQYDGEMRERAARIVAHLASDIHVEQFPRGLQCISSMLETRSQYDGNNDGGKSRVTDYKELMLQGLCILGNLSADRAYCDAIWNTKGLSP >cds.KYUSt_chr2.25076 pep primary_assembly:MPB_Lper_Kyuss_1697:2:153276257:153276991:-1 gene:KYUSg_chr2.25076 transcript:KYUSt_chr2.25076 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKRAASIPEDEESARGKRLQLHDSAAEQGPGLAAAAGEAGGGGLRRRDARAAAAEAAAPVRERRWPRTSCRRRWPRTSLPEARDMLAGDRGAGVPVRVLPGVRRGLLRGRAVRTRAELLRGRLLAAAGRQPASRRDSSAFQAYNALSPLVKFSHFTANKAILQALDGEDRVHVVDLDIMQGLQWPGLFHMLASRPCRPLSLRVTGLGASLDVLQATGRRLADFAASHGLPFEFHPIEGKIGK >cds.KYUSt_chr3.19995 pep primary_assembly:MPB_Lper_Kyuss_1697:3:123266528:123274719:1 gene:KYUSg_chr3.19995 transcript:KYUSt_chr3.19995 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVAEAAGAVAAASTAGEVVRAIHAVAVLLFPVDSATVAGEAPNPNPPQPHPHLSVAPSTGRALFRVRSPAGVLVAELIPMIRNHLQRCVASDRKTIIPDMAKNVSQSRFWFNVVEALRDQHSIERLTEEMLLQLASQHISDEEAYWILWTLFNQSIMHMTVMRLESPIDLVRKMASAVALTFSKIVDPKNPLYLDDDCSENVDWEFGVLSPKRIKAPSHDVELGSKSKPCSRDENRRNADSDEMSGAATNFEEHCDEESMDIDASSDSSLEPYDLSDDDTDLQKNFTHLSDLAAALRKPDDLDGVERALSSAEKLVRASPDELRHNAGDLVQALVHVRCSDAAVESEEDSAEEKRQKALVALLELAETKIINKQQRHGNLISDTSPSWLVPSDRGPLGGSPWREVSEPGSLLSWSHRYEREVPSRSGQVKSGKSRKWGLRKAKDLQVEGSKNKFPLYAAAFMLPVMQGYDKRRHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASALAPALLDMIGSREITQHAEAYVRRSVLFAASCILIALHPSYVASILIEGNRDISTGLEWIRTWALQIAEAVLIQSAHP >cds.KYUSt_chr4.51080 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316645027:316647116:-1 gene:KYUSg_chr4.51080 transcript:KYUSt_chr4.51080 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFAGAESRGRRPAQDRNVQWVFLGCPGVGKGTYASRLSRLLAVPHIATGDLVRDELASTGPLAAQLKEIVNQGKLVSDEIIISLLSKRLKKGEEKGESGFILDGFPRTVNQAEILDGVTDIDMVVNLKLREDIIVQKCLGRRICGQCGKNFNLACIDVKAENGLPPIYMSPLLPPKNCMSKLKTRDDDTDEVVRNRLRIYNEMSQPVEDFYQKQGKVLEFDLPGGIPESWPKLLQVLNLEDQEELKLATA >cds.KYUSt_chr3.38807 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244521159:244524167:1 gene:KYUSg_chr3.38807 transcript:KYUSt_chr3.38807 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKIYSVFFKLLLRHRLQSLSAAPSPPSPDPFGVSCRADEATAPANPAFSAADGVASKDLHIDPNSALAVRIFLPTPPPPHLLPHPRRASDPPAGAPYRGYLPHAVSAASARRRLPIVVQFHGGGFVTGSNCSASNDAFCRRVAKFCDAIVVAVGYRLAPESRYPAAFDDGVRVLRWIAKQANLAMMSKVGGGVDTFGASSVEPWIAAHGDPARCVLLGVSSGANIADYVTRKTVEDSKLFDPVKIVAQVLMYPFFIGSVPTHSEIRLANSYFYDKSTCLLAWRLLLSEKEFSLDHPAANPLAPGRGGPPLKCMPPTLTIIAEHDWMRDRAIAYSEELRKVNVDAPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >cds.KYUSt_chr3.8102 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46726621:46728298:-1 gene:KYUSg_chr3.8102 transcript:KYUSt_chr3.8102 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSDADIGHDIVEVNNASGEAVEEARQAAGDKVFVAVSEEVKRGKSAMVWALQNLAKDGAQFVIAHVHCPAQMIPMMGTKIHYTRMDPEQVKDHRKMELEKASGRLHEYVVLCALRKVSCEKIIIENDDVARGLEELITLHGITKLVMGAASDKYYSKYA >cds.KYUSt_chr2.7024 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43907110:43909416:-1 gene:KYUSg_chr2.7024 transcript:KYUSt_chr2.7024 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTTPKSGDALFASVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSTCVDFKETADTIAKLGFKMFLGVTATVTNWDAEGTTCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >cds.KYUSt_contig_3002.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000579.1:71430:72443:-1 gene:KYUSg_contig_3002.15 transcript:KYUSt_contig_3002.15 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLRSLALVSTVVTAAMCYVRFAAQRLRPGLPRLAAFLPVLAVLPFLPLAFHATHPRAISGFFLAWLAESKLLLLAAGQGPLHPSLPLPAFVAVASGPVRLRAAKAAQTSSGLGLVSSAVMAALLAVIVSLYRYKEQMNQYLLLTLYSFHVYLALELVLASMAAAARAVLGLDLEPQFDRPYLSASLRDFWGRRWNLSVPAILRPCVYRPVRAWLGSAPAGVLATFLVSGIVHELMFFYITLRPPTGEATAFFALHGACAVAEAWWARHDKWWRPPPLLATPLVLAFVMVTAFWLFFPPITRPGADKVVIAECEAAIAFLRDAGAWAAGFVRPA >cds.KYUSt_chr3.12712 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76124312:76127601:1 gene:KYUSg_chr3.12712 transcript:KYUSt_chr3.12712 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCLMSNAGKWGKDPSLGYMLLVREKDACWEYGDKLDGNRVRCRFCHKVINGGISRFKFHLSQIPSKGVNPCIRVTDDVRAKVIALIEAKESQRELELLKRKRVAELSVLPKRTRELSSQPSSPGPSTSPAIIPAVEPTQLLGLEVPALVPRLSGAAIKPRQAPGLEAERCIAEFFFENKLDYSIADSISCKHMLDTLVGQGFRGPSADVLRTEWLPKLKSEILQRMEEIEKDWVTTGCTILADSWTDNKLKALINFSVSSPLGTFFLKTVDASPHIKNHRGLYDLFDEVIQEVGPGNVVQIISDRNINYGNIDKLIMQNYNTIFWSPCASFCVNSMLDEFSKIDWVNQCICQAQTITRFVYNNNWVLDLMRKCMEGQELVCSGITKSVSDFLTLQSLLRHRSKLKQMFHSPEYVSSSYANRSLSISCVEILNDDELWRAVEEIAAVSEPLLRVMRDVSGGKAAIGYIYESMTKVMDSIRTYYIMDEGKCKSFLDIVEQKWQVELHSPLHSAAAFLNPSIQYNPDIKFLSTIKEEFYNVLDKVLTAPDQRHGITLELHAFSKTQGMFASNIAKEARNNTSPGIWWEQYGDSAPALQHVAVRIASQVCSTLTFQRDWSIILQSHCEKRNKLDKEALADQAYVHYNLTLHSESRMATKKKVDGDPIALDHIDMTSPWVEDSDSPNFTQWLDRFPSALDGGDLNTRQFGGSIFGTNDNLFNL >cds.KYUSt_chr6.24951 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158101606:158111348:1 gene:KYUSg_chr6.24951 transcript:KYUSt_chr6.24951 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVPDEARRWWETFSNGAPHVPDEAIRWWETFSNGAAVDEGEEEGEPDADSDSSFEQEEDDEGQNADAVASESDRKMASVRVARESTNGHSDDAEEEVSGDCYAQLQGGLLGSKRKAIATHAEPQITRTGIQDEKVHKEYIAQDNFVHIGGGLQGGKMEATVTLDKLQPTTGTEIHDKKCYHQKVKEENAGVTASESGGKMMADAQAPVEEPSRGGTASHHCNEEEVSEDAYVQLQGDLLGTKRKAVTTHAELQSTRTEIQDKQDRDEYIGEDKCVHIGGRLQGMKWEATATPSKLQRTIGTEIYDKNAQGECLRAENEMPNELEAQQIRRLELELNLKTREIKSLKKQNEELRIENEYYRKTL >cds.KYUSt_chr3.12040 pep primary_assembly:MPB_Lper_Kyuss_1697:3:71897978:71898265:1 gene:KYUSg_chr3.12040 transcript:KYUSt_chr3.12040 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHDMGGGHNGGVKGFVSNLVGGSKGHGYGQHGHGGYGSSYGHGGYEQGYDGHGKQHGGYVQQGHGAYEHGYGGGHVQQHGHKNGYGNHGYHY >cds.KYUSt_contig_824.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:159898:162362:-1 gene:KYUSg_contig_824.26 transcript:KYUSt_contig_824.26 gene_biotype:protein_coding transcript_biotype:protein_coding MADFVFAYSVLPKPEYAFVPDVRLVLAKPGQHLILDGSDCINLIIDTLFNDCLDARSGVDLHMEENMIWWGLPCLRKDGACFPGASEEDAGDHGFPNVEEDEIRWGLATTGFDVACHRNRQRTAQI >cds.KYUSt_chr4.42423 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262519455:262520886:-1 gene:KYUSg_chr4.42423 transcript:KYUSt_chr4.42423 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERADVVLDILRHLPPRNLAACRCVCTAWRAVIDDHRLLRADLLPLSLDAVIYETSEPDAPSLFSRLSTSRTMTSALDYLDVDSPVDKNYLWPMLDHCNGLLLLDDNMVVNPATRQWVKLPPLPHWHTLSGCTSCQRDPYLVYDPTVSPHYDVLLIPRIPFETTTHHKCCYESAPTMEWPPSPYVISVFSSETRRWEERSYMREGDAAGIVADLKFSGMSESILFYSAYWRGALYVRWQYAFLLRMNLSNVWFLDESRGQMNWVLKNEINLEPAEIKFPVADGPWVLQSSDEMEWLLKNGPNFKATDESIKALVQDDFDWDSDDENAVSTADWPRECCGSYPPVYECLGFHPYKEIVLFHDGSKTTVAYHFNSSKVRYLGKMWIYHEEIEASFAYTPCWTRDLPGSN >cds.KYUSt_chr1.35109 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213928028:213933419:-1 gene:KYUSg_chr1.35109 transcript:KYUSt_chr1.35109 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLQKSSFPSAQDKKPRAPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAVEKAKVAWRWLPFTTSARTDSLQLSHWVRVVNGVLPTGDYDFAKYNTKVDVLKYTDEEYEKYLTEPTWSREETDQLFELCERFDLRFIVIADRFPTDRSVEDLKSRYYSVTRALLIARARSFDEVAGNPLVKETFNAAHETERKRALSALYAQTKQQERKDAEVLAEAKRIMESRAASKNLEEAGATPSFHNAAVPADGVSPLSNSHPASTGAHTAAAANTSIPDSLRLLKVYLRTHALDQMVQAVTAPAGIRMVKRVDQTLQDLGVNLKPKVPTKAVCAEHLELRNEILTLLNIQKQLQNKEAEVIANRESSFTEAPSTPKRSNRDIDRPFVPDMGGFGGERAVKRDHKRKSGGRFDAPPSPSQGKRPRKMKASD >cds.KYUSt_chr1.35338 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215395828:215412130:-1 gene:KYUSg_chr1.35338 transcript:KYUSt_chr1.35338 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHELHETTMEAAVFHDGWRSIHSVGGDVSVDSEAGALNIVVGSHIWLEDKDLAWIAGEVFRIEGRNAHVRTTNGKTVTANISDIHPKDTEVVSDGIDDMTRLSYLHEPGVLDNLAVRYAKNIIYTYTGNILIAINPFQRLPHIAEPRTMEKYKGANFGELDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSKTGARTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCSAPSEDIKRYKLSDPSSFHYLNQSACIKVDGISDAEEYLATRSAMNTVGITEQEQEATFRVVAVVLHLGNINFVKGRDVDSSVLKDEKARFHLNAAAELLMCDCEKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYCRLFDWLVNRLNASIGQDASSEHLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIERKPGGIIALLDEACMFPKCTHESFCQKLYEKFKNNKRFSKPKLSRTAFTIQHYAGDVTYQSDHFLDKNRDYVVVEHEELLNASKCSFVSGLFPSVPEENTKSSKSSIANRFKGQLHELMETLSSTEPHYIRCVKPNNLLKPATFENINVLQQLRCSGVLEAIRISCAGYPTRKLFRDFLHRFHILAPELSKGRTDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRSKAARAVQSRFRTHVARQRFLIVRNKSVDMQSIVRALLAFKQRVFLRKQASALTIQKSVRCYFALKSYSELRRSAITLQTGLRAFGAYKEYVLKKQEKASINIQARWRCHRDNSNYLKLKRSVLIYQCAWRRRVARAELRKLKMAARDTEALKVEKEKLEEQVEELTNRLGLEKKLRTDLEKNKAGEIAKLQAALCEMEHRVEEATSMQERESAKRAVEEALAQEREKISILTNEVEEMKGLLSREREENIATKSELSIAQERCEDLNRKIEVADENIKQLRDTGKRFEENVIELESSLMMEKQHIEATRRELGEAHQRIEELLGQVVDANGKSAVLQTTVQRLEESLTEREGTLLLERQESEAIKKLLTEARGENEELVHNIEVAERDIAKFQNNIERFEETTRTLETSLLAEKQHSTGIMSQLAETKEEIGELQTKFTDASRTNDMLQDSLKRFEENATTKDALYVAEKQEHDQTKQALSNYQEKNWELLKKVDESEKSINKLLENVQRLEKHATSRESLLLKTKQNQDCTTKALAEAEKRNRELMKSFEDSDKKISLLEDSVNRLQENASTKEALLLREREQNNATVKAQEESQERNSQLIKKFEDVDKKIDLLQGTIQRLGDHTEKDTLLLSERREKDELKKALTETEHKNEDLMIKIGETNKKIEHLQNTIHMLEQDIAAKVASLEAEKQENDSIRKSLVEAQERNDELFKKVRDSEYKAHQLQDTVQKLQVDAISRLSSFVLEKQESDAVKKALNEARGRNEDLIRRNEDLLDRNDDLITKMEDSARTVTQLEGKAANLEAENHALRQQSIATTPSTAKSQAAYSAATPSTRSPENGHISNGTIPYAETKSSIGPAESRPYMGSAPDLTTYKDYDNGEKMQRVLSEAYQRQQPQDDQKLLLKYITQHLGFSGSKPVAALLIYQYLLQSRSFEVAKTGVFDSILQAINSATEVQHDTRSLAYWLSNLSTLSVLLQRSFRTTRAATSTPYRRKISYDRIFQASQASNSGLAYFSGQSLDEPSGAHQIDAKYPALLFKQQLVDLIEKVYGLISDKLKKELNPLLELCIQDPRTSQAKASTASGLGQHNQLTHWLGIVKILNNYLYLLIANHVPTILVHKLLTQIFSMVNVQLFNRLLLRRECCSFTNGEHIRAGLAQLKHWCNDVAQELADSAWEALRHIRQAADFLVISLKPIRTWREIRNDVCPALSLQQLERIVGMYWDDMNGTNIISAEFTSSMRATMHEESKSLSSFSVLLDDDSSIPFSLDDIAKSMPNIEETVESDLLPFIHENQILSFILQRRE >cds.KYUSt_chr5.13697 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88986861:88989008:1 gene:KYUSg_chr5.13697 transcript:KYUSt_chr5.13697 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSTPPCKSQAPAAAAAIVAAISSRRISSSSSPALIPPPHHEDNPFSPLLTSDPPPPDPLRRVLATGDVHAALRGLPVLARQLFRWAEGTPHGFPRSASAFAAVLIPLAEARHNSATYPVSLRALHAGLLLPLISLLLTYPLSRSSYHLLNLLLRMSTKFPAECEAHGSVPTTCSTQCLSVFQEMARHGVAPYVKECNIVLHVLCRAARWDDMSAVYSDMLQLGVKPSIVTYNTLLDSFCKSGRIDQAVSLLRDMEAQAAGCLPDDVTYNVVISGLARNGELDKASELVDRLRLSKKASAFTYNPLITGLLARGFVEKARALQREMENDGVPPTVVTYNALIDGLFKRGNAEAALVIFAEMRAMGMPPDLITYNSLINGYCKAGNLRQALSLLGDLRRAGLAPTVLTYNILIDGYCRLGDLEGAKRIKEKMTEEGCLSDVCTYTILMNGSCKVRNLAMVRVFFDEMLSKGLQPDAFAYNTRILAELTLGSISGAFQLREEMTLRGIPSDTVTYNILIDGLCKTGSLKDAYALWMKMVSDGLQLDCVTYTCLIHAHCERGRLAEANSIFYGMVASGLTPSAVTYTVLIHTYCRRGNLYSAYGWFRKMLEEGVEPNEITYNVLMHALCRMGRTELAYRHFHEMLERGLVPNKCTYTFLIDGNCKEGNWVEAIRLYCEMHQNGIHPDHCTHNALFKGFGEDHMHKAIQYLENVVLGV >cds.KYUSt_chr6.21862 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137940957:137941499:1 gene:KYUSg_chr6.21862 transcript:KYUSt_chr6.21862 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTGFGEDERAWNPARLLGNRRVASRPAKEQQRQCARSFARLQTPSRARATAAHLAQIGPRSPDFDDDALPPTSFDLFVNEAAEAGATTGAGDDSRVHLRAQQRNGRKTLTTVQGLSKGYDYAKVLRDLKRELCCNGTVIEDKELGNDIQLQGDHRKKVAAIIAKAGLAKMDCIKVHGF >cds.KYUSt_chr4.54866 pep primary_assembly:MPB_Lper_Kyuss_1697:4:338859534:338862800:-1 gene:KYUSg_chr4.54866 transcript:KYUSt_chr4.54866 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLQTLKLDSYYYYLQGPGSISLPLISLLGGSIEAEMLRKTALLLLVVGAAAMGPPDGPEKVEVSSLEMYVDQLPQMPKIYGYNATAANLTVGMFQIKWVRSFPSRLPPLTKFHRDLPPSTVFAFGTSAKAATFPGPTIEALQGVPLQVTWENHLPRRHILPWDPTLPAAVPKRGGIPTVVHLHGGVHPPQSDGSAMAWFTAGFNETGATWSTPSYSYPNAQGPGVLWYHDHALGLTRVNLLAGLLGAYIIRNPDVEGPLGLPSCDDLDRVLVLADRSFNADGSIYMNSTGDNPGTHPQWRMEYFGEAITVNGKAWPFLSVARRRYRFRIINACNARYFNLSFTNGLPFHVVGSDAAYLSRPVTTAHLLVAVAETFDVVVDFAGGNGSADAEMVNTAPYPYPRGDAPNQLNGKVMKFVVTQQTTTDNSTVPAKLLEYVKVAEEEAVQRRYIVLSPYGNDNGTGGMGGMEGMGGMEGMGSPLHLYINGKRPEDPVTETPRAGTTEVWEVINLTPEDHPIHLHLASIQAVRARALDQLEEFTRCVTMLNDAERCNVSKHAVGEAAEVPEHERTWKSVAKIAPGYMTTLVVNFFLVETGEPYPFDAAAEPGYVYHCHVHFGSRG >cds.KYUSt_scaffold_1259.273 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1674422:1675710:-1 gene:KYUSg_scaffold_1259.273 transcript:KYUSt_scaffold_1259.273 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIIIKVREAEAVRTQEAMGEMLLAELHSAIPVVIMRPTFFKDPLLGWMQGMSQNPDDASSQQKISRFCFIEAQNDSPKKRKRHEELAGDFSGDLTGDMEAQIM >cds.KYUSt_chr5.6507 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40386857:40389034:1 gene:KYUSg_chr5.6507 transcript:KYUSt_chr5.6507 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAVSKGAAQGSPGYEVSSASGYEAVSRSASASASIWSRPMRLEALDLGGDGEEQEDVRGSIVVAGAGNLHRYIECEQAAAGWPAWLSAVAAEAVQGWVPLKSENFEKLEKIGQGTYSSVFRARSLETGRVVALKKVRFDSLEPESVRFMAREIVVLRRLQGHPNVVGLHGLITSRSSPSIYLVFEYMEHDLAGLASSSAADSSFSQPQIKCYMRQLLAGLEHCHARGVMHRDIKCANLLVSADGQLKIADFGLANLFSSSPQQPPLTSRVVTLWYRPPELLLGATAYDPTVDLWSAGCVFAELHARRPVLQGRTEVEQIHKIFKLCGSPPDAYWRRLGNVSSSSSVFRPQVPYESRLGETFGSAMPDAALRLLGTLLSVEPAARGTASSALASDYFAHASEPPSAMIPPRCAAPNREMDAKLRDEESRRRRNETAKRLSRAHRSMQDTSSSQRHHGHVHAEESLPLEVQPVVAASKRHDDDARPTPPPPCARQEEDAPARLADYAALSAGPVQLAASTGFAWAKKPRVPDAAATKRSSSRRTDGGDAASTKTTTTTTTAPYEVEKQEMIKQWAQVAEAFGSSQPYNSSRSIREPLDGKQLKTSKKNKGKKMERVDYSGPLLSRVDDLLHLQSHEQQIRRAGRRSWFHKGSKREQQH >cds.KYUSt_chr3.42389 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268011107:268012675:-1 gene:KYUSg_chr3.42389 transcript:KYUSt_chr3.42389 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRRVDASFLGLSASLENLMAERRRRKKLNNCLYMLRSVVPKISKVDRASILGDSIDYLKELLQRTNDLYNELESAPSCALAAGPAAANFHPSTPTLQPFPSRPASFPSPSGQQGTVEVRTWEGQAVNIHMFCARRPGILLSTMKALDSLGLDIEEAVISCFDGFATDVFRAKQRRHPGLLPGEIKAVLLRCAGLRRTPVLVSDGPH >cds.KYUSt_chr2.28173 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172883022:172885945:-1 gene:KYUSg_chr2.28173 transcript:KYUSt_chr2.28173 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIGRPLPSGIGEYGLHGVYRRNDDFYVYWYTTTGSSYRGGGHAYHAIEGSFRCDLLQIHTGVPGSLRASPNPAAAHLLAHAHQNPGDPEWGGHAHAVLGEAAAWNEMGGIPDREFRWVSWTGRSPADLARPLCPSMAPRVLLPWCSCSEVAVVLDTSMSEAASVIYLIRVTGVRRRQTCLLTTLEFLRVVWRGCQHLPVFSLPDMLVRLGVASFAGLLARPGGVRVLFQTAVYAVLSGRD >cds.KYUSt_scaffold_1854.262 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1417449:1418270:-1 gene:KYUSg_scaffold_1854.262 transcript:KYUSt_scaffold_1854.262 gene_biotype:protein_coding transcript_biotype:protein_coding MVAREDVGRVSCCEEFNSNRCFDSHLQCQFALLRLEFAQLVANHVEEASRPLREEVASLKMLLAHAGVSLEPTEACSSGGQELAAMKASLPLSSEEQKSSVVEITPELHELCGDSSVVPELLELGGGEVMPPSVEEVRHVVPFDVGVAKSGLPATVSGGVVAREVCDFLATLAATFPASAVD >cds.KYUSt_scaffold_6468.665 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3177683:3179779:1 gene:KYUSg_scaffold_6468.665 transcript:KYUSt_scaffold_6468.665 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSASNVLRTILWLGYLSADSVAIFVLGHLAVHASGPRHQLMFFWAPFVLIHLGGQDTITAFSKQDNELWRRHLLNLVFHVAFAGYVVAKVSWPDCRLSIAMVLLFLSGCFKYAERTYCLYCASPGMLISRSLRSLSHTLRVLQQKQGKAEPRYHWTTGFYNWEIRPTRDEARSQMSETFDMILNGSRSWQSIRGRGITGSDIVSVDALHNTVPSTLVADDLLPDMLKEFRSNEFRYRAYEYVGVRLFHCYQRLYTKNPLRETFYIVLVDLIHRLRIAHSLVNDSPFRFLAETFLDTLFLLYSLFLYVSTPVALVLFMVAEKGDQHHTSRADITVSYILLVGAIVLDVSSATISIFSNVSFNLRARILRVASYIQPAWSRKQWSEELAQYSMIRRHAVQDTAGMASIRQSIGKRLDPWIPWGVGLFDVTYAPITKGHTPIKEFILDSLLLFGTSKQWDITSSRGQLSVQKWMEGTGSALHRSTSSDVDFPTSVLIWHIATEICYFFRDNTNICSDQMKKHKEVSRELSNYIMYLVFKCGVMLTSRAQFVHDEVHSEIRDALSDQQPQQGNLYEKDAVMKLFIKAKEEERTDSKVEIQKHKETADNVNADGSHMQKLLQSTRESIYSPVLPRACEVAHELVSINDETHRWGLIAKVWLEMLYYTAPRCGGAFTTGKTVVAVLPNLCRVPSASEHGNY >cds.KYUSt_chr1.38064 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232412269:232412598:-1 gene:KYUSg_chr1.38064 transcript:KYUSt_chr1.38064 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRSRRGPAGEGASPWPVDERTGSPAAAHLATGVASAPAASVASTAASVPSATPAVASSVRSAAAAVASATAEGTLKMRRHAEQHQTQRAQQPRLASHGRLPPLNLVG >cds.KYUSt_chr3.14845 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90256394:90259348:-1 gene:KYUSg_chr3.14845 transcript:KYUSt_chr3.14845 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPEMLDALAGWFAQTLSPDAAARRAAEQSLSSAASSPGFALALLALASSPRHDLQARLAASVYFKNELRRRWPKPSSPDSSDDASDNNLPATDCAIVKTHILNLLLTAPPLIQSQLSEALAAAAAADFPANWDSLLPSIVASLGTALTAGDVPAANSLLAAAASLFARFRNAFDTNTLRIDLKYCLEGFAAPLLEVFLSTSRRLQSSPASANPLELRPVFDCLRLCSEIFHSLNSVDLPEFFEDHMRDWMTEFRAFLTTSYPPPVEADGAPDALRAAVCDNLQLYMEKYEEEFRGYLKEFVEAVWGLLMAPTVSPSRGQLAVTAIRFLTTVAESVHHALFGTPDAMKQICDSVVVPNLRLRDEDEESFEVNWVEYVRRDAEGSDTDTLRRAACRLLRGLAANYREQVAALVSAQVQQMLAAYAADRANNWKEKDAAIYLVIALMQKPGATGGGTPVVDMESFFTSVIVPELQAADWQSEPMLKATVLRFLKEFKDQIPKATALALLPSVTRFLTHESNVVHSYAAIFIENLLITKDVVQVPGASVVTRTPRYVAADISSFAQQIIQSLSTALNYPDSYENPYLMKCLMRVLGVATIAGQIVHEITARLVGILMEVCNNPKNPDFNHYLFESLAAVIGKAGEQDPALVPLFEASLFPVLQRILVEDISEFWPYAFQIFAQLVNLSQPPLSQNYMQLFGVLLSNATWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPNILVIFRSLVSRASTEDSAFYMLNTLVQNVGLDTMSPHIGEIWSTLFTRLQTRQAVKFVNSIVVVMSLVSVKYGPGVLVSSVDTVQPSLFTTILQRFWIPNLKLIKGSLEIKLTTVASTKLLCESAVLLDAAAAQTWGKLLDSIVALFSRTDQDGAQEQNDGADAADSQRTSGYSVSFVRLQYAGKSEDDLLKEVNDPKQFLVTSLASLSAQSPGRFGPVIEQHVDPANKGALLQLCAAYNANIV >cds.KYUSt_chr4.16292 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101120445:101122913:-1 gene:KYUSg_chr4.16292 transcript:KYUSt_chr4.16292 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTLAVVFPVDEDAALGLDNACRPTSISHLSLPPFSTRPPMDSQSSQYSLIQQIVHNVAAAIVNNNQQNCRVVAFVRRQIFGRRQHDTDHRYKAGFPPKKGLLGYGSNMMKEMCFANVHLQKHTDQPWYKKLRFSLHRHIFLVPPPRDLNDELMIAHVAAVFEIVLKPHEVKIFKDGDNSGGPNERSSLGLLVIPGY >cds.KYUSt_chr7.11962 pep primary_assembly:MPB_Lper_Kyuss_1697:7:73551411:73553431:-1 gene:KYUSg_chr7.11962 transcript:KYUSt_chr7.11962 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKKLPTSPISSAASSKKLHRPHRGACWDCLHFTPDVHFTSLTRSLSLSVSVHATPPAKPSRPRAAAAMGVSNNITACLNFTALLCTIPVIATGLWFASKQGAECARLARWPVAILGGLLLLVALAGFVGAYWNRQGLLAAYLFAMAALITLLVFAFAVTHGSGAYEVPGRAYREYRLEGFSGWLRGYVADPRRWEGIRACLAASDTCRKLGVESAFFIAPEQFYQSHLSPLQSGCCKPPTACGYAYVSPTVWTSPANPAADADCGAWSNDPRQLCYGCSSCKAGMLGILRDQWRRANVALVAATVALIIVYIIGCSAFKNAQTEDLFRRYKWSNT >cds.KYUSt_chr7.24711 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154036421:154037467:1 gene:KYUSg_chr7.24711 transcript:KYUSt_chr7.24711 gene_biotype:protein_coding transcript_biotype:protein_coding MKESVAAAVQEEVWEVRPSGMLVQKRTPDSDPPPGGAPVPTIRVKVKFAGVTHEVYVNSQASFGELKKLMAEKTGLHPDDLKAVYKGREADSKEFLDMIGVRDRSKLTMLEDPSAQARRLIEERRNAKAQRAAKAVSRVSLDVDKLASKVSALETIVNKGGRVVEADVVALTEALMNELVKLDAVAAEGDVKAQRRIQEKRVQKHVEALDAIRAKAAKNTRASPAPNNNKSAARPNHLPPRPPPAHHQQRRQFQHAAPTTATAPAPQTATASWDSFDLLSSAPSSSSAPVSTMAPATTTSPSPKFDWELF >cds.KYUSt_contig_361.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000037.1:191412:193060:1 gene:KYUSg_contig_361.2 transcript:KYUSt_contig_361.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGLERGVQMTRCTMRLPKQRGHQIRTLGVEKSAVPHCNPAPAAMDAAAICGGAPVKDEDAAARMWGGDSGDVGDVREARVADVAERQQRAEATAEARYEMTTLARLPFRLGLGRVMDATGYLQDS >cds.KYUSt_chr6.30382 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192419737:192424069:1 gene:KYUSg_chr6.30382 transcript:KYUSt_chr6.30382 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFHNLLARPNSAPLAFSLPRPRRRLPPPHPHTIACRAASRWADRLFADFHLLPAASAAPEPPSAAPASASPFVPLFPDAADRSLPLQVDFYKVLGAEPHFLGDGVRRAFEARTAKPPQYGYSTDTLVGRRQILQVAHDTLTDQSSRTEYDRALSENRDAALTLDVAWDKVPGVLCALQEAGEAQAVLAVGEQLLEDRPPKQFKQDVVLAMALAYVDLSRDSMAASPPDVIFCCEVLERALKLLQEDGASNLAPDLLSQIDETLEEITPRCVLELLALPLDEKHRNKRQEGLRGVRNILWSVGRGGIATVGGGFSREAYMNEAFLQMTSAEQMDFFSKTPNSIPPEWFEIYSVALANVAQAIVSKRPELIMMADDLFEQLQKFNIGSQYAYDNEMDLALERALCSLLVGDITNCRIWLAIDNESSPHRDPKIVEFIVNNSSIDQENDLLPGLCKLLETWLVSEVFPRSRDTRGMQFRLGDYYDDPKVLSYLEMMEGGGASHLAAAAAIAKLGAQATAALGTVKSSALQAFSKIFPLIEQLDLSAMENPDNDLEGSLEKFDLKKVMGFDIHDSKNAALKIVSAGALSGLLAVIGLKYMPRKRVLPAIRSEHEAVAVANVVDSVDDDAFDESIHIPRMDAKLAEDIVRKWQSIKSKALGSDHSVASLQEVLAGNMLKVWTDRAAEIEQHGWFWDYTLSDVTIDSITISLDGRRASVEATIEEAGRLTDITDSKNNDSYDTKYTTRYEMAFTKSGGWKITEGAVLKS >cds.KYUSt_chr3.12812 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76822862:76824174:1 gene:KYUSg_chr3.12812 transcript:KYUSt_chr3.12812 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRSSTGSSRASSSPSPSPSPSPSDILHESITEMSKIAFIIEIIPFFIFFHYNPSFSMLGPTIIAWHETVPKQTPHVHRLATERLGPGLWSRFSSRTATKGTISRGSCSIATYGAHPKPVFPPVAAPTDARSSAWSRGQEYPNPPEKGWLKRPQYPHPPDPINPDPATLREQWLYVSRRYSRWYSRAWGAAGLAGAAVFAAGWAVKGSNPFPSHQAGHRNEQPHDAPATEP >cds.KYUSt_chr7.38203 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238185808:238188285:1 gene:KYUSg_chr7.38203 transcript:KYUSt_chr7.38203 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLSPQYARPAPPLPLRHLPPQPAVASPATTDRLRLRLRVRRAPPPAQAKFGKFDAADAPAEAEPAASTAEVDGGAEKAVVTEDDSSLPSDLQGAIWQSGKASADFVNSGGMRGIAELLIPQLEFLNEEGAQAEVWALSRILLDTLAQETGQKVKAIFPDAGVAALLKYQWKDAQFKCASLSDRKPVDADDEVVVMIIPDHQMLESVERISSQLSDDPYFYHCLFDEAIAYWCNLQMLS >cds.KYUSt_chr5.7074 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44003071:44003427:-1 gene:KYUSg_chr5.7074 transcript:KYUSt_chr5.7074 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSRPAGVLLLFAALLLAASTYPAVAAYGGRSVISHAAAKGTRASAHLDTASATLTRRLEDEVAPELSWAASDLGAGGGGIPIDSLNPAVCPGLCAAKAGKPYTPSPRPCLKNQYRC >cds.KYUSt_contig_528.146 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:983059:984318:-1 gene:KYUSg_contig_528.146 transcript:KYUSt_contig_528.146 gene_biotype:protein_coding transcript_biotype:protein_coding MITTHISKPPFPAPFHSHSNAAAPLPLFRRHRHLHLSKHFSVAVAASPSELLASVESVASAASVLASIVLVHESGHFVAATSRGIHVSQFSVGFGPALARFRLGPVEYALRAIPLGGYVGFPDDDPESGFAPDDPDLLRNRPVPDRLLVVSAGVIANLIFAFLIVYAQALTVGVPVQALLTGVLVPDVIPGSAAARAGLLPGDIILAVPGAAPDPSVPALVDLIKASPNKKVLVTVSRTGPGIRDKQSLDLTVVPDTSADGTGRIGVQLSPNFRVTRVHPRNLSEATVLAVREFTALGGTVLDGLKQTFLNFSQTAEKVSGPVAIIAVGAEVARSSADGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGQKIPREIEQRIMSSGILLVLMVGMFLIVRDTLNLDFIKQNL >cds.KYUSt_chr4.50256 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311325050:311326722:1 gene:KYUSg_chr4.50256 transcript:KYUSt_chr4.50256 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDVAADIPVAEAPAKRAPLNKYALACAILASMNSILLGYDVSVMSGAQIFMKKDLKITDRQIEILAGIINIFSLVGSLAAGRTSDWIGRRYTMVLAAVIFFAGALIMGLAPSYAVLMVGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINTGVLLGYISNYAFHSLPVHISWRAMFLAGAVPPVFLAIGVLVMPESPRWLVMQGRIGDARRVLQKTSDTPEEAVERLADIKSAVGIPEGISDDSDEVIAIARKSKGADGKGVLSDLLLRPTPPVRRILIACLGLQFFQQASGIDSVVLYSPRVFETAGIKSDANTLGATISVGATKTLFILVATFFLDRVGRRPLLLTSAGGMVLSLLTLASALHVISGRAPADGATALSGVSIASVLTFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRIMSGAITMSFYSLSDKITLAGSFYLYASVAAAGWVFMFCFLPETRGRSLEDTEVLFGGGDDAGKEDGHGHTKSTQLTTPTQH >cds.KYUSt_chr7.21293 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131905478:131906575:1 gene:KYUSg_chr7.21293 transcript:KYUSt_chr7.21293 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASDPSPLQDFCVADKYSPVLVNGFVCKDPKVVSADDFFMAANLDKPRDTTNKVGSNVTLINAMKIPGLNTLGISIVRIDYAPLGENSPHTHPHATEILTVLEGALYVGFVTSNPENKLFTKKLEKGDVFVFPVGLIHFQFNPCPNKPAVAIAALSSQNPSTITIANAVFGSKPPISDDVLAKAFQVEKNTVKWLQAQFWADNQN >cds.KYUSt_chr7.34279 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214255554:214256510:-1 gene:KYUSg_chr7.34279 transcript:KYUSt_chr7.34279 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSEISFDFQPFLCQYKSGRVFRYRSNATVPAGTDPVTGVVSRDIHVGAARARVYLPPDAAASNAKLPVILYFHGGGFVVGSPAGTSNHAYLNDLVARAGAIGVSVYYRLAPEHMLPAAYEDGWAAVRWAATRGDGADPWLLDHADLSRVFLAGCSAGANLAHNMAIRASATGALPKGVTVRGLLAVHPYFTGKEAVGAEVDFPADVREHMDCTWRFVFPGSAGLDDPLVNPFVNDEARAAVAKISCERVLVCVAEADLLLKERGLWYYRELKASGYAGELDLLESKGVGHGFHMDMLHSEEGVKLQKRTVAFIRK >cds.KYUSt_chr4.37929 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233903022:233903816:-1 gene:KYUSg_chr4.37929 transcript:KYUSt_chr4.37929 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGFQLCITLWTAAVATPRETRHEGVRVPEIPGHRASTRHPSLLHRLHSTAFSFPFSISFAFLLGGASAPAGAFSATRPLRRAASILPQSRCPTHHQQQKAMNLAHTFNKLLRRKRSDSATAGTRETEAPVARDDYNSSMDAPTAATRPSLNKPNLSRILAAAYSLDALFRNATEKKKVPGGATRLARCDRGLPLLCSVRGHLGGLRAVPLRRQGAIQSADVAVVADLTKRRFLKDTAGEARATAAVGNTTSPRMLRSSGIC >cds.KYUSt_chr1.28972 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175329459:175337050:1 gene:KYUSg_chr1.28972 transcript:KYUSt_chr1.28972 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYLLDHVNTPILSSCSSSFQHGTFGLNAESRIGQQLLEQSLGASKSEKEKGQYSVNLAKGGLLGSDNGLLRRPAHVISLYGYGIGRNEFALPLGAKGLLQSVRRASTATAGQPKLDTEEQSDDQNQNKRKKEASPEECDQAVEGLSTAKAKAKAKQVQDLLKADQSIVKKFWARLLGIGPALRAVASMSRADWATKLKHGKDEFISTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPVAVFIVVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGETKQTAEDLDEFLNKVRKGERVSNDEILGFAKLFNDELTLDNMNRARLVNMCKYMGIRPFGTDHYLRFMLRKKLRDIKNDDKMIQAEGVESLSEEELRQACRDRGHLGLRSTEEMRQQLRDWLDLSLNRAVPSSLLILSRAFTLSGRMKPEDAVVATLSSLPDEVVDTIGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKEKQKKEEKANLKEPEAAEEDLALKEMTEPTAREEEELRESKHDKEQLCNISRALAVLASASSVSKERQEFLSLVNKEINLYNSMLEKEGTEGEEEAKKAYKAAREESDDAAEVAAEEKVSSALIDKVDAMLQELEKEIDDVDAQIGNRWQLLDRDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKIRVEDIVKLASQTEENNEDEEESRH >cds.KYUSt_chr6.16301 pep primary_assembly:MPB_Lper_Kyuss_1697:6:102523269:102525751:-1 gene:KYUSg_chr6.16301 transcript:KYUSt_chr6.16301 gene_biotype:protein_coding transcript_biotype:protein_coding MATALGFAARKICSRALERPAQQLFSTAASAVVKEEQRMLLPRISHGGSSLRRFSSSEAPNLLNNNKHLPCEAKSGLSKRIEEKKHELLDLLRQMEGDYSKLNEPKELQHLLQRSNERFLATHSNLDRCTTLVSMRTKKAALGAISTFRCPNVRAPRRLRLADHIEGSSVGIGISVVVGLGKVDIPVLDPNPGVAIAVLDLRHPCHRRRRHGFLSLHALDRIQVRSGLNGRQLGEKDEVGGEDDELPPKLVGVVL >cds.KYUSt_chr1.41419 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254053956:254054640:-1 gene:KYUSg_chr1.41419 transcript:KYUSt_chr1.41419 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPAHGASSGPTGAVDPPTGVLDGPSDLAGTPPVKEPNTNVTRKMYQKHLEEEEKEEGEEEEEEDYEKKHDEEEDDQEGAADIRAWVRESDDIFKYRGCSWPEVVGLKANKAKRIIRKGKPDIYFEVVSERQLLTMCYCSRRVRLIVGRSNCVVRTPRVG >cds.KYUSt_chr4.13653 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84116656:84118164:1 gene:KYUSg_chr4.13653 transcript:KYUSt_chr4.13653 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGFLSHGPESSQVFLAGLWRPVHKSNIILNETRAVTTTDSSLTSVDGDGKVNTEGVAYYSNLIDYLLQKGITPYINLYHSDLPLALQKKYGGWLNAKMAELFADYADFCFKTFGNRVKHWFTLNEPRIAALLGYDAGSIPPQRCSKCAAGGNSATEPYIVAHNFLLAHGYAVARYRNKYQAAQQGKVGIVLDFNWYEGLTNSTEDQEAAQRARDFHVGWFVDPLIKDITHR >cds.KYUSt_chr1.38885 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237604161:237608427:1 gene:KYUSg_chr1.38885 transcript:KYUSt_chr1.38885 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGAAASAPSSSSAKAGDGGAARKPWLFVGLGNPGRMYRGTRHNVGFELIDAIAEAEGISITSKHFKAMVGKGLIGDVPVMLAKPQTFMNASGESVGQLVSYFKIPLNQVVVIYDDLDLPFAKLRLLPKGGHGGHNGMRSVIHHFKESRDFPRLRIGIGRPTGMMEVIGFVLRSFTEEEQKEVYYYYTALLNFFSKVY >cds.KYUSt_chr4.5857 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34288422:34288706:-1 gene:KYUSg_chr4.5857 transcript:KYUSt_chr4.5857 gene_biotype:protein_coding transcript_biotype:protein_coding MRISTRGGDFRRSTRSGYSNTGNCSVLRIRKTSTNLLNRVGTGEGLGEKLEITGNWSCNWNTAPNPGSRGRPRAGRVYGRTNVSPSNAISDQMT >cds.KYUSt_chr7.143 pep primary_assembly:MPB_Lper_Kyuss_1697:7:748140:750221:1 gene:KYUSg_chr7.143 transcript:KYUSt_chr7.143 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGVNDSAMMVFVFGVDGMVMLVTGVTGGVMVVFIFVAGDVVMLVTGVTGCVIVIVCFVIGDVLMLVIVMAPSFGRSISFPLSPARASRPRAAAYHVRSVSLPCRSHPLLAHLCTHIAAVRSWVAAPASPSTGLAHLDALHAALSELLLLPEARSALQYGSATAACLLDGFLVLADAHQGFQETVVELRAHAADAQAALRRRDDARLASAVRSLRRAEKDLARLAASVRSAAKFPTPSASSSAAEVEVSGALAESLAAAACASAAVFSAVESVSFSATSALASKKSMASSFMSLVKSSTKPASDDEKEVAALERLDEVDACVAEIDSASDKVFRSILHTRVALLNIQTQTCC >cds.KYUSt_chr2.36634 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226220150:226225336:-1 gene:KYUSg_chr2.36634 transcript:KYUSt_chr2.36634 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQRNGHSATAENGHSNGKTNGHNKEQSNNHSNGKSNGHVAEPEVDWKFARAKDGVLATTGSKLSIRAIRFKISASIQENGPRPVLPLAHGDPSVFPAFRTAVEAEDAVAATLRTGELNCYPPGVGLPAARSAVAEHLSKDLPYELSADDIFLTAGGTQAIEVIIPVLAQPGANILLPRPGYPNYEARAAFNKLEVRHFDLIPEKGWEIDINSLESLADKNTTAMVIINPNNPCGSVYSYEHLAKVAEVARKLGILVIADEVYGKLVLGSAPFIPMGVFGHIAPVLSIGSLSKSWIVPGWRLGWVAVYDPKKILQETKIAASITNYLNVSTDPATFIQGALPKILENTTEDFFKRIIGLLKESSEICYRDIQENQYLSCPHKPEGSMFVMVKLNLHLLEDIHDDIDFCCKLAKEESVILCPGSVLGMENWIRITFAIVPSSLQDGLQRIKSFCQRHKKKVVNGY >cds.KYUSt_chr6.1962 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11686931:11687603:-1 gene:KYUSg_chr6.1962 transcript:KYUSt_chr6.1962 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSGSRSASRSGGSTPATVKKEWPSLATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNQAAAAAANQLAEEEAKRAEDAAVAEAIARSPKDLEEEQQRRMLDLAAARQLAARAAAPSSSRNAAPREVIKLEESSDDDIYRPSPPRAGDAGQGTSRWYEAPPPQDDAGSSDDNDGGDYTTFYRHFGM >cds.KYUSt_chr5.32564 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206545423:206546256:1 gene:KYUSg_chr5.32564 transcript:KYUSt_chr5.32564 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCWLLLLFLVFLLLAMSATSCHLDDLRALRGFVGNLNGGGALLRGTWSGSSCCDWEGVGCDGTSGRVTALRLPISLEDCGKLKSLNLANERLVGTIPSWIGELDHHCYLVLSDNSLVGKAPNSLQIRLKGLATAGRSLGMAFANMPLHVKGNRRTLDEQTNTIHGTNNTVRSGNDNAVSGNDNTVICGNNNTVSGSNNTIASGSDNIVTGSNHIVCGTKHIITDNNNDVSGNDNNVSGSFHTVSGSHNTVSGSNNTVSGSNHVVSGSNKVVTGDE >cds.KYUSt_chr2.36404 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224631594:224636649:1 gene:KYUSg_chr2.36404 transcript:KYUSt_chr2.36404 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRWVPQDYLSFSRASNWQVISWPMLPPNVAVQDSINLPCRIVRGCKYCKAAGAASCLVRFSTDREYLVDLIGNPGLLSEPDSLLNGLSSMSISSPLRPPKHNSVDVVHNFKSLAKQYFLDCKSLNLMFNDPAAAIDLDAAMGSNRGPNSSHATNSDLQTTLSHIKGDAGRDGNFIRQRSFPEDILSGQRDPFSDVSLSIEDLIIPWSELADVAVKILMDQDLHPERLKEFLREVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVLRDEPSNEKSDVYSFAVILWELMTLQKPWSNLNPAQVCISGSLQCNDVFMS >cds.KYUSt_chr4.45505 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281626796:281635175:-1 gene:KYUSg_chr4.45505 transcript:KYUSt_chr4.45505 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQIQTKSRNRGRDWAELRRPFGKIVALGGPRWGEEWGCSDYGPRPRSASDATQKEITFPVSLSRSHDLARPATSDAAPAGRSHRIWASSHERATSAFPETPRRGVDSRFVRCQRWRSAARNSSTTGEAADAGGDEATTTSVVAEATGPAGEQDLGEGSDLRERRGGSRAMGAAAGRPATANCSGCRLGRSSSRKPARSIFPAVAGELSSGRRCRLDSLDTKEEENTKDLLCRPAGRGRGCGGGATRRQRQRLAGPHGSCWVFAAVAAVEAAHAIQNGWKLSLSEQHLVDCDIYDDACIGGSVEWTLRWIIQNDGIMGDADYPYTAVRGACDSDKLQHHAATITGYRWVESYSEQALMLAVASQPVIVAINARADEFKHYRGGIYDRPCGTNVSHAVVVVGYGDDEMLQMMMADREVERAERQANITALQQIAQNNQGHGNHDHPGLKLKNFQNTNPPMFSKTEEPLDANDWLQTMENNLEVAGVEAAEKEDFKLKFSKYHVPQGLIKKMSDEFRELKQRRLSVVEYRDRFLTLSRYAPDETDTNEKRKERFLNGLHDEMQTVLVNIPFADLEALVDSAIQMEGKLHQANENRKRRMMNPNGPHHTQKYRNNSSGDLSQETIGHLLRLTVQTTPTTREDP >cds.KYUSt_chr2.36641 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226271521:226273053:1 gene:KYUSg_chr2.36641 transcript:KYUSt_chr2.36641 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIVFHGLLTGRLVCEKVNPGSAAGVPERDDGDEDAQTFGHELDEKLSMIKCCPAAVLSSSTSAVLGGAYEKSKKKEQSSLSSGGPYLMAALLTKRL >cds.KYUSt_chr1.37668 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229967730:229969205:-1 gene:KYUSg_chr1.37668 transcript:KYUSt_chr1.37668 gene_biotype:protein_coding transcript_biotype:protein_coding MELAISALTGELVSRFVSFLTNKYHSSRAYSKENQLERLQQLLLRVGMVIEEADSRYIVNSCMLIQLKMLAAAMYRGHHVLDTIRSMKHREFLEKQVWDSSTLSVSTPYKRSRTVGSSIATNMVTINSELQSALQNLEAGVANMVEFVVLLSGYERISRRPYDAYLYIDNFMFGRHVEKQKILMFLLEYDSPGRPVVLPVIGGNGVGKKTLIAHVCDNERVRSHFSMVLHLNGDDLFRITDHEWMSGKTLVVIEFVSDVDEDDWATFHRSITNMDRGSKVIILGRNAGLEKFGTVKPISLSCLSLEEYSYLFKTLAFGSADQTDHPRLAAMVEKFATVLGGSLISANVLADALRKNLSAHFWLSLLNGARDSVKKNNTRFGAHPQELFSRGHPVHLIGGYILSPATPSRIVKSANSMTSIPEEGLPRIMFGDLIAREGHVVLPRGDFRLISWESRLPPYTSFVHLVRSASSCVNDKPKTPLSGKKRPSLFG >cds.KYUSt_chr5.19068 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123321902:123323026:1 gene:KYUSg_chr5.19068 transcript:KYUSt_chr5.19068 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKNRAKTKKKAKTKTKTPPFSTSLPSAPPVEPEATAPATNDVLAEDALRDILGRLSVADLLRAALACHRWRRVASRCLPRSAPLLGYFFHPSEPGLPPPQTFCKDSDTHAAFAPFGPSSPRLSLDFAPNSDRYVICDSHQGLLLLESVMKQSDGTLPRFLVLDPATRRRVQHGAPGHQTWQELRPPHVRLLPCVAACVPHPPRSAMTLPAAFTIATHFDVILCIMVPLSDLH >cds.KYUSt_chr2.47628 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297914905:297917095:1 gene:KYUSg_chr2.47628 transcript:KYUSt_chr2.47628 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRKKRRKLSLEQEELYNIVGRPNVLSYGELRLATENFSSNNLLGQGGYGSVYKGKLTDGRFVAVKQLSETSHQGKKEFATEIETISRVQHRNLVKLYGCCLEGNKPLLVYEYLENGSLDHALFGKGRANLDWPTRFEICLGIARGLAYLHEESSIRVVHRDIKASNILLDANLNPKISDFGLAKLYDERETHVSTKVAGTFGYLAPEYAMRGHVTEKVDVFAFGVVVLETLAGRPNYYTTEDQNKIYIFEWVWELCEDNHPLHMLDPRLEEFDGEEVLRAIKVALLCTQGSPHQRPSMSRVVAMLTGDVEAPDNVAKPSYITEWQIKGGDDTSFMSSEVNGQSSLGLTTDSAHSSFLGR >cds.KYUSt_chr3.18077 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111109369:111109827:-1 gene:KYUSg_chr3.18077 transcript:KYUSt_chr3.18077 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVEEEPAAEKAKKTPAGKKPKAEKRLPAGKTASKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_chr6.5738 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34494638:34498562:1 gene:KYUSg_chr6.5738 transcript:KYUSt_chr6.5738 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLKDVFGQILGKRGEAVRELNVSMRAKGNMTQRQDTMLRACSIFTNMSYTVATSLVGFTTSFAAAQVHKIVGGQPVPRLLRLGVSAVRRHFYAEELYTDQHKLEFRWIPRNMYIDGTYVERQETEANNSADKAKTISAETTVKNVSYN >cds.KYUSt_chr4.25330 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159151864:159152532:-1 gene:KYUSg_chr4.25330 transcript:KYUSt_chr4.25330 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRFHARLPLFIAELPRLPRIHLFARLPPNHPRTRRKQQWRTTVRPTTASAAALSTNGRGDSCTRRATLRPPDFRAPGGRRLSASGVPIPPPPTGGGALETAIEEVLATLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSLASGMPPPVAATVSRRHGSSWIPREDGAVLLVVGIEIGV >cds.KYUSt_chr5.30993 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196209256:196214712:1 gene:KYUSg_chr5.30993 transcript:KYUSt_chr5.30993 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAAAADPAALPAAFLSVPSPFLPSTPPLPAPVAPSHASFLPRARARGPRPLAVSVSVAVTGPTSTAASRLHHMWAEFARFVRLHGNQIAPLGFASLGSALGGGCGGGTGEGGGGGGGGDADGAVEEEGVARAQAPKKVLILMSDTGGGHRASAEAIKSAFAQEYGDDYQVFVTDLWTEHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVIHQPHFAATATFIARQVAKGLMKYQPDVIISVHPLMQHVPLRVLRSRGLLDKIPFTTVITDLSTCHPTWFHKLVTRCYCPSAEVTKRALKAGLQPSQIKVYGLPVRPSFVKPVPPKDELRRELGMDEYLPAVLLMGGGEGMGPIEATARALDNSLYDESLGEPKGQILIICGRNKKLTNRLQSINWKVPVQVKGFVTKMEECMGACDSIITKAGPGTIAEAMIRGLPIILNGYIAGQVDFATRAEQKSYNRN >cds.KYUSt_chr4.24949 pep primary_assembly:MPB_Lper_Kyuss_1697:4:156970835:156971686:1 gene:KYUSg_chr4.24949 transcript:KYUSt_chr4.24949 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSNAAAPWAQVPHQLLQEFEFFLVVDFEATCEKDKRIYPQEIIEFPSVLVDGATGRIQSAFRRYVRPKYHPVLTPFCRDLTGIRQEDVDGGVDLREALLLHDRWLQAATAGTRKGGSLAVVTWGDWDCRTMLESECVFKGIAKPAYFDRWVNLRVPFQAVLGGGGRVNLQEAVRAAGLQWEGRLHCGLDDARNTAHLLVEIMRRGAKIAITGSLAPPPIQHKEEEGQQPHTSLCGGACYCGVASRGGVVAVPGPAQGRCFWGCGNWTPAMGAACPYFLWTN >cds.KYUSt_chr3.45636 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287475136:287476968:1 gene:KYUSg_chr3.45636 transcript:KYUSt_chr3.45636 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPPTPTSAAARMAQQAQIGARPSPQPPEAAALPWPPAGSFAHAAIPVAALAHHHSVAPPIAPGSCPDGPLRPPAPSATPRPSTATTRRPQQPRPSPYAAAPVVRRRARHRRRARAAQKVETKKAELKPSEAKKLIEFMEKNYQERVAKVQSFDEFYHAIFELMELFCEERGQVQYRIPDKEKLQQAYIRHHKTAGELKKDEFVKISKEMVGLDSFSFGKAATEFLLLLFGAPFCALVVKRVLPGLRGLSDDIVIPLATSGSVAYLVHTKKL >cds.KYUSt_chr4.46951 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290526556:290527941:1 gene:KYUSg_chr4.46951 transcript:KYUSt_chr4.46951 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSVLPSHHDDADKGGGKAPQSRLCFLATLCVIFWVLIFYFHFSVLATDPDGPPVAVATQARIARRHDLPDHRVPNPAALASDPPPATATLPKEQEQEQEPSAVVQAPPKEYPFQRALRTAENASDPCGGRYIYVHELPPRFNDDMLRECQRLSLWTNMCKFMSNDGLGPPLDNQDGVFSNTGWHATNQFAVDVIFGNRMKQYQCLTNDSSRAAAVFVPFYAGFDVARYLWGYNISMRDAASHDLVDWLRKRPEWDVMGGRDHFLVGGRIAWDFRRLTDQESDWGNKLLFMPAAKNMSMLVVESSPWSANDFAVPYPTYFHPAKDADVFAWQDRMRSLERPWLFSFAGAPRPGDPMSIRGKLIEQCRTSNFCKLLECDLGESKCHSPSAIMKMFQSSLFCLQPQGDSFTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEAGVRNGK >cds.KYUSt_chr5.37251 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235522230:235522643:1 gene:KYUSg_chr5.37251 transcript:KYUSt_chr5.37251 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADEGLPPLTPIKTAPLAPPSPSTADAASSAPSQATSTTTTLVSAAEEDTTKPAMEDQKDPATPTSEETRLRSPAVCPPAPRKPPVPRLPALKRKSRPTFTTTPTARACFIVPRDLTAVFRAMPMPPEKRIRAS >cds.KYUSt_chr7.12703 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78248810:78253863:-1 gene:KYUSg_chr7.12703 transcript:KYUSt_chr7.12703 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHEWYLKACRIGDAYLTVYLRDEHYFRGKDEINLELEELFQLFNQDALDKAVISCYCLMKKLEYKRGKLLPLGFIDPNTVHEVTVRDFAKDTEDNIVMFLEKQADKEDIFFPYNFKAWKRFINTVPGKWKPELTFEDYPGMLTPAHSIIDSYFGSSFFSVVISSGSDGSAYRSKLSLSRPSRSGQEEKPAMSPRPHFLVLTYPLQGHIAPALRLARRLLAAAPDALVTFSTTEAAHRRMFPADAPDGADDARLELLPFSDGTETGYVPSSDHAAFNAYVAGFHVAGARSVGELVDALAARGRPVTRVVYTMLLPWAADVARERGVPSALYWIQPAAVLAVYYHYFHGHAGVVADHRHDPSFLVRLPGLPPLAVRDLPSFITDSTDPSDFFHSIYTTTRDLFDVLDRETPKATVIVNTCKELETGTLGALVGAYEVLPIGPVLPAGDEAGLFKLDDAKYIEWLDARPVSSVVYVSFGSLARMAKEQLDELLRGLEESGRPYLWVVRKDNKAELAEAEARIKNGMVVEWCDQVRVLSHAAVGCFVTHCGWNSAMEAVACGVPMVCVPQMSDQRMNAWLVECEWRIGARAEVGSDGVLRAAEVRRRVEEVMHGDARGAAADWKRAVVEALVKGGSSDHNLRAFMECITSDVQ >cds.KYUSt_chr3.33651 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211306728:211312629:1 gene:KYUSg_chr3.33651 transcript:KYUSt_chr3.33651 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYDIMEQIGRGAFGAAILVNHKIEKKKYVLKKIRLARQTERCRKSAHQEMKGKLQMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLRWFAQLALAVDYLHSNYVLHRDLKCSNIFLTKDHDIRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGYGWTDKKILIKSMLRKSPEHRPTASDILKNPYLQPYVNQHRQFADLLHPMQSPEKSITSSRSSRRSMSGSQCSSISGTGSDMDSIQSSERTTSGLASSSNNTIDTEGAEATNNRLVNKCSTPHDIKSHKDIASPELERQDSSKYIHVDQHPKYVSKPPKIIKKILTTLREETSKLRANNSPLRVSRVKLHSPSHSERSTDDSKQNSDVSSSSKSSEVTSHESAKVNCDTVKQIQASPPPKHLSPIVEHSAKFKIKADELQQLNSTKQTTGDAHVAEGKAKNRVLPGFTRRPSFPPRKQVGSESPQQTGTKRAYNKVTEEHAKSPCRPALGPKMVPAELPGVLVAPPSPLSGIHMKVDNSQEKSAPPRAVVTKEVGSACSSSPIDYADSIKQSEPSEPDLLANLVSSCSSPDAGIQKHDLTDIPSSELSSTNLKSISINEDISLSSVLETSIDTAVKEFICNDDVPCSKMGQSSPMVTVQSDEDKFTVQELLSSVPCIAPFDSAAKHIALDKGSPSIHQSSEKSPVPHLSPPVEDVIHVIRHSSFRVSGEQAVTGNAEMGVVNTDVDELPDVVKEEVDVRSITPSLTPSGLVDSATAKPNISEANTVSPKLADSDVVKLPAISEVNSTSPETNIRFKEEATSTKEILDVKSFRQRSEALEGLLELSADLLENNRFEELAVVLKPFGKAKVSPRETAIWLARSFQGMMNDEACRSST >cds.KYUSt_chr1.9061 pep primary_assembly:MPB_Lper_Kyuss_1697:1:55497385:55500431:-1 gene:KYUSg_chr1.9061 transcript:KYUSt_chr1.9061 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPQITVSDGRLTVRGRTVLSGVPDNVAAAHASGAGLVDGAFIGATAGEAKSHHVFTFGTLRDCRFMCVFRFKLWWMTQRMGNSGREVPLETQFIIIEVPVAAGNGDGDGDSGEPVYVVMLPLLEGQFRTALQGNDQDQLQICIDSGDKAVQTEQGMHSVYIHAGTNPFDTITQAVKAVEKHMQTFHHRDKKNLPSFVDWFGWCTWDAFYTDVTADGVKQGLRSLEEGGAPPRFLIIDDGWQQIGSEDKEEEEGDPSVSVQEGAQFASRLTGIKENTKFQSHKQEETPGLKQLVEEAKKGHGVKSVYVWHAMAGYWGGVTPSAAGMARYEPALAYPVQSPGVTGNQPDIVMDSLSVLGLGLVHPRKAHTFYHDLHAYLAACGVDGVKVDVQNIIETLGAGHGGRVALTHAYHRALEASVARNFPDNGCISCMCHNTDTLYSAKQTAVMRASDDFYPRDPASHTIHISSVAYNTLFLGEFMHPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFDLLKKLVLPDGSVLRAQLPGRPTRDCLFSDPARDGASLLKIWNVNKCAGVVGVFNCQGAGWCRVVKKTRIHDEAPGTLTGSVRAEDVDAIALVAGGVDWDGEAVLYAHRAGVLVRLPRGAKLPVTLKTLEYELFHVCPVRAVARGVSFAPIGLLDMFNAGGAVEECTVNSDGNAGVTLSVRGCGRFGAYCSRRPVKCSLDSEDVEFSYDADMGLVTIDVPVPEQEMYRWALEIQV >cds.KYUSt_chr2.5746 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35626934:35628583:1 gene:KYUSg_chr2.5746 transcript:KYUSt_chr2.5746 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQNAVQKRRLEKAGADDDSDEGTGSPVAALEDAEVGKKARSHHDRKRKKDKELKARLSQEAEEMKQLEDSLFGAIYALPQFGTEVGAPLPARGQDGPLFFMDRSAGDDDLPVYEEDLASNNEMVDKGRKPAWVDDEEDRTEVDIVKVARLRKLRKEADERVISGREYEARLRGQHTKLNPFTGWADMDRKVPLLDAESDEEEGGVDNTLRNNDELVVKGTAKLLPGMLDFSRLVNANAQDPSSGPINSVQFHRNGQLMLVAGLDKHLRFFQIDGKRNPKIQSIFIDDCPVHKASFLPDGSEVILSGRRKFFYSFDLVKAAVSKIGPLTGREEKSLESFEISPDSKTIAFVGNEGYILLVSTKTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLRTRKCMHKATDEGSLTGLSLCTSPDSSYFATGSSSGIVNVYKRDEFLGGKRKPLKTIENLTTDIGQMKFNHDAQILAICSGKERNGMRLVHIPSFTVYQNWPGPRFSLQYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQNA >cds.KYUSt_chr5.4401 pep primary_assembly:MPB_Lper_Kyuss_1697:5:28103678:28106405:1 gene:KYUSg_chr5.4401 transcript:KYUSt_chr5.4401 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIIGLITTSAATPVRDIIPDKFVKNFKGQISKVIKLEAPDGNKYDVQATRDLNKIVLGSGWATFATFYELKEGCVLVFRYIGDSHFRVLIFDYPSCCEKEVFHVVINYGSNAQEKDIRFDQSLVSKTRCRNDGSGKDESHQRCGHCDVHFYWHHMDDREKHFVRLMIGNFRRQMSIPEKFVKNFRGQISEVIKLEAPDGNIYDIQVTKGLNKIVLGSGWAAFSNAYELKEHDVLVFRYIGDSHFKTLIFDPSGCEKELFRVVMKRAPNVQEIGISDDQSFPEETMPREKLAHDDYCRNTNKMTSMDSPSPRSDEHVTSPEDSQETMNSGSLQEITKPHYVLATGCNLTAMQKTEVDALVKKIRPVIPFYITTMNKTSLSGSLAFCKDYAVTYLPHEDQFITLCHPQKSSIWVDNLKVITGGASMLSAGWLCFVHHNELRESDICVFEVSKSDGEVTMVVHSLEGGHCLPGKKPVSQSFIVKGEVTEEEERDDEHTESDYYYSRFANYLTDKEKEDVFRSASVQLGNHVYVAVMQKTHVSGRNFLIVPSKFAAKHLAERSHDILLLRPNRKGWCVKYYYQERSTRGWGGFTCTRWNKFIRDNKLRKGDVCVFELLKGMSKVTMMVHVSRKVDGRFVLVG >cds.KYUSt_chr1.38799 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237031111:237031635:1 gene:KYUSg_chr1.38799 transcript:KYUSt_chr1.38799 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLLVLNLIMYLIVIGFASWNLNHFINGTTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRAWHGGSLANTAASALVAWAITALAFGLACKEIHIGGYRGWRLRVLEAFVIILMFTQLLYVMMLHMGLFGNQFGGGSGGYGAGDHGYGAGDHHNKGMGTGAAATRV >cds.KYUSt_chr2.33136 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204571524:204573086:1 gene:KYUSg_chr2.33136 transcript:KYUSt_chr2.33136 gene_biotype:protein_coding transcript_biotype:protein_coding MMGESQRLGHFVGTDLLRRSSVTVHRRLDHVNAQYRHPPLLSYKFSWKHLQDPADFGRLEEFNEAVRRLESDHRMDNPAAASHGVERKRKAGEICQEVEVAAVALESVGQVASVVVIAAAAQSEIGGGMEKKLRRPADSGRLGELEEADHHMDVSAASHGVERKRKAGEICQEVEVPAVAPESVGQAASVVLVAAQSEIVVKADGGGGGQEKKKARFRRVTFVCGKDRDAENGRDRRCTASPEKTGGVDVAAPALARVSDDGIRAPAISAPADVVFGDGEHTTDPVSGEHHQVPAGISNDDDSRLPSISATPDLVLGDGDQTELAGGEHHQGSASASSEVCAGDQEAGPSSSSESSPRIKAAEALEQPHVAADDTRTEGNQRSPSEAYLHVSVPASVDVAEARDQDSCPSSPNPSDDDGVSEDDTTVGGGIDPYRLGQRIAMEMDEDAIRLEVARQFGADRQRRAARRRPVAAPAPPPVRFSLRLSDDEIMEDIAAVSPENIQQDTPTGHGNRKGRRGGR >cds.KYUSt_chr7.33919 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211862121:211863089:1 gene:KYUSg_chr7.33919 transcript:KYUSt_chr7.33919 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESLLILLLMVVATNMAAASVSTRKLMFLVQPQPNQLTYHNGAVLQGDIPVSVIWYGRFTAAQKAVISDFLVSLSAAPRAAPAPSVSQWWSSIHQLYLSKAAATVGKSGGQSTGVAKIARVVLSGQVSDEACSLGKSLKLSQLPALAARARPAKGGIALVLTAQDVAVEGFCMSRCGRHGPVDAKADTAYVWAGNSATQCPGQCAWPFHQPAYGPQSTPLVPPNGDVGMDGLVINVASMVAGAVTNPFGDGFYQGDRAAPLEAATACPGVYGKGAYPGYAGQLLVDSATGASYNANGERGRKYLLPALFDPATSACSTLV >cds.KYUSt_chr4.46620 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288203292:288206496:1 gene:KYUSg_chr4.46620 transcript:KYUSt_chr4.46620 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAFGPQPRSSDWAISGSNGHGGPPRIPAAGDRGTSQSFHRPGSMRWSSLTRRLRHRELLMKSPGTWHDELLNRGWEWRRIWSLRLRSRISPAKERLRRQRPGRDTSVVPGKSDRAAELDYLIRTERPRIDDELLGIEKEEARPSMVSHKDSVEDGEIRRSPGRDEDAIVTRQDETRDEDAIVTRQNTMLIAEGKDHTESCCRRARGDARRSPRRWRDARRQESDRSHHRRRAEESANAADHDERRNRPLQAAQARRDDPGSLRWEGKRPDGPDPARWEGKRPDDPDPARWDGKPVGDVKDDPPASHERSPRGTKRFSEAREAWQPRSSFFQHDERDSAGHGGRRYGRQDYGRHWGQNEHLDDRDKHKSEGHGLQEKVEQAQPQNDVDSTWKHDGFFKLEEEAPVAKRRPGFKERGMPLEEQGSAVTEPDARSRKPDQRGLTSGMGEERRNYHSREFVRPDDRGARRGFSDYRSAGQRNGYDSRGRGFAGRGGRGRDRFDYQYGGRNNMHEDAGEQTEKWKHDLYDQKDNTPAPMTEEEQIAKVEALLAL >cds.KYUSt_contig_686-1.1217 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:7557949:7559844:1 gene:KYUSg_contig_686-1.1217 transcript:KYUSt_contig_686-1.1217 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPPPPPQILLTPKPDPDAPPSPTPTTHTALIPKPEPDAPTALTPELYDIYRRDLEPSPDDHPHFALQLRHSQLQLDAASANLRLTAPNPQDHANLQVSIPLPPDAAAAATSSSATKKRNRAGEMVRVTSFTPQDHAHFRSIVRHARLTFEALRGIYHRQDNYDGARNRSDLRASSKMLSLGLWLYRNVRIVGPIPGVQVGDAFAYRAELCVVGLHCTPQAGICYIPASLVSEGHPVATSIVSSGGYLDDEDSGDVLVYTGSGGRQRNRVEHHADQTLQRGNLALHYSYHYGVEVRVIRCHACESSSSRKVYVYDGLYRVVSSTFGPGKSGRDVCKYKLLRLPDQEELGSKNWCLAKEIKDMVDSKVLPPGYISLDLSNGKEAFPVAVCNNVDQESSLLDFDYIAHPELPLSLEFSPAKRHKGCHCNTTCGSSCSCVRKNGGGPVYNEDGTLVRGRPIVYECGDLCDCTMSCLNRLTQREMKHRLEVFRSKETEWGVRTLDLIQPGAFVCEYSGDVVPSDVAMDEDSFIDPKRLPKRWREWGDASDALLDDNVSQFHHFREPSYVLDVSQRRNFASYISHSSSPNAFIQYVLRGNENESCPHLMVFAMDTIPPMRELSIDYGIDQQVGP >cds.KYUSt_chr4.4575 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26199524:26201305:1 gene:KYUSg_chr4.4575 transcript:KYUSt_chr4.4575 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTAKAYLSCDSPTLHGKGYAARQRSHDNAIDGKGHGDEEQAPAAKVRREWPCCDNCGSCTKSIPPQCQCMDAVRGGCHPACRDCVKSALSVHPPVYQCMDRIPNFCQRRCNAVAAH >cds.KYUSt_scaffold_869.892 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:5626698:5629182:-1 gene:KYUSg_scaffold_869.892 transcript:KYUSt_scaffold_869.892 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLSHSRLTASHGLVEGLDGENAVVVVLEDNVVPKFNGVRKGEPPPVVVEVHLTLAIAPPRTDRPVAPPATCSTFVGLLPIDDRCKLLGQAQPIPFHPHVDGVPNSKPERLNGDGLGQWYACGGGSTGSKSNAAARILAITLPIVGAILASVVIFLCLWTKKSKPVRKASVSYPTNPEDIESIESLFLDLSTLRAATDNFDERNKLGEGGFGIVYKGILPGDEEVAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLVGVCLEEHEKLLVYEYMANKSLDTILFDPDRSCQLDWGTRFRIVNGIARGLQYLHEDSLLKIIHRDLKASNVLLDSEFIPKISDFGLARLFASDQSQDVTNRVVGT >cds.KYUSt_chr2.31194 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192526210:192532485:1 gene:KYUSg_chr2.31194 transcript:KYUSt_chr2.31194 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPQNWANAPPYLYRGAQQQQQQAAPAAEDESDAKPGGDSRSSLRIGRLLDWMDEDYLRSCFTSSPELVSVVIKRNKETGQSEGFGFLNFADHEAADLVLQSYHGQKMPNTGRDFALNWAWTTPGKHADHVCAIYAGDLSLDVKDFMLYHLFKSRYPSVKSANVAWDDIAGCSKGYGFVMFGDVNECRQAMKEMNGAYCSTKRMRVSPATDKMRASPATNKDDFRTQEADSDCNSHNWRLFVARLDLSVTDEDLKEAFSPYGEITDVKVIAGKKYGFVTYLSRASAEEAMRILNGSKLGDKNIRVSWGHCVANQQDQWNGEYHGQPQDSGPVIGWCTNDPNMYGFHHGYAHYQQQQPQQTMVQYKWGVVDKVMISMRLIFGGVEDGLLLLQI >cds.KYUSt_chr6.31288 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198005578:198007912:-1 gene:KYUSg_chr6.31288 transcript:KYUSt_chr6.31288 gene_biotype:protein_coding transcript_biotype:protein_coding METIFGSTTASGKYAKSGNDPFSIDVEDESEMQTSPNVGESSAKAPPKKKAKVVHIEDDALVTTLKDRFKMMADAIAKSGVDDDALPDNLWDALAALKGFDEDHVAHYYAHLVDNPKTAKAFMTLKLESKLVWLGRKAVRAIFSELDETKAHDLIFHGRFQKSEGETRKGSRGPTP >cds.KYUSt_chr4.354 pep primary_assembly:MPB_Lper_Kyuss_1697:4:1912846:1915470:-1 gene:KYUSg_chr4.354 transcript:KYUSt_chr4.354 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLDWRSVGSLIATVMVFRTAMRDFVPPEAEQWLRRFLARVAAAFRAPTATILIYEADGAAVTGVANDLYDAGQLYLGARCLAAAPAVRLYKPRGGSSTVASLPDAHTAHDTWKGVTVKWTSTARPVDVYRGGQGPSGGGVHRSLELQFVRQHRDFVHDSYIPHVMDEATRVRLTSRERRLYTNGEEYQRLWTSHAFSHPSTFDTLAVDPALREEIRADLLRFASRREHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLMFDVYDLELTTVATNSHLRRLLVSTTPKSVVVVEDIDCSLDLSDRRKKGGGSIVDQDDDDNNAAIGREESISLSGVLNFVDGLWSSCVGERLIIFTTNHPERLDPALVRPGRMDRKIELGYCSPAALRVLAKNYLGVGEDTDDEAVNGLMAQAEGLLAADDDVRITPADIGEVFMGCDGAGASAALRKLVGELRRRRDHAPAPAVDALAAAETMDPRDETEKSPTMDLRCRAPTTPPRSATAPCFAFPPRSHRLSRPAPPPRANQATASPTFSAVTASCFAFPARSHRLRTTSLSAHMASLPCARSPAPLTPSTGRHLHSTAAVGPSSPRLAARSSSALPPELASPQPQTKLAATERAPSTAPSMVEIGHRRSRRSRRPHS >cds.KYUSt_chr6.30658 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194347912:194349751:1 gene:KYUSg_chr6.30658 transcript:KYUSt_chr6.30658 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHRAYARVGLLGNPSDVYGGRAVSFAVAGLWATVRLRPSEELLVQPHPRHDLVAFPCLSALVERLDGGGYYGGVRLLLAICKIFHSHCKRNGIALEDKNFTLSYDTNIPRQSRVFSLHFCRPGSPARAPDKIKVEVRPNLILDAEKELGIVAGLQDRVAQVCGLVYMDFSQEHMDKLGHGIYTPLDVNLLPPVYLIYAENPSDSGKVHSSVRQRWLDGDEFIISSMKEVAHLAYDGHNALLQKNYTELARLMNRNFDLRRQMFGDDVLGAVNIKMVEVARSVGAASKFTGSGGAVVAFCPDGDAQAELLKKACEEAGFVVEPIEVAPSVLTEEEVASLYKSPVDKRIS >cds.KYUSt_chr6.17475 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110054335:110058219:-1 gene:KYUSg_chr6.17475 transcript:KYUSt_chr6.17475 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQRMYQCNDACSLLDVRFTATRIRQHGGGEEDQVGSGVSAFEPASILVIEKMTLKPCLRHKYTNSLMVAMF >cds.KYUSt_chr3.32558 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204691428:204693601:-1 gene:KYUSg_chr3.32558 transcript:KYUSt_chr3.32558 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLRSMAGKTIVLWVAAACSLLLFCSSLSGVEAIGVNYGMIGSDLPSPDKVVALYKSRNITDVRLFHPDTSVLEALRGSGLGVVLGTLNEHLAPLASDASFAASWVQSYVQPFAGSVRFRYINAGNEVIPGESAALVLPAMQNLEAALQAAGLSSVPVTTAVATSVLGSSYPPSQGAFSEAALPAVEPIVSFLKSRGTPLLVNVYPYFAYSADPSSVPLDYALLSSSAAVAVTDNGVEYANMFDAILDAVYAAVEKVGGGESLELVVSETGWPSGGGGYGASVENAAAYVNNLVRHVGSGTPRRPGKAVETYIFAIFNENQKPEGVERNFGLFQPDMTEVYHVDFSAASS >cds.KYUSt_chr7.18191 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112810085:112810529:-1 gene:KYUSg_chr7.18191 transcript:KYUSt_chr7.18191 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIEREEWVLTPLAYPLLAAASLAAVLLLPHFSRWHSAVVAPSSPSPFDVGTTPFIRFRRAFLLLFSLASVVEGIQLVFGEDEFARCGFGREQMAARLAAATVAALFLGGASGIVADKL >cds.KYUSt_chr5.32284 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204827670:204831728:1 gene:KYUSg_chr5.32284 transcript:KYUSt_chr5.32284 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFPRLHSFAINKLASVKNILDLSDPIDAFHLPLSNQAFEEFHEFNHLIEQTRSARNADGSFAGLGFLTWYLAGKLAAFDRKGHIAKLCMVFLPLLVASLVAVSRVDDYWHHWQDVFAGSIIGLTVSSFCYLQFFPYPFDADGIWPHAYFQQLAETQSNGIANSYDTRPTAIEPIDEGYDAIALRDSSLILDTMESGRR >cds.KYUSt_chr4.8513 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51134274:51134735:-1 gene:KYUSg_chr4.8513 transcript:KYUSt_chr4.8513 gene_biotype:protein_coding transcript_biotype:protein_coding MSSACIQFTVFFTFTILGIEIAVVPSIITEEAIIILVTLVFSTFARPWLPPDSVIVRGSDAATADPRSDLPGHSTCEFDEALPVQPAASYRLTTHASAATNFHCKCPSLEAMSQLVDEPNADGYQQKDLDALPWPQPLAPVVPAGWLLRHGDR >cds.KYUSt_chr3.26333 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163898135:163900285:-1 gene:KYUSg_chr3.26333 transcript:KYUSt_chr3.26333 gene_biotype:protein_coding transcript_biotype:protein_coding MRESTRATRRGKEEILRGVQLQYAGVEAVGRPINPNMMEDVEHPIREIQKCFAISNPGQSAEHKPPRPMESREGKKEVLDNDPRQGNLAGGRAPGNRRALESGASQAEQTWAATRSVGLLMAPPDGGGEQGGLAERPTHPKPSGGRSAAPLPRRRALLGLEMLPDDDGNWDDGQRMEEEPGFRQGSEVRIGGTVDMEDDVYLEFDEEEDLKEAPEDPSTWKLLARYMANFKPNTRAMFNYFADEAWRLRTGIRYSEKGKNYYRITLFSKGDYDFVMRGGPWIFNKNALLVKKLDEAAQPSETVLDSVPIWVRIYDVPWGKQNKIWGMRYGDGLGEAMEVDVPSEEQDENEFLRVRVNLPYDRRLQTQISTGVKGKPGEVKVFKLKYERVPYYCSHCGFMGHRKDVCEKKRLGIPSLDYDAHELRCSPYKKFEHRAYYVPPRGQASARRNLSFASFGSAESRKSTRLRGDQSQAFGSRRHSLTPEVGSRHESEDDVMPTLEDDIPPGEYVVEQVEARDGFEEEEKATGREVEMNLAAKVDAMQVVPEVNLGYRDASQPIIQFPDDDANLADHMCADHVRVTMTPDMLARMQHLQAKTASSSSGGRGPSSNDMIPALRNLSHLQVSFGSASDVSMPPADTVLGKRSAEEGEVQGERLELSLALNYGGLADGGTQKKGLEQAAKRNVEVVYKRNKKLATTGHVTSSNLARPNVWSRQQQ >cds.KYUSt_chr4.40641 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250842073:250842522:-1 gene:KYUSg_chr4.40641 transcript:KYUSt_chr4.40641 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEVEKAEKTPAGKKPKAEKRLPASKSASKEGGDKKARKKNKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSN >cds.KYUSt_chr2.37752 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233641993:233643495:1 gene:KYUSg_chr2.37752 transcript:KYUSt_chr2.37752 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGARFFVAGGRSSAALGIGPVRTARAAAMGTPEMWKCGGARLSSTAATPVSGPLTPPASCSKKKKEETEAASYWGVAPTRLVKEDGTEWKWSCFRPWDAYEADVSIDLTKHHQPATMGDKMARWTVKAMRWHADLFFQVAHKAKLYLSEPVDLVSRRSGPLDPPRRYGCRAMMLETVAAVPGMVAGVVLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVSQPRWHERALVVAVQGVFFNVYLATYLLSPRVAHRVVGYLEEEAVHSYTEFLRDLDAGKIDDVPAPAIAIDYWRLPPGATLKDVVTVVRADEAHHRDVNHYASVSIC >cds.KYUSt_chr7.25533 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159270157:159272329:1 gene:KYUSg_chr7.25533 transcript:KYUSt_chr7.25533 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSSSHHHSLLKIPAAFTTVDTSTPNLSSFLLYNQTSHGQSPSTPNTSAAMQDDASLESSSAVLDSQQGSASVERKRKATDESATLSSAHSKDCKDGKSRRGKREKSSSDQDQEEAPKGYIHVRARRGQATDSHSLAERVRRERISERMRLLQTLVPGCDKVTGKALVLDEIINYVQSLQNQVELTSLLVMQFLSMRIASMSPVLYGFGLDGDGLHDQTQKIGGMFQEALAVPAPVMNQASPAASQAVIDTTSYPLQSHGGISFSQSQCEDKDSSTVPV >cds.KYUSt_contig_686-1.1042 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6435922:6436398:-1 gene:KYUSg_contig_686-1.1042 transcript:KYUSt_contig_686-1.1042 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSMPAPKDNLFIFLLYNTAVSIAVLSDLVRAAMAFVGLPVPPAWGEDDDQPLAIPSSSSPSPAPAGPTLADRFRSSFTPALFGRGPRRGASPDCRVCLARFEPESVVNRLPCGHLFHRACLETWLDYDHATCPLCRLRLLPAADDPPSLGFARVH >cds.KYUSt_chr6.1284 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8006924:8013362:1 gene:KYUSg_chr6.1284 transcript:KYUSt_chr6.1284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-transporting P1B-ATPase, Root-to-shoot cadmium (Cd) translocatio [Source: Projected from Oryza sativa (Os07g0232900)] MTDGGENWRGAALEESLLPEEAAARTGKKYEKTYLDVLGVCCSAEVALVERLLAPLDGVRAVSVVVPSRTVIVEHDPEATSQSRIGNPSHSSSQPKLISMTRKLTILSVLVCPVRHGFSVKVLNGAGLEASVRAYGSSGIISRWPSPYIVACGVLLLASSFSCLLPPLRWLALGAACAGAPPMILRGFAAASRLTLDINLLMLIAVAGAVALKDYTEAGAIVFLFTTAEWLETLACTKASAGMSSLMSMIPPKAVLAETGEVVNVRDIGVGAVIAVRAGEVVPVDGVVVDGQSEVDERSLTGESYPVPKQPQSEVWAGTLNLDGYIAVRTTALAENSTVAKMEKLVEEAQNSRSKTQRLIDSCAKYYTPAVVVAGAGVALLPPLLGAGDPERWFRLSLVLLVSACPCALVLSTPVATFCALLTAARMGILVKGGDILESLGEITAVAFDKTGTITRGEFTIDAFRVVGDKVQITHLLYWISSIESKSSHPMAAALVEYAQSKSIQPKPENVAEFRILPGEGIYGEMDGKHIYIGNNRALARGSCHTDTVSGEIDDLVKGVSIGYVICDGDLVGVFSLSDDCRTGASEAIRELRSMGITSVMLTGDSAEAAMHAQQQLGGVLEELHSALFPEDKVRLVAALKARAGPTMMVGDGINDAPALAMADVGVSMGISGSAAAMETSHATLMSSDILRVPEAVRLGRRARRTILVNVVASLAAKAAVLVLALAWRPLLWAAVLADVGTCLLVVLNSMLLLGEGRTTGRGKEEACRATARSLDMRRSQLAALASSNTAAPATASGDKDCHCCQKQSESDEHTVAIDIPADENRQEELMCAPTNGQVTAGSDGPSVMPTSSSCASQGCCSGEADSMGRVQENGDR >cds.KYUSt_chr1.5948 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36593078:36594595:1 gene:KYUSg_chr1.5948 transcript:KYUSt_chr1.5948 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSVARIMSLGHLDLTILHKLLALSPGSHMKFRIDPPLVETETETETVVQDSHRKFRKDPPLMEAETVVPNSYRKFRKEPPLMETRTLVQISDRKFREDPSLMEAETMVQNSPELPLDVLIDIFAQLEIPDFIRAGSVCSAWRSAYTILHSQIEQYKRRQTPCLLYTSESVADNVACLYSLAEKRVYNITLPDPPIRSRYLIGSSHGWLVTADDKSELHLLNPITGQQIALPPVITIEHVKPILDDAGAISKYELWDDLDVEIHDLDKLRDCLYFRAFVFPDPSTGSYIVVLIIFPYRQLLFARVGDCKWTLLPPGEDYEQCIHMDGLLYAFTRTGQIDAFDLTGPTFTRNVIADEMENHISGMDGLMYVVQAPCGDLLQVCRGAEVTEDILVETDKIMVYKADMSAKKLVKMNGLHDHVLFLGRSQSQCLSAEQYPQLKTNCVYFTDDDTYISRYKNDRRDIGILNLENGGREEIASKLWCNWPNPIWITPNITRMNMGVYK >cds.KYUSt_chr7.10382 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63708376:63711467:1 gene:KYUSg_chr7.10382 transcript:KYUSt_chr7.10382 gene_biotype:protein_coding transcript_biotype:protein_coding MNASGCTRENATTCKRVNASTYSCKNVAACTHANASYSSSGSKFEPNDEEGADDADEFSGEEEEQGPTLHVSKKKTAMGKKRSDALKEHNTSSTFLSTMGNNQDMGGLRTSASAERVQELDGKSEQQNREGTEANVM >cds.KYUSt_chr1.35396 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215831111:215836685:1 gene:KYUSg_chr1.35396 transcript:KYUSt_chr1.35396 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRAGPRFCPARPKRTRGRGLDRAVGDALIPLPIVQQEFFPCHLPPLCPEAYPGAGSMYLATSLPDDMLADVLCRLAPRGLAKSRCVCKSWRRVVDGHHLLRADLLPLSLGGIFLNFHDMVSTQFLSRPTTGAAVSGKLFCYTLAACHPDYDYVPYPKVLGHCNGLLLFRHCVVNPATRQWAPLPPAPDLPLPPPGIHFWMRWYLVFDPTLSPNYFDVLIMPKIPSIRNNECEKLEWPPYTLTLPVFSSKACSWEERTFRREGEAAGMLPDMVESPQFCNDYQCAYREALGRATPHAGGTGPYGQSEGMERIVHVHNVDKDDFLKGNLEPDPKEVDLVFDRSPSFAEVVAQTMRINSEQHWSVYKETVAEPQDKALELFATKTVDSHIELDLNRRSSPVEARSPPPMSQEEATESRIVQSPIAQDPLLETKYDEHDDGDNGFEMNYNHVGDLDAYFMQEDMDHSIPYSRCYASDSDDDGPDEEVDEDGLMAKEAERANILKKVTGRDIRIPLFRDVSLADGAVVDGAKSLILRARPISKRDVDARMAMIYKGLMFDTLLELKVEAIQPGKDWISVLTVLVPWIIR >cds.KYUSt_chr2.46561 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291030942:291033533:1 gene:KYUSg_chr2.46561 transcript:KYUSt_chr2.46561 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKGKAKVQMDAEATIKGTAAGKGVTHQERKSKKRVAATKKKADEPIVRKMMDSTVKVTRRPAPEYKLTSPVFEEDRSEFNGMWMQTWGDLIKSMDEKTTIRSVRYADVDSPEVPAGPIDTLQFFEVKISEISGLKWPLQVYGFVAARDMVDYKRNMIFERERDNCQIISEEFPYLTLTGPARAVVLVDPVYFEVDLRVKGTGQSEDQELIFIASSFRNIHPLDSSIFKCVYTSNISTLELTFGHIIRSVEASVSMKVINGSWPDGFRGSFAARTASINDMSIGLVYIGDNFKLPLADDGEIKLHRHVVCAEIKDGEHLEVSVSAFGLDGQLLEDGLYFTPQKRGKLKGTLNVSSCEIEVTVTWSLIRWC >cds.KYUSt_chr2.35870 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221756775:221759431:1 gene:KYUSg_chr2.35870 transcript:KYUSt_chr2.35870 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRISSHLAWRPAAAASTGRSPLAGGLLGDDSSRDHKPCFAVQSPFYAAARGFSAETLVPRNQDVGLAEVPATTAALKNPSAKIVYDEYNHQRYPPGDPSKRAFAYFVLSGGRFVYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDVKLANSVDVASLRDPQEDAVRVKNPEWLVVVGVCTHLGCIPLPNSGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEDNKMLIG >cds.KYUSt_chr2.27899 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171209268:171210473:-1 gene:KYUSg_chr2.27899 transcript:KYUSt_chr2.27899 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFATLEIPDLARAGAVCASWRSGYITLRDLGKHRQHQTPCLLYTSESAGDNVACLYSLVEKRVYRLNLPEPPIRSRLIIGSSLGLLVTVDETAQMHLLDPITGEQTALPSVTTFEEVKPVYDDSGAVHNYEYSMYARYDVIFPTHIVTPGFLQHHFHQKALVFYDDASTGSYIVVLMHAPYGQLSFARVGDDEWTSLPLYSAYCDCTYKDGLLYAVAQKGEIHAFDLRGTAVTKEIIRGIDRELCFDGNYIVQAAWGGLLLVSREKEYDSEEDPDPEPELVPNTTGIILHKIDAGGKRLVKIDCLPDHVLFLGLNNTLCLSAKEYPALKGNHAYFTDDDEFNSSHKSCLRDIGVLDLGNNSKEVLVSPQLWPNWPAPVWITPNLTMMKPTSNNSCLDRI >cds.KYUSt_chr4.12824 pep primary_assembly:MPB_Lper_Kyuss_1697:4:78916971:78920264:1 gene:KYUSg_chr4.12824 transcript:KYUSt_chr4.12824 gene_biotype:protein_coding transcript_biotype:protein_coding MANRGGAPNNNRGRGQYGSGGGRGNGSWDGGSGGGRGSNFHEGSSSGTAGDDVGQGGGMDQQAAQGFHYKKLRNADWYPVETRFEGKLRCWKGKLLSYGDRFNSSYFLNRQVQDNSRSWLDMLRSRRHTHPRPHGNPIGDDDAAIATSHARLHLLVCSSLPQRLLAGGSSLPEAARRGMPPRRPNERCVLLPRR >cds.KYUSt_chr2.12516 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79435433:79441210:1 gene:KYUSg_chr2.12516 transcript:KYUSt_chr2.12516 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRNPCKEIFSELDEINAQGPILPRSFQKTEESTKWGHEVARRGVVFVRGVAAAASAGLLLDSAAPAVDGSALAYAVFARAVLAIDAMAPKKPAAWITPHWVPRPPSGDPPYKRKSMLPL >cds.KYUSt_chr5.19988 pep primary_assembly:MPB_Lper_Kyuss_1697:5:129769406:129770702:1 gene:KYUSg_chr5.19988 transcript:KYUSt_chr5.19988 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDDDMDHDGPSNNSGDDLDREVKRKKNEEGGKDNDQPTHQAPLTSTSLSLLPITVSQEKVNIDTCVLPRDVAVSDEVEGHMATSDLGMAILDEQGAVHSSVQQIGSGLEVIGAAGKEAQVVVHDTRLRDLSVNSAATHVVHGAYSHGAPDGIANKKTVPAIMTTPVSKTYSIEEVIAYGGIRSQEAKGVRSSGRLRAQPNADATQLEKAMMLAQRRNENFAQVQLRNSLVRHIKLKLMNSKGQSLF >cds.KYUSt_chr3.20886 pep primary_assembly:MPB_Lper_Kyuss_1697:3:127929395:127954624:-1 gene:KYUSg_chr3.20886 transcript:KYUSt_chr3.20886 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDGLVVVSDPYLQRRFTQTDLRALQQQYAGLRDAAPTGRLRLRDLPAALTSLGSATATSGEKENSSSETELTEEEWASVLKAVARADEKSLLDDVNFELFLRVYSEMQLRLKGGKAGDGGGGGIRRSSSTSAAFLTASTTTLLHTISESEKASYVAHINAYLAEDPFLKKALPVNPTTDQLFHLTKDGVLLCKLVNLAVPGTIDERAINTKRLLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLILGLISQIIKIQLLADVNLKSTPQLVELVEESEEMEELMSLSPEKILLRWMNFQLKKGGFQRTVTNFSSDTKDSEAYACLLNVLAPECSAKPSPMSVKDLLHRARLVLEHADRMGCKRYLAPKDIVDGLQNLNLAFVAHIFQKRNGLSKQMKKVTFVDGLFDDAQVSREERSFRLWINSLGISSYINNVFEDLRNGWVLLEVMDKVSPGSVNWKMANRPPIKLPFRKVENCNQVLKIGKDIHFSLVNIAGTDVVQGNKKLILAFLWQLMRYNILQLLKNLRFRSNGKEMTDDDILLWANKQVKDSGKQSRMESFKDRSLSSGIFFVNLLGAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCCIFLLPEDILE >cds.KYUSt_chr3.42145 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266315529:266323650:-1 gene:KYUSg_chr3.42145 transcript:KYUSt_chr3.42145 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIHEFFKVIAAALDDLFTNGDDFGRNVVQRLVNIWDDRKLFGTQGQLLKEEYTRKFKELKSKKPGGELMEKVISSYKHMLRAPIDEDKLMRKCNSALSFVDNLNKEYGNSYLGSSDGSSFVKELQEQHSTLRNTIDQFRTAESLRATLVSHLKEALHEQAAQARYKKADDLCQKLGIDVARQEPSNGLENSSFSEVPVTSFPVSANGDSFEKRQSTAVMYSREGDGGEYETLNGVFSSGATRDSIDQKIEEHSPGNKKQKLENGGSVPHPQAPPPPPPFPYPDFEQPPPPPQYPPSPESSPPPLPPSMPPPIPPPPPTTDVFMPVPAAPMGGMPYGVFPPFPPPVNYPMINMPPPFPGAPNPPHLGFPGFGGPFYGPPFPSAPHQ >cds.KYUSt_chr2.1928 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11544002:11548364:-1 gene:KYUSg_chr2.1928 transcript:KYUSt_chr2.1928 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGKFWKNHRNSNIREDPGSQKESQRRATGHPYRPKWTVLEDLCLCESWATVSHDSIIGANQKYGKYWARIKAEFDERKLIKSDYNKVTMKRSQKAMSTRWAIIQASVNSFHGYHQDLLTRAESSVDLSQMSFALMHCYTKLKGNEKWRLTRLSLSKGKDAIDLDAPLAMSAGRPTGNKAVKAALADAASCEKTHASITKCLADVSSTFLSRDKKNDERWAELLKRQEEKLELKKRRDDMSLLRASTEGISPRTRAAHNFFKGQILDDIEAKMAAADAAAQEAVAAVAATAAQEPADASSTATPSSAYATAAEQMEHAQHQADRDEVIVIDGSASTQDTSPRPTASSNLACTMVFATCLPWNKASCFTSSGVTRAFATFYGGSDASGTMGGACGYGNLYSTGYGANTAALSTALFNDGASCGQCYRIACDYAADPRFCLRGKSVTITATNLCPPNYALPNDDGGWCNPPRQHFDMAEPAWLNIGIYRGGIVPVLYQRVPCVKKGGVRFTVTGHDYFELVLVTNVAGCGSVQAVSIKGSRTGWMAMSRNWGVNWQSNALLTGQGLSFKVTSTDGQTLVFPNVAPAGWGFGQTFETSKQFS >cds.KYUSt_scaffold_1854.521 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2802993:2803667:-1 gene:KYUSg_scaffold_1854.521 transcript:KYUSt_scaffold_1854.521 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSVDASIVEADIDEQGRGMASDEGSDAGGVTQTKKKGGPTCYRCKKLGHCVNDCSVTLCDCCQKAGHVTVDCPLLKAPRPRIAMYGLGHPDLSFWELPLSESVRPCVENTRLGRVKVTGGSLSIDEIVTQLRWIVDTDDQYQWDVQMVEENSFRVNFPSKHDLVRVQRFGRFQVPGTEIHMHFDFWKIDIQPTWTPEDVWVRVDELPPLALDDFFDYVGYW >cds.KYUSt_chr2.54916 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342409262:342409906:-1 gene:KYUSg_chr2.54916 transcript:KYUSt_chr2.54916 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWPFKVIAGPGEKPMVDVQYKGEEKEFAAEEISSMVLIKMPEIAEAYLGGTINNAVVTPTAAAISCGLDKKATSVGEKNILIFDFGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNLRALRRLRTACERAKRTLSSICLLASRNHAQEEPKCLHITR >cds.KYUSt_chr7.8866 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53883593:53884654:1 gene:KYUSg_chr7.8866 transcript:KYUSt_chr7.8866 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHERLRALKAFDGTKAGVKGLVDAGVTAVPSIFHHPPEPLPVDAERHHFAIPVIDLAGAERAELVAQLKAAAETVGFFQVVNHGVPEGLLAEMLAAVRSFIEEPAEAKAPYYTRDFGRRVRYQSNFDLFQSPAANWRDSLYMEMAPDPPAPEEIPPACRGVAPEYVRLVRELYRALLGLLSEALGLRRGYLEQDAACLDGLNFAGHYYPACPEPHLTLGTTRHSDPSFLTVLLQDAVGGLQVLVDGKKWVEVPPVSGALVVNVGDFLQLVSNDRFKSVEHRVVASGVGPRISVACFFRTSGAAASTRVLRPIVTGGESEARYRSTTVEELLRHYRAKGLDGTSALQHFKL >cds.KYUSt_chr4.45602 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282186412:282190577:1 gene:KYUSg_chr4.45602 transcript:KYUSt_chr4.45602 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAPSFARSISPLLALLVLLLLAAAARGKTVKRDVKALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLRKLDLHNNKLTGPIPPQIGRLRHLKILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRFTGRIPPELGTLKNLRHLDVGSNHLIGTLRDFIGIGNGFPSLRNLYVNNNQLIGVLPDQIANLTNLEILHLSNNRLIGSISPKLVNIPRLTYLYLDNNNFIGRIPEGLYKHPFLKELYVEGNQFRPGTRSKGTHKVLELPEADALV >cds.KYUSt_chr4.20500 pep primary_assembly:MPB_Lper_Kyuss_1697:4:129099697:129104826:-1 gene:KYUSg_chr4.20500 transcript:KYUSt_chr4.20500 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAPPVAALVPYGLSGGLWDMLDDPFRVLEQTPLAVSSRPASASSQAGAISPAVALARCDWKETPDAHVISLDVPGVRRDDVKVEVEDNRVLRVSGERRADEEKEGERWHRAERAAGRFWRRFRMPAGADVDRVTARLEDGVLTVTVPKVAEHRRREPRVISIAGEDANSGGKGAEIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFAICVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKVCIS >cds.KYUSt_contig_1358.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:74025:75149:-1 gene:KYUSg_contig_1358.14 transcript:KYUSt_contig_1358.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLYPINYEPERVGDQVFLNKEHAKVRLDDEPEPTDVPLPPVSKMCGVLEDSGARALNSFCGDKAASSSLVNSLHQLEKVCEGVDRILRVQENGTTSKEEVVDASVKEATPPGDAVTEAVNGDLPSTVKPEDKMEVEG >cds.KYUSt_scaffold_2697.692 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4360663:4361028:-1 gene:KYUSg_scaffold_2697.692 transcript:KYUSt_scaffold_2697.692 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVEMTCDKCRSKAMALVAATVGVDSVALAGDGKDQVVVVGDGVDSVKLTSALRKKVGRAQLLQVGEVKKEEEKKQPAEAAAVVEYAYPWHYYQHPAAGAYGYPQYYSSPGACWM >cds.KYUSt_chr3.5902 pep primary_assembly:MPB_Lper_Kyuss_1697:3:33588636:33590532:-1 gene:KYUSg_chr3.5902 transcript:KYUSt_chr3.5902 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATAEPSAPGVNYSLLIMETTMAVKMAMETVVEMTPGAIPRPGRVPEQRLLSPELEFRDGGGSGWFSLVSSNGARFLENSNWPFGISSIAASLQRIWPPLWLRLGGDADGARRLLGGRRERLGGGGRHVAAADRYEDGHCGVPLILCQACLLPLKPPIFKCETAGNIVCSFCRGGHGDTCSRADTRCGELDAFGLPRSRQGALPYRQFGCDRYVVYHGAAEGREHQHACPCAPCSFLSPKSCQHPYQPARPHLSFFCSIIASPPSFLPPTSIADLASQHQDSSKSSLRGSGGSGYSQLLPVLM >cds.KYUSt_chr7.34460 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215167327:215168980:1 gene:KYUSg_chr7.34460 transcript:KYUSt_chr7.34460 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQWASFLGVVLATVLFLKAVLRRRRKYNLPPGPKPWPIIGNLNLIGTLPHRSIHALSKQYGPLTQLQFGSFPVVVGSSVEMAKFFLKTHDVVFTDRPKTAAGKYTTYNYSDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRSEEVLALLRDLRRGASAAGTGRALVLKDYLSTVSLNVITRMVMGKKYLEKEVRDEAGSVITTPDEFKWMIDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSKMFDRFLEHVVEEHSERRRRDGECFVAKDMVDVLLEFASDPSLEVKLNREGVKAFTQDLIAGGTESSAVTVEWALSELLKTPEVFAKATEELDRVVGRGRWVTEKDIPSLPFIQAIIKETMRLHPVAPMLVPRLSREDTSIDGYDIPAGTRVLVSVWSIGRDPELWDAPEEFMPERFLSSRLDVKGHDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFEWRLPDGVTKEELSMEEIFGLSTPRKFPLEAVVEPKLPAHLYNEA >cds.KYUSt_chr4.23181 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145966125:145969145:1 gene:KYUSg_chr4.23181 transcript:KYUSt_chr4.23181 gene_biotype:protein_coding transcript_biotype:protein_coding MLREDNTQWSFDDDDDDDDDDGHGDADVDADVDEDEDDDDNCNDNDVEWNTDDDNVLNIEDDRETPPEADHHRDPTHAAHTLESSTKGTQQGKGTKHTLPKVAKRSHLRHTTFGELLVVVQDDAFKKIATPKCRHRPIRGSWVFTRRPWKDGEKPHDAFKKGTASTDVAIMAQTGKGFPSAWPLLLLHRVRPSNDDRTAATTRGSMRPRRPHDHGHRLAPEPLARPSTSKVPTDQGRRLETKLLAPPDRNRAPRTATDAEHPSRIGDGRPHRHLPHADQKMSEQLQRSAQPTATTTLAGAISEDWTEGTSAARSAASNHPRCGQCEIRWRKHEGRGDARNGAGPLPGWRNLPAPCALPEAARRSGRPTRRRPHLRSPLAPPARCWPDGAAPPAAVDRMPPPRTRGGLLEWGAEPPPADHEEGGLTAAVNRAG >cds.KYUSt_chr3.11060 pep primary_assembly:MPB_Lper_Kyuss_1697:3:65887167:65889838:-1 gene:KYUSg_chr3.11060 transcript:KYUSt_chr3.11060 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCSSVFPTAAPSRRVPDRVVRSFKKPLVQIIDPRREIVAQEEAAPTAEVFEDPVLRLDASLKKVRQKKADLLQSDETTPGQLRKLDRDLKHLSLARNLLKEVLCLFAHSRNDHGDGQGKTREVLSPFDNSRRKRLGSLTASASSAEWILELATSIPGPEEMLGSAPPSSCPRYSRLIRGAKGLWLCIGDLQGPK >cds.KYUSt_chr2.45369 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282937797:282939449:1 gene:KYUSg_chr2.45369 transcript:KYUSt_chr2.45369 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSPPRPSPPPAAYKHFCRVCNKGFTCGSALGGHMRAHAVGDDGPNSAADDDDEPVPRARGGGGEDGPSTATTTHVYALRANPNRLTRGCQVCKNCGKEFSSMELFLEHGKCNSGEDEDTEGSPHSSAPSVADGEDDASLATAWSKGKRSRRAKLAAEGGDDMPADEDEEEDLANCLVMLSSCKADQAGVAEADPQPRRASASKDHVRMPQQPQPISFVMPAPEQAMVMPLALPAPLYASPVPRGMFECKACKKVFTSHQALGGHRASHKKVKGCFAAKAESSVIEPMHHAPAASGLDDDKGSAAAAVEVNAGGSADARTNVDVTAGETHAGTSEAAAAPSLSMAITTTDHDPALAITPGKKKAKMHECSVCHRLFSSGQALGGHKRCHWLTSGTGEHANIAPLTAEGLVAVSGHQLTLRPMVDASEPVLDLTIAANPLPAIASARVAEVGTSSLHLDASAPSLYLQPAAVPSNPSNQNKMAPTSSHGVDDAVAASGGAEDEADSTTVKKARLSDLKDDSTAGETTPWLQVGIGSSSADGDGKSAAE >cds.KYUSt_chr3.49447 pep primary_assembly:MPB_Lper_Kyuss_1697:3:308936231:308938142:1 gene:KYUSg_chr3.49447 transcript:KYUSt_chr3.49447 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTQTLPDDALADILGRLSPRDLAASRRVRKAWLAVVDARRLLLPHVLQLSVRGLFINYFGYKGPRLFARPSAEPAVDGDLSFLPPYHRGYITIVDHCRGLLLLELLSKLCVVNPATRRWEDFPWVGGYKSYDKPHLVFDPLASPHYEVFLIPEVPYRVALRPPPPKKLPKKVPKKGDWKSTTPKRRDKCGQFSGPYGLINLFSLPTDEPTGEDIEEEDDFEYEFVESSSSEPNKQKSDVSTCSESQPTERRGQEEDPHDLEWPPSSWTLNVFSSSTKQWHMRSFLREGEVTGTMTIVQPDSPEEHVYTGWRRWGTPRWRHSEYWQGSLYVHCNEAFVVRLSLSDCKYQVIRAPIGTEKTNQFLGKSENGVYLAMLECRCQLRVWSLTESSGHIDWVLKHDVDLQPLAMANFYGLQDFDKTWTIADDCVHSYYYDYYNFNYDDDDVEDNEEEEDVNLEEELEEEAHEEDDKEGEGVQKENNEMITSEDREWNSDDDNVLNIEDLRTHDSTITFHGFHPYKEVVFLELASLVGVAYHLKSSKVQYLGKMRPKDCYDSPADGIDELFPYTPCMIGELLKHAWEDPRRY >cds.KYUSt_chr1.8067 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49551621:49553661:-1 gene:KYUSg_chr1.8067 transcript:KYUSt_chr1.8067 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDTATVAYYNCEAGLQGGLSFGGVPSFVFTMGGCVGKDRSIVEDKLDFKGGNVHVITTKEDWDQKVAEANKDGKIVVANFSASWCGPCRVIAPVYAEMSKTYPQLMFLTIDVDDLMDFSSTWDIRATPTFFFLKNGQQIDKLVGANRPELEKKVQAIGDGS >cds.KYUSt_chr2.32272 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199047051:199051414:-1 gene:KYUSg_chr2.32272 transcript:KYUSt_chr2.32272 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHSQAATAGKRKKADKPGKALAVKSTNPVSKKTHKQNKPRPAAAAAAADEPAGGGVLLSAALPPARQLEFFLRSFERAAKMRLSPLELAAYSEGCMVQLAEGASQDMESFGDHVKGAFGAAWKEELCEGQLVEGSVDAGSPALLVISLGALRSLELLRGLKVFTRGCHAVKLFAKHIKVEEQVALLKDRVNIACGTPSRIKKLIDMEALSLSRLKLVILDMQRDPKSFNLFTLPQVSNEFWDLYKGYLGQKVREGDTRICFYGSVSEKDANKVLPPAE >cds.KYUSt_chr6.21458 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135487586:135488503:1 gene:KYUSg_chr6.21458 transcript:KYUSt_chr6.21458 gene_biotype:protein_coding transcript_biotype:protein_coding MNARLYIASACILQSLQSARTNMAHSALSSPFSPPGRLVEVPPSNIGEQLLRLLESKEGADVTFLVGSLEFAAHTLVLSARSPVFRETFFQQKQQQKNSVRVDGVGEAAFAALLHFAYADALPATTTAELLVAATGDAAVGARMEAAGDLIAAANRYGMDRMRLLCERALCEAIVSADAAAATLGLAVRLRSAQLKAFCVDYLASPGVLKAMMGTDAYVSLKELCPDVLVEIMEKVVTELLLVQANGNGNGSSPAPTQRPNHGGRFSDDTCYEVLTGRFEEDDFVHELGIPCDFYVREENTYPLE >cds.KYUSt_chr3.18123 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111289935:111293351:1 gene:KYUSg_chr3.18123 transcript:KYUSt_chr3.18123 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIERPVPVPYQSIQMQVRAGRWHFERSTHTPTAAAAARRPPLAMGFPGGDPPQRPPLPTLIKLGRAITARHVDRLLAVLLRRRWHRLVGALARQALANSLRPTPRTHLLAACALLDSSRPRDAARRLALAGPAPSASRLWDALLRRACAAHGDLRHALELLATSVDDHGAVPSPSTYRAMVLEFCARGDMAGAVKVFDTMTTRGCQVDDGVCSVIVSGFSKAGKAEAGLEFYDRVRKDVSGFEPGLVTLTAVVSLLGREGRIGEVAELVREMERKGVMGDEVFYSSLVHGYMRSGLLMEGLREHRSMLEKGIAADVVNYTSVIDGMCREGSVDKVMGFLDEMERMGTKPNLITYTSLVGGFCKRNRFEDAFSVMRKLEQTGVVVDEYAYSILIGGLCKKGDLDKAFSLLGEMEGKGIKVGNVTYNSVINGLCKAGQTTKAVEISEAVTGDNFTYSTLLHGYIMEEDTIGVMEIQDRLESSGIALDVVTCNVLIKALFMIKKVDDACSLFHRMRDMGLSPNTVTYHTIIDMLCKHGEFDRAVGLFDEYNKETPFSSTVVHNGLIGALCNAGRVIIAEQIFDDLIHKKLRPDSCTYIKLIHANFKEGGEQGVLNFIGKVGELDMDLFSSVCSYACSFLSTRDCCQAALDVYKIIRTQFFAVSSKTFYKLLKSLLRNGNEQVIQPLLSEFIKIHGLHEPRVINMLSCHLSKKGVGEAIRFSSYMNNGGVPVSVLRGAVYALKKEGEILDAYNFLKEAQQSGFPVDLAMYSIVVEGLCRGGNLEMALDVCGSMKKEGVHPTIIIHNSVLSGLCQHGCFTEAFRLFDYLERSNIVPTMITYAILIGALCREGFLDDAYKFFQKMSNNGIRPTTHVYNLLISGYCNYGLTEKALELLSHFEEPFLLPDAFTLGAVISGHCLKGDTEAALSFFNEYHRKEMVPDFVGFMSLVKGLYAKGRIEESRGILREMFQCKQVVELINIAGDEVQTDSLVGLLSSACEEGRIDEVVTILSEVRLMSVSSSDSHNCNMLAHLKKPKKIDDACDPRTDSDQVLNSVAFDVSGNGLDGSSESTLKPMTERIDNLCISSDDTDIDNANLLGKSFYDDFDTYYPAIASLCSKGELLKANKAIEAMIRNSGYVW >cds.KYUSt_chr3.11828 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70506452:70507802:1 gene:KYUSg_chr3.11828 transcript:KYUSt_chr3.11828 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCYQMNTSVYGPATRAHSGAEEVDVYVRYWTEWWGYTRFPAYSHRRLCPFGRSTTASADFGDNVRGTYSKTPRQLFRCTTLRRLCLTSWTLDLPSGEIVVTLLETLCLRRIMAPDGALQQLLSSCPRLVDLTLEECPTATESRWGGLPRDSSFFSIADYAALEAVTIDICEDLASRVKHEVAPLTQLIGRFTKLTYLSLALRPSMAYFSSEFAAVARSLHLLRHLVLKGFLGANHAVRSVAILVGSTKNLEMLTLLPQGPTLPKHKNRYSDDDESDSETEPDGNCFHDSVDYSRLTKSLWRMNVACLGRSLKRINIAKYGGHAFDRILARFLLSKATVLQEFSVTLSAELSPQKEEIAHEFRSWRFNRRAMITCI >cds.KYUSt_chr4.40649 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250909282:250911694:-1 gene:KYUSg_chr4.40649 transcript:KYUSt_chr4.40649 gene_biotype:protein_coding transcript_biotype:protein_coding MHESHDTENLTETPPPPIPSRGIQEIASGTLPERGIISRRTLHRHGRLRSDEFHVGAGIPGVAPHYIPPPSTFNVLLDSYWRTRDGAHMCGIAMVSGNIPCRVQIKNLQHLSVGSLETQRLIEMEYEGRVHVDP >cds.KYUSt_chr7.8878 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53971774:53975134:-1 gene:KYUSg_chr7.8878 transcript:KYUSt_chr7.8878 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARKTVCVTGAGGFVASCLVKLLLSKGHYAVRGTVRNPGDDKNAHLKALDGTGERLQLVRADLLDYDSVASAVAGCEGVFHVASPVPLGRSTNPEAEVIAPAVTGTLNVLKACYEAKVKRVVMVSSMSAVSNNPSWPKGKAFDEESWSDVDLCRKSEDWYYLSKTLAEREAFAYAAKTGLDIVTICPSLVIGPLMQSTVNASSKILLNYLKGEHETVENKIRNIVDVRDVADALLLMYENPEASGRYICSSSPIKVSDMINILKTIYPMYTYPKNFEEVEHNIIYSSEKLQKLGWTFRPVEKTLGDSVESYRASGILN >cds.KYUSt_contig_1948.259 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:1528979:1532368:-1 gene:KYUSg_contig_1948.259 transcript:KYUSt_contig_1948.259 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLDFAKDTEDNIVMFLEKQANKEDIFFPYNFKFHFILLIIDLHLGVVKVMDSKRKEYAEWADMPAILQRAWKRFINTVPGKWKPELTFEDYPAGGLSLCDSPWPATGRPLPCLPATGLDVRLLHPPGNWRPGELLGGEVTQREAPREVMFWYRKFVKSRSNVSGRLLDSLGNIFGIEKASASFLAIHYMTLVSSALRRYKFAFEFA >cds.KYUSt_chr5.17275 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111590002:111592921:-1 gene:KYUSg_chr5.17275 transcript:KYUSt_chr5.17275 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPLNRVHAGESPLREPIHDADGEVAAFSVGNAAANVSAEEATLRQRRASQDAAPFHRADYLIPIPADAPAPAPGDHDEALPRSRAHLAAEEEREGATLRQRRAARPTTPSSPRRPRRRRGSTSRRGCGAPRSSTPTGRASLDGPEPEAGGTASPLPCNGRSPGMRVGEDDLRGSCCVCVGRRLPGDVCVGDGVNDLEGALLQRAGDVRSAEADAGRGDGAEDRAGRRLIGTQAEGCVVVGAGDAKMAATDVFMACASCDGCGAPPRSSTPAGRASLDGPEAGATASPLPCNGRSPGMRVGEDDLRGSCCVCVGRRLPGDVCVGDGVKDLEGALLQHAGGVRSSEADAGAEDRAGRRLIGIQGETPLQIPTGFAAEGCVVVGAGGAKMAATDVFMAYASRDVFMARLRLAIKLGPMYWVYELGKWVYKLMGYPVDVGGAWYWDGVIRNVLEQNDGTCSICGTLVCLEARHRLDFERRHGFKTFPYSISAQTAEDLKNLCVQHRVWTPRCGANKERVLQVIQQAGGSAVPGVPGWKPCRLQVKSWKVHKNTGRHPISEDKIAKLIRMEGPLLGGIIVDDDYNAPGPEDRVYRGIPRGYRGGGRHCVVCAGYRFWPKPTAQPHQGRRRHQPRHDRSDTRPHDQNETHELHVLVVDNQVGVAERWILGKAFSNFVEVHVEPLDATELRVSLWRRLLDTVRRIFRW >cds.KYUSt_chr2.45918 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286593995:286598723:1 gene:KYUSg_chr2.45918 transcript:KYUSt_chr2.45918 gene_biotype:protein_coding transcript_biotype:protein_coding MWNHEAFQLHLMVSMQHQGNCTRVDKITLRNATGSRPPPGTKTRCSQTEQQHQGSSTREGHGESLAEDRQRITPVTTFGEPRAVGSKTVSSRRARHRSAATARSEDLGFSPGGRRGTEIGLTTPSARERRPRTPPPWPEGKGFPSAKSPPSTPHNIQLADYHAALTAVVSASTRVIGSPADQHDSHLPGPPPRRPSPENSRTESNAARALHADAGTRPRPLRHHLGRGKIHDQSSNLQPPWLSGALQLWSNGRGLDWARGPCPGPHEDGQIWSRHANREHPRRRLVAASSPAKPSRIRPRNPCPRPSCLEDAPPAAAPRGGTAEAATSTPKDHREAATPPGNGRAAAPYASAAPSPTAPARAAATARGERRPRRRRRHTGFARRRPRAAARGRGGALGARGAAPPEAPRPLAGAAQELGFSSPLDGILGPSQQVTITILIMALSQQVTGV >cds.KYUSt_chr7.16192 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100388328:100393450:-1 gene:KYUSg_chr7.16192 transcript:KYUSt_chr7.16192 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFIPGYYKKTQASKLGDAQGIPFFIDKLSGLHERDIFDLFLPEFDKPWASIVAQGYPPTPVSEVAPEDDDASEETEDDQHILEDSDVQGDESPEGDAFTKSMRHRKINEDLMATAESSPSGQDDDANTTASPTPSRDTSTPRPSKRPSRFFVDGNDIESISSEDDDEVPLSKRAKILNEQAESSKEPTPKAGQMTTPPPRSSVAKVPFSTIIPPTGVSIPSTLHDHLKKKMKDDQEARHRAFLEADEKEESSNQLQDLLKKTKGALSKLFSMMFPKLDQNKTLGEMADTFFIDSSEAIEVLKRRSRLYGAVLNFQLLMGHGLGSELEKLPKALPVDAANCPVNLEPFKKSSVVCANRLLKLVEEDKNKTATEAGPGSSTQA >cds.KYUSt_chr5.31074 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196744245:196746077:1 gene:KYUSg_chr5.31074 transcript:KYUSt_chr5.31074 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVFRRGELLREFHRERIRLDMILCDLAETERAMTACLAMPAAGWGAWGDRPSMHQSEETLYRNPRSSEETSWWCGNPSETVIPFYPHVERSPSPVQQQRPVDDAEQQERGNSSRALAVAPSSCPDVEQRWSLRKEPAVEALVQAAINVVANPTETALFSQKVTPESRAAVNQEQEQQLKDGHGVQLTESGIQRREQPKCLTTGEEHEAEAKDIHAMQFMESELHRIEHPMHPAIGHEREEEVKDNHAMQLMECEVQRNGQLNHAAIVHERETKGNTSHAVQVMESKLQKSEQVKRGAVGQENKAEVKDNHEVLMESEIQRPEQPNRAAIGQGRETKGNTSHAVQVMESKFQKGEQVKRGAVGQENKAEVKDNHEVLMESEIQIPELPNRAAIGQGCETKGNTSHAVQVMESKFQKSEQVKRGTVDQENKAEVKDNQEVLESEILRTEQPNRAAIGHGRETKANASHAVQVMENKFQKSEQVKRGAIGQERKAEVKDNHEVLMKSEIQRSEQPKHEASGQEHGEEENDRHAAQPMEESGIQSSEQPKPAERTINERIGEPRQLSHRYALAAKEKSPPNEQKRQVFDDVSGFTFKFVSASIFRWNVFHA >cds.KYUSt_chr5.30659 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194466681:194467178:1 gene:KYUSg_chr5.30659 transcript:KYUSt_chr5.30659 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLCTLLCHFDKGSAAMANEIKADLEGSNVAAKVEAMKRAVTLLLNGETLPTLFITIVCYVLPSEDHTIQKLLLLYHEIIDKRDSASCGLPEMILICQNLRKNLQHPNKYICGVTLRFLCRLSEPEVLELLVPSILDNLEHRHHFIRRHALSAISSIYRLPHGD >cds.KYUSt_chr2.3277 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19437960:19446989:1 gene:KYUSg_chr2.3277 transcript:KYUSt_chr2.3277 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEDYHVVELVGEGSFGKVYKGRRKYSRQTVAMKFILKHGKSDKDIHNLRQEIEILRKLKHENIIEMIDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGKGSIVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSANFKSFLKGLLNKLPQSRLTWPALLEHPFVKDESVELVGDTQSTPFEVKRSEATRKEDEIQTSKNQPSAAEPPSRNTVTNRETDHDNKISNKKDGSTPATDNNHGSSAGAISGAPSVCTVLDKLEKASQTVNGASSIIEDSEALLTILGPIKKWLSNPSSSPRELNFDGANQSLRIIKNLIEAGSYHSCAAIDDIISMLLEFTTLIIRMKLSAAYSLAVKCLAIARKLLDTSEGAVLSSYGRHWSAMYDLYSQILASTVDPSGRISRESTACLALMLSRVISVLKMSISSEGPNQVEESLINIIDHARKSQLLELLCECLIASGSDIISGSTNMVPAACEACKAIWYLAHAVDIVSLGARNFSFPLASSWRQGHSKLDGKMQEQDLLPDSNSTNLIAIFVKSFLASRPMQIAVYHCLHNGLESAIHASLQLIARACLLNPTFCAIMCGPLNSSSDANEVDYGGDGTIVSDMFSLLSLCGSYLNESKQNSNQKCKLSNPHALVVHCCLALATIAACLKLDGKSSASIILTSSTKKQRSRLSVLAHLSSADDTVKSCLQPHCASATLALSTLIALENGGQARSSLCETALALFPRMATLHTLLKLWLSDGSEELCRYNAGLLNLFGLRDGSIGLLETRLKWGGPLAVEQACSVGIPQLLIRLLTDGFSREPSDGKEGSTHRSGLSPLGVAWTLSTLSQCLPGGVFREILYKKEHVKLLTDMLSDMHLKALSAWTGLGGGKRGVRELINAVVDVLAFPFVAVQSSPNMPSTAASINSGFLLNIASPGGRIGTENKEMLKTIEHNMPQYIQVLLEVGVPGCILRCLDYLNMEDIARPLAIVAKMVGYRPLALKLLREGLLNPCRVAKLLKGPLAKESLLDFLMIVSDLARMSKDFYEPIDKAGMVEFLKNFLSNEDPDIRAKACSAIGNMCRHSSYFYVPFASNKVIELVVERCSDPDKRTRKFACFAVGNAAYHNDMLYEELRQSIPQLTKLLLAPEEDKTKGNAAGALSNLVRNSDILCEDIVSQGAIQALLKLVSSYSTVALSPSRKDALTESPLRIVLFALRKMCDHTVCRLFLRSSDLLPIIVHLRQSPDQTISEYASAIASKAYQA >cds.KYUSt_contig_1253.1003 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:6289910:6291846:1 gene:KYUSg_contig_1253.1003 transcript:KYUSt_contig_1253.1003 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPSPDLSLHISLPSSGAPTPAAAGLGAGGDPWRRLNGSTASTELSLSQPPTQEEVDVLPWRLRQIVASSATAGASTSVPVTVPTLPLDAVAPSESARPIRGIPLYSRPTPGHHPFHHPKAGLYSPYQSTAWPSSTSHAAAPPPSVDPATAFLSQSPYHRMLSSTGRLHGVLADTLRGYGALGLGGQPFGLASSRYMPRLPGSRRSMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPASSSGPMDGISSGDDDLPDRIASQATSGGDMNPRLFSEHQSSSPGPASPAAAAATGDVDSSSSADTRARGDSRYY >cds.KYUSt_chr2.43470 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270569105:270580772:-1 gene:KYUSg_chr2.43470 transcript:KYUSt_chr2.43470 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRGSSVSVPEKPNVDEGVSSDNDNNRHKRSPYLLLGLLILFLHGSWSVYRMQFASLPLPLDAEQAEAEKIKKTAHWDVDVQLELFHTDIGANRLAGGLFNGKTLLYSDLKHVILRIVPKYLPEAEENLILVSSHIDTVSTTGGAGDCSSCVGVMLELARGVSQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVRFAIDLEAMGISGKSTLFQGTHHFALESFAAVAKYPSAQIATQDVFRSGAIKSATDFQIYQEVAGLPGLDFAYTDTTSVYHTKNDKMELLKPGSLQHIGENMLAFLLHAASSPKFMKDAQQAKQENTEEKKAIFFDILGMYMVVYSQRLATMFHNSIIFQSLLIWGTSLLMGGRPGLVSFGISCLSIILTLIFSVFLPVVVAFALPHICPFPVSFVANPWLVIGLFGSPALLGAFIGQHIGFILLKKHINQVYSRTKPGLTGNTIDYIVGLEAERWIFKSGFVQWLIVLILGTYLKVGSSYVALIWLVSPAFAYGLMEATLSPVRSPKQLKIVTLVLALAVPVVSSAGLFIRMVDVMVGSIVRLDRNPGGLPDWLGNVVVAVGIAIVVSFTFVYLLSYVHISGAKRTLFSVLCALFGLALILVSSDIVPAFTEDIARPVNVVHVVDTTRMNNGNTDPLSYISLFSNTPGKLTNELAGLRGEEFSCGRNMTVDFVTFTVKYGCRSYKGGNTGWSKSEVPVLHVESDSVTDDARRTVVSVDTKSSTRWTLAINRQEIDDFTVDVDSEQLVQLGGKSELDGWHTIQFAGGKSSPTKFQLTLFWSSNATHASTEEAEVQDSPLLKLSPIIGRNNNASYMEMLDEVNISPLASFDVGMDIDREKDEDEGEEGEEDEGKEGVVEVEANGKKKKRRDNNYTEIEDATLCRAWTVVGMDAVSGTDQNGKRYWQHIEDKFHKLMPRVRHLVDRTYRSLQGSWDAIKLACIRWAVAMDQVQSNPPSGATADEYDRIIVVRYRDMADSKGKSFTMRHCFDVLQHLSKWQLRDEETTPKKAAMVPLDDTEDEKEGRNNDKPEGNKKAKERLKLEGKG >cds.KYUSt_chr3.36670 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230647116:230647487:1 gene:KYUSg_chr3.36670 transcript:KYUSt_chr3.36670 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEIWWPTLTGGARWPVVVWLLLAELRRVEAEVSSSIPSPSMKNKLEVAPGLQDLDGSDAVLAVMGAEGAVRLEIYLDLIGYGASGEDRSPASSSTTTELLLGDEAPGSASSMAFPPSTFQA >cds.KYUSt_chr7.21312 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132024145:132026191:-1 gene:KYUSg_chr7.21312 transcript:KYUSt_chr7.21312 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSDERLQAIASDPSPLQDFCVVDKKSPVLVNGFVCKNPMDVNADDFFKAANLDKPRMTNKVGSNVTLINVMQIAGLNTLGISLARIDYTPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPENKLLSKMLNKGDVFVFPVGLIHFQFNPNPHKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKNTIDWLQAQFWENNHY >cds.KYUSt_chr3.43978 pep primary_assembly:MPB_Lper_Kyuss_1697:3:277651155:277652029:1 gene:KYUSg_chr3.43978 transcript:KYUSt_chr3.43978 gene_biotype:protein_coding transcript_biotype:protein_coding MWSHAAASLLLLTLLVTMMNGCRWVDTAARAESHRLCFGRTVSSVVSPSSVGLGGDAFDASSVTTRSALAVRPSNAFDAVVLVTGSVSASGRSPRAQPRHPSASRSWAKVVCHSSSPATSPPKPSPRCCEEFNGNACFDSHLQCQFALLRLELAQLVANRVEEASRPLREEVASLKLLLTQVGVSLEPMEACSSGGQELAIVEAPFPLSSAEQKSSVVKITPELHELCGDSSVVPELLKLGGGKVMPPSIDEVRHVVPFGVGVAK >cds.KYUSt_chr4.15963 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98818130:98818687:-1 gene:KYUSg_chr4.15963 transcript:KYUSt_chr4.15963 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTLREFTEADAEALFSWASDPRVVLFQRREAYARVDEARRYIHDHVLPHPWYRAICVGGAGAVVGSISVKPDPAEERGGRRSSRASVGYRVAHGYWGRGIATRAVRAAAEAAFAEWPWLVRLEAVADVENPASQRVLEKAGFVREGVLRSFVVLKGRPRDMVMFSLVDTDRERNRPVQAHND >cds.KYUSt_scaffold_869.1215 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:7781585:7781938:1 gene:KYUSg_scaffold_869.1215 transcript:KYUSt_scaffold_869.1215 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTPTCRYFVVANAMACAFSVVSLAACRSRSAVLPLMIGDLVMLALLFSAVGASAQFGILGERGNSHVQWGKVCHVYNKFCERAMAAVIVSLIAAFTNLVHLMLAILDIHKNSSYY >cds.KYUSt_chr6.3256 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19054918:19056336:1 gene:KYUSg_chr6.3256 transcript:KYUSt_chr6.3256 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRCRIFAWLAVQGHCLTADALANDWCVLCGALLSPETAQHRLSVFPMTSQSGNTMSSVIAFLVRALLQPEVIAEIVVGILLARPQQGASAFNDMAAWVLLSLAVAISGSGGHLPLGAPLWRGMRLRIRLGARREAAHGQPPPPSTVATNMIGIHAVFGAFVFRLTVCLQGRTISSPGASIRPGAALRGVETRMLGCGHHPPQAENIATCHNSQQMSQNR >cds.KYUSt_chr6.26159 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165717689:165719531:-1 gene:KYUSg_chr6.26159 transcript:KYUSt_chr6.26159 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPKRKPLRPLSCNAGAGTPATAAARPRAPVVSAEKENKGTSNLGPGKEEKTATPAAAKVSPPLKPSSLQARMEDEKPPLAAPAAVPVFMGPRGRELLPPPPPPPASSSYEAWDLSDNEAAPAASWATLPNRALLCRPLPLDVGRCTCVVVREKATGARGVSLYSLYTNEGQGRQDRKLAVARHRRRRGRSEFILAQNQDGVFCSSDKNFLGTLGANLVGSKYQIWGQGNRIDELKSKRLLGVISFAPTITTLTGSFRSMKAWIPKNQSMQLKTSNSAQIQHIGGLPKDWQEKTSRADKLCSRSPFYNNITKRYELDFRERVGRMGYKVQTSVKNFQMTLEENGRQTILQLGRVGKSKYIMDFRYPLTGYQAFCICLASMDSKLCCTL >cds.KYUSt_chr2.49040 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306937740:306941041:1 gene:KYUSg_chr2.49040 transcript:KYUSt_chr2.49040 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHDAFLAAHPFRWDLGPPAPAAVPAPPPLPMPQTPALPPANSPRELEDLVAGYGVRGSTVARISELGFTASTLLVMTDRELDDMTAALAGLFRWDLLIGERFGLRAALRAERGRLMALHGGRHHGHQSGSTIDGASQEVLSNERDGAASGEDDAGRMMLSGKKLKNGSVARKAKKARRKKVDGLRLDHMQEDEREDGGGRSESTESSAGGGGGVGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRLFLLQVQSMAKLHGHKSPTKVTNQVFRYASKVGASYINKPKMRHYVHCYALHCLDQEASDALRRAYKARGENVGAWRQACYAPLVDIAAGHGFDVDAVFAAHPRLAIWYVPTRLRQLCHQARSAHDAAAANANGAMPPPRPMF >cds.KYUSt_chr3.6558 pep primary_assembly:MPB_Lper_Kyuss_1697:3:37708487:37709798:-1 gene:KYUSg_chr3.6558 transcript:KYUSt_chr3.6558 gene_biotype:protein_coding transcript_biotype:protein_coding MPCESPDEVVVKRERIDAGSDEATVKVEAAVEVQIKSERIDAGHHEVKVEAAGEIKVKREPIDGDTYDAKLEAPGEVRAKAKREPIDAGGEHCRAKKEEQADEIEVQVKEEGESEPPHRVKEEAAASASSSEEDDSSEDEVEIIDPPPRSKKRHREDDDDGGVDFIDLTTSRPAPYLNPKPIRAMPPPGAMATPASEWKMVLAPEPAELDEYPPDAREWVFYRKSYATGLSTCRGRKWLDAGEVVHFAFPSYELSHGGIRVSYRQAAALAETVRISTNRSGEIGKLSPEWARCLAPLVNSSKVMIQGKMVFPMMELRLMQEVLLYVSFYIHRSSLYLISPKNAHHQNNPLRGLFKLLGQFGAAEA >cds.KYUSt_chr6.11454 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71082562:71084902:1 gene:KYUSg_chr6.11454 transcript:KYUSt_chr6.11454 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGLSRRNSCPKVHSSLCSEMTMMLDRVSSILPSIEAARPGCRAGIQELCNLYNIVEKGKLITQHCIECSKLYLAITGEAILARCERIRDSLRRSLFLIQNMVPTVLANQIAEVHIDLGEVKFVIDPLEEEAGKAILEMLRQSDATEELELQTFVEAASKLNLTSPKAILIERRAIKKLVDKTSGTDHKKEQVLKFFLYLVRKYGKNIKPDTGERAENLQYENQSSPSLSLASDGSTPGKCYTPTYFQGYEYQCSMSGETTPPTELCCPISTKLMHDPVIITSGQTYEREYIEKWFSEGYDTCPKTQTKLENFTMIPNTCMRDLIYNWCKEHGFTISDFLPGKDAYSYSSEQLHGHSMSSLHNVSVPLIVGNARNFVIDNSSTTFSDASYVSDSSHVKEMEEPKNRFSRFSWSADYQKYLSFQNFNQSMFLTFFCEFSKLTPEIQGMSIKDLKNILDNDNEVSYAMVSNGFVEAFLDFLRNDSGSYSMQAQQAGLHFFLAFLSNSRQENLL >cds.KYUSt_chr6.11447 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71013718:71017617:-1 gene:KYUSg_chr6.11447 transcript:KYUSt_chr6.11447 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLRPARAAATVAAAGAVAVSLADVAYADGVFSFRRQPAGPPPPPPPPAAPPAPNAAPADDSFGFSGGFDAAELQRGADALRKINASPYAKLLFQLMGKQEESRMAEMEAERAQYEVYQKHQDIERNRKFGEDYRENLKQQLQVEAQRLRYEDELARKRKQEEREVLRRQDAELVKMQQIAAEKRERARRETEQKILAEQLQAEREKAEIVRKTIHANAMSDAEAVALEKKLTEEYEKKMLLEYMNGEKEKWLAAINTTFSHIEGGLRTLLTDRSKLVMGVAGVTALAAGVYTTREGARVTWGYVNRILGQPSLIRESSMRKFPLPGLKAIKSSSASLSEGAGFENVILHPALKRRIEHLARATANTKSHDAPFRNMLFYGHPGTGKTLVAKEMARKSGLDYAMMTGGDVVPLGSVAVTKIHEIFDWSKKSRKGMLLFIDEADAFLCERNSTQMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDAAITDRMDEVIEFPLPGEEERFKLLKLYLKTYMFKEDAKRSSWRSLLKKQPQKIQVKDITDDLLRDAARKIDGFSGREITKLMASVQAAVYGSPDCILNPQLFNEVVGYKVAEHHQRMKLASEAAT >cds.KYUSt_chr2.987 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5693383:5693667:1 gene:KYUSg_chr2.987 transcript:KYUSt_chr2.987 gene_biotype:protein_coding transcript_biotype:protein_coding MPADYVPPQATCAPPPADYSEGSSSRAARRAVVLWYARNNEEERTAVAEAVAMSKASLTDELEVECQEVAHAIAAVEAFEARDLVKRRKIVFPE >cds.KYUSt_chr1.24392 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145568090:145569530:1 gene:KYUSg_chr1.24392 transcript:KYUSt_chr1.24392 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLKKSSRQSAPTTILALSDDLLREVLLRLPSLPSLVRAAFACPAFLRAVRSSPSFRRRFRDLHSPTILGAFLVDDDTPMSTFAPVLLPGRRSDPDHAAALRGLDVFLTRLPDAIDVKKDDGEDAEDEEGVEDEDGPVAEWSMSACRDGYVLLVTPQWNTKKVAVYDPLTGALHLFPGPPDEVFSGDSEYTEAEFHVIPSEADDRSFRVLCVPKHGGKQIAVLSPDTREWQISPTPWRLQDADNVELVRNGLVYWACSGRQDYIPVLDTASMQFSQAHMPPTGHSGVLGETRDGRLCLVCVSNLLIDVLVPRAGDHGVDKWMWNRTFHMVDAFDDLALNMIDEVPLLNVRVVAIVGGFVYLTICQKEPPNWLLSFCIETREMQRLCRITRSKFYYPYIMAWPPSLLRNKAGSA >cds.KYUSt_chr2.29005 pep primary_assembly:MPB_Lper_Kyuss_1697:2:178247053:178247379:1 gene:KYUSg_chr2.29005 transcript:KYUSt_chr2.29005 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSNAKVANCSGGGAAPRRPHWRHRDRSATAVHLVHPSQFRAVVQQLTGAAPPPPQQPVHGHPSCSGNADKAAPMAEEAMKSKSSSMEQRTLAQMHHDCMAWADEY >cds.KYUSt_chr7.39324 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244577811:244580669:-1 gene:KYUSg_chr7.39324 transcript:KYUSt_chr7.39324 gene_biotype:protein_coding transcript_biotype:protein_coding MAALATSQLATSGALPGLTDRSTPSLFRRGGFQGPRPRNPADAALSMRTSACATPKQTRRTQQRGSRRGTSVVVRATAGMNIVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTGVISEIKMGDEYERVRFFHCYKRGVDRVFIDHPSFLEKVWGKTKEKIYGPNTGTDYQDNQLRFSLLCQAALEAPRILNLDNNPYYSGPYGEEVLFVCNDWHTGPLACYLKSNYQSNGLYKTAKVAFCIHNISYQGRFSFDDFSLLNLPERFKSSYDFIDGYDKPVEGRKINWMKAGILESDRVLTVSPYYAEELISGEARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYIAVKYDDTTAVEGKALNKEALQAEVGLTVDSKVPLVAFIGRLEEQKGPDVMVAAIKEVLEEVEDVQIVLLGTGKKKFERLLKSAEEDFPEKVRAVVKFNAPLAHLIMAGADVLAVTSRFEPCGLIQLQGMRYGTPCACASTGGLVDTIVEGKTGFHMGRLSVDCNVLEPSDVKKAATTLKRAIKVVGTPTYQEMVKNCMTQDLSWKGPAKNWEDVLLDLGVAGSEPGIIGDEIAPLALENVAAP >cds.KYUSt_chr5.38777 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245486611:245488047:1 gene:KYUSg_chr5.38777 transcript:KYUSt_chr5.38777 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFGSLAPDHLGKIRCVWWWRRRGKELRRSEMAPLDLPPRITVNKPKVCSPSSISMFALFVLLPLAGRGGEGWGRRMEDGGSMEMSRGSLSLVFWCGTGGWPSSCDVKSLRWGMESYATPAKPPCNKHCGLQRCALDILDIDLAVRGGEVEDEDGEDGVISAFRRWEVTFLSRSKATSWPIQLPAMVFGKSTSFARFLRFAVAYYGCVEASGFVPASLHDGGVADLWLDGGEREGPDCILSSLSEIFSANARDLYVYLDLMGSFILVIVGEHVGKPARGYDGTTSFRPMAREEDLTNQQFDELCQHYAPSKYLHRPSERAAPAVQGRTILTITYENGFPEFSDDNDAEEETGWDSGTDTAAEEADAETDQDDSDDETTQAEETDIGSDAPTAETDTDTDTD >cds.KYUSt_chr6.14673 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91922291:91923917:-1 gene:KYUSg_chr6.14673 transcript:KYUSt_chr6.14673 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGDASPPAAVVDVPVGAAPNAGEVSAMISATIPSKRKRFPNQFFEAPVAAAASPAAASPGEAPPAAKKAGRMKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKATPSPPSVPSDAPPAPPPSTMDVDKVFDLEFTTSYMDMLNGSAVNLDTCIDAFDGECNVEEIDEEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYNEIEDAILVRAWSKVGMHACTGVDQGGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYWKLRDNEPKCKKEALLTMDDEAEDMSGRNTGKPEGNKKAKESVKVELEAASFREKLDQLMKSKEELTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKMMAAKEAKAMKELLAEERAIMMMRTDGMDEDQLAWWNETKADIIARKKAARQARAQGESPASGGAGGDGFVDG >cds.KYUSt_chr1.5366 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33121457:33121744:-1 gene:KYUSg_chr1.5366 transcript:KYUSt_chr1.5366 gene_biotype:protein_coding transcript_biotype:protein_coding MFRWGKSSKAPGPVVDGGEVAVHKVEKIDYVNLVHVKPPHHGAGGGASWKAQPWTAAKKPESAGDDINSRAGRFIEDTKNRWRMGLKSFRHTGGR >cds.KYUSt_chr2.7531 pep primary_assembly:MPB_Lper_Kyuss_1697:2:47247959:47248588:-1 gene:KYUSg_chr2.7531 transcript:KYUSt_chr2.7531 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAAMGTTTTALEWAMSELIRHPEAMAKAQQEVRALLGEDKLVITNGDLTEAHYMRMVIKEVLRLHPPAPLLLRTTMEDCKIMGYDILQGTNVAINIFTISRDSKYWRNPEEFKPERFENKNVNYNGTYFEFIPFGAGRRQCPGIQFSSSVVEITLANFLYHFDWKLPDGASLALFDMSEKFRMALSRRYPLQLTAVPHGWLKAISSN >cds.KYUSt_chr4.11296 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68611814:68619185:-1 gene:KYUSg_chr4.11296 transcript:KYUSt_chr4.11296 gene_biotype:protein_coding transcript_biotype:protein_coding MDARASTAGGGCGGRVLCLVGLKIVLILVWSSGTSAHSDKALSLEPADSGGEKDAYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHVAQDRAERLRGRQLLGVSSWRAPRSNVKKPIRIYLNYDAVGHSPDRDCKSVGDIVKVGFLTLGEPPVPSAPGTPLCAPHGDPPLVGDCWYNCTFEDIAGDDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRGQVTVQALDEKLGRMVTRVVLPRVVMHSRHHYGAFSKNFSGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFAISPCNSWKGAYRCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKGGQSSLADYCTYFVAYSDGSCTDVNSARAPDRMLGEVRGGNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGVWKSCPESGGPVQFPGFNGDLICPAYHELCDAVPVQVSGQCPKSCSFNGDCVAGTCHCFPGFHDHDCSRRSCPDKCSSHGICKANGICECESGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSTILPSLSMCHDVLVRDADGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNTANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWL >cds.KYUSt_chr1.28143 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169752952:169754094:-1 gene:KYUSg_chr1.28143 transcript:KYUSt_chr1.28143 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPVPDELVAEIFLRLPTPADLVRTAASCVSFRRVAAHRSFVRRFRKLHAPPLLGLLDGARTFHPAASAPAASAAALVADFSFLPATARAWAVQDSRDGRVLLHGAETTEMLVCDPLHRRYLVLPPIPDDLAASVEDPFPLPPLPWRQIFLADPGEDEPADETSFRVISMAQCKTKLFAFVFSSSSGQWRALPSQRWDDLIAGFLPSADTLVGFREYSYRCFYWRIDCDAEKDMLVLDTRRMEFSITESPPDAKCSYGCMVEAGENRPGMFVITAGVLSYSIRQNCSGGSSEWQKEKTISLGSGHFLIGSNERYLLLYKLRPSCTGSDFYTLDVKTFQLEKMCSASVYRPPKLCTYSNFPPSLLSLLTISSGKLSLAS >cds.KYUSt_chr7.17893 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110829001:110830601:1 gene:KYUSg_chr7.17893 transcript:KYUSt_chr7.17893 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGTWCWCGAVALVSIIITVLSTKNKNSRARYPEGTQLTPPLVSGPDLLRLIPTLLSKGGLPAVFTGLYAKYGSVFRVSFLGFKMIFLAEPEVTPHFYHGLDSEISHGDLYEFTVPIFGSAIGLARDIATRNEQKRFHFDALKPSRLPGDFAPMLQEVESYFGKWGKEGIVDLKFEFDRLIMLMACRCFLGIGEEVREKMFDEIDALFRELAKGMKLASILFPYLPTPLNRSRDRARIRLTEILSDVVETRKRSGRVEEDTLQRFIDSRYKDGSPTSVEEVVGMTISLLFAGKHNSSVATIYSAACLLTHPTYLKAVIEEQEQIAKKYKDGIDFNAVMEMEMLHNCMKETIRMHPPSLALVRKAHLPFTVQTKEGKQYQIPQDHPVATLIHVNNYIPYIYKDPHVYDPYRFGRERKEDIAGGKLSFMSFGAGRHVCIGEGNAYLQMKLIWSHLLRNFELELISPFPETDWSNFVGKPKGNLFVRYKRIGSAKSV >cds.KYUSt_chr5.10113 pep primary_assembly:MPB_Lper_Kyuss_1697:5:64669416:64669791:-1 gene:KYUSg_chr5.10113 transcript:KYUSt_chr5.10113 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTNNIGCHEDVEQKETPIHLQRFWTEPDETEQSWNIGALVANTYRGTTGMSPETGTCGRRTVVSDGSSKKRGNGMGKRLKRSSTSP >cds.KYUSt_chr5.21131 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137646975:137650718:-1 gene:KYUSg_chr5.21131 transcript:KYUSt_chr5.21131 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPILPPPTGGGALEAAIKEVRVTLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPTSPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNEAAAAAANQLAEEEAKRAEDAAVAEAIARSLKDLVPADNILPIDAALEWSRRDWERQEASERAADVGSGRRATTRRPRRRTAVLAERRAQGGDQARGEQRRRHLPAVASTRRRRWPGHEPPEDADADEDEDKDEAADEDVDMTDYDHDDGGPAWDPQTQPPDLSEEEVIAMTLANSTQDELNELALWEGLAIQLRESAFAQGRPATPPATPTRSNDCAPPAASAWDP >cds.KYUSt_chr4.11712 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71240723:71251008:-1 gene:KYUSg_chr4.11712 transcript:KYUSt_chr4.11712 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCPIHELPRNVIINNFYARLSGHHKDYLDACLEGSFTSKEVEARWDLLERIQENTEDWENDKGYVEKPPFKPLPPKEGNEEKEKKKKKKGTKKKKTGNKKKEVTTYPCVYEITIGNRKYVAPNDYYDNESEYNDLPMPFTYISDHDLKEHTTFDIENLWETNSENDDVNNCHSISIIHASSHNDIESSKLGDEVFENPFATDDYMFDTSPSSNNDGLLERDIFDLYLPEFDKPWLIHLGKLVAVLQTSALGGPTLSTGIEACVDIKSLQHTNDKTINFQYRKYFSLAAVKVVMDEPSFFHQWQSDASLEQYTEQQIAVAFGQGELEAAALMHQQEQQYEHRPCKAAKLNTTSWDSCITEQGSPADSSSPTILSFGGSNAFAKTAPQPPTAAYYGVKPKQEVDAAAAVAPFHKRSYDAMVAAEPARAAPITRPAAQNQDHILAERKRREKLSERFIALSKIVPGLKKMDKASVLGDAIKYVKTLQEQVKGMEEVARRRPVESAVLVKKSQLTADEDDGSSCDENFEGAEAGLPEIEARMSDRTVLVKIHCENRKGALIAALTEVESLGLAIMNTNVLPFTASTLDITIMATASDDFSLSVKDIVRKLNQAFKLSR >cds.KYUSt_chr2.37203 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230297240:230299284:1 gene:KYUSg_chr2.37203 transcript:KYUSt_chr2.37203 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLYKPLHRSKWVFKNVNNLHRLRVDIFLGLIDRQYQELENRINEDHCATAAEVATLHAYPIYLLLSIVATELMVKLGMVWRRLRQAFFFLVLVLYVAIAYYFGCYHSLLFIKTMGGFSVLGKTALVVGSMAMLAPMCENILVCFLTVFLGY >cds.KYUSt_chr6.15487 pep primary_assembly:MPB_Lper_Kyuss_1697:6:97251804:97255876:1 gene:KYUSg_chr6.15487 transcript:KYUSt_chr6.15487 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVRAAPFTYVAHALAVAAAAMVLFWCVHFRGGLALEATNKNLIFNVHPVLMLIGYIILGSEAIMVYKVLPTWNHDTTKLIHLILHAIALVLGVVGIYCAFKFHNESGIANLYSLHSWLGIGTISLYELGFLEKLTFLQSSGLDKYGPEAFLVNFTALVVVLFGASVVVAAIAPARLEEPQGYAPIPEN >cds.KYUSt_chr7.15719 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97615522:97616010:1 gene:KYUSg_chr7.15719 transcript:KYUSt_chr7.15719 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIEEHRAGADVHTADCEARAREFLVELGLPDGLLPLPSLVEVGYNRATGFVWLRQSQSGGLTHTFDAIGKQVWYDAEVTAFVEPGRMHGMTGVKSKEMFIWVTLSEIVISPSGTKIVFRTPAGLGRAFPVAAFQLNPPAPAPEGQEAKAADGEGAAAN >cds.KYUSt_contig_824.38 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:221854:222393:-1 gene:KYUSg_contig_824.38 transcript:KYUSt_contig_824.38 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKTAGRQRIDTTKLIEDRSQRQVTFSKRRPTLFAYAADLSACFGVDTAVVVFSESGTAYAFGSPSVDAVLRRHKDDGREPLPGDAALDLGDLAARRRELKEAEALAAAEKERMKAIGVKVKLAVAEAGRAGWWEAHAGALGEAELPEFERALRKLRDAVRCLVDKKAAPLPAADAPA >cds.KYUSt_chr5.43443 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273718687:273719811:1 gene:KYUSg_chr5.43443 transcript:KYUSt_chr5.43443 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATGDGEGATPLDQSLPDEIVIWEVLVRLPPKSLLRCRAVCRAWSRATSTRDFLVAHHVRQPNLPLVCDDRKSGIDVVPFDHQAGVAADGQLQPLARLDTTLSNMVASCDGLLLLSDDDDTKFSICNPTTRQYAPLHQLDDYAQYDEFDFTVLAMYPHSPTGEYRLLVELRVDVQARLFVFTIGSTDPPRHIGFPFPDAWEEIHAAPVLIHGSLHWYMGHTIMVFDTTAESFRQMRSPVVSGVADLFQTGGTLGIASFNRGVTVADIWAMEDYEGEVWAFKQKVELPVAELGKQFGEYHEEWCVFLQSWDGDFFGLAKFAQGLLHIDMDGKVVASFHGEMLGLSPLWLKQTLVQHAFFGTLEGYVVNGPPFI >cds.KYUSt_chr1.1623 pep primary_assembly:MPB_Lper_Kyuss_1697:1:9078785:9084847:-1 gene:KYUSg_chr1.1623 transcript:KYUSt_chr1.1623 gene_biotype:protein_coding transcript_biotype:protein_coding LMNNNERLQQEDIGDKESCSAKSLKYVLEEVYNLNRFPSSHPMMKDALEFDGTMKMIKPHETLGITILIDWMTSEEAPQGAPQLLVHYRKEACMIEIRMGMKSGNRELTVLLQNTKLTLEPCLCIAALENPKKVASEMETPERGLASLTVEDRHYTTSKFVRRVNLEIGHDVLIKFHSKADQRWESIVFDVLHNH >cds.KYUSt_chr5.20176 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131206227:131208301:-1 gene:KYUSg_chr5.20176 transcript:KYUSt_chr5.20176 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSTIPWLLCISVATFVFYKVCYSGQDRSQGSSTNSRLPPGPTPIPLLGNIFHLQGELHHALARLAGLHGPVFSLKLGATTCVVASSAACARDVLQRHDQILSAPSVTDAARALSNHEHSFIWLPSTSPLWRRLRALSTTHLFSPHGLEATRAVREAKVRELVGFLRGRAGEAVQVGRVVRSGMLNLVSNVLLSQDVADLTSDAGQVQELETMIRDILDELTKPNLSDLFPALTSLDLQGRRRRTAKRITRFFDFFDPIIKRRLNVGGERKEDFLDVLLQLHSTDQLSIQTIKSFLLICYFIICSLRVDQDSQALIYPDFLRTDTNSLTVEWTMAELLRQPAIMSKVRTELEEVLGSKQHPDESDISSLPYLHTVVMETLRHHPPSPLLMPRKAMAEGAEVGGFAVPKGAMVIINLWAIMRDPATWTNPEEFVPERFIKVDMDFRGMDRFDFMPFGAGRRACPGMPMATRSVMLILASLLHAFEWRLPEGMRPCDVDIRDRFGTSLNMVTPLKAMPVSLW >cds.KYUSt_chr3.28161 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175719558:175721021:-1 gene:KYUSg_chr3.28161 transcript:KYUSt_chr3.28161 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPLAAAVLMNLPHLLANPDHIQPLLDQAYADLGRNNALAFEAAARLREALRVESELWDLPAPTEEELDKLDEINDVIDEIADAAELLLKQRLFLFKVIGLLLLVRARSRAHLVPCVLLAAVSAAVVVRVSTGGGVVPGLRSFVRFAIVVLYFLFSSNRPRNGGGWVELFELSGVMVVWGSGEIPVGLLDADAATPAGVVYPS >cds.KYUSt_chr4.9761 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59021361:59021928:-1 gene:KYUSg_chr4.9761 transcript:KYUSt_chr4.9761 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWSLAVRPVARHWPDVAAVRSDGWTRRLYRQRLRQRTRARARAAGRGSDGWMQRWRLTGRPDARRWLDAAMDGHGGCNGNGDGASPAPIRPPPALEVGADGGCWTRQNCGKDTRCRERWWSRCESRTARIRGRAGQIVAGGGFRQYRDINGKHCWF >cds.KYUSt_chr4.9071 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54554470:54555951:-1 gene:KYUSg_chr4.9071 transcript:KYUSt_chr4.9071 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVAAVVEEEEAAAVEVWVREYDGGRDRGGVEEVERECEVGSSGGGSGKMCLFTDLLGDPLCRIRNSPAYLMLVAEIATGTGTGGGGGGTRIVGLVRGCVKSVVSGTSHGKDPIYTKVAYILGLRVCPTHRRKGVGKKLVERMEEWFRQKGAEYSYMATEQDNEASVQLFTGRCGYSKFRTPSVLVHPVFRHALGLSRRVSIVKLEPRDAERLYRWHFAAVEFFPDDIDAVLSNALSLGTFVAVPAGTRWDGDVEAFIASPPASWAVLSVWNCMDAFRLEVRGAPRVMRAAAGATRMVDRAVPWLGIPSIPNVFRPFGLYFLYGLGGAGPGAPRMVRALCRHAHNMARRGGCGVVATEVAACEPVRTGVPHWARLGAEDLWCMKRLADGYTHGTLGDWTKATPGRSIFVDPREF >cds.KYUSt_chr6.27898 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176913294:176915274:-1 gene:KYUSg_chr6.27898 transcript:KYUSt_chr6.27898 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGGTDRPARGTRLSVLVGIDSAVSRFGPRRKQRMALACAGDGVTCGCRSLVEGVPMMALLFEMMDSVPPPQSAPLGGLASCKLLS >cds.KYUSt_chr5.22301 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145570093:145570728:-1 gene:KYUSg_chr5.22301 transcript:KYUSt_chr5.22301 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIEWTTSSWPHHPPHIKSSAIPYLLHAVRLARLHEIHPDASPITADAGPTPTSKHAGVHLLPPGSKVAAISVRSCRPGSSVAQPRISPSSAAPLSRTLVARSQSPPGARRSQPVDVFRGAPSRALVAVTYVEATPPLLPTIASPASSYCSLRTLVAGRPPWSSAGPSSNPSQPPSPWSTSAGRPLAPALRPLGAAATKNQPGSNVIDAV >cds.KYUSt_chr5.6620 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41071692:41074893:1 gene:KYUSg_chr5.6620 transcript:KYUSt_chr5.6620 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWVWVVAAAATWIMASCTAAAAHPGGGEQPLSRIAVERATLAVDGAAHVEASPTVLGLNGQDSEWVEVEFFHPNPSADDWIGVFSPANFSAAICEPENKREYPPALCTAPIKYQFAKFKNDGYSKSGKGYLKLQLINQREDFSFALFSGGLLKPKLIAVSNKVAFVNPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAVPFVEWGEKGGRRFLAPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSMYTYRLGHRLPNGTRIWSKSYNFKASPYPGQDSLQRVVIFGDMGKAEADGSNEYNDFQPGSLNTTNQIIRDLDNVDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYEFIQHCLSSVDRQKQPWLIFLAHRVLGYSSNSYYAFEGTFEEPMGREALQELWQKYKVDLAFYGHVHNYERTCPVYQSQCVVNASNHYSGPFQATTHVVVGGAGASLSDFTSSKIQWSHFRDFDHGFAKLTAFNHSSLLFEYKKSRDGNVYDHFTISRDYRDVLACSVDNCPRTSLAS >cds.KYUSt_chr1.1079 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5817138:5817941:-1 gene:KYUSg_chr1.1079 transcript:KYUSt_chr1.1079 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCCLLLLFLAFLFSPTASSETTPCHPDDLHALRAFAGNLTGGGVPLRAAWSGASCCRWEGVGCDAASGRVTALRLPGRGLAGLIPGAPLAELDHLSFLDLSGNSLAGEVPKSLQARLIKGLATAARSLGTPFTDTPLFAGERNRRTLDEEPNTITGTNNTVVSGNTNVLSGNDNVVMCGSNNTVSGSNNTVVRGCDNVVAGSNQIVRGSNHVVSENNNVVSGHDNNVSGSFCTVSGNHNTVSGSNNTVSGSYHTVSGSNKVVTGG >cds.KYUSt_scaffold_1259.522 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3522484:3524176:-1 gene:KYUSg_scaffold_1259.522 transcript:KYUSt_scaffold_1259.522 gene_biotype:protein_coding transcript_biotype:protein_coding LEKLEQLRLTKPLEFAFTGMILASYDGGYISECISIFDSMKDYCTPNIGTINVMLKVYGRCDLFGKAKDLFETTTYSFSSLQPHIQDHSSLKADAYTYSSMLEASASAQQWEYFENVYRQMTLTHHHLDQRKYSWLLIKASRAGKPYLLEHALDSILERGEIPDVQLFTENICQTIAQSDYGRTLHLMNVMAAASVNVTELEWSDLLQQNLHRFNVDALKDLITHLSAGETIKTDPVHGFVRVLQSQCATTLVKEKSSVTDCGDTEEPQVDKCSNSNLMDSSGTNIFPDEKGSSELDCSTNIPELDAKTGLGGDIVLCDSHSENKENEQHNLGHWGKQVSAIDRVLDSMNSYGNSSSYEKMPAASEILELWEQEGLNDIFVQKKETRATMRE >cds.KYUSt_chr6.3805 pep primary_assembly:MPB_Lper_Kyuss_1697:6:21857138:21857431:1 gene:KYUSg_chr6.3805 transcript:KYUSt_chr6.3805 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGHWIHGVYRVAAAWSSAHVAGGKRGVGNNAFPNKSVASGSVLPRSLGVGKALMSGRAGEGGCRSDAVKQVSVPLLAGHGGLKEWGQDPLVLDLG >cds.KYUSt_chr4.6533 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38459562:38465596:1 gene:KYUSg_chr4.6533 transcript:KYUSt_chr4.6533 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAASTSSGNWLVEDDILLKNAIENGASLQSLAKGAVCFSHKFTLQEIQDRWTSLLYNPEVSAQASARMVEYETELSTSNPAKAHKLFNSKARDVSFQKRKIESVKNLYYAMRKRVRNEPCDTTDLGFLISPCSCMAIGGECVCGGALGSLQEHVVQNIEPGMGTVNCYGQAGGSYSGAQQTHHEMNGHSFHAQHPESMIKDEDATDNTPFGFPDVVQMYDHKRTNLGMNEGNGVSLRGITDFQDSMQFQQLASSNQCGNEVAESEALMINDQGGVEHVHFPVNNSGGVPEPGSLHLIRHSDGSQVPCGAIWNGDEGPDTLAPADDKKIKTANQDPLTSQANLDGGICMPGLDHAPMPEGDYMDFPYFSNSDEFELLNGENFLNSPQETNHEDLDDPDPNVVLGAGSILEDLLHPDEANICCDQIDSGHVQQNNEVDVSEMIMVLTSPDEVCYPGPCVECTLNTEDPEIPCNDDALTHGEISPVRPSASSGRNSEYNVSPFPPATSPSSKAEHSNAVDLAQIKRGDMSSAQPSSQPMKLSPSTSEPREDSVSLNTGCILGAKLSEGPSTSSVFMHGNVDINDESACMQALPANPSGFGDGQSCSLGQQDFFDESQSLMVYNPVQVPDHMNYNSHNNPPELQNEAAPQNCMPSHALPDLGLQDPIAVEPAPAPPEECSDLEDDVPNYYDLEALILDQDLIPWDQADSANPEVSRFDHPESRKSLIRLEQGARAYLNRAITSRGAFAVIYGLHLKYYIKDPEVILGRETEDVKVDIDLGKEGRANKISRRQAVIKMDKAGSFHIKNIGKCSIFVNSMEVPSCKGINLNSDSLIEIKDMRLIFHANQEAVRQYLARTPRLEYSFRQGF >cds.KYUSt_chr4.35764 pep primary_assembly:MPB_Lper_Kyuss_1697:4:219791166:219793522:1 gene:KYUSg_chr4.35764 transcript:KYUSt_chr4.35764 gene_biotype:protein_coding transcript_biotype:protein_coding MATFQSVESYLRDLGSSQHEKEKCSRILQRTSQPPRWIAPPPGTLKVNVDGAVAKTQKRGAVGVVCRSANGIFQGASMVVYDEITHPGCLEALACRETLTLTADLPVSEPLTILLTLAILPGLVAGTSSLINTTCSVIPEMSYDYCVGVLSADPAGASAKDKRGLAIVAANQSVHNVTSTLHMMSDLVQGLNNCIEYYKYMGDLTTSAIDDFHAGRDAEVIYEKLRRASDEPLSCDMALYEGATKNPVEKENSENKYLAHLASGITFLMVNGAS >cds.KYUSt_chr5.1010 pep primary_assembly:MPB_Lper_Kyuss_1697:5:6956796:6961707:-1 gene:KYUSg_chr5.1010 transcript:KYUSt_chr5.1010 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVESAVSGGALHGRPAEVPRMKRKTPSELRGEQLKRRTSEKVANDQLFASAAFDRSSNGLRNPEQPKISKYINTRVTEVFQVKKSRNVGKENCKDALPNNDKAPKSSVAVTTSQSESVSSFHGDSAKLDSSTPSLGEAAKPGFRKAEKYSENALRSVSELHVGDEKQAGSSKFDMEKVMKQFGARDASGAPNAPNIQIGDVPLKSSEACPSKITIPGKRAPLDLTLKTTLQFVSSSSVKCLFRGSIAGPISQSYRGRSQNSRRTRPESNKELLFSRALQSWVYPQSVLPASIVSVMLSSTARGEKEFLDKRYQDWEDSFQNLYYMLRNNMLNIFYVYTAQFVALFIGGNCLEKQSCNAYLSQSTRGIRSLLRKHGVRFSMPLCNTEVEEATEDDLIEFSKIQTLNLGQTLHIDALSEVDNTTQSLLSFTGNKSVHGLYDVLLNYKSFLNSLSATDVPVLYSPVPFQNSCLHIPEVICREMRKADTGSASSVAIDEPGSALAPPLGGNMCYSMEIKDVVIPPWVVSGVCAAMSADADQFDVTIATEPSSMGLNAAFASVGGNTQSSKTPTPDGGCEALGVPGAVLVPSLHTASLRRLSYSNGEYVAHTTV >cds.KYUSt_chr3.31633 pep primary_assembly:MPB_Lper_Kyuss_1697:3:198864389:198866338:1 gene:KYUSg_chr3.31633 transcript:KYUSt_chr3.31633 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGSFDDALAAARPFLRGEDSDCDPSLPALTAVLRAAGAGECWHKHGTFLAHLLEVYRILRLWATPDAVCRCGLYHSAYSNSYVNLAIFEPDVGRARVAAVVGDEAERLVHLFCVVPRQQIVHDDLLFHYADDDLVADLARSEDSLRDARQGVFQEDEPWRCKIQRLLPAAGITVKHIRTGEDVALSRRVAATFLMMTMADFSDQLFDWQDRLFDNTNGRLEFRGNTWTSLWPGTGKPGLWTMSISRMGALYNLIVREEEIYIAHRAHAAGQEIDDSAARDEDIALVIPPVFDCCTKVLNADDQKAARDLYWEAVCSDEDGMERCKVEELLRQSIDKNPFVGEPRLVLAQVCLNAEMYEEAQEQAEEGLKLLLEWGSSWDKRMPWEAWVSWGRAMLTKSKEKDWPHTSFGIISLGLVK >cds.KYUSt_chr4.12549 pep primary_assembly:MPB_Lper_Kyuss_1697:4:76854906:76861444:-1 gene:KYUSg_chr4.12549 transcript:KYUSt_chr4.12549 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVAKKDTTPFDGQKPGTSGLRKKVTVFQQPHYLANFVQSTFNALPAEEVKGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQDTLLSTPAVSAIIRERIAADGSKATGAFILTASHNPGGPTEDFGIKYNMGNGGPAPESVTDKIFSNTKTITEYLIAEDLPDVDVSALGVTTFTGPEGPFDVDVFDSATDYIKLMKSIFDFEAIKKLLASPKFSFCFDGLHGVAGAYAKRMFVDELGASESSLLNCVPKEDFGGGHPDPNLTYAKELVERMGLGKSSSNVEPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVQSIPYFASGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNLGGDKLVTVEDIVLQHWATYGRHYYTRYDYENVDAEAAKELMANLVKLQSSLSDVNKLIKEIQPNVADVVSADEFEYKDPVDGSVSKHQGIRYLFGDGSRLVFRLSGTGSVGATIRIYIEQYENDSSKTGRESSDALSPLVDVALKLSKIQEYTGRSAPTVIT >cds.KYUSt_chr6.11974 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74570556:74577516:1 gene:KYUSg_chr6.11974 transcript:KYUSt_chr6.11974 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIAADERGLAAGAGKGVEEEEEETSPIEQVRLTVPTGDDPTLPVWTFRMWTIGLFSCALMSFLNQFFSYRTEPLIVTQITVQVASLPIGHFMARVLPRRSFPVPAFLGGGEWSLNPGPFNMKEHVLISIFANAGYAFGNGNAYAVGIVDIIRAYYYRSISFLAAWLLIITTQVLGYGWAGLMRKYVVEPAHMWWPTTLVQVSLFRALHEKEEFPKGSRQISRSKFFLVALICSFAWYVVPGYLFPVLTSVSWVCWVFSKSVTAQQLGSGMHGLGLGAFTLDWTAVSSFLFSPLISPFFATANIAIGYAVFLYVIIPTAYWGTDLYHAKTFPIFSSHLFMSNGSFYDITKIVDSRFQLDKEAYGKLGVVNLSTFFALSYGLSFATIAATISHVAIFYGKEIYQRFQASKQEEPDIHTRLMRKYDDIPAWWFYSLTVLALTVSLILCTVLNDQVQLPWWGLIFACGMAFVFTLPISIITATTAQTPGLNVITEYAMGLIKPGYPIANVCFKVYGYMSMNQAVAFLSDFKLGHYMKIPPRSMFIVQFAGTIVAGTVNLGVAWWLLGSIPNICNDKVLAADSPWTCPGDRVFFDASVIWGLVGPRRIFGPAGNYGAVNWFFLIGASGPVVVYIFHRIFPSQKWILMINLPVLIGATANMPPATSVNYNAWLIIGTFFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAVLLYFTLTMENRSVTWWGTAGEHCPLATCPTAKGVDLSLDPTESRVCPVF >cds.KYUSt_chr2.14333 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90700387:90701211:-1 gene:KYUSg_chr2.14333 transcript:KYUSt_chr2.14333 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFGSLAPDLLGKIRCVWWWRRRGMELRRSEMAPLDLPPRISVNKPKVCSSSSISMFVLFVLLPLAGHGGEGWGRRMEDGGSLEMSKGSLVCWCGTGGWPSSGDVKSPRRGMESFATPAKPPCNKHCGLQRCALDILDIDLAGRGGEVEDEDGDDGVFSAFRRWGVTFLSSSKATPWPIQLPAMDSGVSTSVVRFFLRVAVAYYGCVEASGFVPASSHDGGVAALWLDGGEREGSDCFTSSFSEVFSANARDLYVILDLMGSFVTFCTATVCV >cds.KYUSt_chr3.7960 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45698712:45700310:-1 gene:KYUSg_chr3.7960 transcript:KYUSt_chr3.7960 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHRGSQPLDLAAAAAPELDDDGRTARTGNLWTCMAHIITGVIGAGVLALSWSVAQLGWVAGPVAVLCFAGVTYVSAVLLSHCYRSPVAAGGSEESSSSSSGKTRRNYTYMDAVRALLGRKHTYVCGSLQYLYLYGIGVAYTITTATCLGAIKKSNCYHGHGRGASRCGSGDDEQHLFMLLFGAAQVVLSFIPNFHSMAWLSAVAAAMSFTYATIGLGLGLAKTVGDGAIRGGIAGVPMATTAQKVWRVAQAVGDIAFAYPYTIVLLEIQDTLRSSPPEGETMRKGNVVAVLATAFFYLCVGCFGYAAFGNAAPGNLLTGFGFYEPYWLVDFANACIVLHILGGYQFFSQQIFTVADRWLAARFPESAFVNRTYAVRILPGLPRYGLNLQRLCFRTAYVASTTGLAVVFPYFNEVLGLLGALIFWPLVIYLPVEMYCVQRRVRPWTPTWVVLKAFSVVCFAVGTFAFIGCVVGVVRKRLG >cds.KYUSt_chr7.10968 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67452494:67454570:-1 gene:KYUSg_chr7.10968 transcript:KYUSt_chr7.10968 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAAPLSAAGRRRDRLSALSDDLLHEIITQYLPVTEAAKTAALARRWRHLWKSTPLVLRDAALPEPARDAAVPRVLAEHPGHFSAVVLLDCRLASLDRELPDWPRLLADKHTQKLYLANRSTPNLTYDALPHIPADILRCDSLQELLLGFWAFPVDLSHGAGISLPNLRCLTLIMVAIRDDDLEHLITACTVLEILKLSGTTPKRIHLRSPSLRCALVGLSRVEDFAVVDAPLLERLVLFLPSKVTTVKIGYAANLRVLGHLDTRVHRLQIRDTAIGLNTVPSTSTAIPSVKILAVTVNFGVLWEVKMLACFLRCFPNIDTLHIESALHGPSVTANEPSGEHHARFWQEASPVECVRSDVKKMVIHEFRGNQNEFQFLKFVAMNAQELQSLHVVLQEENISLTDKVNEIREKLESLQFQTGISGVLLVLPTEGTLSRLQKATDLTFDDPFRF >cds.KYUSt_contig_528.328 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:2075904:2077427:1 gene:KYUSg_contig_528.328 transcript:KYUSt_contig_528.328 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSCSRLSGGGATAFHRRRSRPSRATVITCRSFSAAVRAAATASATATETATASVPQTKECSLPTWAEFELGKAPVYWKTTSGLPPAPGEGLKIFYNPGTTELTPNEQFGVAFNGGFNQPIMCGGEPRQMTLQERGSADPPIYTIRIRVPQHATTLVFSFTNGSEWDGEYTLKFKVPKPWLGKPLSFFNEGLADELNMEGACDRAIFPDENIVITSCEMGSYYEEGGDRCKLDIVSGCMDPASHMFDPLAVIDDGTCPLDSDSEE >cds.KYUSt_chr6.14735 pep primary_assembly:MPB_Lper_Kyuss_1697:6:92323922:92325568:-1 gene:KYUSg_chr6.14735 transcript:KYUSt_chr6.14735 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSYRSLEGQWNIIKPACSRWSVAMDQVADNPPSGCVPEDYPKYAQARYKDMAGSKNKEFQFHHCFSILQHLPKWKLRDNEPKCKKEALLTMDDEAEDMSGRNAGKPEGNKKAKERVKVEGEAASFREKLDQLMKSKEALTMKTLETKLNITEKKKEVKLAKVQARREDAKLKAKLDMKMITLKEAKAMKELLAEERDIMMMRTDGMDEYQLAWWNETKADIMARKKAARQAREASAQDGLTTPGPTPLSWTACLLGPNDALPDATRRVMQPSKQYNPPTLRLPSEGSRGVATPVGGLLQGSPCSMQSSIILPRLANEGLEQGRARASSGAGNKATPLPFLPWPRRHILVSIPDGSLSSAASSSSTTPPEHPSSSVSQA >cds.KYUSt_chr7.5129 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30674713:30675006:1 gene:KYUSg_chr7.5129 transcript:KYUSt_chr7.5129 gene_biotype:protein_coding transcript_biotype:protein_coding MRELIRRLSFSDRVSDGSGGVPRGCVPVLVLGDGVDDEAERFVVRVEALRHPSLAALLEMAAQEFGYKQEGILRVPCAVHQFKHALSTAGAVSSKNY >cds.KYUSt_chr6.18712 pep primary_assembly:MPB_Lper_Kyuss_1697:6:117583795:117584739:-1 gene:KYUSg_chr6.18712 transcript:KYUSt_chr6.18712 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDSCGVAAATVVCCADEAALCALCDVEIHAANRLASKHQRLPLDALGAKLPRCDVCQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGLGPVSACADHSAGHHHDADHHAPAPKIASDHQHPPAQPAAPAESQQQQVPSPPQFLPQGWAVDELLQFSDYESSDKLHKDSPLGFKELEWFTADMELFHDQGPKAGRATMEVPEFFASQVADDDAYYRTASRVAANAGVRQSKKARVEITDDEDFFIVPDLG >cds.KYUSt_chr2.39587 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245593451:245593756:1 gene:KYUSg_chr2.39587 transcript:KYUSt_chr2.39587 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPTLALAILLLVAISASTGSAARSPGVVLARTSSRGAVPAAEPPRKPVKASSDGAAARLDASGKPAATGSSPTPPTVFDADGMSKRRVRRGSDPIHNKC >cds.KYUSt_chr1.11718 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72228507:72233044:-1 gene:KYUSg_chr1.11718 transcript:KYUSt_chr1.11718 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSVECLSLPDASMDVDDVDSHHHHHHTIPLHLAPSAGGGGGGRSFPKVNAGGVGPTAGAAAGGPPATSVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEGQCSFRPYNCPYAGSECAVGGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQPNPDGTCIPNLCS >cds.KYUSt_chr5.7816 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49269160:49277123:1 gene:KYUSg_chr5.7816 transcript:KYUSt_chr5.7816 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRAMVREALDLHTAGQNDEALACATVLARANPDSALALNLLGTLHVHAATVAWNERAPGDDEAAAKLEASHHRDALDAFSAAARIAPNCVMTGIYRAQALTANQRFTDAMMELFRVLSTTDNHADPAVNHVGYDVTGGNTAKSRKADAVRKAGDIMQDLTRMINNDIVPVQAARCLREDATADQVRARMKLLAETYPYSSRAQLFRVHVELEQVRALDQGFLRKGLLRGVLALISQAAGNFDRSPLIALFHAKVLFALDDFDGAERECRRALRIEEPNDPRWDDIPPALSVPGADYESRVSSVKRQLRILLKRIIVVAAVCWSSIQTTQQGDRVDRVISLRIDKMKEHYDGIDKSAAKTISDVLRFLQSQSSWSFFVCPNTSCNGKKFSDTESLWVHMRKKHRDELWDKLQSVLGSDLYENAANDDDHPFDAITLRQDSDKHDIFHLPMVQPMFESLLLSPSVGIQAEPLAEMRQRKCTEGADIIADIKKKLRMLPKDELSTKYEELCFTIHDLWLKFLKTSALDHREVILPLARSFQWIQMKNSIALSAKDLGRFIGDADIDIMPSKVPAAPDRNVSVVHASNIDNPSGENLQAENLQPLCSDETLKDGEKCEESEVHVVDSNSEIMVDQRSTDPPIDVLAGSAEVELEKKGWFAATELYNDPDVSSGTPSPSVKEMASTSSCQKSLNVFNKINADKDLSILSLVIRVGSNFASEIAATILIGLHMSETCSRFSLNKETEITCGDCICPTHKLFGIKFNVQMSCECGKCSGEYPYTALFHKLDAGSPQTTKIKSFAELPVLLDEQFCEDNKCKDCGIMLNIDLLLSNAPHFFTIVLNWLGGSESQDTLSEVLAGITSPVDTGFFCKIADSSTMYTVTSMICCADESYVCFARDNEDKWLIYDFETVETVDTWEHLLERFKDCKLQPQVLFFEVIK >cds.KYUSt_chr5.18201 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117541432:117541797:-1 gene:KYUSg_chr5.18201 transcript:KYUSt_chr5.18201 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGTEEWRSNADTHKMSPEEVRAAGVEASKRPPGRGGGPGEVLHQRGGRLPYGPGTMALMGFGIVGVIGYMVLYQKARPGTPATEVAKVAVGHGDPAVGRDVQKRQDEGAPPPPPRDGK >cds.KYUSt_chr2.13708 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86912103:86918721:-1 gene:KYUSg_chr2.13708 transcript:KYUSt_chr2.13708 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATVDFDEAAPTGAPAVPEASPNPSPKRAAAGRGGRLSGDNPKLDCLHGCAIHLTVLCTEEPAVLLLLHYQASDSEKIGGLQDFKMSRGGSAGGGQSSLGYLFGDGEPAKPAAAAAAKAPPAEKPAAAAGDVAKQIPAGIPGSRANNYHRSEGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGK >cds.KYUSt_chr5.38348 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242483918:242488821:-1 gene:KYUSg_chr5.38348 transcript:KYUSt_chr5.38348 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPALSCALLLLLAVTSPAAATITKGDFPPGFIFGTGSSAYQIEGAVDEDGRKPSIWDTFTHAGYSTGGANADVTADQYHKYKEDVKLLSDLGVDAYRFSIAWPRLIPDGRGAVNPKGLEYYNNLINELLSHGIQPHVTIYHFDFPQALQDEYSGLLSHKFIDDYTAYADVCFKNFGDRVKYWSTVNEPNIEPIGGYDQGILPPRRCSFPFGILSCDNGNSTTEPYIVAHHLLLAHASAATLYKEKYQATQGGKIGLTLLGWWYEPGTQAPEDVAAAARMNDFHIGWYMHPLVHGDYPPVMRKNVGSRLPSFTAEELERVRGSFDYVGFNHYIAVYVKADLSKLDQNLRDYMGDAAVKYDMPFLNSKNQLLFGLKTDFATSTPWALKKMLEHLQVKYKNPVVMIHENGAASVRDPSGGNNYDDEFRSQYLQDYIEAALESSRNGSNVQGYFVWSFLDVFEYLFGYQMGFGLYGVDFNSEERTRYQRHSAKWFASFLRGGELRPVALPGKAYSQ >cds.KYUSt_chr3.33356 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209423913:209426324:-1 gene:KYUSg_chr3.33356 transcript:KYUSt_chr3.33356 gene_biotype:protein_coding transcript_biotype:protein_coding MIATSSYTATYIMHGHAQDNSIANMAAALHALRPSLLLLVSSSWLLCSLLLAPAAAAGRTSYIVHMDKSAMPTAHSDHHEWYSTVLSSVAAAADSTNGARGEQPRLVYTYDEALHGFAATLSVAELSALSRAPGFVSAYPDHHTQVRRHDTTHSTEFLGLSPLGGLLPAAKFGEGVIIGMIDTGVWPESASFDDAGMDPVPSRWRGACVPGVEFPASLCNRKLIGARYFNKGIIAANPGVVLSMNSTRDEEGHGTHTSSTAGGSPVAGASFFGYGLGTARGVAPRAHVAMYKVIFGNFRYASDVLAGMDAAIADGVDVISISMGFDGVPLYEDPVAIAAFAAMERGIVVSASAGNEGPRPGRLHNGIPWLLTVAAGTVDRKMFSGTVSYGNTTQGTQGTISGATTYPANAWVVDMPLLYGDAISACNSSASLANVTTSIVVCRDTGNLDEQLHAVAEASVGAAIFITDDVSFIEEGTLPLPAIFISPEDAPALLSYINATTSPKATMKFQQTILGTRPAPVVARYSSRGPSPSYPGVLKPDILAPGDSIIASWAPLYPMGLIGQTELGSDFLVASGTSMSCPHASGVAALLRSVHPGWSPAMIKSAMMTTAITKDNAFRPITDAGSIIRGSGNSTATAASPLVMGSGHVSPNSAMDPGLVYDAGPKDFVALLCAANYTNAQIAAITRSSTAYNCSIASNDVNYPSFVATFPPNTTSGEMQFSRTVTSVTAGPATYRASWVSPSNVVVAVTPPSMEFGGVGQKATFQVDIKLTAPNVGGEPVYGAVVWTDASGKYRVRTPYVVL >cds.KYUSt_chr4.48911 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303027872:303029152:1 gene:KYUSg_chr4.48911 transcript:KYUSt_chr4.48911 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVRPPPLPIPDAAPAWVLLEPVAYIADHTNATTAEASSSTGHTVRLTVCVTDPLGVSHVCVHCPGLKDSDFCDRPTVDCSDKDFLILSVVFMFGPHAKQGLKEYFIYKAGPGRPALRLLPGPYPRGFRGSGIALMPRDDGAHFVLAALDYSFQFDDRWVYDLHIFSSRTWAWTRKVPQVEISSQVRSGVSIITPSKVIQLGGGTVGWVDLWKGIAVCNVFDEMPVLRFIPVPQLMPGHMEGSKSSWQVRNVSYSNGMIKFVEIEKHERHDPDERTLDDMDTLYESDCLPKPKVIGWRAMTWYRMISWDHWRKGSMAYDKEISVDCQTHSMLFSQPNAGELTLKNLLASFPVLTLTCDRDDIVYMLCQTKSGDKKSWLISVDLKKKILVEVAPFSLQGYFAPAQPSELSSYLNRAPGTYTNACL >cds.KYUSt_chr6.9742 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60108011:60109760:-1 gene:KYUSg_chr6.9742 transcript:KYUSt_chr6.9742 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDYVRTAKQSASLLASTTGSNTAVIRDNGNLVLLNVLWQSFDHPTDTQVPGAWLGLGENKLTGEYQTLTSWRNSQDPAPGMFTDTVDPNGTSEFFFLWNRSHVYWRSGVWTGRVFAAVPEATNNVLFNQTYVETPAYRRVTDVLYDNATITRRVLDLTGQTKQYIWVPASLSWQFFWAAPTVQCDVYALCGGFGVCDQRGQPPCQCPAGFAPASEGDWTLSDWSGGCRRSSPLTCARNGSTTDGFLPLNNVKLPDDSVSFGAAQSEAECESACLKNCSCQAYTFSGGRCAVWHGEFRNLEQLHTDSGASSGSGMYLRLSESQLHDLRSADDKGKKVVRTLWLVLAIALAGVAALGVSLFLAWRILLARRRQRSMSSEKGSSLAVYSYGDLRAATKNFSERLGGGGFGSVYRGVLKQKHKGGDTTTTQVAVKKPEGLRRGDSDSGRR >cds.KYUSt_chr4.12296 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74983065:74984089:1 gene:KYUSg_chr4.12296 transcript:KYUSt_chr4.12296 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSRTTALSQTTAPVIHEDGERCRVRRYHMCRWRGCRAVAAEYLEILARPGAKANLDALLSAAPSPVPWRRTGLRGAVEDGGKEVWAGFTLQVAISEVAEMKQCIC >cds.KYUSt_chr3.31132 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195426665:195431346:1 gene:KYUSg_chr3.31132 transcript:KYUSt_chr3.31132 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRPVVVCRRGLVNPYSPATRVESELSDLTNTTLLHPLAPSLPLAPLPAGESEQWCAPLALRSPFLSPFPFPAAARSSSRVLRRLLQDFKGFWESKFGGKKEPEQNGEPNGSVKKRTADLAIYEQYEQQAGQPAMRAAAIRDGNADVIQKPLLPPFESAEMRNLAETLLRDIIRGNPDVKWESIKGLETAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSIVSKWRGDSEKLVKVLFELARYHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARHAMFEGLLPSMTSSLEIPYETLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLDASDEVVPEEELPEVGPLKADDVELALRNTRPSAHLQAHRYDKFNQDYGSQLLV >cds.KYUSt_chr7.2391 pep primary_assembly:MPB_Lper_Kyuss_1697:7:13910594:13911919:-1 gene:KYUSg_chr7.2391 transcript:KYUSt_chr7.2391 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGATSRRRILDYLNDGEELGVEGPSTPRAVATARSLLPRFRWTRMARLGRKCGGACGVKGRPPQQPEKQEIEAEILVIEQGDELNDLSADTACATSSGSGAVRGGETRAVDLGVGLGLVFLLAKTSDEFSKMAKVRAEMEALLAEFKGHVIANTADAEDVSRPRNLDDSAASSCLTDANEAQPATDRSDENHRRRGDHQVAACSGKGVEVEVQSRRRSTEDVLEEEFHAELERLHVHYGSDTPPFPPGEERDGAGESSDDDDDDIAADYRQGFAEDDDHGHDEDDDDDDDAARYRGVSAVELERRLHELLHQRNQERIEELEAALRRAERRLFDKEMEASLWKDTAKMALRQDDDDELQ >cds.KYUSt_chr3.28414 pep primary_assembly:MPB_Lper_Kyuss_1697:3:177270580:177284521:1 gene:KYUSg_chr3.28414 transcript:KYUSt_chr3.28414 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHYQSATLIASPSYPNAIAWSNENLVAVASGHIVTILNPAALEGPREFVLLRASEPFPIGVVKREDLFQPCLVPTFLVRDTEPCARSISWSQPGFAPNSGCLLAVCTADGHVNLYRPPIWEFCDEWVKVTDVSKLLFDYYRSINFGEDDSPDSVPQMASKELEGDSRDCVAGVVQGLTEGLVEAVMTKAKMAGGVNGDEVEVKVVTVLERWKTCEG >cds.KYUSt_chr1.40107 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245617202:245617960:1 gene:KYUSg_chr1.40107 transcript:KYUSt_chr1.40107 gene_biotype:protein_coding transcript_biotype:protein_coding MRGERKGAEIPCSVDGRIVGLLPLRSLRRRESGGTFDLCLRLVDRRVSLPGGAVKEVVAAALWNKCAGALLPSRRGLVGWSRRACSVRCSRIYRSGELWCPFGGGHGGGDCRRGGLLSPVSSFARAWFLLAVPWGSWGWCDAAVLASAGLLRRLYRKVFRAVSMASAGKVDGGEWRVLVELVQRLWFRLGEADSCCGRLQAWRCSLGLVSGWSSFIVSDDGGGGAVVLCCAGFGLVLRAYVPVLVSVLVSFI >cds.KYUSt_chr6.24207 pep primary_assembly:MPB_Lper_Kyuss_1697:6:152869228:152869581:-1 gene:KYUSg_chr6.24207 transcript:KYUSt_chr6.24207 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRSISRPVAAAFLRPAAARSPAAALPRSLASAPRPSSSLGRQIALARSLQPLHSAISAARLTSRLGAEVARAVSQGTLCSSYPGV >cds.KYUSt_chr4.46223 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285709037:285711801:-1 gene:KYUSg_chr4.46223 transcript:KYUSt_chr4.46223 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRPAVHPVEAPPPTDPVGQPTGVLMKDLPGMPGTAGGLGLRVAQFAFAAVALAVMASTNDFPSVTAFCYLVAATILQCLWSFSLAIVDVYALLVKRCLRNRRAVCLFAIGDGVDHGSTDLRCSMLVSRHHRLNR >cds.KYUSt_chr1.38354 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234288550:234288795:-1 gene:KYUSg_chr1.38354 transcript:KYUSt_chr1.38354 gene_biotype:protein_coding transcript_biotype:protein_coding MREVGTERDRVGGGNRKELGLVTGSDWIRVGGRQVGNAAPRRYLRVRGNFWVARDELVDGNVEKAAGKKKVSDPQTWSLDC >cds.KYUSt_chr1.42625 pep primary_assembly:MPB_Lper_Kyuss_1697:1:260810442:260812785:-1 gene:KYUSg_chr1.42625 transcript:KYUSt_chr1.42625 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTPLLQPVAAASMALHLSGVVSPESWASLIVEEVDSHEEELAPMTPPATYSSSLASDPAVFVEGLGSLSLSPSPVASGGPAEVPRADDALKAPSLLWVASLDSDDDDDEEELAPRSPLASSVHVEQVVAEPCVGLASADALGDDDDWVQVGRGGRPSRGSSPLLQKEGLERSLAFKRWARGRCFRCLERDHQCQFALLRLELAQLVANRVEEASRPLREEVASLKLLLARVGVSLEPTEACSSGGHDLAIMQASFPLSSAEQKSSVVEVTPELNELCVDSSMVPELLKLGSDEVMPPYVEEVKHVVPFGDGAAKSEMLATGPGGVVAREGAENLDPDQLLVLEPVRLWDEKGTSVVCAR >cds.KYUSt_chr7.17139 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106201786:106203552:-1 gene:KYUSg_chr7.17139 transcript:KYUSt_chr7.17139 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSLAGGAAALKGSPVDALVRSFLLDGGPCGPAGAGFAHGPHALRWAFNNALGLVFVAVYRKVLHLLYVDDLLAAVAAEFARIYRPRRASYHGFGERFRQLQLEAEARASRPASVPVKPGPAPSDGLLLPEGGGGGESETAGDESGKDGSDGEQEEHNSVDGSLKVVKGEEEVAPSNNAAFDMSKLQLIFRPHHKIKPNKPAPRDKKPVTTDKRRRIPDNVPSAKDLDFSNPADARGALAMNQAPVNQGKSGMDQDETTRSSTVKSGWFSSMFRSIAGGNAALEMSDLQPALKALKDRLMTKNVAEEIAEKLCESVAASLVGKQLGSFTRVSSSVQAAMEDALVRILTPRRSIDVLRDVHAAKQRGAPYVIVFVGVNGVGKSTNLAKVAYWLRQHDLTVMLAACDTFRSGAVEQLRTHARRLQIPIFEKGYERDPAVVARGAIQEATRNKTDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAVDQLTKFSQKLTDLSTAPTARSIDGILLTKFDTIDDKVGAALSMVYVSGAPVMFVGCGQSYTDLKKLNVKSIVNTLLE >cds.KYUSt_chr5.6531 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40559480:40561527:-1 gene:KYUSg_chr5.6531 transcript:KYUSt_chr5.6531 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPAVAARRPRARRPFAAVASLSAARRRVMPPPTATTPGSENVEPSDGTASFEQCLRRFRKFSGEAAAAAAAKPAFPVGAKPAVLKSKRCAATPAARKPAVPRTPSRKRPDSASSRRKSSAAAPYEAPRAPLWDFSEEPCGKKVRARLSSPCDAGAAAAAEEPRKAAAWGATLEEAMAGLPEPGEGRVRYLVDTFERLLSLSRDGTHEAAGPRRRVMMKRAASASSSPRKAEEMDMASYPSVASSSSEVSFCVADLPRRGRSGGGARDERQFRRCNSIGSSERSWSRKVTRQHPFNLRTEQRGKMKEGNLVERMRRMLLEEERLRNPLAQGLPWTTDEPEITERNIFLEKVELERERQQKMDEEIEIKQLRKEQVPRAIPMPDFSRPFMPKRSVKPQTVPREPRFHTRPTRHSPKTRS >cds.KYUSt_chr6.17011 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107110208:107111823:-1 gene:KYUSg_chr6.17011 transcript:KYUSt_chr6.17011 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRVVCRKLYDYVRYDLKEIAFPSSLPDPPGTIRRPKLTLKEKWCILKEATRLYGASWVRDIGPELRPNDYKKAKEESGPTSTKKGKSTGEPTVLEDLAVAARGGAETLKPALRRIYMTRASTYTSAVKNYVETYQEGLKDVLDQKVAAKGPIIGFLMQHNPGIDTVRIPSNEQALGFAGSKACLEKKLGTMGNYNS >cds.KYUSt_chr7.11525 pep primary_assembly:MPB_Lper_Kyuss_1697:7:70805858:70806115:1 gene:KYUSg_chr7.11525 transcript:KYUSt_chr7.11525 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPLHPHLQSFGICDRVDNTVNATTMFDDVECMSIDPIVVSWLYTTVSPEILDMVLTLDGSAHDIWVAIDNAFRDNHIEHFVLL >cds.KYUSt_chr3.11308 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67390149:67392122:-1 gene:KYUSg_chr3.11308 transcript:KYUSt_chr3.11308 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEASTTVLRFFSFIGAGGDDMLVLEQHWRDVTVIFRASVGERAGHPCSTIATEKGETAERSAKNPEA >cds.KYUSt_scaffold_869.267 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1674297:1676475:1 gene:KYUSg_scaffold_869.267 transcript:KYUSt_scaffold_869.267 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGHTLADYNIQKESTLHLVLRLRGGSRGAYPKNLEPNLRMLAQKYNENKMVCRKCYARLPLRSTICRKKKCGHTNELRMKKRFMSKFGKDHPV >cds.KYUSt_chr3.10318 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61281761:61288138:1 gene:KYUSg_chr3.10318 transcript:KYUSt_chr3.10318 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPATTEREDAGMTPAAMERDGGAGTSVASPPSPTERDASCGDRCGGDRCIEVMEEDTCCVRYVCMGPNPMMARYVYALIFLVTNLLAWTVRDYGHSALGELQRLKGCQGARYCLGAEGVLRISLGCFLFFFVMFLSTARTRKTHDCRNSWHSEWWPAKIALWMALTAVPFFAPSPLIQLYGKVAHFGAGAFLVIQLISVTRFITWINDCCRSETNLKRCHMQVQVVSIVAYVGALLGIVLMYVWYVPTTSCKLNILFITVTLVLVQLMTFISVNSKVKAGYLAPGLMGIYVVFLCWSAIRSEPHTEICNRKASVATSADWLNIASFVIAVIVVVAATFSTGIDSKCLQFKRTETESEDDDIPYGFGFFHFVFAMGAMYFAMLFLGWNAHQKMEKWTIDVGWASAWVRIGNEWLAAIAYSNRFNTVGRREWWHGRDVDTTLRQYGFRQRVCSDPSRVPLYFPQAACMAPVASTLQRAPERTAASGSSRTGSSVGGDRTRSAVPAPPSGGVVTRDGARCASSASAHRTTGSGHRCCLKEEDAAASPPLPPAKKKQWWEMEAEALATLRGGDDPEEFPGQNFIVGCSVDEDYRQIAMDPRQVAMWSARDHDANFVELAGPSELPTSKEKKADDDCSFGPFSGNGDDLDSSTFDSRFLLVF >cds.KYUSt_chr4.40739 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251542210:251542536:-1 gene:KYUSg_chr4.40739 transcript:KYUSt_chr4.40739 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEHAAPPAAATRHAARRRPDTVLPGSPAIQDAALPSAADTAADSTELETNRPTHHDSAAEDTRRGAACPNPQLYVALATTAIPTAALTADRNAQVKSLAAAPPAPA >cds.KYUSt_chr3.17681 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108419536:108423781:-1 gene:KYUSg_chr3.17681 transcript:KYUSt_chr3.17681 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGRGPQRKAARPAGPGAGASQAVASGVFQINTAVATFQRLVNTLGTPKDTPDLRDKIHKTRTNITQLVRDTSEKLKQASDADHRVEVSATKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFITQAGLPQSYNSTEVNNGADRLAEQRTQLLESRRQELVFLDNEIVFNEAVIEERDQGIKEIQDQISEVNEIFKDLAVLVHDQGAMIDDIDSHIDNSVAATAQAKGQLSKAAKTQKSNSSLICLLMVIFGVVLLIVIIVLAA >cds.KYUSt_contig_3976.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000773.1:21137:26357:1 gene:KYUSg_contig_3976.4 transcript:KYUSt_contig_3976.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGKRDCVSTAMKNSREAIVGNAFSTLKLSMTLRRKNRHELQLEDELKCFRGWSPMGFSDHLQRQAVFFMACNFLTIVAEAFQHENGKLEACILSRQQLQYAASDVYISVMVSLAFVVGAEASSMTRGRWVLWPSESSGDDVGYGIGDAYGRRFPLCGVSWLHLTCKFLLLVKTLVFGPGGGGICCVVTSLEARWKRGPIFAMPASVVKVELSADRRVVRWLPSSGAPLGGSFSPWSVVHLSAMFARRAAAIGEVRVRPAVVGVAVGRVRPHAGMYDGVVRRFKTWGRTVAMAE >cds.KYUSt_chr4.2811 pep primary_assembly:MPB_Lper_Kyuss_1697:4:15978508:15982246:-1 gene:KYUSg_chr4.2811 transcript:KYUSt_chr4.2811 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCQVSSIIISVCPAVSREIRKILTERAKNCNIALDDVSITSLSFGKEFTHALEAKQVAAQEAERAKFIVDKAEQEKKSAIIRAQSYTQTRLTRGFGADAKEMCEQASTIASDASSDFMLPFYVGALFVRNGTAEVGQVFQVFFALTMMAVGVFQSSSEVGTTLDPVEGNMELHHVSFKYPARTDGKIFRDLCLRIPSGKDLCLLGKAEVGNRVIALIERFYDPESGAIFLDGVDLKTLKLSWLRQQIGLVG >cds.KYUSt_chr2.27272 pep primary_assembly:MPB_Lper_Kyuss_1697:2:167326872:167327972:1 gene:KYUSg_chr2.27272 transcript:KYUSt_chr2.27272 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSSSVLSFLALSAFVLAGSAMADGGQRPAYENPVEGLDSGYYAKSCPDMEGIVQRIVNEAFDADYTVAAGLIRLFFHDFAVGGCDASILIDAPGSEKYAESSKTLRGFGLIEAIKTELEEHCPRTVSCADILTAATRDVSREVGVGYWPLKFGRKDGRQSSAAAADKYVPMGRESVTDLIALFESNGLNVRDLVVLSGAHSIGKATCAAVKPRLCNSKPDTLDRKYGDFLRRKCRHSGYFAEYERVELDGETPTVFDNVYFKNLERKMGLLETDQKMLEDSRTKSFVQEMVREPEEFKHEFAMAMRRLGEVQVLTGNEGEVRHRCSAVNKY >cds.KYUSt_chr7.22165 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137219646:137222018:-1 gene:KYUSg_chr7.22165 transcript:KYUSt_chr7.22165 gene_biotype:protein_coding transcript_biotype:protein_coding MMEARMPPGFRFHPRDEELVLDYLLHKLTGRRAYGGVDIVDVDLNKCEPWDLPEAACVGGREWYFFSLRDRKYATGQRTNRATRSGYWKATGKDRPITGSGEASAAVVGMRKTLVFYQGRAPKGTRTEWVMHEFRLEEQDEHHQQQHGRAAAAAARRQLKEDWVLCRVFYKSRTTTPRPPSEETCTFPGELDLLAAPQSLAPLIDAYIAFDSGTAVEQVSCFSGLPALPLKGSVSFGDLLGWDNPDTKAIRTALSNSNSRLELPTNWSQENGLSQMWTPL >cds.KYUSt_chr4.19469 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122388307:122397788:1 gene:KYUSg_chr4.19469 transcript:KYUSt_chr4.19469 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVQREITLGIPAFADVSSNESAPQSLSTVRSTLRPTLDPATDRNPARPRAFELAVLSDHFRVLFSTSRRTPSPPCQRPRAFELAVLSDHFRVLFSTSRRTPSPPCQRPRAFELAVLGDHFRVLFEHEPADTASTLPAARAFELAVLGDHFRVLFEHEPADTASTLPAARAFELAVLGDHFRVLFEHEPADTASTLPAARAFELAVLGDHFRPADTESTLPAARAFELAVLGDHFRVLFEHEPADTESTLPAARAFELAVLGDHFRVLFGTSRRTPRPPCRRPGAFELAVLGDHFRVLFEHEPADTASTLPAARAFELAVLGDHFRVLFSTSRRTPRPPCQRPRAFELAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVLSDHFRVLFSTSRRTPSPPCQRPRAFELAVLSDHFRVLFGTSRRTPILRRFVRLRLRAIVRLRLRRLAAPSRLVRLRPRRLVRLRLRRLVRLRLVRLRPRHLVRLRLRRLAGRAFAASSGRAFAASSGRAFAASPRRAFAASSAAPRPAATVASTGCDRRLVRLRLVRLRLVRLRPRPAAPSPPRPASPRAPSPPRSAAPRPAAPCPAAPSSPRPAAPLAASPRRAFAASSGCASSGCDRRLDRLRLVASSGCALSGCDLAASSGCAFVASPGRAFAASSGRAFAASSGCASSGRALSGCALSGRALSGRALSGRALSGRALSGRALSGCASSGRALSGCAFAASSGRALSGRALSGCAFAALSGRAFAASPRRAFAASSGCASSGCDSSPRPAATSSPRPAAPCPAATSPPRPAAPSSPRRPRLRRLVRPRLRRLVRLRLVGRALSGCAFVASSGRAFAVSPPPRLRRLVRLRLRRRPVAPSPPCSGCVPAIIRLRLRHVFAALYRFRQRHAMVYIDIAIIIYIINIAFGTLPATRLQFESHAGSGANVGGMTPAAEIEIKKEHQVLMVNKTTSFKKQGKSKGKFKKGGKKAATPPMKPKNGPKPDAECYYCKEKGHWKRNCSKYLADLKSGLVKKKKEAVKNILKYLKRTKDMFLCYGGDQELVVNGYTDASWNTDPDDSKSQSGYVFILNGAADKLGKLEASARWRSLQRNRVHSGFGGFIEAVWMKRFIVELGVVPSALDPLIISCDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEDGEVKICKVHTDLIVADPLTKALPRAKHDQHQNAMGVRVTHLKLDVEMVVLEYGMEFEYLFGVPDEIPDITRSSGMVLEGSRKVRKKPPRKVESTWDSTSMAGQP >cds.KYUSt_chr4.22321 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140196486:140197952:1 gene:KYUSg_chr4.22321 transcript:KYUSt_chr4.22321 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPRLLAGGDHYPSPTSPSSPEHSLLSAHLQLPSPSTSDLSSPHLPHALAFSFLTHPSPLPRRLLVLLHAAGARFPAFYPSFATALLSLPFPLLLPHPRTRLLLAAAELTRAAAPGFAALLLSLLRRVPFPGDARLLQLIREHSSFLYSEEPQLLTSAVFAFLRLLSKNRLTPFPRSTAECSNCEECNSAKNLEERGNELVSFCVTVLRDHSQACTLIGRDLVRSLHELVLVPEFQGLWKDLMPSRCVEIVNVGTPGWYTALAISPEMETQLLFLMKNVKWGSQKRYQLWFARRHLMVPGGEERIPDIVRFICCGYHPKNEVIQSGVIARWAVIGWLLTSCSKAYIVANAKLALFYDWLFFDESKGNIMNIEPAMLLMVNSVSQYVDITNMLLEFLFLLIENYEVRRKEAIAQCVRCAFTVLVKKGVVPSLDLLTCCEKLAPLLRHKLVEFLSSTSPLAAVEACGKPIDEVSEAADLKKRVLSN >cds.KYUSt_scaffold_3611.122 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:734208:734747:1 gene:KYUSg_scaffold_3611.122 transcript:KYUSt_scaffold_3611.122 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDEQLLQLSRWSLQLQRGEDLTLIPSEDEPFVVTNIFLVDPESAAGQGVVSAYVEMGTQKIMLAQLSSEVKAVELENPLVLEEEFRVYMMRQGGEADADIDTDTEEDAVVVQFKGFMVSLPCYSDTDTDDEEEEEEEGDEEDASSEDDDDDRTDGKVEESNGEVDGDVARASSNAKD >cds.KYUSt_chr4.50725 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314280900:314287219:1 gene:KYUSg_chr4.50725 transcript:KYUSt_chr4.50725 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVPDPLHPPVTKEAAQQVQVPNAERERRDPKSDPPPACAPQKSCGARAHGSQRHQKRKLPKPPRRAAPRHTARARIPKTDAVANLSFAPNRSFLPFIPPSLSALARPPPLAAPAPDGRDGGGTLEPAVGSFGGARSVGSMASCGCLVVEKVDDHGGDARGNGNGNGRGRAECWCGSCAGARRRLETMFPIYVMGTSRARGLLDSAAGDPIWEAVKSEAKSEAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNNVDIRRSIRLDAQAFKGRDPSCAQYSWALLYLKGYHSLQSYRIAHVLWNQGRKVLALALQSRISEVFAVDIHPAAKIGEGILLDHGTGLVIGETAVIGNWVSLMQGVTLGGTGKEHGDRHPKIGQGALLGAGATILGNISVGEGAMIAAGSLVLKDVPPHSMAVGNPAKVVGYTEKEDPSLSMKHDARRDYFEHVAGSFADDRSNGKCLLCRKCYEVKLG >cds.KYUSt_chr5.40158 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253647713:253650909:1 gene:KYUSg_chr5.40158 transcript:KYUSt_chr5.40158 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGSVLQERAYMMLRMNGGGGGEGSDYGSSEAGSYEYDDEDAEDYEEDLEHHLRVHHHEHPAGAEGDGDEDVEGEGRGEGEGEDSGSEESEYEEEGFDEDEEVEPELDPAEYEDDEAYARALQDAEEREVAARLLALAGISECEYGWVFLQLSSHFPVVAHMHFWFRFVGRAVEHVEDHVNDAQDSWQEVDPDEYSYEELVALGEVVGTESRGLSADTLASLPSVTYKTKDVQDGNTEQCVICRVEFEDGESLVALPCKHSYHPECINQWLQINKQLLSVRAGPEIGGPVQGKKDGPLVYK >cds.KYUSt_chr4.36886 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226893717:226894082:1 gene:KYUSg_chr4.36886 transcript:KYUSt_chr4.36886 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVASLYGVEARPGGRCTGVWVGDRKIGAIGVRISSGFTSHGLAFNIDPDLGYFKHIVPCGIANKDVTSLRQEAKVELPPDEVIHHQLVQSLAKTFRFSDVKVKDDSECTEMVYSAAAQR >cds.KYUSt_chr4.54417 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336223912:336225163:1 gene:KYUSg_chr4.54417 transcript:KYUSt_chr4.54417 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHRLRSYFWYIVDHPQLLKDGSDADTNRVNKTVMSESEHAASSGGALSLLGSIYESADEDEDVLPALLKSTDHGKDAVLHEKGHKGCASRKRVNSSKRSFDCCSPQRPPSFIKGTVEKIVEIILRNRKEFEEKLIAQERMTGRFPFLLPNNPYHSYYLKILQETQEGMETVGVGMSLVPLRVQELQEDLLWARGRMHRLREVRHAPYRGYPRNFSLFVLLASDVAKEEHDLEPAARDDDYISPRIYMCEEPCATTPHHICDMEDHNIRLTSPLLGTKAAGRDGSSVLILVG >cds.KYUSt_chr1.7960 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48855192:48861572:1 gene:KYUSg_chr1.7960 transcript:KYUSt_chr1.7960 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVANDLQGRIALSQPQENHSNAASRTNSAGPRVGELTLPNGDIYYGTLSREMQIPEGTGRYIWAGSSCVYEGEWRRGMRHGQGKTLWPSGATYEGEYSAGYMDGEGTYVSPDGASSYKGQWKLNRKHGLGLQTYPSGDMYQGSWIQGQMEGHGRYTWANGNSYVGTMRNGLMSGKGVLTWNTGESFQGNWLDGMMHGYGLYTWEDSGYYLGTWTRGFKDGSGAFYPKHCRVPTAHMLYIDDLRKRGVLPDISTILQQSSSFNTKADADQESADASLPSRNLSFGRPPSKKPSLQRRWSIGVAIEKIIGPESGAASETQEDMAGSDFPILERVYAQGVLISEVVLNKSSSDSSKKLKRRQSKMTKDIKRPGEAIIKGHRSYDLMLCLQLGIRYTVGKITPIQRREVRASDYGPNASFWMNFPKKGSRLTPAHRAVDFKWKDYCPMVFRNLREMFKIDTADYMMSICGSDALRELSSPGKSGSIFLLSQDDRFMIKTLRKSEVQVLLRMLPDYYRHVHTHENTLVTKFFGLHRVKPSSGQKFRFVVMGNMFYTALRIHRRFDLKGSSLGRSTDKIKIDENTTLKDLDLNYSFYLEPSWRDALLKQIETDSEFLKKQGIMDYSLLLGFHYRARQNLQRRASFHEGILADKLAVLSEEDAVEENSTYNYQEGLVLVQRGNDQKSKVDVGPHIRGSRLRSSSACFEEVDLLLPGTARLQIQLGVNMPARAEKEEKQEDGDKSFHRVYDVVLYIGIIDILQEYSMRKKIEHAYKSIKYNPLSISVVEPRFYSERFLKFIHTVFPQNSSN >cds.KYUSt_chr7.16451 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101942616:101942966:-1 gene:KYUSg_chr7.16451 transcript:KYUSt_chr7.16451 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPTVRLPLGVAALGVWPRPAVSVNGAPSDADGLALGIATRSGRRGATWRIARLPRTASMPTARPSASACRMQRTRGTLGAAARWGVYADGNTVGIALTIWSQQGSMPTALPSA >cds.KYUSt_chr6.30680 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194497549:194505464:1 gene:KYUSg_chr6.30680 transcript:KYUSt_chr6.30680 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDESPSPPLQPEIGAGGRRTDKQGRRLEVYNEVLARLRADAAGADEISPAFQDALWAHFHRLPARYALDVNAERAEDVVTHQRLLEEARDPQRRPALAVRVVQVSRIIDGDVDDSFDPKVEMAASDRLASQMVHPPPAFGSSSNLEALVLDANESDACSTNVNDDNSVHLVVRPMHEIAFATTDKPKLLSQLTCLLGELGLDIQEAHAFSTIDGYSLDVFVVTGWRLGGTKQLQEKLLEKFRSIEAQAWPVSSSSPPSLEGLQVGENVPSTSVKIPTDGGDVWEIDLKLLKFGNKVASGSNGDLYRGSYCSQDVAIKVVRPERISADMYRDFAQEVYIMRKVRHKNVVQFIGACTRQPNLYIITDFMSGGSVYDYLHKKNSSFKLPEILKMATDIAKGMNYLHQNNIIHRDLKTANLLMDENKVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHKPYDHKADIFSFGIVVWELLTGKIPYDYLTPLQAAIGVVQKGIRPTVPKDTHPKLTELLQKCWHRDSAERPDFSQILEILERLSKELDRMIEHLAVVVKMLSLLHCDIGVSGDTERDGGGVSIDKSIPCQLAPTVGIRGDKELISMARSTSSTSSVASNALDRGKQIETGLVDFVPHPSSRMDAYAYLEEPMEMTFGRFHFRVRKQGSHCFEVPGSSRSSAADSDLSKSSSSFETGAEEISPPRFVKTATSGKLVKIFGSMSFESSADSNISSDSDNIDSFNFVDKSTSVREVFADLCDGVTNPDENQTPKYHQVYVIGETSRPQEETSEAFDDAGNPYNDPADLMRDLGTKYVGPATRQMVQLPQAAWDRAAKAIDGTKPMTTTATAEELQASQYRLPRAGRELEKQKAELDRRRAAASASSRRRAKLSRHSGTSESNHGAARNRGRSRLQNIREG >cds.KYUSt_chr5.29567 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187455702:187460055:1 gene:KYUSg_chr5.29567 transcript:KYUSt_chr5.29567 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRDLLSRIRRPFSSSSSSAARRAAADARREEEDLEAIAAREQRAFRYDALLAATRGFSAKQKLGEGGFGPVYRGTLEDGREVAVKRLGAASRQGAREFRNEASLLSRVQHRNVVNLLGYCAHGADEKLLVYEYVPNESLDKILFSGTNAPPHRGGNKSHSGSSSDSGRQLRAELTWPRRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVAKIADFGMARLYPEAGDGRSHVQTRVAGTNGYMAPEYLMHGHLSAKADVFSFGVLVLEIVSGRKNSAFIPPPDSDADNLLDYAWKLYKKGRSMELLDPSLKAAAAEQDQVLLCIRIGLLCVQADPRLRPDMKRVVIILSKKQSTLEEPTRPGAPGSRYRRRAHGLRSSQYSDGSSSGTTPSTSVASTSQASASASNATTATSSTHTLRSQGLPSHREGKELPHSG >cds.KYUSt_chr3.22798 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140995524:141000757:1 gene:KYUSg_chr3.22798 transcript:KYUSt_chr3.22798 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNPRRNNTAGSKSGRPAVAAACQRLTHRVAISTDPPSRATQMAAGGSGGGDGGDIGADSERRLKKAMDKLYHFPKPKPNPSPGSKPSSSSTPSSWRAADAGRRFAVVRGSRLPPQMAAMSALSPPPPCRPWDRRDLVRRLASFKAMTWFAKPKAVSPVNCARRGWTNIEPDIITCEACGARLLFSSPSSWTPQQVEKAAAVFSLKLDNGHKLLCPWIDNICDESLALFPPTPPPVLVENYYECFSSLLRLSALPRISCSSLGSMKKKSPQLEQFLLEPLSSSVALKGGFMLTEDLTIKDLDGTFQDAGIYYQALKIISLCGWEPRLLHYAVDCATESHMDANSTSILAQPEQINNTLENRVVIYSRKEVDGSSAIADANQGDQHYDPSSVVLDCQFCGACVALWRFSLVERPLQLFKLVSDSNIQDEQTNGHASGAEPSKSANVGFNFTIAGGPPPTRQSFRPRVSFPVVSRHLKADLNSRGKSFSSGNDSQMVPVALHSSGPMKRKRSMDELHHDHEVNNPEKDIANMEVSTEHNEGSSHSDTNKGTNMEEVLNEEPESGAARSDTREEAANDDQNLIQTHTNSSKAVEVGAITKSSINSEKCVQPSGKEGLYDRMNEFDPIKQHRTFCPWTSPDVGDALPGWRLTLLALLAQDKRTDGDPQVEAQLSLLNEDDDPVTSVRKLFMSPPSKKLRIHQAEKS >cds.KYUSt_chr2.8942 pep primary_assembly:MPB_Lper_Kyuss_1697:2:56395976:56401921:1 gene:KYUSg_chr2.8942 transcript:KYUSt_chr2.8942 gene_biotype:protein_coding transcript_biotype:protein_coding MHERARPGRGRRPCACRVAPMPPPGDDPDAEPSCSGSDSSEPQDHQRAERALSNGDLYLGQWLAGAPSGDGKYLWADGCMYEGEWRRGKATGRGRFSWPSGATYEGEFLDGFMHGAGTYVGAAGDTYRGAWAKNLEHGAGEKRYANGDSYDGEWRAGLPDGCGRYTWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWSDGSLYVGFWGREAAGGALQQKGVYYPSPGAAASDARTRDPREVFARELPECVRSGTESQSALPSLRSLRWLARSVSGRGSSSSGRSNLSGGSNWGSDGDVKVEITDDWKRRNSTREGRGLPPPSPAPHIAKGAAAPLRISKRQGETIAKGHKNYELMLNLQLGIRHAVGRQGQVILDLKSSAFDPKEKVWTRFPPEGSKYTPPHNSSDFKWKDYCPKVFRTLRKLFKVDPADYMLSLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLRAYYSHVRAFENTLVAKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRTTDKPLAEIDEYTTLKDLDLNFIFRLQKQWFEEFKRQVDKDCEFLEQEKIMDYSLLVGVHFRGAIDIDGEKPATPRLSRWDRNHFLSDPNRWSKIKLGANMLSRAEMTVRKNDSDVIGEPTGEYCDVILYFGIIDILQDYDIGKKIEHAYKSFQYDSTSISAVDPRQYSRRFKDFIYKAFQEDRVDS >cds.KYUSt_chr7.10742 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66008425:66009714:-1 gene:KYUSg_chr7.10742 transcript:KYUSt_chr7.10742 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVHQHGPCSPLADAHRKPPSHAEILAADQNRVESIQRRVAATASKLKKRAAPVQPGPKKNPAGIHPGHSSFSSAPLLPVSSGRALGTGNYVVTLGLGTPASKYTVVFDTGSDTTWVQCRPCVVKCYKQKDPLFDPAKSSTYANVSCSDSACDDLDESGCTGGHCLYAVQYGDGSYTVGFFARDSLTIAHDSVKGFQFGCGEKNDGLFGMTAGLLGLGRGKTSLTVQAQYRYGGAFAYCFPALSTDTTGYLDFGPGASPANARVTPMLTDNGPTFYFVGLTGIRVGGKQLSIPETVFSTGGTIVDSGTVITRLPETAYSALSSAFTAGMAARGFKKVQGYSILETCYDFTGISEATVPTVSLVFKGGACLDVDESGILYAISQAQVCLAFASIGDDESTGILGNTQQKTYGVVYDLGKKTVGFAPGAC >cds.KYUSt_chr7.20013 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124005435:124007996:1 gene:KYUSg_chr7.20013 transcript:KYUSt_chr7.20013 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIPTKDPTPEPSNRQEDAATTTATAHLASERRPHPWPPSASPWGTPEQTEAASSATDGKTEGLHTQPRRTPGWEARHHHGHHCETTAPASPNGKPLQADSKEGAARSSAVCCLGAMVKSLVENGMEAEF >cds.KYUSt_chr6.10519 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65101052:65105889:1 gene:KYUSg_chr6.10519 transcript:KYUSt_chr6.10519 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDEDRASLIRSHPAVVAVAGASPSLSCPSPRASASHRHADVEAPDEAAFAASPRRAGGVRGFLRHLDRRISSRGSARRLHHQPQQLDRAPEQSSVASSQQQQQRERAGEELADGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHDWAWSGTPNEGAAWLRLQRLSDTWHRILLIPVTGGVIVGMMHGLLEIVEQLKLAKPPQSEGISLLAAIFPTVKAVQAAVTLGTGCSLGPEGPSVDIGKSCALGCSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENAPPFTTAMIILASVISSTVSHVLLGERPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFGRLVVWFSRFFAYMKERFDFPIVVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAYLINAAIPGSAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPNDGEFSGFRTPMHGYSLVSAQDKRSSTLTRSDGVDELELTVSQSDLRNCGTYKDEMLLDDLKVSQAMSKKYVKVPPSATVMEALNLLNDKQQMCALVVDHEDFLEGLITLGDIRRMGLELSGESCISGDQLMSDEACSSCLTRGFEYQGSERGLLTCFPDTDLTTAKNLMEARGIKQLPVVKRGAGHRTEGKRKLVALLYYDSIGHCLREEIENWKTIYQRKEDFHVLANGHTLQ >cds.KYUSt_chr7.32370 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201650369:201651420:-1 gene:KYUSg_chr7.32370 transcript:KYUSt_chr7.32370 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAAQEGAYFLQESKLAAGRLAQKLPASKLEPGPASPPPSPDVLPEILRHSIPIRPTPPPSDPSLYGSTRWALPPGGVEAVGVSPDVLNPLSSYVSLPQATFGPKRWQLPNEQTYFSSSTANERRRDMHPPPMDPEKLKAVIAGYSQVGKAFLAGTILVFGGATAVLLYTANKLQLHSVSHAIGSTTPE >cds.KYUSt_chr4.4294 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24602974:24604880:1 gene:KYUSg_chr4.4294 transcript:KYUSt_chr4.4294 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLKQLVPYLLLLSIFYISHAKEKPCKCSDSGQERRSNHTLEGGDLTFRLVDKVSGVVRRVGFDFVRNLSESAYAYNTSVLSTMYSWNLDHANNSLTQLVASDVGSLNITSPYRSTFSGVNDEVSVAIWYPGERMVGVDISIQHTHPDAFNYSVWIDYDHVGRGLAVYVELEGKARPKNAITAQNLNISTVTAQYVYFGLVSTAAQLLRTSRGIDFRATVDDLPVYLPEKGGFLTRKMTILFSILGPVTATALMAIALACYFNSRYRRWHRELDMLARSMERLPGVPTKVDFADIKKATCNFRETMKLGGGGFGTVYRCTLPAAASKMDQPMDVAVKRFTRDVQNHRYEDFLAEGVFGNSLASQNSVDPASHTDASFSSGVENVRLAPPFSAGLQLILAGPACLGDMAYSSLAGHVA >cds.KYUSt_chr1.21173 pep primary_assembly:MPB_Lper_Kyuss_1697:1:124969078:124969353:1 gene:KYUSg_chr1.21173 transcript:KYUSt_chr1.21173 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIVLVPMNSYTRLCELPSVEGTVNQTSTGVRPVRQGTGWFGEAWDHGFKGTPNAILRELQVLFVEQKVECTCISVMIILGSVREGYGQD >cds.KYUSt_chr3.39607 pep primary_assembly:MPB_Lper_Kyuss_1697:3:249579725:249583678:1 gene:KYUSg_chr3.39607 transcript:KYUSt_chr3.39607 gene_biotype:protein_coding transcript_biotype:protein_coding MFALGFFQPGNTGRWYIGIWYNQLPDQVSIWDANRDSPVSSPESSRLTIASDGNMVLLDHSGSVVWSTNLTAIRSSTVGIILETGNLVLADASNTSNILWQSFDHCGNTWLPGGKLGRGKLSGGCTRLVSWKTSTDPALGLFSIILDPNGTSQFFLMWNSTQQYQTSGNWTGHSFAGMPEMNPNNGFPNSMYTFDYIDGANGSYAVYGVKDGGLITRFVMDVTGVINVIRWSVSAKDWMVTLSKPHTQCDVYSLCGSFSVCTENAFSSCSCLRGFSEQYQGQWSKGDHTQGCRRNVALQGNTNGSRSDKFYTMVDVELPSNAQNIVAASSNQKCELACLSNRDCTAYSFNGSCSLWYKDLINLQDLSSASTGTKGVSIQIRLAASEFSNKKNAKKLAIIITIATIGVTLIVVASVFLARKRFKEVAHVDGSLIAFRYRDVQALTKNFSNKLGGGAFGSVFKGLLPEGTLVAVKKLEGFRQGEKQFRAEVSTLGTIRHVNLIRLLGFCSERTRKLLIYEYMPNTSLDRYLFGSSHQPVLSWSTRYQIVLGVARGLHYLHEKCRDCIVHCDIKPENILLSDTFVPKVADFGLAKLMGHDFSRVLTTEEHDKAAGWHGGFFPVTEGELEGLVDALLDCDVDPAEVERACRVACWCIQDDEGATPSMATIVQALEGLVEVNVPPVPRSLKLLADQTTNVEFYSKLQSE >cds.KYUSt_chr7.35379 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220960638:220961246:1 gene:KYUSg_chr7.35379 transcript:KYUSt_chr7.35379 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEQPLEAVAFRLYSLPEVAAAGAAAWTCLAAVLAAAAAAGVWRLRSSTPADFTDDTKPLQLDTSKPPISSSEKKKISPEVPTTSAPATSPKERYTAYYQGSGRVGCCGAESDGEDEELDQQDYDGVYGPWGKQKDLFEWEVVRSMVPVVATATTDVIGRYRKPRALSGSVVRLWDQGAQLALTAAIPRRGIRAGTASSF >cds.KYUSt_chr5.8659 pep primary_assembly:MPB_Lper_Kyuss_1697:5:54840813:54841696:1 gene:KYUSg_chr5.8659 transcript:KYUSt_chr5.8659 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTFMALGLAAALLSAAGPAAAQNCGCQPGFCCSQFGFCGTTDPYCGKGCQSGPCTGSGSGSGSGGVGSIVSDAFFNAIKSKSSGGCAGQSFYTRAAFLNAAGSYSGFASGSSDAAKREIAAFFAHVTHETGHFCYIEEINGASQNYCDTSFSQWPCSSGAKYYGRGPLQLTWNYNYGAAGKSIGFDGLGSPQTVAQDPVVAFKTALWYWMTNVHGVLPRGFGATTRAINGAVECDGKNTAQMNARVGYYQDYCRQLGVDAGGSLTC >cds.KYUSt_chr4.5470 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31576611:31577922:-1 gene:KYUSg_chr4.5470 transcript:KYUSt_chr4.5470 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAEASFAGASRQGELAMMLPPFFMGGSMWQSPGDDAAALLTEEDEIDKASLLAKAVERVRELKQRMAGVVGEAAGAPVSHLFPTEHDEIVVLASGGVGGNAAVFEASVCCDDRSDLLPELIDTLRALRLRTLRAEMATLGGRVRNVLVLARDAGQDDDYSISSEDGGAGFLKEALRALVERHGSSSAGGDRPKRRRVSDMNMQAAA >cds.KYUSt_chr2.27143 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166430447:166433044:-1 gene:KYUSg_chr2.27143 transcript:KYUSt_chr2.27143 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAQPPVSPSGDSSPTSSGSSSPSPSPSSSSTAAAAAAAAGSDEPALLGQATSTSTPSAAGDDAAVPTSPQMGMYFETEDDAYEFYKAYAARLGFVVRKSNKSKNSRHTVTRRLFVCSKQGFRQEPKKPQEETNATDVAAAASPPPPRCPDSRTGCLASLTIKLIPSANAFRVTEFVAEHNHPLASAAPAVSLAMMPSSSSHHAVAAVASLPDPREGPHTDMHFETEDDAYAFYNRYAEHVGFSVRRSYKKRKRGTIVSRIFVCSREGVSDRAKHEGLASISTSSAGAGAPGTPRPGPPPTRTGCQARMVVKITPCRTYRVAKFFPDHNHPLANSETVHKLRSHKMKARAHELGAGELHRRKQGKGAQLGDAGAALEYLEGLQVGNPSVYYAVGMAPDGNSAVNFFWADSKSIIDFRSFGDVVCFDTTYGLNVYGRPFALFVGVDNHKQLLVFGAALLYDDSIKSVRWVFQAFADAMRARQPKTIFIDERSECAIAAAEVWPGSYHCTSVWHIYHNSKRHLKQVFESSKSFGNTLSQCLFECEDELEFLSAWEKLIEKYDIGESEWLSRLFLEKEKWALPYRRTIFSADILTTLRKENMINELKRELSDQEDILLFFKRYETMLEEHRSKKLHADVDGNQVTLPIPSLRMLKQSSNAYTPEAFKMFQGEFEAYMNCLSFPCSVVGTVSEYKIALDEKPSEGIVKFDALDGSATCSCRKFESVGIQCCHVLKVLDLKNIKELPEQYILKRWRKDARSIRMGEEPNGGSGSIMQSSSEVRYANMCRYVSLIASRAAKSEEAMSYIEDQSTVLLKHLDDILQTGYPEIGNHDVSSSSQAISFVGNQHLDHTTQSRAVAHTANGIMF >cds.KYUSt_chr6.17003 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107073778:107077363:1 gene:KYUSg_chr6.17003 transcript:KYUSt_chr6.17003 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSISPASAHFTYPATGAVAAATSYFPVPFHLQAAQYSTASPAPAPLYNAVYPVPQIQQAQQLFQKDSQIITPEALATVKAAIAGNDKDKKVEAKKKAVPRKAAGQCWEDPTLAEWPENDFRLFCGNLGNEVNDDVLAKAFSKYPSFNMARVIRDKSTGKTKGYGFASFANSSDLSAALKEMNGKYVGNRPIKLQKSTWKNRIDYEALQKPKVGPQKKLKAQKRSVLHK >cds.KYUSt_chr7.30802 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191755342:191756320:1 gene:KYUSg_chr7.30802 transcript:KYUSt_chr7.30802 gene_biotype:protein_coding transcript_biotype:protein_coding MRTILREYFLDTFSFTGSRRRQRRDDLRLHSAQAQAALSVAQLAAAIAGVVSAFEPRTRQGDNGKLGGELASAAALVATVCAEAAESAGAHRPRVTSAVNTGLESRSPAELLTLTAAAATCLRGAAALKLRAAVVRGIGTAASGHGNGSPMAASIQKGTSLRVCLPCGRVRVRTVAVFPRRGSGGGTVALRLGQKRLRGVFATYEDHEVVALSPGGEAVIDGRRCFALALSTSAGTTVQLLLEDQTHCEVWKDVIQGMLSDAKLKGEK >cds.KYUSt_chr4.38456 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237132400:237134791:-1 gene:KYUSg_chr4.38456 transcript:KYUSt_chr4.38456 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSSTSMVEALSGTKRRSSTSLRPQVVRPRGRRGGRRLRFCVGNEIFSSFFLDLGVACASRTMWQRADDEGLTVKLGRTKDALDPLEQKLKLQDEITKLHKDLKMAQDELKTLVGEKHVTLALKAKADQALIDARGELEQKKPIDAHHSNMHKVLRIRAEKDMDLMKKERDQLKAAKRKLEYIIGDFFKQKEGTRDKMRKIIEISSE >cds.KYUSt_chr1.17426 pep primary_assembly:MPB_Lper_Kyuss_1697:1:101211847:101219753:1 gene:KYUSg_chr1.17426 transcript:KYUSt_chr1.17426 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPEEEEAFEHTLLVVREVSVFKIPPRTTSGGYKCGEWLQTDKIWTGRLRVVSCGDRCEIRLEDPGSGDLFAACFVLPGQRESAVETVLDSSRYFVLRIEDGRGKHAFVGLGFGERNEAFDFNVALSDHEKYVKREQDKETGGEEADDGQIDIHPAVNRRLKEGETIRINVKNKPSTGSGMLSSAGLSGGVTEKPKASMLLAPPPGATGKLRSPLPPPPNDSASARLSSGQNAGTRAPKEPIKKNKDPFSDISALERSLPSSTELGQTKSTGIVIFAVLLLVAMDSARSWFNKLQTKEKSIGKKKELPPNGKEGTDDAPSSATKQRVAAAKQYIEKHYKEQMKHLQDRKERRYSFEKKLADANVSEEEQNNILKQFEKKETEYMRLQRHKMSVDDFDLLTMIGKGAFGEVRVCREKTTGNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDHHCIVKLYCSFQDSEFLYLIMEYLPGGDMMTLLMRKDTLTEEEARFYVGETVLAIEAIHKHNYIHRDIKPDNLLLDRHGHLRLSDFGLCKPLDYAAFPDLNEKDATPNRTSSAHGDGKQQTTPKRSQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTTDAKDLISKLLCNVDQRLGTKGAEEIKVHSWFNEVDWNKLYEIEAAYLPQVTDDLDTQNFEKFEEVGNHCQASSKTGPWRKMLSSKDLNFVGYTYKNFELVNDDEVLEMAGLKKKEKAKRPSVKSLFDSPEGEEQQQPAAGEDDSNEGSVESRTTEPELTRSLSLPSSSADKPSLTLPSD >cds.KYUSt_chr1.11799 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72775829:72777410:-1 gene:KYUSg_chr1.11799 transcript:KYUSt_chr1.11799 gene_biotype:protein_coding transcript_biotype:protein_coding MAADWSWARRAWEKWTEKHVGSSGMPVKAALLLNYDPTGPSRLLPIMYDGSLGSASQAMVAVDQFSSHFNRRTH >cds.KYUSt_chr3.39508 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248926768:248929512:1 gene:KYUSg_chr3.39508 transcript:KYUSt_chr3.39508 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPSSSHPPPHSDVPAVLSPAEITAALRDLTTAVQKIRLFLVGPYGPPPPALLPWQPTHQAASAAIAAPLQPTLLLSSPPPDARLLQSPLPLSTIFGPGPTSAPRVPLLQQPATFFPTGTLQQQQLLLPPPPTLPLQLLSSPTLSLPFGGQLQQQQQLPSPPTPQQRLLPPSTPSLPFGGVGATLAPGSTSTPPGMPFHQPPVSTVAPPSCAPAAADRVDRISILPDVLLHKVVSRHPTKDGARTTMLSSRWRHLWRAVPLVLVDTHFLHGGDAECQPARAGAMSCAITHAVSAAHKVHLGPLPFVGLTCSFMDRADRRAACWRAGPGSSPPRASKCSSSSTAHCPFSRCHFLPSSSAASRCAGCTSEPRRSLKRTPSRAASLSPTSRSLSSASS >cds.KYUSt_chr4.18787 pep primary_assembly:MPB_Lper_Kyuss_1697:4:117853321:117855076:-1 gene:KYUSg_chr4.18787 transcript:KYUSt_chr4.18787 gene_biotype:protein_coding transcript_biotype:protein_coding MITVQSAAFQYLHTAASRHHRHLAELAASHDDLASHHSRLIDQQCLIVDGITPLLTGSTPILVTASRRRASSQRYHTAVSSQRHHTVAFSWQHLAASSPRGIAPQPAASSTTPPTTFASRRRPPHHMEFVAATVVGFSGIPPPIALSPARRSITPRYISRLKANNTRVVEIGGTSPEVFKAVLHYIYNDQLPDGATADEEATRQLFVAADMYLLERLKKMCASRLCRFLQDGTVESIMQLAEAHSCIELQQACKSYMAKGLPI >cds.KYUSt_chr6.4345 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25152117:25159369:-1 gene:KYUSg_chr6.4345 transcript:KYUSt_chr6.4345 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGARRVERHIGVSAVIPVLLFLPACAPEKIETFLKNHLPAHLTKQADMAEEQMEEAASSSAAASGTPFQLQFDKPIPFQIKLAEWNPEKDLLAMVTDDSKVLLHRFNWQRLWTISPGKCITSICWSPDGKVVALGTEDGLVLLHDVENGKMLRSTKSHDVAVVCLNWVEDEPLSRTDKDDLLSYEDRTTRFFPPAPVMPRVGGLNSGDTGLADENEEAIQAFSSAFCQRFNILSSGDKDGCICFSIFGIFPVGKININKVPIHVQFSQYKTSYRLQGASISKVSLSTNLHQLVLLCCGKLINTEKLSISKDISVGLHCVHLDTSIFSNRKNELHQVSQQASSIQDLVEVVRASISMMSKQWSNAMNLFHEKFSALPSLITAHGMESSSEDEFLSLLFGTRTSPALHQFLVSSLGEVGLKRIAKAIDSAGRELRIVVSEHLQPAVEIISFRLAELRGLARWRSRFQNIGLDEKLMDGVTEKVGMLIVQVERFSRVAATVLYLFQNFFSWVLKCVKILLSEPTDQVPPANSELVVIFLKFLLDKNPIKQLLETDQIFECDIDTARQVEQLVVFGGFTDTQFLERSLAKQFSELEESLKEAFLMPFTTISSQIHCQGLLPLYPVTSSEALSSTCTASSISFYKDEDSQHSPCSYSLTDYICFKIPDGSLNLRNCIGVIKNFCNSRSTISTPSLSGFLLRMPDEYECVDLSLYKDNQVVLLLSGKFSSESPGRSLMVMLQIENFPFSQLSRTLPTNCNSLQELVALELQLDTDHGKVRSIPHLLSTPLAVSASRGVACIFSSRRHALVYILDEDEDEGEDEDSEME >cds.KYUSt_chr6.1591 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9672008:9673240:-1 gene:KYUSg_chr6.1591 transcript:KYUSt_chr6.1591 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVRRPMCKSKSSAATATADSVVPSTSRSSSTHHRRNHTNSYNSSASSLSTTSSSAASSLQALKQGSLPNLPLLLTFAELAAATSNFSPTHRLAPSSSNSFRCALRGHPAAVFRRALRRDPAEVSARLAVLGHCHHAAIARLYGAAASPDGSLFLAYELVPDAAPLSALLRGAAHNRAFTPLATWAARLRVAADACDALSYVHLQAGTVHNRLSSSTVLVCGDGARLRAKIAHFGAADLAGELPPSDSDTQSHPTSARHRRTGSRRIEGTRGYMAPEIIAGGAPSRSSDVFALGVLLLELLSGEEPVRYEHNKATGEYERTSLIETAGAAAGGGEAMRRWVDRRLKDSFPVDAAETLTAVALRCVAKDPAARPEMPWVAAKVSKLFLEAQDWADKFRVPTDISVSFAPR >cds.KYUSt_chr2.45275 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282353584:282355414:1 gene:KYUSg_chr2.45275 transcript:KYUSt_chr2.45275 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPSGISLAKARFHRKTLVIVMSSVSLLQRLVRWRPWVSRDDPKQAVKVLVTGAAGQIGYAIVAMIARGQMLGPDQPVVLHMLDLPRAADALNGVRMELIDAALPLLRGVVATSDEAEAFKGVNVAILIGGWPRKEGMKRKDLIAKNVPIYKSQASALQQHAAPNCKVLVVANPANTNALVLKEFATSIPAKNITCLTRLDHNRALGQVAEKLNVPVGDVKNAIIWGNHSSTQFPDASHATVRTELGERPVKELVADEKWLREEFVSTVQQRGAAVIKARKLSSSLSAASAACDHIRDWILGTPKGTWVSMGVCSDGSYGIPEGTFFSFPVTCDKGEWSIVQGLQIDDFARSKMEASASELKEEKAVAINIL >cds.KYUSt_chr5.42356 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267071637:267073567:-1 gene:KYUSg_chr5.42356 transcript:KYUSt_chr5.42356 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPAEDMEKHGGDGGGNGLRHHAIRIPLTAPAPEVAAMEEEWEEPNVSLRQKASKIISASTAAYRSKPGSFWALLVLSAGAMLTAFPASSLLSRLYYNGGGQSKWILSWSAVAGWPIPALLLLPCYLLLDGASPTWPPPPRLCLWYALLGLLSAADNLMYAWAYAYLPASTASLVAASSLAFSAVFGRLIVKAKNRMGLSTVNAIVVITAGVVIVALDSGSDRYPGVTSKQYALGFVLDVAGSALHGLIFALSELAFVTHLAAAAGNNDGGASSSRFHVVLEQQVAVSLSAFAFTSAGLAAFGEGFGALRWEAAVFSAGGGGKAGYAMVLGWSAATFQLGVLGATGVVYLGSTVLAGVLNAVRVPVTSVAAVIWFHDPMSGFKILSLVITVWGFGSYVVGHSGGAKKTSRDRSRSGSQTMS >cds.KYUSt_chr3.24693 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153245864:153246884:1 gene:KYUSg_chr3.24693 transcript:KYUSt_chr3.24693 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIAKALGLHGKQLRLTVLQHMNKGIFSWATLISRIQSESPAVIIPHMGLENITVREILKAKGEAQAGAVYWCSTSHLVHEAVKHMTAQNVGALVVLKSGDEKQLAGIVTERDFARKILLPGRPSEETRVEDIMTEEDKLITVTSNTNILRAMELMTDEHIRHVPVFDEKVVGMISVGDVVRAIVDQQHQEVKQLKKYITGDYY >cds.KYUSt_chr5.38659 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244669542:244669952:-1 gene:KYUSg_chr5.38659 transcript:KYUSt_chr5.38659 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr3.42528 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268901244:268902071:-1 gene:KYUSg_chr3.42528 transcript:KYUSt_chr3.42528 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGMSREERWSLAGKTALVTGGTKGIGRAIVEELAGFGVRVHTCSRSDADVQERVRGWDADVDAGRLRGRVTASTCDVSVRADREALWAAARAELGGRLDILVNNAGQTFFSAVAGTKEEDYARLMATNLESCFHLAQLAHPLLLVPSGGGVVVNVSSIGGILGYPQLSVYSATKAAVNQLTRNLAVEWAPDGIRVNCVAPGGVRSDLLDSSGIQLDAEAAASMWEAESARIPLGRLGEPEEVASLVSFLCMPAASYITGQVMCADGGRTVAA >cds.KYUSt_chr5.6934 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42993066:42995488:1 gene:KYUSg_chr5.6934 transcript:KYUSt_chr5.6934 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASIAALLQLLATMLVLVSVNVASPNCASICGNISIPYPFGLGAGCHRESFKLFCNETYHPPKLFMENSVVEVLEISTQDSTVTIDSGILSLALARNEDTNAVWAIPLNGSIYTTSAIRNDLVVLGCGFIMLVAFDHESAPASSTLYDCLSSYPKQQVLLFDSNLIPIDLSIRDITAPINASLAVMEGGWWSNGNNMTLQKAVLADTTLGASREIQVTLDASATTVSALTTSNKMIQQEVTHATVGEATKATLTDDMDAKATNKFDNARKLGGGGHGTVYKGILSDQRVVAIKKSKVIIQRETDDFINEVAILSQVNHRNAVKLFGCCLETEVPLLVYEFISNGTLYDKLHASTPLSFPWKERLRIAFETSRCLAYLHSAASISIVHRDIKSANILLDDQLTAKVSDFGASRGIPIDQTGVATAVQGTFGYLDPEYYHTRRLTEKSDVYSFGVMLVELLTRKKPCVHMPSPGASLTAEFILRVNQGKLFEMLDQQVIEEGGEEAKDVAVVAVMCLSLKGEDRPTMRQVETRLEAMQTVANNAPLEQNNVNVDDDNFSRHYSMEEECMSSMDFPR >cds.KYUSt_chr4.45568 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281966895:281967543:1 gene:KYUSg_chr4.45568 transcript:KYUSt_chr4.45568 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHNSLLVTIAVVICAIATTSTAYIKGNGWEQIPNVNDPMVQEIGRWAVAEHARQASDGLQFKRVVSGMFQVVSGKNFKLRIDAVNGDSKDGAYRAQSKLNIVDSKGALVAEIVFQ >cds.KYUSt_chr3.48450 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303079470:303079814:1 gene:KYUSg_chr3.48450 transcript:KYUSt_chr3.48450 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWFDGTALALGPVFTVGLGVQLMGSEGLPANSWNPCGPVVVEEATGMATQGPEREGKGQVRGVWESKWRGEAMWSMGKHTTVLACGRRRRGWGKEKSDSPSIVFRCPSLEAL >cds.KYUSt_chr5.37914 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239504659:239509896:1 gene:KYUSg_chr5.37914 transcript:KYUSt_chr5.37914 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSGISSTGALHASFSEELLQAHAELWNHTFSYLKSMALECAIKLGIPTAIHRCGGAASLPDLLATLPVPENKKSYLPRLMTFLAVSGIFTVDFPTTGECANGGADGTYRLTPLSRLLVDDDTGAGADRCTNFSPFVLSQTNRYLVTAALHLSEWFESDEGSASAGTPFKMAHGTDLWTIMSRDPTINQVFNAGMASDTQFTMNFVVNNCSEVFDGLTSLVDVGGGNGTSARAIAKAFPHIKCSVLDLPIVINSTPADGVVKYIAGDMMSLIPMADAVFLKHVLHDWNDEVCVKILTECRKAIPKSGGKVIIMDVVVGSPSEANYEGQVMSDLLMMVITSGKERDEHEWRKIFMDAGFSHYKTRSIKTIKWGTKELQKKLKEKFKIEVPYMRVFNGKQHAMDSIYGNWRKVLNSLYSFKGEVEKTSPGSIVDIDHHTVEYTLRGMTMTKECFRRVFVCFEACRRVFLEGCRPYLAIDATFLTGRFKGQLVAACAVDGHNFVFPVAYGVIEAESEESWTWFLQNLRRAIAHPNGLVIHTDACKGLEVAVDNVFPGVEHRECMRHLAANFGKKFKGKVYADNLWPASLTCSVKKHNYHMRQLYKNDKVKEYLETHHSKLWAEANSMKVKQVTYNKYVHDYYSVAKFKATYAHALPALEGKQQWDPVDPGFKLCPPVLKRAAEIQLFGECFDVSNDEQNDDQNEDAVEATSDDPSDDPIDDPIDEPQNDQIDDPIDEPQNDQIDDPIDDPIDEPQNYQNDDPIDNGNDDPIDDPIEEKIEALNVGVQPSVVVSSTCSVVGSNKVVAVSSEVVKVPTTKRRRKEAMSTRITRSKVVARSARTKKKPQRFVDD >cds.KYUSt_chr2.52107 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325186373:325186705:1 gene:KYUSg_chr2.52107 transcript:KYUSt_chr2.52107 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVVCDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >cds.KYUSt_chr6.24902 pep primary_assembly:MPB_Lper_Kyuss_1697:6:157684783:157687508:1 gene:KYUSg_chr6.24902 transcript:KYUSt_chr6.24902 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLDGAGLGGDWAGRGRDGAGRRWPGRGRPEMRGMLGNGMVAVNMFNETLDILENKYNEETPTARVYADGQMSGTSAYGLAGPARDADRRRIHTVGVHVPTPRAALGVYLALGVGNSIVHVPAAA >cds.KYUSt_chr2.38241 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236741690:236745904:1 gene:KYUSg_chr2.38241 transcript:KYUSt_chr2.38241 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEERQVLFEFDIVLKGDPLSIKRLPNKFANFVAGNEPSSLHLLEAACGCYRLIGEDLIGAVMGVREPVAMEIPLEEGAVNADIERRAALKERTAKSLVQKATSDSKHTEQAKRKRAEYLKQRGSPRSSAHADYIKHADFLSRKLARCWRNFVKSHKTTHALVQAYDALGINEKSVKSMPFEELAMSIESPAALGATKALLDRLEKRLIVGSSTVENIDHLLKRLASPKRKTPQSRTRVAAKRPARTSEPSRSSRYSLRVVLCAYMILAHPGDVLSGQGEQEKLLMESAANFVREFELFVKTVLEGPGRASRHPSLDTDAAESSSCQMPSHVTGQSKFRTQLVSFDKAWCSYLYRFVVWKVKDARSLEGDLVRAACKLELSMMQTCKLTSDGQSQDLTHDMKAIQKQVTDDQKLLREKVQHLSDDAGIERMNSALSDMRSKFFAAKENGSPLATPVANVSTPLSINPSGKLPPADVNVSSKTDAGGSSSTSPVSLPTDNEQMVNEMLHENGGAFAVNSDDASTIEKDFQARVRETMERAFWDVVTDSMKGDKPDYSQLINLVKEVRDSLHDLAPKGWKEEILGNIDVEILTQVLESGSQDTQYLGQIMHYSLDMVRKLSAAAKEDEMKKSHDKLLSELAASSLVNGNGVSSFVIAVIKGLRFTLEEIKELQAEVSKARIQMMQPMITGSAGVEYLQKAFGDRYGPPASASASLPATLQLVSASKNMVDAEWSEHLGSLSVLPAADHARPLVTVLRAGHGAPGGQTAALSAVGSSGLPECTGEKVNKLVRIGLLQLIGGMEGLQLQSTPESFHLNFMRLSAVQGQFHGVIVMATSMLVLRQVLMSENPKITPLELENIISELFGTLVKLLDNSREAGTEEIVEAMMSSSASAGTASDEKIHSRRQIITRVFLKSLQAHDVVFKKVSRAVHCAFRGVVLGGSCPKGQKLAEAALRRVGAGKLIDRVVKAGEVLIRVATLSEKVHGPWYKALA >cds.KYUSt_chr2.50449 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315490059:315490801:-1 gene:KYUSg_chr2.50449 transcript:KYUSt_chr2.50449 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSAAITVHLTATPVSRSVRSKSLSVRCEQGSKGGPGLDVWLSRGAMLGFVGAVGVELTTGKGVLQNVGLIAPLPALALGLTGVVGVVTAFLIFQSGSSD >cds.KYUSt_chr3.15837 pep primary_assembly:MPB_Lper_Kyuss_1697:3:97302202:97303175:1 gene:KYUSg_chr3.15837 transcript:KYUSt_chr3.15837 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPKSSSARRSWHTLFPDDAPTPTAPSSPPPALRTLPQSQSATNLISSPQLINKSPGQKMDEAKASQQPRAGDPSANEVVQEVEASANDRVQEEKEAPKTDLPASGPESTPLDVAASDKKKAVAKGKVAGIRVWSVEDEFGILESLAAFVKAYGKPPGRSQLCEVVRGHVVDKKEFTKTQIYEKVRGLRNKYYTMRTTAAAAGAPPPGDADDLRKEFEELRDMYPHLTLMVEEIAGGDHCFLKRAFEFIDDGTARQLDAKLKKQRILKMKIHEDRTSITREVLSTLVEYMA >cds.KYUSt_chr7.6902 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41632371:41635349:-1 gene:KYUSg_chr7.6902 transcript:KYUSt_chr7.6902 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFAPLSQRAAAAAASASTSTPDIVAELGRVLATRRWNKGRAYKRLAPHITPALVADLFQTPAAAPDPAAAVAFFDWVARREGFRHTAASHAALIHLLARRRAASARYDYERLVFGMLGCARTAEDARASADAVRAICRTGAARHALSPACYNLALRSLARFDMTDEMERVYAQLVRDGLLPDTRTYNAMIKAHCKDGDLAAAHRYFRLLLECGLEPDTFTCNALILGYCRTGDLRRACWVLLMMPLMGCRRNEYSYTILIQGLCDSGSVREALALLLMMRQDSCSPNSHTYKFLIAGLCREGRVADARMLLDEMPRGGAVPSVMTYNAMITGYCKAGRMQDALGIKELMERNGCRPDDWTYGILIHGLCDGKIDEAEQFLDSAVKGGFTPTVITFTNLIDGYCKAERIDDALRVKNNMMLRKCKLDINVYGKLINSLIKKDRLKEANVLLTEILTTGLVPNVVTYTSFIDGYCKIGKVDLALEVLKMMERDGCRPNVWTYNSLMYGLIQDKKLHKAMALITKMQKDGITPNVITYTTLVQGQCKEHEFDNAFRLFEMMEQSGLTPDEQSYTVLTGALCKAGRAEEAYSFLVRKGVALTKMLYTTLIDGFSKSGNSDFAATLIESMIDEGCTPDSYTYSVLLHALCKKKKLLEALPILDQMTQRGIKCTIVAYTTVINELIREGMHDHAKRMYDEMVSSGHKPSATTYTVFINSYCKEGQLEEAEKLIVEMEKEGVAPDVVTYNTFIDGCGHMGYIDRAFHTMKRMMDASCEPEYWTYCILLKYLLRGNLNVSYVDVSGLWNLIELDTVWQFLEKMSQHDLKPTTTTYSSLIAGFCKASRIKEACILLDHMCGKDITPNEEIYTLLIKCCCDTRSFEKASSFVNNMIECGFQPHLDSYQLLILGLCNEGEFEKANLLFSDLLELGYNHDEVAWNILNDGLLKFGYVDICSQMLSTMENKHCCISSQTYAMVTNGMHEVSSSLVGEVKGEAT >cds.KYUSt_chr5.4095 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26341143:26342279:1 gene:KYUSg_chr5.4095 transcript:KYUSt_chr5.4095 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGPAPVPAPAPEPNAVLALAGARRGGGGGMSPPLIAMLAVVGAALLVVLYARLARRVVRTVRRRWRGWRRRRRLLLITLTGGSGSSPANDSFASFTTYDNFYHTFSPYGLDDAAIKSLPSAQFLSAARASAGAGARDCAVCLLEFADGDELRALPLCAHAFHADCIDVWLRAHASCPLCRAAVALPAPVASSPLRSARRVRPSLDDLLFFHPVPPPPPPAPDALPEITPASPDRQLNPRDFLLKRSYSFGFERHIATEAASTASPAWRYRLGAGGGGDGASGRGRSFWSKRWPSPFGGAAAARVFSFRSAAAAKSSPFARRRAAAPAGGSGFFMSLGLSSEPPNILVAGRRSNRASSRLRCGDPEALLSPDRLSR >cds.KYUSt_chr1.1062 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5674226:5674903:-1 gene:KYUSg_chr1.1062 transcript:KYUSt_chr1.1062 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQRFELLGHRILSAGASQPSISVAWVDAVRTHPSWVKFLAALIGQLHTQSHDLISSNPHYFLSPILSSLSPNPLLSLFSPNPIAPPAGLALDLAGEQPIAPPAGLLHMSAASVGEQQKNAMGRALDERELRGEQRPLHLVGGAAAPTGENASAPPHNPERPSSSPSSSASSLQGEDEAETKKQSPPAG >cds.KYUSt_chr1.10075 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61789071:61794832:1 gene:KYUSg_chr1.10075 transcript:KYUSt_chr1.10075 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHLFSNISLGGRVGNNPGQFRLHSGGVAWKKQGGGKTIDVDKADIISMTWMKIPRSYQLSVGTKEGIRYMFKGFREQDVSNLTNFIQKNTGIAPEEKQLSVSGHNWGAVDINGNMLSFNVGSKEAFEVSLADVSQTQLQGKTDVVLEFHVDDTTGANEKDSLMDMSFHVPTSNTQFIGDEERPSAHIFWQKILAIADVGSSEEAVAAFEGIGILTPRGRYTVELHMSFLRLQGQANDFKIQYSSILRLFVLPKSNNPHTFLVITLDPPIRKGQTLYPHIVIQFMTEAVLTTELSLSEEVLAEKYKDRLQSSYNGLEHEVFSKILRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIDYVEFERHGAGGASMSSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFVSAKNLKIMNLGEDGVEGSGAVAAALQSTIDDPDPYLNGIKNQAGDEESDEEDEDFVADKDDSGSPSDDSEEEGSDASLSGGEKEKSSKKEVSSSKPPAKRKPKSVDAEGSEKKKAKKKKDPNAPKRAIAPFMYFSKTERVNLKNSNPELGVTDIAKKLGEKWQKMSAEERQPYVEQSIVDKKRYAEETAVYRGAAAAPVDVDSGDDLSD >cds.KYUSt_chr5.19332 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125419507:125420198:-1 gene:KYUSg_chr5.19332 transcript:KYUSt_chr5.19332 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQKIVLKLPLDGERNKRKAFKAAVGMAGVTSATMEGDKIIIVGDGIDTIALTRMLRRSLGGAELISVSSGDDKRKDGYGYGGEKNGYGYGGEKNGYGYGGEKNGYGGAGGGGGGGKDSKGGGGYHQNAVVPMQYPAYHQYNAMPSYPAYSYPAHAYQQQEQDPGCSIM >cds.KYUSt_chr7.35374 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220939783:220940187:1 gene:KYUSg_chr7.35374 transcript:KYUSt_chr7.35374 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTMLRGGDACAPADPLWAQAAELERQFEGYKRRLAERTAATILVAVDRSHVGVDDDGDGREDEEVGRGRRYEAYVRRRDEKLRQGWLARMERKEAEVKALWARLDAGGGRRRGPDDVGITASPAREVSAID >cds.KYUSt_chr6.29869 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189230781:189234969:1 gene:KYUSg_chr6.29869 transcript:KYUSt_chr6.29869 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSEAADPFSCEIYYGGVSKSQVAAVLFYQVASSLPLSCAFRAIQSGDPAWFGYTKAMDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTAGAEAEAKFKQITEAYEASELCICFLAVSVVEYMVDSLPVLSDPEKRAVYDQYGEEGLKGMPPPGSYSRTSTTAGPSGPSNFRYNPSDPDDFFNEFMASNKTYTFDQDRRRFQPTHRTSARNSRSEASSSSQKEPSTSTRQLEKPPPVEKTLMCSLEELFNGTKKKMKITRNVAKPDGKLEVETEVLQVEVLPGWKRGTKVTFDNKGDTLHGYLPQDLTFVIDVKPHDTYTLEGNNLLVSHKIALVDALAGTTINLKTLDGRSLPVSVDEVVRPGHEIVIENEGWPIRKEPGKKGSLRIRFDVTFPARLSSSQRAAIRRIMGS >cds.KYUSt_chr5.36244 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229061134:229061501:-1 gene:KYUSg_chr5.36244 transcript:KYUSt_chr5.36244 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLAMDADDGAEGKKGDGLGMRSPASSANRPTIPWVEVTEDTRHEANDRVAGSGPAVLSPALLFMASRALWAVAGLVGPLDYPP >cds.KYUSt_chr2.40033 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248633179:248637914:-1 gene:KYUSg_chr2.40033 transcript:KYUSt_chr2.40033 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPTPPPLCLQNRNMAAAAAAAASERKRQREGDELPSTAAMWAMWAGEAQYVYISIADALKAAGARVCLFAAVSEIGAAFRSRGTDFTLTLRIADQSRTTGISVTFFANNTALLPRVKSSGDVISLHNVVITMHCGELFVTFDKKSSSFALFEGKASTELRPYQTSIKYQESKQDDEILTNMRMWLAYHPPGLKDLELQLRSVKSDSTFDLVCKEFGIWKGTLLASSRVRLLSNEDGNVVERLKMYDSRLTTQVHRQPMASLHKASDITDVEFNRAGYTTLMESLTNEQVTHKFKTLVRVVSAYPCQGSDLHSLLTGNHCLRLTLEDPTARIHAYVHKDDGVRFFGGFLTASSLTRKMNRLLGVPEPEEADEDAPLARNPPWIWCCLKSYRVDKYDPWGSRRYRIFGTEIRD >cds.KYUSt_chr7.11570 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71124431:71126971:-1 gene:KYUSg_chr7.11570 transcript:KYUSt_chr7.11570 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFACRISVFSNTDKLYRQYVMKRNQRKREREEDLKKESAEKRLKTTDDNLTGSTSVNPGKNDEITKEGGEKIITDNAVAAHEGQIKEGDKKMSTDHPEASPDELIKEGEEKTGTDHSAAARDEPEADVKMEDEDPEYEEDPEEVEMYEGDEDMDEASAEGLVEAQNEDKSNERETKPEDVSPKDDGNRTTENLKLENVDEKSALGEDKQSVAEKGDLKEVGEKSLGKEGKTSVSQKGDSAKHEVVDKDLLQAFRYFDQNRVGYIKVDDLRCILHNLGKFLSNRDVKDMVQIALAESNSARDGRIIYTKLVKKVDS >cds.KYUSt_chr4.8014 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47769796:47772573:-1 gene:KYUSg_chr4.8014 transcript:KYUSt_chr4.8014 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPASPGKTGTPLTSLDQRVPDLAGRIWGGPSPICFLVVLRWQDALQGKELGVGISDNKAAVSSLAPSHPSCDGGESGLLPLLELRRPEFARRSDGPDGLVNKLDVCHLEERSCVAAEYPLAGHGGEGRSRCSQWFAVSSWWRQGVGVREVMHALEAAFFGVPKRLTNRQAAIHGDGSHSELGCGGDLSFFSTSDLEAFVGALRRSSTTSSLQVVRPRRRRRSRRCWFLAGVEAVASSDPLFYFGVHRLEVAGEHGGGDPEAPDCFSFFSARVFFVSWRYAGRSSLVVSGQIRRLLPFFFLAVLVGGARLAFGSCSSCGFFFLAMVAKRRAAVRHSLAVFIDGCLKGAMETLCKSSLERGAFQRRHDLWPHDRPNFNLQDGGFSVLGSSELVVFPPPSGSSPEEEKRPANQQHRRKHAIELLRIASRRQRLEVAGDWWWLRPGT >cds.KYUSt_chr5.15653 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101071721:101073454:-1 gene:KYUSg_chr5.15653 transcript:KYUSt_chr5.15653 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRRTVAGIDQDDLLDPEALADPDSSFYEINGVRVHHKVCAHDDDDGGDQSYSGSAVARAQIGLPIVLLHGFGASVFSWSRVTRPLARIAGAKVLAFDRPAFGLTSRAGWSGDDTKPLNPYSMAFSVMATLAFIDQLGTGKAILVGHSAGCSVAVEAYFEAPERVAALVLVAPAIFAPARKGEPNNGVGEQQGPEKKDSDDSNVPSNPFARIWRGFLGIFMWLAGLILKAAMAVQDMVSALSRKVLVAFLRSSLAATMVRLVMDKFGITGVRNAWYDPSKVTDHVIQGYTKPLRSRGWEKALLEHTISMITDTPKSKVPVSKRLSEISCPVLVVTGDTDRLVPASNAERLARAIPGATFEVIKNCGHLPQEERAEEFLSVVERFLQGAFGTPVEQMFQPAV >cds.KYUSt_chr3.20128 pep primary_assembly:MPB_Lper_Kyuss_1697:3:124245798:124251911:-1 gene:KYUSg_chr3.20128 transcript:KYUSt_chr3.20128 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRVGGAASEASSGVGVDAGGPSTSDLTELMKAQIASHPRYPTLLSAYIECRKVGAPPEVASLLEEIGRERLVGAGSIGVDPELDEFMESYCRVLVRYKEELSRPFDEAASFLSSIQTQLSNLCSGGSSPAATATHSDEMVGSSDDEQCSGETDVLDIGQEHTSRIADHDLKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARTALMDWWNAHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGSSGTTLYFDTGTVGP >cds.KYUSt_chr3.15032 pep primary_assembly:MPB_Lper_Kyuss_1697:3:91578311:91578547:-1 gene:KYUSg_chr3.15032 transcript:KYUSt_chr3.15032 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPASGGSFACDHLPAFFHLNLLAPPPCLFNDDDPSRRGRNLQTWCYPRGADDDVTSTSTGGDSSAAPRIEDSAEL >cds.KYUSt_chr7.6187 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37180979:37190150:-1 gene:KYUSg_chr7.6187 transcript:KYUSt_chr7.6187 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDALGIPFFIDNIIRFHVGVGIPGVAPHYISPPSTFNVPLGSYCYADGDPRRRRDAITGPLGHGQAGPSTRGYADSQLYAEGHRQRSNEYAEGNCTPMAPTRGHPVGQVYAEGHCRRSNEYAESRGPMQLQVDLDASTASSSSSRAALLRLASGHEVLLLAAWPRVRPHCQQLPRIRRPRGSHSDELPAPAAYTEELPAVELYSDEIVLVMRCCSGRTASLPCSPDHTLRNPHPLDHRLELAPPPTR >cds.KYUSt_chr2.54156 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338008359:338009378:1 gene:KYUSg_chr2.54156 transcript:KYUSt_chr2.54156 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIDLALARAQEEHRKLEGAFDTDLYGATGNRFDGYVTSIPVSGDDEDDLSAASPTLVPRLASYTGYDAALPSDHEDHATNGKSRRIIDREDEYRRRRLHRYLSPDRHDAFAAGEATPHPSVRTYADAMRENRLQHRKEEVLREIAKIKAEKPPVKRRGRWDATAAAGANTASSAWDDDDDAPDAATRKKQRSRWDDDETPKKQRPRWDDETHKKQRSRWDDETTEASMETPLGAEHLATPTPAASQIAACGSTTTPELYQYLPWKRDIEERSRPLSDDELDGMFPQKGYKILEPPASYQPKRNSARRILATTPTPLYTPLYAVPEEYRVQLRFLWQM >cds.KYUSt_chr7.19507 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120909713:120915505:-1 gene:KYUSg_chr7.19507 transcript:KYUSt_chr7.19507 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPAAAAARAVGSAPTGGSVRRLRLRRELCTTLRGRNARVARLSGFLFAIHKAALLSRSSAATASSESTPASQSQLLHFVKSAFGTLEGKNHCWLNATNGSWKTFNEEGIYLVLLYESCEALDSHGKHSAAFGRLKYLQQRYPHLNVFAMQYGSDISSLAAQSQAVRTIMKEYITFPILLSDKDFTNMTNGACYLLFEGSKDHALITKLDGEPELVIRDMEGFSALSAEPSESVIDSGVPWQKEEVVKEPYVGSFRNMLLYHPGCVSVDEDGDRIFISDSNHHRIIISNSDGMILDSIGSSPGFEDGEFESAKLLHPAASFYHAAEDCLYIVDSENHAVRKADFGRRILETVYPVFNKKGSDIWSWIVDKLGFTKEDTSKREDVLNIQDIDADSVVFPWHLLQISEDDLLVADRNFETAWILRMSTGEKQNSVRGRAGVMELCQQMINERRALLKDVHMNGSSGDTEHSNLLEKIPCNDLVSSISRFEKCIVFCDTDGQRVLKYDLDTKDTSNIHFTNLGILGLPYWFVCPLERVSTWGHSVGQFQEHSRKVNVLPGRCNIKISVDIPVDTELAVPLVESCIWRQVRGSGAEIADSDGQDTTSEKVGIAQQWYDEIDNLAFSEAAEEPAVHKGDDKPADESHQDQKSVQFTCAINVSPGTCELVASAALYLKIDRTKAEHEDQKAAIKRILQCHGHEEHVGVELLTENRGDARDLVIMKPVHLRLRMDCADHPAGTTNKETISTESSLDISISLE >cds.KYUSt_chr5.32619 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206868114:206868659:1 gene:KYUSg_chr5.32619 transcript:KYUSt_chr5.32619 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHYTAVQVQIIARTLTEKGKQTKPLLSTGKTLDKIWEKQSGPPQPPDIAELETRPSSPAPGTKPLGAKRKWSAVLNSEQGLHMEVEVLPAFIASRGGHQMASRVGRQDEGRRESSARKGSITTPGPPENWLPSAATLFSPSPPWRVDEEVAELQTPELHQPDWCEIIDEDTSAAPPCHS >cds.KYUSt_chr2.27791 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170669825:170672529:1 gene:KYUSg_chr2.27791 transcript:KYUSt_chr2.27791 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCCCCREDGMFKGMYHGKQCHAADIPAVLARAWAAGVDRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQSLLALAKEGIQKGKVVAVGECGLDYDRLHFCPSDVQKKYFEKQFELAEAVKLPMFLHMRAAGEDFSEILSRNGYRFPGGVTHSFTGTAEERDKLLSFEKMFIGINGCSLKTIENLEVVRGIPAERMMVETDSPYCDIKNTHAGIQFVKSVWPSKKKEKYEPGSTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPHDLDASADAQLEGGTGTDVQPS >cds.KYUSt_chr4.18227 pep primary_assembly:MPB_Lper_Kyuss_1697:4:114552546:114553694:1 gene:KYUSg_chr4.18227 transcript:KYUSt_chr4.18227 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSMDQAFVQAPEHRPKAALAEAAGIPVIDISPLANGDEASVEALAAEVGKASREWGFFVVVRHGVPDDTMARALEAQRAFFAMTPEQKAAVRRDEATPLGYYESEHTKNVRDWKEVFDLVPHEPPPPAIVADGELVFQNKWPQDLPGFREALEEYGNAMEQLALSLMELIARSLGLRPDRLKGFFKDDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTVLYQDDVGGLDVRRRSDGEWVRVRPVPDSFIINVGDIVQVWSNDRYESAEHRVSVNSHKERFSMPYFFNPGSGAMIEPLEELVTDENPPGYEAYNWGEFFSTRKNSNFKKLAVENVQIAHFRKSIA >cds.KYUSt_chr2.46399 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289946129:289946329:-1 gene:KYUSg_chr2.46399 transcript:KYUSt_chr2.46399 gene_biotype:protein_coding transcript_biotype:protein_coding MHWYFNPYSPRKDGWKGTDQLVCGADDNMEADGNDDLEARMEKAGTSDCQRTRSDVVQGLAVALGR >cds.KYUSt_contig_786.440 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2407570:2411074:1 gene:KYUSg_contig_786.440 transcript:KYUSt_contig_786.440 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAARRAAALLRPAAAAAPLLRPAPAAAARFSSKAEYKAFVACLRDISASAQADKNYSGGSVRDKADSVRAATHDGEILRVIDNVIRSQRRGLVEENSSDFPFEISEKEGLTELTLTRSLKGEKIEVLVSMPKLDQDGKDDEGLLSSSKENQEDEGNTPPEKYSLPVRVTVSKGDGSGLVFTCTANPDDIVIESLSMRRKPPGADEGDAVTYDEGPDFHELDKNLQETFHKYLELRGITPTATKLLHEYMISKDRRVLPKTASKDKRNNLVFLTKLCSFLKKD >cds.KYUSt_chr2.32225 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198809715:198816239:1 gene:KYUSg_chr2.32225 transcript:KYUSt_chr2.32225 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGEVSPPRPSQGCGAGHRASDSLPTSAGGSVCVSCAAALLSSASAPSHHVSHVLATLSLALADPAFLAPLRAAHPRLLAAPLVDALAAAAATRDDALATQASDLAADLASAVGAPAASELIARLARLLSSGSLVKHLHTLHCLGLLLNSIKDAAAYIGDEFSLFFNLVNDLQLPSDDIRGEILFLLFKLSLLNATPWDNICDNDNVDLSAIGRSLLQLCLEVLLKTQNDAVRLNCIALLLTLAKKEAFDTFLLGDQSLIKSAESDNSTLPLNASIVVLFADAVKGSLLSTNLEVQTGTLDLIFHFLSSDANIHVLLETLIDENVADYVFEALRLSGNNDPLVISSIQVLSLLATSEERFKEKLAIGFSTLLPVLNYVAEIPFHPVQSQVLRLVWICIVNCSGILSLSQEEQIACTMTVILRRNDNCELGMCSETFVLVCSILIEILRSPSAHDIQRLPSFIEEASKHAISSTLPHGDNCAFLVPHSLRLLKEALVFCLEGNKDKISVEKDLEDSIIGICGTYLLHWLESAVVDGSDDETLGEILQIFHIILSSTSPSKQLKFAEMLASSSWFILSFGFMGLFPTDPVKSVVYLVTSSIVDKILGSKYGETIRDSYIYLPSDPTELIYVLGQCSSEDFNLASCQSAILVILYACSFYNERLVADSQLLSSVEQYILLNGVKFHYEITDSVMLTLLVHLYAFIRGIAFSCSTPYSPEAEKTLFRVMAYKEWDLLSIRAHPIALKWLFQKGELMKPLSLQMLDFCRTFCEDKTIVLPNSSHSVDIQMVAELVFSGETSLSSLLVSLLDQIIKDGTEDEVFSVVSVIAEILVISPCSSDQFTSCGVLDAVGSIYCLTYSSRIKTMCSFLIFNILYSANAMTVSQEDEWLALTIKITGFTSLSVYMRCADSTQLKKFASKALIEPAKAIIFNRSLVSLTDGIIQEACAKGPSLFQHNQETDFGAFMIFILQLVFFSLRSLHAILDPNIDWQEFLQHSDNVQSFSVVGIPCHDLCRLMHFGPYPIKLIASQCMLELLTRISDQRSYLNAELRCSAKYMKSIIAVTEGLIFSEDSRVAENCGSCLSMILCWEKFGSQENMVIRESKWSRLIMEEFAVALTAPGLTSKSFSNQQKIAAKIAVSLLKLSQVPEWLTSLFDSTLISGVVANLSARNVTADIVKLFSELMARGFLTQEHIVALHNLFQVCRRQLYEGGSSSELSAQKTEEKVARSSDDVRALLFGIVMDQGAASCPLQMEQQKLLCEIDLFFQESSQQEQH >cds.KYUSt_chr3.48461 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303168847:303169993:1 gene:KYUSg_chr3.48461 transcript:KYUSt_chr3.48461 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDLSKLVKERKFWVASFLVAWAAALQGHMMWVQRQDAFKDKFGDPDAPNKVTEGEQPAPSGDGLAGEQQGMVTADGEFR >cds.KYUSt_chr3.33790 pep primary_assembly:MPB_Lper_Kyuss_1697:3:212078142:212079413:-1 gene:KYUSg_chr3.33790 transcript:KYUSt_chr3.33790 gene_biotype:protein_coding transcript_biotype:protein_coding MADETNRAAFVELQARLIETTGKIKQIAATAEGDGPNAPPTPGRMAKCIRPRPPRLSRQASSACAPLPPMKRRCEAFSIPSSFDGRGAITATGVDKSGDLRGGG >cds.KYUSt_chr1.664 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3548171:3549802:1 gene:KYUSg_chr1.664 transcript:KYUSt_chr1.664 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPGAYYHSVTIQALTVFSLVAVFAADHVHGGNDGCSHFSCGHLQNISYPFRRRGDPVGCGAEAYELVCTSSKATIQINTGTYYVTAINYTGSYFWVMDPNYDTNSSCPLPQWNHLPYSAGKLDSHGFWNLETRSGNSIACFANCSRAVMNNNAYKPVTCLGANNSHVYVWVSRYGCPVGELEPYCGYRAMIPFSEDYSSFPPGLHNARYADITQLVSKGFIVEFPLDTNRPNEKLRIILNICLNNSISYFKEQISSASIMNWTHAFFWSEVPFLECVTQYSYDHNYTTTFVLVVATIVSPTAIPKFLFGTALVVEDHVELASTTTATHGELDERPADATETMTTTGSSGS >cds.KYUSt_chr2.4504 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27890923:27891855:-1 gene:KYUSg_chr2.4504 transcript:KYUSt_chr2.4504 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAFDHGHGGHGGGGRAEQGQQGADSTLLCYFHPRELLVGVCAHCLRERLLLLLASKQEGGGRARVPADGASYLSARPYSRAVRRVRTGSIVSVFAFGSSLIHRLDSSRHHTHDVLQGDGGGGGGGDKINPDADADADDAASVASLDDSFISIKFEDNGKATWMDTQNQKAPAGGEADAKSADNAPAASSATTAVVEHARRGGVGVTRWRKQVVGRLLQLTRWKRASTGGKQASSSAAAAYHVADQQRSKGRGSRSWIRSLTRRRAAHGEKAWS >cds.KYUSt_chr6.16753 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105362316:105363325:1 gene:KYUSg_chr6.16753 transcript:KYUSt_chr6.16753 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCVGPRTPYGPGDRLRRVPPAPDLEGVDPGQRNYLTGLWRSSELKEAILRWCSGLCELESDSGYLEAADPAVARQLVDPAVARYHEERCFEFLLRIDDDSLAIKRLPDKFAEFIDGVEPAHLQLREASCNFCRWTVEVLFDGQGKMYLHTGWDNFARDLHLEPGCQLTFLYEGDGEMIVKVFDDTACRRHYHTDDSGSDTDS >cds.KYUSt_chr5.19154 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123938325:123943205:-1 gene:KYUSg_chr5.19154 transcript:KYUSt_chr5.19154 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMDLRGQLQVGEVVGSSMAESWMAATARGRRRRRQQQFRTGDHHLFFLRHAELLPPAAAVAQGARPEQPPGRRVHLRPSPVLGSLATRTDTTMAALRRGSAHVRVHLLRRGRCAYTPVPLLRLSGITTFEYATGQYLILSEFGTKICCVHAAGNICNSARVLALHPATLRFSYLLSPNIYDCSTLRVGETPEDGWLCILAVANEGTQLQLWVRGESGQRGHNGWVLGREIMDMDVVYDAVPGLPEHDHKHRSICVWPSDMDAARTGKAFIRTWGYGRYSFDLNTRNMERLTTKRGKNYGHPMFAYFPAWPPAFLAPQISNDFLQVIAC >cds.KYUSt_chr3.1310 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7319752:7320909:1 gene:KYUSg_chr3.1310 transcript:KYUSt_chr3.1310 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVKRRCNGSGGPILQTQPNRLQRWQDSSGPRCLFVFSSLTSQDSSFPLLSFPNSTQTQRERESQRDQAVAMEEEMAMAEEVAKPQLELGKEGLACILMHVMFAATYLMPQRDRLLQLRRRLQQHTGDPAVFQELASSLRKVYVDGLHAGSRYLTAGLQITAEHGARDSFSVAAFSVIPNEQLYGVLLKQWLPPRPATQADAFARIESAFYAVKLAEEHHVPRCVELLVGVRPPPVIARPFRSVVGYSDDTVQGVNEHLAKNGFPEPEPAPAASATQTQANSSVDPDQALSYLHRACSLASLAVKHVDVAIAAISSWLDPEDVADTSQWVADISEVSSYYYTPVCTGFAAARSVHRCAHVPHSFRSDRCRTVPAHRMIPINQLV >cds.KYUSt_chr4.9638 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58191339:58191892:-1 gene:KYUSg_chr4.9638 transcript:KYUSt_chr4.9638 gene_biotype:protein_coding transcript_biotype:protein_coding MKEATTSGGASAGANDPRQPTTARPYAPPKLSSQDLPVDYAGFLAVVFGVVGVMMHYKVCSWIAIIFCAQSLANMKNFENDLKQLSMAFM >cds.KYUSt_chr1.37426 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228533746:228536239:1 gene:KYUSg_chr1.37426 transcript:KYUSt_chr1.37426 gene_biotype:protein_coding transcript_biotype:protein_coding MISCDDCWSQCYSNCDAFISSSCSDECDGIAYSCDSCYTTVIANCMESSNCTGSCDECNDAPNGSCTSACTTRYCDSCGRGREMECRDNCKAVSFDASEKLVSSSSSTVLAGCAGLRLRFRLRLRPGRRRLLSLLLLLLLLLGLLLLLCLVLGLPFLELSDDGESSLLGVAALSHQCCHPGGLPSLSVSDGS >cds.KYUSt_chr5.8024 pep primary_assembly:MPB_Lper_Kyuss_1697:5:50748022:50749074:-1 gene:KYUSg_chr5.8024 transcript:KYUSt_chr5.8024 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQGLSPSSSSLGRPSGFIKPQDVPTIQGDVEDDAVEDGALKAPVEVIEVVSSAVIKLAVSGEAPPTTEAAPASSTTPRGASQPLVLVAPTDMDGDRSPSTNPAKLVLHHQPINNQKETADFTKPLAMTEQRAAVDAPEEKLAMKRWRRLFREQAAELDAVRYGGVKHFIAGIPEPPATDESDDNSDLPVAADVDGSVTQVMGTDQLTNLSSGAYAKLVIQFEEVTVATMGKRKAEDVSESCKRVCAGSVGDVGVCEGSIVHVIVEVGVGVGELQERVDESGGVEKAQVEEEKEATGLGAAGKLTGAAVVARQEP >cds.KYUSt_chr5.37948 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239745485:239748593:-1 gene:KYUSg_chr5.37948 transcript:KYUSt_chr5.37948 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAPTDVEEEEEAEAEEEETEVEDDDDDDDDEFEWSDDDGPHPEETADQQRTLIESFESEKKRNQMMDGKSLRLGCFVVLCLVPFLRHALAIRFVMDREECFSHNVDYEGDTVHVSFVVIKSETPWHYSAEGVDLVVKDPNGNQLHDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETVDFDVHVGHFSYFDQHAKDEHFSPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSKRAVHKALLESAALIGASVAQVYLLRRLFERKLGASRV >cds.KYUSt_chr5.38740 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245240274:245240729:1 gene:KYUSg_chr5.38740 transcript:KYUSt_chr5.38740 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSTPSRGSRCQHLRCSALTASRPASAAAATAPAEAPCFPYLAPIADQLGALQPYLGPIAERLRVVPVLHGAGADALIKFLGGEVLTAAAMLEASGGRGRGTLLPYRAPFRRPARRAAAVPRPHRRASRGGSISHGAGADALVKFPAARR >cds.KYUSt_chr3.23074 pep primary_assembly:MPB_Lper_Kyuss_1697:3:142836242:142846379:-1 gene:KYUSg_chr3.23074 transcript:KYUSt_chr3.23074 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNILADYLAQDHHDLYEGISPGFMAWNWRKNQIGREIGWWQPDILCFQEVDNFTDLEKEMAMQGYKGIWKMRTGNAVDGCAIFWRTTRFQLRYEENIEFNKLGLRDNVAQLCVLEVRTLLDRAYAMSKMQNDAPVILCGDFNATPKSPLYNFLSEQKMTNAREGRIITRDSHKPQSEAKDSVRGYCLTGREPDLTDTASASFLNSESSKCPGNNRPCSGSSDLNEQALPSCMVGHVKDACNSDAEAHVKATEGEGAAVGNSCEQGFGGSKIESKESDIADFQYSPTAVYDEILQSDSSETVDSSHLLSCHESSGLKDSLRQSRGVSSEDSDSHGVLSGDVILEDVACSFEGSSVQSDTVLNVSEDNPSEKEECNQSMSGRNNGTTELESSHFTDSLKFADTLHKMSNMRVDEEINTGPTHLTSPVEPVPQSNRATLDACGNQGTPEVMDKHLNLHSCQDVISDPTFKEFSGDNESLHVDEYRLPTISNRSPDVQRMAPYGRYYNDPYRWTADEIKAATGKEECTYVEHNLKVRSVYTDVEKWGSDHIALVCELAFTNDTHQTGRCVMGTLHFWSANWLMGSTPQLTLPDYCDMAPYEHDRSALTVGVTLLPSDVYISIWRGVHNSRDQIRRVKPSLVSLHAAGRTPHLAARRFAPPRSFPSSVAGQDDVRRWLLRPRRPQGLRIRPGKSPPARQTPAPLTHLSSRLTSSSRPGQAATGPDPQAIKFTDSNLQTFPPSDTRGKISGAYRPPTDADDTFSSKAGGGGGGGGGGPDDAAQGGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTDKTSEKPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWTYDINLVTWSAGLFYGYVTFVPLGLYVILKYFSAPAGLVQLWCLWVIAGVAGFMSATFVAVNLRAHILNSGERWFLIVAGIFLLQLGLAVLLKLYLFTITV >cds.KYUSt_chr1.33394 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203036243:203036859:1 gene:KYUSg_chr1.33394 transcript:KYUSt_chr1.33394 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSASYIRMVHHLIEKCICFNLNKEECMDALEKHARVNPVVTSTVWKELEKENKEFFETYKKDREERKIEAETMQRIQKMLSDAAAAKSSDEDEG >cds.KYUSt_chr7.15931 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98884171:98884959:1 gene:KYUSg_chr7.15931 transcript:KYUSt_chr7.15931 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVRSVWAENFKAESRLLHQIAPRARHVALNVQYPGCVLGHGNGRSHRDLTTDERYEVIRANVALLRPLQVGIAVCTDDGRRFAWEFNLRGFDVASPKHARDPKSVAYLANHGVDFSRLPRDGIDGFRLRWLLRDSGLIRARPSWATFTGAYHVAYFVTMMYGEKLPDSVDDFMKMARKLIGQQLYDVKQLAREHDRSCVGALSNVVEKLTIMPPREGICKSKPAGTGSMLALLAFETLKEKLGPKMEKYRHELCGLQAV >cds.KYUSt_chr4.9406 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56738923:56740104:-1 gene:KYUSg_chr4.9406 transcript:KYUSt_chr4.9406 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRSDGLGVGRKDKRRRRNLYFVINDGKGHAIRKVDLSSDYDSDDDAKHHKEGTIDALELPLPRVLIRFHDSLTGEFFAASGTKILVAGCNLPKRAAPAFDVRTRTLSLGPLPQACPRKPLYMPVGYSLVSLDFGSTQFLDPPPPENTGFEWSWQKLAKAPFKHLDVTCHAAHPNGRILFVSTLTGGSPATFTLDTDNGVWKQRSNWTLPFKGHVHFDPALGAWVGFPEAKKHLGYLCSSAVVTIDDVDSSTNLQPGRVPIAPNTGRVQPTYSDDGESSDSVSGSDLPPRWRLGKEKIFCEDPAEQHLGAALVYMGGRSKYCLLQCLSVSDYQVDVKEEDLHCRHLLRLMTFTLKYNKNGELSIAKRRRVRCYELPPDAYPPFADIPAFWI >cds.KYUSt_contig_1163.172 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000111.1:1049230:1051979:-1 gene:KYUSg_contig_1163.172 transcript:KYUSt_contig_1163.172 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPQILISHLKVLDEFVSVVGLLVLICHAQVLQRLPKHGKGAYCVLQKLDSFANVLVMYRSIYQMMEEETQPTSPALLSLFACKALLHTLAEASVSCPDETTASMVEEESENRKMDELGAGPVGKGQEAVVEEKSDHEIFKLDSQVLDCNICFKPLKPPIFQFINAIRVPCSNTKYGCDEFITQDQKEKHESGCTHAPCFCPEDGCSFIGSRVSLLDHFVTKHGWLLTNLHYKKSLGISMARDRRFTLLVGEDMSIFILTNTLTDIGNALTLVCIRPHESEPSYSSKISAVPRGSGAAGRLVFLMDPLVASSSLQGGVQLGKFFLLVPPELVDESTDELTINIRIDELTSKSQQEAVA >cds.KYUSt_chr4.931 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4802144:4802950:1 gene:KYUSg_chr4.931 transcript:KYUSt_chr4.931 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVWSSSPELGHVRRFPVVFLFFVVLQWLETVAERGCTAGISINKMVVVSHLLLCGCYEVKLFPAGRGGEGEKRCRVVSCAVVLLLAGRGGEGEKCFGASSTTSRRWRFSGCAGALFLAALSPISSHHGDGGWRQLAAVRSGSSRRAVESAPVAAAPKRRWFAEAIRGHQNGPAVPEVDFDPGSLFLLQWRIKTDLVAALHVAASPSGSVPGGGNGGRDCRSKLAGGSSGLDCFSAIRCRVFFTKKQDYGVISVFFRVLSVNVHPPP >cds.KYUSt_chr3.15478 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94786872:94787138:-1 gene:KYUSg_chr3.15478 transcript:KYUSt_chr3.15478 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRAAEVGFPNRRRRSTAADPAVVTVALEGHQRRHPHESLLFPPCIARLCIRIKHDATSASAAIELSASAPTGRPLTSSSPNGALPH >cds.KYUSt_chr4.4643 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26612878:26616102:-1 gene:KYUSg_chr4.4643 transcript:KYUSt_chr4.4643 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATGTADFFYREALRLGYVARSAFKLIQIQKQHKIITPGAAVLDLGCAPGAWLQVACQNLGPLEKGGLVVGVDVKKVKVPSAHCDSRVRTVCANVMALMKQQARAMSPQERGFSVILSDMCPVVSGITTKDEAISCELGMRAISLAVGKIKVRESADYSETMEKFQSSTDPDPDEDGVLRRGGNLVIKFLENEDVPGFSKFCKVKFKKVTLLRPKATRSSSREIYLICEERLDGESLDDYAGCSRALGLERRIGILPTLR >cds.KYUSt_chr2.46348 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289539784:289542697:-1 gene:KYUSg_chr2.46348 transcript:KYUSt_chr2.46348 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMDGKLHRVAVTLINFLNVSVVLLLGPNVKHKRINTSAAARGRTTQQQTNPLLLAVVRRRHLASPVVLHTFQNRLRLSNPHATVGPYKTLHSHHELHTSTQKPSVSAQAHEELASSHTPAARVAMEGKEEDVRLGANKFSERQPIGTAAQGSEDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFMATFLFLYVTILTVMGVNNSPSKCASVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQCLGAICGAGVVKGFQQGLYMSSGGGANAVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNREHTWSDHWIFWVGPFIGAALAAVYHQVVIRAIPFKSKS >cds.KYUSt_chr7.35415 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221232593:221234553:1 gene:KYUSg_chr7.35415 transcript:KYUSt_chr7.35415 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAEVDTCLESFELYQADSTFYILGTNTDKTLWRLLKIDRMEPSELNIDEDCTMYSQSEYLDLLKVLDEEH >cds.KYUSt_chr2.39111 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242536706:242537806:1 gene:KYUSg_chr2.39111 transcript:KYUSt_chr2.39111 gene_biotype:protein_coding transcript_biotype:protein_coding MASEALMEVEPPPSPLPAGAVCCMCGDRGLPHELLRCKLCRVRMQHRYCSDLYPRATAYRRCNWCLREPAQGGGGQTLARPVASRITDNKRKAAASTDASTSEEEEEEKRRQSHEAGCATRRSRPPADVGLPVKKHKAGDRTALPPSPGASKVSSGNNRQKTGERAALPPSPGAYNGTNGNSKQKGDERATLPPSPGASKGSNGNKKQKTDEMAAAPTSPSASNRTNGNSKHKAGDRAALPPSPGTTAKGNNGNDKHKADERAALPPSPGATAKSNNGNDKHKADDRATLPPSPGATAKGNVNKKSMQAGKLTRAGRVKVRRYKLLAEVISC >cds.KYUSt_scaffold_1854.23 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:137955:139211:-1 gene:KYUSg_scaffold_1854.23 transcript:KYUSt_scaffold_1854.23 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFTDLLQPDGSPVHLDDVSPTHRRSNVGSSPLPRVLYSSGTPPPGPYGPYAPPPAPYGSYPPPPYPYPPPPPHAPPTGSGSGTVPPYPPPPYGSYAPPPYPYAPYGPYPPPPPEASAPSYESEAAETIVPKRPKRLDWTTTDEEKLVHAWIFNSKDSVAGNCKTGNSFWGQIAATFNSTSDPARRRTSKQLKDHWNAYNKEVSLFNAYHIQEEALRQSGADDAMVMKAAMERYANDKRVTQPFRRHHWWEAVRNEAKWKGQHGPGSGTDSTAKRSRLGVSGEYSSSEATTEEERPTGRDRAKAAARKDRRKGKESSSSSEVASKSFAMKNMWNGLVKAKLLKQWNKMKDRSTADMNKAEKRKHAKAIQMVEKELGLEDDDDEEEEEEEE >cds.KYUSt_chr6.29976 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189845614:189847820:-1 gene:KYUSg_chr6.29976 transcript:KYUSt_chr6.29976 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPGLGFAMAAALEDQPVAGLKCEGPSKRKAEESFAAGDEPSRAAKRIDFPEGSEKSQGEEAAAPVKKKMWRLPKKEIELILAKGNEPLVTRFRDLKRANPSLVMSPEEEKDERTVRLYRCTREAYEGEERFAVFQAWVRREYAAKGSVEVDYDYFGSRAEATRLSKEAREKVFRGRDHQSGGDDDDLWRLVRSFV >cds.KYUSt_chr5.6737 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41742895:41749255:1 gene:KYUSg_chr5.6737 transcript:KYUSt_chr5.6737 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSSGASQQNQQHNARRKQLIRQQRKSLPIASVEEKLVEEVRKNGTLIVVGETGSGKTTQLPQFLYDAGFCQDGKVIGITQPRRVAAVTVATRVAEECNDQLGKKVGYSIRFDDSTSSATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRSIYANKDGKTLSDKQDHSPSVTLKSCEGMKCAPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDTLYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLERLIQERVRQLPPDSSKIWITPIYSSLPSEQQMNAFKPAPAGTRKIVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCFRLFQECEFDKLAESTVPEIKRCNLSNVVLQLKALGIDDIIGFDFMEKPSRTSILKSLEQLILLGALTDDYKLSDPVGKQMARLPLDPMYSKALIVSSEFKCLEEMLIVVSMLSVESIFFTPREKLEEARAARKTFESSEGDHITLVNVYRAAAECLEKSKNANAKEKTMEKALNRWCWENFINYRSLRHARDVHSQIQGHVQQMGLNLSSCGEDMVQFRRCLTAAFFLNAAMRQPDGSFRALGTGQSVQMHPSSVLFRTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATED >cds.KYUSt_chr2.54719 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341406839:341410604:1 gene:KYUSg_chr2.54719 transcript:KYUSt_chr2.54719 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFDGLFSGRRVGGSRKDSAAPSAAMDESAAPAAAAAMDDAAAASSSSQQHCGIAPLSPTAASVVDRCARIAGVPVEQLVRRFEPEQPTPPTPPLAYARSLVEYCSYVALRAETRRRHDHLGDRDFHSLTYDMMLAWEAPDQETEAELQKAAFSGDGTDDDDGGSIFYSSPTQMAIQVDGRRTVGPEAFAKIAPACPAMAHPITVRNLFDALTNSTGGRLHFLIYHKYLNSLDKVLSSARLVSGGHKAPDLDLLDGEVVLDIFGAATTQPVLQHIGTSTWPGRLTLTNHALYFEAIGVDFSYGDAVVYDLAKDLKQCVKRESTGPWGAHLFDKAVMYKSSSISEPVFFEFPQFKGHSRRDYWFAVIKEVLHAHKFIRRYKLANFQKAEALSVATLGILRYRTVKEGFHILPAYFKTTLAFNLAEKLPKGDKILEALYGQLKQHCSRFRGSDDLAAQSSSDDLTLVDPFPLSAHTLVRMGLLTLKEEDNPEERDFAAGDVQIGGTSSVQMALERSVGYSGRVEAARATLDQVKVEDIDTNVAVLKELLFPLIEIGKRLLFLAEWEDPLKSYVFLLCFLYIAYKGFIWYILPGFLLGSTVFMLWNKYHGDMQLIEAFEVTTPPRRRTVEQLLALQEAISQLEAHVQAGNIFLLKLRSLMLAAFPQSTNKVAVAMLATAAAFAFLPFRSILVLILLETYTRHMPMRKKSSEKLHQFPLNTECWNTVYSSSIHSNSAYCSRFLSLETPTTGSA >cds.KYUSt_chr4.19996 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125693227:125696842:-1 gene:KYUSg_chr4.19996 transcript:KYUSt_chr4.19996 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGKMNLEIGSKDMEVESRSLRLDEPLASSEMDMDESGEVDANNNGSSRDCPLTPKGSRTSSKACAAKKPRSVSTDFGDELDLEFGSGERDCGRQQDRKLSRHDRVELSRSFQRAVSSHDWESADSLVGRADAQGLNDVLCVAVDAIWFLSDKEELHAVVALIRRIVSEGANDFTRAALRTSFLASCVSACRGRTTSLADAVSFMGQKLHERLQESHGDEVLKAEASAKVHKFTEWALKCIGLHSRAREGKGRGNHGTIIEVQLQLSAFKTFLDLADNDLTGKDFTEAFDAACFPLTLFSSTFDQGWASGISAAAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIAQRNSLDIDVDLALGFAAHYGKLETMGCLVQEGNSVAFLGPLMRAAERGCLQVVEWFVNRGCRDMELCLALTAATSSSQVAVAAYLLPLVPQLVLAPLSIEIVKAAGERSTGSLQGVDFLLRSDFLNDPAATYSVADSIAKSADEAVDAKLRSFMLEHWSEAAFSEGFTSAQEHFLNMTRIMERGESPVLLRDLPLQLVIAMAYLPLYRECIESGGRLLPQRLRGQLVEAAGRLEGRQLDRSSQGRELLAILEHHLPRFFVKT >cds.KYUSt_chr7.41129 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254814125:254815537:-1 gene:KYUSg_chr7.41129 transcript:KYUSt_chr7.41129 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSMVRVLSSRTVRPPSPRPRERIPFTSWDAAFLSANYIQKGLLFHKPKDQAALSTTAHVVDHLAAALADTLAVYYPVAGRFATEQHKDDTGNVLGCSVHIDCDGQGVEVLHAVADGVSVADVVPPDADVPRDLVGSFFPLDDAVNYDAVDHPLFVVQVTDLADGVFVGFAYNHALSDGTAFWDLVNVWAATARARLGLAPPPSVTPPVFKRWSADGGAAAPVVLPCTDVSELIDRISPPPLRERMLHFSAESLVALKERARHDLLAAGDSVSAAALTRFQALSSLLWRCITRARRLSPEQETTCRVAINNRARLRPPLPQEYFGTTIYAIGTEPVRAPELLERGHGWAAAAVGRAVAAHTDESIRARVAAWTAKPMVYTARFFDQTATMVGSSPCFDMYGCDFGWGRPVAARSGKGNKSDGKTSLYPGREGGGSMDAELTLKPEHMAALEEDEEFWAAVTPAAPVR >cds.KYUSt_contig_1948.101 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:600319:601599:-1 gene:KYUSg_contig_1948.101 transcript:KYUSt_contig_1948.101 gene_biotype:protein_coding transcript_biotype:protein_coding MCDETLILVFSGLSDARDLVRCAATCRRWRRLVSSDAPFICRRGPPRCDRFVRRLALGAFLRGSHGGHGGFVPFMPAPLSVAALVDQGTALDTSRVVASRNGRVVLDLRRRKSACVLRLCVCNPMTGEADFLPPLAGKDSPGAYACALLTADDDLHMYDGRVTSTKICSSTASYRVLLIFNHRTYTALRRYSTDDGWGPEVMVSGARIARGRRLGMQAAHATLVRGGEVCWHGLGIGLKLATLQTSTPPYSVQGFESPSRAGNTVVSKVVDRLLGVMPDGRLCVLERRADDAVLAYAVVYADVVRDGGVSGRKVLLWILRAAQMGLRAVRLRWFCERSGVVLFTARRIGDEGGGAGKTQVYALDVQTKQVGRVDWPGDQNGEDMDVCGYEMDQVAMLAELDRSTDLNLARSSAGSSHSSFIYSTNT >cds.KYUSt_chr4.34510 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211953631:211959016:-1 gene:KYUSg_chr4.34510 transcript:KYUSt_chr4.34510 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAQQKTAAAEQEEVEHGPFPIEHLQASGIAALDVKKLKDAGLHTVESVAYTPRKDLLQIKGITSKLVPLGFTSASQLHAQRLEIIQVTTGSRELDKILEGGIETGSITELYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMIVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQVKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQLSSEGVGDVKD >cds.KYUSt_chr3.21959 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135362392:135365622:-1 gene:KYUSg_chr3.21959 transcript:KYUSt_chr3.21959 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLAVEVVDARDLVPKDGLGTSSAYAVADFDGQRKRTRTVPRDLNPQWHERLEFAVPDPPSMHAESLDVSLYHDRRFNPSGGGGGGGKNNFLGRVRIYGSQFSRRGEEGIVYFPLEKRSLLSWIRGEVGLKIYYYDEPAVPPPPPEERPPEGADNAPPPEVPPEEPKELPEVPEPTEAAVEVQQPHMQPPVMIVEEAPMHGPHGSMMPPPMHGHMMPPMHGPHGPVMPPMHGPHGPGPMMHGPHGPMMMHARMMPPQPEPEPEPEQPGPDGAEPYPPEVRKTRMASSTEHVRIPRHPSGGFGPDYYTASPRVISGRFVSTGEAVEPVQSTYDLVEPMRYLFVRIVRVRGIRACEGPYVKIQAGPQCLRSRPGRDLSGTGSPEWNQVFAVSHAKPEPTLEISVWDGQAPSPSDAFLGGVCFDLSDVPVRDQPDGPLAAQWYRLEGGEPGMVTGDIMVSVWIGTQADDVFPEAWNTDAPYAAYTRAKVYQSPKLWYLRASVIEAQDLRVPTPPPGLPFDVRVKVQLGFQSARTRRSVASSSGSAFAWAEDLMFVASEPLDDTLVLLVEDRSMIKEPALLGHATIPVSSVEQRLDERQIVASRWFNLEGGMGHGDGGGDQQGQPPGFYSGRLHLRLSLEGGYHVLDEAAHVCSDYRPTAKQLWKPPVGVLELGIVGACGLLPMKTKGGSKGSTDAYCVAKYGKKWVRTRTVTDSFNPRWNEQYTWQVYDPCTVLTVAVFDNWRMFAGAGDDRQDYRIGKVRVRVSTLESNRAYTASYPLLVLLRSGLKKMGEVQLAVRFSSPAHLPDTWATYTSPLLPRMHYLRPIGVAQQEALRGAAVRTVAAWLARSEPPLGPEVVRYMLDADAHTWSVRRAKANWFRIMGVLAWAVGLERWLNGVRRWRNPSTTVLVHVLYLVLVWYPELVVPTASLYVFLIGVWYYRFRPRAPAGMDARLSQADTVEGDELEEEFDAVPAPDVLRLRYERLRTLAGRVQRVMGDVAAQGERLQALVSWRDPRASRIFVGVCLAVAVALYAMPPKMVAVASGFYYLRHPMFRDPMPAASVNFFRRLPSLSDRML >cds.KYUSt_chr7.3001 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17831381:17831871:1 gene:KYUSg_chr7.3001 transcript:KYUSt_chr7.3001 gene_biotype:protein_coding transcript_biotype:protein_coding MIILDCQIGWIVYKVVEWFIKIPRLFARKTEQAAGATTGGNGGRDSGDQVADEHVEEGNSLPVTIGACQLGWLAYTAGIKFEFIKWIRKNRGVSTLEGEQAAPVAMEKYGSGDSGQQVQEEEVKVVVASLA >cds.KYUSt_chr6.31264 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197873506:197877750:1 gene:KYUSg_chr6.31264 transcript:KYUSt_chr6.31264 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLISSSSRRLLSTAASSPAGDRLPPVLHLAASRGLLGSHVGVDMSAYTRLHTLGCLVQVPVTQDANAFDRQSAVVPLSIASASTLSNQLPPFSAHPLYTLASQIGSASTLSNQFPPFSAHPLYTLANQTEGTLADTCIPFAARPLSAFAHQVEREEQIDGSGKSVQGGERKGNMGVIDGVRTEANWDCEGVVSIPPKSDLAGGIAPKEESEKEIDDNGVATEGNRQGTDGDGTQPEGGKGPDGTSAGGHQGSEGSGSNGERGNWDGYENYLLYLLAEQRKAQSAESCKLLEDNQKLQASLESFRSDTDLKMMKLQLYLKHLALKIVLAMLFALLMAMQYVLPYTPEGKILRERIKKVLQQGESE >cds.KYUSt_chr1.39168 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239479558:239480008:-1 gene:KYUSg_chr1.39168 transcript:KYUSt_chr1.39168 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKPAPLALFLAILLIISSEMVEEVGAKLQCDIKASLCMYKCTKTGRCMRCCKHYGFLHGRCRIRHGLLCYCCNDDSDPGHAALRRRYQYQDQQKMVAPPPLDHLLHA >cds.KYUSt_chr1.1432 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7785837:7786846:-1 gene:KYUSg_chr1.1432 transcript:KYUSt_chr1.1432 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASNRRCRRWRAAAAASLEALDPRQSPGEREVAERRSRKAYTAEHCRGRSDAPLQHLLHELDLEAPPPSEPATGKTPTAPPQRCEGVDGGGSKTTPRRAPTPERRSTARKLQPPRLHLQDADDLQPQSSYTMYRTRDGDPPASRRRGGRRRPRDPTNHAGEVEYFGGPDAVAVGNEQSELVAELTLLRGRRWPGLSVEFEDPLLLSRDEKVTSLLHRWPLDGDLGDVTEDDLLVITGAVLKLPQLLVRRDDSRMLWVCRLRSVVLLRLLLRRFTLPVRH >cds.KYUSt_chr2.49632 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310551990:310553876:1 gene:KYUSg_chr2.49632 transcript:KYUSt_chr2.49632 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWRDPRQAYLYGLGSPMHMPPQQQRPDPAVGGVLKRSLGEIERWQHQRQVALQQDLYMRAVRQRTVAANSPHVSPLSSVDIAAVLGSHQQHQQQPLIFSGSPSSALSSLTTASRAAIPLIQQHVHRQAHFAPPPTSMQAHAFGAATRAPPAPSGGSELSILQELEKQLLGDDDDDETAAETEPAMSGTGSTLTSSEWEDTIQRLNSITAAQSPPPSFPAAAATPNNNNYNAGMTRSPSNSSTSTASSSASCSPPISATTSRQLLSEAALAIADGNLEAAAASLAALKRGGAGDNAEHRLAATMAGALSSRIAPSAPASAQRDLADLCGAEHRTGCQFLHDVSPCFRLALDAANISIADAVAGHRAVHLVDFDVSGPQHAALIQRLADRRVASLRVTAVIDPTSPFTQCVATTLPAVGERLRKLAERAGVEYRFRVVSCRAAEIEAARLGCEPGEALAVNLAFALSHVPDESVSPANPRDELLRRVRALGPRVVTLVEQELNSNTAPLATRFTDASAHYGAILDSLDATATAGPDRARAEEAVAKMAANAVGRDGADRLERCEVFGKWRARFGMAGFRPVPLAPGIADQVMARAGTPPPPGLVVKPDNGVLRLGWMGRVVAVASAWC >cds.KYUSt_chr1.36221 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220794462:220795245:-1 gene:KYUSg_chr1.36221 transcript:KYUSt_chr1.36221 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLWTMGAEDGRLWMGSGERWVSGGGETRQGSCTGGEEGSWGFTVGKRTGDEIGQRLKSSNKVVSNVTTGKLDIAVRQLAKKPICPAKSLSCVDARQRLPGKGSDGNATFAVRVADIARQRLCRAPTRVAVRACFGVRPVALPCGRALLCVGPLPCACALPCGWPLPCAGVLP >cds.KYUSt_chr7.11817 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72577637:72585215:-1 gene:KYUSg_chr7.11817 transcript:KYUSt_chr7.11817 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTTHMKKEAVSMRPTETMTTASVGYHSTGTGLEIAFAAYETITNGAQLAHRATVKRSLVSLQGWISLSVPIATFQGRRVLLFLAPRLRSKIEELLFLAARLRSILAASASASSPKESQLRCGTAAASVDVFVFEDLMAAEWKRLTEGAADGVNLTIAGVFGMCRSCSVELWEIIVHGYREPQDPIRLTSTEFYNRQLNASARDKIRSGINRKLLDQVNDIESTKELWDRIVVLQEGTDLIQLALYETAKQEAHRFMIREGESMADAYARLGALRVRVKGLGVEKYNDGFEMNEAFIKSKVIAMIAVK >cds.KYUSt_chr5.36743 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232317702:232320540:-1 gene:KYUSg_chr5.36743 transcript:KYUSt_chr5.36743 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEHLSLAGRRVAFTTPQTTDDGGGYGGRLGTLLRQRGAHPFAVPTIAIRAHEPDRLRPYLLPGALDPFAALAFTSRSGIAAFSRALPSAHLPLSDAASALPFTVAALGRDADLLDGAFLARLCRDAGRRVAVLVPDVPTPAGLVESLGRGSGRRVLCPVPDVDGLREPPVVPDFLAGLEAAGWVAVRAPAYTTCWAGPGCAEALVAPDAAAPDAVVFTSSAEVEGLLKGLDAAGWSWARLTARWPGMVVAAHGPVTADGVRRLGIEVDVEATLGPAINGTLNVLRSCKKSPFLKRVVLTSSSSAVRIRDETQQPELLWDETTWSSVPLCEKLQLWYALAKIFAEKAALDFAKENNIDLVTVLPSFVIGPSLSHELCTTASDILGLLQGDTDRFTLYGRMGYVHIDDVARSHILVYETPEATGRYLCSSVVLDNNELVGLLAKQFPVFPIPRRLKNPYGKQAYQLDTSKLQGLGLKFKGVHEMFNDCVESLKDQGHLLECPL >cds.KYUSt_chr7.32044 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199480107:199480625:-1 gene:KYUSg_chr7.32044 transcript:KYUSt_chr7.32044 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKSSLAVFALAVSMAVVVVSAQNSLQDFVNLHNSARAADGVGPVAWDNAVAKFAQDYAAQRSGDCRMQHSGGPYGENIFWGSGQSWTAADAVKLWVDEKKNYHLSSNTCDAGKVCGHYTQVVWRKSTRIGCARVVCSGNRGVFITCNYNPPGNFNGERPFQALDAEAK >cds.KYUSt_chr3.31839 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199980740:199981072:-1 gene:KYUSg_chr3.31839 transcript:KYUSt_chr3.31839 gene_biotype:protein_coding transcript_biotype:protein_coding MGADKGSSMQFDDFLPSMARKLGTEGLIEELCKGFQLLMDPQTGKITFQSLKRNAARLGLGELRDDELQEMMREGDLDGDGVLDQMEFCILMVRLSPELMEEELYRMFEC >cds.KYUSt_chr4.13262 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81626024:81629668:1 gene:KYUSg_chr4.13262 transcript:KYUSt_chr4.13262 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAAAPSARGLPPPLLLLLLVFLLGDGARAAVDTGGLSRAAFPKGFVFGTATSAFQVEGMATGGGRGPSIWDPFVHTPGNIAENANADVTTDEYHRYKEDVDLLKSLNFDAYRFSISWSRIFPDGEGTVNKEGVAYYNSLIDYVLKKGLTPYVNLNHYDIPLALQKKYQGFLSPKIVNIFADYAEFCFKTYGDRIQNWFTFNEPRIVAALGFDTGTNPPNRCTKCAAGGNSATEPYTVVHNILLSHATAVARYRNKYQASQKGKIGIVLDFNWYEAVTNSTADQAAAQRARDFHVGWFLDPLLNGQYPKTMQDIVKERLPSFTPEQSKLVKGSVDYIGINQYTATYMADNPSLQQTPTSYSSDWHVQYIFQRNGVPIGQQANSNWLYIVPTGMYGCVNYIREKYNNPTIIISENGMDQPGNLTHDEFLKDTTRVEFYKNYLTELKKAIDDGANVVGYFAWSLLDNFEWLSGYTSKFGIVYVDFKTLKRYPKDSAYWFRDMLSGAGAKDEVKFWFLAVATSSP >cds.KYUSt_chr4.2556 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14309433:14310902:-1 gene:KYUSg_chr4.2556 transcript:KYUSt_chr4.2556 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLMYDILYTKAAVIHTWYGYMFHLVSPLPTALVFTLFQLSGNKDGYDRTDVAITYILLVGAFLLDMVSVFNTLGSTWTCNFLLTRDRSRLGLSIMSLRRHFKAFTSNRRWSGSIGQYNLFHFCTSDRTKRSKLAKMMGLKDWWNKCHYSGTIMISEAVKELVFKRVWILVKEMQHQRSENQIQSTPNQKGVEEPMAVIPSNGFRPEFYNDVVKRRKKFDNALNLGAELQEAILTWHILTNVFLLCSSEFADPAVSSSNAICISRRRRGGPPCFKQKAVWSSHVKAIKALSDYMVFLVAVRPNMVPGELRSLYVVTTGALRHQWLMLRHSSASSTAEDKGKRLAHSMLYRPTGIEERSIILSHGTLYAKLLLELVSERNRDKPGVISSYEECDHVAVDKLKRLMPDLESSCRYGVFDLTRAWALILDTWVRLLVFASVRCSRETHARQISRGGDLMTVVWLMEEHANVFFNQSSTIGDDEEAGRAAA >cds.KYUSt_chr1.31698 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192290169:192292631:-1 gene:KYUSg_chr1.31698 transcript:KYUSt_chr1.31698 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLPPATFAKKHHALLHPNGAAKHRLIRCGSAASSDGVPDELLRSALQLSKPGPPVATVVSDTGTDGWGDLLNEFKNALQADSSDPAASDATSIAGGGAAVPDELLSSPLASDATNAAAAVGVPDEVLASGADPSGGLLNPGDVATNAVDAIPDELLGALHLDASNPAVRLAGGALSGLEELTAGLTEPERWALFGFVAVTWLYLTARPGVLGGAVDTYLLAPLQLAVDSVLGRRSLKMTDFVVGERIGEGSFGVVYAGAVVPRGGPVVEERVGKAKTKLQLDDRYKQKVILKKIKIGTTGAKECGDYEEWFNYRMARAAPESCADFMGSFVADKTKSEFVKGGKWLVWKFEGDRTLANYVTDRNFPSNMETLMFGRALRGVDSLTSGALVVKQVMRQLVTSLRRIHDTGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRTLLDPDYCPPELYVLPEETPTPPAEPIAAILSPILWQLNSPDLFDMYSAGIVLMQMATPGLRSPSGLKNFNSELKAAGYDLNRWRETTRRRPDLQILDLDSGRGWDLATKLLAQREQGRLSAAAALRHPYFLLGGDRAAAILSKLQLSK >cds.KYUSt_chr4.38728 pep primary_assembly:MPB_Lper_Kyuss_1697:4:239106858:239109731:1 gene:KYUSg_chr4.38728 transcript:KYUSt_chr4.38728 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDVPPNQTIYIRNLEEKIKKEELKRSLYALCSQYGKILDIVALKTPKLRGQAWVAFSEITSATNAFRGLRDFDFYGKSMVVQYAKTKSDVFAKADGSYAPKEKRKKQEEKAAEKKRRTEDAQQPGPNAPVAPSNGTHALSVRYALALPEASVGYVQTI >cds.KYUSt_chr2.51671 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322830552:322832625:-1 gene:KYUSg_chr2.51671 transcript:KYUSt_chr2.51671 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGSNGGTTPSAAATPLLGSAAAASVNGDGYDSDGYNFAPPTPSTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIQSSGKRGFFIKKSVGPQVREKFTLEDMLCFQKDPIPTSLLKVTNDLVSRSIKLFHVILKYMGIDSPAIISLEERIELVAKLYKHTLKRSELRDELFAQLSKQTRNNPDRSWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLTSRKLTTIVFFLDETFEEITYDMATTVADAVE >cds.KYUSt_chr4.42765 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264831389:264833818:-1 gene:KYUSg_chr4.42765 transcript:KYUSt_chr4.42765 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVYIFNASISFLLILSTALANDHSSSNNILARGSSISTKDDTSITILVSPNGAFACGFYKVATNAFTFSVWFSGLSTVAWTANRDAPVNGKGSSITFHKDGSLVLRDYNDKPVWSTNTSSAAGGASHAELLDTGNLVVMDTNGRHLWRSFDSPTDTLLPSQPMTRGANLVSASARGLLSSGLFTFFFDSDNVLKLIYYGPETSSLYWPDPYRTSLQNNRVTYNSTQYGVLDQKGKFLASDGLTFEASDLGHHMVMRRLTLDYDGNLRLYSLDAISGSWSVSWMALRQVCEIHGLCGQNSFCTSTPKLPYCSCIPGFKMNDPRDWSKGCRRNVNLTAKRGGGKGATKDFSFVRLDTTDFYGYDVEIINSVPVFDCRNKCLDSVDCQAFGYKRGLGSCFLKIFLFNGKMLQSFDNFIYVKVPKTNTTLPSQELASGPAHVCKLAEEEAYPSSQLVQGDTSKFKFGSFLSSALTLLVVEVVLIIGGCCVVYKWEKTPEITDDVGYMIISSQFRMFSYKELQKATNHFQQELGSGGSGAVYMGVLDDERKVAVKKLNDIIQGDQEFRSELSVIGRIYHLNLITIWGFCAEKNHKLLVSEFIENGSLDKILFDHQGFSPVLQWHQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDKDFEPKIADFGLVQLLKRESSTQMLSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGIRVSEWVVEGQEEVEMAVRCSTEIIKEKLANEDQSWLLEFVDYKLHGDFNHSQVIMLLKIAVSCVEEERRNRPSMGHVVQTLHAQME >cds.KYUSt_chr1.25242 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151421881:151424827:1 gene:KYUSg_chr1.25242 transcript:KYUSt_chr1.25242 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPDSAAGLAAAVLAASTPRAAAEAVSSVAAFLRRHHADQPRAFFADALPALLFRLFVSRAPDSPPCFLDLAAHDPALAYLLESLLAPSGPLLAAISAADRHALLRFTFPRERLPDWLGFALSSSDQVISPLLAGRVGSKLHLSVFEYYLFWFAYHPIPATTSANPSPGPSKPPPSTWKPSLKPRARLESWVSTIAPTAGRNPDSKPHTSLYLNLLHAYLKEFVPSDCAPPRGTLLHQNSRHGVDAAESFRRVEFLVHTLVQFWLVGNDFSPLPVQTSRAYGLPLLSLLSRANTTLSDRLPAPGLGNAVKLLVMYLNSSSGVPDVRNVFDAMLSRKKPCESPAGYWNPLLQRPLYRFTLRTFLFCPMGADIENVAQAFSAWMVYMEPWKVQQGDFNEYDLPPPGGRNVHCVGDGKRLNCDAEYSPAWQGYVLSNYLFYSSLVVHFLRFAHKFIHSDVASVLQMVSKVLQVLGSSELLGLIYKVDAAYHSNLSDSQSCCLDDVLKYLPAIREQLQDWEDGLSKHNTDVSFLHVERNSNLRLFSFDDNGAYNLLKLLLLRAESEIQGLPGDAMITLQTLDVIKSNMKKVFYKHVESSQANNLPEGEHNQHHVRGDVFIPKHPSPGKSSLADMKNNGDWMTRPISDTEVAWLARRLICFSSWLNETLQLKHAVTDVAPTGPIIIKVDQNEPSRVGGPKDAARMVLVGVFTLFMVVGQWILRFMRMHRIRINLRILASKKLLALAVLYMVYSIAKNMLS >cds.KYUSt_chr6.10944 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67776735:67786119:-1 gene:KYUSg_chr6.10944 transcript:KYUSt_chr6.10944 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRTCCKGASGIAVKATESGGYFEGVPESGHTVELHARSMADLARSGDPADETRAEDQASSYGEAFKIGSSAVVASGTTARNGIKPHSLVAPRALLSSICQRRSGRTRRAGRATLDGEECGEVPADAFAATAAEDKEMEDKEVEKDKEVATEMAKIDVRCMNFVVLFGPFYAMSPTSCLFDKRRRLSLASGEDEEEDGKGNGAGQPNLLIHPS >cds.KYUSt_chr2.6760 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42124636:42151041:1 gene:KYUSg_chr2.6760 transcript:KYUSt_chr2.6760 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSSNMELHSQKLLEPDLPVQVRLQLVMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSTFTTPQGTENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVAIYRNFKFTVKHFFDNPNATANVVAPVPNQHLDSIGDAPGMLAVPGGGQLNPSAQSFKIVTESPLVVMFLFQLYAKLVQTNIPFLLPLMVEAIAESGPDKVPPHLKTPFVELKGAQVKTLSFLTYLLKSNADYIKPYENSICSSIVKLLVTCPADSVSIRKELLVGLKQVLNTEYRRGLYPLIDTLLDERVLVGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPLLSRIIYLFSRNMHDSSLTLVIHTTSARLMLNLVEPIYEKGVDQQSMDEARVLLGRILDAFVGKFRTLKHTIPQLLEEVEEGKERPNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIRTLVMGMKTIIWSITHAHWPRPQQQSQQSSNIAAQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDEERDILQCFSQMLSIMEARDIMDMFSFCMPDLFECMITNNQLLHIFSTLLQSPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFIAAAKAPESCERTLQPHVPVIMEVCMKSAAEVEKPLGYMHLLRSMFRALNSAKFDLLMRDLIPSLQPCLNMLLSMLDGPTSEDMRGLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALSTLEFWIDSLNPDFLEPSMASLMSEVTLALWSHLRPLPYKWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVGAVMQSSGMEAFYRKQALQFIRVCLNSLLNLRENVPGEGVGPGVLGHLLISSLDPSRRRNDASDMKGDLGVKTKTQLLAEKSVFKVLLVAIIAAKADASLQDEKDDFVVDICRHFAILFHVDSSSSNQSGFVQPIGSSLSSGMTGSRSRNNTSSNLRELDPLIFLDALVEVLSSDNRQHAKAALSALNTFAETLIFLARMKHTGVLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVIGLGALVGKVSVDTLCIFQVRVVRGLIYVLKRLPVHANKEQEETNHVLTQVLRVVNNADEANSEPRRQSFQGVVEFLALELFNPNASIVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRPLRSKNVEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKLMNAKIVMTWNKLRTACIELLCTAMAWGDLKAPNHSDLRAKIISMFFKSLTCRTTEIVSVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAQTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDELVTLVIDLEKALPEDQFYSEINSPYRAPLSKFLNRYAVEAVDYFLARLSHPKYFRRFMYIICSDTGELRDELAKSPQKILSSAFSQFYSQSETASAQLPSLGPANELLSSVKDEGTGATIDSFTGQSSSNMVTDSYFNGLELISTLVKLMPEWLRDNRVVFDTLLLAWKSPARIARLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPDLKKTILSHFLNIFQLKQYGQDHLVVAMQILILPMLAHSFQNGQSWEVVDPSIVKIIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDTRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHAELFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVVQESENQNQIVDMLSPTVIGGDPKRSSDVPMFADDLSKRVKVEQGLQPLGVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKEKESTSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPQEIKTLYRSVQDLIQKHLAAVTTPQISLEPSNANSIISFALFVLNALAEVQKNFIDPFIGLLLRVLQRLARDMGSTAGSVRQGQRPEMDSAVSSRPTVDSTVVSNMKTVLSLISERVMGSSEYRRNMGVILQTLLSERGTDSSVLLCILDMIKTWIEDDYSLSLSAGSVNSLNPKEIVTYLQKLSLVDRKSFPSSAQEEWDAKYLELLYSLCGDSTKYPLASRQEYFHKVERQHMLGFRAKDPEMRKRFFRLYHDYVGKTLFARLQFIIHTQDWEAVSDVFWLKQGLDLILAILVENEPITLASNSARLPPLTVGGPVPDRIIMPQQGPDAHESLDGTSLSFDSLTTRHSQFLNEASKLVVADVMAPLKELAFADPNVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMLLMNEAKCSESLAELYRLLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQTLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLADFGKGVENYDILLDCLWKVPDWAYMKENVIPKAQVEETPKLRLIQAFFTLHDKGTNGVGEAENLVSKGVELALEHWWQLPEMSVQSRIPPLQQFQQLVEVKESSKILLDIANGNKPASGSSGANSNNQNTFADLKDILETWRLRTPNDWDSTTIWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHITRKHGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLNMKGELVSGLNLINNTNLEFFPVKNKAEIFRLKGDFMLKMNDCENANVAYSNAITLFKHLPKGWISWGNYCDMVFKETNEEVWLEYAASCFFQGIKYGVPNSRSHLARILYLLSFDTQNEPVGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMQQAMLANNAANNVGGNLASDNQVHQAAQSGGGTGPHEGGNLQGQELDRSAVEGGTSTGHDQGQQSSTGAEGSQIALRRNNGLGWVTSAASAFDAAKDIMEALRSKHTNLANELELLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPDSATTFPATLAELTERLKHWKNVLQSNVEDRFPAILKLEEESKILRDFHVVDVELPGQYFTDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEQVVELRLQAYSEITKNIVNDNIFSQYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPAYDPNGLIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMINPLDFQQKVVNNVEHVINRIKSISPHYLADEIAPSYTMSSRIWLWSLTVAEAWVLASNELWRIIMEGYTPYNPNKLTRREEVGNQLNSIALSMIQTSVGTNDLSYIRKFTTAKEAWEGLSIIFMGSESMKRNNYNALRNQAEGFMRLPDEDHREMYRRLITIADAFRNVGAQHIDDFWIKDKYIDCMLAFEPIDVKSLHGRDSYSSLTSQQVVHEMQALKVAEQNSHDSRNRAIGMSRGTSLALTVNTVE >cds.KYUSt_chr4.45399 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281035545:281038631:-1 gene:KYUSg_chr4.45399 transcript:KYUSt_chr4.45399 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAAGEWTTASDSNAPPGGDSSSAWDQGPRSPVPADSLAPGRDAAGHPPRHGWPFFASDSDARPGEDSSFPVDHFKPEYFDKLAGYFVEAARRLPLAEIPYLAYCFTHRSLAIGLADPVTNILLTTIDAFAHTEPYRMMYPADIEQTRNKISFAAAARSSWVALQRFMLCYFRYLDAPEADILLHNAGFDLRVAIEAVQLHLDGAYNHSETLVPDSARTKAAFEYAAYPRCSAPHLLRLMTSSYPCCMVEPVLEDLRRGEKLTAACIYKLCELLRNPWSPPPQSGPPPPTPGTFRDSSGALSHIVCFGNGSFVTTRISKDGVATATFTASPPTYAPDFSKDATNLLSMFPIHPGRLLVSHRDFCSVTLESPDFLPFLRLQLLDMVHLVYLKAIAMLPVRALREGHLLHSLVTAGHCYGPLDPVANVVINTIWYDALFPLSKDVASKLAAADILDARSMHRIESRSIDGLVAYLCRSPSIVGEQAAVTLLCRARSDIPILDLTNMCDVAQAAKHPQPAAFGEFLERQSIPGLYQLYCHARAHEDPDSAFERIKMALVQSTRVAPVQRSAQDIDTSAALERLSINDTPALEMLSARRSSFMSKQAHRRGVLEHLLICYGYNDPLAVEQGPMKPSGYGYDNYTSVADV >cds.KYUSt_chr3.26871 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167653541:167654904:1 gene:KYUSg_chr3.26871 transcript:KYUSt_chr3.26871 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPHDYIGLSAATSSSSCSSSPNPAAAAELGPRLTLRLGLPGSDSPDRDANPAALTLGLVSHKPAAKRAFPDASPRRAAAAEKAPPAAPPAAKAQVVGWPPVRNYRKNTLAASVSKSKGAEDGGGAAAQGGPCYVKVSMDGAPYLRKVDLKIYASYDDLSAALQKMFSCFITGQSSLRKPSAKDRLASGKADSLQDQEYVLTYEDKDADWMLVGDLPWE >cds.KYUSt_chr3.11895 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70998381:71003368:-1 gene:KYUSg_chr3.11895 transcript:KYUSt_chr3.11895 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKEHQDHGVEDTPWSKGGEEQLDAKMDMELDRKSPEEHNEEKLAGRSRTRMDNKETSWVRRTKFSHRVYTRAALNGLPVAPLGRELEERLQKYVTIKKSVSMPLDRDKEETVATLKHTASLPSLKHTASLSSLGSLLQPNKEKHNKQKVNLEIPLSPPANSQKSNGPKARSLVKSSSSMMLLSYLNKAQINQNQGSSVQKTNGSQHRPRSKSPLPSVLPSELFREARAGSQRFTSPPPERVGSEKSVYGKSLGRDPSANWRSTPLVSAKHKSRKGGGRRVSAVDSVRGRTVSMAQQVQTTVDWTLDPAKMLVRKDKFASGVYSKLYKGVYDEQPVAIKFIRIPKNDDNGKLATMLDRQYNTEINALSHLYHKNVIKLVAAYKCPPVFYILTEFLPGGSLRSYLHSIEHHPIPLEKTISIALDVARGLEYIHSQGIVHRDIKPENILFDENFVVKIADFGIACEETLCDLLVEDAGTYRWMAPEMIKGTAYNRKVDVYSFGLLLWEMVTGRIPYENLNPMQVAYTVLHNKTKPTMPADCPMAALAPLIEECCAYQPDKRPDFWQIVKILEKCQSVLSQGGRLDALKWSTCPDQKKGLKHWIQKLKPSHSP >cds.KYUSt_chr1.25131 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150684315:150685039:1 gene:KYUSg_chr1.25131 transcript:KYUSt_chr1.25131 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGNLAHGGDDGSVGGGIRRRQGAAGANVVHDFAQQEGAPAGPPFAFESASHHIHLGIFLFSGRVSSRIIRLVENMMDQWSSRIRGLVENMTDQWFDEYLL >cds.KYUSt_chr3.35909 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225530102:225536016:-1 gene:KYUSg_chr3.35909 transcript:KYUSt_chr3.35909 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVEADSGGGGGASSSSAADSFDAGQYAFFGKEPREGLELGCLEVDGGHGNGGGFSGAEEGLYRLSSVGEEIDNLSNLSDVDDLASTFAKLNRTVSGTRNPGVIGDRRSISRGSSLTVDWAEDAEFPNYQDILENEEFQDGKRWWSQSHSMVQPGDSKPLSRTTSYPQQPLQHRLSEPITAPKSPPFTSFPPPGGRSPYPAQGLTRHGSIPSFGAGVQMGSPSMQLPGSPYNMVGLPHGLPYGGSMPFGGPNLHVSNPMQNDWSNQANLFSGEHLNLLPNLLQKQISLPNNPMSSLLFSQQQQRLAQLQPSHQNYLNIPPHLFYHHHPPEMTGRFESATSLPSSRDKRSRSGRGKHSLRFSQPPSDTTGSQNGESGGLKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKKGTSTKQKTNFAPTSMKDLPSKSRSSGDQHAYLQVDALGRVSFSSIRRPRSLLEVDLPSSGEGSHDQKSSVRSLEKEPMLAARVTVEDAIGLLLEVEDIDRLLQSSQAQENSFQLRRRRQVLLEGLAASLQLVDPLGPSKSAHSSGLAPKDDIVFLRIVSLPKGRKLLARYLRLVVPGSELTRIVCMAIFRHLRHRALSLDPKPPEQLAGKCQLNYYAQAFLIQTNSNARCCSISLREPRQPAVLIPLVLVDTSLLNQFLVDIAKDLDTIVFRW >cds.KYUSt_chr2.15461 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97399721:97406774:1 gene:KYUSg_chr2.15461 transcript:KYUSt_chr2.15461 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSPQSARWGHVGLLRPAPVTPASTLLRGPSLIPVEAVSVLKLKLEEDIDCVMNPILFGSPSFPSAEPEAQTWSSPNPQLRASSSGPIRLGHADDTLTMKLQVFQQMHVNPILVTRKSTMNLFHESSFRIQEPVVIMASTVQFLAVILLHMCIASYSIHFDPVYISEKEHDYLRFADVRRHCQSVLSSATGLPYDTNRPDRLRRQLSFEKGDWRQDAGKAPLVPFYAGDASSKDALRLPDPLSLAAFVVTHVDGGEEHRARAAVNVSGVLVLTVNQKSVVPDFGPDMFAASPEFNLSAGSIRLKIIFEGVYTERAKGSGEDHAERVLCMVGSAVLPKRSADSVDPWGWAKNSGGWSSFQPPVTADNNILLVLRYPEKLTLTTREVLGQMGSTSSPSDASYFDTVQLRSGLISSGAYNFRPEELPAGVGDALPWSDADNGASNRVRDVYKGSYPCPVLSRYGRHGQVITMLPGWHCNSTTGASCHGIGPFEMGREADADVSSGVRIILQDLQCQEQQGYNMAGAAGTAMVSMVLRALYPWEDRDTAMWRTGLSGKTLSAEGVWNASTGQACMVACRGAGRTACVFRVCLFFPTTLSITRMDTMLGLIYGVDAAGRVAQPPLLSFRQSIGPPRLWGDYTHDGKPLVPYKYNYTKVQQAVELRNRSGSPFDWRKIIPQSLPLSYPRIDDASDEMRSLSTLADRLILIFSTVPGLFRHEWIERPFLFLEVISLQQIIDRYTYRPQRHSEKEASSEGHRLLNVSAELTISQHQWPQNSVMSLEGVYDPEDGRMHLIGCRDVRPPRENSSASRELQLEDGMDCSIEVKVEYPSTTMHKFLMSTAKVEIVSMRTAADPLHFDAVKVRARPYNYLQELPGGFFHGVANGALCIVLLSATVAAVLSQLRYLRSHDHVAPYISLVMLGVQALGYWMPLVTGGEAILARVTLRWSGEGAMAPSSSSSVPYYMFDNGRLCESIDRAVKLLSLCAFCLTLRLGQKVWRSRARMVARSPPLDLARVPSDGKVLVYVYGVHLAIFMLILALNREAVTVEQQVALMQDLFLLPQVIGNAVWRVNCMPLEGSFYVGVTAVRLLPHVVGPARYSGEPEVVDGASGGRFFAKAGDVVIPLAAVMLALVVHAQQRWNYAIVGRIGIPENRKLQHIF >cds.KYUSt_chr6.31836 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201178122:201179654:-1 gene:KYUSg_chr6.31836 transcript:KYUSt_chr6.31836 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGSAHGDVGKAAPSKFSLPVDSENKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLVKADIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLAAPTVFCMSLIDDAAGYITVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIKKCGATAFVAWRIAYFVPGMMHIIMGLLVLTLGQDLPDGNLASLQKKGDMKKDKFSKVLWGAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYYFDYFHLDLSTAGTIAACFGMANLVARPTGGYLSDLGARYFGMRARLWNLWILQTAGGAFCIWLGRASELPASVTAMVLFSVCAQAACGATFGVAPFISRRSLGIISGLTGAGGNFGAGLTQLLFFTSSQYSTGTGLQYMGIMIMCCTLPVAFVHFPQWGSMFFPANANATEEDFYASEWTEEEKREGLHLPGQKFAENCRSERGRRNVILATSATPQNNTPQHA >cds.KYUSt_scaffold_1854.590 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:3104075:3105016:1 gene:KYUSg_scaffold_1854.590 transcript:KYUSt_scaffold_1854.590 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSLSQPLSPSPPASAASTPALSASTTLAAASASRPKKKQRSSDSSASKKPRLALSVRGRPLSADGEVAAAIRHLRAADPSLAAVIDAHEPPAFHCPHRPFHSLVRSILYQQLAFKAAASVYSRFVALLGGEPGVLPDAVLALTADQLRHIGVSPRKASYLHDLARKYATGILSDAAIVAMDDRSLASMLTMVSGIGTWSVHMFMIFSLARPDVLPSADLGVRKGVQMLYGLDDVPRPSQMDKLCEQWRPYRSVAAWYMWRLMEAKNTTMPPLITPALPAPGQPFTLQQQQQHQPAPIQIIDPGHMLPGLG >cds.KYUSt_chr4.5493 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31801755:31803583:-1 gene:KYUSg_chr4.5493 transcript:KYUSt_chr4.5493 gene_biotype:protein_coding transcript_biotype:protein_coding MDADMYKEKKMSWTTTSPPICTDMNNYVKLYDYIKLFVEFYIANIKQCQGLHHASRRRKPSKTKPRRVSPPLRCESPPHAANDNQEPAAAAETLPPPPKNPPALCKGDPTIASPACRRNYDVNDDLHCIEPICTDMNNYVKLYDYTKIYVEFYIANYITKFYINMISVDILVNTAVAVYDTKTPPPPQNRCRRRATTKTRRRRSTTAAAL >cds.KYUSt_chr2.5401 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33459163:33459405:-1 gene:KYUSg_chr2.5401 transcript:KYUSt_chr2.5401 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPLTTKLTTKIDVYNFRMVLAQIMDPALKGIYPVEAAQKVALLTCNCLQIKPMEMPDMSSIVQELKLLTNVGVAEDIC >cds.KYUSt_contig_5897.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001177.1:41693:47386:1 gene:KYUSg_contig_5897.3 transcript:KYUSt_contig_5897.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGCGRTASALGQDEAVVLQFVTHLQGASRLIVSAPLPRDRRAVQVDNVPGLIFDYLDRQQVTFRLGCKSLVSLWFSMPSVHALRICRLRFSVGVMIPKIWLWKACCHSVWHWLKCEHSASSYNSRLRCGRFGWPLASGPRRSVVATAMLLSWLCEEGEGLDMMDTTPEPDKEVVRSEPEPGLTRRYLSCLPPQSVQDGTFSRSGVLYRAIASI >cds.KYUSt_chr3.48451 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303081754:303082317:-1 gene:KYUSg_chr3.48451 transcript:KYUSt_chr3.48451 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGGGAGAGGGAGEDINQLVADLNRQHDELTARLDAEKANKDQADAAMAMAKERSAPSPVAAWLEGYVRDKEEEELMEFEAALEVMQARANQELQDALNHSRDMAAKARSNMVPVTAPQQQPLGMGAAGNGGFDFDAGSSSSSANNGAEMDMQMQLMMTMTPPPPMLELDDMEIEMLLQGFDFTP >cds.KYUSt_chr3.43436 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274242299:274247126:-1 gene:KYUSg_chr3.43436 transcript:KYUSt_chr3.43436 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAHAERTAAPGQARPRPDKPCSAPHHNGEVHGGAIAPGALGAAGSRGSRNPSHGKGVEDEREQCQLQIDAEGDDRHRGAVRLRPMARANSGGARRNQAATTVATWIASEGIRVRVRSHARERERSEVVEPDQVDCLNRLEENYDNPEGAEIIGYEEPDLSGVQQVCLPMHDPRESHLNLVKRILRYIKGTTHLGLQLHRSSSHELIAYSDADWAGCPDTRKSTSGFCAFYGSNLVSWSSRRQHTVSRSSAEAEYRAVANCIAESCWLHQVLHELHHPPTRATIVYCDNISAMYMSSNPVHHQRTKHIEIDLHFIRDKVALAASRTRSSSGRSLSAYPRRPPSLPRHLPRLAPRHLHPQLPSRPPQPPALPPYFYHCEFGCHFCENLIALDHRSGADAQLQHVARIDESDIVGLEASCDGLLVHAASDGYFSICNPATHQYAPLPQLYGFNLFGMYLHSPTGEYRLLLRAQRDTAYYVFTVGSGQPPRHIEYPEAEEATDNPLSVLVHGNLHWLLHAEQHVEEGTSMIMVFDTTAELFRQMSAPPVSGSAQLFEMGGMLAMACSVMTDRESANKTYDVFVMKDYECQVWAFKCRIALQVEEISTHCENQHSLAGALVMPGDGELLVLVDTQDSVHHLDINGKLVASFYCGGRLTLSKFRLKQTLVPHTLFSSIESYVVNDSPFIWRSNFSFLKERYEQEEALLGQSA >cds.KYUSt_chr3.28357 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176884112:176884923:1 gene:KYUSg_chr3.28357 transcript:KYUSt_chr3.28357 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLKPVAGMLLVLNFCMYLIVAAVGGWAINHAINNGFFIDSGLALPAHFSPIYFPMGNAATGFFVIFAVISSVVGMAAALAGFHHVRAWSHESLPAAASSAFIAWTLTLLAMGLAVKEIDLHGRNARLITMEAFTIILSGTQLFYILAIHGGR >cds.KYUSt_chr3.23948 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148589631:148595587:-1 gene:KYUSg_chr3.23948 transcript:KYUSt_chr3.23948 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQASLLLRPLPSPLPQRRRLPLPPNSLSFPHHRRPPLSLRALASDGPSQSPDPPAAAAAAAAEGPAPEGGKEELEDLVDRARVWALALAAALVNAARRFVDWVVSGDWMSWWPFWRPDRRLQRLIDDADADPKDAAKQSALLHELNKFSPEDVIKRIEQRSHAVDSKGVAEYLRALILTNAIADYLPDERYGRSATLPALLQELKQRVSGDEDKPFSNPGISHKQPLHVVMVDPKATGRSTRFVQEIFSTILFTVAVGLMWVMGAAALQKYIGSLGGMGASGVGSSSSYSAKDLNKDVTPEKNVKTFKDVKGCDDAKKELEEVVEYLRNPTKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPSPDVRGRQDILDLYLQDKPVATDVDVNAIARNTPGFNGADLANLVNIAAIKAAVEGADKLNASQLEFAKDRIIMGTERKSMFISDESKKLTAYHESGHAIVALNTKGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEENVTTGARNDLHTATELAQYMVSNCGMSDAIGPVHVRERPSADMQSRIDEEVVKLLREAYERVTRLLKKHEKQLHALANALLERETLTSDEINKIVHPYQEPQLPFQEEAFALT >cds.KYUSt_chr7.39543 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245803406:245807711:-1 gene:KYUSg_chr7.39543 transcript:KYUSt_chr7.39543 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPSSSLLPRVCVTGGGGFIASWLVKLLLSRGYAVHATVRDPCDPKNAFLKQLDGAPENLRLFKANMLDYDTLTAPFAGCEGVFHVASPVPEGKLVDPEASASSILLLGKNCLTVTDLVPHTTVQKEMMTPAIEGTRNVLKACSAMNVKKFIQVSSVVAAVYNPGWPQGKVRDESSWSDKEFCMENEIWYALAKTEAEEIALEYGKKNGLQVISLCPGVVFGPLLQHMVLNTTSKVLVYIIKGGPDTMTNKSWPIVDVRDVADALLLLYNKAGSSERYLCSLDLLDMKDLLEILKNMYPNYSYADKIVDADYRIEMTSHRLKNLGWKPRKLEETLADSVESYEEAGFLQVSEPCRLPFLFLVPTVQE >cds.KYUSt_chr7.15799 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98096193:98096780:-1 gene:KYUSg_chr7.15799 transcript:KYUSt_chr7.15799 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFPPVFFPRFVPPAPPWFSFRPSPRPPPPPPSSYKPPSRGRIIAGVVIFIVASMLLYIIVCTIRRAQRNSRARAAAAAAAAGPETPSEMSSSSVRVDEQPLHRACVASPTAGLPMFTYSQSLKHNVTGPGEEAATCSVCLGALQLGETVRLLPVCLHLYHAECIDPWLDAHSTCPICRSDTDPTIGVGRVPPV >cds.KYUSt_chr6.4607 pep primary_assembly:MPB_Lper_Kyuss_1697:6:26944691:26945926:-1 gene:KYUSg_chr6.4607 transcript:KYUSt_chr6.4607 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRVSDAISMGIRVMCYRFHSQDLIADSIETVIGAQHYDANISVPGCDKNVNARYNNGNGMSYSTKYHGLWWYNLENSQGNSYDIVSALLSYGEFVSG >cds.KYUSt_chr3.22721 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140486370:140488587:1 gene:KYUSg_chr3.22721 transcript:KYUSt_chr3.22721 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEIKLLLSDPPPGVSLNLPEDENAMSSLSTIETRIEGPEGTVYSKGVFILKIQIPERYPFQPPNVTFITPIYHPNIDNGGRICLDILNLPPKGAWQPSINISTVLRSIGLLLNEPNPDDGLMAEISREYKYNRQVFDINAQSWTEKYANPAVVGTSGWSSLDASIPAQNTQMEETQNLQSLVEASNKDCEGSRKKMRLLGKKLSLKSEGSEENATTRKQDEVADHSRSTAISTVPSTCLADVSVKQNPTSRSMSVSADSGVTSKKGYQANRTNLQLHEQRLPVTSEAPNQRSSGSVEDKFSYDRSVSASGTKDYVTRSSDDFLVESVAKSVGGSSDSVCKPSEGNGANVRSLGQKMPLKLAKLGSKSNGQKDNMAPDHLPSLSGFSNLQRRSSDVSRQNSVGCTNLIQQNSRTEHVLPSTDSVPNKECNQGRKKPSLLSKRLSLKSEPPEMDMSSDKGYTQPDRSHDNRKPSELPLSAPVPISQSQTRGQGFVDSQKSVSQSYCPFKQNDVVLDSDDSTDECQIIEPGLLHSQKNARQSSCSIKEKATPKENVVSDSEDSADECEKKPSRSRLSLMKRRLAGKLRL >cds.KYUSt_chr3.7571 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43613114:43613742:1 gene:KYUSg_chr3.7571 transcript:KYUSt_chr3.7571 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHNGGVKGFVSNLVGGSKGHGYGYGQQGHGGYGSSYGHGGYEQGYGGHGQQHGGYVQQGHGAYEHGYGGGHVQQHGHGHGHEHGYGSHGYGGHEQHGYGGGHVQQHGYGHAAGAYPPHGGYQAHGYAPAAYPSHGSHHGGGHMGSYHTGHGGGYGHGHGGKFKAGKHGRKWK >cds.KYUSt_chr1.37670 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229972332:229975894:1 gene:KYUSg_chr1.37670 transcript:KYUSt_chr1.37670 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFLVRQLMERYKEQKKDLHMVFIDLEKAYDKIPRNVMWWALEKHKVPIKYITLIKDMYDNVVTSVRTSDGDTDDFPIRIALHQGSALSPYLFDLVMDEVIRDIQGDIPWCMLFADDVVLVDDSRTGVNIKLELWRRTHESKGFRLSRTKTEYMRCSFSSTRHEEGEVSLDGQVVPERDTFRYLGSMLQKDGDIDEDVGHRIKAGWMEWRQASGVLCGKRVPQKLKGRLSPHAMATLFFPMIIVRRFTLAPGRQDAGEKEVQNWCPDGFRRNGGELVSRFLSLLVNKFHSSHAYSEEKQLKRLEQLILRARTVVEEADGQYITNSGMLEQLSMLAKALYRGYWALGAFRYRSLEETPIDEEEQKEEVSNSSPPKRLRTFHGSARKKKATYLPELQGALESLEDAVSSMTEFVIILGGYDRMLRRPYDVYLYNDNIMFGRHSEKQKLLNFLLQHGSPGGALAVLPVIGGPGVGKRTLVAHVCKDERVSSQFSSILHLNGDSVCRIADHVSVLSGKVLVVVELVSDVDQEDWVKFCSTLASMDSGCKVIIISRCRSSEKLGTVKPIFLNTLPYEEFSYLFKTLAFGSADPAQHPPLARIADKMAREMQSDWSLVAANLLADVMRRNLNLHFWLSMLSRMKRFVERNFSMFGEHPQLLILRRHQIDVTDFLHPASPLRILPSCTTGSSLTEITKKRQLLPNVRLGDLVADPGARPQGDFNVVSWESRLPPYTSFVHFASNGAPSVAEDTPLSRRKRKSV >cds.KYUSt_chr4.26300 pep primary_assembly:MPB_Lper_Kyuss_1697:4:165334264:165334949:1 gene:KYUSg_chr4.26300 transcript:KYUSt_chr4.26300 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKFARYQGIGPDRLLLARSRDPKLDGSDPEKEFMEMSSMSRSFGNGGIEPIAAMLKFPQPVRKPILYTCT >cds.KYUSt_chr2.46159 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288092898:288095219:1 gene:KYUSg_chr2.46159 transcript:KYUSt_chr2.46159 gene_biotype:protein_coding transcript_biotype:protein_coding MPNELYLPTPESGMPFTQELQSPRQPTLRNAIQPLRHLSMGAHKLYPAYLKRQMGCVPSHGKNPGRSVHGRTATTLAGLKLRRDKLLRYAPCPLWGNVVALSFSPGLPHSKVFEKLLFLKTISQPFFEGSKPIVQLFLKTGDKDDKVVSTISLIRVRSVVHKLLQLDHVLLMATAQTGSSSTSGSDSSRRWQLQGVLGIEERCIRTRRGRGTRQRAEKRLGSVGVEHNLARHLAVTTSAGLALCSEETNNTAPQRYSERGARRHGQRPGKENGLKVALPSATNASAELQVNNTVEGHRGKARAELRRRRPSSGT >cds.KYUSt_chr6.12114 pep primary_assembly:MPB_Lper_Kyuss_1697:6:75369470:75370528:1 gene:KYUSg_chr6.12114 transcript:KYUSt_chr6.12114 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPVFDIAGSSGDGDDSSGPTFSPLVIAIIGVLASAFLLVSYYTIVSKYCGTFSSLRNRVFGSRAGGGGDGHGHGDSRGQQEPWDAAPSDGMDETLINKITVCKYRRGDGFVDSTDCSVCLGEFRDGESLRLLPKCSHAFHLPCIDTWLKSHSNCPLCRCNITFVAAAQEPEDRRGNHEFVLTIEDYSEAGPRREEPQTVPSSNGGGNQDPKDHPGRSEAANGVVEIREDGAAPPIPVRTPSSLSDTHREARMSIADVLEASMEDELTAARESGLLQPGSSTSRRCHGEHSKDGAPTKKLPSAGMSCFSGKSGGGRGKDSAPPM >cds.KYUSt_chr3.41139 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259626720:259632667:1 gene:KYUSg_chr3.41139 transcript:KYUSt_chr3.41139 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHVEDDHFARTPLIARPLPLPRRAAARLHPLPLLVAAAAFATYYHLLVAPAPSYYHSLFLSLGSNETAAAHLRALTARPHLAGTEANALAADHVVSTLSSLCSFPTRVTPYSVLLSYPAHRSLSLSAPGRAATAFALVQDAYAGDPYAAASAEAVPTFLAYAASGSAAAEAVYANYGRAEDYAYLASRGVNVTGKVAVARYGEVFRGDKVKNARVAGAAAALIYTDFKDYTAPGKAFPDGPWMPPTGVQVGSAFKGVGDPTTPMWASSEGCERVSIAEAMATDDMPGIPALPVSGRDGEEILQLIGGDVAPEDWQGGEGAPVYRLGPGPAVLNLTYTGNETMATIQNVIAVIEGKEEPDRYVILGNHRDAWTFGAADPSSGTAALLELAQRFSKLQSKGWRPRRTIILCNWDAEEYGLIGSTEWVEENRAILTSRTVAYLNVDIGVCNSGLDVLATPQLDELLKQASKQVQNPDNGTESLYDMWMASDSSLIGRLGSGGSDHFAFVQHIGIPSVSMSVGSDYAVYHSLYDDFTWMEKYGDPMFRRHVAVASMWGLLALRLSDDEILPFNYGSYVAELEKGAVGINKSVLGMPVSLSPLQKSIKEFDKAVLKVDSELKALQTWNVWSPWRNNPLRVRDLNDRLMMTERAFTEREGQSGRPWRKHMIYGPSLHNDYGAEVYPGVDDAIQTAKRTNTSLSWQSVQHEIHRIARVISQAALVLSGGLT >cds.KYUSt_chr5.32398 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205575012:205579382:-1 gene:KYUSg_chr5.32398 transcript:KYUSt_chr5.32398 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEPRRTDKDEVPGRTQAQEGKTAGAHQTQIGPEGPRPEPASPRRHGAISGRPAPPAAVSAVAVVPASPPPSPAAVAVAGHPRILPAAPPPPDLVAAREQPPPPAAGSPPRHEASTPLPRQPRRRAPRQRAALTGLVGPRQVFHVRGRGVLAAASARALPSDALRRRRGEGGAGGRDMEGGNDVNMEKSDEILLPGFRFHPTDEELVSFYLKKKIQQKPISIELIRQLDIYKFDPWDLPKLASTGETEWYFYCPRDRKYRNSARPNRVTAAGFWKATGTDRPIYSSEGTRCIGLKKSLVFYKGRAARGMKTDWMMHEFRLPSLADPSLPKRPIDKNIPLNDSWTICRIFKKTSSMAQRALSHAWGPPLATEQDRFSGMQSVQASHFASESSHSLQAAAAPASQFITSKYGFQGQQQFQKASNTQDGSSCKVISFNCNPAQEVQKGPIILPFQAQPSHKPAHAAPQLFDAQFGQPEHIAEFVIDSSADVNFGMSCRNQESSTVKPGNTFNMTNEWEAPGRLNFPFDLGVDSPDDWKCNIPWESFLSPTVPAEMPQY >cds.KYUSt_chr4.37825 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233296177:233298142:1 gene:KYUSg_chr4.37825 transcript:KYUSt_chr4.37825 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGGADKGTTAAWMATHGGMYERATRHPFTVSIRDGTVDLAAFKRWLGQDYMFVREFVAFLASVLLKCCKQSDSSDMEIILGGLASLSDELSWFKKEAAKWSVDLAGISPLTSNTEYCRFLQSFNDPEISYTVAITTFWIIETVYQDSFAFCIEEGHKTPLELLGTCQRWGSPEFKQYCQSLQRIADRCLANATSDAAKSAEEAFLRVLELEIGFWDMSSSQS >cds.KYUSt_chr7.28480 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177709905:177713761:-1 gene:KYUSg_chr7.28480 transcript:KYUSt_chr7.28480 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVHADDIEISLCDGNSEDERRRRKIGSLRRKAIHALKKRGRRRVDFRFPPAISIEDVRDAEEERAVASFRERLAAHGLLPDKHDDYHMMLRFLKARKFDAEKAMQMWADMLRWRKEFGADTILEDFEFEELDEVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFREKFPACTLAAKRHIDSTTTILDVQGVGLKNFSKTARELVLRMQKIDSDYYPETLHQMFVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYLSRLLEVIDSSELPEFLGGSCTCSDKGGCLGSNKGPWNDPYILKLIHNLEAGSVKDIKPVSDGEERSSSSLRLEQLKWQGMMSDTSNAESGSDVDDFGSSYIPKGTEYGCLTPVHEESYVDISLETGRRVRRTTETVPKQLADNRQFSTNGSSRDIGNVGKLDGTIVLMGVGNLIKLVVSALIKIFSFFRLFISVPVRRLQSANPPTAPVPVAEKPQPRTVSDADMSACLQRIENLESMCNQLASKPPEIPEDKEQILLNSFERIRSIEADLERTKRVLHATVAKQQSLVETLEAVQESTSVRRRLFCS >cds.KYUSt_contig_402.130 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:788716:794695:-1 gene:KYUSg_contig_402.130 transcript:KYUSt_contig_402.130 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGPQKRACRAHPHPHGSPMASGDPFAAAGDVAAASCSSSPTPTRLREALAALSEAFESGDTACSDAAVAAVSGVLSPHDAGADALVSEQMLREVHAFLSCPSSNQMAIDALSLELPKSVAELGARMGNCRDIAKTILDFFALNCNPRDMLSILCEALDTPVTLDGSAYLAILLDGLAREPDVEHGMTAVDMFNSALGIGSAIQEMCKTMVNHRKEELCSILGLYALQIIALVSESTHENILHTCGSVVLQYSKFLTFCGFTYLGLLTGNDVASATNKLSKEEDEDFLDCFSFAMDGASLVDLVKNEVLRESRQTDRSQDAGVSPPWASHALELVELILRPPEGGSPCLRDHSEQVLSALNLLRLILILDSRGSRAAKLFQDETIRKVHTEWLIPLRPTVAGILSELEKDGSKDANQIMCLLNPVQLVLQRCTELVEEKMKG >cds.KYUSt_chr5.39969 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252606262:252606561:-1 gene:KYUSg_chr5.39969 transcript:KYUSt_chr5.39969 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPHWGETPCAFVALKKEFDSGAGEVSEEELVAFCRSKMAHFMVPRKVVFVQELPKNATGKVQKLALRERARGLRPRAADKKRPDPSRPATMTAVSKL >cds.KYUSt_chr5.39032 pep primary_assembly:MPB_Lper_Kyuss_1697:5:247210297:247210959:-1 gene:KYUSg_chr5.39032 transcript:KYUSt_chr5.39032 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSTSGQDLQQSRHRTVTSEPPKRPAGRTKFHETRHPLYRGVRRRGRVGQWVCEMRVQGARGSRLWLGTFATAEMAARAHDAAALALSGPDACLNFADSAWRMLPVLAAGSFGFGSAREVKAAVALAVVAFTVRDTAVASALSAAAEKVAVVIGSPKPSAALFYMSSGDLLELDDEHWFGGMVAGPYYESLAQGMLVEPPDAGAWREDGAAVETQLWS >cds.KYUSt_chr4.33238 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203659119:203662759:1 gene:KYUSg_chr4.33238 transcript:KYUSt_chr4.33238 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGKPNTNAGGDTKGRKRKFLPHGKPVRKGAYPLRPGVQGFFITCDGGREQQATREAISLLDTFYEDLVDGKGFADKSKIIPDKPLNKKIKFDDSDSSDDEDADHSVKETNNGNDAEKGEAKSSEKQQEVLGNSEITSKDDEEQVKNADGSAPKKQRVEDAPVEPADKPTEAIEEPKESIDKPAETSDIPKESTEKSAETSDKPKESTDKPKESIGLPKASKDIPIDDLIDQDLKQLGDRKKRLFASVDSGCNGCIFIQMHKRDGDPGPVEIVQNLMSSAASTRKHMSRFLLRVCPAEVVCYASEEEITRAISPLVEKYFPKESPSGHKFAVLYEARSNTGIDRMKVINAVAKSIPQAHKVDLSNPDKTIIVQIAKTICMIGVVERYKELAKFNLRQLTSPPEK >cds.KYUSt_chr7.29674 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184679649:184681434:1 gene:KYUSg_chr7.29674 transcript:KYUSt_chr7.29674 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSQLPSDTDSEGKPSRWRHWWDKVATPSSDGSPPPDNEEEWVAYEEEEEEAEEAVVARAEAKAKAKAQSASTVDDEEDISSSGASNDTVSSEEVTSRKCHREDDEAGPSKKKNYRKQRHRGMELPPDLGTMSDAGRLFSSPIRRGGAWLLRPNLDPKSGFINPVLKSNIVHCSTGGVFRRLQEPRPNLAGILRLVPPGKLALTPFTGWSGAAAVQVATATRASNSVLG >cds.KYUSt_chr1.5697 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35167068:35167742:-1 gene:KYUSg_chr1.5697 transcript:KYUSt_chr1.5697 gene_biotype:protein_coding transcript_biotype:protein_coding MYQHRPSGEYRLLLRWTLSDWHQISTLGCYVFTLGSDQPPRYIEGLKVEVSAYLHTPALVRDSLHWYLGQKASKLVIVFDNTSESFRQMRAPAVPTYSYIFEMDATLGIYSYSHYGRNADIWVLQNYESEVWEHEYRVQLPVTKLDRLNESWTVSVVSVDDAILLLVSHGGWMFYVNTDGKLVDSFHRDGQAFYACGLRLKQTLVPHTVFTAPESYDANASPFV >cds.KYUSt_chr1.31173 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189096531:189098523:1 gene:KYUSg_chr1.31173 transcript:KYUSt_chr1.31173 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQMYRSLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIAPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEGDYEDEEDLQADEE >cds.KYUSt_chr1.18864 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110681300:110685937:1 gene:KYUSg_chr1.18864 transcript:KYUSt_chr1.18864 gene_biotype:protein_coding transcript_biotype:protein_coding MECGRGPPWTRLPALILLLLACAVAGRECRVVHVAEAHRRSMLANGLGSTPPMGWNSWNHFACDGNGEVVIRETADALVSTGLAAAGYRYVNLDDCWAEPERDAKGYLVANKKTFPHGIKALADYVHSKGLKLGIYSDAGYKTCAKAQPGSLGYEEKDAKTFASWGVDYLKYDNCNNGDLKPLQRYPDMSKALMKTGRPIYFSLCEWGDMHPAKWGAAYGNSWRTTDDIQDTWESMTSRADQNEVWAEYARPGGWNDPDMLEVGNGGMTNDEYIVHFSIWAISKAPLIIGCDVRHMSQNTYDIIANKEVIAVNQDRLGIQGKKVRMQGSQELWAAPLTGYRMAVLLVNRHAKDDAEITVHWDDIGLPAGTTVEARDLWLHKTLDAKFTDKMAFNLTSHTARIRWIKIGGASSIHSVGSGYRVHIIKREKDEAKSSAQSTDGHRLLFRPQVWPSVLQPPLLLPHVWLPALQHPLPLPRERAPPHDRRISGGLVPPRRRREGGGGLVETELGGVAGELERLTPPCPDADSGGVDRHRKDPHPARACWPHARGGLEALDVSGSGGDG >cds.KYUSt_contig_3510.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000679.1:117986:124063:-1 gene:KYUSg_contig_3510.15 transcript:KYUSt_contig_3510.15 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFGPLHEAACTGKIDTCKYLVEELGFDINCEANNDSGITPLACAVLRGKSITVKYLLDKGANPDKQDNKGFAPLHYATKEGMDRLVRVLLSKGASVNVLSCEGTPLHVAASYGKSGIMQILLQHNADPHKVVADLGTPMAAVLSVASGRVSESAVLKCMKLLVKGCMTPIEIAANSGRRKLVEILFPFTSPIQTVSNWTVEGIIAHAKLRKNKSELSDKDSNVQLKLNAEKATKKQDSSATNPCSKRGDKDKKAELKLLGAKAVEQKDYASASKFYSEAIEVDPEDATLYSNRSLCHLRRSETDDALLDANACIRLQPDWPKGYYRKGAALMSLKEYKEACDAFLAGGKLDPASAEIHDAFWEAVEAMKKEYSARQNSKSSD >cds.KYUSt_chr1.18942 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111230620:111230850:-1 gene:KYUSg_chr1.18942 transcript:KYUSt_chr1.18942 gene_biotype:protein_coding transcript_biotype:protein_coding MMSANVMASPDHLSQPIAPPPQDSKVAVPGLRLLYPEVTATGPDRHRHEVAVPGRPLHLPLIAVLLRRILLAISRA >cds.KYUSt_chr5.18706 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121000587:121002002:-1 gene:KYUSg_chr5.18706 transcript:KYUSt_chr5.18706 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSRKEIDSHGPDYITDCPFVFTSPISSASCSCEPPNPNLRSLESRRSRLRTTHLVDLLLSHAPLTGWMDWISNLFAYKSAQIGRSSSSPRTAMAPGFKKGAKDSPVDKITDDILADIFSRVPYKSICCCKCVSTRWRDLFSHPDHRKKLPQPLAGFFHKGYNKNRFPSEAQYFTNVSGKGDPLVHPSLSFLPNYDSLDILDYCNGLLLCQCCNTSDYVVCNPATEKWVDVPATEWSSEAQARIGFDPAVSSHFHVFEFIDEEGWGIAEEEQHDCFNRIEALAIYSSKAGGWKHQNFDGFEFAVFYDSRSVFFNGILHVATSEGFILAIDVEGNDRGLVTIPIPPYNDDVFVDDIFLSQRQLCTACVDRSQLTVWVLEDYKSEKWTLKHNASLLELFGEGYRGTVISFHPERNCIFVVCGHENTLMSYDMDCRKMCSICQLERDCQPKRVKTPFLPYVPLYSEPLADGN >cds.KYUSt_chr1.26800 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161671492:161673402:-1 gene:KYUSg_chr1.26800 transcript:KYUSt_chr1.26800 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSAGNGKEMTKLESGDASSSSSSSSSAAATMRNPRRFTWTGPAIVLGFELLESIAFSGVALNLVVYLGTVLHGTTAFNAAHVDTWNGTTFIVPVIGAFLADSCWGKYNTIVASLLFYLAGLVLLALSAGISPLRPASCEGISCPQATGKQFSVFFAALYLTSIGTGGVKSALLPFGAEQYDVDGGPEQARRKQSFFTWFFGAINLGIFVAGTLVSWLQQNVSWALGFGVSALCLLLAAIGFLAGTPWYRMQRPTGSPLKDILRVMVASVRKRKAKLPAAAGHGGAVLHEVAEDGDLQKLAHTKGLGCLDKAAVKGGDGKEGPWDLCTVSEVEGVKILARMAPIWLTCVLYAASLGQMTTTFIQQGMAMDNKVFGRLKVPVASMVSVEVAFMLLWVLLHDTVIMPLARRWGPAGSAGLTQLQRMGVGRFLVVVAMGTAALVERRRLQSFAAGRMMGIAWQVPQFVLVAGSDVFCGIAQLEFFYGEAPASMRSICSALSFLALSLGFYVNTAVVTAVSHLRPGWLAPDLNAGHLDYYFWLWAVIGAGNLLLYILLAARYTTKQVVLHSPSSSSST >cds.KYUSt_chr2.40627 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252391252:252391548:-1 gene:KYUSg_chr2.40627 transcript:KYUSt_chr2.40627 gene_biotype:protein_coding transcript_biotype:protein_coding MTESPRHEDPPPRWELSPHHELAASTESRPHQELASNRGFAASAESLMQLDPNRDLAVSTESPLRLAPNRELAAYMESPPHEERTPNRDFAASSKSAP >cds.KYUSt_chr3.19969 pep primary_assembly:MPB_Lper_Kyuss_1697:3:123092137:123097573:1 gene:KYUSg_chr3.19969 transcript:KYUSt_chr3.19969 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMNIRDDKEVEVVVVNGNGNGNGMDTGNIIVIAVGGRNGQPKQVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVFAELLLGQPVFPGDSGVDQLVEIIKVLGTPTREEIKRMNPNYTEFKFPHIKAHPWHKIFNKRMPSEAVDLVSRLLQYSPNLRLTAAEADAKANTAKAKAQPASTGDNEEDSDASADTASSEEVTSRKRHRDDDEAGPSSKKKK >cds.KYUSt_chr7.26352 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164493770:164498350:1 gene:KYUSg_chr7.26352 transcript:KYUSt_chr7.26352 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAMGDDSSREAEEYLFKVVIIGARAVGKSNLLPPPPTRSIGALHDTQLIDWAPPVWGNDDLSACLLPFLNSNNDAGREGKEECGGLLKQATLDISISCKAEAMSIMNSFINNIFEGLVYEVADRIHYNKELTISS >cds.KYUSt_chr7.32204 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200575045:200578142:-1 gene:KYUSg_chr7.32204 transcript:KYUSt_chr7.32204 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLHVYAILLFLSSPFSAVLLCASDHLLVPGKPLSPGSILVSEDGIFAMGFFSPSNSTENHYYVGIWYNSIPERTVVWVANRAAPITDISSANLAITSNSNLVLSNSNGRVFWSTNNSNSINSSLAEAMLDKTGNFILRSAADSSILWQSFDHPTDTLLPGMNLRLSHKMHPLQQLVSWKSQQDPSPGDFSYSGDPDNLLQSFTWHGSRPHRRSPVWTNNLFRMEYMDRFNSTVYMSLHRADDDEVYMSFGMPTGSFVALVRMEIDYSGKVNILSWESSMSGWKILYTQLEHGCNTYGYCGPYGYCDNTQIVPGCKCLDGFEPRNNKGWIAGRFSLGCRRKEVLRCTHQDGFLTFPGMKVPAKFLHVPCRSFDECTEECRSNCSCVAYAYSSMRNMDIDGDDTRCLVWTGDLIDMENFTQGGENLFVRTNRLRGNKRKVRTLEAVLPVISTLLILICIGLIWIFVFRGNQERRDIWRRLSFGDRSSFNEPADRNTEFPILSFREIAAATNHFSESSILGQGGFGNGTLAKDGTEIAVKRLSVGSVQGLVEFKNEIASISKLQHRNLVKLLGCCVHKDEKLLIYEYLPNRSLDAFIFSSGYMSPEYAMEGIISVKSDVYSFGVLLLEIAWSLWKDGNMLDLVDSSIAEGCSPHEALRCIHIGLLSVQDNPSARPDMPWVVSSLVNHAIALPQPKEPQCFGHRSNYGTDGVGESHEYGISVGNLMGR >cds.KYUSt_chr2.47724 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298510354:298514810:1 gene:KYUSg_chr2.47724 transcript:KYUSt_chr2.47724 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSESEATNRGSMWELDQNLDQPMDEEATRLKNMYREKKFSSALLLRLAFQSLGVVFGDLGTSPLYVFYNAFPRGVDEDEDIIGALSLIIYTLTLIPLLKYVFIVLRANDNGQGGTFALYSLLCRHAKISTIPNQHKTDEDLTTYSRQTYEENSLAEKIKKWLETRAYKRNCLLILVLIGTCTAIGDGILTPAISVLSASGGIKVQNPHMSTDIVVLVAVIILIGIFSMQHYGTDKVGWLFAPIVLIWFILIGSVGALNIHKYGSSVLKAYNPVYIYRFFRRRGNSSESWTVLGGIMLSITGTEALYADLCHFPVLAIQIAFTFIVFPCLLLAYTGQAAYIISNKQHVNDAFYRSLPDAIYWPAFVIATASAIVASQATISATYSIIKQALALGCFPRVKIVHTSKKFLGQIYIPDINWLLLILCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTFLMVPVMLLVWKSHWILVVTFIVLSLMVELPYFSACILKIDQGGWVPLVIAIAFFTIMYVWHYCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPLEERFLVRRIGPKNFHIFRCIARYGYKDLHKKDDDFEKMLFDCLTLFIRLESMMDGYSDSDEFSVPEQRTISDTFQAEKTINTMCSNGDLSYSSQDSIVPVQSPLGVNNLLTYSSHASQAVGNELEFLNRCKDAGVVHILGNTIVRARRDSGVVKKIAVDYMYAFMRRVCRENSVIFNIPHESLLNVGQIYYI >cds.KYUSt_chr7.36441 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227743560:227746932:-1 gene:KYUSg_chr7.36441 transcript:KYUSt_chr7.36441 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPKTPAAIAGPSGDSERDLTASAVSMDALGAVESTDDGLEMKEPSVATILTSFENSFDKYGALSTPLYQTATFKQPSATVNGAYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLLQSGQEIVAGEDIYGGSDRLLSQVVPRNGVIVKRVDTTKISEVASAIGPLTRLVWLESPTNPRQQITDIKKISEIAHSHGAIVLVDNSIMSPVLSRPIELGADIVMHSATKFIAGHSDLMAGILAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQDNAQKIAEFLASHPRVKQVNYAGLPDHPGRSLHYSQAKGAGSVLSFLTGSLSLSKHVVETTKYFNVTVSFGSVKSLISLPCFMSHASIPSAVREERGLTDDLVRISVGIEDVEDLIADLDYALRSGPA >cds.KYUSt_chr4.48924 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303102371:303103336:1 gene:KYUSg_chr4.48924 transcript:KYUSt_chr4.48924 gene_biotype:protein_coding transcript_biotype:protein_coding MESYVRKRSWKKGPTRGKGGPQNAACEYRGVRQRTWGKWVAEIREPNKRARVWLGSFATAEEAALAYDEAARKLYGPDAFLNLPHLRAAAGGGAATSAAHHRMIRWLPASGVSSAGSGVGPRGCGSAVPSYGLLNLNAQHNVHVIHQRLQELKNSSSSPTKPPSRRTPPPPPPPPPLAASSPSSTVTTSAMPPSESCFYALEHVMAPYEGAPCESVGAAGFGGGKPQLDLKEFLQQIGVLREDDGGGAALGKDQGTGEVADAFGFGGGNNGGAEFDWDALAADMSDIAAGGHGGGALGVNGAFHMDDLDQFGCMPIPVWDI >cds.KYUSt_chr7.28563 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178189266:178189631:-1 gene:KYUSg_chr7.28563 transcript:KYUSt_chr7.28563 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVVVVDEHERQLPIPAGRVEEDTPICGRSGVARQTEGMVERSLNPLDRDGGLRNELVGSLHQLLRDGGLMDELVGVGSRSSRRRGRTGRHGSLGKERALHHQLTTNGMVRRGQMWKA >cds.KYUSt_chr4.6084 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35672924:35674991:-1 gene:KYUSg_chr4.6084 transcript:KYUSt_chr4.6084 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGDQVESIRSIQVRQALAQIISLGDARSTITSSPSPAFFPDVFGIPRSIFALRSVGSWSGVHCMIVTSALIIWKGLMVATGSESPVVVVLSGSMEPGFKRGDILFLRMSKQPIRTGEIVVFNVDVSHTALFMNARKVEKLISSRKAITILAMTGSCMRMVRIGFSSITLWDGLFLPYVGWVTIVMTEQPIIKYLLIGALGLLVITSKD >cds.KYUSt_chr4.53327 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330202629:330204953:-1 gene:KYUSg_chr4.53327 transcript:KYUSt_chr4.53327 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVLPAFSAAPPPPAPPPPTPAAPVKVYLNIYDISPINNYLYWFGLGIFHSGVEVHGMEFGFGAHEYPTSGVFQVEPKSCPGFIFRRSVCVGTTDMSRSEVRTFLEDLAEDYHGDTYHLIVKNCNHFTADVCKRLTGKPTPGWVNRLARLGSVCNCVLPENIKVNAVGDGSAHLEFSDDGLESNASIVDDSDIDDLDHLLTTPNSEIVSSIDKTLTPGRDSL >cds.KYUSt_chr5.21420 pep primary_assembly:MPB_Lper_Kyuss_1697:5:139847761:139848255:1 gene:KYUSg_chr5.21420 transcript:KYUSt_chr5.21420 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRRRRPNPNKPAEAAPSARYTFGQQLRELPVRAHTHDLAAQRVRARWCAGSARRMWRVRWHGDRSRRNCCRGPWRRGTRCRAHAGQDAALSEYASGEAHEGALHHSASTAAVMSSSRGAGAQVDADAHPHRRWARMWRVRFAGSGHRVRQWPELREVELVS >cds.KYUSt_chr6.7690 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47169997:47174362:-1 gene:KYUSg_chr6.7690 transcript:KYUSt_chr6.7690 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRFVLGDLYMLQSTATFLVDQIISENKKPGECEFLHGCIDARFASMLSTVSNDYKQDPKRIGKGKQGDAYIGHCISTGKKVLLKKLRQSLIIANGEPREVFFTKNIYDPSLRGMQCHWLDGKGSHWIAMDYEQKTLLEYMVDEKYDYHTRLSIFICVVQIVKSLKEKGIVYIDLEPENIFVNEDEGTEEMIIRLGDLGSCELLEDGKNCKPCHFQSWIAQYAAPELTSMKEYNEKAVTFSLGMLYLVMMTSKHFSKDEILDRVTRFRLLISSTEWGVDPRKAFLGSEFAQGFHGNVDLLVKLVDSNASRRAGFDEAEKEAGKRW >cds.KYUSt_chr5.21797 pep primary_assembly:MPB_Lper_Kyuss_1697:5:142327293:142333011:-1 gene:KYUSg_chr5.21797 transcript:KYUSt_chr5.21797 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGEADCPYPVPTLHSAAAMAPKREFEPSANDHEAGSSRRTAPAVFEMGPMAPIRDRIYVTVAVAQMFWDASVPMPWGDVHLPHGWHLSPDRVPVPPIPGSGRTRIAEIRRRRAQLPADLRSTPPTTTQVPTGTCGSRYTTMNELLHHAREAESQLAEEAQFKGRATGAGRYTPRAPPSTALTPSSRSAPYSTTSSKPVSNVTNTKKPEPAASTSDSSMSTTRNRDMNCHTCGGNGHFKRDFPNRKMLQKMMIMTVIMRTRNPVPPIPEIRRRRAQLPADLRKHPAYGDTSTNCDLWFEVEEEILLMMILPLSATGSATTFCYQILPVDTHQPWPTGMDLVGSLLLSMARWVDPSGIEFFGWLITAGSVGLAALIYGLLRLQKEASLYWLKAAAREKEAASKALRCPCSTHTWKEDCFRGGQPSTCCVCLSSLGSAQDADVVHRCSVCGVAAHCYCSRAADRDCKCVAQAGASALLHHWSERWVEMDDNPQISTFCYYCDEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLFKETGNACDLGLLRRLIVPPLSVKEVGQAPAISGVFNSIKQGLVTSTVRGRIRRPRNKKRINNQPGAKTNPVSTDSSILDTVLEGFARLQNLNGKYTLAKSTGKPPKQIHGSDIPNGDEKKYELVDLPQDSRPLLVFINSKSGGRNGPSLRRRLNMLLNPIQIFELSASQGPEVGLQMFHNVKHFRILVCGGDGTVAWVLDAIEKQNYESPPPVAILPLGTGNDLSRVTRWGGGLSSVEGQGGICALLNDVDHAAVTVLDRWNVAIKEKNGAEGQCTKQVKFMTNYLGIGCDAKVAYDFHTSREEKPDKFSSQFVNKLMYAREGAKDMMDRSCSDLPWHVSLEVDGKDIEIPEDTEGVIVLNIASYMGGVDLWQNDNDHDDDFSSQSMHDKMLEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRFHLHSSFPVQVDGEPWIQPPGCLEISHRGQMFMLRRTSEEPTGHAAAIMSDVLVNAECNGVIDAAQKRLLLHEIALRLSS >cds.KYUSt_chr3.38156 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240165609:240167414:-1 gene:KYUSg_chr3.38156 transcript:KYUSt_chr3.38156 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPPVPRGEEAVAATTGERRYTRSVRVMDERFIRILKIFKWGPDAEKALEVLMMKVDHWLVREVMRTDVGVNVKMQFFRWAAKKRSYEHDTSTYMALIRCLEGVEQYGEMWKMIQEMVRNPVCVVTPVELSDIIRMLGYAKMIGKAVAIFYQIKARKCQPTSQAYNSMIIMLMHEGQYEKVHELYNEMSSEGHCFPDTVTYSALISAFCKLGRQDSAIRLLDEMKDNGMQPTAKIYTMLIALFFKSNNVHGALRLFEEMRHQYCQPDVFTYTELIRGLGKAGRFDEACNFFHEMRREGCRPDTVVVNNMINFLGKAGRLDDAIQLFEEMGTLRCVPSVVTYNTIIKALFESKSRLSEISSWFERMKASGISPSPFTYSILIDGFCKTNRTEKAMMLLEEMDEKGFPPCPAAYCSLIDALGRAKRYDLARELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAVDLFDEMNKLGCTPNVYAYNALMAGLARAGMLDEALTTMRRMQEHGCIPDINSYNIILNGLSKTGGPHRAMEMLSNMKQSAIKPDAVSYNTVLGALSHAGMFEEAAKLMKEMNVLGFEYDLITYSSILEAIGKVDQE >cds.KYUSt_chr3.15160 pep primary_assembly:MPB_Lper_Kyuss_1697:3:92494269:92494709:1 gene:KYUSg_chr3.15160 transcript:KYUSt_chr3.15160 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEANSLRLPSDCNDLITEEERAGMSYLTKSFKSPVDSQSDSTVQRRRFAGDSDCKEAKRQRQGVELSGIEMQSSDNLPP >cds.KYUSt_chr5.32121 pep primary_assembly:MPB_Lper_Kyuss_1697:5:203784754:203789193:-1 gene:KYUSg_chr5.32121 transcript:KYUSt_chr5.32121 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRFKGTREHNVIGIDLSMPTDCRLRMKLDDHMGTISLLTAAAEKLHNKTPLDPSEELLFISGGLGDRRWATTRKRADRSPRHLTTTHCRAAARYGGQSVGRVHDGERGSGSPGQGAIPPALFDRPLDAIFLNSNRLCRPIPTNLGVVVLAHNKLGGCIPPSIGKMAETLNKIVLIGDELVGCVPPQVGLLLRRRVISTPSSSAPPPRRPTSRFSPSTHAAAAVAWSARDCPCLCLPVAECLLELLDRISSVSEWIGLELRRGLAPAHAERKVMRAWRGKNRWKSSNDKTQSEMAESQSQSNDG >cds.KYUSt_chr6.29656 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188034350:188035767:-1 gene:KYUSg_chr6.29656 transcript:KYUSt_chr6.29656 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDVALNHAEAEAEALSASNYPCPPGFLCPPGRSLSAGGVPVSPGPQGAARHSRGGCVTCPYIGKEVVTCNSQEHGQREEEPMEGKKKRLVAAAVCVLVILLSAKQQRVLPVADAASAFCQCYASCYPDCRANHGRAFCKVSCGWLCVAGDQAGGGCPGVCQQASLCGTVVAADGDGGEPGAADAAAACEEDCAKYWKAKHG >cds.KYUSt_chr2.31332 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193432796:193433489:-1 gene:KYUSg_chr2.31332 transcript:KYUSt_chr2.31332 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQCSPRLLLCRQCFAPHHPFPSAPLPLPGRLSTALRAGPELQPPPAVSAPPPLDTIDDGPVELSGPTLFSVDDNPTPLQVATSVLLTGAISVFLFRSLRRRARRAKELRVRSGGVAKPKNLSEEALEALRMVSTTPVETDKQPSPVQALLGGIAAGVIALFLYKFATTIEASLNRQTISDNFSVSSPQFGLCFL >cds.KYUSt_chr3.8105 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46747525:46748881:-1 gene:KYUSg_chr3.8105 transcript:KYUSt_chr3.8105 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPKTCQGYNTAFMSSLNDHKAWEGNIEDMITMSICESGRPLVDLAHNDDSEADLSAREAAVEYRSGWPPQGRTPPSTVAGGHHEHRRREAAAEHLLGWPPRATPRGGRRRALPRVVAARPSSTLREDRPTPSNMLQEGRRPPSSMLRERPPPWSTHRRRTPTLAMRGRGEAALRPGPGRQVLLCALRRHAALGTGLVLLGFSGAGACALVGWTTPPANRMVVVVASCNLL >cds.KYUSt_chr6.30384 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192426984:192433611:1 gene:KYUSg_chr6.30384 transcript:KYUSt_chr6.30384 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGPSEPAAQEDPPVELGQGSKQVAVRPESTSVTVSAVPSSSKAMRFPLRPGKGSVGTRCLVKANHFIAQLPDKDLHQYDVSITPEVTSRIVSRAVIKELVNLHRASYLGGRLPAYDGRKSLYTAGPLPFTSKEFQITLLDDDDGSGSQRRQRNFTVVIKFAARADLHRLGMFLAARHAEAPQEALQVLDIVLRELPSTRYMPFGRSFFSPDLGSRQRLGDGLESWRGFYQSIRPTQMGLSLNIDMSATAFIEPLPVIDYIAQLLSSNIHSRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTTQSTRELTFPVDEGGTVKSVVQYFQETYGFAIQHTYLPCLQVGNQKRPNYLPMEVCKIVEGQRYSKRLNQNQIRALLDVTCQRPRDRERDIIQMVKYNAYQDDPYAKEFGINISDRMASVEARILPAPRLKYNETGREKDCLPRVGQWNMLNKKMVNGGKVRSWMCINFARNVQESLVRGFCHELALMCQNSGMDFALEPVLPPLYARPDQVERALKARYHDAMNILGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCAKQVFKMNKQIHANLALKINVKVGGRNTVLVDALSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTRYAGLVSAQAHRQELIEDLYKVWQDPQRGTVNGGMIRELLISFKKSTGQKPQRIIFYRDGVSEGQFYQVLLFELQAIRKACASLEANYQPNVTFIVVQKRHHTRLFAHNHNDRNSVDGSGNIIPGTVVDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADGLQTLTNNLCYTNRHNPLPLLDMDDDGQQTWDDEQQWRRIMFLEQCGQTALEENETVARMIA >cds.KYUSt_contig_4909.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000979.1:50838:56895:1 gene:KYUSg_contig_4909.7 transcript:KYUSt_contig_4909.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYRLSRSLNASNKMFNVGFVDPYKVHHDTRPDGFRDLQKILQTCWRALKKNIAGNFTEKLTFTLYRAPKEPLGTNLCGYYVCESIRMLTTEKHNDNRFNVDFMGEAPTTRAHTRNYGGIGGTSNERSWQPRVVLYYTRALLGSHPSIDHCRAFSFVCCEYVLPGELARCARREGDVEAEGVRGRRPVAAVGEQLPGQGGVGVRLDHGTPEERADVDRVRREFTENRFQKKESQDLLMRMQLASARTSERDWRLKVSEGSGPWLRSVNNFPGRAVWKFDLNLGTPEERAEVDRVRREFTENRFQKREA >cds.KYUSt_chr2.6638 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41394703:41398637:-1 gene:KYUSg_chr2.6638 transcript:KYUSt_chr2.6638 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRAASRPRQPQQELGRSIKDSLLALDTKTGAKVLLPSLASLPSVTISVHFLARSAHIQNGNDDHWLLIYYAFSYQALEDVEKNIFTLRQTLSGDGEVEPNQEQVLQIALEICKEDVLSLFVQNLPSLGWEGRKDLVHCWCILLRQKVDESYCCVQYIENHVDLLDFLVVCYNNLEVALNCGNMLRECIKYPALAKYILASSSFELFFQYVELPNFDIASDALNTFKDLLTRHEDAVSEFLISHYEQFFELYKRLLTSDNYVTRRQSVKFLSEFLLEAPNAQIMKRYILEVRYLNIMIGLLKDSSKNIRICAFHIFKVFVANPNKPRDIIQVLVDNHRELLKLLHDLPASKGDDEQFDEERDLIIEEIKKLVRSAV >cds.KYUSt_chr4.52465 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325411339:325411962:-1 gene:KYUSg_chr4.52465 transcript:KYUSt_chr4.52465 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAPLMTLRDLLELKCESSCSDGFRSYPRRLPRHVDDGKALQAKEAPVQLLIESDLRRSPSRTLSSILFPRSPGSLATVSRLTRSLSRRLVFWRRHRGDDDEYGWSVGDERDSLGLPSPVVSSCSASQCSESVAEVADPLVQPEELQSGASDESEKPSPSTSSACTGSANDDASDGVAAGDGHTVSTSQSLCMFDQLPVAPCIAR >cds.KYUSt_chr1.10892 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66585720:66586001:1 gene:KYUSg_chr1.10892 transcript:KYUSt_chr1.10892 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTRDSSSRFQQQLAVVTAGARIPHHQSQFGFAGHVSPQHYAAMAHGVDSYNLAARHLVQGGSTH >cds.KYUSt_chr6.10251 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63102238:63109271:1 gene:KYUSg_chr6.10251 transcript:KYUSt_chr6.10251 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASLEGFPYRGSRYWGFRDGGFKFHVGAGIPGVAPHYISPPSTVNVLLGSYWLLQYLRIYSFTSSSFRSMPGMGYLHRLQRLQQLVFDSKIARLHSTRVFHLHSSSSSGASLREVADAKGGRSRARRRGPVSSTGWPVGSSQRGVRDSADTRRRGARDSAGARLRGVRGSAGVELRGARDSAGAKLCGAWISGGGAEFRRHQAARGADFGGVVAARSAGGSPPLPGCTGRGTPASSGRAIRRREPAAASLRTRSWEIRLGIRS >cds.KYUSt_chr3.42465 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268584878:268586062:1 gene:KYUSg_chr3.42465 transcript:KYUSt_chr3.42465 gene_biotype:protein_coding transcript_biotype:protein_coding MACQPPPPPAPTTILTIGDDLLLEIFLRLPSLPALVRAAFACRTFLHAVRSSPTFRPAFHALHPPPLIGLFLTHREGDIPSFAPLRGRADKDIAAVLRGSDFYLTRVPDDQGCWTIRDCRDGYLLLHNERSGIFAAYSPLARALRRIPSPPTEPLRELYILSERHGSFRLVCVHEDGLQVRAVVFSSHSREWQVLPWTDAATINKHHPNDDKQCLPPAPTTGKLVNGRVYWARNDYLILLDTTTLQFSSMDLPPPMDGQKPFVVGETKDEELCMVCAIDHRLTVAIWVRKRADDGDDVEKWMLNREVALPEIPVFKLAAATRGFLYLYLMARNDPNIVPLCSVFSFCLEEIPAAELKKIFSLYQYELERSFPYVMPWPSSLVCSKKMNPPIATT >cds.KYUSt_chr2.52561 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327914497:327915720:-1 gene:KYUSg_chr2.52561 transcript:KYUSt_chr2.52561 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTQQAPAPRGPHPPQQNGRVDLRDLKAQMEKRLGPDRSRRYFGYLSTYLSQKLSKPDFDRMCLLTLGRENLRLHNRLIRSVLYNAYQAQCPPPPPDAARSAKKVSQAAAGAFNSCNGDARLLQVQGSRPVGAAVQDHQLKDRFKNMGPNGRVEAAAAASHTQVVRAAPENGALSSVELKRSVSFQQHEAKHLRVDQLLPENVLKRRRVVSDAAADHSAQTSRSPLRAPLGIPFCSASAGGARKLLPSTVNAGEDPFTSCYEHGQLLNTEVLRKRMEKTAESMGLAGVTMDCAELLNNGLDSYLKSLIRSSVDLKGADVRNDARRGASYKQHAHGKQINGVWLPNEVQMQSSSGQSEASHDSRSHRLISADDFRVAMQLNPRQLGENWPVLLEKICIRPSEEND >cds.KYUSt_chr6.12499 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78105768:78106079:1 gene:KYUSg_chr6.12499 transcript:KYUSt_chr6.12499 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr2.17848 pep primary_assembly:MPB_Lper_Kyuss_1697:2:112391155:112391436:1 gene:KYUSg_chr2.17848 transcript:KYUSt_chr2.17848 gene_biotype:protein_coding transcript_biotype:protein_coding MARQFPVDSGARAITADAPSAAAGYRLHVHSLLESFAGSPLGSAHHAPHRHNPFDSHFAGGKIILGGLAAAIITSVFCYIRITRAKKITEPKT >cds.KYUSt_chr7.31013 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193168668:193169402:1 gene:KYUSg_chr7.31013 transcript:KYUSt_chr7.31013 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTNTEYTIIQPSPPSGRQPSANAEHVKPPPKCPEHAAPIAKPLPWSTPACGVVLVWPALQRPMLDVHSLYILEQELRNGQFETTYRCMERATGLRYACRSVSKQRLLRPADVEGVRREVTVLQHLRAQPNIAEFRAAFEDADSVHLVMELCSGGELLDRVAARGSYSERQAAAACRDVLTVVHVCHSMGVMHRDIRPENFLLASSAEDAPLKAVHFGLSIFIEPGQQFSTMPQTFASYAK >cds.KYUSt_chr7.35188 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219843887:219846354:1 gene:KYUSg_chr7.35188 transcript:KYUSt_chr7.35188 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRRCVLACFGYYEDDEEAADARPSPVGATGRKRRTVMNLRSLSLEDLSRTLETTSLHAFTLDELKAVTRNFSTTNFLGEGGFGPVYKGSIDGKLRPGLAAQQVAVKYLDLDSDGVQGHREWLAEVVYLGMLSNPHLVKLLGFCNQDDHRMLVYEYMPRGSLENHLFKNVLASLPWSTRLKIAVGAAKGLAFLHEADTPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPKGDDTHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLTGRRSVDKNRRGREQNLVDWARPYLRRADDRLHRIMDPGMESQYSTRAARGAAAVAHSCLQSVPKARPRMRDVVEALEPLLAQDDDVPMGPFVFTVGGGAAESAPAPAAAATNGAGDDEPAPAQGKWHVKSAVHAESPLPLRKGDCWVTSAAKRPESPPGVI >cds.KYUSt_chr5.38262 pep primary_assembly:MPB_Lper_Kyuss_1697:5:241946521:241947928:1 gene:KYUSg_chr5.38262 transcript:KYUSt_chr5.38262 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSRTTTITTTTMATTAMTAALASSEDGWLLYAAAACWRSAAAVSGDIPAWKLFVSCIFGIGRAAVSSESSDSLLFLKI >cds.KYUSt_chr1.1975 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11376568:11381720:1 gene:KYUSg_chr1.1975 transcript:KYUSt_chr1.1975 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTLLTYLLLALVAGSGAASARRHRPPANLTASGNPVYLWPLPKNFTSGTQTLAVDPDLALDAQGPGGGAAAVAEAFGRYRSLIFSPWAHAARPASGAYDVSTLTVVVASADETLELGVDESYRIYIAAAGGVNSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRYAPWYIQDEPRFAFRGLLLDTSRHYYPVDVIKQVIDSMSFAKLNVLHWHIIDEQSFPLEIPSYPNLWKGSYSKLERYTVEDAQYIVSYAKKRGIHVMAEIDVPGHGESWGNGYPKLWPSPSCTEPLDVSSNFTFEVISGILSDMRKIFPFGMFHLGGDEVYTGCWNVTPHVKQWLDERNMTTKDAYKYFVLRAQEIAIHLNWTPVNWEETFNSFGENLNPLTVVHNWLGPGVCPKVVAKGLRCIMSNQGAWYLDHLDVPWEEVYAEEPLAGISDAAQQKLVLGGEVCMWGETADTSDALQTIWPRAAAAAGIDFTSSEAFIVSSPFLSVISVGAYLWLSNHYRAYVESIGGHICPRPGNDRPVSATLLQMPAESPWDRRSSSHKLLCSATSNRPWLMFYPVNK >cds.KYUSt_chr5.15163 pep primary_assembly:MPB_Lper_Kyuss_1697:5:97977188:97982931:1 gene:KYUSg_chr5.15163 transcript:KYUSt_chr5.15163 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAQARSVLPVVLLGCGGVGRHLLRHIVSCRPLHANQGVAIRVVGVADSSSLLVADDLRASGLDDALLGDLCAAKSAGSPLSSLLSRGHCQVFNKPEAMGKVIDAATMLGRTTGLVLVDCSATNDTVALLTDAVSHGCCVVLANKKPLTCAYEDYEKLTSRFRQIRFESTVGAGLPVIASVTRIIASGDPISRIVGSLSGTLGYVMSELEDGKRFSEVVKTAKSLGYTEPDPRDDLSGMDVARKALILARLLGQQISMENINVESLYPSELGSEVMSTTDFLESGLAQLDEKIEERVKAASLRGNVLRYVCVIGDTGCQVGLQEVPKDSALGRLRGSDNVVEIYSRCYESSPLVIQGAGAGNDTTAAGVLADIIDLQDLFRLA >cds.KYUSt_chr7.5258 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31358349:31362402:-1 gene:KYUSg_chr7.5258 transcript:KYUSt_chr7.5258 gene_biotype:protein_coding transcript_biotype:protein_coding MAISATLSEEDEDKIIGEILVRLDDAAALFRCATTCKRCMAPHLWDEDDIVREILLRLDDEAALLRCVVTCKRWRRIVADPDFLLRWHR >cds.KYUSt_chr2.39454 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244595337:244598934:-1 gene:KYUSg_chr2.39454 transcript:KYUSt_chr2.39454 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVFLAAGAPASAAGRREVVTSLHGAVAADDGRCSTIGRDALRRGGNAVDAAVATSLCLGVVSPASSGVGGGAFMLVRLANGTAVVYDSRETAPLAATKDMYGGNRTLKARGALSIGVPGEIAGLYAAWKDHGKLSWKSLVTPAAKLAEAFTISPYLQMQMEATRAGILANAGIRAVYAPNGDILKVNDTCHNVALARTLKAVAARGPDAFYRGPVASQLVKDVREVGGIMTTDDLENYQVKVRRPLSESVMGLTVLTMPPPSAGGAGIMLVLNILSQYGISGFSGSLGIHRLIESLKHYMAIRMNLGDPDFVDVSEVVADMVSPKFAAELKRTIYDNMTFPPQYYGGRWNILEDHGTSHLSIVDCERNAVSLTSTVNSYFGSLILSPSTGVLLNNEMDDFSMPANTSAGSAPPAPNNFVAPLKRPLSSMSPTIVLKDGELKAVVGASGGGMIPAGTVEVFLNHFARNMDPLSSVMAPRVYHQLIPNVVQYENWTTVTGDLFLLDAATRADLLNKTHELRPLAGGTISQLVVHNVESGGDLTAVSDPRKGGVPAGY >cds.KYUSt_chr4.6258 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36738969:36740561:1 gene:KYUSg_chr4.6258 transcript:KYUSt_chr4.6258 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIASGNVKKDWQRHVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNRSLEGLQTNVQRLKTYKAKLVIFPRRARKVKAGDSTPEELANATQVQGDYMPIARGEKRSVEVVKVTEEMKAFKAYGKLRVERMNQRQLGARLKKAAEAEKEEKK >cds.KYUSt_chr2.1705 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10119839:10129944:1 gene:KYUSg_chr2.1705 transcript:KYUSt_chr2.1705 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAPFNVLDPAAAGDAQRRGSDPRELDRCMEQMLSYIYASLPNYPLYLGQQFHAAGAGPDRADRISRLPRDLRRDIVSRLPVKDAARTAVLSWRWRTLWLSTPLVLVDAHLLPKGQDFPPTYSTNSQPITAAVSSILEAHPGPFSCVHLICSRMDSYRPQLARWLQLFAAKGVHDLVLVNRPLPLDLPLPATVFTITTLTRLYLGLWKLPGTAALRGASFPHLRELGLLFVQMEPGDVDYLVARSPVLEILNILGCVKGLRLRLVSQSLRCVQIAASIMENIAVVKAPCLERLILHGSYKRGGGLCTRVRIGDAPKLHAFGYLKPDQVLEIRDTIIMPGIKATTTSMLTSVKILSLTVRFGVRNDVKMLPTLLRCFPKLDRLHIMSKRCDKPTGNLSAKFWEESGPIENVVSRITVMSLREYTGEPGEVGFLEFFFQSARVLKTAIIVTANPIHTPFLKDVAFNKASKSSRNMASLRNPASREPVRVRGNQVFGERSDATTGITTSSSDDEFLHTDNFFPDLSDFFGNLNMGDNDAAAKQINSSSVAAATRPPLFDGMHYKRWRTKAVLWFINLGCFSATDARPEGSLSAEEQEKFEKVDAMFKAALFSILGDNIVDPYMAFDHGKDAWDPLEAKFGISDAGTELYVMEQYYDYRMTDERSVVEQAHEIQSLAKELEQFKCTLPDKFVAGGIIAKLPPSWRNFATSLKHKRQEFSVSDLIGSLHVEEKARAKDTRARSFEGGSSANVVHKKNFQSHKSKNKNNGKGKFDVKNKASNSTNFKRKTPYKKKGNCHVCGAPGHWAPDCPERHDRRENIGKSANVVIGIDTEMKDVGYGRKDFLRADGKRLTCFCSWC >cds.KYUSt_chr5.40971 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258601937:258602326:-1 gene:KYUSg_chr5.40971 transcript:KYUSt_chr5.40971 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTARMKDLGRKYGKVALGVHLSVSAATATGLYVAINNNVDVDAVFRKIGISTGVSTGDEAPPSADGQAPAPTRNRTGELVASSGGTLALALLCNKALIPVRIPITIALTPPIARLLARWKLVKSLKT >cds.KYUSt_chr2.9488 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59822885:59827928:1 gene:KYUSg_chr2.9488 transcript:KYUSt_chr2.9488 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAVFTDPFVLSCTILCLLLHLALRSLRPTSTSGRRLPPGPPGIPLLGALPLVGPAPHTGLAALARKYGPVMYLKMGTCGVVVASSPSAARTFLKAVDARFANRPAVASAADITYGCQNMVFANYGPKWKLMRKLASVHLLGARAVADWSRVRRDEAGRALRGIAEAAEAGRSVVVPELLVCALANIVGQITVSKRVFDAQGDESNSYKEMIVSLLTGTGLFNISDFVPALSWMDLQGVQAKLRRVHTQFDGLITKLLAEHAATAEDRAREGRLDFVDKLRGSNDDEDGETITEINIKGLIFDMFTAGTDTSSIIVEWAMAEMMKNPSIMARAQEEMDRVVGRDRRLEESDIAGLPYLQAVCKEAMRLHPSTPLSLPHFSFEECEIDGYRVPASTRLLVNIWAIGRDPDAWEDPLEFRPERFLSGPAAKVDPMGNYFELIPFGAGRRICAGKLAGMVFVQYFLGTLVHAFEWRLPDGEEKVDMAETFGLALPKAVPLRAVVTPRLVPAAYA >cds.KYUSt_contig_7422.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001517.1:50719:50955:-1 gene:KYUSg_contig_7422.12 transcript:KYUSt_contig_7422.12 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGVPCVSHACAAVLTACAEARLLLRGREVHALCAKLGILVVGRAGRGAGAEAEGVGGAEVGAAADHGVEIRVVEA >cds.KYUSt_chr4.14053 pep primary_assembly:MPB_Lper_Kyuss_1697:4:86473224:86481085:1 gene:KYUSg_chr4.14053 transcript:KYUSt_chr4.14053 gene_biotype:protein_coding transcript_biotype:protein_coding MDARDVDPESAIAITLDDLSEVERRELEQELEKAKAEKLKRFFKTNDGVVKKVTTASPYPMFNAQVTNSKQEVGHLIDVSVDEEEDDASDEHWFGLETGDHRARSIGSLYQVLVSLNEELRGGKSICAGHASSLLPLDSASRHRVVAATELSHAASGRRPRAAAVARIPLDLPSASVALCLSPWRLLLCDPWPPPSRLSPSTNLASGVEERQGAALGRSGGAGRGGLWGGAG >cds.KYUSt_chr1.22191 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131237403:131238464:-1 gene:KYUSg_chr1.22191 transcript:KYUSt_chr1.22191 gene_biotype:protein_coding transcript_biotype:protein_coding MAADMDAFMYAVHLSLAPILPMTLKSVIELGMLEILVAAGGKMLLPCEVAARLPSPSSANPDAPAMVDRMLRLLASYNVVSCEVQQGKDGVLARRYGAAPVCKWLTPNKDGVSLGPLVVMNDKVLMETWYHLKDAVLDGGQPFVKAYGMTLFEYQGVDPRFNRVFNEAMKSYSTIVIGKLLEFYTGFDDAVRTLVDVGGGLGAAIHAITSRYPHIKGVNFDLPHVISDAPSFTGVQHVSGDMFDKVPSGDAIFMKAILHDWTDEHCTKLLRNCYDALPAHGKVILVESVLPEKPDETPVARTAFGLDMLMLTQTPGGKGRCLREFQELAGTAGFVSVNATYICSSSWVVELMK >cds.KYUSt_chr7.1403 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7679017:7680964:1 gene:KYUSg_chr7.1403 transcript:KYUSt_chr7.1403 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPHALVIPYPAQGHVIPLLEVAHALADRGFAVTFVNTEFNHARVVAAGAGLEDGGRIRFVAVPDGMAPGEDRNQLVRLTMLMEEFMAPRVEELVRTSGEGGGAGGKITCMVTDYNVGSWALQVARRTGIRSAAVWPASTAVLATLLGFNKLIEDKIIDPEDGSALGDKPFQLSPDMPLMHSAHLSWNCIGDHDQQAALFRYLVEGVRAIEQCDFVICNSFKDAEPAAFSLFPNVLPVGPLLTGERSGKAVGHFWKPEDEECMSWLDAQPARSVVYVAFGSFTMFDWRQFEELALGLELSGLSFLWVVRPDILQGGAVHEYPEGFLDRVCGAGGRGKLVTWSPQQRVLAHPSVACFVSHCGWNSTMEGVRNGVPFLAWPYFADQFVNQLYISDIWKVGLKAVADESGVITKEHIAGSVEELMGNADMRERVEAMKKAAHESIQEGGSSYGNFDTFAEGMKKA >cds.KYUSt_chr5.41051 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259032429:259037787:-1 gene:KYUSg_chr5.41051 transcript:KYUSt_chr5.41051 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVRRSSKKARGMEAKPAGEIDAVPDEILELVFLRSLPLQLVRAACTCKRWRRIITADGGRLIRTQHGTTSTHVAGRYRVDNRLLFSRSRPPGRNPVFVTSPSSPWADILALRNPALDFLPRPPSSGFCWELADTRGGLLLLLLLNEKKDPATVSRILVCDPMTRDYREIPLSASFHRCSCLGAFLLDGEDPEGCISLSNFRVTSVLYRIKDAIQRASTYTTAVAGGGRDTIARACTFSSVGGGRWTSSYAQGTTGNDYRGWDCHVSFAGFGGGGSVAYWRGPETTVLFVWTRTPLSSTRCRTCPRTSSTRRSTRTSCRGHLRFKLPYPSCLGGVRVLTSSPSGNFALDFIPKRWRRIIAADGCRLIRSLHGPPSFHVVGHYRVDRLFSRSRPHGRNPSFVPSTNSSHGPPSFHVVGHYRVDRSRPHGRNPSFVPSTSSSLASILRARDLALDFLPQPVSSGFCWELADSRDDLLLLLLLNEKKDPTPVSRMLVCDPMTRGYREIPLPALFHRSNCLGAFLLDSEGASGYISLSNFRVASVLYQNRDVTERACIFSTAVAGGGRDTIARACTFSSTGGGCWTSSTMHGKMGNDYWGWDCHVSFTGFGRGRSVAYWMAGRRGVLCFDKDTAKLYALPDMPQGELHAPEYPYHVSWPPTIRACLP >cds.KYUSt_chr3.28905 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180446330:180448363:1 gene:KYUSg_chr3.28905 transcript:KYUSt_chr3.28905 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVPLIMLALLAAACVSSPVPSPDAVPLLAFKSACAGHGIAALDSWTESSDPCSGEWRGVTCQRPSSPGHPRVRGIVLEGLGLGGNAGALAALADLPALSFLSLKNNTFTGSLHDVDFSPVAPHLKLLYLSGNAFSGRFPQSILRLRHLRRLDLAGNRLTGTIPPEIGHRLRALVTLRLARNSFVGPLPTSLEAMTMLAELNVSGNHLSGQIPKRLTAAFPASSFTGNPELCGAPMRRRCSRQQQRGDGETTRGQMGRRSSRYRWMVVVIMAAVGAAVATLIATALCAVLWWKKKRKPTTPRANSRASSTSASREETVRFDGCCEEFDVRALMMGAAEMLGKGAAATTYRVFMGGQHDVSDDHAGVVEKAEGEAVVVKRLRRREGATREDERRRRELVREMGSWRHANIVNLRAFYASAEELLLVFDYIPHGSLHDLLHENRGPARIPLDWQTRLKLAQDAAQGLAYLHGASGSRLSHRHLTSSNILIDAGGNARVADFAMLQLLVPAPPEKALQKQDVRDFGVILLEILTGRSPEDGKVDMPRWVRTVVREEWTSEVFDMELLRGRGAEDEMVALLQVALLCAADDPKERPRMAVVSKMIEDIRNRGSKRNKYSASPSQAGCSYESSPCVSEDTTKSTTASSS >cds.KYUSt_chr7.8433 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51011365:51013254:1 gene:KYUSg_chr7.8433 transcript:KYUSt_chr7.8433 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASHVNAMVAGLKVSDILLPHPSLPDSLCLGPHSSESPAHLISCEANRIPFVSQNLDLTSWADCLRAWPNPPEGWVAWYRRVSKIHYATWETIGIADAFSLSLSPLEKNENLLKTIGYFWSDAMNCFMFGHGPMTPTLLDVAMITGLDIASPSPSAFKLPKVPFTLSSKTECTSWGAYLKCYMKTKGPVTEREHTAFLNFWLEHFTTRKRLIAALFTTGKYDLKMPAEEEVTVERTPEEEEARLRYLEFVQQAAAQAVDLAAAAYAYTKQDAGPLRPGVDHVEGTVNAVVGPIYDRYHAVPLDLLKFLDRKVRTVKGDGIRVLLDILSDLTTVLINTCISCILFSHSWFH >cds.KYUSt_chr5.1366 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9426337:9428477:-1 gene:KYUSg_chr5.1366 transcript:KYUSt_chr5.1366 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGDARAPLLDFVDDRSGASEELLRREPVPFDVLSRLALWEAGNLWRISWASILITLFSFTLSLVTQMFVGHLGELELAGASITNIGIQGLAYGIMLGMASAVQTVCGQAYGARRYRAMGVVCQRALVLQFVTAVVIAFLYWYAGPFLLLIGQAADVAAAGQLYARGLIPQLLAFAIFCPMQRFLQAQNIVNPVAYMTLAVLVFHILISWIVVFVLSFGLLGAALTLSFSWWVLVALTWAYIIWSPACKETWTGLSMLAFRGLWGYAKLAFASAVMLALEVWYVQGFVLLTGFLPNSEIALDSLSICINYWNWDFQIMLGLSYAASIRVGNELGAGHPKVAILSVLVVVVASIAFSILATIAVMVLRYPLSTLYTSSTTVIEAVISLMPLLAISIFLNGIQPILSGVAVGSGWQVIVAYVNVTAYYVIGLPIGCVLGFKTSLEAAGIWWGLIIGVIVQTVALIVITARTNWDNEVEKAIQRLRRTAADEGGMVVAVGDI >cds.KYUSt_chr4.2935 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16781420:16781890:-1 gene:KYUSg_chr4.2935 transcript:KYUSt_chr4.2935 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKATSSSSFDRPRHRGPVKGSASDVANRADMDRRCRGVVAVDGCADTVAQLRRIDAEARPRAPALEGAATTASLGGSCNDRGGDAAAAAGDSGSLGGSCSDEDADGGRSAPSPSGCFGMPGRSSHLNGTDPGVLNDDEDDSSVDAITPIKWCEL >cds.KYUSt_chr5.14673 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95062047:95070136:1 gene:KYUSg_chr5.14673 transcript:KYUSt_chr5.14673 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEEALLLKPPPSVVVCHHAAAAEAAAEACHHDGCPGCAMDRRKVSLRGSIPYKELFYVGATSLAASLPITCLFPFMYFMVRDFHIAKTEEDIGSYAGFLAASYMIGRGITSIFWGIASDRLGRKPVIVFAMLSVVILQTLFGLSTKYWMAIAARLLLGSLNGILGPVKVNTMWGFGVVIGPGLGGYLSQPAEKYPQIFSKESVLGRFPYLLPCLAVSFFAAVVLISCIWLPILSIWAVSDRKYGGLTFTSGDIGQVLSMADSRGHKNVRMPPSSQLPAPAATVATNTAAAAAAATDAVPTFRRCGSDLGPGLYIDTARDALPPGPFPSVAITASGLDRYPPRVGSGEAAGCCARPPSASACAGDA >cds.KYUSt_chr5.23674 pep primary_assembly:MPB_Lper_Kyuss_1697:5:154127993:154128457:1 gene:KYUSg_chr5.23674 transcript:KYUSt_chr5.23674 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAQEAEKRYGRTTGNSDGGSPRQGRKVGEDGDDGGAKRRSSACTAAHQVRLGGGGVLEQLRLRFSEEEEGTTAAPSPRSPQRAAANNDGKDGDLRQDFLAVLSDETEDFPSMSLSDFCGAAERSRGAAQRKRSRVCGLIWRKGRRIEELGR >cds.KYUSt_chr2.13627 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86382468:86391549:1 gene:KYUSg_chr2.13627 transcript:KYUSt_chr2.13627 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDVAVVDWHLEPDLHDRGPLDVVGFGEGRPPNTGTNIPDHRPDPVEAVVLVIPAATAVRASSGSAIPRCVGMLGCPLRCPLRCLWRRCIDAAISRNRAVGAAAQHLDLRRRRMCCLRCFCCFYLRSGCCQPRRPCCGRRGYRGHSFTLLCRGAVGLLPTPRAGVKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGDERNDIWMVSHSLSGVSHRILGFILSRRLRRRRIPRFSGMAQQISKKRKFVADGVFLAELNEMLTRELGEDGFAGVEIRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPDGSVELYAEKVMNRGLCAVAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNQYIDGAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPSTPLPDLVTIHPPKDEDDFLKPLAAEIAVA >cds.KYUSt_chr2.2285 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13848641:13851497:-1 gene:KYUSg_chr2.2285 transcript:KYUSt_chr2.2285 gene_biotype:protein_coding transcript_biotype:protein_coding MADTATLSFLFLSLATPLLLLALLLGSRRNKKRMRLPPSPPSLPVIGHLHLFKKPLHRALASLAAAHGPILLLRFGSRRVLHVADPAAAEECLGAHDVLFANRPRLPSARHLSNDYTTLGSSSYGANWRNLRRIATVEVLSAHSVLRSAAVRAAEVRDTARRLFVDADSADASESRPAHADVKGRAFELALNVAARMIAGKRYYGGEESESEEAAKFREMVHEYFAMHGASNLQDFLPVLGMVDFGGAKRRAVRLSRTRNEWAQRLIDEHRAAADDEGRSSRGRTMVGDLLDMQASDPEAYSDKVIRALCLSILQTGTDTSSSAIEWGMAELLNNPAAMAKARAELDEVVGIGRLLEETDLPSLPYLQCIITETLRLHPIGPLLAPHESSADCTVAGYDIPAGTMLLVNVHMMHRDAGIWEEPTRFMPERFEGGNGEGKWMLPFGMGRRRCPGEGFAMKVVGLTLGTLVHCFEWSRVGEEAVDMSEGPGLTMPMAVPLEALYWPRAEMASVLRTL >cds.KYUSt_chr5.34022 pep primary_assembly:MPB_Lper_Kyuss_1697:5:216067362:216073306:1 gene:KYUSg_chr5.34022 transcript:KYUSt_chr5.34022 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPNPTQLLPLRPSNPSTPLPRRRRPRPPPPAAANTTGAASPQDWFRPRRPADSDPSTSGGRVAARDPGVRARAKDGADERKRRWWERWSRDRESYLVDGVDALPIPLTVPDTDPMSREELDRRLTCDVMVDECKTVSYEWTGKCRSCQGSGLVTYFRKKGKETICTCVPCAGIAKPRNERNYRPELENGTFEAGLREKLAFDIFLPLQQISCKMSHLSILTFKYNLAKLRFKPDRPAGRLLSSKDRLPSDLSMYKLEDDEMRKVFKKIAGESGRVSRGDLQALLQRFEKADAAGEARQMICAADTNKDGYMDLEEFMEVHRNGVQLGDIRRAFFVFDRDRDGRITAEEVMDVLLKLGERCSIEECRKMVKEIDRNHDGFVDMDDFMAMMTRPRKRA >cds.KYUSt_chr7.25151 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156906403:156909300:-1 gene:KYUSg_chr7.25151 transcript:KYUSt_chr7.25151 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWVCGLLSLLAVAAAAAAADGSAEPLIRLPTQDEHGAAPAPAPSAEEGITRWAVLVAGSSGYDNYRHQADVCHAYQILKKGGLKEENIVVFMYDDIANNHENPRRGVIINHPKGKDVYAGVPKDYTGDQVTTNNFFAVLTGNKTGVTGGSRKVINSKPNDHIFIYYADHGGAGSLGMPNNPFLYAGDFIQVLREKHASKSYSKMIIYVEACESGSMFEGIMPQDLNIYVTTAANAVESSWGTYCPGMNPPPPQEYLTCLGDVYSVSWMEDSETHNLKKEAIKDQYETVKKRTSSSNNNLTGSHVMEYGDKTFKDEKLFLYQGFDPANVNNTNRLPLPSLEGAINQRDADILFMWKKYEQLDEGSEEKLRVLKKIKETVAHRKHLDNSIDFIGKLVFGFENGPSVLEAPRSSGQPVVDDWDCLKRMVRVFESHCGSLTQYGMKHMRAFANLCNNGVSEAEMKEASVSACDGYSSAKWSPLVLGHSA >cds.KYUSt_chr7.19873 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123199648:123201201:-1 gene:KYUSg_chr7.19873 transcript:KYUSt_chr7.19873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Senescence-induced serotonin biosynthesi [Source: Projected from Oryza sativa (Os08g0140300)] MGSLDANPAAFPAFNAGVVDGSFQPLNPDDVRAYLHKAVDFITEYYSNVESMPVLPDVKPGYLQDELTASPPAHSAPFDVTMKELRASVVPGMTHWASPNFFAFFPSTNSAAAIAGELIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLRLPTSFMNRTGAGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSDGVSGITRLTVYAADQTHSTFFKACRLAGFDPANIRSIPTGADTDYGLDPAKLLEIMQADADAGLVPTYICATVGTTSSNAVDPVGAVADVAAMFNAWVHVDAAYAGSACICPEFRHHIDGVERVDSISMSPHKWLLTCLDCTCLWVRDAHRLSDSLETNPEYLKNDVTDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKTFEGLVRADDRFEVVVPRNFALVCFRMRASGAMTEEVADEMNRVLMDRLNKSGKVYLAHTVVGNRFVLRFAVGSSLQEERHVRSAWELIKKTTAEIMEEPIMQ >cds.KYUSt_chr1.38769 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236845521:236846645:-1 gene:KYUSg_chr1.38769 transcript:KYUSt_chr1.38769 gene_biotype:protein_coding transcript_biotype:protein_coding METRMPTLAEVRAADADVLFSLYFPQGRVPAAAAVGCVCVDYLTCSECERILGQSYFAIELAARKKRRTDPPVPVARAEAGTGPQGHGPGSHGVEGPDAVISGMADRLEPGSRPHPPPDRVEAPAGVTSSKAESLGMGSHPPSDGVEGSDAADLPDPVSNGGVEGFEAAISRMAARLGLSAAVGERAKEVFRKMDEARAWPHGPGRSKNQSKERSKGPLAYAACLSIACRADGSAVSLRELARAVAAGGSSAGRKDIVRLIAHIRRQLGDKAGQSTGVGMVCISAYVRRFGSLVGLQEEESAAALRAARRLEDGVHDVRHSTDIMAAAVVCMTLERAGASRPSVKDVAAAAGVSNMTIYGVCRELRPHAGLLFG >cds.KYUSt_contig_988.301 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1822575:1823219:-1 gene:KYUSg_contig_988.301 transcript:KYUSt_contig_988.301 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAERLALAFLLAAAAALAASAVDTKLTLQNLCPFPVRPLVTPNGNFSSISDNTIELDPNGGLVSFPFPDTFWAGSVVARTFRRTPTSCDTGSSPPRTVVQLAVHSTEDLATYSVSLEDGFNLATVVTPLFSRGGQCSALGCPLNLTNGCPVDQVKFDDCGVMVACKGDPGYFKRWCPLTRVNGTDRVSHCYRAASRPASSRSSSARRSSPI >cds.KYUSt_chr3.5113 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28985518:28987620:-1 gene:KYUSg_chr3.5113 transcript:KYUSt_chr3.5113 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQLLPPAEANERDQVPHTPPVLEVPEIPLISVGLQAMSLADQGQQQQSNEEEQGKYTLILKIACSGGAPKIISLNSVQQAMTRAWRSNFYKVTQVNQFIFKAHFISFEAMMFVFTRQPWTVGSDVMLIEFESPGKDIQKGDYKFEFIYVTVRAYGIPKKYRSFKILKDILNLVGTQSEFHELRQVMLESRSDYIWGIAKIRVSAPIYDRVKLLYSVNEVGFTYLSYEKIGRICLFCGVMFHTVGNCRLRQKIVASKIQSGQADQAQQVPFQRYGSWIVEPADIPTNVAAQGQGCNLSFNNYQIPQIGRFQGVSESNPSGQRAIEEANVAVTRRRLQFHEQSSAMAEEQQQEERTVHPPICIDGGNQREGGALISDRLHGGHVGGTVGATLFTGQGKSPPKRSGASLDLLSSPAPKRAATAQGQDGQGGGADLQAMQIPAGGAGGAQGKILFTQVSPLAVGTSSVPDVGRIGGGGDGALSTAVPAEPEPGRGGGILGARPSNAARSTPNPNPTLSIARGRTRRRPSGWDIEENASTEVGHRAAGVTYHKPGSSTWRRVRKPEEMAMGSLDGRGAGSSLGIPGVPGAGSYCPSPALSSVDGGAGNDTWQLHTPSPSQSVASQDSRHGGFSPPPMARDYYVGLDDRLGYGAAGGQENVQGQAQLGISSDAPTQGVCDDSWKARMDMDLEAAAPALKAPRAP >cds.KYUSt_chr2.9398 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59222642:59224234:1 gene:KYUSg_chr2.9398 transcript:KYUSt_chr2.9398 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPWRPMLASATRCCTAEDAVVAAGAGNGLARCRPAQSEFSRRLASFRRLSSTTNSPATPKDGKDDEEEVAGELGVGPMQLHSFSVSELRGVTHDFSSGYLLGEGGFGRVHKGFVDAGMRPGLEPQPVAVKQLDIAGFQGHREWLAEVIFLGQFRHQHLVKLLGYCCEDEERLLVYEFMPRGSLENHLFRRISATLPWGTRLKVAIGAAKGLAFLHGAKQPVIYRDFKASNILLDSEFTAKLSDFGLAKMGPEGEDTHVTTRVMGTHGYAAPEYVQTGHLTMKSDVYSFGVVLLELLTGRRAMEHVRGRSAHAEQTIKLVDWTRPYLASSRRLRCIMDVKLAGHYSVKGARAVAHLAVLCTSAQPRDRPSMAAVVEALERLEGFKDMAVSVGIWPSAPVAGRNALSAKFRAEMKGVGVGVGAVSGRRKSASAKLA >cds.KYUSt_chr7.28601 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178368949:178369557:-1 gene:KYUSg_chr7.28601 transcript:KYUSt_chr7.28601 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVKCSILKIWNLNAHSGVIGAFNCQGAGWCRAGKKNLIHDVQPGTITGAVRGRDVSRLPEVAGDGWNGDVVVYSHVAGVVTVLRKDAALPVTLKPREYEVFTVVPLKRLANGASFTPIGLIRMFNSGGAVTEVSYCDGAGLGVKLRGAGTVGAYSSARPKSVALDSEAIDFSYDDGTGLVTFEVGVPERELYLWTISIEY >cds.KYUSt_chr4.43375 pep primary_assembly:MPB_Lper_Kyuss_1697:4:268923309:268926657:-1 gene:KYUSg_chr4.43375 transcript:KYUSt_chr4.43375 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTFLLPVLLLLLAIAAHSTASDSSTSAASLEAAALLNLSAVLADPSGYLSAHWTPVTPLCSWPRLSCDAAGSRVISLDLSALNLTGPVPAAPLSSLTHLQSLNLSNNLFNSSFPEELISSLSNIRVLDLYNNNFTGPLPAALPNLTNLIHLHLGGNFFSGSIPASYGQWRRIRYLALSGNELTGEVPPELGNLTTLRELYLGYFNSFTGGIPPELGRLRQLVRLDMASCGISGKVPPELANLTSLDTLFLQINALSGRLPTEIGAMAALKSLDLSNNLFVGEIPATFAALKNMTLLNLFRNRLAGEIPEFVGELPNLEVLQLWENNFTGGVPPQLGVAATKLRIVDVSTNKLTGVLPTQLCAGERLETFIALGNSLFGGIPDGLAGCRSLTRIRLGDNYLNGTIPAKLFTLQNLTQIELHDNLLSGGLQLDAGEVSPSIGELSLYNNRLSGPVPAGIGGLVGLQKLLVAGNKLSGELPPAIGKLQQLSKMDMSGNLISGEVPPAIAGCRLLSFLDLSGNKLSGIIPTALASLRILNYLNLSSNALEGAIPPSITGMQSLTAVDFSYNNLSGEVPATGQFAYFNSTSFAGNPGLCGAFLSPCGTTHGVATSGIGSLSSTSKLLLVLGLLALSIIFAGAAVFKARSLKRSAEARAWRITAFQRLDFAVDDVLDCLKEENVIGKGGSGVVYKGAMPGGAVVAVKRLSAIGRSGSAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRFKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLHGSNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRAVTGSTKEGVTKIADPRLSTVPIQELTHVFYVAMLCVAEQSVERPTMREVVQILADMPGTASVTLGTRPVAVEGEEEEQQQHDQDGPQGSSPQQDLLSI >cds.KYUSt_chr6.4710 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27644572:27646110:1 gene:KYUSg_chr6.4710 transcript:KYUSt_chr6.4710 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKGYVISGENLVWMWLAEGFILETPGIAPKKVGESYLNELINRYLIEPVEVDAAGKALSCRAYNMVHDMIVSLSAENRFAAILDDNNDRPFPETVSGLSIQKRRNSQQSLPQAYHSHVRSLLASGDAASYMYETPLSRFQELRVLDLGGFDDFLQNKDLMGIGDLYFLKCLVLGSKWIAEIPKEIGNLLFLQTLDLRATSVTELPASVLLARQLKRLYVNSRTKIPDGIGKLEDLEEIGDMNVSKTELLEELRSLTKLILLRIAIWSWDYSYGDSVLEALVRLLAEGRIQTLSILTCCSLRFLYRLDAGLAPPSLQKLEISHNALVALPRWIFSLENLSFLSVEVHKLSQEIIDMLGQLQNLLVLSLTSKHAPEHNSRFGNRADGFRKLTGFHFASNAMGKVFGPARTLLNLKRLKLSFHVSRTKDISPGFDFGLENLRSVEHVNVGIICFHASRRVVEEAESAIQRAIYTCRGCRPNLRMQRLREQDMVDTSKEEVGDVVYCNVPSSHE >cds.KYUSt_chr7.19426 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120516583:120517528:1 gene:KYUSg_chr7.19426 transcript:KYUSt_chr7.19426 gene_biotype:protein_coding transcript_biotype:protein_coding MYYGKAKENKERYCEEYAKLHPEVEDPMTEPVDEVAMMLAGSGQPHGRPACLAGGFKPQRNFTQIKATLPSGSYATSSRTTCRSRVEVDTQLEEAYAVAYEEYLEKVKEHDLVKDAYVQWTSNQMASFTRFMMTGVREEPLPEPPHPGPTPVFPSKEEFYIMYKRQRQLTPGLGESGNDTPCGTPMHPGRHSPGASAEPRHFSGSGGSRRGSTSPSTVEIQRPHFTDSELARHCS >cds.KYUSt_chr3.14672 pep primary_assembly:MPB_Lper_Kyuss_1697:3:89212322:89224675:1 gene:KYUSg_chr3.14672 transcript:KYUSt_chr3.14672 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSASAARFAAHWVVDALAGDDTLHFSAIEALVGVWPESLAGAPEATRERVALRCLQEVAEGAALAGGERLRVDATRSCEEFLLELIGQVGNSESLEMDMLPHFSDIQKFIRIKIPTLLETCFELLREVNPEITSVVAPSPVEKSAKNNVNDKSFCSISRDHVNTEKHGCPRDSSDLQRVNLTVFVNAIDTRDVQKDPMEPIPELNEPSTLSSRRYDQPQENTIDYRDMPITAEPASATCSDALLQSSTELLSKKDAVETTMSQEKSPTTNLQPRSCGDKYQNPSHNNDGERPHNDGIDIQSSKDLSHQGSTMQSTVAPDSNRSTDALLTCTSEKSHFPEFVAADDTVMVAQPLGRKTRNSLQHDHGEKASQDLDEGSARIQLVEKYPGHNELNLQTAGAVPSVSCYVAVQEDKSETNHPQEDATDHSEMCAQMNGDKANLGVSSADKTNPAIRVDGNILKKNTSCGGQTAIDSPCCNVTAHTKSLEVNSLSEKNAEKNMADRSVPSSHKDGNKEGTKQAANKKIMGNAVVETSNVHCSDDSSSGLAATCLLSLMCNLPSSTQDRDADGSIEGFREQDLCIKCGKDGQLLKCSSCALAAHDSCFGSSVTFDVSGKLCCPVCFYTKANEAYQKAKTAYSKARKNLSAFLGTKQHDEQFTGKEPTAACSKYHLNEGNTSKSQGNSLSEADNLSLKDEEPVQWRNKQRTNDPSDACHEEDQLNGCNTSKRQCDDQSEADKDEEPGQQRKKQRKNDTNDACPEEDQLNGCNTSIKQGNHQSGDLSHQDLEPGQHKSNATTDTCTEEDKELSIVVDQQPVVNNCDYRQRGVCSIECEDGQRDLSITHGVCQGQEEVSSDVEKDPEVTTVSGNLNRLQDLLCPEILYKNLLKQISCRSDLAEDIRGNRSCKAYVSSCEEFVVWKNLESFESLGMNVTSNLCDPADHEEYKEIRLEVLNGFVLKRKWMSWNPRCRI >cds.KYUSt_chr1.38422 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234742003:234745115:1 gene:KYUSg_chr1.38422 transcript:KYUSt_chr1.38422 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEVKETPAPLKRHDSLFGDAEKVSHSKHHGSQARVSCNLVSWMRTLSLAFQSIGIIYGDIGTSPLYVYSSTFPNGIKNTDDLLGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPDQQAEDAEVSNYHIEAPNSQLKRAQWVKEKLESSKAAKIVLFTLTILGTSMVIGDGTLTPAISVLSAVSGIREKAPSLTQTQVVLISVAILFMIFSVQRFGTDKVGYTFAPVISVWFILIAGIGLYNLVIHDIGVLRAFNPMYIVQYFTRNGKDGWVSLGGIVLCVTGTEGMFADLGHFNIRAVQISFNGILFPSVALCYMGQAAYLRKFPEHVPDTFYKSIPAPMFWPTFIVAILAAIIASQAMLSGAFAILSKAQSLGCMPRVRVIHTSRKYEGQVYIPEVNFMMGLASIIVTIAFKTTNHIGNAYGICVVTTFSITTHLMTVVMLLIWKKHVIFIALFYVVFGSIELIYLSSILSKFIDGGYLPFCFALIVMSLMAAWHYVHVQRYWYELEHIVPISEMTTLLENNDVRRVPGVGLLYTELVQGIPPVFPRLVKKIPSVHSIFMFMSIKHLPIVRVVPAERFLFRQVGPKEHRMFRCVARYGYSDSLEDPKEFAAFLMDRLKMFIQEESAFAQNKPESNNSIEVSEDQTRPRRSTQTAVYSEEVIETRLSNHSGRITSSRALNQTVEEEKQLIDKEMAQGMVYLMGEANVTAKANSSILKKIVVNYVYTFLRKNLTQGHKALAIPKDQLLKVGITYEI >cds.KYUSt_chr3.9865 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57976357:57977898:1 gene:KYUSg_chr3.9865 transcript:KYUSt_chr3.9865 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSWWVLGFLGKYPVEIMASLACVVLLLFRCYRRDGLPTNWPVLGAIPAISVNAGRVHDWLTEFLRAAPGMSHVIKGPLGTPVDVLVTANPADVAHIFTANFGNYPKGEEFAALFDVLGDGIFNADGESWAFQRRKAHALLSDGRFRDAVAGSTARKLDDGLVPLLHGFVSSGVVVDMQDVFMRLTFDLTAMFVFGVDPGCLAANFPRVPFAAAMDDAEEVLFYRHMTPVGWLRIQTYLNIGHHKKMNQARQVLDASIAEFISLRRERAAGADDGADLLTLYLACQAEVGKEGAEFDRFLRDTTLNLMIAGRDTTSSALTWFFWLLTKHPDVEAKILSELHKNPPSGQHRTAAELKRLVYLHAALSESLRLYPPVPFEHKAAVHPDTLPSGAAVGTTRRVIVSLYSMGRMESVWGKDCMEFRPERWLNEAGRLQHQPSYKFVAFNVGPRTCIGRDLAFSQMKAVVAAVVPRFRMEVAGTEAIPKLSIILHMKDGLKVRVHNRQDNASIGGS >cds.KYUSt_chr1.31322 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190038990:190041281:1 gene:KYUSg_chr1.31322 transcript:KYUSt_chr1.31322 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSYGSTHLPETDDDRKTATDFSAPRFGCCSRDVYFEARRGFSVPAFKENTVSFNCWEIRDQSFGNERDDKLVELDEVVPPLKGSVDRGDERVDADDSLAQVLASNPSVVTLQQEVGMEEFMKANHDRESKDPFFSSNKSTGCANSLEDVDQSRVDEKDATFGLCSSSENERENGVMLAKENQDSQCDREASEDKPAEKPAGACKWGRAFSVRQRKKLDGLVIEAINIDVGSQLNTSPGSPCARVGSHDGSSGFDSGKPESSSSDITATDVAPVLDEIGPLLGDELSSPIPIANGDLGCDSSLFPQDSQIDSDNNNKVDTSKVENDAKDGQERKDDGGNKPGFAWTADEDKNAMDLGYSEVERSRRLEFLMAKRRSRKNIIFDLDRNMLDIDGNNVCQSSDRFSRFRMQVQPISVPRRSPFDLASDPDEAAIPGSAPSVMHRQKNLFELPFEQSNDSGVSAHHDVDSKEFATTSHRDMVFRRRDTFNFGSQERQRSRFKPCFKLEAMDIKEESASSFQRQFSNNSVSKLSVVSESDTLSTVADQEELNDLVKKDFQRWLSDKSMSKLSIVSESDTLSLVADQEEHDDVVIKDIQRWFSDKSMSKLSIASESDTHSLVADQEEPSGHINKEFLWYFQRQFSDKSVSKRSVVSESGTLSLVADQEEHSDFNKDFLWYFQRQFSDKSVSKLSVAAESDILSSVADANQEDHDDAITKDFLCGHASPELLRQESDLDMLEESPDVTNLETLRAVTTGLESEQLEAA >cds.KYUSt_chr1.39662 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242708535:242709743:-1 gene:KYUSg_chr1.39662 transcript:KYUSt_chr1.39662 gene_biotype:protein_coding transcript_biotype:protein_coding MVGITVPSTIDPTPVVKCRYDANAVPTVDLSAPGAAAAVADACRGVGFFRATNHGVPAALADALEALAAAFFALPHDEKLEHASARPFGYGSKSIGSNGDVGWLEYLLLSVATGSGSSTLPPPLRAALEEYTCAVREVGGRVLEMMAVGLGLDQGALRRMVAGKKDGEASAEMVRVNHYPACPLASGVTGFGEHTDPQIISVLRSNRTAGLQIMLHDGRWVPVAPDPDSLFVNVGDTLQVLTNGRFHSVKHRVAAPETGKQARLSVIYFGGPAASQRIAPLPELMKDGEQSLYKDFTWAEYMKAAYKTRLGDNRLSPFEVHHCSSSNAVQPPPPPHVAPVH >cds.KYUSt_chr4.17902 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112186414:112187919:-1 gene:KYUSg_chr4.17902 transcript:KYUSt_chr4.17902 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLSAVFGELATRSVSFFVNKYSKQQMQAMEINLERVLLRAQVIVDEAEGRCITNQGMLRQVSMLRDAMYQGFYALDTLRYRAFEKDCSGDHEVANNSWALSKFSCAKRLCLSSSSSTKTSQELQVEEALDNLRTMILDVSETVMFLTAYPRLHRQPYNMHLQMENCMFGRQMEMELVLNFLLHTPSCSSRLDRFDVLPIVGPGSCGKSTLIAHVCNDERVRDHFSQIAFFCHGTARDEDIAILTDRYAHNRKLLIVFEVVGELSDDLWQRLCYLSTSCATSGSKIIITSRSNKITELGTTQTMTLKDLPHEAFWYFFKVITFGSTDPRMHPRLAYLAMEISKTLKGSLVSANITARVLKADFSIQHWCKILKFTRGSVVEKHQSMVGAHPHDRLTETKPKPLYLRRLGQTSADLFISSQYQTCSSQEELPEITLQDVLYGGVKPRGSFKVLAWKSPLPPYRCYIFACEIQQLQTRVVKRRRSLNNSGITRVGVDASIA >cds.KYUSt_chr2.48057 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300377961:300379550:1 gene:KYUSg_chr2.48057 transcript:KYUSt_chr2.48057 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERRDTKKAFMASGRAERIGALPDDLLHHVLSFLPAHEVVRTCLLARRWRHLWKSAAALRITGVKGCKNAAWFVNFVDNLLFLRDPRVRLESFELDLDECDFDFEAFLPANEAHVNSWFRHAVMCGPRVLLALRTTTGIYTDPEDHETLGFPNVPLISSYLTRLELTMVYVHSSTLDFSGCPSLVHLTMDDCDIEANISSPFLKHLRIFSSYFQTDPVRAHICVPGLVSLELMGELRRAPVLESMPMLVSAVVRLESDCHDSCSKFDYGYCDDRQCYGCFGSGAHDWRGESVLLKGLSEVAELELLVDYQVFIVNRDLKLCPTFSKLKTLLLSEWCPDIASDLNILSCFLKHSPILEKLTLQLSKVPKKPAEVQRSYTPPEQPFALSHLMSVDIKFDEVDGKVLNILRTHGVPLEKVNIQRTKLLDLNVS >cds.KYUSt_chr3.43543 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274805582:274806859:-1 gene:KYUSg_chr3.43543 transcript:KYUSt_chr3.43543 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRRLLLAAAVSTLCVLAWTVAGDGGKPLVTAITKDAATFLYSAPVIRNGQPSHQLVLDLSGPIIWSTCAADHRTLDCNSVACMRAHRFHPPGCPHTGYGKPDDDNPYRCKCTAHPHNPVCGATVSGDVTRKALSANATDGRNPLRPVSFVAVTSCAPESLLAKLPVGAVGVAGLARSGLSFPAQVAGTQKVAKTFALCLLRSGTGVAIFGGGPLFLIPAERPAITDMLAGDTPLRAYRGSPGYFISANKGIAVNQAQVSLPDHASLTIGFSSTIRYTELRRDVYRPFIKAFDQAMGQNARVTAPASAAPFELCYDSSKLSSTRLGYFVPQVDLMLDGGKNWTVFGGNSMAQVDRHTACFAFVEMKEGKTGYGGRAAPAVVIGGFQMEDNLVVFDEEKQQLGFSGLLTGRGFSCSNFNFTMPA >cds.KYUSt_chr7.39527 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245738820:245743791:1 gene:KYUSg_chr7.39527 transcript:KYUSt_chr7.39527 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTTTRAAQFLLGFSRRAPPLPPLASLLLRRRTGGVLAVRMASSDAAAPQLSTTVAVPGAAAPVRVVAAPGLREADFSKAVDSALFRRWLENLQSEKGVLTDGKLSLRQILIQGVDMFGERVGFLKFKADIIDEETKSKVPGIVFARGPAVAVLILLESKGQTYAVLTEQARVPIGKFVLELPAGMLDDEKGDFVGTAVREVEEETGIKLNLEDMVDLTALLDPATGCKMFPSPGGCDEEIGLFLYRGHVDEETIKALQGKETGLRDHGELIKLRVVQYDQLWRSTADAKALCAIALYEMAKKDGILPSPGGGLSANLYQEETSIARGGEEQLDMKTDVKMDVKLDMELDMKISHGRAREEREACARGEEDVQAGPAPGPTGRQTGQPGRPGANRT >cds.KYUSt_chr2.1031 pep primary_assembly:MPB_Lper_Kyuss_1697:2:6007600:6007911:1 gene:KYUSg_chr2.1031 transcript:KYUSt_chr2.1031 gene_biotype:protein_coding transcript_biotype:protein_coding MHILPELMELCGGVLTPPFIEEARPGSHESSDVASPPCLGLEKCDFVDAAVSLSPEFDRQVVSIGDEVSESGLLSAVPGAVVAREVCDFLATLAIAYPGPAVG >cds.KYUSt_chr6.11397 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70720178:70723690:1 gene:KYUSg_chr6.11397 transcript:KYUSt_chr6.11397 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVVLGGGVAAGYAALEFARRGGYSRGELCIISEEAVAPYERPALSKGYLLPEGPSRLPKFHTCVGANDELLTTKWYKEQGIELVLGTRVISADVRRKTLLTATGETISYKTLIIATGARALKLEEFGISGSDAANICYLRNIDDADKLVNAMNSSSGGNAVVIGGGYIGMECAAALVTNKIKVTMVFPEKHCMGRLFTEKIAEYYESYYTSKGVTFTKGTVLTSFEKDSTGKVTSVILKDGNHLPADMVVVGIGIRANTSLFEGQLLMEKGGIKVNGQMQTSDSSVYAVGDVAAFPIKLFDGDIRRLEHVDSARRTARHAVAAILEPSKTRDVDYLPFFYSRVFTLSWQFYGDNVGEVVHYGDFTSSSPRFGAYWVGKGQITGAFLEGGSREEYEALSVIVRRKTKVSNMSELEKQGLAFAVQESKKDVPDGGLTLGEKPAFVWYATAGVVAAVSISVFGYWYGRKRRRW >cds.KYUSt_chr5.11127 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72067068:72071467:1 gene:KYUSg_chr5.11127 transcript:KYUSt_chr5.11127 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVEFCGEAVSMDVFLLNYLLKESFDGKEWYEPQHGKKLAQDRDPAVFMGYEADLRLSGSDEYLPDVAAYELEEAELQMLSAEEPTSFAEANQEEVWRGRLLVIEALAQAGLESSNLIIGIDFTKSNEWTGKSSFNGMSLHHIGDVRNPYEQAISIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALGRYREIVPHLRLSGPTSFSPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVNTELGQLSSQEQMTVDAIVQASEFPLSIVLVGVGDGPWDMMKEFDDNIPARSFDNFQFVDFTRILSKKTSQGNKETEFALSALMEIPLQYKATLQLGILGFAQFVFPNHGTWHLVVVIRLVQSADRRLQIAPSVEGQLIQE >cds.KYUSt_chr3.32257 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202626750:202628515:1 gene:KYUSg_chr3.32257 transcript:KYUSt_chr3.32257 gene_biotype:protein_coding transcript_biotype:protein_coding MECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIATLYPDIDKYEEEEFAFSEEEKTRNKKIQATIEETIRKQSEAIGKKCSTAKATATAFARKYRRNMRTRGRGRTVARDIVLTVSDNEDREEVNANDASKESSSADNHSPDLKQKRGRKRPPPLPSPDRIIASSDHGSEENGEPVSVKENFTSSPLRGEMLAWGKNGTRSQIRHGNVGGLNCRLGKGGRVAKLVEHLRTTDEMDKEFNLYLVLLPLDGKATPELEKPYLSCRPTVSIRHLVQLIALQLSRQVEELEIYMRMDLHNRGVATDSSSAETNPRLFDGLERLSGDKLLSDLGLSFASGRNDVELLYALKTQD >cds.KYUSt_chr7.32345 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201515217:201517918:1 gene:KYUSg_chr7.32345 transcript:KYUSt_chr7.32345 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVHWEKSFRFERASPVAPSVATCRCGSAKVSSASSSPPPGRHASYTHAANPRRGVWRRCWRCCCEHQHIALHPQCPWPQPRLPDPPPQRSRLPTEPLLDQAVPASQRWCGGAVLLLLLLHYYLGYLHDDYYVFLAYDLSSRRCWMGWFSLNRNHGGGRHPSGDVNALAIVSCRNQVFSAGSDGQITFNAAILFMFMYLQITGTCVHYGIKAIWEKSKARNGGVESNKIAHLYQHVLGSCTAL >cds.KYUSt_chr2.5260 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32670996:32673478:-1 gene:KYUSg_chr2.5260 transcript:KYUSt_chr2.5260 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAHRKRVVGTRIDDRHHRAVQMGRLANPDLHWRLRPQGRPRAGNRVPAGVAATSDLRDHLPELLASASSLACTCRPPLRTNCSRPCPQPNAHSEDEEEGFAIYILVVVTRLGRILADVLCGCFGEAVVALVGSNVPAGARGSGIAAWEGSVVAFMLSLESPETP >cds.KYUSt_chr1.29829 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180595953:180597320:1 gene:KYUSg_chr1.29829 transcript:KYUSt_chr1.29829 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLKTTYSMLGLNGRALPPPPPQPEPEPQADTEYNSDEDEDPRFAAWHERLKEEQCEFVNDANLEHVLNIPHQRAATEEAGRRLMEAERQKLAELNAYRHYEAFARQQARYAISKGDTVSAAAAGDLDVEAHERRFGVPAASSDGFPLDPVPGAAVYEGASENFDPVYLNREDVVQHGDGEASAVVAN >cds.KYUSt_chr1.28434 pep primary_assembly:MPB_Lper_Kyuss_1697:1:171791020:171793158:1 gene:KYUSg_chr1.28434 transcript:KYUSt_chr1.28434 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQDKELDAVISTNGRLLHTNHLTFFDRAAIVTPADTTGSGKLDMWRLSTVHRVEELKSLIRMLPIWSAGILLVTAGSHNNSFAIMQARTMDRHMTQHFQIPPATMSIFSTVAMLVTLVLYDRAFVPIARRFTGLPSGINYFQRMAVGLAISILGVASAALVEAKRRGSAADHGLLDTPATMVPMSVFWLVPQYAIHGVADGFSSVAHMEFLYDQAPESMRSTAAALFWLSASLGSYMGTVLVTAVQSATRRSGDWLQDNINRGKLDAYYWLVTCLMLLNLGYYLICFRFYTMKPLEMAVDDDHEKELELSSVHKNGGGGAV >cds.KYUSt_chr7.34510 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215439271:215441580:1 gene:KYUSg_chr7.34510 transcript:KYUSt_chr7.34510 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGSATGQDRLLGGLLADGVDERSCQSRYQSAMYRRKAGRKPSPYLVSKLRRHEALQQRCGPGTAAYSEAAEHLRSGKNAGVIGSPDCKYLVSISYRGLGNRMLAAASAFLYAVLTDRVLLVDPSNEMGELFCEPFPGTTWLLPPDFPLTSYTNFSADTAESYGNMLKKKVIGASSTRAEQLPPFAYIHLDHDYTDEDKLFFCDEDQRVLRDIRWLVMRTDNYIVPGLFLATGFQEELDMLFPEPDTVFHHLGRYLFHPNNHVWGLVTRYHDAYLAAANQRVGIQVRVFGNVPESPEVLEQITSCTQKEGLLPEVLAVGTATAPPTPGGKSIAVLVTSLKTWYYDKIKSMYWEHATATGEAVGVHQPSHEEHQQFGAKSHDAKAWAEIYLLSLTDVLVTTGWSTFGYVAQGLGGLTPLIMYKPDDNGSVVPDPPCSRDLSMEPCFHAPPYYDCRLKKGADTGKIVPHVQNCKDMSWGLKLAPRSAT >cds.KYUSt_scaffold_6468.775 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3750110:3752753:-1 gene:KYUSg_scaffold_6468.775 transcript:KYUSt_scaffold_6468.775 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKVEAGEFEMVTEKAYPDCSPDDLLHFGSQFNAFLGACPDAFAGLTRLWLRNMRFGELGIPDILSTCKRLESLHLTHCDSEIHSVLQLSHARLVELEVDSGNFERIELTCLPKLQRVSYNNWFAYGDPIYFGFVPQLSKLSIAKTGVRWEKTLELSQLLANVPSIRDLHLDFECEKIWVVPECPNLLTPVLSKLQKVNLENIPEGCDFAWTMFILEAAPSLKELCITVWDHWCIMATGKEFREERGYCEKAEVNWKTHVPDLKHKNLVKLTIYGFQPDDSFVRYIRRVAEVAVNMAEISLHDRKVFGCCGDLDPKSKVCPSRYPRTAEERKQRTEELGLASPSLVHFRS >cds.KYUSt_chr6.31126 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197264069:197269018:-1 gene:KYUSg_chr6.31126 transcript:KYUSt_chr6.31126 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSAHPAPPLPVFRLLALALTLALASARKIPASITPISRDLYHSSDSILGEIKALVARNSDSLTVSALPALVHLLFVSLVRFLYAVSYPPLQMDTVRASNKGYSAEMFVVTFNHAKETVEDGSKIKIMLSFGQHGRELITSEVALSLLYILTEKRRIAGVDLSSFEKILEHLVIKVVPMENFNGRKRVEAGELCDRRDGRGVDLNRNWSVDWGKKEKDYNPFEEDPGTAPFSEPEAQIMQELSKSFKPHIWVNVHSGMEALFMPYDHKNTTPDGAPSQLMRSLLENLNRRHFQDSCLVGSGGGAVGYLAHGTTTDYLYDIAKVPMPFTFEIYGDEKASTDDCFKMFNPVEKTTFDRVINKWCMAFLILFEEGLRILPGSQVASQGALDNWVPMGGEILERNADEKSGNENRQLEGLDLGMQELKTYFRLFIISTFLLMFMFCSRISKNRNRESDEQSIA >cds.KYUSt_chr4.11397 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69297166:69299984:1 gene:KYUSg_chr4.11397 transcript:KYUSt_chr4.11397 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPGKVASRTTRELIKLGFRVCFAMWSKERASFYVDRLKLGEQAAPLVQECEQGGMLFLNDRDQHLQPEEADLCAKRSVLKLASELRCGCAFRPRVAPWLTGHAILVCRLRRKDVFVVILMMFGVSTYSLCNRSCASGDLLASLPAGAAGVAGLSRLPLSLPTQFGTILKVAKQFALCLPGGGSDGVAVFGGGPFQLLAAPPVELADGLRQNQLPLLKNPGSDNGAYYFRITGIAVEPTARIRPRKRI >cds.KYUSt_chr2.41175 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255949585:255952946:-1 gene:KYUSg_chr2.41175 transcript:KYUSt_chr2.41175 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDGDWKRLFYVRRTVLQMLRDRGYLVADADIELTVAAFLERYGDPVSRDDLTIHCAKNEDPNDQVNVFFLDESKSGLGAIRTCVDKMKQLNVSSGILVLQKALSGAARTEVLQSKKYRLEVFKESELLVNITEHHLVPKHELLSDEEKKELLEKYMAKEIQLPKIQITDPVARYYGMKRGQVVKITRKSETAGEYVTYRYVI >cds.KYUSt_chr2.39017 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241921603:241923024:1 gene:KYUSg_chr2.39017 transcript:KYUSt_chr2.39017 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIPPLLFLALLLLPAAHCLPLPRQSYHLELARVDALDTENLNLTDHELLRRAIQRSRDRLASIMPRVSPSRDRKVVVAEAPVLSAGGEYLVKLGMGTPQHSFTAAIDTASDLIWTQCQPCVKCYKQLDPVFNPVASTTYAVVPCNSDTCDELDTHRCGNSGNSGDDEDDVCQYTYTYGGNATTRGTLAVDRLAMGDDVFRGVVFGCSSSSVGGPPAQVSGVVGLGRGPLSLVSQLSVRRFMYCLPPPVSRSAGRLVLGADATAVRNASDKVVVPMSNNPRYPSYYYLNLDGLSIGDRAMTMVRSSSNNNASTTGSSNSSVAASPPVSDSGDGGGGTGPDAYGMIIDIASTITFLEESLYDELVDDLEEEIRLPRGSGSNLGLDLCFILPEGVPMSRVYAPPVSMAFEGDWVRFEKEQVFVEDRQSGMMCLMMGKTDGVSILGNYQQQNMQVMYNLRRQRITFVKTNCDSVP >cds.KYUSt_chr7.8886 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54009653:54015365:-1 gene:KYUSg_chr7.8886 transcript:KYUSt_chr7.8886 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARKSVCVTGAGGFIASWLVKLLLSKGHYTVRGTVRDPGDAKNAHLKALEGADERLQLVRADLLDYDSVASAIAGCEGVFHVACPVPSGRLTNPEAEIIAPAVTGTLNVLKACHEAKVKRVIMVSSGAAIVANPSWPEGKAFDEDSWSDEDHCRKNGISPDSSFCSPHSGAFLAAGRDTTQAMGGGGEEKLFNFLQVRPKFAWHGRAMEGMLLNQTVAVAAQNPQEDDNGEGVIAGGTAGVVVETALYPIDTIKTRLQTPK >cds.KYUSt_chr5.42985 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270955920:270956336:-1 gene:KYUSg_chr5.42985 transcript:KYUSt_chr5.42985 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDVALYLEVAAVVAMVVLIVALAAAASGACRNDCRAAAAADADMERALGDATLVPYAQTAVEARARQRCCVFCQSEYAKAPDELVRVVPACGHFFHAACDADRWIRTRRTCPLCRGALWPRTGTIGAGAAVVVAVP >cds.KYUSt_chr7.38035 pep primary_assembly:MPB_Lper_Kyuss_1697:7:237111768:237114008:-1 gene:KYUSg_chr7.38035 transcript:KYUSt_chr7.38035 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPTHDQVPIPIDASFAGDTKASAPGFPTALPLPLADKATTQSISCMIEAEQLVPWSDLRPELVVLVLKRLPSLADRIRLRAVCHPWRSGTISHSQVLPRPFPWLTLPDGTFFSIPGGEVHCIPVPDGACCHGSIGSSLFLMSSDGAFSLLDPFSKTTLQLPNLVTAWQREIDDAHAAGVPVSYKLVAPSPMGSSPKLLAAALNSGSGYSDNLCIIKPQVATFLFRLSAEPFPLVDFAFFDGRLHVVSEFFKLFIVDFSENLENNPNINCAIDSVGDILGAPPYLNPMGCYELKQYLVESGGKLLMVQRFMISAGGFRDTNNQTVGFKVLEADMRTYPGQWRMVSDLGGHALFLGKQSSKSLPAGEGCGPQADCIYFICDYPCPEASANPLRDSGIYNMRSGTLRPLHSGTPAVPQRQAGQWGLTWFFPPEAVFQPSVVCRPF >cds.KYUSt_chr7.10988 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67564615:67569747:-1 gene:KYUSg_chr7.10988 transcript:KYUSt_chr7.10988 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKSVASTVGAAEECAGSARQIAPSPMKLLVRVVEARGLLAVHVNGTSDPFVKLQLGKRRAKTAVVKKNLAPVWDEEFSFLVGDVTEELAVSVLNEDKYFSNDHLGRVKVPLSQVMDTDGLSLGTAWYQLQPKSSKSKRKCRGEICLRISLSTRTHVSEELHPLPRPTSDGVSSSSDRSIGTKRGALSTTNSYIDLSAVASLDRGSQSSFERSADSFVEQPPRSSIEQAVTEPGTAAETDAMANTSSMVEVLSRYFFRKPVDAAVAAVVSDAESVVDQSPEPKACSEEREGPENRTPPESSLDELLKIMESKDQGAEMPAKLSNGVLVDESYVTAPAGLNTLLFSPNSDFWPAVAELQGTSGFQIESWKIDSNDGCLRRTLSYIKAASKLVKACKATEEQKYLKAAGNSFAVLSIVSTPDVPCGTCFKIEILYSITPGPQLSSEEQTAHLTVSWRINFVQSTMIKGMIENGAKQGMSEGYAQFSEVLSQKFKVAELDDANASKAKILASLHTQKEPSWRLIVRFLGNFTFIVSVIVGIYIIAHLHLSKPKAMNGLEYFGIDLPDSIGEVVVCAVLILQGQNIMKVMKRFSNAWKQRGSDHGVKAHGDGWILTVALIEGSGIVAGDSSGLFDLYAVFTCNAKRKTSSIKFHTSDPKWNEIFEFDAMDDPPSRMDVAIHDSNRSDGDPIGHAEVNFLTSSLSDLTDIWVPLDGKCDPASNPKLHLRIFLNNSRGTEVVMNYLSKMGKEVGKKINLRSAQTNSAFRKLFNLPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWEDVDDIQVIPPTLSIGSPSLMVILRKDRGSEAKHGAKGTDNNGRLKFHFQSFVSFGDAHRIIMGIWKMRSPGPEQKGEIMEESELKELPAEESGSLFSHEDVKMSEIFSSVLSVDVESLMEMFSGGQLEHKVMQKTGCMDYSSTEWELVNRNIYQRQINYKFDKALSRSGGEASTTQQKYALVNQDGWAIEEVMTLQGVLLGDYFSNNFDYNFVSNSSS >cds.KYUSt_chr2.2773 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16523316:16523978:-1 gene:KYUSg_chr2.2773 transcript:KYUSt_chr2.2773 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFVKSPTGRTICLRVQPSDTLYTVKAKIQEQHRLFFDGVQLDDNLTLADYGIQHQSMLDLQENMQIYIMETQTGKMITLEVDSLDTIDKVKSKVQDKEGFLKGQQCLIFANKQLEDDRTLAELNIWKESTLLLVLHPVSPGKMPIYVQCEDGKIISLEVEGSDTIDSVKMKIYQVDGTRPIQQRLLYACKLLDGRRTLEDYNIQRESRLDMLLCLCGC >cds.KYUSt_chr2.46583 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291194223:291196909:1 gene:KYUSg_chr2.46583 transcript:KYUSt_chr2.46583 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKRQREAKKRFREANPGLCPPAPAPAADGAKKKKSKKSMFKKVKKPDAGRGGAGRSKHPLRVPGMRPGEQCFICKSTDHAAKNCPEKSLWDRNKICLHCRERGHSLKNCPEKSDGNLNLKKFCYNCGESGHSLSKCPLPIENGGTNFASCFVCKQQGHLSKDCPENKHGIYPKGGCCKICGEVTHLARHCPNKRQHDFTSSMDDGMMNTEGVHQEDHALRGGDDLEDDFIDEEEPKPTKAKKAKLPSSDSATGNGEKNASSKAKGKQAPKVVKFFG >cds.KYUSt_contig_1181.857 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:5682456:5683488:-1 gene:KYUSg_contig_1181.857 transcript:KYUSt_contig_1181.857 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGGKNGAGNLYAALGVASDCSDAELRAAYRKLAMVRVLLLCFCRLLCFLALRLLRLTGFRWQKWHPDKCAATATGSSSGVEAAKARFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDGAGEILGDILEAMNKTAPQESGEGESLEDLQRQFEELFLRPSSSSSSFRSGQDDLAKSSSKIRGARK >cds.KYUSt_chr3.40767 pep primary_assembly:MPB_Lper_Kyuss_1697:3:257374880:257377092:1 gene:KYUSg_chr3.40767 transcript:KYUSt_chr3.40767 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSRRRPGGRRGRNPPPPPPAAAEEETRDWAELPLDAISAILHKLDHVDILMGAGQVCRSWRGAARDEPELWRRIDMLGHAELFNQLNLHGMAQAAVRRSAGRCEAFWGEYAGDHDFLLYLGDQAPSLKSLRLISCYNFSDEGLTEAIVKFPLLEELELSLCSNVGESGVFGVVGKACPQLKRFRFSKDVFYDFEASGYDRDDEALGIASMRELRSLQLFGNCLTNKGLTAILDNCLHLESLDIRHCFNVNMDETLRAKCAKLSTLRPPHDSTDDYDFQVQEPVWADSEPWSGDSMGYGSDYELDSEDYDDYCDPSRYLDGVYEDDLDEEDRMILRGMRALMK >cds.KYUSt_contig_1253.778 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:4804783:4805277:-1 gene:KYUSg_contig_1253.778 transcript:KYUSt_contig_1253.778 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGNPTLGADGGDIFAETISISGDDVVLAGGGAMNLSARVRRAGAGSKEAGSAGGQALLELTPHRTAVCHLVQVCASPGAQEEGPLAELPFPFESVAHHICLGLFFFSLTQKHQLWMVIALNLPCY >cds.KYUSt_chr2.48835 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305553311:305553619:-1 gene:KYUSg_chr2.48835 transcript:KYUSt_chr2.48835 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTWRLRAELTNAEERSSASLKARHGAPSIHRLFISNSHLRLNSKHARDQQQPTPFVLTRERQPSTDADAAAPVAALRERERSRSGCSSKMRSCAPTGGNG >cds.KYUSt_chr3.10510 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62429920:62431659:1 gene:KYUSg_chr3.10510 transcript:KYUSt_chr3.10510 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVAGAMLHVEVAILSVELEILPVEVAMLPVAGAMLHVEVAILSVLLEILPVEVVMLPVAGEMLHDEVAILSVVLEILPVEVAMLPVAGAMLHVEVAILSVVLEILPVEVAMLPVAGEMLHVEVAIPSVVLEILPVEVAMLPVAGAMLHVEVGILSVVLEMLPVEVPTLPVAGAMLHVEVAILSVVLEMLPVEVPMLPVAGEMLQVEVAMLPVAGEMLHVEVAILSVVLEILPVEVAMLPVAGAMLHVEVAILSVVLEMLPVQVPMLPVAAAMLHVEVARLSVVLEMLPVEVPMLPVAGEMLHVEVAILSVVLEILPVEVVMLPVAGAMLHVEVAILSVVLEMLPVEVPMLPVAGAMLHVEVARLSVVLEMLPVEVPMLPVAGEMLQVEVAMLPVAGEMLHVEVAILLVALEMLPVEVAMLPVVEVAMLPLAGEMLQVEVAMLPVAGEMLHVEVAILSVVLEILPVEVAMLPVAGAMLHVEVAILSVVLEILPVEVPMLPVAGEMLHVEVAILSVVLEILPVEVAMLPVAGEMLHVEVAILSVVLEMLPVEVPMLPVAGQMLQVEVAMLPVAGEMLHV >cds.KYUSt_chr4.3970 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22704876:22710498:1 gene:KYUSg_chr4.3970 transcript:KYUSt_chr4.3970 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFFHELQARSKRGRVPSANLLRQLPMDLAGCVRLRIPKNLAGRRELHTGLLLKRRGESGTFPWQNGGFYSLLQLRIHVQESNKICHVITTLVLRDVPQFIRDLCAEDDADINAVHEAVKKILNPLAATELVTCPDVENIRVQFFSLEEATYVKECFDLVNMGMKLQFSEFNISSQQQQQPDISYTVIQRDIYRRRWDGTFTVPYATDPSWFNRWFPLQTEIGRDCYF >cds.KYUSt_chr4.2922 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16691047:16700204:-1 gene:KYUSg_chr4.2922 transcript:KYUSt_chr4.2922 gene_biotype:protein_coding transcript_biotype:protein_coding MHCAATRLNSYFNLTCDNAIDPPRPKVGDSGAVVEITDISPEHGEMRVHSPVNLYLLQVQYHIHQVHRRIQVPDMDGFYKPVQRSPKFFKKAKNLHRFHVDMFLSLIDRQRQELNDRFDELGISTPPPIQSWAGEQLSPNRPAAISDDPIQIQFTSTSFATAHRTPCRTEPSLLAMVAAGSPVSDLVATPDGASSGRSISLPGCPDKCGDVPIPYPFGIGMHCAASRLNSYFNLTCDDTINPPRPKVGDPGALVEITDISLEHGEMRVLTPINYICFKSNATFTKDTQGYGLEFTPFLPSPSRNRFTVIGCNTLGLISGYKDTASQYVAGCYSYCEGINNTSEGAPCAGMGCCESALPSNLTSLEVVFEMKQSKVWHFNPCFYAIVAEVGWYNFSQKDLVGTLGFIDGRADNGAPAIVDWAIRNGSCPEKGKDTPNDYACVSINSHCVSANNGPGYLCQCSKGYDGNPYLFNGCQDECALRKQDPKHMKEKDEYFKQNGGLQLYDEMRSRQVDTIRILTEKEIKRATDNYNEDRVIGCGGQGMVYKGTLDDQKEVAIKKSKVINNDCREEFVNEIIILSQINHRNIVRLIGCCLDVDVPMLVYEFVSRGTLSEFIHNADRRRSPIPLGLRLRIATQSAEALAYLHSSTARTILHGDVKSANILLDDQLNAKVADFGASTLKSMDESEFIMFVHGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIYTDNFNEKQSLSYSFPLKFHQKNYRTMLDPEIIEDAGTAVLEKMAELAVHCLRPRGDDRPTMKEVAERLHMIRDSNSTQLVLMKTAVQTRAFFLKMRTTAMQKTTEDHRPLLSLSTRQHTGPLTCRNW >cds.KYUSt_chr5.17619 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113662704:113667017:1 gene:KYUSg_chr5.17619 transcript:KYUSt_chr5.17619 gene_biotype:protein_coding transcript_biotype:protein_coding GILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDIRINEELMETLTLEEKTSWVESSPTKVFDIDPNTQQVVVTDPEAYTYDDEVIKKAEAMGKPGLIEINAKEDSFVFTVETTGALTAYELIMNAITVLRQKLDAVRLQDDDADLGELGAHL >cds.KYUSt_chr5.147 pep primary_assembly:MPB_Lper_Kyuss_1697:5:978361:979038:1 gene:KYUSg_chr5.147 transcript:KYUSt_chr5.147 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCCKDTCCKKELVDDIAGWIKIYNDGTVERPGPPSEAQQLASPVAPHDVPCNGVTVHDIPADPPLRLYLPAAAPLNGRRLPVLLHFHAGSFCISDPTWKMYHSFYARLAAEIPVASIVSITLPLAPENPLPAAIAAGYTSIDWLKSLARPVLPNEPVPEPTYDPVNRLRDIADLSRVFLIGDSNGANLALQVAAGFSSAEPGYWGPVQLIKRDNQQSSSTSA >cds.KYUSt_chr7.29582 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184128520:184130615:1 gene:KYUSg_chr7.29582 transcript:KYUSt_chr7.29582 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVHRTHLSHKFLWHFKAIRRAMASSWTKNPPAAAMGAFLPLLLLAVAANAGLLATPSQALTQDGLHLLDAKRALTVPDGTLTDRNPTAATPCARTGVTCDAAGAVTALSLANTNLAGPFPASLAASRASRPSTSAPTTSARRPPSPGATR >cds.KYUSt_chr1.33712 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204841284:204848868:1 gene:KYUSg_chr1.33712 transcript:KYUSt_chr1.33712 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADPNRSFIKDVKRIIIKVGTAVITRNDGRLALGRIGSLCEQVKDLNAQGYEVIMVTSGAVGVGRQRLRYRKLVNSSFADLQKPQNELDGKACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDSDFENSNFRERLRETVESLLELRVIPIFNENDAISTRKAPYEDSSGIFWDNDSLAGLLALELKADLLLLLSDVDGLYSGPPSEASSKIIHTYIKEKHYHEITFGDKSRVGRGGMTAKVQAAVWASTGGVPVVITSGCASQSIVKVLQGEKIGTLFHKNASLWEPSKDASVREMAVSARDCSRRLQNLSSEERKKILLDVADALEANEDLIRSENEADVAVAHEAGYESSLVARLTLKPGKIASLAKSVRTLANMEDPINEILKRTEVADGLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNAILHKVITNAIPDNVGEKLIGLVTTRDEIADLLKLDDVIDLVIPRGSNKLVAQIKATTKIPVLGHADGVCHVYIDKSADMDMAKRIVMDAKIDYPAACNAMETLLVHKDLAETPELNDVLAALKTEGVNIYGGPVAHKILGFPKADSLHLEYSSMACTVEFVDDVQSAIDHIHRYGSAHTDCVVTTDDKVAETFLRQVDSAAVVYNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWLLRGKGQVVNGDKDVTYTHKSLPLQ >cds.KYUSt_chr5.2917 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19100611:19102375:1 gene:KYUSg_chr5.2917 transcript:KYUSt_chr5.2917 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPYPFGFSSGCTIRLGCDDDVAWLGDARELGLHVRNVTARGIILELIPDCSRAFNATVGALFSDNYAPSSGNTLVVSSCSPVAQGARIISDCSSNPPASNMSRSSSHCSANESIRCIVSLPPSDSSGRHFLRKHEVLSSECVGLVSSMSYQDEPTPGLLLGLLRLDWWVQGASSWEGRKLQVSTGVAAACGTFLLSASIAVCFFLHRRGRSNAMKTDKKIPKHARLFRGELVEDELDQGAGAPRRFYYDELTVATDNYSDDRALGKGGFGSVYRGFLSDMNREVAIKRVSETSRQGWKEFVSEVSIISRLRHRNLVQLIGWCHGGDELLLVYELMHNGSLDTHLHKPDCVLAWPVRYEIVLGVGSALLYLHQETEQRVVHRDIKPSNIMLDSSFIAKLGDFGLARFINDGRRSYTTGLAGTLGYFDPESMLSGRASVESDVYSFGVLLRAPACRGSGEW >cds.KYUSt_chr4.26714 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167809037:167810114:1 gene:KYUSg_chr4.26714 transcript:KYUSt_chr4.26714 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPMLPRRLSLVVRGVTAAAAIKHPNILSYSLGPDSGSSSSSSTRSRRFSSNAEQSTRSRRWWYDEAQLDDDYDEEEGPSFGGSASWDQAFDEPWFAKGSMVRVMQVSRAYWYVLPLILASMLLATGRQAFLLAIAVPFAQSAASFAIRAFSSTFGGSQEEYHGDDDDYYSDYRSSSWEEFGEHGYKSTSYSTKYRDGTSQQQHSWTKDDNSEVSETSEEPDDTDVFSASSSNTSRTSFGGWDELDGAGDDQYIGSSKPRSGTGPPPDAADNTATGGAARSVGRRRRPQPETTARRRRPRSRAAARYTQSPLLMRLFIAVFPFLGSWFRIMH >cds.KYUSt_chr6.11313 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70080007:70080573:1 gene:KYUSg_chr6.11313 transcript:KYUSt_chr6.11313 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPTCAAEAGLVAMDCVVVCCCCPCLVLQITVFLFVRLPRKVVVKSKRIILRRWHKRRSSAAAKRGRSRSVSSSGMRSLQELLDGDFEATFRNFHGGECSDSDDSWKERCFAMDDDGRDGGGWKERCFAVDDDDGDAVWEELVQQEGLFWFGSFWGRTEQGDPVSAEDKMYAGLSLPVVLERVCDD >cds.KYUSt_scaffold_719.517 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:3544892:3545374:1 gene:KYUSg_scaffold_719.517 transcript:KYUSt_scaffold_719.517 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDPPSWFHAQTAPTARVDGKTVRLFQCLFCDKTFLKSQALGGHQNAHRKDRVGGFSDPYGDGLFGGATRSTRAPWDSASGRSMCANIASHRSGAPASTQAADACMLERWGGRAPRMAERAMLLGSSEGRDGVVRASEDSDAGETMDLELHLWQASPTC >cds.KYUSt_chr4.16797 pep primary_assembly:MPB_Lper_Kyuss_1697:4:104429900:104430394:-1 gene:KYUSg_chr4.16797 transcript:KYUSt_chr4.16797 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHPTAKGATTSHLAHHHNTGVNQRCLGHHPPGRAAIAITTRSGPAWPRSGAQRAQPAPPGRLPRHPPRTLHRRAPETPSRTYAWMQSAAADQEPAEMCLDRRRRHGHQQPRAPPPAAASTCTIIRMRVNLVIRCIRVHPLFIVRFAFRSAQIAEMLTDEFTA >cds.KYUSt_chr6.29539 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187222020:187228688:-1 gene:KYUSg_chr6.29539 transcript:KYUSt_chr6.29539 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSSVDPKSAKKYKKSSNSKDERKRRKDKRVPPTAAADEAPLRNEAKRKHKDGQEHGKKPKKEKNAEAEATGAGEARRGHDRMRRAMEDERFAGARTDPRFRPMRRKEAKVALDSRFDGMLTNPMFASSEAPVDKRGRRRKKSARENPMLHYYLNQEEGGEKEKEKEKLVREEEEEDEPDEADEQDDEESSSSDDDEEEDAEDDDQYSVGSDIAHYLMARHDDTPMTDKETHRLAVVNMDWDHIKAVDLYMVMTSCVPKGGRVLSVSIYPSEFGLECMNIETTQGPSALIGADEDEDDDKDDDDGDDGGEDDNDGDEDYDSDDVDGEEDSEHDSETENSRLRAYELNKLRYYYAVVVCDSSATANHLYTTLDGTEFLKTANVFDLQFIPDSREFKHAARDVATEAPPSYKEPDFETRALQHSKVNPTWDDDEPDRKKILRRKFKEDQLDDLEIFLASDDSSDDDVDDSGDESRPNGVAKRKLTNKERLALLLEGDKSDEEENDDQDMEITFNTELEDLSKRILERKSTETKKTVWEMHQEKMKEKRKARKRSSKDDDGSSDEDSADEKDDFFEDDKSDEEVKPVKKQKVKAKGKGKDKLPEDEHFEPEATKEELELLVAAEQDAANGAKGYNIKRKSKKGKKGKDSVEDKLPEIDLSEDPRFAPMFTSHLYALDPTDPQYKRSATFVRKQGGKKGARARTLDSEPPVEESPLGNPDDASSKNTNQKRGGSSTEKLQMLSAVNSLKRNLSAFKKARKSDP >cds.KYUSt_chr2.25483 pep primary_assembly:MPB_Lper_Kyuss_1697:2:155900711:155915659:-1 gene:KYUSg_chr2.25483 transcript:KYUSt_chr2.25483 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAATTTFLREAELRLLRCTLPSPTSQPAPPSPPPAHPLGPVAASALAAVEAGDYAAALASAVPHLLHGSTLASADFSTASPAQVYADLAAAAESFLQGDGGAMAGEGFQCRCAVVLSAAVAALLAFTQQNVTGPSGKFSPFPFQIPSLDEGWFSDPGCKWDAWASDHLASLGSHVHGKFSLLQFIIFAELLFTSIKSLDFSDCWSVSWWLCRISMCQQNILDEQSSSLFDQVQVYKNKMLNHFGELEKVSSYWGSLLCDEEGSSFVSAAFLEAGIAEYKYGRVDASRLHLDSAQEACGIHLSLTGILGFRTIHQVDAKSQMVLVAKTTKPADDVGQSAELTGAQSDGVALKTVRSSVPSESDEFCDILRTPRLAQNGNDSISESTPSASTKISLTAIQQAVVLAQCLHVSRRSRSDEMSGWEMAPYIESIDSQDESYFVVRSLCNVLRIRWESTRSRTKQRALLMMENLVEDIAKEFPVVSQRAKMVFGVHMPTIPALRKEYGELLISCGLLGEALNVFKDLELWDNLIYCYRLSGKVADAVSLINARLLVTPNDPRLWCSLGDATNNDEHYKKALEVSNNRSARALRSLARSAYNRNDFYSSKILWESALALNSLFPDGWFAYGTAAWKDKDLEKAVDAFSRAVQIDPENGEAWNNIACLHMIRGKSQAAVQAFKEAVKYKRNSWEVWENYSKVALDTCNIQLTLEAVKTILNLSSNKRFNADLLDKIVRSGASNAEIWGLYARWHKSKGNLMACSEALLKQVRSLQGSGLWHEQMKFTKYAQASLQLCK >cds.KYUSt_chr7.27986 pep primary_assembly:MPB_Lper_Kyuss_1697:7:174661142:174661594:1 gene:KYUSg_chr7.27986 transcript:KYUSt_chr7.27986 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPVEEEPAAEKAPAGKKPKAEKRLPAGKAAAKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >cds.KYUSt_chr2.2353 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14220385:14223115:1 gene:KYUSg_chr2.2353 transcript:KYUSt_chr2.2353 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSSSGCFVDERVALMDISSWFMSSKSEVPSSWGHGDDCCLWEGITCDNSTRRILRLDLSFMYQSIRTDGSDGSVSIQAMEVPCWNLNLTIFSSFRELQLLDFSGNYACFEDFSGLQGLSKLKYLNLSDNTFKGSIPGSVSRLVSLEVINLSRNNISGTLQNIALKLSNNSLGGMILGGASNLSSVREIYLGSNKFEGTLPRNLSGDVVIMDLHDNKMSGELDTSLWNLPSLKALSLASNGLTGEIHPGICTLRSLLMLDMSDNYFKGRIPNCSITLPLRFLSVSGNSLWGIPNAIFNSSLVVLDLSHNRFTGNLEWAQYLPQISVLLLRRNKFEGQISSKLCHLQFLSIIDISHNILSGSIPPCIGGMTLKYPDTYLFGWPTAGAREYYGPEFSYNILYVLQGFTFTTKGNPYTYGSNFFMSMSGIDLSANMLSGEIPQEIGNLSHIKSLNLSNNFFTGSIPATFANLSEIESLDLSENRLDGSIPWQLTRLSSLGVFSVAFNNLSGCLLDSGQFGSFGMDSYKGNDNLRSCTSSSGPVVRNGTVGNVADDSDPILYVVTAVSFVLAFWATVTFVFCHSFGKRIILNL >cds.KYUSt_chr5.5135 pep primary_assembly:MPB_Lper_Kyuss_1697:5:32407053:32410484:1 gene:KYUSg_chr5.5135 transcript:KYUSt_chr5.5135 gene_biotype:protein_coding transcript_biotype:protein_coding MESISSFLANLASAGREHDEQVRTWMNQARILANDCNTCIDLYLYRGDPSLNLPSEGLCRYLGWAPWLLRKLYAQHRAAGQLSVLKERARDIGERRLRYGVEVKTPDRPSSSTQPLLEMTTADNEEEEEEEEDDDHDDQHKGGTLSMTHMSMDDETYLKEKLHQWIGPVVRDATRSIPSVVILVPDTKDAKDADTLMSHARDVANTHLKQAIKGSAGEHHHDKVLPKNQIEWNIVVVDVPKVHYDYYGPLDEWDILYYIWHQLKQSQQQQREGTAPPTTNRMYKRNLFREKLGILEEIFNQSCMEIDPKFEEITRSIRSQVGSKEDVDFNEKTIPEKSLDQLLMRLIAQSTTATPSKEDQKKFAASYQKIIEETAKKLKAKIHGSSDQQIHGVSDQQVPDYEDILQEVFPEPTTAAATNGHTNTISTTSTPVEGQIIEIIEGVKEMLRDLKKLDNYSGGVSSEPAAQGSEADFGKSAEEKSFHDKTEEKILEIKYKILAQLMIRGIVDKIQEQLGGNTKRILIILKTDDNKHVAVWEETMKILCRLGCNTIAGAMIVATKTTQHQNKEDFCYPRLEVLEYPLVGRYLDTILESTKEPLREEDSQQILLRSILYEYEPDEFSMKLFVHTFHAKPKRSNEELRKLLNNLRATQNSSPDIAAKMFNFSYKDLPKGYRSCLLYLAIFPAETEVRVSTLVGRWVAEGLITTTDWSWSSSVKEALKCFVALINQGLLSPDSIGATGNVKSCRVKQPVHGFIDKIARKQRILEKRLSSRWARHFSIFSDVRLRSSQKVEDFLKNIPKSSQFSKIKVLDLEQCNCFKGNRRYLRDICSKILMLKYLSLRNTDANHLPKAINNLHELEVLDIRETEIPMHATRKILLRKLKRVLGGSRHTQFSSIHIPEKIDKMEGMEVLSNVQPRNHRDLDHIAGRFTLKKLGVVIRKESHLGALLVATKDLKRSLRSLTITLNMLTPPTDQCFKDKSTTEWDPKDSHLVSLSIMGSTQKKELLTWFSTIAIKVAKVTVSGSFRQDDMNTLAVFPSLSCVRLRHIHDQTSHELVFVAGFKSLSTFIVEDTNIAKIIFEDRDASKRMKMVGLKELDEDGVAVPKEVEQDINKNGDQSAGGTDSAAMARCPSLWKAKSWLWRN >cds.KYUSt_chr7.32869 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204879871:204882959:-1 gene:KYUSg_chr7.32869 transcript:KYUSt_chr7.32869 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAMDFPSPAPAAAKLPAPPYHHSPAAHEAMTPPPKPAGQRSPLPSPLQLSGSGYSLHDLLLLSPSPTSRRSRSKQRGAGVDSSLEMAGTPPRRTRRAAAPAGASPRNARRARRRLEKEVEPQEDAVRKARRRKPTWAAPKAVAAVALDKAVAAAAAAEKEEDMNLALVPTPADAPHVTGTDALEQSGWEGLWERIVELVMWKDVAKSALWFGLGSMFFFSCSFSREITFSPISAFCHLGVMILGVAFLKDSVPQSQPLETGRNFRLTEEDLLRTARAVLPFANSLISTAQVIFSGNPSMTLKVLPVLLFGAKYGSLVTLWRLLATGFFSSFTLPKLYMCYSTQIHRTVENVRDRALEAWKSCPRKKLVAAFISVVILRYNRQHHKADVNPEVKCCQEDQEQEMEFHVQWFYFVSGYQLTSKSGLALP >cds.KYUSt_chr7.38202 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238183800:238185314:1 gene:KYUSg_chr7.38202 transcript:KYUSt_chr7.38202 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGTLLGRLPGTARLHLAVSSSSAPASSIRFAARSAGGSRAVSLRASAPPAAAAAATSGSVAPAISLTEKALKHLNRMREEKNEDLCLRIGVKQGGCSGMSYTMEFENRGSANPDDSVIEYNGFTIVCDPKSLLFMFGMELDYSDALIGGGFTFQNPNATKTCGCGKSFATGKETLSTATACNN >cds.KYUSt_contig_319.902 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:5860770:5867514:1 gene:KYUSg_contig_319.902 transcript:KYUSt_contig_319.902 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSCTGRPAPSLPPSLAPDASPPPLIFFGGKKLNHGIMKFMPLKQTFALQSKLEPRNGAFWEEQNSFSISLALNSAFQIKRSREEINRCCRLFYTTPMAGAPNPSPYFDLRVLVKCVCGLRVIASRGLSRDLCKELVEGCSSLDSSCFSISTVSGGITKLCKRLALAQLKRGEVRPLLCSSLAGGEGCRLLPEWCYFFELKHERAIWASAIFCRQGGETTTSTAEAFFESAAGAWRLPFIKWCVPGELKVTDGFDSSSGMELSSILLWILGGDA >cds.KYUSt_chr3.24064 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149329235:149329495:-1 gene:KYUSg_chr3.24064 transcript:KYUSt_chr3.24064 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPASLRGFASPAVRRLGRDDPGAKSCISVPPSAAMITARCLTKPYWRSRAGASPRVGASSRATDVGGRRPSIAWQRRWEPVSD >cds.KYUSt_chr5.37929 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239644647:239645441:1 gene:KYUSg_chr5.37929 transcript:KYUSt_chr5.37929 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAQIRISYLFEIWRIGLSYDTNEIALKDAFSQHGDVVQVKVICHPLTGRSKGYGFVKFSSEVEAAAALEKMSHEVLDGRNIRLHYANHG >cds.KYUSt_chr3.28006 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174797464:174800178:-1 gene:KYUSg_chr3.28006 transcript:KYUSt_chr3.28006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEVAGTTATFRRVYETLKAELLRDSAFDFNEDAVRWLDAMLDYNVLSGKLNRGLAVIESYKLLKAGSEPSEDEVFLACILGWGIEWLQAYFLVLDDVMDNSQTRRGKPCWYRLPKVGLIAINDGLILRSQISRIFKRYFYGKTYYVDLLDLFNEVEFKTTSGELLDQIITNEGKKDLSKYTTDNYRRIVEYKTAYYSFYLPVASALLLSGWNLDDYVQTTHILVEMGVYFQIQDDYLDCFGDPEVMGKIGTDIEDYKCSWLFVQALSRVNEQQKGILFENYGKSDPASVEKVKALYKELNLEMAFSQYERETYENLTSDIEAQPNEAVQAVLKSFLHKIYRRRK >cds.KYUSt_chr5.27531 pep primary_assembly:MPB_Lper_Kyuss_1697:5:174267759:174274019:-1 gene:KYUSg_chr5.27531 transcript:KYUSt_chr5.27531 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRLLRCCAMPLLQLPPPPQRALGVLGSRMDHRLLRCRVMPLQPPPPPLGVSGGRLFASLSPPPPLQSRREVHVWYLCPDEVNDQSQLNILDTDCKIDPRSFEFKKNEFGKPEILWPPDNSIVERPLHFNISHTTSLIACGIAMHAHIGIDIEEKNRNTTKNILSLARRYFTPSEVDYLIAISDSDVQRKEFLKLWTLKVSKVCDDSISGSDHLSDNWQFTLAELNSSHYMSVCVEDDSRKQGLENTPVPVGLKSSSYDSSDDEYGQEEEENISLLLAYRAVKKPKFGGSVFGMQKLWRERIEGHEKLMRSYFNENPIFPESYFRMSINLFKHIATEVTKYDRFFEQRRNAAGELGHSTYQKVTAALRMLAYGIPADLVDDHLTMGESTSILSVKRFVVAIVSVFGSTYLRAPKCLRHGNAFGDQRRPGVSRYAWFH >cds.KYUSt_chr7.1303 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7078428:7081546:1 gene:KYUSg_chr7.1303 transcript:KYUSt_chr7.1303 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTAAPPPGIATTLSTLLRRSTNCIHSLRASHRRCIYSDVVAEPAPAPPPPSRRGGHAGTRLEEAVPAGEGRSRVDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKVSHTVKGGDTVSCTVSDLTPLRAEAEDIRLDIVYEDEHLLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLARNSIDDECPESSDDDVDVFDVDQFTIGDVSSEVREAIVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTISRVYLSLTCGLPSPYSARIEVPISRDPNNRIRMVAAPGSGHRYAKDAASRYKVREVFCGGGSALVEWRLETGRTHQIRAHAKYLGNPLLGDETYGGTKSMALSLLRPKTPSKYHGDLSSLISKIDRPCLHAALLGFKHPHSGKALEFSCSPPDDFTEVLDELRRVTPTSDGQDSDGVAQFCD >cds.KYUSt_chr7.3501 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20908279:20912134:-1 gene:KYUSg_chr7.3501 transcript:KYUSt_chr7.3501 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSSSPSRRLAQLTRHLLASPSSSSGELSPVGAPAGAGAIASKGFAAVLVCIFEDPRGDTRVLLTKRASSLKSHSGEVSLPGGKMEEGDADAKATALREAHEEIGLDPALVSVVTVLEPFLTKNGLDVTPVIGILSDRSLFNPVLNKDEVVDIFDAPLEMFLKDDNRRTQEQIWMGMTVPFQVFDYEAEGKKYVIWGLTAHILTRAAAVVLQRQPSFVELPQGPTSAAVTSKH >cds.KYUSt_chr6.6939 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42027190:42028653:-1 gene:KYUSg_chr6.6939 transcript:KYUSt_chr6.6939 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASPSLTLPPPARRATQKPSRRTPSRDVVSWTSAIARPAREGDLPATAAALSAMLSSPAAPAPNDVTLLTVLSACAAAAPSSPLARPLALSLHALAIKLFPSHLLLCTCLARFYLASRLPHLALQLFGSMPVRSVITHNTMITGLMRNGLVAAAREVFDGMPAPDKVSWTALIDGCAKNGHHEEAIDGFHAMLRNGVEPDYVTLVAVISACAEVGALGLGMWVHRFVPKQGLEHNVRVANSLVDMYARCGQVELARQVFGKMRKRTVVSWNSMIVGFAANGRCADAIEHFEAMREAGFRPDAVTFTGVLTACSHAGLTDEGLRYYDAMRSEHGIAARMEHYGCVVDLLGRAGRLDEAMKVVMTMPMRPNEVVLGALLAGCRMHGDVDMAEQLMQDLLEQDPGGDSNYVLLSNIYAADGKWDGVGKVRSLMKARRVKKMPGHSAVEIAGDVHEFVCGDRSHPQAGELFDMLGLLRYEMAGCESCE >cds.KYUSt_chr4.47441 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293617195:293618562:-1 gene:KYUSg_chr4.47441 transcript:KYUSt_chr4.47441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haem peroxidase family protein [Source: Projected from Oryza sativa (Os03g0152300)] MRALSAPRGGSPVDRLGIKRDPGRVPASQGFPCSHPRTMDACRLLLLLLLGFLAAVAPLASAQLSPEFYKDSCPDAEKIVAAVIEKKLKEDPGTAAGLLRLIFHDCFANGCDASILIDPLSNQSAEKEAGPNISVRGFEVIDEAKKELEAKCPNTVSCADIVVLSARDSIHLAGGPAYKVQMGRRDSLVSNREEADNNLPGPDIPVPKLVSEFLSRGFSAEEMVVLLAGGHSIGKVRCIFIEPDATPMDSGYQASISKLCDGPNRDTGFVNMDEHNPDSIDGTYFANVIAKKMPLTIDRLLGIDAKTTPIIKDMLNKPDDFLPSFAKAMEKLSELKVITGKDGEIRKTCSEFNNPMPATGGSVIRISSANPEDLEGLSSGGKQVSGIVSQGSKDPLPEPAKVVDGHRKKVAGRHHKLRGDSS >cds.KYUSt_chr6.15364 pep primary_assembly:MPB_Lper_Kyuss_1697:6:96381497:96382509:1 gene:KYUSg_chr6.15364 transcript:KYUSt_chr6.15364 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGFSITQDQDSGAAIWVGNPGDGAPTSGNFILVGWHVKPRLYGDSNTHLFVYWTKDGFQKTGCYNTVCIGFQPEAAASISAGDIITPSKSITIKVFQNKDTGDWWVYCGSNGSATAVGHFPKWLFTGLANSTADFSFGGYVSNEKTAKTPPMGSGSSQPGQAASFSGLQYVLQDGTVSPITGDLVSRTDKKSCYPVTPIVDGKFYYGGPGGCTV >cds.KYUSt_chr4.52545 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325953158:325953484:1 gene:KYUSg_chr4.52545 transcript:KYUSt_chr4.52545 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESHPPARYVKLTRDQEAPADDILPGELNQPVHVPQLEGRRCPECGQVLPESYQPPADEPWTTGICGCTDEPESCM >cds.KYUSt_chr7.39843 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247506637:247509226:-1 gene:KYUSg_chr7.39843 transcript:KYUSt_chr7.39843 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPGICFPLPVGRGAFGWVGVPEACELCLIIRLLCNRMEMLFSSLFCDSASSDNFSGHPDVERCPFLRNINGATTFSFSSALPVAARGGKGPIFEDGPGFESAFKLFHGQDGIVPLSGRSYVHDENRIETTNVKPEPALPFNPLAARAATISLSGFGPFGFNFFNGKGKKQNKKPNNLDQSQKKPSKPDQNSMKQKGGNPPSHESLSDEWLENGQCPLARSYRAMSGVLPLVAKALQPPAGMKLKCPPAIVAARAALARTTLVKSLRPQPLPSKMVAIALLGMAANVPLGVWREHTKKFSPQWFAAVHAAVPFIAMLRKSVNMPRTAMVFTIAASILGQTIGSRAERIRLKTLAAKSTADFATTNAAMYPNKNCGCSDSEGKAWDPLALKMPGSVSGSAPAPSPSLCF >cds.KYUSt_contig_1658.246 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1633636:1637264:-1 gene:KYUSg_contig_1658.246 transcript:KYUSt_contig_1658.246 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNEQGGTYVDMENDAAGKAFGSPPVSAQKESMTGSGSYKTSIAMNDDFFLQEGFTYTGLLLGDITHPAVYEKQDEQDNIKDSSATMLGDEEDNPWDVSMFNDIQLDEVYDAGTIDNQ >cds.KYUSt_chr4.50970 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315979859:315983288:1 gene:KYUSg_chr4.50970 transcript:KYUSt_chr4.50970 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADELDLMEELLLASPGFDYPDFLPPESPAPFSPLFDVGSCITTAAAPPSPAPAPAATSTWLLPPQAHVKERLQRALQGIAQRWQAQRGCGGELLVQAWVPTRIGDRQMLTTCGQPFWLDRPSGRLESYRGVSVKYQFSADELARDALGLPGRVFVGRVPEWTPDVRYFTDQEYPRVRHAQYFDIRGSVAMPVFERRSGACLAVVELVMTTQKINYNAEIENICNALQEVDLRSSDVSASPRAQVFGSSYQAIVPEIMHVLRAVCETHQLPLAQTWISCVCQAKKASRHSDETYKCCVSTVDEACYVRDPSVMGFHQACSEHHLFRGEGVVGKALGTHEPCFSPDITTCSKVEYPLSHSAKFFSLRAAVAIRLRSVRTGSMDLILEFFLPMNCVKSEDQGDMLNSLSNTLEQVCSTLRVVGVKELANDGSPESSPPVTPEFCGKPENLDVLSCGINVPARITSPEATEEVSSWIASLVDVQNKGVKGEINSDLPFGFRKQEDEGFSVTAGWATSPVLIPEDSSFFPGFKKHEEYEAKEASCTSDPSLTNSDKAVEKRRTKMEKTVSLQDLRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGADGTVQLTSLYENFTKTTWSERELQGDLSCPASEQKFHLEPSVPERLCESRFSSRTSGSNSLSPTYSQSSNSSLGCSSDPKPQQQQSSAPELAIKQETSMEENQSSTQMTDVSHAEVQILSEERPVTLCRSQSPMVISEQKPMENKPGVQEAKPDILKIKAMYGEERCIFRLQPDWGFEKLKEEIAKRFGISQETCELKYLDDESEWVLLTCDADLEECVDVYKSSIPKTVRISVNATVRPVLGGSFGLAGVS >cds.KYUSt_chr7.14660 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90555320:90558475:1 gene:KYUSg_chr7.14660 transcript:KYUSt_chr7.14660 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLTDLSLVSKVCSELEAHGGVGDRHLAEFIVHLARRSPSVAEFHARLREHDFQAPDYLARTLHTLIHAIPAAAPAPQNLASDGEEEEEEGAADPELYLVYHGRVTRVVDAGCFVRLDGARGREGLVHASRMPALAAVRRGQGVFVKVVSLDWGNLALSMLDLDQETGREIPPPARRQSDDDGVPRANRSAAPAGTSGKRVGLSGIVIAEEGPAPRRRARRMSSPERWELKQLIASGALNARDHPAVFDEDDEGIQYQEEEEEEMEEELEIELNEDEPPFLSGQGRSSVELSPVRISRNPEGSLSQAATLQAALVKERRDIQSQEQRAMLDSIPKDLNRPWEDPVPDAGGRCIAQELRGAGLSARSMPEWKKEAYGKTVTFGQRSNLSLQEQRQSLPIYRLKNELIEAVHRNLVLVVIGETGSGKTTQVTQYLAEAGYTAGGKIVCTQPRRVAAESVAKRVAEESGCRLGEEVGYCIRFDDRTGPDTVIKYMTDGMLLREIMVDRNLSGYSVIMLDEAHERTIYTDILFGLLKKLIRRRDDLKLIVTSATLDAEKFSGYFFDCNIFTIPGRTFPVEILYAKQPQSDYMDSALRTVLEIHMSEPEGDILLFLTGQEEIDHACSYLHEKMQALGKDAPDLLIYAAYGALPSEMQSKIFEPTPPGKRKVVVATNIAEASITIDGIYYVVDPGFAKVNVYNPKRGLDSLTITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMPPTSTPEIQRINLGWTVLNMKAMGINQLGAFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPQEPPLSKMLLASVDLGCSDEIVTIIAMIQTGNVFYRPREKQTQADRKRGNFFQPEGDHITLLTVYQAWKAKQFSGPWCVENFLQISSLRRAQDVRKQLLEIMDRYRLDVVSAGNDLTKVRRAITAGFFFNTARKDSQGGGYRTLADNQQVYIHPSSALFHQQPQWVIYNEIVMTSKEYMREVTAISPAWLVELAPRFYKSIDLAKMSKRKRQERLEPLHDRYNEPNSWRLSKRHG >cds.KYUSt_chr6.3804 pep primary_assembly:MPB_Lper_Kyuss_1697:6:21852414:21853733:-1 gene:KYUSg_chr6.3804 transcript:KYUSt_chr6.3804 gene_biotype:protein_coding transcript_biotype:protein_coding MRALEDELFPSTPGKVKIERAGGAMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKYFQASWGGLHWERQIRSSAAPRRPPGSSPNAGISVLVTGAAGFVGAHCSLALRKRGDGVVGIDNFNAYYDPSLKKARKALLASHGVYLVDGDINDARLLAKLFDVVPFTHVLHLAAQAGVRYAMENPSSYVHSNVAGLVTLLEACKSADPQPALVWASSSSVYGLNDAVPFSESHRTDRPASLYAATKKAGEEITHSYNHIYGLSVTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKDHVDLARDFTYIDDIVKGCLGSLDTAGRSTGSGGKKRGPAPYRIFNLGNTSPVTVPTLVAILEKHLRVKARKHVVEMPGNGDVPFTHANISLARHHLGYKPTTNLDAGLKKFVKWYLSYYGYTRGSSKNL >cds.KYUSt_contig_1993.50 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:319055:326901:1 gene:KYUSg_contig_1993.50 transcript:KYUSt_contig_1993.50 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFGADVCFTDWSSSDSDDSDLDEVLNDDETEMILLLFGMKHMEDHTKLMDQQKGSVMGRMCIPQYHALGHEQLMQAYFAEEGQKISIGIEPANMRNCFTARQYCIPERDTIAEYMGQVRSAAGVMEIADVVGAIGCLLIPWPAVSEHQGELKDNNNRVRLDLHLHLQERRYASFLP >cds.KYUSt_chr6.7913 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48519657:48524146:-1 gene:KYUSg_chr6.7913 transcript:KYUSt_chr6.7913 gene_biotype:protein_coding transcript_biotype:protein_coding MHWARRGFSRDGTPPCAAAASSPAAGGEEGSAKAAGQRGKLKVDTTPSAAARNIPSFPLETSALALLAAENEDAASPEAAASAPKLESSVAEQNGIAGTVSRAELGGSVQVSESAAAASGYWKDVVVGDQVGAKVDTSGDSEVVSSSDDSDNMGSAPLAGPNVMNVIVVASECAPFCKTGGLGDVVGALPKALARRGHRVMVVIPKYGDYAEACDLGVRKRYKVAGQDSEVTYFHSYIDGVDFVFLEAPPFRHRHNDIYGGERPDVLKRMILFCKAAVEVPWYAPCGGTVYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYTRSVLVIHNIAHQGRGPVEDFFNMDLPEHYIDHFKLYDPAGGEHSNVFAAGLKMADRVVTVSHGYMWELKTIEGGWGLHDIINQNDWKLDGIVNGIDTAEWNPKVDVHLHSDDYTNYTLETLDTGKRQCKEALQRQLGLQVRGDVPVIGFIGRLDRQKGVDLIAEAMPWIAQQDVQLVMLGTGRADLEDMLRRFEGEHRDKVRGWVGFSVQMAHRITAGADILLMPSLFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFGNAGLGWTFDRADAGRMIDALGHCLNTYWNYKESWRGLQARGMSQDLSWDHAAELYENVLVKAKYQW >cds.KYUSt_chr1.17151 pep primary_assembly:MPB_Lper_Kyuss_1697:1:99551337:99554047:-1 gene:KYUSg_chr1.17151 transcript:KYUSt_chr1.17151 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKPKVSGEVAVMELTAAPLGVRTRARAALAMQSQPLHQGDYLQLRSRKLEKPPPPHREPAARRKGAAPSELAAAEAEADEVSFGENVLELEPVERSTRETTPCSLIRSSETISTPGSTTRPSHSSSHRRVQAPVRHSIPSSAEMNDFFSAAEQPQLQAFIDKYNFDPVNDCPLPGRYEWVKLD >cds.KYUSt_chr3.15058 pep primary_assembly:MPB_Lper_Kyuss_1697:3:91727701:91732620:-1 gene:KYUSg_chr3.15058 transcript:KYUSt_chr3.15058 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVASLQSPNQAVFGLGDHHHHVDVGFPDGVDDDFLLDYIDFSTCDVPFFHVDDDGDILPDLEVDPTELLAEFADEPATPTTVLNPAAEGCGAHHNADEEKTDLPAATDMELPEGKVESETKGLEEKDVKHISTSNSVAADEVRSAVTTDDSSAAAGSDAKSCASAEGHSKRTSKPASAASAKSSHGRRKVKVDWTPELHRRFVQAVEQLGLDKAVPSRILELMGNEYRLTRHNIASHLQKYRSHRKHLMAREAEAASWTQKRQMYAAAGGPRKDVAAGGAPWVVPTIGFPPPGAMPPAHAAAAMVHHPGHPPPFCRPLHVWGHPTGVDAPPPQPPSMLPVWPRHLAPPPAWAHQPPVDPAYWHQQYNAARKWGPQAMTQGTPCAPPPMPPAAMLQRFAAPPMPGMMPHPMYTPILPPPPTPAPQSNKVAGLQLQLDAHPSKESIDAAIGDVLVKPWLPLPLGLKPPSLDSVMSELHKQGIPKIPPAAAAKTSSAAAS >cds.KYUSt_chr1.38178 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233223484:233235457:1 gene:KYUSg_chr1.38178 transcript:KYUSt_chr1.38178 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILEIAKLTKQSMEPRQGKHTVRATYNFTFLQGNTMEEYTKKPQTRRRRDPAVRCAVRCTIELQAYLHRTGKGRAGATVPLSSSRVLELQAAAPGKGRAPAADAKAVKLQPCAALEDAPSSFRAPCVTSSLPRELDHCGLGAHVLVQDFAHLGNYALDGTLPIFTDCLNRLEEEDYDSNDGAEIIGYEEPDLSGSQHGKCTTRKKRESYGFHTRTITKEDLLISYEPCCLEFGCDPRFRVKKYMFYDGPVLAKCE >cds.KYUSt_chr5.15442 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99723132:99725805:1 gene:KYUSg_chr5.15442 transcript:KYUSt_chr5.15442 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKPRSARCLASLHQLPRPQSLPNPHSSARLLPFLSPRAPIPPPPPSPAPLSRSPPARATGGAADIGASDEGSAGDLRRSRHGGAAWGGGGGGGQARRGAREDAGRQRNDHDDVQWEGRNDLLYFDLDPERSDEVLGPPPDHEHQTEVVWWRNGHCLMRCSNLDEYHADDNDTDTDTANDTIMILGDT >cds.KYUSt_chr7.6650 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40139022:40148269:1 gene:KYUSg_chr7.6650 transcript:KYUSt_chr7.6650 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASHPDCGTKTRQEDEEGDAGAEDRLSALPEALRLQVLCLLPLKSAIRTGVLSTQWEALWTRRWPAPSSLDVRFAAYESSRPITETLERRGVRRLDRFALSFGIGELDEEAFRRCVDYAAACAVEDLRVHYVDPTSPSFDFQFRLQPDDPHLTRLSLRGITIGNPLCSCAFPALEVIQLRRVYISDIALLHLVDGCPLLRSLDLRYCAGLTFVTIVRAGAHLTSLTVAECPLLTSITANGVVSLRSFRYSGAYIAANSIPATSELAHLCICFRRPAREWVSYAPEPQYDKLRRNWLELLTNLSNLTVLTLCSSALQTVSASTRARSVAGNAAPRKLHNLRELQLLMFGMSNENMDDIYVFLMNCCGPRLERLFVQLPARDYQCAPKKELSGTRIRGKYRPVELLSEGAPPEEAELDEELSEEDGLQEDLFEGPGEDELENELSEGEAAKEDELEAQSSEEDELGEDQSEAFENLMLLKMINFRGHDNEMRLVRLVLKKSTRLNQLILFTPRSNHPKGSRSRKNQPKGLKKDHMDTPQFIETKLLSLRKASQNAQIILSEPDDSAIKPLHSLHCNRLTAELVAVDTIMLGLLADVSVYGAETDDSESRMGFSFFASRAAARFLEDIRRPAGVSTAALLLTAASGGGIVAYADSSRPEEASGPPQQDVPRKKKVVVLGTGWGGTSFLKNLDCSRYDVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRRMFEKKNKDVSYYEAECLKIDATKKAVHCRSAVGTNLDGNGDFEVDYDYLVVALGATVNTFNTPGVMEHCHFLKEVEDAQKIRKSVLDCFEKASLPNISEEEKRKILHFVVIGGGPTGVEFTAELHDFLVEDLVKIYPAIQEFVKITIIQSGEHILNTFDERIAAFAETKFQRDGIEVNTGFRVLKVSDDFITVKNKSTGGEILVPYGMAIWSAGIGTRPVITDFMNQVGQAKRRALATNEWLRVRETDGVYAIGDCSSISQRKIMEDISTIFKVADKDNSGTLTLKEISDILEDICIRYPQVELYMKSMHMLDIADLIASGVGDSHKESMVVDIEEFKKALCHVDSQVKTVPATAQVAAQQGYYLADCFNKMDHCKEHPEGPLRLSGSGGDHHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRVLVVSDWTRRFIFGRDSSRI >cds.KYUSt_chr5.43271 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272791914:272796786:1 gene:KYUSg_chr5.43271 transcript:KYUSt_chr5.43271 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNKCKIEASIAKAVLNVEVANFTTKHYDPNIPTKHNPVLRLNAANNEEARTDKEMKSELRKIARGFDHSVEAFNSYDVNGYRFQTHQYTTSRPNAKTINSGVVCQADDDDEEEEVGREDEEEVGGEDQEEEGGGEDQEEEGDEEDLSEDEGLGNLVFDPDPSLEWCEPEDYQYVPALERLRPRDRKPYRRGITQLPSLRSWRYRHVVLVPYGRSSFQLEDPTQRPPRGYSNILGGLLRWYFPGIVNFPTGGCDVAWRWAHYSLAEDPLGRGTAADMVVAKFWKYFKRAEGKENACDDVLHQLARKRVTGMHYEARIQCNPPQYVGNDDRCFRAMVMWWTCPQYLKKHEEGKAKRAEMRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSLWVNPQSETQCTAYVSKFKQKHGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEHARNMERQILEYQQQQTQMMQQMQQQQQMMQQQQAQMSWLMSQTALSSPPGSLPPPPYSMWMPPPPTQTPGTPITVNNMNIIRSMNLASVSPENPLPCVLGSGRTAKREKHGNERAHGNVGAHGSVGAHGSVWWHGSVGAHGNVWAHGSLQDARQRRARTAKEWAHGNERAHGKRSSARQRERARQRAFAVRFGQAHGNVFFAVEGVAVQTLPCADARQILCRANRPLCRAIGRTAT >cds.KYUSt_chr5.27885 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176450724:176452838:1 gene:KYUSg_chr5.27885 transcript:KYUSt_chr5.27885 gene_biotype:protein_coding transcript_biotype:protein_coding MQSADPAVPGFFNLPSPKSPDYRARLAPVTDDDTAISASLKTGHLREGPDSSRDVDAAALTEAEKKSKVLVCARCHSLRHYGHVKRPDAEALLPDFDFVAAVGPRLASPSGARSLVLLLADASDFDGSFPRAVARLVAVASEAHHADWRRGAPSNLPRAVLVVTKLDLLPTPALSPDDVHAWAQSRARAGAGADLQLAGVHLVSAARGWGVRDLLHHVRELAGARGKVWAVGARNVGKSTLLNAIARCSGAEGGQGTLTEAPVPGTTLGVIRVDGVLGAQAKLFDTPGLLHGHQLTSRLTLEEQKLVQAGQSIHMGGLARLDIEDLTVGSIYVTVWASPLLPLHMGKTENAASMMKDHFGLQLQPPIGEKRVSELGKWVRKLFKVSGDNWDKNTMDIAISGLGWYGISLKGEAVLGLWTYDGVDVVPRSSLVHERASIFEEAGFSVSKIVSQADSMTNKLKGNKKTNKKKDSKASSSPSAAPEPLEPAAVIDA >cds.KYUSt_chr2.41877 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260702005:260704522:-1 gene:KYUSg_chr2.41877 transcript:KYUSt_chr2.41877 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAVFRDHTGACLMAASEPLQGFTSPELAEALALRRAVSIAIDKGYGRVIFASDCLSLIQRVNSLGQDRSHVGSVQTDAELLYMSLDPEKKPFKLMHCYVEFEKYPKWATCTVPQKKQKKTSDASPGSTSNDEDFVVCTDALENEKRPRGTKYAKEQRDKASDGSAVKLSLETVWVQKLEKDDIKEAAKSARYALAFELQKKQIELKETEDARQEREDARQEREDARKQFELEEKIMLTDTSGMNVARQEREGGFSLK >cds.KYUSt_chr5.42706 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269000129:269001424:1 gene:KYUSg_chr5.42706 transcript:KYUSt_chr5.42706 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRPSEPQATTARARKRRLAAAVASDEVAPPSTSSPWACRLPGDMVRQIAMRVLAGDFLDYVRFRAVCTSWRSGTVCPRGRGVVDPHFHPRRWMMLPEGHGLYPGHGKLRGYVRFFNLDTGTFVRVKLPLFRNHCALESVNGLLLLQRDQDTAVRLLYPFTGDIAELPPLATLLTQLDNDLPVANPDPELSKWYYIRCGICASVSCTGGSIIVMLMFSRLRRLAFATSQDQQWTMPSWDIPVNRSTLSFRGKLFLAQSYPSSSGSLVFQIDPPLQPGSPPLPPKLIATCPADKLYCGFHLVECDSQILLVGHNNSSWSHFVIYKLEDLILETFTPVKSIGDRALFLANKCLSVSSKVLPTVMSETVVYNHPTDRSFAQYHLNTGAWSRPIDTCSLNGCNPGPRSLIQHVITCCIRDVWYITAALTLFFS >cds.KYUSt_chr5.38904 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246354282:246355247:-1 gene:KYUSg_chr5.38904 transcript:KYUSt_chr5.38904 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGDQHTDGHYHAANAALAIAMVPAAAAHGSVVPKPEQAPGEFGLAVAVPPRSRDRHTKVEGRGRRIRMAGPCAARVARLTAELGHKSDGETIRWLLQQSEPAIVAATGTGTVPAIATTVDGVLRIPTESAAAGADPAPKRRRKLQPTRAGAGAPAPLLPAPPAAFYPVAADPLLQGNGGGGGAISVSSGLAPVSATPAGGPIPFYAIPSPASGDGKQQMMPAVWMFPQQPATAAGAANQPTHYFALQTTPDLMNFSGAQAMTFANYQPQSCYSPVQFGAGGEPEDQQPGGQEESAGDQNQGFEGEYDGEGLTDSSSEE >cds.KYUSt_chr6.10150 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62422518:62423831:1 gene:KYUSg_chr6.10150 transcript:KYUSt_chr6.10150 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRRDPHLANAEWRATDVDIVLWIYTTISDELQDVILQADNTAYTAWQALQHFFTENAAGREIHLDKEFHNIKQGDMGVIAYCRKLKSIADQLGDVGAPVTDKKLTMRLIDGLAKRFRTQGELLAGGTTFPTFMQAQSRLQLAEQKLKSDEAETPQVMHVNGNNGGTGGNGAPSYRFNGTCYTCGELGHMARNCTRGDRGGGYQAQGGGQQFNGRGGGTQQYSHQTYDTPPPYGRGRGRGRGRGRGDYGGRGAYNPGFGYHNSSYGYGGPPPAQQHRQHTRAPPNAAGVLGPRPGAHTQVYHMYQNPAPPQPQPVFAPPPAASYDYSAMFNAAPSNSTNFHPGGDWVMDTDATTHVTNRILPRLILLQTLILAVLLLAMGRLCLSTLLAPQLSHPAPSSLIMSLFLLPSLNLLFLFASFLVTTPVPLSLALMAFL >cds.KYUSt_chr7.8851 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53696468:53696800:-1 gene:KYUSg_chr7.8851 transcript:KYUSt_chr7.8851 gene_biotype:protein_coding transcript_biotype:protein_coding MERMPHTYTRSKRWPATLLACTGMAAALLLLLSIVKCTEIGCPAAAAGMVQGVVPARRRDQDELEWWCGRMKGEREFCILRRIEELQIAAKQCRLGEVFDVEAEVEPGGT >cds.KYUSt_chr4.36867 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226799060:226801427:-1 gene:KYUSg_chr4.36867 transcript:KYUSt_chr4.36867 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSAQPGQSSSTLRSEPGRMGEEQVGDRTQAQVAGTAGARLPRIGPEGPRSGPADPAPPRRQLRPPKHRRRQLSAVAAIPAPSPSPGSHGAPAAAVGFARALTGVRMVEMPAAGGSRRRRRRGRERQENGDFISKVADMVLRRNATGLDAVEATTYQENDADFREDAAGEATGDLVSVDGSLSVRRRLAYMERKKESDKRREFNLMKYEAIKDELLLLTAGIGAACTVYCALVFSLQAGISYAFGVAFSWLYLQLLYRRADNLSKEDVPEVFRRKTVKKIGIRSEDLKNTVEKTLGGSIFVLSSPRLIIPAVIFGLSTFSSHFHNSIFNFELVPGMMGFFAYKAAALVQVYRDNDDLRLILPDDDPDYS >cds.KYUSt_contig_452.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000042.1:90323:90969:-1 gene:KYUSg_contig_452.4 transcript:KYUSt_contig_452.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQRARGVSGVVKYVRGAVDLSSLAASTSGRSSACRVAPLRCPTRRSSSASSLNRRRTSLGRIRSMVAAVEVLSSVPVCPGTAHAPVRVDVSSTSKMLRWATLVRLAIIWQSSSSSSSTW >cds.KYUSt_chr4.36798 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226282265:226282738:1 gene:KYUSg_chr4.36798 transcript:KYUSt_chr4.36798 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCARPAGFSGVVKCQRRARVRVSAVSAASERAAWAAKTTMYEVLSVGTSAGPEEIKAAYRRAALRWHPDTCPGGADRFMMAREAYEVLSDPERRRGYDIELRIGGGAGSSQAARRAGFADFEEQLAGLQWRQAEARGTWGYRMRRAAAQTSSSH >cds.KYUSt_chr7.5689 pep primary_assembly:MPB_Lper_Kyuss_1697:7:34106848:34108239:1 gene:KYUSg_chr7.5689 transcript:KYUSt_chr7.5689 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALPLLDQTLPFGGGGGSDRLSKEIFSILESNFLFGAQTPDLGAGRVRVLSIDGGADGGALAAAALVRLERQLQQLSGNPAARVADYFDLAAGSGAGGFLAAALFARRMTAEQARDVVAKNRKVFSGRAGRGGLFSSRPDAVFKKAFGDLTVRDAAKPLLIPCYDMATAAPFVFSRADAVEAEAFDFPLWQVCAAACGVGPAEVASLDGRTRVRTAGGGGAGMSNPTSVAVTHVLHNKQEFPFAAGASDLIVLSLGGNAASDHRTSSSSSILRIASACQADMVDQAVSMAFGENRATNYIRIQGNGIAAGATAEAAMAERGVESVLFRGKKLMPETNGERLDGVAEQLVREHRRRMDSKTPVVLIKPSATPRTSSSSASTLITVSSSESP >cds.KYUSt_chr5.11484 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74463244:74469894:1 gene:KYUSg_chr5.11484 transcript:KYUSt_chr5.11484 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSDDQLIQVHVSHRVRSYGLLQYKFGNREPDFLSTGSGNTSFLVINQRYDYAFGLFSGGKDNPKLLAVSKKISFANPKAPVFPLLSQGKEWNEMAVTWTSGYNIGEAYPFVEWRIKGEETSKRTPAVTLTFTQGHLKRLHLHPHHFPRHGFVASLAILTLATLAVELTMDGFASALRRFRTRSVRYDLDRQRLLVFLSDMANGILLTRAPAALTVAFLLLLGTVGVSVNGQLQFGYYSDECPGAEDIVTAVVQQAAASDATILPALVRLQFHDCFVRGCDASVLITSTGSAAEVNNNKHQGLRGQDVIEAAKAAIEDQCPGVVSCADIIALASRDAIAMTNGPSFQVPTGRRDGLSSNLRDADVLPDVSDSIQVLRNKFAASGLNDRDLVLLTAAHTIGTTACFFVKDRLYGYRGGAGSDPSIPAPFLSELKSRCAPGDFNTRLPLDRGSEFSFDDNIYRNIRAGLVPIASDAALDASNATAGLVASYLGAAAPSFAQDFVGAMVKMGTIGAITGDAGEVRDVCWSFNAN >cds.KYUSt_chr1.28754 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173863157:173866670:1 gene:KYUSg_chr1.28754 transcript:KYUSt_chr1.28754 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRMLSSRTQPKAGVICGLTIRVSIHWTGTRSHRGLHLRLPRFFSPSAEAPALAPPPAPCWRRSTRQGCLQFIQVGKSFFSTMQYMRHRVQAPITIGDLLPGLPSDDTQELGEDDPLSSWPWPPSTSIITGGDRKKEHGAEQSCYLNLVKRSRKTSPAAPAEHRERQSTTPSLELGPRGRRPRHRGVVSRFNAALAVRLRSLLPLPSSPLAALSRLADLLAATLGDVAPALSSAAGQWGATSAAVAATPATPSPPLSASCTAAASSPASRSTSCCRPAGPRRLSSARAPRSPTTADGAPGIPRRPCRSRPCRSSARPVAQVGGSPAPPASSSPSTRSPRSPRRPRPPSSAARSSIPALPPPRLRLSGDLSWAELLNALASQLAVLANANTSEVDAVDDSGSFPLLVSGDLPWVEPLNLLASQLAVLADPNTSEVDAVDKAVDKLAALLEAQDAGDDEAAVRAAREVEKRTEELDALLDRLSDTVNGVFRAALHLRAVELGSFAVGPAELGITSRSGAPTEAGHG >cds.KYUSt_chr5.8807 pep primary_assembly:MPB_Lper_Kyuss_1697:5:55846082:55847569:1 gene:KYUSg_chr5.8807 transcript:KYUSt_chr5.8807 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRVQDADYDVVIVGAGFSGINFAYRLQERNPELSYCILEGRHQVGGTWSLFQYPGIRSDSDLYTFGFPWRPWVEKQPIARGPLILNYLEASVAEAGIDNKIKFNHRVVSMDWSSASSTWTLNVTAGGGDDNEVVSMSMRARFVLLGTGYYDYEEPLEARIPGIDSFRGAVVHPQFWPRDLDYSGKNVVVIGSGATAITLLPSMADKAAHITMLQRSPSYILALPENDPLDWTARLLLPAALARRLIRFRWIMAAFLIATLCRWFPRLARAVILWLTSLELPTGTKMKPHFTPRYNPWEQRMCFCPGGDFFQCLRAGKASVETGVIEQVTESTIRIESGRELHPDIIVTATGLKVRLAGGINVSLDGRPVRVPDCYSWKGCMLENLPNLFYSMGYFDASWTLGVDATAQLVCRLLNTVTRDGFAHIVPRLSEAEKSTMSDLPFLPINSTYVERAKGVFPKVGNSKQWLPRSYYWKDLANAWWGDVQSGLEWSR >cds.KYUSt_chr3.27952 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174410460:174413054:-1 gene:KYUSg_chr3.27952 transcript:KYUSt_chr3.27952 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSGASASRLHFPLLPLARATPRCVARSSSALACRAASSSAAPAGDGGARKPWLFVGLGNPGKMYQRTRHNVGFEMIDVIAEAEGISLSSMQFKAMVGKGCIGDVPIMLAKPQTFMNASGESVGQLVSYFKIPPNQVLVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQNRDFPRLRIGIGRPPGKMDPANFVLRPFTKKEQEELDFTFHRGLEAVRIMTLEGFNKSATFVNTAQSLKC >cds.KYUSt_chr5.41038 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258940800:258944241:1 gene:KYUSg_chr5.41038 transcript:KYUSt_chr5.41038 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLAGGGGGMGGGGAGGDPVAVCRDRKRLIKLAADRRFALAAAHAGYAAALRSVADALDIFVARHTAPAPILITLPTPTASPPGSPKHATPPPALPPSSAAAPSTPPRTDAPAADEEDDGCGGGQTPEMGRPYYYTPPATPPPPPAAVGGWDFFNPFYGTEEVAAAISDEEMRAVREREGIPDLEEAEEEDDEGEKPAAAAAEKNPKSEDSLRVAKQEETKDAAEAARNNGAGGLEVSVVLPGRELLTALKEVEELFARAAEAGKDVSGMLEAAARAPDLKENSSKIIHAIAWHRSPSSVSSSYRSELGASSNSLSWTEKSETNKSDIFEDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCVQLRNQDAKGSELRCAEKTRTNVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLHGFTRTWKVMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEVELRNWRSCFVSYVSAQKSYIEALDGWLSKFILTDTIRYSRGISSIAPNRAGAPPLVVICHDWHTTLSKFPYKRVSFTMRNFNRSVRVLWLKQGEEQQQKRKVDGLAKELDKKATAYKRAENKVIETKLLLEHRPGEQEARQRVEQLSERKEALNVLRRRVEAEKARHHHCMRDTHDVTLNGFKIGLASIFESLADFSRDSVKLYEDLLAHAGTPKERLEKAPSASEQQQQACADNEGQPQSSYPHQATVEAR >cds.KYUSt_chr3.31177 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195693076:195693717:-1 gene:KYUSg_chr3.31177 transcript:KYUSt_chr3.31177 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHVDMAPAGGFKLFGKVITQCADATQPAPGLLSQNDAEPTRRPHSEPLDRTTAIKREEAAAEADEKQQHQESAEAPRRTQLQESAEARAAAAPLPCPRCRSRETKFCYFNNYNVNQPRHFCKACHRYWTAGGALRNVPVGAGRRKNRPLGPIATVAATGHHHHQHLLHHHRSAAGGFVLGFPGGSPSSSPTSPSPMFAADRWQVGPVDRRF >cds.KYUSt_chr7.12388 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76299745:76300590:1 gene:KYUSg_chr7.12388 transcript:KYUSt_chr7.12388 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSLRPCPSELLNVVADGPYFSDGLTESFHGACQARTSCKKKKNEETEHQSFVAPSPSIGHAGAPPQLSRRPAPRRQPAPGSSPPSTPSSHFLPVLHPSSHVPAGTLSSFSLILPLRPGLAEQILRLREPPPRLQGRQPRPPATTSHHDLASPLLARHELAPPWALASKIRDHGRSSKLRPASRRHGSSNLRRCLTPPRQPSSNETRRSRPATPRTRSSSPVTPASSASSSSTSTNVRQQAGHAKDARQQVGHGNGEDQRRHGQPGTRLLFCFYVQGPD >cds.KYUSt_chr3.34485 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216441180:216445534:-1 gene:KYUSg_chr3.34485 transcript:KYUSt_chr3.34485 gene_biotype:protein_coding transcript_biotype:protein_coding MARPALKTLTAGAREAKDELIRLCTSGRLKDAVRHPFRDVLWSDVSLFAHVFRACRAVPLLRQLHAFAATSGAAADRFTANNLLLAYADLGDLPTARDLFDRIPKRNVMSWNILIGGYIKNGDLGSARTLFDEMPARNVATWNAMVAGLTNAGLDVDSLHFFLAMRREGLHPDEFGLGSVFRCCAGLADVVSGRQVHAYVVRCGMDTDMCVGNSLAHMYMRCGCLAQGEAVLQALPSLTVVSFNTTIAGRTQHGDSEGALEYFSMMRGVGITADVVTFVSVITSCSDLAALAQGQQVHAQVIKAGVDKVVPVITSLVHMYSRCGCLGDSERVYSGYGGLDLFLLSAMISACGFHGQGHKAVELFKQMMNRGANPNEVTFLALLYACSHSGLKDQGLEFFELMTKTYGLQPSVKHYTCIVDLLGRSGCLDEAEALILSMPVRADGIIWKTLLSACKTQKNFDMAERIAERVIEFDPQDSAPYVLLSNIRATSKRWGDVSELRKNMREKKVRKEPGVSWVELKGQVHQFCTGDKSHPRQGEIIEYLEEMMAKIRECGYAPDMSMVFHDMEDEEKEVSLTHHSEKLAIAFALLSLPEGVPIRVMKNLRVCDDCHVAIKLISQVTGREIVVRDVVHEVGYCASGGSDPSESKIASKSHQIRTHHPPPASSAKARAPLPGAMASPPPPHPHQHQLPPHQLSHPQYQAPPPPSMPPPASAPSKAFDLEVTVVSGKHLKNVNWRRGDLRAYAVAYLDPSRRTATRPDDAGGCKPAWNERIVLPLPPHLSPHDPSLILSLDVFHSKPSDSPKPLVGSAHSPLRDLLFPANPNPSSDSPASPIITLPLLRPSGRPQGKLRIRVALRERSPPPPPEPQYPPPSSSPYYFPPPPPPAYSAPPQYGLDQYYRPTGYYSAPPPPSQYDYTGGPSAPVEYGRQYEQRARTEAVPGQYEPKGRADAMPGQYEQRGRTEAVPGQYEQKGRTEGVPGPYEQRGRTEGGTGSGRYGLGTGLAVGAVAGAVGGLAIDEAAKYKEEKAAERVGDKVAPAGRDDYSEYRGEY >cds.KYUSt_chr7.22069 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136699972:136701527:1 gene:KYUSg_chr7.22069 transcript:KYUSt_chr7.22069 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKADETSATLKQLQASLDLLHGVVAGVDTKQQQLRAQLDLQATAIANSAVKHDDTGKNLHALMVRLKIPDPDPGDAECLMSTGASILVDSVGPPRAEPLASMENYSLLMGDSSGDEDGGGDGSGVDGEAFRGHFPVPATCRNRDSCPPDLGFAMAAALEGFSYRGFFVSKI >cds.KYUSt_contig_2741.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000473.1:130029:132348:1 gene:KYUSg_contig_2741.3 transcript:KYUSt_contig_2741.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCYPVASAVVKTSTVAPTVGHAVLGVVIRAGSLKFIINAFDRRQAKFGSHCAGIDSNTAHACIFKADREEGRGGGCKTAWCISRHSPRSGTWRAPDSATRRSPELAIRHDRGLDALQTAPLAGRSLNFIVNAIAIAEIEDKKR >cds.KYUSt_chr6.5501 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32917283:32921010:-1 gene:KYUSg_chr6.5501 transcript:KYUSt_chr6.5501 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLAVALLAPAGLQADALSVTVTDTECIDEFVPSEGDSVFGYFVVFNKGISRSSDQRGIYLTVTSPSGNTVCTLKGNSGEHIDFKAPRGGTYKFCFHNHYGAPATVSLYIHVGRIPNEHNLGKDGHNQAEEAMKKRFQEWMARHHRTYKDEEEKVRRYKLFKDCANRVDKLNALGDGVTYKTNDFCDRSKEEMLPYYSGG >cds.KYUSt_chr6.17238 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108578559:108583918:1 gene:KYUSg_chr6.17238 transcript:KYUSt_chr6.17238 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMWRKAKKALGIGLCAHLPVVSGDREDCASERRPSDAFSQDSAALALAAAHASAPNTPAQAEAGALLRRSKSGAKSSKVPNRQREAPALRTSEPLEFNDDEPLQLMEAADSCDVRSSRRVEMKTYTEFSAVPQSSSQDDFAVLIHLKAPCANQEQVTSRLVNASSVVYPSSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQALQAVNSLGAGGGTNIADALKKAAKIIEDRSYKNPVCSIILLSDGQDTYNISSNVRGTRPDYRSLVPSSILNHTVGIAPVHGFGFGADHDSDALHTIAEASGGTFSFIEDEGVIQDAFAQCIGGLLSVVVQDMRLTVECVHTGVKLHSIKSGSYLSKVAGDGQNGSIDVGHLYADEERDFLLSVSFPQSREQQTKLLKVVFSYRDPVTNEGVKIQGYEVKILRPKSPTSEPVCMEVDRERNRVRAADSIEAARAAAERGVLSDAVAILEDCRRILSASFSSQNGDRLCMALDAELREMQERMASRQRYESSGRAYLLSGLSSHSWQRATARGDSTDSSTLVYSYQTPSMVQMLQRSQNQLPSPQVPRPQIIVPTRSFMQKPQPR >cds.KYUSt_chr4.45099 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279280993:279281463:1 gene:KYUSg_chr4.45099 transcript:KYUSt_chr4.45099 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLCEPLAEIPAPSVVVPAEDIQVDPGDPAADKLNVFLSSIFRPAPPPILASPPSRRARAPKEVATTPRRSGRIEKQKQPRKDATTQELLARVLGVLKENIAFDDNALAALIDKFKTPLSPCSIAMLGSLVKNVEKVKKPKGNKVGAKKKAVEIT >cds.KYUSt_chr3.44926 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283112886:283114008:1 gene:KYUSg_chr3.44926 transcript:KYUSt_chr3.44926 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVKLFGCFGSPVVHRAELALRLKGVPYELITEDLNNKSELLLKHNPVHQKVPVLLHGDRPAICESLVIVEYVDEAFDGPPLMPADPLARAAVRFWASFMDKELRESMWMALWTDGEEQAGAISAAKANRTLIEGQLLEGMRFFGGDTIGFLDIAVSGIAHWMEIFEEIAGVRLLTEEEHPTLYRWAREYTANQTVWLCLPDRDRLLAALAPSREIFVAIAKTMSAQK >cds.KYUSt_chr2.13285 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84136545:84139080:-1 gene:KYUSg_chr2.13285 transcript:KYUSt_chr2.13285 gene_biotype:protein_coding transcript_biotype:protein_coding MESTHASEESNSKESFPRENPENFADSPTMAATKEVINNGHHENPNAHMKESAQAATLDISNGLPVAADSSELYSKVLESSLPDSPEHNLESNSPAGAGPEMISSSGTTETITQSMKDDADQPSSVYPVEVKNAENKIRYQKKIAVKPKVTEESETEPISPYKGLIDTAAPFESVREAVTKFGGIVDWKAHKVQMMERRKFIQLELENAQKEIPQCKEELEAAEMAKSRVLDELESTKRIIEELKHDLEKAQMEEVQAKQDSELAQLRAQEIEHGVADDSSLIAKTQMEVAKERHEKSVVELKSVKEELRSLHEQYATLVIERDGAIRRAEEVLSAGKEIEKRVEGLTVELIASKGSLELAHAAHHDAEERRIGAALAKEQDCLAWDRELLQAQEELQQLNNKLLSQNDLKQNLDANLHKLHSLKHELSSYMENILSKEAEGVANELEFEDSRQSSSSMKEILASKRKELEEMKATIENAKTEAKLLRVAATTLRLELDREKASLDSLQQREAMASIAVSSLEAELNRTKKEIELVRSKEENAQEIMVDLPNMLQQAAQEAEDAKVAVHSAEEQLRKVKEETEQTKAGATTASSRLCAVLKEIEASKASERLALATVQALNESKEASDIEGSPRGVALPLSEYYALSKKAHEAEELANEKVREALAQVESAKASETESLDRLTEASKEIGEKKEALETALERAERANEGKLAAEQQLRKWRSDHEQRRKVKAQEAAKGAVNPLSSPFVEQKAPYLKEQDSRLHMSGSSYEDLVPNRKLRNKKSFLPRMGSFLSRNTPAQT >cds.KYUSt_chr2.17593 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110889217:110893201:-1 gene:KYUSg_chr2.17593 transcript:KYUSt_chr2.17593 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTRRVNALRVEAPAPDVESGRGASEEAAKRAVGAKDDVWVAADEGDTASTGGGSRPLLFRTMKVKGSILHPYRFLIFMRLIAVVAFFAWRVKHRNHDGMWLWATSMVADTWFGFSWILNQLPKLNPIKRVPDLAALADQYGSSGEANLPGIDIFVTTVDPVDEPILYTVNTILSILATDYPVDKYACYLSDDGGTLVHYEAMVEVASFAALWVPFCRKHCVEPRSPENYFGMKTQPYAGSMAGEFMRDHRRVRREYDDFKDRIDSLSSTIRQRSDAYNSSSNKGDGVRATWMADGTQWPGTWIEQSENHRRGQHAGIVQVILNHPSCKPQLGSPASTENPFDFSNVDTRLPMLVYIAREKRPGYNHQKKAGAMNVVLRVSALLSNAPFVVNFDCDHYINNSQALRAPMCFMLDPRDGQNTAFVQFPQRFDDVDPTDRYANHNRVFFDGTMLSLNGLQGPSYLGTGTMFRRVALYGMEPPRYRAENIKLVGKADEFGTSTSLVNSMPDAAIQERSITPVLVDEGLGNDLAALMTCAYEDGSSWGRDVGWVYNIATEDVVTGFRIHRQGWRSMYCSMEPAAFRGTAPINLTERLYQVLRWSGGSLEMFFSHSNALLAGRRLHPLQRVAYLNMSTYPIVTVFILAYNLFPVLWLFSEQFYIQRPFGTYIMYLVAVIAMMHVIGMFEVKWAGITLLDWCRNEQFYMIGATGVYPTAVLYMALKLVTGKGIYFRLTSKQTEACSNDKFADLYTVRWVPLLIPTVAVLVVNVAAVGAAIGKAATWGLFTDQAHHVVLGMVFNVWILVLLYPFALGIMGQWGKRPVILFVMLVMAIGAVGVVSFTLHAPYRAKYGQQLQLL >cds.KYUSt_chr5.16745 pep primary_assembly:MPB_Lper_Kyuss_1697:5:107766152:107771073:-1 gene:KYUSg_chr5.16745 transcript:KYUSt_chr5.16745 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVPAARQCLAPAAVTALDAAVVSARRRAHAQTTSLHLIAALLPQTAPPLLRDALARARSAAYSPRDQLKALELCFAVSLDRLASTSTSTPPPGDEPPVSNSLMAAIKRSQANQRRNPDTFHFYNHAAFQPPSSAAATSQVRVELSHLVLAILDDPVVSRVFDDAGFRSADVKLAILRPAPPLGRLPARARPPPLFLCSFAAADDADVPSPASGGAAGDDNGRRVAEILARGRNPMLVGVGAASAATDFAANSPYRIIPVGPTSIDQTNLSVAAAMAAATSGLVISVGDLRDLVPDDGELQERARRVVAEVTRVLETHRAGRVWVMGWSATYETYLTFLSKFPLVDKDWDLQLLPITAVRAGGLVPPATTTPAFSNPATASLVEPFVPFRGIMNDTYESSSLPAHPCPQILRCQECNDRCEQEVTTIVKGSGITGEDYHQGGLPSPLQNVSMMGLNNGLDAIKVRDDQMVLKSKVLNLQKKWNDYCLRLHQGCQTINRGPYQFPHYIGVPADMERATTLSKGSESVTLQRGVIRPSAVSATRTNATPKSVSPPSISNQTNEDLVLSLQGRHSKGDDQLQDRHVQLQQEDLSSCHDRENHMSASTAAAASVATDLVLSTPRESSSKGTSSAFWKHVGDADKSTHLTPRKVDDLNLKPPQTFAQPYSSRSSRNVGQTSPSSLHSAASGGVSAFGQWQKPSHLAVQGSDLSNYKLLVERLFEVVGRQEEALSAICESIVRCQSTERRRGANRKNDMWFSFHGADSMAKRRAAVALAELVHGNKDNFIYLDLSLQDWGDSSFRGKTGIDCVVEELSKKRRCVIFLDNIDEADCLVQDSLSHASDTGRFRDMRGKEVAINDSIVVLSTRFARSSRNLLVGVEDRHTFSEAKILAARGQQLKILVEPGTAITSRGPSRSPVVVSPSHPLAKLQTSLCSGNVSKRKLNDSDDQENLLESPSNLKRPHRTSSVPFDLNLPVGEDGSNGADGDDSSNSNDNSSGNPERSIDGLLCLVDGAVEFKPFDFGKLANNILQELSNMLRNILGSGCTLEVDVGAMEQMLAASWASEDRRPLQAWLEQVFARSLDELKLQRGKQASSSALRLVACEEDTASVSVAKEDSRFGSLLPSRIILEWR >cds.KYUSt_chr6.23938 pep primary_assembly:MPB_Lper_Kyuss_1697:6:151303909:151308830:-1 gene:KYUSg_chr6.23938 transcript:KYUSt_chr6.23938 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVGTTYSGRIAAATPSPTGPSLTVTVSPTPPATPLDPRGHPLPRRHLVCAVARILRSPAAASPTPLADLADYLRGLRLTLTAAEASEVVKALSPDPALALAFFRFAPASLPGFRHDAFSYNRALALLFRTRADPGEALRLVAEMGRDGVAGNISTVNLLVGIGVEVAKCLDLAVKWGLRLNGYTYKCILQAHLRSREVSRGFQVYDEMRRKGYKLDIFAYNMLLDALAKAGMVDQAYKVFEDMKQKYCEPDAYTYTILIRMSGRAGKTSKFLLFFDEMVSKGCALNLIAYNTLIEALGKNKMVDKVIFVLSKMIKGDCQPNQFTYSITLDILATEGQLHRLNEVLDICDRYMNRSIYSYLVKSLCKSGHASEAHNVFCRMWNSCENGDREAFVSMLEVLCNSGKTLEAIDLLHMMPEKGIATDVSMYNMVFSVLGKLKQVSFITSLLEKMKANGIAPDLFTYNIMISSYGRVGLVDKASGLFEEMEASSCKPDVITYNSLINCLGKNGDLDEAHMLFKEMQEKGYDPDVFTYSILIECFGKSNKVDMACSLFDDMIAEGCIPNIVTYNILLDCLERRGKTAEADKYYETMKQQGLTPDSITYSILERLESRSQRTGIVYNSKSMVVQESKESPFPIPSDTWVLAVKTSIDTDIMTDAFVRWCTMEPNREPNRPRIRKNISRKFIIDMGVPKSISTGGPDSVLGAGSENGLTPVRPKRRRPIFELNRIADNPVPARSPRARIGRAGASRHV >cds.KYUSt_chr6.5742 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34511968:34515294:-1 gene:KYUSg_chr6.5742 transcript:KYUSt_chr6.5742 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHVRGTFLQSNHSFSAPRIKRPRLATPAPRAHTKHVRTFLPNPEISPRETHTNRTGAMATLTVPAGVPPVAEDCEQLRKAFTGWGTNEKLIIDILAHRDAAQRRAIRAAYAETYGEELLRALNDEIHGKFERAVIQWTLDPAERDAVLANEEAKKWHAGGRALVEIACTRTPAQLWAAKQAYHERFKRSLEEDVAAHVTGEFRKLLVPLVSAYRYDGPEVNTSLAHSEAKILQGKIHDKAYGDEEIIRILTTRSKAQLLATFNSYNDQFSHPINKDLKEDPKDEYLATLRAIIRCFTCPDRYFEKIIRLAIGSIGTDENSLTRIITTRAEVDLKLIKEAYQKRNSVPLEKAVSKDTTRDYEDMLLALLGAEY >cds.KYUSt_chr4.10260 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62107987:62111768:1 gene:KYUSg_chr4.10260 transcript:KYUSt_chr4.10260 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSIDEDGGGVDGEAFRGHFPVPTACRNRDSCPPDLGFAMAAALEEEQSFLLAMFLRSKFQEMILRRRSRSMSGAQHAGDPSPPSPRLLHSASLPAGSCATAGSPQRDSYSMSPTSVLDASASFGSPAAGADVGSGSISKRRPWCDGCAGPHGLADALDCAHDGRERRRSILAGRVKAQAPALVRSSSLDRRVEFGVKNKSSWLPLRAGGRAAHKEEAAPAPDEVEMEPSSEDYTCVISRGPNPRTVHIFGDRVVEDDHRETSPRQIANLPPATTRGDRGFLSL >cds.KYUSt_chr1.6782 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41709928:41713024:1 gene:KYUSg_chr1.6782 transcript:KYUSt_chr1.6782 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFDSPASSPAAAPFHSSPFLSFDPASPSAADVPASPDPYAFRSDADAHPFGMPESNGNGHYAAAADDDEGLFTGSHDAGPILPPPAQMGHDEGIILREWRRRRRDASRRAWPRGRPRRRYCDDPEDCPGLRAAFLASMNDKDAWRGDLDAAIALSIRDSGKPPVDLTDDGEAGPSGAVKDEPVGERVKQEVVTDDMYNFQQYYDASGRRKCQNAILLEEKEKHEKELRSQIILEAEEFKKGFVEKRKLNLETSKDQNREREKLFLSNQEKFHKGADQQYWKAISELIPHEIANIEKRTGKKDKDKKPGIVVVQGPKPGKPTDMARMRQILLKLKQTPPPHMKPPTPPAPAAKGGAKVAAVPGKDAPANGVVTETEKAAAAAAATPAAPAATPAPPAEAAMAPTAEPSVAA >cds.KYUSt_chr2.48059 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300400128:300400454:1 gene:KYUSg_chr2.48059 transcript:KYUSt_chr2.48059 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHRRKGGRGTDPGEVEMGGRGTDRGEDEMGSTVKPSLHGLEAEGAGGLQGVVLDGASKKNKAQPEPWRAEPRRPRLQADRGAASKGSGVGWVGAGNGRGGWGGAGG >cds.KYUSt_chr3.10634 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63122212:63127195:1 gene:KYUSg_chr3.10634 transcript:KYUSt_chr3.10634 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMLTTIGDSLCEVEIEVTMQISVVVKVSVVLAVGAAFLPASIPALPRRGDAVYSFEVMQPLMFDVTYRDQKCSKTRFHSVICMDWHCRLECKDNYPNMIIYDAFCTVKHLVVRYCNCLVCVNPPN >cds.KYUSt_chr6.19165 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120546990:120548478:-1 gene:KYUSg_chr6.19165 transcript:KYUSt_chr6.19165 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKKLFKRSSWSRGSACGIRHGDVAGGVAGGGEIEWEVRPGGMLVQKRDGERGEEVIVVRVSTGFSCHDVSIGATRTFGELKVILAMATGLQPREQRLLFRGKEREDSDHLHMVGVGDKDKVLLLEDPALKEMKLRAARGVAPRPVQSPRQSFIQV >cds.KYUSt_chr6.2893 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17032081:17032707:1 gene:KYUSg_chr6.2893 transcript:KYUSt_chr6.2893 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVRRPRAAAGEKGGGATERRLHQAADGVEVEEAHGRLRQVAVLKPPMTEREEEETRRTVRSLGRRPQAVADGEGRGGGAADDQLARSLSSSGRRRARRRRRRNTWTAPPGRRPHAGAGEQVEARHKEGSTAAAKRGRGLVQRGLHQVADGKGGGGGAAQRDLDHAAGDKGGGGASQQKLHQAASVKRGGSCEAMRASPPISTLGI >cds.KYUSt_chr1.31567 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191404898:191407755:1 gene:KYUSg_chr1.31567 transcript:KYUSt_chr1.31567 gene_biotype:protein_coding transcript_biotype:protein_coding MADTMLGSTGGELPAKNVTGMTGPGAGEAVVCYSQMMVTTYGIWQGVSPLEFSLPLFILQTAIIVGTTRLLVVLLKPFRQPRVIAEILAGVILGPSLMGQVGTWAGTVFPLRSLLTLETVAHLGLLYFLFLVGLEMDVNVIKRSGKKAVIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEIKLLNSDLGKIAMSAAIVNDMCAWILLALAIAISEVNSTPFSSLWVLLSGVAFVLACFYVVRPGMWWLVRRVPEGETISDVQITLILTGVMIAGVCTDAIGIHSVFGAFVYGLVIPSGELGVVLIEKMEDFVTGLLLPLFFAISGLRTNLTRVRDPTTVGLLVLVFVMASFAKIMGTILIAISYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKQVLDDESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAAHHTAGNQGASEHIFNAFENYEESVGGVSVQALTAVSPYQTMHEDVSVLAEDKHVSLIVLPFHKQQTVDGGMEPINPNLRGFNESILAAAPCSIGILVDRGISAATARMASVHHVALLFFGGPDDREGLAYAWRLVENPGVCLTIIRFIPPGYTPPPPAATMAPPAVAGSRAITIVPDIPRTERQMDEEYLNEFRSRNVGNESILYAEQVVANSEETMAAIRNLDLAHELYIVGRQPGDESSPLTSALTEWMESPELGPIGDLLVSSEFSKMVSVLVMQQYVITAAQPAGMAPVVAAQAAPTIADDPVRQYLTNANQRSPMSGGGRGVWGGGF >cds.KYUSt_chr6.10929 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67709839:67710303:-1 gene:KYUSg_chr6.10929 transcript:KYUSt_chr6.10929 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMKQLTFDIISALLFGLERGAARDALADDFARMLEGMWAIPANLPFTAFSRSLKASRRARRVLEGITREKKGSLLLEHRNGKASSNSNSDLITCLLSLTDDQGDQLLTDEEIVDNAMVALIAGHDTSSILMTFMVRQLANDPVTLAAMVQGK >cds.KYUSt_chr4.46636 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288291991:288295751:-1 gene:KYUSg_chr4.46636 transcript:KYUSt_chr4.46636 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSTTTRRTDAGHVRDSQASVLSSKSKCAHSEIAFHENFAALIDGLTICFTFMQCLAEPLEPHYGGGVIVNPDFNSGLQGWSSFGYGRVAEGASVATGNRYAAAVNRTRPYHSVSQKVYLQNDTHYTLSAWFQVSNGSAAAVRAVVKTADDFVHVGGVVAKPGCWSMLKGGLTSAKAGRAELYFESNATVDIWVDSVSLKPFSKEEWAAHRTESTRATRRKTVRLQASDSAGNPLQGAEVSVENVRTSFPLGAAMSGEILHNAAYQQWFTSRFTVTTFENEMKWYSTEPAPGREDYTVADAMLALAKQHGIGVRGHNVFWDDPKQQPRWVQSLPYTELLAAASRRIRSFVSRYAGQLIAWDVVNENLHFSFFERQFGWDASTAFYAAARLLDAGSSLMFMNDFNTLEQPGDMAAVPGRYVDRLKQIIASYPENGAGMAIGLEGHFTTPNIPYMRAALDSMSTVGIPVWLTEVDVAGGPMQAQHLEEVLREAYAHPAVQGVILWSAWHAQGCYVMCLTDNNFKNLPQGDVVDRLLAEWRTAAQTGTTDEQGYFQAEVAHGEYKVTVSHPSLNTSVSQSVTVELGSGNHFFIQA >cds.KYUSt_chr4.42001 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259735994:259737496:-1 gene:KYUSg_chr4.42001 transcript:KYUSt_chr4.42001 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVDFRTAASFVVAAVALYCLVEQLSYHRKKGALPGPPLVVPFLGSVVHMVRDPARYWEVMAAQAKESGIGLAADWLFGYFIVFIRDSELSHRVFANVRPDAFQLTGHPFGRKLWGADNIIYKFGDEHKELRRRIAPNFTSRALSTYAAIQQRVILAHLRRWLDRSAAAGNKSMPLLVPFRDMNLETSQAVIVGPYLTEETTEMLHKDYSIFIGGIVAVPVDLPGFVFRRARLAGERLRRLLAECARESKARMRAGGEPECLADYGIQEMVRHIDEAANAGLPPPANTADENVASYLFDFLFAAQDNVTSLLCSAVSALETHPDVLARVRAEVATIWSPESGELITAEMIQEMKYTQAVAREVVRHRPPGPLAPHIALQPFQLTEWYTVPKGAMVFPSVYESSFQGFHSPETFDPDRFFSESRREDVAYKRNFLAFGAGAHQCVGQRYALYHLTLFIALFVTVAEFQRDRTERCDELLYVPTIVPRDGCAVYLKQRCAS >cds.KYUSt_chr6.13151 pep primary_assembly:MPB_Lper_Kyuss_1697:6:82138475:82139368:-1 gene:KYUSg_chr6.13151 transcript:KYUSt_chr6.13151 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTKLVALGFVVLVGIGYADAARMLASSSSASGGGGGGGGGGGGGGASGGSGWGGGSGSGGGAGYSESGGDWGNRWNFAKGVGGGGGAGGGGGSNGGSGFGSGSGYGSGSGSSGSASAPSGNGHANADGKGGGGGEGGGTNGSSGSGAGSGLGKGYGESGITTAPAPTAGGVGYSDAGGSGNGGGGGNNGNGGGEGKGAGQAGSDDTSGGNASGNGSGSGGGIAKGAAQGPSLGVGSGSGSGAGQTGSTRSYGSYGSGYATGIGGGMGSGYGAGQNGGTGRGGGSGSGSGSGGFH >cds.KYUSt_chr3.8965 pep primary_assembly:MPB_Lper_Kyuss_1697:3:52279839:52281396:1 gene:KYUSg_chr3.8965 transcript:KYUSt_chr3.8965 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLHTILSISGPENCFFFFFALSCCFPVPSTGMARKCSSCGHNGHNSRTCSGNNGGGGAGGGLRLFGVQLQVGAAPLKKSFSMECLSSSAYYAAAAVAASNSSSSVSSSSSLVSVEENAEKMGHGYLSDGLMGRAQERKKGEFVYWFLEQFVGVPWTEDEHRRFLAGLEKLGKGDWRGISRHFVATRTPTQVASHAQKYFLRQAGLAQKKRRSSLFDVAEKNGDKAAKESRPRLKHETSSSVDGMAIRSFPALSLGPSRPRPDAAVLPPCLTLMPSYSSPPAPLISGAALSMARRAPKLPPSLAENAIPPPPPPPPRQAPDLQLKISSTAAARKTDQASVGSSPTPRTPFFGTICRVT >cds.KYUSt_chr4.38683 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238735720:238741092:1 gene:KYUSg_chr4.38683 transcript:KYUSt_chr4.38683 gene_biotype:protein_coding transcript_biotype:protein_coding MYMWQMLGKFRAEVVDSSSDEESDQSTQTLATTAASMIHEFTSNTGPEHQGSVKGRSKNLPRNRVEGQARLHKDYFHLTNPVFPEKLFRRRYRMSRDLFLVILRGVRNYDPYLQCRPDATGVLGFTSYQKCSAAVRMLSYGMAADIFDEYLRMGETAGAAAPPQHGTDAKLAELTAGGGGRETEEQRGKFLVLRLYEALNARDARRAQELLAPDLEWWFHGPPAHQHMMRLLTGADTNAEFTFAPRSVDAFGSTVIAEGGADAGGQLYWVHAWTVGPDGVITQLREYFNTDLTVTRLSAAEATKNATAAVSPSQASSSSSSSTSSTSLAPTPKWPKCLWESRRADRARKSLPGLVLAI >cds.KYUSt_chr1.801 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4281295:4282527:-1 gene:KYUSg_chr1.801 transcript:KYUSt_chr1.801 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFPSAAVREETMQLFPLSFDGHDITLEKPEEGDNRFNSSFASFVQLSATGFPLEHWHEGGIRMAFRSIGSVCCIDPLCLNGLDFSALRLVIKLLADHAVPPVLLMHDSLNCSSAEVRLREVTGWVEEGGLLHPGHFDAVGSSGPASDLSSPRGGQRRPRLSDIDEGSLRGSSPPPPAPADPAVELWKRVVARRNAALTDPPAPVDAAASPSVLWDRVLSRRLAAQFPEAGLSEHQVTDPPAPLSDPFPSQVSLPQPPLLLLQWYDTLAIPATPLVHQEAPMVEEDDEVPVENGSMSFPSEEHEDAAWKQRVRRKRAVDSAFKARRSSRLASKEPDNFVSMLSKAQAVKASRFDLSGGSPRLRAAVSAAGLADGIPGPIPLPRLQALVAACGVDPDAVADAAVSSSSP >cds.KYUSt_chr4.42712 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264420296:264424190:1 gene:KYUSg_chr4.42712 transcript:KYUSt_chr4.42712 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTACSAKTEETLQSHHTRPWRRGAGAKVKWLNRKLSKLWPFVEEAATMVIRDSVEPILDDYRPAGISSLKFSRLSLGTVPPKIEGIRVQSFKKGQITMDVDFKWGGDPNIILAVETLVASLPIQFKNLQVFTIIRVVFQLSEEIPCISAVVVALLAEPKPRIDYILKAVGGSLTAMPGLSDMIDDTVASLITDMLQWPHRIVIPIGGVDVDISDLELKPQGRVTVTVVRAESLKNKELIGKSDPYVVLFIRPMFKEKTRVIDDNLNPEWNETFELIAEDKETQHIILEVFDEDSLKQDKRLGIAKLPLSDLGIETVQEINLQLLSSLDTTKVKDKKDRGVLTIKVLYHPYTKKEALEALELEKKTMEERRKTREGTGAVSGAADAAGGVASTVTNVAGTGVAAGAAVAGSGVAVAGSGVGLVGTGIGAFGNSITKAGKFVGRTVTGPFSSARRSASNVPTIDE >cds.KYUSt_chr6.27404 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174013112:174016191:1 gene:KYUSg_chr6.27404 transcript:KYUSt_chr6.27404 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLFTRAQLKGKVLLQRRNVLDLNHSGGAPLSHDTWDEFTTKGVTCQLISSTVADQNDASRGLVGEEERVEHWVMHLPPMTHSDTTYDVTFDWDVKKQGLPGAVIVRNYHATQFLLKTITIDHVPGHHGPIVFIANSWVYNTDKYHYDRVFFTNDTCLPSNMPVLLQPYREEELQILRGDHPKQKHDRAYQDHDRVYRYDLYNDLGDPDNKSPRPTLGGPDSPYPYPRRGRTGRKPMKSDPTCESRNVVPVLQQFYVPRDECFNHVKKADFTSYLLKAISAGILPLARELFDTAVPREFDDFEDMYKLYEGGIKIPDVPVMDLMFKVFPPLKSICPSGGSYLLKMPMPEVIKNDKLAWRTDEEFAREMLAGLNPHIITRLQEFPPRSKLDGYGDQTSTIKVEHIQPYLGKLTVDKAITDGRLFILDHHDNFIPQLLKINSLENTFVYATRTLLILQDDDTLKPIAIELSRPRLDEIGTKVVGADSKLAKAYAAVNDSAWHGLISHWLHTHAVIEPFIIATNRQLSVTHPIYKLLQPHYRDTMMINALARQVLISAGGFFEMTVCPGEYALRISSDVYKNWNFTEQALPVDLIKRGVAKHDSTSPCGVSLLIKDYPYAVDGLAVWSAIENWAEEYVKIYYPSDSVVQDDAELQAWWKEVREVGHGDIKDQPWWPKMTTVQELVRSCATIIWIASALHAAVNFGQYSYAGYLPNRPTVSRRPMPELGTKEYQEVETNPDMAFIHTITSQLQSIIGVSVIEVLSNHSSDEVYLGQRDDPHWTSDDRAKKVFDKFSKSLIDIEKSIISRNKDENLKNRNGPAQFPYMLLYPNTSDIDGESATGITAKGIPNSISI >cds.KYUSt_chr4.39448 pep primary_assembly:MPB_Lper_Kyuss_1697:4:243421627:243432592:1 gene:KYUSg_chr4.39448 transcript:KYUSt_chr4.39448 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAAKRPKLEGPSSSAHAGAPQRGEDDYVPGNIVEIELGNFMTYDRLVCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVRAFVKRGEDSGHVKLSLRGDAPGRDICITRKIDTNNKSEWLLDGASVPKKDIIDVIKKFNIQINNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPVQHRLLIERSKELRVLEVTVKQKEQTLNNLKALNAEQEKDVERVRQRDTLLKKAELMKKKLPWLKYDMKKLEFMKVQEEEKTFKMTMEAAAKIWQDAKAPIEELKKEKMTHASSTKKITNQINQNTNKRREVTDEEGQLSARLKTTFDDIEDLKRQERSRQQRISNARDALAAAEKELQDLQPYEPPRAEMAQLTDKIAQTTCGIHDLKLRRNDKERQLAQEKENLRKCSDRLKQMESKNNKLLQALERTGADKISEAYYWVQDNKNMFRAEVYGPVLLEVNIQNKTHAAYLENHVPNYVWKSFITQDASDRDLLVRQLKHYGIPVLNCVNNNYRCEPLNITREMEQLGIKSRLDQEFEAPPAVKNVLITQASVDQSYIGTDRADERADEVVKLGISDLWTPRNHYRWSRSRYGGHVSANVDSVYPSRLFMCDLNISDIERLRSENDALKKKVEGMEEALRELQKDQRKLEDEEAEFRKEKETITDKVRFHKKRREEIQRRVDIKRRTLEDISQEEDVESSTRKLTDQLAKLNDQRFRAVIKLKSLLVEAVALKWSSAEKNMAFIELDTKIWEMEKDVKKFEKDAVQAAKGYENCKRITQEHRQVLLKAKQHAESISVITEDLAKEFEKMPSTVEELEGAIQDTESEANSMLFLNQNVLQEYQNRQREIESISTKLEDDKVECERCCSEIETTKGKWLPTLRSLVLKINDTFSRNFQEMAVAGEVSLDEHGLDFSQYGILIKVKFSFDHVFGPAHRRRLRDAAGLHRRCAPAATLGCRRRRSPSSRSEELSMAIRDLATAVRGIRSYLIGTRGDDRANLAAALAAYPVAPAAPPARAVRVPPSASPPPPPWAPWQPAPCAGPASLPQGVPIRAGAVPAVTLPAPGVGDRDGAPAVYSAAPAPSPSTRPPGTLASVLPGPAALTIRGALRWSRGVPRPGAAGSLPTLHQAGIRHV >cds.KYUSt_chr4.30332 pep primary_assembly:MPB_Lper_Kyuss_1697:4:190241060:190244830:-1 gene:KYUSg_chr4.30332 transcript:KYUSt_chr4.30332 gene_biotype:protein_coding transcript_biotype:protein_coding MYCIGLHATFPTLKNASPARSRLPSPPPPPALQDLSLHGRLNACTGGSTPQRLDDNPRLNASTGGWRIPPALPTSATQLRVLRLDNCSLVGPIPLSLGAMSGLQGIMPREATAHRFKEDPYILGLQAQQVFYVRDVKNPDWASVIKMNPRNLFAPSVLNGVGLDEAAEADEGGDADVLDVVDAEIVPEITVPEEITSWCRNDDEGSSVDASVIKSIKPVEFEDVHSESDDDIDDDDAYINDGHVAPLGQEELDDDNGFFV >cds.KYUSt_chr1.12017 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74232443:74242576:-1 gene:KYUSg_chr1.12017 transcript:KYUSt_chr1.12017 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGSRKRASLQPDPVPVTLALPGFVADRSEAAARVERLLQYQFHDRSLLEEALTHQSFADAAASYQRLEFVGDAVLGLAFSNFLYLTNPTLGPGALSSLRAANISTEKLARVAVRHDFYPLLRRNCPRLDLMVGQFTESVKQELEDDLGTAPYGGSVVKAPKVLADIVEAIAAAVYVDCTFNLVKLWQVTRFLFEPIITAETIDEQPVSTLHELCQKHGKVAQFKTWQKGGTTVANVFVDGTLVGMGSSEQRVIAKLNAARDAVGKLLGEAKQQVLTTGVGHVSGVETRDSDVARHLAARTSKAPELLDGRKVNGFDTIMTVAVLNMDSMVHMDHVAGPSISTGPIQQTKSGSSTSTSSVNAKFVQRARLPTTAANLISVKVANCEFMQSDTQVSQLARWMQGYTFALTCTVLDMDWLEDWILKLMDVPFQGKMNLLDEFLNVLDDPKALPPHCRYDHAIALEPAAAPVNSRPDRYLSSKKTKLSSIAEMIKVGVVVPTMSLLEKEDGPAHDRKFLYSVQVQAQGHTYVTLGEPFSRVKDAENSGAQEMLKLLSKQVAISGE >cds.KYUSt_chr4.10740 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65234137:65234634:-1 gene:KYUSg_chr4.10740 transcript:KYUSt_chr4.10740 gene_biotype:protein_coding transcript_biotype:protein_coding MGACNSCEATAVAAVTGSAAPLGEATAARVVLADGELQRFPGGTLASHALKAATAGAGACFLCSADGLQLGGAVAAVAPDEELQPGQLYFILPAAMRRRPLQAEEMAALAIRASAALAGDHDGPLVFPDSAPAAARSGGKGSRRRSRRTASLGRDFVPDLGAIAE >cds.KYUSt_chr7.26363 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164558925:164560094:1 gene:KYUSg_chr7.26363 transcript:KYUSt_chr7.26363 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDISSRVIALTLAVMLVASAASWGGVAAQGGGRGYGYGGGSGGGGGGGGYGGGGGGGGYGGGGGGYGGGGYGGGGYGNDYDQGRGPQGGMSPGELIMGYYSMSCPYAEAIVRNVVGDALHRDPTLAASLLRLHFHDCFVRGCDASVLLDSTDESKAEKDALTNKSLRGFEVIDAVKEALEAQCPGAVSCADVLALAARDSVSMAGGPYYDVPTGRKDGSLSIAADTSALPAATLTADELINVFVGTHGFTVPELVALSGGHTLGQAHCANFKNRLVANAVDPTLAPDMAATLARTCRSGGDGATANLDMTSNAFDTAYFKGLQKRRGLLSSDQTLITGSQETAMYVSMFADNSDMFFDTFVQGMAKMGQLDLNPNGDVRMNCRIVNK >cds.KYUSt_chr4.7348 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43658454:43658975:-1 gene:KYUSg_chr4.7348 transcript:KYUSt_chr4.7348 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHAPATTQKRKCPEQEEPAATTCPSGCGFFGAAATGGMCSKCYKEKVVSAGADSKAAAKTVFIAPTTSTAPPEKKAKMIVAGSSSDAAADSAAVDASVPSVKQQASPANRCATCRKKVGLLGFRCRCDGTFCSVHRYSDKHECGFDYKSAGREEIAKHNPMVVADKIARRI >cds.KYUSt_chr6.9083 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56140456:56147112:-1 gene:KYUSg_chr6.9083 transcript:KYUSt_chr6.9083 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEEGNASAHKGSSRRRGAAQVALDADELLTLMHGSDPVKVELNRLENEVRDKDRELGEAQVEIKALRLSERAREKAVEELTAELEKLDEKLKLTESLLDSKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQEDNRALDRLTKQKEAALLEAERTVQTALAKAAMVDDMQNKNQDLMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKVLDRELARTKVTANRVAVVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERAARSEAQVKEKYQWRLKVLEDGLRGPPSGSSRPPTEGKSLSNGSSRRLSLGGTDNMSKVSPTGLLARRSPSFNSRSSLSTGSSLVLKHAKGTSRSFDGGTRSLDRGKVLVNGPHLLNRSTDAVTDCETTENWKASAEEKSTETTNSDSTDMVSGVLYDMLQKEVVSLRKTCHERDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVSAIRLEKEQENKAKRLGSLRGPGNASQALPARNAPRGGLTRNIQ >cds.KYUSt_chr5.7174 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44807166:44807804:-1 gene:KYUSg_chr5.7174 transcript:KYUSt_chr5.7174 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLALAFLLAAAVVQAASAVNTTLTLHNLCPFTVYPLVTANGGLPSIADNKLQLDANGLAYFPIPPTSWSGRVVARTLCSSPTNCQTGMAPPVTVVQLVVHSAEAGPGADLATYSVSLTDGFNVGAAVSPQFVGGGQCPVLGCPVNLNDGCPADQRIIGNGGVVLACKGDYSYFKKRCPLTRVGGRDVEPVPQSCRAPRELKVVFCPTTI >cds.KYUSt_chr5.7581 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47810983:47814754:-1 gene:KYUSg_chr5.7581 transcript:KYUSt_chr5.7581 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGVIGRSANKGQQVTPDQSLPSNLQTRPVAAKMKHCQEPSPTQRSSPTTHAAQDGVLKKGNDAIALIGPGTRVSLVRDIDLPRSDTEEDLMEKLWGWERLLPLSVSVKSADYRSYLEEYFKHNASEFAAGAPANQNPEDSQIANNSYMGADLVKSCLKMEDELLSEWKTRVRCSMNDTLSVSTIIQCNLIKELVLSICSTGGELFSLALLCITKEADLMCELLKHGAEPFDDIIQQSIVIRMCVLGLANLKDAG >cds.KYUSt_chr4.39967 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246823245:246823772:-1 gene:KYUSg_chr4.39967 transcript:KYUSt_chr4.39967 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPHPDITTYPDFIIDAAGIGFVAGGMCGTPYHFIKGLYNSPNGRRLAAGAQAVRINAPRLASGGAAYLAVAETFRYAMISARKKDDIWSYVLPGFAAGACVPVGPGPRAVGISAIGGLCAATAVYVPSFCLRRRVRYPSRPPLEDPGVTPPSVVDSIPTELVRDVDLRCTQHI >cds.KYUSt_chr1.28169 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169984049:169985008:1 gene:KYUSg_chr1.28169 transcript:KYUSt_chr1.28169 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEEPSWFARFDEDLPAPDELMPLSQSLITRDLAAAFDIPTHAGPGPGGLPSGAADGAGGQDMNGGGASSAAGSSGGGGGGGGNGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLGNGGGGGSHSSGSGGTDAATEHLFATGPSPFLPPGRGPAGPDPYNSAPYAPMPAAHHHHHQVHHPSQIGHFHHPAARPLAHYGAGPGSGAGFDHGFLSRAVAGGAPVGPPAMHHRMVGAGAAMGMMAPSSFADDLDLGSRGGGGGAVGGRRELTLFPTSGDH >cds.KYUSt_chr4.39856 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246082955:246087468:1 gene:KYUSg_chr4.39856 transcript:KYUSt_chr4.39856 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSTMIRQYEQQNSKDSRKGSCADAPDRKPSAGSGIGTLCVSCSDLHCLSVLIPKSKIRKSKRDYILKGEYEIGLSYTIMKMEQQNTNGKEGVEVLQQVPFEDEKLGEGQFTSKVYHLQSKIPSWMKGFASASALAVHENSWSAYPKSRTVIKCPLFSKCSLTIDTVIRPDNGCSENAHDLTGQQLAAREVEVVDIASVSRDYWSKVITAPNVDLTTFRSQRTDRGPLLKGWMDSCRPVMTIYKLVTMDAPIWGLGERLEDCLIAGERALFLACHRLCFAWIDEWHGMTMEQIREMERHTELLLKKTLKKSGKAGSKHEGKRKTLKDEIAVVGSCN >cds.KYUSt_contig_528.189 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1213151:1220953:1 gene:KYUSg_contig_528.189 transcript:KYUSt_contig_528.189 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTGFLRANGRVHGVADVTGVLLAQRESEGVNGVGRTTSCQYASVALAVRALAWHGAPGRVWAPRVLGLVDFCLARDRVHRDQQHQGKVKDMLMQGTVVQVIGFVSWVLEGVSDDIGLRVEGESLQGDRGAAIDAEMVRVNKLPANSSYAIHRMKVLSKLRHLISIKVHFCRLKPHVAHCAIALNQPNPRPGDGASPCGCQKRPIANAWLRLHCTTMLPLRLLATKPPMRRCRFGLTTLDGDGGPPWPSEGTHFPSNQRGERAAFLASSHLAAHAHLRCSPLSSRPHHNKSYIVCIVRLT >cds.KYUSt_chr2.40567 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251985183:251986037:1 gene:KYUSg_chr2.40567 transcript:KYUSt_chr2.40567 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKTSGRQLLTLYLGRNAASGKLSNGALPGGCTVAAGVDIFAASPNALPFQPSHRGQHGEVWGYFAGEQAAASIQPAPGGCWVRYGKEKPYVMDFKTFAYRSRFAFHVTRVEDDGTVVWAPTRWMMKEYRLHKGSMVCRVKKLECPDANMDFVIRKVFTKPTVAAPPSALSDVVVQGVGCRLPSSQTQPAAKVPVKAGVHAVRKDSSKMKLNLKPMKVVPPPYAHYEGGEALQQGGSRLPPRSARPPWPPMVVAKPVAPPPPAQAIATLVAPAPPIQGLGAKG >cds.KYUSt_chr3.37560 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236203269:236208055:1 gene:KYUSg_chr3.37560 transcript:KYUSt_chr3.37560 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSPHFSRQRSPPLHPAAAATAAHVHSLLALLLNHQSARRPLLQIHAQLITHKVFDGRPTPWHTLLKAYSRGPFPQEALHLFRHSRRHAASNTYAFTFALGACTRLTWPHATRQLHGLLIRNGFACHAHVHTALTSAYLACGRLADARAAFDEMPAKNAVSWNVMVTGFAGRGEAEHARLLFERMPSRNVVSWTGMIDGYTRSSRHLEAAELFRRMMAEGVSPSEITVLAVVPAVASIGRVLLSEALHGFCEKTGLLVLDVRVGNSLVDLYAKIGSIQSSLKVFREMMERRNLVSWTSIISGFAMHGLSAEAVELFAEMRRAGIAPNRITFLSVLNACSHGGLVEQGVAFFKSMVYEYNINPEIKHFGCVIDMLGRAGRLCEAEQVISGLPMEVNSVVWRTLLGCCSKYGEVEMGERAMKKILEIETESGGDFVVVSNMLTEHGRFSDAERARKLVDERNAVKVPGLALVDLVSAHKVYGQIEAPTTYLCNIILRGLTQSNAPEDAIAFYKKARGEGMVPDNLTFPFVVKACGRFNALKEGKQMHNHVLKYGLLSDIFVSNSLIHLYAACGDLCCARSVFDEMLVVDVVSWNSLICGYSQYNRPKEVLTLFKLMHDGGVKADKITMVKVVSACTRLADWSMADCLVRYIEDYCIEVDVYLGNTLIDYYGRRGQLQSAEKVFFNMKERNAVTLNTMITAYSKGGNLVSARKLFEEIPNKDLIAWSSMISGYSQASQFSDALELFRQMQRTQVKPDAIVITSVLSACGQLGALDLGRWIHEYVRRNKIEADTIMHNSLIDMYAKCGSTKEALQVFKEMKEKDTLSWNSIILGLANNGGEEDALNVFRAMLAEGFRPTGVTFLGVLIACANAELVGEGLDYFDSMKSVHNMEPEMRHYGCIVDLLGRAGQLEKALRFIAEMPMVPDPVVYRILLGACKTHGDLATAEVVTKKLNELDAGNSGNYTLLSNTYASADRWSDAMEICFFDHKVYGQIEAPTTYLWNIILRGLAQSNTLEDAIAFYKKAWGKGMKPDNLTFPFVVKVCARINALKEGTQMHNHVLKYGLLSDIFVSNSLIHLYAACGDLCARSVFDEMPVKDVVS >cds.KYUSt_chr6.20655 pep primary_assembly:MPB_Lper_Kyuss_1697:6:130264536:130267606:1 gene:KYUSg_chr6.20655 transcript:KYUSt_chr6.20655 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVLQGTSAMDFRVCGGPRVEIGGGPLEAQVDAVEVSGSAPRAQGGAVEEVVFFNIQKLVLKVLLFIIQKLILVVLLFNTHQLLVLFNIEFVRLFEDSRIETVSSLGRHNDCCVSSGLSVDVKVGEDSWEKYFDKVAIEERSKFDEETLCNLDGVRSKKEYSNKPDGFRNEYIVVTILVAADGALKFPKITRPADLEAVLQKLNSIPAREIQGINVLWTPQEKDDVLSEERLLADYPYLKPLSDY >cds.KYUSt_chr2.19185 pep primary_assembly:MPB_Lper_Kyuss_1697:2:120756577:120759368:-1 gene:KYUSg_chr2.19185 transcript:KYUSt_chr2.19185 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTASMNVAAPQICGGGARGRGGRRRRPAVLRLDLRWARLLRLAVATRVVRLVWDQLLACSSCGGGGGGQYRRLGPPQAGDVLTPVPVDDEGFPAPDADAVDAQDVVGLKVSLLGDCHTGKTSFMVKYVGDGEEQNGLQMTGLNLMDKTLAVRGARLAFNIWDVAGDSQSADHVPIACKDAVAILFMFDLTSRCTLTNVIDWYERARKWNKTAIPILIGTKFDDFAQLPLEMQWTIVNEARAYARAMKATLFFSSATHNINVNKIFKFITAKLFNLPWTVERNLTVGEPIIDF >cds.KYUSt_contig_7442.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001531.1:3178:11332:-1 gene:KYUSg_contig_7442.1 transcript:KYUSt_contig_7442.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVDAAAEAVAALGISAGGNDGEWAQPSPALRRNLRLLSHDQVELAKMLLNEGQGHLFEHWPEPGVDDDKKKSFFDQVCRLHSSYPGGLASYIRNAKKLLVDSKAGQNPYDGFTPSVPSGEVLTFGDENFLSLEAAGVKEARNAAFVLVAGGLGERLGYKGIKVALPRETTTGKCYLQHYIDSILALQEASCKLEGGCDTKIPFVIMTSDDTNALTIKLLESNSYFGMEPSQVKILKQEKVACLADNDARLALDPNDKYKIQTKPHGHGDVHSLLYSSGLLEQWKSKGRKWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANSETGYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPTAKVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPFHSATSGEMAIYRANSLILRKAGAQIYDPVISTFNGQEVEVWPRITWSPRWGLTLKDVKQKVNGNSSVSQRSVLVINGQNVIIDGLSLDGALIVNSVDEAEVKVTGHIENKGWPIRHIDYKDTLEKEETRIRGFKFEKVEQLEVNYTEPGKHCLSS >cds.KYUSt_chr7.9302 pep primary_assembly:MPB_Lper_Kyuss_1697:7:56528508:56528909:1 gene:KYUSg_chr7.9302 transcript:KYUSt_chr7.9302 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLREATAALVGVGGGGQAAAAAAGHIGHAHPGLWRTPTPYLLLGFALMMGLMAVALLILLCTRPKPSGSSRRGSASEEASARGATMAPLDREPKVIVIMPGDHMPSFIASARPFAFATAVDAGEPSKTDAA >cds.KYUSt_chr4.10435 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63337903:63338400:1 gene:KYUSg_chr4.10435 transcript:KYUSt_chr4.10435 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGTLVSRKMPEIASTHQREAGMDGMAQTEGLAAAHHQPLPECCGKPTRRGRPQRRSSHPRRPVRQGTADGRRAAAAVAHPTRVLRQASRRWGSGRRSPRGDEEGPPPPPRPEGLCPGGATGGGGGGGLGAEG >cds.KYUSt_chr1.25078 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150357762:150358871:-1 gene:KYUSg_chr1.25078 transcript:KYUSt_chr1.25078 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVSRNAVALATLVSLLLTYGCCAQQRHLNYTSKLAKASSSPWLPAKATWYGAPTGAGPDDNGGACGYKHVNQYPFMSMGSCGNEPLFKDGMGCGACYRIRCVGDRSCSGKPETVMITDMNYYPVAKYHFDLSGTAFGAMAKPGLNDKLRHSGIIDIQFRRVRCQHQGLNVGFHVQHGSNPNYLAVLVQYANQEGTVVRMDLMQSTRNGSPTGTWEPMTHSWGAIFRRDTSRPLQGPFSLRIRSESGKTLVAKQVIPANWKPDTAYRSNVQFR >cds.KYUSt_chr2.3819 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23045819:23052451:-1 gene:KYUSg_chr2.3819 transcript:KYUSt_chr2.3819 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSSSSPLRIVIFPWPAFGHLLPYLELAERLASRGHRVSYVSTSRNLARLPPLHPATAPRVDLVALPLPRVVQGLPDGAESSYDLPDEKREFHFQTFDSLAQPFAEFLAAACADEATRPHWIVFDAFHHLAAAVALDHKVPSVVNMSSAAMLATMPHTPPEHPEADPAAAAVFEQAAAAARAVPRYEREGMAPFVTGHGASSSGISRAQRCLLTQERCTLMATRSCVEWEPESFPLLATLLGKPVVPLGLLPPSPDGARRSAGNNGSESESEHATVRWLDAQPLGSVLYVAMGSEVRLRVEQVHELALGLELSGIRFLWALRKPSGAVDADVLPPGFQERTRGQGLVNMGWVPQMSILAHAAVGGFLTHCGRNSLIEGLLFGHPLVMLPIFADQGPNARQMEAKKVGLQVARDENDGSFDRHGVASAVRGVMVQGEARMGFVAGATKMHEVVADKELHDRQERRIVSSRSSHPSRSSTVDSDFSDSSSPFETGDEGISSPRFIESAASEKLVKIFGDMSFVSSADSNISSDSDSIDSFGFLDRSIIVGEVFPDLHDGVTNPDQGQSSKYHQIYAIGDTSRLQEETSENFDNAGNPYVDPTDLTRGLGTKYAGPGMREMVQFPQAVWDRVARAIDGTDPMTVTATAQELQAYQYRLARARRQLEKQKIELDKRQATASASRVGVMGTAFASTPPEGLARQNSPRPTAAVQDPARTSGARDAATQARVDRARQERRERRHSPEVDEEDLCGLPCFTRRVRKTRVPSGFKLPDNYKKFDGLQDPEDWLVDYLETVKLMGETRATAMQSIQVHLSGAARSWIKKLPTGSVDS >cds.KYUSt_chr1.13469 pep primary_assembly:MPB_Lper_Kyuss_1697:1:81194435:81201465:-1 gene:KYUSg_chr1.13469 transcript:KYUSt_chr1.13469 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARVKALHDEVNSLLTTLDLGTPLDGLLPHADVLCVIRYKEHQGHQEEDTPWSKGGEEQLDKKMDTKMDMELDRKSPEERKEEKMDGRSRTRSDRPPDRITRSTARSDRPPDRAARRHMNIFRYCATLINERRFSNLVEFYFSSSHFFSEKFFLKKVTKVYCDVNFHAGLPTFYRHEPEGVKSPRQEREEALARVAGSSMLLSGCKLRSRPAKVGHHAAVPRPKHFYVRLDNNDLYMLVIPKGFRLYVKGRPYPYLVVIKNCKECEWVVHANRYKDEVVLDNGWPAFASFHDLKEGYYVMFKVTADGLKMTMYDPITSCEQELICCEHTGLH >cds.KYUSt_chr7.32818 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204497843:204500291:-1 gene:KYUSg_chr7.32818 transcript:KYUSt_chr7.32818 gene_biotype:protein_coding transcript_biotype:protein_coding MLERRVGHGPVWPTRSAATAGNPCGSVYSHDHLAQIAETARELGILIIADEVYAHLAFGDRPFIPMGVFAKTAPVITLGSISKRWLVPGWRLGWIATCDPDGILKEAKVNQAIQSYANISTDPATFVQGAVPQIIANTKQEYFNKILGLLRNSSDLLFGKIKDIRGITCPHKPEGSMFVMVKLDLSCLDGFCDDIDFCCTLAKEESVLVMPGTALGMKNWARITFAIDLPSLEDSLERIKSFCDRHGKVEA >cds.KYUSt_chr7.15019 pep primary_assembly:MPB_Lper_Kyuss_1697:7:93033340:93033561:-1 gene:KYUSg_chr7.15019 transcript:KYUSt_chr7.15019 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASTSQPPGPESVRVYSLHDSRWEFIADLGLSDGSHHAAEAPEQATAQAEASFGWPLTNPPRNLPTRGSG >cds.KYUSt_chr1.32678 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198431315:198431539:1 gene:KYUSg_chr1.32678 transcript:KYUSt_chr1.32678 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGCQAQAPAGGGGGANKAPTARSTPPPRRGQIKEKIIKDVVAAVVRMAAGLVARADKNGGGLPVAGDADGK >cds.KYUSt_contig_402.46 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:223207:226517:1 gene:KYUSg_contig_402.46 transcript:KYUSt_contig_402.46 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFLRLGASIALLLALSALVPSSDAYDPLDPGGNITIKWDVIEWNPDGYTAVVSLYNYQQYRHIQLPGWKLSWVWQKKEIIWKMFGGQTTEQGDCSKFKAEIPHCCKRDPEIVDLLPGAPYGTQFANCCRGGVLNSWAQDSANAVASFKVVVGQAGTSNKTVKVPSSFTLRAPGPGYTCGPAKVVSPTKFISPDGRRSTQAHATWNVTCTYSQFVARRSPTCCVSLSSFYNDTIVNCPTCSCGCQNNNSKPGSCVEGNSPYLASVVNGQSASSLEPLVECTSHMCPIRVHWHVKVNYKDYWRVKITVTNFNYRMNYSQWNLVAQHPNFDNLTTIFSFNYKPLSPYGTISEHFTFPYMLQLCILFVTANSKSSAVADDTAMLWGIKYYNDMLVTAGPDGNVQSELLFRKEPGAFTFQKGWAFPRRVYFNGDNCVMPPPDAYPWLPNASPRLPASLTLALITVGTATALLLVHA >cds.KYUSt_chr7.5079 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30327925:30328503:1 gene:KYUSg_chr7.5079 transcript:KYUSt_chr7.5079 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELAKISHLSYEEKLDFVSKNMERFKKPNAFGRFPNKGKAKAKEEEEEEEEKSHVEDENEEEEKSHVEGENEEEDTESDSEDEEDEMDEDELMVNNTKRATDGQLQVPHEGERECKKPRYAQGSS >cds.KYUSt_chr4.52662 pep primary_assembly:MPB_Lper_Kyuss_1697:4:326794689:326800450:-1 gene:KYUSg_chr4.52662 transcript:KYUSt_chr4.52662 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARRSLACGLSRHLARRLHPSLPHLVPSHHDRSGDPSCSSPPPPPPTHHPSWFPSGDLRRNLTLPLPFGAHRNFSSGPEVDTVLSDAASSVLPAPPFPGEVAAAAAADSFPPFAAAQHLIDAVHSFTGLNWWASIALTTVLVRAATIPLLVRQFKAERTINALKPEVKAIEEDMLNISNMIEKVPSFKEGGAFWFTDLTTPDELYILPVLISMAFAADEAHFFYWVPWTLFSLACRFAFRNPAVRQYMNIPPVVPRHVPAVQVSTSKGPKPIPTFDSSPAVNGSEQSSSEPRDKSVDSAPSSRLALPPVVPLRPLRQRVPERGVKSAHLRAHKVLDDLPGRRDWPLFVASSGLDAILTIDFALDMDNDDEMLALLLEDEQAFDDDLREHLLIIASLKDMVDAEAEKRKRPRRGGSRPG >cds.KYUSt_chr4.46881 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289894579:289899359:-1 gene:KYUSg_chr4.46881 transcript:KYUSt_chr4.46881 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASKARQAGASARQVGSRLAWSRNYAAKDIRFGVEARAQMLRGVEELADAVKLTMGPKGRTVIIEQSFGAPKVTKDGVTVAKSIEFSDRVKNVGASLVKQVANATNDTAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGISMAVDSVVTNLKGMARMINTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNQKTQKCELDDPIILIYDKKVSNLQALIKVLEYSHQKQKPLLIVAEDIESEALGMLILNKIRAGLKLCAVKAPGFGENRKSSLQDLAILTGGEVISEELGMNLEKFEPNMLGTCKKVTVSKDDTVILDGAGDKKAIEERAELLRSAIEQSTSDYDKEKIQERLAKLSGGIAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASRNLDKLETANFDQKIGVQIIQNALKTPVHTIATNAGVEGAVIVGKLLEQDNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAIVVESPKEEKAAPAMGGGGMGGMDF >cds.KYUSt_chr7.31909 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198651256:198651477:1 gene:KYUSg_chr7.31909 transcript:KYUSt_chr7.31909 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALPVLVAALLLLAVLGDAARPLGGETWAAAGGAPLPGAGVVEVLRRLYLQRLGGPGASCGTNSPNNGCPP >cds.KYUSt_contig_973.54 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:983981:989047:1 gene:KYUSg_contig_973.54 transcript:KYUSt_contig_973.54 gene_biotype:protein_coding transcript_biotype:protein_coding MREREREDEPAANGVNMSLPAEIHLSIAGSGSRHCEMRDRVAGMSSLASAAAATGRSKIKDMSPEVVDSNPYSRLMALQRMGIVGNYELIRDYSVAIVGTGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPDQVGMAKTDAAVQTLSEINPDVVLESYSLNITTVKGFETFLGSLKARSSHGRSTGVDLVLSCVDNYEARMVVNQ >cds.KYUSt_chr3.5961 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34028691:34031069:-1 gene:KYUSg_chr3.5961 transcript:KYUSt_chr3.5961 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPTDGLVVCTHEPADTASTLPAALEPSSWRSSATTSGCSSARAGGHRVHLAGGLEPSSWRSSATTSGCSSSTSRRTPRPPCQRPRALELAVLSDHFRVLFSTSRRTPRPPCQWPRAFELAVLSDHFRHRPAAPPPPRPAAPSPPRPAGCAFVASSGCAFAASSGWLRLRRIVRLASPHRPAAPSPPRPAGCAFAALSGCAFAASSGWLRLRRLVRLRLRRLVRLAAPSPHRPAGFASSSGCTFAASSAGCDFVASSSGCAFAASSGWLRLRRLVRLRLRRLVRLAAPSPPRPAGFASSSSCAFAASSGWLRLRRLVRLRLRRLVRLAAPSPHRPAAFASSFGCTFAVSSGCDFIASSGCVFAASSSCASSGCAFVVSSGCALSGCDFVASSGCALSGCDFVASSGCAFAASSGCAFAPRRLRLVRLRLRRLVRLRLRRLGRLRLVRLRLVASSGCAFRRTVRLRLSLVPPPRPAAPSPPRPAAPSRLVRLRLRRLVRLRLHRLVRLRLRRLVRAAPSPPRPAAPSRLVQLRLHRLRLRRLVRLRLRHLDRLRLRRTVRLRLSLVPPPRPAAPSPPRPAAPLAAIIRVRIGRLTHG >cds.KYUSt_chr2.36078 pep primary_assembly:MPB_Lper_Kyuss_1697:2:222887346:222887870:1 gene:KYUSg_chr2.36078 transcript:KYUSt_chr2.36078 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHPGQRSSSKAARRLRSLLALAADYIKYLFMNRRRLVCRAARRTIAVLSSYHGKSNKHLAPYWPPRALAEHEFSCSDSPSPTFLAAKRFRSRLKRNAAAGSSCFGASLGASYGSPPVTEEEDVMVEEEEDEADGWACYGLELDVDYRAEEFINMFYEQLRAQNFATAFQRSP >cds.KYUSt_chr4.51173 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317321568:317322583:1 gene:KYUSg_chr4.51173 transcript:KYUSt_chr4.51173 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQATWTREEDKAFENALAAAAPPPLEGLPEDDWFVALAASVPARSTEEVRLHYEALVEDVGAIDAGRVPLPRYAGEESDGAGAAPKDDGSGHRREDRKSYESGKNCSKAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSINAGEVVPQQGQATKHPAAGMAMYGGAPMGHPVAGHHMVPAAAVGTPVMFPPGHSPYVMPVGYPAPQAKMHQ >cds.KYUSt_chr1.18161 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106025277:106025831:1 gene:KYUSg_chr1.18161 transcript:KYUSt_chr1.18161 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLLLLALALASAASVLVASPVAAARPCDTLLISSNSANANPSNDPDRSAPLTTTVITVFRVRRFGPHLLRAHGHAQPHLNRHHHLHSIPANIQIRHPELPELPHSAAGAAASVQERLNGILMVVVGILTAASVYLVWSIITGTGALSHYDELYGDEAPDSGSPKKVGYVIISSEAHGGGKN >cds.KYUSt_chr5.10014 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63946753:63949243:-1 gene:KYUSg_chr5.10014 transcript:KYUSt_chr5.10014 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVEDKGSAIALMGASLIFLGTWPALLTLLERRGRLPQHTYLDYSITNLLAAVLIALTLGQLGESKENMPNFFTQLSQDNWPSVLFAIAGGVVLSVGNLCTQYAWAYVGLSITEVIVASMVVVIGTTLNYFLDNRINRADILFTGVACFLVAVILGTSVHSSNAADNKEKLSGSTNRYKLGKKGGTEPSSQLIDKDVLVDIEKGAYTEYATRAEAGTVEYLVELEQRRSIKVFGSSTLMGLGIVFFAGVCLSLFSPALNLATNDQWHTLKDGVPHLVVYTAFFYFSISCFAVGTGLNILFLYRPMAGVPKSSFKAYLNDWDGRQWALLAGLLCGLGNGFQFMGGQAAGYAAADAVEALPLVSTFWGIVLFGEYRKSSRKTYTLLAFMLLMFVAAVATLMASAGHRSTK >cds.KYUSt_chr4.49868 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308984951:308990095:-1 gene:KYUSg_chr4.49868 transcript:KYUSt_chr4.49868 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTCTSPSQIQIDKSAPHRARLIQNQSCQTLARKRWLDWGGAVAVDVARELELGRRHARGSLHGRILPTSGPPLRHDELTSLRLDELPAPAAALLKLPPPRPDELALPRRLELPSSADEPHALLRALVSQDHLSPDLPPVPPPSRIPAPPRLLIGQDPAPAPDSRTTLPARHWSLPRRPLPVPSLAPAAPTAAFPAPATATAALPAPGDPAAAFPAPDDPAATFPAPGDPATAFPAPSDPCCRLPRQLEPPAWSPGGQPPPPL >cds.KYUSt_chr7.1415 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7728072:7728881:-1 gene:KYUSg_chr7.1415 transcript:KYUSt_chr7.1415 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDLDFSNPEAFLCPSLGADAPGGCSMDSYFDDILKDTEHLACTHTHTCNPPAHDLSHTHTCVHVHTKVVSASSDGAESPSADAAASKKRRPSGNRAAVRKYREKKKAHTALLEEEVVHLKALNKQLMRKVQNHAALEAEVARLRCLLVDIRGRIEGEIGAFPYGRPVVKSAVDLVPAGGVDLAGGAAQVMNSCGFRCNDQLYCNPGMQMRTMGDDAAMGAQVFGQGPGDFSNAQCMGTAKSGSTMPPGCGGMGTMPSGCLPNSERN >cds.KYUSt_chr6.542 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3349109:3353554:-1 gene:KYUSg_chr6.542 transcript:KYUSt_chr6.542 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGAVFYSDQAQFPQGDPAAADITRHSALRKFKEFLRGFTGPDGDFPYRESLVHNRDHVTVAIEDLDAFDAELADRIRKAPADYLPLFETAGSEVLASLRSKVAGETGEMEEPVTGDVQIFLSSKENCVSMRSIGADYMSKLVKIAGIAIAASRVKAKATHVTLLCKNCRSVRTVPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKMQENPEDVPTGELPRNVLLSIDRHLVQTIVPGTRLTVIGIYSVFQASGTTNHKGAVGVKQPYIRIVGLEQSRDDNSNGPSSFTLDEEMEFKEFAQRPDAYAKLCSMIGPSIYGHADVKKAIACLLFGGSKKRLPDGVRLRGDIHALLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVTRDSNSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPISGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYEQDKRIANHIIKVHASGAQTTSNKNTEVNEGENWLKRYVEYCRNTCRPRLSEKAAEMLQNKYVEIRQKMRQQSHETGRAAAIPITVRQLEAIIRLSESLAKMRLTSVATPEHIEEAFRLFNVSTVDAARSGINEHLNLSPEIANEIKQAEAQIKRRMGIGSHISERRLLDELSRMGMNESIVRRALVIMHQRDEVEYKRERHVIVRKA >cds.KYUSt_chr4.37204 pep primary_assembly:MPB_Lper_Kyuss_1697:4:229045991:229051405:-1 gene:KYUSg_chr4.37204 transcript:KYUSt_chr4.37204 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAKCERALRRRVRGTPRRAHRKPARFADQAPPAAKKGGRLKTKAVGPRGAAPSKVRTKAISRIGLAPPPPSKVTTPPPSVPADAPPAPPPPTIDVDKVFDVESTTSFMDMLNESAVNLDTGIDAFDGECNIEDLDDEEEDEGDEEEVLEVDPAAAGSSSTPKPRTANYSEIADAILVRAWSKVGMDACTGVDQGGKRYWQCIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQARYKDMAGSKNKEFQFHHCFSILQHLPKWKLRDNEPKCKKEALLTMDDEAEDMSGRNAGKPEGNKKAKERVKVEGKAGSFREKLDQLMKSKEALTMKTLETKLIITDKKKEVKLAKVQARREDAKLKAELDMKMITLKEAKAMKELLAEERDIMMMRTDGMDEDQLAWWNETKADIVARKKAARQAREASARVMVYIPPSGIARSQKWQVYITDGSEHVVVTLLRYRFIVRAVDTGSTDSQNTNSVDFHLFEPCGEIVIARCPGETSVPPPSMDLMPQSTLSSASAINETGSDAAGGRKRKNVGAGKNPKSKKGLHFSNDN >cds.KYUSt_chr6.29300 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185590373:185596734:-1 gene:KYUSg_chr6.29300 transcript:KYUSt_chr6.29300 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPREIGSSSDALATATFGGVDWSTLLIEQEETDDYTVHVQEDTMYNLLGLKEEDETVKVLRQAANDANADLVDANISVIDANDTEGAALPIDDLGPMEQFTFFDRDNPEMAVGDTFPSMDTFRMALKHYAIKREFDIKINMSQPKKYMANCKKFEGGCPWKITAKKKQVGTTVVVTELHDKHMCASSSRKKTSMASQSWVAERAEIIMKNDPEMSATDLQKKLQNDYNVKVPYHTVYKSRQRAIVDLYGSWEESFRLLYNFKAEIELRSPGSVVEIATKEIEGSFVDTAAEDQRVDARAEVLLRLARQHNTDFWADTDRTRRIVRFQNRAAQVRDFLDFCTSTLAMVYNAMFPQNPQPTNLPELMKKFKNVHQIHDLVKAQLMAGARFALIWLKICYPKLDLSNVIDACYSKLQKRRKNVDKLNDAVTPIAEKIIEELLRVDAAFFKEYHYADALGAPAEGERVTIDDLI >cds.KYUSt_chr6.25633 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162396833:162398986:1 gene:KYUSg_chr6.25633 transcript:KYUSt_chr6.25633 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRPPGHFYIAVIIISVAAVVASADDGGKTEGDVLVAFRDTLRAADGSPPGPLRSWGTPGPCNGNHSSWYGVSCHGNGSVQGLQLERLGLAGGAPEMGSLSVLPGLRVISLSDNALTGPFPNVSQLGVLKMLYLSRNKFSGVIPVDAFMHMRGLRKLYLTSNDFSGPVPSSITSPRLLELSLAHNKFDGPLPDFSQPELRFVDVAENNLSGPIPAGLSRFNASMFQGNKLLCGKPLDAVCDPALSPDDGMSPFVKIAIALIVVGVLLAAAGITTGVLGRRRRRKRRAKRTDGSVTLPNGEQTPSNPVLETAPCVAMSQAAPVAASAKRGGRRDEHGRLVFISESRVRFEIEDLLRASAEVLGSGNFGSSYKATLLEGSSVVVKRFKDMNGVGREDFSEHMRRLGRLDHPNLIPLVAYLYKKEEKLLITDYMCNGSLANLLHGGRGKALDWGKRLGIIKGTARGLAHLYDELPMLTVPHGHLKSSNVLLDADFRPALSDYALVPVLTATHAKQVMMAYKAPECVEAHGKPSKKSDVWSLGILILEVLTGKFPANYLRQGSKGTTDLAGWVNSVVTEERTGEVFDKDMSVAGEDADSEMLKLLQVGLACCEADVDKRLDLKAAVTGIEEVRELEPASTSQGESKS >cds.KYUSt_chr3.21745 pep primary_assembly:MPB_Lper_Kyuss_1697:3:133665054:133666143:1 gene:KYUSg_chr3.21745 transcript:KYUSt_chr3.21745 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEIPVIDLEGLNGDAAERTQTMARLHQACKDWGFFWVDSHGVDAALMEEVKCFVYGHYDDQLEDKFYASGLAKDLLQAAVPDLEDSNKPVSDQVDWESAYFIRHHPNNNVADFPEILPPSREVLDTYIAQMVSLAERLAECMSLNLGLDCARIKDTFAPPFVGTKFAMYPACPRPDLVWGLRAHTDAGGIILLLQDDVVGGLEFFRGDREWVPVGPTKGSRIFVNIGDQVEVMSGGVYRSVLHRVAAGSEGRRLSVATFYNPGMDAVVAAPVTAVVQLYPGAYRFGDYLDYYQGTKFADKAARFQAVKELFG >cds.KYUSt_chr2.9460 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59607540:59614541:1 gene:KYUSg_chr2.9460 transcript:KYUSt_chr2.9460 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKSATATATEPMAAEKPHVASGAATPVAARGGAASPAAPASKPGTPKQPKFPFYMPSPLPPSSFKGSPASSSVASTPRRGVFRRPFPPPSPAKHIRAFLARRHGSVKPNQASIPEAGEPGMSLDKSFGFSTHFAAKYDLGREVGRGHFGYTCAATAKKGDLKGQEVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHNNLVQFYDSCEDEENVYIVMELCKGGELLDKILARGGKYSEEDAKVVMRQILSVASFCHLQGVVHRDLKPENFLFTSKDESSDLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPNFDEAPWPTLSAEAKDFVRKLLHKDYRKRITAAQALCHPWIRGTEEVKIPLDMIIYRLMRAYISSSSLRKSALRALAKTLTTDQLFYLREQFELLGPNKSGYITLQNLKTALTKNTMYVMKDSRILDFVNTICNIQYRKLDFEEFCAASVSVYQLESLDTWEQHARQSYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHSDGKLSFLGFIKLLHGVSSRATPKA >cds.KYUSt_chr4.36750 pep primary_assembly:MPB_Lper_Kyuss_1697:4:225974694:225975035:-1 gene:KYUSg_chr4.36750 transcript:KYUSt_chr4.36750 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLDPHLGGALRGSAGELTALVSWVWSWRGGLHHLASFGPPGCFLVLASVAPSALASLWRTGAVVLVSQILGVRVQESRHLVMSRRFFSCFLFFGTSYCRLCCWLRPVGLA >cds.KYUSt_scaffold_2697.385 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2525092:2526102:-1 gene:KYUSg_scaffold_2697.385 transcript:KYUSt_scaffold_2697.385 gene_biotype:protein_coding transcript_biotype:protein_coding MRPINVHGPHPCIFFFAKKWYRFKPPAQISRRRNPPKPPPRTTPKSIPKTRSAMAGGGGQAAVSFLTKLAKVAAGVGVTASAVSTSLYTVDGGQRAVIFDRFQGVLPTVVSEGTHFLIPWLQKPSIFDIRTRPHSFSSNSGTKDLQMVSLTLRVLARPDVDRLPEIFTSLGLDYDEKVLPSIGNEVLKAVVAQFNADQLLTDRPHVSALVREALIRRAAEFNIVLDDVAITHLAYGHDFAMAVEKKQVAQQEAERSKFVVAKAEQERRAAVVRAEGESESARLISEATAIAGNGLIELRRIEAAKEIAAMLARSPNVSYIPSSENGQMLLGLNAAR >cds.KYUSt_contig_1658.239 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1582806:1588996:1 gene:KYUSg_contig_1658.239 transcript:KYUSt_contig_1658.239 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPLSAKDFISSLGKHSTITQGLENVEDVDTTKVITCHTYVPPAKSVEDETKPSGDAIFGGLSSVDEESSAAAETPDYVPSMEIFPNSSHRDGSIYSGTDDWKIDYRIADRNERFSSFPSKQELPGRLAKIPVELGSVELYGYIAARDNLNPLLNYIVNFSRDDPIIVKQGSLINMAGPKRGIELVGTILIEYDMKIKAGEHESEDLQVIDGVSYLDNIDTWDRSPFTLRIHGDCGAIDVGVSRLNFAYEATIEVVISQVQSSFSMHLGCFTSGLHEEIRLFDGAIGESRGLKRSVVAVASDYQMELKFKVAADSCIPAEYCCCFEAKQHGHATQEINTGFALIAVKVTWSTLIEDEE >cds.KYUSt_chr4.41931 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259303472:259305754:1 gene:KYUSg_chr4.41931 transcript:KYUSt_chr4.41931 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASMASSLLLSLSASSSSFLASSSLSFLPASSSPHASARGSRPSASILRALRAEATTLPVISFTGEKVGEVSLDIKSASPATARAVVHRGLITDRQNARRGTASTLTRGEVRGGGRKPYGQKKTGKARRGSTRTPLRPGGGVIFGPKPRDWSIKINRKEKRLAISTALASAAVANDSFVVQEFDEEFATGPRTRDFVAALQRWGLDPKEKAMFLSTELDNNVRLSGRNIGTLKMLTPRTLNLYDILDARKHFFTPSAVDYLNSRYGTTVFDEYEGDTDGEDDGEEEAVEEQEEEGITEEAAQDETEEA >cds.KYUSt_chr3.18734 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115192812:115194047:1 gene:KYUSg_chr3.18734 transcript:KYUSt_chr3.18734 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVGHCHGLKPPAARGRHYRPHSVAQLDRPALDRDHQERNALSPQRRCLSSPCFTTVFAPASEDQGAEPAGEEEKMPRVEIVAGRHARGVHALIAEAAGAIASGTRLVPAQGGLGGALLLKDGRSGEHVAVIKPLDDKSSPSNGGGGYESKAVLREVAAYLLDHDGFASVAPTAMIKISRPTMETTVASIQRFVAHHYDAGELGPSRFSVQSVHRVGILDVRLLNIDRHAGNILVKNPPTGDGSSSAVPLELVPIDHGLCLPEQLDDPYFEWLHWPQASRPFSSTELDYIASLDPFKDAEMLRVELPSLTEPAIRILTLCTIFLQRAAAAGLCLADIGDMMTREFSSMEEGLSALEALCKKAVDSIDAQSLKSVSFGELSSEEWTTFLEKFEKLLPPAFEAKKSRPASH >cds.KYUSt_chr6.29394 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186299837:186301416:-1 gene:KYUSg_chr6.29394 transcript:KYUSt_chr6.29394 gene_biotype:protein_coding transcript_biotype:protein_coding MWVNGPIIVGAGPAGLSAAACLHTHGVPSVVLERDDCIASLWQRRTYDRLRLHLPKQFCELPGMPFPASYPEYPTKHQFVSYLESYASAFHVQPRFRQSVASARFDHAAGLWRVRASDPSESTTEYIGRWLVVATGENAERIVPEFAGAGSFKGPVTHVSEYKSGEPYRGARVLVVGCGNSGMEVCLDLCNHGARPSMVVREAVHVLPREMLGVATFSVAVFLLRFLPLRLVDRILVLLAGLFLGHDLPRLGLRRPDSGGPLELKNTRGRTPVLDIGALEKIRSGEIAVVPGVERFVAGGAEMVDGRFVAADAVVLATGYHSNVPQWLKGSDFFSGEGYPKAEFPEGWKGETGLYSVGFTRRGLSGVSLDAVRVASDIATAYHQHSKTTPSSSAVCSVAQLVPSQAQT >cds.KYUSt_chr2.42309 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263545624:263548752:1 gene:KYUSg_chr2.42309 transcript:KYUSt_chr2.42309 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVLLVLALLLFSYGAGISVCAVLLGNDTDMLSLLDFKRAITDDPKGALSSWNTSIHFCNWQGVKCSLTQPDRVEALDLPEQSLVGQISPSLGNMSYLASLNLSGSKFSGQIPHLGRLQELMFLDLSYNSLQGSIPVTLTNCSSLRVLDLSRNLLVGEIPAEIALLSNLTRLWLPYNGLTGVIPPGLGNITSLEHIILMYNHLEGTIPDEFGKLSKMSNLLLGENKLLGRIPEAVFNLSLLNQIALELNMLVGSLPSNMGDGLPNLQLLFLGGNMLDGLIPDSLGNASELQHINLSYNSGFRGQIPPSLGKLRKLNRLGLDGNSLEANDSRSWEFLDALSNCTLLEMLSIYGNRLQGVLPNSVGNLSSNLDNLVFARNMLYGLVPSSIGNLHRLTKLGLEENNFTGPIDGWIGNLASLQGLYLQQNNFTGQIPSSIGNNSQLSELFLANNQFHGPIPSSFGNLQQLSLLDLSYNNLQNHIPEEIFRVATISQCALSHNSLEGQIPYISNLQQLNYLDLSSNKLTGEIPPTLRTCQQLQAVNMGWNFLSGSIPISLGSLSGLIVLNLSHNNLSGSIPIVLSELQLLTQLDLSDNHLEGEVPINGVFKNTSAISLKGNLRICGGVVDLHIPSCPTVSQRRSRWQHYLVIVLVPVLGIISLILLVYFTFFRKRMLRLQLSLPSSDERFFKVSYKDLAQATENFAQSNLIGRGSCGLVYRGKLTQDHMIVAVKVFDLDMQGADKSFVSECKALRNIRHRNLLPILTACSTVDNRGNDFKALVYEFMPNGNLDTWLHLAGDRNARNQLDLSRRMKIAVDIADALQYIHHDCESPIIHCDLKPSNILLDYDMTAHLGDFGIARFYMKSKSAPAGDSSSIGTITLKGTIGYIAPEYAGGSYLSTSGDVYSFGIVLLEMLTGRRPTDPMFCDGLSIVNFVRRNFPDQILGILDAYLVEECQDCSRANLEEENEVHLCLLSLLKVALSCASESPNERMNMREAATELNTIYISYMS >cds.KYUSt_chr1.39984 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244824389:244826007:1 gene:KYUSg_chr1.39984 transcript:KYUSt_chr1.39984 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKAKRLKVQSSGSQEDHSFSECDIPAELLIRSLLPSSISLYQTHLRFLAMAAPQSGAALLTGLLALATLASCNTEGDILYTQRQTWKDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAGLSGTLVAELGGMKNLQYLELYGNNFSGPIPTILGNLTSLVSLDLYSNSLTGAIPTSLGAVGTLRYLRLYGNNLTGPIPASLGRLTNLRNLELQENALSGSIPASLGNITKLQYLRLYGNMLTGTVPLEILSLVLDGDLAELNVRRNNLAGTVTSSKQRVSNIIQDTLKTAN >cds.KYUSt_chr1.20498 pep primary_assembly:MPB_Lper_Kyuss_1697:1:120903533:120905107:-1 gene:KYUSg_chr1.20498 transcript:KYUSt_chr1.20498 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYNNSVPLGENGRFYVTQSHLDVHYVSSDEGSQKIRSNPQVFEPQYCTLESSSGNGVYPTQSSTSSQSISPISGSPLSQHDSHSDHVYGSPPSVSCVTEVADLQVKLKELENAILGPELDIAYDSPESSLQANNPLKPDNWRQLLGINTGDLKEVIIACGRAVAEDDVFATELLLSELGQLVSVSGDPMQRLGAYMLEGLVARLSSSGSKIYKSLKCKEPTGSELMSYMTLLYEICPFYKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWVTVIQALAARPGGPPCLRITGIDDSDSVYARGGGLDIVGARLYNVSQTCGLPFEFNAIPAASHEVYLEHLDIRPGEVVAVNFAYQLHHTPDESVSTENHRDRILRMIKSLSPRVVTLVEQESNTNTAPFFPRYLETLDHYTAMFESIDVALPRGDKRRMSAEQHCVARDIVNLIACEGTDRVERHEVFGKWKARFEMAGFRPYPLSSVVNNTIKTLLNSYNSYYRLEERDGVLYLGWKNRVLVVSSAWC >cds.KYUSt_chr5.38146 pep primary_assembly:MPB_Lper_Kyuss_1697:5:241016386:241020839:1 gene:KYUSg_chr5.38146 transcript:KYUSt_chr5.38146 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVPAVKEEEPPRLSTCVVSPPCFGGSATGDLGKLPTGGSSSRAFQYPSISDLKRKAALDSLNGFGVNGPAGFQCLKPDSRDPGSRSCPKLGPSVQIPAVRVIGFESGFAGSTRDSDRMVADKMNSSLVIDTSHSSTEQHVPQARKRVLSPLTNVLPGKFHGDALYIGSADTKIQHSDCVRRLCASGFQDSKKAHTATLDSCESPTWSALRCSNWSKGQVVDKLSSKVFTDGPLVEGRELYSYSDHLEAERIMNLEKVAVPVAKMAHSPPLTLSPLGPKWMQRVKNSGAHKNLVREIENDFLGLKETERSIGEEHSDRIRVKDATGKTTILHVNFDTVFPKRCSGGRFQNWASESASLSPSVGCLRGLSLFPVRRSLVGSFEESLLSGRYSCGKDTQVVSNPEKTPLHTFFCNYDLSGMPAGTKTFVRQKVTLSSVPPSSSVKEGSDASHTKVESVRYGSELRECGTLFSECCEQGQNCYSTDESEKEDTLRSGEKKSCCSPSKVNNCSTGGVLRYALHLRFLCPFSKKSSRSMQRSKPGLSSEPLNRSTAINEERRFYLYNDIRVVFPQRHSDSDEGELRVEHDFPADPKYFDISN >cds.KYUSt_chr7.2109 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12127407:12133739:-1 gene:KYUSg_chr7.2109 transcript:KYUSt_chr7.2109 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTFDMDASRVMEFFWYVRTLALALEHMADRLDLSAVAGAGPRRATPLRRERASFHWILLDIQPDKGIVEVDYMRDILQPKEHLLGIAGELAGLLVRILPQIDSSVRSPTSLEKTKNPSNLTPVRASAPQGSARLAPLVSVESADLHADTANPGGRSGCRGARFGCVTAKTWRQGVARFGEADCMFVISCKHNGITEVKPKLRSVSPKHPFDKCLDETVNVEAILTVLNGVASSSKNDSKDDDWATSDDDADSMEHDDGW >cds.KYUSt_chr7.27016 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168868931:168869929:-1 gene:KYUSg_chr7.27016 transcript:KYUSt_chr7.27016 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHHHHHLVLFILILLVILPFSAVAAVGGEQEAACDAEYRQEDLRPDRLTVLLSGYSERRLPLLRAIAGAYAAHPLVLSVLILWCNPSTPDDRLRLPRFPPRVSLHRTASASLNSRFLPHPSIRTAAVAVADDDVLPDAAAISFAFAAWQQQQRPGSLVGFFPRSHRLDLARGGWAYAAPQPGRYSMVLTKFMVLGVDLLRRYSCSPELAAARAVVDRERNCEDILMNFVAAEASGEGPVLVEAGSVRDWDDPRNDANAGAGAAAEMKAVGLSSTGGVGHLEKRGECITEFHRLLGRMPLRYSYGKLVEAAVGEQGLCSKGGRLVRCDRE >cds.KYUSt_contig_1539.113 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:827159:828922:1 gene:KYUSg_contig_1539.113 transcript:KYUSt_contig_1539.113 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMSLALEWSTEAVTVQTDCAVAVAVLKEPMKNRSQYGHLIDEVKRLMSLQDFSLMKIVREQNRVANCLSNKDEDCLSTSAVHGRAGRGDERRRVGIPGMGSVMERFESEWRQRRCQLV >cds.KYUSt_chr5.31640 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200468593:200469564:-1 gene:KYUSg_chr5.31640 transcript:KYUSt_chr5.31640 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLMFYKYVLKSTSLYPVELRFFSTHCTSTSQAGVFSSGNLSSFRDMALAGRLLVLLAVVLLAVSIAEHKASASRTEEQLQDNEHQGGHGSLKIYECKSKCDYRCSDTKYRKPCLFFCNKCCRTCRCVPSGFYGNREECACYNDWKTKEGEHKCP >cds.KYUSt_chr7.25474 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158974760:158979949:-1 gene:KYUSg_chr7.25474 transcript:KYUSt_chr7.25474 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSVCCASSSSLTVGGPVEPSLRHASSSLLIGDGSRTANEQNHSCAAVPLRSVAAVLDVSARAAQLPGVNLIPFAHQSRSFSFPAKATATPIGIIPVLQFLTVRSHHSNGPDHILTLCHSFTNSPPISKYPHARHCQPVPGVGLSPPSGNKSKPRGRERTTTRFSHPLSMVFMRFRPQLIASSLSRAPKPSRTLASPPLAAAARRRSLTSTLSLSSSSMAGAAPSEDALRRALAERQAAVDAQAEAVRSLKAAGVKTGVDAAVEALKALKIEAGAAARRLQAAVGSSGGGGGAREEFRQAVANTLERKLFYIPSFKIYRGVAGLYDYGPPGCAVKSNILAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDFCKDKLEKDLTLSPEAAAEFKHVLAVLDDLSPEQLGAKIKEYGIVAPDTKNPLSAPYPFNLMFQTSIGPAGLSVGYMRPETAQGIFVNFKDLYNYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFADVADLEFLMFPRDLQLSGESAKLLKLADAVSKGTVNNETLGYFIGRVYLFLTRLGIDKSRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLKAHSEKSGVTLVAHERFLKPKEVEKLVIVPSKKDLGLAFKGNQKMVLEALEAMSEKEAMDMKAALESKGETNFQVCTLGKDVVITKKMVSISMEKKMEHQRVFTPSVVEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPIVAPIKCTVFPLVKLPELDAAAKVLAKELTAAGISHIIDTAGISIGRRYARTDEIGVPFAVTVDSATEVTIRERDSKQQVRVGINEVASVVKQLTEGQSTWTDVEFKYPSHLGPQDDQE >cds.KYUSt_chr1.37064 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226415599:226418394:1 gene:KYUSg_chr1.37064 transcript:KYUSt_chr1.37064 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAVRKDLYPHVEPYQTGRLNVSHVHTIYYEQSGNPGGHPVVFLHGGPGAGTSPGNRRFFDPEFYRIVLFDQRGAGKSTPHACLEENTTWDLVADIEKLREHLDIPEWQVFGGSWGSTLALAYSQTHPDKVTGIVIRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDLIPEDERNCFIAAYHKRLTSSDPDVQIEAAKRWTMWEMLTAHLIQNHDTIKRGEDDKFSLAFARIENHYFVNKGFLPSDSHLLDNVEKIRHIKAFIVQGRYDACCPMMSAWDLHKAWPEAEFKVVPDAGHSANEVGIAAELVSANEKLKSMLRK >cds.KYUSt_chr7.24377 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151965928:151966662:1 gene:KYUSg_chr7.24377 transcript:KYUSt_chr7.24377 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALAYADASTFSPAFYPDQQDNEVSSSRPARVAGAVLRGVITFVFATVGTILGAITGGLIGLATESGLVRGAGIGAISGAVVAMEVVDRSVAIWRSDESGIWSVLYVLDVIWSLLTGRLVREKVDPAVQNAVHSQMSAADDDAAAGSVDGPPTLAEMFGTGGASFKGMAAGAIADLPAATVTERQAAAAAQQNAGCSVCLQEFEAGQRARSLPGCGHTFHLPCIDGWLCRHASCPLCRGAVV >cds.KYUSt_chr1.34486 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209951378:209952811:-1 gene:KYUSg_chr1.34486 transcript:KYUSt_chr1.34486 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGSFLGTILFLNNPDRVCRPSHIPFLVLAMVAGLEVWEGVLIPGSDVLSPDLSLILQMAPILGIFKSCYHRISSEEAMLLRSPVETCTGSLVFSTPDGFNCVLTMPDCCDRRCADDAPLFHCCCLLSWCHVTRLVAHVPELGEWCSRPYAAGFLCCGKCGSCTRSATSAQVSRKTPSSAERSQLHHMDNNNWIKSGITPWFDVPSPLSSIQIGIGLVAIGSTLFLLLQIQYHVAKIEKQGTNLDLFLLKSWLEKSNCRIITQPSASSISSYRVLLVAQVKCKSMESDKFNLTDPGMLLVHFDLYPINLKRIYGPMEQDYSTTYCCTMLSLTDGAHVEEAVLLLFGFFHQMWMTTRLLLIPRFNSRDMLWLSSVMVHKYLQLNSIQPQVHTPDRPLGEPPTGHQLVWSTEALGDCGCGIFESELSTDARWLIGSIGNLRFLHVLSHCRPSLTYFVQLGTSISCSVLESHVMMYCDL >cds.KYUSt_chr1.40370 pep primary_assembly:MPB_Lper_Kyuss_1697:1:247279352:247279837:-1 gene:KYUSg_chr1.40370 transcript:KYUSt_chr1.40370 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLSVAATVALAVGVPVSIAVLVGALLLVRRFLRRRRGRAVRRIMLRAATATATATATATAGDGDGDGDADVELGRRRSPPPRRRDIDLPVAGALAGRGRLVAGGALPGRRSKSQPAPELRRDHQPEEHAEEHAEDSPYYELDFEADAGGVWSHRSSAA >cds.KYUSt_chr4.28477 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178821045:178834143:-1 gene:KYUSg_chr4.28477 transcript:KYUSt_chr4.28477 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCAPLPHPPFKPAPNLNPKLTAPAAHGPTPPRRALVARAAARDDAPAAPPSSFDFLALKRELEDEAVVAVDAKVGGGGEGVVNEEDGEREARRIGSGGRRTRRQMARRSGLLAKQVISVSSARSLGFVSQLWVDPASWAVALVEVRPSLLSGDAEKFLFEDIYQVGDVVLVEDESVVENEFKLAGLHGLVGYNVVTSRRRNVGKVRGFTFNINSGVVESLELDSFGLTIVPASLVSTYCLFVEDVLDIVSDTIVVHEDAVSRVQRLTQIQENWILDLVLHNIGGDGLCIHSSLVVIAKVRPGSAQNVATKQVQQDSGDHSVITPVSNGASTKQVRQDSGSYSVVTSVGNGVSPQSKELDSIGAALDDPCTPDTPCTEAEHESNGFGSNEDGDAIPAEKNVLEVEITECNEHDSSDGRDTDGEMEELAALIHGTHIGSDNEENITVERSAESPEACTVGEEDEEERSLKASQPLSDGRGSPVNSPESEQSGACKTEAAAGTRRKLVRVQSRSCDLREGARVSVDSLDFHSSRTSFQSKSFRASEPLESKIMKTVDELKDDLSEIFSKPSSDCKPRAHPARPLKQDGYRPHVAIASSQPLTAYHPTTKHSGIASRLSRSGQVAPHGHGLPLPRYRRHSAYSYGHSGQMEMRPCPHQCYHHSCGPPCCSSWKQETAPQKPQAKKTKRRPPPKNLCRPVLRGAPFVLCSNCLRLVQLPTGFAIPSRGTRRLQCGSCSKVLSYSYRDPSRKKLQSPFGGDESSVDDYGNQQVDDEYNADAHQADPYSYSEEYGISAGASYSTEDGQPLHVSRNSSFNTIDERSAKESKLHRLMGYSSASELMRRSPDLYESFSRRAPNARAYDMKGKGVCVTGDDSSAKHIAVKSKAKERSVGLTFQGMFKKRIH >cds.KYUSt_chr2.51252 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320412897:320414417:-1 gene:KYUSg_chr2.51252 transcript:KYUSt_chr2.51252 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSADPCFDDLAASLDYGFACTPTAKPSPPLAWSPPAWCLRHPVISFLLLDAGGIVPRAQPRHRLHYLLPLLRCPGDLLLLGVESTPSRILSHHGSCCSFGCDL >cds.KYUSt_chr6.14053 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87856354:87857545:1 gene:KYUSg_chr6.14053 transcript:KYUSt_chr6.14053 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCTLALLGALVVLSLLVSPIACSTRKLAAKAPVKAAPTNKTTAFTNPAASAAYSSGGWLAAGATYYGNPNGDGGEGGACGYQGAVGQRPFSSMIAAGSTPLFLKGLGCGACYDVMCSSNKACSGKPVTVVITDLSPGNLYPGEPAHFDMSGTALGAMAKPGKADQLRAGGVIRVQYKRVPCKYPGVKITFRVDQGSNPFYFKTLIEFEDDDGDLKAVALKQAGSTSWTPMRQDWGALWRLNNGQRLRGPFSLRLTSDSGRKLIAKNVIPLNWKAGATYRSLVNYP >cds.KYUSt_chr1.29183 pep primary_assembly:MPB_Lper_Kyuss_1697:1:176611873:176616391:-1 gene:KYUSg_chr1.29183 transcript:KYUSt_chr1.29183 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPVNWTSGTLENEKTLNYDEIDKLSISNLGKGSKRFWAHIVMAYVFTFWTFYVLYHEYKVVTTMRLRFLANQNRRPDQYTVLVRNVPPDTDETVSEHVEHFFAVNHRDHYLSHQIVYNANALAGLVEKKKGLHNWLVYYENQHARRPAKKPTVKTGLWGLWGQRVDAIEYYKSAIGELCKQEDEERQKVMSDPNSIMPAAFVSFKSQWGAAVCAQTQQTSNPTVWLTEWAPEPRDIYWPNLAIPFVELSVRRLIMAVAVFFLTFFFMIPIAFVQSLANVDDLERVLPFLKPIIERNSLKSVIQGFLPGIALKIFLILLPMILMTMSKIEGHISISGLDRTTASKYFLFIFVNVFLGSVITGTAFQQLDTFIHQPANKIPETVGESIPMKATFFITYVMVDGWAGVAAEVLRLKPLVMFHIKNAFLVRTEQDREQAMDPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYSQQYESGALFWPDVQRRLVAALIVSQILLLGLLSTQEAEKSTVALLPLPVLTIWFHYVCKGRFEPAFIKFPLQEAMVKDTLERANDPTLNLREYLKDAYVHPVFQKNDMYELVAMDEEEKNPLVATRRQARMNTPVDSKFNSSSGTNEGEFSRMRPA >cds.KYUSt_chr2.35494 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219155978:219160568:1 gene:KYUSg_chr2.35494 transcript:KYUSt_chr2.35494 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETEKTAAAPAPAAPPLRCQRIGCDAMFTDDDNPDGSCHYHPNPMFHDGMKEWSCCKQRSHDFSLFLAIPGCATGKHTSEKPVTKAVSLNTPKAALPKAAPIQSSNQGVESGACSRCRQGFFCSDHGSQPKPQKQVAVNGTNAEPIEKCSVPLPKKKVDLNEPRLCKNKGCGKTYKEKDNHDAACEHHPGPAVFHDRKRGWKCCDIHVKEFDEFMEIPPCAKGWHNADAV >cds.KYUSt_chr7.33808 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211074601:211078015:1 gene:KYUSg_chr7.33808 transcript:KYUSt_chr7.33808 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRRALFPFKSNRDEAEDKRRKKPSSPSRTKPKSRKELKEVEPTRRVKCLGYIQFDKESRCLFLEQLLYQPMFQPQLRTRLTICDVGFVSVLRCRYSNRVLLPDAVFAESTSRPSRPSAHAHTIREVHVWYLCPDELNDDSQLKILDTECKIDPRSFEFKYNKFRKPEILWPPDDSTVERHLHFNISHATSMIACGVAMHAHIGIDVEEKKRKTTKSISSLARRFFTPSEANYLADISDSDAQRIEFFKLWTLKVRDALFGPLLHSPFMCKCNQ >cds.KYUSt_chr2.40743 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253199446:253200978:-1 gene:KYUSg_chr2.40743 transcript:KYUSt_chr2.40743 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQAHELFAWLLVVVATLLVLKQLLAPAKKRAASPSLPRPRGLPLIGNLHQLGALPQNSLAAPLMLLRLGSVPTLVVSSPDAARALFQHNDRALSGRPALYAASRFSYGLQNISFAPTEGTFWRAARRACLSELLGAPRVRGFRNAREGAAAALVAAVTDASGAGAPVDLSKLLIATSNRIVTRVAFGGRDDGGLETSAVLKETQSLLGAFWVADYVPWLGWLDALRGLRGRLERNFHQLDAFYERVIDSHLNKRTSASTDEEEEEEDLVDVLLRLHADPAQGGTFGSRDQIKGILTDMFIAGTDTSAATVEWTMTELVNHPDVLAKAQHEVRSVVGDGSDMVREPDLRGLEYLKLVIKESMRLHPPVPLLVPRETIEPCTVQGCEVPAGTRVLVNAKAIGAHPGAWGPDAALFVPERHSEVEAGKDFRPWVDDSFALVPFGIGRRSCPGVHFATAVVELVLANLLFSFDWSAPLGEAVDTEEVNGLTVYRKNPLLLLAKRRYVPASK >cds.KYUSt_chr1.8348 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51376986:51377306:-1 gene:KYUSg_chr1.8348 transcript:KYUSt_chr1.8348 gene_biotype:protein_coding transcript_biotype:protein_coding MASAREIERDERENNRELGRRLTTSREKVGEDGDDGGARRRSSACTAAHQVRLGGGGVLEQLRLRFSEEEEGATAASSPRSAQRTAANSNGRDGDFRPVFFGLRTN >cds.KYUSt_chr4.26520 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166518240:166522383:1 gene:KYUSg_chr4.26520 transcript:KYUSt_chr4.26520 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPVLSNGHGGRISSPSVAARTRVPRAAAAPIKEASSSPAPAPATPPRARRLVRVSSKEERVVTPAAAKPKRHKDDSEEETRKLRGEVEALRKEVDRLQLLNTELECDKSDLTHQLALARCTITRLQEQHDIHAQTVAAQRSNQKDDAMSRPQPPKPPSPPPPPPPSSKILGRAPAPPPPPPQHGKISTVNKATALVEMYNSLNKRDTKKAVAVSAAHHNSIVGELQNRSTHLLAIKTDVETKGELINGLINKVHTNTYTDVEQVLTFVDWLDQQLSTLSDETGVLKHFSWPEKKADALREAAFEYRDLKCVVTEVSSLSIDDGSPTSCEATLRKISSFLDKLEKSMKRLLSLRSSAMPCYKQFGIPTEWMLDSGIASKIKVASVALAKVHMKRVLKEIVADTGGGNTAALVAQSVRFTYRVHQSRSTAESQTNRPHQAPTTHQTRGGRKKKHTAAAGPVTYRLQSPSPPRPCLLPAMFGSSNHFSYHRLLKFFQFCLQKFITAMVPKKTTPNLSKGDYFTEPSLEELAAKERDEPGYCCHVTDFVVGRQGYGSIKFLGETDVRGLDLESVVEFNNREVAVYKDESKKPPVGEGLNKAAVVTMLNVKCRSRKTGETYTDGPKLDRYKEKLVKKGQEQGAEFVSFDSVKGELKFRVKHFSSYGIGES >cds.KYUSt_chr3.6371 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36533164:36534897:-1 gene:KYUSg_chr3.6371 transcript:KYUSt_chr3.6371 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAKSGGRMVARRHGGAVPSRRAASASALPSATPATPQHISHYLAHNPRVTWEALSASFPSAAAAAAADGHVDAVLLSLARNPASSSSAETIAKNAHSFFHWSAASSPSPHSLRSYCLLVHLLSRAALIRHASVLLQSAITRHSPSPASHFLDAFFAAYEDSGTAATTRGLHLLVHAYADLRLPEDSLEACRYLARRGVTPSLSAFNAALHAAQRTGRFSVAWEVFELMTLKRVYANQATVELAIGVLSREGVLARMAALAGRIHGKKCSPGVVAHVALALWIFEEGRADQGILLLRRMLQRNIVFDDIAYSLTVHAYCDIGELKSAHEQWDDMVRRGCHPNAFVYTCLIGAHCREGGVSEAIQLLQEMLSKKLKPYDATYSHLIIGCFKQERAEDGSEYFDKMLHEGLMPDIGTCNEILEALCGAGEVSKANELLTAMIYKGIVPGQDTYCRLIDGYSKVGDAQGVVKIYYEMEHRGLTSCTEVFTCLIRGLCQCGNPKEAEKFLSVMERKSLVPTSDMHDTLISSYCEKGNTKRALRLYDTMITRKEKLIPSADTFMTLVRRVIKAKTNCSPDT >cds.KYUSt_chr1.24319 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145169447:145172773:1 gene:KYUSg_chr1.24319 transcript:KYUSt_chr1.24319 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLGLAAKAVLLVLAPVLLSVALYSPKDFSPAAVTPDYSFGADVYAPRHDARVLASSERIGEGRLPGPEDLAYDAAGGWLYTGCADGWIRRVSVPGGDVEDWVYTGGRPLGVVLAGDGGLVVADADKGLLKVTPDKTVELLTDEAEGLKFALTDGVDVADDGTIYFTDASYKNNLAHFMKDVLEARPHGRLMSFDPSTRKTIVLARDLYFANGVAIAPDQGSLIYCETVVRKCSRYHIRGDKAGMVTRFIGSLPGYPDNIRYDGEGRYWIALSAGRTLEWDVLMRWPFVRKLVYMVDTYVVSVPQNLRYAGAMSVTLDGEPVTMYTDRGLAHATGWLKVGDYLYYGSLASSYISRIDLTKSSIEA >cds.KYUSt_chr2.19762 pep primary_assembly:MPB_Lper_Kyuss_1697:2:124371265:124379234:-1 gene:KYUSg_chr2.19762 transcript:KYUSt_chr2.19762 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAGAVALLSFLLGQQILSVLVAGEDPYRFFTWNVTYGFIYPLGVKQQGILINGQFPGPQIEAVTNDNLVVNVFNSLNEPFLLSWNGIQQRRNSFEDGVAGTTCPIPPGANFTYNLQAKDQIGTYFYFPSLAFHKAAGGFGGIRVLSRPRIPVPFPPPAADYTALIGDWYKANHTDLRYMLDSGKTLGFPDGLLINGRGWNGYTFTVQPGQTYRFRITNVGLSTSLNFRIQGHTMKLVEVEGSHTVQSTYSSLDVHLGQSYSVLVTANQPGFDYSIVVSTRFTSKIISTAAVLHYTNSAGKAPGPMPGGPTTQIDWSLNQARTIRWNLTASGPRPNPQGSYHYGQVNTTRTIRLANSAATINGKQRYAVNRVSHVNPDTPLKLADYYKIPGVFSVGTISGSPASGGAYLQTSVMGADYRGYVEVVFENSENEVQSWHIDGYAFWVVGMNGGQWSPASRQIYNLRDGVSRYTVQVYPNAWTAIYMPLDNVGMWNVRAENWARQYLGQQFYLRVWTPSTSWRDEFPIPKNALLCGRAAGRRTRPL >cds.KYUSt_chr4.4839 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27681467:27682627:1 gene:KYUSg_chr4.4839 transcript:KYUSt_chr4.4839 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPPRVGGDASDDAIRDSDLQAQFASLCMELLQLVTDRIEEVTRPLRDEVAAIKLWLARAIGSWERVEEAITGGVGSAPVSASDARLGDAELLEFYGPFSPVHRPCDSSPLGFDVFRLPLEGCSDLAPFSPGAVDDKVASPVGLQSPISDGVEGFGLADFFVEASAPPSVEQSRLEASAFEHDDVIDVLAALLVPFVEDPDVAYSTKLCDFLANLASKKRALMSPLRESLEEIPPTCVVVPETVPVEDIQVDPGDPTAYKLNAFLSLVFRPVPPPILASPPFRRPRAPKEVATTPRRSGRIEKQKQLRKDVVTSQELLARVLRILKENAKFGDNALAAFINKFKTPLSPRSIRMLGSLVKNVEKVKKAKANKVGAKKKAVEIT >cds.KYUSt_chr3.21410 pep primary_assembly:MPB_Lper_Kyuss_1697:3:131316825:131318949:1 gene:KYUSg_chr3.21410 transcript:KYUSt_chr3.21410 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFGTAGDAAAPPLPLAASAGQKRNHRYTSRAPTLTIALILEVSNLGSSSMNLPPWELDEVRYSPYNTLSPRFLATVKDKPEEAPARRRCHGGGGVVIRERCQPSPPRQREWTPPPKYKAVASIVKAKDDPKEFPGLRQAQLESLAATDEFVEAWSTTYHHRTEGEHRRCLGLIINLDSDDDDGMSSRPCRRHGDDGQGCSYLS >cds.KYUSt_chr2.3689 pep primary_assembly:MPB_Lper_Kyuss_1697:2:22292926:22294264:1 gene:KYUSg_chr2.3689 transcript:KYUSt_chr2.3689 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPDDDQVDDDEYYEYNPHPYGGGYDISATYGAPLPPSPSTCYPVSSPAAAPPRYTPPPPAPAPAPVPGTPPRGAPPSPAKPQPIPPSPPAPPSPVPVAQPFYWPKPHDYGDDAHAPRHPAYPTPELFRGWPYLPPPQPHCCHTHTHARCRCGPRDYWRQCMRGLDFLFGHADGYGERRIGADCHGVPVYASRKGGVEDAVVVEVPPPEIGNVQWHDAAGEDVPAFGRNAQWGNTSEEVPEIGSVQWSYASEVPEIGTMQWHDDGSEATDQSNIQLLSWYDNEKKDTYAYANSTYGSYDSSYQQSYAQPYSVDVASDQPTSWFSNQSYQDVYKEDESHYHQPLGGLAIATSVLSN >cds.KYUSt_chr5.7226 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45193555:45194610:1 gene:KYUSg_chr5.7226 transcript:KYUSt_chr5.7226 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVRFLVQITLVVLLCHLLTVPPPATALASVHPAPPASNGSCITYERAALLSIKASLRDPNTDFSSWQGEDCCRWKGIRCSYKTGHVVKLNLRGKTGDCLEVYPYSGEISYSLVSLQQLRYLDLSCNNFYGAEIPEFIGSLPSLRYLNLSYNRFYGRIPPQIGNLSKLTYLDLKPFGPNNHQFYYLYPGDLQWLSHLSSLKHHDLSHMNLTTVVDWVHNINMLPALRKLYLQYTGLRNRVAFVGQSNLTALEVLDISGNNFNTTIAPNWFWNSTSLTSLNLQGCQFHGPIPDDIGSMTSLEQISLQGNNLMSTMIPSSFKNLCNLKILDLEHANTSGDIRVDGQVTELSF >cds.KYUSt_chr1.24638 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147484853:147485941:-1 gene:KYUSg_chr1.24638 transcript:KYUSt_chr1.24638 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSYVLTVAVLAALVTGGACIGKVPPGANITTKYDTKWLPAKATWYGKPTGAGPKDNGGACGIKDVNLAPYLGMTACGNVPIFKDGKGCGSCYEVKCKKPEPCSDKPITVFITDKNYEPIAPYHFDLSGHAFGLMALPGKDQALRSVGELELEFRRVRCKYPPGTKITFHVEKGSNPNYLAVLVKFVSDDGDIVQVDIQDKQSPAWKPMTESWGAVWRWDGVQPLKGPISLRLTSESGKKLTATDVIPATWKADTVYPSKVQF >cds.KYUSt_chr1.35565 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216824454:216825710:1 gene:KYUSg_chr1.35565 transcript:KYUSt_chr1.35565 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSAAAPLLEDVLLEILARVTDPIALFRCATVCRQWRALVIDPTFLNRRWPENAPDRSFLLGFIVVQSPGVLEQITGIWPNRLFFLPAPPSQHGPPRRFLGSHFPVLLDGGGFFPGHVMVPLTSRHGILLVHFRPQGFSFSSADPEPNIVKLAVYNMFSGAYRVLPPLECHGFSDIVCSAVLTSADCRRDPQYSAFFFKVIIIGTGEQLYNLYSFSSSEASWSAPIECRNIPKRLSVYKITMPCGTVVCQGGAHWLFRDSSHLYTLSVSLDTHQVSFVKLPTSISLQNMFGSLQLCAGTDGTLTLLAMCGRRLEIWTKENNEPQTLHDDTRWLWTQVINTLVPSRICPERWMYMGVTSGTSLLLGSQWCTYTIDLETMTLEEETGQFRFLAHRSSVPFEMDWPSFFTSRLNNHMDFV >cds.KYUSt_contig_1181.225 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1441834:1442870:-1 gene:KYUSg_contig_1181.225 transcript:KYUSt_contig_1181.225 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIDVKFGNRSALPAARDILAAFRHLLEHLGCPYKLTRSALKAPGTPHYWPPLLSVLYRLTLLLRVVDNLHASSPPTAATSNGLMLYLTDSYYLFLTDEDDAVASLDEEYHSKARAQADACEMALQAPEKEVEGLMAKPNKQTSQPSRLRALEEKEAFTADIHKFEAVVKSWSTKIKEKEDALLEKDKELEAKVMNGQRMMA >cds.KYUSt_chr4.49824 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308630456:308633248:-1 gene:KYUSg_chr4.49824 transcript:KYUSt_chr4.49824 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKSAGDGEENMLTCLADLFSQISSQKKKTGVIAPKRFIQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKECKAAKELPQNSSSNKNLNGPINNGQANGSRKEPDTTWVHKCFQGILTNQTKCLRCETVTDRDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLINTVDNSDLEYSLFAVLVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQTFFGSAQEFSGNTDNGYILFYESLAKTS >cds.KYUSt_chr3.44433 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280384030:280386720:1 gene:KYUSg_chr3.44433 transcript:KYUSt_chr3.44433 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAAAAFDAFRRLKLSSDGRPDQFDLAAVLSACARLGALASGTQVHCDAVKRGFSSAAFCAAALVNMYARCARVGDARMVFGGIACPDAVCWTSIISGYHRAGRYQEALSLFSRMVKMGSSPDQVACVTVVSTLASLRRLEDATTLLKRMPVPNSVAWNAVISGYAQRSGSEHEVFGLYKDMRSQGLWPSRSTFASMLSAAANVAAFVEGRQLHAAAVRHGLDANVFVGSSLINLYAKCGCIGEAMCVFDFSCEKNIVMWNTMLNGLVRNDLQEEAIQMFRCMFRLGIEADEFTFVSVLGACAYMGSHCLGRQVHSVTIKNCMDARLFVANATLDMHSKFGAIDDAKTIFNLIAYKDSVSWNAIIVGLAHNEEEEEAIRMLKQMNLDGVTPDEVSLSTVIKACSSIGAIETGKQIHCLAVKYNICSNDVFGTSLIDLYSKHGDMDSSRNVLAQVGASSIVPINALIAGLLQNSREDEAIQLFQQVLRDGLKPSSFTYSSILSGCTGLLSSIVGKQLHCYTLKSGFLNDDTSLGVSLVGIYLKFKMPDDANKLLIEMPDLKNLLEWTTIISAYAQNGYSYQSLLSFWRMRSYDVYSDEATFASILKACSEMAALSDGKEIHGLIIKSGFSSYETATSALIDMYSKCGDIISSFEVFKQLKNKQRVTLWNSMIVGFAKNGYAEEALLLFQKMQGSQIKPDEITFLGVLIACAHAGLISQGRHYFDSMSKVYGLMPRIDHYACFIDLLGRGGLLHEVQEVINQLPFRPDGVIWATYLAACRMHKDEERGKIAANKLVELEPQNSSTYVLISNLHAAAGNWSEAKIAREAMREKGVAKFPGCSWITVGNKTSLFLVHDKKHPDSLSIYEKLDDLTGLMKKDADVEDYDMLISAGMFA >cds.KYUSt_chr3.2761 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15922407:15925880:-1 gene:KYUSg_chr3.2761 transcript:KYUSt_chr3.2761 gene_biotype:protein_coding transcript_biotype:protein_coding MADMILGSAQGAVDSLLGRLTSVLVEEAQLLGGVRGDVQFIKDEMESMNGFLMHVAEATGDDDDDHHQVRAWMKQVAEVAYASQNSIDLYVQSLRVGTSRREPGFLGYLRRLPRLVWTLPTRHRVATQIRELKIRSCEVGERRVRYDVRAPDRGNRDDLRRASTKSALWQAARGDNDAKEQEDARRRALADAQQSNGYRGIIIKWLRDEEDSHGEQHKVRVMAITGAGDAGKTSVARSAYQDAFAVSSFDCQAWVHVGQGSSQTRLLREILAQVTGTAYMPDSDDKEEVLVEKLLLHLEGKMFLLVLDDVCHTSVWDSIRSPLFDRNCCPGSAVIVTTRIQAIARSFVSSAYPNIKCGFKFSGDYLESKTISLLDIDNRGLDPDVQMLLNGQGLPDADRSYSYRDAVVRWLADERDAHAELRVMAIAGVGGMGKSYIARKAYEDPVAMSSFDCKAWVNVQGEFSQARLLREILAQVTGRAYLLDSDEKEEVLREKLLLHLEGKTFLVVLDDVWDSSVWSSIKSALSNGNCGPGSAILVTTRSAAMAFSVYSREASNLQFGFNVSDDYFATKATSLLYNNNNNNDVDLHVLSDIIRQLDSHFAVRLFLRDLYVNPNQNQENLQSLCDTLRVNGNSSISRQVLVHVYSKLPSDCKNCFLYLSILPENTTFTRARLLRRWVAEGMITKRGRLGALDQADRNFNLLVAHGLVMPTDIGTTGKVKTFTLHALVHDFVMEIARGENLSNANLQPDLAHRLSIRNEVQLQLAENNATRSKASCWNICNHFKESDQTQIDQSNATEMFLESLSSSSTHLGLLEMLDLEDCKQLKDHHLKNICNHVFQLKYLSLRNTNITELPKQLDKLRYLETLDIRQTNVRAFAKNSVFLPKIKYLLAGHHTNCRSQGNNNNSEETFFTVQMPKHVGGMTEVQVLSHIAVSGSGDELSYIGNLLRLRKLGVVLSGCDGAIFRHLYHTIGNLSKCLRSLSIRAIQFTPSEENAGMDTEGVPPIPPKHLEKLEISGLGNGLPPWIEKLGMLTKITLHKASLTTGDLSILGKLASLCCLRLRQKSCVESMLTFKTEEFLNLKFLIIECSEISSINFDNGASPKLKKIVWSSTEKQSLFGIKYLPGLQEIELTKGKFDLKRMKEEITANKNNPVLKIK >cds.KYUSt_chr4.19636 pep primary_assembly:MPB_Lper_Kyuss_1697:4:123505903:123512635:1 gene:KYUSg_chr4.19636 transcript:KYUSt_chr4.19636 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRSRPSPAKKRPPPPSNPRLLPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAAHQPLAEVLAGSRREEGDRSAAHPTRADRVRRGTADGRRAAAAVAHPTRVCSAKHRGGGDPAADLHAVTRKGPPLPPRPKGLCPGGGGGGLGLGAEAQEMATTDHPSSPPQPSSPGVGAVALSSATIGELLRFVLSSHVAAADPALPLTPSYCSRLLDDDLCDKLATELAGCVEEGRVPAPPAGQGAVGTPVEENGSRKREEEWEAILLDKGAELKRMYDAVEFVLHVQEPYFTQLRAGSKNVEGRLAAGNYNRITQGSLLIFNKCLLLDVEAVRNYSSFSEMLQAETISNVLPGISSIEEGVKVYRKFYTEEKENSYGVLAISVSKPRSQPYITMTELLAGLGYDGLGRLLGLANTAGTVPGGLPPQRSMLISSWKQRNRMKIIPWIDLEIGTVEVGEVPAAAERVLRNLTPESSWTS >cds.KYUSt_chr2.53861 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336178277:336178744:-1 gene:KYUSg_chr2.53861 transcript:KYUSt_chr2.53861 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHAIAARVLCVPLFLAVVFFLLAAKSDGIRPSPDDVTAPVRRARAQDAGGGKNGAAEKTEGFMQEEVYATGSSLPDCSHACGPCKPCSRVMVSFKCSIAEPCPMVYRCMCKGKCYPVPSS >cds.KYUSt_chr7.41014 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254100170:254101078:-1 gene:KYUSg_chr7.41014 transcript:KYUSt_chr7.41014 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPAASGGLRTALSYCVQQVRSYDYHNYLCLLHLPPAMRRAAFTFRAFNVETAKAMDVVSDPRKGLMRLLWWKDVIDKIYAGKTVEHPVALALSSVLSQQKISKHWLKRSLEARINDGNRDEYAIPETVPELERYAEDTQSTILYMTLQAGGIQSTVADHAASHIGKASGLLLLLKALPHHVSKQGTIPYIPASVAEECGLLTREGGRSEVRMGDTLPDAVFKVASVAEAHLQKARELTASVPADAIPVLLPAVPAQVLLDSMRRREFNVFDSRLSSGVHGISPLWYQLKLNWHAWRNKY >cds.KYUSt_chr7.27641 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172551363:172552065:1 gene:KYUSg_chr7.27641 transcript:KYUSt_chr7.27641 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSAQQKVMASSATMSVLAVVLLLLEVAQPSQADTACYSRLFSFGDSLADTGNHRFVFPNDTVSPGLNLPYGETFFHHATGRCSNGRLIIDFIAHALGLPFVTPYWSGKSVEDFAHGANFAVAGATALSPEFFWERGYAAAEADTVHLDQEMNWFRDLLDLLCPGDLSGTLTTTSVKQELYREDAPLIDVFIERWPCA >cds.KYUSt_chr2.25650 pep primary_assembly:MPB_Lper_Kyuss_1697:2:157000145:157014113:-1 gene:KYUSg_chr2.25650 transcript:KYUSt_chr2.25650 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVELGTVVRQAAEESYLALRDLVEKSRSPDTEGEGGQQRSDTEKKIELLKFIDRTRQRMLRLHVLAKWCQQVPLVLYCQQLASTLSSHETCFTQTGDSLFFMHEGLQQARAPIFDVSSAIEVIHTGSYRRVPKCVEEIGTQNTLFQDERKPTLKKLSTLVRAKLLETMVPKEISEVSVTDGIANVQVDGEFKVLLTLGYRGHFSLWRILHMELLVGEKTGPIKLEETRRYVLGDDIERRMAVADNPFTVLYSILHELCISFVMDTVIRQTNVLRQGRWKEAIKSELISDSHTSAGQGGNNAPVQLGQDGELDSSGFRIPGLKVNYWLDERNSGSAESDSSPFIKVEALQDMQIKCQHSSFVLDPLTDKEADLSLDLSCIDVEALIFKAIACNRHTRLLEIQRELKKNIQISRSPTDVVLKREEVHMNILQKRMDRRDFENCCTNEVLQVRAYGQSYIHLGINIRSGGFLLQSPKNILPPSAILESEEALNKGSITPPEVFVSLKTRSILHLFAATGRFLGLKVYSQYQITLKIPKSILYGSDFMVMGIPWRANAYYLLMQLDNSLMPVFYLLEVHADGEDRSNADATSDAKEAVRFNRIDIGQMKIGEDECSANLLDVDKLQVLQSMLNPRSMEDRSPIQSEIDDSLPLKPSFSSIVNTVLGYERGSPSKENCLSYNPPSTHLSSLKVGFQGVSGRACPPELDDGLLHSNIEAAKVNSGVTLNSYLLSNSKFAHSTQTSGSVPAGLENLSTLRSEVAFGKRPLSEFLLNIPSLQPAIISGGPSKRRKLPEDASSMQPETTLTYGTILTEGNWCITENIYASVLLQVIKHCLLCIKYAQLTTQMNSLNIPYVEEVRLATPSSNLWLRLPFAPDGSWKHICLRLGKAGSMSWDVRINDPYYSALWKLHGGSTTAEWGSRVRIANTSEMDSHISFDDDGVILTYNSVEVDSIQRLVSELQRLSNARVFACGMRRLVRVKVYEKLGQNQLATKTKLYSAKKSFRDRLSDQMTKTFRIDAVGLMNLWFSYGANAMPIVHFVVEWEAGKVGCTIRVSPDHLWPHTKFLEDFVNCNEVDSLLDCIRLTSGPLLALGGAIRPAKMPAVPAVCSSAQKQNGVLLASGSSSTTVHTNSHDAQTSSTLSAAGRTVPGLVPSSLMPFDVSVVLRGPYWIRIIYRNKFSIDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNTLEPSFLNARHTVPHLNASANTLSGSQQVVTTLNPLSGGTPGVVKLIPSVGSPVAPSVSRGGNAMLPSSGFPSGTAGASAHLSSGTNLPVHMKGELNTAFIGLGDDGGYGGGWVPHAALKKVLRSIHKYLGVLWLFAQFPDLLKDILGSVLKDNEGALLNLDQEQPALRFFV >cds.KYUSt_chr3.35509 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223008604:223009021:1 gene:KYUSg_chr3.35509 transcript:KYUSt_chr3.35509 gene_biotype:protein_coding transcript_biotype:protein_coding MRNATVVNRELLQAGVRFEMVSEMQANIKRTGQPRDWRHGHRQAPRHPQGCVFAELCNMLDPGEPSVAPNKGNPVPGCVCWFARSVYLLLPKLYVLLLPK >cds.KYUSt_chr6.10480 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64749268:64754126:-1 gene:KYUSg_chr6.10480 transcript:KYUSt_chr6.10480 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASAQGGEEAPLYKDASAPVEARVRDLLVRMTLREKAGQMAQVERVVVSPRALTELGAGSVLSGGGSAPSERATPSEWARMVDDTQRLALASRLAIPILYGVDAVHGHNNVFGATVFPHNVGLGASKDAELVRRIGKATALEVRATGPHWTFALCLAVCRDPRWGRCYESYSEDPEMVRSLTTLVTGLQGQPPADHPRGYPFLHSLSDNVLACAKHFVGDGGTHKGINEGNTICFPEDLESIHMKPYPDCITQGVATVMASYSQWNGEPLHVSRYLLTDVLKGKLGFQGFVVSDWEALDRLSEPKGSNYRECNAKSVNAGMDMIMIPFRFEKFLEDLVSLVETGEIPASRIDDAVERILRVKFISGVFEHPFSEPALLDVIGCGLCSGVPPWRTTFSCCFFDKDAVVVVGEVPYAESSGDRTDLSIPFNGSDLITRVASKVPTLVIVISGRPLEIEPQVLEKVDALVAAWLPGSEGMGIADCLFGDYDFVGTLPVTWFRSVDQLPMNVGGSNYDPLFPFGYGLKCSQVMDI >cds.KYUSt_chr2.10643 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67715478:67718828:1 gene:KYUSg_chr2.10643 transcript:KYUSt_chr2.10643 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLLLPSPGAAPPAARLLRATPPLRLRATPFLNQPQRTPPQSRNLCPSISAAAAVPTTTTKRGGRPVISEGRDEDARRAVCPGCGVFMQEDDPDLPGFFTNPSRRSPSPQDEIEQEAADFSPDGFLEDEGDGDSPSESDLAAELDGLDTGFLESLEEEEEDEDEEGGSDGEPTDGLDAESGWDSDWDDDGMEDDEEEKWRRELDGFTPPGVGYGNITEETLERLRKEKLSKAERRRRAREAKRAEAREDSAAVVCARCHSLRNYGHVRNHIADNLIPDFDFDRFISSRLVKRSAGTPVIVMVVDCADFDGSFPKKAARSLFKALEGRGSSKLSETPRLVLVGTKVDLLPWEQMGVRLEKWVRGRAKALGAPKLDAVYLVSVHKDLSVRNLIAYVKELAGPRSNVWVIGAQNAGKSTLINAFAKKQGVKITRLTEAAVPGTTLGILRMTGVLPAKAKVYDTPGLLHPYIMSMRLNSEERKMVEIRKELRPRTFRVKARQSVHIGGLTRLDVLEASVQTIYVTVWASASISLHLGKTETAEELRSKHVGIRLQPPVAPERATELGQWTERRIEVSGISWDMNSTDIAVSGLGWYSLGLKGRATVSVHTFDGIDVTQRDAMILHRAKFLERPGFLLPIAIANAIGEETRKKNERMKGQQSDDDDLLDDESSE >cds.KYUSt_chr3.17227 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105447960:105448496:1 gene:KYUSg_chr3.17227 transcript:KYUSt_chr3.17227 gene_biotype:protein_coding transcript_biotype:protein_coding MKDREKAGAGRLLTVLLPDEGFGGEDEAAKQRSSDTGKKTALAVTVASRPWRLRFREVVREVEEGVAVRFPSSAGRAAVPGDGGGRRRLVEKPSRTLTERWKKKEGMVRRGRGRKVRVWGWSPSVLRRRSEVASIGRARGKTSGCRPVRTGGDSREEDNVQAQLLQRLGMGCTGWLLG >cds.KYUSt_chr7.23355 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145334584:145336703:1 gene:KYUSg_chr7.23355 transcript:KYUSt_chr7.23355 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENGSAAMAVTVTGTRTVAPAKTKVTLATFDLPYITFYYNQKLLLYRLAPGAGDFQDVTARMADALGDALAYFYPLAGRIRQEKGDGGALYVDGEEGAEVVEAAAEGVSVDELAGEACGEEAERLMQQLIPYTGVMNLEGLHRPLLAVQFTKLKDGLAVGCAFNHAVLDGTATWHFMTSWAELSRGGAAPSVLPVHNRSMARSVRVGLTLPASAEAHEATDPNGPKKPLVARVFSFPEDTVARIKAAANAVLPPGAKPFSTFQSLGAHIWRAVSRARGLGPADITVFAVFADCRARLDPPVPAAYFGNLIQAVFTGVPAGMLLGGPPELAAGMLQKAIDEHDAAAVTRRLDEYEAAPKLFHYSDAGPNCVAVGSSPRFKVYDVDFGFGRPARVRSGGNNKFDGMVYLYPGRGGANGGGIDVELALQPEPMQNLEKDDQFLQVAAA >cds.KYUSt_chr2.41394 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257417197:257420820:1 gene:KYUSg_chr2.41394 transcript:KYUSt_chr2.41394 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGHANVTSDHSERYSWRLVVFPTEKGWDPATMQDVMTKRTPILCGKKGQGDWEQWSQEEKRKMMMTEVANHSKRNHTDSYFSGKQAVATSSGSEEFVGMGSKKPRNASPRGGGGPISPKEKKDKVGERVAALQQLVSPFGKTDTASVLQEASGYIKFLHQQLEVLSSPYMRAPPAPGAEPEDPDHYSLRNRGLCLVPVEETLQLTQSNGADLWAPANTTKRR >cds.KYUSt_chr2.51220 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320226598:320230590:-1 gene:KYUSg_chr2.51220 transcript:KYUSt_chr2.51220 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAAAATARAAAARPTSPSRPRTKPRGLDEETCAATPNRAPSAATTSAAASPADAMDPRVWAALPDDLLIEVLARVPPFLLSRLRAVSRRWGTVLRGGDPSFLAAHAAAPSHGPCLLAFTRGTPPHCGALSLPLRARYRLPLTFLPAWDLCLVGSSGGLVCLAGSDGAAFRTIICNPLTQAWRVLPGMHHNHPRQLVLAVDRKLRCYKLIAAASDDKTLPTEVYDSREDKWSVHQMMPAANLCSSKMAFCDSRLYLETLSPLGLMMYKVDAAHWEHIPAKFPRSLLDGYLVAGARRRLFLVGRIGLYSTLQSMRIWELDHGKTIWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKAWSWIAGCASQLCNTQFCFYEPSTLFSTTPAGNFDSRQVVCWQMELDAADRCPDAKKVAQRGTWATPTSTLALAFSSPDACLSTFV >cds.KYUSt_chr3.27718 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173132557:173133561:-1 gene:KYUSg_chr3.27718 transcript:KYUSt_chr3.27718 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLVSGAQAQQGGSCFVGDDRGRWELGGVAVGWIAAGWVAAVGWIAAAAGWLQGRRLQAASSGRGRDERLQCCIVDSGRSPLPACICKVSDVAVDPLENSD >cds.KYUSt_chr5.6207 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38350335:38352336:1 gene:KYUSg_chr5.6207 transcript:KYUSt_chr5.6207 gene_biotype:protein_coding transcript_biotype:protein_coding MISWHDLYTVLAAVVPLYVAMILAYGSVRWWGVITPDQCAGINRFVAVFAVPLLSFKVISGSDLYAMDLRFVAADTLQKLLILAALAVWSRLPLSFPAGGPGLDWSITLFSFSTLPNTLIMGIPLLVAMYGAHAGDLMVQLVVLQCIIWYTLLLFLFEFRAARLLIAGRFPEAVAAVRVDPDVVSLDGSQAEARAEVAPDGSLRVSVVRRSTAASLSRRSVLSPRPSNLTGVEIYSVSSSRNNTPRGSSFTHGDFSATTGGGGASALMPPAVRMSSFGAADLFSLNSSRQHTPRPSASFDDYNAPRPRSAAAVTPVDDPRDNLHMFQWGSGGSGASEVSVLPVFRSGARESGRRLAPSDVPSVGSTKLPGGQRVKSEAAGQEALATLESGTTETDQDQPAKDGGGGESGGAMPPAGVMLRLILTMVWRRLIRNPNTYASVVGLTWSLIEFRFHITMPTIVANSISNLSDTGLGMAMFSLGLFMAMQPKIIACGNTVAASTMAVRFLAGPAVMAVASVAVGLRGTLLRIAIVQAALPQGIVPFVFAKEYNLHAAVLCTGVIFGMLIALPIALVYYIILGLL >cds.KYUSt_chr4.36869 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226803795:226809105:-1 gene:KYUSg_chr4.36869 transcript:KYUSt_chr4.36869 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEAALAAVPNDNPTIFDKIIKKEIPSDVVYEDEKVLAFRDINPQAPIHIVIIPKVKDGLSGLSKAEERHVEILGLLLYAAKVIAKQEGLEDGYRIVINDGPSGCSNTTLREPQDTAENHPMPSLLATILHLLSFVVDAVRRLLRLACSAPSFPSEQERPEVAAACSTELRDRELPVARYGEQRGAEHERCCVFCLSGIEDDDEVRVLRCLHLFHRCCLDRWLAARPAATCPLCRGKLLTVTAAKYFPGGEQEERRSIEGMCMVMLMACVHRRSSAPAV >cds.KYUSt_chr3.14898 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90659839:90663430:1 gene:KYUSg_chr3.14898 transcript:KYUSt_chr3.14898 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQPGRGRDPELFPELWRACAGPLVEVPQRDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPYKILCKVVNVELKAETDTDEVFAQITLQPDPDQENLPTLPDPPLPEMPRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMPTPTQELISKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLVAGDAFVYLRSDTGEQRVGVRRLVQKQSTMPASVISSQSMHLGVLASASHAIKTNSIFLVYYRPRLSQSQYIVSVNKYLEATKVGFNVGKRFTMNFEGEDVPVKKFSGTIVDNGDLSPQWSGSEWKSLEVQWDETTNCNGPERVSPWEIEPSDVSAPTINVPLQSSAKNKRPRETTENLDLPSQEPAREFWLSGMPQQQHERTFVGSSDPSCISGHQVVWPGAGYGAMSSSSVCQNPSAYDGWLKEFNPSSKGLSPTLSEISHKLFQVTSNETRVAPWPAISAFQAVEPAPKLPCNTVYGHLAEEAATPNVPTVTEKSKEPGVFRLFGVNLMKHTKGTATPDIASAGAGETSTKIAGSYEESGLSAFSKVPKVVNESPREIQSNHSYVARNRVKVQMHGNALGRAVDLANLNGYEKLTRELEQMFDIKDLKQNFKVAFTDDEGDTMEVGDDPWIEFCQMVKKIVIYPIGDERILEPRQTPISAAAAAPEQDPKTEL >cds.KYUSt_chr2.51077 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319388190:319389875:1 gene:KYUSg_chr2.51077 transcript:KYUSt_chr2.51077 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLGGGASRRVSIDDALAEHAGELGRWQLRHFVLVSAAWALEALNTMVIIFADREPAMACPAGDGQCGDPCGGAAPAAGWVWTQGTGSSTVAEWGLVCGQRYKVGLVQALFFAGCLIGSGVFGHLSDSFLGRKGALQLACTLNAVFALLTSIAPNYWAYTALRVLTGFSNGSVGFCSFVLANEPIGPSRRGVAGMSAFYFFSGGVVVLAGVASIFQSSWRLLYVVASLASLVYLVAVLPFVSESPRWYLVRGRADDAIRVLRDIASTNGSRIPDGVTLKFDDDDDDDDKLAGAESSSSASILDVLRSRTTRRRLVLSVLINLLCAVVYYGLSLNVGNLRTNLYVSVAVNALAEMPAFLLTTLFIDRFGRKPLAISTLLLSGVFCTAGSLISAADDATMSVAKMACSVVGIFGMAATYNLLFIYTSELFPTVVRNAALGCTGQATRMGAILAPLVVVLGERVPFAVFGMAGIVSGLLVFYLPETMNKPLYDTMAGLEEGEKTLFK >cds.KYUSt_chr7.2935 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17371589:17372495:-1 gene:KYUSg_chr7.2935 transcript:KYUSt_chr7.2935 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPNPNTSTKSFKQSFLKNLLLNLHALTSTSASSIEAISLRERKRAVTSSADVAMAAARGDSGDAGAARWPKAILARASRAYKMRRCRRIVKSVTSRRIVFVNVGKNSAIGAGPTTRCARLHSLDADPSSHLQELQSTQRLGQNICKLIIGANIVDINLALLYTLPYVVIPRINVLTPVMVHRILAQ >cds.KYUSt_chr4.31583 pep primary_assembly:MPB_Lper_Kyuss_1697:4:196309181:196309402:1 gene:KYUSg_chr4.31583 transcript:KYUSt_chr4.31583 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSLGQEDEARMSVRVPELADMAPVPQSDGHCRVVAIAYREAMDYFCALYAASLALFASPPMPVTSTPTTL >cds.KYUSt_chr1.23557 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140086374:140087176:1 gene:KYUSg_chr1.23557 transcript:KYUSt_chr1.23557 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDDVKLLSFWASPPALRVRLALSIKGVNHEYVEEDLRNKSELLLQSNPVHKKVPVLIHGGKPVCESLVIVQYINEAFSGPDLLPADPYERSVARFWAAFVDDKFAKAMYQASWGKTEEEKAEGKKQVLEAVETLEGALRECSKGKSFFGGDSPGYVDVVLGGMLAWVRAGEVMLGVKTFDPTTTPLLAAWADSFGALDAVEAVMPDVGRLVDLAVKMQSAAAAEAAPVAN >cds.KYUSt_chr4.39682 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245095533:245098015:-1 gene:KYUSg_chr4.39682 transcript:KYUSt_chr4.39682 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFNGGAGWPEPVVRVQAVSDTCGETIPERYVKPPNERPSTTTPQPAASSHGISGPNIPVVDMSMPDSEETARAVEAACREWGFFQAVNHGVRPELLRRARSSWRGFFRQPAEVRERYANSPTTYEGYGSRLGTAKGGHLDWGDYYFLHLLPSSIKSHDKWPDLPSTLREATEEYSEDVVKLCRTVSSLMSRGLGLDGGRLHEAFGGEGGEGACLRVNFYPRCPQPDLTLGVAAHSDPGGMTMLLVDDHVRGLQVRKDGQWITVDPVPDAFIVNIGDQIQVLSNAAYKSVEHRVTVSAAEERLSLAFFYNPRSDVPVGPMPELVGPESPAMYPEMTFDEYRVHIRQRGLSGKAHLQSLQSFRSAASS >cds.KYUSt_chr4.17755 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111125353:111135342:1 gene:KYUSg_chr4.17755 transcript:KYUSt_chr4.17755 gene_biotype:protein_coding transcript_biotype:protein_coding MRAARHFIQMPSPERRAGRMSASAERGRQERMRRRQENHEARAPARVRPEARTAVEPAALQELEVCGRRMVPPQEAERERARGGCGACVVLISMYDPCTQEVNEFAASSCLSLVGTLNFCSVTTSEGIGNTKDGFHSVQQRLSGFHASQCGYCTPGMCMSIFSALTNADKAAARPAPPEGFSKLTISEAEKSISGNLCRCTGYRPIVDACKSFAADVDLEDLGLNSFWKKGADPADVSKLPAYSSASICTFPDFLKAEIESSVNQMDYVPPQKKLKKIDNVPAPVVFRDDGWYYPKSIAELERLLDSNWFDESSVKIVASNTCSGIYKDEDLYDKHIDINRIPELSVINRTNKGAEIGAAVSISKAIDVFSDETLVFRKIADHLKKVASPFVRNTATIGGNIIMAQRLHLPSDIATVLLAAGSTVTVQVASKKLCLTLEDFLAQPPSDSRTLLVSIFVPHWGSANVAFETSRASPRPSGNAISYVNSAFLARLSEAASSGKILIEDICLAFGAYGTEHAIRAKNVENFLKGKSVSVPVILEAVRLLKDVIIPSVGTTHPEYRVSLAVSFLFSFLSSLANKLNEPARADAPNLGIMDSVSVSSSGKNVNSDSLPIRSRQELLSTDGYKQIGKPINKTGVELQASGEAVYVDDIPVPKDCLYGAFVYSTHPHARINGIKFRPSLASEKVIAVIDAKDIPSGGENVFTNFRMVEDEPIFAVSVTEYAGQLVGIVIAETQNYAYMAAKQAIIEYSTENLEPPILTIEDAIQHNSFYIPPPYLAPKPVGDFDKGMSEADYKILSADVKLEPQCFFYLEMQVALAIPDEDNCITVYSSVQMPEVAQQDIGRCLGIPFHNVRVITRRVGGGFGGKSMKAVHVACACAVAAFKLRRPVRMYLDRKTDMVMTGGRHLMKAKYSVGFKSDGTITALRLDLGIQAGIWPDYSPFMPFSVIEALKKYNWGALAFDIKVCRTNISPKQAMRAPGGVQGSFIAEAIVEHVASMLSVETNTIRRKNLHDFKSLKVFFGDCAGEPSAYSLVTIFEKLAFSPDYQQRAAAVELFNSGSRWKKRGISCVPITYTVFLRPTPGRVSILKDSSIVVEVGGVEIGQGLWTKVTQMTAFVLGQLWPDGDEGLLDKVRVIQADTLSVVQGGVTDGSTTSQASCEAVRLSCAALVKRLKPIKEDLEAKSSLVTWRSLIAQATTVNVNLSAHAYWLPDPTFTSYLNYGAAVSEVEVDVLTGATTILRSDILFDCGQSLNPAIDLGQVEGAFVYGIGFFTNEEYRTNSDGLLISDGTYSYKIPSVDTIPKQFNVEFFDSPRSQKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFSVCTGPANSPLTFQMDVPATMATVKELCGLDVVEKYLRSLPATKA >cds.KYUSt_chr7.37100 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231618673:231620459:-1 gene:KYUSg_chr7.37100 transcript:KYUSt_chr7.37100 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHTGGTPFLVSPETGHAMPSSSAASYSLTATGDGDGKEAAAGDAGAEFVLESKGTWWHAGFHLTTAMVGPTVLTLPYALRGMGWGLGLAALTAVAAVTFYTYYLMSRVLDHCEAAGRRHIRFRELAADVLGSGLVFYLVVTVQTAINAGITIGSILIAGDCLQIMYTSLAPDGSLKLYHFIMIVAVVLSLFSQMPSFHSLRYINLGSLILSFGYTILVSAACIRAGMLSNAPVKDYSLSPSKSGRTFDTFLSISILATVFGNGILPEIQATLAPPAAGKMLKALLLCYTVIFFTFYLSAISGYWAFGNAVQSNALQSLMPDSGPSLAPTWLLGLSVVLILLQLLAIALVYSQVAYEIMEKRSADAKHGRFSRRNLVPRVALRTGYVAVCSLVAAALPFFGDIVGVIGAVGFIPLDFVLPVVMYNVALAPPRRSPVYIVNAAIIVVFTAVGVIGAVASVRKLVLDAGQFKLFSDHVVD >cds.KYUSt_chr3.29387 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183961730:183963223:-1 gene:KYUSg_chr3.29387 transcript:KYUSt_chr3.29387 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVGRALSMVTQRYLQSKGAQEEKLQRLRAMLLRIEAIIEEAEGRHITNQVMLQQLQMMREDMYRGYYTLDAFRYAVHTDEAKGEVTTGSSFVLSRFSPSSSKRLFFSMCNTLSMDFMFDVWDSRNLDKMLGSIERMIGDMQELILFLASYPRVSRQPYNAYLLLDQVMFGRQMEKETIISFLFWRETTGNGKLGVLPVIGAPRVGKSTLVEHVCLNERVRDRFSLIVFLSGSDLKGGNLATLRHSGVIKHQKLASTSHGDSLAVIELAGDMDEETWRRLYTSAVKHMTPGSKIILTSRSDKIASFGTAQALTLKFLHQEAYWYFFKTIAFGSRNPEEHPNLAALGMEIAVHMNRSFMAANTVAGILRDNLDTQFWRKMLRCLRDFDSKHLSMFGEHPIDLLQKDKPVYIWTMVKTNNVVVMRNIYQERSPQISEVPTITAQDVLSGCVTSQGKFQAVAWRSRVPPCYTYLVSFVVSPTDKHLVLRKKRSRQERV >cds.KYUSt_chr6.3315 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19312442:19312669:1 gene:KYUSg_chr6.3315 transcript:KYUSt_chr6.3315 gene_biotype:protein_coding transcript_biotype:protein_coding MPANRYSLAATGMPTVAQPLRPQKSDLDRTRPRPVCLYFPIVGCFAIVHVLLTIINVVLVRGAGILMTPMRVIGS >cds.KYUSt_chr1.38337 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234166435:234171107:-1 gene:KYUSg_chr1.38337 transcript:KYUSt_chr1.38337 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPVLVPLLSLPRPCPPVCLSLPAPAAFLCLARAAAVPQSQPVPPSPRSCACCHLMDDKSNVKKEIDGSLAPRPRKGGLKFAPRKPPKKPAKVIPKTEPVEESKDEIIDKELLMKLKTSQKTIQRSHLDKGILPMQDRFLLDIMQQDYTHSDYPVTLPLRRPYSGDPEILDEEEFGESSASRGQDDELTAADELGLMERSDTPQLLFFQLPSSLPLPKQAQVQEPDMGSEENAEPANRSSKVTREQRRPSSLPGSKIKDLPAGHMGKILVYKSGKVKMKIGDALFDVSPGSNCLFVQEVAAINTREKHCCTLGEISKRVVITPDVDYLLDPTDKMEE >cds.KYUSt_chr2.21487 pep primary_assembly:MPB_Lper_Kyuss_1697:2:134239053:134241271:1 gene:KYUSg_chr2.21487 transcript:KYUSt_chr2.21487 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSCPEAAGAARRAIDVQAGGQDHTRVGQRSWEINGLVSDASHWAARSVADMEIVCCRGEEAWRQCLRFSSAWCSPYRIQLEIMQAKKLDRVLTKKNYSHAGGRYKLHLGVLTAPQAEELREGKVVEEEFASSAAMRRHEMQRRLGRRRSAYKNAIDSSESSLARR >cds.KYUSt_scaffold_869.746 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:4647292:4648584:-1 gene:KYUSg_scaffold_869.746 transcript:KYUSt_scaffold_869.746 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWHCTSVYSEIVKKTRTPGQDKTPVRSTAVLTPLRAETLLHNCFDMAFAETASRKLLHGAGGAIVRVVAADVNTVRMSFGPGDLWQLVVGLLGSVAHLLVLPFEVLWRLLQTAAAGAAHLLLLPFEALWHLLQATAASAAAGISLCFNGLWHLIHGLVVNLVATVGNAAHVLIVPFEALCRWLQIAAASVQGIFPSLLAALSSAAHKLVLPFEAFGRWIQSIVADAAAGISIGLDGFWPLVRRSFASILATLAGAADELVPALEAFWRWIKTTAAVALPFVLCIVLVVSLVALVWYCGPLLSAAAAMTGEALMSAVFRLRAPALGIAKALVQLVCWTAHYLHVIAMAVGRALSNLLPMCRYCCQCCASTTMKAPGAAGFLIWRAAFVADPRLYFQILRSAGSVVASAIFSTTTVASVVAAPVAALFRA >cds.KYUSt_chr2.2414 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14596927:14597710:-1 gene:KYUSg_chr2.2414 transcript:KYUSt_chr2.2414 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKSLIVDLFSAGSETSATTLQWAMSELMRNPNVMRKAQAEVRENIKGKPNVTEDDLADLKYLRLVIKETLRDPKYWDAAEEFKPERFESGSVDFKGTNFEYTPFGAGRRICPGMLFAQSIMELALAALLYHFDWELPHGVKPEELDMTEQMGLAVGRKNDLYLFAKTKVPLDGAI >cds.KYUSt_contig_319.533 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3329119:3331305:1 gene:KYUSg_contig_319.533 transcript:KYUSt_contig_319.533 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSSPPADRRRRHTIYHGHRRASPHRPTVRGGLITHLRATNPGPRPSPSPSSAAPFRLRDWDPSSPSHSPRSPPTLPHSIPAATRRLSPLARFLLDALRRHHRWGPPVVADLSKPRRVPPTLVAEVLSARPPPPPPLALPFFHWAGRQKGFRHCFPAFHALASLLSDAGLPGAADQLPDLIRAHGKPVSHPQLTLLVRLHTAARRPLRALYTLRRFRHEFSVQPQVHACNRVLGALAAAGHVDDALKLFDEMSKDGAAGVKPMPVTFAIIVRALGQAGMTDRILEMIARMRDEVCRPDVFVYTALVKTMVRRGHMEGCIRVWEEMGRDGVEPDAMAYATMVGGLCDAGMLEEAAKLFDEMTKKGLLVDRAVYASLIDGYVAAGRVGDGCRVLKGMVDAGYRADLGTYNTLIAGLCDIGREDKAYKMFQIVLQEDLAPSSQTVSHLLLCYADKGEMVNFFGLVDKLVELGLPVVEFLADFPRLFACKDGRELKAVEVFRTLRQKGYCSVTIYNILIENLLKIKERKIALVLFEEMKLSDDCKPESCTYSHMIPCFVDEGTIQEACSCYNSMIKAEWTPSISAYWSLVKGLCKVGEINAAVSLVTDCLGTVENGPMEFKYTLTIIEACRSKSPEKVMKVVDEMIELGYSIEELTFSAIIYGFCKHATSTGARQVFSVMRDRDIISEANFIVYEDMLNEHLKKVTADLVISGLKFFNLESKLKWRSRVD >cds.KYUSt_chr6.17161 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108074989:108081168:-1 gene:KYUSg_chr6.17161 transcript:KYUSt_chr6.17161 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRNWICMQRPSFCLLRVFSFATGEPWEEALLSRSSHFAGFVLRTRRMTSSKVCKRKLAEAPLQQEAGKQCCQTVCPTENTSRPSSSRLPIKERRIDTNVPEDTLTDEDSSILSLQGRLPTFQAPLAVMHPPVQDSSQQRAYKDAHIISGAQYAVKGVSVAHERNMAGTSRSQATTKKKDSATNFEVALSNSGTGKLSFTYTSENRSDFHMPDMESVCKVMEARCLRTYKVLEPNFSVMKLLQDTCQCIVDLGVEFSGPREKGMVQIIPAHNVNDITKGEEHVRIPIINESGNGILPPHFQYIPGNITFQDAHVHISLARIGDESCCSGCFGDCLSGPFPCACAAETGGEFAYTRDGLLREAFLDSCISMLQEPQIQKRFIKECWSKCGCARNCGNRVVQRGISRHLQVFLTPGNKGWGLRAAEELPRGTFVCEYAGEILTNNELYERNNQETANARHTYPVYLNSDRQTEDILEDDTALCLDATFYGNVARFINHRCNDANIIEVPVEIETPDHHYYHINQ >cds.KYUSt_chr3.31966 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200946822:200948879:1 gene:KYUSg_chr3.31966 transcript:KYUSt_chr3.31966 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPSAQPVPASGYAPGAHHQALEFIEHVTANAGKVQRRVLAEILAQNAPAEYLRRYGIPCSSLDAVDAFRRCVPLVTYEDLQPDILRIANGDTSPILSGKPISEFLTSSGTSGGERKLMPTIADELDRRSLLYSLLMPVMGQSLPGLDKGKAMYLLFVKAEARTPGGLAARPVLTSYYRSRQFLDRPHDPYTSYTSPNEAILCVDSYQSMYAQLLCGLVDRADVLRVGAVFASGFLRAIHFLQKHWPRLCHDIRTGELDKEITDRSVRDAVGKLLRANPALADEIEAECLKPSWEGIIPRLWPRTKYIDVIVTGAMSQYIPTLEFYGAGLPLTCTMYASSECYFGLNLNPMCKPGEVAYTLIPTMCYFEFLPVDHCSNANAEPSHRDLVDLVDVKLGHDYELVVTTFSGLCRYRVGDVLRVAGFKNEAPMFSFMRRRNVALSIDSDKTDETELHTAVSSAVQHLAPFGASLVEYTSYADTAAIPGHYVLFWELRAGSTAVPASVFEECCLSVEEALNSVYRQCRACDRSIGPLEIRVVSEGTFDKLMDYALSRGASINQYKAPRCVRPGPVIQLLDARVQAKYFSPKCPKWSPGNKQWNNSKELVSNGGV >cds.KYUSt_chr5.2811 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18572141:18573613:1 gene:KYUSg_chr5.2811 transcript:KYUSt_chr5.2811 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTLRAAMATAVRAFSSAPAAPGAGGGVSMVQGASRGIGLEFVRQLLRRSDQGRVVATCRAPDSAAELQELRREHARRLTVLPLDVTDETTIEAAAAAIGETHGSLDLLINAAGILSIPNVIQPETSLSKVQKSSLLLAYEVNAVGPILVIKHMRPFLKIGASLETGKGFSLVANMSARVGSIGDNGLGGWHSYRASKTALNQLTKTASVELGKKDNIACILLHPGTVDTDLSRPFQKNVAKDKLFTREFSVQKLLSIIDNAKKSDNGKFFAWDGQEIPW >cds.KYUSt_chr3.11809 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70385483:70386130:-1 gene:KYUSg_chr3.11809 transcript:KYUSt_chr3.11809 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPAGGGDVVVTACGCVDGAVIHAGGLITDVIVAARGSGVAGAVLHAGSLVVDVIVEPRGCHGVGAALHAGSLLADVVAAPRVCHGVDGAALHAGSLVADVAVAPRGCHGVDAALHAGSLVKVVVVAPRGCHGVGAALHACSLVKVVVVAPRGCHGVGAALHACSLVKVIVVTPRGGVDGAALHAGSLLANVVAAPRVCHGVDGAAQRPAAS >cds.KYUSt_chr2.1874 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11160530:11176124:-1 gene:KYUSg_chr2.1874 transcript:KYUSt_chr2.1874 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNSPLNLTALRSLYPDPTHLLSATLNSDPCSQSVSTLACREQSQKDLLNIVKSIRGKKCLVIDPKLAGTLSLILQTSVLKEYGAELRILSADPLQTECPKVVYLVRSQPNYMKFVANQIKSDEPKGLQREYFLYFVPRRTVACEKILEEEKVHQKLTIGEYPLYLVPLDEDVLSFELDYSLQECLIEGDTSSVWHVAKAIHKLEFAFGVIPNIRAKGLASFKAAELLNNMQLEDPVNMDNMGMPEIDTLILLDREVDMVTPMCSQLTYEGLLDEMLEIHNGTVEVDSSIMGAQQDGKKVKVPLNSSDKLYKEIRDLNLHVVVQVVRQKATSIQQDYAEVKSTNTQSVSELKDFVKRLHSLPEIARHVNLAQHLQSFAAKPSFHARVEIEQIILEVENYETCFEYIEDIIQKQEPIETVLRLLVLFSLTNAGLPKKNFDYLRREILHSYGFEHMSLLYNLEKAGLVKRQESRSNWVGISRALQLIVDIKDPEKPDDISYIFSGYAPLSIRLVQHAVRSGWRTMEDLLKLLPGPHLDLKRGVSSISSSSEVLPGSVGQQSTDRVGHRSLVLVVFIGGVTSAEIAALRFLSAQ >cds.KYUSt_chr1.19059 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111906865:111911679:-1 gene:KYUSg_chr1.19059 transcript:KYUSt_chr1.19059 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRCSGEELEPPPKMPRHGQAESRLIWARDRQISCIISWEKTKKATVEICRDGRGRGANERRCRLTACLLLEKAHEVEVPEVIDVAAMGIALINSRASYRIQITASNHRGADQQHMKPAAWQMPPMEGSSGLSAWFRSFCRFPGVSPGMVQMAIFMQQWTACSLHELALLSSRDTP >cds.KYUSt_chr4.52922 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328222456:328222818:-1 gene:KYUSg_chr4.52922 transcript:KYUSt_chr4.52922 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLVLFLAVNMALLATTAHACGPYCPTPTPPPTCSIDTLRLQVCANVLNLLKLNLPVPANEECCPLLSGLANLDASVCLCSALKAEILGIKLNVPVAFTLLLNQCRKTCPDNFTCST >cds.KYUSt_chr4.18504 pep primary_assembly:MPB_Lper_Kyuss_1697:4:116133824:116135317:-1 gene:KYUSg_chr4.18504 transcript:KYUSt_chr4.18504 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKAVTAAAAKPPAEAVEPWLTPARLARRRPGRSFPPAVISSPSSGRFDPLEDLVVEDEYSVAEEVAWAGLEDEPEVLPIDKDLDRAALLEDFWAKIGFPEASARSWERRASPVVEAVPRARSSSPPRVPAKLRRALSSSPPGRRLPRQSVRLKSWKGPLPPKRFTPPAVFGDFLDAAAKGARRTIGDAPPASDAMIPPQFETGRAGSSWLGPRTCWARLGHALLGLQQGVRRGGVAASDHTITRPRSRRSHAATASLPSSPPPLPPDPRQSPSLSSASLVHALLRRSFVDVVASGASGPMAGPPRSVPPGTAPAPPVPARPQVAAAASADGGYQGPPGFQAWPQGALMPPPLLRRLGPRWVIACRSRACRLLLSCLNNSRRILRNSSTLSTRRSNSMHSFKDSSSPLLIPRSSRWRLSYHSRLTRRRSLDNRRRGGRRNLSLRWGQREVATVCRRLCCVGIRCIENKKFPTARTQSKPRCNLEDGSNEGMIKTNP >cds.KYUSt_contig_1181.108 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:734737:734952:1 gene:KYUSg_contig_1181.108 transcript:KYUSt_contig_1181.108 gene_biotype:protein_coding transcript_biotype:protein_coding MLEATTGGTRPQPNSGAPRGDEVVQSESGSAGKGPKRPKNEHECLESENGQRESVHGRIAPSDLDGDIGCI >cds.KYUSt_chr3.32171 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202167124:202168554:-1 gene:KYUSg_chr3.32171 transcript:KYUSt_chr3.32171 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRKENLERAREHEAAGNSRAAYECYQKAVDITPKIASDLIQVLKQEKVDYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSTIIFKMDKFGQGVEFQITRLERNRELDLNGFTKQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKSYEKVIKHLRYSAVSVPPQYEENFKKAIWAFQFQRVYDPAIEDIVHLSGIPHGLSEDDFLGPYP >cds.KYUSt_chr2.52140 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325419653:325421100:1 gene:KYUSg_chr2.52140 transcript:KYUSt_chr2.52140 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDAEIPLLTGSDRDELPLAGVSDFRGRPVYRKTSGVWRSVYFVVVAEIAGTFAYYGVSANLITYMTGPLDHSNAAAAAAVNVWSGTTRLMPLLGAFLADSWLGRYRSIILGSTFYVLAFITSHI >cds.KYUSt_chr7.759 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4168704:4169033:1 gene:KYUSg_chr7.759 transcript:KYUSt_chr7.759 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPRRRKGNALDATAVGRVNAADLPSPVAPGAAVRLTLAKVKDGMEVARLVEGVREADGAAAAGGEGRRGQLRGDAKVEEAKAALRPLLDDVMVLDFVVDAPALLRF >cds.KYUSt_chr1.24630 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147432330:147434203:1 gene:KYUSg_chr1.24630 transcript:KYUSt_chr1.24630 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVEEEKVFIGVPAVKEEEKISVAVPAVKEKEEKVFVAVPAEPRAGQSTLLWVLAHLYSSRATTIVLTHVHVPPQMIPIMGVKFHVSKMSADQVRLFRMAERQQVEEMLDDYIHRCSEIKVKCEKLVIENEDVASGLVELIGLHGITKLVIAAAADKQYSKKLNKPVSKTATEMMQRADPSCKIWFVCKEKLICVRDKEVQIAASAAVGQEVARLPFHHKEDDIKMEMGLDVEIEEARKALAELMSRALKESCRRQKADEEVAAYLQKAKVYEELYLEEVRKREELEAALARADREIAQLRRAFGQRNTPTEGPQHVMPTRSSSILAQHTVFLGDDAKKSDLGPEGWQPAEPAVNGGRELEALLSHRRIRKVIPLLSPLSVIPSPPP >cds.KYUSt_chr4.14515 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89617227:89617520:-1 gene:KYUSg_chr4.14515 transcript:KYUSt_chr4.14515 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIMEFAENLILRLMENPEQRDEAQRAHVYRMKERCERTKAAWALPLRPYGFWTFERFNSQLASDPQISQAAGRRDPYDDLLARAASPAPPPSPSS >cds.KYUSt_chr2.43192 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268807738:268808358:1 gene:KYUSg_chr2.43192 transcript:KYUSt_chr2.43192 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDVEAAGASPHVPTAPRKHEEASLDRRISALLIGAFIAVVLLAILLPDFDRHQIPVPTFSVDLAGFDGLDGPGPLISPAFNLTLHGVSSSRISESCEYYNERGTVAVSYGGAVLAWGRAPEFCVAEGAQKRVRMVALGAGVGLSDELRGRMASERRSGSAEVDVDIVLESYMDGWKKYLSCRVKLDVEPSQQPSPCRVLASHLW >cds.KYUSt_chr1.42194 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258381810:258382820:1 gene:KYUSg_chr1.42194 transcript:KYUSt_chr1.42194 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATKKQPLAPAGGGEPAAAADPKFEWAENAGNYVLRLALPGFRKDHFRVQVGGAGRLTVHGTRPGTGSAAGQSSFNKVFQLPSAASLDDITGRFEAGVLTLTVPKRASAAPMPTSIEDIKRKQPSAAKENKPKEDDISNKEDASKKKAMDEVTKKTQQPPMKQEEEASKGKQEQQKPAPAQVEKEEVKPKAPQAATAPVKKEEEMKPKASQEAAASPPEKPAPAPEAASGANKPKAAVAAPESLAERVRRRREEEGASTATMAAAKRAKTDGEKKALAACISWKERVQGELKVLTDMKWADQMVESAKKNKEVVAVGIAAFSLGLLVSQKLFRK >cds.KYUSt_chr2.10210 pep primary_assembly:MPB_Lper_Kyuss_1697:2:64680861:64681331:-1 gene:KYUSg_chr2.10210 transcript:KYUSt_chr2.10210 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHHFKPLDRCRPLDNLGLPCISFNVATLSIKNKQKAVQGAEVKLSFYRLRRRAQSETSSRSRFQSSHDQQKWLRTERSGKSAFHSLSVTGTPPCGDGGGTSCACQRQRVEALPRPGCGHGGVGGGRVRHGIPSGRPISVEHEAASVVEEVETAE >cds.KYUSt_chr3.27963 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174489278:174506019:-1 gene:KYUSg_chr3.27963 transcript:KYUSt_chr3.27963 gene_biotype:protein_coding transcript_biotype:protein_coding LLRELGRNVTEDLVVLMPNLLSFLKHDDPAVVKQSIASGTNLFAAVLEEMALQINGRGRVDAWLEEMWAWMNQFKDAVCVMMHEPGPIAFKLLAVKFIETWILCLTPQPNSDRIQPIEGNNRRFDPSRLAKFHTSLDPVILEANANRAFILLVDILKSAYAHRGSFLVGTINSLAAIGKNRPVYYDRVLSVLFGFDPSLQTSKGAHSASLRYSLKTAFLGFLRSPCQEMLDSKDILVRRLRALSPGEATEQIIRQVEKLSRNIERASRMNKDEPPPWEMPYGDVSRKNPAPAMAEGIAKRARFDGSACLNPLIQGTPDYSDVKIENDANMGHSSDPTILSTDVSPVEKMIEMIGALLAEGERGAESLGILISSVESDVMADIVIETMKHLPEVPFPLATNKSEPQSNLQSSSSPPTENFPGHTHSLPFTAQLAPSADGVGISPPDALLGPGSTDSKRDPRRDPRRLDPRRTVAPATASAIQVKVETTSMHQTNTLSDIPSVPGKGEKCAEYSGDPPKSEDEEHPSSHPNNQVIVEENSEFLDDAIEPETIFELHAPVEAGFPSDVNDEMDNPLSPEAISNNESDSMDLEVDPFSPVPKTSTPEDTTHELPLLPSHLDLSDSEKITLHILAVRRIIDDYKKNSLDTRFSLLAHLVAQSTADDNIMDLIQRHIIFHYHDQKGHELAMHVLYQLQCVNIADAPESSTSTSEHYEKFFISLAKSLIDSLPASDKSFSKLLCDAPCLPEPLFRLLEGLCMSQGNNQQIKDSEGDRVAQGLGTVWSLILGRPPLRQACLDIVLKCAIHSQDEVRGKAVRLVVKKLCDLTYASEKVEQFATDSLLAIANKHGGETDANFTTSKESTAEVEVGIQETSVSGSQVPDAGPSESGSTKASLISPKQSAVSEAKRYTSLFFALCTKRPILLRQLFNVYGGSPKVVKQCIHWHIPTLVRNLGSSCPEMLDIIHNPPEGSEELVSLILQTLTEESNPSPNLVVAVKHLYETKLKDASILIPLLSSFPKEEVLPIFPRLVDLPPARFQDALARILQGSAHTGPALTPAEALIAIHEINPEKDKVPLKKVIDACTACFEQRTVFTQQVLEKSLNKLVDNVPIPLLFMRTLIQALDAFPALVDFVMGILSRLVNKQIWKMPKLWVGFLKLAYQTQPRSFDVLLQLPTPQLEIALNKYPNLRPHLSSFVNQRNLHNILPRHTLNVLGFINEPQQAPMPFAPAAMQTADTTSSLPGTTLM >cds.KYUSt_chr1.12214 pep primary_assembly:MPB_Lper_Kyuss_1697:1:75513710:75514087:1 gene:KYUSg_chr1.12214 transcript:KYUSt_chr1.12214 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPPCSPPAAARGSAARLLGEPVHHRIGLEIPFSAGDGDALLFVRASPRDVLSRPGRSSDAVGASPLSCGRTASGVAAPLEPLLSSASPSYPELNKGLWDAAALLPFILLSSTPPLHLAWLSSG >cds.KYUSt_chr5.13084 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85286676:85295083:-1 gene:KYUSg_chr5.13084 transcript:KYUSt_chr5.13084 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGGGTAPHHFVCPISLQPMQDPVTAPTGISYDRRAIERWLADGHATCPVTGRPLALADLTPNHTLRRLILSWEPASTTVEPAGAPSSDRHDDPGLDAIVAKLMSPDSCPADSVLREAAVMASLSGFARRCMVRAGVLTRVLRLFASCGKMKSSRAAMMPTLDACLGLVDALDVSADEIRRLVVDNLALVDALTHVLVTLDSGSLSHGDVSTREHAVRLLESVTEAADVALLERLRPELFRAVTAVLRDRTTVSPGATRAALRALLNACGSGKNRALVAEAGAAHEAIELELASWPSSPGGSSRRVTELVMALLARLCACAEGRAAVAAHPAGIAVVAKRVLRVSAAADACAVRVLAAVCGRAASPEVVREMARVGAVGKLCCVLQADCDPDVKETARAVLRMHSGVWCGSPCVSAYLLSRHLDLKIRVLTQSQPTRALPPRFDYRDPDASDDDDGDYDDYSGDYYRARHDKSVKTKRGPTRVLKGEGRLALTAFKANGEPEHPKEYCRKFTNQVGVLVRDHVPISIQEWNKPKKAGTEASYVNETMKFFLWESLLTRFSLPEDMTEGQKNKVREWTWKKMAIQFQTWKKNLWDKYKNEDPVFDDNLVKIKDHWPALKRYKQSSTFVSRSVTNTKNASKKQLWHHLGSGGYKTAIPKWTAFENKLMDAGITPQTWDWPERSKFWLFAHGAGLDPKTGLIIAQGKWKEKIEVIVPKLVEAIEKVRKGEYTPDRENDELTLALGNPEHVGRVRASPGLTMKEAWPDSADTYRSRSRKKKEAYIVAELQRSSVGSPHLDGCGGSYPVDYVTKKTDCELHMLFRTASVKVAVGYVYPSEDGATHHHMPVPPGCVRVGVDEVVSGFEAVELDIPRGDDERTLADVKHGFALWPKKYIVLLQRPPTHPHEQQMPSTPPGSSPREQPSPHLPERDPNVSPPSRDPPCKTAPVKRNGTPPRKRSRKEKPQPPIEKLPWEKSEEENRETVQSELKAFFAPKVPEIPFEKTLVLEICPRGNNKSGYYISLCL >cds.KYUSt_contig_7387.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001501.1:19807:20466:1 gene:KYUSg_contig_7387.3 transcript:KYUSt_contig_7387.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPPMATSSEVASRLMEIPDHLLMEIFLRLPAPEDLARTSAACISFRRLVTDQSFIRSFRRLHAPPLLGFLDHDGFNPALPPNPSAPAARALAHAADFSFSFLPSHCRWAIRDIRDGRVLLVDPQEDEWAPVFREVAVCDPLHRRYVLLPPLPQDLATSVEHFLLPLGEELETTFRVICVAHFTTRVAAFVFSSNTGQWQAAASKNYSDGIGEKCF >cds.KYUSt_chr1.35000 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213263027:213263350:-1 gene:KYUSg_chr1.35000 transcript:KYUSt_chr1.35000 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPIVIASAGLGMLAGLAMANRTDGLPAASRWDARPRCSTCSGTGREECLCNRWSDGDVGCGTCSGSGRKQCRSCGGSGTGRPLPARLVVQQQRLPTKPGRGGDYN >cds.KYUSt_chr5.19681 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127697926:127698789:1 gene:KYUSg_chr5.19681 transcript:KYUSt_chr5.19681 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQILNLTLISATDLKKVTFFSRMRVYAIASISGGDPCTPSHGTQVDFHGGRNPTWNAMLQLPIPAGADTRGLALHVLLRSKAPFFGHRDVGEVFVPLNDLLSGSSADGGADPRTMSYQVRRPVSGRAHGVLYFSYKFSDVKADVAAPSVADQYSAKYPSRDHHMAVSNKTMAAPVTPYPPQAQAPLAYPPSNVSYGAPYMAYPPQPYGGYASPPPYGYNSAPPPATYGYAPPPMAAPARHRGGMGMGLGLGLLGGAVGGMMLGEEIGDFEADAAYDAGFNDGLSF >cds.KYUSt_chr3.4700 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26726560:26727012:-1 gene:KYUSg_chr3.4700 transcript:KYUSt_chr3.4700 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQTYNQDPKTYQKNAIRSSVAAADLRPPVPGTINAAGENATWGVAGVASQCGIEEDPPKEEENPRKNKASRSGGAPLAKSSPGVISPALMDSTKLSFLRAHAHAASLRSFKTRSLGFLNFLLSISTTTRRKGRNPKIPALLSSAFELY >cds.KYUSt_chr2.47034 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294155862:294158606:1 gene:KYUSg_chr2.47034 transcript:KYUSt_chr2.47034 gene_biotype:protein_coding transcript_biotype:protein_coding MASESVAAVSAPAAAAAGGGKDDELADLVRRLVDALARYSDRLPFDLDRQKLRSLTTLAAIAITLVFAWKLLRAPQEQPRRPRRRVAPSPSNTSSRSRQGALTGTDACSSADSRAHEAINQLFQPVNLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLLEIAKFCDVYLMERILDDESGEKVLSALSEAGLFTSGGLVRDKVLFCSTENGRTSFVRQLEPDWHIDSSPEIVHQLSGNDSSEQWHSENKEENHCSFFPE >cds.KYUSt_chr7.19813 pep primary_assembly:MPB_Lper_Kyuss_1697:7:122869975:122871513:-1 gene:KYUSg_chr7.19813 transcript:KYUSt_chr7.19813 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDANPAAAFSAFAADGKAPFQPLNPEDVRAYLHKAVDFISDYYTNIESMPVLPNVKPGYLQGELGASPPTYSAPFDVSMKELRTSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQLLRLPTSFMNRTSSGRGTGGGVILGTTSEAMLVTLVAARDAALRRTGSIGVSGLPRLTVYAADQTHSTFFKACRLAGFDPAHIRSIPTGPETNYGLDPAKLLEVMQADVDAGLVPTYICATVGTTSSNAVDPVGAVADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLYVRDAHRLSDSLETNPEYLKNDATDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQGHIRSDVAMAKMFEDFVRADDRFEIVVPRNFALVCFRIKANGGMTEEDADEANRMLMDNLNKTGKAYLAHTVVGDRFVLRFAVGSSLQEERHVRSAWELIKKTTSEIMG >cds.KYUSt_chr7.1054 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5717741:5720671:1 gene:KYUSg_chr7.1054 transcript:KYUSt_chr7.1054 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDAPQINPQYILLIIIIIILVYIFRVLNESCSTAQPAKTRFGAQLVALQQQHRALASRMLEDFFTPTEMEEGISTVARIGDLVSEMRELRDAVALNEADLLRRCSAAVNALAVTANEECLQHFVQLDGVAFLTKWFQDVQSCSKDSSSAAQDLMLAILTALDGLPDTARAPASCGVLLPTVQNLLVHANAEVSQKARVLCQKWSSVSKGCCTHDQDMDTQDATRLDRQLKDSQACQETENGEKDGANEPVIADDDESNGNAILGNDISVSSHGSSEKLLPYADEISAMNDVGLATSGMPRLDSLEAKSGAAQVAAPDMTTEAKSPEPNNSFLSTEMHVEDQNDPACLDDIKKDEPFSADVPHSVKDTLEDLNHLANVSHVMQDSSDEERFGKEEAPTSSSDSDAEGAINEYRFQRCMDSFGDSSKAADSKSAALNGDKSRSLAEYDDTDALEVARLVAIEVEREVIDYREPFCDSPDINSRDDSPDLVANQQPEPPIDESNDNKSSTTGNGSGSSSSLKEDGSGITDDTGPFTRKLTRSTELEDFDLNENQCPEETDWHTKSVLSNSVNLSTPIAVAASRASSVFPSRLHFEGEHGWKGSAATSAFRPASPRRNFEGDKSILASSQKASNMFDLNLADSDNAVAEEPRSAAIFPTSGLASKDKSAALAVSWGFELDLNGPCDNEEAAVTKTDVPLFWNRRQFAGTISQPPSSSSSRQPAVNNFDLNDNMSNIYGSSRAVNEFSAKASGKETSGSSEVTILGKRILVGQQEHRHQIQHNFLGPSMESRVHTRPMQSYAHAPPSVESYPYLSALSFPGPMMSLAGGPYMVDARGDPVMPSLLGSGVGVSHPSFSSRAIPPSSTELSYFHPSMGFSYGPSSEGVRREDGGYWPVSFQGQNMFGDERMRNMSQGGGSTSSGVAPKRREPDSGWDLFQGQNMFVDERMRDMSQGGGSTSSGVAPKRKEADLGWDLFSRR >cds.KYUSt_chr4.21405 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134799543:134799761:-1 gene:KYUSg_chr4.21405 transcript:KYUSt_chr4.21405 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVLARDADQRPSPTAFLFTASSPWAALFHVAGISILDANILDLPARFSGPRIVRPSSSTSSRTLASLRCS >cds.KYUSt_chr7.32408 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201874306:201874770:1 gene:KYUSg_chr7.32408 transcript:KYUSt_chr7.32408 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPVPNQVYGRLPRYLKNINMTTTNGSSHAGESDVMEEIAKLKQRIEEQDQRIEEQDQIIEGFRNQDEANFRQGNDESSRKYHKIEEREFMLVDLSKYA >cds.KYUSt_chr2.3423 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20485991:20488774:1 gene:KYUSg_chr2.3423 transcript:KYUSt_chr2.3423 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGRLEDIADAEMDEGGRGHSPVTPLQRATDVPPPVFNQILMRDQQDDSDGPPQKRIPTLLLWPHGGKNIYVEGSWDNWTSKKTVQKSGKDHTILLELPSGVYRYRFIVDGERRFLPDLPCETDNMGNIVNLLDVNDFVPESVESVSELMAPSSPDSSYGFQVPDDKEFSKEPPTLPSQLYLGVLNSRSSERECARPRHVVLNHLYIEKGWGAQPLVALGHTHRFRSKYVTTVLYKAIER >cds.KYUSt_chr6.20852 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131474850:131477149:-1 gene:KYUSg_chr6.20852 transcript:KYUSt_chr6.20852 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSYAAKGKKKRKLEQGGALLAPPPVADEAEETPCEVKGKKQRKLEDEAEEFPPPEEEEEGDATEGEGAVAEAEDVGEGIPIMPRPVDPKRRPGVIFVLERACLEVGKVGKAMQILNSDDHANYLRKQNRNPADYRPDIIYQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPHVRLPRTFKRFCGLMSQLLQKLSITAEGTREKLLNVIKNPVTKYLPVGARKIGLSYKAEKSVNLFDYVAKSNDDEQLVFVVGAMAHGKIEKEYSDDYIQSELLFL >cds.KYUSt_chr4.37028 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227676162:227677232:-1 gene:KYUSg_chr4.37028 transcript:KYUSt_chr4.37028 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWTPPDCTTFTDLMLDGSPIDLEGVSPTHRRTNVASSPIPRVLWSSGAPPPGPYGPYAPPPAPPGPYGSFLPPPYPYPQAPQNAPPVGSGSGTVPPYPPPSYGAYPPPPYPYAPYGPYPPPPSEAPSFWGGIAATFNATADPSRHRTSKQLKDHWNAYNKEVSLFNAYHIQETNMRQSGADDDMVMKAAMERYAADKRVTGPFRKLHWWNAVKNEAKWKGQHGPGSGTDSSSKRIRLGPSGEFSSSDATGDTEEERPMGRDRAKAAVRKGRRKGKETSSSSEVGSKSFAMSNMMKSLVKAKLFKQWNKVKDRSTVDMNEAEKRKHAKAMKMLEKELGLEDDDDEEEEQEQEEEE >cds.KYUSt_chr6.19947 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125769418:125769729:1 gene:KYUSg_chr6.19947 transcript:KYUSt_chr6.19947 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLYTGDGGGSEGGGYLSGGDGQGRTKVTEEVAPSSVLKVKMVLTKTELEWLMAQLKGGDRRLEDVLREMARKRDARGWRPSLESIDECGSDSETAAICFD >cds.KYUSt_chr7.39865 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247655051:247657643:-1 gene:KYUSg_chr7.39865 transcript:KYUSt_chr7.39865 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSAAMGMGGYHQHQPQRPVFTAAQWAELEQQALIYKYLMAGVPVPSELLLPIRPHSAAASFNFANPAASPFYHHHHHPSMSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKSASPAHPSQTQLSAVTSATRDTDPLQSLGMGAKTNGLSLGGAGSSQMHVDPSSYGSKYSLGGKSDAGELSFFSGASTNTRAFTIDSPTDSSWHSMPSSVPPYQLSKARDTGLLPGGFSYSHFEPSQELGQVTIASLSQEQGRRSFNGGGGGLMPNVKQENQPLRPFFDEWPGRRDSWSEMDEERSNGTSFSTTQLSISTPMPRCGFPQSASILTNSNLFVFANCVSSVDAGD >cds.KYUSt_contig_1181.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:80837:82579:1 gene:KYUSg_contig_1181.6 transcript:KYUSt_contig_1181.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLKMGERFRCNARAFSYSLYKGHPRRGKLQDKPIIIMELSAATLVSIALISLAIVVFVLSNSSSSKQRRPPGPRCLPFIGSLLHLLTPEPQVALRDLAKKHGPVMYLRLGHIDTVVISSPEAAQEVLRDSSLAFASRPSIVSTEIMCYGSTDIAFAPHGAYWRTLRKICTVELLSPRKVKQFAPIRNSETLSLEQFLSAMVVALEFSGGVCVGDLFPSLYFVDAVTGLRRRLWRARRNLDVVFGKIIEGCEARREEKKYKTGTASGDDDLLTLMLRIRDEGELEFPITTENIKAIIVDLFTAGTETTSSSAEWLMSELMRNPEVMVKAQAEVRRVFDNKPPQEHESLLEELPYMRMMVKEGMRLHPVLPLLLPRLCGETCNVGGFEVAKGSRVMVNAWAIARSPDHWQDADKFMPERFQDSTVDYKGTQYEFLPFGSGRRMCPGGNFGVAVLELIVARLLYYFDWSLPSGMEPGDLNMDMIVSATSRRKNQLHLVALPRKVQMDT >cds.KYUSt_chr6.7554 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45917434:45921117:1 gene:KYUSg_chr6.7554 transcript:KYUSt_chr6.7554 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKFGSFKSESKGDSAAAAGAAAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNADDPVASDKFQEATFSYNILSDPDKRRQYDSSGFEAIEADSHELELDLSSLNTVNTMFAALFSKLGVPIKTTVSATVLEEALNGSVEISQLHLGKSVCKKVEKQTAHFYSVDITEEEAKLGLVCRVRSTSKSKFKLLYFEPEENGGLSLALQEDSAKTGKVTSAGMFFLGFPVYRFEHTNSAAAAKDPDSAFFKRLDGFQPCEVNELKEGTHYFAVYGDNFFKSATYTVEVVCAEPFTAEKEKLRSVEAKILAKRSELSKFESEYREVLAKFTEMTSRYAQEMQTIDELLNERNAIHASYTNSPTLKRSSSGSKERPSSKVSKSDDEHSAKKEKKSKSPAMGAPVSDEEGPKKEKTPKERVRRKKWFSIHHLKVDKRRPC >cds.KYUSt_contig_319.615 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3952691:3955608:1 gene:KYUSg_contig_319.615 transcript:KYUSt_contig_319.615 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQPPPGPEDDFLDHFFSIPSFPAGQAAAAAPGDHHPFPLALSLDAAAEASAGARRLHDGPDGARADRDPAQLAGLFTPVFAGAAPHLRPAPPPQVFHAQPRLGEGAMAPQQHQPPPPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAVMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLIADIPLSVKGEASDSGGKQQIWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHLQDGHSMKPEPNTHS >cds.KYUSt_chr2.52446 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327204778:327219381:1 gene:KYUSg_chr2.52446 transcript:KYUSt_chr2.52446 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPHNQKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLAAVYIAQVLEGLVYLHEQGVIHRDIKGANILLTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEDDKRKELVSESARHGKSDELNDGKPAESSSSNTGELMNDNVVPSKDPTLVFHEKVASESSSRISDLNGKVIHELSQDGLPSEVARSGQESKKGDSKHLELEGKDRSSFEDDDAFSFQAGRQNINFPKEAKPLVEGTNGLSRFSDTPGDASFDDLFDKRGDHGAEASTSATAQELQYNGRQNHLAKELKARMAEKQKENENEPMNGGKLLEFIRFRDGDLDGQSVEYSKIVAQLKPGESEEVILSACQKLMLFFNHRPEQKKIYVSQNGFLPLMELLELPKNRIICSVLQLINYIVKDNTGFLENACLVGLIPVVMNFAVPDRAKEVRMQASFFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKFREMVHLAIDGIWQVFKLQHSTPRNDFCRIAAKNGVLLRLVNTLHSLNEATRYASISGSGVSVAQNGSTPRLKSGQLDLPMLESSKARLDHYHSSGSLQSLQADADKHNILLEPSASPRFSDIAAAGHIDRNDNDLVRPQRLSVSGGRSSTDRSPRHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHMSGLERHESILPLLHSTERKPNGELDLLMAEFAEVSRQGRENGNLDSNIKGSNRVPSMKYATSVGPTASNEGTSTSGAASQTASGVLSGSGVLNARPPGSTTSSGLLAQMVSMSADVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIESPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLIYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMKFVMSESPLRQYALPLLCDMAHASRNSREQLRLHGGLGVYLNLLEDDAWACTALDSIAVCLAHDNDSRKVEQALLTNEAIQKLVNFFRDCPEQYFVHILDAFLKIITKSSRLNTAIATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >cds.KYUSt_chr1.41407 pep primary_assembly:MPB_Lper_Kyuss_1697:1:253949702:253951141:1 gene:KYUSg_chr1.41407 transcript:KYUSt_chr1.41407 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYNWHPDKPPRPNSRNMASPLPSTFQLLVPLLLAAVLSHSGSNAAAQVVFPVEARPTSSGYLPVDASANASLFFAFYEAADPLDAAPADTPLILWLDGGPGCSGQLSNFFQIGPYSLVATSGANGSLSPNPFAWNRRFGLLFFDSPLGTGFSAAPSVEAIPTNQSVIAEQTLAAIQAFFAFHPASFRARPFFLAGESYGGKYVPAAASRILAANQARPPRMRLNLRGVAIGNGLVDPVAQVATFADTAYFMGLINARQRVELEALQAETVALADAGRWGEATDARARVVSRLQEDIGMPTLFDVVNEGRWLDADALDAFLNMGEVKAALGATVDNVVFQSCSAAVAAALREDGMRSARPEVEELLRTPAMRVLLYEGIRDLRDGVASVEAWLAAVEWDGIAAFREAERSVWRTGGGEGELAGYVQSHGALAHVVVYGAGHFVPAGNGRAAQEMIEDWVSQTGIFAGDDGGGGGHGVM >cds.KYUSt_chr6.10747 pep primary_assembly:MPB_Lper_Kyuss_1697:6:66576469:66577137:-1 gene:KYUSg_chr6.10747 transcript:KYUSt_chr6.10747 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLTRRLLRPTTTAARLLPGTSFPNPHAHARNPLLLLHPHRRFSTAPGSNPEAGTATGTGPEAANGTPPSLESMKHQEIEGPTVERDMSPLADEARAELDALRRATQRLSASLAVLGGAHLAAGAWIISGAAPVGVESAAAVQGVAAFAFPFTAALVLRRVIKPVAFFQRMEANGRLQVLTLCLQASKNVNLMLLRTRVVAISCALGVSVGSVAAILMR >cds.KYUSt_chr2.27268 pep primary_assembly:MPB_Lper_Kyuss_1697:2:167300810:167301835:1 gene:KYUSg_chr2.27268 transcript:KYUSt_chr2.27268 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPTQLPDDVIVEILLRLPPHSVARCRAVCRAWRSAISHPSFQRSLAELPAAVAEITADVQRYLYGVEGTQLLLGKQSRAVALEFLRDDCRSRRALWFTTPLSLFAFVLGSWDGVVCIERGNWPHPRCIHFYVEHYVLWNPLTMACATVDTPDRSGQIIAGYAHPETRRFHLLQASGETHGSLLISPTIFRIQRVGDAVWRELPLPGNMSQTAQLRMKMNTHVPCFVRLHGNLHWLVEQSGSETLQLLGFDMSWEVFWSVGTPARQGGLAMARIGRALASGAGKLCIFTVLPSTSTMEMWVLDRYSGRPPAPGSWQLKERINLITLDGTDLSWKFRVGTT >cds.KYUSt_chr7.960 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5087363:5091309:-1 gene:KYUSg_chr7.960 transcript:KYUSt_chr7.960 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLSFKRMDSVAESMPDALRQSRYQMKRCFQRYVSKGRRLLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEIDFGALDLSTPHLTLPSSIGNGMQFVSKFMSSKLSDKPESMKPLLDYLLALNYRGEKLMVNETIDTVNKLQTALLLAEVFVSGLPKYTPYLKFEQRFQEWGLEKGWGDTAERCKETLNFLSEVLQAPDPINMEKFFSRVPTIFNIVVFSIHGYFGQEKVLGLPDTGGQVVYILDQVRSMEEELLQRIKQQGLNITPKILVLTRLIPDSKGTKCNVELEPVENTKYSHILRVPFKTEDGKDLHQWVSRFDIYPYLERYAQDASTKILDILEGKPDLIIGNYTDGNLVASLMSSKLGVTQGTIAHALEKTKYEDSDVKWRELDQKYHFSCQFTADMIAMNTTDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTGLHPQIEELLYSKDNTDEHIGYLADRSKPIIFSMARLDKVKNITGLVEWYGQNKKVRDLVNLVVVAGLLNASQSSDREEIEEINKMHNLIDKYQLKGQIRWIKAQTDRVRNGELYRYIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPINGREASTKIADFFQKCKEDPSNWNKVSTAGLQRIYECYTWKIYATKVLNMGSMYGFWRTLNKEERVAKQCYLQMFYNLQFRNLVKTVPRVGEQAPRTTAPTATGTVAPNNEIVARPRERQVCALLRNLLRKDRGSN >cds.KYUSt_contig_686-1.1284 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8022324:8024472:-1 gene:KYUSg_contig_686-1.1284 transcript:KYUSt_contig_686-1.1284 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCCSPSSLCGISRAAWRHALAGTLSLSGSHHHQRSLRYLPVRPPTPPIALSLAERLFSSSSSSKRTTKRSAAKKGAPMDSASGEPFYVVRKGDVIGIYKNLADCQAQVSNSVCDPSVTVYKGYSLRKDTEEYLAARGLKNAMYSINAADAKDELFDDLVPCPFQQPDGNATSTLKRLQETETGPSKKHPKVAEQEPLPDSHLSCILEFDGACKGNPGKSGAGVVVRRPDGSLIAHLREGLGVVTNNAAEYRALLLGLRYAAKKGFKYIRAQGDSKLVCYQV >cds.KYUSt_chr1.31967 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193911246:193915613:-1 gene:KYUSg_chr1.31967 transcript:KYUSt_chr1.31967 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVTIHQLTSSKLNAFPNHPIAGEKHIQSSRLSAHANAHATGVASAVFLDSIFKRDNRINLARPAVDATMTPDGSTIHSTNIRQHPAAAPKTMPQEPVAACCHVIVPPQPVAAGPTTRRSSNVPPPLTAASPAATGYGGMCDVLLGQRAATVVLTFALRANEALAAADVIAVSGRAYAGMICMGTVQLFLDPFSLIDGQGSSVGWPLLGDNPPQYLQSNSCCLPLALVLAPHCAESGITGSCWEHCAIYWFLGVA >cds.KYUSt_chr1.15902 pep primary_assembly:MPB_Lper_Kyuss_1697:1:92432009:92433910:1 gene:KYUSg_chr1.15902 transcript:KYUSt_chr1.15902 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRGLGMSAPQWRGGALDLRSALRSGGNLLFGLFVAAVLAFTLLAAVHSPEDPLLHPSSHQLTDFLTSATSNSTFLADDSVLRTGEDFATASNSSEAASSTVVAEALPFIKLSDVGSENTEAGTEKSVTVDTDTDGNSAAAAAAEEDKHIVEAVSCDTEAPVDCTGNRDLFNLLMRTAIEKFPDLHFYRFGRPVVVPESPMACDLAWRFRPAEDAKGRTTYYKDYRRFALTRDVNTCSLVVESVGEYHSGTGAKRSGKRKGKKGKKGKREAPVTTTTDFVPVKTQMRLDENAANADTTTAAEQVFVVGEAVNDSMPVVASESDFSRGRYLIYMGGGERCKSMNHYIWGFLCALGEAQFLNRTLVMDFSVCLSSRYTASGKDEEKDFRLYFDFEHLKESASVIDQSQFWTDWGKWHKKDRLKNHYTEDIKVTPMKLRDVKDTLVMRKFGNVEPDNYWSRVCEGETEAMIKRPWHLLWKSRRLMEIVSAIASRMSWDFDSMHIVRGEKAQNTQLWPNLDADTSPENLLVTLNDKVGAGRYLYIATDEADKSFFDPLNSKYQTRFLDDFKDLWDENSEWYAETKELNNGNPVEFDGYMRVAVDTEVFLRGKRKLETFNDLTRDCKDGVNTCAASS >cds.KYUSt_chr3.17794 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109170289:109170609:-1 gene:KYUSg_chr3.17794 transcript:KYUSt_chr3.17794 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENPGSERTSTVRRKALMHTPSGQMVSSYSTLEAKLTALGWERYYEDPALYQFHKRGCLDLISLPRDFNHFSSVHMYDVVIKNRESFRVVDA >cds.KYUSt_chr6.14615 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91661494:91663357:1 gene:KYUSg_chr6.14615 transcript:KYUSt_chr6.14615 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLNRILDVYTSRNNMSEVFDLPNGTIIDVSGGVTYVGPAEADFCAPSGMREIAIVDSGHNTIFLRFFGESTYLLGDQLLSAEQNNAVVVASNMEVVHQSSVRQHVRAETSIREHVKKLVLYRRDIYEGQIDVTEEPPSKTSKLNNGGSNRSSV >cds.KYUSt_chr4.25435 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159800183:159807396:-1 gene:KYUSg_chr4.25435 transcript:KYUSt_chr4.25435 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAVPPPPRQLEVRRFAADRAGELRSLQGAVSARVDGRFQQHRSARRRTTGHLPSKRRRTSRAAAAGDGPEEGIPPVRQSRRVRRRRELAGNPAEGFSVAGDGARRLRTHLWHAKRFAMERRWGFVLPVGAQGSGRGSRAILKRLKNGTVVHDASYFTPIQLDGPEDSLLSIMGMVLCLTPADESSNVKQLKDEVMQGVCYENAMLCRAGNPHSQVIGPVTYMWRPFSRETRKSEAKERDLSNCHPRFKEGSCSSLQRQLWIWIHPSSLDEGLDAIRIACDKQFKMPCFPYDYPDSKAYSSFMTEVAAVSDKAAEFLPAAKRPPRVPVPPLWHCIIASLHEEDSTVRRLEVDDSKPSAMVLTECCPVNSNCGDSGPSPTVVASVQLVVPRTLQMLRQYVKELDMKSLSSSSEMEIDTDQPNFVFGGTVKTTSSLNGLRLVRVLIRAFKEGSFEEGAVVCAPLSSDLVAWKTRSKEEEEECIEKWEVQIPQSHITSYFSSLDPGTNDLEHPKDDTTRESLRWPIGFVTTGFVHGSTGKDAAAVAFCEARLLAVLRRQQWTDESMQGREICVLVRNPRSVAYRRALATVVLEQQKDDIEFM >cds.KYUSt_chr2.38016 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235256647:235257630:-1 gene:KYUSg_chr2.38016 transcript:KYUSt_chr2.38016 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHLSLVPYEGGSSAGGGAGGGAKYKECLRNHAAAMGGQAFDGCGEYMPASPDSFKCAACGCHRSFHRRAGGGGITAPFFFSPPPPPPPQHHHHHPVLQGFVPSSAPMRPPQLALPYHAVPPAAWHHGLLDPAAGARSGSETPPRADDCSPGCGGGGSGSFGRKRHRTKFTPEQKERMRDFAERQGWRINRDDGGALDRFCVEIGVKRNVLKVWMHNHKHQLASPTSAGAAAAASAAGGIGMGMGMGAHHPGPHVGTGSDIGLGAGSGLGVGMGSGLGVSMGAGLGVGMGAGVGDGDGDGDDDDDTDDDSPPRAAVSSPSPSPISV >cds.KYUSt_chr6.6948 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42079580:42083072:-1 gene:KYUSg_chr6.6948 transcript:KYUSt_chr6.6948 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWMLISLRFLSLLLAEATCFWPIFLYAPPHMTALDLATLVLVLAVDAVVQECSNEICLQLYEKEQLTDQSYLHIYGMQEHESEAIAEQLKKVQLGQETYGALVANPIPVMQTPVMQTGYFGGYSNEQAQSDVESSAYYYTQFPEYSSSGGWSPQELQGIPTSGGHQPSINQSRTGTGEAAARNNAGGFQDWRKQQSSPFPGQPK >cds.KYUSt_chr3.7674 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44172646:44173750:1 gene:KYUSg_chr3.7674 transcript:KYUSt_chr3.7674 gene_biotype:protein_coding transcript_biotype:protein_coding MANTPTPTTALSMKLLVDTKARRVLFAEASKDVVDFLFSLLSLPVGTAVKLLGKEAMVGSVGRLYGSVEKLDGTYVQAGAAKDALLHPTVFSAAVSSQSSLLGLPPPPSPQLPQPKTFYRCNKQCNSGNYGYSQGTCNSCRSYITDAYGTSCTSCGGQMTNQLTLLPSAGSGGQVAAKATAVVSGKGFVQGIVTYTVMDDLAVTPMSSISSITLLNTFAVKDLTALQEKTVPLGYNEGLEILKASLQSKTVLTDVFLGKKPPSIILHPTVGSTTTAISGVGLPGPALGDFPVAWGLPPIQGIKGGSCDGAPPTAPACRCRGQLAEGLCCFLFSLGAFL >cds.KYUSt_chr2.46288 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289114252:289114506:-1 gene:KYUSg_chr2.46288 transcript:KYUSt_chr2.46288 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDSIATPIVSGTTHWFKNSDDLIAFKEGRRHSFEYGRYGNPTVSVLEEKISALERAEATLVTSSGMTLVEKRALVAVGNCH >cds.KYUSt_chr6.2136 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12630621:12630929:-1 gene:KYUSg_chr6.2136 transcript:KYUSt_chr6.2136 gene_biotype:protein_coding transcript_biotype:protein_coding MVADLGELPFLSKRSICTARRCDVDADAARLQHATDHVSIAADEHAAADSAADHDAAEDTVGAEAAPMAKESTLQPMRSPAAAQPPAAACARLEKRDGGGIR >cds.KYUSt_chr5.14594 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94600267:94604279:1 gene:KYUSg_chr5.14594 transcript:KYUSt_chr5.14594 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGHRWVSRLLRLRTLQLCRQRPRLFPDYVPCFSTPTPVVETRLFPDYTTGSSTPTSVVETSFTSLLRHGAHFVMFPTHARPVLATPVRAFVLDASQGLASSDRRLVFIGFNDVFIGIASTTASTRHRRVLLCVLGSGKTRLIPFLLLLPPVLYISYHVARNLAKKKPATHGVKAHPLVGYLPAFLKNRDRFLDWSTELIVGSPELRMGFWIPGMNTGIITGNPADVEHILRANFANYPKGERSISMLVDFLGHGLFNSDGEQWLWQRKNASTEFSTRSLRGFVVDAVQSEVRDRLLPLLRRAAGSGVVLDMEDVLERFAFDTICMVSFGHDPCCLADGGALAEGKSDFMRAFGEAQELAVGRFLDPVGASWKIKKWLNVGTERRLKKAIADVHGFAMEIVRARRSQAMTSAKENRNRDDVLSRFVASEEHGDDETLRDMVLSFLIAGRETTSSALTWFFWLVSSRPDVAARIAEEVRSVRASVGTAPGEPFGFDALREMHYLHAALTESMRLYPPVPIDSQSCAADDTLPDGTHVGAGWSVTYSAYAMGRLEAIWGEDCAEFRPERWLGKDGEFRPESPFRYTVFHAGPRTCLGKEMAYVQMKSIVASMLEEFAVDVVQKNPAGGVPEHVLSVTLKMKGGLPVQIRRRMEA >cds.KYUSt_chr2.757 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4486690:4486995:-1 gene:KYUSg_chr2.757 transcript:KYUSt_chr2.757 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAQVKMIAIGMMLAIVIVVAANAEPDPSHKKDICIDTTDKVPGATACICSKNCACAGKCILAGGDGDEIKTCFVECVLKNDCKCNAEGSSDPAPQANK >cds.KYUSt_chr4.35568 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218428126:218429031:1 gene:KYUSg_chr4.35568 transcript:KYUSt_chr4.35568 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVWSAILDGKMLVVDEEALDVVVPGDDAVLEGDHGGVQHGSPPAGWGGGRMRPALDGTGGERWRRIGDRGVENERVDSSRANYPKHSRDLRVIIAPTEQASMSDWNPRKPFSTSSSEDEASAI >cds.KYUSt_chr3.43045 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272100203:272102508:1 gene:KYUSg_chr3.43045 transcript:KYUSt_chr3.43045 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFENPQRKKWSEPVKLLGSFGSPFTHRAEAALRLKGVAYEFIQEDLCNKSELLLRHNPVHKKVPLLLHGDRAVAESLVLVEYVDEAFEGPPLLPSDPLARAAARFWAQFAVDRCSRTLFKALWTPDGEARRGLVAETKGNLALMEARLEGRRFFGGDEIGYLDIAASGLAWVTVLEELAGVERSVIGEEEFPALCRWRGEYTSHEAVKACLPSREQIMAFYAGMKERLALLAKSMQK >cds.KYUSt_chr3.18478 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113575917:113584892:-1 gene:KYUSg_chr3.18478 transcript:KYUSt_chr3.18478 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGLGGDGPEVPLLLKKTHVHGNCPGCRLDRRKETRTGVPYTEFACMWLVTVCSTLPIQSLFPFLYFMIRDLHIAKQVEDIGFYAGFIGASYMIGRALTSTVWGIVADKHGRKPVFVITLIAVIILNTLFGLSSSYWMALTTRCLLGLSSGMLGPIKAYATEVCRKEYSHLALSLISSSRAIGLIVGPAIGGYLAQPADKYPGIFSQQSIFGRFPYFLPCLCISVLAIVALISCIWLPETLHKHNQPADSNNSIEVVEESVSEPNAEESGGGYWSLFTNWPLMSCITVYCIFSLQDVAYAEVFSLWAVSDRKYGGLNFTSTDVGNVLALSGFCLLIYQILIYPSVANAVEPVTLVRATAFLTLPLLSSYPFMTALSGFNLQLVVNCASSLKNAFQVSTITVCNILMNDAVSQDLRASANGLSVTLMSIFKAIAPAVAGIIFSWAQRRQTASFLPGDHLVFFMLNTATVIGLICTFGPHFAGNRKKH >cds.KYUSt_chr5.23237 pep primary_assembly:MPB_Lper_Kyuss_1697:5:151449167:151449478:-1 gene:KYUSg_chr5.23237 transcript:KYUSt_chr5.23237 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMPRPQGVQCPELRLRAAPPARDPGTTSGLRNPEMCGGGHGQSRERRCQPDSCAGCRIRPMEAVTAIEDATTTGSGGCVAPDAGEMAGWCGKEAAGCWRE >cds.KYUSt_chr3.27335 pep primary_assembly:MPB_Lper_Kyuss_1697:3:170706450:170712216:1 gene:KYUSg_chr3.27335 transcript:KYUSt_chr3.27335 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSMDSLSPSDKENVYPTRNSGLDEALFQFIRKEAIKSVKRDFTDFCNGSNIWSQSKRANRHEIGRESFISYSIKYYWEVLKCLSNERKEVIRKFGFGCLLLYEKSEIPSSFVRWLASCVDPVSSQIIVDGSKIIPIAKSFVHFVIGLPNSGVVPLPNSDGGAKLLMSLFHLSELPHITYFGNKLKSKEVLTDKEIFVSFMVIAFKCFLFPTSDDFPNTDYLHILDDPDASKGFDLCELVYDHLIAGVHKLLKVCKLSGRKPREFEFCYYFLAVYYLDSLDFCGRKLDDTIPRISVWKGNLIKFFSGLDLKENNIFGKRHFKKILAPCYRDFGKDLDAKIIDGIIASVCSVETVFGAGNCEVPAGTSNYNNAFGIQDKYMKVDEYDIARNLEVISPEGLPHASSSSKSFSLKYAPEIHPLRKVKARLHPEVTVGQHLPSVNTYPCGVKDFREFILPDGSNVVENDVRSVGGYVVGNDVAAPIARLNFLESPNNKGCSNFDLSDRPTVINRSTNFSGSRHDPIPVGDISPKTRPNGFDKRKAKDDLISLQPKKILDLPLLQNKRFPVSHSDIRNFCAIVDLAYTRGVQKSYSVIFDKVHCSYISLGQSLMVGGHVDNFLVPVFCRKLFEDNHPSKSGRHHFFSFIGENILDYQNDVQVNIISKAFLGAASASRGKRLELSDRSIDKGIVSTLLEKWHRLTAKRSAIHDCGRSGVYEEAHEVVDAKWFLERSEGKKRQRALQRAIEHPDLVM >cds.KYUSt_chr6.13945 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87244769:87246338:1 gene:KYUSg_chr6.13945 transcript:KYUSt_chr6.13945 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTPGPAAADDLDQLLDSALDDFATLDLAAAPNSGEASASSSTGPARPVRGLGMSLPDPRAPRRRAARQPPRGAHASEALEKLTQETREAVRGLESATGGIAGLDDEAMMEDFVKQFQEFAGAQDMDSIVETMMKQLLSKEILHEPMKDIVEKYPQWLEDNKSKISKEDFERYSNQLELMLKLNEVYEHEPENMSKIFEIMQNMQECGQPPSDLVQDIVPDLDLSKLGQL >cds.KYUSt_chr3.4348 pep primary_assembly:MPB_Lper_Kyuss_1697:3:24717533:24718576:-1 gene:KYUSg_chr3.4348 transcript:KYUSt_chr3.4348 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGYDFVSIVTLPRIGLARTRWHEDIYTTPFFGPYPRRITTGKKDFAVQQFTRQRALYARQRLCLATPHGKDRTAKFPTATTSLPCASRILHGKGFAVRRFLCRALIAFTVLTAFAVRREALPSRLPLPCAISKYRTAKPSFEFKKITVRHRLHLPLRVMAPVHRRVQVAARQAAVASASPCAMPRPRPPSSRPGHRAPGRRRVRVDVRQDEAAVIVLHAEAEAAVVASGSPRARPLSRPRRCAPGRGRCRRVRVTVRQAEAALVASGSPRIRLPCVRVAACQAEASAPPCASPRPPSCLRVAAREAEASAPPCVRVVVRQAEAARRGVRVAARQAAVPQRHRTRS >cds.KYUSt_chr6.17348 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109237774:109253415:1 gene:KYUSg_chr6.17348 transcript:KYUSt_chr6.17348 gene_biotype:protein_coding transcript_biotype:protein_coding MWQASETLFIVVVDPTTPLTTAGLPSLPFPELAGNPKPNYRYSSAAEEESSLLAEMEAALEAARSKDTKERLAGVERLHEALEAAARRGLTAAEVTSLVDTCMDLTKDANFRVAQGGLHALSAAAVLAGEHFKMHLNALVPAAVERLGDGKQPVRDASRQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTLATAVGLFASTELLLQRVFLSPVLQLLNDLNQSVREAAISCIQEMYKNMGSQFHEELQRHNLPSYMLKEINSRLDKIEPKVPSSDGARVQYRAMERSVSAHPKRSSPRKKNTSRESTLFGGDTDITEKPVEPIRVHSEKELVREIEKIASALNPEKDWSIRIAAMQRIEALVYGGAIDYPSFFMLLKQLVHPLSSQLCDRRSSIVKQACHLLNVLSKELLSDFEACAEIFIPALFKLVVITVLVIAESSDNCVKTILRNCKVSRIVPLIADTAKNDRSAILRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARSCYRMFIKTWPERSRRLFMSFDPAIQRIINDEDGGMHKRYPSSSLHEKGVQLSRASSHASGTHLTGYSTSSIVAMDKGAAISSESSLPSSALLSQSKVAGRHAERSIESVLSSSKQKVSAIESLLKGVGISGRQNFSAVRSTSLDLGVDPPSSRDPHIPLATPALDRLSLQSSALLDSSLPSINIRRNGGSRFADPVPQVDTKERSRSPYSHNLSSEPISGLSVPHSKRSSGRPQDDSIMDESNDTWPRPARRSPQMHTDKHFADMPYRDASYRYSQNNNVPHFQRPLRKQVVSRVSSASVRHSFDDGHVPSNNMSGYTDGPASLNEALCEGLSPSSDWVARVAAFNFVQTLLQQGQKGIQEITQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKPFESYVERILPYVFSRLIDPKELVSKPCSSTLEVVGRTYAVDTLLPAIVRSLDEQRSPKAKLAVLEFANKSFSRYKVDVEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSIKGIISVYSHFDSAAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDYEQVDFGTSEDDYNHTSRNSYPFGRVSASSTDNESGKRMHTVQESIFPIGRTTSDISTDHANHCFEPASEAEVLTASRESKSNARSVVEAARSWADYPEKSDATLDDENSVGTPRLDSGRFVSVGHNAGVTTAMKNTQDMDQFLDLSSVKTVSHTTNGPSIPQLLHQISNDGEVSSQDKKDALQQLVQASAKNDHSIWTKYFNQILTTVLEVLDASDSSVRELSLSLVAEMLDNQKDPMEESIEIVLEKLVQMTKDIMAKISSEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKTLVMCINCLTKLVGRLSQEELVAQLPSFLPALFDAFSNQSPDIRKVVYLFS >cds.KYUSt_chr3.47533 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298111914:298118221:1 gene:KYUSg_chr3.47533 transcript:KYUSt_chr3.47533 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHPPLVRVFLLRRGLLPASGVAARQPSQSLLPLPPPLPCPVLPKLLHLAEGGLRQIWLSRSQDSSLVRASASGFVHGGGDVQGIDSGALDDGAGVGAEVGGDLLKSWRRRSLGGSSPDLAGGQRSLLQLTPSSFVSDQGDRFSKLLLADTVSNVCAAFSVGDSAGRLPTGLRLLQLLCGFILSLTRSSADRDGQHLNFIGGWKAKENEKEVAALDARVKSDVDTGDNFIGDWKAKENEKEAAALDATVKSDIDTGDKELPKFLPRRFFLRHLLSISL >cds.KYUSt_chr3.30261 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189509799:189515790:-1 gene:KYUSg_chr3.30261 transcript:KYUSt_chr3.30261 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDAASLLSLSPPPTKPHRRRRLLSSMLAIVGGAVALFLFVVLGSPSNPSTNYASLLLSLGSNDTAATHLRALTLHPHVAGTKANSLTAAYVLDALSSLSFPAHITPYSVLLSYPVHRSLSLSAPGRATKSFSLSQETYPDDPYASAAAEAIPTFFAYSASGSVSAEAVYANYGREEDFAYLASAGVDVAGKVALARYGRIHCEDIVHNARAAGAAAALVYTDPLEYGGPPGEGSFPDTRWLPPTGVQVGSLFRGVGDPTTPMWASSEGCERVSVEDAMATDDMPGIPALPVSAQDAAEIQRVLGGAVAPADWQGRDGSPVYRLGPGPAVLNLTYQGNDTMATIENVFAVIEGSEEPDRYVILGNHRDAWTFGAADPNSGTAAMIELAQRFSVLQKHGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSTRAVAYLNVDVSVVGQGLLPSTTPQLDELLLETIKLVQDPDNSSQTVYDAWVKSSVSPTIGRLGNGGSDYAAFVQHVGIPSTNMAFGEGPGYPVYHSLYDDFVWMEKFADPGFRRHVAAASIWGIMALRLADEEIIPFNYMSYTTELEAYTKVVEKAIKGTPVSCSPLYNSIKALERAATSVDSERKDIQRELSSKQLSKDSIKIRGLNDRLMQAERAFTNREGIFKQEWYKHLIYGPSEQNDWDTASYPGIADAIATARSTNTSASWKLVQHEIHRVARAVTQASAVLSGNLT >cds.KYUSt_chr5.27475 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173966474:173966980:-1 gene:KYUSg_chr5.27475 transcript:KYUSt_chr5.27475 gene_biotype:protein_coding transcript_biotype:protein_coding METASRRRSTSSLSPTPAAGISTAAQEHPYCPCSPDADDFEFTPLLPRRRRGASVSEWPGTASGGATAQEAAVLTQPPLQRAPRRAAPHQAAAAKTRRVRWHEMAFGSVRVPAAMDMGEIRRRLQAPRRDTEPEAAAAWAPWRLIRSLSCKGVEAVAVAAASAPVRLA >cds.KYUSt_contig_7480.16 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001550.1:90343:90915:-1 gene:KYUSg_contig_7480.16 transcript:KYUSt_contig_7480.16 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFASCTLARIPGAARGARVVLPDGGVRLVRPPTTAAELMLEAPGHFLADARALQAGRRILALAADEDLELGGVYAAFPMKRLGSKAASADLARLAAVFTRETHARRHASAKVAAIVVVAPPGVAVASVPAAEDDTLVRAPRLDEMSVDDDAAAAEIGELKQRISCGRLSRRRPTLETIPEESYKLAVC >cds.KYUSt_chr3.35996 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226253726:226254292:-1 gene:KYUSg_chr3.35996 transcript:KYUSt_chr3.35996 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTREEAQESKEMETLRVHADALLSLSSPSSTATAGTTTKTTTPSSTTTPAARRALAAEGVFECKTCSKRFSSFQALGGHRTSHTRLQARMLLQQEPSTDHGAADRDRNRVHECAVCGLEFSMGQALGGHMRRHRGEVTSTATGGDSGSEHPLFDLNYPPVEDRSGDGQESSSAHRASNHPLLDLLV >cds.KYUSt_chr1.3021 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18132354:18139808:-1 gene:KYUSg_chr1.3021 transcript:KYUSt_chr1.3021 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRPSVAAAYCSTVCARFGPCDVFSLKGCDYVRSEIECVVVLRQVVAAAALGAARPVAAAQTSGIFWSVSTCGGTPEFAPHQEGARDDDPGLGAMGREVFSFGASSSHAWEGTSLAAGTPHQTPQTVTMAEFALGLTKTAVAGTVSRVKSAIEEEADLRVRVQDDLVFISGELEMMQAFLSASGAGERASKNQVVRTWVRQLRDLAFDVEDCVEFMVHLDNKTSRWDWVQRLTSSFLVCMSMPPLPLDAAVEEIKRLKARVEDVSQRNIRYKLITSSGDDQQILTQTAVGGASASSSSAAATFQALRNVWKDTGKLHDAVSTGDLKKLIDCQGSELQVISLWGSPQTDTVGQLGWKAMVKQAYDDAGTCREFKNRAWVKPTHPFNPVEFLNTLLCHFTSHLHRRRHDDMSELVRQVSQHKYLIVLEQELSSIADWDAIRLCLPDGNNGSRIVVCTRHLGIALACTREPFQVWELRPFFRDQYLYAIFPKGRGHRIGMGELYWQLRRPGVVSLLWNHHDDRNEFMQPLRGDGWRNYLRGPDPDRVEFEHCCLYDVPYRTTSIDMLVELADKLLRSCYPEEKLKTMSSQDRIARSRKLLTEHNWLVCISIIKRDSMDWDLIKRELLHESTRGCILVVTKEQSVANYCVDHESRVLNIRDLLRGSHHRGHGDQGGKIEEEDKSEPGNFVGSSRCAFSLALENNGVVSFWGIAGVGKSASVRQRYYEEKTHSRKKFGWVDVPHPFDMEDMCRRLLLDFYSDETKEAVAIAMMEGQDPTQWCSKILHENKFVLVLDGLQSKHDWDLIKAALLSEPITPGGTTVVITREESIATHCTVGSRDIHNIKGLDAELALDLFTEIALDSKQLSSRAADILNLIICKCGGLPEIITAVASGCRDVRLVEGSLGLGALNNINDNFMYILEELPDLKGLFCWMQSYFDSCSDELKPCIFYLSVFPLDQSIRRRRLGRRWIAEGYSSGGGGGAAEKKGEEHLSKLMKLSILYKEQETIGKLKSCKVNGFFGSYIKSRPMEDNLVFALEGCCSPSSGLTGQHLTIRSSWDRDEAVFNSIDLSRLRSLTVFGAWKPFLTSEKMRLLRVLDLEGTNTSDDTTYVTDDHLEEMGKMLHRLKFLSLRGCQQITRLPDSLGDMRQLQTLDVRYTSIVELPSSIITKLHKLQYIRAGARTDTSLLVQTETETEAPTPTPPPSTPPPTPPQQEIDSHGGTSPVLGPTAALPVAFITEAWKRKSRGLVESTSWWQSKKQLDLRRRRRVAENGGGVEVCLAAAKGVWRLTDMHTLGVVNVAGGKGAFLFLEEVKKLTQLRKLGLSGINRDNWDMLCNAISRNLPHLESLSLQLMLLEEEGGSFDFACFDHIAEPPETLESLKVLYTTMTTAGASAAGVARINLSWLAKLPHLCPTFGHEVRISSQEDIRHITTLQRLGVRVVHIKPIEEHLSFENDQDGREIYFNYVDSLKIECSGTLSPSKVTFGDFVRFNVDQVSIHCCCSSSASSSCSLKIAKFAPLLEGRLGCGTLTVTGTYADGLERDLLNSIGLEC >cds.KYUSt_chr3.11035 pep primary_assembly:MPB_Lper_Kyuss_1697:3:65752632:65760143:1 gene:KYUSg_chr3.11035 transcript:KYUSt_chr3.11035 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYGRVRVRDGRGNTRKPWASPRRQAPANGTAPRVGVTCPRGLKELCADKSNLQQEQTKLQKQKPVKSQTRVVEEPIDPNVRVIQRKLVYIIGMPSEFASEKANVLRQKSFLGQYGKIENIIIDNIGANQQLPDSGRVDGVVPRDVNKNTGTLTAAILRDSVRPSCKPPSIVNAAPHRLNNHESVPSQQKKVSSKSPELPQLGPKSRPDEQLASSDDKSQASAHLGVSDSKQMASAINGTVETSWKKPHYANIVSQGSSAPSRRFTVLTRESTSTDTRSKATGQEGIWVSKKLELLKDVHNDRIPRSQNLKVASQIPEEPLQRLINQLSSVVVTSHTGAEKKSAHSHIKDTPAQGKDMQLSVNTAASSATVLQSISPTVLSSNLSTSDAKTQTSVGTYKLPNSHRKLASESEHQILHQQKASVSDKDVASVSDCRSILSNQAVCSDGKCQTSAQGGDHSSCPGKMTLSGDQTSSEQAESIRLTRPVSVLSSTDILAKDSKGRKSLVCPPGFKVLHKSSDSGSSVSMSSSTCSALCSTSDAPVQESCSVTDQPDIISWVSECLDDGGDTRQSNSVSIPSTLSPTDTIWRPTMLPGPSFGASNNCILPPYPGGMLQCMSGDQNPMICCCTFPSVPNQMPEYWNGGASSYMAPGGYNTFYQNTASGMRTGMVGTLLQQPSPPGLYTDWTNGNADSGLNSAQVGHPYSVYSLF >cds.KYUSt_chr2.14337 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90719511:90720002:-1 gene:KYUSg_chr2.14337 transcript:KYUSt_chr2.14337 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPTAGAEGTHPAPTEAAADSAAPPPPADKASAEALLPSLSIWPPSQRTRDAVVRRVVQTLAAPSALSRRYGALPEPEAERAAAAVEADAFAAASDSATASPASLEDGIEVLQAYSKEVSRRLLDLAKARAAAAAPAPPAELSAEESEASSATAPAPAEE >cds.KYUSt_chr2.2176 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13186048:13190601:1 gene:KYUSg_chr2.2176 transcript:KYUSt_chr2.2176 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSAAYGAASEDEADGNPAPSWVDTGPPSVAPPPPKRPRWGPTPYPPPPPLPTLQPQPAPPLAAPVSGRYVSKRERALLAASQAPTVSETPLPPPMAPGHLLASAGMDHTVHIWNVWNNGNTSARVLKHHAAAVKDVRWSYHQPVLLSGGFDCSSRLVDAEVGKEIREFKEDQPVEVIKFNPSNSNLFLSGGSKGSLRLWDIRTGLATKEFNRSLGTILDIEFSADGKQFISSTDTTRSNVSENTIIVWDILRQVPLSNQIYTEAFTCPCVRYHPYEASFVAQSNGNYIAIFSARPPFKLNRYTRFEGHGDENGVTLACSTTISFVTLLGREQRDFDLLYYDKLCHAPVTKGEDRRRDFGLLVVMAR >cds.KYUSt_chr6.19048 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119838695:119840191:-1 gene:KYUSg_chr6.19048 transcript:KYUSt_chr6.19048 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSLWRCHGAVRALQLLPSCRLSLSSKTHASQDLNSIELTVEEEASASQIKSSLLKARNGSVQNLVQSLGVDCPAIQLTSNIVDSLLFKFGDDWKSALGLFQWAQSRDNYKHTAYACSRIIDLLGKMRQLDQMWDLLPDMHCRGLLTVEIFAKSIRRLAGARRWKDAIMLFDKLDDMGLERNTETMNVLLDALCKEKKIEVARQVFLVLSPPIQPDAYTFNIFVHGWCSARKIDEAKWTIDEMKSRGFPPSVITYTALLEAYCKQEKFRMAYEVLDSMCSEGCHPNVITYTMIMTSLAKCNMFEDALSISHRMKSSGCKPDTLYYNSLINLLGKAGHLSEASQVFKVEMPMNGVPHSLATYNTMISIFCQKNRDEDALNVLKEMEAQSCKPDIQTYRPLLRLFLNRRGQHGTIRNLLDEFVNKHSLGLDVDTYSLLIHGLYRVGETDWAYQLFEEMVGSEIGPRYKTWDLLLSDAQSKNMERHVEKIRHYMTCFGI >cds.KYUSt_chr6.2273 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13316424:13318838:-1 gene:KYUSg_chr6.2273 transcript:KYUSt_chr6.2273 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLPVAATINCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVVVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >cds.KYUSt_chr5.38401 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242764476:242772468:1 gene:KYUSg_chr5.38401 transcript:KYUSt_chr5.38401 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFIVELGVVPSALDPLIIYYDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIENGEVKICKVHTDPNVADPLTKALPRVKHDQHQNAMGVRTPRHLENSKHVFPPHFPAAAAVSSAAMAKARAHSARSSHCHLLLMLAVALLSAVLLLPVATASAAVAAQGDGENRSAAQWATGKDEGELAAEREAAGGGSVVEDDFAGGFGSLDSMLQWAIGNSDPGKLKEEAADVQKLSEDDLLKRRVEIKELMEKLKMPSDADLMKIAIADLNNSSISLEDRQRALEELLILVEPIDNANDLDKIGGLVALIQDLNNANEEIRTTSAWILGKASQNNALVQSQILGYGALSRLVKMGYSTSAEEAAKAMYAISALIRDNVNGQEAFRSERGSAMLQHMLASDSTDVRLQKKAVFLVTDLADFQLNSGNSGLAFLSERVFLKLMVDMLSRFDLDLQEKVLLAIRSLLNLPSTDATDLESCDFNSVLYRLGVQLEELPSEEQKEYAGEVDALRREVQTLFQQKLKQVRTTATLAL >cds.KYUSt_chr5.35245 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222817420:222820081:-1 gene:KYUSg_chr5.35245 transcript:KYUSt_chr5.35245 gene_biotype:protein_coding transcript_biotype:protein_coding MKELGLIRQEGTEDERALILISIIDRILRNHSGIGVKTLKLQLPRNWKTLDSCYVDRFLETAIAPGIQEITVMLPWHSYCKTEYNFPCSLLSGESGESIRFLTLTNSSFRPMAGLGCLTRLHLRSVRIGDDELGFFLSNSLALRHLQLIYCGEIICLKIPSLLLHLRSLEVFECKMLQVIENNAPNISIVDLVVNDQVDVSLSLGYGSSQVKKLRISSAFASYGLCKLPSIAPGLEALNISLLPHEINEFEGKNFQSANQESVKKMKLG >cds.KYUSt_chr7.36008 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225037295:225043691:1 gene:KYUSg_chr7.36008 transcript:KYUSt_chr7.36008 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRQWEAVASAGDDRNRRRTRFNLGSKRGGKGRLGEGDLVEEKDELVAEQRQRAAAPDAAGIGWSGAGKQQGGGCEMAAKVDAPNVMRNNGKHYYTMCQTMFEIDTKYVPIRPIGRGSYGTVCSSINQETNEKVAIKKINNVFNNRMDALRTLREMKLLRHLRHENVISLKDIMMPLRRRSFKDVYLVSELMDTDLDKIIMSSQPISNEHCQYFLFQLLRGLKCLHSAGILHRDLKPGNLLINGNCDLKICDFGLARTDNSEGQLMTEYAVTRPYRAPELLLGCNNYGTAIDVWSVGCIFAELLGRKTIFPGADCLSQLKLIINVLGTMNDGDLEFIENLRGRNYIKSLPYTPGIPLYSMYPQAHPLAIDLLQKMLIFDPSKRISVIEAVEHPYMSALYDPSANPPALPVDLDIDENLGVDMIREMLWHEMLQYHRRPSKWRIFNK >cds.KYUSt_chr6.13946 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87247952:87251225:-1 gene:KYUSg_chr6.13946 transcript:KYUSt_chr6.13946 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSASARTFSNWTDADALLAFKASISNQRGGVLDAWNTSTQFCHWPGVGCSIRHKHRVTMLNLTSEGLRGTITPSIGNLTFLNTLDLSLNNLHGEIPSSIGRLSRLRFLRLSNNSLHGGIDTGLNNCTRLQKIYLGTNQFTGEIPPSLGGLSSLKVLSLWNNKLAGTIPRSLTNLSALQNIDFSANQLQGSIPEGLGTITSLILFQLGENHLSGIIPANLFNISSLVVFSVAGNDLHGELPSNLGDRLPNIQYLLLGKNHLTGSIPAASLANATAMSGLDMSSNNFTGTLPREIGLLCLDYISLEMNQLTAATAQDWEFMTLFTNCTSLGILDLFFNNLGGVLPSSIANLSAQLKELDVGFNQISGNIPSGISNLVGLNQLDLSNNRFTGALPDGIGKLNLLQALYFQNNLLTGSFPSSIGNLTRLVILPATGNKFGGPLPTTLGRFQEITTIDFSNNRFTGPLPKEIFNLSSLSILLDFSDNYFVGPIPSEVSGLTNLAYLYVSRNNLSGPLPNELSNCQSLIELRLDHNSFNSSIPSSIRKMQGLMLLNLTKNTLSGVIPRELGLMDDIEELHLGHNNLSGHIPESLENMESLYRLDLSFNHLDGKVPSHGVFSNASGFSFGGNSGLCGGISELHLPPCPAEFIGHGSRKHHFITTVVTPIVAGIILCMSLMLLFFTMRKRSKARSTTNGEFQFMGDRYPRVSYGQLVQGTSGFATGNLIGKGRYGSVYKCGLVLKDIMVTVAVKVFDLQQSGSSKTFLAECEALSKIRHRNLVSFITCCSSSDLSQNDFKAIVFEFMTNGSLDRWLNLDVRASQQLQGLTLAQRLNIAVDIADALDYLHNNCEPPIVHCDLKPSNILLDEDLVAHVGDFGLAKILPEPGMEQPMNSKSSVGIRGTIGYVAPEYGQGGQVSPCGDIYSFGIVVLELFTGMVPTHGMFLNGLTLQKHVEKNAFTGMLMQIVDPALLSIQEAMESSLQDRSNAMGHESDVVLSIMKVALSCCKHNPTERTSMRDASAVVRKIRDAHVKMMRRGEEVVRTAHDARPFAETSSAAETSRPAT >cds.KYUSt_chr2.10000 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63308789:63309892:-1 gene:KYUSg_chr2.10000 transcript:KYUSt_chr2.10000 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGFSSVLKMGKHGQGHDDTSKKVTRPTDNYRKLVYIDHNHADVIRALPSEGEPRKESSCTVAARLWLLRYWCAAAAGTGFAALSCARSCRASVFQALPRDVAGHLIGDHIPQPVARKDQALVIVRPCGYGDLRLTYDFRLQELVPCKYS >cds.KYUSt_chr4.42340 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261998952:261999999:1 gene:KYUSg_chr4.42340 transcript:KYUSt_chr4.42340 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLVGLVGQGCGFDIDLIKMVSDAVTIPVIASSGAGSVEHFSEVFEKTNASAALAAGIFHRKEVPILAVKEHLEDAGVEVRMQ >cds.KYUSt_chr1.5983 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36759858:36761109:1 gene:KYUSg_chr1.5983 transcript:KYUSt_chr1.5983 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGSPPPFPTTETSSEMRKKQKQEDEQEQQPLGSLPEGPLVDILSRVPYRSLCRFKCVSKPWLALCSDHQVRKRCPQTLTGFFHHRPHGRLGVNFRNLSGRGPPMVDPSLPFLRKSYELVNPEHCCGGLILCRCWKSVHSGGDEYNLLVCNPATEKWTELPPCPVEWTETNEDEQYLGFDPASPSRFVVFLHLFYSAQVTIYSSDTGRWTVLQSNQPFTVSGFSESVFMKGTMHLSTVKGSIVTVDTEGKVWKEIDIPGNVPTRMQCFSIGQSQGHLYAWCIDDPNVFRLSVWVLEDYDRAKWTLKHSVNILELFGRHSCKYDEFYEMFAIHPDRNLIFLTNGKKTISYDMDNREVHDMDIAKTLCRVQHYIPCFADCLSDGH >cds.KYUSt_chr2.12366 pep primary_assembly:MPB_Lper_Kyuss_1697:2:78373964:78379892:-1 gene:KYUSg_chr2.12366 transcript:KYUSt_chr2.12366 gene_biotype:protein_coding transcript_biotype:protein_coding MTPERQATGPGYVFTAKAPHESRHSYKTVPPEEAMAGNAARASASSSTRTPVKLEHTIRRPRKKSTGERLEFKVIHDPKPKPHGKKAATPKPVRRKTVKRKPASSTKDDGSLAGKKRKRGSGQFAIVPFEETSSALVPIGVKRKRPKLKVIGLTAETKRAHAALVEWEKSTSNDFEGFDIGSGPEWDEIRRDYERRADEFIAHMEHLFGPRNLRPWGGSVIDSVIGAFLTQNAGDHLSSNAFMFLEAKFPNTRQENAQPVALIGYLNESFNLTPDHGALVPTKNTTKSNAKKKKATRESEDVDWEALRKEIYRTSDISRVTPIPDKVDWQAVLDASVYVVADTIACRGQQLNIAKRIQVDVNVCRLAVRLGWVELQMPCSHLEEDIFHLVDKYPPLRDVQRYMLHCGMITYGKVICRKKKPKCIACPFRAKCKYYNSREKVRPTLPPIVTHELEYGAYQTSMVIHGNSNRAYNCEPIIEFPPSPVYGGLNDELSDIEDVAEEYYQAKTDRRFGFWKPENGKDMVLTNPQQQTNNLKTIFRLRTEHHAYMLPAEHKVLEQFDKKDEGDHVPYLLVRDPSCNDDDNTVKGTVLIPCRTANRGNFPLDGTYFQNNEVFADDSTSRLPVTIPRESIWGLERCTVYMGSSIHWITQGYICVRGFDRETREPRELCATLHATQDKNKGKKRAGGDEFAVGQWVYVCLDHDFAPSRKKLPPSFYGPYPVAEKNLDRMYRLKLPQCALLDREFHVSQLKPFNGDPPTELPLLPPQWVLASRTSLGEELTKFQANYPQFKIQVKLLRDDVMFGLVYRRRSLTRLAKYGRVYVRRARPAVSK >cds.KYUSt_chr5.20077 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130476962:130490985:1 gene:KYUSg_chr5.20077 transcript:KYUSt_chr5.20077 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGHRWVSCLLRLRILQLCRQRPRLFPDYATCFSTPTPVVETSSASLLRHGAHFVLFPAPTHPVPATPVRASVPNASLGLASSDWRLVFIGFDGVFIGIASTTASTASSPSSSMRTRDAVSQCVKCERNQDRPLLGALRIGYSQGSSQRHGSKNLFTPAAAAVSVEQIEVSTYLPKGDMWSVHKFGGTCMGTPQRIQNVADVVLGDSSERKLIIVSAMSKVTDMMYNLVHKAQSRDDSYTEAVDKVFEKHMSAAKELLDGEDLARFLSQLHSDISNLRAMLRAIYIAGHATESFSEFVVGHGELWSSQMLCYAIQKSGASCSWMDTREVLVVKPSGPDMVDPDYVESEKRLQKWFSRQPADIIIATGFIASTPENIPTTLKRDGSDFSAAIIGSLVRAGQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFDLSAPGTVICKQPANEDADLDACVKSFATIDKLALVNVEGTGMAGVPGTANAIFSAVKDVGANVIMISQASSEHSICFAVPEKEVAAVSAALHARFREALAAGRLSKVEVIQGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGATLLNQLREQVAVLKENMNIDVRVIGITGASRMLLSDTGVELTSWKENLQQEAKPADLANFVRHLSENHVFPNKVLVDCTADADVASHYYDWLKKGIHVITPNKKANSGPLDQYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGSRSFSDVVTEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVESLVPEALKSCLSADEYMQKLPSFDQDWATQRHDAEAAGEVLRYVGVVDVLNKKGRVELKRYKRDHPFAQLSGSDNIIAFTTSRYKEEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >cds.KYUSt_chr3.46806 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294133025:294135536:1 gene:KYUSg_chr3.46806 transcript:KYUSt_chr3.46806 gene_biotype:protein_coding transcript_biotype:protein_coding MFWGETVVQGVGRYGGIKVYAVLRDDSADYLKDNGPWEALFHVDDPGPRVPIEKGKFLDAKQALDVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLARDFKSVGNLRKDLAGLQEDLAKAHNQLYLSESRVSSALDKLAQMETVVNERLLSDGSAFAATADCASLDPSTSFTPRVGPKKTKRRSLNISGPVKPYHSNLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDKRPGCVQNTCAHRACPLDLGSVNEGRITCPYHGWEYSTDGKCEKMPSTKMLNVRIRALPCFEQEGMVWIWPGDDTPTPTIPSLLPPSGFTIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWKVPSFVKFLTPTSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTQQCSTHLHQLHICLPSSRSKTRLLYRMSLDFAPWIKHIPFMHLLWSHFAEKVLNEDLRLVLGQQERMNNGANVWNWPVSYDKLGIRYRLWRDAVERGVDRLPFSNQIESGS >cds.KYUSt_chr6.6678 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40272932:40275649:-1 gene:KYUSg_chr6.6678 transcript:KYUSt_chr6.6678 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSPLPPLLLLSLILGARHAADASTVSTEVITPDFSASYLLFIDTYGVFLRSRSGAFEAAVYNPGGQHDRYYLAVLHAPSKTCVWVANRAAPITDNATPVRLTSAGLAAENANGTAVWSTPRFSSPVAALRLDDYGNLALLDADNATLWQSFDLPTDSLVSSQSLPAGGSLASVASASDMDEGPYRLNVTTADAVLSWSGSLYWRLSNDAISVKDRDGTVAHMTVNGTGIYLLAADGAVIIRVAMPPAELRIVQLGVDGKLRISSFASANASASPMDGGIVTPSRGCDLPLSCGALGLCTPNGNATACTCPRLFATSHDNGCAPSDGSSSLPAGSCGSAGGAGGNLAITYLPLGSGIAYYGNKFSPPAVAGSNASSCQKLCTGNCSCLGYFYDDSSRSCYLAQHQLGSFISTNATSAAGMSGYIKVQSSQSPSSSSDDSSSSNKTLIAILLPTVIAFVLIVVVGAIVIVSWRKKERRAGRRPSGPNRDVQLRRQPRSPGSASAHLVRDLDSEDDADDFVIPGLPTRFTHQEIEDMTNSYRTKIGAGGFGAVYKGELPDGSLVAVKRIEGVGTQGKREFCTEIAVIGNIHHVNLVRLRGFCTEGQRRLLVYEYMNRGSLDRPLFRPTGPLLEWKERVDIAVGAARGLAYLHFGCNQRIIHCDVKPENILLADGGQVKIADFGLAKFLTPEQSGLFTTMRGTRGYLAPEWLTNTAITDRTDVYGFGMVLLELVHGRKNRSEHVSDGTGGGEDSIINTSSGGTAGSSSRSARNDYFPLVALEGHEAGRYTELADARLEGRVVAKEVERMVKVALCCLHEDPQTRPSMAVVAGMLEGTMELREPRAQALGFLRLYGRGFAGPSDGNIIKQVIVSGAVAADRIRSEATMTTMSGWPSYMSSTQLSEPR >cds.KYUSt_chr5.22391 pep primary_assembly:MPB_Lper_Kyuss_1697:5:146093548:146095932:1 gene:KYUSg_chr5.22391 transcript:KYUSt_chr5.22391 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVGKEENWERISKTFSASSLVGVFVRAIVPLFSSNEKAEEISKFFATRTTPGFERTLKESLEIVRINARWVEGIKSEPGLAQTVRELLGKP >cds.KYUSt_chr1.23659 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140896506:140896937:-1 gene:KYUSg_chr1.23659 transcript:KYUSt_chr1.23659 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFIVRPNPKIQQLIQEMEREGAMETISSAVPQGGMYGFIVRPNPKIQQLIQEMEREGAMETISSTVPQGGMYGFIARPNPKIQQLIQEMEHEGAMETISSAVPQGGMYGFIIRPNPKIQNLIQEMEREGAMETIENGLGRP >cds.KYUSt_chr1.26310 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158548416:158553179:1 gene:KYUSg_chr1.26310 transcript:KYUSt_chr1.26310 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDVATSPATAAVVPPLPAASSSSHHHPSTSARSALAHAAAGASAGVIAATFVCPLDVIKTRFQVHGWPKLAPGTAGGSVIIGSLEQIARREGLRGLYRGLSPTVLALLPNWAVYFTVYEQLKTSLSSSEGNHQLSLGANVIAASCAGAATTTATNPLWVVKTRFQTQGIRAGAAIPYKGTIAALTRIAHEEGIRGLYSGLVPALAGITHVAIQFPAYEKIKAYLAERDNTTVEALSFGDVAVASSVAKLAASTLTYPHEVVRSRLQDQGAHSEARYRGVIDCVRKVYHGEGLAGFYRGCATNLLRTTPAAVITFTSFEMIHRFLLDLCPPEPEQHPQPLKH >cds.KYUSt_chr2.16435 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103415982:103417340:1 gene:KYUSg_chr2.16435 transcript:KYUSt_chr2.16435 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGVLSRAPATDAAAAAMKAKRAASIPEDEDSARGKRLQLHDSAAEQGPGLAAASAAETRGLRLLRLLLRCAEAVAADQLPEARDMLQEIAELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLLAASPLAAAQSRRVSSAFQAYNALSPLVKFSHFTANKAILQALDGEDRVHVVDLDIMQGLQWPGLFHMLASRPCRPLSLRVTGLGASLDVLHATGRRLADFAASLGLPFEFHPIEGKIGHVADAAALLGHHHREATVVYWMHHCLYDVTGSDVGTVRLLKSLRPKLVTIVEQDLGHSGDFLGRFVEALHYYSALFDALGDGASAGAAEEERHAVERLLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVSLTGAPAAQARLLLGMYPWKGYTLVEEDGCLKLGWKDLSLLTASSWEPTTAEDGLVVVAAAARQEGQDS >cds.KYUSt_chr7.14872 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92047640:92051750:-1 gene:KYUSg_chr7.14872 transcript:KYUSt_chr7.14872 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCRPSPPTSDDRSRCRCRQGDASSNPFSPSAPGLSRSHVCAVLLVYCGYDLVHSLVSLSTGQIRAVAANPDSWDFAVLGCRWLLMLFSSRSSPWRSFPTFLGRPSPPPHGKGQAQDEDEDEDEGEEEEYGDDEDEEDEDDDDEDDDE >cds.KYUSt_chr3.38016 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239280295:239283874:-1 gene:KYUSg_chr3.38016 transcript:KYUSt_chr3.38016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Rf2 protein [Source: Projected from Oryza sativa (Os02g0274000)] MALWRGSAESNVPDTRGNLPREHGSVRCKAAQTQSVQRKSSTATAKRSDPKGKTQAPKLDDGSGGFPPFRFGKGGGGGGGGGGGGNYFGGFLLFTIVLLLDYLKEFEKYLLARKHRVRYDEDDASSGLLEPSS >cds.KYUSt_chr2.33969 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209983386:209985649:-1 gene:KYUSg_chr2.33969 transcript:KYUSt_chr2.33969 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPDELLLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGELYCDVPLGLYVIRGENVVLIGELDSEKDELPAHMTCVPEAEIRKAEKAEREARDLKGSMRKRMEFLDFD >cds.KYUSt_contig_988.205 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1234913:1235341:1 gene:KYUSg_contig_988.205 transcript:KYUSt_contig_988.205 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATSSIVVNLPSSSSPSSSEPVHDASSPSLSSSSEPAINNASSSPPSTSSSSDPVDGILKNFICIYGGCKGKYGEVVRVCNLALDDDDDAAAAMDVEACTKAVAALRKCVEAKTTVYEGYVRGTDKQQEEDPTDQSKIEA >cds.KYUSt_chr3.34998 pep primary_assembly:MPB_Lper_Kyuss_1697:3:219508691:219508900:-1 gene:KYUSg_chr3.34998 transcript:KYUSt_chr3.34998 gene_biotype:protein_coding transcript_biotype:protein_coding MELNGAEEKQQPCRPPSKKRSGGKQGSDGGKAERPSFSGRCARLVKEQRARFYIMRRCVTMLVCWREYA >cds.KYUSt_chr5.30295 pep primary_assembly:MPB_Lper_Kyuss_1697:5:192134483:192135562:-1 gene:KYUSg_chr5.30295 transcript:KYUSt_chr5.30295 gene_biotype:protein_coding transcript_biotype:protein_coding MILSGFLILDAYNYVGYARPHEPSRPRKSEPGNRMRSRIYSMGLLDQLWDDTVAGPRPDHGLGKLRKYASFSPSSTTAASGATASADVAAAPAVTRSITILRPPALSVTSPRSESGSAPSSPASVPDSPFGGTATTPRGEGWSKFRRKGRMAADGTDVASSLGTPRSPTVYDWVVISSLDR >cds.KYUSt_chr4.49450 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306219818:306220349:-1 gene:KYUSg_chr4.49450 transcript:KYUSt_chr4.49450 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRMQASGDLVGLRLIIQASPRQCQHRPPLAVLRRSSLRSSPASSASKCQESLGGPRFMGLEFLKLCLCCCRKIDGDMDVFVYKGEQAFCSAECRSQHMAREERREIEILVRKRRDAFHSRRAAPGKTIGGSSDRHARVEISSFC >cds.KYUSt_chr4.13097 pep primary_assembly:MPB_Lper_Kyuss_1697:4:80594946:80601999:1 gene:KYUSg_chr4.13097 transcript:KYUSt_chr4.13097 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRAQTWAGVGKTAQAAAAHAALFCFTLLLALRVDGRTDYSWWIIFIPLWLFHSVAARGRFSMPAPSLPHGRHWAPCHSVVAAPLLIAFELLLCIYLESVRVRNQPAVDMKIVFLPLLTFEVIILIDNFRMCKALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHRPPTHGEASSSSTAIRYRDWESGLVLPSLEDHEHERICGLPDIGGHFMKIPLVVFQVLLCMRLEGTPPSARYIPIFALFSPLFILQGAGVLFSLGRLVEKVVLLLRNGPVSPNYLTVSSKVRDCFAFLHHGSRLLGWWSIDEGSKEEQARLFYTESNGYNTFSGYPPEVVKKMPKKDLAEEVWRLQAALGEQSEITKSTQQEYERLQNEKVLCRICYEGEICMVLLPCRHRTLCKSCSDKCKRCPICRNPIDERMAVYDV >cds.KYUSt_chr5.36645 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231694490:231695405:-1 gene:KYUSg_chr5.36645 transcript:KYUSt_chr5.36645 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSVSMKPRNLSGKLPLSEDTDLPQTSQQNEAGDGGGGDDGEIVHFSHPEHRLAPFDFPYLFMCMGCREYGAGKRFMCQICGFQLHDFCALAPPSLHDHPFHPKHQHLLFFEKPGGFLRCKCDVCGKAVKGFSFRCACCSFDVHPCCAAMTRRMEFPAHSHPLLLAPDSGAAGAGVATSFVCQVCRRRRSGRVYQCMPCGYYLHAKCAKDMVNGLYEHGVVPPERSNPLVAVAKVTINALFGVIGGLIEGIGEGIGEAFVENIGRSRGRSFR >cds.KYUSt_chr4.4135 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23703505:23705791:-1 gene:KYUSg_chr4.4135 transcript:KYUSt_chr4.4135 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWPVVLRMHVDDSVCAKKTRKAVEKLRGVESVWASPEQGLVVVHGTADAWALRRRIWRKMKRRAEVVSDGSAPYHYPGTTAAPQYGPSQAYLGWGAPPPHAYYSHPQPPPHAYYSHPPPPGYTRTLPEATMAGRQTPTPTATRRTARCRCAPSCLVWDWLLQPSANPPKPTPTRLRYPAQPTAQPRPPTENPSELRKHTSPPMDTAVFSAASLFHADDDSDDDGRRDQAQVSADGENQEQALEYEERLHKFPGLVNFPKPHSQSCRSKQPVSKQIDQENYVQKHAELHE >cds.KYUSt_chr5.37384 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236376995:236379094:1 gene:KYUSg_chr5.37384 transcript:KYUSt_chr5.37384 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVQYHKYGGGAEGLKHVEVPVPSPKKGELLVRVEAASINPLDWRFQKGVGRPFLPSKFPFTPVCELAGEVVEFGAGVRGFRPGDKIIAVNFPGSGGLAEYAVVSASNAALRPPEVSAVQGACIPIAAAAALMALRTAGVCLDASDGPAKNVLVTAASGGVGTFAVQLASLAGHHHVTATCGARNLDLVRGLGADETLDYGTPEGASLRGPSERKHDAVVHCAEGFPWSAFKPALADAGGVVVDLTPRIASVAVAVLHWLCFSKKRLVPLIESPKKQDMDALMGLVVQGKIRAVVDSRYPLSRAHEGWAKSMSGHATGRPGSASTPRRPRKERAGHRGLRRRRHIRRAVASLAGHHHVTATCGARNLDLVRGLGADEALDYCTSEGTALRGPSGRKHDAVVHCAEGFPWSAFKPALADAGGVVVDLTPRTASVAVSGAALAVLLQEEAGAAY >cds.KYUSt_chr4.46603 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288071426:288079021:-1 gene:KYUSg_chr4.46603 transcript:KYUSt_chr4.46603 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPAQQSKPSYHRRHPNSGPRQQQQQQQQQRYVPKSAAPPAPKPSPPPSLTTALRSSAAPSASGADGFVAYLPHDEAVAAGLGGLDAQESQAVVDLLNDALASLLRAKPREFWRQVAQDTSLHAFLDSYLQFRHRWYDLPHRSPKGTVAGLVVGELELCRRVFMVLYRISSNKDPGTGVGESLSMKEHAALLQEKRLLDLPKLLDICAIYGHDNGKLTSSLVINAITVQPNVLDDINIVLRQFLDIFHTMQERCMKSLQDLSSPEPNDSGYTQLQKDFSEVLDFVNDAIISLDAFVDAYQPAALLLCTSFEAGDGVEELLNILARLHDFLLPSLLQGFQVMSSSQSNGETSSDSIVTDIVLGIRMLSKRAVVFGWRLLEFCYLNDQLKEHHIQTSSTKMFPAKVEDPMIRGEIIIQKLKDINEEATYSSQVNPGKTFLQALQKDFQLISRIGDIRNKEWIYMEHEDFQFISRLCGSTVTSWNSISDLPVSSHGGELQQKDEEAAVIESKISQIRDLLPHCGKGFLAVCLEAYNQNPEEVIQRILDGTLHQDLLALDTSLEEMPQQKHAPSVGKDKGKGILVETMPNITNKPYTLEAQSSSVSSASKAPTSSVALVSLSSKAPTSSVSSVPQGRFTRKSNDDLPDSTILDSRKAKDAVKSAVLESQYEYEDEYDDSFDDLGFSVVESSYEETDGANDVESSSSGPRWASQKKPQFYVKDGKNYSYKVTGSVAVSNAREAAVLNQTQKDTIHGLGRGGNVPMGVPNRQQHRVMEEEEGGHADGFSRGGSVPHVQGRRGGWDQSNPSEDNRNASAPRGGRGGRRGGRNHSNLAEANDGQQGFGRGARRDNRPEGNNHSDGQQGFGRGARRDNRPEVNNHSDGQQGFGHGARRGARDEDNRPEVNNHADGHQGFGRGARRGARDEDNRPEVNNRPNGEQGFGRGARRGGRIHEDPVEDNEDHNPAQGFARGGPGPRGGGGRRGGGRNHNRRDQALRKHMQGMTGL >cds.KYUSt_chr1.10287 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63102556:63107029:-1 gene:KYUSg_chr1.10287 transcript:KYUSt_chr1.10287 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGGPQPPLASSPSRTSSSRKPKLQRIVAKSHSRLCGAENTREKRALRQAEICRGNSLPEGEIDAIVTVIELDIISIIITIIFIIITAVSTAIWRLITSFFFLGKFSINFGIRLLMIARYGVQLEKGTFEKRTADFLWMMIFGAISLLVLSAVPFLQTYYLGVPMVSMLLYVWSREYPNSQISMYGLVQLRSFYLPWAMLGLDVIFGSQILPGLLGILVGHLYYFFSVLHPLASGKNYLKTPMWVYPYG >cds.KYUSt_chr4.10522 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63874663:63875790:1 gene:KYUSg_chr4.10522 transcript:KYUSt_chr4.10522 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGVAGDDPISRSASSIVASAVSGSHLLKIVGYSRTKEVPNGKQIRSFPFRVGGRTWHLDYFPNGAMPEDIDFISLYLTLDDTVAKDKAVKAQAKFSLLDQHGKPVPTHNFTTQIRDFAVCKGWGIKRLIKREELEKSEHLKDDSFTVKVDVTILSDFHVQETPSILEPPSISVPPPDMQRHFGDLLSSKVGIDVKFRVGQETFSAHRLVLAARSPVFRAEFFGPMKEGTMREAIQIDDMEAEVFKALLTFMYTDALPDMDQGEECAMAQHLLVAADRYDLGRLKLICQDKLSSGIDTSSVATILALADQHHCHELKAACLKFLSSPANLDVVMESEGFELLTKSCPGVMKDILRSHVAPSLLGKTKSRASA >cds.KYUSt_chr1.30370 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183877827:183883700:1 gene:KYUSg_chr1.30370 transcript:KYUSt_chr1.30370 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSPVRAWDRSGKAMDMSTEILVEVKKQSSCSIHLVNKTDEYVAFKVVTMQAQKTAPPDLQIKDKFLVQTTAIPAGITDEGIIPAFFSKETRRYVEENKLRVVLVSATQPEAEQLISGLPNVKTAIEVPVAKETLNIVNEVPNVVNQVPYSLKASFPSLTESPAILSEIPFPVNEIPTVQGDFPVPLKEAPATSVKSAIHLKGSPTVSVETQFSSIETNMSLKETPTVLRDFPVLLKEGPTTSAESAIRLKGSPTVSVETQFSSTEMNRTLKNTRDLRDFRVPLNEAAATSAESAIHLKETQYSSTEMRSDIFVNTENLHSCRVEDVQNMKSELINLEGKLEEAENLIMKLRDEARTTIQERDKLRHEMIFRRKGGPRKQAGFPPLFVTYIAILGVLLGYLLHLDDEMLVRLLDEEQAFDDDIREDLLIIASLQDMIDAEAEKRKRPRRRGSKPGRKKSKARQRMEGHTMLHNDYFADEATHGDNFRHRYRMSKDFFHEYPPRRSRVRQLLQAEAQCCRRCRVLVDLEVHRRHENACIQSTC >cds.KYUSt_chr2.25795 pep primary_assembly:MPB_Lper_Kyuss_1697:2:157790021:157793936:1 gene:KYUSg_chr2.25795 transcript:KYUSt_chr2.25795 gene_biotype:protein_coding transcript_biotype:protein_coding MECLRRVNPFRACAGLRFLGYLMLALVAAIVAASYYAVVVYAWGPILLHGGGRGSVAAAATILAAFHLLVYTFSETLLDISVLLPSFITYFQDESRRSNSASDIAILFIAFVLNLVFALSLLCFLGINMPLVLSNTTSIEVFGTKKLLWFLPMYCTEDLHNITAIQGIEFLTRSDAVL >cds.KYUSt_contig_662.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:141863:144541:1 gene:KYUSg_contig_662.22 transcript:KYUSt_contig_662.22 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATMPAHKRSRDEEDSLSMTTATTHPPQKRSKEEEDRLSMLTDDILLSILGRLNSRMVVRTSVLSTRWKHLPWLLPELTIDIKDFLPDPCPEPVEENHIDQAMVSLTKATRSFLANQHRESAVLNLDLRLYLINTFMCEIGSLVRDAVDGGLLKDFELAVLDKTDPRDCSDENMLQRAKEMDGFFSVYPSVPHCLTWLSLQNINFGNLDMHHVLFECCQELKHLSLYQCDTGFWSLFKIDAPSSKLCVLELIKCRFGRLEVVCLPKLEKLSWDVWVSEGVPLAFGSVPSLEELELSCGAICDQNAFKLTFNKLRELRVRGIFVEFDISWTSAFLVAAPSLEVLQIEVWEHPCDADDDREGSFSERRSPHWEMDFQDSKNLHLKELEFVGFKSLEEQFTFIRSILQRSPNLEKIVLKREEQCDYCDAFDVPSKFPKKDEQDMVARRIGDGIFSPQIIFDD >cds.KYUSt_chr1.39568 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242080026:242080319:1 gene:KYUSg_chr1.39568 transcript:KYUSt_chr1.39568 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTFTGTSRLGSALQPDQNHATRISRPDDIRVSTRGRVELGRRHGNAAKTSAPRPMAAPGRKWKGRSWQQIAQVAPCGQRTKMPAMGSLLLDGGF >cds.KYUSt_chr4.53812 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332913674:332917749:1 gene:KYUSg_chr4.53812 transcript:KYUSt_chr4.53812 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGEPTGIQSRERSKEALVTGEYEGHGEEGSRSRFRRAWADAAEGHTGQAALRGWPRGFTGMSHRDPRGWGSNSDAAAAPSLGAASRALAEVPRGDGPKNTEDDCGVVLTANVQSVYGANVCSRWGSFARAFSAKPSGNEVIGIDLGTTNSCVSVMEGKNAKVIENSEGARTTPSVVAFSQKGELLVGTPAKRQAVTNPQNTFFGTKRMIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMKETAESYLGKSITKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEYLVSEFKRTEAIDLSKDRLALQRLREAAEKAKIELSSTTQTDINLPFITADASGAKHLNITLTRSKYESLVNSLIERTREPCKSCLKDAGITVKDVDEVLLVGGMTRVPKVQEVVSEIFGKAPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLIGRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMASDNKLLGEFDLVGIPPAPRGVPQVEVTFDIDANGIVTVSAKDKATAKEQQITIRSSGGLSEAEIQKMVHEAEQHAQKDQERKTLIDLRNTADTTIYSIEKSLGEYRDKVPAEVASEVESAVAELRNVMASDDIEKIRAKIDVANKAVSKIGQHMTGGGGAGGSQTGSQDGGDQAPEAEYEEVKK >cds.KYUSt_chr3.41962 pep primary_assembly:MPB_Lper_Kyuss_1697:3:264882368:264883813:1 gene:KYUSg_chr3.41962 transcript:KYUSt_chr3.41962 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPSLRGCHFSGSNNTTRSSSFFNSCSTADMFDAEHLDDPSLVMNTTYIYNDSRFNAIIGLKSFATFRELVNQQLLAEHSRDLYQAFHIKYCDIFTRCQFIGGFDSLIGVDSQNLGEAHWKSIKSWPAVLEYIVSVLKTLQMQLLKQNHRACNGFTHDDLSEAAKKPVRCLFTVASVVSDHEVRKSPEKLFCVLNMYTALMDATPVLRKVFYTDSISIDVEGVLAKLKDSARGIVKVLKGLIQTYNSQKSVKDGSILALSRYLMRYISLLVKHKGSLDMILDHDHTDDLLTVDGMSLAYRLVFGLITDLESVIYKHSRSFASEGLKCIFLVNNIHFILQEVKQSDIQLTVKAKWFEKCQSHIKGYTKRYMYASWVPVTSTLDVSRGLPPSKKARINFFNFRLTSPSPMQSFASSLSATCNLQMFWKVPNPALRDELRAKILEFVNRAYNGHLESKKQYAGQCAKNFEPEWKSKINKLFEG >cds.KYUSt_chr1.18243 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106535555:106536697:1 gene:KYUSg_chr1.18243 transcript:KYUSt_chr1.18243 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHRLPLLVLIAILLLFTGASASHNQLPPESTTAPRRHTPSPASTATVHSHPVSSATTRSMHQNHLGMDGSQSTRLALDRFTADAQPSSREEAMAANHPAPLGPPRAATPPPPLIAAPDLTSEAPKRQQEDAGSASAATLAEPAATTLPQQLLDQRTAAASTGSQLPPIQDGVARAVASGSQEGLLPLARALRALGYNEMAASATLHPNLPSLARWHGPITVFAAPDISLQTSCPLCSRRRVLLEHIALGYYPYSELAASPTTKIPSASLNLCLNIATVRGTFSVHHARLFVEGVEISHPEVYNDGRYIIHGLRTFLQPLSPYSCFDRSHSRHCHDDSTPTTRPGDGTPTRSDATSVSVSATRVSVKIREAIARLRDGA >cds.KYUSt_chr3.19262 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118621885:118625427:-1 gene:KYUSg_chr3.19262 transcript:KYUSt_chr3.19262 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPLPRAPVSSPATRTVLWPARSNLRAAAVPPAGTLGLRRRQPFPAVSVTPASAQSTPPALAVDPEVEALLDSVKWDAKGLAVAIAQNVDTGAILMQGFANREALAATISTRKATFYSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGTPDGPTCHTGAETCYYSSVYDALQGSKSSQERQVATTLYSLEDTISKRKEEIVTEGSGKPSWTKKLLLDNQLLCSKIREEAGELIQTLLENEDRSRTASEMGDLLYHAMVLLSVKDVKMEEVLEVLRKRFSQSGVEEKASRKKS >cds.KYUSt_chr2.29055 pep primary_assembly:MPB_Lper_Kyuss_1697:2:178553024:178558580:1 gene:KYUSg_chr2.29055 transcript:KYUSt_chr2.29055 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAAALRLLRRRAAAPPSPMLRSPFPGPHSKASAALLSTVSRLYVAPPLLRRYSTKGTDGTAPTDIPGTGRMNQGTDDTTADDIPSAYLKNGGTDDTTTTDDVPGAYLKSGGTDGTTTATVIAGNCLHNKGIDGATAAADVHETCLKNKFCEFVKDLYKFNMPRPAIPKQYFAPPSGKRAAKNPFWKSVSRMSRLSLSEAAGIALRSLAEVASENHIRGIELGEAICLDNIWIDKKTGKCVFRYIKPKAELRQGPLRQEKVASDMKRLAKVSREIIQLLHPQAVHPAPLSHWLDLMEESQPRIEMIMYHTLFLTTPKRFIYHVTVDQILRKEFSVGDKMILMEILCNIAHLKGLFTIAELHELLNYSMGLSRLGFQKRQKMKEAKAAAAAAAEEAAAAGTALSSGSTAGTALSSGSTPGTDPGDGTATLAAVTVKSKRRRRRRSKKGNQSDPLEGTPAQFLGEAEKKEFLKNLNDGRNVVSFIRDCPVHGCECACKGFIFVDPSMLNRNDIVELVHFVFKDFDHEVLELFHQKGIFHLLNMEALFGEEKGKWRLR >cds.KYUSt_chr2.10620 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67560659:67564912:1 gene:KYUSg_chr2.10620 transcript:KYUSt_chr2.10620 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHGVIEANILEADLSVASGGQLRQPRKTAMKRKVFSWIKKLSFCNSQQLENAIGLGTTTGKLYATVDIDKARVGRTRMLDPTNVPKWGESFHIYCAHDASHVIFTVKANNAVGATLIGRAYLPTGGAVVEGQTVDQWLPICDDKGLPLDGGDKIHVQLRFTDVAADPEARWGAGVGTAGYLGVPRTFYGQRRGCRVRLYQDAHISDGFAPRIQLAGGRPYEPQRCWEDVFEAITNARRMVYIAGWSVNTDVALVRDPRKPSSGTLGELLKRKAASGVTVLMLVWDDRTSLGLGAIRRDGLMATHDEDTATYFRGTGVRCILCPRNPGQGRLSYVQDVETVAMFTHHQKTVIVDGGGGNPAGNASPGLVSFLGGIDLCDGRYDTQEHPLFRTLGTTHRADFHQPNFPGASISKGGPREPWHDIHCRIEGPAAWDVLDNFEQRWRRQGDGDEYLVALDKGWSASEAIQDAESWNVQVFRSIDGGAAAGFPDIPAEASRIGLQTGKDHVIERSIQDAYIHAIRRARDFIYIENQYFLGSSYAWRRDDGVTVEDINALHLIPKELSLKIVSKIEAGERFAVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYKDVALAIQAKGIQAHPKDYLTFFCLGNREAYTPGEYSPPERPEPDTDYMRAQQARRFMIYVHAKTMIVDDEYVIIGSANINQRSMDGGRDSEIAMGAYQPSYLASSIRPARGQVHGLRLALWQEHLGQAAAAAGASDLLRPSSVACVRRVNQVAQQHWEMYASDVPHDLPGHLMAYPIGVSDGGELQETTPFFPDTKARVFGNRSTYLPPILTT >cds.KYUSt_chr5.40781 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257458422:257465122:1 gene:KYUSg_chr5.40781 transcript:KYUSt_chr5.40781 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAVLLLAAAALLAGAASGSKWTFTKKGSVVTYDERSLLIDGRRDLFFSGAIHYPRSPPEMWPKLLKIAKEGGLNCIETYTFWNAHEPEPGKYNFEGRNDMIKFLKLVQDNNMYAVIRIGPFIQAEWNHGGLPYWLREIPHIIFRANNEPYKREMEKFVRFIVQKLKDADMFASQGGPVILAQIENEYGNIKKDHITDGDKYLEWAAQMALSTNTGVPWIMCKQTSAPGEVIPTCNGRHCGDTWTLRDKNKPRLWTENWTAQFRAFGDQLAVRSAEDIAYAVLRFFAKGGTLVNYYMYYGGTNFGRTGASYVLTGYYDEGPLDEYGMQKEPKFGHLRDLHNIIRSYQKAFLEGKQSFELLAHGYEAHNFELPEEKLCLAFISNNNTGEDGTVNFRGEKYYIPSRSVSILADCKHVVYNTKRVFVQHSERSFHTADPSTKNSVWEMYSEPIPRYKQTNLRTKEPMEQYNLTKDNSDYLWYTTSFRLEADDLPFRGDIRPVVQVKSSAHALMGFVNYAFAGSARGSKKDKGFMFEKPIDLKIGVNHLALLSSSMGMKDSGGELVELKGGIQDCRIQGLNTGTLDLQVNGWGHKVKLDGEEKGIYTEKGMGAVKWKPLENGHAVSWYKRYFDEPDGEDPVVLDMTSMSKGMIFVNGEGMGRYWTSFRTIAGVPSQALYHIPRPFLKSKNNLLVVFEEEIGKPDGILIQTVRRDDICVHISEHNPAQIKTWDTDGGQIKLIAEDHSTRGILTCPPKKKIQEVVFASFGNPDGTCGNFTAGTCHTPNAKDIVTKECIGKKSCVLPVDHRVYGADINCPTTTATLAVQVSQGRRCGPPRSSAGATAPVKEEEEVVYVSLPPPPEAEEEEHAFEELAKWSTSSRHFASPLSRQWRRRPPKRPEQATFFRMERAQEEATRQAALQEEAKW >cds.KYUSt_chr2.39618 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245775036:245776594:1 gene:KYUSg_chr2.39618 transcript:KYUSt_chr2.39618 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDKLANGGAASHCPSDDSPDSEQPLLYAHGGLQAGKDPAAARDHEAQCSPEDGGATSLRTYFNGLNALSGVGLLTIPYALAEGGWLSLVLLPVVAAVCCYTGQLLQRCMSASPAVRGYPDIGALALGGKGRFAVSLSMYAELYLVAIGFLILEGDSLNKLFPGTSLSLGPGIVVSGKHLFVVLVSIVILPTMWLRSLSVLAYISASGVVASLVLVFCVLWAAVVDGVGFQGKGKMLNVSGLPTALGLYTFCYCGHAVFPTLCNSMKEKDKFSKVLVICFVACTLNYGSMAILGYLMYGDDVEPQVTLSLPEGKLISKLAIYTTLINPFSKYALMVTPVATAIEEKLLSGNKRSIKNMLIRAFIVISTVIIALTIPFFGELMAFVGSLLSVMVSMLLPCICYLKIFGTARSSMAEVVLIVMIIVLGSLVAATGTYSSLRNIIHKF >cds.KYUSt_chr2.51552 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322125072:322130833:-1 gene:KYUSg_chr2.51552 transcript:KYUSt_chr2.51552 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLLGCADGGEVEGAGRGAGAAASVPRTSLEGWRLPLRLARPTLPASSSDGFWLADLVPGWVLEVSPTELLLFSVLALQLRLFASGAAASSKASRGVLDGALGWARMLAQFSSLVLVPSLLWVVLKLDLHDNKQKQKAIKAVSTLHGIDEITVDMKVQKMTVVGTVDPVDVVDKLRSKLFPTAQIFSVGPAKEEKKDGEKKEGDKKDEDKNVVYPPYWYPPPPYYFAHQDDPNSCAIL >cds.KYUSt_chr5.9233 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58548819:58550330:-1 gene:KYUSg_chr5.9233 transcript:KYUSt_chr5.9233 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSSSAAAPAAANGNGSHAAPEPAPEQKRDSSPEPDRKAEQLKTLNSMLLKEAVERRGQVAALTARLEEISADGDALGAAERAVARAALAAPLRAAADEAAALRARLAALQDALRLAESSAALEAGAKDEARARLEEAAGEKARFLKLLQVKEAEVASISNKVSSLAAVMAELEANNSVLLGQNGELGKELEEAKEAVRVVLAQKAEVERSFQEFKEEMEAYRVEMEGKLTAKVEELKVLGSKKAEMDARVASLETELALSVTKAEGLEAEVVAKKKELDLLKGKSDKLQSEVAAAEKKHSISAAEVERLKVELGVLVKAKEVASKAFDAEKTEITKELESLKRKVEETHADKEAAEGATREKDAEAVKLRAQLEELHVSMSQLQTSCHELDTKHSRLQSEKNSVQKALAAEKAEAGKLMSKIQTLENSNGKMDSEIGELRVALKDKNSKIEVLTNEAELLQLAVAEAQKRNKGGIWAWVYAATTTMVAAISLIYATRAQ >cds.KYUSt_chr4.1897 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10330980:10331195:-1 gene:KYUSg_chr4.1897 transcript:KYUSt_chr4.1897 gene_biotype:protein_coding transcript_biotype:protein_coding MACMLPQLLEPMPFVDQQRPERNTEELMRRLEVLRKNGSISEETLRRCHDLFGVEPVSDELLATLADHFGW >cds.KYUSt_chr3.42131 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266165222:266166286:-1 gene:KYUSg_chr3.42131 transcript:KYUSt_chr3.42131 gene_biotype:protein_coding transcript_biotype:protein_coding MASASYSSNKVCGGCEVWRLRWLSPLAGRGGEGRRPSALQQLLQAQGPAARSPACASDAGYPSALIWCRRLWWEATAVFVEGGGSLNVCARFGSRFCKIRKWLPLLAGRGGEEKVVPVEVLCSPVSRSARQSAENLQLLYFLFTVRQGKGDEGGSMCSWVLDDGCWQGLFLQAFPTSDDKLRGRNSSISPQEDDAADLAHIFNTCPCKLCKPEILNCYGHRVCDLDCCTDQFTSARKRFESDLANGPVIILFLDGFRITMPTPVSIDYHHRRTRARCLQMVKEMNMIQLQSGCHMLLPCQVADHAVFAFFVPLGCIRRRQMRESPMSLPRFSGEDPIDLSSVRHPLDLQLNPQP >cds.KYUSt_chr2.50883 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318230339:318233081:-1 gene:KYUSg_chr2.50883 transcript:KYUSt_chr2.50883 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVAAATSRAVEPHRNASAEPSSQAPSSSSSRAAEAEAGPVSHDGCAEEDVLHLDSPWVAAAEADSRLEEAAAAAGLRLCAENEAEADEIMDNLQRQDDEVVNRIGEDRGCPDDCLEQHEEDKGDDFSYSCSFEHLPPLILTCLLPQSYPSKEPPYFTVTAKWMDGPSVSQLCEMLDTVWADLPGEEVVYRWIEWIHTSSLPHLGFDNKITLGPDIPKHKGDSRAISRSLSLESVIPLMLSYNSRKCHQVFLEDLHMCMICLNQSKGSNFIKLPCQHLFCVKCMETLCRMHVKDGTFFQLVCPDTKCNVSIPPYLLKRLLGKEEFERWDKLTLEKALDSMSDVVQCPRCAISCLEDEDSNAQCPKCCFVFCSVCKDPRHPGKLCLTLEEKLQRQQASGKMATRGMVEDMISVKLLYNNARSCPKCQMTISKTDGCNKVVCSSCDQTFCFRCGKAIIAGYAHFRLAV >cds.KYUSt_chr5.30123 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191089949:191091913:1 gene:KYUSg_chr5.30123 transcript:KYUSt_chr5.30123 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIRVPRNMRAKRELLKHAPKLVENGKKMIILHGTKTSAVLNSVLADLFHLKRDHAVKFTKKNDNIRPFESGGETSLEFFSLKSDCSLIVYGSHSKKRPNNLVFGRTYDHHIYDLVEVGVENYKSIESYAYDKKFAPKLGTKPFFAFIGEHFESVEGLKHLKEMLLDHFKGEVVENLNLAGVDRIFVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRNRRAADSLQKEAMKAPGHAKKLKNVSNNPIDGKLGRVYIPDQEVSKLALTSDIKGLKRERRDAKKNKEHSKKQKVNPE >cds.KYUSt_chr7.39873 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247703889:247708973:1 gene:KYUSg_chr7.39873 transcript:KYUSt_chr7.39873 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSWSGGRDLAAWISVDIGSLSLPLQLQWSEGRVEKKIDAPFNKGVAVCLLLQRDGGEMRFFLAGLGGEGENACTPAICVLEEFLAGHGGEEELSRVVINASASWRPYPFCYWCGVEAVDMGILKSMQHDGASVSHSVSFLLGALLPTLLLFFLASDRVSEHLSSISSFSAHQLTNLSTHGSAAQKEESFPGLAELLPKVATDDGTVIITSVNDAFATPGSLLDLFRGSFRDGEGIAHLLNHTLIVALDPGAMARCGAVHPHCYHLEVAAANVSSASRIMTKSYLEIVWVKLSLQQRVLELGYNYLFTDVDILWFRNPFRHINLYADMTVSTDRFKGDTESLTNWPNTGFYYVRSTNRTVEMLRQWRAARSRFPPDHDQFIFDKIKTELAHGELQIKFVFLDPVRFDGFCQRRSKIDTVCTMHANCCIGLENKVHDLKNMVNDWKNYMNLTLLERRTSKNGWKVPAHCATSMRKGSGHGK >cds.KYUSt_chr4.30736 pep primary_assembly:MPB_Lper_Kyuss_1697:4:192637328:192643728:1 gene:KYUSg_chr4.30736 transcript:KYUSt_chr4.30736 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSFACGGPAEASSSTDGGAEQNDESPELVARMQLVGLWGPRAGRPRPSSPSHPPSVLSLERAHVHNKPTSTLFSALSAIEEAYVEFGCPGDGNVGVHVSCCRLSVLMMHVRHLLQAVSHRGPKHQVKQEAWWLVMGNVAGLELYGLKRISSADSYCMYAEPRLLKMAAVNLDNTTSSDAGNKHPQPGFGCPEDSETVTTALCSCWCAMVITSLPPHEGPNLSFHALDEQFDLLDKVLNTWDNMVGVEHLEEDSDGKARLKARHSAG >cds.KYUSt_chr4.17590 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110135795:110137012:1 gene:KYUSg_chr4.17590 transcript:KYUSt_chr4.17590 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKTTNKALRSSATVNTGKVLRRRTAPNKPEFMSTRKRFRRPAIPEMPDDLVSEILVRLPVKSLLRFKSVCRTWHTTICSRSFISMHLQRAVSNYQRHQCFLLTPYFLAKDSSTISLYRWQKSQASASLAYTLNFFEGASVRVYILGHCNGLVLVPTSTKMYVLNPSTRELLTLPESIRSMLQPSSICRAIVGFGLDSFTNKYKVVRFFNYSLDEARMGMEICTISETDRAWRRTDVDPPYPVSGWQTATFFQGSLFWRLDGPDLIQSPESRLLRFCLKEEVFSLTMQPPCPDLDHDDFALNVLDGEMCLAQFDSSQRTVLWMTSDAVNPQWYRRCILNVDTSLAISISHCGLLLVDLHRVFQYDFQSKKVEDVAHLDGLKYDGPGSCTIKYACQNSMYIGVLP >cds.KYUSt_chr7.40673 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252438636:252442772:1 gene:KYUSg_chr7.40673 transcript:KYUSt_chr7.40673 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDWCGVTEQKTGSGTVWLIGCSLPVLSAPKVFRHVYGFRMLIVALRVQLQRVHGVLDYERGSIARHFSASACSAAVKEDGVSSSSVHGDYGKKVGSSSIFQERLSGKGPGTSKVSPREARGSYIPKRMQFSVTGANSLFSCGHVVSARQFSSGADLPAHEEIGMPSLSPTMTEGNIARWVKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIVCGDGAKEIKVGEIICITVEEEGDIEKFKDYKASSSASAAPPAESKPQSEAPEPKEEKKELSKAPEPKATKTEESGDRLFSSPVARKLAEDNNVPLSSLKGTGPDGRILKADIEDYLSSAAKDTKKEASAAPGLGYVDLPNTQIRKVTANRLLQSKQTIPHYYLTVESRVDKLIKLRSELNPLQDASGGKKISINDLVIKAAALALRKVPACNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVVRDADKKGLATIADEVKQLAQRARDNSLKPSEYEGGTFTVSNLGGPFGIKQFCAIVNPPQSAILAIGSAEKRVIPGAEGQFEVGSFMSATLSCDHRVIDGAIGAEWLKAFKGYIENPTTMLL >cds.KYUSt_chr6.30419 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192643739:192645220:-1 gene:KYUSg_chr6.30419 transcript:KYUSt_chr6.30419 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKRHADRLSTLPDEMLQHILSHLTSEEAVQTSLLSHRWRDVYAGVPVVDLLDPKSGRNKQEQLVCFDQQVTGAILCKSPGTPISTFRLNAFSPPRDLLDQWILIAMSSGVEEIDVKLRYLHCSRRRLCPFGSSNKASADFDQHERKSYVKTQHHLFRCPTLRCLRLANWTLDLPPGGVAMLATLDTLCLVRIMDPKDQLQHLLSSCPHLTHLTLQECPSLTDITVTSARLKSFAMICCHHARRVELSSHRLQLLQYKGGLLPHNSLFKLADHAGVVALKIEICQDLSDKEPTEFAPATQLIKGCTKLAHLHLSLRPSMDHCSSFFFTDVLPCMQHLRQLGLQCCFRNDNDVRSVAVLLGETENLEVLSLFPLGSETQKDVGTWYWSDSESDTEPEDGGGDGVDYSSRVTDGFWPTHIRCLDDKLRRINIWNYRGLQLEKMLAKFLLSKAAALEEFSVTLTAGCSQNKSKIAKEVRSWRMNHHTRVTVNRC >cds.KYUSt_chr3.35093 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220217169:220219610:-1 gene:KYUSg_chr3.35093 transcript:KYUSt_chr3.35093 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAALHLHDWRAPLPLPGGSKNLPIYPQIPPAAATGGGFTEEELDSLLFLPSDASGYLMNASPAPLTHSTSAHSASPPRDAASVSSSAPPAAGHAAHPDDAEAVSDIDIVLGYIDRMLMAEDIDEKFEHYPAHAALREAERPFLEILADNPPSSGGSTLDSPDSGTNSCTSLSSCTCAAPPAALTPPALEFSTAEFLQPAQPLYPDLSLSPDSCVVDAAGAWPYDPTEFYQLQTNPVSEPGTLLSQSSSFASSNGSSSLALSDGFDSFLSTGPDAALTDFVLQSQQASQFSRGFEEGSRFLPQESKLVLDVDSLPSAASLQHAGVKKEKKFLEVKTEKPDAHRGKKHFHVDDLDAEEGRCSKHSSPVMDADHLIREMMDKVLLCNGETCSKGVKELREALQHDVANHSGGANGKGSGGHGKGRGKKQPKKEVVDLETLLVHCAQSVASDDRRSATELLRQIRQHSCADGDGDQRVAHCFANGLEARLAGTGSQIYKLYTISRFACTDVLKAYQLYLAACPFKKISHYFANQTILNAVEKAKKVHIVDYGVYYGFQWPCLIQRLANRPGGPPELRITGIDTPQPGFRPAGRIDETGKYLTDYARTFKIPFKYHGIASQFEAVQIEDLNIEKDEVLIVNCMFKFKTLMDESVVADSPRNMVLNTIRKMNPQVFIHGITNGSYNAPFFVSRFREALFNYSAMFDMLEANIPRDNEQRLLIESALFNREAINVISCEGLERMERPETYKQWQSRNQRAGLKQLPLDQSIMKRAREKVKCYNKNFIIDEDNRWLLQGWKGRILFALSTWKPNHRSS >cds.KYUSt_chr3.12807 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76810452:76810811:1 gene:KYUSg_chr3.12807 transcript:KYUSt_chr3.12807 gene_biotype:protein_coding transcript_biotype:protein_coding MASCWESLTSALSPASTSSSSQREQLLAREERLRERADALRRRHDEVVRHTAADEAATLHAETLQREAVAQRRVLMVTKEKNDQELPALIMELKAKDERVRVLEAIFDTVKTNKKPVYR >cds.KYUSt_chr2.40945 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254438587:254439231:-1 gene:KYUSg_chr2.40945 transcript:KYUSt_chr2.40945 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIKHTLQALPWLLLFAQQAAASGGCDCTTATDGAEKQGATKLKLVAIASILTAGAAGVLVPVLGRSMAALRPDGDIFAVKAFAAGIILATGMVHILPAAFDSFTSPCLHKGGGSRSSFPFAGLVAMTVAMATMVIDSLAAGYYRSSHVSKAQPVDSIDIPDHAGDEEGRTDHVHTQGEVVIIISPEEASIADTIRHRVVSQVRVATQCHRNP >cds.KYUSt_chr5.29750 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188624893:188630087:-1 gene:KYUSg_chr5.29750 transcript:KYUSt_chr5.29750 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSFSRSGPQPQGRRRPGPYTTPENSTSFTSGPAHRGPRRRGRGVVDDMSWQSSVAWQPQQDTSWAQPHGLGAAVGPWGLGGSDTASRRGPALFQRTARDYYLQRRSGTRFHHDRSSIASSAVHRSGVSGIAGKRLELQSVVTDASKAIILAPDVSFASNDADSVAVPAARAGSGAVVRYGGGVNTPVSREVSFSRDNRNKLYVTSAPPQREQPSFGYDVSATSYSQSRYYSEEDGGGGGDYGYEDDEDDLEPRAGKPVSVTGLFKYSTPLDILLLVLGCIGAMINGGSLPWYSYLFGNFVNKIVTSDTTQMMKDVRQISVYMVILAVIVVIGAYLEIMCWRIVGERSALRVRREYLKAVLRQEIGFFDTEVSTGEVMQSISSDVAQIQEVMGEKMAGFVHHVFTFIFGYIVGFKTSWRIALAVLAVTPVMMACGLAYKAIYGGLTAKEEASYQPAGNVAQQAISSIRTVLSFVMEDRLANRYAEWLHKSAPIGIKMGFAKGAGMGMIYLVTYSQWALALWYGAKLVAQGEIKGGDAIACFFGVMVGGRGLALSLSYSAQFAQGTAAAGRVFEIIDREPEIDAYGTGGRALSAVRGRMEFKDVEFAYPSRPESLILYNLNLTVPAAKMLALVGVSGGGKSTVFALIERFYDPTRGSITLDGQDLGSLNLKWLRSQIGMVGQEPILFAVSIIENVMMGKENATRQEAIAACTKANAHTFILGLPDGYDTQVGDRGTQMSGGQKQRIALARAIIREPRILLLDEPTSALDAESEAVVQQSIDRLSVGRTVLVIAHRLATVRNADTIAVLDRGAVVESGRHAELMARNGPYAGLVKLASNSGRAEPNNAVPGTPGTPGPAGYNSFMDNSGYDVSMSKSRYGGIRTIQEEEADTKDARDGKGATSFNVSEIWELQRREGPLLILGFLMGINAGAVFSVFPLLLGQAVQVYFDADTDKMRRQIGYLAMAVVGLGVACIVTMTGQQGFCGWAGARLTMRVRDRLFRAIMQQEPAWFDEDDNAMGVLVTRLARDAIAFRSMFGDRYAVLLMAVGSAGVGLGICFGLDWRLTLIAMACTPLTLGASYLNLLINVGARSDDGAYAKASSIAAGAVSNVRTVAALCAQDGIVGTFNRALDGPTAKAQRRSQYMGLILGLSQGAMYGAYTVTLWAGAKFITQGKSTFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPTAIAGILNILKRRPAISEDGKRRAIKDGKPMDVELRKVIFAYPSRPDVTVLNDFSLRVKFGSTVAVVGASGSGKSTVVWLVQRFYDPLGGTVMVGGVDVRDLDLKWLRGECAMVGQEPALFSGSIRENIGFGNPKASWAEIEDAAKEANIHKFISGLPQGYDTQVGESGVQLSGGQKQRIAIARAVLKQSRILLLDEASSALDLESEKHVQEALRRVSRRATTITVAHRLSTVRDADRIAVVSLGRTVEFGSHETLLANHRDGLYAAMVKAEIEAQAFA >cds.KYUSt_chr2.36589 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225883395:225884934:1 gene:KYUSg_chr2.36589 transcript:KYUSt_chr2.36589 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVPPPTAAIPINITATLSAAIPIKLDQENFLLWKAQAMPALIGNDLMAFVDGSNPAPPKLVPAETGSSTQVANPAFAAWRKTDQQILSGLLTSLTPPVLGHVQLLKTSAQVWEALDRTFASRSKARIVQLRTALVRPKKRDVTMSTYFQNIKKIADTMATIGNPLGDDEIVSYILAGLAEDHENFTTSMSMLAGKDDFSLNDLYGHMTAYEARHGDRASGGQFQHSANNASRGGGRGYQGGRGGGGRGRGDGRGNGGGRHHGGFHDNGGHNGYGGGYGGYQGGFNGGGNGGGRGHNPGRDGSAQGGGGGRGRGGGKSTCQICGIYGHDALRCYSRFNHAIQPETSNRQASYSYSTSNANDYGDNWVLDSGATDHMTNDMSRLHVQDAYQGNDQIQVANANLPLIITVLLNFTLTVFSSRIAPRSKLVYKVEATMDSTPCHILAIAKPSTPLHPRICGTNASAILKDRDVA >cds.KYUSt_contig_7513.20 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001572.1:155085:160494:1 gene:KYUSg_contig_7513.20 transcript:KYUSt_contig_7513.20 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIQLRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPYENLQSFLDAVDRLRSIERFFSSNRSYSSSDRVLSHVNALLSKALAKMEGEFQNQLSQRSKPMEPDRLFDCLPSTLRPSAESRAEGGKHQPAGADGQEAAGYSPPALIEPKFVPLLAKLAQQLVQAGCQQQCAEIYSEARASALESSLKNLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAIDTIFVGESCSQMRDSAVSLSKCLAQTAQKTFSDFEEAVEKDATKNIHTDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKREDGTGSELASVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANQYRRVAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRTAVKERFRSFNVLFEEIYQKQCGWSVPDSELRESLRLAVAEILLPAYRSFQKRFWFSMALIIPLCQIADLSLRTAKRLGKYVKHTPEQPEVFLGNLFEGKQERA >cds.KYUSt_chr4.23748 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149654785:149658571:-1 gene:KYUSg_chr4.23748 transcript:KYUSt_chr4.23748 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLHPKFHARDREDGDVMTCRRSPRLHPQIHTSEDGAGKACRRSPRNHPQIHASEQGAGTTRRCRRRRRGTSLPDNEDMLWEILLRLPPQPSSLPRASAVCRRWRGLVTDPRFLRSFRAHHRKPPLLGVFESFDGLVFNDLLRQRLRRKGRIELRFILETPDRIPLLPIETGIHSRTRLLGCRHGRLLLLGGARKKVSVCDPITGELHRVCTPPDFTRYEFLNVAVLCAAADQGHVHGSCHSSPFKVVMMSPCGEDDRPVACVYSSETGVWGHVISTTARCGLHAANPGILVGNVLYWSSKSVSASYVNLNRTDDILEFDLDRQSLAVIKGPPELNGSLVHQIIQTEDGVVGLAVFSQDRFKMWERKANRHGGATWLLQKTVEMHTILGRPSPIKRSVAVLGYDEDNVSMHKVIAHPYFLYRGSNQSSDVLHMVDVLELECCLWFDVLFCLNGSTAGDGTPQANGSTTLHLAVSTPLTTHNGDTKEYTKTIPVNMLVPSPANQVSKKFQSLWMRWSKCNELSGVPKVFDRTPMPHKFTPRVPVRGGLSGGGTVHREGAKKRSSGDADLEDKDALEDSASSPEKKGDRLTREADENVLEPNARKVLDMNSTDVSTETNMETDGLIGENQMPPLPPPYVKMKDRAKARKTGTTEIDTENLASSAASLEGDRRAQ >cds.KYUSt_chr7.37737 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235386412:235386636:-1 gene:KYUSg_chr7.37737 transcript:KYUSt_chr7.37737 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVLLLVLLSAVSRSEAADRLPAQGVTGGRRMLVTVSTVEPAGTGPLSAALAAVPYSESKRTSPGGPDPQHH >cds.KYUSt_chr6.12040 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74933596:74939684:-1 gene:KYUSg_chr6.12040 transcript:KYUSt_chr6.12040 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGVAASPSQPSPAQVRSTAPLVTSGVQFGPGPPTPAHGRVSHYLPPTAYAVGVSGENGDEEMKPCQPFFSMCQPLQTVSYSNSWDSVCAPAATDAPQTSGLDSLDDGDTPSAPRVTDSKQPHSESISDEVDESNKDSSLPSTDKGHDVEQLSQESDQLMNDPDLLDMETEQQPEPLCTEKPSSVDHIEKWEMETKQHSPPLNSKQWSSNWGLGPCDKENKQFSISFSRCREPQSVGAGLRNMGNTCFLNATLQCITHTVPLFKKLRCTDHSTPCSYDEDGFCSFCALKGHMEESVRRSGSVLVPARFKDNLSKLSSDFRPGQQEDAHEFLRCLLDNLHKCTLDPKSKGKPSSFDEESIVKQVFGGRLKSQLTCHDCGHRSETFEPFLDLSLEIDQVDDLVAALESFTKVEQVGDAENKLTCESCKVQVCKDKRLVLDKAPDVVAFQLKRFTTLDNSIEKIDKHVAYPSELDLEPFHSNPDKEDLKYDLYGVVEHSGLPNYGHYVCAIRSSPSSWHLMNDSLVDSITETSALRQEAYILFYVRQGKFPWFSSLLEEAASGASPVSVLDNIDLDCSTSGNSSSGYKFENDEASQCKTSSLPEEPSKRCSGDAFNSINKKEEISPRRTSLQADVVMRCAPSATEITNPERPSTPPPRPKRMYSVNDHDVFAFENLDEDDTPLMPVAEHQTKGKKQKAASASKSLKGACLDQNASRLMRSMTSKRRKGFLDCINTQRNEQESRSGPRSDPVGKRKRKFDIPVLQY >cds.KYUSt_chr1.41432 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254139313:254140752:-1 gene:KYUSg_chr1.41432 transcript:KYUSt_chr1.41432 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACQGQSTSKHSASTTSLTVTEHLIPSMAPPLLQLAIVLLLATTSVSPTASVVFPKEALPTSSGYLPVDPATNASLFYAFYEATDPVTAPPATPLLLWLQGGPGCSGLLGDLFELGPYLVTPDGASLSRNPFAWNRRFGLLFLDSPLGTGFSAAPSPALIPTNQSVIAEHVLAALQSFFDASPASFRARPFFLTGESYAGKYVPAAASRILAVNPGLPAGRRINLLGVAIGNGLTHPVAQVATHADSAYFTGFINARQKRELEAMQAEAVALAEAARWREASDARGAALSWLENATGLATLYDYAKQRPYASAAVGKLVNGEEVKAALGARTDVVWEECNQLVRAAMHEDIMKSVKPEVEAVLLRGRTRVLLYQGIRDLRIGVVPTEAWLKELRWSGLRAFQDAEHAVWRVSGEGGEEELAGYVQRSGMLTHAVVYGAGHLVPADNGRAAQEMIEDWVTQTGLFGRTRPGIAGRRSD >cds.KYUSt_contig_402.267 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1652658:1653479:1 gene:KYUSg_contig_402.267 transcript:KYUSt_contig_402.267 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLLVEVSPQELLQSLAELQNHLLGYVKSMSLKCVVDLGIPTAIHRRGGTATLADIATDTKVHPAKLADLQRVMELLSVSGIFSTTASEDGGAVLYGLTTTCRFLVGWRNLSPMVPFLANPLVVSSFFSMPDWLRSEPAPAGAGSLFELAHGCSQWEMGSKDAEFSSALNNSRAADNQLFLEVIIFDKGRIFRGMNSLVDVGAGKGEGTKIIAGAFPRIKCTVMDLPHIVGQDADGTGHDNLQFIAGDMFQSIPSADAVVLKVFIIVHNTS >cds.KYUSt_chr1.5034 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30996595:31000708:-1 gene:KYUSg_chr1.5034 transcript:KYUSt_chr1.5034 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSMGAPRSSTVKFRMPTRDNLVPIRVDVEVDGQRYRDAFTWNPRDPDSEIMSFAKRTAKDLKLPTSFVPQMFHSIQGQLADFRSYEGQDMQVKEKIVPLKIDLRVNNTIVRDQFLWDIGNLESDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSAMREAKMSKKRRAPEFASNSKAMNNAVDMFKYFGSKGSVIRKRKEWYLYAPVVDVISNEKTVVVAKDEAKL >cds.KYUSt_scaffold_1259.52 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:340077:343990:1 gene:KYUSg_scaffold_1259.52 transcript:KYUSt_scaffold_1259.52 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLESNGKQLESPLLTSLQPASAGSGSDDGHGHGAAKQLESILNDESVPWGRRMSAATVVEMRMLLRLAAPAVLVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGANKFDMLGVYMQRSTVLLMATGVPLAVLYVFSRPLLILLGESPEIARAAAIFVYGLIPQIFAYAANFPIQKFLQSQSIMAPSAYISAATLAVHLVLSYLVVYKFGLGLLGASLMLSVSWWIIVIAQFIYIVTSSRCRLTWTGFSLQAFSGLPEFFKLSLASAVMLCLETWYFQILVLIAGLLKDPEMALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTLLSFALSVVISVVILLCRDYISYIYTGGEDVAAAVSKLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGCLLGFYFDLGAAWMTHNAGHMERDDWRYLDADSDPAVGYLQNRLEQRGKYGIQLDR >cds.KYUSt_chr5.27016 pep primary_assembly:MPB_Lper_Kyuss_1697:5:171052508:171052828:1 gene:KYUSg_chr5.27016 transcript:KYUSt_chr5.27016 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSWFLRQVSGDVLGRCEEEAGHAVVMAQRDAKPCQPRRGPERPLKPAEDPTVPTGRDHLGYGKLCCRPLAKARSNSSSKRRERSSAPTKGILVAAVHRPVVMSS >cds.KYUSt_chr3.38505 pep primary_assembly:MPB_Lper_Kyuss_1697:3:242552802:242554065:1 gene:KYUSg_chr3.38505 transcript:KYUSt_chr3.38505 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATARECRAYSLGSGGGEASRNRRWEYVNAGVYVFAAVLLVGGFLAQLWPWAVSQKTGLALAIIGLLGVVGVNAHDLLAHVAGVDYNLGLAGLDSQFVLVELAAPAVHLVGAVLTLVALIFFEIQMDRGYRHGLEKHGLNLLIAGPALWLLGSIHNICQIYERASGHVQILQKSVQIPLLLGSTIYLVGGIVNRHDIHTHSSAGLTLLGRSWAWFCLFGSLLFLAGGLFNLLKVFKMQQMDGRGLEKLRGGAQERLSREREGKVPLILEEGRRRNKGDETWPAAARHEPRAAPVAPPPEGSYKEALVSGGN >cds.KYUSt_chr6.20993 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132390271:132393365:1 gene:KYUSg_chr6.20993 transcript:KYUSt_chr6.20993 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPASQLERRPPILIDRDRGSAGTFLVGFFGVVREVEVSALTAGGCFKAGTDERDVANGGDLCAGAGHRRPRAQLKNGFYTGKCGANDVETIVQGLVKTRVGRDAAIVAQLLRLQFHECAINGCDGGLLIDGPGSEKTATPNLSVKGYDLIATIKTELEKRCPGVVSCSDIEILATRDAAALAGVQRYSVRTGRRDRRRSNAADVKLPSEEDTAVQATSYFAGLGLTQLDMVVLLGAHTVGVTHCSKIKKTRLYSYGGKTGATDPSLHSDDVAVYKKYVCPNTASSDNNILYLDTQSSVSRIDNSYYKRLQGRHGVLSVDQNLYANGSSTKWHVDRLANTDHFSWLFPQALIKLSEINVLTGTQGEVRKVCSRFN >cds.KYUSt_chr4.22256 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139822292:139823074:-1 gene:KYUSg_chr4.22256 transcript:KYUSt_chr4.22256 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTFETTQGRRFTVEIWYFSTVRTIKELILKQEDIPVESQRLFFQGKELHDDHDTEHYSILEGSHVLIVLPDDAPAAAAAAVVHIVASAPSLGRSVALDLDASCIVARLKEALQERTDGALPAARLSVFYGKAEMEDDKALAEFEPPAQGMEVRVVVRQPPSPPVCSNGGAKTNSSKQQQRMSVEVKWGATTATLEVSDMDAVKELRAKLGSATPRLLLPNDGGYFFIYKQNVMEEDRTLRWHDVKSGDTIEIFNGRVT >cds.KYUSt_chr3.44169 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278824643:278828151:-1 gene:KYUSg_chr3.44169 transcript:KYUSt_chr3.44169 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSVGRLDQPLRQVARPQDRSTGFLEEMLRWTSCNGQISSDTINTPSPTSEQLGVQSIGVCYGIIGSNLPSPQDVVQLYRSLAISGMRVYTVEPPALNALRNAGIDLILGTTNNDVAHLAASAANAAFWVQTNVKPYYPDVKIKYIAVGNELTGGATQSIPAAMRNLNDALGAVGLSAVKVSTAVKMDVIANSFPPSSAVFAQTYMTDVAQILATIGAPLLANVYPYFAYLGNPGNISLSYATFQPGAPPLTDSGNRLVYTNLFDAMVDAIYAALEKAGAPGVRVVVSESGWPSAGGVAATMENARAYNQGLVDHVAHGTPKKPGPIEAYLFAMFNENQKTGEETERNFGLFYPANKAPVYPINFAGSVAANRTHLRGSRRH >cds.KYUSt_chr5.19493 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126464397:126465182:1 gene:KYUSg_chr5.19493 transcript:KYUSt_chr5.19493 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKLRDEAGPLLRAKLPVALFSVPAVASLTAGDPADLRLSLATAAAALPSLRLSYAPNRPAGSSPFSLSLVLGSGPGGCPSSGPAGASAITMAVEVSAAGAVSFSLALKPSLGDFTVRKRFESAGGSGGTSPSEVTMRSVVPVRGGAGAVRIRWGVRIPAEITATGEAGAAGVILRRLPFLVLGKVTVETRSGKPAPAPEVAVQEEAAVQKARTENEKLRKEVEKLRATAEEGRKKETSVLAGARRDNGGRSPEMVGN >cds.KYUSt_chr1.41379 pep primary_assembly:MPB_Lper_Kyuss_1697:1:253793200:253794506:-1 gene:KYUSg_chr1.41379 transcript:KYUSt_chr1.41379 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTDVDNTTKQQGATYACLAPNPGKATILALGHAFPQQLVMQDYFVDGFMRNTHCDDPVIREKLNRLCKTTTVKTRYVVMSDEILKSCPELAQEGSPTMKQRMDISNKAVTQMATEASLACIEAWGGDLSAITHLVYVSSSEARFPGGDLHLARALGLSPDVRRVMLAFTGCSGGVAGLRVAKGLAESSGPGARVLLATSETTIAGFRPPSPDRPYDLVGVALFGDGAGAAIVGTDPAPRERPLFELHSALQRFLPDTEKTIDGRLTEEGIKFQLGRELPHIIEAHVESFCQKLMKEREGDHHDQQLSYDDMFWAVHPGGPAILTKMEGRLGLDGGKLRASRSALRDFGNASSNTIVYVLENMVEESSRRREEECEWGLILAFGPGITFEGILARNLQARAAN >cds.KYUSt_chr7.14015 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86588125:86590313:1 gene:KYUSg_chr7.14015 transcript:KYUSt_chr7.14015 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVLLLVANGAGTGKGKISAVFMFGDSMVDPGNNNHRITEAKANFPPYGQDFPGGKATGRFSNGKVPGDMLASRFGVKELLPPYVGNDLELTDLLTGVAFASGGSGYDPFTSVPATATTSTGQLESFLNYKEKLKELVGEEEMTRVVSEGVYFTVMGGNDLVNNYFTFPLRRHQYDLPSYVEFLVSLAVNFTVKLNEMGAKKIGFIGIPPIGCVPSQRKHGSRECDPLRNQAAELFNSKIAKEIDRLNAERHIQDSRFAYGDIYYNVLDLIKRHGHYGFKEVTEGCCGSTVLNAAIFIQYQPACPNVYDYIFWDSFHPTEKAYNIVVDKIFQQSLQYLI >cds.KYUSt_chr4.34254 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210235471:210237011:1 gene:KYUSg_chr4.34254 transcript:KYUSt_chr4.34254 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGATAQMKSSVLPFRVKTQGCDFSRKSELELLQYQKKVREEYETYGFVRVENDEEEEERMSETHRAACLKAFGPDFHLDSSDSEDEGRCDFWEEVIAEDA >cds.KYUSt_chr5.38473 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243251401:243253650:1 gene:KYUSg_chr5.38473 transcript:KYUSt_chr5.38473 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAFSSSANPSPSPFTSGTPSSRAHRLSLRSPRRRRHPLPALRSHPSASASASVSHHDVVVVGAGIVGLSIARHLLLHTPLSVAVADAAVPCSGATGAGQGYVWMSHRTPGSDTWELAVRSKQLWEDLAAEMDGLGGGGARESLGWMKTGSLLVGRTSEELATLEEKTKVLSQAGINAECLAATALHALEPALSVGNDGGAMFLPDDRQIDAFQAVSLIEKTNSSYSPKGRYMALYNDPAMSLIRSEVTGRVEAVQTSKNILYGRKAIVVASGAWTRSLLHSFLGPNSTLDIPVKPRKACDILTTSWFKL >cds.KYUSt_chr5.40078 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253190184:253192076:-1 gene:KYUSg_chr5.40078 transcript:KYUSt_chr5.40078 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGCEVEAGGINHHITVSSRPHPLKIWSRPDDLLLDAAPAVPGDAPPSSMSGSSRCRLVLRNVACRARPGELLAIVGPSGAGKSTLLEVLSGRLHPSNSGHLRVNGTPVDAAALRRLCGYVTQQDVLFPLLTVRETLHFSARLRLGPAAYVPGAVDALVSDLALSRVADARVKDLSGGERRRVSIGVEAVHDPAVLILDEPTSGLDSASALQIVAALRSMADSPRGRTVVLSIHQPGARIVKMFDAVLLLAAGSVLHHGSVDALGSLLADAGLRLPPHVDTVEFAIDSVDDLRVHLHRHQRRDRCTLQQLFHQHKLIQAADDDERGHGDGYANSWPREVAVLSQRFFKNVARTRQLFACRTVCMLIAGLALGSIFYDLGDDKAAERVGLFAFLLTFLLSSTTEALPVFLQEREILAKETSSGAYRVSAYAVANAAVFLPFQLVLAAVFATPAYWLTGLRRTAPAFGYFMLLIWLVLYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFITKSAMPAYWVPMHYLSLFKWPFEAMLLNEFGGRCVARAMGVCVATGDEVLRREGIGEECRWRNVGVMLGFVVFYRVLGYAVLRVRCALTLKGAAARSALSSSSPSCFSSNPKP >cds.KYUSt_chr2.37075 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229481447:229483715:1 gene:KYUSg_chr2.37075 transcript:KYUSt_chr2.37075 gene_biotype:protein_coding transcript_biotype:protein_coding MACALSASAVSPAAAALVASPKPAAAPQCLSFPRAFSGAAARSSRLAAAGSRTARPRSLVARAAENDLPLVGNKAPDFAAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHEEFEKINTEILGVSVDSVFSHLAWVQTERKSGGLGDLKYPLVSDVTKSISKSFGVLIADQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKGSKEYFAAI >cds.KYUSt_contig_528.513 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:3185571:3188695:-1 gene:KYUSg_contig_528.513 transcript:KYUSt_contig_528.513 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSSEEESEISDSEIDEYKDKIYAQLRAGKLKVQYGEKTFRCPFCLGKKKQDYNGKDLMQHASGIGVAPKRTARVRASHLALAEFVKNDLASSLEPSLKFAIVECKPPKKEEEKFVWPWMGILVNVPTDLKCANSVQEWEDMLRSQLSRFRPCQVIVKLDSNGQINHSIIKFSEDWTGLEDALAFEKHFIVEKYSKTDWNKINCRKDDLYGWLARSDDFDSPGTIGEHLRDIGVLKKISDRERDGTDRRVAHYKRQMEEKNERLQELELKNNQNAMKLDRMMNDKDRLVQEHNEKIRKMQHDAAMNSGKILAENHRLQQELETRRDEIRRSHEKFEELARKSNINRAKMEAEKEKNANENILLDLATLKHKKADEELRQLVKKHEQEKEDAFKRQYKLEEDLISKQNLEMELAQLRGKLEVMKHMGAEADTTSKEVDKISEELKEKDEQLDAMDSINQALIIVERRTNDELEQAKKELIKGLQEMSVTRSIIGVKRMGILDEKAFLAACKKKAANDVSKKAENYDLEGESILLLTKWEDEITQPDWHPFKVIDVNGHAKEILQEDDEKLQALKEEAGQEARDVVVKALLEMNEYNPSGRYAVPVLWNFKENRRAPLDEAVDYMLKQWKLNRKKKTYFG >cds.KYUSt_chr5.19183 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124195667:124195984:1 gene:KYUSg_chr5.19183 transcript:KYUSt_chr5.19183 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQKMDAPMGGPEPEGRHGCARRCGERAKGQGRGGDAPVVLVDVGDKHLGRQPRPAGGARRAAGRRDGGRLSGTVVAGAGDVPELLLPSTTPSCAAADNLPNP >cds.KYUSt_chr5.40036 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252988122:252988507:-1 gene:KYUSg_chr5.40036 transcript:KYUSt_chr5.40036 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRVLTLGYGQDGRAVHEQGIALEFGPAAQRLGLLVESRRRKTSRGGRRHLVHVRARFGIRFPDVGIVFSQFDEDADLKIDRIPSLALDRAERSRDQISRI >cds.KYUSt_chr3.26347 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164011512:164016970:-1 gene:KYUSg_chr3.26347 transcript:KYUSt_chr3.26347 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFQFKRKQFPIRLSFAMTANKTQGQTISNVGIYLPEPVSSHGQLYVSLSRSTARKNVKILAIPDTGNKEKNLNDSKKKSSIVPSNGSSNSTEDLNQNHNKTGHPLQLTPKAAFQLKLHALFHWSSFGFLMPVGILLVRMSSKSKSGRCVRVLFYCHVISQIAAVLLATSGAALSLMHFENSFSNTHQRVGLALYVFMCLQPIIGFFRPERGAKVRSLWFFIHWLLGIAVCATGIANVYTGIRTYHERTTKSVSVWTGLLTVEVSFLAFFYLLIDRWSYMIKQGHLPVEQLRPNDNHRTYPTTLQKELAIVQE >cds.KYUSt_chr6.27619 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175274659:175275136:1 gene:KYUSg_chr6.27619 transcript:KYUSt_chr6.27619 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASAVTNFEPCGQSAAKTNLKETPVAVAADYDEGSLVELLNDFLELSMLDFRSDNIIAGAQHDDLMDDLRPVEWQQVDELLKNMESTYDREALIGAAEILQKISLVGMDMVGELNQHK >cds.KYUSt_chr4.38013 pep primary_assembly:MPB_Lper_Kyuss_1697:4:234334110:234334430:1 gene:KYUSg_chr4.38013 transcript:KYUSt_chr4.38013 gene_biotype:protein_coding transcript_biotype:protein_coding MMARTMNWTIHAKCGDGRGADPVRRPPPDLQEKRRRSAACPAGHTEQQRRRPDKYRKERQPGKHIAGWDDPATYHGNYTEQQQINSKSIKANQRRAKEGRNHRRIG >cds.KYUSt_chr6.10645 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65964166:65965841:-1 gene:KYUSg_chr6.10645 transcript:KYUSt_chr6.10645 gene_biotype:protein_coding transcript_biotype:protein_coding MADRANQPSVVQKFGGQFHLGSSFSGVVRARNMCPSVSANDRRFTTSSYMTQSIYAPTMSFNGSNMVSTSPIFANSPQEKKGVKNFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKSGRLSEPYKGIGECFGRTIKDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKSLFNFKKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKASKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGLYDSLKPVLLTGTLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFQQILAKEGAKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >cds.KYUSt_chr2.14213 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89920737:89928667:1 gene:KYUSg_chr2.14213 transcript:KYUSt_chr2.14213 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLHGRTPVPIPWPNSHLKSVYLKINLSEADMRVLRTAGPPVIVTGVINREGEKRTSSRVTMSDSEHHFESKADSGASKTYPMQAGAIRKGGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGFVSLLTDSGDTKDDLKLPTDETILNQLKTGFAEGKDLALTVMSSMGEEQINAIKDVGPSLSFQSSSSSELEQEVNLMAIYEARAPEYWDARDWDLSIESEDDEPLTDGEEDLQFLVDGELVPASDDDLFPWEAVLSSDEEEEEAEESEDDSSSAGYPPAKRFRGWAWSDDDDDDDDEEDEAPAGGLISSDEEPAGSSADGSYDDDDEGSDGP >cds.KYUSt_chr3.31407 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197224459:197225538:1 gene:KYUSg_chr3.31407 transcript:KYUSt_chr3.31407 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFWCFSSPCPGSELGRGCAQFAAMCIGATIFPSWSWWGCICQCRSGLRLAAQFASLRMELLQLVADRIEEVTRPLRDEAAAIKLWLARAVGSWERAEEAATCGVGRAPVGASDVGLRDAELLEFFGPFSPVRHPCDSSSLGFDVFRLPLEGCSDLAPLLPDAVDDKVASPECLQSPIPDDVEGFGLAEFFVEASVSLSVERSRLEVSAFEHDDVVDVLAAPLVPFVADPEVADSTKLCDFLANMASKKRALMSPLCESLEENPATSVVVPEIVHAEDIQVDLVDPAADKLNAFLSSVFRPVPPPILASPPSRRARAPKEVATTPRRSDRIEKQKQLRKDVTSQEFLARVLGILKER >cds.KYUSt_chr6.23749 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150157773:150161840:1 gene:KYUSg_chr6.23749 transcript:KYUSt_chr6.23749 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAVYGDHDKPALITYPDVALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISSNTPIPSVDYLADQVADVLDFFGLGSVMCLGATAGAYILTLFAAKYRERVLGLILVSPLCKAPTWAEWFYSKMESNLLYYYGMCGLVKESLLQRYFSKEVRGCSELPESDIVQACRSFLDQRHNMNVWRFVQTMNGRYDLTEELQQLQCRTLIFVGENSEFHAEAVHMTSKLDRRYCALVEVQGCGSLVTEEQPHAMLIPLEYFFMGYGLYRPSQLDSSPRSTLSPFCISPELLSPESMGVKLKPIKTRARLEV >cds.KYUSt_chr6.6090 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36518725:36525726:1 gene:KYUSg_chr6.6090 transcript:KYUSt_chr6.6090 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVIVTLRYFAGPGVPRYVVATVGYAWFCSLSFIILVPADIWTTLIGFDKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLFGLILLLVMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPKNIWKNADWTHRQKVLSHRVAKMAVKLDNAHQEYSNTIVVAQATSNQMSKRDLLRPYMDIIDQMVAQMLRDDPSFKPSGGRLGEDDMDYDTDDKTMATLRRQLRRAHEEYYRCKSEYMTYVMEALNLEDDIKNYERRDATGWKYVSSFRESRSGTLGSLLDTMEFIWRCILRKQLQKALAVTLGCMSAAILLAEATLLPSGVDLSLFSILVKSVGKREVLVQVAAFVPLMYMCICTYYSLFQIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGNVKTTFEKRMGNIDDAVPFFGRGFNRIYPLIMVVYTLLVASNFFGRVIDYFGSWKVFKFQREEENLDGFDPSGMIILQKERSWIEQGYKVGEQVIPLARFKHTDVESGKVENTVEMNEGTTSARVDGRAGQSKYAQNREIISSKYSSVRDQSRQSTKPVKKETVSTSVSLLEEGNSEQRLPPAISQTWASMKNGFQNFKANMGAKKFTPLRQDPGYTPHSNISSPESLDDIFQRIKRRPADLPVDYLDEDDDDDTGGIDPTFPGSRR >cds.KYUSt_contig_319.1065 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:7032452:7033666:1 gene:KYUSg_contig_319.1065 transcript:KYUSt_contig_319.1065 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDRTSRRLVQAEIPCSTGRLISGGHARHASLTEEIMVAQATGSSSEVSVNNQKFQDDQGPAAEEVESLKRAVSALEERAAGIELRFHDYCDMKEQESTYQKMQIMCLGMKLELMESQNQRLEAAATEIRAAAEEFAVMRASLDALQNKFRKITKKSKQEFEAIDGRIMALDAREAEMATRCQGFEQLMEEMKQLVLQLQKDKGSNNENVEVIVERSMRKLSSSKDHMDGLEMLRDRWAADMEELIYLGWITAWLQHDLLLSDDGEGGSKGTVVIGDGNGDDPTAAPQLKKGEKVVVAAAPSNEVELCKTSSNASSCGAGEESCMALPGCRTGIVRPRLLHKLRGWTRGKSPSKNRRPFRIEGPGSQM >cds.KYUSt_chr5.41372 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261103333:261106445:-1 gene:KYUSg_chr5.41372 transcript:KYUSt_chr5.41372 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSEDEAGSERCCGSYSPSADVSGSETSSDCSAANARRFPFSSSSASASRGLASSSSSHLPTPSSAAAAFFLSKPAADLSEIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPLAAPRKAMWTREMEWLLSVADSIVELTPSLQELPDGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLAMIDEFTDTQFWYVDRGIVVDETSCPSSSSAASSCGGRPSSVRQEDKWWLPCPRVPPKGLPEDARRKLQQSRDCANQILKAAMAINSDVLAEMEIPEVYLETLPKSGRSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKSSPQAKSKKSWGGKVKGLVGDSKSNVLSQRADGLLQSLRLRHPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNTIARIDDVIYVDDATKKSAAAETVSIFNRGSGMPVQKKISPSPFSVQHTPYASPFATPTFCSSTPVAGNSPGRAQPPLSKNNLQVKHEIKVEKLFAGDLEKVWTYAGNLSARKEAGDAPERD >cds.KYUSt_chr7.18967 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117513089:117515277:1 gene:KYUSg_chr7.18967 transcript:KYUSt_chr7.18967 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITSTTTYALLIIFFACCLPPTVVPLSFDYPSFGSDDQRAIRIEGDASFSVGRVDISANQAGRIHGSKGRVSYSAQPMVLWDEQTGEVASFSTRFSFVIKAQNISKKGCGMAFFLASYPSSLPTVSSAYYNLGLTNLRDGAIATGDSRFVAVEFDTLSEAQVSDPNRTLDHIGIDVNSLISANTSDLPSFSLDGTMNATIQYDNISSIMAVTLLLGDGRNSNYSVSSKVDLKNALPAEVSIGFSASTAASIELHQLLSWHFNSSLELKTAPVVAPPVQSAASSGTSSSGGIAGAAAGASLFLVALFAVSALLVRRHRNKKKQEAEGKDMDSEGEVVMEMELGTGPKRFPYRQLVNATRNFAAEEKLGQGGFGAVYRGHLRELGLSVAIKRFSKDSSMQGRKEYASEINVISRLRHRNLVQLLGWCDNHDELLLVYELMPNRSLDIHLHGKGTFLTWAMRMKIVLDVGSSLLYLHEEWDQCVVHRDIKPSNVMLDESFGAKLGDFGLARFIDHAVGLKTMTVVSGTPGYVDPQCLITGRASSESDIYSFGVVLLEVACGTKPMSTLDKKRGVFRLAEWVWDLYGQGGVLEAVDQRLDGHYDKAEAERVMVVGLWCAHPDPTARPSIRTAMATLHSKDAKQLPLLPSKMPVPTYAPPMAPWDGQSSSSSAGMSTSTVTRSSTTSGFTGPQPVVMPRA >cds.KYUSt_chr5.10986 pep primary_assembly:MPB_Lper_Kyuss_1697:5:71238376:71241446:-1 gene:KYUSg_chr5.10986 transcript:KYUSt_chr5.10986 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIEPEPEAEETQGSSSPLGSTVGVEAASAEAHEEAFEDALTDEQMREKARIHANGAKSEGNKLFAAGQYNDALSQYETALQIATELESAEDICSACYSNRAVCFLKLGKYDETIKECTKALDLNPSYLKALLRRGEAHEKLEHYDEAIADMKRVIEVDPANEQAKRSLFRLEPLAAEKREKMKEEMMAKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSMSFQK >cds.KYUSt_chr7.24114 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150260628:150261407:-1 gene:KYUSg_chr7.24114 transcript:KYUSt_chr7.24114 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMTPSSPAMKLAGASSVLGGGRITMRKATAKAASSNSPWYGPDRVLYLGPLSGEPPSYLSGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFTAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAIEGYRVAGGPLGEIVDSLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >cds.KYUSt_chr6.21354 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134795274:134795762:-1 gene:KYUSg_chr6.21354 transcript:KYUSt_chr6.21354 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTSRPHVTQTMRNAAVDMPMNGAGASSSPMFVPAYSAGGGVGVQPPPFWSTPTPYLFIGFGIVMSLIAVALAVLLCSRRKEGRREDEEEVIVQAGMMSVRVLAPLDRESPKVVVVMAGDEAPSFLASATPLAFSGTAAKVQQTYCQCYAGGAKVAAAAAV >cds.KYUSt_chr6.10858 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67291125:67294234:1 gene:KYUSg_chr6.10858 transcript:KYUSt_chr6.10858 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSPMVKTAKGAVTGLAAGTIWGTIVATWYDVPRVERHVALPGLIRTLKMCGSYGVTFAAVGGLYIGVEQIVESQRKKRDFVNGAVGAFVSGATVYGYRGKSIKSALIGGSALAFTSAILDVGGNTTRVDNGKAYHAYTTEKKPAH >cds.KYUSt_chr7.10354 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63512651:63513166:1 gene:KYUSg_chr7.10354 transcript:KYUSt_chr7.10354 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGIITIGEKRSGNDGHNSIAQQTLKAKVDTKKMRSGNEEIQRFSQDEEDVKNVELIQMNVNKRKKASGGNNNIEDDDFEDNCSQTESVTPPSNLKRLRRGAKRNSKQ >cds.KYUSt_chr4.37121 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228381027:228384315:1 gene:KYUSg_chr4.37121 transcript:KYUSt_chr4.37121 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSVHHGQHNGVSKPPVRHHNGKSNGKRKGGRGGGGTGIKVVYISSPMKLTASAEEFRAVVQELTGRYSNVADHDAPGVPSYYSSSSSSDYSLGRTSPVAAGGSTAAAGARTLPTAMAPEYMATAGAMLLPFQSMYDQTGGADLLYGHDYYWRREHRLDDMLPPINRSTAMLAIRNAHSKSVIMAWPSQQMTILSEDSSGP >cds.KYUSt_chr7.22003 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136309431:136311650:-1 gene:KYUSg_chr7.22003 transcript:KYUSt_chr7.22003 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTGGDIKKSGELGKMFDLHAEKSRKSGPLGNQPSRNTSFGGAASNSGPVSSAVGRSNYSGTISSATGTGGSARAKSNSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGPLPPILPTTGLITSGPISSGPLNSSGAPRKVSGPLDSNVSMKVRATSFAHNQAVTNLNVENGYSIKASLPKPVLWAVILLFVMGFIAGGFILGAVHNAILLVVVVVIFGFVAALLIWNICWGTRGVTGFVSRYPDADLRTAKDGQYVKVTGVVTCGNFPLESSFQRVPRCVYTSSCLYEYKGWDSKAANTQHRRFTWGLRSMERHAVDFYISDFQSGLRALVKTGYGARLTPYVDESVVIDVSPENKDMSPEFLRWLRERNLSSDDRVMRLKEGYIKEGSTVSVIGVVQRNDNVLMIVPPSESFSTGCHWGKCILPTSLDGLVLRCEDTSNSDVIPV >cds.KYUSt_chr6.6078 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36476498:36477790:1 gene:KYUSg_chr6.6078 transcript:KYUSt_chr6.6078 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRPVTIPESDQITALSFLKLVRVQLASSSPAVYEEFLSLLLSFGVGTGPSPDAVKDRAYALLRGHPDLVRGLAVFLPGEDAPGPAREDPAPTRPRRDRCPTPAVVDDVRRFLRQVKSRKPEAYDSLLELIFDAGHAKDLNQIYRRALEIFGHPRSYFLSEFVKYLPVPTELERASLPRRRANKETRPELEHRAHAPKRKEPWPEEHRAHAPKRKEPWPEEHRAQAAPKRKEPWPEEHRAQAAPKRKGTAATVVAADYPSSAPKRPRSEDRRTTSRRANSPADADASKTPFRESWEFETTYTKLVATVTRTEELLEQYEKPETAPPPRGRREFEELFPDPECLEVLQEMYQDMFDRIRAALEDGARTELALKTIRRRLEMLEQLAVRRAMECRDPARVEGRMHKLAVDRVKVLLKRRAKKAARMRELAS >cds.KYUSt_chr1.972 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5143937:5148177:-1 gene:KYUSg_chr1.972 transcript:KYUSt_chr1.972 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLNGALSYANSALAEEVALQLGVRRDHVFITNELEMMQAFLESAHDEGDDINRVVKVWVKQVRDVAYDVEDTLQEFSVRLEKKSWWRICRTLLDRRRVVKQMKELRANVEDVSQRNMRYHLIKGSDSKPATTGGQSAIAGATVMSGNDEARRQLEKAKDDLFQLIRKKDDDLRVIAVWGTSSNVRRTSIIERVFADVKKHRVFDCHAWITLTRPFNSTEFLRSIIRQFYVNFLQESDKKENIIGGPQDLRRMGMKKGNDLADEFKRYANEKSFLIVLDDLSTIEEWDCIKACFLNNNKGSRIIVSTELVEVASLCVGPENITPEHKQLSVDLTLYAFYEKGSLDGTESTEAGSSSNVGTHVSDNSDNRKMLNRTETMLAALKESRLIGRETEKAEIVKLITTEDSQLDVISVWGMGGLGKTTLVRDVYQDEILSGKFEKRACATIMRPFNVNELLQNLALQFGYKDVPEMDKELPGKKYLIVLDDISSNAEWDAIIQHFPATETSCRIIVTTRIFGKATNMDEEYPELVEHANLVLKKCNGLPLAIVTIGGFLANQPKTVMEWRKLNEHIRAELVMNPEIGTIRTILMRSYDGLPYYLKSCFLYMPIFPEDYVVGRKRLVRRWSAEGYSRQVHGNSVEEILDGYFMELISRSMLSPSQQSIHGIEGIDSCQVHDLIREIGISKSMEENLVLTVEEGCSSNSQRTMRHLAINGNWKGYRSDFESIVDMSRVRSVTVFGEWKSFFISDKMSLLRVLDLEDTTDLRDHHLKHIGKFLHLRYLSLRGCDAIYHLPDSLGNLRELVTLDVRGTRIIKLPRSIANLQKLSYLRSGQKPDGEYGSYGYIFGDLPNFLGNMSFVTGIILYCIDFNYRDACTALCCHLLPFIAMRQDLHGVLVQSGMRKLKALHTLGVVNIARRGKDVLKDIKGLIQLRKLGVTGVNKENGQELCLAIVGLSRLESLSIRSEGEPGLSGCLDGEFSFPEKLHSLKLYGNMVKLPEWIQGLTNLVKLKLRSCRISEHDEAIQVLGDLPNLASLHLLYNSFKRTNACLTFRPHMFQNLVVLELHSLLIDYEDILLLLKFERGAAPKLELLKFCSAYINSRTLSGLPSLASLKEVLLKGFYDDDELAYLMAELAENPSRPVIKRV >cds.KYUSt_chr1.34886 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212588533:212589104:-1 gene:KYUSg_chr1.34886 transcript:KYUSt_chr1.34886 gene_biotype:protein_coding transcript_biotype:protein_coding MFCPFARSIWDAAKAKILEARNDARNGRDCLQPACLACNIMVYVYNIVKFRYKSSLTKRCVSHSKPTWNPPPVGTVCVKVDVAVFKVENLDGWGAVIRDHHGAVRLASHGSMQGGASLEAAEAFAVHQALEIAREGGFQKRSSWRRIGNPLF >cds.KYUSt_chr4.35666 pep primary_assembly:MPB_Lper_Kyuss_1697:4:219028079:219029356:-1 gene:KYUSg_chr4.35666 transcript:KYUSt_chr4.35666 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRRHSSRHNNTTTPPPTTSAPRRSPRLHRQDATTQPPTVALPPRRSPRLATASARKNSPEMLVEYPYLPEELVDNILVRIRPDDPAGLAKACLGSKYWCRHICSDKFLSRNRKLHPTAASLGFLVATEARRKSTIFVPTSTFHLEPSSIPRRYRVLDVCHGRVLFYTISKDGESSLVIWDPITKEEHAVRMPLDDPVEEDWNAALLCGDDRCDELRCHDHESMVVLVGTYQEKMVTFGCLYSRELDKWNHGDDDEDMEDKPAEIEHQDAVVDTGPSVVLDGKAYFPCKLGRKIVEYDYGADEIDLVDAPMFAYRRIPTTMLMTARDGVLGFACVTRSKLNLWSREATGPGGALAWELYKVLDLTKMSGIPRLIGSNDDFIWAKTGAEVHAVNRESGEFATVSKITKIIKAIPYAAFWTPSCR >cds.KYUSt_chr1.42023 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257558208:257561519:-1 gene:KYUSg_chr1.42023 transcript:KYUSt_chr1.42023 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGGMLAAVILKVVGDQIGSAIKAEITLQNNFDKELKKMQMALESVEAVLKVAERQSITDEPTQLWLKRLKGAMYVISDMIDEFEADTQAITQPSARKFSFKKYWATMIPCLTVGPKITMANKMEKTREDLEVITDQHDKFKLTEGTSANYTKVTDIWETTSTVETQIVGRTEDKDEILASLSERMTEEITILSICGIGGLGKSTMAKMVCNSSQFKEYSQVWVYVSQKFDLKKIGNSIISQLLEKEKESGYTRMEMIHKSLQKLLTDKKILIVLDDLWEGEEFPLESLMDMLRVGKGGNVVVIVTTRDESIAKKISTIKPYKLAPLSDDMCWSIIKQKSAFESRGDKEQLEKIGKVIALKCGGVALAAKALGHMLQSMKFSEWENTIELYDEDMTLLTMHDLVHDLARSVMDDEILVVGKCVNVQGRCYHYALLDDCSKPLGLESSKIRALHFADCDKIKLHDAAFSSAKSLRVLDLSECSIHNLPYSIGVLKQLRINWRNRRSGVS >cds.KYUSt_chr4.52784 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327422269:327426691:-1 gene:KYUSg_chr4.52784 transcript:KYUSt_chr4.52784 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMAESRRRCAQAPPRLEKRTLVRRWRPKVPSMWQDSGMKRQSHSRLRPVPEIPYTPCHINGESGSQDRRHTELKCSDGSLGEPRFSHIPNFHCKSLPTRRRLTNAEEEIAVAKRGSMYQSSSEISRIRRIQEGRRKIDSAPPRGDEFLSFDIVDSSSQPSTSGGAYLFSHQGRRSEAAKTSVETRSVRRVSKDFLDLSFRELPGEDFKLDRPRMDCTLLRNDACDDGFLEISLEEEESTQAPCRNAAPHLLDGESGRGAIADCRQRAGVCPGEMDCGDRERVPVIDTPKPVSAKTSAADGTSPSVCVQHATENIGKARSSPFKKMLNPIMKSKSVRSPSVTEKEYSSSTAVPGSRKNGVPRKSLLSDFSRTERSQASCCQPNGESQLTAAALSPAHLRAVLKFDSKNGIPFYEFCVEGPEESISARSWESGSELNRIYTFHSGGKRGSTAGRSSKDERRCSPPTVGQMHVSSYLCSEVGKDGTLNNSVNTEFVLYDIAHARRSFAAEEKTQCTETTQPKTCGVVDKSFCGDYPQQINLIDHQHNARNNSEVSTSRPWSEEDLYPHLEIAATVIQIPFNKIKSKELDNGSSTGTIKVVTSSGLHGLSNDNDASPSPLLDRWRYGGGCDCGGWDMACPLVVLENAYDDNWVDSATKESKHPMELLVQGSKEELPALSMKANGKGQLLVDFHACLSALQAFSVCISLLHCSEASTAVIIEKGKHKLYSSSLKMLLEGEVMHLIEAVTAEEKKKQRTKREKAPPSVALDPRHPPFSPLGRV >cds.KYUSt_chr1.1392 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7584144:7585348:1 gene:KYUSg_chr1.1392 transcript:KYUSt_chr1.1392 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALLAVLASLLGAVSGEFSIYAGYGFPPPNPSVPYPLPPACPPLSPAPGLKVGHYADKDKCPGAEEIVREVVEKATAGEKAGLIRLFFHDCFVEGCDGSVLLSGTDTERTAFPNLSLRGFELIEEAKAKLEKHCPGIVSCADIVAFAGRDASYSLSYGRINYRVPAGRYDGKVSRANDTFQNLPPPFSDLALTTAMFAAKGLNQNEMVVLSGAHSIGRSACSSFPDRLPPAANSSTAMEPKLAGQLTATCSAGSSVNVPQDAVTPDRLDNQYYKNVVSRDVLFNSDASLTTSSQTEDLVEFYAGNLPFLGGKFLGPLAWYHDFEDAMVKMGYIGVKTSAEGEIRKTCAFINKS >cds.KYUSt_chr1.32180 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195347313:195349652:-1 gene:KYUSg_chr1.32180 transcript:KYUSt_chr1.32180 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFRMTRAAAELQDGGGGRARMRWWGFKKVEEGRMELWPTAQAGEERLCLWDWSGGSARRQFAARPEEVEAQRASWNPDLEKTLVELLHEHNVPQYRGNNGWSSEAWSKIVSEFHAKHTYVTMDKNQIQEKEKELKRDYKMLKEARMQSGASWNEKRCMIEAEPHLWNNIITTFPRANKFRKKPFPLFDALGELYDGQYAEGTWNFTSTQPPQYPVFNKVNEGDQLSSSGVEFPDLEESYAYQAQHEAGDARQKTTEEVLPQTTEDTSVEKNGQRPPRKAGAASNQEKEPKKVKKGAALEGALERYIDVRIQQVQGEAAALAREKELVQANDYSIKRCISILMKTTWPRDEKVKASEVFQIPANRETFISFNEDDPELGLLWLRGKVDKL >cds.KYUSt_chr6.30583 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193849803:193851200:-1 gene:KYUSg_chr6.30583 transcript:KYUSt_chr6.30583 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGHGVVAAEEEFSERELEVAAILADLPSIVRAQRRRHRRLEKQQQQQRPEIPTWGRRRPRKAPPAVQPADKPAAAAAEDDRGRRDGAASPDTPLAFPDDHHDADDKAAAHDEIEEFRARLETSRSTNESLSQMQQQSKHKKRHRQPSSEEEDEERRRPNLQARIVADRPALDLNEPAEADAEDAKPEAVAAQWFYREHQQLVQQKAALTAAARRRRREIRRAKASGSGASRTRRPG >cds.KYUSt_chr1.41848 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256640772:256641989:-1 gene:KYUSg_chr1.41848 transcript:KYUSt_chr1.41848 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKQHHVFGDQNIRREILLRIKSTASTTRSIRAHRCFMEEIQEPDFAIAYSKIQDPASIGFLVATGSGVGRITWLADTDDKPGDDTAARLRARISRPSLMRERVVGCRCGLAVVERSEGYYTNMPCIGDKQLTPVAPPVPKIVRSPEFLSSNTYGKFVLLKDSVQGGIAFFVQDSSAQPTHTELGVSCGTSAETSVHLSVYSKGQWRCYSTPQFKHPHKVIFNTNPTSIINNGRLFMQYILGVIVTFRFSDLSFSHTALPVEVNNAGVTDTDYAIGEHRKADLLLVHLKSGILSTYVLIETLDEHTWIKISSTCLFDTFVSQFGMSFWHRLIKTNDGELGEAGANPLQIRATARNGSHVFITLADDGGYFVYDTIRLKITEVYRGPDGKVGSVLTLTEPWPPLF >cds.KYUSt_chr5.21275 pep primary_assembly:MPB_Lper_Kyuss_1697:5:138790453:138804858:-1 gene:KYUSg_chr5.21275 transcript:KYUSt_chr5.21275 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLPRSEVRRHPFPAPARSPAVLRLRSSSLLPLAVHPPCSPCSRRRGLPSMARCPGRRRRRNERHLEGVLPGGLQPSMRMPVMPGGMQPIAGQGIPSTPTMPYSPHAGAQVSTPSKIDPNQIPRPIAETSVVIFETRQGGQAAVPPAASSEFIVKDTGNCSPRLMRCTMNQIPCTGDLVTTSGMPLALMVQPFALPHPSEEPIQLVDFGEMGPIRCSRCKAYINPFMRFVDQGKNFICNLCGFSNDTPREYLCNLGPDGRRRDADDRPELCRGTVEFIASKEFLVREPMPAVYFFLVDVSMNAVHTGATAAACSAISQALSDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDIQDVYTPLQMDLILPVSECRDSLEQLLESIPSMFENNRVADSAFGAAMKASFLAMKPTGGKLLVFQSVLPSVGTGSLSAREAEGRSNISTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYTDIASISVVPSTTGGRVYYYFPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYSGNFCKRVPTDIDLPAIDSDKAIMVTFKHDDKFQENSECAFQCALLYTTVYGQRRIRVINISLPCTSMLSNLFRYADLETQFTYIVKQAASGIPTSSPSQVRDQVTSTCINILQSYRKYCASVSSSGQLILPEALKLLPLYTLALIKSIGLRNDGRIDDRSYWVSIVSSISVFLAVPLVFPRMIALHDLMSRDDEDSLIPNHLTLNSENIQDDGIYLLENGEDGFIYVGNAVNSATLEQIFGSSSLAGVPNQLVLEQFDNELSRKVNEIVNEIRRQRCSYLRLRLCQKGDPSGDFFRSLLVEDKAPGGLSYVEFLVHVHRQIQSKMT >cds.KYUSt_chr3.35605 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223615648:223622170:-1 gene:KYUSg_chr3.35605 transcript:KYUSt_chr3.35605 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTPISCLSPSLSPTGDAVLPPDGHLPSVHEALPIIRRCSLLHRRLSTSHSRRIATAVSVPKRSNRSAATQGEEKSPEKQACVSGGLYVAQPPPRIPAILRRMQRTNKSISLPFPTGTGDAALLDLPQILLLTSLLAAGAAHQVPWPRFLPPSPPPSYSFPGECCGGINLMNEEKELSDNCCADKPNKEEVRSSPTRYCKDASHSVASKNSALRSISEKQIYCATTVPNGRSWADNIWRARLVKAISQRDYVLPNNALNAESPFGNTRKVPVKLSGFLDNKSDNHQDQVMQENHNGNRQDQVMQDNHDGNPQDQVTQGNHKDEPILARCESTSGVNPVSKCDSASGVNPVTRYESTTDVNPTKLEKGKEKVMHDQSNCVSKTKQDDDSNESMESCPSMKAPKREHAQYSTCEMSSRSKRFRREYNESSFSGLLPRNGSSFFNWMSSLTNGSTMLDRGTTVVSLDQKLSEATGHELAEHSMELQKNIRIPLQPVGFNSLFQSLYCHNVMTASRDTSDQSEMDCTEREADRLALNLNGSNSMLGKKISMGRGTVDVAIEDLAADSLQEDSGGGNGNFRDQTGVLPLRAGRNFKIPNASKSCSRTVIEKQNECHASSLNAATGINGGFTESLWVSRLLPKTSMKLMDATPCNVNSDLCAVSPKGAGDKLYPSSQQKFNVEKEFYNSQYFTSTGSDNGTTSSKCPVIPQEEHKQSETMASILAKRLDALRHAKTSAVRLAISCDYEISEERNQRKSPFVNYSSHDGLEAGQETQKSSSGHGRLVLWVGDKGKEQLCPGSDEESRGNMLSRGEGQHCGGSITGKTVAPHDNLEANTSAEYIDGKGFKNKEVGSDSMESLRQNKQIVPYGIISSDDELKAWWSAAMKGGWKLPSKEELSKKLRERELLRFQN >cds.KYUSt_chr1.35292 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215153390:215154478:1 gene:KYUSg_chr1.35292 transcript:KYUSt_chr1.35292 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSLIQDGKLWPSTSAISDAGSASGYHLLVVEGYSRTKADFLNGYDIESRSFRVGDYLWLLRYYPNGSSGNAGFISVSLILAQHVTMPVKVKYEFSFIDQAEKQGPSHIRKRGAYDFRVKYGGLCSTEFIKTEALENSKHLKNDSFTIRCDVLVIEGGDAKGTTSPFITVPPPDMHRHFTDLLMAKEGTDVKFKVGTEPFAAHRCILAARSRVFKAELFGPMKEGSTATAEAITVDDMDARVFRAMLAFIYSDLEPELGKEDDEGVMWQHLLSAADRYDLQRLKLICEDKLCRFIDVNTTTSILALAERHSCNGLKKACYDFLGAPGKLKAVATTDGFDHLITSCPSVMKELIAMLAP >cds.KYUSt_chr6.9103 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56279590:56282179:1 gene:KYUSg_chr6.9103 transcript:KYUSt_chr6.9103 gene_biotype:protein_coding transcript_biotype:protein_coding MESAASSPPSPEQPLLGPAQPTSSPASGNQTSSPPPASAARPSRLAALIGRAAGRRGPSMLVRETAALQLERRRADWAHSRPVVALDVAWNVAFAAAAAAVLAASTAESPAKPLRLWLVGYALQCVVHVSLVCSGARPAARARSPDVESDAANAGAGPSSSDSEGGDDEEEAMEERISSTDRCESVNTMISFLWWIIGFYWVVSGGDMLEQGAPRLYWLTVVFLAFDVFFAVFCVVMACFIGVALCCCLPCVVAILYALVGQEGASDADIGVLPRYKYSNPNEDGEKGTDEGVMIPILNNSGTSTSERILLSEDAECCICLSSYEDGVELSALPCNHHFHSTCITKWLRMHANCPLCKYNILKGNDN >cds.KYUSt_chr7.7179 pep primary_assembly:MPB_Lper_Kyuss_1697:7:43241921:43243108:-1 gene:KYUSg_chr7.7179 transcript:KYUSt_chr7.7179 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSRRLLLSDYDGAILSPLPSPSPSSATPFRPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGPYGGSGGAGSSNAGGAGERRNSGVERAVVESLPVFRFGALRGQKAGLECAVCLGRFEPAEALRLLPKCRHGFHVECVDTWLDAHGTCPLCRARVDPEDVLLLPEPPKPSTTGPPDPSPDQKALAAAATTKDKDAAPAPAPSPVWKVFSGRHSTGSVRAPGRVGPTTRRSADLEAGAAAATVGCFEVVGAAKVRKDRVLMAAEPAAAAAEPDPEAFERRFGHRILVSTASGCDGEAARWSEVRPSDLMFVRSEMLVTDSSSGRYSCSAAVTSGGNRGRAEIAGRSLSEIAGVSRLPPIRAGGASGARRWPGSSWWARGSPGVNGPST >cds.KYUSt_chr3.148 pep primary_assembly:MPB_Lper_Kyuss_1697:3:844293:845918:-1 gene:KYUSg_chr3.148 transcript:KYUSt_chr3.148 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPLLTTLSMENGHPSTLLSMDPAGSSSHLASAESSGAVNGAGDRELFIIPRHEPARQGPPDINLPLSADPSPAPALWSLDPFDILEVGLSTQTYESEVPLTVPKPTGNGNGSAAVGVGARKCAKRGDSIWGAWFFFNHYFRPALVDKPKGKLTRDASGSISGFDKSDLRLDVFIVQHDMENLYMWVFKERPDSALGKMQLRSFMNGHSKHGEPSFPFSVDRGFSRSHRMQRKHYRGLSNPQCLHGIEIVSSPNLSAVPEADMKRWAELTGRELNFSIPPEASDFESWRNLPSTDFELDRPQPQVASKNVGAHSSHVHKKALNGSGLNLSTPPSSDDGMDLSPKCNKRRKDFFAHNGVEEDCAAMAANNSCSDREQEVEVQTGGEPSWMHEFTGVAKHASGPVTAAKTIYEDDEGYLIMVSMLFSDQRSLKVTWRNTLTHGVVKISCVSTARTPFVRRHDRTFRLTDPAPEHCPPGEFVREIPLATRIPEDARLEAYYDETGTGLEIMVPKHRVGPEEHEVQVCMRPPHLGDNNDLVLS >cds.KYUSt_chr6.8428 pep primary_assembly:MPB_Lper_Kyuss_1697:6:51692149:51694350:-1 gene:KYUSg_chr6.8428 transcript:KYUSt_chr6.8428 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKMPSKVWLLAAVFMLGAAVGLAQNTLHYDFFVKETTYSKLCTSKSLLTVNDMFPGPTITARKGDIVIVNVHNQGPKNITIHWHGVDQPRNPWYDGPEFITQCPIQPGTNFTYEILLSEEEGTIWWHAHSGLDRAGVHGAFIVHPKRGTEYPFIKSTELHKEIPIILGEWWTSDLNLQLEEYLKTGGEIHNSNAHTINGEPGDLMPCGRGHAFKEDVLTNRTYLLRIINAGLDNDMFFAVADHLLTVVGTDGRYLKEFTVQTLMISPGQTMDVLLKTKEFPTYRRYYIGSRTYLSNPRLAFQNGTATAILEYQDASRARGGPMLPNLPNNTDHETAIQYTAQLRSLASTAHPVAVPEVINERMIITLAVNTLPCAVGETCRGPGNNSRLAASLNNASFEDPHTDILDAYYYSTKGIYETDFPNVPPFLFNFTNTNGSRRYWPTKRSTKVKVLEYGTVLEIVFQDTDILGAENHPMHLHGFAFYVVGRGLGNFNATTDPAKYNLIDPPYQNTVTVPTAGWAAMRFKAENPGVWFMHCHFDRHTVFGMSTTFIVKEGTTPESKMRPRPASMPKC >cds.KYUSt_chr2.49186 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307854158:307854595:-1 gene:KYUSg_chr2.49186 transcript:KYUSt_chr2.49186 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLERRSHELRRTSAVAAAGAALTQITEDIGGRQRRRSPLLERRSRGIAAESTHGLRRTSEATVAGAALTRTAEDVAARAELTWTTSAAGTTEAELTLIVNLGGTRSPRRVRSLTLIHQRATLLMVGVLERGEVGKEYKQCIP >cds.KYUSt_chr2.34299 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211870311:211871414:1 gene:KYUSg_chr2.34299 transcript:KYUSt_chr2.34299 gene_biotype:protein_coding transcript_biotype:protein_coding RGEARTAGVTRTYRRRRTMGAAAGGEGEAVRVLVVDDSPVDRRVVELLLRNHAAAFHVTTVDSGKKAMELLGLNNAGKQRSIDMVLTDYCMPEMTGYDLLQAIKALGSANPIPVVVMSSENEPQRISRCLTAGAEDYIVKPLRKKDVQRLRNCSAARSATKDAVAADDRRWSSVKKNDAPAPPPRPDTAAKKATSEQRPRRLAGAGLAMASTVDLSHYLQFLFKFILLAYAVLCLTELLHRWSSGCFLSQLP >cds.KYUSt_chr2.49361 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308863022:308867438:1 gene:KYUSg_chr2.49361 transcript:KYUSt_chr2.49361 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFLRAFTAASAVPAAAAVAAAAVAFSSSAPYPSSRLRFPLLTSLSPFASSSAALRTPNAVPPMAAAAAATTADLSAADKATALPELTTEFMVDMKCEGCVTAVKNRLQTLEGIKNIEVDLSNQVVRVLGSLPVKTMLDALHQTGRDARLIGQGNPDDFLVSAAVAEFKGPVIYGVVRLAQVNMELTRVEATFSGLSPGKHGWSINEFGDLTKGAESTGKVYNPPDYLSNKPLGDLGTLEAGENGEAQFSGSKEKLRVVDLIGRSVALYATEDRSDSGIAAAVVARSAGVGENYKKLCTCDGVTIWESS >cds.KYUSt_contig_7442.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001531.1:56681:63349:1 gene:KYUSg_contig_7442.9 transcript:KYUSt_contig_7442.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKREPPILLGTVACRHRGGANIGPAMEGVIVWSMDVKFHGIFHGDILSDIPEQVNMTDFRNKLAVILVDSHLNDDNIRNRDLKDDEEQTFDPTDCVIVDGPPKNIKTSKLASQIGFISQSLLLLPSANPTDQELIDELCLYISTVDDIPSLETEWFKSSSPYPISLNLRQISNILKMNENMDVSCFNMAVRILAWHDIQLARDVPVHYMDLNFCLMSQYARDPSRSDYPDVARLAQLFLSWPDSNEYHISECNMILLPWDIVGLFQLFVFDRHKKVISFLDPLPIPYLAKTILKNVPDNFNLALEVANPASKDDITKWGCKAPKVPTNLDEFHVGAGILGVAPHYIPPPSTFNVLLDSYWTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNSNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRSSPPGLEAMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVLPNENHHSKIVTTANAIQVRINENIRLMAELRARWDREENEKLAKEKNVAKVWTITTTSNANATHVAAPPTNTNKRIGVSNVSTSNAKRENCSNR >cds.KYUSt_chr5.28618 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181199929:181201275:1 gene:KYUSg_chr5.28618 transcript:KYUSt_chr5.28618 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPFCIDYAWRNQGAGDLLMLAHPLHLRLLSQDCSGAVKVLDGFKYRSIDGDLVGVVGDAWALKTAPLSTTWHSTRGVSDDGVGEVVAALRKDVDSLATSPITTTSSYFYGKAIARAARLALIAEEVGCPDAIPAVHKFLKANITPWLDGSFQGNGFLYDSKWGGLVTKQGLQDSGADFGFGIYNDHHYHLGYFLYSIAVLAKIDPSWGRKYMSQAYSMVADFMTLSRKCGASYTRLRTFDLWKLHSWAGGLTEFGDGRNQESTSEAVNGYYSAALLGLSYGDAHLVSVGATLTAFEMLAAQTWWHVREGEGIYEDDFSGNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPLLPISEALFPDTGFVKDLVTWTTPALARDGVGEGWKGFVYALEGIYDKELALAKTRALTSHDDGNTLTNLLWWLHSRGDSSGRCCWYRQYGH >cds.KYUSt_chr1.25313 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151854839:151857689:-1 gene:KYUSg_chr1.25313 transcript:KYUSt_chr1.25313 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCSHCSHNGHNSRTCPNRGVKIFGVRLTDGSIRKSASMGNLSLLAGSSAGGGGASPADVGHDAAAEGYASDDFVQGSSSANRERKKGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQANMSRRKRRSSLFDLVPDESDLPPLPGHQELDAQILNQPPLPPPMEEEEVESMESDTSAIAESSSASAIMPENLQSSYPVLVPAYFSPFLQFSVPFWQNPNDGDDLGQGTHEIVKPVPVHSKSPINVDELVGMSKLSIGDAKQDTVSTSLSLKMVGGQNRQSAFQASLPTRAQA >cds.KYUSt_chr4.45634 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282390154:282391799:-1 gene:KYUSg_chr4.45634 transcript:KYUSt_chr4.45634 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQHDPRRPYKRAAISDQQRRRDSALRTQSARRADAQARARSLANSLLSTPSSSSDDQPPTSPDARGDEPAVAEVAAAAAKLRGPDQRRRFARQIMLPEWMVDAPPHLARDWHVCARPAGKRCLVMSSNGITISRLRNGTILHRFPSALPNGSKRGISGPASSYSILDCIFHEPDETYYIVDMICWRGYSLYDCTAEFRFFWVNSKLTETSAGDPPSTYHRYRFSSVSMYESTLEGLQAAYSLSTPYVKDGLLFYNKHAHYQSGITPLTLVWKDETCSQYVLDTDSEGQVPTEQHVGCVGAARGWEANYV >cds.KYUSt_chr7.21018 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130222502:130226647:-1 gene:KYUSg_chr7.21018 transcript:KYUSt_chr7.21018 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQGRRLSCRAAATAGSGGDDSVVRRNIDRRDVLFGLTGFAAVTTTNLGLALAADADAGAAAEPLCATVPITAEVLKCNAEGGFHCPAEYDATKVVDFSSLPLPSGPPRVRRPAHKLDDEYIKKFEEAVRRMKELDDEDPRSFKNQSGIHEAYCDAHYRVVSAASPEVNFDVHYSSIFAPWHRMYIYFFERILGDLIGDPTFGLPYWNWDSPEGMIMPSIFANESSPLYDELRTPEHVRAFMDLNLGPAKQPNLPEPECTDDILCLIDNNLYSMYRQMTVDTPEEFHGGKFCTAGKKYTGSLENGAHTAAHIWVGKDMGNLRTAARDPVFYSNHSNVDRMWHLRSTKLGMPDLPYQEWLDTSFVFYDETKRPVRIRVQDVLDTSKLGYRFEEKKKLEWMQKRPKPSSEIKRPFIAQRSVTPASAFPVPLKKGQNEYVTVERPEKAQAGGGSSKKAPEVLVLDLTVDPCEYVKFDVLVNVPRGQEDKVGPKNSEFAGSFTHVPHGGGDGGRMMETQEVSYRLKLREIIEDLKCGRDKRLDFTIVPVAGEKTLVNSVRIDIL >cds.KYUSt_chr4.21466 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135203820:135206454:1 gene:KYUSg_chr4.21466 transcript:KYUSt_chr4.21466 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLGTLASRVRAYVHTGGSGTFLARTRAWFVGRSERGYFSVLGMWSTRVAFSSSSPGAGSTSGNSCLACASPPTPEINKFITSLLLLRDPGQTLDEFELDFNYGPSDDDDDDEDNDDDDVAYPNIWIRHALLCRARVLSITLFAEFKLVFNVPPLVSRHLTILELAFLDLGGNILHFSSCPSLEVLDLEDCIIRTGKISSHSVKRLSVQDCNFCHDTRTRISVPGLANLQLVDFTGKAPLLESNTSLKTAILYPCSDECCDEGDSGECCATCAKCCGDDDHKSGCLLLGGLSSATYLKLITFSPEQITFRRDLKWCPTFIKLKKLFLDDWCVGADLRGLVCMLEHSPILEKLTLQLLALKGDDREAARAAVAELCGHAAPFSGVRRFPAGEVAELRPRCALQRRRHLTEHPKGVGVQQAATARRRRV >cds.KYUSt_chr3.40956 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258533720:258539194:1 gene:KYUSg_chr3.40956 transcript:KYUSt_chr3.40956 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLASPGGGGGYHAPASHLLELEGLRLLLDCPVDLSCLAAFAPVPLDAGSGDAGDLICAVPYYWSPAVAAAAKAGGVDAVLVSSATGMLGLPFLTRLPSFANTKVYVTEVASKIGKLMMAELVEMHSQFVSFYGPDMDGIPKWMEGEKQSKLMSMLQKIGIEDERNDLSPLMPLYSAANIEECMQKIQPIKYGQEVCFNGMLMLKASSSGLELGNCAWSLEGPRASITYLPSTVFVSAHALDCDYNSLKENDVILFSDFSSFNVMDEDDENLGENAMLCDDSVSRDDGVGEDENVQTLCKNDDIAEEIERISFICSSIIDAIKSGGSVLVPIERLGVILLILELMSETLHSFDVKVPIFIISGAAEKMIAFTNAVPEWLCKPRQEKLFSGEALFGHVELLKEGKLFMFPHLYSKGMLAAWKEPCIVFCPDLSLRHGTVVHLLRRWHADKRNLLILEQGVDNELALKPFMPVSIQILECSFLSGIKAWKVNPLLTVLKPKLILFPEEMKLRCPLKEGAPWPCLYYSKGKTIEVPNTREEFEVRLAANVALRLQPRQLDGTVAVARLRAKLHLSNGQYMLVAPKDQSDDQTKRPLMHWGAVDAGHVLSALREKGMSCAFSVDDVGLVGSERSILVTSPGDALVKITSEKTVIYCDDEGTSKQIYDALGSVCNGI >cds.KYUSt_chr3.47218 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296286015:296286317:1 gene:KYUSg_chr3.47218 transcript:KYUSt_chr3.47218 gene_biotype:protein_coding transcript_biotype:protein_coding MADVENPDPDIDIVATAEPDEKSRCGLLLCVTVTVLALAGFVVYLATALEGTSKALIAGLAAWILLPGTCLCWGAVATCIYGNTDGEQQGEVPLQPIEAL >cds.KYUSt_chr5.26882 pep primary_assembly:MPB_Lper_Kyuss_1697:5:170032351:170035823:1 gene:KYUSg_chr5.26882 transcript:KYUSt_chr5.26882 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPPAAAAVALAALCLLVAPAAAFYLPGVAPNDFDKKDLLPVKVNKLTSIKTQLPYSFYSLPFCKPDTIVDSAENLGEVLRGDRIENSAYVFEMREPQMCQIVCKISVGDKEAKVLKEKIEDEYRVNMILDNLPLVVPIQRVDQEGAYFYQHGFHVGAKGQYSGSKDEKYFIHNHLSFTVKYHRDELRDVSRIVAFEVKPYSVKHEYEGQWNDKKTRLTTCDPHAKRIITSSDSPQEVEAGKDIVFTYDVDFKESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPAHSDWLCVYVGTGVQFFGMMLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFAIFFFLNILIWGQKSSGAVPFSTMFALVLLWFGISVPLVFVGSFLGFKKPAIEDPVKTNKIPRQVPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYSFFALTGTIGFYACFMFTRLIYSSVKIE >cds.KYUSt_chr3.38133 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239951095:239952511:-1 gene:KYUSg_chr3.38133 transcript:KYUSt_chr3.38133 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTDWLFLAEVSRIGSVEENQNVSKVSSEKLKDDELLNHSNYLQLSATEALEVLRSIPASARRTLPVLTNSQGDILSIPYSNATVAVDFGARTVPRATRTALRRKSPSANPLLMASAINPSILRLPEDLFRK >cds.KYUSt_chr6.29628 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187836319:187840198:-1 gene:KYUSg_chr6.29628 transcript:KYUSt_chr6.29628 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYWPRLVHVLPPEVKFIADPEGTIMGANGLTGPRYIGQGTAEMRLVGALREVLAGGHLGYEEVQCVLKDVLPVGSASVDSTAVSEALLAAFLIGQRMNRETDRELKGYCLAFDDELGPPPVADVDSLTHYGEPYDGNTRFFRSTLFVAAVRACYGETCLLHGVEWMPPKGGITEGQMLKFMGANTHLSPTQAKRLLEDENTGFAYLHLQEACPSLYSIIGLREHIKKRPPLATSEKVQQFVRARGRESMVAGFYHVGYEDPLLMLMRRRTVHAGLVVKGEEGALSLTTKERSANASKGLPVNHCSGFRTPNSANFSETDGISRESFSVAVNAQELGFKSTETPRTDKSVLKNLELGLSALGGDKGPAYDRIVLNAAMADHLLGCSGAQDINSALDRAREAIDSGNALRRLMNYIKISHKVS >cds.KYUSt_chr1.27189 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164041238:164043469:1 gene:KYUSg_chr1.27189 transcript:KYUSt_chr1.27189 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIHLLISCTCFLLSATAPVRANIQREAAALVNWKATLAGDDGSLASWSLPNSTSLCRWLHITCDPKAGHIIELDLQDVSLNGTLDELDFSAFPHMKELRLNNNGLHGTIPTGIGNLTSLVILEIDTNPYLRGAIPRSIGQLKNLVQLQLAFLGLDGTLPEEVGNLTSLEALQLNSVTLTGSIPVTIGMLVKLDSLDLAHNNLTGSIPLEIGNMTKLLLMDFSWNYLEGQLPSSMSHLAKLQYLALSGNQLGGHIFPELGNSSLLDTIEIAKNNFSGLFPSSVCQRGALKTISAGYNGFTGIQQTFQNCTTLVSVDFTANNIVAELRDCFGEHPEWLAFMAFSQNQLYGSLLTEQGEAFVCNVTYLLYLDLSHNALNGGLPECIGDLPLIFMDLSGNSFSGVVSFSCQDSLNQLHLANNHFRGTFPLCLKKCKNLITLDLGGNHFSGTIPSWLSRSLPGLRFLLLSSNIFYGIIPHQILQFRELQLLDLSKNRLTGSIPDDFTNFTGMIQEQEPIEIEFLYKGFDREVIEIIWKNAGHAYNLWKGVMVGMDLSDNFLSEEIPNGLTTLLGLRYLNLSGNHLLGCIPNDMGNLVLLESLDLRQNQLSGGIPPSFAALMSLSVLNLSYNGLSGRIPTGRQLQTLVDPSIYSHNLGLCGFPLEGCMNSSTSTRNEVGQAVDREALWLYCFVAAGFVFGFWLYWGMFLFYSETWRCAFHQYVDNMQGKATKKIYSCMSCFWSTVWC >cds.KYUSt_chr6.16922 pep primary_assembly:MPB_Lper_Kyuss_1697:6:106584124:106588484:1 gene:KYUSg_chr6.16922 transcript:KYUSt_chr6.16922 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWLEYHQWGQPTTISKGHALAKLLFAYQFTIDKMQVGGLEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNLAEEIVSRCAMATLGKLKVDYQGTEISLERPWRRETMHRLVEEATGVDFNSFGDIESAKNAATGVLGIKTESHENTSLQACSSVGHVLNEVFETVVESTLVQPTFVLDYPVEISPLAKPHRRHAGLTERFELFICGREIGNAFSELTDPIDQRSRFENQIKQHNAKRAAIGKKVKSAEGKGDDDDEYSYEVSLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKLQQ >cds.KYUSt_chr3.33355 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209414983:209423582:1 gene:KYUSg_chr3.33355 transcript:KYUSt_chr3.33355 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQPPRFLPLPRCRIPGSSSRRPPALAVRSQWKLPEVDTDAVQERVRSWLSRARGAVVDATQAAREKGKRKEDADGRKEEVEEQALDFAVPEITVERRVGRGWLSMDAVVAVEQFARLNGLTGRKVQRIFKALAHEHVHSDARSLVEYCCFRYLSRDNSDFHPNLRELAFQRLIFVTMLAWEDPYDEDNDPHSSLDNYSIVGRFVGEDAFVRIAPAVAGVADVSTAHHLFRALVGTEKGLTLDLWTTYLGELLKVHHGRQTHKIGDNFLSDEQVLCIGSSKKRPVLKWEQNTAWPGHLTLTNKALYFEAIGLAGMKKPLRLDLTDHNSRVQKAKVGPFGSRLFDSAVSVSSGSVSSEWTLEFVDFGGEMRRDVWLAFINEIISVYKFIREYGPRDDDPTIRHVYGAHKGKKRAVSSATNSIARLQSLQFIRRLHEDPAKLVQFSCLLGAPYGDVVLQTLAVNFWGGSLITNSKVANERSPQRHKHSEGSSSGRSHVHDIDGSVYLGKWMTSPSWASSHSVSFWRGSSVKHGVILSKSLVVADKNLVEKAMVACKEKSKVVEKTHATIVAATVEGIPSNIDLFKELVLPFAIMAENFDKLKRWENPRSTICFLLVAYTIILRNMLFYIFPFTLMAMALSMLALKGLKEQGRLGRSFGNVTIRDQPPSNTIQKIVALKEAMASVENYLQHLNVSLLKMRTIFLAGQPEVTTHVALVLLASSAVLLVVPFRYIMACFTLDLFTRELEFRRETVAAFISFVKERWESIHAAPVIVLPYEGDAENSNKALPAKATRHAESKDAQGQRSDAYVNSSNGLRTS >cds.KYUSt_chr2.35491 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219134990:219135901:1 gene:KYUSg_chr2.35491 transcript:KYUSt_chr2.35491 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTVEDLPADVLACALRRLDGPSLAAASCATAGLRALAADPDTWRALCLAQWPSLDTHQHSILGSAAVSPQRLFADAFPFPSDEAAPAASSDQHLPGELISAVDVYHKGAPIFSRAVETSTSSSWFLTSPFRVDAVDCKNPAPAASISPAELELSWIVADPRSGRAVNVSSRRAVAVDRHWYTGETLVRFAVVLGGCKFEATVTCSEEAGCLREVSLTVEDADGAAASGECSLRLLAAAMAGPRKGGEKEGEQAKRRYDEFVSSKRGRKESKARREVLVDLCCSAVSAVAVLSFIASVMLR >cds.KYUSt_chr4.34334 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210715654:210716700:-1 gene:KYUSg_chr4.34334 transcript:KYUSt_chr4.34334 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIFQERNQQVNFVKSQVQDKEKELKREYKMLKEARMQSGAGWDEKTCMIVAEKALWDNLQISFPRIGKFKRNGFPMYDSLGDLYDGQIAEGNHNFTTSSKASQLDEELEDERVQEAGSEFDEDVQILDEDPTEKKDEGTGSSASRVNVGERMDKKATGVPKKIPPKELKKPIKSDEMVQVADMYVKMKEKQAEDEKAESSIFSIAKCIAAVNKIHDFTRQERVKASKVFKNLENRETFITWVAEDEESAIMWLRGELQELT >cds.KYUSt_chr7.39273 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244308901:244311199:-1 gene:KYUSg_chr7.39273 transcript:KYUSt_chr7.39273 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIAGSLADMEEEKRADDAASDRAGRGSAAPRRRSDGGGCSDPAAARQLAARAAPTANDDVARYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGRRRPGKQQPGRAAEEQRQQQRSMAMERFLTALVFCEAPLDGYGTSVMAPGGITKLVAGGGGATKPATANTADAEKGKGFFSGKPTAQRRAGFELAFDGINCFDTVVMH >cds.KYUSt_chr6.32785 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206586348:206588717:-1 gene:KYUSg_chr6.32785 transcript:KYUSt_chr6.32785 gene_biotype:protein_coding transcript_biotype:protein_coding MTDATPAPAGGSPPPPQPENSGSISSMVASSASSAAAAAADFTRRGEAFGADMAAAARNAFDTGTAHAHSSAIAASDAANAAMAEALAAFPTLTHAAKQEFQWVKNEYLAREQMALGKIKEGVIMAIEHPGIAAGSTAVAGIVLLKRPRSYLIQRVRRIFVSKETLLSGIQAEVNHMRQTVNLVSNESQKLMDRAATAEKRFQKGWNTLREEGRAIQSELKQISDIENQAVGLKGVLDQLPRAHASEFRSEISGLASQVKKDKRVLNSALTKIVNYGVPI >cds.KYUSt_chr6.13627 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85381162:85381461:1 gene:KYUSg_chr6.13627 transcript:KYUSt_chr6.13627 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEPVVLVAVLDVCRGIASWCVSALWSRLRRRPLGVTRRRPRTYALGGRGLNYDALSYAQNFDDGGLGDCECDTDFTARFAPAQLPGARRPSLDHFCT >cds.KYUSt_chr1.38757 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236800511:236801458:-1 gene:KYUSg_chr1.38757 transcript:KYUSt_chr1.38757 gene_biotype:protein_coding transcript_biotype:protein_coding METSTLAAARAAERDALYSLYFPDAGRVTAAAAAVAGCVCLDHLTCTECARIVGAPLVAIEVAARKKQRRVPPVQLPPVDVRCMPGQGTGGDGAPAEGIGAAISGTAGPLQAKGFEAALSGIAARLGLPAAIGDRAKEVFRKMKKARAWPRMCTYWTEQRRKGALAACLSIACRNEGSPRSLRELAVAAAARKEEIVRMTALIRRRLGEEGAGLATGVGVVRASDYIRHFCLLLRLGDREAAAAKEAARRLEESGLEVPHIGESVAAAVVCMALERADADGPDVPSVVSLATGVSTATISIVSRKLLPHAELLFG >cds.KYUSt_chr1.11353 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69594091:69599170:1 gene:KYUSg_chr1.11353 transcript:KYUSt_chr1.11353 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEALDGGVTVRLGCGRLASRMGWVAREKWENESAFKPAVAFFQARLAFEYVVQFESYKRNGSSSIYKDRREEGKGFDMGTNTATGVTKMERDDVDMAKPLALSPPHPPAKEEKEGVKVMPMTVRIFWQDNDATDSSSDEENVQRYDGKTNSGLRRIKQCGCEIKLKRQRVTMPGKVDSEEIVIAKRTRLKG >cds.KYUSt_chr2.45118 pep primary_assembly:MPB_Lper_Kyuss_1697:2:281236118:281239736:-1 gene:KYUSg_chr2.45118 transcript:KYUSt_chr2.45118 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGGSIWRLARVQILTGTRTVELQRASWPAVMPTKVLRASSPTTPTRPRSSSCSGRPRTAALRPSNPAVLEVAADANPATLVVALRASKDSSPKGVQPGAAPYRSPDERALRLLLLLGYYSALTTGNHCGEVDTDMLSPAPAMLILELGVCIIPVTLILVPARRLVLLVAKLQELEQCIRRPRQLSSEMTLQMAPEMSSLQMTIMV >cds.KYUSt_chr2.25778 pep primary_assembly:MPB_Lper_Kyuss_1697:2:157686971:157689490:-1 gene:KYUSg_chr2.25778 transcript:KYUSt_chr2.25778 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSGAASGGAGCGRGDYMRIPEDVDAIKDPVKDDAGDCPSLLRCRAIRWWAQIAVLGVFLAGAAVSAAVFLGPLLIEKPINNHDDDVHLSFRFQYKHTL >cds.KYUSt_chr6.24538 pep primary_assembly:MPB_Lper_Kyuss_1697:6:155004788:155006931:1 gene:KYUSg_chr6.24538 transcript:KYUSt_chr6.24538 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYTPKSILITGAAGFIASHVTNRIVRNYPDYKIVVLDKLDYCSNLKNLLPASSSPNFKFVKGDIASADLVNYLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLALRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKRERTVTDVAKDVCNLFNLEADKVIQYVDNRPFNDQRYFLDDEKLKSLGWSERTRWEEGLRKTMEWYVANSDYWGDVSGALLPHPRTLMMPGSEGSEEVKGMLNLFTNNQTKMVTPVSKGSPKTHSLKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERSSIVLDIQSVKPTHVFNAAGVTGRPNVDWCESHKPETIRTNVVGTLTLADVCREHGLLVMNYATGCIFEYDANHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPISSDLSNPRNFITKISRYDKVVNIPNSMTVLDELLPISVEMAKRNLRGIWNFTNPGVVSHNEILEMYKKYIDSSYKWSNFTLEEQAKVIVAPRSNNEMDGAKLSKEFPELLSIKDSLIKYVFEPNRKVPAN >cds.KYUSt_chr5.2585 pep primary_assembly:MPB_Lper_Kyuss_1697:5:17301509:17306329:-1 gene:KYUSg_chr5.2585 transcript:KYUSt_chr5.2585 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFLSMVETELPPGFRFHPRDDELICDYLAPKVTGKVGFSGRRPPMVDVDLNKVEPWDLPVVASVGGKEWYFFSLKDRKYATGQRTNRATISGYWKATGKDRVVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRLEGAHEQASKFNSKEDWVLCRVICKKKSGVGATPKPRSLTTIGHGLCTDTSSPPLPPLMDTTLAQLHATMNSTSAATALEQVPCFSSFNNNSASSYLPMVAGSNGMSYLDHGLPDYGSYLDPAMNCDKKVLKAVLSQLSSMGGEVVPSLPPEMAAAVSSNWMNHF >cds.KYUSt_chr1.27676 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166775733:166776707:1 gene:KYUSg_chr1.27676 transcript:KYUSt_chr1.27676 gene_biotype:protein_coding transcript_biotype:protein_coding MELAALLLLLPFLLAGLFYLGRHRGDGGVDERRLPPAPRGLPVIGNLHQVGALPHRALRALAAAHGAPDLLRLRLGRVPALVASSPAAAAELMRAQDHTFATRPYFRTAEILSYGFRDLALAPHGEHWRHVRRLCAAHVLSAARSHRQNAMKELEVAALVKAVAAEASSAAGVVDVSGALYRLANDVICRVVSGRVSGSGEEEGRSKLFREVVQENTALLGGFCVGDYFPALAWVDKLLSGGGARAWRNLRRWDELLEKVVQEHEVRRRGRRRGDDDDAGGEEGFVDVLLSLQAEGQDGFELSRDIVKSLLQVGVCSSLYSFRI >cds.KYUSt_chr3.48669 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304224740:304226494:-1 gene:KYUSg_chr3.48669 transcript:KYUSt_chr3.48669 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALKRAYLAVYNWAVFSGWAQVLYYAVTTLLRSGHRDVYAAVERPLQLAQTAAVLEIIHGLVGLVRSPISATLPQIGSRLFVTWGILWSFPETTTHILVSSLVISWSITEIIRYSFFGTKELLGSAPSWLQWLRYSSFLIMYPTGISSELGLIYIALEYIKASEKYSFRLPNAWNYSFDYFYASIMVLLIYVPVDKFNFGYREPAYVYVHAWAAQEGSLKVEDHVNSHFQKWVPIVLFNASSANRQPDLGVH >cds.KYUSt_chr5.2384 pep primary_assembly:MPB_Lper_Kyuss_1697:5:16104287:16116626:-1 gene:KYUSg_chr5.2384 transcript:KYUSt_chr5.2384 gene_biotype:protein_coding transcript_biotype:protein_coding MNITGILEKMTGKDKDYRYMATSDLLSELNKESFKADQDLEPKLTNIIIQQLEDASGDVSGLAVKCLAPLVKKVSEDRVVEMTNKLCDKLLNGKEQHRDTASIGLKTIIVEVTTPSLAEKILVSLAPQLISGVTSGKSAEIKCECLDILSDVLNRFGNVIAKDHAYMLTALLSQLSSTQASVRKKSVSCIASLAPCLSDDLLAKATLEVVKLLKNRSAKSEITRTNIQMIGALSRSVGYRFGPHLAEAVPLLINYCTTASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYVSYDPNFTDSMEEDTDDEVQDEEDDDESADEYTDDEDASWKVRRASAKCLSAIIVSRPQTLSKIYQEACPKLIDRFWEREENVKMDIFNTFIELLRQTANVTKGQGDIDESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVIVLPDCLADHFGSLVPGIEKALNDKSSTSNLKIEALAFTRIVMASHSPSVFHPYIQALSSPILSSIGDRYYKVTAEALRVCGELVRVLRPNFEAHSIDFRPYIGPIYKAILARLANQDQDQEVKECAISCMSLVISTFGDGLQRELPSCLPILVDRMGNEITRLTAVKAFAVIASSPLRIDLKCVLDHVVSELTAFLRKANRALRQATLGTLNSLVVTYGGQIGSSSYETIIAELSTLISDIDLHMAALALELCSTIMVDRRSIQNVGLAVRQKVLPQALILIRSALLQGQALQALQKFFASLVQSANTSFETLLDSLISTAKPSQSGGLSKQALSSIAQCVAVLCLAAGDQKCASTIEMLKGILKDDSSANSAKQHMALLCLGEIGRRKDLSKHVQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIARQSVDHTGQSELQDSNIVKILALLFNHCESEEEGVRNVVAECLGKIALIEPNRLIPALKERTSSPAANTRATVAIAIKYSIVERPGKIDPIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKALLPELLPLLYDQTVVKPELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDNCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPIEKTISHKPKGDAVKQEIDRNEDMIRSALRAIAALSRISGSDYSMKLKNLMNKITATPSLAEKYNSVRSE >cds.KYUSt_chr1.28726 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173672190:173674350:-1 gene:KYUSg_chr1.28726 transcript:KYUSt_chr1.28726 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQHLLKLRMASLHAHPAAPPATRPLSALSSLLLAPSPAAASAPRPASLSCSRAYCPAVRPAAGRCSSRTVGRRLPGVVVAMSSSAPTPGPVQKSEEEWEAILTPEQFRILRRKGTEYPGTGEYDKLFSEGTYGCAGCGTPLYKSSTKFNSGCGWPAFYEGFPGAIKRTADPDGRRIEITCAACGGHLGHVFKGEGFNTPTDERHCVNSISLKFVPASEEEAS >cds.KYUSt_chr7.30652 pep primary_assembly:MPB_Lper_Kyuss_1697:7:190785091:190790869:-1 gene:KYUSg_chr7.30652 transcript:KYUSt_chr7.30652 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRSLRPPAEAGPGEAGGEGRGNVDRVLFKDLVEMVPLVESLMDRRTNPSYSRRASLVYTPAPAKKVADLRSAKTSQSVSAKKQRDLGGDAGKEDKPASNGENGSVMPMALPGAETKPKDISDIGALREQIDELQKQLLEKEEALRSAENTVSEMNAVYSTIDELRRQVTEKEALIKYTNSQLHNVKITLADKQAYLEKLEWEVKTSNKKVEDLQGDISNMEFEISSLMSLFEKISENVSGDGYDGSMPSYELEALQSVSEIDKIEVDKIEQERVTYAEALAAARENPNEEHLSSVAEARSRLQALDASGWVSSSATSLWPQLPKKSDLAGRFISFLMNKCCDHLCSEEKLERLQQLLLRVHTVIEEADGRYITNSFMLVQLKMISAAMYQGYHVLDNIRYRQHKDSKELVSDSFALSVYVPLKRSRTATGTSSSTNKAFNSDLQSAIQNLEAVVANIVEFVVLLGGCERMCRRPYDAYLRIENFMFGRHVEKQKIIGFLLRHDVPGPLAVLPITGGRGFGKKTIVAHACDDERVRTHFASILHLKGDGLSRITDHERLPGRTLVVVEFVSDVDGDDWTEFYSSVMSMGRGSKVIVFGRDEELSKFGTVKPISVNRLPFEEYRYLFKTLAFGSADPIDHPRLATIVEEFAILLGGSLVSANLIAHAMRKNPSAHFWLCKLNRVRMTVKLNMSRSGVHPNELLDRGRPVHLSNDHYLLSPSAPSCLIPSASGPGNVSGKNVPKVIFGEEAGHIVPPKGDFELIS >cds.KYUSt_chr5.2346 pep primary_assembly:MPB_Lper_Kyuss_1697:5:15824813:15828281:-1 gene:KYUSg_chr5.2346 transcript:KYUSt_chr5.2346 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGASPDHYGGGGGGSITIVCKMCRDEGVFSPDDDEDNGYFTCRQCDYVNTSTQALVSDPGDFQPKPSIRLPNQSTKTPNPPSNTQRATAARAFDEPDEPRDFVPGAADVWVGEPEELGIRIRQRYVEGLQAILQQQLQVLVETHRAGALVCGVAGTIWVRWVAASKVFGEMWAPKVIAEDEAARGLKRSSSGGAALCQLLPLKDRRRVEFIFLRSLRMMLPVYSTLSVCFLACHVAREAILPTDIYRWAMEGKLPYVAAFTQVDKLLGTPVKHCPLSARQLFRPVRVIGARQLEATAGSIARRIGLRLPSVNFYAIAHRYLSELSLPIERTLPHACRIYEWAMPAELWLSSNPARLPTRVCVMAILILALRVQYNINGQGIWEVSIPDREISEARSNAGESDPDANLPPSMKPDGSTSEEFGTRELLCTLADAYDKIDVTHDKEKHVIEDFQEMYKGQEDKNPKVRMEETRSTNGVKKRGRDGTSVSARCLPTSSSGIRSINSEMEDHGFCYMSPRKRPRSDGYLHYRRKTSAGSLACIGHADYYMLIRSFAKLAEVDVRIMHDSVLKLERRLAWIEERIGVSLDALHNPAS >cds.KYUSt_chr5.2026 pep primary_assembly:MPB_Lper_Kyuss_1697:5:13875006:13875358:-1 gene:KYUSg_chr5.2026 transcript:KYUSt_chr5.2026 gene_biotype:protein_coding transcript_biotype:protein_coding LKKVYRLSRASQKVSPRTKRRNIKSTKTEIRTGSIRSTNIDIRIGLKTKIRTRIRKRIKVGIMRRRESMREWRIRQMCISTKRA >cds.KYUSt_contig_1145.52 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000108.1:259774:261701:-1 gene:KYUSg_contig_1145.52 transcript:KYUSt_contig_1145.52 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSVPYIFRQAGAGRSLPGRDKRRIPALGGPRPPAAIPTNAYRENHRLAHISSRDSPRTVATRLSLSQCVASTTAAIMDAATTTTLLYGALLAAAFLYVAALRRRRGGGEGSLPPGPKGLPLLGSLLSLDPDLHTYFAGLAARYGPIFSIRLGSKLGIVITSPALAREVLRENDLVFSSRDIPDAARSISYGGGQNIVWNPVGPTWRLLRRVCVREMLSPAGLENVHGLRRREFRATLAHLHAAAAAGKSVDVGAQLFLTTMNVITGTLWGGNIGTESERTAVGKEFRELVAEITEMLGAPNVSDFFPSLTRFDLQGIRKKSDVLKTRFDEIFARIIEQRVKTEQAGGETSEDFLEYMLKMEKEGGDGKASFTMTNVKALLMDMVVGGTETTSNTVEWAMAEMLQNRSILRKVQEELDAVVGVDGVVEESHLPQLHYLQLVVKETLRLHPALPLMVPHCPSEDTTVGGYRVPAGSRVFVNAWAIMRDPEAWEDPAKFVPERFAASKDSVDGRKVDFTGSELDYMPFGSGRRICAGIAMAERMTAYSIAMLLQAFDWELPEGAALDLTEKFGIVMKKATPLVAVPTPRLSRPELYSA >cds.KYUSt_chr3.11189 pep primary_assembly:MPB_Lper_Kyuss_1697:3:66676314:66689298:1 gene:KYUSg_chr3.11189 transcript:KYUSt_chr3.11189 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGTEGLGERLASSHRLGHASASGWLERVIEKVSGGRAKPSDQTFLSSQPPPLASIPVAAHPRLLHPPLPCPVPNPLRPCAGAGPFPIPRFLLSHHGTTKQDCLEASGRHASSSRPSLVPVHAANSPGGAEKKQQGGRSSASSRRCGGAEGDGPSMATTQHRKRMKGPSMERRSWATTIIIANTMRSFESCIESLSRRMDVEDDPGRVDGMSAEIKRYIEDMELLKEFIDTDTITNNGKILGTQKEEVQPIGTQQCLLRTVNPATGEECNSNPRQSRGGKVINIRKILRWQDAEKRSCVLHKVCFFIPFALALQKPSCATMSSSSSASSGLSTQSSSSREPTLEWNPEEAHAANVRRAIEAGEESSHDFSVWSEDDKSSTNGESDLRFLADGETEEESDDDRFSCDDFTSPEEEEEEKEEEDDTSSDEPLAKRFCPWPGNLSDFDSDDDDADEEDEDNEGPVGGRCSSDDEPAGSSADSGDDDDDEGSDGP >cds.KYUSt_chr3.30093 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188345272:188346708:-1 gene:KYUSg_chr3.30093 transcript:KYUSt_chr3.30093 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQNNGHADNPKKLVVIYAPPGMPGHLVPTVELGKLLLAQGLEVTVVVGGEADQGAGDSLLAGIAAANPSMSFHRLPAATLPSDVPSYEVKVFELARASNPDLRDFLRSASPAALVIDFFCTSAIHVGAELGIPTYFFLTTCIAGVALSLYQPVIHEQTTLSFRDLGSDLVHSPGLPPVPADHLAAAILDRDSWSNKLFLDLSEQMCNSQGVIINSCRSLEPRATDAIVSGLCTFPGRRTPPLYCVGPLVKPEEAAGAKRHECLAWLDGQPKASVVFLCFGSLGRFSAEQIKEMAAGLETSGKRFLWAVRRPPGVEHLPADDLDALFPEGFLERTKDRGLVLMSWAPQREVLAHDAVGGFVTHCGWNSVLEAVMEGVPMLAWPLYAEQRMNKVFLVEEMRLAVAMEGYDKEMVKAQEVAAKVSWLIDSDGGRELRRRTQAAMQRAKEALSDDGESKAALKNLATQFKGTGENGVIIN >cds.KYUSt_chr2.2404 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14530731:14538754:-1 gene:KYUSg_chr2.2404 transcript:KYUSt_chr2.2404 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPARASPPPRPTRCDSAARTLLPGQFHRWRLLRGEAAMLHALLQDIAVPPGSSYVLMKESMHAQIVVLEHSPQPTLVAIRALMRSDDREEMGATEQEVKMASPMSIEAASALPFRRLSTSPPKDFLLEHRENPRSGLLDRAAAASQHCSPLDGPANVEVEYLLLQLDVGDACLQHRLLQHLPGTSPMIATPVPARPCLPLDDSVGTRWVRGTLAWAVLELQLSLEATYRSCGTCVKALPRSFDSAKAMRTEFQGDALLELLCCLPLDTRRKMVAAAGSMGAMVAAGL >cds.KYUSt_contig_686-1.1296 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8145527:8145769:-1 gene:KYUSg_contig_686-1.1296 transcript:KYUSt_contig_686-1.1296 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGAGGSAAEWEDSAGEMEAEDNHHGSTAGAVGMGMMEVDADAQDLAAEAPTSSSPAPPSHADFFNSFPDDFDDQDLA >cds.KYUSt_chr7.7998 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48286858:48287388:1 gene:KYUSg_chr7.7998 transcript:KYUSt_chr7.7998 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFKIVATTQAKVVFMVFLLGSTFSAVPASPADNNCGWDLYRRFVLARSHLVLIAFSAGLLLFMVWTDLCTAKAAAARAAEFACAKYFDADHEGLGAVASRWTRFWLRAKLLAAVAFSFAASVLAVVAFEDGLLYRTGCHADHAGHGAGSPLGLLVVGLFALVHGFFGWMAVTKN >cds.KYUSt_chr1.28809 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174268171:174272009:1 gene:KYUSg_chr1.28809 transcript:KYUSt_chr1.28809 gene_biotype:protein_coding transcript_biotype:protein_coding MTASGPSDAIASDTLSTLLLGLVRVCLTHFTRPLTARTDREKPKPYPAAAVDELPDGVEGKVKQIVGSTLRDTSDDGTVLVTNFESDKPPAEFASIYKQDGLVGGHVIMLGGDDASRSAALEALHAYPGGLQVGGGINLENAMSYLNEGASHVIVTSYVFSDGKMNIERLTQLVELVGKQRLVLDLSCRKKDGRYAIVTDRWQKFSDVFVDGPTLEHLAAYADEFLVHGVDVEGKRLGIDEELVALLGRHSPIPTTYAGGVSTMDDLERIKQAGNSGVDVTVGSALDIFGGDLPYSRVVSWHKEQNMVSQQ >cds.KYUSt_chr4.20823 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131045030:131045257:-1 gene:KYUSg_chr4.20823 transcript:KYUSt_chr4.20823 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQLNFPEERHIAHALASEDTRYFTRAEEEHRRAETQFYDSKLARILWLEPSVMQQNLEALSRNFAAADADLIP >cds.KYUSt_chr4.5673 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32992839:32995212:-1 gene:KYUSg_chr4.5673 transcript:KYUSt_chr4.5673 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADASGAGRSDAAAAAASAACPCPICLESFRDEAYLDACLHSFCFKCITQWVKIVASKHEEPLSSVKCPLCKTENVSIIHAFDGESFQRHYIEQDRGKRYLSDTQEFISQIYNTREIPDDASSVEQYWKQRKYLRKNTWLEMWLRQEIQALTQDENVETIVYHIHGVIESFMKRQEKLHTLKQTLPEQTREEFRSLLSDTARPFLLGRTARFVAEVELFLISQRNIDAYSRARVQRFTESASHVAREQDALPRDRPLEDHYLYLLSDETGGEI >cds.KYUSt_chr3.12153 pep primary_assembly:MPB_Lper_Kyuss_1697:3:72566527:72567321:-1 gene:KYUSg_chr3.12153 transcript:KYUSt_chr3.12153 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASGIMFRRLFKTLTVSPAVASGITSQHHQLQQRAHVSGTSKGKAKLKAGMPLKRNVIAKKGGTSSAGSGGSGRGRREAIERITQIAESCLKSPTPLRHLSPKERLREANREELGLISKERQRELDAAKAKAKSKGTSGGEDGRVLMGPPGLDYISLGLVDEEAIPDYELTVEDGRRLAKEYSRVLMRRHRARQTAESTLLRLKKEAIAALPEKLQAAALIPDMTPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR >cds.KYUSt_chr2.37074 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229471843:229475788:-1 gene:KYUSg_chr2.37074 transcript:KYUSt_chr2.37074 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGGRPQAAQQIVQSVQRHVPLKPGRPPFAVPGDYHRFPLTLSPADAAAAAASPGGVGGDVQEEIVTRTPYFGKGPVAFMPTISMLIPVELSAACLLSLNIILGSCFRAASHRLGSPGNPVTPVGSCRYDNSLGLLTKKFISLLKQAEDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDASGTNLGISDLQTEVENLILQEQELDESISEMQEKVRELTEEESNQRWLYLTEDDIKGLPCFKDKTLIAIKAPHGTMLEVPDPDEAGDYIQRRYRIVIRSTMGSIDLYLVSNFDGNLEELVGVATPQKHADVAIPTTTEGFRTIEAGQSSRWNDKSPNIEHVHKIPDLNAQDLGGMTKIIPDVDTLKDPVTYGLATLLQTDADYWLLTDGDVSMTDIWRTARIL >cds.KYUSt_chr6.8578 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52750057:52751941:1 gene:KYUSg_chr6.8578 transcript:KYUSt_chr6.8578 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYPNTSSPWPQRHPALASARHAAVAAGAAAARGKRRGADAGEGADPAAEAAELVRFFLRKTSGGKERLVAVLDRHVKVVRTEHCFLLFEELGRRDGWVQCLEIFRWMQKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCKPDTSVYNALIGAHLHSRDKSKALVKALGYFDKMKGIERCQPNIVTYNILLRACARASDTKQVDTLFKDLDESPVSPDIYTYNGVIDGYGKNGMIKEMESVLVRMKSKQCRPDVITFNILIDSYGRKQTFDKMEQVFKSLLRSKEKPTHPTFNSMITNYGKARLMEKAESVLEKMEELGFKPNYVTQECLITLYAYCDCVSKAQQIFDELVSSQSTVPLSSLNAMLDAYCMNRLPMEADRLLDTAIEKGVVPNASTYKLLYKAYTKANDKMLVQKLLQRMNKQGIVPNKKFFLDALEAFGNSANKPRRVRTDAATEPTRDSASNSEMARSSKTKFSFSEAVDGFTRILPNSNSASKAAAGSEINSETATSDEPELSFSEVAS >cds.KYUSt_chr5.35576 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224810631:224816320:1 gene:KYUSg_chr5.35576 transcript:KYUSt_chr5.35576 gene_biotype:protein_coding transcript_biotype:protein_coding MWEKEQGVRGSHCHWRGGGSSSLERRWSPEVVLIFGTRRRSEKGGEEKVEEEERRSWRRGGEGDPSARGAAVAGGRQEGFPAVATEETKEDEDAKWVGQYSSTQSILLVGEGDFSFSLALATGFGSGENLVATSLDRYDTLKKMYNRAESNLAKLKEMGAVILHGVDAKRMQFHIDLKMRRFDRVVFNFPHAGFLGKEDEDHVIMEHRAVVKGFLCCASRLLHPDGEVHVSHKTKYPYNVWDIPGLAAKFALYLSEQADFHIADYPGYSNKRGDGASCDEPFMLGNCSTYKFRKGYRKPPISRPRLVPRHLIGNGGVYPNSSAVSSGCSGFSHYNAVRLENGSVHQNFSAAFSGCRPYHPPSLAPEWPGPYASFSMTPRSGFPHYHTAPQRCQQGFDPQQNSNMAGIIPQDDQHRRYQRQRMLIAKYGRQ >cds.KYUSt_chr7.9579 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58449487:58451109:1 gene:KYUSg_chr7.9579 transcript:KYUSt_chr7.9579 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVHVPELTSSGSLTLDPVQRTLSRLALASSAAAMTPRSPPPSYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPDARLADYFDVIAGTSTGGLVTAMLTAPNAQGRPLFAAKDINSFYLEHCPSIFPAVSGGPLGLLRSIRGPKYDGQYLHSVVRKLLGDTRVDQALQNIVIPTFDIKLLQPTIFSRYDALNDVSKNALLADVCISTSAAPTYLPGHHFETKDKDGKPRAFNLIDGGVAANNPTMLAMTHVSKQILLGNQDFFPIKPADYGKFMVLSLGTGSAKVEEKYDAAACGKWGILGWLYNGGASPLIDSFSQASADLVDIEASVLFQALRCEKRYLRIQDDELKGDTSSVDVSTPENLNKLVGVGKALLKRSVCRVDVETGKSVPDKNRGTNEEELTHFALMLSQERKARFQKKGVSVTH >cds.KYUSt_chr4.2000 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10929029:10934334:-1 gene:KYUSg_chr4.2000 transcript:KYUSt_chr4.2000 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRFAAVAREAPAPLSACRLVRERDSACGAARPGGQCWARRGLVLRCQSGAAATTTLKKGEAPAPAVSDGATPAAAAGFTVVMKFGGSSVASAERMREVADLILSFPEETPVIVLSAMGKTTNNLLLAGEKAVSCGAPKASELDELKAIKELHFRTIDELGLDISIVSGFLDELEQLLKGVAMMKELTPRTRDYLVSFGECMSTRIFAAYLNKIGKKARQYDAFDLGFITTDDFTNADILEATYPAVAKRLQGDWIDDPAIPIVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYANAVPVPFLTFDEAAELAYFGGQVLHPQSMRPAREGGIPVRVKNSYNRHAPGTVITTTRDMRKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDSVATSEVSISLTLDPSKLWSRELIQQELDNVVEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFENGFLSEVEEADIAQKNGSPLLVNSNGAMHGN >cds.KYUSt_chr2.54955 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342641212:342648913:1 gene:KYUSg_chr2.54955 transcript:KYUSt_chr2.54955 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDRTVPNNYMFAAAANACSILTSPREGSKIHGYAIKLGEHSILDGHDHGVRAERPTGQAREAVQPLLSACELHDDEAAAKRAAEHALALEKKDPSTYLLLSRTLAVGYELEMGLLHGRGWVVTAVVAGRDFFEHPGMHFCQGFPLASYWSALQFVPGNDLPVGFKGLDLTVLDALNGSVELHDGMKGIDKLIPYQLATSMGIGGIKVLISMAPSTSPTSSLAAGNIMEGGKQVQPDVVDFVPHDPACVHAYAHMKNSMEMMFGSFRFLVRKEGFHRLSVLIFSAPFAAKSNFLGSPTTSVESGGEVSQPRCIKPAHGGVLEDLFGDMTFGSFTGSDRDSDPESCSNFNSISDNNSTYSREVFADRYDGATDPESDESFMIETHQICIITGANCEANEESKSFDELSNRTSTPKISRGEREQNTSGPNHVKK >cds.KYUSt_chr7.40504 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251463760:251465488:-1 gene:KYUSg_chr7.40504 transcript:KYUSt_chr7.40504 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPYMLWLVYISLASYVLYKVFVVGKNILVTKTRPLLPPGPTPLPLLGNIFDVQGELHQVLARLAGVHGPIMSIKLGATTAVVASSAACARDVLQKYDHLLAARSISDAARAMGNHDRSIIWLPGSSPLWKRLRAVSTNHLFSAHGLDAGRALREAKVRELLGCIRGHAGETVEVGRVVLSGLFNVVSNVLFSEDVGDLSSGRAQELETLIDDMLVEFAKPNLSDLFPALSVFDLQGRRRRTAERVRRFFDFFDPIIARRMKAGGEKKADFLDVLLQLHSVDQLSIEIIKSFLLDLFIAGTETNSLSVEWTMAELLRHPAAMSKVRAELQQGLGSKQYPDESDIGRLPYLRAVLMESMRLHPPSPLLMPHEAMAEGAEVGGFAVPKGAMVIINLCAVMRDPAAWTQPDEFVPERFIGVDMDFRVKDRFEFMPFGAGRRACPGMPMATRVVTLILASLLHRFEWRLPEGMKPSDVDVRDRYRTSLNMVTPLKAVPVPLFS >cds.KYUSt_contig_1790.374 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1887727:1890010:1 gene:KYUSg_contig_1790.374 transcript:KYUSt_contig_1790.374 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQSASDGFADNPRGEDDFRSCCGDEEEWEDTEESFTAGITDEELNETSVRLFFKGVSSSSDDAEGKKLSGIGVVMERAPGVPVLRVQKKLDFFVDELVAEHLALMDGLSVALQNGITKIFAFTNSEKLYFQIAEAEILEDQLLVALGHRILELVDKLEDFDLILLPSFELERPLKLAKEAIGVMYVSPYEVVTCPICCEERRGSQMIKVGCSHTFCYSCLIAYVEEKLHASKLPIRCPQLRCKYHISAGECKSFLPVSSYESLERAFAMAGTSVMERFYCPFPNCSVLLDLSQHFSRASSSSQSDLNCIECPECHGDICINCGVPWHIMMGCDEYQSLPLEDRDAGDLSLHRLAQNNRWRRCNGCRSMIELTQGCFHMTCWCGHEFCYSCGAEYNRGVQTCQCVFWDEDSIAEPSAAQSCQASEIWAWDTFDCMPTAIEGYSEQERAQLALIQRFLAGGFSLGGDHHPSQSQSPPRCADSYVVDTMKDLHQLPWLERFVSVISDSYNDDYIQ >cds.KYUSt_contig_1163.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000111.1:112299:117177:-1 gene:KYUSg_contig_1163.22 transcript:KYUSt_contig_1163.22 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTRQNGAVVPTGKQKAAAGRTRQPLGDIGNLVHAPHVLDGKTKLPVPEGINRRVTRSFGSQLLKNNCAVTNKNATAPAPAPPAALKPAVARKVLKPAPRPEQAAAKAINTGSDENRKPPEGAATAGLVLPRKKLVDTLSLVLSHRSKEACGLDRKPKDVVEDIDRLDGDNELAVVDYIDDIYSYYKTAQHENLPVQYIDSQPEINHKMRAILVDWLAEVAHKFELMPESFYLTIYIVDRFLSMKAVPRRELQLVGIAAMLIACKYEEMWAPEVNDFIHISDNTYSRQQILGMEKSILNKMSWNLTVPTMYVFLARFAKAAGAGSDKELEHMVFFFAELALMEYGMVSLCPSLVAASAVYAARCTLNKSPIWTETLKHHTGFNELQLMEHAKALVASHAAAPQSKLKAVYRKYSGSQFARVALHPPAVAYSS >cds.KYUSt_chr1.4889 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30096925:30097284:-1 gene:KYUSg_chr1.4889 transcript:KYUSt_chr1.4889 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSGALFVDIGDHAHGYRAVGTARGGLSAAHAAASKVPVQRAHAAASKLPVQRAHAVMCSTPPPSSYVQPLKEPTGIPGSDGTTAGEGTDEDDDLCSLTLEIEAVSPLEQDWTCRSR >cds.KYUSt_chr6.22157 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139976178:139979689:-1 gene:KYUSg_chr6.22157 transcript:KYUSt_chr6.22157 gene_biotype:protein_coding transcript_biotype:protein_coding MVILYILDEILRENLKNAKKDYAKTEDDLKSLQSVGQIIGEVLRPLDTERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRTEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >cds.KYUSt_chr5.32304 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204967074:204968117:1 gene:KYUSg_chr5.32304 transcript:KYUSt_chr5.32304 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTSGRAPGGVAQESRGHVSLFEKIVTGLPGRDHDQQQASDEVSPEARSRERRTLSRPPESLTLGNSAPAHEGLAIPVIDLGGDSSWTPSQRAEVVGRVKAATETVGFFQVVNHGVTKAAMSQMLAAVRSFHEESGEAKMPYHTRDRGRHVRYHNNFDLFQSPAANWRDTLYVEMMPTGPAPNEIPPACRGIMEECTSLVQGVGGTVLGLLSEALGLQRGHLEQEVGCLGGLVVVGHYYPACPEPHLTIGTTRHTDPSFFTVLLQDGVGGLQVLLRDGGWVDVPAVPGALVVNIADYLQLISNDRFRSVDYRVLSKSVGPRVSVACFFRTHGEAASRRAQVLNSRL >cds.KYUSt_contig_686-1.1257 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:7853783:7854784:1 gene:KYUSg_contig_686-1.1257 transcript:KYUSt_contig_686-1.1257 gene_biotype:protein_coding transcript_biotype:protein_coding MDVARSDKKLCAFALCRQVSATCPPARRLVEPTASTMCPALPAPPLRVARIPYLAHAWERSPTRRLTAVVAFPSSPINAPPAMPASSRYIEHRPTRHPSSMEQAGAQQQQQAVMPTTKQKKCCPLRRSRKGCMKGKGGPDNQQCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFGSALDAARAYDAAARALYGDCARLNLAQQLTRAQAQPQPIPLQQLPPPVEAPGTPCCSSSNNSNSTSSTPTDVVDCSAWMQQPTSEAAEDFEAYVTRLPKAEDFGLEGFQEVPLEVLDEAGGAISIWDLSVAPDMVAAASTSAAACAVPHQRLQQPAW >cds.KYUSt_chr3.3093 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17709988:17712732:1 gene:KYUSg_chr3.3093 transcript:KYUSt_chr3.3093 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHLRGEHGDDPPENPRIPLNPKKISEIEIEREKIHPPDQIHRPTKSDFFPWVTKEKLRDIVRKSPNPRKSLAYFQMKSEEFLNGSFRIKKDAPRITDDHIGSEVAIQAMNTQMSEKVGKLQYEIPAEIATEKVSTPIPAIADYALIPDFRFRHHLVIVPSRVDTVLTKTEEEAINGEPFKIGAYFGEGSMEGFLFTIKEIYVTHPTAARDVFGCNPAAAIKRWILDPGGATINRGGADFITQNHRHSNSHQYAKMPSEKAQTLHCETESKEAMLSKNSGTTAVAAGDAGASTTLMNHTSLSVQRKDKQIMNMEEAEANVRPIIINMAKARGMAPARLLAVGVFLSVIAITSKQLIGYMRNIWKVRGNLETNQFADQRFLIEFSEEGDFEHVICGGPWSYKDDAVLVRKLKEGEDPETAQFESVPIWVQYKNIPFYLLTKALARDLAARTGEFICIDNNARGDLNDKILRARVWMPLGRPLLRGIPIEDVFTDEEVMVSLRYERLPNFCLFCGIVGHNKQNCDRPEMPKPSRYNPSLSAPPTSRHDCRSWYLPEKMGQKSQAPSHSLPWRSGWVSGDEKMKKSGPRHTAIVAHVTGQDLHQQPLPWRSRQDSITCLPKPSLHRQTEVIAHVAQNVGKLSLQDKAIIDNKAGESATSTSTPQPTSSTPKMLTLEESDKGDKRAATTTTLPTRANLVSKQQLQHALDLANAAARANVVATPPDVSATDVGCSVVAPTPTEDMPLKKGPLRWKRVQRVAEGDNPNQTKALTTQGGALGAPRSRPGLEDDDFYMQPSLKKKIIFPVPPLEECLGAENLRKLIEEENTPPAIRKTRLPEAKDNSQEGEDSDSFVLITRETQEFVSGMEGRDVLAQEGHSRPHKPANEEEVEKETEKEATSIRAAGQLTGAKDRACQKK >cds.KYUSt_chr2.45940 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286740229:286742982:1 gene:KYUSg_chr2.45940 transcript:KYUSt_chr2.45940 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAFSTLSALAVFASTVHHGAVRSVHGYRVVGKGGSGGWERWVEKEFVFSPTWCREVPVPAAAPRILPAQWRGRPAYREGQMVGAWRCILAFDSVADVKPPPTPPPVLSPFRNPGLVCAASLYNDLQKLFQFQNFEKVPDLVQCDSEEKLTSSDAKEKTSDEVDDSGSDSDEDPQSGEGLPAPAKRQRRANRKHIASITLVDIAQYFHLPIREASRTLKIGVSILKRKCRQYGIPRWPHRKIKSLDSLISDLEYVIDDTERDEVQQEKQKKKEEEKQDAIRALAKRKRLLETEKETIQQKPALDLMAETKLFREDVFKRRYRAKSVVTNEIFLSQA >cds.KYUSt_chr7.26629 pep primary_assembly:MPB_Lper_Kyuss_1697:7:166245191:166246173:1 gene:KYUSg_chr7.26629 transcript:KYUSt_chr7.26629 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPDAGDVLLPALTPIRTRAAAAEPGTAPEHASSALLSTPPEAEHLRAAAGAEDEEEVVAESRTPTAAAGEEEEEPRTPTSEESRLLPPTECPPAPRKPTALATTRPSPKRPLVYFDAPRDLSAVFMSLPPKKRIRAPPCLVPCRPRSNFGHVEKRLTKADAFGGANTTLLF >cds.KYUSt_chr1.41942 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257165717:257166196:-1 gene:KYUSg_chr1.41942 transcript:KYUSt_chr1.41942 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIYCDVQKEEWKLDTLGDLCESSPVAQRAVFVNTGGKVDWLADKMRGMGHAVSAMHEGMDQNSRDVAMAEFRAGASSVLVASDQLAPGGNDDQQVVSIVVNYDLPTRPENYLHRVGHSGRPVNFVTTQDVRILSDIQTLPNVVVQEFTSNVAGLF >cds.KYUSt_chr3.28796 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179728812:179732825:1 gene:KYUSg_chr3.28796 transcript:KYUSt_chr3.28796 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSLAPHPLRPDPAVPPDASADCPPSLDFGDPASLATLRGLTDAGAATRLLHECVAYQRALDARLDALLARRPDLDRAAASLLRSAPPLLSLAASDASALRDSSSSTAALADALSSRVRHLDAAHSRAESALARAEAALDRSRALDAARRALAADDLTAAASAAHEFLAIDSRFPTDDDLRRDLLDIKRRVEGLARRRLSAAVDAQDHPAVLRLVRLFPLLALADEGLQVYVAYLKNVVALRARADFEHLAELISATTQQPTPERPDFVGCLTRLFKDIVLAVEENDAVLRELRGEDAVAYAIIELQEECDTRGTQILRRYAEYRKLARLSSDINSYTKNLLSVVGSVANAAGGNEGPDPREVEHYLEEILALTQLGEDYTEFMVNKIRGLRDVKPELGPQAMKAFRNGSFSKMEKDLTGFYVILEEFFMVENIRKAIRIDEPVPDGLTTSMVDDVFFLLQSCCRRAASTASINSLLAVLGGATSLLSNEYQEALQWRMREPNLGAKLFLGGVGVHKTGEEIATALNNMDVSSEYVLKLRHEIEELCPEIFHAPADREKIKSCLSELGEINASFKKILYSGLEHLVASVAPRIRHVLDIVTTVSYELDDAEYGENEVNDPWVQKLLLAVDTNVAWLQPMMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKDVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAISALRL >cds.KYUSt_chr5.28719 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181915165:181920826:1 gene:KYUSg_chr5.28719 transcript:KYUSt_chr5.28719 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSGALGQDEAVVLQFVTHLQGPAELSFPRLATGRRRPGAGATLPQSSTTANRKGGGEMKKERVAPLSWPPRHDELRHGRPFFPFGVLLPGNELTATVIIMLRPRWKFKSLYGRVPCAIHFIAFYGILGWNFLPVARWIRDVRIRFLCWLDGILANRSGEDEVSLDMRGTTPEPDMEVIRSDPELSLTRRKACCHSVWHWLKCEHSASSYNSRGYCCGRFGWPLASGPRRSVVATAMLLSWLCEEGEGLDMMDTTPEPDKEVVRSEPEPGLTRRYLSCLPPQSVQDGTFSRSGVLYRAIASI >cds.KYUSt_chr6.23060 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145706811:145708316:-1 gene:KYUSg_chr6.23060 transcript:KYUSt_chr6.23060 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPSSPLLIALLLALAASVLFLLLHGRHGGQKNALPPGPPALLFVARFLALRRSVFHLGPLLRDLHARYGPVVSVRLARTLVFVSDRRIAHRVLVQGGSTFADRPPLGEPGLLFTTGGRDISTSPYGAYWRMVRRNLASEALHPARVALYAPARRRVQDSLVRDLLRGRDGGVAVRPAFQRAMFELLVHMSLGARLAPETQDEVQELQLRILRSITSFPIFSFFPALTKRLFRRRWEGYVAVRRRQDEIFLPLIRARRERDSRDGADPPCYADSLLALRVAEEGGRPLTDGEAVSLCSEFLNGGTDTAVTSLEWILAELVNRPDIQAKVYEEVKARPELEDPQATPYLKAVVLEGLRLHPPAHFLLPHSVQSDGAEVGGHAVPKGAEVNFLVADFGRNEAAWTAAREFRPERFLEGGEGHGVDLTGSREIKMMPFGAGRRMCPGYTLGLLHVEYFVGSLVRELEWLPPADEEEVDMTEELDFTTVMKHPLRARLVPRTR >cds.KYUSt_contig_1253.292 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1921127:1922477:-1 gene:KYUSg_contig_1253.292 transcript:KYUSt_contig_1253.292 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPEWKYSNWSSDPDHDYTYDCLINRKWWDNVKWVLDIIGPIFTILQYADSQKLGSLSGFMSRMMHARHHLSAAFPEESLDRQKYLKVVDKRVEHLYKNTLMVAGGVLEPAGHYQHNFGKDLLRVKALTSALKKMTLPSEFVQLIPQIDMHINFRGAFEGVYLEEASSHVSSTQWWIIFGDTTPLLQKYALQIVSQCTPSSGCERNWSLFALVHTQVRNRLGFERLHKMVFCHHNLGLRIREILDETREKEVDPCEILMDVSLYDGDNPIMDWLANPDDSTPSIDEYNDDDRYEDRYASPAPIVVEVTQRKRSHWNDGEEDEEEEVEDIEEEEEEEDGEEEEDGEEEEEEEENDDGVDKDNNYELPRDENQPISSFRKSKSRR >cds.KYUSt_chr4.52653 pep primary_assembly:MPB_Lper_Kyuss_1697:4:326738564:326739731:-1 gene:KYUSg_chr4.52653 transcript:KYUSt_chr4.52653 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAPSSPPRSPPRAGNGPTPGSGGVMRGAVYYVQSPSRDSHDGETTTKAATTPALSPMASPRHSHSSSSRFSRGGKAPRNKGGKGWQEIGVIEEEGLLDEDERRPMPKRCKYCLIFVGSFVVLFTFFALVLWGASRSQKPQIAMKSITFQNFIIQAGTDASLVPTDMATTNATVKFTYKNTGTFFGIHVTADPFTLSYSQLNLAAGDLKKFYQGRSSRRTASVSVKGNKVPLYGSGPTLMAQPAAGGKGAGKVQPVPMTLSTTVRSKAYVLGALVKPRFTKRVECKVVMDPAKLNKAISLEKACQYS >cds.KYUSt_chr3.27559 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172017618:172018040:1 gene:KYUSg_chr3.27559 transcript:KYUSt_chr3.27559 gene_biotype:protein_coding transcript_biotype:protein_coding MASCWSSVLSVLGGGPGDTEEETQLRGSLLGDKAAAASEAKAVEKLEAEVKALVRALAAAERDREAAEARWREAETLAGKAVADLRAAEEDHQGRVEDLLRMADESKVKDARIRELEEMIRAATGMTEAPSSERKRLFFF >cds.KYUSt_chr4.53797 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332832301:332833602:-1 gene:KYUSg_chr4.53797 transcript:KYUSt_chr4.53797 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNTLPLESADVVREIFLKLATRDVAGCCCVCRLWRDLVADPSFRSLHAKTEASHLSAASEALLVMETREVGRSDEARVLSVSSSRPMPYHRFPIPSNYSLSNICNGLLCFSVDQSDSPVFLCNPVTGETATSPKAPPAPMERRFGTTHNLFALGFSPSTKEHKLFRFSYYPCQWNCRKYVDQMVCTLEGAGGAGGWRERSYPTKCPPLRTLPPLFVDGKLYFVTTGRTNPGQRRGADELLEVDVATEAHRIFCLPFGADSYHETCDPMVKTFEMSGRLCLAADLPRGQQTIVRKLKFWVLSSPPLNKQLEEEESKLCWVLCYSFDVGDIFFFHQRSAWFDDHEMTMCFSDSKAVFKHHTRARAPELSPDADSPQCDRRLQLPPKPSNCKWRICGGYRPGLLSPLTLAPPPPSSDGEEEIQEFEHAMLLALR >cds.KYUSt_chr5.31884 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202204885:202209810:-1 gene:KYUSg_chr5.31884 transcript:KYUSt_chr5.31884 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGPISNAAGAVRRLLPASWLLADGSNSRFGAMAARKVELVRGTVRLPSPHLIGLGHRQMAQASNPVTLLKTLRVSSPMWSKVDTDTCELLQYYFGLYTVVMGPTYVDVEFQTFEIANGFKNCGMWPNLKMDWAPKNISEVTIIPGRVTTCMPGRVTTRMPGRDLPMSSTQSCVLLPATNPFPTEWTTNAQGEAVVPHFPDDVYPSCPSPAPFPTPTGQQHCYMELAVMLLAVMRGHVAGDLKEKSRTRFGPPPATVLNLDAAAVDHAAEDYTTWGKVVDERRGEVDEDPPYWLTGLEEEEPQEPGAPRCEGAPLDSWSSGAMKLVLSSFARRSFNDPRSSRSSRRRALASTMAARSGKIGSKSSSTELISWR >cds.KYUSt_chr4.47696 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295293355:295294126:-1 gene:KYUSg_chr4.47696 transcript:KYUSt_chr4.47696 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRTYVDFVPSHNLVEESTKQTLVLNLPGFQKEHLRVQIDNYGRLRISGERPLEGGQWSRFRKDFQVPDGCDAGGIRARFEKDSVLHITMPRLSPVDLPEDDKHVAEAAAAAAAAEEKKRQEEQEEETRTKRHEEHGSDDDEGGHQEMAASGAGGTAYGFARDRSGMVRRMIIAVALALVGAAGMYARYRLMDPSGETAPAHNATAGLSDY >cds.KYUSt_chr1.24178 pep primary_assembly:MPB_Lper_Kyuss_1697:1:144231002:144237518:-1 gene:KYUSg_chr1.24178 transcript:KYUSt_chr1.24178 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQTKDYKENNLSSRPSSQGELERRRSNVVKLATGNPATVKSWRIAAKMPSASISEEARTPSADPRRRLQEETRRESAIAAQSRDLGFHPGAKEGGRGLYLKIASKEEDAVQDVADVVTATAGQGFLLARAPCPAIPTKPDTDENAGFHGLGLGATWRPASVFRSATGSTGQQPPPGTAPPSPRRGRRLRCPSPYRDERWYQTLSLLDCDDDIPSASESSAGHGADNNDMQNPVEDGSVRQHGCNVLLDDGESLNSDSSACSDCDVESDEDGDCSIGNPFLTDGYGNKTTWRLSDLNAEFTKYLEEQASKPKEKKLTKEERLKVHSNRMERYMKSALQKYNAEEKLSEDMYFEFDKVQCHNWIVEGDYDNQFYYHFNFSATQACSTTCLFFAEAIPNDGDSFDTSCKLLTDGDNGHCYGCKNAHVDDMRHPACGNVYVGGHIDQTFPFMIDTESEDDSD >cds.KYUSt_contig_1253.350 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2262950:2265407:1 gene:KYUSg_contig_1253.350 transcript:KYUSt_contig_1253.350 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAAQGDTDEEVFLELSRELKEEASRMFNRKDYEGAAFKYDKAIQLLPNGHIEAAHLRSSAAQCYMRMVPGEYHRAIHQCNLALEVAPRYSRALLRRASCFQALGRPDLAWGDVEKVLGWEPGNRAAREISESVKVALKEKGVVLVVSEPVLDGQEVDHVAEIKNAPEKEEEKKQRNEHNKQANHLGDNGVKQFKQEKHTEHKEANGIRNQQSLLEDKETNGLEMERNDVGGKGGKHISGQQTGHGKSKGRKHSAVKPVHHAQENRHSHTTESNISVKTEAMRDLKLVFGEDIRCARVPVDGSLSQLREIVQNKFPSLKALLIKYKDKEDDLVTITSSEELRWANNLADPEVPVRLYVAEVDPVQELGVDVVRTQPSLLEKIPNSMAENGITGHDNGQNCYVDDWMLQFARLFKTHVGFDSDAYLDLHDLGMRLYCEAMEDTVASEEAQEIFQVAELKFQEMAALALFNWGNVSMSRARKRPLLSEDGSIELILEQVTAAYEWACSEYIKAGSKFEEAVKTKPDFFEGFIALGQQKFEQAKLSWYYAIACKIDMGTEVLGLFNNAEDNMEKGMELWEATENIHLRGLSKLNKENSMLEKLGLERYMKAMSADEAFEQASSIRSHINILWGTILYERSVVEFNLGLPSWEESLTVAMEKFKTGGASVADINVMVKNHCANETTQEGLSFKVEEIVQAWNEMYDAKKRISGAPSFRLEPIFRRRAPKLHHILEHIQYT >cds.KYUSt_chr1.40542 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248553119:248560860:-1 gene:KYUSg_chr1.40542 transcript:KYUSt_chr1.40542 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGAGGGKGAAAAGPVPQASKKLVQGLKEIVNRPEAEIYAALRECGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKETPEPRSRAANNATSRGVRGVPDRGGRNSSVHHSSTDNTNSRSSVSGPGMPSTNSTQKQTVPSSSVNKNLVVDVPSVPPQTSSGFQHGWAGTPGQLSMADIVKMGRPQAQGKPSTKTVVTADKGYAGQYPSLPSTMNQNIKQSASTVPPTELDQGLPSAQNSVLVKDNIHTAADNKQNYDNDWSLQDEPLSGNQPSLPEISGDPSLYEAPLHPSSLVADVVYSHEKSYLDENISAAVRSVNSSERPLDHYGGNSEYNDGLLQNSSTYLPQTHSHIEDQDEESSADVSAAANFQGLSLHDEELAATKFAEDNPAVIIPDHLQVANSGCAGLSFGSFESGAFSGLLPQKSADNNSEFPAVEESEAVDHTDTRDQDFYEIDAVNPSANENLEDIMGTNAGNLDVPSVPQTDVLRQEILDDPSGVQYNLPSVSSHHTYSNPAQPNSMEAMQGTQSMGTRYNSAAPTTTGQAISMQESATPAATNPFDEVMSAQYKAANQYMAALQQQQQQQQGDNSAMWHHGAGSRTASALPPTQFYGYQGQSQQQGGFRQAQQPQQPSQFGGHGYPAFYHSQGGMTQEHHPQNPAEGSLNGYQAAPSQQPSHQSWQQHTNY >cds.KYUSt_chr2.40173 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249469301:249474956:1 gene:KYUSg_chr2.40173 transcript:KYUSt_chr2.40173 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSWSALLGLGCFSSHHGDDSGRPPKPAPLPDDDVDGPMKTAGSGMMPSPEDLSMSLAGSGVEAFTVDELRTATRDFSTSNFVGEGGFGPVYKGYVDERLKPGVRAQAVAVKLLDLEGSQGHKEWLAEVVLLGQLRHPHLVKLIGYCYEDEHRLLVYEFMARGSLEKHLFKKYSASLPWSTRLKIAIGAAKGLAFLHDATKPVIYRDFKTSNILLDTNYNAKLSDFGLAKDGPDGEETHVSTRVIGTQGYAAPEYIMTGHLTTKSDVYSFGVVLLELLTGRKVVDKNRPPREQSLIEWARPYLLHDSSRRLERAIDKSLDGQYSRLAVQKAAAMAYQCLSVSPKSRPQMSAVVEALELLLTMDDVAIEPFVYIVPSENK >cds.KYUSt_chr3.31756 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199595640:199596739:1 gene:KYUSg_chr3.31756 transcript:KYUSt_chr3.31756 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARGSGAKNIILVHGVCHGGWSWYKVASRLRSGAAELSYHVATPDLAASGIDDRRLSEVPTFREYTGPLLDLLRSLPEGEKAVLVGHSLGGLSVALAAELFPEKVAAAVFLAAFMPDCVSPPSEVVLKNGARSKYTPLDNEMKPQDAEGKLPSSFMFGQQFTEQMLYQLCSAEDLILGKSLMRIGSLFLEDLQIQPPFTKDHYGSVRKVYVVCKQDETIPEEHQRWMVANNPVDEVMEIDCADHMAMLSTPDQVVRCIIDVAKRYN >cds.KYUSt_scaffold_869.1438 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:9131356:9135062:-1 gene:KYUSg_scaffold_869.1438 transcript:KYUSt_scaffold_869.1438 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKSKVLPLPFNTVITPSQIRDLMLPPPQLTNCVTETETKVFPSFTQNHTELVLPAPPPPKRVREQETKEIGSIFKQKKKIVNLAGDNGITEAFQLGGDVEIKLEEKDEMDEPVKNFGEDQIKDNELLEDIVADLQGECNMLCGEIAQWQLLQKETLVCFELGNACFEDAVAKRHELLLDCERFRKENLNLNGKLNHAMDDAMLAESEFVDAYMKRSEM >cds.KYUSt_chr3.4947 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28148458:28148775:1 gene:KYUSg_chr3.4947 transcript:KYUSt_chr3.4947 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSDLDSDAWLLVGDFNIAWYASDRNNDNFDAGAADSLNDLVDELALQELPLLDRRFTWTNSRADPTLVRLDRAFINLAWVPTYSTRLSTRWFGTPRIMCPFC >cds.KYUSt_chr6.25039 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158627345:158628670:1 gene:KYUSg_chr6.25039 transcript:KYUSt_chr6.25039 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLELKHLKHISLPTRPVICKCLLIVIGLIVLRAIISPFLAISSSEKSFYESTTLDLFPGVRRDKFVEVPQIIWGLNNQKIAFARACLTAKFLNRSLLMPSLSASLFYKEVDLLKPIAFDKVFDFNKFNARCHGFVRLARYSEVLNHTEPFKLQKGSGRRWTVDRDLDQLQQSRLGEADGSEVIHVTGKHPFLWPDHWPVKDYARIFDCLTLAPEIETEVVKVISKIKDAGKKARHEAAISHNKNRIDSSTSLSVQYIAVHMRIEKDWMIHCKKWEQRSNSKEICSSKGEIIHKVSQITDLRRPVVVYLAVADSLLEDDSVTSGWRVGMIAYEKKKLGVTDIYDRQPYLIKSAIDFEVCARADVFVGNSFSTFSNLVVLSRTERLYNLGKASSCGEDVGLSSYAYNIIGDDGGPQRWMTDMSDTSLQRISYGTNNVSCH >cds.KYUSt_chr7.28409 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177304633:177309382:-1 gene:KYUSg_chr7.28409 transcript:KYUSt_chr7.28409 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRNIYDDWLCPRPIPTELCPDGGHPCRHVRFNNFSGQTLAEFGRMVAQQFLTMFQHAFSKTPLSILENRDVWNNDFTGTPTECQQPNVYVAHSEIYAPVNFDMSRKKLRINEQGMDIPVDGTMGVYAAKQIGAEAELAACDKVLKLALYWSEEPIDLETDCSDGIHGQFGTSSSDVLKRSHPATVVS >cds.KYUSt_chr4.444 pep primary_assembly:MPB_Lper_Kyuss_1697:4:2405464:2407474:-1 gene:KYUSg_chr4.444 transcript:KYUSt_chr4.444 gene_biotype:protein_coding transcript_biotype:protein_coding MWADGGGSRRTFIAAARPVFRPSGGVENIGIEAVRGEASKRCLAMRRIRIPIDVELNPSTFGLAFFGSIDLFVEEEKMMRKTKEELGAMEKDTAAKATATAAAAAASRVCRRCKAKYFPSDNTPQSCRFHPSFFVCRRHDDQKRYYELKDGDPPYAARFYDCCGAEDPDAAGCATASHLSYDDPE >cds.KYUSt_chr3.3399 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19385688:19387338:-1 gene:KYUSg_chr3.3399 transcript:KYUSt_chr3.3399 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKQSSRLRIHRIVDGTDCCLWEGVGCSKSSGHVTALELRGCGLYSEGLDPAIFELASLQLLDLSMNNFGSHSIPTSGFERLSLLTHLNFSNSGFSGQIPTVIGRLASLISLDLSCLYDITPDDSVYGDDTSIDDVTNRLRLEQPNFHILLANLSNLREIYLDIVDMSSIKVCFNFLRPISKGGFLVEPFDQKLYGFLIYPGIRNSQGMCPTSPTPVL >cds.KYUSt_chr5.31527 pep primary_assembly:MPB_Lper_Kyuss_1697:5:199778787:199779989:1 gene:KYUSg_chr5.31527 transcript:KYUSt_chr5.31527 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRRWERELASYDGPPPPPPRNNAAGRRCWWSAPGRTLEAVLDHIEGGNFPVLTMPPPSRASASRRRGNIWQPRRMAASSSSSGSAPRSSLAPVKREEATSPSTPVRVKKEPGSPPPTRGRSSGALVIRDQPSQPGRKRKAAKKEDAAAATNAAANRLAEEEAKRAEEAAVAEAIARSLTDLVPADNALPEDAALAWSRRDWEREEAEQQRRPMDPAAARRLAARAAPTAADDATRFRRPATPPSGVVVPVVDLESSDDEWYKPSPGWGDAGQGSSRQAAPPKVEDDGSDDGGDDYTVFYRHLGM >cds.KYUSt_chr3.34154 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214199016:214199727:1 gene:KYUSg_chr3.34154 transcript:KYUSt_chr3.34154 gene_biotype:protein_coding transcript_biotype:protein_coding MARTIPATAAALRGAAAAGSGQPCAHPAAAWPVMAVTVDMGSARRSARRGRPRRFSQAWSKPLEKHLPSAAGTGAPAPTPGSWVVKELLLRGYRVGGTARDPADGKNAHLLALDGAEERLTLRRADLLNYDSLPRRLRRLQRRLPRLSPTTPSVPCSLLTCLDREWTPMDARECHGALHREDTEISMSVLLISLFDSLGILPR >cds.KYUSt_chr5.21492 pep primary_assembly:MPB_Lper_Kyuss_1697:5:140386400:140391238:-1 gene:KYUSg_chr5.21492 transcript:KYUSt_chr5.21492 gene_biotype:protein_coding transcript_biotype:protein_coding FGSQTKMSMQKVGAEDRVGLVLAVDGRNKEELTLTPLCKEHLDEDFFHNIIHLQAGKRSKALSLFRQVMKDISFSEVNVKKLSDDLVRSDSNQLQPVCGPDHWKALLESSRTEIVQLLSY >cds.KYUSt_chr7.30200 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188019764:188022667:1 gene:KYUSg_chr7.30200 transcript:KYUSt_chr7.30200 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEHQTGNSHVVPAGSSPDHEQQQQAAAPPEDQGAGAGAGAKEEAELLWKLRKYLVLLAILAAAITYQAGLAPPGGFWQDNADGHVASGIVLKSTYRRRYLVFFYCNTTAFVASLMVLILLLVSEVRRSNAVWLRSLQLAMVLGLLGLMGAFAAGSCREVRTSVYIWVLLLGIFAYVTLHVVLFLHFAWLRDVFSRICKRWKQILGLIFRVGSERIADAQGAPEQQEEEEEDGDDLERNRSSLLVLATLAATVTYAAGLSPPGGFWSDGGGNHLAGDPVLREHYPRRFKAFLICNATAFAASLVIIIMILSQTAVSHVVKSNALRLCVLVSLFGLMGAYAAGSCREVKTSIYVFALVGAVFLYLLVQFIGPAMPKPGCVENTIKCVKDMKEKMLKKLNELLEETENGSNNNNAPSTADDVKDDFQKLRTYLLLLGILAATVTYQAGLNPPGGFWTDNSDGHTAGDPILEVVNPKRYKAFFYCNATAFVASLVIIILLQSQMITMRAMKRYVLQTAMTLVLFGLMGAYVAGSSRKFSTSIYVFVLVLLVFAYVVLHALLYVALQTRLKSRIDTIYASMSKFLHYFTGPSEPVDNVPEGKDLRKKRKFLMLLAILAASVTYQAGISPPGGFWTDSKNGHRAGDSVFRDEFRSRYRVFFYFNATAFMASLAVILLLVSKRLCHKGLKCYALRACVLVDLISLMGAFATGSCRKVSTSAYVIVVVILVFVYVMVQVLVLTFAKGKVDGLLERMSSSLMRQDPSMNQRNSTQATPVHQRNSIQVREKSREHKWRKDLMLIGTLAITVTYQAGLLPPGGAWPDDRDNHFAGDPILHDTNLTRYRIFFYCNATSFMASMVMVILLLNNTISKYKRSLLAMKTAMVLDLLGLLGAYAAGSCRKFKTSVYIFALVIAVFIYIVIHVLLSFDKVARLVKKKGKKWMAYLKMSVPIRMGSNNKPSVEKPEQVLLPR >cds.KYUSt_chr6.10935 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67734125:67735980:-1 gene:KYUSg_chr6.10935 transcript:KYUSt_chr6.10935 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAPATAITPRHKVKKQINLFYCAECEELAAKVAATSDAIQLQSIAWRTFPDGFPNLFISNAHDIRGQHVAFLASFSSPAVIFEQISAIFALPKCFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARVLSMIPKSRGGPTSLVIYDIHALQERFYFGDDVLPCFETGIPLLLKRLSQLPDADNITIAFPDDGAWKRFHKSLVNFPMVVCAKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLAAHGAAKVSAYVTHAVFPNQSHERFMTANSAGPDDQFAYFWITDSRPQTVKAISQHPPFEVLSLASSIADALQI >cds.KYUSt_chr2.38130 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236063009:236065795:-1 gene:KYUSg_chr2.38130 transcript:KYUSt_chr2.38130 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLVLHFATIVVILLLSSGTIAAKEEATALLEEAVLTLDAGNFSEVVANHEFIVVEFYAPWCGHCKELAPEYEKAASVLRKGTPPVVLAKVDVYDESNKELKDKYKVNAYPAIKIIRNGGSDMSTYGGPRDADGIVEYLKKQVGPASLELRSAGEADRSIDDKRVVLVGVFPEFAGMEYENFMAVAGKMRTDYDFFHTFDASILPRGDKAIKGPLLRLFKPFDELFVDSQDFGKDAIKKFIEVSGFPTVLLFDADPTNHKFLERYYTTPSAKAMLFLHFSDDRVESFKSEMHEAARQLSGNNISFLIGDVSAADRAFQFFGLKESDLPLLLVIASTGKYLNPTMEPDQLIPWLKQYIYGNLTPYVKSEPIPKVNDQPVKVVVADSIDDVVFNSGKNVLLEFYAPWCGHCRKLAPILEEVAVLFQDDEDVVIAKMDGTANDIPSDFEVEGYPSLFFIPSNGGDLLSYEGDRTAEEIINFINKNREPKAGVSAAVEEVTQTSTVEEEDPELYTTKSTDYIE >cds.KYUSt_chr5.31075 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196746456:196763389:1 gene:KYUSg_chr5.31075 transcript:KYUSt_chr5.31075 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTCDEDLTQHQAGELHRSNFEVLQSRQGAAGLTAKSTPESSHRPRLHPISNNDMESEQPKRSALGQEHEAEAKNSHTVQLVENKIQRRQKTREALGEEHKAEEKDSHAEQLMKESGIQNREQQKPAEPTFRDDTDEHMQSPHVLPVKEKSPSNKHKIVAFNELHNDAGAGEREPMLVMRDALLSQLHMALLRQEIIQAELGKIERAMALRVATGGHQTQTTPMPMPWHGVATADAGRLQPWPFSAEQPMAGQNAGFDEHKLPDSNKDALLSQLHMARLLQGIIESEVAQIERAMALGTATTGYQTQTTQMPMPMPWHGMAAADARRSKPRLLNAEQPISQQSAEFDEHKLPNSNTDLPPSKAPPAEKWELTGITIPVTKPKPPMKWNCTVCQVQATSEHNLQQHFDGQKHLSNVATLDPTTKASDQKEKSTAEHSLGTEQKKSSSIKWSCCTCQANGTSQSTLEAHLKGKRHEQSITATCVKGDMNGMSKNVATQDAKSHGINVPNNSEKLWPFIAKQPMAQEFDEHKLPDSNKVVPPSKTSHAEKWELTGITIPATKEKATSEQNLHQHYAGQKHLSSVATLDPATKASDQKAKSAVEPSLGTEQKKTSSIKWSCSTCQANGKHNLGSERTIYGTPLAALPNGFPVSFFKKFWPVKCGVLHILNDVILGRIDVSRLNFGFLSRIPKLWRFNAKARDKEGLNWISPELKFLFASLTLMPTATTGKNKGSASSSATAGATKASLDWTSSTISKREENKLRSLGLISSAESDFVHRDSASHPKPLKDKSRVSPTDFSEEDLQDEVRRLTCLIQKDNIAMTSAHPPLDLKHLPSEAPTVAQCYPPTPESGIAPEDDNASEEIEDEQNSLEDSDALGDTVPEDDALVKSMRRRKINEDLMATAESSPSG >cds.KYUSt_chr1.19736 pep primary_assembly:MPB_Lper_Kyuss_1697:1:116058444:116075864:1 gene:KYUSg_chr1.19736 transcript:KYUSt_chr1.19736 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSGRWRGGGKAKAMFKLQFHATQARPPLSLPVSWGKKQSFRFLGFVSDSRRDGARALVTVQVPEPGWESMMVVVTPQDIGRPTARTDGAEVADGACRWAAPIFEATKLPAGKDKIYHFLVYETGSTKAALLGEATANLAEYADALKPSAVTLPLKGSPAPGALLHVTIQRVVGGGAGGCGDDVSENGDTAKSSPRRTLQGQLSRCEDEDGEKARSLAADSMSPVHDGLVISKPPGMRFPLRRNMPMSVEPAGHLHNANSFDAVSVSGSDGSSGRFTPKTSANMHSTFHHDATNVLSPFANNGTQRNPLSSGDWSGSSAPDASTDGSTSNSGETGLRGAEDDVEKLRSEIGTLTRKMDVSDMELQTLRKQIVKESRRGQDLSKEMNSLREERDALRRECEGLRGTKKTIHDANGSGKRLSVGEDPWSQIEELKQELGHEKNLNADLRIQLQKMQESNSELLLAVKDLDELLEQKDRDISVFQQETVDDPQEAQYEHALSNVHSGGHKMDMSETSSYQEKEDELMLDALVKKSDGIASSELQEKIIELSDEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIITDLETNVENLENELQTQSERLEADIAEVLSAKVEQEQRAIKAEEALRKARWNNATTAERLQEEFKSLSSQVSSAFSANERLLVQARKEAAELQLQKSQLEELLQKAQEDTVSVQEQNRMKIQQLLTLVDFKSKEIDRLVMELKSKSDEFQNQKRSDEEKLDALSEEIEQLKVKIEKLSNERDKLMENNQQKDMELAASGEKDMALEDKTAEIILLNEELALLKGHVQTYLSELNTLKRSRNEKDEAIGKLQINIGSLKLQHENIKKMLSTKESEKSNLASQVLKLRRALESREDAKENGITSETTEDQHTNSKRTKHNIVSTGNTDATPIVDMHSNGHDARGAGAHADQSAKELDSLKEMNKAMQEELNELHERYSEISLKFAEVEGERQQLVMTVRTLKNALRNVNQRSGKLVPHERLKHSQFELEKHPSCALRFEQHESDGGVPPMIAGPHGINFPTSHVWSAANALAGAIALPTTADGATIITVSTAHIATALAMAMAMAMAFYKEIVGSGLECDCLSVLSQPTDVHWEAVKRILRYVTGTLSIGLLFRKSATTSISIFTDADWTGCVDDRWSTGGFAVFVGPNLISWSSQKQPTVSRFHVGAGIPGVAPHYTPPPSTFNVLLDSYCSDDAAAHLHNFVELCEMQKYKDVDGAIIKLKLFPFSLRGRAKDWLLSLPKNSIDSWTKSAGGTFMSITLGAATKLLDDIMINYSEWHTERAPQGKKVNSVEETSSLSDKIDAIMSMLVNGMTNVDPNNVPFASLVAQEEHVDVNFIKNNNFNNNAYRNNSGHNYRPYPSHNSNGYGNSYGNSYNNNRNIPYGLEAMLKEFISTQTAFNKSIEEKLGKIDILASKVDSLAADVDLLKLKVMPNENKDIKSFSTANAIQVRINENIRLMAELRARWEKEEDAKENNVAKVWTITTTSNANASHVATPPTINGKIIGVDNVSTPNAKLAKLPETAKTAETTCDKTAEFFSNIGENDPITLDHNGLDFDDCHISEFIKFLQKLARSPNTSAINLAFTKHITNALIKAREEKLNRETSIPRKLEDGWEPIIKMKVNDFDCNALCDLGASISVMPRKIYNMLDLPPLKICYLDVNLADNSTKKPLGRIDNVRIIVNNNLVPVDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEGNIKYQFPLKKGMEHFHRKRMKLPFDSIIRTNYDFDTSSLDNT >cds.KYUSt_scaffold_869.295 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1835270:1836236:-1 gene:KYUSg_scaffold_869.295 transcript:KYUSt_scaffold_869.295 gene_biotype:protein_coding transcript_biotype:protein_coding MELINQGQEAPIDAQGFENALYTIDIGQNDVNGLLSNLPYDQVVAKFPPILAEIKDAVQMLYANGSRNFWIHGTGALGCLPQKLSIARKNDSDIDQNGCLKTYNRAAVSFNAALGSLCNDLNVQLKNATVVYTDLFAIKYDLVANHTKYGFDRPLMTCCGYGGPPYNYDITRSCQSPNATVCTDGSKFVSWDGVHLTEAANAVAAAAILSSAYSIPKLKFDQFCKV >cds.KYUSt_chr4.22863 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143749720:143754596:1 gene:KYUSg_chr4.22863 transcript:KYUSt_chr4.22863 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAALPRTMEALTRRATMLRDSLQRSQGNTDGMVAILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTLKSGEAILSQFDLARKAEATILRGPHEDLEGYLEAVDLLKGIAKFFSSNKNFRSSDGILNHVNNLLAKSSLKIEEEFKQLMNTYSKPIEPDRLFDCLPKSLRPSKDDGGADGGNANNAEHPSKGLETAIYRTPTLVPPRILPLMNDIAQQLVQAGNQQSCYKIYRDYRGSALESSLRKLGVEKLTKDDVQKMQWEALEAKIGNWIHFMRIAVKLLLAGERKICDQIFDGVNFNKDQCFAEMATNSVVTLLSFGDAVAKSKRSPEKLFVLLDMYEVMRELQSEIEAIFEGKPCSEMREAALGLTKRLAQTAQETFADFEEAVEKDASKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFETGSETESQLAVVTMRIMQALQNNLDGKSKQYKDPALTHLFLMNNVHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKVLQTLSVQGAPGSTGSSGASDLSSSGVSRAVIKERFKAFNTQFEELHGKQSLWIVPDQELRESLRLAVAEVLLPAYRSFIKRFGNLVGSGKNPLKYIRYSPELVDKLLGEFFEGQQYGEPKHQHRL >cds.KYUSt_chr5.41085 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259265630:259267916:-1 gene:KYUSg_chr5.41085 transcript:KYUSt_chr5.41085 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVEAVRAPRAPGGKRRSTTLLHLLNLEKPDGVFVFAANAAVKLPPPSPEPEAESLIDKIDSCCRVFTFADAERCADQRDLKRARLAEILAAVRSTTKSQPPGLALDHRVMAALVRMLAANLFRAMPPPPPSSFCPLADAGAEAAAEDLPAASSLLPSWPHLSAVYDILLAAIAAADPKSLRAHVDRRFLTSLLALFASEDPRERDRLKAAYHALYSKLTLERAFMRRSMANALLRFAHDASSASCSGGVGEVLEICGSIINGFAVPLKDEHRGFLLRVLLPLHRTRWLHAYHRQLVYCVLQFLHKEPSLAGAVVQGVLRRWPVTNCQKEVLLIDELEEIVDALGQHHFDALALPICNRIARCATSCSSQVAERALYVWNNERFLEMASSGEGTMERILPAFVASIEANLEQHWSKCVQQVTASVKTLLQQVAPDLYDRCAADLAAKRAEADAEATIREARWRRLEAAAAGANAEPEQNCS >cds.KYUSt_chr3.30995 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194499951:194500205:-1 gene:KYUSg_chr3.30995 transcript:KYUSt_chr3.30995 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTGAAILFLALIVCAGLVATPTEARGVPDVYAAASINAASGTASVGGGIRRGRWNNARRLQGGDAAHKREVPGGPDPQHHH >cds.KYUSt_chr2.808 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4767323:4767715:-1 gene:KYUSg_chr2.808 transcript:KYUSt_chr2.808 gene_biotype:protein_coding transcript_biotype:protein_coding MLKESMGKSRNGLDELLYVELEFESEFPGEVSAMVWLNTPEGEDRELDSTHDEPRFLGSLVLSKHNRAPPYKLNGFFYIAANVDAIGAHDDEEVVVSVVLTPADDTARRRWSEVVDVLVVNGAMIKARPL >cds.KYUSt_chr7.3679 pep primary_assembly:MPB_Lper_Kyuss_1697:7:21943013:21943276:-1 gene:KYUSg_chr7.3679 transcript:KYUSt_chr7.3679 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMTPELKAYLDEWQAERKAYLDELEARRKHILDDHMARMDEHMTRMDEQMVRTNALPRPATELCAIDHQDCTPTIDTTSGVVGPV >cds.KYUSt_chr5.40573 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256142387:256144237:-1 gene:KYUSg_chr5.40573 transcript:KYUSt_chr5.40573 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRYLTVKSYTRTSHRTSKTLTIGGDKGTVAWVDLSHNILLCDVLDKNPNLRSLELPPPILPTNALDLGTPRSVRDIALLGSFIKYVDLQPFPVSSTSHAWKAAVWSIKAGSSSPKDWHMDYLLDSTEIPESSLPKLRVEEDAAQPTLSTLHIGLPVLSLLDDGIVYFLTKIDYHSISHVSWVLAVDMRNKTVQKVAEFSSRRTVGLAVGYIASRISNYLKGAPGGGDENLWCLPGGSDSEHAIY >cds.KYUSt_chr3.13434 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80971746:80972825:1 gene:KYUSg_chr3.13434 transcript:KYUSt_chr3.13434 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMEEESFVAVKRTEHVQVTSRTVPAPSSAGKVRFAGPRTVRVFCDDVDATDSSSDEDGAVERRRVKRYVQEIRLQPAPVKEEAVFPASRASAASSSFGTTPAKPAAPVRKRKAEAGGGERRFRGVRRRPWGKYAAEIRDPWKRVRVWLGTFDTAEEAARCYDSAAIKLRGPDATVNFRRGEDAAEEDVVVPQPPAQSKNGFSSATSYDSCEEESSHVAAASPTSVLRSCPPSALATDNNNTCNSNKKPPSSPSAPTPETDESSGSLFGSYFTGELPPFYSDFDLLSDFAAEPMDFLSNLPEEPFTFSSDNNSSSSLSDSQQQQQLLVCPPSPSALRQADEFFDEITDLFQIDPLPGI >cds.KYUSt_chr4.44463 pep primary_assembly:MPB_Lper_Kyuss_1697:4:275415492:275427066:-1 gene:KYUSg_chr4.44463 transcript:KYUSt_chr4.44463 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPPPLSSPESDPRLVEAFIPFLDKLVKNASWRNKAHSKLSHTAKSILDRLQRPPPPTPTTPTTPTSPSTPTSSSWQPGPLRSLSLEDSELLLSPIAAALASGSAKLAEAALELLHRLIAHSYIHGEADPSADPSAQLLATLLEAACNALHLDDDHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLSSRSAVNQATAKASLVQMLVIVFRRMEADSSTIPVQPIVVAEVIELPETAAGGSPTADPNFVQGFISKIIGDIDGALTPLSRTASSAAAGAGTAAVPHDGAFETTAAAEEGTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKDELGVEGAVVATLDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPLVMRGKILALELLKILLENAGAVFRTSDRFLGAIKQYLCLSLLKNCASSHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNFQAKMIVLRFLEKLCADSQILVDIFINYDCDVHSSNIFERMVNGLLKTAQGPPAGTPTTLVPPQDTTMKSEAMKCLVSILRSMGDWMNKQLRIPDADSPKVESEQNDNDGGNEFPQTENNGDASSEASDSHSELSNGVSEAASLEQRRAYKMELQEGISLFNRKPRKGIEFLINANKVGESAEEIAAFLKSASGLNKTMIGDYLGEREDLSLKVMHAYVDSFNFQNMDFDEAIRAFLQGFRLPGEAQKIDRVMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYGRIWKKEIKMKEDEFVPQQQQSTSSNKILGLDNILNIVIRKRGSAMETSDDLIKHMQEQFKEKARMSESVFYPATDVVILKFMVEVCWAPMLAAFSVPLDQSDDEIVISQCLEGFRCAIHVTAAMSMKTQRDAFITSLAKFTSLHSAADIKQKNIEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPDLDKSKQAKSSIIPSLKKKAPNAGAASKRGTYDSAGVGGKASGVDQMNNAVTSLLEQVGMAEMNRVFARSQNLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEITHYNMNRIRLVWSSIWHVLSEFFVTIGCSENLSIAIFAMDSLRQLAMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVSHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILRDYFPYITETESSTFTDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSSRLKESPSSNSNASSLHLTKDGKQEDKDDNIHFWFPLLAGLSELTFDLRPEIRKSSLQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSGGSSQGQNAESDPAELDQDAWMYETCTLALQLVVDLFVKFYDTVNPLLKKVLSLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSVFVDEKWLEVVLSLKEVTTETLPDFSYISSGAYLENVSIENGDSSDNREDEPRPSEDGTDETSRSRNLYFAIADAKCRAAVQLLLIQAVMEIYTMYRAQLSSQNTVILFEALHTVATHAHKINSDNDLRTKLQELGSMTQMQDPPLLRLENESYQLCLTILQNIFLDRAPDEGGIEVENHLVSICKEVLEVYLSTARPAHLSGGIQPLGHWLIPVGSSKRRELAARAPLVVSTLQAISGLGDSSFEKNLGHFFPLLAALISCEHGSGEVQVALSDMFNTWVGPLVLQSC >cds.KYUSt_chr1.36440 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222281800:222283538:-1 gene:KYUSg_chr1.36440 transcript:KYUSt_chr1.36440 gene_biotype:protein_coding transcript_biotype:protein_coding MHLELDPARVQASSPACSGRGRCTAAPVTRPGLVLGTLVRYKRLVVSSKEEMADWGPVVVGVVLFILLSPGLLFEIPGSHRHVDFGGFHTNGKAIFVHTLIFFAAFTILTLALHIHIYAG >cds.KYUSt_chr5.34361 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217903779:217905889:-1 gene:KYUSg_chr5.34361 transcript:KYUSt_chr5.34361 gene_biotype:protein_coding transcript_biotype:protein_coding MRDCSSSSSRVAFRPSRAVLPTVVFNTVYFDPSFPSSTMLSSTTLLLRHHLLHLHRHLHKIGPLLLLDLLLLGSAAGTKQNRQRLLCSTRSPEQEGDALLLATVMNCCSLFSPEEGAAPVSDIIATKPGSGIPTATSSSGLCPLVAAAAVAAHGGGIPLPATLGEHRRPVVVRHARRLDANLLIKLTSLRRIRRLESVWDDDMPFAGTVFMDVSGCRN >cds.KYUSt_contig_1181.404 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2770794:2772278:-1 gene:KYUSg_contig_1181.404 transcript:KYUSt_contig_1181.404 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELWLLCATLAIVFLWATRRSGAGRMPPGPTPLPVVGNLLSLRHGNLHHTLARLARVHGPVMTLKLGLTTAVVVSSRNAAEEAFTKHDRHLAARAIPDTARALGFSDRSMIWLPSSDPLWKSLRGVVATTVFSPRGLAAARSVRERKVRDLVGYFRDRAGREVDFGQAVYGGALNLVSSAFCSVDVVDVGGESAQGVRELVLDLVTAIAKPNVSDLVPFLRPLDLQGWRRWTAIRFEKIFLILDGIIDRRMAVANGSTEKQGDFLDSLLELVSTGKLARGSLTTILSDVIMAGSDTVSLTIEWALAELLRNQSVMAKARAEIQSALGGKVAVDETDAVNLPYLLAVVKEVMRLHPVAPILLPHRAVEDGVEIGSYDVPKGSTVIFNAWAIMRDPAAWERPDEFVPERFLDMARQVDFRGKAFEFIPFGSGRRRCPGLPMAERVVPFILASLLHAFEWRLPDGVSAEALDVSERFTTANVLNVPLKVVPVVIA >cds.KYUSt_chr1.3711 pep primary_assembly:MPB_Lper_Kyuss_1697:1:22602800:22603780:1 gene:KYUSg_chr1.3711 transcript:KYUSt_chr1.3711 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQSFLAVAPVVKPAAAAMLPSSRRARVSACLATPVPAPTAARTGELSAASRAVVEDEARYMVGTYKRGARVVFVAGRGCKLYDIDGREYLDMTAGLAVNSLGHCDPDLVDAGIDQYKRLVHASNVGYTVPQVQYKASSLTAHLNPNSYYNVRKVFDLMLAKFMFLPPPLHLNHLSCSQVELAKRLVEVSFADRAFFANSGTEANEAAIKFARKYQQVMCPDGDAPTEFVCFTNCFHGRTMGSLALTSKVHYREPFEPVMPGSTFVEYGNLEEAKKVIQSGKIAAVFVEPVQGDGGIHTATNEFLQGLREACDEAGALLVFDEV >cds.KYUSt_chr4.25988 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163427892:163441212:1 gene:KYUSg_chr4.25988 transcript:KYUSt_chr4.25988 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDGDGGGVDGGAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFCGFRPTFHVGAGIPGVAPHYTPPPSTFNVLLGSYWTNVDPNNVPLASLVAQEEHVDVNFIKNNNFNNNPYRNNSSNNYTPYPYNNGNGYGNSYGNSYNNNRNSPPGLEAMLKEFISTQTAFNKSVEEKLRKIDILASKVDSLAADIDLLKSKVMPNENNNNKIVTTANAIQVRINENIRLMAELRARWEREENAKEDNIAKVWTITTTSNANAPHVSAPPTINGKIIGVGNVSTSNAKREKLRETAKTAETACDKNAEIFSNIGDNDPIALDYNGLDFDDCHISEVIKFLQKLAKSPHASAINLALTKHITNALIKAREEKLEREASIPRKLEDGWEPIIKMKVNDFDCNALCDLGANFVVLDTECNASCPIILGRPFLRTVGAIIDMKEGSTLAPESLVLRRTTLHRHQPSTCFLVPTGSINLGFLLRENLPLYASHLPLGVPNGRVLYAYHFFYLDFDEDDDEVEEVQEVGRNEFAVLFPSRESLQFSTRSGRLFLPLSGTVADIRLADADPAPVELLQEVWVKLPGVPKRMRRASRLLAGMRMLGWPMEVDEDSLRCRQPVRMRIACRNPDKLKGVVQVFHKKQGFSIGVHVEPLADPSGSAPPRSPPRPPHPRDEEEEDDDDVDDLSPSRKDSEEPEDRAGEHEGGSPTGRGVPSGSHGQATSPGGAKRSRAQPVRDQPALPWPAPLAVLEKARASRLVPEAPVGTGPAPVQPASPPFDSSTLITADSEDTTPTGSPSKDLGGAESLVSIGVLDSDELMREASELDEAMVAQSDGPGAGVPRRRRTRTVPAEPARKSARLTGPGAATPVLQRAQERTATKNLDPSGKLDDFAILPKVSDEHLLKVTHDSGLAFTCESHSPQEALSLIRAKEEAQAALALAVFCKEVEAARSVPLAPTSSVVVGTIAAVDREPESTFAWKFTPAQGRSGGLLLGVNEDAFEVLEWHGGAFFIRADVLQLSNSSRWSIFVVYGPADHRRTADFLGELSAAVTACPFPLVVGGDFNLIRGSEDKNNDNIDWQRVQRFNDCIASLALRECRGIVTAYAIGWLIEVVPEGSHGGIRMRVWARATRRRTQVRGPPMEVKPLLLL >cds.KYUSt_chr2.39815 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247116985:247118381:-1 gene:KYUSg_chr2.39815 transcript:KYUSt_chr2.39815 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDGAHHPPASRDARKKRGNRSSAKLKQCKLDARREQWLSQVKDGKEAATMATAVPTVPGSGKGAGSNAGLPILASPHPPLPRRRREDAGAAGQDIGGSSDFDSPMPSPGSDNSRGGGCAQRKRCSSTGGGPSLSSVSSLWSSSRSVSDAEDDDTGSGPDEDNGVLDDWEAVADALSVDDDNSHCHQSAGTMTAPAAQRNSAPPANAAKRTEPIRSNARAWKPDDMFRPQSLPSISKQASFPTGIGSCWGMRSMGAAHQSTLASPASCPICYEDLDPTDSSFLPCPCGFHLCLFCHKRILEADGRCPGCRKQYNAVPAGGGGGGGATAPVRISRSCSMGPRR >cds.KYUSt_chr2.9489 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59828353:59829330:-1 gene:KYUSg_chr2.9489 transcript:KYUSt_chr2.9489 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLKLSIALTCALLLSSACHGLQVGYYKKSCPRVEAIVRDEVKKFVYKNAGIGAGLIRMFFHDCFVEGCDASVLLDPTPANPQPEKLSPPNFPSLRGFEAIDAAKDTVEKACPGVVSCADIVAFASRDAAYFLSRMTVKINMPAGRLDGRISNFTEALSNLPPPFFNITQLIGSFAAKGLDTEDMVVLSGAHTIGVSHCSSFVSDRLAVPSDINTALAGILRRQCPANPTAANDPTVHQDVVTPNALDNQYYKNVLAHKVLFTSDAALLTTPATTQMVLDSANIRGLWEDKFKKAMVKMGAIGVKTGNQGEIRRNCRVVNRY >cds.KYUSt_chr7.34258 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214091592:214094501:-1 gene:KYUSg_chr7.34258 transcript:KYUSt_chr7.34258 gene_biotype:protein_coding transcript_biotype:protein_coding MNADVQPGDGGLASASRRHQTGRVERGLSDVLAKLRPVRSEWRQAAGGSVPQPHAALYYSQRATKGGLLISEATDVSVTAKGYPETPGIWTQEQVEAWKPIVEAVHRKGALFFCQIWHVGRVSTNDYQPDGKAPISSTDKQIAPDAEAGIAYSKPRQLQIDEIPAIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSSNDRTDEYGGSLEKRCRFAVEVIDAIVHEIGADRVGIRLSPFVDYMDCYDSNPHALGAYMVEQLNKHQGFLYCHMVEPRMAIVDGRRQIPHGLLPFRKAFNGTFIAAGGYDREEGNKVIADEYADLVAYGRLFLANPDLPKRFELGALLNEYDRTTFYTQDPVVGYTDYPFLDGSIPE >cds.KYUSt_chr4.2839 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16173341:16173616:1 gene:KYUSg_chr4.2839 transcript:KYUSt_chr4.2839 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPDNAAAAPAPAPVAVPTPAPAPPAPKPSSPPPSSGIPPRYDLDAKWDACLDLSIRRVAYASLAGAFGGLILFRKPSPIPIPIPTLAS >cds.KYUSt_chr4.6433 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37910933:37912087:-1 gene:KYUSg_chr4.6433 transcript:KYUSt_chr4.6433 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSGVSVVTEGKHCTTSVVNARTESGYHLLVVKDYSRTVQQIPNGEMISSGAFMVGGHQWSINYSPNGVDPSSADFISLLICLHGDDDEVEEVTEAKFEFSFIDQVEYQKPVYIRSTETRIFSSKGDFHGYTLFVKRDALERSAHLKDDCFTIRCDIMVCKDPSAGDAVGTLSDVHQHFDHLLQNKVGADVTFEVNGQKFAAHRCVLAARSKVFMAQLFGPMTESNTSSVIHIKDMEAKVFAGLLKFIYTDSFPEMDKDNNMEEGGQDEEKEEEVEYVMWLQDLFVAADRYELQRLKLLCEGHLAEGLCVSSVASTLALAEQHYCHGLKEACLKFIQDQSLPCLEKVMATDSWELIVTTYPSVLKEIIAKVASSHKHKKRKQ >cds.KYUSt_chr2.46862 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292998314:293005405:1 gene:KYUSg_chr2.46862 transcript:KYUSt_chr2.46862 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISGSPEMGSALAASPEENSKRHFGILLIGYLNMNIELWSLLTPALRVRVILRNVFIIQQECRVKEDVKDQLDFCAKLLEKGAKEFGDSSEVPAYVDPGYVVRIEAERAVSVVAAVHDVTGDEEADSVAAGQQRQINWSDEERAVEVGGETRLRVRVNAAERTPCPNIIGALEKIIRSYPDKPGEFVLEPTLGMTFDLHDEVYNFYNLYSWEHGFGVSTKLNESASMMLKSYVLPGCAMNMFVKHYMRLQHDHEKDEGYQEKRTKSGEPYMMNTYVYQNDHASTRSFTYRHSYLYKKALVLVRLGDASVEAYEKLDGLFESNLVIMVPFDEMRGDLGLEERPAAELDGPWPPISFLGPDRGQSY >cds.KYUSt_chr6.30834 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195464504:195465445:-1 gene:KYUSg_chr6.30834 transcript:KYUSt_chr6.30834 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGISFVPTMEAPNRAPPGRLSLQLHGRSFRSFGCRNGLALLICGQKRRHFFVWDAVTGDKHHLPFPPWLFDLGPNTVAGFNAAVLRAVGDVHFQVVFVATNMDTRQVLACLYSSETGLWGDLISTPIPSEFPNVYPDIVFLPSNVLVGNSIYWIVSSSTLETHAILEFDLKSQSLAVIPVPVEHMLKFGQHTYRVMRAEGGELGFFYLSSFTAQLWRRKTDCDGVYSWVLGRTIELDKLLSLNTGNGWVPLIRGFAENNNVVFLGTNIGVFMVHLESLKFKNLFEPSISLYYHPLECVYTAGNSMFSNLL >cds.KYUSt_chr3.40180 pep primary_assembly:MPB_Lper_Kyuss_1697:3:253281513:253285634:1 gene:KYUSg_chr3.40180 transcript:KYUSt_chr3.40180 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVFSRIFAVARSRLEVLIPSLVGGHNGSKRDARRRRRDERSRSSATSTPVSTPISMYSALSPGDYQTAALLAMSEGGNPGAMPVALSPLLPPPQLVVVALDATRDHREVEVRMALRALVARGDILRGGDSLVVLGVLHAITNPMGYQTKASNDSFAGTNARYLKDQVEKKAEDYRTKLLQDVEELRKVGISVTLKVSPGSPAKVVIIHEVNSSKAAWVVLDRHFRRDFKHLEKHIACKVAAFQDNLSVQTMKSIRTNLSSKSMGQANDLEHLVVSLDLSSKTLDTDKVRVSIKSSPVSYFASLSNHEMHYTPSVVGSSMQDFTPSMSIASITMIDETNGKFIEDNMIANNDSSERPVLCAGCGLRSVLYIKESMKYPFSEIQSATSDFSREKLVGEGGFGHVYKGQLKDGQVIAAKLRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFDQEAGLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKAVNNSIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKAEPLVESLALHDLIDERIADTYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIETENEHIRDLSRQFIPHFTK >cds.KYUSt_chr2.47288 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295829678:295839978:-1 gene:KYUSg_chr2.47288 transcript:KYUSt_chr2.47288 gene_biotype:protein_coding transcript_biotype:protein_coding DTNAKIVGSSKAVVCEKKESSGSSDSDSESDSDVQKNLLQSKDDSDDSSDESDDEPPQKKLKDSSGIAKPASKVAKKESSGDDDSSEESSGSDEENDQSVSVKKSAQNEPKTPARSSQATTASKTVFVGNLSYNVDKEQVKQFFQEAGEVIDIRVAAFEDGKSKGFAHVEFATTEAAQKACELNGHDLMGRPVRLNFAQERGAFTPGSGRDNNSFKKPGQSSNSTAFIRGFDSSLGEDQIRSSLQKHFSSCGEITRVSIPKDYDTGASKGIAYMIFSDNSSLSKAMELSGSDLGGFSLFVDEAKPKPDNRDGAVSSSRGRSGRARGDGRGGRSGSMRGRSDGRGRGRSFGRGDRGGRSDRGRGRGGAPQRQSGLTASTVTRRIQGPARVIEEARPYADENIFETDALKGEFLSSPLIEFSTVLLATNNFNDKLGAGGFGPVYKGRLPDGQEIAIKRLSNSSSQGLEEFKNEVTVLSKLQHRNLVRLFGCCVHGEEKMLVYEYMPNNSLDSFIFDETKRPLLSWKLRYNIIQGIGKGILYLHQDSRLKIIHRDLKASNVLLDDGFNPKISDFGMARIFGEYQLQALTHRIVGTYGYISPEYAMEGKFSEKSDVFSFGVLILEIVCGRRNSSFSDNEWSMNLVGHAWTLWTKGSVSELIDALMGTTYSYDEVSRCIQVGLLCVQELPAERPNMSLVLRMLSGDVALPAPKRGAFFVGRAPADDKDTESGNHLTYTELEGRLDPGRGVLEAVKLSAGCLVSRRFLSGDGVLRSLFVKRSTSPSSVVCRFGEILVPRLDSCRWRIRWLKMKAMVISRCFASSVAVPGVGSTDPVIGDFPAAIGLAPIQGELGAVVAARHRQLLVISVDGIQKDFFVILPLFLDLSIRT >cds.KYUSt_chr2.9332 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58772147:58780964:1 gene:KYUSg_chr2.9332 transcript:KYUSt_chr2.9332 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRSKEESGHTRSKLMSVDEKMMETKQKSLVEPFLSLPRPSQLVPPSTKNNPLVSTNPVMSLLELQLSATSTICQGAQLRGAQWPADGGVLPPVRTRNRKRKEREMKWQDSMIPGQDKREGASSAYSDCNFRPVQLQPWTLSQARLADGQDCLPLALSLLATADWPARLVDLWLTASMNDYMLLEALMCSHASRSDCTHLLAQYSTLMVKFGKSLNTVLAVRMVHIHYPCKVSLNFMESANCETNRKMSIFMVAESWMNLDELSCFEMEKAASEDVQIQAKPVLAVTKVLQCSAVLRVSEDGYAMETLPEEDIIS >cds.KYUSt_chr4.33785 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207306554:207307162:1 gene:KYUSg_chr4.33785 transcript:KYUSt_chr4.33785 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSTPSEVLEAGSLQHDLLPHGGTCASRTPTSRNGSVRNRQETPARSRYPALCDAPACCAPTGQRRRGLHVLARCSLPRPNQLRAGRPPPVALLLPVPREPSLPAQYCSPRHPDLSHGERARPGGVDQDHEPRELAAPVELVHQPVELALRRVGDVDGLEKAAPMARPISTGSMHPSLTEPSAGPPSRASTAANTACLTA >cds.KYUSt_chr3.1356 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7553650:7558608:1 gene:KYUSg_chr3.1356 transcript:KYUSt_chr3.1356 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTTSTPRPILPDSAQRDQAAAMEGEVGKRALECILMPAMFAAKNVRAQRDRLLQLRRRLQPHADAAAVQELAADLFKVCSTGLKHGAGYLTSCLRIAYDSDADISFCNPAFAFIPDEQLYAALFAHRLPARPPGTQTEAFARIELAYHAVNLASGHHVPRCIEFLVGERPPSGTGKPDGCMVGYPDDTVAAATSHIFKTRLADMLPADADDATKERIPRTRPRLFNRGLDLDPADAPAAAPTWKPPRLASTNDPEQALSYLHRACSLANLAVKHIDLAVAVISTFLDPKEVAETAEMADEDAYISEDLRNICCVVKDEYRFVQLSIAIIFCDQMITVELMNTAAYKLVTYLGASGMEAPSGGQQQGPAPGAQLPPPCVLPCQPCHKHIDFAVAVISTFLDHKEVAQTTEMAAEDAYIFEEGPYPSD >cds.KYUSt_chr5.13631 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88562364:88567958:1 gene:KYUSg_chr5.13631 transcript:KYUSt_chr5.13631 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVALRRGPTDLASPASRGPSSSPRYLANADSDVLQRGSSGGRHTGGSAGGEVQGSEEEEERWSFLALLLELLRKSLLGCKEEEEGDGGGGCGMEIGWPTEVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEEIVRDRLNRGIVPYGIDVHCLAGLIKAWFRELPSGVLDPIPPEQVMQCQSEEDCARVAKCLPLTEAALLGWAVNLMADVVQEEHINKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQKTLKDREESNLEDGSLPQKDSPDENGHHNHCLPIDSHHQEGSRRPSFFSEEPLLNSPGHGTEDKPNGTNPAGGDSPPSGQTGNVLTNTEGSSSWSQPLPAAPFTADASCDTTVNSLQGKGSRSLNSRRTRKGKGQSGTPAISPADKKTRGASIVSRNDRELVFPLFCIFDVVIISLHDFVKRGIHMAADGGLRRLFEKPLPENPTLLEALSAWNRVHPKRLVDPASFTEIFGELHFQEKQQHQPDHVARAGLLPPPPRPPPPPPPRAAAASSSWIDVASEKSKDDLSLDALLRPPKPTPTVKRSASFSLKKSPSASSLLLCTEGLGSESTFDVLRDDEDDVLAAALRRQEETRDDDASAAKEEEKENQRPPPSFPPPIRSISRRGGKPSVCFRSFRAEGRFVLVQVVIPGKELLQASREGGRLRLQFASAAAHA >cds.KYUSt_chr7.27432 pep primary_assembly:MPB_Lper_Kyuss_1697:7:171319350:171323213:-1 gene:KYUSg_chr7.27432 transcript:KYUSt_chr7.27432 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSAFNTEALKAGLSLKACRLKRMLGTPNEEVWPGVGKLLNWYEYRQFNVSKLFSKMLQYEPAKRISAKKAIEHP >cds.KYUSt_chr5.19772 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128357642:128359078:-1 gene:KYUSg_chr5.19772 transcript:KYUSt_chr5.19772 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPSPPSFSSGRGHPNSRKKPRRERDSLQGDLDKEYKRLAKRKVLPTKLADPEFLSRQGLRSDFDLLVGNAGMEVFAALNEDTYKRATLEFLSTFHDDLAVLGRNTIVSFRLNHELHVLTFEEFCGCFWFLMVVKYIIFSHV >cds.KYUSt_chr2.31327 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193408260:193409381:-1 gene:KYUSg_chr2.31327 transcript:KYUSt_chr2.31327 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERVERVAGMVAAEEEEGITGIHGSGKTALAQKVFVHDRIKDAFPLRLWVCVGPPDHEDRFNLLYRMLDNLGLDTAKVEAIVDNADVVKAAAGDKDKNKIGVLLFILYVTLYKTGYLIVFDDIRAYDGSDGWYSNLTLQPPKKGEWYERLAYGLPKARKSAVLVTCRSEDDARTMVRTGRVFRPPGLGVAEGWKLFEREYKEAKKKSKKKKEEKEKDKDEKEEKKEEDEIYKELEQIKEQIVGKCLGLPVAIVQAAKGFALMEHKPDDPPKAEDKALPDETVPSKTEPATRATEANQPVH >cds.KYUSt_chr6.30228 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191555860:191559576:-1 gene:KYUSg_chr6.30228 transcript:KYUSt_chr6.30228 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAAAPDKGESSGAKGTRARSGDHDVTDDLVSRLPDAILGTIISLLPTKDGGRTQALSRRWRPLWRSAPLNLDVGTTAASVPPSAVSQIISRHLGPSRRFSFPGLRAGEFDAELDSWFHSRALANLEELDIGYASRTIRPLPPFLLRSASTLLVLKINKCDFCDQIAPGGITFPLLKQLSLVRVSISGDVLHGLLSGCPALESLFISEVRSARSGPATWLGAPRISKPLPLSVLRSASTILIAKIWNCDFSDETRPCTMVFPLLVQLSLINVSMSGDVLHGLLSGCHALETLFMSKVRTASCPHVRAPTLRITSPTLRSICLSRRSFGITELVIEDAPCLGRLLIPYSDQDDCVTIRVVKAPKLEILGPIPFRAPKHEGMNLVSSANSMCTVKVLALRSSSCELHAVLNVLRWFPCLEKLFVIFYQHKLKEKKIESHFLAVLCGNYIGKGIAPECALAIVAGGRAAEDWILLRLKPSARCLERYQSFQLLFPDLSAFAMELFRSFQYGSKANILVRRAEDLRAAGGRRSLRPCESTIQGAMATGSCLSENLTDMVTRQVGVFLCVTGY >cds.KYUSt_chr3.34216 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214578127:214582646:1 gene:KYUSg_chr3.34216 transcript:KYUSt_chr3.34216 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGSSTGGGRSANGAAAINAAAAVGLGDTRFHSQQLQQDRGRWSGCFSGLPCFGSQKGGKRIVPAARMPDGNASTNRGNGCQSGGNSNQHAAMNPSLLAPPSSPASFSQSAIASTAQSPNCFLSISANSPGPTSSMFAVGPYANEPQLVSPPTAFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLSSSMGLKAAGKEQNMHYLSATYSGGSGFQGSYPLYPGSPSSSLISPASVTPRTGLSSPIPEQDVPTAHWKTSRSACGTLYSIASPIPEQEVPTAQWKTSRSACDTPYSRTSQSNMFGLDSAASRNYLLDTNFFRPAASAQFYLDQAQQSFAYNGGRLSVSRDKQDTDEVEAYRASFGFSADEIATTQHHVEIPDAVDDGFSISPFGNSTPATEVCPFNDLPNEIHDIDKMDKSVFNVKQITSSKKSADELASGTTHNVLHLDIFKGTKGGHLSDDDASAKECHPFSRTPTSATIPGWPTQPSTGDTIAGFHAPPSHRRMAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGSGFPQHQPLPECRGKPTRRGRPQPPPIPPAPTGPPGTADGRRAAAAVAHPTRVCSAKHRGGGDPASDLHAATRKGPRRRHAPRDFAPAALPAAAEGWGRRVRVGG >cds.KYUSt_chr3.24244 pep primary_assembly:MPB_Lper_Kyuss_1697:3:150459192:150459683:-1 gene:KYUSg_chr3.24244 transcript:KYUSt_chr3.24244 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHASPTSLKRKCPENDREETSGMCANNGCGYFGAAATGNMCSKCYKQEQVIAAYDNTAADSVFIPAASEKKAKAIVSVASSDGAEPSLASTKQPTPATNRCATCRKKVGMLGFRCRCEGTFCSVHRYSDKHDCGFDYKTAGREKIAKNNPMVVADKIATRI >cds.KYUSt_chr1.41761 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256049812:256053115:1 gene:KYUSg_chr1.41761 transcript:KYUSt_chr1.41761 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAKEMKYRRRARAPEPGDYAQCGGDRAGGGAVDWAALKRQDPAELLRQLDEIRAQITRSCEIAGPPPPPPHRVARRAVSMRPSHADPPPPPPPEYGRSRHAGRYGHGLPPSSYDQRSVCDERYGRQPSGRFRQPRPEGQWEGYGYGGQGQGSCHQSSCQCAQCVHGQRAVLAQEENIPMARFLAGQQQRPSYLFDRSSSISSEYDRRSVASSLYSHLSMSKRRVEYFRKKAENFCRPVKGGAPFVVCSSCNQLLQLPPGKCTARRQNQVQCGSCSEVVWFKLKGVKVHPLVPPSSFAVPKSVRSSDRWNRQSSGWYPEQDDDTSSFGSHRQKRDFSDNLSRSSTGSCGSIDKERVANKGSQLKPVSTRRSRFADSPKDILCQGDAESQAEAPAVRTISPQALIIEDKLVDPFSSQLKVCSGWDQIKSKRYGLNCKGDYDVRGESKSEQKSKESHQDGFGEETINRAYGQKFKQSNADWHDGHVGNKNMKKANSDDISSLGDEAMSKKYEEKSKQDDTFEVEGVSKRYGNCNKNGYNDVLEVDSITKKSEEEKGKDDCAKSLSSNCENTKIVAKNELSVNEQRDTSSRFSSEAGLDEFQSPAGKSGDSSFFGGLLKKGFKDLSLFNQSMDNVKVSINGHSISERALRRAEKKAGPVGPGSYWYDQRAGFWGVMGHECSGIIPPLIREFSYSMPKDCAGGNTGVLVNGRELHQKDFDLLVKRGLQRFTGKSYTVDISGNVIDDTTNQKLRNLGKLAPT >cds.KYUSt_chr5.21005 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136610472:136614902:1 gene:KYUSg_chr5.21005 transcript:KYUSt_chr5.21005 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSKMKRDEDGVEDEERRRRHRRWMKTVACVQDGRRRWRVCWRKTVACTMMKRMKTEFADSRGTRKVKVARSCRSFGLYRGMASSVFKAMAEKAGPAVRVKARGCNGLSYTLNYADEKGKFDELVEDKGVKILIEPKALMHVIGTKMDFIDDPLRSEFVFMNPNSKGECGCGESFMTTTNKGSA >cds.KYUSt_chr2.52838 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329655502:329660455:1 gene:KYUSg_chr2.52838 transcript:KYUSt_chr2.52838 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGCLNVDAATGAVVLQEAAPAAELEQEVEAVGVEVVAVEAVVVEVEAVAVAVAVEAVEEAPYEGKEFLCLLTSSYILNNLHIHAAGIIVGGVVLLMAFRHITRCVEVNRERHAERERLQAIQTQSAAGHLPATHNVELESVNRFLDGILREKPARFTPENLHEFTGGYAERVGSGGFGVVYRGRFPNGVLVAVKVLNGTLDRRAEEQFMAEVGTAGRTYHINLVRLYGFCFDATTKALVYEYLENGSLDRVIFNAAAGAAHVLAFDTLHGIVVGTAKGIRYLHEECQHRIIHYDIKPGNVLLAADYSPKVADFGLARLCNSDNSHLTMTGARGTPGYAAPELWLPLPVTHKCDVYSFGMLVFEILGRRRNYLEAAQSQHAAAAGPDTMISQEWYPK >cds.KYUSt_chr2.45751 pep primary_assembly:MPB_Lper_Kyuss_1697:2:285372958:285374683:1 gene:KYUSg_chr2.45751 transcript:KYUSt_chr2.45751 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVAAAATKDAKRNGGAPEWRVTVPEGSSVTVEHERCLAARAWGWLVSCVVALGAKVSGSGKRLWKIGADDPRRAVHGLKVGLALSLVSVFYYTRPLYEGVGGNAMWAIMTVVVVFEYTVGGCVYKGFNRAAATVSAGAIALGVHWVAVHAGGELEPFIRSGSVFLLASIATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVEALLVMAQSRVCTIAIGIFMCLAVCVLVCPVWAGQELHRLTARNMDKLAGAVEACVKDYFADQPADGKQPPSDKAEGYKCVLNSKASEDSQANLARWEPAHGRFGFRHPYEQYKSVGAAMRHCAYCVEALSGCARSEIQAPHHIKQRLAGGCASVAARCALALREASSSVAAMKTSWALDLAVAEMNTAVQELQSDLRSLPSKLVEEESPAAVLDAVQLFTVTSLLIEVSTRIESVVDAVDTLASLAGFRSSDVEKDEASETETKVKQPAASDPDSYEPEKKHIEQV >cds.KYUSt_chr4.36418 pep primary_assembly:MPB_Lper_Kyuss_1697:4:223901409:223901822:-1 gene:KYUSg_chr4.36418 transcript:KYUSt_chr4.36418 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPTRRGGRQSSARRCRLGGRIGDAGPPSVAAASGFEGASLDEATAPGDPKSRPRAAATRGIEDGPTDDGCSTVDAAVALGTTLCPSAAATEGLPSVVVAASPPVSSVASDSSTRCCMAPSGGPGCTWVAAAASE >cds.KYUSt_chr1.3257 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19685488:19691675:1 gene:KYUSg_chr1.3257 transcript:KYUSt_chr1.3257 gene_biotype:protein_coding transcript_biotype:protein_coding MWYNRLSEFLLQKGYSNNDDCPCVFIKKSSKGFCIISVYVDDLNIIGNATDISVARNHLMTEFEMKDLGKTKFCLGLQLEHLPSGILVYQPAYIQKVLEKFNMDKSYPSKTPMVVRSLDMEKDPFRPRDDNEDVLGPEFPYLSAIGSLMYLANCTRPDIAFAVNLLARHSAAPTKRHWAGVKNIFRELLAATLKLSSKLHQLFDQKGMNMLGAQGNPCAAAPSRVYLVQLLLLYLLSLFFMGSPQSDPAKCPGSSVAIPYPFTISDNSSIVRTLGFPILCEPAGPMLWLGSKLYTVLNISLDQGYLRVTGDTVYSQCQQNNGLVTTNFLNLVGTPFTFSHTLNKFTVVGCDAMAMIRSGYSYRGGCVSFCSSEGSITTGACSGVGCCQASVPEELKALDLEVTSIRSQLQQSPSGSWSNISRNNTSAWCTKAFIADQGSYVFSRDDLDRNLTNLPMVLDWSIYGGRCSDARHAPQTYMCKENTECYTVANNTGYRCNCSEGFRGNPYLQGLDGCQDIDECKDEMEYPCTHKCINTVGDFNCVCPMGTMGDGKKHGNGCRRDTKLLITAGGGLPLLLVLLMLGFWTHWLVKKRKLAKTRQRYFLQNGGMLLKQQMFSQRAPLRIFTSGELEKATDRFSDDNIAGRGGFGTVYKGVLCDQMVVAIKKAQRVDESQSEVPLLVYEFITNGALFHHLHNTSVPMPWEDRLRIALETATALAYLHMATKPPIIHRDVKSSNILLDESFTAKVSDFGASRPMPLNQTHVTTLVQGTMGYMDPEYFQTSQLTERSDVYSFGVVLIELLTREKPIFCGKMDEVRSLALHFSILFHENRLMEIVDHEVADEAGARHVKTVAQLALRCLRLKGEERPRMIEVAVELEALRRLMKQHSVVDPEE >cds.KYUSt_chr2.4335 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26675049:26675922:-1 gene:KYUSg_chr2.4335 transcript:KYUSt_chr2.4335 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKVAAAAAGPVEEERSKTVVVVGVDDSEHSYSALEWAVRYVATASEATELVVVHAKQGSSSVFTMGGAAVAADVSGYVEEDLRKKADEVIEKARSLCVANSVEGVVEVMDGQPGNVICNAVEKHGADILVVGSQGYGAIRRAFLGSVSDYCAHNANCSVMIVKQPKIKK >cds.KYUSt_chr6.30051 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190478356:190479123:-1 gene:KYUSg_chr6.30051 transcript:KYUSt_chr6.30051 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDSRKRCVAAFLDEPSPAPPHLAKRGRFVPCAASMAPLPALAQFDPLDALRLVFPDADPQDLEACFAASGRDINAAVQAYRAQQASDALAQQLVSAAADGDDERCAGVLVEQMGAATDVGDAKNRAAWMLELIRNATAERAAHQTAAEAARLREENALLREHAEHGAREAARLREENAALRERAAAAERDGTVLKRGVMAQQRRYEEMERDAAAMKKKVAELEMANYALNVRLRDADGCRFQTAYRRPDVF >cds.KYUSt_chr7.5240 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31273242:31280405:-1 gene:KYUSg_chr7.5240 transcript:KYUSt_chr7.5240 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESREPKEYYPCEQRSRDQSKVLDEIRGYYKEAVDRLILAGMPELIPALLDAGFCFGLLDPVSNIIFNTISSLGVKDTKKKRKRSPIEMDPFEKPGAGVVERSFDGLTAFLVSRFRYLHDWEALRYLLLAKADLDVAVRLVALDRETTAHTIFSCAIITDETPLSTTVKVALKCAAASAKHPSPSTFTETWSSMSSFTSSVSGQSPPDTTDRLQKLLLPALPSLRLGHLHESQLQTLNCYLTTRLQDSIPIQLKASINYVLLDKIHGVYLKAIAHLPGDSLRQSHHRSLLKAGYCFGPMNDPVSNVLLNTIWYNTAFPPQDEFPVDMLCTKSLRRLERRSMAGLVTFLRTLFPEIAENVALLYLLKGDANLQAASSMLQLDGHQLSGSFKKAYKMAAKVAWHPDPRALRELCALPNVVSSVRSLLKTSNACPLSSEDVGGISALLVSLGPSCTPSVPKLRTRASSYVSRRQERFKDHESFFVDTVEAALSDFSKQSLDVRGIMQYRRGIPAFGEWNYDHGDDDWSVLTQRFESATMQIPFPVQKQPLHKRARAGRRRRIPAFGQWNNDHSNDDGDGWTAAVNQCFDPAVTAHKSHKQVGTWYDDNGVVAMGKQQHKVRQAWDANTEPHVAMEEPFSFTVVKALDDDLYKVPQEMLCSKSMRRKGRTWLRSFLTSCLGLNCFA >cds.KYUSt_contig_946.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000087.1:60074:61268:1 gene:KYUSg_contig_946.6 transcript:KYUSt_contig_946.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKQTCWLQERDEVEEGVLELLRLRELREEEDEATWAPSPRSRFMEEGMEESAMAAGKRKQAARVGGLIWRGRVDEEDSQALLVLHLTKDSDRTRLTLTLRKEKKTSNSIWGITRGRYTEHLDFMLYQTQRDLDATRAYANQTHAHIIEQGEAIKLLNNDRKSLRQQRAKKDATIRRLRARITSLEATVKAQEDQIRQLEDDDGGIDIQGGDAFLSDDNDFEEDENTEEEDYEFLEAGPDDYVPIDVDDEE >cds.KYUSt_chr5.29393 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186293075:186294664:1 gene:KYUSg_chr5.29393 transcript:KYUSt_chr5.29393 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGGGEGLEDELAMLMDMDLEEALAMEANAGGGEGLEDELGMVVLSSGLVAWVPPQAVEPNGGRDAMAAALAQGAWVPAEAAARTGWIPAPEMLILDGERALAVAQQHGWIGLDVEMEWNPAVVPWNGPDNTEAYNESMGNPALYLALLCLPAQEFARCRCVCRVWRDITSTVVFRRYHHHHHFRTPMPLFFFLDPSLASLNLSAVDIRDRVPRPLLRFARPASNEVFRVHGSCAGILLLSSGHRLYACNPCTRRWARLPPLHVRRDIIGFYVTPDPDLDGDFQCKVLYHNRRQPNCKYRICTLGAAGATRRRIGRPGPDLPSDKLDLVLAKGIAPSYKIPPVFFLGSLHWPPKAAQLNNTKVLTFDINAEAFSFIAPPSAQAVGRQVFEIDDERLAMTVVSFSPASRVHVWVLRDNNNAADELWTRRYSIVVPVDQINANNSCHHNGSVFAVAQGRNHLVQCPRVLLHCDEQGAVLQRYRRPQPQPQHADLWTALSGHTIQESLLLHSNILTMRDTDAVDGDPPFF >cds.KYUSt_chr3.18543 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114033978:114035309:-1 gene:KYUSg_chr3.18543 transcript:KYUSt_chr3.18543 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPRPRRADQQVAPLALRAPAVGGELARRPARAACVLPRTAEINEAEDMLAKALLAVIVGVRRAVTTEEVAMALEDVHGLPAGSFSVHCHRPEDFLIFFARREDRDRVLGEEVIASPFFRLLLRPWARRTYAVSGGLCVHVELEVEGVPANAWSLSMAEAILAPAAWVERLHPLTRSRADMGTFRLSAWCLDPALMPKEVDLHVVEPDEPPSLEDLAAPAQAVVPPHINTLAYPLIIHVTTTVDFRRGAPRDNAGGRADDGDGSTPGWPTRRHYSYTRGIPDTLPGSGGGGVQEASASYGQAGGSHGGSTRVLSSGAVVGESVAVPSQRTKRRSRGGRKVRELRARAAALAAGDGATVGEAAAGAVVVRSEVARGSSALDDGAMVERTPAASSARGSESMEVAVGAVMVRTPAAVSAAALDAQRPAAPSARDDGIAVHTPTR >cds.KYUSt_chr4.13189 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81070967:81072244:-1 gene:KYUSg_chr4.13189 transcript:KYUSt_chr4.13189 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVCRVPAAFQAPAWLRPAELYKPEVVVADDRPAQVDIWNAIQAAGVDDKVAAAKKVVSKPYVSPRVRRSMSQKSLEICTESLGCETGSGDFTASLDAVDMFSLFGSPLPTAPVEAEESFWQHSAAYSDEQAEVKDDLVAVNYHRSGVRRSPPRSFPPPLPSMSSRDGPCLKMCSSRQDGRLVMEAVVVRPRGYLQANRQDGRLCLSFIECSARGQGAASNSSTGATEASSYFPIVEDKCEQEQEVAMEMEEDDEDEEEVEVVDRGTVVEVKVSSQPQAPAAAKVHRSTLVINKFVGSTPFTFDQPRGQHADAPLPEPSARDDAAPKPTLRRVPSSTTTLAAAVAVASTETGAPPTPCDDDDEDEGCGGQHPSALATTDNKQQHLLLFTSRRGDKHDLLQSVRRCRQLRQNPLFILEPYCIAT >cds.KYUSt_chr7.4534 pep primary_assembly:MPB_Lper_Kyuss_1697:7:27473612:27475797:-1 gene:KYUSg_chr7.4534 transcript:KYUSt_chr7.4534 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWYLRHVHRGTAAAEAFAAALLAAFFFFNPHLATLPLHPPPPPPPIRPTATASNALRRHQGQRRRVPDGSNFFFGGYEAVVSELSSKDLPVLKRFFEISYQNDLIGQGKASVFNVMSNWSPIHRCDNQGLSKTEIGLRGLSFVCPDSHGLM >cds.KYUSt_chr2.9814 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62084162:62092830:1 gene:KYUSg_chr2.9814 transcript:KYUSt_chr2.9814 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMLRTARPKKQRIASFKSLKLLVSIQEAFRQGEANPGSLLGGARVVVVSRRRQTSRSSPENVAATMAGMLASARGSSLERVSAILPSKNSPSDFAKIVQSKQTKQARVCSWADHRLLRSLANTGAEVMLTIPNEQLQHIAEFREEAELWVATSVVPFLPATRITHVLAGSDVLASSSEDAAYSLSLVPAMVNLHTALVAARLDGRVRVSTALSGVPLASSAVTGQLLRFMRDTGSPFFLKAANPSEATDARVDDVYAAMRALGFSSGIPVIAAEAGEEELGDGGAELVYHSYMYGGGRRGASARRSLATGTFCVALQNADPTALQAGLSWACGQGHADCSTIQPGGACYKQNDVAALASYAYNDYYQKNANTGATCSFNGTATTTATDPSSGSCVFAGSSTAGGSNSSVPSASPPTSLTPPSGLTPPFGSSPPSDFGPPGAGTTPPSAFGPPSGFGPPSGFGPPSAFGPPGIGTFGPSGTLDPYGSGCRQVASLAASALLSAIVLAALLGARFTWTNKRLRPTRCVLDRVLVAPAWEAAFPLCSLTAITRIGSDHTPLLLSSGEETRRVPPRFFFQTWWFGVPGFGDLLKAKLDTFISGLGPHRCYIELWQCVARNTRQFLKGWGANLGKEKRDFRENLLLQVTQLDRVADATGLDEEGWALRYHLEDQLSALDRADEEYWRQRSRVQWTLKGDSCTAYFHAIANGRRRKCSIPRLSTDHGEIHEQRELMEHIYLFYQGLMGSEGETRRFALGPHLWDGNQKVSPEENHDLELTFTAEELDEVLAGMKQDSAPGPDGLPVLFFMKFWGTLRGPILQILNDFALGRVDVARLNYGIITLIPKVRGAENIRQFRPITLINVIFKFVAKAYATRLAPVAHRVIDRCQSAFIRGRCLHEGALALHEIVHELHVRKQKGLLLKLDFEKAYDRVNWDFLQEILLRKGFSAMMVHRLLQLVRGGQTAINVNGEIGHFFRNKRGVRQGDPLSPILFDFLVDGLAAIIAKANSAGHVRGLVPHLIPGGVTQLQYADDTMILVEPTGVGIANLKALLLCFENMSGLKINFDKSEVVVMGVSRTAQQRVANLLNCRLGKFPIKYLGLPISDRPLRVADWGFLPEIVAHRVEPWQGLYLGAAGARNRPTPACLASPLFAMSMYLLHDGTHKAMDRPRSRFFWEGVGDKRKYHMVDWATVCKPKVLGGLGVMNTKSMNIALMVKWIWKLYQGAEGLWADLIRAKYLRGRDLYAGEVPTHGSQFWNAIQKVKWHFKLGAKHRVRNGRRTYFWTDWWTGSGPLRARFPRLFSCCESPFITVHGARVLDGVPGEWRLRFRRQFGLAETVEWDNLCREVQGLPLSTDDDEISWALEPSGIYSTSSIYARLAQGAATASFKDVWRTRVPPKIKVFLWQLIRGRLPSGEQLLKRHGPSNGMCALCGAWEDCNHIFFTCPLARLMWAGVRELLSCDWNPAGAGDFIALSQGLSNPLRRLAWFTFAAQCWTLWNIRNKLAIEGKLIGNPADIFYQLSLHMQCWRVLVRPRDRDLLDLAVGGVRRLYARTRSDAT >cds.KYUSt_chr3.2069 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12042723:12050003:1 gene:KYUSg_chr3.2069 transcript:KYUSt_chr3.2069 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPDCPPDLRIYKPIFDCGLGLRQEQSEHRSRLLRKIQDSYRKALERLNPRARPGMAARFLDGGGFCLGLLDPVSNIVANTLVSYGRAVPAAAGECDLVYVPEEKLRDLERRSLGGMVTFLTRFFPYLPDCHAVRFLLLADADLMVAFRIVALDLGMSRIGSDKPAFDDALGMAFKCAAMAAKHPNPDQLSCDWLAISSRLHDTARLLDEVRRRSPSSSLDKLAKLLDGSPLPLHSSMWAPFVAVADSRCPRSMPYLHSSALKGTLQDAIHGFYLNAMARLPAGELRSRFHRSLLIAGHCYGPLDPVSNIIINTIWYDAAFPPTVKLEVDVIGTMILHRMETRSTFGLLSFLSTRYHHIDFNRAIGCLLQADGDLLLADRRLDAEATADLEKAFFAAATAAHHPNPDAQAKVLHSCPSQQISMLLKGSGQLSSEDVQCLARLLCPEAACSEQPLRPFAHPWHLSSHTRISEKINAALSAYAAMQSAEPTYELHTICGVNKYVSGPVGTVGKCYRTHVNFLATPKGTQFPTGTTPLLFFAEFCNDDEKDRAGTLPFVCRVSVPLPCTERVRCLYCDHMGIRIVHPVGEDFHGRKLDFEKMVCGEDPCDDDFDPAVEKPYYTNMNIIEHSRGTTEMVRGRVEEDCLYNDPLEHSSDEYGRDLTLMSDEYDSDLDSMADKRHVSHPMEPILLTKRLKYYLIPHPLTCSNSLTSPPPAPASTTSPSTGARGAAKVEGPPAVPSACPPCAALAGSTPRAAGDLVLLHLNSNRLCWIVPEPLTAAARWAVREVRGGGGRVPRSVGRVHDGRGAAVVRVRAPSRRRSSTVRSTPGRGRWEVSWRTSCSAMYGGIRIEPTPRPRGRPGAFRHGAECAIAVEVDCGGGARPDSAMEIGHQQVLMRASRWPALALPWWHDLNSRVQS >cds.KYUSt_contig_195.208 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1341058:1345577:-1 gene:KYUSg_contig_195.208 transcript:KYUSt_contig_195.208 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKLVCVHMINKHHTFHESRCFYVVSSDGTQTDFSYIKCLDNYVRKSYAEEPAELVSQMYFQRHNRDRAPAEASQPTPAENSQATPQEAQLETPAPLAETSQATPHEAQMINALFLKLLTHLEEAYAATYEEYLEKVQEHDLVKDNYFRWSSNQMATFTQFMMTGVREETEPEPPHPGPTPVFPSKEEFYCMYKRQRQVTPHKVSMPLIEYALLSKARAEKQAYGASCEHKKQAAMARHRLLAVLLVGVVAASAFHQAAAAGRGLADVEKLPEPEPKPMPYPEPKPEPKPEPMPKPMPQPEPKPKPEPKPEPKPEPKPEPMPKPEPKPEPKPEPLPKPEPKPEPKPEPKPEPLPKPEPKPMPKPEPKPEPLPKPEPKPEPKPEPKPEPKPKPEPKPEPKPEPKPEPKPEPMPKPEPKPEPKPEPKPMPEPKPEPKPMPEPKPEPMPKPEPKPEPKPEPKPEPKPKPEPKPEPKPEPMPKPEPKPEPKPEPMPKPEPKPEPKPKPMPKPEPKPEPKPEPMPKPEPKPEPKPEPKPEPPPKGKPPSTDI >cds.KYUSt_chr4.54492 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336659310:336662669:1 gene:KYUSg_chr4.54492 transcript:KYUSt_chr4.54492 gene_biotype:protein_coding transcript_biotype:protein_coding MCVFCGSSQGNKTSYRDAAIDLAKELVAGGIDLVYGGGSIGLMGLVSQAVYHGGRHVLGVIPKTLMTPEIIGEMVGEVRPVADMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDQAVEEGFINPAARRIIVSAPTAQELMEKLEEYVPYYDMVASGLDWEADRLEF >cds.KYUSt_chr4.534 pep primary_assembly:MPB_Lper_Kyuss_1697:4:2834533:2835283:-1 gene:KYUSg_chr4.534 transcript:KYUSt_chr4.534 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTVGLGVSDCQPEQTMCNRSEGPVFSSSMNNASFVLPRATSMLEAHYGGASAGVYTRDFPDRPPIVFDYTSAASDNDTMQYTEKSTKVKTLKYNATVEMVLQNTALIAKESHPMHLHGFNFFVLAQGFGNYNETAAVSQFNLVNPQERNTVAVPTGGWAVIRFVADNPGMWYMHCHFDAHLDLGLGMVFEVQNGTTADTSVPPPPRDLPLC >cds.KYUSt_chr7.4289 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25677488:25678054:-1 gene:KYUSg_chr7.4289 transcript:KYUSt_chr7.4289 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQPDNRHVALLSSAHSSAQPHVICILSPTNSNPGGESRVINPKSSGSSGRRRDEIEVKGGDHGLGAAVGRERHERSQCSRGEGRPWRRWGNRTATTLPTKVRAAAMALVLLRGTEEQAAPVLHIIWPGQRQPRRLWLRDATRVLAARRPRRQRLLDAARVPAMHSADGLDHDDLDAGGQPAARVRP >cds.KYUSt_chr7.38266 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238627047:238627624:-1 gene:KYUSg_chr7.38266 transcript:KYUSt_chr7.38266 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTVTRAYLDHKLALAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKANLNPTGQALIVCTVAGMAYFVAADKKILALARRHSYEQAPDHLKDTSFPAAAARRSPAFFSP >cds.KYUSt_scaffold_2697.636 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4069352:4071758:-1 gene:KYUSg_scaffold_2697.636 transcript:KYUSt_scaffold_2697.636 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYPFVPNSLKEMLLESNDPEQKIHPNVARLAEDDVKIPADFISHSVIYVQIHNFMVIRAKEIIIRMYVSSEKCQAKAMKVAATASGVESVTLAGGDKSLLLVIGDDVDSNKLTKKLIKKVGSAEIVELRTLDTFDMSSISHHAVATKGGASPYHGQHQYHQYQYAAAPMSPYAYHHHPSPMMAVQGGYGNYGSSYARAVAHSHPGNYSPLVERHDYYPMDKSASRLAAAGGPGAGVTTYRSVPRRDSDSSSGGCCIQ >cds.KYUSt_chr3.42929 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271295327:271296326:-1 gene:KYUSg_chr3.42929 transcript:KYUSt_chr3.42929 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTYIEMRLFNTCNATISVVSTFSMDDQQDMNREDFINFSGFTQPGRISLPRASTSSTGSASGDSNAQEGLLPIANIGRIMKEVLPPEAKVSKRAKETIQECATEFIGFVTGEASERCRRERRKTVNGDDICHAMRSLGLDHYASAMHRYLQRYREGEELAAALNNRGTSPPLPDDDMIQIDVRAELSISRGHENHVRK >cds.KYUSt_chr2.53598 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334283721:334287989:-1 gene:KYUSg_chr2.53598 transcript:KYUSt_chr2.53598 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQRKRIPKIEPFKHRVEVDPKFFDKSWKKLDDAIREIYNHNASGLSFEELYRTAYNLVLNKHGGKLYDKLTENLKGHLKEMGKLVEAAQGGLFLEDLQRRWADHIKASQMIRDILMYMDRTFIPTSKKTAVFELGLELWRDIVVRSPKIQGRLLDTLLELIHRERMGEIINRGLMRNTTKMLMELGSSVYKDEFERPFLEASASFYSGESQQFIECCDCGEYLKKAERRLAEELERVTQYMDSKTADKIANVVDKEMLSNHMQRLILMENSGLVNMLIDDKHEDLTRMYDLFKRVPDGHSTIRSVMTNHVKETGKTLVTDPERLRDPVDFVQRLLNEKDKYDEIVSVSFSNDKAFQNALNSSFEYFINLNNRSPEFISLYIDDKLRKGVKGANEEDIETVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTSSDEAERSMLVKLKTECGYQFTSKLEGMFTDMKTSHDTMQSFHAALSGNIDGPTISVQILTTGSWPTQPCATCNLPPEILDICEKFRAYYLGTHNGRRLTWQTNMGNTDIKATFGSRKHELNVSTYQMCVLMLFDSDDGLTYKDIEQATAIPHVDLKRCLQSLACVKGKNVLRKEPMSKDISESDTFFFNDKFTSKLVKVKIGTVVAQKETEPEKQETRHRVEEDRKPQVEAAIVRIMKSRRVLDHNSVVTEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKTDRKLYRYLA >cds.KYUSt_contig_1163.158 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000111.1:958790:959119:-1 gene:KYUSg_contig_1163.158 transcript:KYUSt_contig_1163.158 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPVADYLSAEDKASADPDYLFFLSHFYDDGEGGRLELPAADGVSPPTVILYGREQPVADPAAGAGIAQGAASKDEPESSVVIDPPSPTPTPPSKMAKGATSAPKK >cds.KYUSt_chr1.10688 pep primary_assembly:MPB_Lper_Kyuss_1697:1:65398682:65399215:1 gene:KYUSg_chr1.10688 transcript:KYUSt_chr1.10688 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKKAGARKRKRKPKEENAGAGKRSRRRGAVTGAATGEPQQQQANAAAPVAAVVQKVAECMQSEEEEEYTAFTEESMAQMMSWLALELAAGPPVPVPAAGYVTVQGDEESCGPSFSGSASTVMATVDFRFVAPVPPVAEWPLPEAVPGPREQEEETVDDDWVATLLTDGPAGEGQY >cds.KYUSt_chr1.41824 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256447357:256447914:1 gene:KYUSg_chr1.41824 transcript:KYUSt_chr1.41824 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEIPRDSLYGISAVALEITKYSTIQTQPWKDVKLQVQACFFSAIIGTPGFPLHLLVKLQVQVIAHVRVNPLETHMLKWEQKRGISPR >cds.KYUSt_chr7.14675 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90647231:90650316:1 gene:KYUSg_chr7.14675 transcript:KYUSt_chr7.14675 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGADLRSSIKKWKIIYPVYLNSKKTVAEGRRIAAAKACPDPTCIEIADSCAFLKIPRAIELDKAYPRDFFQVGRVRVQLTNDDGSPVNPAIRTKKQLMIQIAELVPKHHGRTKKQEPAAGPSVVSSNNKKKNKNKK >cds.KYUSt_chr7.39902 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247884040:247886630:-1 gene:KYUSg_chr7.39902 transcript:KYUSt_chr7.39902 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPAAVATGPGNTRRVQGGGSRRRDEHSASGRRARRCSEGRKEEFEEYAEKAKTLPDSTTNENKLCLYGLFKQATVGPVNTARPGFFDMAGKAKWDAWKAVEAKSKEEAMTDYVTKVKQLLEEAATAAASTS >cds.KYUSt_chr5.43360 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273245465:273257139:1 gene:KYUSg_chr5.43360 transcript:KYUSt_chr5.43360 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPAAALAAAAAALLVAAALCARPAAAAGGPRMADLSVLLPPRMTRPVEYRLVGGDGCFTWSLDHHDIISIKPEYNESSKCSTSARLASIAPYGGRKETSVYATDMISGVTIHCKVFVDRISRVRIFHHSVKIDLDEVATLRVHAFDDEENVFSTLVGLQFMWQLIPASLDNSNHHLAHIPLKDTHLSDCSGFCVDMNARFELEDRNLGSDFFVVKGVGIGHEAVSVQLFEPQFEHVNDTITLTVAEAMSLEPPSPVLVTLGVSVNFKLKIFRQKVAQVVNLPSQYHLWHVTNSSVAQVDSSLGVVHALNLGFTNVVVEDTRVSGHQQVSSLHVVIPRTLFLYLAPVMADSDHLHGITNIPSSAAWYVFPGKEYIVLAKAFAEGFDTREIFITEENNFILESSTVEFWNLSRVADNSVVHTSRLLFPISQGKGYLVSSITYQTEASGSAKVLKLLQEVNVCTKVKATWDEGMENSNIIHLPWVNGVYQEVELMAVGGCGKTMEDYKLYSSDESVVSVSDSHTVRAKKPGQAVIKVVSVFDSLNFDEIIIEVSTPSALAILPIFPVEVAVGTQLHAAVTFKTSNGLSYSRCDYFNAFIRWSLLSENQTFEFVDTTEALGAEAFKHHTGSWTQYGNPCAWVSLNAAAAGRATVVATFSSESESYLETFNVPILLKAISKVSAYYPLLALQAGNGNQFGGYWVDLSRLQSEIQNVGDNSPKELYLVPGSTMNVFLYGGPEPWDKVVDFVETVDVVGEPKSYTTGSTAVQKISSGLYQVSCQSEGSFKLLFSRGNMIGKDHPVPAVAKSELSIVCDLPSAIILIANENENRLDILEEASKAERTPDRLQLSPVVISNGRSIRLAAAGVHGNGRFFANSSSLFLRWETTACEGLAYLDQDEEAETLDKSSWERFLVLQNSTGLCTARATVIGFSSRIASQTREEHLFLQSANDMLTDAIQLQIVSSLRVIPEYVLLVNHPEAQEILSVSGGTCYLDASTNDTNVVQTVQQPGKALCSQLVLGARGLGSAVVTIQDIGLSPRVTTSSLVRVANVDWIQILSEEHISIMEGTTKEFQISAGTQDGQVFGDFQYKYMGVEVHLGDEILELINPTEFLGGPTFSTKAAKTGTTSLYVSVKQHSGQRVLSQVVNVEVYKPLQIHPEYIYLTPGASFVLSVKGGPKIGVSIEYTSLNMGTLEVRTATGKLYARTVGNSTVRAAVLANGGTVICEAFGRVEVGIPVAMGLSTQSDRLCVGCSMPIYPSLPKGDPFSFYETCQSYTWTIADQKVVTFQSSGRKNGVNEALHFEGKKYPWLSNGNRNVFINHLIGRSGGKTKISVSVMCDFSLHGSSRSVSYDASKTILVVPDPPLARGLPITWLFPPFYTTTDLLRRSINSVGEPDSHDLEDTIGYSLLRSSGRSDPAMQNANLIDGSKIRTGESNAVDCIQAKDHSTGRTVIASCLRVAEVAQVRVAAAAESSIQTAYLSVNDKVELDVKYADELGYIFTEALGIAPVKIETNNPDVVSIVMHRDGNGTYGAHQHFVLQARSHGTALVRMQISHPSRKADFIMVSVAAWKDVVINSTEEDRKLTEEVEVSSAPGDRKEPSSSSGLTTLAVILSCIAVPAATLAIFMKLLERPARQVPPRHAAPTPAAVAADPASPRTPQPFVEYVRQTVDDTPYYRRDARRRFNPQNTY >cds.KYUSt_chr6.6159 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37078535:37079887:1 gene:KYUSg_chr6.6159 transcript:KYUSt_chr6.6159 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVSTARGAAPLSFASVRRRRLPQSVAVRDPAPGWRCRCARPAAPDPVPSEEPASASGTTVVVADKPAEEPEVGAVSDGSGGAVEATVVDAPVSSPEEAPEESGGVDDILGKLDIEVTPTYILFGGGALLALLILSKIVSAIDSVPLLPKVLELIGTGYSVWFTAKYLLFKESRDELFAKFEDLKDRII >cds.KYUSt_chr3.48766 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304794462:304794797:-1 gene:KYUSg_chr3.48766 transcript:KYUSt_chr3.48766 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSGKCGCGDACKCGSGCNGCGMYPDVEAASNGNVFLVAAATHKASAGGMEMAAEAENGGCSCSKCNCGTSCGCSCCTC >cds.KYUSt_chr3.19811 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121979704:121980531:1 gene:KYUSg_chr3.19811 transcript:KYUSt_chr3.19811 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVTLQYIPNDSTRRGTFKKRRRGLMKKASELSILCDVKACVVVYGEGESAPEVFPSPDEGLAILTQFKNMPELDQCKKMMNQEGFLRQRIDKLREQVHKSGRDCRDREIRYLLHKAMVGNLPGLEGLSIEELTSVGWKVEVLLKSIGDRITKIRGLRSLYQPSRQAQPSPDAYLAGGADMGAPAMYQAHAPPVQERWLDMVSSGSGGDLSTVVYSGLNGSHDGAGSGTSASAGDDVMQPFDLGAGFAWQWAASPIPSPMSSFLTPMSAGRG >cds.KYUSt_chr6.5391 pep primary_assembly:MPB_Lper_Kyuss_1697:6:31996846:32004696:-1 gene:KYUSg_chr6.5391 transcript:KYUSt_chr6.5391 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLESLWSFVNWVQMNMISAIEELSGLTTGELSEMLKESGSFVLQSKADDGGPKQVDMEKLVSSLPLHLLAVCMNLGRCSDLTYVLRGMRFLHSLSELATRHIKLEQVFLDDVKLSEQVMDLIFFVLSILSDWKKENHLGASPFIHSSLVAASLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHEDTRILSVRLSALSTKDIPVGPFDSQLTYFTCQQCEASLQILLSLCQQKLFRDRILKNKELCRNGGILSLSLTILKLDIPECLKGSVDIASSISRLKAKILSILLQLCEAESISYLDEVATLPKIMQLGQTLALEVLNLLKTAIGRKQKPTASSHDKSYPVGSVLINALRLVDVFSDDSNFRSSFITNTIPFLTQIFATPHDIFVSSWCSVNLPVMEDDANLDYDPFGAAELALAASSNMLTEAKANYSCSFRSISMPSLAYAQTRTACVVKIIANLHVFVPNICEEQERDLFLQKFQKYLLSESPSPSLDYAACNEATTVCKNLGALSHYAKSLIPNNLLNEEDVQLLSEFAYKLQLWCKSQGGQRTFQMAKGDTSSQVNKDLQLVQQPLPKRVTSVPESNVDNPPKVVQNIEEPTATPSMKQEGNARDETPRSRASINGGLLQNSFGQNLIHLGVTRTTSAGYPGAGTASSMELPRCKSADHFKTAEPTKESGLRDNDDRQPKRKKRSIMNDVQTNEIENALVVEPEMHKSATSLQIWAEKLSGQGSEITSSQLKNWLTNRKYKHARLVKERGVPYESENADMPSTPATSHFGDSSESAVKESYLPPSRVLNALGISKGNRLVAPDTNDPTTQAEFNQNIMMSHPFTRPLSFETGCPVLLIDNEGNAIGRGKIFQVEGGAQICTIDVMELKIEKWRELPHPSETSGRTFQEAESSHGGVMRVAWDVARLAPVVPYPVVP >cds.KYUSt_chr5.37909 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239420788:239423720:1 gene:KYUSg_chr5.37909 transcript:KYUSt_chr5.37909 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGPVRSWGHLSETVSIRSRVAEKMKAVKTLAYREPPLLPIPCLLFSPNRRLLSSSPIHGVQSRQVEQHDAGLQTVRWVMAGMAPEGSQFDAKHYDTKMTELLSQGDTEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIIPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVDCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMD >cds.KYUSt_chr1.23194 pep primary_assembly:MPB_Lper_Kyuss_1697:1:137575960:137578924:1 gene:KYUSg_chr1.23194 transcript:KYUSt_chr1.23194 gene_biotype:protein_coding transcript_biotype:protein_coding MADADRKAGMGKWEGYPPPPPPQAQHPAARETEREWVPWVVPVFVAANVAMFAVAMYANNCPAHAAGRRCVGAGFLGRFAFQPLRQNPLLGPSSATLEKLGALVWDKVVHGGQGWRLFTCIWLHAGVVHLLANMLSLVLVGLRLEQQFGFARVGVIYLASGVGGSVLSSLFVRDNISVGASGALFGLLGAMLSELFTNWTIYTNKAAALVTLLLVIAINLAIGMLPHVDNFAHIGGFLTGFLLGFVLLMRPHYAWSQRYVLPSSVKDAGRKYLPYQWALLAVASVLAVLGLTVGMAMLFRGRPWKTLEKIVAESQAALAKRDDKRRLKKEVSNAIYLNLSKEAIEVQRLDVEAKKAHAEAKMRDAEDRRMDAEAKIWA >cds.KYUSt_chr7.29618 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184355350:184361113:1 gene:KYUSg_chr7.29618 transcript:KYUSt_chr7.29618 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRKIIPNSRSHEDYKGSGGEEVAPARKRRGRPQKQKVDPAKVKNLVESVLNGNADYQQGEGDDAKLEENGSKRNRVPKEEGSDHHDLEENSSSTTRSSNDESATSTGATSSINDPDIMISFPQAEHKERKKQARSTAMSELLAILLLSLAAARADGKPCDIARSQFPKGFLFGTASSAYQYEGAVREGGRGASIWDAFTHNHPDKIANRSTGDVAIDSYHRYKEDVKIMKEMGFSAYRFSISWSRILPSGKLSGGVNMEGIKYYNNLIDKLISKGVEPFVTLFHWDAPQALEQKYSGFLSHLIVEDFQDYANICFREFGDRVKYWITFNEPWSFSIGGYSSGTLAPGRCSSSQKPGCSMGDSGREPYIVAHNQLLSHAAAVKVYRDKYQMEQKGRIGITIVSNWIIPYSNCKEDKDAAKRALDFMYGWFMDPLTKGDYPLSMKTLVGNRLPKFTKDQAKAIKGSFDFIGLNYYSARYARNAKRSSNSNKSYSTDSQTEQGVERNGTYIGPKAGSSWLYIYPEGIEELLLYTKKTYNNPTIYITENGVDEINNENLPLQEALIDNTRIEFYQQHIFHVQKALREGVDVRGYFAWSLFDNFEWMDGYSVRFGLNYVDYKDGLKRYPKRSSQWFQKFLEH >cds.KYUSt_chr2.34313 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211933716:211939868:-1 gene:KYUSg_chr2.34313 transcript:KYUSt_chr2.34313 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAADLPAVSTRRERRRERKKQRRRRARREAAAVARAAAEALAADPEEERRLRELQEAEADASERARRAFEDAERRWLEAAASRAAEKAAAAAAEEEARATEAAARDKYKDEHRDVSEDEEWDYVEDGPAEIIWQGNEITVKKKMVKVPKKAKDKPPSQEEDRPTSNPLPPQSAAVASQSREPSLSARELLEKVAQETPNFGTEQDKAHCPFYLKTAACRFGVRCSRVHFYPDKACTLLMKNMYNGPGLALEQDEGLEISCEFVAVTKWKAAICDDTVFDKASATLDFEWSRSSDRRRLRSSDDRYVSSRYRDGDAHKRHSSRDYSHSKHEQSSLKHGHSRHRREPSAEHKQQGQEIEDNTGRYSSAMENERVSKKHIGKERRNDHGDGQRDDKIKSRKHRSERRESVEPGCSDWHSEFTDAGASKSPPGSKSTDRYNDHKRSRMQSYDDPYLEGRYSPSHKSPRKGRSTKRSSRRSVGDDSYDEKDGERHKYRNHSDHFDDPAPDDRWLATNSDVDSDAKPQSSRSSRRLGKLGSEDSAHPDEDDHYERSRGRRTKWRMEDDKSSRKRKHHSKIKKGSDCDEDPSDSKDTRDLGSHGCSSRSRSSEEDVSLHRSRKRSRSSCDS >cds.KYUSt_chr2.54954 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342640214:342641096:1 gene:KYUSg_chr2.54954 transcript:KYUSt_chr2.54954 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSAAASDPRLASSLHAALLKPGLLASDHFLTNHLLIAYFMAWPRLRRHGLRLLDEMPRRNADTIPVPARWVPVQRLPDERVPHGDGLARAARRLFEWACLAVANIVSWNTLLLPGSGQLLKTGIGKYIAITLLKIIARWGPRQIHRNHAAEGHQYETGFADIVSWNTLLAGFARHSCAQMWKLWLIMVRQGAGASGFSFTTVLLELTADADMVGGLHVLGKLVKTGLGDDACVTAWATPWWRCT >cds.KYUSt_chr1.31377 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190321788:190323845:1 gene:KYUSg_chr1.31377 transcript:KYUSt_chr1.31377 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEGKGKGKVLDILAGSDDDSDAPAEDNSKIQINEEYARRFEHNKRREALQRREEQKKKGLIAESDDEDSDDESSDEDVAAAAASRRVDRRVLQVIRRIRTGDSAIFDPDAKVYSSSSSEDEAEAGAEGEPKEGKAKKERPLYLKDVNAQHLLEEGPEFAAQTGRGNKYDRIAYDERQREGLKEFLEAEKKEVGDGDGEEDDLFMVKKAGGDGGESEEDEDEKQTEELAGEVFGKDEELDENEKFLKDYILNRPYLETGAGKNFSLDDIQEVSEEEAEIQEWEDYEDKYNARDYNFRHEEMAASEAPVTDRVMGHPRVIEGSVRKKESSRKKQRKSKEERNALAKQQQAEELKHLKNLKKKEIAEKLEKIRMIAGIEGDAACKLGADDLEEDFDPEDYDRKMREMFNENYYGADEVDPGFGGGDDFDLAKPDFDKEDDLLGLPKEWALDDDNKEGSTASGDKAISEKKKKKKNKELADDDEVGEKREGKISRKDKVELEKEFDEYYKLDYEDTIGDLKTRFKYRKVQPNSYGLKTYEILQADDRDLNQYVPIKKLAPYREDEWEVTYHKKMQKDLILGGQKIEGKKVKAHKKSKSEEGSEKPREDKLMSEHEEIYAKTNSEGKKVKIGKRSRSEEDPSSAEPEKDEHTSEQAGTHAKDKSTRSKRRNRSRKELRISDARRRAYE >cds.KYUSt_chr3.37851 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238146580:238147896:1 gene:KYUSg_chr3.37851 transcript:KYUSt_chr3.37851 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLYNLEMRESDTYTLHCAEFVSIDCGWVNSSSYMDAALVIPYSSDGGFLEGGLSREILPEFMADTGNDQQKTLRSFPDGSRNCYTLPSTTGKKYLLRATFTYGNYDRLNKTLDGSVFLFGLHIGVNFWEAVNLTNLDPSVTIWKEVLTIAPDNVVSVCLVNFGSGTPFISSLELRPLEDTMYPFLSSSVSVRYFQRYRFGSVRAADFITRYPTDPYDRFWQSWYGTIDHSYPWISLNTSRTVNRQQSIDGFQVPQAILQKASTLDTNYSFMTFFVAIDPNLDSGSLELLPIFHFAEIASDSPNRTFNVYSASELLFPDVSPLPMRVDSMYPTNRFLTNRFLMSNKNAYFTLNRTASSGLPPLINAFELYSLVRMENFTTSSDDGKIPNK >cds.KYUSt_scaffold_2697.155 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1088677:1094641:1 gene:KYUSg_scaffold_2697.155 transcript:KYUSt_scaffold_2697.155 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAAAASLVASTSLSLPDHLRRLRRTAPPLRLRRRQRDRLVLSVLEEQASSGLTEEDARKFGLNGTAGRVGYDDAAVEAYLASNGNGNGNGATVKPATVSVVSGPAPGEDERRRKKRVEEISKEDAWFKQSNGEGLPKVWLNNQKFTYRGGMTEEKRKMRRRVLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVSTIEEELGASVNEIFDRFDFEPIAAASLGQVHRASLNGQEVVLKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECANVLYQEIDYTKEAFNAEKFAENFKNMDYVKVPSIYWEYTTPQVLTMEYVPGIKINRIQQIDKLGLDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDANGGRLIFYDFGMMGSISPNIREGLLEVFYGVYEKDPDKVLQAMVQMGVLVPTGDMTAVRRTAQFFLDSFEERLAAQRKEREMQTVEPGFKKQLSKEEKFEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAMELLRFNEAGVEVLVKDARKRWDRQSRAFYNVFRQPDRVEKLAQIIERLEQGDLKLRVRALESERSFQRVAAVQKTIGYGVAAGSLVNLSTILHLNSVWMPATIGYSLCAFFGIQILLGLLKVKKLDQQERLITGTA >cds.KYUSt_chr2.37571 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232600016:232605155:-1 gene:KYUSg_chr2.37571 transcript:KYUSt_chr2.37571 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKLAGLVLHLLPAYVDRARFGAVCLQWHAAARQLAAPPPVPLLALPDGTFYSLPCTEPFRFAGCGFAGFKSACGSWLVFPRHDGCFLANPFSRATVRLPALSSVRPSCLPSGAGFKPVEPLTRIWPRIKNRKSQLNKLTFCSPNLVAAFVDSHGRGQILVCQPGASSWSSVPAHHTFKGFQDMAFYKGKLYMLSYHEHLSVVDISQDEATGDPQVSRINKFDVFEAGHLKRPAGSDGWVNKTTLGDDDQVLFLGRRCSQLLAAASRYGLLGDSIWFLDDDEDSFKGYCYEEEKASIRVYDMTAQATRIGGLSASGIGETLGEGGEVAERILEGSMLMSSARKMRTPTSVVSANGLTVETVALWAVMINSVAVQISEERDLGIAVYGPSFSWFNHSCFPNASYRFVLASRDEDHVSDRSEYPAVPASKEVAADVVNKMKL >cds.KYUSt_chr2.53999 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337094112:337094357:1 gene:KYUSg_chr2.53999 transcript:KYUSt_chr2.53999 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKAKASTRSAVSQGRKQLPASAAAVAEQRRAQKSEEGLRTVMYLSCWGPN >cds.KYUSt_chr2.46954 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293680369:293687493:-1 gene:KYUSg_chr2.46954 transcript:KYUSt_chr2.46954 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYGLRCPYISQLSGCGSNDKVEGVDMNTDRSFSTTSIYFRQVLHAIGELRNEYIRPPSSETPEKIAGNPRFDPYFKDCIGAIDGTHVRASVVKDVEDSFRGRKPFPTQNVMAAVDFDLRFTYVLAGWEGTAHDANVLADALGRERGLQVPEEMDNFESTDASASNTQGATVSITQGTSASNTQTATGSKAKSVVLNEHFKLSLNHEQISNHLKKWRKIWGRLIQLKNLSGALWDEDTYTIRLSDEHYAGHCANNKPDIPFLNTPIEHYRAMETIFGSTTATGKYAKSGNDPLSIDLEDEGQQSELNTSPNMGESAGKAPPKKKAKLVHKEDDPLVTTLQDGFKMMAEALIKSGGDGDDVPDGLWDALDELKGFKDEHMAHYYAHLVERPKIAKAFMTLSKQNKLVWVSRFMEREFGMVYNLN >cds.KYUSt_chr1.18389 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107613625:107614200:1 gene:KYUSg_chr1.18389 transcript:KYUSt_chr1.18389 gene_biotype:protein_coding transcript_biotype:protein_coding MRARTPVGAPGHGGAAPRPRPAHQPRHTPHLPAAQQVVVALETLRLGAAAGLPPATRRRGWDWLGERGGPIRVHDRLCDLCVRAQAKPDQWVAVVQLRQHLPRRRTFLYLEQLLIKHGQATLASAPGGLDFFGSRSHAARLVDFLGTVAPIQTNTAKQLVSHDTKRSSPDASFFYSLHEQIPLACNQYTRK >cds.KYUSt_chr1.3480 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21046539:21050378:1 gene:KYUSg_chr1.3480 transcript:KYUSt_chr1.3480 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPAAPAPAAPAAADAPANPHKRKNKGKGGGNPNKKKKLLKGPDDRRRRNKPSAKFLKLLEKRARDYNSDDEDDVKVQRQPRRLQPRPPKRRDGAGAGDAAPDEAEADAPSSEEEASSSGGESDDGEKVVTRFEEGCRAFRVAFTKIMSKRLADDPLGPILSAHKKLVAAKLAEEADEHRSKGDARKEKRIAAQKGHVMPANHVDNKEKMLIKVSKRQARKGLNPSRSKDEDEPGWAPLRDTYMLGTKLKDWDKAQDSDVAQQTEVPLDNFSDDE >cds.KYUSt_chr6.31595 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199698654:199700467:1 gene:KYUSg_chr6.31595 transcript:KYUSt_chr6.31595 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPSSWPDRVCSLVEGVWRCSTPARSLNSDFMMLELHGRPCIYKQNGQGAVIWLLTTDHRWEQLYILANESSQRGDYFAGAWDCGCGLVLAVFRMSGAYLYNLHDEAAAEGGDEGGKRLAAVRSLPIEYKWPEQFKGIWFAEPPSLFDYRPTLISPASIISDVANLSGRPRECVVREQELGDMFLEMTHKWVLGPAMQMLANLQEA >cds.KYUSt_chr1.19553 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114931956:114932273:1 gene:KYUSg_chr1.19553 transcript:KYUSt_chr1.19553 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAEIYRKPVPGWEAKSGRACEGIATLFPLDASCWCLQGWCWRAPCMVCLAFVMPVFLLFSQVLVPVTLTIGMVMMGLTGENSLWTLTTPFANVLNPCAASSD >cds.KYUSt_chr7.18312 pep primary_assembly:MPB_Lper_Kyuss_1697:7:113465535:113467600:-1 gene:KYUSg_chr7.18312 transcript:KYUSt_chr7.18312 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKKGGSSWLTAVKRAFRSPSKEDSPKKAPRLREDPDAEEDKVRCPLHLLCSYSHLIGVSLLTISFDLRGPFNDIFSVSCASAKQNKRERRRWLFRRSSSPSPSPAAPPAPEHQQQQNQSAAARSAPGPSVTDEQRHAIALAVATAATAEAAVATAQAAAEVVRLTRPSSSFVREHYAAVAVQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRMRLSQDSLSAAGAAACGSSKSSYSVDTSTFWDSKYTHEYAERRSVERSRDGSSFAADDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQIWRNPAPSAEEEMDVDGQPRWAERWMASRASFDTNRSSSRTAAAAAAPGRASTDHRDQVKTLEIDTGRPFSYSTPRRQAPPSQHGSGSPMHRAQHHHHSAGTPSPGKARPPIQVRSASPRVERGGAGGGSYTPSLHSQRHASSGSAVPNYMAATESAKARIRSQSAPRQRPATPERDRPQTAYNPATGSAKKRLSFPVPLDAYGGGGGYAQSLRSPSFKSATGRFTSEQRSTVSSLSCAESVGGEPISPSSTTDLRRWLR >cds.KYUSt_chr6.16739 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105251267:105252339:-1 gene:KYUSg_chr6.16739 transcript:KYUSt_chr6.16739 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIRDGNQNLVDEIVGLRQAMSRLKEDLNSLSQAIPKLRAEKELESRELTQRNLKLEAELRSLEPLRQDALQLRSEASTLQSLRQELTAKVQGLTKELEHQNSESQRIPAMIAERDSLRQELIHARAALDYEKNAKPELMARVQAVENDLVTMAQESEKLRAELEKRRAPSFSGHGAYGLPMATPGMGLQGNYDGGYTYTENRYGAGPWDPPGYPHP >cds.KYUSt_chr3.26289 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163579805:163581891:1 gene:KYUSg_chr3.26289 transcript:KYUSt_chr3.26289 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEFMFQDELASLFTQRPGPGMQQQQQDSWFADYLQMDHDLLYKALELPLPTEDVVKKELVMETAGGGARTPSAGGAGTPNATSSMSSSSSEAGGAAGAGEGDSAGRCKKEEGGGEDGKGDDDDEGDKAKKGAGAKGGKAGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCVVKKRVERSFQDPAVVITTYEGKHTHPIPSALRGSTHLLAAQAAHLHHQHHGGHLGMLQHMGGAAGSLFGRSGGIDVLGGLLQQQQQQRANHGMTPPMIASTQGLAAGSIGSVGSATAAAAASSPPSLQMQHFMAPEFGLLQDMLPSFIHGAGGNNNNIHPSSPYGKLH >cds.KYUSt_chr2.50032 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312995173:312997827:-1 gene:KYUSg_chr2.50032 transcript:KYUSt_chr2.50032 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPWPPPPSPPPAAASHMAITQALADALRSCGGRGGLAGARALHGRLVSVGLASALFLQNTLLHAYLSCGSLADARRLLRDEIAEPNVITHNIMMNGYAKLGSLGDAAELFGRMPRRDVASWNTLMSAYFQSGQFSSALDTFVSMRQCGDSLPNAFTFGCVMKSCGALGWHGVARQLLGLFTQFDFQDDPEVATALVDMFVRCGDVDFASKLFSRIKNPTIFCRNSMLAGYAKAYGVDHALELFESMPERDVVSWNMMVSALSQSGRAREALRMAVEMHGKGVRLDSTTYTSSLTACAKLSSLGWGKQLHAQVIRSLPRIDPYVASAMVELYAKCGCFNEAKRVFSSLPDRNNVAWTVLIGGFLQYGCFSESVELFNQMRAELMKVDQFALATIISGCSNRMDMCLGRQLHALCLKSAHTQAVVISNSLISMYAKCGNLQNAESVFSFMAERDIVSWTGMLTAYSQAGNIEKARQFFDGMSTRNVITWNAMLGAYIQHGAEEDGLKMYSAMLTEKDVKPDWVTYVTLFRGCADIGANKLGDQIVGHTVKVGLILDTSVVNAVITMYSKCGRISDARKIFEFLTRKDLVSWNAMITGYSQHGMGKQAIEIFDDMLKKGAKPDYISYVAVLSSCSHSGLVPEGKFYFDMLKRDQNVSPGLEHFSCMVDLLARAGLLVEAKNLIDEMPMKPTAEVWGALLSACKTHGNNDLAELAAKNLFDLNSPDSGGYMLLAKIYADAGKSDDSAQVRKLMRDKGIKKNPGYSWMEVNNKVHVFKAEDVSHPQVIAIREKLEELMEKIARLGYVRTESLRSEIHHSEKLAVAFGIMNLPAWMPIHIMKNLRICSDCHTVIKLISTVTGREFVIRDAVRFHHFKGGSCSCGDYW >cds.KYUSt_chr7.35713 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223089488:223093516:-1 gene:KYUSg_chr7.35713 transcript:KYUSt_chr7.35713 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMINSGSMAAAASPGFFTQDEARATATVAARNEWVEDVADGSQAVEEEEEEEPTQAGVADANLPKGKKKRKKDSPPAEPHILRPTPTSSSSSPAPTSSSSPSTTSTAAASTSTTAAATSAAASTTACEETAPSDTDVPGGIVDTPVSVLAMARVYVGNLDPAVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAEDAIRDMDGKSGWRVELSRSASSGRGGRDRSGGSEMKCYECGESGHFARECRLRIGSGGLGSGRRRSRSRSRSRSRSPRYRRSPSYSRR >cds.KYUSt_chr2.53648 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334618178:334618888:-1 gene:KYUSg_chr2.53648 transcript:KYUSt_chr2.53648 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTEEDAHPAVISHPAHPQHKLQRAVVDAKFKCDGCMQLGKGRSYRCEPCDYDIHTRCAPTESSLKHHLLKNCKLDFRLKPPGPGCWCDACGDECLGFLYSNRDRNVDLHPRCAFLPQQVVIDGVPFELHTKKESALTCFRCQQKGARRNTYWSYRSRDSGEHRYVHVGCLIDGTRGKEESVPTPTELVNNPGKGAWYKSIWKFTKIVAKLSFYLITFDAMGIFTMAGDVVDCFM >cds.KYUSt_chr2.42151 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262487538:262488180:-1 gene:KYUSg_chr2.42151 transcript:KYUSt_chr2.42151 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAAETDAGGESGNNPAATVVVVERVVTVEYMGQSMSRNLLGKFADSSAFDFDYAQSGIWSPVNKIPSASPASGAGSRDFLIAKWKRRARAGSRLKAKDAAAGAGGSGRSRWRRRRLRRDGSFLDLHETGMPKLDFSPTMPSPSPAKGWRKVLRAAIRKFKARHRRSRPAPLVHMMLPTL >cds.KYUSt_chr6.1577 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9604834:9605644:1 gene:KYUSg_chr6.1577 transcript:KYUSt_chr6.1577 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSWFLDDNPLVLGFVPQLSKLSLSNTRLSDKTLMLSQLLANVRTVRELYLNFQSEKIWVRPECRRVLAPLLAELRTVNLDYLPQECDIAWTMFLLEAAPLLQELCIIVWDHKCGNESQKSYSKKTDVKWEPSSRNFKHKYLRKLTIYGFESDGNFMWYIRHVMEAAVNIKEVSLHDRKACKFCAERFPHMQVRPSTYRRSSEEKDSLRKKITEASGTMASPAVIHFRA >cds.KYUSt_chr1.29707 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179868497:179871554:-1 gene:KYUSg_chr1.29707 transcript:KYUSt_chr1.29707 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPTSDSTSGVLPLLHALGADTKGCMHYTVYERALINGVLSIQRGTDPGIESFFKQGYSIYFEEKGGTPSLIIIQYIPSTFNWKTTGLTVTQESSNLGGMCTLDVIEVLFTVRWRPPARRWRWVQGRRRRGVSPAEVCVHEAYESASVAGQRSARATEMSGRGRQRCRGSAEGVPQRKVFGGGRGTIKGAPNTPPSQATGLRAAEVSGRGRRRCRGSVEGLPQRKVFGRGRETAAGAPRQGFGYTVSMAAVIGGGRDRP >cds.KYUSt_chr4.6004 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35254240:35255186:1 gene:KYUSg_chr4.6004 transcript:KYUSt_chr4.6004 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVKEPRPSSEPNLFLQWGSRKRLRCVKTREDGSPSPARSEVVRRTIPRVNRPLLGGDVAQFRSPRRPSTLHRRKSEALVGETRQSISVSPDKDRYYSTRGSPFTFEGNGFEFNGGVEEKGNTALPRFFISLSNKEKEEDFMVMKGCKLPQRPKKRPKLMQKCLLTVCPGAWLSDLTHERYEVREKKSSKKFSFIDGLLSVADMRKINLQRARGLKALCMESDSE >cds.KYUSt_chr4.42677 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264234596:264235600:1 gene:KYUSg_chr4.42677 transcript:KYUSt_chr4.42677 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYLNIRLNPDGSLTRNGEAKLLPPCPSGEPIAVTAAAGADGPAHRIVHSNDAPLNDANGTSIRLFVPGNDVGARDGSRLPLILYFHGGGYVLFRAASEPFHNTAATIAATVPAAVASVDYRLAPEHRLPAAFDDAADAVRWVRSYAAGSPGRPIFIMGSHSGASIAFRAALAAVDQGVELRGLILNQAHHSGVERTAAEQASVDDRVLPLPANDLLWELALPLGADRDHEYCNPDAMLAGVSAARLRRLPPCLVLGRKKDPPRDRQRVLVAALREAGVHVEAKMDGAGFHAMELFKANCAAEFNAQVADFVRRHAHAGDGVDEHVARSRL >cds.KYUSt_chr2.37827 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234170316:234172635:1 gene:KYUSg_chr2.37827 transcript:KYUSt_chr2.37827 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSKHGMKGLYVSRNTYIHTGITEWQFKKTVNVVCYYRYLRFFPSGKFLYKISPQKVKDVVKCMHLRASKGDSVFKGDYTLSEDGQIEMALLYPGHRYTLVRMRLRVRGTTLGANNRLDVLKILTTGVNGTELKNWKGNILELIEGWEENETHDPDVPAVSHSRGLTPFVFIPFEEVDTSVLNLPVEKMDYFVPG >cds.KYUSt_chr2.33452 pep primary_assembly:MPB_Lper_Kyuss_1697:2:206525759:206532157:1 gene:KYUSg_chr2.33452 transcript:KYUSt_chr2.33452 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVVSLVVTQFRCNISKALVLTNRKWTTSIKEQEKDRLTPIVEALSNAYFGPDYSQTSDSAEISPKDIDQLSRTSFPLCMRHMLEKLRENHHLKNGGRMQFGLFLKGAGLKLEDALAFFRAEFSQKVGSERFDKEYAYSIRHIYGKEGKRTDYTPYSCQKIIAATPGVGDHHGCPYRHFGEENLRAALNNMGISGNALEGILDKVKNRHYQLACTLTFEATHNVSCDTGINHPNQYFSESQKVLQAKMAHEYIKVINFTEFLHRSESMSLSSALTLVSFGLQRYPRHREYVETLIRNYAQMKTTFTPRFVCKAQLYQPNLLIRAINSIADNEEEYTEFRQLQPSERVTWLKTWLRKKFPAYCFCFLLHDLSILGGALVSLHYLGLV >cds.KYUSt_chr1.37411 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228454102:228455508:-1 gene:KYUSg_chr1.37411 transcript:KYUSt_chr1.37411 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAATPATPAAAATDVLGEVIAIHSLEAWTIQIEEANAAKRLVVIDFTASWCPPCRIIAPIFADLARKFPHVAFLKVDVDELKPIAEQFSVEAMPTFLFMKEGDVKDRVVGAAKDELTAKLGLHATACA >cds.KYUSt_chr5.41723 pep primary_assembly:MPB_Lper_Kyuss_1697:5:263218195:263221323:-1 gene:KYUSg_chr5.41723 transcript:KYUSt_chr5.41723 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPMGDQKQKKQLRPRDKFYHMAKEQGYRSRAAMKLLQLDRSFSFLRTSRAVLDLGAAPGGWVQVAVKSATAGAVVVGVDLKPIWPIRGARLLREDITATARCSAAVRKFMDSKGVTAFDVVLHDGDGKNKKRKRGGEEATTTSQSALVMDAVRLATMFLAPKGTFITKPRDEVRSSMETKSRGITMHGSFPSWKAWNAGRASDFIWSEAQTMQELLVSFMGVSFEDPESLPIKNHELTTEEIQMFCENLDIQDEKTLNHIWEWRMRIRKALSSCSTVIPKPDVTAVDAKVKDDGQPVDKTEEFTSIIDTKTREKSESSCRAKDEAHKTPGMQIDATEDAFCGADLASLSFIKHGKDLRAFDSAEQDMEYGIGDGGQEETQTHEGSNEEVDLDEEQHRYEGMLDEAREGFMIKNDKVKRQRKHVNPINPYANADLLEKPSEYVVAKKRVHVREPLVNPLMKDNNTGGVGGEEAKRRRGRAPPERGVFQEKLTRRLDPIMST >cds.KYUSt_chr5.38431 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242976350:242984847:1 gene:KYUSg_chr5.38431 transcript:KYUSt_chr5.38431 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVLRIRLRVLRRHLRLRGRRRRPRSRRGGGETAPSDEEEGAREPVLLVSGMGGSVLHARRRSDPKFDHRAWVRILLADTDFKKYLWSRYNADTGEWVPVLLRCSAKRLARLSDPSTIACSRAGYVESLDDDVEIVVPEDDHGLFAIDVLDPSWFVEILHLTMVYHFHDMIDMLIDCGYEKGTTLFGYGYDFRQSNRIDKALAGLRAKLETAYKASGGKKVNIISHSMGGLLVRCFMSMNHDEFAKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWTMHQLLVECPSIYEMLPNPNFKWKEKPIVQVWRKNPDKDGTADLVLYEATECVSLFEEALQNNELKYNGKTIAVPFNMAIFKWATETRRILENAKLPDTVSFYSIYGTSYETPYDVCYGTESSPIGDLSEVCRTVPAYTYVDGDCTVPVESAMADGFAAKERVGIRADHRGLLCDENVLELLKKWLGASQRRDSWMKHIYKIIAVLAAITAVWVALLETSTVPRSYAWLLPIYLVVALGCYGLFMVGYGLMFFPTCPQEAVLLQQDIAEAKEFLAKKGVDVGSE >cds.KYUSt_chr2.7610 pep primary_assembly:MPB_Lper_Kyuss_1697:2:47677291:47678841:-1 gene:KYUSg_chr2.7610 transcript:KYUSt_chr2.7610 gene_biotype:protein_coding transcript_biotype:protein_coding MRANTVDRWLRDQINRYGPVSKLSLFCTPTVLLAGPAANKFVLFSSALHLRHPPSSQRIIGEKSLLDLHGDDHRRIRGAILEFLKPDMLKLYIGRIDAEVRHHLQENWYGRTTVTVMPLMKRLTFDVISALLVGLERGDARDALGDDLVRMLEGMVAIPVNLPFTAFSRSLKASRRARRLLERITLDKKDKLEQDNASANKDLISRLLSLLNDHGEQLLTNEEIIDNGILALIAGHDTTSILMTFMVRHLANDPATLAAMVHEHEEIAKKKADREALDWEDLSKMKFTWRVAQETLRIVPPVFGILRRALEDIEFEGYCIPKGWQVFLSTNVTHMDPSIFDEPAKFDPSRFESLSSTTPPCSYVAFGGGHRICPGMEFAKMETLVMMHYLVRQFRWKLCNKENTFVRDPMPSPLHGLPIKLEHYTSL >cds.KYUSt_chr2.40985 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254668329:254669660:1 gene:KYUSg_chr2.40985 transcript:KYUSt_chr2.40985 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKMEIDPSHTSESRARRPAEDLEESFPFVARKKVKNPEPVLGWQLAEELKDLKEMLSLAARTERENSKLIEVVDGMGPLGIEEALSIGYRRKVEKPEEGKAVHEWQPDAELEEALSLAMRSGLMAEETKKFLRVAVLSNLTDHDVRSLAVNSGLEDEHAASLTKNFLTMYRIVFTALHADLLASFDRVRFDPELSQWERFGRFVKERKNKGPEATPFLLFKIKACAKNAKVSWWRKEYGHFPPERQGYTAALRVARLVVGRDGSFHLEEAGEDGQPGALSHGVGSYSWPDPRVGVLKDGWLSPRNEVAVVRRMPGGDGISIMSYVDELGVKLGEFMFVLHMAWTVALSFTERAFYVSSKFTEETLYAVPGVNFIDITVPVENLIEKLYQMYEQEEQDKKMLSTRGTRAGTRGGKEEENGGYAEEKGRETPAQRKKRGGSSD >cds.KYUSt_chr3.41091 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259259504:259270270:1 gene:KYUSg_chr3.41091 transcript:KYUSt_chr3.41091 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWFTPAYIKKTQASKLGDAQGIPFFIDNIISTYATITLWGEQADSLDVDELIAASAEGPVIVLFVGMTVGEYSGSLALQSTSVTRCYVNAPLPEIADVRERYRFVARAIDTNSTASDDTKFADLYFFGPKGEAAIGQKALSLLASVNKQPTRLPDALLAIVEIVDPIIQPTVGHEQATPGPSTSQVPQDLLALQPTEKAQAAEEMSTPPPSTDATPQHPPSGSSAKTKRKNNGGGKAPKSKKGLQFSEEE >cds.KYUSt_chr2.35398 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218539666:218540166:-1 gene:KYUSg_chr2.35398 transcript:KYUSt_chr2.35398 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAAGPWASPRVDWSLAKGCRRRREEAVKRRRATTRPRRGESTLAGRCSVADYHRVPRTGSCIRQFVGFLDIAPATCRGTAWEIGVVFRDGISPWCCRADGLAKPCLKSVFCPFQRIWTGQRQQDNLHSRKVAESYTLFSYSVSRTSQDPHRVLSPSSSELPPT >cds.KYUSt_chr7.24331 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151679293:151683192:1 gene:KYUSg_chr7.24331 transcript:KYUSt_chr7.24331 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAPTLTYQQVEELCASNYPCPPGYRVPAGWSLSAGGVPVPPLPQGTARRAAITNHYYLDLTPEQRMNPRWHPDNQHTWDAFFINRRERALAVYEEDDPPPGNFHEAGRRLWWYGRTPQSVMDYITAGDIPARAASIRATSAGRRQRATSSDDDGGNLEGDDYQYNGGDYEDYDLQALLAQAGSGGGEAESVVGYSSLPQDAAAAAATVDVGESTSTGSCNSVVGANCTAAAGEGLQADASVAEASDVCERTIVSGWKKRHWVGKAPPERALNSSRISALEQTLRDYPNRDYGEGKPRVMNSQSTRCLCPALVRLLCSIDASALLLSVTVFKKTMTLELGTSS >cds.KYUSt_chr7.28116 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175617195:175617962:1 gene:KYUSg_chr7.28116 transcript:KYUSt_chr7.28116 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIAVLSSPAFAAQKATSPPAPPADILPPSPAPAPAPGHVDLAELLSVAGPFSTFLEYLKKTDVLETFQSKANDTKEGITMFVPKNSAFAALRTTTFANLTGDQLKSLILYHALPKYYSLAEFNKLSSLNPVATFAGSQYTLNLTDNMGSIRVKSMWSNPKISSSVYSTHPVAVYEVDKVLLPMQIFKSDPPLAPAPAPAPMDDKASDDAASPASRKPASEKATGSKSTSYRAGVSVASYVAVAVSGGLMMLLW >cds.KYUSt_chr7.21959 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136067372:136069609:-1 gene:KYUSg_chr7.21959 transcript:KYUSt_chr7.21959 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPVVCSAAMPYTGGDIKKSGELGKMFDLHAEKSRKSGPLGNQPSRNTSFGGAASNSGPASSAVGRSNYSGSISSAVPGTGGSARAKTNSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGHLPPILPTTGLITSGPITSGQLNSSGAPRKVSGALDSNVSMKMRATSFAHNQAVTNLNEETGYSIRASVPKPILWTAILLFVMGFVAGGFILAAVHNSILLVVVVVIFGFVAALLIWNICWGTRGVTRFVSRYPDADLRNAKDGEYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTQHRRFTWGLRSIERHAVDFYISDFQSGLRALVKTGYGARVTPYVDESVVIDINPENKDMSPEFLRWLRERNLSSDDRIMRLKEGYINEGSTVSVIGVVQRNDNVLMVVPPPEPFNTGCQWGKCLLPTSLDGLVLRCEDTSNGDVIPV >cds.KYUSt_chr7.15754 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97792280:97796797:-1 gene:KYUSg_chr7.15754 transcript:KYUSt_chr7.15754 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLNHAFLFGFGAEDSLKRESGAKVHKGNIQAAKAVSDIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIILAGEMLHVAEAFIEKNYHPTVICRAYTRALEDALAVLDKIAMPVDVNDRVAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKIPGGQLEDSKVLKGVMFNKDVVAPGKMKRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQLLLELEEEYIKNLCAQILKFKPDLVVTEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTRAGLFEVKKIGDEYFSSIIDCQDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGAAELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLLQNCGLNVIRMMTQLQGKHANGENPWVGIDGRSGDIVDMKERKIWDSYSVKAQTFKTAVESACMLLRIDDIVSGIKKKQAPGGGGPKQPQIETEGDADTEQMIPE >cds.KYUSt_chr7.11085 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68121482:68121706:1 gene:KYUSg_chr7.11085 transcript:KYUSt_chr7.11085 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRQPPLALRRGSETDEGMQELDAVPPGASYMRAKLQTVRADEDVLLGSTDIGSTPARRRPRVEFLSRRRWI >cds.KYUSt_chr3.26680 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166295582:166299497:-1 gene:KYUSg_chr3.26680 transcript:KYUSt_chr3.26680 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHLICWFYESIGKDGLIRHFFHRPSKAYTTGTRKRHNVHTDEQGAQAGEGHQFHLGSDEEEKDGKLVVSKVFYQTQPWQCGGSAAVAAAAALAAGNIIMDGGGAATDHHPHHHEGGNNSSMLKDAAGIVDFYSPDVSAALIGLAAVRRRNFNRRFQRRRNRNGGVRHRRVVLGASRRRYGPGGGLRRRHNHRQHYHHVHRRVQRHHFPGTPGPRIRTVVPQGEGQDIAEEVVAVPDVVAAAPELAAVPAVGVKVAAPEYEDEASTSSIAADADELLTPPPAFMAPPMEWLLGGPSAGWLVDDPERDFSDDELAAQPPPPVYYFERHGNRPHLPSPTPSDEVAEHFAPPGYADVTEFFEPPAAAPVDALPPALTTNLQMEMEGNEAEAIARARALVPDLNLPAAEEIEEGNEDAPPAPSLALPTPSPEARVLLRRFASAMAARPAGIRRGTWSPDDLGLTGRFAELRLNEAAHHFSSSGEGSSRR >cds.KYUSt_chr6.31332 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198247225:198249693:-1 gene:KYUSg_chr6.31332 transcript:KYUSt_chr6.31332 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRERAGDHASPPHDTSLAAIAFGSVPSSLLLPSSSPCSGGRVPQPPRDISSNDHARDASPPTLLPPSTSSELPLLSLAGAAGSAVVLSTAVAPQPNPGAAPRPPTPGDKTLSARHDDDGIACIEPLPVSSAAGMSYPSPGAAFLDSVLSLLHSMVATAVGGRSCERCRFWVTPGSLSPRFNAFSIANALNFNLTVHPDRFSISSHGGGVFSALAASPAVCSLILAHRSVRLGKSVFLLHCSAGDASDAAARLPPWPPAPAAPPLSARSTSSCLDEGRGSHAVGPVAPQAACTLREPLRGLVVGVGPTLSPTMPTLPRHEGEKSAFPSPTSLGQPSILGSPIPSSSISPDPCCHDPYPANPAAAAPLTDQDSSVAHPFPPLAIPSPRHVTPTPTSFSPTLARAPPRTGESAVAAAGYGRSGLPVCESTPPREVLSLGRAAASSVCSPLSYKDALLLSASPSPPRRSERLVFSPIKNHRLCFRCLSPEHGAGECRDPTKCAACGRSGHKKKACELPPLVFSRPAPDLCCLVSSPPSALPSSPPSALPSSPPLSPRLLAALAHPRSCSTSPPAARAVRALPASPMETRIDTFFRELEGRSSRFRQRPPVPFPRGAAVGVSPSSSELGTLRGATPSVPAVAPTHRGPPTFAPLQAPACTSASPAAATLSWSNHCADPLPRRDDVAKIFMPPPANDNSSHRVAYALVSPPSDSPGFLLRRAFEERGGNPFVGLAASDFGAMLVLFPSVEVREATLQLFPLYLMAMTSPWRSQRRGTTGSAPPSPLSLSSRPPGSLWSIGMKVGFGQHFAPLVAYAASTPFVSMV >cds.KYUSt_chr3.47918 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300148215:300148604:-1 gene:KYUSg_chr3.47918 transcript:KYUSt_chr3.47918 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRGEAEEVEPAVAAGRGVEAAGVRGRRTTRRGRCMSEAERLSDGVPVLRRAKVEEVDPSAVTKLRVAGTPRDAGAMCRRYWEALNMMIRCVWSSAALFLGFRLRVANVLMAMCAVRMVARPMDLRPG >cds.KYUSt_chr2.40422 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251128743:251131635:1 gene:KYUSg_chr2.40422 transcript:KYUSt_chr2.40422 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPASSESMAATNVAHLPLYLLLLSLLITTPSSAAEAEQPVHDLLLSFKASLHDPAGALASWSSSTPYCNWPHVTCTAAAAAANTSSATVSLSLQGLGLSGDINASALCRVPGLAGLSLAGNAFNQTVPLQLSRCASLVSLNLSAGAFWGPLPEQLAALAGLTSFDLAGNDIEGTVPPGLAALRALQVLDLRGNRLSGVLHPALFRNLTSLHYLDLSGNQFLESPLPPELGRMASLRWLFLQGSGFSGAIPDTFLGLEQLEALDLSMNSLTGPVPPGFGLRFQKLLSLDLSRNGFSGPFPNGVENCLMLQRFQVQGNAFTGELPAGLWSLPDLQVIRAENNRFSGRLPELPGGASRLEQVQVDNNSFSGAIPQTIGLIRTMYRFSASLNQLSGSLPENLCDSPAMSIIDVSHNALSGPVPGFRTCKRLVSLRLSGNGFTGTIPASLGDLPVLTYIDLSSNGLTGAIPAELQNLKLALLNVSYNRLSGPVPPQLVSGLPAVFLEGNPGLCGPGLPNDCDAPLRKHRGLALAATVASFVAGAALLAIGAFAICRKFRGKNSSPWNLVLFHPIKITGEELFTAFHEKNVIGRGAFGKVYLIELQEGQNVAVKRLLCSGKLTFREVKNEMKVLAKIRHKNIAKIIGFCYSEGEVSVIYEYFQKGSLQDMIYAPKFTLGWNDRLKVALGVAQGLAYLHHDYTSCVLHRDLKSSNVLLADDLEPRVAGFGIHRVVGEKAYRSSLDSDLNHKCYIAPEQNFTKNPTNFMDVYSFGVILLELITGRPAEQPAAKDCSDIVSWVRRRINLIDGASQILDPSISCTAQQGMQAALALAVRCTSVKPEQRPAMYEVVQLLRVL >cds.KYUSt_chr6.28476 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180437433:180440417:-1 gene:KYUSg_chr6.28476 transcript:KYUSt_chr6.28476 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHDATKPYQPRRGPERPPPEQPVGEEEAAAAAAMAELQPEPGPMEQYEPHLEHEEEGEDEEEEEEEEGEEEEEGEEGIEYGYDYGTGEAVSMDAEGAAAAAAAAEQHAAMAPTAGVQQPLPQTLTLSFQGEAFVFENVSAEKVQAVLLLLGGKELPPGSIPGPSSSSPYRKAINFSHRMESLMRFREKRKERNFDKKIRYSVRKEVAHRMKRHKGQFTSSKAKAEEGTSGVNNSDGSTNWGAVEDRPQSASVCQHCGISSTLTPMMRKGPNGTKTLCNACGLMWANKQNGTAGAPIAEQETLALEAPPPAPAPAPDANGHDS >cds.KYUSt_chr4.29866 pep primary_assembly:MPB_Lper_Kyuss_1697:4:187404275:187409290:-1 gene:KYUSg_chr4.29866 transcript:KYUSt_chr4.29866 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVASWGLTSLADADPDVFDLIEREKRRQRSGIELIASENFTSFAVIQALGSALTNKYSEGMPGNRYYGGNDVIDEIENLCRDRALAAFRLDSAAWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSAANGYIDYDKLEEKAMDFRPKLIICGGSAYPRDWDYARLRAVADKVGAMLLCDMAHISGLVAAQEAANPFEFCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALKQTMTPGFKAYAKQVKSNAVALGNYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCNITLNKNAVFGDSSALSPGGVRIGAPAMTSRGLVEKDFEQIADFLHQAVTICLNIQKQHGKLLKDFSKGLVNNKVIENLKVEVEKFSTSFDMPGFTLESMKYKE >cds.KYUSt_chr2.37919 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234678555:234680593:-1 gene:KYUSg_chr2.37919 transcript:KYUSt_chr2.37919 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTTPSHASIADSDDFDLSRLLNHRPRINVERQRSFDDRSLGDLYLSAMDSRGGYMDSYESMYSPGGGLRSLTGTPASSTRLSFEPQLLVAEAWEALRRSLVCFRGEPLGTIAAVDSSSDEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDPKRGVDTLAADFGESAIGRVAPADSGFWWIILLRAYTKSTGDLTLAETPECQKGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMSLRCALLLLKPAAEGNGKQDDDIMERIVTRLHALSYHMRTYFWLDFQQLNVIYRFKTEEYSHTAVNKFNVIPESIPDWLFDFMPSRGGYFVGNVSPARMDFRWFALGNCVAILASLATPEQAGAIMDLIEERWEDLIGEMPLKICYPTIEGHEWQNVTGCDPKNTRWSYHNGGSWPVLIWLLTAACIKTGRLKIARRAIDLAEARLGKDGWPEYYDGKLGRYVGKQARKHQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMNPVLKRSASWTV >cds.KYUSt_chr4.15879 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98213973:98222363:1 gene:KYUSg_chr4.15879 transcript:KYUSt_chr4.15879 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLWAPHHDVVEDGDFEDVTLEHLEGHEVADLDLMSNRNLGHPLSRQIVPLTSFSLTIQEQSVFVCKMIVLGMVQRGFDSFIGKIHFSNHLIPESHKPPRAEWSRARILFVHLAQESWTKPELLEPSSSSFPSSRSMESSTVTFSSSSSPPPSPSPSPSRPQAAPADLDAVSLGRLSGNLERLLDPSFFNCTDADVVLAAGGDDAVVGVHRCILAARSNFFLAHFSSLTADPAAGEKPRLVLADLVPGGCHIGRDAIVPVLGYLYTGLLKPPPQGAAVCVDDQCSHQACRPAIDFVVESTYAASGFKIPELVSLFQRRLSDFVNRALVVVEDIVPIVLVASTCQLTDLLSQCIQRVAHSSVDSRYLEKELPDETFAKVMELRQYLSPRESDEFILGPEHAKKVRKIHKALDCDDVDLANLLLEESGITLDGALAIHHAAAYCEPKVLAQILKLKSASDSANVNLKNGNGYTPLHMACMRREPQIILSLTEKGASVQERTRDGRDALTICKRLTREKDCNSKLEKGKERSKAYLCIDILEQEIKRTSFVLERQLSDEVSVAMPLLADNFHTRLLTLENRVAFARIFFPSEAKLVMRIAQADSTQEFAGLSSANFCKLKDVNLNETPIMQSNRLRERLDALMKTVELGRKYFPHCSDVLDKFLIEESTDLFFLESGSAEDQHTRRMRFSELKDEVNKAFNKDKAAIGSSSTSSSSSPRADGKLSLGVNTEKCFAPLPPDQTGGIKAWVRTTKYHRSSKLQAIEALLHSPAAPSGTQHSGQIMKARPPAGLHLHPRETLGTAPLFRSAPHVGDQSRLAMVDAGDSKQIT >cds.KYUSt_chr5.42440 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267517763:267518398:1 gene:KYUSg_chr5.42440 transcript:KYUSt_chr5.42440 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQMLAVAVVAGCAVAFSGATDHIVGDRTFWSGNHTFFVSDLVSLRHQKGAHNVFEVNEAGYNSCTMEGVAGNWTSGKDFIPLKEPRRYFFICGNGLCRAGMKVVITVYPTPGNATRSRNVTEFHHGPEIIPDSGAPAASISARLMVTALAVAVAACRLVKS >cds.KYUSt_chr6.22853 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144373534:144377328:-1 gene:KYUSg_chr6.22853 transcript:KYUSt_chr6.22853 gene_biotype:protein_coding transcript_biotype:protein_coding METGGEAARAEASTEDGEGAGVRAPARSSRCGKPRDSFMALETTPKFGSCSSPMAAEEEALDQMIAPPDEEDYRRGRRGRMGLFGLLPCDIATNCKRRRVLLVRCRIEDPNSENFTHAVFMRFQQKEDIAKFQSSAYYSKVLDGYVKPVSYALVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLISFSETASKEAVEDALAHLRNLIIQYSAFIVQATLGCCLNHIDNRYSHAAVIRFPSLDDFKLFKGSIEYKDMWASKFHQFTTRSLDLYFIVDPVGNQLM >cds.KYUSt_chr1.37401 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228423431:228426504:1 gene:KYUSg_chr1.37401 transcript:KYUSt_chr1.37401 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLEGNIPAQLSACSQLQILGLGNNSLQGEIPPSLGQCKHLQDIDFSNNKLQGSIPSAFGDLPELRILILSSNRLAGDIPPSLGSSSRNLTYVDLGMNGLMGVIPASLANSRSLKVLRLMSNSITGEIPKAIFNTSSLTAICLQQNSVGQIPSFTATSALIKYLYLSSNYLSGTIPSSLGNLSSLLYLRLTQNNLVGSIPESLGHIPTLQVLTLSVNDLSGAVPPSLFNMSSLTFLGMANNSLVGRLPCDIGYTLPNIEGLILSTNMFDGPIPASLTSASHLSQLYLYNNSFTVYRPFFGSLPNLEEIDLSYNRLEAGNWDFVSSLSNCSRLTMLLLVGINLQGELPSSVSNLSASLQWLWLSENKISGHIPPEISSLKSLTMLYMDYNHLTGDIPTTIGNLNSLVDLTLAHNRLTGEIPDNIGNLVKLIALKLDGNNLTGRIPASIGRCTQLQKLNLSYNSLDGSIPREIFKTYLLYEFDLSHNYLSGEVPKEVGNLINLKKISISNNSLSGNIPSTLGQCLVLEFLEMQDNFFVGSIPQSFVNLAGIEKMDISQNNLSGNIPVLLTKLSSLQDLKLSFNNFSGAVPRGGVFDNASTLSLEGNDHLCASVPTRDRSFNAECEALRNVRHRNLVKIITTCSSVDSIGADFKAIVFQYMPNGNLEKWLHPKGHESEKYILTLSQRINIGLDVAFSLDYLHNQCASPLIHCDLKPSNILLDHDMTAYVSDFGIARSLFTTLNAHEDGSTSLASLKGSIGYIPPEYGMSEEISTKGDVYSFGVVLLQMVTGCSPTAEKFKDGTTLHETVDRAFPKNICGIIDPEMLQHDSNAAYVMESCVIPLVKIALSCSMTSPRARPEMGQVCNEILRIKHEASNMQVG >cds.KYUSt_chr2.41683 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259401846:259404026:1 gene:KYUSg_chr2.41683 transcript:KYUSt_chr2.41683 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHSKSLGGGKAAGGFGFSVAGDRKRKRLAEYPCVSRLRQRRLLLFLISHDFVQTHKAFVGETAVLMNVMHLQHLVSHGQWSDAVEYLSRFLPSDRPLGVHGRALLHFLRVHKAIDDIVAGARESLSVVAAVTMCSDRFVTSNPALTKLRAIFSSLIESKTPSFRPRRHAKKQGGQVPASVVAGIYLQKKKMRSWPADYFLYRPKAGWKISH >cds.KYUSt_chr4.3560 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20276148:20281051:-1 gene:KYUSg_chr4.3560 transcript:KYUSt_chr4.3560 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAFTKSLLLYNKILVRRLRSLIARTPLPSVTATERQPPPHKSASSMTPSTAPPLEALTAPDTTTDGDSGASAGTVVCAVEGGLLMSTSTFPYFMLVALEAGGLLRGLLLLMLYPLLRVLSHELATKAMVMLTFAGLRKDAFGRLGMAVMPKLFLEDVSAEVFQAATSSAAATRRGRRRRCVCVSGMPRAMVEPFLKEYLAVDAVVAPELKELGGYYLGIAEDEGEVVRKMDVEEVIGDKGGAVVGIGGLGCSFQQLFQTYCKEVYVPTESARRRRGALHPRRYPKPLVFHDGRTAFRPTPTATLTMFMWLPLGAPLALLRAAVFLLLPFSLSVPLLAALGMHNRMIASSSSPDPSPDLAAAGGTKKKNNSLFACNHRSLLDPLCVSAAARRRDLAAATYSISRLSELLSPIPTFRLTRDRAADRAAMQAQLFRRSSGGGLVVCPEGTTCREPFLLRFSPLFAELAAGGVDVVPVALHLAVDMFHGTTAGGRKMLDPLYLLMNPVPSYLVQFLDPVVTGEGAGKEGARAVANEVQRRVAEALGYERTGLTRRDKYLILAGNEGVVGADDGGKKN >cds.KYUSt_chr2.32075 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197870052:197872849:-1 gene:KYUSg_chr2.32075 transcript:KYUSt_chr2.32075 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAIAPVAAALLLAALASLHGAAATGVFQVHRKFTRAANITVHLAHDVSRHGRLLAAADVPLGGLGLPTGSGLYYTKIEIGTPPKQYHVQVDTGSDILWVNCITCDKCPKKSGLGIDLTLYDPKGSSSGSTVSCEQEFCVATYGDKLPGCKASIPCEYSVTYGDGSSTTGYFVTDSLQYNQVSGDGQTRYGKANVTFGCGAQQGGDLGSTNQALDGIVGFGESNTSMLSQLAAAGEVKKIFSHCLDTISGGGIFSIGDVVQPKVKTTPLIPNMPHYNVKMKSIDVGGTALQLPPHIFETSEKKGTIIDSGTTLTYLPELVYKDIMAKIFEKHQDMTFRTIQDFMCFQYHGRARKNVNRKTRVQCTELVFTGRKMAGAEQSP >cds.KYUSt_chr7.9895 pep primary_assembly:MPB_Lper_Kyuss_1697:7:60531647:60532297:-1 gene:KYUSg_chr7.9895 transcript:KYUSt_chr7.9895 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKGASSRAAEDDFGVLADRHSPPPANGVAPTPPPHHPAPNPSSTTTTPRRRSKSGSTTPVHHTPGGATAAWPSPYPAGGASPLPAGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGGRPKEGTIPEEGPGAATGAAVADGTAEAERPLDKTFGFGKNFGAKYELGKEVGRGHFGHTCSAVVKKGEFKGQTVAVKIISKAKVLC >cds.KYUSt_chr4.40965 pep primary_assembly:MPB_Lper_Kyuss_1697:4:253171972:253175617:1 gene:KYUSg_chr4.40965 transcript:KYUSt_chr4.40965 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHRHHGTSGQRHRAIDAVASSCTGHSNGYDPSSIIVDGTVVRVGGNAEINPSFSAPNSTGNNSKSSSSNATDSSSSTFGSKGSFSVPPTPRTEKEILQSTNLRKFTYSELKGSTRNFRLDSLLGEGGFGSVFKGWMDERTFTPVKPGTGMIVAVKKLKLDSFQGHKEWLAEVNYLGQLSHPNLVKLIGYCLEDEQRLLVYEFMPRGSLEHHLFRRSQHFQPLSWNLRMKVALEAARGLAFLHSDEAKVIYRDFKTSNVLLDSEYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTAKSDVYTYGVVLLELLTGQRALDKNRPPGQHNLVEWARPYINSKRRVIHVLDQRLGSQYSLPAAQKAAALAMQCLSMDARCRPDMDQVVTVLGKLQEVKRTRK >cds.KYUSt_chr5.3870 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25101853:25105822:-1 gene:KYUSg_chr5.3870 transcript:KYUSt_chr5.3870 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASDIALKAAFDGNLRLLKKMAKKVDLRGAKDAKGDTVLHFAARKGCLQIYRFLVEESGLDVNTLSKTGATPMDYAAFAGNVQVMRYLIDHGADPAIADQRGTTPLHSAAEEGHCEAVRLLLSKGVPVDPVDHQGAPLHLAIAKDRPEVVKLLLEHGADAAVSVEEKIAYWKSRGKEAFAKEDYFTAMSFYGKVLDVNPSDATMYANQSLCWLRMRHGELALEDARKCRTMRPCWSKAWYREGAALSFMKDYEGAADAFQVALQLDPKSQEIREALRNAEKAAEEPQSL >cds.KYUSt_chr3.42526 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268894467:268898548:1 gene:KYUSg_chr3.42526 transcript:KYUSt_chr3.42526 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVPAPSLPHLGHIILQLEPTSARAEDPTRAVARATPPAGDLGVGSPDPPHHKPLPPRHQQPQQATLVERRPRSGAAAPAHARSMSAPAMAVFSLFLLLLAAPVLGFTRSDFPSEFVFGAATSAYQVTVNSLRFSNSFSRCAHFALVFMKYEGAVAEDGRSPSIWDTFTHAGNIADKSTGDVASDGYHKCKDDVKLMADTNLEAYRFSISWSRLIPNGRGSVNPKGLEYYNNVIDELVKHGIQIHVMIYHLDFPQILDDEYGGWLSPRIVEDFTAFADVCFREFGDRVSYWTTIDEPNVGPIGSYDSGIFAPGRCSEPFGVIKCTVGNSTVEPYIAAHNMILAHASVTRLYREKYQAVQKGIVGINVYSFWTYPLTNSTEDLAATKRYQDFMFGWTLEPLVFGDYPQVMKANVGSRLPSFTKSQSEFVKGAIDFIGINHYYSVYVNDRPLKQGVRDYAADMSVYQRGSRTDPATSEYLPSVYPDDPEGLQFVLQYLTETYGGLPIYVQENGKASSNGTLDDTDRVKYLKSYIRGTLTALRNGANVKGYFAWNFLDIFEFLGGYQSGYGLHRVDFNDDARPREARLSARWYSSFLNNNVIHARNELNNTGIHAQQ >cds.KYUSt_chr4.19148 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120324486:120324761:1 gene:KYUSg_chr4.19148 transcript:KYUSt_chr4.19148 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVPTMAVPTDAQLIQAQADLWRQSLCFLTPMALRCAIQLGIPTAIHRLGGTTSLPDLVTALSLPPSKTPYLDRLLRMLVTSGDRDRVP >cds.KYUSt_chr2.53291 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332531184:332535459:1 gene:KYUSg_chr2.53291 transcript:KYUSt_chr2.53291 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVQRAEMLLAPTMAFKKVQMADKYPKGQSRGRQWKHLRFLLQAADATSLPPDRPNYLNIQSPPSIYPPKRYCDVTGFEAPYVDPRTKLRYADPEVFKQIRNLPDEYVQRYLAVRNAAVVLR >cds.KYUSt_chr4.879 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4614772:4616931:-1 gene:KYUSg_chr4.879 transcript:KYUSt_chr4.879 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAPTAASAFLFHAAPRNHLKPKPLSRPTTTTLCSAASPSSTPSLADQLQPLARTLLTGKPTPSADNPRPEPTWVNPAKPRPTVLSLRRHRRRPATSHPSSAPLQPLLRSLRALPDDADLAPTLHAFFPLPHSPPTPSDALLLLNYLHPTWRKTLSLLAYLRALPTSSFPAAQHDTILYNVALKSLRAARRWPEAEQLALDMLASNIPLDNITYSTLITAARRCRQFPKAIEWFERMYSPSPDGSAPAVLPDEVTYSAVLDVYAQLGMKQEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYDGIQLVFREMRDAGVRPNIFVYNALLEALGKTGKPGLARSLFEEMAAEGVEPNARTLTAVAKIYGRARWGRDALQLWDRMRAARTPADSILCNTLLGMCADVGLVAEAEQLFAEMKDPGLDHVPRPDKWSYTAMINIYGSVRDADRALQLFVEMLDTGIEANVMSYTIVIQCLGKANRIRDAVELLEAGLERGLKPDDRLCGCLLSLVALSTKGGDETDLVLACLDKFNPNLVSLIRMLGDAQTGADEIDTTLKAVLNAADSVVRRPYCNCLIDICQNHGFPPQRSAQLFRLAQRYGLYSKLHIRKDDADEWLLDLRSLSIGAAKTAFDDWMSTLSERAAESKPLPQSFNVFTGSSTHKFAQGLASAFAAHLEEIAVPFRPNESQVGSFVSSRDELVPWLQTRGSSPAVVAG >cds.KYUSt_chr3.37702 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237218305:237228606:1 gene:KYUSg_chr3.37702 transcript:KYUSt_chr3.37702 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKLPSRSGRGGGGAGAGAGASQRGEVQNLARQGSLYSLTLDEVQNHLGEPLQSMNLDELLRSVFPDDMEPDAATTSQYEPSSSLLRQGSITMPNGLSKKTVDEVWRGIQDEPKRSVPEGGRRKRERQPTLGEMTLEDFLVKAGVVTEGCLKNSNDAGNVGLVGTGATAAGATGLTSGAQWLDRYQQQLAATETHQHVQQIMPGAYMPMQLVPQPLNVVLPGAIPESAYSDGSPMAYTNELENKVSRLEEENERLKRQKRRPDWGDLESLICSPRHAGIADDGILENVWAHGEKLGAGFRCKYCSTARKGGGATRLRQHLAGRRGHVAACTQVPPQVRKAMRISLRNLKQRKNATKDYRTRMEEAVLHTMACSDDDNEREAHQIPVKMEEQVSLEEFPCSQRMKRHGTVEHGDDSGSESSAGASASDAGSCVGGRGVLPQKKFGKSGLRRLGRDAGHVPRQAPRQTPIDRIDNKETRSKLGKAWAKMFHANGIPGRKADCPYFRSAMKLTQQLGPGMFIPTGEEIDGIYLDAIEKELKEDLCQFKKEWEVYGVTIVCDSWTGPTMTSTVNFYLSCNSRMFFHKSVDATGKIENAGFLYGEIKQIIQDVGVQNVVQVVTDSGDSYKKACLKLIAEYNQIVWQPCAADTVNLMLEDIAKFPEVAQVMDSAEQIYKFFYSLDTLLAEMKAKVGGELVPPNATRAWAASSTRYMIVREDWISCLPDDILANILDRLSVREAARTGVLARRWRRLPPMLSRLTIDVRDFVAKPATTCHEEEIARSNATMVEVTKSILARRVSNPNSISSMCMTFFLRDDDCISIGHSVGHIMAIHDIDIEFTVLTEKDPTRCNLDSMIDYGRIFMLFFDACPIAFGGITRLCLENLSFGESDIPNILNTCKRLKHLSLSHCCSEREAIVQVEQSQLSELLIVNCRFEKVKLNSLPKLTWMMFWCWKSQDPLLLGHVPLLETVSITNHSLSWHKLVELSKFFGGASPRYLWLGFECEKIWVQPESVTKRLASVFRRLTFVHLDKIREGCDLTWTLFILEAASNLNELYLTVWDHLCIMRTDEEERRALSYSEKKGVEWKSPASDFQHHSLATIVIFGFQSDCMVRYVRRVMEVAVNLKDVFLYHRLNCKLCRHSYDLNRPLKYPSNEEEKSQVLKKLTRRINSSVTIHFPGLNMISADQAAKRAIVPLL >cds.KYUSt_chr2.33098 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204310544:204322848:-1 gene:KYUSg_chr2.33098 transcript:KYUSt_chr2.33098 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVAAAEAAHPATVGQAVIPLVNRLQDIMARLDGDSAAGVELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPEICTRRPLVLQLVRHSAPEEWGEFLHAPGRRFHDFEHIKREIQSETDKEAGGNKGVSEKQIRLKIFSPNVIDITLVDLPGITRVPVGDQPSDIESRIRTMIMQYIKHPSCIILAVSPANADLANSDALQLARLGDPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGIVNRSQEDINFNRSIKDALAFEEKFFSTLPAYHGLSQCCGVPQLAKKLNMILLKHITDMLPGLKSRINAQLVAVAKEHAAYGDAAESTAGQGVKLLNILGKYCEAFSSMVEGKNKVSTDQLSGGARIHYIFQSIFVKSLEEVDPCKNISDEDIRTSIQNSGGPKGAMFLPELPFEILVRRQIGRLLDPSLQCAKFIYDELVKISHGCLTSELQKYPILKRRMGESVSNFLRDGLRPAETMITHIIEMEMDYINTSHSSFVGGSKVVELAKHDGLPLRGPTSLSAHKDGIAISSEMQLKSSIENNIQLKSERGQKSRAVFARDATRGATAEQGFQPDTDAGTSAAGGGQKGNSLVGGSLSNMPGPRVLNSLYSMIRLREPPITLKPSENKTDQDRTEIAIVKLLVKSYYDIVRKSIEDAVPKAIMHFLVNHTKRELHNVLIRKLYRENLLDEMLRETDEVLIRRQRIQETLQVLEQAHRTLEEFPLEAEKVEKGYSLSEYTTGLPKLAGLGNRSLS >cds.KYUSt_chr7.17273 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107026468:107028168:-1 gene:KYUSg_chr7.17273 transcript:KYUSt_chr7.17273 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVDGAELILSVPDDVLALISAHLRPGDLLALSAASRRLHAALSAADKPWLAQCRRLLPSSLSHLLAWRAAAGGSSLTVCRFLHSVSPLRGLWAHQNPELGNLVAALPGFLSLVAVRAIPQELSPRLLWAPVFEILADAHGRPTLLFLHGHHPGSLFPGLLDALNPHANTLLLEAHASLSSSPIQQFPRLSFGNRRRLLDALVASCRLTLPPDLAAAPLFARSDEDIPALAARREAMLRMHTESGGGMVGTPELEALLLGAKKMLPLPAVDATGDEVGLRRSLSAMACRLKNGLRHMVTRSASANSRSDYADSKHLPFDKFLRAGQMVGLSLRGSRVRLSTYRAWPSMNDNRLALYKLTTQTPMPGREYAGLWGGTFGWPPGRPEDECKPGKALFFLLLSYEKDSEGKLLLIATKVLEGTHYVVHPNGSSMFVVRVGEPSTEAFPWMTEDEDSRSVDIERSFAGEGIANGYGFRYPGSKPGSLFVLQDGWLAFVWRDSKSVLTLQRLNLEELLRKGERVPALPPIPNFVYLTKSYSNVYTVMHGSSSCSSSTSQVYMALQFYSA >cds.KYUSt_chr4.53924 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333664868:333668507:-1 gene:KYUSg_chr4.53924 transcript:KYUSt_chr4.53924 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLASTTTDNVVSSILKKPRQHNNQSSDQPLKPPAIPTAKASKTRENPPISILKHNQSSEVAKKKPLNGDSTDPLMVSAMPQCKRVPAMPRGTGSEDDNLGSGNHEKLTLPPDASLTKGIPITTASNTKTAPVRVTTRAATKRPLSEQPPPRTTRASSRRDTDSQCPLRRTTRSMSTTSNNIHDESDFDVDESSSQCRVTRSRNRQNSPSVDHGQGSENDESDEADEDPVVPSENVTVVRGPNMGKGLTKLTRSRGSKLPLIITRGRTRPTSALIGAKFVTECNIAVRNHIPVYTHWKKYRQDPGLLCDFYGKMAGDSYMGKEPSPVDLFRETHRSKDDCYSSEAEIEEEMSQPTPDGEPKNETQVLSDVLGKRVKKPMFLQNVGLEHRPTRSNMSTLADLLETERRGKADLQDLVDSQSANLLELNRKVHESRERQAALEAKLETFTKSVRE >cds.KYUSt_chr1.7548 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46249240:46249596:1 gene:KYUSg_chr1.7548 transcript:KYUSt_chr1.7548 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHANSFNATATMASSTTSTRKPTLFAALAPRSSSRNNKIGSVNKARTKQRCVEVIGRKMDELRQLVPSDSGDDDETDDGGIDELLFRAADYIVRLQAQVKAMQLMVDMLEEHTKYS >cds.KYUSt_contig_7424.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001519.1:109756:114478:-1 gene:KYUSg_contig_7424.21 transcript:KYUSt_contig_7424.21 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSDDEWSMDPNVKKQYKSRLMNATTPEPDSLRRSQRKGTTPAAASAAAAAASAAAAAAAKALAERKAKEKGKGKLDEQEKGKEAREPQPLTGRMARLGPGQGLGCEAAEGSLTPSKSREYKLCSKHAEGKRPLYAIGFNFIDARYYDVFATVGGNRVTTYRALPDGNLAILQSYIDEDNLQSFYTLSWASDLDGSPLLVAAGSNGIIRVINCATEKPFKSFVGHGDSVNEIRTQPLKPSLFISASKDESVRLWNVHTGICILIFAGGGGHRNEVLSVDFHPSDIYKIASCGMDNTVKIWSMKEFWPYVEKSFTWTDLPSKFPTKYVQFPLMTAVVHSNYVDCTRWLGDFVLSKSVDNEIILWEPKTKDQCPGEGSIDILQKYPVPDCDIWFIKFSCDFHFNQLAIARSLPAARTASYTAGMKWITQKRRTEAAENRSVVPSVATPASLKPDLGIRGALTLWHTY >cds.KYUSt_chr6.10365 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63927776:63928448:1 gene:KYUSg_chr6.10365 transcript:KYUSt_chr6.10365 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVSEMEAGRTIPKFGQWDVNNPASADGFTVIFSKARDEKKAPVTNVHKINRSTDSKDGRTEKMNSYNNNNSRANTSVHLPSKV >cds.KYUSt_chr4.54148 pep primary_assembly:MPB_Lper_Kyuss_1697:4:334891716:334892130:1 gene:KYUSg_chr4.54148 transcript:KYUSt_chr4.54148 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLTGVEEFRPVLAPAAAVARRDRLPAVAAPSMAGHGEGRAPNQHLQRQRRRAGLLHGVRVDLAAHEMDEIKSCCWFVLTGTAKERLVQEDTKAGARSCCVLTVPAGGGARAA >cds.KYUSt_chr1.39742 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243205278:243208176:1 gene:KYUSg_chr1.39742 transcript:KYUSt_chr1.39742 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPASISGPFGLSADLARCSFDQTLRREDYQDNRLLRSLVSMREQESFSKEIVTEAIENCMKKQAENLVNSLDVISGRLSQLELYCYKLERSIGELRSDVMDYHGEANANFSGLEKHIKEVQKSVQVLQDKQELAETQNELAKLQLVYDDTAQKSEGTAPSVYMAKENDGSYPGAKHELALVPLHQVNAMQSPAMQFQSCNGLILQQLVPVSLSTQQNQQHLDQSTVYCTQHPSHPEHRQAQTFQPTQTQQSVQAQTQNLQPQNVVEAPPMSSQAPGFYLQAQHQWPHQTVQDVHLQARQPELQVAQQQQYHNIQQVPAQRVQVQTSSPQAPSAPQVTLFYPPYGSQQPACGNTETLSRGMVVQPSYSTISSSQRKHHEVAPIYVQSNAISVPMAEHNLQHQLSQQFHSPANGSFAPQPSKVDLRGVAPYSVQGSAQAYNTVYGSPPNNAATFVAVLPQQAQVSAPMMLHHLGPQGVQNHPVDMADKAARMGYLNDQVRMVTAGQPVEFNPFHDGLSSVGNGAWSG >cds.KYUSt_chr3.41880 pep primary_assembly:MPB_Lper_Kyuss_1697:3:264353855:264357140:-1 gene:KYUSg_chr3.41880 transcript:KYUSt_chr3.41880 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEPKMPSWDLGTVVGPSGGGGGGGALDLKLGAPTSWRPVPAVAAPVQQPVSSAPMKRARSGQGAQQAVPPCSVQGCTADLSRVREYHRRHKVCEAHSKTPVVSVNGQQQRFCQQCSRFHLLGEFDEAKRSCRKRLDGHNRRRRKPQPDPLNPAGMFSNHHGAARFSSYPQIFSTTSMAEPKWPGSIAIKTEADAFHEQYYSAFHLNGGASLFHGKATERKHFPFLTDHGDTAAFGCQQPFTITHSSESSSNSSRHSNGKTMFAHDGGPDHSCALSLLSDNPTPAQIMIPAEAQHLSGGGGIAMHYGGGGRVARLPNDSDVSLTGLSYVSLGNKGAPILPTSTRSQHTADATAAVTTSSAATQLQQYHGYYHQVSADQGNSAAGSMHALPFSSW >cds.KYUSt_chr5.6856 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42470947:42471826:1 gene:KYUSg_chr5.6856 transcript:KYUSt_chr5.6856 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAATDEAHSKSAAKSDAIQSRKGKKAKGKLAVKSNGAEKPKTHDLSDVTRGLAVMSIVEEMSEDELLALYCYRNIFWMNNFPGVYDEPSVHAIRKKATENWKFFSDSEKAPYLAKARVNKILLAEAHAFKKVETNS >cds.KYUSt_chr2.52007 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324574299:324577857:1 gene:KYUSg_chr2.52007 transcript:KYUSt_chr2.52007 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSHKKGRGGDRLSALPDNALQRVLSHLASGEAVRSSALSRRWRRVHEGVPVIDLVDPKTGDKCGISNQKVCFDHTVTSAIISKGAETPIRTFRLNAFHPPYGLFDQWIAIVATSGVEDLDVKLRYNESSRHTLCPYGLSRAASADFLKSDRNRYKTTHHHIFRCPTLRRLQLTNWTLDLPATVDMALLDTLCLARIMDPNGEMQQLISGCSSLAHLTLEECPSIQDIAVTSPRLRTFTMICCHNASSIELHSTYLQSLHYKGGLPPRHSSFFVVNNHAGIKALRIEICEKLSSKAPEDLAPVTRLISQCKKLAYLHLSLRPSMAYYSSSFTSVLRGLDSLTHLSLQGCLPTDHAVRSVAALLVNTKYLEELSLFPLGPEPPKEKKQTWEMNDEDTESDSDTEPQDSTVADGVEYTSRMPESLWRTYVGCLEYNLKRINIANYQGRPLEKMLARFLLSRAAALDELSVTLAAGLYPRSVAAVLQDHKGRVVAGKTWIGDNFLNATTAEASALLRGLQLVEDLGCAPVIIESDCLELVQAFNEEREVWSPYSAILSDYYLIAQRIGNIMVQHCPREANGVAHTRLILALPFSRTMILLVLFYQL >cds.KYUSt_chr2.39995 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248320641:248322206:-1 gene:KYUSg_chr2.39995 transcript:KYUSt_chr2.39995 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLTASLLLLLICLPPLVYFFYLQLQVDDSKTQRHDHGLKVYPILGTTPHFIKNRHRLLEWSTGFLQTSPTHTMSFKVLGHSGSAFTANPANVEHILKTNFENYPKGELADMLDDFLGRGIFNSDGEEWLQQRKTASYELNKRSLRNFVVHAVRFEVVERLLPLLERAAGPGRRTLDMLDVLEGFAFDNICRVAFGEDPACLTEEGLRGRNQSMEVMQAFNVAKNTIMTRFLLPSWVWRLLRLLDLEPERKMRKAIAVIHGYANKIIQQRRDSGGTAGSATKDDLLSRFAASGEHTDEGLIYVVTNFIVAGRDATAGSVSWLFWLVSSRPQVEEKIVSEIRAVRARSGGDATTTTATTTFRFDELREMHYLQAALTESMRLYPPVALNTRICRRDDLLPDGTFVGKGWQMTYCPYAMARLEDVWGKDCEVFKPERWLDEAGAFQPVAPCKYPVFHAGPRMCLGKEMAYIQMKSIVACIYERFSLRYIGGEGHPGLQLAGTLRMAGGLPMQIIPRVGPAA >cds.KYUSt_chr5.31062 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196641534:196644017:1 gene:KYUSg_chr5.31062 transcript:KYUSt_chr5.31062 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVVEETLVAPSEPTPRRTLWLSNLDLAVPKTHTPLVYYYPAPPTPSPDDGEGETEPFFAPARLREALARALVPFYPLAGRMAAGPCGRLEIDCTGEGALFVVARADFTGDEMFRDFQPSPEARRLLVPFAASGDPPCLLAMVQEHTMKGAAP >cds.KYUSt_chr3.46453 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292272417:292274531:-1 gene:KYUSg_chr3.46453 transcript:KYUSt_chr3.46453 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDTESSAAAPLLLGPPVIRGARPSSAAAPAPASHPFLDLLDAAFNAPSATAMKAALKPQRALTANCSATYSGSGNPCLDFFFQVVPSTPPERVRELLAVAWAHDPLTALKLACNLRGVRGTGKSDKEGFYAAALWMHAEHPRTLACNVAALAEFGYLKDFPELLFRLIHGPDVRKAAKEAAVADKARRKEKDFGKKREGLRARLAGRKRAREVVAAPDPAPTAKATFGDYLSAALSKSKSTKHVTTMEVETLPDAMEVDQKKAEATPKRKPPRVMSKKTRKVAKLAVQSLETYYGDRAYRFLFDAVSEFFADLLASDMEQLGTKKKRKIGLAAKWCPTPGRSFDRTTLLCEAIARRLFPRGSDPELAEDLSEEHYTYRALYRLRREVLVPLRKVLELPEVYMSAGKWDELPYTRVASVAMRRYKSLFAKHDEARFAKYLEDVESGKAKISAGALLPHEIAAPAYRGEDDQVSELQWRRMVDDLRAKGSLRNCISVCDVSGSMEGTPMEVCIALGVLTSELSDEPWAGKVITFHSRPSMHVIKGRTLRDKFKFVKKLEWHGSTNFQGVFDQILRTATEAQLPPEKMIRTVFVYSDMEFDQASGRGYYNGEAYGSGSWDTDYKVICRKFTDAGYGDVVPQVVFWNLSDSKSTPVTSTQPGCAMVSGFSKNFLKIFLQNDGVVSPEAIMKEAIAGDEYQKLAVFD >cds.KYUSt_chr4.22712 pep primary_assembly:MPB_Lper_Kyuss_1697:4:142862033:142867705:-1 gene:KYUSg_chr4.22712 transcript:KYUSt_chr4.22712 gene_biotype:protein_coding transcript_biotype:protein_coding MLQARHPIPLTRSTIVIGQVTSRGESRAQLGMEVLISAVAGDLVSRFISFLAQNFGTHTCEEDDRRRLERILLRMNTVVEEADGRHITNQGMFLQLKTLMEGVYLGYYMLDILKVQSLGEKSVEDDEVSHGSQSYAVCTFNTAKRLRFASVMKNKPVEFCTGSTIKLKSVLESLEAKTADMREFVILLSSCPRLVRQPYSTYLYMDNCLFGRHIEKEKLINFLLCEDSHDCKNISILPIIGPHLTGKKTLMQHACKDERVCGRFSNMFFFKGDDLRRGEFAAICKAASGKCLFVVAFSWDVDEAAWKKFQSYLHRLHGIKIVIIGRAEKIAEFGTTQPIRMKFLPQEEYWYYFKALAFGSMDPDEHPNLASVGMKLATELHGSFLAANIVGGVLRSNPNAKFWCNMLLKNGRALLHLAFYSTLVLLNNRLQLYVTALVSMDMQNVQATAVSLNCNGSQAADSCHPGERTPGAIAVLEVEEAAAEAAVEAPRAAAVAADEEPSHKAVAAVGEAPKAVAAVEEAPKAVAAVEEAPPSPRALVEGLHPRTEPGGMTAVA >cds.KYUSt_chr4.51271 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318005619:318006602:1 gene:KYUSg_chr4.51271 transcript:KYUSt_chr4.51271 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKHAVLMQRYNADIKPPDVIFDRLTLWARIHALPPRLMRVQRGEEIAKPVGKVLRIEADDLGRCWGGFMRLRVEVNIMAPLTRMVTVYSSKFKTTEVFEVKYERLPFYCYSCGLLGHSHLLCPTPAMREEDGSLPYAASRLCVPEENKKASGTKSGHASSSAGADLFGSNSKASSGCSSQQGGKGKMAGQGQGADAEVTSPPKRGRAAGGGRGRSTRGRGRAGAAVDVVGRELFPSQEPKLGSTGQKRKSAKVLKAKDPQGVKEAQEPVLALVPVSEAGTSTSMEVQLRDQSEETLSNDSNKKQKTLKGRSADQAEAAMQPRQAQ >cds.KYUSt_chr3.33598 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210966548:210972161:-1 gene:KYUSg_chr3.33598 transcript:KYUSt_chr3.33598 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNRAPPPSGEATSSVMCQLVSAEGENLGAALYLPQNVGPPQLQDIVNQLLRNEDKLPYAFYIADEELAVQLGAYMQQKNANIEVALRIVYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLNTQTPMFTCKGHKNWVLCIAWSPDGKHLVSGSKSGELILWDPKTGNQLGTSLTGHRKWITAVSWEPVHLQSPSRRFVSASKDGDARIWDVTTRKCVISLTGHTNAVTCVKWGGDGLIYTGSEDCLIKVWETTQGKLVKTLQGHGHWVNSLALSTEYVLRTGAFDHTGKTYSTPEEMKEAALARYEKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKVVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVSAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRARKLKHDLPGHADEVYAVDWSPDGEKVVSGGKDRALKLWMN >cds.KYUSt_chr7.28945 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180065094:180066880:1 gene:KYUSg_chr7.28945 transcript:KYUSt_chr7.28945 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMRSAAAAAAPCSLTSMLLRRFTTSSSASYAIRRHAAVALSSLSSSSSARFTTWSPPPLSGSTRTRGFASWASAPGPAGPTESPITQSMETKIKEQLEADTVTVIDASGDGRHVCIDVVSKAFEGKTAVNRQRMVYKVIWEELQSTVHAVDQMTTKTPGEAAGNK >cds.KYUSt_chr2.13892 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87931931:87932305:1 gene:KYUSg_chr2.13892 transcript:KYUSt_chr2.13892 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKTSIFLLGLLLSCVAMSGAVRTLQEEAVPSKDDEHKPELPLLPEVELPPFPEVHLPPKPELPKVELPSFPEVHLPPKPEVPTFPEVHLPAKPEFPKVELPPKPEMPAIPEFHFPEPEAKP >cds.KYUSt_chr7.13688 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84472127:84473155:1 gene:KYUSg_chr7.13688 transcript:KYUSt_chr7.13688 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGSFSTATGLQINFHKSAFVPIHVPADHAAELASLIGCLPAAFPQTYLGLPLTARKLRVQDLQHLVVKVEKRAPGWKSSLLNLGSRLTLTDAVLSALPSFAMSVIPLPLTTLDRMNRPRRGMLWKGRAACSGGDCQVAWSDVCRSRKEGGLGVRDLHIQNMILLLKFIHKLVSGDDTPWTRWVRRWYGASGITDPPSREDTPAWRAFKQLFATYRGITNVRVGDGETTSFWFDNWHAAGPLFACTPALLSHCTNPALTVADAFRERRLLLPLQPRLTVTAQGQLGSLVGSLQHAALSSDPDARLLPGGTAFSTAAAASCAPPVLLYPWLTTTGRILLP >cds.KYUSt_chr5.21068 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137193271:137196240:1 gene:KYUSg_chr5.21068 transcript:KYUSt_chr5.21068 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSSEIVESNEELDKAPVSGSSLLHVKVKSEDKDGRCRGYAVEDELDQLLKAIDSRTYRRALSPGQAGADSFLKNAQRKTSRSASSQTAGIGICSKPVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIQRLYASLMVQSSDGSLVHDDDKMNLIEISITPQNISKNSSRGTSDYSEDCDFETADGSAVTSMHSASSTSADIRKVRIQDVISGDTRESESSVVQNGKLGGKVSADTDDTSPRLPILSKPITTSRLVKPAFRCKASSKSKMKEEQSSLGNSSNSTKFCSSKESISLASTSCSSASSVTNPTSCVEQETNPGPEESDERSSEWLRTEEKGECSQHSKSSVSEYGCSTSISDESQFGLCNYNNRPHMAKDLRWITIRQLALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSDCLFALKVMDIEYLISRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRNFPEPSARFYVAEVLLALEYLHMLGVIYRDLKPENILVRDDGHIMLSDFDLSLRCSVSAVLLRSSSVAANHQPKKHAGPCAESNCMNSSCLQPTSCAQTSCFRPRPSIPKPRKPKSSLKRLPQLVVEPTEARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLRFPDNPVVSSNARDLIRGLLVKEPENRLGTLRGAAEIKQHPFFEGLNWALIRSAAPPETRPCDVVTLATVRKKKEGKCLDFSNAEEVEFEVF >cds.KYUSt_chr5.42446 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267542832:267545327:-1 gene:KYUSg_chr5.42446 transcript:KYUSt_chr5.42446 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGHRGWRELHELGEKGQKLAGMLEGMVRSPKGGNSGRPWDGWSEEGNHSDFEGPTCGSTACVAIIRNDQLVVANAGDSRCVISRKGQVWDMEMKNNLRFPADRQIVSAEPEVNTVQLSDDDEFIVLACDGIWDCMSSQEVVDFVHEKLRTEDSLSAICEKMLDRCLAPEAGGEGCDNMTVIVVQFKNLTKSAATTSSSEQSAATTEELRPNEPDDPKSPSTPAETIPVWSK >cds.KYUSt_chr3.6852 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39390388:39392271:1 gene:KYUSg_chr3.6852 transcript:KYUSt_chr3.6852 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPGGRVATYLHRARLIDSLRLRLRSPSSSFSPPPPPDDPVVALHGIRAAPTPASALSFFRTLPSPAPLPLFQALASRLANPASLPDLHSLLASFPLPPPPLTRLRLLAAAGDHPAALAAFASVPAAPHRPAEAHNLVIHLHAAAGDDTAAVDAFGAMVREGALPNGRTYTVVVDHLARAGFVDQALEVFRLLPSLRAPRTTRQYNVLAEALASAGRFDQLRWLVREIAAVDGILPGQQTRAAIAAMREAGHVEGTEDFVEELSPDARIPFAVGDAEGEGDSDEEEEEDGEKKMSRAKETALKPWLDPRELARALDGWDPEEVAELEATGIVWTPRLVCKLLRAFRKPATAWEFFCWVACRPGGEFAHNRHTVARMVTILARAGRVELVERLLAKVRADGIILPFATVRLTIDFYGLSKKADAAVRVFREADSICGPVSRPNLALLCSSLLRTMAKCGRGRDAMELLDEMMTRGVLPDLQMFSGLMEHLAGAGDMKGVHRLFGMVRQCELRPDGYMYAVLIRAYCKQDRAALALRLFDEMRSAGIVPDSPTMELLVKSLWREGKLREVALVEERCEEMVAAGGVPGAAPGHVWTASAADLNKVYEIYSGCFRQPDAEQVTADGAMV >cds.KYUSt_chr3.38069 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239555005:239558777:1 gene:KYUSg_chr3.38069 transcript:KYUSt_chr3.38069 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDALRQAIMPRGAYEALRDEDRALPRLRRPLAVAAAACVALAAAAAVGVSLGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSAVLFAVSASYLVAGVSVAYTAPRRHPFICIVENNFCASRRGGVRCLSILNAVFAVIFGLMAIILGSTLLALGSTCSVPLFWCYEIAAWGLVILYGGTAFFLRRKAAAVLDEGNYATHSVGLEMLETKVEVTPEMQRRVNDGFKTWMGSSLLSSDDDEEASDDYIEHNAPSPRASVQHRKEDDLES >cds.KYUSt_chr3.19641 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120919476:120920780:-1 gene:KYUSg_chr3.19641 transcript:KYUSt_chr3.19641 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLKFESSCEIGVFARLTNAYCIVPAGCSDSFVSVFENDLADAVPVVKASIGGTRILGRLCVGNKNGLLLPNTTTDQELQHLTDSLPDQVVVKRVDERLSALGNCIACNDHVALTNPDLNKETEEIISDVLGVEVFRQTIAGNILVGSFCAFSNKGGLVHPQTSVEDLEELSTLLQVPLVAGTVNRGSNVVAAGIAVNDWAAFCGSDTTATELSVVESVFRLRDGRPGALGADVRKSLVESCFL >cds.KYUSt_chr6.28716 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181990535:181996257:1 gene:KYUSg_chr6.28716 transcript:KYUSt_chr6.28716 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVRQPPRRPVVCRLFSDVSSPTSAFLANTGCQHRRPEVCRLADARGLPPRRCPVITLVSNTDAPSRVHPSSVAMLSQYLLSRHASKRVMAPSHVTFCNVEQLHIYNRSWEATAKLISGRIKNNRGGDLIYHAILVDENTRFLRVLDLRQAVVASCWRQAHRNSEERIRLGTFDTTHEAARAYDAVAWRLGRPRQQMNFNDIWTREQEEMLAPPSPAVTTEQQRRARELEQRLHVAEQAERLRLE >cds.KYUSt_chr6.26146 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165652645:165654313:-1 gene:KYUSg_chr6.26146 transcript:KYUSt_chr6.26146 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAPATQLAGGGRRYALLLALNDSEYARKAHGGYGKVFVGALRSSAEDTWDCYRVIDGEFPAAEELVLYDGFVVSGSPHDAHGDGAPCWVRRLCLLLQTVHAMGKRVLGVCFGHQALCRALGGKVGRATGGWVVGVKEVTFVEDVEGFEFLEEGVSRRMSASIIEVHQDEVWEVPPGGKVLAYSDKTRVEMFAVGDNALGIQGHPEYTNDILLNLIGRLVNDGTVDGCAGEAARRTAESGGPDREFWTGLCKGFLMGGGAAVAARTPAPELSCCHHVAVACIPAAASGPIGL >cds.KYUSt_chr6.34060 pep primary_assembly:MPB_Lper_Kyuss_1697:6:213436188:213440228:1 gene:KYUSg_chr6.34060 transcript:KYUSt_chr6.34060 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKVADLKAFVAACKKDPALLTDPSLAFFRDYLASLGAELPQAASASKPAGPKVLILILILIHPPFSAPPLLVVDTTRFGWDQVSSMDDIDEEDVDGEYDEPMRDPTPEPDELDEEILESDIELEADGVVDPDHDDTPQKMGDPSGEVTEESRDASQEAKGLAMEAMSEGKLEEAIEHLTRAILLNPTSAIMYGTRASVFIKMKKPVAAIRDANAALEINPDSAKGYKTRGMANAMLGKWEAAARDLHAASNIDYDDEISAVLKKVEPNAHKIVEHRRKYDRLRKEREEKKAERDRLRRRAEAQAAYERAKKKEQSSQSSGAFPGGMPGGFPGFGGGMPGGMGGMPGGMGGMPGGMGGFPGGMGGMPAGMGGGMPAGMGGGMPAGMGGSMPRGMGRGMPGAGGAPGSVGMDDILNDPDLMAAFSDPEVMAALQDVMSNPANISKHQANPKVGPIIAKMMAKMNAGSRH >cds.KYUSt_chr7.30771 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191538783:191540867:1 gene:KYUSg_chr7.30771 transcript:KYUSt_chr7.30771 gene_biotype:protein_coding transcript_biotype:protein_coding MIATVSHSTTAASAEFDRHRGVDWSKKGAIVAAASSVLASIPLLSAMSRNLEGPVQTQMAVSALSSSLIGDPNKTRSDARAVGWKRVFVQTDTGFVLAVQLDRGDNAHTVKRKLQLALNVPTEESSLTFGDHVLKNDLSSVRNDSPLLLTKTFMHRSSSTPCLSPTSKDLQQQRDRSGPIEVLVCPSRCSRAKQLVKDVARAIRNGVDPTPVNSGLGGAYYFRNSKGENAAIVKPNDEEPFAPNNPKGFTGRALGQPGLKRSVRVGETGFREVAAYLLDYGNFANVPPTVLVKISHPVFNVNESVSSTNKKASLGAARAVSKIASLQQFAPHDFDASDLGTSSFPVSAVHRIGILDIRIFNTDRHGGNILVRKVTGAGKFGNETELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSEDELEYIANLDPVKDADMLRAELPMIREACLRVLILSTIFLKEGASFGLCLAEIGEMMSREFTRVDDQPSELEVVCMEARRLAAEQEECSTEHDSGDEDITQFELDSEDDHEIHKTPSAYHFEFKGGSSRNPLSKLNEAIEEEEDDIEEEESSAEKLACPKPVNKWLPNISKLSTSLSGVSLMEKSQRQLPAVPKGADSVKTSESNNRTGPQVGNWRSANEQLPTSASFVKLADMGVETWGMFLEKFQELLPGAFRSHKCGAAGQRARQRLGTSCQF >cds.KYUSt_chr3.33496 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210279142:210280216:1 gene:KYUSg_chr3.33496 transcript:KYUSt_chr3.33496 gene_biotype:protein_coding transcript_biotype:protein_coding MASATCNPTGTNTSERDDNVNNAQEPPSSSPTKASGLDQGADEIWRPKLQQPDEPHRIPIYEQSGLAWHYDMDRLSTIKSSYKVHVDIMSFVPKVVKTEAVLACSSVGALEDVQFKCHFLVCSSSPVDLFHQVLSSQSKRPLLAVALPRRYKENHDESSLAVKDLVKSIFHSKAEDDH >cds.KYUSt_chr2.47338 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296175165:296175695:1 gene:KYUSg_chr2.47338 transcript:KYUSt_chr2.47338 gene_biotype:protein_coding transcript_biotype:protein_coding MERARQSTYTTLHDTNQHDMFDAGIETTYLVLEFAMVELMNNRHVMAKLQAEVRRRRTPASNQEEPRQDVLTEEELGDMAYLRATVKETLRLHPPGPLLIPHLSIADCQIDGYMIPAGTRLIVNAWALARDPASWEMPEEFMPERLLPGGSAAALNGKGKDFEYLPLAPDGGSAQA >cds.KYUSt_chr5.17231 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111330190:111340122:-1 gene:KYUSg_chr5.17231 transcript:KYUSt_chr5.17231 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPRRNPPPPPCHGGSAPELTHCGDADEEATVVDAAAMGRRRTAVLGLLRVAAASATKQRRRCYHGLVVLLLAMGTMQPKPRAEGCCTAATVGGCCLREAAPCDGKAHVRWEPQEEGSSVSTRRFAFLRPNQLSDPPPAMEKQQSPEELLLQAAFDGNIRLFRKMARRLDSGQGAAAAVAPAADRGSGNRALHLAAMEGKMDVCRYLVEELRLDVNQSNDRGETPLFLSAYFGRAEAARYLLAHGADPKLGQNEIVELLLSRGIDVDLPSPLGNPLHVAATHGQDATMKILLEHQADPNKVFNLDDTPLNMAIRPIPTASSKVKCVKLLIKAGADVNFIDSDGASYVMLAAHCSLAETVKCLLEAGANPNIPDGFGITPIEVAAFQGSRETVEMLFPVTSPIPTMPNWSVDGIISHVKTYGLKQ >cds.KYUSt_chr1.27351 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164860021:164860753:-1 gene:KYUSg_chr1.27351 transcript:KYUSt_chr1.27351 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGQRSWMGAAATPSEPVAGDARDDGAASSSSMNASAISFGFAATAILIAMFLLMAIFEHLIKPGWAAARASRDAGDDGDAQEPSRSDRHRHRPRDHGSPDKLAPPPKVIITTVIQDPSLLARRMNAASVRSAHVQRVSSDKGAGTSCRARVCSAMRACMEVVVAAPDLTVVMPGQRYPTFLAQPAPLLFPCPREPLRWPPHHDRRHSFLPP >cds.KYUSt_chr5.41619 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262618853:262623333:1 gene:KYUSg_chr5.41619 transcript:KYUSt_chr5.41619 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRRRAHPRGGHTACPRRGALPAVAVLLLLFLAVSLLAIAISAPRVADRRPGASSRRSLRHPPPSGWTARAQDGLWGSQLASNFYGCSNASRKFLDSSIATQSDRYLIIVTSGGLNQQRTGIVDAVVAARILNATLVVPKLDQTSFWKDSSNFSEIFDIDWFISFLAKDVKIIKEPPEKGGKAVRPYKMRVPRKCTPQCYLKRVLPALLKKHVIRMTKYDYRLSNKLDTDLQKLRCRVNYHALRFTDPIQKLGEKLIQRMREKSRYFIALHLRFESDMLAFSGCYYGGGEKERRDLGAIRKRWKTLHTSNPEKGRRQGRCPLTPEEVGLLLRALGYGSDVHIYTASGEIYGGEATLAPLKALFPNFHTKESLASKDELTPFLTHSSRMAAIDFIVCDGSDAFVTNNNGNMAKILAGRRRYFSHKRTIRPNAKQLYPLLMNRGNMSWDKFSSQMRILQKGFMGDPLEVMPGRGEFHANPAACICGKTDQNSVVKSIPVSNRQETIDSTGIRKAIGEPAYPIYIDEEVDGSDSEEDPTGAGEEMITEEDDLNAREEMIAEDDPAATGETVDTEADDESLSKQEDSELEEILSD >cds.KYUSt_chr5.5012 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31651273:31651527:1 gene:KYUSg_chr5.5012 transcript:KYUSt_chr5.5012 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALKDYLDQIKQDTNDLKAAVMSSKDAVIAMQVKMEQKLEAQSVQLTDLCNWKPDLEDRLAKLQASVAELKRAHIYAPATPH >cds.KYUSt_chr7.24135 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150414681:150415412:-1 gene:KYUSg_chr7.24135 transcript:KYUSt_chr7.24135 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRSLSVPSSPCSGETNAEGQLQSISAAISSPSSTIKTMLDGLRRLGDIYDCIDELMCLPSSQVRLCKPQLRMVVDQELERSLVLLDLCEGVQVSISELKASVQDMQLVIKRGDGVALQAKIQSWFRLTKKAQNKFKKISKKSSSDDQESCRVVNLLAEAREAAVTTIESSLKLLSKQIEMQNSSKWSLVSKALQKKKVTCEEEQLQELELDIVDLESILETLFRKLIQNRVSLLNTLSL >cds.KYUSt_chr4.22801 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143398886:143400692:-1 gene:KYUSg_chr4.22801 transcript:KYUSt_chr4.22801 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRCLSSRWIWGAEPFCALQGSSSDRNWRFRATAMTSAAAVQKKRGMVKIADHFVVDLVTDGRDMPGRLHEPATMVTLCDGLRRLADVYNCVEEIVRLPRNQVGLCSPQQQKVVEKELERSLVLLDICNAMQENFAELKMGIQELLLVRKRGDHAIVNLKVESFFRSAKNMRKHFRKYSSKATSEGLSFVRLLAEAREMAISLFESASYLLPKKIITSDSSKWFLVSKKFQKKEVIHTEDRLQALECRIGDLENGAGFLFRRLIQTRVSLLNILSSSR >cds.KYUSt_chr2.2031 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12234681:12238732:-1 gene:KYUSg_chr2.2031 transcript:KYUSt_chr2.2031 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAPCGGGSAGESRWSLGSSLAVRNVQDLVSSSAAEELTPDMIQRYIQPDIDAHAVLAEHSEEVPVIDLGKLMNAETVEDETAKLKLACEEWGFFQVLNHGVPDEVIVGIKQNIHKFFELPLDVKNGYAQRPGDLQGYGQAFVFSDDQKLDWADMFGLFTQPTQARDMSYWPSEPRTFRNSIEEYTSELMKLSHSIVTFIAKTLDFDPELMADKNVGQFLRMNYYPPCMSTPGKVLGFSPHSDASFITILLEVNSVQGLQIRRRGAWIPVKPHGDALLVNVGDFLEIMTNGKYKSIEHRVTINAHKERLSISAFHVPKYDGIVSPVLGNTEEKVLYKTTIVEEYARLYLSSKRDGKRTLDHAMLS >cds.KYUSt_chr2.24520 pep primary_assembly:MPB_Lper_Kyuss_1697:2:149966183:149966524:-1 gene:KYUSg_chr2.24520 transcript:KYUSt_chr2.24520 gene_biotype:protein_coding transcript_biotype:protein_coding MVARPDAPDPVVARPGGPDPVVAMPEGSSEPATFLAMADPYDVGREPKQQLKPALEMETIPGSTHGEKEQAADQPRRSLLQPALLTRLGHLWVLRSPAPSLLSASLRARDGVW >cds.KYUSt_chr6.31108 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197158612:197166265:1 gene:KYUSg_chr6.31108 transcript:KYUSt_chr6.31108 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRARHAVIALWTCQSIMHPVTLVLPLHPYDCDENRHPLLYRVDMLERLRPPCRRRPCRKVAAVILKLYEMEQFTQTEAYQLRNGGDMIFERDLFALTQFLRRPPPVFFEGQVNDQPGGQLQWIIMADLPGKAKNSAERIQFSFRENTWVDGLARALQASLAHLCEQNAMSDYLRKISLKMLSMETKTQQAPGNAQVIPNQNNPAGQGKAIQGKRCKRITDVLEEKYNSLHFVPEETFTEQVLRAPRQNSPSQTLGALRLGVGDYNRDTDEKYKLPEAQPVKKAKISKGSDEDKPKKGKGVAIDNLIAVIKEEIEIYKDVKKEQIESYRDIKMV >cds.KYUSt_chr6.25940 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164429862:164438010:-1 gene:KYUSg_chr6.25940 transcript:KYUSt_chr6.25940 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSRKIAAANGFGRGSLTVAEAWALYRARYPVPPDMRLPSSGSWKMAVNGIGVPPPPKPRTDQWWDAVKARRAQLTAHERLDPTWAVDNNDAQWTTYFRAKYDVEMHSTDGLVGGPNSWNKDGRALFWGVPGRTLENVIRGIRNGAPSWRCRCRCRRLLNGSRGGRRTRPPRTLLPQDRRDRRRPRLTGRRRTPFPNGSVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALGKLGSAAITGRSSPKRIEGPGGSNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDTGTGRVVSSGPESSAKLDIVVLEGDFNNEDEEGWTGEEFDSHVVKEREGKRPIITGDIQVTLKEGVGTIGEFTFTDNSSWIRSRKFRLGLKIASGFCEGVRIREAKTEAFMVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNKSGILTVEEFLRLVVRDPQKLRTILGSGMSNKMWDALVEHAKTCVLSGKYYIYYSDENRTAGAIFNDLYAFCGLISGEQFYSSETLDDSQKLFADALVKKAYDNWMYVIEYDGKALVNPKSKKRVASISQAEAHAPPAPYVQRISSTSMSGPSSAGTAGSIGYDGSQSATQFSQLQSTPANVPAPYDDTFSFLPPSMLMGSVNQGAASDAMGLELGQLQQVISQGQSIQPANMGYDDWHRTRDNQYADDFTEDIRMKSHQMLEGEDMQQLLRVFNMGGASNGLADETFAFQSYMPSPLPTIGFDGEPSRPSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELEDESIP >cds.KYUSt_chr4.1003 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5204891:5209354:-1 gene:KYUSg_chr4.1003 transcript:KYUSt_chr4.1003 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEADAPPPPTKPAPAPSPAPPTPSLPPQDPAESPLAAYLGLAFALFLATLPTAGGPRHVASLQSRGRLLAARLLAAEDQLRQLRARRREDARANARAAEILAARRASWSDSLARAADEAAALRARLADAEQQAAAQRARADRLERDALERDSLLNALLAATRAGDAHASFRGGREQDEDADAREHSSDPAEPYGDTDAEALAAAAALYAQQRQQQDGFAGDDFYASAAAAASGMPPWMDARSKGWQDMKYEPVESMYNTKHSVPRRESPWKVDVESSGVPGKLRLLEQELINLEKVGNGDLSKIPLVMRKQVKRYQTLAGKIDDLCKRMQASDPCDSALNSEFRTQRQTEYLLEAFHLQHRATETRQKLSALQAETAKSSFGDELTAEAKMSTRRALSSVRNNFKEIQRSLEIWLARILGDLEGKLPRASSECEVIELLVLSWYCGKL >cds.KYUSt_chr1.6765 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41587096:41590896:1 gene:KYUSg_chr1.6765 transcript:KYUSt_chr1.6765 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPWRTPARLARRRSGSSIPPTVFPAPSHGRFDPLDDLEVEDGVSVAEEVAWAGLEDDPEVMPINKDLDRDALLEVFWAKIGFPATASRSWGRNASTVARAVPRARSSSPPRAAAMPRRASSSSPTGRRIPRQPARLKGWKGPLPPKRFTPPAVFGDFLDAAAKGARRTSGGELRPASGAASLPSVQTEAAGSSRLGPRQCWAKLGRALLGLQRGVRRAGVTTIDHAIIRSSPRRNHVASVSYPSAPPPLPPEHRLILSPSAAAVGCPPFRRSFADVVASAGSGPMAGPPRSVPPGTAPVPGDGALFFGAGSSAAAAAASLAGGYQGPPGFQGWPQGALMPPAPVPPPRPQAGFRPPQPRMPAAPFVPPQQPPYHPQQQPYPQYPPQQQYAQFQGHYFPATNPLQQPVVSQVPQQVNPPAQPGQQKKRRKKKPVAAAGTAGGGTVVPPAPMQGHMQDPHPAVGPTAVLETVPAPLMPVVPPVVAAPAVTAPVVKPKKAGRCWKCAVNTHASKDCKVPHYCLVCDSGAHPTIRCPVLKTPRPMSFFVGCGNDATLDLQVPDSVYKPQLLSSGAPTALVQVSGEGTVAAADIQNLMARMCPGNPTWKWEAQPHGDNAFLIGIPTAEDLSRIDGMQMSVPKINAQAMVSSWTQQDVVPEFVMEPVWVHVEGVPNALRHFLGLWAVGTFIGTTVDVDLYTLRSQGTVRIQVAMRDTSVLEKDKAKHGPPCLEVLARLQLNGYRFRFRREPSEYTPDPRFRPFFWKGEDGDDAAHGDDDGFDDATAEGAPGASLMDVDGHASLPTSGSASVPVTQVAMTPFNHSPTTDRGKAIVARALSVSPHLVATPPPSRVRTFMQGRTRPVSSSPASLGGPLAAPTPSSTSPQTERGLSRASSSTVGSSDLGVQRHAAVVVEQQQPALSSASQQLPAAVAATQQHTPGPAGVQQLALHQDAATVGQQPPSPASATLQQPAGAMRDRMRSRHARAAAGLGPSTPSPGGGPGVAPPSPRAPQEEGQGRLGRRPSQSPSWRRSRSPAPSPPSGVSASRSGSVQGASSPSTPASRSSSPASGRSSSPCSSPPPPAAPVLHPPPTIPPPVAQPPVRRSGRFATTEGGVEATDEDVMQRAMRRKAEKNLDTAGTSFSSKSFTSFSDTRISSNLSSVGISLGRGSAEILASAHVLRQTELDRLTVAPNGSTELATSTVDDDEDDGILDGHLLSALVGGVTEVDLDHSELSSVYDLSASERGSRSSAGKKSRRYGKKSKSKIVSR >cds.KYUSt_chr2.18481 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116355598:116358574:1 gene:KYUSg_chr2.18481 transcript:KYUSt_chr2.18481 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLTGGGLSDSGCQVLSQLLPLGYPESLFPIFRRFCAESTDRLTNSKFCDELRGHEAADQPDDNFDCNDEPSHGNVTIEASKKPNVTKPSISGSWSSNMDHSADLKSRHRSRRPFLFQAVLDAPSHSIGSMLDRWLGDSNQLERNEVLLVLFHLKKQRLYWKALQFVEWMEGRNLLNFEERDYACHLDLIARNSGIENAQKFIARVPKPFRNDILYETLLVNCVCVGDIQKAEKVFREIRNLSLPLTVSACNQMILLYKRVARNKVADILMLMEKENIKPSPFTYKLLIDLKGRANDMSGIELILNEMKASGVEPDFATRTMVAEFYISGGLTEKAEAVISEMEMEYIKDKRHAIRSLLHLNAALSKPGEVARIWKLCTDPNLEDFMAAIEAWGKLGCIKQAEETFEAMLETTKKVSSRYYNAMLSVYAENKLMAKGKEFVERMSSDGCPDGPLTWEALIKLYVNSGEVEKADSFLLSVTEQNPDRRPTYGSYIYLLRAYAEKGDIHNAEKIFDGLKMVGYPGRKPPYAVLLEAYVNAKVQPYGFRERMRADGVHPVKKVIDHLKFLDKLYLKGALQN >cds.KYUSt_chr6.19262 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121175931:121178954:-1 gene:KYUSg_chr6.19262 transcript:KYUSt_chr6.19262 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEAIKAREIATKKLENKDFVGAKRIALKAQRIFPELENLSQLLTVCEVHCAAEAKLNGLLDFYGILQMDVTADEATIKKQYRKLAFSLHPDKNSFPSAEAAFKLVAEAHSTLSDRTKRPAYDSKWRVASKITPKQGSEQKQGTQPNQGTKPNQGTQPKQATQPMQGKKPKKAPIPKQARMQKQEAVPKQTTEAMKKSVPSTFADQAIWTKCNHCRTKYQYYSGVLNHSIRCQNCNNFFVASKLNEQDVPSVFTSNATNGIGQQSGLPSQQDCSTKFSSRLNCDAKASANGAQNDEHMKSARTVGEKVNHAEAGGKRGVEFSTGNMSKSPAPSSNDKAGGKMAADPADPDVADGTNMRSRVVDTSAEPGAIGSPSPRRSARRKANPDANIAVSPSKKKRTIKDWFSNADSSCKKTFEGNAARADVKIGEAHVSSEAQKQEKGSTTNEGNQENIKKEVIHDAPAVKKPSDSVQFSYPDPEFFDFDKRRLISLFAVDQIWAVYDDRDGMPRYYARIRRVDATKSTVQYTWLEHEAMNEEEDRWTDALPVACGTYKLGETDVSPGTLMFSHLVPWGKGRKRSSYEIYPRKGEAWALYKGWSMQWSSDADNHKTYEYDVVEVLSDFTMEAGVAVAPLVKIKGFVSLFAKVIGKSSFVIPSSEVLRFSHSIPSYRTEGNEKVGVASGFLELDTASLPSNLDVAFPSVPIGKTINSGCIDASGISTAGPGNEQSAQKENQRSGGKHMDDFPERTPNQQQKVRTGNVPGSSSQQLCTSPSAIATYPDSEFYNFEQGRSYNKFERGQIWALYSDLDKFPKYYGWVTKVDMEPFRLHLTWLELSPELEQEKMWLDHDIPVSCGRFKLHNWRIKYDSNDAFSHLVETSPVSSCQRSFEIHPRVGEIWAVYKNWSPDWVPSSSDSCECAIGEITERTESSTKFSFLTQVDGYRVVFRPDNERGILEIPANENLRFSHLIPSFRLTEEKGGKLRGFYELDPASVPDAFLPRGSTC >cds.KYUSt_chr4.52867 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327952904:327955324:1 gene:KYUSg_chr4.52867 transcript:KYUSt_chr4.52867 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTGLHKLRGPSPIVWAMRTRVAPPPRLAEPIPRPVYPPPPPPQAPLPPPTEKRRRGRPRKCESLPPPPGFAPLPAARPAPAAPIPAQGKGKLDGLQPHVLTISSGEGPLEIIRLSGSILTPNDQRCLRVTLASVNSFVISGIIAGPLVAATPVQAILGSFHNVAFWPNNAPSAAVASVPNTQGRVGNGSSSTVPSKRSNPESAPCTPVKQNGSSEIDVKPSLEMLIPGYAACTSAQPNISSEIDIKPSVVVDNSTSGTFASQGDTEVHALDS >cds.KYUSt_chr3.18479 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113585966:113593679:-1 gene:KYUSg_chr3.18479 transcript:KYUSt_chr3.18479 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGGALSRSSRRSSRSWGSNISHSLRQQAGLQPDTDEPFRRGSATSSRRHDDDEENLRWAALEKLPTYDRMRRAILLANHSLQQYAGAGDDGMVEIEHLASGDGGRALLERVFRDDSEGFLRRLKDRVDRVGIEMPAIEVRYQDLSIEVDAYVGSSALPTLWNVTANVFKSLIGRLASSNKKTINILQNVNGILKPSRMTLLLGPPSSGKSTLMRALSGKLDKSLKVSGSITYCGHTFSEFYPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGVGARYDMLAELAKREREAGIKPDPEIDAYMKATAVQGKESNIVTDLTLKVLGLDICADTMIGDDMIRGISGGQKKRVTTGEMLTGPASALFMDEISTGLDSSSTFMIVKYMKQLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFEASGFRCPDRKGVADFLQEVTSKKDQQQYWYREQEQYRPVSVPEFAERFKSFHVGQQMIKEMQIPFDKSKTHPAALTTKKYGISSKESLKAVMSREVLLMKRNSFIYIFKVSQLIILGLMAMTVFLRVKMPSGKIADGGKFYGALTFSLITILFNGFAELQLTIKMLPTFYKQRDFLFFPPWTWGVANILLKIPVSFVEAAVWVVLTYYVMGFAPAAGRFFRQFLAFFATHQMAIALFRFLGAVLKSMVVANTFGMFVILLIFIFGGFLIPRGDIRPWWIWAYWSSPMMYSQNAISVNEFLATRWTTTNTDTSVDAQTVGKALLKSKGFFTTDGGYWISIGALVAFAIVFNILYILALTYLSPSGSSNTLVSDEENESEANASSNRASSIPMGEPLFALAVDVGTNGATNRPTQSGVVLPFQPLALSFNHVNYYVDMPAEMKEQGFGETRLQLLTDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGSVEGSITLSGYPKNQETFARVSGYCEQNDIHSPNVTVYESILYSAWLRLSSDVDEKTRKMFVEEVMTLVELDALRNAMVGLPGVDGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVRNTVNTGRTVVCTIHQPSIDIFESFDALLLLKRGGQVIYAGDLGRHSHKLVKYFEAIPGVEKITEGYNPATWMLEVSSPLAEARLEVNFAEIYANSALYRENQELIKELSVPPPGYEDLSFPTKYSQNFYNQCVANFWKQYKSYWKNPPHNAMRFLMTLLNGLVFGTVFWQKGTKLGTQQDLFNLLGATYAAVFFLGASNCITVQPVVAIERTVFYREKAAGMYSPLSYALAQTSVEIIYNVLQGCLYTVVIYAMIGYDWKADKFFYFLFFIVSSFNYFTLFGMMLVALTPSAMLANILISFMMPLWNLFAGFLVVRPLIPIWWRWYYWANPVSWTIYGVVASQFGENTGSLSVPGAASTTVKQFLDDNLGIKHDFLGYVVLAHFAFCIGFFFVFGYSIKVLNFQKR >cds.KYUSt_chr2.16686 pep primary_assembly:MPB_Lper_Kyuss_1697:2:104862678:104871096:-1 gene:KYUSg_chr2.16686 transcript:KYUSt_chr2.16686 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFVFVVGDVVMLMTGVTGVVMVVFSFVLGDMVMLVTSMNGGVMVVFVFVFGDVVMHVTGVTGGVMVVFDDIVGDVVILLTGMNGGVMILFVDVVMHVTGVTGDVMAVMVIICDSLAHVALAIVGESGMVVFPRTGVVPGFVTTASSFVIDEEVRGHWLGLDGDHDDGDGSDGTTLPFLSLVSTGNPFSKTPHPFPSQLPDAMASRVVTTVLAGALPAPPHAPVAAAAGGGSKFIEWKNRPSRHRGIRCGIARASRSRPHYPSSAAWTAEVAATIPQTTSSEVPGSFNLHVDGLVQDGVLEQLKSAVAALAIIAQISVALPANAILYSPDTNVPRTGELALRRAIPANPSMKTIQESLEDISYLLRIPQRKPYGSMEGDVKKAMKIALDNKEAMLGSMPAELKEKGSELYTSLLEGKGGLQSLLNYIKDKDNDRLSVALASSLDTIAELELLQAPGLSFLLPKQYLDYPRLTGRGVVEFTVEKGDGSTFVPTAGGEPKSVGTIQVVVDGYSAPLTAGNFAKLVLEGAYDGVTLKSANQAVIADSESGKKGYTIPLEVMPAGQFEPLYRSPLSIQDGELPVLPMSVYGSVAMAHSEDSEEYSSPTQFFFYLYDKRNSGLGGISFDEGQFSVFGYATTDGRDVLSQIKTGDKIRSAKLVQGRERLVLPAAASAPAPEDPAPAPADPSLAPAEG >cds.KYUSt_chr1.8284 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51005059:51016311:-1 gene:KYUSg_chr1.8284 transcript:KYUSt_chr1.8284 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCQVTNAKAHTTVSLTASSLSQVRYLRPQLLAQKSTIFYTESREKKGEVITGMNCIKILLLVSLIPLALRGASLLGDAVVPSPSPDPASGTSVLAPLVQVEKWRHQRRRIVDQRGRATAAFAPRRFRGTGSFFRDDKRNPSKEIFSELGEINVQSLRIGRSFQNTREPPEEGPVTGVRGRKARKGVVCNAVGADSAAAATPRATTPRALSPGRRSTPAAAARAGAATSGDRGLVANQFTNPEARSSVLLSTERLTAPASPVDCRAHSGVSSVAAVDAGFDPEGGSVCSAEPTKTREHGMPLLHGPQTSSMASHSGGCSIPSTKNTGTKTPIAIGFEERAKKAILDTDVAAFGGIPVPTLSVRASDRIRAQPNADDTQLERAMQNASFRHGFTAPGRQFTWANRKENQTFEKLDRILSTAEWEHKFPLVTVRALTRTGSDHAPLLIDSAEFYQTFWEVIKGDLMSMFNQLHSGDLQLFKLNFGVITLLPKKENAIQIQQYRPICLLNVSFKIFTKVATIRANTVAEKVISPTQSAFMPGRHILEGVVVLHETIHELHRKKMEGVIFKIDFEKAYDKVKWPFLQQVMRMKGFDPKWCQLIEQFVKGGSVGIKVNDDIGHYFQTRKGLRQGDPLSPMLFNIIADMLTILIARAKEDGYSHYGSLDPALAFPAPGGSAGMHGFWMQPPSNGCPGYFLPGYLAAY >cds.KYUSt_chr3.23699 pep primary_assembly:MPB_Lper_Kyuss_1697:3:146516850:146525711:-1 gene:KYUSg_chr3.23699 transcript:KYUSt_chr3.23699 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGYAVSLPPPDGPELRSLSRSSAASGVGTDEPELAPRVPAGVGEFREPDPNSVSDSPSNTAHENSPRASENAQLSSSRRRPPPFAKPPPAAMPSRRRRNRNRVLSCVGAASVGALLFFGAQSSIGLGGATWSHHQDQLFRSPGPPPPETLMSMPRQTSEADLSFARRLLPTRRHSPPQLREDAVLLPDREVLVLSADPAVGNAMCVFQGGASSPARALGRLPGPGRHAYLCPLPGSEQPLQPPPLLLSSSSYSSSAAPPATAPAPAPAADFRKLLNWNDSLVFDSAPVPGGDLLLFAKGTNHRQGVINTATSNIQCIYSRDSDGMVASSPATTSAQQVIRCPPPPAPLSSSNLHVTVALNGQEPLPSLATYSPQNTALPVTRERKSICACTMVRNVAKFLPEWVRYHAAVGVQKFFLYDNASEDDLAGQVSSLNSAGIDISTVAWPWTKTQEAGLSHCAALNQPSCEWMAFTDVDEFMFSPNWNEVDKPSKSLLESVVSVDPEVGQIFLPCYDFGPSGQTAHPQEGVCQGYTCRLTRAERHKSLVRLDAVADSLANSVHHFTLKPGFQKMWTTLARINHYKYQAWTEFKSKFKRRVSAYVADWTDPVNLQSHDRAPGLGVDPVEPAGWAESFCELKDYTMKKLSEKWFGIGSGGRGAITEFNSNGYWHASVRFASPVLLVQITIFPEIPPSKELVLKGSRPSLVSRTPTEVQERVLKGRMDFYTARRTLPLRFHISFPMVVLEKGGEGPGAAELVSASGREAFLRPAELDLIGMVGVEAPLPAVRAGVSLLAQAEQEPTQLFRCHYCRRQFYSSQALGGHQNAHKRERTLARRHAGVLLALEWDERAFAIHGEAAPNDLRRLLRASNVCRTTAAVAGKDEGERRWIGGRSVAHYGDGDKELPKLDLTLKL >cds.KYUSt_chr7.13801 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85219597:85220406:1 gene:KYUSg_chr7.13801 transcript:KYUSt_chr7.13801 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKSDCDCQSLGVFRGPLVSGSHDFDEHCMFGNDMYLTKGQVDFLNEHCNHFPTEEFEYYVYRMTKSAVIKNKCKLDIGKKFTAKYLKRFIDDAPGNDVTLSLEYTDSNARFKVTMKMAKGKAKNAIIATGWSKAMNTYEIKEGAIYIFEFYVDMKGKLALMIHSLPDDCDDSDSSESSE >cds.KYUSt_chr5.1142 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7900737:7905273:-1 gene:KYUSg_chr5.1142 transcript:KYUSt_chr5.1142 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYKRRPPLDDPSTPPHSPPLTASQPPHHSPDSRQSKANRELTAAEALQQSPANQSTSGDATCTWSFAVVHIYPEHTAIPSWSSELISSLLDGISSSTKSKEPDQFCELKPSPSSEFMEAPPPSLISVTASMDDDASYGVDLSLSLAYMPPLSSSPPSATAATASGGSNGNGNGGGVRLFPCLFCNKKFLKSQALGGHQNAHKKERNVGWNAHLYTTPINPAADAPMPNHQMYPIQVSHSCHHQEYPQVVGNDGGGWWRDGDGGENQQPLRKVDLNLKL >cds.KYUSt_chr1.26307 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158529249:158529704:-1 gene:KYUSg_chr1.26307 transcript:KYUSt_chr1.26307 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHRPYQSDLRPPPSSAPDSTTPHGNGYFTSISPHANGYFSATKDNTFPGAGDRRIEIYTTAHPPLPPPPRLALPPPPGWREGGVGSGRVGGGGGGGGANMWCFSDPEMKRRRRVASYKAYSVEGKVKSSLRRGLRWFKGKCSDIFHAW >cds.KYUSt_chr1.18936 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111198314:111201431:-1 gene:KYUSg_chr1.18936 transcript:KYUSt_chr1.18936 gene_biotype:protein_coding transcript_biotype:protein_coding MEVARGSNGGKLNPWAEPFVPGSWCRPVEVVAEVEDFSPDWWRLVAASPSFRDRWLRDYGDLGLLDADEMPDDGDLFFSPARTNLEGAVMKEEAAVKKAGGAEVMPWGIEKWWRTHVTVPEVPKDNTIQLDLNPSMTDFILLYSAGMILRDDKGQIIFSACRSLLLCVDPLEAEIRACLEGLELSLHHSRLPLVIETDCFQLVSAVQERQPDRSLLMHFISEIKRLSSQDRVCKFVKVVRSQIRVSHCLANFARAEHQTDVWLGSGPEIMIRELEAEHLVTLSE >cds.KYUSt_chr2.31016 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191184323:191185036:-1 gene:KYUSg_chr2.31016 transcript:KYUSt_chr2.31016 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSAWAALISFSGRPGHVKALCHRKRRRFGECYLRTNPCTATLQINPIDMARQLTFAALATLLMAASSVVSLSSARDAIHGAVGAEESHHMHMYMHDFSTGPNPSAVVVARGTGPTLRRSVDRRFGDTLVMDDALTDGPGPASRALGRAQGFYVAASSAGGDPAVLVSMNVLLTSGPYNGSTLAVSGRNAVMAQVRELSVVGGTGRFRMARGYVLMKTASWSGNDAVLELDIFVYA >cds.KYUSt_chr2.6821 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42571989:42576352:1 gene:KYUSg_chr2.6821 transcript:KYUSt_chr2.6821 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAGPTAVAPPMPKPSAPAALPFAGISADLYPTEDDLPYEEEILREPFKLKGWWRYLVARAAAPFPKRAVIYERALKALPGSYKLWHAYLRERLDHARPHPISHPAYASLNNTFERALATMHKMPRVWALYLASLLDQRLLTRGRRAFDRALRALPVTQHDRIWPLYLRLASLHACPVETSFRVFRRYLQFDPSHAEDFIEFLVSSNRWQEAADRLASVLNDDGFRSVKGKTRHQLWLELCDILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRTLYEKARDVFEEGVASVMTVQEFSVVFEAYTQFEQSMLAAKLEAAEEDDGGEGSDEDDRKSGMDKLSKKFLAGCWLTDEDDTDLRLARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKEPARQVATYVEAVKTVDPMKAVGKPHTLWVAFAKMYEQHNRLDSAEDIFKKATQVNYKVADHLATVWCEWAEMELRNQNFDKAIELMRLATAEPSVEVKRRAAAEGDEPVQLRLHKSLKLWSFYVDLEESLGSLETTRAVYERILDLRIATPQIILNYAFLLEENKYFEDAFKVFERGVKIFKYPHVKDIWVTYLTKFVKRYQRSKLERARELFTEAVQKAPPDEKKALYLQYAKLEEDYGLAKRAMNVYDEAVRAVPNGQKMSMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVMTMCMKFAELERNLGEIDRSRAIYIHASNYADPNSHPEFWKKWNDFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLTMDEAVDTLTRAGVPQDEMAALERQLVSEPSSAPAAAPSTSTTPANRMMNFVSAGAEARAESSTEQAAANNEDIELPDEESDEEADVQIEERSVPAAVFGELGKRAAENREESSSAQENEQQLGALERIKRRRQ >cds.KYUSt_chr2.34500 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213093697:213100018:1 gene:KYUSg_chr2.34500 transcript:KYUSt_chr2.34500 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWSRTSEELEGLGDVEQSDDVGGAGRRFLGAEFVDFPAARGSSPVPGSVRRSSGGAPSYVLVVVDAVGVLLALSVIFQFVRDLSLGCESRNHTEPVVALQGDDTSSLVQRREGKSRPHGGERRCRTTPSCGEEAEKRSRGRERGGGDALARRAGRGENRGRGGYVLPARSGFAFNSGRQGTEERRGPPSPHLVESEGPAVALDSGCRRAWLCRGGELALGLVPPPCCARPPDGPGRAGAGGRGAPLRPPPHTGALRIAAKPLTVYHATAPQIALHYGADAAQFLLFERAAFLVLAAVSLAAALPLNLLAGDAAIAHQFAATTISHIHRASLLLWLHLLLVADVIAIAHLGISRMEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGILGKVGNADFGGCTLCIFSSIAQLYDLAHRRSARAHRSLSIPLVRRRLAGPPVGAGAAGLRDRRSTRQGGPPAMASSSGEEDRARMSAGARELADMAPVPQSDRACPVVAIACRGFRAFYVDGKCCACALCLTAEAIYGSTSSPHLNNTIPV >cds.KYUSt_chr7.4851 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29246261:29248474:-1 gene:KYUSg_chr7.4851 transcript:KYUSt_chr7.4851 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTNLTGAARAVELLKIDAYSASTTMGRDGCIKSRWNVGGCDWDIHLYPATSKFGIGYGEPLFPNPELIFERLEWGHSTRWVALDLVFLGEPRPRSDPIRATFACRLVDQSGVLQPSQERSVSREFNRPCACSAPVVLMERYDLPESGYLIGDSLAVECSITVLKELPVPTIPAIKVIPPLPPTNLHQHFSELLQSGTGADVLFIVSGESFAAHKLILSARSPVFMAEFFGEMKENSSWLVELEYMEAAVFKALLHFIYTDTVPELDQELEAVVTLAQHLLAAADSTLAILNAVFATEGYEHLAASCPLVLADLVKSLSMGESVDA >cds.KYUSt_chr6.10257 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63159520:63163962:-1 gene:KYUSg_chr6.10257 transcript:KYUSt_chr6.10257 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLVMVMVPTASVSQEDSMESGRAIMERQTARRAPANMIQMLESLFTSINLAKDLTAKCRGRALQLTDDEMQITIQDLENVIGNICDHLGSIPASALGSNAYTDVADRPNRRSVYDSDMPRLVDFLRGMYHESHEFGGQMFNSLPEVTEYVEPLYDGFFCPLTNEVMTDPVITEGGVTYDRRAIEEHFEKSADSSEPVCCPFTKIPLQSKAVMSNASLKSVIAEWRRRNEAMRIRIARTALSLSTTEAMVLEAIHELKLLAKLRGKNKELMHKIGVTKFLSRLLDNHNAQIRRDALELLCLLVDDEEGKDIIGKTKAIARTIKLLSSNSTDERHAAISFLLELSESQLLLENIGSTAGSILILTTMKINDSDDPIAAEKSRAVLKNLEKCSKNIKYMAESGYLEPLLSHLVEGSEEVQMEMVSYLSELVLEQELTIDVSKSTSDILIKLVCSCNTVVHKAALNVLVQLSSHHPNNKVLVEAGVVLVMIEELFIRKIDDEPVSYKAKAATVLANIVESGIDPDTTVVNKEGHVFSSKYCIYNFVHMLKCFMPDDLNLSIIRLLLALTAFAKPLDVMVSVVRENHRGHAIVELMNSPMQALSIAATRLLITLSAHIGHTIAERLCKTQGQPGRLVKSISHAGHVSERHAASVMLLSSLPHRNISLNLGLVQEGAVPVILNGIDEMQNGATRTSRYAVPYMEGLVGSLVRLTTTLYNPTVLKAAKDYSLASVLTELLTGAAGSGEVQRLAAVGLENLSYQSIKLSQLLPEEDPRPKRKTILKRLMDSRVHSNKAPQHHICPVHQGICSTVTTFCLLEAGAVEGLLGCLENDSPRVVEAALGALCTLLDDRVNVEGSVSALAKLDGVRRVLGALRRHRENLVWQKCFCLVEKFLMYGNDRCVREVTGDRTLPTALVSAFHKGDAGAKQAAEGILTRLHKMPDYSAIYLSVEI >cds.KYUSt_chr5.30457 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193203382:193203906:1 gene:KYUSg_chr5.30457 transcript:KYUSt_chr5.30457 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGGDGGGKGGKGGRSGGIGGRGGRGGAAGPYGRGWERRHRWLRVPPWRRPAAPGATAVEKAGKEVTAAVSVAQEALVGEAASARPAGRAVKAETASSADMVAVAGMAAASARLAVLADQVAPEPVDSAGRAKAVVWEGRVGRAEPADRVIPFIWTRAYTCPSFSLHGVRAR >cds.KYUSt_scaffold_869.531 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:3439351:3442231:-1 gene:KYUSg_scaffold_869.531 transcript:KYUSt_scaffold_869.531 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSAQPWGPWGLEPQDPWIWTAHRLGRLLTPRVGAWTTVAATLLWPMKLSSERTCSSCDRKSRAQLSPTRKAQLRREPLLWSTGWMPRLHPCPPAGGLAIQVLSPVPVKFKGNTNLALYTTAMIAAGANEKIMVNWFPMALKGMTLSWLMHLPKESIVPGVSFAFASCSAVATLSPPFFTKCVYCPGIKSIASDPEKNTVTVVGAVDPVRIVQQLRKACFAADIVSMEDDKPKEKKTPCQEACEKACKERCEKLCKTCEKACKEKCEKYCKDKCDDCGKACQDACCKMSCTPGCYSSPCGLPSCNYYSHGYGYGYGYGEPVVPLGYGCYYGRPF >cds.KYUSt_chr5.19322 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125355887:125356978:-1 gene:KYUSg_chr5.19322 transcript:KYUSt_chr5.19322 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATTAAHLRLLSSSSSLSKPLLSKPHLLALSLSRPVSFQRLAARSAASPTPSTSSPSPSPAVDPAQLPPALRDIIALFQSVPDERTRYKQLLAYAARLPPMDPALKTDANRVRGCVSQVWVHAAPELDAPGCVSFQADSDAQLTKGLAALLVLGLSGAPARDVAMVPVEFIEMLGIRQSLSPSRNSGLLNMINLMKLKALQIAAGEEATDSQETHQQPAETPAVQKEEPQFAAFDTQKMPENSEAETPEEDQFQEEEPATIVEGNGSIGGGRKERIRHNLERGLSPVELNVEDISYQHKGHAGVAGSDGETHFNVRVVSEEFEGKSMLKRHRAVYDLLQDELKTGLHALSIDAKTPSEV >cds.KYUSt_chr1.12058 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74505266:74505595:-1 gene:KYUSg_chr1.12058 transcript:KYUSt_chr1.12058 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPVVLNASLLQDHHWRRAHRVDRALSSTTAKRYIAGPADLVWPRDREPVPAMARGFWGGRRQDERAEQVCGKMKRQSKRGSLQHPTSRDGIDLAARRRKVDSVATSA >cds.KYUSt_chr2.414 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2526129:2530388:-1 gene:KYUSg_chr2.414 transcript:KYUSt_chr2.414 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLPALAAALVLLATGANGFRIEEASLATIQQGFGNGSLTSVQLVRFYLDRIRGLNPLLHAVIEVNPDALRQAARADAERRRSSGRYLAAGAGGILHGVPILLKDNIGTRDAINTTAGSFALLGSVPPRDAGVVSRLRRAGAVVLGKANMEEWANFRSLPGTDGWSARGGQARNPYVLTASPCGSSTGSSIAAAASMAAVTLGTETDGSIICPASLNSVVGIKPTVGLTSRAGVVPISPRQDTIGPICRTVTDAVHVLDAIVGYDALDAAATVAASKYIPHGGYAQFLKKGGLQGKRIGVPNGFFNYANGTVQHTVYQQHFYRMWLQGATVIENLEIENLSVILDSLNNGEQIALPAEFKLSLNSYLSDLSNSPVRSLAEVIDFNNAHPVEEKLKEIGQLIFLAAENTTGIGAMEKAAISGLNKLSADGLEKLMKEHELDAVVTPDSALAPVLAIGGMPAITVPAGYSSSGVPFGLSFGGLRGYEPRLIEMAYAFEQITNVRKAPTFLP >cds.KYUSt_chr1.28575 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172672582:172676025:1 gene:KYUSg_chr1.28575 transcript:KYUSt_chr1.28575 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILGLVELGVSATVHLLFGFYVFSSAVAADISQAAAASGFPLLLRRPAVEAGLVDVAAEDHATVVLDGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGPEHSQVYGHARFGRIYDTGHYPVWDEHNPVHFVGHSAGAQVVRVLHQMLADKAFPGHDTSEDWVLSLTSLSGALNGTTRTYFDGMLAEDGRSMRSICLLQLCRLGVIFYDWLDIPWLKNYYNFGFDHFEMSWRKVGLSGLVDLLLGNTGPFSSGDWILPDLTIQGSLRLNSTLKTFPNTFYFSYATKRTRKLFGITVPSSVLGVHPMLFLRVLQMCMWRHPQSAPLPYKGYRDEDWEDNDGALNTISMTHPRIPVEHPNRFVVDDSDCHPLQPGIWYYKIIEGGVLQLCFCCPYSTMHRMKLVIEQEFTDQHQMSMTLMGLIEINSHVS >cds.KYUSt_chr2.36178 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223485454:223486920:-1 gene:KYUSg_chr2.36178 transcript:KYUSt_chr2.36178 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLLCVLLVLQALLAGYHQLAVTASAHQIGDDFLCQDPVRGPSGLMLSHAGSDDELNGDSACPGDWTREREEAVMDGAEAFFSGDEYTGEDEEDETMFIARDPDRCADEEEIADGEESPMLGGRGHDAVAEASKDSEELGSSSGGDVECPECGKVFRSDKSMFGHLRSHPDRGYKGATPPRAKPRLVPVSNRPSPSPVEDRPVAVARYSQRDPNLTAFEMLAGYIMLTLKHRDSRIAREREREQSVNVKREPDVSPEAEDEDEGSAVSKTGGDGVTLRDRHCSSGSVTTIEEDNPSEHGGSTAEPVRRPSEHGCTTVAVEAPTKSKRERTNKSKEAREARRKEKDHVASAGKARGPYICKHCQALFPTHQALGGHMAAHNKDRRVQAQNEQTALDLEAHHQNRQGGDEEVGRRGGMSASTRALLMERYTRMFNQGWQTRQETGGYRRQHTESEDGSTLPQVAPPVADPDRCRLFGINLNVQAPHQE >cds.KYUSt_chr6.14345 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89754786:89755526:1 gene:KYUSg_chr6.14345 transcript:KYUSt_chr6.14345 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPLLSLTDAATVAAALAPSRAPTRARTQAAARFPTAVSLRCRRARPLTAAAATGGSPSSAAFHGECYVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGSFAFVGLPTAAYPIPFVVPGEESSRYAVIIGGANFGCGSSREHAPVALGAAGARAVVAEGYARIFFRNSVATGEVYPLELADSGASKECKTGDVVTVDLDSSVLINHTSGKQYKLKPIGDAGPVIEAGGIFAYARKTGMIASKSA >cds.KYUSt_chr3.7362 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42586074:42593849:-1 gene:KYUSg_chr3.7362 transcript:KYUSt_chr3.7362 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFNVLLGSYCKKTGWATCPALFVVVPESLHLIGFTAKKSFAISTRFAYSAPYFTTHHRPLEVSSLHRLPDLARASSPHPRRPAMGAAADSHEEASPLLPPPAADEKQLPPPQDPVKGCADGVPVVMGEPVAAPPRETWDTGLLSCLGRNDQFCSSDVEVCLLGTVAPCVLYGSNVERLAAAPGTFANSCLPYTGLYMLGNSLFGWNCLAPWFSHPTRTAIRRRYNLEGNFEAFTKQCGCCSGLAEDEESREHLEVACDLATHYLCHPCALCQEGRELRRRVPHPGFNGLSVFVMMPPTEQTMGRAM >cds.KYUSt_chr1.26793 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161637836:161640237:-1 gene:KYUSg_chr1.26793 transcript:KYUSt_chr1.26793 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVCPPPELSFGAEYYSVVNGVCTRDESFFGGKPVLAQAVGYAVVIGFGAFFALFTSFLVWLEKRYVGGSQLQTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATVQVLLFGIMAIEIKRKAPNAHTVCEIVRARWGTSAHIVFLTFCLATNVIVTAMLLLGGSAVVHALSGVNVYAASFLIPLGVIVYTLAGGLKATFLASYIHSVVVHVVLLIFVFLVYTSNSSLGSPKKVYEHLMVVGSASRVCSGALSLPGQSCGPVEGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPVTADEAAKGLVPAATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLFTYDIYRTYVNPNATGKQILMVSRAAILAFGGFMGVLAVILNVAGVSLGWMYLAMGVLVGSAVIPIALLLLWSKANAMGAMLGAGGGCVLGVIVWLTVTKVQYGRVNLDTTGRNAPMLAGNLVSILVGGAVHIVCSLVKPDQYDWESSKQITRVDSAAGGDEDDDELQEEKLVHAKRWIIKWGVGFTVLIVVLWPALSVPAGKFNLGYFTLWAAIAIVWGAVGSAVIIVLPLVESWDTISMVCAGMLTNDIVYQRLDDVNLRLRAIMGAMPEAERHYQQLQRKGEVEMHPAGTHPAHDSDHLLEEN >cds.KYUSt_contig_2197.28 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:156888:158301:1 gene:KYUSg_contig_2197.28 transcript:KYUSt_contig_2197.28 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQRIDGADDLACTVRMGLDARGRMQGQAEHQAKVKPSRPERPGGPLSDSVLDDYLAGERLEYARSLAPLHPTSLDSVAHIFIDIDIFMWL >cds.KYUSt_chr1.39024 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238563344:238567036:-1 gene:KYUSg_chr1.39024 transcript:KYUSt_chr1.39024 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGGSSSAASAGGSLAITERQKPSCVAALFQMLAKRKLFSSSSNKTKLLAPARAQKLSPGSGRPPGGGEKTPAAKKRPLLLDSADYARSRSEGHGTSRWPPPSQDSDCSKMRTPGVVARLMGLSSMPSTSHERTSSDMDSTKAHDHLNECCQDLPGSSRSGGTSHQKQQKPGLMDERHQHATQFNADSQTLWSGRHHNHKVASPLKSPRSISSRNKARLIEAAARVLEPGLQSRNRHQARRHARLEYPCNGDGTARAATVHSLPDHFPRDMHEAGAPMSGARNVGGTSLHNSTSGQWSEENGKKIAAAAASRRPNQNSSWQVQPEGNSKVLPVSSSTEKPIFKDSDEMIFRATADTYQDVTKVQPRNVSRGNVAFSPLKQNNLKQNALLIASRTENTQHTIQKQKHRTAEQHVASTAKDFVALNKSMNSSSSLRSKGKVMDEIRMSHGSVQHKNLSTKGQRTNGLRSDSSNKPKLRTASPKGMEKDMIIAKGAGLVSEKPKTASPNYVRNDLLRQPEQRNASRFNDSDIVSFTFSSPMKAIPPSLLNENTRGKGSAVLGPPNGACPKRNSHRDSHISSERDLVSRKKIQATSSMETADSVCSNRDEWKNRYIPGGRATFLAEKASGFPVLEKSSSDEFLRELDNLMHGFGELPSPMELRETHKNHEVNGKAGNPSRSIPGGSRQRGILRSTYADENCTSGSPNYTSDVQPEERHLLETSTPPVSARDATAERNSRCAEPDSGHHGSRRHAQAAQDSKQNPGHHGAHRHAPVVQDSKLLVHAGELTSTADLLLANICSPDLRRPKAHSKAFLLRTSESVLATLTKNTPGSKTVALSPLQNLASDLVTECLDSMWARLCDSGYRSFSKLAMVTTEERLADEVRKEVARCGAMAGQALDDMAAGDVELAVVTGLGSLDEAFRVSAQIERDLVQELVHEIGLDIVQRL >cds.KYUSt_chr3.23987 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148802889:148808526:-1 gene:KYUSg_chr3.23987 transcript:KYUSt_chr3.23987 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPMHAPHVVLLTSPGAGHVLPVAELATRLAAHHGFTATIVTYTSLSSPAHNSPLASLPACVSVAALPEVPLDDLPADAHIVTRVLTVVHRTLPHLRDLLRSLLDSPSGVAAFLTDMLCPAALVVANELGVPRYVFFTSSLTSLVSLLYTPELARTTTCECRYLPEPVVLPGCVPLHGADLVDPVQNRSDPVYPLMIDLGLDYLVADGFIVNTFDAMERETLVAFKELSDKGVYPPVYAVGPFIRSVSDEAGKHSCLRWLDGQPDGSVLYVSFGSGGTLSTEQTAELAAGLEASEQKFLWVVHFPSDKDRSAGYFGTSADRGNDPLSYLPEGFVERTSGTGLAVPLWAPQVEILNHPAVGGFLSHCGWNSTLESAAAGVPMVAWPLFAEQRVNAVLVSSERVGLALWERPPIDSEGVVVPRGKVAELARELMAGEKGAAARKKAGQLREGAEMALAPGGPQRRALGAVFEGMRLVLRKMRRNNFLDRSRIDLVARYGSACHMFPCSTNVFEGMRLVLRKTRRNNFFGSKRCYRIDLVVACVHPSAAIRYLFSSCIPTRSWPRLTPSSQKSTPVWPRRRTGRLQLSPARDLIEEEADDDSGIEILMLAALDIRFLVGGAGIEVLKNFRHNVHGEGVSAKISGGRGSSKTSSYSMVKKALLMRNKANILLMSPGDTCAPHSNGLHHVDMETRKVVNRVAIPEGWN >cds.KYUSt_chr4.12716 pep primary_assembly:MPB_Lper_Kyuss_1697:4:78299014:78299445:1 gene:KYUSg_chr4.12716 transcript:KYUSt_chr4.12716 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRHVRHQRWRQRLRAGLSKASTRWLTRTAPGTLFNAGPGVSSRTQRRLEERGGKKRHGGIETPDAEEDSASAWEGVEWEGEPLGFEVSTEPMPYLPDPKQVDFWEGPQWDLLGFFVQYMWAFGVFFSVSTSSSVTSRCSL >cds.KYUSt_chr3.8183 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47130258:47131929:-1 gene:KYUSg_chr3.8183 transcript:KYUSt_chr3.8183 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFGAESFISNLEPQHASYGDIMEFIRMGFTVKFPFHLSRRFSRVEIIDKCVNNSTSYFKKVISDPIVMHWFPAIFFTGNQFISCLYLYYAHGYPISHELYLSSETIIYSIDVLKFLFGLCRFVLAPLAVLIFLAHKYWKTRIIIDAVEKFLRMQQMIGPVRYAYTDITAITGHFRDKLGQGGYGSVYKGVLLPGDVHVAVKMLEGNSNCNGEDFISEVSTLGRIHHVNVVRLVGFCSEEMRRALVYEYMPEGSLDKYIFSAEKIFSWDKLIEIALGIARGINYLHQGCEMQIVHFDIKPHNILLDGNFVPKVADFGLAKLYPKNNSFVPLSVLRGTVGYIAPEMISRSFGIISSKSDVYSFGMLLLEMAGGRRNSDPNAANSSQAYYPSWVYDRLKKQEEEDEISSAAAEMHDLQVAMESSSSSLLLTRQSAMGQREAALGSSSSPLRLTSQCHVGRRVASPCLGPSPIGCFGWRWWCRARGRAGARRR >cds.KYUSt_chr6.15560 pep primary_assembly:MPB_Lper_Kyuss_1697:6:97776328:97776759:1 gene:KYUSg_chr6.15560 transcript:KYUSt_chr6.15560 gene_biotype:protein_coding transcript_biotype:protein_coding MYLWPSSGFSGWSTSYPWPAVAARGDGKWRLSRELRLGDGGELLRRRASALSSLELINRGLMPMVNSALPLHIMVERRPSKAMLRWSIFLQDSSRCGGSLAPAAAHLTVVLAQVVRPRCRYSWRGTGARFLTVEKDPEDPIAF >cds.KYUSt_chr6.33523 pep primary_assembly:MPB_Lper_Kyuss_1697:6:210568770:210577103:1 gene:KYUSg_chr6.33523 transcript:KYUSt_chr6.33523 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGVVLLFRDKAYLHPDKVIQHVLGVPRGSDKEPEVSEDDVEKEGWSSRAEALSFGSCWCIKHSARDFSANDKIANGCQGYRQSELKPSTAVMDNDAIIDRIQKSTSGLKQGPVGHTLSSAEKRKFLINTLLVLEDSKEAVYSTLDAWIAFEQDFPLASLKQAIVALQKEEQWHRIVQVIKWMLSKGQGNTIRTYEQLVRALEKDNRAEEAHKIWEKKIAHDLHSVPWCFCGLMLAIYYRNNMLDRLIKLFHTLEACGRKCPSKEYVRKVEVAYEMLGLLEEKNGLLEKYKDLYNKPSDSDRKKGRQFKKAEKKSAEGTEQCEETYEDQPVKTCPSDKEPAASNAILMAQSELDKELMQRIA >cds.KYUSt_chr4.13300 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81987095:81987769:1 gene:KYUSg_chr4.13300 transcript:KYUSt_chr4.13300 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVKIVALVAAMAAVVALSFFLRYRCRHLPSPFLPGGERLVIVVINEQQVGEELDLTDGNVQLLCHGYSEHNLSNSVWSTRADIPSAAAAHMTLPAVRGDEVFEVLCSYRGSNRCWAHGVRLFWNPGHDVLLCRELGGGCEVRFRKDGGVEKQYGTAGYKAQYDAQPPLFLGFVPDFDNARDGVCSSSSCIGRTVNRVIGEESCCDDSCGGWEMAAPKNSS >cds.KYUSt_chr2.34184 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211269835:211270308:-1 gene:KYUSg_chr2.34184 transcript:KYUSt_chr2.34184 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRWPSSGSPAPARRRRRRQPCGCGSSRWGGVAASPPAWWFLCAGWRPAGCCWSSAAPWRWWPDRAGSGPSTSSAARSVVALLRDGAVVVAGVCGPVELQAGFGQLRGDGGLDVPGESLPGYVRPAVAAPAGVVPSLEALPWSSLGLQARIRLFG >cds.KYUSt_chr4.42201 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261023963:261024935:-1 gene:KYUSg_chr4.42201 transcript:KYUSt_chr4.42201 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLKMQIFAKMPTGETMTLEVENSDTIADVKAKIHGNEGISPDQQRLVFAGKQLDDDCALADYSIHKESTIHLLLRLPGGGRYPWKYEPNNRTLAEKYNSHKLVCRKCYARLPLGATNCRKKKCGYSNQLRLKKSRYLRGWFSH >cds.KYUSt_chr2.36542 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225550890:225558884:-1 gene:KYUSg_chr2.36542 transcript:KYUSt_chr2.36542 gene_biotype:protein_coding transcript_biotype:protein_coding MVITSYLPVVKLQGNIGKITPTATGFARQQNCKRLLPNKSISIEFSSFCGSLLPSPLLLPTSRSTGNDAGAAEMAPPRTVICVGDVHGYISKLESLWANLQAALPADAFAAALVIFLGDYNDRGPHTRRVLDFLLALPARHPAQRHVFLCGNHDLAFAAFVGALPPPPDGSPFAATWAEYIDNEAHEGWFRGPGHEEMHVQGRRWGGVIKERWNPKKGLPYRGSIYDAQPTFESYGVAHGSPDLTKAVPEEHKRFLHDLVWVHEEENVPIDTDEGQIVCNLIAVHAGLEKSMDLNEQLRVLRTRDTRVPKVPMLSGRQDVWNIPQDLVGKQTIVVSGHHAKLHIDGLRFVIDEGGGYEDKPIAAVVFPSKQVIRST >cds.KYUSt_chr6.30598 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193968674:193969257:1 gene:KYUSg_chr6.30598 transcript:KYUSt_chr6.30598 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGGARGGARATPNALDATAVDRVNAADLPSPVAPGAAVRLTLAKVKDGVEVARLVEGVREASRAAAAEGKGAGVSFGGTPRSNNGEAVVCVVVVGVGVGVGGHLGGLDGAAGGGVPERAGGGGAVDVVGEVRVAAVVAAARGGGTVRHRHCGA >cds.KYUSt_chr7.14053 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86871844:86875670:1 gene:KYUSg_chr7.14053 transcript:KYUSt_chr7.14053 gene_biotype:protein_coding transcript_biotype:protein_coding MIQASTTYSSSPLLANAAALLFATKVAAQVQAQGATFFIDNLALARAASVTAVTNDQSDARRLFGEMPPGEQNRTAPPVRAGDRIGALPDDILHHLLSFLPVQSAVRTCVLARRWRHLWRFTTGLRIVGIQRQGPVQELRKFLDHLLILRDRRVLDTVEIEFSEFLKEDVPYVNLWTRFAVLWQVRELSLHINHCEFLHLDGLPLVSQHLKTLDLHGVGLQETFLDFSSCPALEDLKMKDCEINVHKISSCALKRLSIIYCQSKLNCQARVCTPCLISLELDKFAGRTPFLENMVLLENARVDLGSSCEDFCLNYDEFGSFCGDNDTGCENCVANNDGSNECVLLGGISNATHMELISESDIGGDIIEMIGSYSSVERSSAISEHLKIVEVKFHEMDMTTRKVLKFLCTFNIRFSIE >cds.KYUSt_chr1.19348 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113644060:113646505:-1 gene:KYUSg_chr1.19348 transcript:KYUSt_chr1.19348 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNNIGMMDGAYFVGRNEILSWINTTLQLGLAKVEEAAPGAVACQLMDAAHPGAVPMHKVNFDAKNEYDMIQNYKVLQDVFTKLKITKHIEVNKLIKGRPLDNLEFMQWLKRYCDSVNGGSMSSYNAIERRDSSKGGKETNRRTSVTSHAPPKSASATNKAQASSHGAKRANGHASNAPQRSAKPAPANTAGPAYDAQMTELKLLVDSAEKERDFYFSKLRDVEILCQSPEVEHLAIVKAIQKILYASEDDPSTLAEAQAEMVAQHNQQLQQQPMLSPILEASEAPSITPKDSSEESLMRQEAAAAHKRKSISDMEEFEMGSSSRLRLSDVSDVQLCGSPLMSFT >cds.KYUSt_chr7.7145 pep primary_assembly:MPB_Lper_Kyuss_1697:7:43012389:43015226:-1 gene:KYUSg_chr7.7145 transcript:KYUSt_chr7.7145 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTNSRCKVGLFGAIGDWYHYCLNILVRELCISIEAQVAMEENAGRSTTTVGFLRRGSGVSLRNQSNEERPNQSNNKPGNTTKINPTKTRWAENKEQPRYLHDSFRSSGSKSIAASSSKAPVRKNFEEKPRRPFSTQINNAESSNRRTVANRLQSRKKAIVDEEDVHPSAQQIESEDSLSTSTTGDQPTELDPEVLLALDRIEHDDELSYEQLLVLETNLFLSGLGLHDQHRDLRMDIDNMSYEELLQLEDRIGSVSTALSDEQIAKCLSRNVYKRTDQVLELNRAVVDDTKCSICQEEYIEGDEIGRMKCEHQYHVCCIQEWLRLKNWCPICKASAVPSDMDKGKHMNLG >cds.KYUSt_contig_686-1.1152 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:7082798:7089477:-1 gene:KYUSg_contig_686-1.1152 transcript:KYUSt_contig_686-1.1152 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKERGLKAWNAMKALGFTKTKVGKVVKRLFKLFDDNWEPIEEDNYRILIDAMLEDGSNEPMPATTSQGVDEDPVALSHRGTNEDSGPHSSTWARQEYQHPHSSASASRYDVDVSDNESSLIKRPRMSSADRMHGRALQPASQAIMALPAVHQSGGHGEPSALVTRSKDKDVLLESPQGVLLLEESKPESEFDVRAASVDKRLNIGGGKNMLIKHGKTRGMLTSGADARVSIVNHNQNLDSSQHAVKNGVGSTVQNNNQQEPSVEFDVASSTMGEVKMSLKCKFDPSEVCINLDEVFKMIEDRCLRSYKTLPPDFSIGKMMNEVCQHVAEYGAALSKVQSNGGSSQEEAVAPFVKPLACQRAANGIVFPGSSAPESSGPSFQNWVVPYESELPSEQRPLHDVADISKGEERVRIPIKNDFTDESCPPLFYYIRKNLVFQSAYVNTSLARIGGDNCCAGCSGNCLLAPLPCACARSTADDFAYTPEGLLRTSFIDECIAVNQFPEKHNKFYCEACPIERYKTEALPDPCKGHLARRFIKECWSKCGCDMQCGNRVVQRGITCNLQVFFTSEGKGWGLRTQDGLPKGAFICEYVGEILTSSELHGRIVENAKNGKHLHQLLLDASWGSEEAPRDEEALCIDPTFYGNVGRFVNHRCHDANLVLIPVEVETPDHRYYHLALFTTKKVEASEELTWGRGPEQLQVETREWLGQEEVKKRTFAGRSSRSSSFLELSSVVFAVGFAFGGEPLVPGPIGNASVTIWAWLNRLKDDVAEKWGKRPMDEDVAARHRPSVPAQELLISLQLEPASRD >cds.KYUSt_chr4.50179 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310885957:310889203:1 gene:KYUSg_chr4.50179 transcript:KYUSt_chr4.50179 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRSRRRNVGFELRANKEIEAQHRRSSSLAVATPGASSRRRCAPRGKWPGARVPQRTEAPRASHHRLYFPSAPISGRSPTAARIGAGGGGQIRKKRGRGRRGRARAEGVYSAGIGGLSARKERVGFGELDAAYWRAALMGSMLQTGVLEVAPADWMAAPAGSIEDGMGRHVSAPLLTPAGNEANVADDQRLAGGVEVRYEQRSQYYLILLGIEAMGESWMGIGHDGE >cds.KYUSt_chr6.30955 pep primary_assembly:MPB_Lper_Kyuss_1697:6:196228378:196229713:1 gene:KYUSg_chr6.30955 transcript:KYUSt_chr6.30955 gene_biotype:protein_coding transcript_biotype:protein_coding MWLIRAEGGGLGFLVLSGFSLQLWKRQTDCDGVASWVLGRTIALDKLLPINSDDEQIPVILGYAEDNNAVFVWTSIGVFMVVLSNNAGKPLRFWYKFTV >cds.KYUSt_chr1.2210 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12923076:12928971:1 gene:KYUSg_chr1.2210 transcript:KYUSt_chr1.2210 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVASVVVKQAIRSVVAAAGSPMARLWRNCKADLEEMRSTLNLLEAGLRDAERRSGNEEAVRVWLQLLKAVARDISGTLDQPPPPSWKIFAKLSLVNKIEQLKKKLKAVEEKRHIFGFTFHNSSIIEDKDARRETVACIDDEFSIVGRSREKEEIVRILLQSERKMTILPILGLGGMGKTTLAKLVFNDSRMQDFERRAWVHVSQNFNLARIAKAVASQFQGAADGFDDLQSLYNQLENISSGKKCLIVLDDLWESDIELLRKMKLMLNCGKQRSMVKIIVTTRIESIAQELSTAGPYKLGPLSDDNCWTVFKQIAFQSTNEEDLYVLEAVGRDIAIKCKDCPSLSALPNSICDLVNLEILNLSGSTLEELPKGLGNLKKSILKLPNLKSLVVKNCFPPIEDQIKQSALDNGLMSLPKFFVCAMAGGESSNILQLEAADAEELEIKFLENVVSLEEAKKVNLACKSRLSMLFLSWTGNAVDHLVDDESLLGELLPPTTLEQLILQGYMGIRFPSWMCSATYLTNLSRIELHNLQGCTELPSLGQLPNLQELSLRALPNIRKLDKNFCGGNRAFKKLTKFVMQDMNNLEVWYTRVLIDAEYKREEVMFPILHKLLIHRCNKLMVKPCLPVAAEWVIEVNDIIVSSWDGKGHSNIISNSTTCLEISDCHVKPDGWRLLYHLPGLCKLKLRMCNELNSLPGSIQVLTSLRSLLVFACHSLTELPEWLGNLTSLQELEINYCPKLESLHGSMQRLTSLRLLHLGHCNNISSLPEWFSHLISLQRLEISGCQLIKSLPRSMQHHRSLRELQIRHNPELKQWCEFFLDDKEIV >cds.KYUSt_chr4.18866 pep primary_assembly:MPB_Lper_Kyuss_1697:4:118426963:118427973:1 gene:KYUSg_chr4.18866 transcript:KYUSt_chr4.18866 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKIPINEGARPRGKEYAKMTQGLRKKASELSVLTDARVALVCAPAAGARSPLVWESEGGGVLDRYRALPPEKRARHTHRSYLEAQLGKESATLARARQGACHGVLPDWDPALNDMTREEALEVLRAIDAQLRATGDRMAALGSPLAPAPEDDDASEDAVVAPQQLVGIDYDGFQTQMMPYHDRSDNHVGGEGPLEQFLMNPGYGLECVGGGGGDYDAGAVYDMVAPAGYGDNAGCVWPDLTMSYAADESWDAVMPVGYYPDFANDGGLAPEHYYAQDVTGGAYASTLQLEYPLGMNDNFAYLDMDNSYAASAHWQVEEFQSCDIGTGHYQYHC >cds.KYUSt_chr3.24858 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154288262:154292557:1 gene:KYUSg_chr3.24858 transcript:KYUSt_chr3.24858 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAANLCYARSSTISASLGTPAPSNSASFRPRLIRNVPVQAAPVAPALMDAAVERLQTGFQKFKTDVYDKKPDLFEPLKAGQAPKYMVFACADSRVCPSVTLGLEPGEAFTVRNIANMVPAYCKNKYAGIGSAIEYAVCALKVEVIVVIGHSCCGGIKALLSLKDGADDSFHFVEDWVRIGHPAKMKVKKECSSLSFDEQCAVLEKEAVNTSLQNLKTYPFVTEGVANGTLKLVGGHYDFVSGKFDTWEL >cds.KYUSt_chr7.38448 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239593654:239593992:-1 gene:KYUSg_chr7.38448 transcript:KYUSt_chr7.38448 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLLVLLVAASWSAVSVEGQTVADCQVADASVNVCLSVIDATADILDVGKYLANCCTALLNDRDNCLLCELMALRGPLNNLIKCPNAIKEVTCQKEQADGPAPSPAPQSG >cds.KYUSt_chr6.31561 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199490185:199490736:-1 gene:KYUSg_chr6.31561 transcript:KYUSt_chr6.31561 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTSDGSGTLEWLHVVSSPPLLRTLKLYGYLGEVPVWFGSLMHLVKLHLEDNQLTEEGKPMEILGALPNLMLFSLGWNSYIGEILVFRTGAFPNLKKLFIFRASLHDCLREANFEDGTSPRMEMIEISNALLKSGIIGINCLPRLKEISLGYGGKVANLAVLKGGRTPQQSHVKTEGELQPP >cds.KYUSt_chr2.24721 pep primary_assembly:MPB_Lper_Kyuss_1697:2:151224406:151224795:-1 gene:KYUSg_chr2.24721 transcript:KYUSt_chr2.24721 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVFRGPAWDAGQELHEVRIQVRKHFRPELLNHLSEIVIFDPLSHDQLKKLLACRFCRGCAALDKQLHLTLQTHQVIPIMPHGPS >cds.KYUSt_chr7.30429 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189457584:189460647:-1 gene:KYUSg_chr7.30429 transcript:KYUSt_chr7.30429 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARASSSRKPKPPKRFADEALAVVIRRPRGKAASASGKKTTKANAVRKARRITAPTSRKKKNAAAAAEDDDDQCADEPDQDTLAEDEAEELAALLADEEPGQRKRRNRVAPQRAAEAWEEGDPEFVGDPVPSDEARAAFPERYMPVAAAAAKRKGKEEEEEEIKARRHYSAAKVEEIVYSIGDDVYVTAEEKKPHYIGRITELFEGTDHGRYFACRWFFRPEDTMISTTKLVDDHSHDPKRVFLSDERNDNPLDCIVSKVKILQVDPKLDQETKSQLSADWDLYYDMSYVGAYSTFANIRNDPPMEDEEENGDLEKDEFVVDKLTEICYGGCCNNTVFEQVQWKGFGREEDTWEPIDN >cds.KYUSt_scaffold_869.950 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6025474:6032177:1 gene:KYUSg_scaffold_869.950 transcript:KYUSt_scaffold_869.950 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLQSAGLQHLAVSSSATGPGPGMAADLRGGAGILPNLLMQGYGPQSIEEKQKLYMLLRSLNFNGESASAPISEPYTPTAQSLGGHSIDGFYSPELRGEFGAGLLDLHAMDDSELLSENVASEPFEASPFVPKETDDDEDDVMSGSQQGLSEIYSGAITSEKENNTRESNVAKIKVVVRKRPLNRKEISRKEEDVIDVHNSQFLTVHEPKLKVDLTAYVDKHEFCFDAVLDEAVTNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPIRAAQDMVRLLHQPVYRTQNFKLWLSYFEIYGGKLFDLLSERRPLCIREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNASRSTGSTGANEESSRSHAILQLAVKKHIPVVETRRQRDRDANEAKSTKLVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPGSGSCEHTLNTLRYADRVKSLSKGGNSKKEQFTGQFVSSSKESTNTSYPLSAEGEETMDQIQENRYIDASRKVVEINASSSVDPDRNSFSMIPSYPHRGKEETSSTSALNDRERVDLKSNPTGYSSKAQSLQNSVNSQEEDKVTKVSPPRRKANISEKSERQSNYVKRENGPELSRIVHKQQLKQQQQQQRPSSTSASQVSSKQSEKESSCDDMEINAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVAQLNFLLSRKASGLVSLQARLARFQQRLKEEEILSRKKPSR >cds.KYUSt_chr4.40442 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249541827:249543188:1 gene:KYUSg_chr4.40442 transcript:KYUSt_chr4.40442 gene_biotype:protein_coding transcript_biotype:protein_coding MRAADAAYDRAAELRALDATFAGVRGLVTSGITHVPRIFRVPDDVHCHEPPNELTVPAGVQQEPAAAIPVIDLGSADRAAVVAAVGRAAEEWGFFQVTGHGVPPESIAAAVDAARAFHEAPGGEGTDKAQLYTRDPARPIKYNCNFDLHQSKVANWRDTLYLRVEPSPPTAGELPDSCRSDVLFDYAKHVRKLWDTLFGLLSEALGLDPTHLSDMGCNKGQMMLCHYYPPCPEPELAIGTTHHTDAGFLTVLLQDGVGGLQVLHESRWVDVEPIPGALIVNISDLLQIISNDRFRSVEHRVVAKNSQSRVSIACFPSNPSSTRVYGPIKKLLSEDNPPLYRETLAKDYSLHHHSVGLGPKKKAINDFRI >cds.KYUSt_chr7.9455 pep primary_assembly:MPB_Lper_Kyuss_1697:7:57676565:57682137:-1 gene:KYUSg_chr7.9455 transcript:KYUSt_chr7.9455 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPARRRPRLPSPDISAAEILDSLPPAILDEILSRLPIRDAARTSVLSRAWRRRWESVPYPVLNWPRGTPSAPIDAVLAHRTCPVSEFRHQYVSELEFHLSDLWLLRLASLGVQSLHLKFKWLKADGIVRIPHFHMLHSHIFSCLELIVLDLESCDFPGLQYGFAGFPNLTTISFCNVRFPRGLSGLEALISSSSSLRRLRLENLRMPNKYEQWVIHAPNLQSLLISSVFDYGWQVDDLPSLEVAEIKLRNYSNDQEIVNLISRLDQARILQLDMPLGFHFEEDDEVDINVLNGQRADDPFSSLTSVHMKNVTWDSYEMTFIQFILSEARQLEVLSIHESRGHLTSDEEAFVAAEVARYRRASPAANVVISRIP >cds.KYUSt_chr5.16780 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108023214:108029922:1 gene:KYUSg_chr5.16780 transcript:KYUSt_chr5.16780 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO (small ubiquitin-related modifier) E3-ligase, Abiotic stress response, Stress adaptatio [Source: Projected from Oryza sativa (Os03g0719100)] MSCNSLDFQGKERSRKLHEPANSVAAPKNQVDPGHSMKTKKKLNESAKVEVKVRCPCGNSMANGPMIKCDDPQCNVWQHVGCAIISEKSADNVPPELPSSFYCDICRINRADPFWVTINHPLLPTAIVPSKIASDGSYTVQHLEKTFPLSRAHWEMIQKAEYDIQVWCILLDDRVPFRIHWPLHSDVQVNGVHVRVFNRQVTQQLGANGRDDGPVLTEYCKEGPNKIVLSRSDSRMFSLGVRIAKRKSLQEVLSLVPKEDDGEKFDYALARVRRCVGGGAEADKADSDSDIEVVADTVSVNLRCPMTGSRIKIAGRFKSCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRIASLIQSCEDDVCEIDVKPDGSWRVKGGAKLKDLARWHLPDGTLCVATSIGSRPNTDIVKEEIKEVSPSEQLGCRIKLGIRKNNNGKWEITKRGDVNSMPSSDNDQSENFENGNSVSSTSNIDLENTEDSEPGQCVHDLDSSPVDEHVPPVPIEQDIIVLSDSDDDDDNVMVLSSNALNCNSADYTGDPFPPNPPKTSGTSKEQPDGAPVEASFLMLTEDFEELGLPFWGYPSNPQDDPAIESTNGLGEVQNFAANHQSLLDPVSGVNSVATPAANLLEDGHDSSLQAPLDHSCAGRSLSTANNVSRKRTNPGDEITALDALALAEDLALDRMHIVLDCKSVVSDIKDGYMGIYGSWFLR >cds.KYUSt_chr2.8799 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55392963:55394714:1 gene:KYUSg_chr2.8799 transcript:KYUSt_chr2.8799 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYVSNRKSKCISKKLLTTITGAKPDESEFDSVDAPLEPQTWEGSFLCGLLKSQPHIFVVAAAKQLQQMSIERKDTLTRWEHSIGSSEDCLHRRIAKMKEHECRTAIEDVMYVLIVHKYFKIEVPMVPNLSKLISNRRLRIWPPREADLESIHGPEELGLIREHLTNIIRWVHRNGPKINLSTLRVKRLQLGRIYSASVMYGYFLKSVTVRHRLELTLARPQEFLQPIQFLNAQLATTQKQEEKEAVCSSAQLLSSSKPSSVVDLHDLKSYIMGFDPKTLELCAKLRSSEASNLIEKHSQALFGENVGSTENDEAVILDPASLKRLLLEAIAFGSFLWDVEDYVDEIFKFQDS >cds.KYUSt_chr1.18377 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107522035:107531265:1 gene:KYUSg_chr1.18377 transcript:KYUSt_chr1.18377 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDVRSVRKSSALRARGPAKLQATRSMPLDYRHAPPKPAAPAANGVGRRAADVEEEEAAPAERDADSPYSSAAATAEEEEESAAEGGGEADSASSAPPAAPAGPSSQRDTRWGDTSSYGAKKKQRVFCQLPNGDWALCTVITTSGDESVVKVPEGKVLRLQTESLQPANPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDMIYTKAGPVLVAVNPFKKVALYGDEYITSYRNKTMDSPHVYAIADSALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGSGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTFLLEKSRVVQCAVGERSYHIFYQLCAGAPPTLREKLNLKKVDEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQDNVFAMVSAVLWLGDVSFTIIDNENHVEIIVEEAAETVSRLLGCSIEDLNLALSKRHMKVNNENIVQKLTLAQATDTRDALAKALYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQDCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLDNNSCFRGERGKAFTVRHYAGEVAYDTSGFLEKNRDLLHMDSIQFLAKCKLSIPQMFASKMLAQSDNLESVPYRPSVADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYGQELVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLENTRNRTLHGVLRVQSCFRGYQARRHAIERMRGVLALQSFIRGENARQTYSSLLRKHRAATLLQRNLRGWLARRYFLKIRKASVVIQSGIRGCLVRRCAGNVDLLNVLRELESKKEAEGDQILIKASFLAELQRRILRAEATVREKDEENEMLHQRLQQYENRWLEYEQKMKSMEEMWQKQMRSLQSSLSVAKKSLALDDTPRMSDSSVEQSWETNGNHVGGGSQLVPRITGREMNASISVIGRLAEEFEQRSQVFADDAKFLVEVKSGQADASLNPDMELRRLKQNFDSWKKDFSSRIRETKVILNKLATTGSSGGGNDSSPNSAKKKWWGRLNTSKFS >cds.KYUSt_chr5.20571 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133688110:133695396:-1 gene:KYUSg_chr5.20571 transcript:KYUSt_chr5.20571 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYVDQGHKLKLYEFDMVGIMRKIINYVASVMYIVLAQDSLYLYMNLDDADRIDILTFGILWTRRLGGDLGALYGDNRAYKIPGGISNLCYRDCAYWMTQLKTIFDVSVEVMAQQRIMRQFVFRQLVDPPPPIVPLADYVHKYNRKVRCKYKMGCRHWRAAEESSAGTAFLLSLITPSKRSLVQNLLTDMIAPSDVGLESEAQAVRVWRRPRALNRKTVFPSSPAIRYRHIYECKSFSIGIFCMPASSIIPLHNHPGMTVLSKLLYGKVHVKSYDWIDIDDSRNLSKVRPAKVVRDGEISAPCGARVLGNIHAMKAITPCAILDILSPPYSSEDGRHCSYFRRLPKSDPSGILLDRTRESEFVWLEEYQPSDNFVIRRDLYTGPTLNF >cds.KYUSt_chr3.8463 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48975809:48978345:-1 gene:KYUSg_chr3.8463 transcript:KYUSt_chr3.8463 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPLWYRFGTAWDPPQDPPPATLVLPARAFPLQRRPSLRRSSPPSIAVLLLPPGAPKLLAETPPRPSGSMAQAKRYVLRLFISLKYVTANVVDRQSGRVVVTASSVEKPLRDGLECGRACNAKAAAAVGEVLAMRLRVDGLASEPIHAAADKEVQKKGFKNRTKVWAILNALRDHGVNLRVDDDGDHRSHV >cds.KYUSt_chr1.36881 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225018791:225024308:1 gene:KYUSg_chr1.36881 transcript:KYUSt_chr1.36881 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEENKNWHFGISSIVSIMARRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDTPACDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHLIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEIGSLPDVFVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGATLEVPPCPL >cds.KYUSt_chr7.29639 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184441800:184449698:-1 gene:KYUSg_chr7.29639 transcript:KYUSt_chr7.29639 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRTPTGAVLGAGRASRLRVRSERKERPPQAVRASAPREHDGVRALGKAAAGLAAAAVVSLTGFAGDVVAPPPARAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYGKISGMVSTLGDPFTKIISPKEYQSFRIGSDGNLQGVGIFINTEPGSGRLLVMNCVQGGPADRAGIREGDELVEIDGNSVLGLDGEAVAQRLRGRAGTIVQVKLLDGTGNESSGRIKPKEVQLSREVINLSPLSTAIISHRMGGGLEGKTGYVRLAAFSQTAAAEMESAVKKMEDEGVQSYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTVDREGNVRAINMVQGQSLTHDPLVVLVNEESASASEILAGALHDNGRAILVGHKTFGKGRIQSVTELDDGSALFITVAKYLSPALHEIDHIGIQPDIQCSPDMLSSLPRAPPLSSEGSEAVAAGLEMDSCIMVAEQALEIQQQSKGSAS >cds.KYUSt_scaffold_6468.926 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4455909:4459162:-1 gene:KYUSg_scaffold_6468.926 transcript:KYUSt_scaffold_6468.926 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHRQSLGNVISGEAHFSLRLAWAVRDAFSGRALVLGKGHGGSVLRWRCSREKPCDDEAEEPCQLRARHRPAMTTSGAGHWFLGRWTLELESKVSAPRKFRACVMDWHTLAPKLAPHVIDNAHHVEGDGGTGTVRHYNCGSAVPFNAMKKKVEFLDVDKCECKYTIECDGVETSTWNIKMKPTANGGSVAIVECTSKGVQANDMMLKAKESAAEMFKTVEAYLIANPNAYN >cds.KYUSt_chr2.37878 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234453159:234458365:-1 gene:KYUSg_chr2.37878 transcript:KYUSt_chr2.37878 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVQLGRKAWFVVRVMSGYEQRRIRSYRLQLQQRLEQAQARKEQVRKQPEQIILSEVRQVVQQMQALKQHFEEAETAIEKIFNPIDKNAQMITNMQMEKEEAQAKEMAKVMRDQIKMQRELAMRTAESTAAKPIDTQASETAAESLPKQETGK >cds.KYUSt_chr6.18215 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114605484:114609713:-1 gene:KYUSg_chr6.18215 transcript:KYUSt_chr6.18215 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHLPPQLLQQQQQYGDPYQALVMSPQPDHLHALQYQQQQPPPPQQQATPPPPQQQQHSSLASHFHLLHGFDHKIQNLSGRPHKGGYNKIETLGVLELESLLLDILSQYYDGLVTPSV >cds.KYUSt_chr4.25013 pep primary_assembly:MPB_Lper_Kyuss_1697:4:157273316:157274015:1 gene:KYUSg_chr4.25013 transcript:KYUSt_chr4.25013 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDDKAKSKGRLHAVNIAARIAAMGLAVASAALMATASQCTIVLYNGGPAHTVTYSNFGPFVYLVVANAIAAVMIGVAIFLSVWKKGSGKWSKALLPILDVAAPALLYSATGAAFATSEYLSYCSPNGRRVSVCDGALGGTRNFCSQVRLAMYISLAAAGAVSAAELVKNFKLGTLSFGDSDSSGSESGSDDGCAHGCKH >cds.KYUSt_chr6.13441 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84158652:84159452:1 gene:KYUSg_chr6.13441 transcript:KYUSt_chr6.13441 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVAIAKDVAAEPELLLDRSRAITVQGADKRGRAVVRIVGKYFPARALGGRAEEALRAHLQSRILPEVGEREFVVVYMHSLVDRGDNCPGLGAVRAAYESLPPVARDRLRAVYFVHPGLQARLFFATVGRFLFSSGLYEKLRYISRLEYLWAHVEKTELEVPECARRHDDELERRPLMDYGIEAADRRCMFDAASMDTSASLHSLRCIS >cds.KYUSt_chr4.44753 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276982843:276987170:-1 gene:KYUSg_chr4.44753 transcript:KYUSt_chr4.44753 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAARGLKSVSRAALSWKPTGLAQQTLAAAVSRSGVGLHSGKTTTATLLPARAGEGRYFVVEGEDTRVAAEVGNAEGQSPLCTTLRSECGVARVRTVEHLLSAMEALAVDNCRVEVSSGDEIPLLDGSAQEWVEAIRAAGLCAAEDVSGQNLEKMAPKIDQPVYLRKDDCFVAAFPSLQIHITYGIDFPKVPPIGSQWFNTFLDDDIYSTKIAPARTFCIFEEVEKMRSAGLIKGGSLENAVVCSMTDGWLNPPLRFEDEPCRHKILDLIGDFSLLARNGSQGFPIAHVVAYKSAEKYGSLYPVCAQLTELNIARPELVSVNVPFDLE >cds.KYUSt_chr1.41562 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254851303:254851873:-1 gene:KYUSg_chr1.41562 transcript:KYUSt_chr1.41562 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGERPQTTQGGIPSLRGTRGRPAGAVPAHSASSATTTAMEGESFRGRDPAVPLGGSRLPGHGHHLQDLVGVAHAQDSVQCHSHSHSRHLPAMSRAMAATLGEAEALDHGRMGGAEKLARKGEVGPEQRAVANCAPVFVQDERGKEDVLLFLEIK >cds.KYUSt_chr5.18889 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122159310:122165678:-1 gene:KYUSg_chr5.18889 transcript:KYUSt_chr5.18889 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAAEIVADKQCAKGVAESSDPAPSACQPQERTGGAGKSGPASPRAVPPPGDVATSSGVKEDDKGCSSVDGSLKLDDDEDAAEKSSMRGSVKDSSVSAKFSDGTSSLTKASGSTKVSGHAADFVASGKSSVYRPSAGSDVSDDSTCSSICSSASKPHKSNDSRWEAIQAVRTKEGSLGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKIMDKASLAGRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKFFPEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCDVSPTLLKSSNPGVDPNLKSNPAYCVQPVCIEPACIQPACVTTTTCFSPRFFSSKSKREKKEKKAKADIASQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLYGKTPFKGSGNRATLFNVVGQPLRFPESPVVGFAARDLIRGLLIKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKPVEIPRPVASTSQKATPSSEKAPDSYLEFDFF >cds.KYUSt_chr3.38181 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240310601:240311170:-1 gene:KYUSg_chr3.38181 transcript:KYUSt_chr3.38181 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPTRRLRGALLACATAAVLLAAALAAVTLAVYRVREPVMTMNAIALKDLDAASWAARRLTMVVDVSVTNPNAASLRYRPSETRVYYRSRRVGEAVGPPGMAHARGTVRLNVTVGVSVGALLDDPGFLADVSAGAVEVATSTRVRGRVAALGGFVVRRCVLLEMNCTATVAVADMSIRTQSCLQRVWL >cds.KYUSt_chr7.25380 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158362757:158366181:-1 gene:KYUSg_chr7.25380 transcript:KYUSt_chr7.25380 gene_biotype:protein_coding transcript_biotype:protein_coding MEAACLASPDWSDLPADVLRSILELLECPDNLRVAAVCTAWHRASSEAVCRINQFPCLLYCTETAGPSAVGMYSLLEQRAYTITLPDPPITGRFVIGSSHGWLITADEKSDLVLLNPISGEQMRLPPVTTMEHIKPVMSGDGVLEQYEMFYYHGMFETPEQPTSTHQLDEYRETVYFKAVLSSDPSSAGDCTVMLIHQPYWQLSFAKVGGDTWNWIPIDTDYTDCIHHDGWFYAVNIEGTVDSFYLNGSSIIHKRITYKMLVPPKMVVYIVQAPWGDKLLVSRIINHDTECTFEIVVYKVDPDGKKLIKMTGIGDHVLFVGHNASLCLPLSDHPQLMSNCVYFTDNVLDSLFQEKTKKRDMGIYHFERNTVTNIVSPELWMTWFPPVWLTPNTSKKAYNTATLASLMVQFTYKIAKETPGTRHSASLLNVALVYRCVSVKRCLSKASNSSSIGASLSFCNGRHICIKHH >cds.KYUSt_contig_1181.543 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:3743404:3744042:1 gene:KYUSg_contig_1181.543 transcript:KYUSt_contig_1181.543 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAKLLPVLLSFLILPFSALALTQDFCVADLSRGDTPAGYPCKAHVGAGDFYYHGLAAAGNTTNLIKAAVTPAFVGQFPGVNGLGISAARLDIAVGGVVPLHTHPAASELLFVTQGTILAGFISSSSNTVYTKTLYKGDVMVFPQGLLHYQYNGGTSPAIALVAFGGPNPGLQITDFALFANNLPSTVVEKVTFLDDAQVKKLKSVLGGTG >cds.KYUSt_chr1.38549 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235687393:235691027:1 gene:KYUSg_chr1.38549 transcript:KYUSt_chr1.38549 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEISERAVSQCLEGFDSGNFFSPVPEYTCSLIPPPGASQGGDSSSCDAEGTTDVEEGGAQVLRADDVGGGEGWSCEDGGGATLGCGMDERLAQDLGRGLDGDAAQDLGPGIRGASSIDGAGNGTSKAKKKKRFRGRQGSDVRVGPPRDVGAVELAMRNSTTRTTKYILEPVVGTCFDSLAEAYEFYNLYSWEVGFGIRYGHSYTNDEHYKSSQDLICQLEGIDKRDRNDSARCGCKAMIRLHRTDDHGWFVETNRPDHNHPLSDNCGEKMQWNSHKRIDQATKDTVRYLRENNVSLSKVHCILGSMHRSGDKLPFLKKSLRTVCQQIAFSQKDDDIKKTIDLFRDMRSADPDFAFRFDLDPEGRIKNLIWTSGRSRRQYSCFGDVVVFDTTYTTNLYKMPFGLFVGVNNHFQTVIYAGILMSEETIEGFNWAYTEFVSLMGGKAPLTMLTDQCRAMEVAIGMTLPNTVHRWCKWHVFRKAKEELGGIYSKKTGFKDAFNNVVNEMLTVDEFEKGWGRLIEDFGLAENSFMIRAFEARHKWAKPFFKDKYCARMTSTQRVESANHMLKTYVPRNSSMNKFVSQYNKLLKDRNEAEDSEEHKNKQCNRKERGGWPIEKHARRIYTRAVMKLFKAELERGQNFNPPKEIDGQGLYELEHSYAHLRPSWARTKFTVRVEEGQRFICECGLFGHFGIVCAHVIRLMIHLGLNEIPDFHIMKRWTKAARDILGPGVEGPVDTELSLPKSFRHNIMYVSALELVKMGDLAESKYRIVMKHITAAKKELREDDTPIAPLYYSSDDGDSRRSTNKTTQLEGLAESGAMTSDGMLIKEPLVKRGRGRPKATRFKSFLDGGCSKTAKKDKRNAVNRPEGLSQQTSFCKRCRKPGHNSSTCTALPDGGASPVTGSLQKKPRRQNRCSNCGGAGHNSTTCTASVEAQDD >cds.KYUSt_chr7.9135 pep primary_assembly:MPB_Lper_Kyuss_1697:7:55604756:55605388:1 gene:KYUSg_chr7.9135 transcript:KYUSt_chr7.9135 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPMRLDVILKVRRTNVSEDKVLSLIGERYECCESINYQASNGESALRSCVSSEKYKSKLSTLELTCGIVVKSIEATISVRIVEGSWPDGFSGQFTAFTASVSHMRVSLLKFGDGSVPVSAAADGTLELSRRVVSVEIFGELRICASARNGSNKVEPEVSFQPLKSGRSSRPLTVGSCEMEVTVCWSLFPFRYTTGCTSSSNGVASKAG >cds.KYUSt_chr7.2124 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12238830:12242282:1 gene:KYUSg_chr7.2124 transcript:KYUSt_chr7.2124 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEAIHDRHIALTSWELPRLISTGWSTWHHMIGSAEGGEKRPAKKYREATSQTLSSSPHSRLSPSPLPSPHASIAATGHPHASSRALPCASSFLPSRRLLLFCVPPLFLGGCPAVLGQSQCGEGRLSWVWDVAAGAPTAAAVGRQRGLSWLDEAEMCGGSSSSSSSTPIWAPWLEEAELGMGEKATSPHTAGARLPSRPPFSFSHAHLQPPASLDDRWPAGAAHRRCKVGTTATGWDKGGGEDLLSLFNGPYLSGFTFQYNEVLKYELSPGHSGLPRQLIRYYVAQALALHPGATAPPSAGELFIPIWGIPSISLEFTVVTTIRLP >cds.KYUSt_chr3.1132 pep primary_assembly:MPB_Lper_Kyuss_1697:3:6166243:6172410:1 gene:KYUSg_chr3.1132 transcript:KYUSt_chr3.1132 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLMPSVARLTLINAFLSSLPLHAMEVCLLGEGPHSIMDRHRSRFYWEANSTKRKYHWVRWSAICKPKSLGGLGIVDTRLMNACLMVKWIWRLYAGEQGLWAEILRNKYHRDKDLMLDAHRPGSEFWNSIQNLKHLFRLGSKHIVHNDMEAEVPKGPGKTKKGGKRSRTSGGRTSTTAAMVERKEVERERRQHMKQLCAKLACLIPKENYSSTDAMTQLRSLDEAAKYIKKLKERIDELRQRRSYAQAIATLKYIGGVSTPTTTISGGVGSSGLEGEKNASMLVVEVIQHDDSSMDVVMICNVDSPVKLHEVIIVLDEEGAEIINANHSVAGLKIFYNIHCRALSSRIGIDVSRVSERLRALI >cds.KYUSt_chr2.2879 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17045765:17048167:1 gene:KYUSg_chr2.2879 transcript:KYUSt_chr2.2879 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKIHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYSCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKGRYEEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGQLVTFGPTGLTTEVKSVEMHHESMLEAGPGDNVGFNVKNVAVKDIKRGYVASNAKDDPAKEAASFVAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEIQTKVDRRSGKEIEAFPKFLKNGDAGFVKMIPTKPMVVETFAQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >cds.KYUSt_chr1.23956 pep primary_assembly:MPB_Lper_Kyuss_1697:1:142847599:142848345:-1 gene:KYUSg_chr1.23956 transcript:KYUSt_chr1.23956 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHPPCSSRRLLQSVDARIPGIPPADPPSGVSSDVVVILAALLCALICVVGLVAVARCARSRRNSTNAATNHSTTSSSPAHSAAAFGGGGGHTHATPTTTTGASGASVTTTASKGLKKKALKALPKLAYADAVAAAAAARGAAAGDAQDDEGILPECAICLSEFGEREEVRVMPQCGHGFHVACVDTWLRSNSSCPSCRRPIVLDDPAPPKRCRKCDDLILEAAVAASSSSSSSAGGGRRGRGGFLP >cds.KYUSt_chr3.7262 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42022986:42023480:-1 gene:KYUSg_chr3.7262 transcript:KYUSt_chr3.7262 gene_biotype:protein_coding transcript_biotype:protein_coding MDKATLLARVVDQVKHLKRRASEATQLTTPIPLDTDEVSVECLVASDGSDIGMYIEASVSCDDRPDLLAGLGRAAHGLGLRTVRAELTSLGGRVRHVFVLCREEEGSAGCDGLRALKEALWQALAKVASPDMVYGSSSITSSPPLGLQSKRPRILESHCSILSM >cds.KYUSt_chr4.21419 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134854261:134854708:1 gene:KYUSg_chr4.21419 transcript:KYUSt_chr4.21419 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEAAGPRRMASMEREPKALSLDELKYAREAALYVLRTHTSEDAVRIFTDGLKPVLGVRKNSMAADSEDDDDGDNGYEDDDLFNPDAFDDDSFRHQYGRTDDEERDVATAPF >cds.KYUSt_chr2.44569 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277309355:277313773:-1 gene:KYUSg_chr2.44569 transcript:KYUSt_chr2.44569 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQNVRRETIRAGSSASDIICSLITHKAAKPPQRSPSSAGRRQRAEAKAEHAPQTATMAAEAVPRAAAPARRPAASASRLLLGQRPFLAPSASRFAAGRAAVAGPAAGLRPCTRRPRLSVVAMAGNDRQVPLEDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAVWDKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFYRTRDMIVANLGANPLVIQLPIGSEDNFQGVVDLVRMKAIVWTGEELGAQFSYEDIPADLEEMAQEYRVRMIETIVELDDEAMEGYLEGNEPDEETVKKLIRKGTIGASFVPILCGSAFKNKGVQPLLDAVVDYLPSPLDLPPMKGTDPEDPELVLERLPSDDEPFSGLAFKIMTDPYVGSLTFVRIYSGKLAAGSYVLNANKDRKERIGRLLEMHANSKEDITVAVTGDIVALAGLKDTITGETLCDPDNLVVLERMEFPDPVIKVAIEPKTKADADKMANGLIKLAQEDPSFHFSRDDETNQTVIEGMGELHLDIIVDRLKREFKVEANVGAPQVNYRESISKIAETHYVHKKQSGGSGQFADIIVRFEPMEAGTGYEFKSEIKGGAVPKEYIPGVMKGIEESLPNGVLAGYPVVDLRAVLVDGSYHDVDSSVLAFQIAARGAFREGLRKAGPRLLEPVMRVEVITPEEHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMSKGRASYTMQLAKFDVVPQHIQNQLSAAKQEEAAA >cds.KYUSt_chr2.19084 pep primary_assembly:MPB_Lper_Kyuss_1697:2:120041291:120043246:1 gene:KYUSg_chr2.19084 transcript:KYUSt_chr2.19084 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASAAKAYGAVVLIRIMYSGMHVMSKIALDQGMNPFVFVFYRHTTAALVLIPATFVLERQKAKPVTLKIAAKMFIHALYGVTACGVLFNLGLNYASATSSSALYNVQPVVTFILAVILGMESMKLKKLHGNIKVAGILFCIAGVTVLAFYEGPMFKSFNHHRLFKDGGSSGSSSGADTYSKKQWVFGIFLMTLSNILAGLWTVLQGPLIEDTSKLMNTTLQISCASLQAFAVAVAAERDFSKWKLGFNISLVAVIYSGVIVTAISYYMQMWTIAKRGPVFLAMSMPLTMIFTIIISSLILGDAVSLGSIIAGILLIGGLYNVLWGKNMEEKDDMNKISAAGKPVLELQAQVPDDAAAKV >cds.KYUSt_chr4.20518 pep primary_assembly:MPB_Lper_Kyuss_1697:4:129200228:129205578:1 gene:KYUSg_chr4.20518 transcript:KYUSt_chr4.20518 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNEWINGYLEAILDAGSKLRPQGVQLPPLETAPALAAEESSAAYNPTRYFVEEVVRSFDEQALHKTWTKVVAMRNSQERSNRLENLCWRIWNVSRQKKQVEWDYTKEVARRKLEQELGSREAAEDLSELSEGEKDSITTAKPDAAPAVPSADDGEHQQPQPRTRLARINSEVRLVSDDEEEQTKKRNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATAGVHRVDLLTRQISCPDVDWTYGEPVEMLERLSSADADEDDGEQSGGGAYIVRLPCGPRDQYIPKEELWPHIPEFVDRALSHVTEVARALGDQLQPPPSPADGAVAAPIWPYVIHGHYADAAEVAANLASALNVPMVMTGHSLGRNKLEQLLKLGRMPGPEIQGTYKIARRIEAEETGLDTAEMVVTSTKQEIEEQWGLYDGFDLMVERKLRVRQRRGVSSLGRYMPRMAVIPPGMDFSFVETQDTAEGDGADLQMLIAPDKAKKALPPIWSDVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRQLRELANLTLILGNRDDIEDMAGGGGAVLTAVLKLIDRYDLYGQVAYPKHHKQTDVPHIYRLAAKTKGVFINPALVEPFGLTIIEAAAYGLPVVATKNGGPVDILKALHNGLLVDPHSAEAITGALLSLLAEKSRWVECRRNGLRNIHRFSWPHHCRLYLSHVSTYCDQPSPHQPLRVPLALGSSTSFGADDSLSDSLRGLSLQISVDASSDLNAADSAAAIMDALRRRPASEKPASSGARALGFAPGRRESLLVVAVDCYGDDGKPDVEQLKKAIDAAVSVGECAGAKQGYVLSTGMTIPEAAEAIKACGADVASFDALICSSGAELCYPWKELAADEEYSGHVAFRWPGDHVKSAVPRLGSVEEVALAIDRPACSVHCHAYAATDASKVKKVDSIRQALRMRGFRCNLVYTRACKRLNVIPLSASRPRALRYLSIQWGIDLAKVAVLVGETGDTDRERLLPGVHRTLILPGMVARGSEELLRGDDGYTMADVVAMDSPNIVTLAEGQSASEILKAI >cds.KYUSt_chr3.47437 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297623608:297623913:1 gene:KYUSg_chr3.47437 transcript:KYUSt_chr3.47437 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTTSPTTTARHASLTPKTSASVSSASCSFAELLVPSVGRAWALLRAPNLAASFRGLNTELVVVLPSASLHISNDGTAQYHDPDEAVCPVAVGLSAFSV >cds.KYUSt_chr6.14554 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91287661:91293433:1 gene:KYUSg_chr6.14554 transcript:KYUSt_chr6.14554 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSRHSDQHKERLALWDPNVPGKVKIHFWRLIENGLAVGSEVKHRRIKDGISCLVCGKEQSLVHRFRDCSQSAQTWIWLMEATGFTFNKPPARLVNHSDLKGWLLNWIGKIDDKSAAWIMMLVYNLWLARNYARDSRKIKDPKSIAQKAVAGVDEWMNICTAKPKAKVVEHWLPPPIGWSKVNVDGAFRHLENWGGGGVVIHDHNGSFLSGACHFPPHVADAEGAELMACKQELLLARNDQRQRVILETDSVDVAAKLSREGQDRSSYGQLVCEIKIMLLSFERSLVRSVRRSINDAAHRMTKKGGDNKMCRICINFRTWASGLCADKINTWAPSSPSDKIVAAETDRPAAGGEAESTTTMMAAARMAFASCGRAHLLLPSSPSTPILARTPVGCGGIAKSISFLAPSSAARSTKHAALFCSCTSSSSEAVVAPPPTQSAVDEKKPAAGAEEKGEVPVGELAGLLDIRVGRVVKAWLHPEADTLYVEEVDVGEEQPRTICSGLVKYLSLDQLQDSNVIVLANLKPRNMRGIKSNGMLMCASDASHETVQLLTPPEGSVPGERVWFGSEDDKSLQSEPATGNQVQKKKIWESVQPHLKTTDNCIAVLGEHPMRTSAGKVFCKSLQGAGVS >cds.KYUSt_chr7.38881 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242084821:242095089:1 gene:KYUSg_chr7.38881 transcript:KYUSt_chr7.38881 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQQGPAATPKPAAGKPRLSASASAGGAAYRRTSSGPLPAAGGGGRASSDSGVSSRVRVAVRLRPRNAEEQVADADFADCVELQPELKRLKLRKNNWESDTFEFDEVLTEFSSQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGDEDTAARGIMVRAMEDILADITPETDTISLSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFMDLLRIGEAHRAAANTKMNTESSRSHAILMVNVRRAVKGRNEMDVSMSAENGHSSSMMGSLRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSSLGKCINALAESSAHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVQNMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEVERITTEAQCRVVEVERECKIALENEKMKYHQEYLDSIKILEEKWKVHQQSPKKEVKETESTSNGDVHDLLQNEKMLRQSAEDESNDLRNQLLHWKKMEATATAEVVKLRRMLDTEASQKDKFEEEIAVLKSQLMQLSLDADETRMSLDTGDGPGKIFPGLDSLMSHTRSSQPREQSNGPKAPVAKLFEQVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVTLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQARLRGEGGIKALLGMVKCGHPDVLAQVARGIANFAKCESRAATQGNKVGRSLLVDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNAKDIVSEGALWELVRISRDCSREDIRMLAYRTLTSSPTLQSEMRRLRIEC >cds.KYUSt_chr3.22118 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136200748:136205665:1 gene:KYUSg_chr3.22118 transcript:KYUSt_chr3.22118 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRSRKRTRQELDGAGAPPPEREVVARGGASPPWRDDDRDGHYVFDLGENLTRRYKILSKMGEGTFGRVLECWDRETREYVAIKVIRSIRKYRDAAMIEIDVLNRLAENERYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSDYIKLPSTKKNSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHNSIVSTRHYRAPEIILGLGWSLPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPVPEHMIRKASSSAQKYFRRGTRLNWPEGAVTRESIRAVRKLHRLKDLVTRNADHSRATLADLLYGLLRFEPSERLTAQEALDHPFFRNAAPA >cds.KYUSt_chr5.36008 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227463554:227464621:-1 gene:KYUSg_chr5.36008 transcript:KYUSt_chr5.36008 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVRELWAESRELLGLHAEDVPRADLPPTPLAFLRDHVSPGRPLIVSAAATRHWPAASLWPTESYLPDALHSTDVSVHLTPSGRADALAPHPHPRRPGARCFASAHVRRVDFPSAVRLIRGSDPAAGVVAYAQQQDDCLRGEYAAVAGDVDAHVPWASEALGCLPEAVNLWIGNSCSVTSFHKDHYDNIYVVLSGEKHFLLLPPTEHHRLYIRDYPAACYVAGQETEEVPRLKLEMEEPERIVPWSSVDPYPASPEEMAAQASSFPLYFKGPRPIHCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFMRSLEIKQSPLDTSDDAIEGELQEKRLS >cds.KYUSt_chr1.41969 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257320870:257321980:1 gene:KYUSg_chr1.41969 transcript:KYUSt_chr1.41969 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVDGGVRSAFFVLDLGRVVELYSRWQRALPGVRPFYAVKCNTDPGLLGALAALGAGFDCASRREMEPVLALGADPGTSIVYANPCKPEAHVRYAAQVGVNLTTYDSEFEVAKVKHCHPGCDLLLRARQGPRQPRARPRRPSRPRAPPSTRPPRSACRPCAGLLDIGGGFAATETLFDEAAAVIRAALARHFGDLLPRVELVGEPGRYFAETPFTLAARVIGKRVRGEAREYWIDDGIYGSLNTVVTDGYVPRPRPLAGSVPGEETYASTVFGPTCDSLDTVVTGYQLPEMSVGAWLLFDDMGAYTYHRVCLQLQRLLRVRH >cds.KYUSt_chr2.32184 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198512009:198527274:-1 gene:KYUSg_chr2.32184 transcript:KYUSt_chr2.32184 gene_biotype:protein_coding transcript_biotype:protein_coding ELLKLGIVDGMTEENLEHSTIWMAGLYLTLLLQFLENNVVVELTRSEFVEALEVLAQMKNWLTRFPTILQGSESTIEMLRGQYAHSVGCFDEAAFHFLEALKLTDNKSMQSMCQVYAAVSYICMGDAESSSEVLELIGPAYRTMDSFIGVREKTCIIFVYGLLLMRHQNPQEARTCLASGFKIAHRQLGNIQLVSQYLTILGTLALQLHDGQARDILKSSLTLAKTLYDIPTQIWILSVLAELYRELEERGNELKNSEYASKKEIDLHKRLTEARSHPFHQELVEKVGMKIQPMHNLMQKHHEMPDSVANADLDIPESVGLSTPQPSSVRRLIDTSSVSRNTRIE >cds.KYUSt_chr4.44223 pep primary_assembly:MPB_Lper_Kyuss_1697:4:273960340:273960788:-1 gene:KYUSg_chr4.44223 transcript:KYUSt_chr4.44223 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAISGQRPSPAKLGSDACRSFFFLRWRIFISLHAASQAVARPSGFVPGSGNGGRVWRLLDAGEMQGPSCSCSPTADEMKAPGPSCPYLFKKKCCTGSKAPCWLFQWRHGSTTDGARFR >cds.KYUSt_chr7.11603 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71323115:71331451:1 gene:KYUSg_chr7.11603 transcript:KYUSt_chr7.11603 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLQRGCGQSDGAVLELEGDSGSSAAPFYLQRLGLLDESHRRKMMLRRPPCSSDLSPLGLFLSSFWRPRRRGCGRDCGAVSTRYLLSSGSCAGGRPPSLVVAPVHRLVATEKTKRRGDKHVLEGEEGPSENPCEENVVDKKEKNNLFNRASPMKVVRVLKKMTNDQRALISKYDFTGILGMQCDKGWPDLLSKQRW >cds.KYUSt_chr6.4505 pep primary_assembly:MPB_Lper_Kyuss_1697:6:26162039:26163055:1 gene:KYUSg_chr6.4505 transcript:KYUSt_chr6.4505 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKKKKKAAGKMPPSKVQPSGKGMVAPTPTLIDVAVEALPAPTLPESDVPEKAPVCDACGRYLSPPVSQCSHMRHIACGDCAAGECGPCGSLGVAAVYFPNPYLDTLFGRFKVPCPFKKFGCGSSLACIDLRAHVEACGRAPFECFCCSDVLPAELLRHLTDKAGNHAWPSRNITYGTDFQFAINVKDAVNRCINFLFVAEEDGGLFLLRGYDEDHGVHSLDVVCIRLRKNTGSVYSSTVAVEGPLPKGLRHELKKKVIEICSGGNDPITIDMGEISPANACDVVWVHQEMLHGDDIHLCVRIDKV >cds.KYUSt_contig_1467.405 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:2243147:2250180:-1 gene:KYUSg_contig_1467.405 transcript:KYUSt_contig_1467.405 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMRTIGSVMGSIKMLVDLIGKNRELAGEVAIGLKSMNKDFELIRTVRLQGKQNQLQLTAGMELLLQELAYDIDDFIQGLWVPGPFGSFILSAIGADSRPLLIQRISEFKESMKKLKDELPNSFEAIPAGPSSSVGSAIPYAREKDLVGIEGPKKEIAELLSPRIEPHRGRLSVISIVGCSGSGKTALARALYEDDAITKDFNFKSWVVASDLSSVDIVNKIRHEVAPTSAPGSTTEALHHFQKDKRYLVFVDDVLRETPLKDIKNAFSENVMDSRIIVTTKVPCVARDYSFGSYEYTMQGLDQENSRKLFRVKFRGMAGYTEEDKHRLGNNDVDIIIDKCDGLPIALISAAECLRRKGLNPESRDFKQVSQDLGKILAYCDSAFKEFQQQNGTDTIFAEMRRARVEPYENLLNHGEKECLLYVSIFPCGHQINSKNLVRRLIAEGLVPGDPDIVKASESVVGDVRECVRKLMDQHMVEPVPIRNYSNVAKRCRVHSIMLEFTIKKAVSRNFVSLIHKDQPLRSTTGLVRRLSVQSSQLVQGTKRPKGMDLSVLRSLAIFKSRILDFEKCKLVRVLDLEGCRGLTRKDLDNICVLLFLKYLSLRNTGILELPQNVKKLMFLETLDARDTRDARDTQDIVVIKLPVEVIMLPRFTYLFGKFELTNMHSNNVEKLIKFFPEKKSQLHTLGGIAVHEEKGLEIILLAVKHATNLKKVKVWYRNTPSSTSPVAVGGTPESRLFRLGKTLSSLFSKRKLSTGPAASPTSNTHDPAPATSTPVASGTPGLPCAGPVADSSSNAQDPAPNTSTLVVGRSPVLPSTGPSAGSSSNGQDPTPTISTPVANDTPKLVTCASRQSMLKQKKNFFSKRKPSAGPASNPNSNTHDPSPATSTPLASDTPELIQCVSRLAENLKEHPMTLESLSIDFNGVSNTFLNLLRTNSTVNSIKLRRGHGSLPSPLELGQVCNLKKLHLFSTGLESAELGALQHLKCLQYLKLAEDGHGLWDSVFHVQVGGFISLIWLCFEASNSRPPPLKIDKGVKIPLASLLLLCKESKDLETETPVPKSPQRETEDPQTKTPVPLCHQREIEEGLRVEGISHLQKLNEVILHPSATDAVVKAWKEEAKKHINMPYVEKQQTLLQASRECLSRRWRGLWARLGDISIRDIAFESLAAVLSLVARPPRPAISLLEIRVPRQNRRVPREHWPVREDVASLARVAARLAPEAVVLALPPKGSIPNPADFHLPCFRRAGSIVLESLPVVLRAPPAAAGGDFAALQTLRLLDCIVVDKDLDALLARCPRLRVLELSHKVTTWPGVHRRRKVHSATLEELLVHSDRVWLSRVDIVAPMLTATSASLLDAVSRGESAPQNCR >cds.KYUSt_scaffold_6468.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:210888:213161:-1 gene:KYUSg_scaffold_6468.26 transcript:KYUSt_scaffold_6468.26 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTVVTPQTYNRLKNARRMSESSGDREGDSASPTRDSSSAAATACLPLGSIHHSLLLPPTTLALPLLLSASSPEAPTIPSTERAMVAGDLDVEFRPPRSDSTASVSGKLGDDAPPQEALPVPRSASVPYPTPGAAFLDSMLLRFHTMVARVLAGNGEAHRFWITPASRSPKFNRFCVANTLNYHFTAHPDSFAIASHGRGVFCSFAATPAIRDFILAYRLIRLGRSTFRRSRSTSPTTPRVSSAAADPDLARRHHILSRVPTPYPRVCAMEGSDSCGLSPLREAPSNAMLSAAPATRLLHRGPPTIAPIPLRLATPVAQHGHGGCPCPHASARPQVVDIFLPTAGRNCVDRFAYALITPAAASPGHLLRRVLEERVGNPQVGLAASDFGAMLLVFASAEAREEAMGLFPIAFDGHDISLVRPEEGDNRSDAPLQFFAQLSATGSPWSIGRRRGSVRCSAPSGASAA >cds.KYUSt_chr7.24267 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151311161:151313116:-1 gene:KYUSg_chr7.24267 transcript:KYUSt_chr7.24267 gene_biotype:protein_coding transcript_biotype:protein_coding MRAATSPAAQPPPRTSNSTYARRLHGSAPHREARRLWAHQRQRQRHDDAEDCAAAVRRHRVSVRARLFKYIQGKNAYNETIEMMAPVLTKVAPSDGPFCASSFVVSFYMPAKNQADPPAADGLTVQRWAGARYAAVRRFGGFIADSDIGQQAALLDASLQGTKWAASVSDGGSAGPCPTPWRSTTRPSSSVAPTAGLVEVRRRRAALLVPGKRPLTPIDEEAFPSRAVVGMPLGIPPLLWRELEVVVVRDGHLARHT >cds.KYUSt_contig_786.247 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1355280:1357928:-1 gene:KYUSg_contig_786.247 transcript:KYUSt_contig_786.247 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIARLVLLLAIAAVSCGFFVVAHASCWPHERDALLAFKQGINDTDDVLASWQKRHHDCCQRWTGVTCSNKTGHVTELDLGETGLVGQISPSLLSLQHLEYLDLSLTYLHGPSGHVFPEFLCSLHNLRHLDLSYISFSGRVPPQLGNLSKLEYLDLAWTSLHGLNGRVFPEFLCSLHNLRHLDLSNTLFSGRVPPQLANLSKLDYLDLSRTLLSGILPPQLGNLSNLRHLGLSFMENIHTADISWLMHLHFLEYVDLSDINLSAVDVFLVANTIPTLKALILINCSLPNANQTLTHLNLTKLENLDLSRNYLGHPIETCWFWKLKCIKDLALVSTYLYGPFPDALGGMTTLQHLAFNDNGNSATMTVDLKNLCDLETLWLDGGLALGNITEFVRKLPQCSSSKLFFLSSSDNNMTGTLPDMVGHLTSLKYLYLSNNSITGAIPSGLRKLTSLDTLHLSLNQLTGQIPMLPRSLTELAISMNSLSGPLPLDFGGPNLTQLSLSSNYLTGHVPKAICESKRFDILDLSNNHFEGEFPRCSAMSIAFLLLSNNNFSGNFPSWLKASYFLIFLDLGVNKFYGMLPAWIGELVNLRFLQLNHNMFYGDIPVNITNLKLLQYFSLASNNISGSIPLSLSKLTAMTLDHPPRLESNWLEEEKGKDILSVVMKQQELKYGTSAFNEMVSIDLSLNRLTSEIPNEIGSLNGLLNLNLSRNLLSGKISMKIGSMKSLESLDLSRNNLSGETPSSLSDLTYLSSLDLSYNSLAGRIPTGGQLDTLYNENPFMYSGNSGLCGPPLEKSCPGNDAPEHGNQHQGSENGYDPVLFFYFGLTAGFLAGLWVVFCALLFKRSWRNAYFRLFDKLYENVYVFSVVTWGRISSKATAS >cds.KYUSt_chr4.15157 pep primary_assembly:MPB_Lper_Kyuss_1697:4:93598071:93602838:1 gene:KYUSg_chr4.15157 transcript:KYUSt_chr4.15157 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTPAALYGRLNSASTRGFLAYVAAAGACAAVLACFVISAAEPDADTAANGAALRLSSRSTRVWPDLEFNWRLVVATVVGFLGSAFGTVGGVGGGGIFVPLLNLVLGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMLGITIGVELSVVFPYWLITVLIIILFIGTSSRSFYKGILMWKEETRILMETHERDAQSKSDCAANDVVFDPSYAEPLLPQHKPAQKSGLETLWFNLSWKNILVLMTVWSSFLVLQILKNNSQTCSTFYWVINILQIPAAVSVFLWKAMELCRDSRARRMNGNLECVCEASIEWSPAQLIFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLGRFPLPYAGYLIFISILAGFWGQCLVRKIVHVLKRASLIVFILSSVIFASALTMGVVGTEKSIAMINNHEYMGFLGFCE >cds.KYUSt_chr3.31227 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196060424:196061293:1 gene:KYUSg_chr3.31227 transcript:KYUSt_chr3.31227 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDHRSYSGKKKTSPHCKIRSPASSMDGAVRSVWADNFKAESRLLHQIAPAVVHVALNVQYPGCVFVHGNGRNHRDLTAEERYELIRANVALLMPLQVGIAVRTDDGRRFAWEFNLRGFDITSRKHARDPKSVAYLAGCGVDFSRLPRAGIDGYRLRWLLRDSGLIRARPSWATFAGAYHVAYFVTMMYGEKLPHSLNAFMEMARQLIGRPLYDVKRLAREHDRSCVGALSNVVGKLAAVPPGEGISKSKPAGTGSIMALLAFETLKNKLGADMEKYRHELCGLQVV >cds.KYUSt_chr1.3396 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20490628:20491251:1 gene:KYUSg_chr1.3396 transcript:KYUSt_chr1.3396 gene_biotype:protein_coding transcript_biotype:protein_coding MELPATLTKQTISPPTRTMAAEALLLHATRVRGNKIASIDEDARRRVVTQSTPLNLKTEVASTCTPALTPDGPNAAPECKPGRTAPPELHIRSPSAYTAQHILVLQGPWWRTRSAPSTRIAQPELPIRSPSVCTAQHIPVFQGPCTRTPCVSIGSYANITSTICRSHTVNMKPGQRRGQLTTITGGKRAFGRGSQLPLVAVAQPRSN >cds.KYUSt_chr6.6995 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42451618:42452013:-1 gene:KYUSg_chr6.6995 transcript:KYUSt_chr6.6995 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLFLLVAGAAVLHAAAALDATVPDAAAAAAPSAALDGKWKPIINITDPHVQDLGRWAVVKHTWVYNDGLRFSKVVSGEMQIVDFGINYRLDVDALRMNDAHAMYKVEMFEQDWPTVTTRKLGSLVPAK >cds.KYUSt_chr3.21171 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129797649:129798857:1 gene:KYUSg_chr3.21171 transcript:KYUSt_chr3.21171 gene_biotype:protein_coding transcript_biotype:protein_coding MILSESTWNGGDTAAAAGDVVNGSGMTVLERLVLDEALAAAIMELQGIQVPCGGGKAVAGGVEPASLAYSSMGPATPAYADVDGAVAQRQQHHHRHQGAMVMPPDYDLVPAARAVTLATVPAAPSFTDRGGVVVDYDNNDDAADAPTAATTKSQWEEGNGSGGRRQQRRSSRKRRAAEPPCTAADVHAAQEMENPLCSLLASGNTGNGGGIQIAFSTAAPSAKRTKPSLSSTSSSISFDGRSGCDDVPGRYEPDTEALAQVKEMIYRAAAMRPVSLGDAEEDAGERPSRRNVRISSDPQTVAARQRRERISERLRVLQKLVPGGAKMDTASMLDEAANYLRFLKSQIRELQTLDRRNYGANAMVNMAPLSYNNMMPAFSFPAAAENLGGGGGEEGFMSSRFR >cds.KYUSt_contig_97-2.67 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:292630:293208:1 gene:KYUSg_contig_97-2.67 transcript:KYUSt_contig_97-2.67 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYLLQESVDRLAAMAAPPAAMAGGGGAVHTDTLLILTAVLCFLLCVVGLAMVARCSRLCNPSVFSDDAPGAMVSKAPCKGIKKKALQQLPTVSWRPEHGEKGEEDGEVPECAICLAEFARGDEVRVLPPCGHGFHVACVDVWLLSSSTCPSCRRALVVVAAPPPAEPPATCSCAAAAQVSSTARCWPLAP >cds.KYUSt_scaffold_6468.577 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2786748:2793079:1 gene:KYUSg_scaffold_6468.577 transcript:KYUSt_scaffold_6468.577 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGTGALRRRPKRVTTQHAAIVEIETIKGFHPELYAGKVAEVPRDQLDEQVRLWANEVRELSFNMEDVVDRFLVRVQGPDDRIKSSHKLKRLMKKMADLFTVGRTRHQIAHAIKDIKGQVEDVAARRDRYRINDIVVNPTATTTIDPRLLALYKDQKELVGIQEACSELINRLANGNNDVSTQELKILSIFGFGGLGKTTLAKAVYHGIKEQFECMAFVSVGRNPDLKKLVKNILFELDQKKYENFNEAGLDERQLIDELRGLIQNKRYIIVIDDIWDTPTWEIVKCAFVDSNRGSRIVTTTRIFEVAATANNFYKMEPLSDENSKELFRKRLFGEEENFVRIFDREEPNTSSKVHPRRLATQKRVIEYDHLDNMHTAQVRSFNVTGCHIAAMPSRLGFQALRVLAIEDCTFMKDYAFHLENLGRLHQLRYLGLRETPISKLPKEIGELRFLQTIDLWECKNVEALPQSIILLRQLKCLRAGGAACKPISVPDGMGNLTSMEELWLRYVDKCPNFVQELGKLTELRNLNICIELPESWMCKTFVESLGNLQKIQVLSLHALNAKLSWEGYVPPPQLWHLTLTTVNARLPSWINSTLLPNLVHLEISLGDAMEAHDLVTLGEFPELLRLKRCGSDNDIPVVVGGNSFHKLRSCNMTAPLRFLPGAMPSLECLAFTVHVQPLKEANFDFDFGSLENLPCLREVSVFICCYPDKAEADKVEAAVRHAVHNHPNHPILHLTKLNDLVSANNILTSLSYA >cds.KYUSt_chr3.31881 pep primary_assembly:MPB_Lper_Kyuss_1697:3:200317558:200318745:-1 gene:KYUSg_chr3.31881 transcript:KYUSt_chr3.31881 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYRVPKDSHVLLSRVGLGQNETVWDEPLRFKPERHMGDGIDVMLTENELRFISFSTGRRGCMAASLGTSMCLMLFTRLVQGFTLTKPAGVAILHLRESKDNLLMAKPLVLHGEARLPAHLYHMM >cds.KYUSt_chr6.2083 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12384416:12387887:-1 gene:KYUSg_chr6.2083 transcript:KYUSt_chr6.2083 gene_biotype:protein_coding transcript_biotype:protein_coding MYRITLRCTNMFPHSEDSLQNHSCQILLSADNTGSKWKCSSNTDNTSESEETMKSGLTSSGKESLGPYRPVSSSITGLFAIVTFVGPYVKQQWQADTVDEVFAKIEKMEMKRKDGEEKHSKEGKTAKAVEDDSPMKKTEQQVVKTVKDHKDGASSHESPQKKVVVLLIS >cds.KYUSt_chr5.19184 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124201265:124204874:1 gene:KYUSg_chr5.19184 transcript:KYUSt_chr5.19184 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNNLAKHQDNLQVGPIIANMMAKVNGSCCMVVALVHLTCCCWISEQADAAAIHHSRDLYKAGEGSFSLEARGGKICLICTVPHFGNKAAKKSSMLWKPIWSSNRIQSHVTFNQTRSGFFELENGNQELKGDLKHVDINSTNSADL >cds.KYUSt_chr1.20778 pep primary_assembly:MPB_Lper_Kyuss_1697:1:122696335:122698108:-1 gene:KYUSg_chr1.20778 transcript:KYUSt_chr1.20778 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLNQTIERPPCSIAKGDQVRDLVSVGWTNESHSMYISSMEASFMQQLHGHEHHAPDRNRSHVGADGVKLIQEGAMDSLISQKNYSRSRDIGVRFLPENPWTRRFKPRSSGANRRGDGVGASVDDGESGTDTVQERIPTHVRDLKSCVGENLVDKSSEVSGQNFLDEEVQSTAEPSKSYKKRRPTPSTAAGFSILKLAGSDKRW >cds.KYUSt_chr1.35138 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214237681:214243315:-1 gene:KYUSg_chr1.35138 transcript:KYUSt_chr1.35138 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLHGPRLLPLLPNPTPSLGGPRRGQRRRFSPAAAVPPSRNGSSAGTDWCPVPPEQRPVNEYEALSASLPFSWAAGDLRVYCSRLAFTGAAFALFVGLPVAAFGGPGGDAVHLALGATGSGILAVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVGLAVSLTLCILLYVNTEKPKEPFESTGPRAIPGVYNDKAARSFEPDAFCGEPDVSGVTVEFCGGAAFVEPNRRKSKRTRPHPTSRHHTTFPFPFPHTQAGQLTAKQQQASAGTAHHNPRANRPLILPQPGRASLPFRASHH >cds.KYUSt_chr2.22629 pep primary_assembly:MPB_Lper_Kyuss_1697:2:138746350:138748374:-1 gene:KYUSg_chr2.22629 transcript:KYUSt_chr2.22629 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDSSPSSSPSSSPSSDSIDLNFLPFLKREPKSEPASPEHDSLPAAAPPPTPAPAAAVAAAYVAPTPATPDLYSAEVMTPLQSLPPNPDEDALFAEYCRLASLYLLSAGSGAIVPAPTPEATAPAVVHPGSGSVVKKRRPRSSELVRVSSLSVRDQIYFRDVVRRARITFESLRGLLLKDDERAEALGLAGAIGLAPVDRRRVRADLRAAALMADRDLWLNRDRRIVGPIPGISVGDAFFFRMELCVLGLHGQVQAGIDYLSAGHSSSGEPIATSIIVSGGYEDDDDRGDVLVYTGHGGRDPSLHKHCVDQKLEGGNLALERSMAYGIEIRVIRAVKSKCSPVGKVYFYDGLYKVVDYWLDRGKAGFGVYKYKMIRIEGQEPMGSVNYRAAEHLKVDALSMRPTGYLSFDISMGREIMPVALYNDVDDDRDPLLFEYLVRPIFPSSAVQGKSAEGGGGCGCTHNCSIGCYCAERNGGEFAYDKAGVLLRGKPLVYECGPYCRCPPSCTNRVSQKGLKNRLEVFRSRETGWGVRSLDLIKAGTFICEFSGIVLTHQQSEIVAGNGDCLVHPNRFPSRWLDWGDISDVYPEYVAPNLPAIADLNFSIDVSRARNVACYFSHSCSPNVFIQFVLFDHYNMSYPHLMIFALENIPPLRELSIDYGMIDEWVGKLTM >cds.KYUSt_chr4.41480 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256581091:256584181:-1 gene:KYUSg_chr4.41480 transcript:KYUSt_chr4.41480 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDNKGMLDIVVKYYKDLFCKESWLDIDLMDDFWDPEDMVSQEHNDMLNATFSEKRGVPLHHSKLRREDIQLVVDKILKRAAGWRGKLLNHAAKLELVITEWTIARNLASPVTESLPQEPYGWRWHYDLKYFSDGMRLDDGTAKATEEGFRWQQSKATRPRARSLEVSSAEWPAATAAEMLLSDNTMIGDGVDGHRQRQRETAPPPKSTSSSTFGSFAPTLRVASLSTRTASRPIPMPMAWPQRAA >cds.KYUSt_chr1.25447 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152689544:152690251:-1 gene:KYUSg_chr1.25447 transcript:KYUSt_chr1.25447 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHLRTHRPRPAKSVQDPQGPRPVAVAAAVEHPPEEHPQKEVTRCTAACSCGRCAWYPRSARKARHWCTRPRCALQAHSCSIHREAPPERGKTRRPAEAEKPAEAAKKGGERALGLCAQRARAWTPWSAKRTTSISLSTRGGRAATAGTCTISPAPRPKAVARRSDHQRAKGRSWGTGGHRVVAAVRVGQRHLAGHGGRKGRKGIHRRPEGARRSRGGRPAPLTHALSLTLSPV >cds.KYUSt_chr4.33853 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207772775:207774555:-1 gene:KYUSg_chr4.33853 transcript:KYUSt_chr4.33853 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLIAAKTAAAGKDKKLPISRSSRAGLQFPVGRIHRQLKQRAQSSGRVGATAAVYAAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSVKE >cds.KYUSt_chr1.2611 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15624721:15629684:1 gene:KYUSg_chr1.2611 transcript:KYUSt_chr1.2611 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGVGCCHVDIPPGLTESYFKFWAYDHSAMMDYSPCDYAFLVDRMTNYTFTRSDLLMDRNRTSPVRLDWAIRNNDAMSGAVLSCSDAAKSTTDPEYACISHHSECVDSINGPGYSCSCSDGYEGNPYLVNGCTSKETFCISGRSACGMLMGIILNMRPDLFKPTVAEVPLVDVLTTMLDPAIPLTAAEWEVSEKEAKS >cds.KYUSt_chr3.12287 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73365570:73366787:-1 gene:KYUSg_chr3.12287 transcript:KYUSt_chr3.12287 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQATKPKAARATATRRGSLLEEIVVWEILVRLPPKPLLRCRAVCRAWRRITSARDFLLAHHDHQPSLPIFSGHNPWIRGVQRHNILAFDPRAATDGDRLHAVAQLDDVFYPKASCDGLLLLHKLGSIGSRSRLSICNPATRQHAPLPGPPWSFSTMGMYPHRPTGEYRLLLQRERCVVADPAPNKNQTGCYVFTLGSHQPPRYIGWPETASWIFNVPVWTHDCLHWYPVYYPESDSNPYDPARGIKFMVFDTIAESFREMHQPVVSHYSYIFDMGDTLGIYTRDHSAQVVDIRVLQDYDSEVWDLKYRIKLPVAEIKRWVEDSDDNYNYRDSDVISVDGGVLLLVTVSEWLFHVVSDGKLVSSFYRGRDGLCMHGCRLKQSLVQHAFFPALEGYAVNASPFI >cds.KYUSt_chr7.39123 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243456588:243458106:1 gene:KYUSg_chr7.39123 transcript:KYUSt_chr7.39123 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAYAPPMKAGKEGFQGTQDPQHRIRITLSSKSVKNLEKVCADLVKGAKDKLLRVKGPVRMPTKVLNITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSPDVVKQITSITIEPGVEVEVTITDQ >cds.KYUSt_chr7.26273 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164056965:164058668:1 gene:KYUSg_chr7.26273 transcript:KYUSt_chr7.26273 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTAKIVKKRTKHFKRAHSDRYIGLKPSWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKDIVERAAQLDIVVTNKLARLRSQEDE >cds.KYUSt_chr4.28114 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176720767:176722101:-1 gene:KYUSg_chr4.28114 transcript:KYUSt_chr4.28114 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQKGNILMQRYEIGRLLGQGSFAKVYYGRNLKTSQSVAIKVIDKEKIFKCGLMDQVRREISVMKLVKHPNIVQLYEVMATKTKIYFVLEYVKGGELFNKVQRGRLKEDVARKYFQQLNSAVDFCHSRGVYHRDLKPENLLLDDNRNLKISDFGLSALAECKRQDGLLHTTCGTPAYVAPELICKKGYDGAKADIWACGVILYVLLAGYLPFQDKNLMDMYRKIYKAELKWPSWFSSEARRLLRRILDPNPGTRISFSEILENPWFKTGLDSELINYSIQTEDVVHVDMDPVFDPFSSSTTKTTREEENLTNLNAFDIISLSSGFDLSGMFEDNSNKESKFTSTSTAAAIITKLEDIAKSLRLKLMKKDGGLLKMESLQPGRKGVMSIKTEIFRITPNYHLVEIKKTNGDTLEYEKVKNDMRPALKDIVWAWQGEQPQQQCDK >cds.KYUSt_chr2.19832 pep primary_assembly:MPB_Lper_Kyuss_1697:2:124878918:124893751:1 gene:KYUSg_chr2.19832 transcript:KYUSt_chr2.19832 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIERTPGGAAALSSPLGRSTPRVSREGSPPSDPILPYLRSISKAMQELRTNPEFCQAAIEQLKVYVTECIDKYGDDYQYSTDPRLLKIWILYADAICDFDKVYKQLEEKRLFLEHALLYEAYALFLFAKGRVLEADKVYGIGIARKAEPVDHLKKMHIDFLKQLESAVEESNDDAQPKPSKIQKELNVVDPWSLSTMDSLMTKINGGGLKKFTGYYKSSKVYSGKVPLTSSLHAVKNKFIELGGRKYQIKGFCGTGAFAKLYKASIDGNSEETVALKVQKPAFPWEFYMYRQLDLRISDIQRPSYGYAHEVHVFADVSLLVCDYLPYGTLLDAINSNLVVGHQMDEVLCIYYTIEMLHMLETLHSVGIIHGDFKPDNMLVCYPSEEITDDTFRSETRTVQSQGICLVDWGRGIDLNLFPAGTEFLGDCRTSGFSCIEMQEDRTWTYQVDTFGLCVIAHMMLHGVALSIEKVKRADGSYMYQPKSPLKRYWNVELWKNLFATLLNTPSSGSDVALLRSLRRSFQEYMCSNWQLVAKLNQLLAKQKASLCSS >cds.KYUSt_chr7.19509 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120924278:120930090:1 gene:KYUSg_chr7.19509 transcript:KYUSt_chr7.19509 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALFGGHRRGPAGAERMASTRSRGLPGNTGGDGDHRRRAMLSKKYSYIPDTYTSLEQVTAALRDQGLESSNLILGIDFTKSNEWTGKRSFNGQSLHKLGSTPNPYETAIGIIGKTLAPFDEDNLIPCFGFGDATTHDYNVFSFHQDNSPCHGFEEVLACYRNVVPHLRLSGPTSFAPIVEAAVDIVDRTGGQYHVLVIVADGQVTRSIDTSDGDLSPQERRTVDSIVMASSYPLSIVLVGVGDGPWEDMQKFDDKLPARAFDNFQFVNFTSIMSRNATLQQKESAFALAALMEVPIQYKATMELGILGRTTGKAKRVVPAPPPLPASSQRQPSSLRREGSGANATAPAEPREDQVCPICLTNAKDLAFGCGHMSAKTWCVANPSANEAALRANMDFACSESDCSAIQGTGGCSVRYGGILLSRASVAMNAYYQAKGRNTWNCFFNGTGLISITDPSLGTCKYA >cds.KYUSt_chr4.1180 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6206823:6207878:1 gene:KYUSg_chr4.1180 transcript:KYUSt_chr4.1180 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFLARSQPHLSHLRRPAGCGAGDTNVVAAVLGRSNRTILPSSRAYASDIFSEPEAETVATPRCNNARAPPLLNLNRHGQHGCCCCCCRPRPPRHLYVFLDEINTGHSLYKLDIDDHDGGEEDAITGAGMAADEPVLTAPLRLPEAVLRLGVSSVGPKPRFESLGSKIIITGVSSTVVNSAITDRPTEITLVYDTKTAKLDIGRPPPERLMSPYHAVSTGDKLCVLDTLGDSPLYLSEQPAALADLADSSCITRFAEDGIMSELRGQMKEWAWKRGPSPLQPKCNLRGVKAYAMHPNGHTIFASSSSTFCLDTESNKMSCCGDWRLPFDGRGYVLRPRSRCVGWDSKVR >cds.KYUSt_chr5.27883 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176446246:176446881:1 gene:KYUSg_chr5.27883 transcript:KYUSt_chr5.27883 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVAGWWCWPLPAWLGSGAAWFVLLNVVVGAIFALSSRAQPQSPSPRRGSGITRRASSVLLQRLRSFSVFSSPSPGFHTDAALPEDSHATFRGTEEAGTSARAWSPSTPRAPTASAPARKEQDEDDVDSLSMDDVYALVQARRQQPPPTPTEEEAAGSEVDAKAEEFIRGFKDDLRQQRLDSIFNYTQMLKQRAAGRGTTPVAEPDTTDQ >cds.KYUSt_chr3.31416 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197305132:197308074:1 gene:KYUSg_chr3.31416 transcript:KYUSt_chr3.31416 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAFVSANQSPFFTPRSMSARRPEHALPDYNNSSSGIALKISDILSSDTLVRQEQLPAANTSLLQDDASPPPSLCTSSNFGTPAIVYNNPSFISTFNGPYQGSSSATSTCDRSTRKEKQKRQVYRKSSSSQPTTSAASVSRLRIYDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRNSHSHDAVERIMNASTYGVVILTKKSFGNPYTIEELRNFFGKKNLIPIFFDLGAADCLARDIIEKRGELWEKHGGELWMLYGGIENEWRESVDALSRVVDVQLEANDTNWRSCILQTVILLATKLGRRGVVDRVNRWRARVDKEEFPFPRNGDFVGRKKELSQLELILFGDVSGEGEKKYFELKTKQRRKSPISGWSVNNYEELNANEIKGKEPVSWKETEEGIEMQRLGTPLQHGRLPRVKTGGRYGRKKKSTKILYGKGIACISGESGMGKTDLALEYAYRFSQRYKMILWVRGESRYIRHNYLALRTLLELDLSVDTHLHEKGSDRCFEEQEEEAIAKIRQELMRDIPYLVIIDNLESEKDWWDKRLITDLLPQFGGETHFIITTRLPRVMNLEPMKLSYLSGAEAMTLMKGGVKEYPLMEIDALKVIEEKLGRLTLGLGIVGAILLELPITPSRLLDTLNRPSPVRDFSWNEREGSSLRNNEVLVRLLDVCLSIFEHADGPRSLAIRMVQVCGWFAPSAVPVHMLALAAHKIPKKHRRSPRWRKWWRTLTCGLAASRMQRSEAEAAAMLMRFGIARCSAKSEYIQFHDMIRLYARKRGGTRTAQAVVQSVYLRGSIKHSSEHLWAACFMAFGFGSDPFLVELRPSDLMFFVKQIVVPLAINTFITYSRCNAALELLRLCTDALERAAESMLSQAGKWRETSLSCFRPVQSEAQYTYLWQELALLKASVLETRAKLMLRGGQYDTGDDLIRKAIFIRTSICGEQHPDTVSARETLSKLTRLLTNVHLS >cds.KYUSt_chr1.20557 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121344064:121346234:1 gene:KYUSg_chr1.20557 transcript:KYUSt_chr1.20557 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPERFLDVVAARRSCRFGDGAGGGRRPTKWFIESYQVDNCEVLHIMVRQQRAAGRPVTIVWRATMRCGETGDELREVGDVLRGGHRRSAGGGTTSWSYMIVSICRWSRGARGTPGRMEFHTKLRIEGLPEEA >cds.KYUSt_chr1.8114 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49897187:49898432:1 gene:KYUSg_chr1.8114 transcript:KYUSt_chr1.8114 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSTSQGLNPHVTLGSFQTPPSQAGAGGYEVEEEDVELLSVSWNQDRSCFSAATTDGFRIFSCKPFKETLRRVQGNGGFRIMEMLFRSNVFGVVGEGSDKLYPQNKLTIYDDHKSDLIGEFSFRSDIRAVKLSRKYFVVVLEHEISVYSFADHKLIHQIETTSNPNGLCCLSCHTENSVMACPGMSQGLVRVDHFGSKATKLITAHHSNISCMAMTVDGLLLATASVKGTLIRIFNTVDGTCLQEVRRGVDKAEIYSIALSSNLQWLAVSSDKGTMHIFSLRVGAGGEDASNGKSAIGSRQIDRSNSSGSVDPVLLANTGSNVSSSLSFMKGEDTLFLVAKPLVDLI >cds.KYUSt_chr7.23485 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146253240:146254199:1 gene:KYUSg_chr7.23485 transcript:KYUSt_chr7.23485 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAPPEAADESAAAGSGSAGGVPGWLEALLGTRFFLACAAHPGSPRNETNMFCIDCRATPAAFCYYCRSHRHASHRVIQIRRSSYHDVVRVTEVEDVLDIAGVQTYVINSARVLFLNERPQPRGAGAAAGKAAASPYNCEICGRALLDPFRFCSLGCKLVDTKMHGHEAATGNAGVGVSGGDGGEAEAGGSKHGARPQGRRRKGTPHRAPFGS >cds.KYUSt_chr5.16172 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104146222:104148751:1 gene:KYUSg_chr5.16172 transcript:KYUSt_chr5.16172 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRPSSHRTAAPLLLLLHCCYAILLLLPSPASAAHRHATGDGIVISEADRQGLQAIKHDLADPLGYLRSWNDTGLGGACSGFWTGIKCVNGNVVAITLPWRGLAGTLSARGLGQLLQLRRLSLHDNAIAGAIPSSLGFLPDLRGLYLFNNRFSGAVPPEIGRCLALQSFDASANLLTGAVPAALANSAKLIRLNLSRNALSGEIPAEVAASASLLYLDLSHNRLSGPIPDAFAGASKAPSSSSRKDSITGTYQLLFLSLAHNSLDGPVPESLSRLTKLQDLDLAGNNLNGSIPENLATLPDLNTLDLAGNNLNGSIPENLAYLPDLNTLDLSGNNLNGSIPASLANLTANLQAFNVSYNNLSGAIPAPLLQKFGADSFAGNALLCGYSASSPPCPVSPSPGTSPGAQGRHGLRRFSAKELALIIAGIVIGALILLSLCCLLLCLLTKKRRSSGSTTRSGKEPSSKDAGAAAAAGRGEKPGASEAESGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKEFEAEAAALGKVRHHNLLSLRAYYLGPKGEKLLVFDYMPKGSLSAFLHARAPNTPVDWATRMVIAKGTARGLTHLHDDMSIVHGNLTASNVLLDDDSSPKIADIGLSRLMTTAANSSVLAAAGALGYRAPELSKLKKAGTKTDVYSLGVIILELLTGKSPADTTNGMDLPQWVASIVKEEWTSEVFDLELMRDAATGPEGDELMDTLKLALQCVETSPSARPEAREVLRQLEAIRPGPGPEGGAEQTEEGHVVASASNA >cds.KYUSt_contig_662.290 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1657286:1658917:-1 gene:KYUSg_contig_662.290 transcript:KYUSt_contig_662.290 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGASSLPRWGSCSLHGLNTEVRTGLDKDALPGVTGGGIRIPPYFWGEGPVADAELGFPVYTGYSEARALIGEGATEDLARLSRQAQDMVAEMFASIGRDQEEAARGPRVVQLRLSPELALWKSIQHAIGNVLPPKGKGLKQASPQVLAQLGATDWPEAITTNNALFGGGSTAMLIGAADLHTMFSNYVTDMAVYYEYGYNHVFPHLHGMLQDGLADAHALATPCGQERREAVAVGLPYIQGKIAMEVADRTRLKDFSAQMDRRAAQIIFLFDCSVLGIGAEATARGFDAGAVMSDLILSVNSHDVLDVGSDLVNSEIMNSFLNVADIAASGVVSEPALRAIYDAYAATGARLFTQRWHEPSARMVANEYIWHIANDRHMLFRRALLGWPMARKSPASPQREADFDEVFDADFHTTGFSRPIDPEYACDGEETCNHVRRFLDRQDEDLLSSFWWSLVTGPLEYIRQGEVDEKHEEHLIESSRMQMAQLLSKGLVLELTWLLAHASHHAWQVNYMYEAAMFGSILDGGALIGKLDREEKGSIG >cds.KYUSt_chr6.28042 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177780225:177785284:-1 gene:KYUSg_chr6.28042 transcript:KYUSt_chr6.28042 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCQFLFSAVFGFRNPSKEIFSELDEINAQDLIFPGSIQRVREAPEGSPGGPTPQGSAAWTLGAPAYGGATPWLLRGRLFAYKKPPDLNLRHGKATVIYRCTGVATQEPSTMVLEEFFGHLLGKKGWGDGAMRDLELSLRAKGPVHPAYDLMLERCCMFADFGRLLGYTFSATAVGCLATSFLARHRAPYLPSQSVSTARGSASACNTHGWLRFRILNKHSDDKSLVEAVRRHFVADHVFSDQHQEKPPLLRWRERGSYLDGALLEEMKEYEDELKEHKAELQKLKKELEAMLKRMMKYEANNSDDQAKSIVNVGLFQEDPLACILGTPGNIKETGDR >cds.KYUSt_chr5.43661 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274931212:274934805:1 gene:KYUSg_chr5.43661 transcript:KYUSt_chr5.43661 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAVLSSNRAFASPLSSAGGKQQHTSPHCRWPVCSLVPGDRNGRQTPASVQQPLTRFCPKRRLLSSRVECFLYSSDPAVTSGWHKPKRRENLTAGLDTASSCVVPTRNCKAKFEMTGSQSDVPVEAEAVLPGQDAPWFPKRWTIVVLCFFSFLLCNMDRVNMSIAILPMSAEYGWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSIATMLTPVAAKLGLPFLLIVRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLSLVYSGMYLGSVTGLAFSPFLISKFGWPSVFYAFGSLGSIWFALWQRKARSSPSEDPDISEDEKRHILGGNATKEPVSSIPWRLILSKPPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVAKGVSITNVRKIMQSIGFLGPAFFLTLLSKVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGVCYTVHSRDSGVERLFHRRENSRISCRRKIADFADVFLACKKYSFLLECEMLGNEHSIFIKT >cds.KYUSt_chr5.31589 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200203411:200205921:-1 gene:KYUSg_chr5.31589 transcript:KYUSt_chr5.31589 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGGPADSRTRSTVAICIVIGMCVFFYTLGAWQKSGFGKGDSIALEITKRTDCTLLPNLSFDTHLSEQGSSGELVSPVKKFKPCPDRFTDYTPCQDQNRAMKFPRESMNYRERHCPPQKEKLHCLVPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQFEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGVNDGLYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNKIEEIAESLCWEKISEKGETAIWRKRENTESCPSRHEESTVQMCESTNADDVWYKKMKACVTPVPDVENPSEVAGGAIKPFPSRLNAIPPRIANGLIPGVSSQAYEKDNKMWKKHVKAYINVNKYLLTGRYRNIMDMNAGFGGFAAAIDSQKSWVMNVVPTIGKIATLGAVYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCSMEDILLEMDRILRPEGAVIMRDDVDVLAKVDKLARGMRWNTKLVDHEDGPLVREKVLYAVKQYWVGGNQTASA >cds.KYUSt_contig_1658.240 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1589238:1592728:1 gene:KYUSg_contig_1658.240 transcript:KYUSt_contig_1658.240 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDPMHASTVEMCAAEKLFDLGFDKQMHAKPIRDFSGGWRMRILLARAFMNPIIFLLDGPTNHLDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLTTGDLTPLNGMVRRHNHLHIARFHQHLTEKLDLGMPAVREGPVHLAAVFLGEFSSSHAKDFIVSPSKHSAITQGMEDADTTKVITRCSYAPPANNVEDERKRSGNDSFCFKDASGTTLEVMDSKPDTSGEVVVAAATPDYLMEIFPNSSHRDGSIYSGTDDWKIDYRIADRNESK >cds.KYUSt_chr3.40412 pep primary_assembly:MPB_Lper_Kyuss_1697:3:254683226:254685889:1 gene:KYUSg_chr3.40412 transcript:KYUSt_chr3.40412 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTQGRHKSHAKHATLGDDREPEPTAARRRPPSTAAMDTFFLSHGSPTLSIDETLPARHFFQSWLPAAVAGPERPRAILMVSGHWETATPAVNVIRGSNDTIYDFYGFPKSMYKLKYPAPGAPDLAMRTKELLEQGGFGPVKEDRSRGLDHGAWVPLMLMYPDADIPVCQLSVQTDRDGTYHYNLGKALAPLRNEGVLILGSGSATHNLRKIGPTHSPVAEWASEFDNWLKDALLGGRYDDVNHFQEKAPYGKMAHPQADHFYPLHVAMGAAGDESKAEQIHQSWSNATLSYSSYRFTAKN >cds.KYUSt_chr2.32606 pep primary_assembly:MPB_Lper_Kyuss_1697:2:201134606:201134935:1 gene:KYUSg_chr2.32606 transcript:KYUSt_chr2.32606 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVSTTLLILLGVALALAGPAASDMQAAYSIDAAVQQLMSPPPSLSMLEDSVAPEFTVDMEVHRRVLAGIGTGALNKNKAACNGPCPARGGSYTNRGCNSKYQCRGG >cds.KYUSt_chr2.3511 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21015933:21017961:1 gene:KYUSg_chr2.3511 transcript:KYUSt_chr2.3511 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPLPPAIWPKNVGSNFNTTGENKLYSSGVNWTDVNQLDRNLPPAFPAETRRGYFGLCHLFHLHLPPTTQPPPHPHITHPPALSLPNPLIDALPDKLRGEALDLQHGAAFLPRVRIVSGTDATVTKNSDLVVITAGARQIPGICYRRYAAQRPCRGAPWPPKIRPLRFPPPHRCSMARAPGSITRFVIFAPLLHRSSPTRSVESSRRPAKILGDPHGYGEALGDLEQDPVASIYVEKLMSGVVRVELHAGSEVLKSWPSFKQKRKKLIPEEGGGTRMREDWHLGNN >cds.KYUSt_chr6.9517 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58749069:58749701:1 gene:KYUSg_chr6.9517 transcript:KYUSt_chr6.9517 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLHPTLIAATILASDHLRPHAIATSQARARPAATNAGAGAVVHALLPRKPAPRRSRAPCRPACPPIAPPSRVAALLHILADILDTAARPRVLTFQRRRLLPPWLPPTFSASPDISSTISDDVAPPSPGLQLLLVPRLQLLLPAKSLGPSWLIGSAAVTWLLCSGERADGAELDLSKSKRVPGCSRHSVWDWSVNGQMIRVIKKRGAKK >cds.KYUSt_chr6.13553 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84935817:84936905:1 gene:KYUSg_chr6.13553 transcript:KYUSt_chr6.13553 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGPSLHEIHIESGSTTRHFVIGPPIDPMMPAWTDRSGHTLITGGEEAGPAGQRPGAVRAWSQLWLRALSKKGKPPDDCQYINRASNVALLDRPHNHFAFALAFALCLARNVAGATRDCPGTTATTCPAGQHATCGVGGHRPVREMATRCFFPRDHAAGQGHGHRQQQQHQSKAAAEALEQLHHGGRVLSREEVGGAVRVKIVVSKRELKKMVAALGTGGPTAGVAGSTAAASGDRRSSRQRASSGGTDAEQRLQSLRRRSMRRAAEEARRMQASGEWEPGLQSIPEEAY >cds.KYUSt_chr3.33676 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211481285:211482025:1 gene:KYUSg_chr3.33676 transcript:KYUSt_chr3.33676 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEHKIDHLDQGYNGPIIPHKDPPTPRRLPCVAVANPYALLCTAFRALTFVLIAVGVVALVLSLVFQLLNLKAYVDSAMFTRFDLGTGGNGTTAEVHYNLTVALSILNPNPKRAVVYRSLEAVALYAGERFEYMSFPRMWQERKNRTEIRPSFDGQSPVAAAPSAAFGREKGEGFFNINVKVYTRVRLNVAIVNSVVYSPEVDCYVTVPDPGNSTAVAQGFAATECAHVDDCSLLKNENLGGED >cds.KYUSt_contig_1537.441 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:2630363:2631384:1 gene:KYUSg_contig_1537.441 transcript:KYUSt_contig_1537.441 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSHKVIARFGGAAVLPWIPRVGRWPAAGSVADRAVRAAAHSIKTWRPPFSVLGDYRRSPLSVLRLHGSNVQGSAIGGDVEERIVTRTPLYLTPQHQVRDPVGRPCAAGPSACEASLRRLGVDHIDLYYQYHIDTTISIEYIVSS >cds.KYUSt_chr7.8495 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51475170:51475739:1 gene:KYUSg_chr7.8495 transcript:KYUSt_chr7.8495 gene_biotype:protein_coding transcript_biotype:protein_coding MREFSWELILVLLGLDLANTQLARWVEAENKENAAGEDGLLTALANESKKIEAADVEGADDATTIVAALGDDEDGEDVLGQLPLRTFSEEDEGTMAVPSPRSDATRQPTTGTNTAISGGFLGVTDEAEIVPGVSS >cds.KYUSt_chr3.6124 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34983360:34985434:-1 gene:KYUSg_chr3.6124 transcript:KYUSt_chr3.6124 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTAGVNKAAAQDGGLRLASLNHISVVCRSLESSLAFYCDVLGFFPIRRPGSFDFDGAWLFNFGIGVHLLQAEDPEGMPPMKTEIDPKDNHISFTCESLEVVQWQLKEMGIRYVQRRVEEGGIHVDQLFFHDPDGFMIEVCTCDNLPIIPLVPGPPCKRAVPDLPPAKSSGGGGWVVIEALDVPAAASMMMTCPEQACMQV >cds.KYUSt_chr1.3012 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18101375:18105796:1 gene:KYUSg_chr1.3012 transcript:KYUSt_chr1.3012 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTCQYFGSVYSRFFTSCPAIAPPFHSLNGPSDAPQRTSPATPRSSRVAKTGGNETDSPGITPTRTPTERSPKVTERRSPRSPITEKKRPSRLNELDSKVSQLQDELKKTKEQLTASEARRRHAQQEADEAKKQEQDASSKLADSQRQFTEFSAAEESRLQELRKIQQERDRAWESETEALQKQQSVDAAALSSAMSEIQRLKLQLEATVQSDATHAKQCEYADSELEGLKQEMELRLATIEGLKVNVGESDKAAADANAMATETKLQLETAKATIDSLVAEGARLQECLRSKDVELSESKARVVSLEEDLKKVQAAGNESLSEAQGGNANGGFGSPLTEVLKSVNGSPDLEVEHWRTALEVAEMRYQEEQTRMTIETKTAYEMLENMKSECARQLCDMELKLKSKNEELVAALAGKAQDLHRSDRLSEMQPELEAKLMKSITDIAELKANLMDKENALQSIAEENETLKCEAGRKEADVQQRYEAAVAELELAKAAEQDVRMRLGYVTEEADKSSRRAARASEQLDAAQAASAEVDAELRRLRVQSDQWRKAAEAAAAALSGGGIGEANNGGGRMVERTGSLDPEYNGSIGGKLMGSPFSDDESPKRRNSGVLRRMSGLWKKGPK >cds.KYUSt_chr7.4321 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26064473:26068032:1 gene:KYUSg_chr7.4321 transcript:KYUSt_chr7.4321 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWLGGLVEESFFVACEAHESRKKNEKNIFCLACCTSICPHCANAAHRHHPLLQVRRYVYNDVVRLGDLDKLIDCSFVQPYTINSAKVIFLKPRPQSRPFKGSGNICLTCDRILQEPFHFCCLSCKVDHVMMQGGDLSNILYMSGEPDLACFPRFENLHVGSSSADLLDYDAAQVTPNSILEDPTRRYHESSGVSGGSSNGGRHARRASVGTDQAAGAASDVPRKKKSGGFFPQIVLSLNNRRKGAPHRSPFA >cds.KYUSt_chr3.37607 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236626383:236632143:1 gene:KYUSg_chr3.37607 transcript:KYUSt_chr3.37607 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRKRTLPELQLASAGASIGIDGSCRRPPPGLRLKKYGVEDAHGWWSLMDTCRDGESEAREKLASGGLSALSFTYWTALLSSPSLKPLAQAAAMATAAPPACSLLLPSTAATAYASGRRAPRFLASARSASARRPLSTASSPRVAAPAAVEIPEEYVDEIEAVNIALDVTQLIGKTPMVYLNNVVEGCVANIAAKLEYMGPCRSVKDRIALSMITDAEEKGLISPNKTILVEPTTGNTGIGLASVAAARGYKFIATMPSSVDVERRILIRAFGAEIVLTDPTKGLKGAFDKAEEIVSKRPNAYMFHQFNNSANSEIHFQTTGPEIWEDTLGTVDILVASIGTGGTITGTGRYLKMMNKDVKVIGVEPAETSIISGDSPGYIPSILDVELLDEVVKVTTGEAVDVARQLALREGLLVGISSGAAAVAAMNVAKRPENAGKLIAFIVWECIANDMKALYSAICTPSEASRNSASLFSDVSIGRP >cds.KYUSt_chr7.7482 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45058000:45061811:1 gene:KYUSg_chr7.7482 transcript:KYUSt_chr7.7482 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAVSVLAILLASCAIATASFDKEFDITWGDGRGKILNNGQLLTLGLDKTSGSGFQSKHEYLFGKIDMQLKLVAGNSAGTVTAYYLSSMGATHDEIDFEFLGNETGKPYTLHTNVFTQGQGQREQQFRLWFDPTKDFHTYSILWNPKHIILMVDDMPIRDFRNLEGKGIAFPKNQPMRLYSSLWNADDWATQGGRVKTDWSHAPFSASYRGFKADACVVVAGGRTRCGASVSTEAAPGTTAGAGAGEWYNQELDLTRQQRMRWVQRNYMIYNYCTDPKRVAQGLPAECSISAKSSVGRGQAAAPPPPPSPPTPPPPASSSDDEFDSEDSTDLLHPVRDAAALAEAEKEAQEELAGHAALDAELEQRRLAAAAAAEDSDSEISWSSDDPDAPTPEEKAAEQRAIVESFETLKDAAANARLEQALSQDAAVHRAIAAVREAAEKQTTERRNDGAGPSGSK >cds.KYUSt_chr5.39195 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248066872:248074698:1 gene:KYUSg_chr5.39195 transcript:KYUSt_chr5.39195 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLFRRIVDALGEWSPFFTQRTDALGRPSLSPLQKCTAAIRMLAYGVPADGADEYVRIGASTTLKCLEGFVQGVIAKFGGQYLQRPTTEDVQRLLEEGEARGFPGMLGSIDCMHWEWKNCPVGWQGQFIRGDYGVPTVILEAVASHDLRIWHAFFGVPGSNNDINVLNQSPLFTEVLQGNAPQVEYYVNGQQYKKGYYLADGIYPEWAVFVKTVPLPQTDKDKLFAKKQEAARKDVERAFGVLQQRFKIVAEPSRLWDQTDMGNVMKACIIMHNMILEDEKGVVLPFDLNEALGRSSALPPTMLGFQYPMFPMLPPPPPANPFSPPSDPSSPTPPPAPPSAPPSGKRKRVTIDIEAIEEDKQRLYYTKDEDVRLVSAWLNNSVNPIEGNAKKREYYWKEVADAYNSTTESDRKRDIKNLKNHWYKTTPKVTSFNGCYNQISDTYASGRCDKQLLQQALDLYHSRHGHQFMYVHWWEAVRDSQKWKIHVYTEGDGTKRSQAAPTEKTPRPTGCKAAKKARGKDKEAPAEINGMKEQIGAFLQAQAETKVQTEEMMELQSRLSAQKLEANRLAYEAAKERTVAKLAEERTKLFDKFTEMLNADTTRMEPWAKEMHVRAVTRKKLAKMLSRVLVSSPAAFHHSHVPCSHLVEVSDEGVDERLCDHAQDDDQPFDYQGPLAEVEHVYIASLTFKHPPRIVFRVPKANASLLAPWGNLAFRRHKRLQTPLVNKIKEEEPDWIADARRQAILRGPNDEEENPVHTVAWIASRNKMDAMSAPCPTP >cds.KYUSt_chr1.6064 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37243754:37247331:1 gene:KYUSg_chr1.6064 transcript:KYUSt_chr1.6064 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLTHGAVEAMSRPAARLRPVLQVVCGPTARGNGVPRYRVLLSDGMHSQQAILPTSVNGLVSTGRLRDGSVVRVLDYVSQSAYCGRVITVIQLEILQTECTLIGSPKIYQGLFCSSVAAREEHAVNPFYGPLLTQNTTNAKMQQLSLNSHQGKNPVVPPFGFSLGRPDNTCGRPEQSLYQQQPLVYMNRGPVVNNDAPFYVTPIAALNPYQGRWTIKGRVTAKTDIRHFGAGKVFSFDLLDAQGGEIRAICFNSAVDQFSEQIVVGNVYLITGGLLKPAQKFNHLSHAYEVVLDTSASVAIGSSDDSGIPWQQYNFQLINEIQNLHNGDMIDLLGVVTSVNPSVTVMRKDGTETQKRSLQLKDMSGCCVEITLWGNVCNAEGQLLYSMCVSGFDPVLALKGGRVHEFSGKTVDTISSSLLKVNPDLPEAERLVQWYITEGEFGVCTSLSQEILVVNKTIAHIKEEALGRSDKPDWITVEGTISHISTERFSYPSCTRELDGKRCYRKVTHNGDGTWHCPKCEQSSQNCEQRYLLQCKIEDRTGTTVATAFQAAGEEILGLTAQELFTIKNVSQDAAQFAEIIKRACSQLYLLKLKIKEEVYGDEMRVKVSIAKAERLDVTLKQSSVPRASHILSDDGLGLRAAVSVRNCPAVMDMQKPAAGGGFAASSCCYRPPNAGSDPCFKQSNLVSLSTSPESFHGRLHVMPLQQAPPPSDVISLGHYSLKNGRPGHFA >cds.KYUSt_chr4.36849 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226661590:226662102:1 gene:KYUSg_chr4.36849 transcript:KYUSt_chr4.36849 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMSSVCARPAGFSFSGAGGVKCQRRARVRVSAVATAPERVATAAKTTLYDVLSVGTSAGPVEIKAAYRRAALRWHPDTCPGGADQFMMAREAYEVLSDPERRRGYDIQLRFGVACGGAGSSHAAPGAGYADWEAQLAGLQWRAAEEARAETWGNRMRRRSTAQTSPSH >cds.KYUSt_chr3.6342 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36347764:36355447:1 gene:KYUSg_chr3.6342 transcript:KYUSt_chr3.6342 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTYDPLLGTMVKAPDGIGTVMLSQAQSHMYKVWQEIEPVVFLGVGVGLCWTVWSYMSRKSSLTTYGHYMTGNTCGPVVGRDDEIDRVISILCCKTKNCAALVGAPGVGKTAIAEGLAQRIAAGKVPAELAEARILELDLGGMVSGTILRGMFEGRLKKVIKEAENSRGKIILFIDEMHMLIGAGHHFPDKAIDLIDGACSTAKKMMQIDNQEEEVDAVKKTIIVTPNHVAEVVSRWTGIAVTALDQDEKDRLIHLADRLHERVVGQDEAVNLVSEAVLRSRAGLDHPGQPIGSFLFLGLTGVGKTELAKALAEQLFGSEKTMVRIDMSEYVGSGAVARLIGAAPSCVGYEDAGQLTEQVRRRPYSVILFDEVEKADPLVLNVFIQLLDDGVLTDGKGRTVDFKNTIIIMTSNLGAEHLTAGMAGESTMESARDLVMKKVHRHFKPEFLNRLSEVVIFEPLSRGRLKEIVKIQMKSVIARVAAKSICLSASDAALDVILSESYNPMYGARPIRRWVQKNVMTVLSQMLVKGEAGEGSAISIDATDDRKGLKYEVVDPRGKSRVAELSSDCDDKSFYVRAATNPSTHGGEAKGEDISSDEEDEAEDDTSSDEYPPAKRFRAGSEDDDNDDEDEEEAHADGFSSSDEDIAGSNANGSEDGDNEGNNGSDGAGP >cds.KYUSt_chr5.29290 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185600401:185608169:1 gene:KYUSg_chr5.29290 transcript:KYUSt_chr5.29290 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVIQLQASVVVLPNGVIAESVGHVQIDEAVLWPAVFIPDVNVPVVAAKSSYENTLSSLETIGGVQDGKSSPATPPSGGHMDPKCSTGALDLNEPAIEVDKVIEDVSLFNDLQIEVTGSIQRTLSNPDVTKSSEHSKHVSGSNTGASVDTNPETGETLSTDDSGGDEDEGEVQSTPCSQTEVETPFKGKIYDSWEDAKMQYNRYAKKIGFSIKCSTSKVSKIDDQRDKQLFVCNKSGKNEDINKLEAPPVRQRNRSITKKTECKARLRIKRRGTKWYVTYFIEEHNHNLVKKFSLKKYLRSHKGIPKEEKDFVQLLHKVNLSAGRVMRIMGEVYGGLANVPYDSKDVSNFMAKIDEEHTHKDMSLLLAHFARIKKEDPDFYFNIHTDHADKVDRIFWVDGPAIAAYKNYSDCLSFDSTYMTNMYNMPFAPFIGINRYCQTIQLGCGFLKNENIESFVWLFQEFLEAMGGLQPDNFITDQDAAMRSAVLVSFPNCCHRNCRWHIMQNAQAVLGNFLSKHEELRTELNEIIDYSMSVEEFETRWAQMITKHNVVDNPHIYDLYHIRATFVPAYFKERFFPFLQTTARSEGFNAVLKTYMDPHNNLHHFFLQYMKLQEKIDVAEDAVEFKDEDKTLRAWGDFPVEEQALQVYTRPIYLRFRAELRKVTSYNVQHVGHGTYDVSPIKKYVYGYGSRSYKVEANLEAENYNCECCKFSRDGLLCCHIFRVMMQLGNIDRIPEKYILKRWRIPEEIIVEEKLELPKVPVDRKMSNKERQQLRYGTMCNDFTKVAKIASTSDKGKALADKYMQALEKELLDMKASESAKRKKRKNATTAQDAEGANDGGLDSFPQFAHVEDPVYVPKQGRPAEKRKQSGLHLKSSKVVKCSICGSNQHTAAMCKDKITPSPEPKEFDFFREMV >cds.KYUSt_chr5.21042 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136908791:136921055:-1 gene:KYUSg_chr5.21042 transcript:KYUSt_chr5.21042 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAAAASLEDYVCTAEDFVVIESIMSAPKNTNFVEIGDASLSNDHLKCLTCDDGFLPDDVINAYIYCMRACDHLANELAISKTHWYLAVINAKKRLIQVLDSLGPGMGGTDLTFMLRGLEKHLKTASQRRGFDKGEKWHDLDVTTWPIVEHIRKPVQTDGKQGRLGSQLDPNPSSSGTRFHGRTSDTREEEEQQEELDMKTTHGCAREEREADGTWKEHKLGRGSRDKVQQFMAITGASEKVALQALKASDWHLEGAFDYFYSQPQISVTNSRQLEDLYNRYKERDADMIMVEGTSQLCNDLLVDPQDVVMLVISWHMKAATMCEFTRQEFIDGLQSIGADSIEKLHEKLPSLRAEIKDDQKFREIYNFAFAWAREKGQKSLALETAIGMWRLLFAERHWPLIDHWCQFVQVRHNKAISRDTWSQLLEFVKTIDPQLSNYDEEGAWPYLIDEFVEYLTENGCVPRKK >cds.KYUSt_chr7.19727 pep primary_assembly:MPB_Lper_Kyuss_1697:7:122415138:122420509:-1 gene:KYUSg_chr7.19727 transcript:KYUSt_chr7.19727 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFDASCDGMYYSTYDHVAKLADEILKGVSSVKGVEVKLWQVPETLSEEALTKMGAPPKREDVPVISPAELADADGLIFGFPTRFGMMPTQFKAFMDGTSELWCPQRLAGKPAALFFSTGCQGGGQETTALTAITQLVHHGMIFVPVGYTFGAGMFEMEQVKGGSPYGSGTIAGNGSRVPTELELKQAFHQGKYFAGIAKKLKGSP >cds.KYUSt_chr4.33939 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208372003:208375230:-1 gene:KYUSg_chr4.33939 transcript:KYUSt_chr4.33939 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQRKSGDRRIDAAIDHFVPMGFKKVDIRNIVNSLLKNVYGNDGWPFLEENCYHVVQEALLEKQEEEEKLQLQVLQKKQEQQQEEEEEQQQQDDEEEEAQEQQQEEWEDEDEDQQQDAAMVPVVQVHNEEPSNTVLAVEQTEEVIIDPPAPKALPHLAAARSGRARRPCFGWISESDSDSDYEEFLASRQQVVHVPAAGGDLGKRKRLTRWDVKKEAT >cds.KYUSt_contig_1790.176 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:943352:949893:1 gene:KYUSg_contig_1790.176 transcript:KYUSt_contig_1790.176 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPKPPPSRHVLAISHERRRRDLTLRKTARPRPHTTAKKHPRHDARGHSRAVTDATTPPVKPADLSASPNSPPNSSQSNASIFSDVGGTPRAALPRAAGTGPTWPRSETSNGAAWEGLCRRWPHPDPPGPGRGPSGPDRGPPGPNLSGAATSISPPPLPSAAALRRTPRPPTPPPRAAVDLPYRRRRTELEEPLGAPPPSATPRAEPQRERHYLGRGSHDKVQQFMAITGASNFLSGDADVGS >cds.KYUSt_chr5.29227 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185194703:185195125:1 gene:KYUSg_chr5.29227 transcript:KYUSt_chr5.29227 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVVHTGGCHCRRVRWQVEAPASVVAWICNCSDCSMRGNTHFVVPADKFALQPGADDSLTTYTFGTHTAKHKFCKVCGITSFYIPRSNPDGIAVTAACVDPGTLAHVEYRKADGKNWEKWFEKSDISEFSKPAAAAAE >cds.KYUSt_chr5.13833 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89948587:89950122:1 gene:KYUSg_chr5.13833 transcript:KYUSt_chr5.13833 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMELSWGARCAGLLFFGSSIFLVALAAVLLLLRRWPWCSCHVCRAYLTGSWARDFTNLGDWYAHLLRQSPTATVHIHVLGCTVTANPGNVEYMLKTNFDNFPKGKQFAALLGDLLGGGIFNVDGDAWRHQRKMASLELGSVTVRSYAYKIVAQEVETRLMPLLTDVADKGTVVDLQDVFRRFAFDTICKISFGLDPGCLEADMPMSDLADAFDTASRLSAMRGAAASPLVWKMKRMLNIGSERELKKAIKLVDDLAAAMILQRRKLGFENSHDLLSRFMASDGDVHAMDDKYLRDIVVSFLLAGRDTVASALTTLFIHLSQNPEVAAAIRAEAGGGKPSTNGYEHLKSLQYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVEGESRVMYHPYAMGRMPTIWGADYEAFRPERWLTGPGGSFAPASLYKYPVFQGGLRVCLGKELAITEMKAVSVAVVRAFDVEVVGDNGRSGCAPKFVPGLTASIRGGLPVRIRRVSTTNSEFR >cds.KYUSt_contig_1130.46 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000106.1:352318:354864:-1 gene:KYUSg_contig_1130.46 transcript:KYUSt_contig_1130.46 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSTQVFDFPINSGATDMGIPFGYPLLVYLARPNMEKTQYGEGPARQPEELIPPRFYLSVDDEDRPYYQGLSKSAKAAMKAQSRANIKAARRARLDPAGPPSSTLDLLKKSVADQEAEDQEEEEQDKSQDEIQETEDEATTEADDDGNDDDEEEEEDDDEKEEMLMAPAAVVSEDRSVTYEELRERLHRRITELRGNRCTRPEFLNKPQKEKSKKVKGSKVKNGKDEGTKRKREDADDAEGKDGKKHKKEADGKAPDIVYGNVLVDPKDARRRKKRKIKNKKKELEQAKRMQRAKEDPKKATKMAWDLATRRAAGEKVHDNPTLIKQSMKKDKKRQQKAAEQWKDRKKTVDSKRKEKQNTRTENIRERAQEKKARKIEKREKKLMCPGFEGRKEGYVNK >cds.KYUSt_chr4.4813 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27535993:27536647:-1 gene:KYUSg_chr4.4813 transcript:KYUSt_chr4.4813 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVSGVAVSEECVKVFQELRAGRAHRFVVYKMDDAVQQVVVEKVGGRDAGFDDLAAALPADDCRYAVYDLDFAVADATAAGAGEAPRSKIFFISWSPATAEVKSKMIYASSNEGFKKELDGVQIDVQATEPSELTLDILKDLAT >cds.KYUSt_chr2.39737 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246648028:246650131:1 gene:KYUSg_chr2.39737 transcript:KYUSt_chr2.39737 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLETHLVPSSTAPESKVFYLKMKGDYYRYLAEFKSGAERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDITEDTAEDEIREAPKGAAE >cds.KYUSt_chr7.38967 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242534899:242538932:1 gene:KYUSg_chr7.38967 transcript:KYUSt_chr7.38967 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQPPPSASTSGAAVSMKDYLKRYQSGPAAADQKKAKKKKKSKPSAPAGAGVLIVDEDPVWQKPVQIEDEPASSGDEKPQVDEDIEVKRMRRMEEIRAKRPYNSIANDGSGWVTVDADSPHRRRNDTPSPERGAGAGRKDLSPPRRRQQRRDTPSPKHGSAAGADISPPRQRQRRRDTPSPEAEGGDLSPPRKVRQQGDHSPPRRRAPRHDSEEPPQDLSPPRRRTRHDSEEPRDLSPPRRRTRHDSEEPKDFSPPRRRTRHDSEDRKDASPPRRQTRQDTKEPEDISPPRRRKGQDSTQLGDLSPPRRRKMGQGLVDGDISPPRKGRKFASDDLSPPRKGRDLSPPRKGRKEGAPKEARRAGLMSAEEVKEDIRKIKEDEKLKFAAQDPSFTGKGAKAVFRDKEGKRINQEDIQKAKGDEKPKEKHIEWGKGLVQKRAAEARVQDLEDEKSKPFARTRDDPELDSMLKNRLRWGDPMAHLVKRKEDFLLDDLGDDEKMKESGFIVPQNVPTHSWLKRGVDPPLNRYGIKPGRHWDGVDRSNGYEKDMYKLKNDKQAMEQEAYLWSVADM >cds.KYUSt_scaffold_2697.851 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:5380557:5384459:1 gene:KYUSg_scaffold_2697.851 transcript:KYUSt_scaffold_2697.851 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGQGDSPVRICDPCKNLEEAARYEMRYGHKNRTLKANTKTASKPEDEILSELLGGDGMHSQFSRRESLGSELPGKTVSTASSSSSSPGSRKASMEGNGGGSLSTEAQNYELNNTASIFTPEELRQQAVEEKNRYKTLKSEGKPEEALRAFKRGKELERQAAALELELRKSKRMATKSPNVSAVISTQKINDTDDAVTKRDPAGKRVRKEKSDLASELKDLGWSDADLHDEARPTAMSVEGELSQILREVAPKSSEGKKSGGIDKSQVNALKRQALVLKREGKLAEAKEELKKAKILERQLEEQEILGEAEESDDDLAAMIHNMDDDNQDDILFDNSRLPAISFEQILGASDDLAFDSNFDVTDDDINDPDMAAALRSFGWTEEDDKQTESHEHVSSLNQEVLKEEVLALKREAVAHKKAGNIAEAMSLLKKAKLLEKDLESERPESKVLTPGQKITDTEDITVTEISTRRVSAPKSKLAIQRELLALKKKALALRRDGKVDEAEEELKKGAILEKQLEGLENSSKRPVANENMNFSSTAPYKAEPPSLDFTDESYEPEVTDNDMQDPALLSVLKNMGWEDDDTDSVKVTHKPSNLSPVVAKKPKKNKGQIQKELLAIKRKALAFRREGKNTEAEEELEKAKVLEEQLSEMEELANSAASQKVAGPDEHQTAENKYDIQHIPHVDATASSLRNTSKEDVSLPVHAVELKASTDPVASRIKPQTETHASRTAIADLSGTAEGSRSPSNVLDHKDPLKAPGSDAHRDDILLHKRKAVAFKREGKLAEAREELKLAKLLEKRLEGAQQDGVAGVYESATSVVQQSNSVQQPASASIHTDVLAHAPPAGDKSVQPQKAMSSRDRLRIQRESLTHKRNALKLRREGKTAEADAEFELAKSLESQLEEADSQGSSSAGKSAEASDAFVEDLLDPQMMSALKSIGWSAADLSTPSMNAQPSAKAEARPTVTVTSKPQNEKSQLEEQIKAEKLKALAFKREGKQAEALEALRSSKRLEKKLASLS >cds.KYUSt_chr4.41479 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256573129:256580504:-1 gene:KYUSg_chr4.41479 transcript:KYUSt_chr4.41479 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKKQASKLREHVAKQQQAVRKTFSARYNPDTSLVDEAELECHHNLQALYNSTRAAKQFQRTIVRGVEGFVAVSTKQMEIVKKLAEDCCKYGNDNLNSGFALGRASVEFGKTHTQMELERDKLLKVLGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNADSAKLQHAESKLSELRTTLAALGREATAAMEAVEVQQQQVTFDRLLAMVDAERTYHQTVADILNKLHDEMLLAKHHEESDNNHDEPSSEPSPEPKISSTHAHSNSTSEDPAPAVPETSEPTRNGQEVNYVGEVIHPFDAQADGELSIAVGDFVVVRQVSPNGWSEGECKGKAGWFPSAYVEQRDKAPASKVIEPGRLTA >cds.KYUSt_chr3.46347 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291620430:291622325:1 gene:KYUSg_chr3.46347 transcript:KYUSt_chr3.46347 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAAASAAAAAAKDLAAAAAKDAAAAAATAKEKKAAAKEAAVAASTAELNAEQAAARASALALDAKEAAAVAAAATAAAAAQASRKRKFHLVDDQVPSDGDFISRLPDHLLDTIVLRIPTKERVRTQAFSRRWRPLWRSTPLDLVADYDLKSKGRKTVDLIHKIISQHPGPARRFSFRLSITDCYHELVGWIGSRALDNLQELELDYTHTHSSNDRKKMYMWQLPSAVFRFAPTLRVAKFYNCIFPDFIVQLALKFPRLKQLTLDRVTMSEDALQSLLSGCTLLESLELRDNLGIVRLCISSQTLKSLGFSANSRNKCVLLQELVVEDAPSLERLLPLDPKYGPATIRIISAPKLKILGILSEDISELQFGNTVFQKMIVVGLATKMHTVRVLALGSAGPNQDTVANFLKCFPNLERLYVIFQPSMDKDDVLKYDPIECLELHLKVVVLKNYNSITGSSISFAKFFILNAKVLKEMKISLVYHRQQNWFANQRRLLQIENRASQDARIELTCGRGSRDNFTHNRHTHDLSMADPFDIPSGECYDCMELIRKKSLLF >cds.KYUSt_chr7.28932 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180013247:180013504:1 gene:KYUSg_chr7.28932 transcript:KYUSt_chr7.28932 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSWTERQNELFEQALNTYNKDTPDRWQNVARAVGGGKTVDDVKRHYEELVQDVDRIVLGDLRQYGGNNNGGSSRGGSSQERR >cds.KYUSt_chr6.18195 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114469168:114472555:1 gene:KYUSg_chr6.18195 transcript:KYUSt_chr6.18195 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRKQLDQLMGANRNGDAREVSRKYYDRDVCRRFLAGLCPHDLFQLTVRIYLLPSLECRSGLYLRFPSPPIADTYEEAKAKGMDNYDRESEETIERLIAECERKIQRALQRLEEEDAKAAIAISVTEVTKSKEVTELSKQIKEKMKEIDTFDLEGNTEGKIRATEEIDKLKEHRAEEQAKLLLEAFNKDRVSLVNALQTATQSTTHSTLAATPDARTQEMINEKLKKAEELGESGMIDEAQKLFDEAEALKKLGARPHPVPDSAKLSAHVQTTDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHIGYMLVREKLSELQEEKNKRRKVDRTEYDRRSRERSTERDRASSRDRHRGERGSSSGRDYDRRSSHDRYHDRESRYDREKDKELGRSRSYDSRSHRRSRSPRDSSRDYDRH >cds.KYUSt_chr2.11589 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73694339:73698239:-1 gene:KYUSg_chr2.11589 transcript:KYUSt_chr2.11589 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSEIRRRAPEYRRQSRRRLPGWIWWLVGIFLVVGLMLFVLHHNQKEQFRPPVINKGPETEEVSHEKVNFTEELLSSTSFARQLADQMTLAKAYVILAKEHGNLQLAWELSSQIRNCQRLLSEGAVSGRAITQEEAHPIITRLARLIYKSQDSHYDISTTIVTLKSHALALEERAKAAVVQTAEFGQIAAESLPKNLHCFTMKLTEEWLQNPELRSRSEEHRNSTRLVDNNLYHFSIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRINFGAMSTWFLINDFKGCTVEVRCIDEFSWLNAASSPLVRRLSEMETKGYYNSGGSKTPEREIKFHNPKFASLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSQPIISSKIDPHTCGWAFGMNIFDLIAWRKANATALYHYWQEQNADQLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDDRLIESAAVVHYNGNMKPWLKLGIRRYRSIWERYVNSSHPNVRECMFH >cds.KYUSt_chr7.3300 pep primary_assembly:MPB_Lper_Kyuss_1697:7:19649773:19652138:-1 gene:KYUSg_chr7.3300 transcript:KYUSt_chr7.3300 gene_biotype:protein_coding transcript_biotype:protein_coding MPATALPTTSEVWYPREDWPPGCDLLDEVGGRRPGAGEGELGRSTAGRSPAVDGRRNPTKEIFSELDEINAQGLIFPRSFQKTEGITKWGDEALPP >cds.KYUSt_chr4.41233 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254992135:254997411:1 gene:KYUSg_chr4.41233 transcript:KYUSt_chr4.41233 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVAERRVGDYMLLRQIGSGAYSRVWLGRHLARGTEVAVKEIAMERLSSKLRDSLLSEVDILRSIRHPNVIALHDSIRDGKRIYLILEYCRGGDLYSYLLRHKRVPEAVAKHFIRQLACGLQMLREKNVVHRDLKPQNILLVANSATSILKIADFGFAKFLEPSGLAETLCGSPLYMAPEVMQAHKYDAKADLWSVGIILYQLVTGSPPFNGDNQIQLMKNILKSGQLLFPPGCELSHECIDLCRKLLRINSVERLTVEEFVNHPFLFEHPPERALSQTPSDTRDGFPFIKSSPTRLSSQSSQEDCMPFPLDLSTGQDESPVPENNSPLRSDGFSINKKSDKTSGQSPSKHPSLFSRYIMGNNHVPSTQRLDHTGKRTKESKIGEGNDPKGGYREDSPIIDSLEFVDQEYVFVSGHQEGSSSSTSASQQRNLLLKYDNPSVSPPKLVAPSAPVPIHGTTINRQQSAGTGSLDSHCSPVSGTSQGSAYLSDGLDQPPSDYLTRIRLLGQYASAIVELVKEEIKGGRHLEAFSIQLIVLATWKQAIHICNSYAASSARESPSHDINMADDETCQHCRTDTWYYTLLRAMNRYYLLARLFFYADSALMHADATAMPDAVEIIFQSALEFGRHGGVDEMMGKVAIAVSRYTKAICMLRFLLIEAPSLALNPPLSLTRSDRHRLRSYIEALNTRLSQLQCQGN >cds.KYUSt_chr3.20489 pep primary_assembly:MPB_Lper_Kyuss_1697:3:125983459:125987026:1 gene:KYUSg_chr3.20489 transcript:KYUSt_chr3.20489 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRLAARALLLALACALCAAAAGDPRTAVAGQSCASGEAVSGSVLADNFVPSMDDLNTNVSANGFGTSAVGTAGPNTVFGLGQCLRDLSPLDCKLCFSEVRSLLPKCYPRVGGRLFLDGCFGRYANYSFFGEAVGPADATVCGSGTNYTANPRARGFANAVRAALANVSDAAARGDGFAVGSADADAGGARAFALAQCWGSLNTTACAQCLRAAAGAAAGCAPATEGRALYTGCYLRYSTRLFWNVNATGGSGSSGNHGIVWILLGSFLGAFIIVLIIAFLAWKKGILRREKQSKSFIDMYGDGVSARIAQSSLNFKYEELRKATNYFDPANKLGQGSNGAVYKAVLPDGKEVAVKRLFLNTREWVDQFFNEVELISQVRHKSLVKLLGCSVNGPESLLVYEYYFNKSLELFLFDASRSRKLTWDLRVDIIQGIAEGLSYLHEESETRIIHRDIKASNILLDDKYKPKITDFGLARAFAEDMTHLTTGVAGTLGYMAPEYVVHGHLTEKADVFSYGILVLEIVTGKRCSGSIGSHGGQALLTKVWNHYKDNTVERVVDRSIYEDTIRDEVLHTLQIGLMCAQANPGDRPTMTKVVELLRSHKHDVEIVLSDPPFLNVEGVEDIKQGEHSSLLSTHSAPSGSSRSYLSGR >cds.KYUSt_chr4.1320 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7013722:7017196:1 gene:KYUSg_chr4.1320 transcript:KYUSt_chr4.1320 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSRPTLGFPLGTALLLLVIFSLSGIFSCCYHWDRLRLLWSRHPAMLQEGQLTVITIGSVPSKAASQHKNEKAAKECGLPVIMPGDNIAKFFARPCPHERYEISRSRSPDTVEEAWRLKCKRSAAGSRRAACRYAGALYVPDSLREYAAGGRWYRQDPPLKPMSGEAFEKWLAEWQRDRASKAAWAATIGSTSGGGSGGGEEEEEAAEEEAAFRRAVAESEADAAEKARAEAEEEAAAIPAVREFEAREAQEAQEEAASIAFIPYVILDE >cds.KYUSt_chr7.5830 pep primary_assembly:MPB_Lper_Kyuss_1697:7:34910639:34914994:1 gene:KYUSg_chr7.5830 transcript:KYUSt_chr7.5830 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGGLSFDFEGALDAAPAVGGLGPSSADPGAIGGGGGADGGGHGRGRGRGSYRQTVCRHWLRGLCMKGDACGFLHQFDKARMPVCRFFRDFGECREADCAYKHSYDDVKECNMYKMGFCPNGPNCRYKHVKLPGPPPPPEEVVAKLLQMRNFNFNKFNQNRNNNFNHQGERPRPSQGSGLPNQNLTDNATTTTMQPAAAQQGQTLNQQPPQKLQQKPNTNDPVQGVPSGLSNQTTRPATPLPQGTSRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNDAFESMENVILIFSINRTRNFQGCAKMTSRIGGYIGGGNWKSANGTAHYGRNFSLQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTAMLVAAEAKREEEKAKGVSADDANDNQDIVLYDENEEDEEEESEEEEENNGQESQGRGRGRGMMWPPQMPMPRGPMMGGRGFPPNMMGDGFGFGGGFGMPDPFGMPRGFPPFGGPRFPGDFPRGPMPGMVFPGRPPQPGGLFPMGLEMMMGPGRGPLMGGMGMGGPGRPNRPIGMAPFMPPPPPRPAKREQRRPGGDRGDRYETGSDQGSRGHDNNAGNSGADGARSQSGDRYGRSAFRDDDSESDEEAAPRRSRKR >cds.KYUSt_chr2.1452 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8702992:8704628:1 gene:KYUSg_chr2.1452 transcript:KYUSt_chr2.1452 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPWATAQAATVAVAGGLPPRRPQGVVNGGRFPGRWRPPGEPPRAAVAGDNSVPAAVSHLLNLSLPGNMNIYSIGNHMFKWDEDNIVPREIDADDSDVEPISDDESDDCDDDDETEALIAELKRIEKERVEDMLRKDC >cds.KYUSt_chr5.9122 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57832216:57836126:-1 gene:KYUSg_chr5.9122 transcript:KYUSt_chr5.9122 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQGTEEEVRVALDHLPQDASDILDILKAEQAPLHLWLIIAREYFKQGKIEQFRQILEEGSGPEIDEYYAEVKYERIAILNALGAFHTFFGKAERAPQKEAHFKEATQYYNRASRIDETEPSTWIGKGQLCVAKGELQMASDSFKIVLDEDGDNFPALLGQASVYFLMGDAEQQHKKALECYRNSLDLYKRALRAYTDCPPAVRLGIAFCRYKLGQLDRARQAFERVLQLDPESVDALVALAIMDLQTNEAGGIRRGMEKMGRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSQSYYNLARSYHSKGDIETAGRYYMASVKEINKPQDFVLPFVGLGQIHLKFGDYKRSLASFEKVLEVHPENCESLKAIGNIYTNLGENDKAIETFKKVTRIDPKDHQAFVELGELLVESDWAAAMEYLKTARSLLKKASEKIPVELLNGIGLLYFEKAEFELAEQSFKEALGDGIWVSMMDGSVGRSMVSWSVQYRDQTFFHQLEEQMPVELPCDKVTTLFNYARLLEELQDTVKASLLYRLIIFKYPDYIDAYLRLAAIAKGKNNIQLSIELIGDALKINSKYPNALSMLGSLELQSDENWLTAKERFRDAKDATGGKDPYSLLQLGNWNYFAANRPEKKASKFEATHREKAKELFLNVLKQNQSNMFAANGIGILHAEKAQWDIAKELFTQVHEAASGSIFVQVPDVWINLAHIYFAQGLFQQAVKTYQNCLRKFFYNTDATILLYLARTHYEAEQWQECRNSLLRAIHLAPSNYLLRFNVGVSMQKFSASTLQKTKRTADEVRATVSELENAIRVFSLLSVASTYHSHGFDERKIETHVEYCKHLLDAAKVHRDAAEQAEQQTKQRLEVTRQIALADEARRRAEEQRKFQLERRKQEDELKQVMQQEQHFERVKEQWKHSSNSAGKRKDRSKHDDEDGESGKRRRKGGKRRKDQKTKMQYEEEEEDQYRNEPEEDDYATMTGGDRSGKAPDHLLAAAGLEDSDAEDDTGHPQTAIERKRRSAWSESDDDEPARRPVEPSPRADEPSE >cds.KYUSt_chr7.8531 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51718064:51719059:-1 gene:KYUSg_chr7.8531 transcript:KYUSt_chr7.8531 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSDLITSSHKEAIPDPIDHLTSTQRKITHEVASAACDKYPGNPWAQVEERSPEEVLETNVHTAAVSERPSISVWDKYEESLQCFGESPPKRISLTYQPIWLPVKSKAVDGYGGIAYLSRTVAGETGYGAPGSVPPESSGPDSMITSLFQQQKNTMNQVHTAVNLQKDIINQVCPAVAPLGNTMNGVHAAAMVADGLVDLGINGLLDGTGSSSSTAEKMACDSICSWKEAERFLMNQLEGEEQEGIGPDVQLQVDDAWKQMLQPTNIDDDAPVAQTNDVPAVQEPATGGTLAYDPEFSIDDVQPWSPFSIDDVQPWSPQFSGDDYGMPF >cds.KYUSt_chr7.31871 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198373746:198378398:1 gene:KYUSg_chr7.31871 transcript:KYUSt_chr7.31871 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEEGNAAPPRRRRGPMRASLDADELMALMHGSDPVRVELTRLENELRDKERELGDAHTEIRALRLSERAREKAVEELTDELERMDEKLKLTESHLDNKNLEVKKINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLSRQEIAKLQDDNRALDRLTKQKEAALLEAERTVEIAMAKAAMVDDLQNKNQDLMKQIEICHEENKILDKLHRQKVAEVEKLSQTVRELEESVLQGGAAANAVRDYQRKVQEMNDERKTLDRELARAKVTANRVAVVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERAARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPPTEGKSFSNGPSRRLSLGGADNIPKLSPNGLLTRRSPSFHSRSSLSSSSSLILKHAKGTSKSFDGGTRSLDRGKVHGNGSHLLNRSTDAVKDREVDERTNESANSNPDERSNESTNSNSVEMVSGFLYNMLQKEVVSLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKDQEMKARRLGNSKGSGSSQLLPGRSAPRSGLTRNFQ >cds.KYUSt_chr1.39804 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243676998:243677306:1 gene:KYUSg_chr1.39804 transcript:KYUSt_chr1.39804 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTLLLLRFEDMAGVHQGSGAAAVECGDQADRRQALLLLLLEDAVDVHWGSSNTEAERNQAWALVEIFLDGGVQPAITVDWACRRAMAMAREGEFPTDFLF >cds.KYUSt_chr2.18751 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118001312:118002643:1 gene:KYUSg_chr2.18751 transcript:KYUSt_chr2.18751 gene_biotype:protein_coding transcript_biotype:protein_coding MASSERYFLLWLLLFLPSVTTSNASSRGIRVELEHADTRGDFTGTERIRRAAERSHRRVNGLLHTGISSTATLKGDGIEGTATVHASTATYLVDLAIGTPPLPITVVLDTGSDLIWTQCDAPCRKCFPQPAPLYAPARSATYANVSCHSTLCDALRVDPISRCSAPERGCPYYFSYGDGTSTDGVLATETLTFESGAAVHGVAFGCGTENHGSTDNSSGVVGMGRGPLSLVSQLRVTRFSYCFTPFNDKTASPLFLGPSAAALSTAAKSTPFAPNPSGGGRTSSYYYLNLEGITVGDTLLPIDPAVFRVSPMGGGGFIIDSGTTFTALEERAFLVLARAVAARVGLPLASGAHLGLSLCFAAPEGRTEAVDLPRLVFHFDGADMAFHRESYVVEDRSGGVACLGMVSMRGMSVLGSLQQQSMHVLYDLEGGVLSFEPAKCGEL >cds.KYUSt_chr5.12232 pep primary_assembly:MPB_Lper_Kyuss_1697:5:79756257:79762803:-1 gene:KYUSg_chr5.12232 transcript:KYUSt_chr5.12232 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASMLVPKRANYVAPMLASAAILLILLSGYFELPSITSSFSAPLTPIVSAAAAAAAAAERRRFDTALDSVGSRKRHAFTSVLEAFGAWDAAVGCPRVRAKIGAANATTASAMAAITGGAGWAGATCEEMKTRHVGVFVKGWTWIPDALDGVYTCRCGVSCVWSKAAAVVDRPDAMLYEGATPPLERMKGLPLRVYLDLEAVRKPTGFEDIFIGYHANDDVQVTYAGKSFHSSRSYHVSTEKTNDALVYWSSSRCLPHRDKLAKDFLSLVPHHSFGKCLNNVGGPDMALSMYPVCSNNGNGSPHWWDHLHCAMSQYKFVLAIENTNTESYVTEKLFYALEAGSVPIYFGAPNVWDFIPPNSVIDASKFSSLKELASYVKALANDPVAYAEYHSWRRCGILASPPPARAQDPTAGFTAVSLSESNFMLQKPYNMPASSRYSFNGTVRQMWIAPSDDPYSPQSDTKPRTEMRMTGYDYSSGVWQFEGLVYVPSGSSGMSIMQVFGGDATATTLMLHVYGGALWYYNQQVIEDGIYDRWLRVNVVHDVGASRLTVFVDGQLKLTFPGRGGDTHFFKFGVYMQRDASARMESLWRNIRILRKN >cds.KYUSt_contig_1253.905 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5698789:5707364:1 gene:KYUSg_contig_1253.905 transcript:KYUSt_contig_1253.905 gene_biotype:protein_coding transcript_biotype:protein_coding MERKALRHQHAKKDYLIARLRVKIASLERLIPVPHHAPRAKSNVTCYACGATGHYSNKCPRKATNNAPRTGSNAVPIAQTSKSTVTCYECGTVGHFSNECRKKLAKIAANPSALAQQQHRIATRRKFAPNYPNNRTGRYYHLKTAEAQEASRNMTSFGEGSPEKKAAISLQNFFNYVAVRIVLAQLESYNREAYVELKEFVSRNSVNDADNFCKNLIRESPRHKALAMRILEVRSAYMKNDFEWDNLKKLSFKMVDEANTKLMRDYVLETSHIEDDDKR >cds.KYUSt_chr3.34854 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218508315:218513513:-1 gene:KYUSg_chr3.34854 transcript:KYUSt_chr3.34854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Delta 1-pyrroline-5-carboxylate synthetase (P5CS) [Includes: Glutamate 5-kinase (EC 2.7.2.11) (Gamma-glutamyl kinase) (GK); Gamma-glutamyl phosphate reductase (GPR) (EC 1.2.1.41) (Glutamate-5-semialdehyde dehydrogenase) (Glutamyl-gamma-semialdehyde dehydrogenase)] [Source: Projected from Oryza sativa (Os01g0848200)] MGRGGIGGAVAAADMENSDSTRCFVRDVKRIVIKVGTAVVTGQNGRLAMGRLGALCEQVKELNFQGYEVILVTSGAVGVGRQRLKYRKLINSSFADLQNPQLDLDGKACAAVGQSGLMAIYDTLFSQLDVTSSQLLVTDRDFRDPSFGHQLRETVVSLLDLKVIPVFNENDAISTRKAPYEDSSGIFWDNDSLATLLAKELDADLLIMLSDVEGLFSGPPSDPQSKIIHTYINDKHGKLINFGEKSRVGRGGMQAKVAAAVSAASKGVPAVIASGFVVDSIIKVMRGEKIGTLFHNEANIWDCSKEVTTREMAVAAKDCSRHLQNLSSEERKKILLDIADALEANEDLIVSENEADLAVAQDSGYEKSLVSRMTLKAGRITSLAGSIRAIADMEDPISHTVKKTELAKDLVYEKMYCPLGVLLIIFESRPDALVQIAALAIRSGNGLLLKGGKEAMRSNTILHKVITSVIPDAVGKKLIGLVKSKDEIADLLKLDDVIDLVIPRGSNRLVSQIKAATKIPVLGHADGICHVYIDKSADMEMAKRIVLDAKVDYPAACNAMETLLVHKDLNKTEGLDDLLMELANEGVVIYGGPVAHDTLKVPKVDSFHHEYSSMACTLEFVDDVQSAIDHINRYGSAHTDCIITTDKKSADTFLQQVDSAAVFHNASTRFCDGTRFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQIVNGDKGVVYTHKDLPLH >cds.KYUSt_chr3.396 pep primary_assembly:MPB_Lper_Kyuss_1697:3:2169591:2172867:1 gene:KYUSg_chr3.396 transcript:KYUSt_chr3.396 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDTIRTAIGVIGNVTAVMLFLSPVPTFYGIWKNKTVEEYSAVPYLATLLNCMMWLLYGLPAVTPHNMLIITINVIGITIELHYIALFLAYSVGAARRRVLLILVAEVAFVSVVAALVLNLTHTHTHRSMVVGILCVFFEAAMYAAPLSVMKMVIQTKSVEYMPLFLSLASLACGISWAAYALIKFDLYITIPNVLGVIFAVGQVILYAIYYKSTQQILEAHKVKASQVPMMEVVVDGKNGSATASGAGNDN >cds.KYUSt_chr3.32636 pep primary_assembly:MPB_Lper_Kyuss_1697:3:205191706:205192788:-1 gene:KYUSg_chr3.32636 transcript:KYUSt_chr3.32636 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAGGGGADGALSESVLRKVLISYCYVAVWIFLSFTVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRVFRVVDLPTSPAMTPQLYYTSVLPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSASMLNMLSISFGVAIAAYGEARFDLRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLCFLFVPWVFVELPRLRAVGMFEPDFFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLIGYGIAFLGVGYYNHVKLQALKAKEAQKKLAQADEEAGSLLQERDSHSHGERKADTQS >cds.KYUSt_chr2.43704 pep primary_assembly:MPB_Lper_Kyuss_1697:2:271860451:271862731:1 gene:KYUSg_chr2.43704 transcript:KYUSt_chr2.43704 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDGTAMMGGGTRGKVPARAKRPLARARAQVSLQAAGYKRRRRSHRPHHARSVVLSPSTFSCALSIAMPRTLQATWAKLSLAARARFPRMEEEERADSRRVANDKALRVAAEAAAKKGGDAEMVEAAADGWHETADGCWLDLSDQIHHTGSFLFLAGSCLWQAVAQVRMRGSVIPPNKVCSGGLERRLSGQARLLHVALLVAAVLPRREPEAAALETSGVSLNKVWFMDFCSCFFSPYLPPPARGGSLEYDGRSSILVRRLKTCPCRGVLVRGLDFVFSASLHGGVEKRRHVPDLNIQIR >cds.KYUSt_chr4.35308 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216776682:216778207:1 gene:KYUSg_chr4.35308 transcript:KYUSt_chr4.35308 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFEKYGEVVEAVIMRDRATGRARGFGFIVFSDAAVAERVIMEKHMIDGRMVEAKKAVPRDDQQALSKSGGSAHGSPGPSRTKKIFVGGLASTVTESDFRTYFESFGSITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPAGGINYVMNRTNSFLNGYTQGYNPSPVGGYGVRMDARFGLLSGGRSSYPSFGGGYGVGMNFDPGMNPGIGGSSNFNNSVQYGRQINPYYSGNSGRYNSNISYGGVGENSGSVFNSLARNLWGNSGLNYSSNSANSSSFMSSANGGLGGIGNNNVNWGTPPVLSQGGNAGSGYGSGNFGYGSSENNFNLSPSAYGRNAGSGGVNASLNQSSNGYGRNFGDSSAGGGASIYGDTTWRSGSELDGTSPFGYGLGNAASDVTAKSSAGYMGH >cds.KYUSt_chr6.10468 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64650335:64650807:-1 gene:KYUSg_chr6.10468 transcript:KYUSt_chr6.10468 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPASWLYARRMVLALSGQIWYQLVVLLLAAVLPWMEPEAAGRGASGYSENKAGSSGASDSPAAEFSLLPPGRHGDGKRRWMGDVGGEAGNLQGIHGAAFRGDQPRWSRLGCRLKMP >cds.KYUSt_scaffold_2697.89 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:580482:580901:-1 gene:KYUSg_scaffold_2697.89 transcript:KYUSt_scaffold_2697.89 gene_biotype:protein_coding transcript_biotype:protein_coding MRAARRYVNLGMPVPPAYYRRESIRYADVIDTRDAGPRRTRSSSRAAPPPPEPEPEMLPGREGDYIADADLERVIAALADAPTLEPEDFVRDEILEQMVQSVDYITATATPPSAATPPSTATPPSAATPPSAANWVFLE >cds.KYUSt_chr2.54696 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341244392:341247270:-1 gene:KYUSg_chr2.54696 transcript:KYUSt_chr2.54696 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSSFASPPVAPLPLPCLSNESDHAAYTTTCSSATNVIEEGSSIEMPTTRRIQAADPCTHKLELANNQPKYGNSELLVAAAPCRRVPPLRPCCSARATRRRRRRTTTRELQRAYVALQGAEEGHHRRPQEPDGGLVRPRRVRLLRRVRAPSLDDLCARTMAGVDLNHGDLAGTLPFELGLLTDIAVLHLNSNRFTGGLPDSLPKLSLLY >cds.KYUSt_chr5.5164 pep primary_assembly:MPB_Lper_Kyuss_1697:5:32571738:32575770:1 gene:KYUSg_chr5.5164 transcript:KYUSt_chr5.5164 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLCDREKSLTGYAGHDGDDAMGAAMGAAIPILGAIELPLLKAWVMGCSTRLWAPDVRLLLVVSSNWKAAARTVPVEYLYPPYNLTYFHFIDTNGVFLRSRNATFAAAVYAPASDTSGDTQTLFFFSVIHDASRTPVWTATDGATIVQSIVLSLTASGLALSDPADLHAPPAWSTPANLAAPVAAMRLLDTGQLTLLDAANATLWSSFDAPTDTLLPGQTLPVGVPLTGTISDNDLSRGAYRLILTTTDALLQWRTTTSTNSDGGFVTYWALSSDAASVQQSNQAVHSMKVNATGIFLLADNAIDTVFTLLFADPSSSKLLKVHSSGRLRALSMAYSPTAPRATLPAVWVAPASDCDLPLPCGSIGLCTPGNNASSCMCPDAFSTHTTGGCAPANASALPIPAQACNASSSAPAAQKPAYGYISLGDGVGYFGSKFAAPATAGEALPACRDLCSANCSCLGFLYKNSSKSCFLLHNQIGSVLRATTDGTAGFIKTLPPPPTSRGSSSSSALSSITIVFGIVLPTVAAVFISFLLYVLSVQWLKNRRSPDGGKKKNKKHGGGGNGHGSSWFMLKMMSSRSSSRASSNAPSEMGDDDNEHHHDDDDEVLIPGLPARFTFADLEAATSGFRSQIGSGGFGSVYRGELPDRTTVAVKRMNNLGTQGRREFLTEIAVIGNVHHVNLVKLRGFCADHSRQLMLVYEFMSRGSLDQSLFLSRSGSKKTQALLEWPERVGVCVGAARGLAYLHAGCDRKILHCDVKPENILLDERGGVKIADFGLAKLLSPEQSGLFTTMRGTRGYLAPEWLTNAPVSDKADVYSFGMVLLEIVRGAKNSKLLQDDHDDTASTSAVSSSASSEGHGSYFPAVALEAHEEGGRRYAELMDARLEGRASAAEVARVVRVALCCLHEDASLRPAMTAVAAMLDGSMDACEPRTDQLAYLRMYGRGIVGGGTKWKGSDITTGGASSSWSPPSCVSAQQLSAPR >cds.KYUSt_chr4.40825 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252180089:252180433:1 gene:KYUSg_chr4.40825 transcript:KYUSt_chr4.40825 gene_biotype:protein_coding transcript_biotype:protein_coding AQTACPSGCACDQPPNWKTQKLALNCLQEVQIWELRGTEHEAALMKRLFDWATVLEKVTIAFDGSVPESKAKEFFQMLQSFSRLEIRVKQVVAPLAEYQAFLKGNTYRGFSKNT >cds.KYUSt_chr3.43138 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272679352:272682124:1 gene:KYUSg_chr3.43138 transcript:KYUSt_chr3.43138 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSNANPPPPPPQGDGDKAPAFDAPQSPPEPVREDYVQNAVKFLSHPKVRGSAVVYRRSFLQNKGLTSDEIDEAFRRVPDPQPTAASPSTQAQPPAPAAAAPLQTYAQPQSAIVVAQQHPRFTWYRAFVAAGLLLGFGASAAVFIKKLFLPRLKSWIRKVVAEAQETADLKSKIDDETKEAVRASSEAVSAIARTNQELLASKDEEKKILVTLTHALDSQAKVLKSLSESLSHSRDSVNVTREDRFAHYRPLEDHAVRNGRPSFAPAPTEPTSGSFSRSYVETVQRADRSSGSKPWEMPQYAQPKLGYGSNTHLSEDGSYSEAQENYGPSYHQNGKAPDFQTEEPRPLTYSTGAEERPPPQRRWVPPQPPGVAMPEAAAAIRQPKSLTKQPSSDMSEAAGEMQVNGAQSSSSVAAEVPVNGSAVSDAGRGEIEEQVEAI >cds.KYUSt_chr2.27376 pep primary_assembly:MPB_Lper_Kyuss_1697:2:167948280:167950229:1 gene:KYUSg_chr2.27376 transcript:KYUSt_chr2.27376 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISELPSDTSSEGKPAGWRHWWERARTPSSGDDSLPPPDSAEEWLGVEEDAEEEGSDEAAVARAKAEADAKANTAKAKAQPASTGDNEEDTASSEEVKTSVQKKTDNPLWNEVLLLPVINPITPEVLDEDKFTSDDSLGVAEFNVTDIYDAAKLDLKHASDGTRVKTIYPVGTNYLGGESHVSWKNGKVVQDLILKLKNVESGSVVLQLEWVHVPGVML >cds.KYUSt_chr3.21148 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129670650:129671051:1 gene:KYUSg_chr3.21148 transcript:KYUSt_chr3.21148 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYRSKSYAGGRMQIEPYAGGRMQIEPYAGGARPDFRSLSYGGGGASSYQYQYEYGHGAGAGSVSTVVEEEEVKRSKSKRRWLALGDPDMERKRRVAAYKAYAVEGKVKGSFRKSFRWVKDRYLHIVYGWS >cds.KYUSt_chr4.52706 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327065070:327071811:1 gene:KYUSg_chr4.52706 transcript:KYUSt_chr4.52706 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRLYAIDIDQELNARGMQLCRMRIHLLRLQVKIMFYNGGTLDEETRQRWKLKKIAYWNDFSGVIVRGVPRWNSGNPISREDPRTGVLWCLWCLRMANSKYEFSELHAFEKPNDESALGLMNACATSMLKKYNDIVFAYGVSDEYSFVFREETELYKRRESKILSSCVSYFTSVYMMKWKDFFPNNDLKEPPYFDARVVCYPNMKTVRDYLAWRQVDCHINNQYNTCFWMLIQSGKTKNEAYQALKGTSSKDKNKLLLQQFQINYNDESAMFRKGSSVYRDKVKTDDYGNPIKRTRQATIVSNFDIIGPEFWEKHQYILGKEKYGYEYVKKFVNIPRSPCSNWTIVRISACQFDQFSLIHSFDKPNDETALRLMNASASLMMEQFPDIIFGYGFNNEYSFVFQENTELYKREERWENLQRWKPMEMLQESGGMWRWPT >cds.KYUSt_chr4.12902 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79329956:79330189:1 gene:KYUSg_chr4.12902 transcript:KYUSt_chr4.12902 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPYTRSQLSEKLRCLKNKHRAVAARVANGLDPRLAPHDRDVLHLCSRLWDPAHAANSPFAGPDSANKRRHANTQG >cds.KYUSt_chr2.16075 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101239885:101240739:-1 gene:KYUSg_chr2.16075 transcript:KYUSt_chr2.16075 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFASCLSQSGVQVAHSSSPSGQSMVQCAYYARLRGKSCRVTVTWTKVAMGQALGIAMDDSSGRCLCKTEIKPWLSAKRKGSKAVEVDGGALDIIWDMSCAKFAAGPEPIEGFYVALIFDLEAILVLGDMRTLGDHKVSLDALPYSPVMVARKEHVYGKKVYSAKARFLDVGQDHHITVECDTSGVKDPSLEIRIGKKRVLQVKRLLWKFRGNQTIFVDGLPVEVLWDVHDWLFGSSNGCGVFLFQSGQSLEKFLLRSCSQDETEHPSHRFGFTLMLHACKVE >cds.KYUSt_chr2.54108 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337676462:337677067:1 gene:KYUSg_chr2.54108 transcript:KYUSt_chr2.54108 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPECKIEQFTKALASTRTCPSNLVDHRFLVFFPTLVNPYIVLLVIPESRAISKYVLRKYKTGEVDLLREGNLKEAAMVDVWTEVDAHTYNPALSPIVYQCLFNPMMRGIPTDEKVVAESLEKLKKVLEVYEARLSKHEYLAGDFVSFADLNHFPYTFYFMATPHAALFDSYPHVKAWWERIMARPAIKKISATMVPPKA >cds.KYUSt_chr2.39429 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244492288:244493744:1 gene:KYUSg_chr2.39429 transcript:KYUSt_chr2.39429 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSNENHPPALHQLPLHPAMEKGKAKGDLTVVVAAEPELQEDEELFSESESGAESIEIADLKKRISGHDRRADAQRPGSDPLLAQAQNEPPETPESRYRRKAMLRAQDGVLRHMLRMMEACNARGFVYGIVDEAGVPVSGSSDSLRGWWKEDVGFERTGPTALAGGGPCPGTTDLESPTAAASFLHGLLDIQDSTLGSLLSALIQHCEPPQRSFPLDRGLPPPWWPTGQEVWWGLQGETQAHQGPPPYRKPHDLKKAWKISLLSAVIKHLSPRFDQMRKLVWQSKRLQHRMSARDAETWSRVITQEEALDRQVQRALHITPLDDDHDDQDEEEDADGPWDTERRLHVDKRKRGVGTESAGGNGGSGRELVALPDIDGIAEADRNSIDELMKMYYSCLQGTDAGEQRNGEQSNAAAEAAAPDTTRIQDGMLEDFLSVADVVNISDFPGSPFWHWGSSDLD >cds.KYUSt_chr4.53753 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332561819:332572133:-1 gene:KYUSg_chr4.53753 transcript:KYUSt_chr4.53753 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSMRRPSLPSAAAAPPPPPDFTASPDDYRLMEEIGFGANAVVYRAVFLPADTTIAVKCLDLDRVNSNLDDVRKEAQIMSLIDHPNVIKAYCSFVVDHNLWVIMPFMAEGSCLHLMKVGYPDGLEEPVICSILKETLKALDYLHRQGHIHRDVKAGNILVDSPGVVKLGDFGVSACLFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKVPQLTVKSIITDLPPLWERVKTLQQKDAAHLASSEQEALSMSEYQRGVSAWHFDIEDLKAQALLIHDDDPPEIKENDDRTIEVEKDASSESHLRKSTLLNGSNHRSNHERTCATAVNPGENGPETDQDLASDIGNADSAWKVDGSKNDSLCSTPKHGSEVGNCKSEVRQKQRQRTYSGPIIYSGTGDSSVNGRGPIIDRDAGGQSVSSKQKNEAGKIDDLSGPLSLSTRASANSLSAPIRSSGGYVGSLGDKPKVEIKGRFSVTSESFDLAKVQEIPVVKISPRPQEGSALRKSASVGAWPVKAKPVSNNQYRKEFSNSSVSASVLIPHLQNLVQQTTFQQDLITNIMSNLQQNEKTDGLQSRVQTVEGDTRVEIGGSGGERTLLAKIFELQSRMISLTDELIASKLKHVQLQEELNALYCQEEIIDTREQDNQEA >cds.KYUSt_chr4.24290 pep primary_assembly:MPB_Lper_Kyuss_1697:4:152989469:152997539:-1 gene:KYUSg_chr4.24290 transcript:KYUSt_chr4.24290 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTPLFPPAAFDRSGGLPPCEPTRFSSNPTFDQGFLAAAYDGDLRLVKRAVRTVGRGAEGRRLAEKLGAVRDGYGTGLLHTAALGGSLPVCRYLVEDLQLDVNDVGPGGETPFTFAIANKDVGLVRYFLDQGADVHKGTDTGSPPLHLAAGEEGSCEIVELLLSKGADVDAVHFGGTALHLAARFGRDDIMKVLLDHHADHTIALGGTGYTVLVLATIMGSLKCVKVLLEAGADVDGTCKETPLMIATTHGSTAILKCLVLAGADANIPDSLGRVPIEIAARSGRREDVEVLFPVTSRIPSVRDWSVDGIMNHVKSVRPAKKAMLASAKFRAHEAFKKGDYLVAAKIYAEALDLDPGNATMLCNRSLCWLRYGDAKEALKDAQALRTMRPGCPKACYREGSALMLLKDYKKACGALLDGLKLEPENVEIEDGLREALESLKVDRGSSQLDQHRYGKGVLA >cds.KYUSt_chr3.39606 pep primary_assembly:MPB_Lper_Kyuss_1697:3:249570265:249573228:1 gene:KYUSg_chr3.39606 transcript:KYUSt_chr3.39606 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVLFPALLPALFIVIGLVALQGAVLHAGAADTLTVDRPLSGSRGPLISTRGQFALGFFQPGNTGRWYIGIWYNQLPEQVSVWVANRDSPVSSPESSSLTIAADGNMVILDHSGSVVWSTNLTAISSSTVGIILETGNLVLADASNTSNILWQSFDHCGNTWLPGGKLGRGKLSGGCTRLVSWKTSTDPAPGLFSIILDPNGTSQFFLMWNSTQQYQASGNWTGHSFSGMPEMNPNNGYPNSLYTFDYIDGANESYAVYGVKGGGLVTRFVMDVTGVINVVMWSESAKDWLVTLSKPHAQCDVYSLCGSFSVCTENAFSSCSCLRGFSEQYQGQWSQGDHTQGCRRNVALQGSSNGSRSDKFYTMVDVELPSNAQNIIAASSNQNCELACLSSHDCTAYSFSGSCSLWYRDLINLQDLSSAATGTKGGSIQIRLAASEFSNKKNTKKLAIIITIATIGVALIVAASVFLARKRFKQVAHVDGSLIAFRYRDVQNLTKNFSNKLGGGAFGSVFKGLLPEGNLVAVKKLEGFRQGEKQFRAEVSTLGTIRHVNLIRLLGFCSERTRKLLIYEYMPNTSLDRYLFGSSHQPVLSWSTRYQIVLGVARGLHYLHEKCRDCIIHCDIKPENILLSDTFVPKVADFGLAKLMGHDFSRVLTTVRGTIGYLAPEWIAGTAITTKADVYSYGMMLFEIISGRRNTIKRQDGTVDFFPLLAAMKVTEGELEGLVDALLDCDVDPAEVERACRVACWCIQDDEGARPSMATIVQALEGLVEVNVPPVPRSLKLLADQTTNVEFYSKLQSE >cds.KYUSt_chr2.55291 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344700352:344700876:1 gene:KYUSg_chr2.55291 transcript:KYUSt_chr2.55291 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTRSTCALALALAVVAMAATTTMAQNSPEDYVAAHTAARAEVGLGQVWWDQNLADYAEWWANQRRGVCGGHSGVVGYGENIFWGSAGWPWTGVDAVNTWVDEKQYYDYNSNSCWGPYGCGHYTQVVWRESTLIGCARVDCDNNLGVFITCNYYPQGNWNNRRPYLAASSAA >cds.KYUSt_chr4.54792 pep primary_assembly:MPB_Lper_Kyuss_1697:4:338451878:338459711:-1 gene:KYUSg_chr4.54792 transcript:KYUSt_chr4.54792 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGLGGLPGALSGSRRSNTSWSSSIARSLRQPDTDDPFQRAASRHDQDDEENLRWAALEKLPTYDRMRRAVLRDDHDHELHTHNEEGTGLVDIQHLASGEAGRALLERVFQDDSEQFLRTLRERVDAVGIELPAIEVRYQDLSIEVDAVVASRALPTLLNSVTNFLQGLIGRLGSSNKRTVHILQHVNGILKPSRMTLLLGPPSSGKSTLMRALTGKLDKSLKVSGSITYCGHTFSEFYPERTSAYISQYDLHNAEMTVRETLDFSRRCLGIGSRYDMLAELAVRERNAGIKPDPEIDAFMKATAVQGQESNIITDLTLKVLGLDVCADTMVGDDMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYIRQLVHVMNDTVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESFGFRCPERKGVADFLQEVTSKKDQQQYWCLAEEQYRYVSVPEFAESFKSFHVGQQMLKEMQIPFEKSKTHQAALTIEKYGQPSLESLKAVMSREMLLMKRNSFIYIFKVTQLVILGLMAMTVFLRTKMPHRQISDGVKFSGALSFSLISILFNGFAEIQLTIKTLPTLYKQRDLLFFPPWSYGLANIILKLPVSLVEAGVYVILTYYVMGFAPAAGRFFRQLLAFFATHQMAMALFRLLGAVLKSMVVANTFGMFVLLIVFIFGGFLIPRGDIRPYWIWAYWSSPMMYSQNAISVNEFLSSRWAIPNNDTSIDAPTIGKAILKSGGLFTEDWGFWLCVGALEMREQGFGENRLQLLSDISGTFRPGSLTALVGVSGAGKTTLMDVLAGRKTSGSIEGSITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDEKTRKMFVEEVMTLVEFDELRNAMVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGHVIYAGELGPSSHKLVEYFEAIPGVPKITEGYNPATWMLEVSSPLAEARLDMNFAEIYANSSLYRVNQELIKELSIPPPGSQDLSFPTKYSQNFYNQCIANFWKQYKSYWKNPPHNSMRFLMTLLNALVFGTVFWQKGTKLESQQDFKNLLGATYAAVFFLGASNSMTVQPVVSIERTVFYREKAAGMYSPLAYAFAQTSVEIIYNTLQGILYTVLLYSMIGYDWKADKFFYFLFFIIASFNYFTLFGMMLVALTPSSMLANIIGAFVMPLWNLFAGFLIIRTAIPIWWRWYYWANPVSWTIYGVVASQFGENNARLSVPGGNPVVLKQYLEDTMGMRHDFLGYVVLAHFAYIILFFFVFGYSIKVLNFQKR >cds.KYUSt_chr6.23514 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148519699:148521186:1 gene:KYUSg_chr6.23514 transcript:KYUSt_chr6.23514 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPPHDALLPLDPETFAAESRPVIDFLSGYYRDVDKYPVRSAAEPGRLRTLLPDTPPEHGDPVDVILEDVQRHIVPGLTHWQSPNFFAYFPMNVSTAGFAGEMLSTGLNVVPFAWAASPAATALEGVVVDWMCKLVGLPDRFLFSGGGGGVLHGSTCEAVVCTLAAARDRALSRLGHEAIMRLVVYASDQSHSTFQKGARIIGIPPSNFRVIPTLAASGYGLTAGSVQGAVEADVASGLVPLYLCATIGTTGVGAVDPVRQLGEVTRRHGMWLHVDAAYAGSALICPEFQDCIDGAEVADSVSMNPHKWFLTNMDCCCLWVASPALLTSALSSNPEYLNNVSEGGADQVVDYKDWQIALSRPFRAMKLWVVLRRYGAAGMRAYIRRHVQMAKWFERTVSTDERFEVVTPRRFSLVAFRLRPRHEGDHAVDAMNRKLLVAINASGRAFMTHFVVDNKFVVRMAVGGAMTQMRHVQDAWELVKEKAKELGALPTE >cds.KYUSt_chr1.11647 pep primary_assembly:MPB_Lper_Kyuss_1697:1:71749076:71749690:-1 gene:KYUSg_chr1.11647 transcript:KYUSt_chr1.11647 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAHRSTSTSMALSLFLLTTIAFSSVTAQSPSSPSCASHTFSSSQLYGSCATLPHLGTTLHYNYTAAGNTVSVAFRAPSKGGGWVAWGLNPNGTGMVGTQAVVAFRHSNGSLVAYPTVLDSYAPSMAPADAAELGFPVSDVAAEYAKKGGEMVVYATVALPGKGSKFNHVWQQGSSVVNDVPAAHPTTGDNILSTGAIDFSK >cds.KYUSt_chr6.32088 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202668664:202677944:1 gene:KYUSg_chr6.32088 transcript:KYUSt_chr6.32088 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPPASLPAAAEPPAPAPAAAEPEKPEEAEAEEGDEEDALLDRAQALISRVVGQEADPNPRLIHTLATICEDQEARHLQECASDPAFNNANTRGSHTIGKLANLIRENDEFYDLVFCKFLSDTSYSVAVRCASARLLLSIHNAWVPQFPHAFEDATIANLKNWIREDGEACDWKHVESGKKPTDTEMLRTYAIGLLSMALYSGGQLVEDVLNMGVSAKLMHFLRTQVSGDVTSSQKDANLLLGTKHPRGRDENRSKVRFVQDSSVLDGTRAGDGILTDPTSEKDLAPCVVMRQADSERLTDDTVDMPQADGLMRSTGSDMKTVYGEKHPPCESLRDEHLKRKLSRTGSRLRGESKTAESLTESERTPLSPTSGLRIGNRASKDKNAAKVEDPKKAIDLNNSSAGLEAYTAISKEEYEDRFRDCIIGLKDITDIVLKAVRAAEAEARSANAPEEAVKAAGDAAAELVKSAALEVWKSENNGDAVVLAAEKAATTVVDAAISTSVSRSSDQVNEEHVVEEAAKISEDQDLEDFVTPDYEQLLQLREKYSILCLQVLGEYVEALGPVLHEKGVDVCLALLQRGIKDQEGCGHFALLHEILRLICALAAHRKFAALFVDRGGIQKILSVPRITQTYTALSACLFTFGSLQSTMERVCALSSDTLNNVVELALQLLECPQESARKNAAIFFAAAFVFKAVLDSFDAQDGMQKLLNILHVAASVRSGGNSALGSSNANQGNDRSPAEVLTATEKQVAYHSCVALRQYFRAHLLQLVDSIRPSKSIRSIARNTSSARAGYKPFDIGNEAMDAIFRQIQRDRKLGPALVRTRWPVMDKFLASNGHITMMELCQSLPADRYLHDLAQYAFGVLHIITLMPHSRKLMVHATLSNNRIGMTVLLDIANSVVGYVDPEVLCPALNVLVNLVCPPPSISNKPSSTANQQPGSCSESRDRNAEKSTSERNLAANQGESGTPVVPSGVVGDKRISLGVGAGGPGLAAQLEQVYRQAREIVRANNGIKILLQLLSTRMVTPPVAIDFIRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDTSTQTSGSDNARWINELTQVAIELIAVLTNSGKETTLAATDAAAPALKRIERAGIAAATPISYQSRELMQLIHEHLLGSGLAATAAMLQKEADLAPLQSTAAVPPVHQAVALEPSSVQVQQWPSGRVQGFPTNKTIIAEDQDGQRSDSVVPSSKKKALVFSSSFSKRGQPFLSFSGNRSSNSLRSPVPTGNVDNITCSATTTNTGHEETSHKTPMPLPLKRKLVDMDLSSASVAKRPAIADQSCQSPVFKTPAPTRRGLSVAVDSPTATFHPGRTNFNSISTENFEDSQGTPGVVTGTPHLGANDQQSGNLERMTLDSLVVQYLKQQHRQCPAPITTLPPVSLVHPHVCPEPSRSISAPPNVTARIGSREISREFSGIKVPRRDRQFIYSRFKPCRVCRDESSLLTCMTFITGASRVAAGSHTGELKIFDYNTAGLIETQSCHQNLVTVVETTSFGGNELILSSSINEVKLWDAFAVSTGPLHTFEDCKAARFNHAGTSFAAISTDASRRGVLLYDVQTHNIDMQLPDNSNLSGSGRSYAHPVIHFSPSDDMLLWNGVLWDRRSPDPIHKFDQFTDYCGGGFHPAGNEVILNSEVWDLRKFKLLRSVPSLDQTVIKFNGRGDVIYAILRRNLEDVTSSINTRRVRHPLFPAFRTIDAVTYSDIATVQIDRGVLDLATEPNDSLLGVVAMDNPDEMFSSARLFEVGRKRPTDDDSDPEDGGDSEDDDDEDDDESDVDVILGSLGDTDSDEDPGNSSDDGGGDDDDDEDMDSEDDYDDDDNEGDFDVGEGLLEIMGGGDGDESDMIESFSSGDEEAWIM >cds.KYUSt_chr2.14708 pep primary_assembly:MPB_Lper_Kyuss_1697:2:92709295:92713558:-1 gene:KYUSg_chr2.14708 transcript:KYUSt_chr2.14708 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKARGGAAGDDLLDSSDADSVETSSTALSDLSISYATENVNSHEFVLDKYIDALYEKRGSTREAALASLVDAFESFMLDGLVENKYATLLSLFNTSIKKGSTKEACLASRAIGLLSITLGAGSTSHETMVESHPQLSKVLQTWSDASKMISALDCLAVVTFVGATDLAETELSLKAMWDVIHPKSGSNVGIVRKPKPPVLAAALSAWTFLLTTIGSWRINTDSWKEPIAFLSTLLGAEDRAVRMAAGEALALCFELKLLDVSACEDDDDDTGVAGTSKSKLFLDMQALKAKIAGLASNLSAEAGGKGADKKNLSDQRDLFQRILDFVKYGECPEESLKIAGKRDVLRVSSWSELIQLNFFKHFLGRGFLKHVQDNGLLQDIFSIRTDKAESLSSSDKVWRRERKSSEAEQGSSPSTGEEERCFVQRVIMIRKRNLIGLAVYSYS >cds.KYUSt_chr3.43361 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273824197:273825342:1 gene:KYUSg_chr3.43361 transcript:KYUSt_chr3.43361 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSGATRAKPLHRGLPDEIVIWEILVRLPPESLLRCRAVCHAWRLATTTRDFLLAHHHHQPSLSVVSGRHHEIHAFDHRALSAHHQPVARLDQAFNLEASCDGLLILYTHGTAGPCYSVCNLATRQHAPHGQLSSFGLFSFLGMYLHRPTGEYRPLLHRKSHGGFTLGHRVPKGKIGCYVFVLGSDQQPRYIGGRDAAAAVYFEKPALLCDTLHWYPMQRQGEGRLVLAFDTTTESFRQMRAPRVPAKSNIFEMDDTLGLYSYKSSMNIVDIWVLQNYEAEVWDYKYRVELPVAEIRGQFGLCDGHWVVSAAWLHDNVLLMLSYGSWLFYVDTDGKLVANFHCDGRELYDWQLRLKQTLVQHTFFTALEGYAVNASPFV >cds.KYUSt_chr2.43834 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272865326:272874620:1 gene:KYUSg_chr2.43834 transcript:KYUSt_chr2.43834 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGAVLFEFVVVLKGNPHSIQRLSDTIADFVAGDGRPGSLHLREAACGCCRWIVDVIYDARGKMYLHIGWEKFARYHHLEAGFVLLFSYFGERDMSVKVLDETLCRRHYHGDNADEDDDIIFSYMGGSDAATTHARDDAQVVVLVLGSPVADDSTSEAPTAAPAPTPPAEAAAEPKRDLAAAAAAPAEPVVAVEGKQEAAAAAGAGVEAMAVTLVRDVETGPEASTSGSAEDKPSWFTPKRLLVMFCIINMLNYVDRGVIASNGVNGSRGTCSGGTCSSGSGIQGDFNLNNFEDGVLSSAFMVGLLVASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLAMFYMCIPTGIALGYVYGGLVGDHLHWRAAFWGESILMLPFVILGFVIKPLELKGFTHNKKTKEYGQMLNPELQDGTNNNGVKQVVPGGDDDLAEKVPQKSSSSSFVKKVMIEIRHFGEDMKELLQEKVYVINVLGYISYNFVIGAYSYWGPKAGQDIYKMGNADLMFGGITIVCGIFGTLAGGFILDKIDSTISNAFKLLSGATFLGAIFCFSAFCFKSLYGFIPFFSVGELLVFATQAPVNYICLHCVKPSLRPLSMAMSTVSIHIFGDVPSSPLVGLLQDKIHNWRATALTLTSILFAAVIFWFMGIFVRSVDRFNEQSEHGVPATERTNLRPLLDGNDEARTAE >cds.KYUSt_chr5.19485 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126397512:126400690:1 gene:KYUSg_chr5.19485 transcript:KYUSt_chr5.19485 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRSVVSAFGRALSTRTGFASCVQTASAGPLVAAKYKRFAVRAMSQGAAPGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVYDTLEDVPEHVKTNKRYAGSSKWTVKEVAETVKDDFGSIDILVHSLANGPEVTKPLLETSRSGYLAAVSASSYSYISLLQHFLPIMNPGGASISLTYIASERTIPGYGGGMSSAKAALESDTRVLAYEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNAAAFLVSPLASAITGSTVYVDNGLNTMALAVDSPTLST >cds.KYUSt_chr1.29107 pep primary_assembly:MPB_Lper_Kyuss_1697:1:176091044:176092912:1 gene:KYUSg_chr1.29107 transcript:KYUSt_chr1.29107 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLFLFLLPLLLGPVLAEVPGHYAVRYARPGALANAAAVQEYLDPTYPLPPPPPMAPTCTVPVLSHSFANTYGAAPATAAYAPPPGCPAPWSQVVLSFSVEISGDQYDRVAAVWLDGAELLRTTTAEPTPDGIRWTVRKDVTRYSALLRSPPAGVLSVMLENLVNDVFTGLYNVTVSLEFHGTPPYPLISEVTPTSSSSDKPKLPESYFQAPADLILPISEATGDSGYWFRIQNSSDSRSSLLTIPTSTYRAVLEVFVSPHSNDEFWYSNPPDLYIKANNLSTGRGNAAYREVVVSVDRRFAGSFVPFPVIYTGGINPLYWQPVSALGAFDLPTYDIELTPFLGLLVDNKTHQIAISVVDGIAEWLVDANLHLWLDPSWLEVEAALGPYQTPRLSISRRYTTQALNGSFSIKAKRKSFFTGWVSCSLGNLTTEVATETEAKSLVEFTNDGTNKTVQLKVERETEVVVRSEKGKEMGKLTTEAEYPLSFYLDTEDAGEDGMSVMKGSLSHTLDIQTKVECGGFEGEARLVDEQTAAGWMLVKDHDVVNGTATTSQRYRYSDDQWEYERSIDAADGAVLSDNVSEKFSPPDDHLRAAATACLPGTATSCDGTASAADIAAM >cds.KYUSt_chr6.25390 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160985043:160986575:1 gene:KYUSg_chr6.25390 transcript:KYUSt_chr6.25390 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSTARASAATLVKNTGVAEADVVPGGWSRRGAAASGGGLVLTVADEQEHGLGGEIVPDLNVQPMAEDPLLGETSSVRKRKFEEFDDSEDSGHSYISSEVDSGDAVSYNSDASSDNEVSKYNVLVI >cds.KYUSt_chr4.49661 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307455652:307456944:1 gene:KYUSg_chr4.49661 transcript:KYUSt_chr4.49661 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPITLLLLLVATAAAAVDLSVYHNVHPPSSSPLESIIALARDDNARLLFLSSKAASTGVTSAPVASGQTPPSYVVRAGLGSPAQPILLALDTSADATWAHCSPCGTCPTSSLFVPANSTSYAPLPCSSTMCSVLQGQPCPSQDPYDSATPLPSCAFSKPFADASFDASLASDWLRLGKDAIPNYAFGCVGSVTGPTSNLPRQGLLGLGRGPMALLSQVGNMYSGVFSYCLPSYKSYYFSGSLRLGAAGQPTRARYTPMLKNPHRSSLYYVNVTGLSVGRSPVKVPAGSFAFDPSTGAGTVIDSGTVITRWTAPVYAALREEFRRHVAAPSGYTSLGAFDTCFNTDEVAAGGAPAVTIHMDGGVDLALPMENTLIHSSATPLACLAMAEAPQNVNAVVNVIANLQQQNVRVVFDAANSRVGFARESCN >cds.KYUSt_chr6.3467 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20007257:20011252:1 gene:KYUSg_chr6.3467 transcript:KYUSt_chr6.3467 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISDLTWLTQSSHLYKDPRVSPSTLPVLVHDLGTQTDDPPTQYSISSQALSTVAIDELRRYRCFVTPQGWVLALDPTSCQTFLWRPQDGERIQLPPKKQGFPESCKCLLSDMPGAASGCAVVVFDLDDRQMWVCKIGATDWDSHRYEPAMYVKGKIPRMSMRNIARCHGIAAVGDKIYFELTAREMGVIEFDGKAGLKLDTIEVDMVDLPLSTPMASMYLVESCGDFFLVVIFFDGDNVHKIANHAIYKMDFSGPECLLEANTTTKIWTAPETRIKHITLTERISTRALAMLRATKDAGARSLLPEDVNQEAVVLRGEHDRVINVEVSPSDELAPGLDEADALGPPLELDLPLAALAHVQGHLHWRVIVLQLELADHVLLHVGWHGEGTKVLAL >cds.KYUSt_chr7.36494 pep primary_assembly:MPB_Lper_Kyuss_1697:7:228068549:228069514:-1 gene:KYUSg_chr7.36494 transcript:KYUSt_chr7.36494 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHAGYPMLSTSSKGLILLPLLFLAFICIFVYPKELELQAFLSSCSTPPSATNTATAGAVYTRKPDFRLLIGILTVPDLYERRHLLRMVYGVQLPSLTAQIDVRFVFCRLQTDDQRVFVPLEILMHGDIIVLDGCRENMDGGKTHAFFSAVASLYADAPYDYVMKADDDVLFRLPELAASLGAMPRQDTYYGALIPCASMDTRNRRGYMSGMGYALSWDLVDWIASARNVTRGRTVGFEDRRTGEWLSLGGKGKNRFNAKPAMYDHPLSVPVTKCNHELVPETIALHRLKDNPRWAEALRYFNFTAGLKSSKFYKIHSS >cds.KYUSt_chr2.14133 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89397165:89401204:-1 gene:KYUSg_chr2.14133 transcript:KYUSt_chr2.14133 gene_biotype:protein_coding transcript_biotype:protein_coding MHEMAPSKSSVAFLLVVLAAALLQPSAAIRAQPVKVKPACVPFKPPQSVTPSPPPPAASLPSFPAPSVPASPSSPAPTPSPPQQPATPVAPATPPSPQLPTTPAVAPATPPPPQTPATPVTPATPPPPPAQPTECLTPLMGLMPCMGFLTDTTVPTPPATCCGAFKSLVDNAPICLCHGLNGDINKIMPAPMNFMRMMSLPGSCNVPLPMQALAQCSSASVPPLNPPAAPSPSNTSKQRLNALRGTDLPKSEQDQGARDGEVGVAAWRGVPAGSRFGRRKMVLVVKQHRCTHSATCSCTKGHLSEDALFLVFRHMNWNPRLIATLSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSDGSHIVDGNWKALGKLLIYCNGCTKGGLFGNVHVPGHFVFRTRFSRTAGKSFLPMQCRMDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFATSRVKKILIEKRARFHPKEVCPYCKAKLWNMLHADMMPASASARLGAYDDSVEYFVCLNGHVIGLGTLLPLSDSEEAPEE >cds.KYUSt_chr6.16110 pep primary_assembly:MPB_Lper_Kyuss_1697:6:101411494:101411829:1 gene:KYUSg_chr6.16110 transcript:KYUSt_chr6.16110 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPPDWMTGDPNERLLRKTEKQLLKVSEELDQVTAQAVANAQLFPLPLPGTNAAEQADTIRSILEHDLDGIHLNQRIRRIRKWLQPGEIDNSESLFWVQIIDQLNRLMP >cds.KYUSt_chr5.27681 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175187628:175188842:-1 gene:KYUSg_chr5.27681 transcript:KYUSt_chr5.27681 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARAYLLASLAAFYLITLATPQVTAYITDELETMWGSSRVVDDSIALSLNRGMTSAFRSKKTYLFGRIDIDIKLVPGNSAGTVTTFYTMTEGSWNFHNEIDIEFLGNSSGDPYTMHTNLYARGKGSREKGYRLPFDPTQDFHTYSIIWSQQSIQILADNKLLRHIKNKESTGGAPYPNFQPMRVYCTIWDADDWATQGGRVKTDWSLAPFTAYFRNFKATSCSPNQGSKMCGQELDDAQKQQVQEVDANSKIYDYCADPKRRIGSTEECKSQ >cds.KYUSt_chr3.31738 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199456233:199459007:-1 gene:KYUSg_chr3.31738 transcript:KYUSt_chr3.31738 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGSGAALFSEEELREVSGVRLGDGFVEVMCGCTSRRYGDAVGRLLIYPSGDLAINCDCTPGCGEDKLTPSAFEKHSGRETAGKWRNTVWAMVEGEKVPLGKTVLLKYYNLSHNGSNKGRSGRLSHRDEFIRCTRCDKERRFKLRTKEECRVYHDALAKHNWTCADLTTDRMSCDDDEERGSRKVLKGCSRAASCTGCMKCVCFGCTMCRFTDCACQTCVDFYSNSKE >cds.KYUSt_chr2.26977 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165052419:165064347:1 gene:KYUSg_chr2.26977 transcript:KYUSt_chr2.26977 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQVLSRFFSPKPPPPPSTSTSAQAQPPNPPPPPPPKPSPSTVASFSPAKRARALSRSPKPPAKKPKPNPNPDAVRRRLLDPPPPGPNPTAAPAAATRGYTPLEQQVADLKARHPGILLMVEVGYRFRFFGDDAAAAAAVLGIVAHPDRSFLTASVPTFRLAFHVRRLVDAGHRVGVVRQTETAAIKAAAAASTSAAPFARELSAVYTRATIEAAAGEMEGGGDPEEGSRYLVCVVDKEVEREGFQVKVGLVAIEVSTGEVLHGEFMDGASRSGLEAVLLDLAPVEVILGTPLSFATEKLMRAYAGSASNVRVECTPLACFGEGGALAELLSLFEKMEASSPTIENNNQIILMNEADTNPHGIDNNNLHGIEGVMAMPELVVQAMALSVRYLKGFGMARLICFGSSFRPFSANTEMSLSANALQQLEVLKNNSDGSTEGSLFRTMNNTCTAFGSRLFRHWLTHPLCDRNLIRARHDAVSEISESMGSRQDSVSILHGEEDVCCTALVRSDLSTILSSVLTMLGRSLDSQRGITRIFHCRATSKEFVGVIQAILTAGKQLKKLVIDDTDTVSSQHTTIHSSLLRRLISTASSSFVLANSVKLLSCLNKDAADQGDMANLFISSVDQFPEVAEGHVAVEMAKRKLDILIVEYRKQLGMRNLEYKTIAGTTYLIELPLDRRVPSDWMKVNSTKKTIRYHTPDILKNLNNLLLAKEELAVICRTTWHTFLMDFGKYYAQFQATVESLAALDCLYSLATLARQNNYAKPNFVPEDEASQIHIKNGRHPVLESLLGDNFVPNDTDLQADGEYCQIVTGPNMGGKSCYIRQVALITIMAQVGSFVPASSARLHVVDGVYTRMGASDSIQQGTSTFYEELNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCIVIFVTHYPKILDIQREFEGSVGAYHVSYLSTRKLLEITDEKMDIDTETEDLGEITFLYKLVAGASDRSFGLNVALLAQLNNGFYMEEMLACLVHL >cds.KYUSt_chr3.38695 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243794802:243795647:1 gene:KYUSg_chr3.38695 transcript:KYUSt_chr3.38695 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLGVKLAPTMVADITGLGTFAIVFNVVSVMIDTAPLCVLLFYPDLKEAKKYFTEQGFATGAVMNLMLMVYVYFVADDQHPDVLFVSAVGFILGTAYTFFLLAHRVVTADRACMPRFWMFVVLLTFLGACSGLLSGILMQYRGDGYIIFWMLFVALVALNGLTLFPIVSFDVDDVVQAN >cds.KYUSt_chr2.20559 pep primary_assembly:MPB_Lper_Kyuss_1697:2:129252523:129253200:1 gene:KYUSg_chr2.20559 transcript:KYUSt_chr2.20559 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHKGVNAEEEFGKILQMCARFAGSRRGPTLMRSVFAGCCGILFINCGSMRHICGPPLVVPLAPYSPTPAVNEVAAHHAFIFVSAPLPSPTPAVVEVVVDHAPAVESVPRSASVFTFGSGVCNFTSAAVAGSVAPTRLQSSTPAVEDDAVPPGYLLIPAHGLPAHDSPATPPSSPRTGARYRLLVLRAPLSSTPSASPSSSRGRRAGLWSPTVLGLANSIAPMT >cds.KYUSt_chr4.34017 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208716808:208717242:-1 gene:KYUSg_chr4.34017 transcript:KYUSt_chr4.34017 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTVESHRAGAEIVKGDDKICKKKSVEVLEELGLPTGLLPLDDIEEFGYNRDAGFIWLVQKKKKEHTFKKVKQTVSYAGEVTAFVEPGKLKKIVGVKTKELFLWLSVVEVYVEKESPGKVTFKTGAAGLSETFDAAAFALGE >cds.KYUSt_chr1.27307 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164591170:164594841:1 gene:KYUSg_chr1.27307 transcript:KYUSt_chr1.27307 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKPNRTSPTEPSNPKEPPNSSSSSSTNYAKAHASAPRVSRLAKPAAGSSKQAAEARSPSPLHNAAPRAAALLDKSVDAAQKSSPGERRSLKASPKRTSTTPDRQPRVAKASELQAQLNVVQEDLKNARDHLASIDRDRAKVHTDLALTKKLADEAYQKLEESLDAQRRAEEALELERFKSVEREQASIELARRKEEEWQRKHADVTKRHAQDAASHAKVAKELENAKGELAVTAQAKKSAISRADEAEKIAEANTRKTEILMAEVTQLKSEMESKEKGAEEIADNLRSEALELRAELQRSMATAEVNAKKGDTLMAEVAQLKSEMESREKGAEEIVDKLRSEELELRAELQRSMATAEANARKTDILMAEVSGIKSEMESREKGAQEIIDKLRSEASELQAELQRAMAFQEKLARSEEVVEGIKVDIAYAKRAEMDADQLAQRWKTKATALEERLAAITSLNKSNEEALVSLTKSFEDVQSQLLQLTEKAAASEGEVREYKEGFLKTNRRLDIAKKEVSDLQATIDILRSEHELLNETHRQAISDEKAASSQVGMLGGEKLRLQQEFDQTREERDKAKKAVEDLAATLRQVSSEAREAKERVLAKQTELDHAQLQISELKTATQNTEDKYQLMLHESNSLNKTVERLQSEAKNAEEKYKLMLVESNCLKKTIESLGSEAKILQDDRVSKESGFADMLRRSEEGASSVRSEMSKLMESLGAAEKEVQKLKAERTQLVDQLEEGFARSTMDDASSSAEQSMSVEISHLRDLLSTKEKEVLALDHHVTELRLRETAALAKAEELSKLLAEATTRKTSEDDAARSAETSKALRIKTEMDTVLESLKATEREAKDAKDDMAQLQSKLRLVESKITEANLTAEEEKITSLRLRETLAEKEEELLSIARENDGLRTREAATRAKADELAAMLVEATAIKGGDQSAGRSPEKQPNVFRKMMCSPMDNVVRGDHETRRNSDRIVQVLEEIKHVEVETVKQVRHEREVSVEINSLENSKIIEDDISNGVMSNGIDTESSDDDGEIESQGEEGAADQTGGLLMHGPTSSFKQEQHSHKKKKALLRKFGSMLKKKAHFTKLNNHS >cds.KYUSt_chr3.12267 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73225810:73226594:-1 gene:KYUSg_chr3.12267 transcript:KYUSt_chr3.12267 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIFSTLLLLVLVTAVVIGSTARGDEGGDALTTGFTRVLMTETRFVVQRPYNVPLDERYEFAGGVRRMWVYSTDKPFNRTLPGAARTETKINKEYSSGVWQFEGEVYVPAGTSGACVMQIFGAAPEPQETTLMLHVYDGSLTFYHDLHRVLAENIYDRWIRLNVIHDVAARNVTVFVNGEVRLRSGGHGGPGALHYFKFGVYKQSHHHPSYLMESRWRNVSLFTKP >cds.KYUSt_chr5.37806 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238889966:238891079:1 gene:KYUSg_chr5.37806 transcript:KYUSt_chr5.37806 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVRITGDELWCLLSNCSALVSLELRYCKEIISLKIPSLQRFHLLDVVLCDSLQVVESNAPNLSSFYYSGILCKISLGGSLQVKTLRMRCLYQANIVWYARHSILSLAPNVETLTIASLSETVNTPMLSGKFLHLKHLEISLVETAAYDYLSLVSFLEASPHLEKFELSIKQHHMEHDAILGGPSHDLRQILEQRYDKLKNVKISGFCSSKSLVELTCHILENTTSLDCLTLDTTWYLRCSVTKSGKCYPLMGRDSLVEAQKTLLAIRRYVVGKVPSMVKLNILEPCSRCHTVEPLV >cds.KYUSt_chr7.5815 pep primary_assembly:MPB_Lper_Kyuss_1697:7:34776732:34779901:-1 gene:KYUSg_chr7.5815 transcript:KYUSt_chr7.5815 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSSPAPSSPPTSGPRGREDRWRGGRGSDSEDTPRSQSVELGGGRAEHGDRPESSRARSEVRSEIFREEEGVAFDGLDDSDDPMDDDEDNPWEEPTHVTRKRARGRRAGRKVAAKAARTERVVGAYDEYHGLASSARSPATAPPTAPSARCAALRRAVGGELARRPARAACVLPRTAEIDDAEDMLAKALLAVIVGVRRAVTTEEVAMALEDVHGLPAGSFSVHCHRPEDFLIFFARREDRDRVLGDEVIASPFFRLLLRPWARRTHAVSGGLCVHVEVEVEGVPANAWNLSMAEAILAPAAWVERLHPLTRSRADMGTFRLSAWCLDPALIPKEVDLHIVEPDEPPSLEDLAAPAQAVVPPHINTLAYPLIVHVTRTVDFRRNAPNSNAGGRAHDGDGSTPAWPTRRQYNYTRGVPDTLPGSGGGGDREASASSGQGGGSRGGSTRVLSSGAVVGAPAAAPLLRSKRRRRGGRKVRELRARAAAQAAGDGADVGEAAIGAVAEQRDVAESAQALAIGNTEELARSAESAIEPDELEVAVGTVLVRTPARSAATISVVGAMDAHAPAASSARDVVGAVHRPTWTEMDPVHAPALQSLDLVAVNDRSKGAGCVRVGPVEGQRRLLGAPESALSAPLDGSGPKGKAVSNHAAGDGASASAGRFQVGGIFVPLGGSPAPPRANEREEEPPSVQRLTLEDLAGPFIPRSLLGLAAFASPPPPVAGDGAGPESEGDGILGQVVDSSPSWDVLPCQTLTLSRPMLDADKGKALVDDDAVDEEILADPAPQRTVEDDYTAPGGPSPAVCRFASPPMVFQRTRQPLVPRVPTVLARPRTLGEFLEAAKSRSDALLQTPAVRRRLVELNFQPRRSSRIAK >cds.KYUSt_chr2.35755 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221008231:221012790:-1 gene:KYUSg_chr2.35755 transcript:KYUSt_chr2.35755 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRGTNSFYRTLGGPPKFPGLRPVECQCQRIDDLAGVIEAGNGTWANDMVNKASQVLGDVAVPGQAIGGNASLSGNPEKVLPRRRNLSSVEDEAWDLLRESVVNYCGSPVGTIAANDPNDSNPANYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDENATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLSAREMLTPEDGSADLIRALNNRLVALSFHIREYYWVDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQVSPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTQQSHAILDLIESKWSDLVAEMPLKICYPALENLEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVASLKMNRPEIAAKAVEIAERRIATDKWPEYYDTKRARFIGKQSRLYQTWSIAGYLVAKQLLDKPDAARILWNDEDTEILNAFSTNRKRGKKVLKKTYIV >cds.KYUSt_chr6.6074 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36466859:36469554:1 gene:KYUSg_chr6.6074 transcript:KYUSt_chr6.6074 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKILTQTQRYSELLLEEVPTKSTADEAALTEEEKWKKEQDRLIPSMIGIRVNPYFIKGVKWLKLLWQNGLNGIIEDPVEFRAVHQTLLFLAHLKENGLHGPYMIVTSENKTDIPYWSCGFRFKFSGKEGEEQQNEEEIRTHLSKLHAILRPFLLRQMEDVENKVPQEKSATCYKAKVVQHPNAIGVKCSKNQATKEVIRTGAESCQAVTAVVPQKKRPACSDAMSVTCSKDHAVIEGARTGAETQAVAAEFPQKKGATCSDAIIATRSKDHAVMEGARTGAESSQEVAAEFVSETNGKGSADMRSSQFCLPGNEVVKRRRTNDAPITLLGESNPAVHAHVQVTKSTSSELIFKALQEIPDLARADILRAYSTLTRDDRQFESLMALPMDMRKDWLLMEIGNK >cds.KYUSt_chr4.35994 pep primary_assembly:MPB_Lper_Kyuss_1697:4:221343316:221345773:1 gene:KYUSg_chr4.35994 transcript:KYUSt_chr4.35994 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVPRSSRRNFSSLNDLRSYCLSRTVHSVWLSSRLDVPRHFNRSSETNGSTTKAGANASPVIDLSPHAQDLPFDPLSRSRCRRLPLRSLPGVSGASATPATRAPLLVYGLPPPRFAIAPLPQPRETLAPSVPAPARRPFTRASGCASTSISVDHAASATSWTPRPGPSQHHQPRAADAVDLGRSAPHSNALVDVLPPVALFSISLLGPSVKLTFYYTRLLISNLETLLSTAVAIMQVRKKEQRRASTLSMPEVVHLVDMRSCLPKAKFMFLILL >cds.KYUSt_chr2.52966 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330460088:330467290:-1 gene:KYUSg_chr2.52966 transcript:KYUSt_chr2.52966 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMSSLIPKLGELLIQEYNLKESVKKGIGDLRDELLSMQGALVKVSSVPLDQLDPQVKIWANEVRELSYAIEDSLDSFLMRVEGVDQQTKPKFKHLLKKARNKFTKFNARHEIAGDIKDIESQVMKIKERYERYKINDIIPNLASTTVDPRLSALYNKVSDLVGIGEPIDELMKVLLEGADTSQKDLKIVSVVGFGGLGKTTLAKALYDKLSKTYECQGFVPVGQNQSVKKVLSDILFELDIDLYRAAERMDERQLINQLQKVLAGKRYFIVIDDLWDIQTWEIIKCAFVDSHPESKIIITTRIVDVATRASGIYRMKPLSNDNSKLLFYTRTCGGEEVSRDNQSDEVTNKILKKCGGVPLAIITIASLLVGKARADWSKVYDAIGFGHEDSEVVQNTRKILSFSYYDLPSNLKACLLYLSMFPEDYFIEKKTLIWRWVIEGFVPDNEGVGSYELGEIYFNKLVNKSMIRWIEADDLGFTNKQGGCRVHDMVLDLIRTMSSNINFVTVYDMEQHYTHLLGKRTNRVRRLALHGRSVEHSSSIEMKQVRSFNAITCGDSKLPLLLSFNVLRVLVIEDCGFLEGHSLEHLGKLVHLRYLGLVKSKVNKLPEGIGHDLKFLQILDVRGCSISELPPSVGELQNLRCLWADEGTGMKGQIGKLTCLEELHLYLVDKCPNFFTDLGKLTNLRALQIQFNECEETACKALAESLCKLHKIQSLIIWRTIFEDDMDYFNKEFCVRFGSLEDLAPSSKLRFFCLQSIIIPKMPSWINSLCVPLLSNLWLYVEVVETRGLQALGRLSSLLFLFLESEEEKRISYTFGSYEFHKLRSLVTNINIATGAGALPMLRTLVYSASAVRKDNLVPWNNDSRLLENVCCRLDCANSGRREVKAAKAVLRKAERAHPNAEEFYFQTTVRNYTRKAARLIDALGSILHGLDRPDGEEITADQRELRCMIKSLETLLRDDGEPRVGRYGEQELRGFVTKFKSLLHDEAATDQEEEPDNSGSTDGNDDDKDADTDTEDDTDDDNGDNDDDNGADDDSEQKETHQVRAEYLTTAKRCESIQKHAEKSAELSCPAYVGTEQGSNMEDEYFFKALPVVQKRIAQGGVMLVAILNRIFSGNKQRAAQHLKCILFLRTGI >cds.KYUSt_chr1.27803 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167587486:167597261:-1 gene:KYUSg_chr1.27803 transcript:KYUSt_chr1.27803 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKGRRPAAKARGGAGAGGREPEAEATEEEAEGESDFCFVCKDGGDLRLCDYRNCHKAYHPHCVGEGEDFLKSDDEFICRWHKCFICKQQSYFRCLCCPVNSVCRACLRQAEFVRFGNQTKGFCTNCLRLATMIEKNVEVDSDGEKVDFSDKSTFEFLFKDYWEIIKEKEGLTLDKLQEKFPEEHSSDDDFLGNSDDGDDEPTRPTNVNRSSNKVKASRKQRKPKKNVYVGWGSKELVEFLASIGKDASKTLDQCGAAEVVRHYIRQNDLFHKDKKKYVICDGKLYPLFRRAEIKFTKIYSLLERHIAANATSEDEILASSEANSDSFRKKKSRTMTSEPSTPKGISERYRRCFASLVHDNIKLIYLRKSLVMDLLKQPETFEHKVIGCFVRVKNDPKYCTYHRPKTMYQLGQVTDLEDTKDPELLVEASDKSFQIDMSVLEGASEEKAPCLKSCSEDKSKGANEKAVCLKSCPEEKPEATEADACTPGTCVQNQAIAVKCNAAGGTPRTYAQNKGAQGSEDNAAGDRLEMHVPKKGTETTAAGIPDDVIIIDDDEDEDYSLPDEGANITVDLDANKSRDTVMVQHETRHAAMWFYTDPQNDVQGPFPLEMLRRWKEDEYFDDDFRVWRAGQSSDSAILLTDALRLKR >cds.KYUSt_chr6.2935 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17239749:17240093:1 gene:KYUSg_chr6.2935 transcript:KYUSt_chr6.2935 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGTSAFTYGLSPFSYGLAAGSSDLRTHGSSFYSHGSPSDLGGYGGGVPPQPSFPSYMTSSLALRQAGPPPISRPDHSFGRADHGQPDSMVPAAPFHFSNLLTIKLTAENFLF >cds.KYUSt_chr5.18402 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118907374:118910228:1 gene:KYUSg_chr5.18402 transcript:KYUSt_chr5.18402 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVASLNTVSLSAPSSAAPGPSAPRGRSAAFAATRRFPSLRVVAARALDADDEWGKEPGEGVTAVAEEEAAPAASEVAALKQKLKAALYGTERGLRASSETRAEVVELITQLEARNPTPAPTEALTLLNGKWILAYTSFSQLFPLLGSGTLQALVKVDEISQTIDSENFAVQNCIKFSGPLASSSVSTNAKFEIRSPKRVQIKFDEGIIGTPQLTDSIVLPEKFEFFGQNIDLTPLSGIFTSIENAASSVAKTISGQPPLKIPISSDKAGSWLLTTYLDDELRISRGDASSIFVLFKEGSTLLI >cds.KYUSt_chr2.9079 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57108649:57110832:-1 gene:KYUSg_chr2.9079 transcript:KYUSt_chr2.9079 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTQLEYIGCIPPRNRLSPRYINIATDRYNKHAGAAAQPKPKRTQEKEEKENNANTGSLTRTKMTPNRCALRRIPPHSKHTPKRRISSNTFNKENDDNAAAARTNPRVSPDTRKGLGKRDNRRPSGSIRHHGLEVILAVSLWSPEQGLDVEKSSSRVGGSRHLSRMGGNYLHRDAFAVADRTWQRGHTVVFIAANPTYNRHGRPRKHLELRYRHGSRPSSGSRYPEDPDIAHLEALVAFEVEQTTTYAREGKLYQEGIGETVEAAACMAVALEGERMALELLHCCWQATLISRWPMTSSPSGQRALLVSFESARRDAYRRQTMAMEYQAHYQALASWRTYEISAPVAVHRAASEDVVPAGAAASGLGEPGAGGGICDTFVAKDMALYQGDRDVARAAEAAVPRAR >cds.KYUSt_chr2.35496 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219164983:219167154:1 gene:KYUSg_chr2.35496 transcript:KYUSt_chr2.35496 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGEAEQEKGGGGSCDTEVGAKAVSLEELRRRMADFARERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDEAEKEHLGEELADVLLYLVRLSDMCGVDLGKAALRKMEINARKYPVDQCKGSSKKHTYYSSDSNSPANENVTTLTGNNEHNNGV >cds.KYUSt_chr4.33854 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207775316:207780570:-1 gene:KYUSg_chr4.33854 transcript:KYUSt_chr4.33854 gene_biotype:protein_coding transcript_biotype:protein_coding MARMALNDKHTYAELEKELYWPAEKLRISITGAGGFIASHLAKRLKSEGHYIIASDWKKNEHMDEDMFCHEFHLADLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAGRINGVKRFFYASSACIYPEFKQLETVVSLKEADAWPAEPQDAYGLEKLATEELCKHYTKDFDIECRVGRFHNIYGPYGTWKGGREKAPAAFCRKALTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFCEPVNIGSDEMVSMNEMAEIVLGFENKELPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKERMEGADVSAYGTSTVVGTQAPVQLGSLRAADGKE >cds.KYUSt_chr3.39731 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250359686:250366789:1 gene:KYUSg_chr3.39731 transcript:KYUSt_chr3.39731 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDTATYPGSRPSDGGNTSTPARVYMMITQYKVAPSAVSQLLREAKEDKSLSHRAALGVEVRNPSKEIFSELDEINTQGPIFTRSFQKTEEDTKRGHEVARHQGGAAWALAAPAWRVGPSCGPLTYLLRLLKAFIAKPPVPRATIRKTFPETPPPPIPSRGIQEIASGTLPERGIISWRILHRHGRLRSDEFHVGAGIPGVAPHYISPPSTFNVLLGFYWFDKPWFLTEGKLADAPTRRQQARTRLQQTQHNDADDREDDDDDEAYAVYDDDDDDYAEMLAYHSEEVKDDSDDYVAVVFHEWQQALAEGRNFDFPDNMTDDEMAKVAVRVSEYDAPVQPPLPRYATAVMPSGLSADEALRQALLESVAPPPRPQDSGASTAATAAGSGASTAATPQQTGRLHRRHSRSTGRLHRRHGRSLLNLEHRRRRARHEQSALDSNSTVPSAAKVSLPPVQPGGQKRAQPKRALQIPRAPPVAANTERFYGYEDDDDEDEDDYNAELPEPLPSSTECESSIHPAKELNLLERDDKTRMFLFQLPKSLPLLRTSTTVVERNGRSIVKEVKEGYSLNDLPGGYMGKMLVYKSGKVNPGTECGMTQHAVAVNTKKKHCCQLGDIEKRHLVVTPDVNSLLNDNRI >cds.KYUSt_chr2.5493 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33988729:33989064:1 gene:KYUSg_chr2.5493 transcript:KYUSt_chr2.5493 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQVLTLGYGQDGRAVHEQGITLELGPAAQCLGLIVESRRRKTRRGGGRHLVHVRARFEIRFLNVGIVFFQFDEDADLNIDIGGCYFLSDLKVSRLSRVISKSSNYNGPD >cds.KYUSt_contig_319.1092 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:7244797:7247114:1 gene:KYUSg_contig_319.1092 transcript:KYUSt_contig_319.1092 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGRAPSSPSDGGGFLVFLYLILVLRWQDPVLGIWKAKYLLNKLDEPADLGSSSLDSASDFGGHLMRLKQHRGFSFGQPLMRGKEMKLCSCFCIGRVTTDMEASKEDLQYNSKTEESTTTSRDRNLSEESYTTSTMEKT >cds.KYUSt_chr4.40853 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252400729:252404433:-1 gene:KYUSg_chr4.40853 transcript:KYUSt_chr4.40853 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKGDGPAIGIDLGTTYSCAAVWRPSHNRVEVIPNDQGNLTTPSCVAFTDTLRLIGDAAMNQAATNPFNTVFDAKRLIGRRFSDACVQGDIKLFPFKVISGPGDRPMIVVHYKGEVKQFSPEEISSMILIKMWESAEAYLGTAVKNAVITVPVYFNDSQRQATIDAGAIAGLNVMRIINEPSAAAIAYGLDKASRSGEGKTVLIFDLGGGTLDVSIISIHKGTFTVKATSGDTHLGGQDLNSRMVEYFVQDFLKRHKNECMSDIRNNPRALMRLRMACERAKRFLTTMPQAKFEIDSLHDTIDFYGTITRPRFEELNLDLFRKCTGHVEKCLADAKMDKSQIHDVVLVGGSSRIPKVQQLLKEFFNGKELCKSINPDEAVAYGAAVQAAVLNGECDQKVQDLLLLDVTPLSLGIETVGGFMSVVIPRTTTIPSKKERGYTTEYDNQTSVCVKVYEGEATMTKDNNLLGKFTLHGIPPAPRLVPKINVTFEIEANCILKVSAQDAATGNKNSISITTDKGGLSKEEIERMVQDAKKYKNEDKKEIIKIKKEYEERGVLWLSKEEFERSVQKKHTPESEDIQQAKKVKKESGGP >cds.KYUSt_chr1.35618 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217133839:217135296:1 gene:KYUSg_chr1.35618 transcript:KYUSt_chr1.35618 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEHGKKLRILLIPFFATSHIGPQTDLAVRLAAACPDAVEPTLAVTPANVAVVRAALDRHGASAASSAIKIATYPFPHVAGVPPGVENLTPAAGDAWRITAAALEEGLTRPAQEALIRDLSPDAIITDVHFSWNSIVAGELGVPCVTFGVIGAFSSLAMHHLSSTLDGSSESDQQEVVVPGFPGPEIRIPMAELPEFLRCQQKNERFNTTVQGIGTTGFGLARNTFFDMEQQYCELYARHGYVHRAYFVGPVSLPLPRGGAAGVGESSRAITSWLGSMRTCSVVYVCFGTYALVSDDQLRELALGLEASGHPFLWVLRADGWTPPAGWEERVGKRGMLVNGWAPQTAILAHPSVGAFLTHCGSSSLLEAAAAGVPMLTWPLEFDQFIGERLVTDVLKIGERVWSGPRSTRYEEKVTVPAEAVARAVARFLEPGGRGEAARVRVRELALKAHAAVEEGGSSHTDLRRLINDLIEAKEAAARCRQ >cds.KYUSt_chr6.30440 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192769786:192772102:-1 gene:KYUSg_chr6.30440 transcript:KYUSt_chr6.30440 gene_biotype:protein_coding transcript_biotype:protein_coding MMATVDHLRRLCLDHYFQDEIKIIVDSCVDHIDSDDLLDATLSLRLMREAGYHVSADKVLQKFTNYNGDFKHAHSKDITGLMSLHDMSHLNMGEASLYKAKEFSGKHLRSAIKHLEPNLARYVRQSLDHPYHVSLMQYKARHHMSYLQSLPTTNTAIEKLALEEFKFNKLFHQMELQEVNRWWMDLGVAQEIPAARDQIMKWYMWPMTVLQGSSFSRYRIEITKIIAFVYIVDDIFDLVATPEELSLFNDSIKMWDLAAADSLPSYMISCYKNLYTVTNDIANMATKEHGRNPISHLKKAVPTSEDYLRNGIITSGAPLVFLHLFFMLGHDLTKDSDHIQRVISCPAKIMRLWDDMGSAKDEAQKGLDGSYKEFYMRENPNADAEEHILQMISGEWEELNRECLLSTRSSFSCSFLGALLNFARMVSVMYSYDDEKRLPVLEDYTRMLLL >cds.KYUSt_chr5.12918 pep primary_assembly:MPB_Lper_Kyuss_1697:5:84267534:84268757:-1 gene:KYUSg_chr5.12918 transcript:KYUSt_chr5.12918 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDYQGSSASPSPFSFGSLLSLRRDHATMPSGEEADLELFQRHLATYLGELVPADAPDGAAVDEILSVSWIRRLLEAFILCQEEFRVVVAQARRRGALPAAAEKLVAEFHERAVKSLDVCNAARDGVDQVRRFERLADIAASVLLAPGEIHEGQLRRARKAISDLAVLLVDDTTAAASGGVASFLGAHRNRSFSRARASPSRAGAAVVGSSASASHFRSLSWSVSRTWSASRQLQAIGAGLAAPRAHEGGLAAPVYAMGCILHYTSWALVTAVPCPDRSSALLAHHLPVAPARAAFPWAPPLLSLQERLTEEGKRKDRRSSCGLLKEIHVLEKSTQKLADAIDAAPIPLFGDREVDVREAAAELAAVCAAMRDGLEPLEKQVREVFHRIVRSRVEGLDSSMHNAD >cds.KYUSt_chr3.26744 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166776435:166776696:1 gene:KYUSg_chr3.26744 transcript:KYUSt_chr3.26744 gene_biotype:protein_coding transcript_biotype:protein_coding ERKGWGNERWLYKSLAPAETVMPVSGVMRALEAKLYKSFTWEYMTAAYKTKLATCRLAPFLLQQLGAEESPKDASELLLNGVTAPH >cds.KYUSt_chr4.16164 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100208341:100208966:-1 gene:KYUSg_chr4.16164 transcript:KYUSt_chr4.16164 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPIFWTFTHDQAPATSQSRYRSVVQRSHPSAINSHDPRRIELQLQEPISYRSSRCSNLEAIKQSGQSLVIAMAWRKKSGGESSPGASPRYSDEREKVPRGHVPMVTGCGERVMVPVRLLTHPCIAELLDMAAQQYGYGQPGVLRIPCDAGHFRAVVDSALQN >cds.KYUSt_chr6.27093 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171851564:171854012:-1 gene:KYUSg_chr6.27093 transcript:KYUSt_chr6.27093 gene_biotype:protein_coding transcript_biotype:protein_coding MCMRSCHPAAATGDGSGGSAAVGLAIASAAQKREGCHVPSKDRMGKAAGCIASHKRTVLQGSLLYSMDLFAALIVVGTPGRILALATDKDISCKNVRWSRMHKRLWSIAGVKTCCGKWKKINFGVSRFVVVQSHRPRKSNCQANHMEMHLAGASQEACLDLLEGSGGGMGGAMPRCMGCGMPDIILNDRDLMTTFGDPEDMVALQDGVSSFLWMIWFCHYEHD >cds.KYUSt_chr5.14274 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92692291:92695260:-1 gene:KYUSg_chr5.14274 transcript:KYUSt_chr5.14274 gene_biotype:protein_coding transcript_biotype:protein_coding MANVGWPFLANATSATRSPIELPHAKTVMPRIAGGRSIMTPIAARQFRSSPAMVEIQKTLITNDMRMKSVWGPDCAGFRPERWLRDDKFAVSPCRQYLVFQASVRVI >cds.KYUSt_chr5.42807 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269764520:269767591:1 gene:KYUSg_chr5.42807 transcript:KYUSt_chr5.42807 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWPRPPAARKFRVRLVVRRAEGLPSPADPTEQELEGSAANQRVAAEVRWKGPRASGLSSLRRAVRRNRTRGEELAPAPDAAAADACEVGGAVAWEEEFESVVTLAAASHREAAAFQPWELAFTVFTDMNKGPKTKPTILGTASLSLADYAAAAEEDIEIILPLSVPCGTPEYAPSLHLTLSMVELRALQETSDASQRSAVATPLSPSSCDSLPGGKDEGSVIKAGLRKVKILKDMVSTRRSKKTFQSDEGSDDNCYVHSDGAEYPYGTEPVDEDLDDRTHEDEVGDPTIRKSFSYGSLQSVNHVGGLVHAHATIDGDHEDWVYYSHRKSDAGYHVEEPQSSTAEQTVLPAAKRSILPWRKRKLSLRSLKAKGEPLLKKANGEEGGDDIDFDRRLLTPSNGSEGSSENGSVNGMMSEFGDDNFVVGNWESKEVLSRDGHMKLSSQVFFASIDQRSERAAGASACTALVAVIADWFQANQDLMPIQSQFDNLIREGSLEWRNLCENKTYRELFPDKHFDLDTVLHAKIRPLTVSPSKSFIGFFLPEGADDMRGFDFLNGAMSFDNIWDEISQAAEFSSSENPNLYIVSWNDHFFLLKVERDAYYIIDTLGERFYEGCNKAYILKFDDNTTIHNVSGEKKTSSPDSSGSLKDSSGSSSNGQDSEDDIEENILVSKGKESCKEYIKSFLAAIPIRELQGDIRRGLMASTPLHHRIQIEFHYTQASPQEASSPPQALAIEAPFEFSWPDPPPAMEVALTHAVAVA >cds.KYUSt_chr4.15713 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97123061:97125588:1 gene:KYUSg_chr4.15713 transcript:KYUSt_chr4.15713 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKAIKYHKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLVGASLLVFANKQDIQGALQPAEIAKILNLDAMDRSRHWRIVGCSAYTGEGLLDGFDWLVQDVASRIYVLD >cds.KYUSt_chr3.42730 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270127477:270130150:-1 gene:KYUSg_chr3.42730 transcript:KYUSt_chr3.42730 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHIFRSLASYLRREKLLKDKRIKVEEKLAIFLYMLSHNASFEDLQLEFQHSNRTFHKCIREFFNIIPVLSRRFLKPPIIDHPHPRIANDDRFFPYFESFPRIGKFKRNGFPMYDSLGDLYDGQIAEGNHNFTSSSKASQLDEELEDERVEEVGSEFDEDVQILDEDPTEKKDEGTGSSASRVNVGERMDKKATGVPKKIPPKELKKPIKSDEMVQVVDRYVKMKEKQAEDEKAESSIFSIAKCIAAVNKIQEFTRLERVKASKVFKSLENRETFLTWVAEDEESAIMWLRDELQELT >cds.KYUSt_chr4.45934 pep primary_assembly:MPB_Lper_Kyuss_1697:4:284084046:284086876:-1 gene:KYUSg_chr4.45934 transcript:KYUSt_chr4.45934 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIAAEEEEEEESMADRARLLDSDSEPEQPDPVAARATIAPYLEDVDSYMRSLEVKSQGWSGTVCPNIFKKLKLNVKRSNICTVLYNGNEGFEDGTAQLKEKHVLYFEKQPRSRKQAPAQQQPSSMHEHPAGQQPTAIQQAPTQQKQPPAHRPFVPPKKKQAEAAMGHSSSQHSTESSSQTGSSMHNRTFRRRQTIPMSEVVTEQVPKHGRLKWFMLGNNKTGQEGAPDPDA >cds.KYUSt_chr3.35624 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223824724:223828333:-1 gene:KYUSg_chr3.35624 transcript:KYUSt_chr3.35624 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLVLTLLFQVYCLVSTVGLPLPLDPTELLQLGGDVGGGRLSVDPADLREASGDFGGFTRAEPLAVYHPRGAGDVAALVRAAYSTGGLRVSARGHGHSISGQAQVPGGVVVDMSHSHGRKHASRALPAYSAAVGGHYVDVWGGELWIDVLNWTLANGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQINNVYELDIVTGKGETVTCSATTNSDLFFGALGGLGQFGIITRARIALEPAPRKVRWIRVLYSNFTEFTADQERLISPKHGGRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKQHTGVLYCLEVTKNYDDSTTNAATVDQEVDALLGDLNFLPGTVFTTDLPYVDFLDRVHKAELKLRSKGMWEVPHPWLNLFVPASRIADFDRGVFHGILGSRTSGGPILIYPMNKHKWDPRSSVVTPEEEVFYLVAFLRSALPGAPQSLEELARQNRQIIDFCADARIGAKQYLPNHKSQHDWEEHFGPERWARFASLKAEYDPRAMLATGQGIFPPSADSSAPLLSDS >cds.KYUSt_contig_1158.363 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:2207261:2212867:1 gene:KYUSg_contig_1158.363 transcript:KYUSt_contig_1158.363 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWNDQDQQQAGDKIWAELNENEDPAVPNDTKNDGTLVYAGYQKKNDNEAATVPALAEPTSDGPTGHPALQKQPTPLDMESWPDLPSLTSTLDRNDYIASTYLDFSSAPAVQKVAGITSVQLNGEPEVFGSEHDEKSNNFLDCNWGNIGDFDDFDRLFSNSEALFRDEVVVNGSNFLSTSSAVVDGTAQSIPSLRASFSKQPSSDSGSSSVLINDTLNGIAKQENEAEGHQANPVAASCRTSVDSPKQSSSTEKPLMMTPQEKIEKLRRRQQRQALIAIQQQKQQFGQEGSGSSTLVAQAYSPRKKNPDYSSGIIDENAPQQTSAGHEEIQRESGIPDDPFLEEKIYYELKDALGKLDTNTRLSIRDSLLRLANSSSQRQIAGYRTISDNSKRGEDDITENGASNKRKRQSLARSQKKDLILGVHFDLQIQGENGSLNMFKIQLVDFAGRETGAPTVGPNDFLFGIDISSSFAHKEIEVLKFLESLGGQRKMKSINGVIQVEDILDRG >cds.KYUSt_chr2.7706 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48366305:48367182:1 gene:KYUSg_chr2.7706 transcript:KYUSt_chr2.7706 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRHRKPRNSATFQLCPRPGAAHASNRVLVRVDGDPYYLPGFADDEYDAYRGASSSSYGAVAGYEDDYSYSSDGGGALPDHVRREILELGLPDDGYNYLAHIREFQPSFSSTGGGGSSAAFIPRRRPPPRSGLPLSVKAYDARSVEVGADNVAAAAVVAPVEQVIDPDVTKLLEEGDAPDAPNLPEESDVPHLTSADVTTNLLEESDGPQETSEDEELEEDFVMIANQSEEDEEQMDLEDDFVILANQPDGKIEMVSFLHLAL >cds.KYUSt_chr3.36173 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227446262:227448875:1 gene:KYUSg_chr3.36173 transcript:KYUSt_chr3.36173 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGFDAAVRTTQRWLQQVFTPADSGSATSSADSNATTCKQDDVSQAAQNTRQEPMFEELPEDIRYHIHSLLPVQDAARAACVSHGFLRSWRCYPNLTLNERTLGLAGKKIKGREIDLICIVDPILKNHSGLKTLILDLLPFRNISASCLDRWLHAAVHSGVKELSLALSSHSEESYSFPCSVLSGEAAASSIQSLYLFGCAFHPTETIGHLRRLKILHLSCVHITEEGLEHLLSKSFALEELEIFKCSNIICLTIPCTLQQLKFLKVAWCQVLQAVEINAPKLSSFYYSGTALLGISVGDSSQLKDVHLVHPPCILYYARARLPSIARNVKSLTLASCGENVNTPMLLSKLPRLKKLDIRLLGLEPAFSPHYDALSLISFLQASPALDSFILRINQDVMCHDSVVGDDYIYLRKKPEFRHEHLRQVMITGFRASKSLVELVIYILESAPSLERLKLDTTDSDDRSSCTSRKPCRCSWMTKSNVADAHVAMEVAARCIASRVPSGVEFKVLGPCKL >cds.KYUSt_chr6.1068 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6792819:6797559:1 gene:KYUSg_chr6.1068 transcript:KYUSt_chr6.1068 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRINPTKKPLLHLPRPFSSLSSSNPPFPPPPPPQSDDTDASPPPPPPNSGEPPQRPSSFSDLREQRRAAAESLDNIRRYLEHSRAASRIPGGAPLTLPLFSPPAARNPNADGAKPCAFDFTILSKGLRKIRQQQKQPPKEFPSATSDSIFAKERAGAEAEDPDPPRPTRQAAMSLRREQDLSEVLGGRTGLPESSTAGREATDASRTTAPPPGTPGRRPPTPPPFSSGGGGTPSFQDLLRSSGPPAARPPNADGAKPFDFTALREGLRKIDPRQQQKQQPKEFLSATSNGIFAKERAGTEADDPDAAVMLYKTYTYEALGKELQELRPPGAGKDGKDWFSLQELQGRIAKLAAKDKDTRLGGQFGALKQSIRSIAKTDKQKAIRNMGGMFSIANLTGNPIPEYLSQPPQEELLERYFHPDHMSGEEKMKLELQKVRDEFKMSENDCGSARVQIAQLTLKIKHLSSVLHKKDKHSRKGLQDMVQRRKKYLKYLRRTDWDSYCMVLSKLGLRDIPEYKAPDYKKTQPTKAKSKKSKRKRKMKT >cds.KYUSt_chr4.41414 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256115747:256119293:1 gene:KYUSg_chr4.41414 transcript:KYUSt_chr4.41414 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLFDPFDGFPFGSGSSSSGGGSLFPSFPRTSSETVAFAGARIDWKETPEAHVFKADVPGLKKEDVKVEVEDGNVLQISGERNKEQEEKTDTWHRVERSCGRFLRRFRLPENARAEQIKASMENGVLTVTVPKEEAKKPEPTMASSRSGRNVKVKESTLNDYDGGLADILRAMLLKFGCDPQIRVMKYMYYDGTVLAKCRVALQLPESLGMSAVMPAGEARTIMKAITDIREHKTKELIGSEFAHIPHMEEEDDPMLNHFKFAKRKPVEAAKYMDNCRNLLTLFFQLNRHLSGAIDTMLDEFTEPKEEIKGKEPMGSEVRTPVYSPGDFISMDVPEPQTTPITPRYFPSSSQGGYEGGEESGNHQRSETPIENSTGWRWGSFMGTYSDSVRCNPEIDDDATNQFQNHQYSRGDYEEYPILIESYIDGGNAYGGVTGGTPQGRIMLH >cds.KYUSt_chr3.33915 pep primary_assembly:MPB_Lper_Kyuss_1697:3:212819529:212820732:1 gene:KYUSg_chr3.33915 transcript:KYUSt_chr3.33915 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRPPGYRFYPTEEELICFYLRNKLDGRRVDDIERVIPVVDVYSVDPLQLSEIHDRLCGGEGEPWFYFSERQEREARGGRPSRTTPSGYWKAAGTPGVVYGADRRAIGMRKTMVFYRGRAPSGTKTKWKMNEYRAFHHDNDVAGGSVAGPGSGFSHAAAPPNLNLPPQLRGEFSLCRLYTRSGTLRQFDRRPVAGAAAGGDNPGPATEAAMSLSPDDGDGSGGSMHLHEEELMEGSGGDPYGDDISTLAALLYWPVD >cds.KYUSt_chr1.30154 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182517170:182519176:1 gene:KYUSg_chr1.30154 transcript:KYUSt_chr1.30154 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRALLNHLRVPVARPATAAAVAAAGSVPVARLLSSTTEVEKGSFLDKGEVADRVVSVIKNFQKIEPSKVTPNAHFQKDLGLDSLDTVEIVMAFEEEFCLEIPDNEAEKIDSIKTAVDFIASHPQAK >cds.KYUSt_chr5.4620 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29455557:29457461:1 gene:KYUSg_chr5.4620 transcript:KYUSt_chr5.4620 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSAVSKDCGGTGEDQHQGMRAMAARTATDSLRAAVARSGAAEKAARLEECARSLEAEKAKMEVFRRELPISVHLIADVIEWLKDEVAQYRKRPAPDQLLAAAPSPSPSAKRKAEGVKTEADATDKRSWMSSAQLWTCGSHSTASTSTSNGGSDRKQAQKVSSAFMPLNGMPVFAKSSEVPEAATMAVPDLSLSSPAIDVPGPAAPSANSSAVTDAGEQQRQQSRKARRCWSPELHRRFVAALQRLGGPHVATPKQIRDMMKVDGLTNDEVKSHLQKYRLHTRRKSNGDRQQRSAGVWPPPEQYTTSQHSTSQPGSPLHLTTGSSRDVSATAGDSCDGGEEEDGKSASFSWEMQQNGTKAASSSSS >cds.KYUSt_chr3.28053 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175051063:175053391:1 gene:KYUSg_chr3.28053 transcript:KYUSt_chr3.28053 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEEDEQMFAELLEEETAAAAQDEEHLLILACLSGLYAESVIGRRGGVRLVRLTEAAENLKKRAAVSVRMGKENEAVDLLVQKKKLTKALENIKERIELLDKLSTKLSEAISVKQNLLIEHTLHPGMPNGEDSNDEIRVFSGEVGAGVDGTESLPKSVEKGSFVVYSNLAAESDKNEPKMADSFTFSEDRDPTSSTKDRATYDDFVQHIDSQLNSLECEIEQYVNSQLATDVDIQQSINGKWHKLSTVLKLITETRER >cds.KYUSt_chr5.4827 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30628863:30631092:1 gene:KYUSg_chr5.4827 transcript:KYUSt_chr5.4827 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIFEESTNVSFTFNKAPLPSHVTVADDALIRTGYFNYPYIAAADRCGILLLCGHSEQIGFTYYLCDPLIRRTLGIVPPDGDGLSCRFSVGLISDRSDTHLMVAELNPSSLAEHGRVTLRCTLDMCSWVDKESAECSNIVERREWRGDGVLTHKFFLWWFDLSYCILACDPFADKPRFHQIMFPSVPDAAPFYPNPIYGDVYRCLKVSNGRLRYVQIHGTSPEKMEVSMWTLSSNDPADAKWTNRVDVPFERIWDDPSYRSKGLPPQVVPAVVLVHPMRASEVYFCLPTHIFSVNLNEKKTVDCEEFSVQFVNRWSSVEDFHTKAEMIIRSRPKPHDDWGLEDIDEDRYDSDTSMTEEI >cds.KYUSt_chr3.37223 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234050850:234056978:-1 gene:KYUSg_chr3.37223 transcript:KYUSt_chr3.37223 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRPKGESFDFEEAMGSASASYSSAGGVFGLSPPESSPRDSRKRRLYYRCSYREDKQCLASKLVQQENNDDPPLFRVTYTYEHTCNAAPVPTPDVVAELPAPTADSHFLRFDSTCARNGGRHRMEQQRQYQQPMAPGWPSLMLSFDSNSQPHTHHAAFPSELPQVASTSTPFSTTDGMLQAPPLPSTSMTTDGGGDRFSTWESLKYGLNDHLHFGDNGYLPDDGNDDNY >cds.KYUSt_chr7.28329 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176879784:176884804:-1 gene:KYUSg_chr7.28329 transcript:KYUSt_chr7.28329 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPAAGAVGGGVRVVARICPPAADPAAPTSTTASAPANSFQVAATRGGGHPRSSSAAALLSFTAAATTSSSSSSLQGRGPSARKEEHRLDWCYLQDETNHHVFINEVSPLIHRLLDGTAGSSCRNACVLACGAATAKDGLFAGSQDQPGLVTMAMEEILQFSKSIGGAVLVSSYHVLQDTHVFDLLEPKDQEVTVLEDSQGKTHLKGLSKVPVKSIEDFAQLSCFRSNQNKQPTKAPTLLHTRAHQGLIIHISSFDQEGKECAIAKMNFLNLTGYVDPKQKGNGGVAAPSNSNKTMYALMNVVKALNSNQSFVPYRQSKVTRILQDSLCKTSGAVLIACLEDTMVVAPVAVKFEEVQSSQGIEIHAPSTDEGFDKSDVLDIVSSEIQKVVSSSMEEEEDAIVVAPVAVKVEEVQLSRGIEIQAPSTDERFDKASNTLDDVSFKTQKVVSSSMEEEGIGEKRANYIIEFRENSPELFKEIDDLTDILGMNKKEIRRMMSGIIDS >cds.KYUSt_chr1.9700 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59266015:59267427:-1 gene:KYUSg_chr1.9700 transcript:KYUSt_chr1.9700 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTMDLARLIAGRDGACVTVVLTPVIAARNRAVLEHARRAGLAVDVAELEFPGPALGLPEGCESHDTVRGGDFTLFNEAVRLLAGPFEAYLRRLPRRPDCIVADTCNPWTADVARRLGIPRFVFHCPSAFFLLAVRNVAKHGVHDRVAGDFEPFEIPDFPVRAVANRATSLGFFQWPGLEKDRRDTLDAEATADGFVVNTCAAFEGAFVQGYAEALGRKVWAVGPLCLLDSDAETTAGRGSRSAVDAGRLVSWLDEKPLQSVLYVSFGSMARLFPPQVAELAAGLESSNRPFIWVAKEADDLDDGFDERVAGRGLVIRGWAPQMTILSHPSVGGFLSHCGWNSTLESLSHGVPLLTWPDFADQFLNETLVVDVLGAGVRVGVTVPITHKLLNPDAAATLVGRDEIKRALTELMDEGALIRARAKELATMAKKAMAEGGSSDRDLGEMLRHVGELAQRTEKGVPASSWRA >cds.KYUSt_contig_4095.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000805.1:2702:7770:-1 gene:KYUSg_contig_4095.1 transcript:KYUSt_contig_4095.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWCRQWHKEQPRPGTLVVKDLLGVCILPLLRSLQRCKVEEWSSPSVQAAAGIGDILENLPGSAASEVPDLMLLEPLRHRRRPHPKLPAARRGSRMDAYNRGKESDPEFYREGSSFQYGKIGLITSLLQSPLSTQFADTAATAQCFSPLSGRPPHPTHLTPSPLHTTTHPITSAPASCASAMPKTSVSRTSIWCLSLSSGAPGAGRTSAMVHPVDLAAVSPSRPPWCLLLTWAAGAPFALPAPMHQSRATTQASTEIGTGGGGLHGVRILPMWSSLQRCKGRRWSSPSRSRSCCQRHSGKPPRAVAASNVPDMMLPETTPSRRCQPRPKLAAARSLPPHISLKPLSPLNLLTLPQPLGAAHLTSPLSGRPPHHTHPTPSPSDTPTDPIPSTRHAQDVGVKNVCLVLVLAIRSTWSWALPSRPPWCLLLTWAADAPLPPSMPRHTSRGQRHKRQLRPEVEVEDLPSMSCLCGARCRYATGGGDVPRLMELQPPSTTFWKTSMSCGCQ >cds.KYUSt_chr1.37977 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231891562:231897575:1 gene:KYUSg_chr1.37977 transcript:KYUSt_chr1.37977 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAFSAAVLLVLVALSAAEGDNILQLPCKLQLQQSSLDSCRQVVDNQLAARRPFFLSPQALIAKWVQAHCCQQLRDISHECRATAIRQIVSQYEHQAMVPLGGGSYYPGTDKEQQGDVQQEQSWKQQQQHLQGQGLFQHSETSPQQQGQGWYLPGHPGQTVPQQQGQGWYLPSQTFPPQQGQGWYLPGQTFPQQHGQGWYLPGQTFPQQQGQGWYPGQTFPLKQGPGWYIPSQTSQQQQEPEWYLPSQTFPQQQPGPYRPSQTFPQQQQPGSYHPGQTFPHQQQQGGGFSGDSTVQQQKKSFGDIWHSIFHHGQKPAAGHESFGEAAQHQEQGPSYTSETFPQQQHMEGSFGESTTKQQKGSQDPSHLPQQEGQGQSARLELVTRARQVAAQLPAICRLEGQVCGRKKREAGQETSTSETKKTKRKWGRGFCGKTAQEAEEPLTSETKKKRKNGVTSSETDKKRWLKTRIWRKKKAKNDQLASLVKEISLANSPKARAAAGEILRIGNHNIPSRVFTFGQLSDATNSFSPENLLGEGGFGRVYRGYISETMEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYCTECDQKILVYEYMPLGSVQDHLLDLTPKSQPLSWHTRMKVAVGAARGLEYLHEVANPPVIYRDLKASNILLDGSFNAKLADFGLAKLGPVGDKSHVTTRVMGTYGYCAPEYAMSGKLTKMSDIYCFGVVLLELITGRRAIDTTKPTREQILVHWAAPLFKDKKKFIKMADPLLDNKFPLKGLNQALAISSMCLQEEATSRPLISDVIMVDSDDDDYFFKNFIDTSSDEDSDDEFFTDAALIIHEHIVSQIPVHRGSLPGRAAALDRKRERGHD >cds.KYUSt_chr1.11193 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68495685:68498660:1 gene:KYUSg_chr1.11193 transcript:KYUSt_chr1.11193 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVTAERNARHEEALAKKKEEEKLAYVAAYYEERRSGKLKDALFMYRKWSKGKDSSVMHVFTKLENCPKWEKPWFTVNECNKEVTDVYGEPASPSSPPVGCLSSNKKAKAQRNDAALLDAMGASIDLLIAEVVPSSKERDDERNEKTGQRFHVGAGIPGVAPHYIHPPSTFNVLLDSYWFDKPWFLTEGNLLLYASHLPLGVPNERVLYASSS >cds.KYUSt_chr5.18852 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121926893:121934117:-1 gene:KYUSg_chr5.18852 transcript:KYUSt_chr5.18852 gene_biotype:protein_coding transcript_biotype:protein_coding MPILERLGISDVPLVKEYGLPGIVGALLVALVIPILLSSMLGKKTKKRAVPADVGGEAGLAMRNSRFSSLVEVPWAGATTMAALFEMASKKYPQHRCLGTRKLIKSEFIEAADGRKFEKLHLGEYQWNSYSEAFTRASNFASGLIKMGHQVDSRAAIFSDTRAEWIIASQGCFRQNLTVVTIYASLGEDALVHSLNETQVSTLICDSKQLKKLPAVSSKLQSLKHVIYIEDEPVEADTLNQLKHLTTSSFTEVEELGKTSHIDARLPSSTDTAVIMYTSGSTGLPKGVMITHGNMVATIAAVRTIIPNLGTGDVYLAYLPLAHVFELAAETVMLASGVAIGYGSALTMTDASNKIKKGTKGDVSVLKPTLMISVPAILDRIRDAVFKKVADKGGVTKKLFDVAYKRNLAAIEGSWFGSWAPERLLWNSIIFKPIRAMLGGRIRFILCGGAPLSSDTQRFINICLGVPVGQGYGLTETCAGAAFSEWDDASVGRVGPPLPCCYVKLISWEEGGYRISDSPMPRGEVVIGGHSVTKGYFNNEAKTDEVYKVDERGVRWFYTGDIGQFHPDGCVEIIDRKKDIVKLQHGEYVSLGKVESALQTSNYVDNIMVHADPFHSYCVALVVPAHQALEKWAQNSGISYQNIGELCHNDQAIKEVQQSLSKAAKSARLEKFEVPAKIILLAEPWTPESGLVTAALKLKREQLKTKFKDDLNKLYH >cds.KYUSt_chr4.669 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3500742:3504014:-1 gene:KYUSg_chr4.669 transcript:KYUSt_chr4.669 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKYSWVDVPHPFRLMEFSRRLLLGFYSKDLHAQETAALGIMEGQDTIQACCHFLQQYACTIVIDGLRSTHDWDSIKAAFLSEPTQISSIIVITTKETVATHCALDKGRVHNIKGLDAKDALRLFKERHDTLSEEERADHSFDSDSELRLELLALEWWAAVDSYDCPYPLSQKNKKGRHQW >cds.KYUSt_chr3.28450 pep primary_assembly:MPB_Lper_Kyuss_1697:3:177541885:177543092:-1 gene:KYUSg_chr3.28450 transcript:KYUSt_chr3.28450 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHAQVTSYIVRLVGLYNAVSSLKALSELELLARVKHLPAVCTKTRQVEAGPPLAGRSAPTSPWRALNLGLQIVWHLESGASTWKDQKQI >cds.KYUSt_chr2.4493 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27806270:27808578:1 gene:KYUSg_chr2.4493 transcript:KYUSt_chr2.4493 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLPAAYLRARKSEAFVAWKLSGTFRRVVKNKSTEEFRWLPYVTTLLSTSLWAFYGLLKPGGLLIVTVNGAGAALQAVYVALYLAYAPRDTRMKMAKVVLAVNVSFFAAVVLVGLLVLHGAVRLFAVGLLCSALTIGMYAAPMAAMMTVVKTKSVEYMPFFLSFFLFLNGGIWSVYSLLVKDFFIGIPNAMGFAMGTAQLALYMAYRNKKKAVALKVDDGPEVDEEKGVVHLMGQVELGQRKVPSLKKGTSLPKTVSLASPLNGIGHIIKALSATPLELRNVMSQHERVRYEDANDDDEGRHSYPSK >cds.KYUSt_chr2.4610 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28485157:28486089:-1 gene:KYUSg_chr2.4610 transcript:KYUSt_chr2.4610 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLAGIAAKGVKTDKGFKEVHITKAAKEVSELVGYEVTTTQVTNHLRKWKTRYQRIEKLRLLSGALWDDEQKMIVLEEQHYVGHTTDTPKDAEILNSPLVNFEYMETCFADKLATGRFAMGSNEPLGQPIEVECPGKPIDLESGETTGEGFVEAQAPFDFGVQGTDATTPSPSSGSNKKRKRASMMSDEDTIQVNNMSDALRVVAGAINNTCHAETHPDLCKTIMDLPGFEMDQKLAVLDYLTEHKGKGLNFMKMEDNVREAAFKRIIAKNPDLV >cds.KYUSt_contig_2197.116 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:668289:670398:-1 gene:KYUSg_contig_2197.116 transcript:KYUSt_contig_2197.116 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISPEGEALLRWKDTLLNSTSLSSWSHFKPTCNWDRVTCDEGARYVTHLDLPYSSLHGTLDAFSFAAFRHLAVLDLSNNNLFGSIPTNLSLLLDLTILILSYNNLVGAIPYQLSRLPKIATLELRNNHLTNPDVTKFSHMSRLQSLLLGGNKLNGTFPQFILNRSWVRLQFLDLSENNLTGGIPAELGNLRSLETMAFSWNMFSGEIPKEFGNLTNLNIMDLSWNMFSGEIPKEFGASFPLLRILRLRSNMFHGSICQEVSQLSHLQLLDLAENNLTGSVPASLSNFTFIAMVLTSDQLGKYTFRSNGSVSSHDGQMDIVWKGRDYTFKRISVMLMAGIDLSSNYLSGLIPAELLNLAAIQFLNLSRNNLSGAIPSNIGNLKDVESLDLSWNKLSGPIPPSISHLMFLSSLNLSNNLLSGEIPTGNQLQTFNDPSIYRNNLGLCGVPLSIPCKNDSSSTTLLDGAKEDHHELETLWLYTQ >cds.KYUSt_chr7.37694 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235131590:235132005:-1 gene:KYUSg_chr7.37694 transcript:KYUSt_chr7.37694 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPRSSLRSLMLLMSHGKPRLSSFSTSAPAAVPPTPAAPEVQPPAAPALPGCSIHKSPDHEGLVLYDVDQDPKHPIPDCGSYTSTTEPECGPDKPRLIPGLGNIPIVPLPGP >cds.KYUSt_contig_2431.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000336.1:60630:61997:-1 gene:KYUSg_contig_2431.6 transcript:KYUSt_contig_2431.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYEIGRHLGQGNFAKVYYARNLASGQAVAIKMIDKDKVSRVGLMVQIKREISIMRLVRHPNVLKLFEVMASKSKIYFVLEYAKGGELFNKITKGKLSEDAARRYFHQLISAVDYCHSRGVYHRDLKPENLLLDDNENLKVSDFGLSALAESRRQDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVAGFLPFHDTNLIEMYRKISKAEYKCPRPFSIELKDLLYKILDPDPSTRASISRIKRSAWYRKPIEGNALKIKQEPRDMVYKGEATASHSPECSISELNLASSSLTNLNAFDIISLSTGFDLSNLFEEKYGRRLERFTTRQPAEAIFAKLNKVAKQLKLKIKKKENGVLKLAAPKEGMKGFLEFDAEVFELAPSLHLVELKKTNGDTIEYKQLMKDEMRPALKDVVWAWQGDPHPLPEKIIQGSQQPQLPLPSQQPQE >cds.KYUSt_chr5.38700 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244921270:244925323:-1 gene:KYUSg_chr5.38700 transcript:KYUSt_chr5.38700 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLTRTVLDGVLGSVGTAMADEAALLLGVPREVEFIRSELQMMQSFLRVQSSAACSDGGCYKDTVRTCVKQVRDLACDLEDCLLDFTMTMHASRGSWLQCGGPDLAARHRVADRIRLLKASLEELNQRNQRYNVFAADASIAVERNQRYNVFAAAADGVVELNQGNPRYVIVADHGLTAVEEHRHGNADEEYQLAAGEEHQDIKRDNETKQLADLVREQSASVVSVWGMGGMGKSSLVRMLYNDRDLIDGFDGRAWVTVSHPLDCTDELERRVRKQLGVEDGHGLRAWLGKKRCLVVVDDVSSQEEWELISSCLGAKGAGSSRLVVTTRREDVALLCAGEKYKYKLKPLKLDEARKLLRQKVYKNDGHEMTNDMSDQADLILRRCRGLPLAIDTIGGLLASRPKTSREWMNLRKHIGSELESDRDIKRVITSSYDGLPYHLKSCFLYLSIFPENHEIRFTRLLRRWMAEGYITKPRDMSIEEVGWRYYNELINRSMIQPSEKARDSMAVERCRIHGVVLQIIMSKSIEENQLFIVDKHYNEAPQSKIRHLAVTKWKRDEEKMVSINLSQVRSLTIFGKCPPSLISSKLRLLRVLDLEDTVELGNDDLKYIGELLHLRYLGLRNTSISRLPSSLENLRYLETLDVQDTKVTQLPAGITKLEKLRYLVCGINFANDLVDKTRKNNGAGRYTSNLFKPLTDMVSWWRGASPSSSSIGEFSTVAAPKRIEKLRNLQSLGMVHITQGSKVASNLGKLTNLRELGVDVDANEDVKKDLCSSVASLVRLERLEVRSESLEFLKDVKTPPKHLTALNLSGRLSSLPSWLISLNDLAKVKLIQTQLKRGDIEVIGNLLNLTLLALWEESFAEESLRFGKDTFQKLNLLYIEGLENVSTITIEDGALPLLENLQVTKCNNLHDREEGLSSVLSLEKLNELVLKSCGDKPELEKALQKQISGSKSVNRPKLIIGKSIVTKT >cds.KYUSt_chr5.32480 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206121266:206121559:1 gene:KYUSg_chr5.32480 transcript:KYUSt_chr5.32480 gene_biotype:protein_coding transcript_biotype:protein_coding MFWGCLGLRPLDHGVGTPVSSPQSRLSDALRLCWSWRHTVYCNAGGTPVSPHGLGYPMPFDRARALLRVLLLVSSNSTTCSLRSACLRCGFSSELPV >cds.KYUSt_chr3.48901 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305539908:305543149:1 gene:KYUSg_chr3.48901 transcript:KYUSt_chr3.48901 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTPRPPCQRPRALELAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVLSDHFRVLFSTSRRTPRPPCQRPRALELAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVLSDHFRRPRAFELAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVLSDHFRVLLSTSRRTPRPPCQWPRAFELAVLSDHFRHRPAAFASSGWLRLRRIARLRHRRIARLRLRRLAGCASAASSGCAFAASPGCASAASSGCAFAASSGWLRLIVRLRLRRLVRLAAPSPPRPAAPPPPRPAGCAFAASSGWLRLIVRLRLRRIVRLAAPSPPRPAGCAFAASSGRASLPRPAGCAFAASSGCAFAASPGCASAASSGWLRLRRIVRLRLRRLVRLAAPSPPRPAAPPPASSGWLRLRRIVRLASLIVRLAAPSPPRLAGCASPPRPAAPSLPRPAGCAFAASSGCAFAASPGCASAASSGCAFAASSGWLRLIVRLRLRRLVRLAAPSPPRLAAPPPPRPLAAPSPHRPGWLRLIVGCASAASSGWLRFRRLVRLRLRRLVRLAAPSPHRPAAFASSFGCTFAVSSGCALSGCDFVASSGWALEAIVRLRLRRLVRLRLRRLVRLRLVRLRLRRLVGCALSGCDFVASFGCALSGCDRRLVGCAFVASSGCAFCASSGCASSGCAFVVSSGCAFVASAGCALSGCDFAASSGCAFAAPTGCASASSRRLVRLRPRRLVAAPSRLVWLRLRRLVRLRLHRLVRLRLRRLVRLRLRRLVRLRLRRLGRLRLVRLRLRRLIRLRLRRTDRLRLSLVPPPRPAAPSPPRPAAPSRLVRLRLRRLVGCAFIASSGCAFAASSRLRLRRLVRLRLRASSSCAFIAAPSPPRPAAPSPPRPAAPSPHRPAAPQPRPAASSGCALAASSGCASPPSSGCAFAALRMVEISPGGSAPLIHATPWFTSTSPLPSTSITSRSGPSPRLDRYSSPT >cds.KYUSt_chr5.1398 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9664169:9667274:1 gene:KYUSg_chr5.1398 transcript:KYUSt_chr5.1398 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHAPSGAAPGRILRARAPSGLGYLGLGPSRSALLRPLALATNHRVSSARPLLRCAAASSSARPASAPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLHSRKLKVVDVGGGTGFTTLGIVKHVDKENVTLLDQSPHQLEKARQKDALKGVQIMEGDAEDLPFPTDTFDRYISAGSIEYWPDPQRGIKEAYRVLRLGGKACLIGPVHPTFWLSRFFADMWMLFPTEEEYIQWFKAAGFRDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKRESGDSPLQHKKEAFWFYRLLSIVYDHVINVGHWTEDMRDGAFKPAASTAASSRSLAAARGSPYLGIRGIKEAYRVLMLGGKACLIGPVRPTFVLSRFLADIWMLFPTEEEYIEWFKAAGFRDVQL >cds.KYUSt_chr7.40167 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249356793:249357494:1 gene:KYUSg_chr7.40167 transcript:KYUSt_chr7.40167 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPLLAAAALMAVAVASWSLAAAEQEGAGNLRQPPVAQGLSFDFYRRSCPRAETIVRDYVKDAVRKDVGIAAGLLRLHFHDCFVQGCDASVLLDGSATGPGEQQAPPNLTLRPSAFAAINAIRDRLERECRGAVVSCSDILALTARDSVVSTGGPSYRVPLGRRDSPRFATVQDVLAGLPAPTATVPSLPRRAPQHQPRRHRPRRALGHPPPACSSPSSSAAFPRTPSARA >cds.KYUSt_chr2.54015 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337192618:337192863:1 gene:KYUSg_chr2.54015 transcript:KYUSt_chr2.54015 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKAKASARGAVSQGKKQLPISAAAVAEKRRAEKAEEGLRTVMYLSCWGLN >cds.KYUSt_chr6.11902 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74038808:74040511:1 gene:KYUSg_chr6.11902 transcript:KYUSt_chr6.11902 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAESPHSDRSITGSISAPLPQQEKRPALAPELRVRAVGTSRGDDGRNLLQEVVETLAPNMETGDSDPAAAAGDSPHGIEVGIEFKPVEHPVEPVNLDQPVKCPLPEPSILHDGRIWQERMSSTAGGRVRTDLPVVKEGSQLESDSSGTRPRPAARRRAILPSVSAPEHNILALLDECDMPESHRPAE >cds.KYUSt_chr5.33319 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211455138:211455419:1 gene:KYUSg_chr5.33319 transcript:KYUSt_chr5.33319 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEMGQLASQHGALLKVGLFVLVQALVYLILAQSSSVFSTTKTLGLRPSTSLCAHRMVALLSDLPLGGEPSPRVLSGEPSSPLPVPTHQKKD >cds.KYUSt_chr1.34854 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212333448:212335661:1 gene:KYUSg_chr1.34854 transcript:KYUSt_chr1.34854 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSTTPPVLGETLLGLYEKERLGLSRYADEESNEDMFWETLDADLQYWTRGLRPVQWYPGHIAKTEKELKGQLKLMDVVIEVRDARIPLATTHPKMDLWLGNRRRIIVMNREDMVSAEDRNAWATYFSSQGIKVVYSNGQLGMGTMKLGRMAKSAASTVNTRRREKGLLPRPVRAGIVGYPNVGKSSLVNRLLKRRMCPAAPRPGVTRELKWVRFGKDLELLDSPGILPMRISDQTAALKLAICDDIGERSYDFADVAAILVQILLRHPDVGSEAFRKRYKIDVDSDCGKMFVTKLSVHLFNGDTTQAAFRILSDFRKGRFGWVALERPPT >cds.KYUSt_chr2.13540 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85843793:85854383:-1 gene:KYUSg_chr2.13540 transcript:KYUSt_chr2.13540 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFARAVEDGLKLSKRLVLPGGLPPPRPPAGMERGPDALLLPAAPMAYAVVADPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLAVDCALDVAAVTLRARWWLHCITRSRDCDVRIIVPMGEQGSILGAEVTVGKRSYNTQVIEVEDQSMENIGKADSGSLLKPHMFCLTIPQVEGGADILATVKWSQKLHYDNGRFSVDIPFRFPYYVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKARQGDKLSFLHEAIVESWSSKDFTFSYSVYSGNLSGGILVQPSTSQDSDDRDRFSIFLLPGNGNKKVFKKAVVFVVDTSGSMQGKPLENVKNAVSTALSELAQGDYFNIVTFNDELHSFSSCLEKVNEKTIAGAIDWMNQSFVAEGGTDIMHPLSEAMALLSSVHDVLPQIFLMTDGSVDDEHEICKTVQTELISRGSKSPRISTFGLGVYCNHYFLRMLASIGKGHYDAALETGSVESRILKWFKKASNTAVANISIDATKYLDDFEVDSEYIPDISAESPLCVSGKYRGKFPDTVIAKGNLADMTEISIELKVQHVTDMPLDNFLAAQQIALLTAKAWLSADKQLERKVKQKQKGANEPLRIPLHGLKLGFGDKAATRENLLAGFGNEKPPETLKIFKKAGGCCSRVADCLCCMCCIKACNRMNDQCAIVMAQICTALSCLGCYECCSELINWVQNRLNTKQDKKRPTAAAGSSARNAPARARCLDQEYDAREERSAADWSMLSIGTLGNEQPTAPSEDQAVPDFTVEEVKKLQDALNKLLRRAKSKSSARGSTAGAGDDEQNLPLDRFLNCPSSLEVDRRLSLRLQAPDAAGQNGGAEFSPDTQIILSKARELLASTTGGGVKQKSFKFLLKKMFVCGGGFAPQPSFKDPVETKLEKLFRTMLHKKMSAARPSNAASSSRKYYLEDKQMEKIQMDGCHEDEEEEDDNGEDVSKWDKTDSDFIVLEV >cds.KYUSt_chr3.24738 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153522000:153522998:1 gene:KYUSg_chr3.24738 transcript:KYUSt_chr3.24738 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDGLATYEALASSLPTCRGLGRAPYRIYGNFMYPAHLMASTLAMRDAFVARPTDVVLATMPKSGTTWLKALVFAVARRNHHAPDDARHPLLCFSPHDLVPFLHTLYESHRRPDPPSSRLEEEEMPPPRILAVHAPFSLLQASSVAESRCRIVYLCRDPKDVLVSFWHYIHKAAPPSSGAACSPPFPDAFELFCDGVSPFGPVWDHMAEYWKESVARPEEVMFLRYEHLKKDTVGSVKQLAEFLGCPFTVDEVARGVPEAVVALCSMDRMRSVKANRDGEHGVGWTFKNSAFFRKGEVGDWKELMTPEMARRIDAIVEEKLRGSGLSMIP >cds.KYUSt_chr1.31516 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191110012:191112870:1 gene:KYUSg_chr1.31516 transcript:KYUSt_chr1.31516 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDVRAQQVLQALEMGEIESGSGLNQEMGLSRPGDTRWGSHYKTILHIVDTYPVILEVLKDQDILNAMALVGLAKEKMKDMRSSLGWERFLAKDGSNGGACPISSGPEKRPNTAQYGLVRSPVKYPEAREKPTIGLWGAGPIRPRSSSSIRRPQQLGVVDLTTHLARPRRPDWGWGRSSSAKTLLRPRARALPPSDLAPIQMASTGRSMLLSLLLFAVTLSLLEMYRGWFASSELKTIAGGFVSSLLFLLLLTVRHTLFPLSSSSYCGGGEASVNARLAGSLLN >cds.KYUSt_chr3.1002 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5367868:5369211:-1 gene:KYUSg_chr3.1002 transcript:KYUSt_chr3.1002 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTITLPEKVERQILLRLDDVPTLFRCAAACKRWRRLVAAPSFLQQRRWPRSLLVGYFTWRCRVAMFPGTSPTAGCNSQLAFVPLPGPSLLGCTSRRPLSSFVVPADGDAAAAAVVDRSVPLATRGGLLLVRLYPSDDDLKPDVIRLAVCNPLAGTWEVLPELDCDSRFGPSDGYGRDIVPSTAGNDDGPAYRVLLIGADKHKSQYNLHAIAAGEATWSAPVMCFDMMDRQIWSMEESHAVVCGGQAHWLLVSRSNHFHILNVDISTNHVSLTRLLLPTQQEFLPKDLVDSVKRGGGASLREVIMRALRDLACFDLLATTADGARLSLLVYRGGRLEVWTEQQQIDGDGHRKFGGETEWLYTREIDHKLTELLQQLDRPSCFWSGEMGDTALVHCEERIYVAHLDTAALQDVTQHFHDLPRGMILPMEIEWPTFFMLRLGSLSVG >cds.KYUSt_chr4.3124 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17883761:17884792:-1 gene:KYUSg_chr4.3124 transcript:KYUSt_chr4.3124 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLNFSTEDAEKMLKKAYGWIHSPYWTEERNKEVPSVEVISGVLDYIRGLGLSDDDLYKLLKKFPEVLGCDLESEVKLNVGKLDSDWKINGKTLRSVLLRNPKVLGYNVDCRGDLDSEVKLESKVLGFDHESKRGLCSTVHPLLG >cds.KYUSt_chr7.8200 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49618855:49619385:-1 gene:KYUSg_chr7.8200 transcript:KYUSt_chr7.8200 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGLAQAQAPAVVAFALAATILSTPPPGPETFSNIPPTLSGDDMARVRIKHPKSAKALQCVSKCVATCIRGGEGPLNVRKPLVVFKDQFRSRQYCLTECSEICNLIKDGEDGP >cds.KYUSt_chr7.22574 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140072688:140072915:1 gene:KYUSg_chr7.22574 transcript:KYUSt_chr7.22574 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFRLFPFLLGTAFGVYAAQNYKVPNLRHHACHGVDTAKRYEEEYRKKKSDDTGDGAGGRKTKKVMVEIDDDEQ >cds.KYUSt_chr3.36163 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227321644:227321856:-1 gene:KYUSg_chr3.36163 transcript:KYUSt_chr3.36163 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNQRIVRVNAHTGKTKKANRDGNDQRVLTRTDSSAVLARSTAVLARSTAVTTVLARSTAVLVGSNARR >cds.KYUSt_chr1.41417 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254040858:254041525:-1 gene:KYUSg_chr1.41417 transcript:KYUSt_chr1.41417 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPVNGASPGTAETIDPPTGILGPADFAATPPSKEPNSNVTGKMYQQHLSSSSDEDEEEEVHYYDKKEEEEKDDEEGDAEERGWLRESNMYKYRGCSWPEVVGLKANKAKRIIGKGKPDIYFEVVSERQLLTMCYCSRRVRLIVDTSNCVVQTPDVG >cds.KYUSt_chr2.1685 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10015655:10017858:-1 gene:KYUSg_chr2.1685 transcript:KYUSt_chr2.1685 gene_biotype:protein_coding transcript_biotype:protein_coding MELHHHQTSGAVRWRVCAAVLGASAVIALLVTHALTAGSARVGLAGDVHVPVRILTATTGTAREAGASHKASGWQGAASGKDASADRFRWSKAALQWQRTAFHFQPKKNYMNGRCPVYYRGLYHFFYQYNPKGAVWGNIAWGHAVSRDLVRWRHLPLAMVPDHWYDINGVLTGSITILPNGTVVLLYTGNTNTLEQVQCLALPADPTDPLLRSWIKHPANPVIFRPPGVGKKDFRDPTTAWFDKSDHTWRTLIGSKDDHGHAGIAIMYKTKDFVKYERIPGVVHRVEGTGMWECVDLYHVGAGNNSSGEPLYVMKASMDDERHDYYALGTYNAPANTWTPMDPEADVGIGLRYNWGKFFASTTFYDPVKRRRVLWGYVGETDSTPTDAIKGWSSVQAIPRTVALDEKTRTNLIQWPVQEFDTLRHNVSYHSGITIGAGFVSPLHLGQTAQLDIEASFRLDASAVAALNEADVLYNCSTSGGAANRGALGPFGLLIHADVGRREQTAVYFYVSRDMDWGLRTHFCHDESRSSLARDVVKRVVGSIVPLLEGEAFSVRVLVDHSIVESFAMGGRLTATSRVYPTKAIYAAGGVYVFNNATASTVTVEKLVVHEMGSSSI >cds.KYUSt_chr5.4378 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27899574:27901634:1 gene:KYUSg_chr5.4378 transcript:KYUSt_chr5.4378 gene_biotype:protein_coding transcript_biotype:protein_coding MFIASDLRSWASKALFSVTSEDRDDAKATPATAEAASPATAAPWSVSRPRAAVQSAAPCSATRSGYRPRATHPSAAPFSPAGSRSRPRPAVPGSALFSASRSRSRLRPDLHADVDYSKHDANMMKKLRHVPEEEWFPRQRQERRLTGGKFWTVVQKDLYLAIKKKLSEMAWIDWALVGPDVRGYFAATPGLDGLLERRDLRWADSHVWQFYATLWVQPDRSRITFMLGNERRELTRDDFAKYLGLAQGGARVHSLACPNGSREEDHLPPLESIRHLYKNPDAVVVEHCKDRNQLNHEAALVYEIVRKSIRPISGHETLTTIEIWILHLLMSAKPVDVVDLMIGVMQEIILDIKRRLLPYAPYLIHLFDKKGWLEHGMKIDFGQRLKRYPNPDSKRRLKKHVKSPKAPKPKATRSVGTRSVSPQVEAGFDRENTQLDHTLSPSLEEDALGKNTNREDNLQMVPFSVPNEFRFSQQGVHDAEAGRSGGHANDDDSPMLQSHVDRQPANLEQIQGQHQRDPQQRQSESHHQQLQGQLAELQRQCESDRHQIQEQLAELQRQRESDRHQIQEQRESDCQQIREHHERELQQVRGQHERDLQQIQRQLAELQRQSKSDRQENRDLRKQLAELQRQSESNHQENRDIRKQLAELQRQSESDRQENRDLRKQYSDIAALLNKELEELDDICRL >cds.KYUSt_chr3.32093 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201702037:201703571:1 gene:KYUSg_chr3.32093 transcript:KYUSt_chr3.32093 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSVAVRKCNSKTILRGACAGCCSSSPCGVRGKEKAYTPDKHKRWRKNRFWRKKKRSSRKYIGDCTCVSLSELARRGESEAIADLVNNISAKSDVTKHVYAAEEILRITTQNIPSRVLTFKELSDATDKFSCNNLVGEGGFGMVYKGYLKDTKEVIAVKQLDKEGFQGNREFLVEVLMLSLLRHSNLVKLVGYSTDLDQRILVYEYMQNGALENHLLDLPPNAKALPWQTRMKIAVGAAKGIQYLHEVANPPVIYRDLKASNILLDKDFNCKLSDFGLAKLGPVGDQSHVSTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLELITGRRAIDTSRPTEEQVLIHWAAPLFKDRKRFVRIADPLLQKQYPVKGLYQALAIASMCLQEEPSNRPKISDVVDALTFLSEQKFHPPQDREAGHAKGRDCSTNPPRTDMVSEIKADDEMKQR >cds.KYUSt_chr3.37792 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237693993:237694865:1 gene:KYUSg_chr3.37792 transcript:KYUSt_chr3.37792 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDMMIQYTYEGTYINPVCLDPGDDDGANWEQRLQIALDAAQGLEYLHESCTPAIVHRDVKTPNILLDKNLKGIISDFGLSRAFDDAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIITGQPPVIMEPQTVHLPNWVRRKIAKGSINDVVDKRLLDQYDASSLQSVIDLAMNCVESAAIDRPTMAEVVSRLKVWLPSVSSEKQSVSATAWHTHSVDAETRKQFQLMISDEESSFISGYTDGLSELNPLSGR >cds.KYUSt_chr2.52767 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329234842:329238379:-1 gene:KYUSg_chr2.52767 transcript:KYUSt_chr2.52767 gene_biotype:protein_coding transcript_biotype:protein_coding MCCYLVFIQVTTMQDHLGEKASETLSIENLHQETGGRVKEVCRCGFSVIYESSRSDYFVFTNLSGFGDPDNTMSDLNNETGVMTFPITMYVLILSYLAPVLAPYSEMLDVLRMMSMIKFASASVMFSLAMESFKLSLLASLLPFLLFNLVAAGDAGEELGTYIIHVQPQENHLFGSTDDRKAFHQSFLPKHGRLLHSYHHVASGFAARLTRRELDAISAMPGFVTAVRDVIYQTQTTHTPRFLGLDTARGTRNLSVGFGEGVIIGVLDTGVFPIHPSFSGKGMPPPPAKWKGRCDFKGSACNNKLIGAQTFISGSRARSPPTDEEGHGTHTSSTAAGAHVPGAQVLGQASGDASGMAPRAHLAMYKVCNEEGCASVDILAGIDAAVSDGCDVLSMSLGGPSMPFYQDSLAIGTFAAAEKGIFVSMAAGNSGPLHSTLSNEAPWMLTVAASTMDRLILAKVIIGGGLSFDGESVYHPAFNLSGPLFFPLVYAGASARPFAQFCGNGSLDGFDVKGKIVLCDRGNNVGRVDKGAEVLRAGGAGMILANQFIDGYSTLADAHVLPASHVSFVAGLEIKAYIKSTSNPEAMISFFQGTVLGTSPAPAITSFSSRGPSIQSPGILKPDITGPGVSVLAAWPFQVGPPRFDLRPTFNIISGTSMSTPHLSGIAALIKSNHPDWSPAQIKSAIMTTADATDRSGTPILNEQHIPADLFAVGAGHVNAEKAVDPGLVFDISTDDYIGYLCGKYTDHEVSVIARRRVHCSAVTAISEYELNYPSVSVPFTQETQMSTKWVYRTAKNVGEEPAVYYAHVDMPANSCVSVSVFPSSLSFAQTNHEQRFLIIVSATNSSATALQGAVRWVSERHTVRIPISATFAAE >cds.KYUSt_chr5.19195 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124282979:124284268:-1 gene:KYUSg_chr5.19195 transcript:KYUSt_chr5.19195 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKSRGKKTKRKTKTPPSSTLVPSPSTVEPQAAVAETPSIDVLTEFVLQDILRRLSLADLLRAALASHRWRRLASRCLPRDPPLLGYFFHPAEVHVPFPERDPKIVETPAVFAPLDASSPRLSLDFAPDASRFFVYDAHQGLLLLEPSESVPKVVLPRFLVLDPATRRRTVLSPPPRDTVPDDRRWRSSRYYVGSALLSRTHPSKLCFEAVCFAIDGGHPRAWVASVDDGKCSWRALPRDEQVLVDFDPYWFEGRAVRAAGKIYWHICQSSRLLVLDPSTLRFSYLAAPGELFAHNRNPKYRIGETPDGRLCLVTDAEQQLQLWVRGKGDKGWILERKIVDLLVLCDKLRGLPVDPMFRTLCVWPSDMDAGRTGKVFIKTWGFGRFSFHMDTGKMELLATKRGKEYGHPMFAYFLAWPPAFLAPDN >cds.KYUSt_chr1.11829 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72949223:72950987:-1 gene:KYUSg_chr1.11829 transcript:KYUSt_chr1.11829 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPACRTTCVPARRRFTTLVDDTVVATFAGVTGVVGMVGGAAEATATAGEGGGACELATAVGGIDSRLTVEKSDDGGAEEARDHTNLAHYAPSPIMAKVHRLISVVLRVAAAAAAAAAAIIMVTSHETTSLFGLELEAKYSHIPSFIFFVVAFAVACVYSLLVVLVPPGSAASRLVVMTDVLMGMVLTGAVAASGAIAEVGRNGNSHAGWLPICDQVHGYCTHVMGALISGFVALILYFLIIMYSLHVVADPMCPCH >cds.KYUSt_chr2.9376 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59038097:59042138:-1 gene:KYUSg_chr2.9376 transcript:KYUSt_chr2.9376 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRFPDNDVESGVVGAGGGGGEVRAPLLRWDDRKEDADAKIHVDEEVVDSCGRGRAGSLSMVLLSTAVAVCGSFEFGTCVPVFIAEIAPKDLRGGLATSNQLLICSGSSATYIVGALVAWRNLVFVGIMPCLLLLAGLPFIPESPRWLANVGREKEFRTSLQKLRGENVDTSEEAIEIKEYIESLQSFPKARIQDLFLSKNIYAVTVGVGLMIFQQLGGINGVGFYASSIFTSAGFSGKLGTILIGIIQIPITLFGAILMDRSGRRVLLMVSASGTFLGCFMTGISFYLKAHGLFPEWVPALALSGILVYIGAYSIGMGPVPWVVMSEIFSINMKAIGGSLVTLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLATILFVARLVPETKGRTLEEIQDTLNSRR >cds.KYUSt_chr4.50430 pep primary_assembly:MPB_Lper_Kyuss_1697:4:312429754:312431331:1 gene:KYUSg_chr4.50430 transcript:KYUSt_chr4.50430 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQVHVLSALDGAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLIGRVYYTVPGSPHPGSLPPTVAAAVNGVAFVGTLSGQLFFGWLGDKVGRKSVYGMTLLLMIICSVASGLSFSHTPTTVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGAVAIGVTALFKNRFPAPPYAVNPAASTPAEADYVWRIVLMLGALPAALTFYWRMKMPETARYTALIAKNAERAAADMSKVLQVEITKEQAGDLEVAHKYRPSPSFGLFSGEFVRRHGLHLVGTASTWLLLDIAYYSQNLFQKDIFSAIGWIPPAATMSALDELYHIARAQTLIALCGTVPGYWFTVAFIDSVGRFKIQAMGFFMMTGFMLGLAVPYDYWTGKGHQAGFVAMYALTFFFANFGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIIGSFGFLYLAQSPDPAKTAHGYLPGIGVRNSLFVLAGCSLMGFMLTFLVPEPKGKSLEEMSRETEPDKC >cds.KYUSt_chr3.30429 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190637082:190645242:1 gene:KYUSg_chr3.30429 transcript:KYUSt_chr3.30429 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMLADSGGAIVPFSGDPNHAAPPPPPPVRPIRHGVAPPISRVFVSWSSGNLLQVACLRPPGPVAAGAEEVAGSVVEVNLAVANGSAGVEQEIDEAEMRRIEYGSVPAFALLQSRKNALADAAAMQHMHSVSEHAEWWQYVLQYSKTIGKLLGSPDCLPGLMIEDPRAILKVRERPTSLKAAWELLEIFYVDKNLHSWLPERLVDWLADYDSLLTKTDGTVYCKLSDFQKKLINLQVVENDPDYWNGLSAALSVGWLDVVVNMLRFHGSYQLDQMDNRETENGLVEAVAVLVSTMPRMRPDLPTGKLGQCCTSRPDFIKAWEKWRGQVSKLECSAFWIQCGHQKTRDGLKNLLHIMMGNIKDLTAATSHWLELFASHFLYIRPFTVGFEGMHHLAQKCIQLKPSSGNSGLTGLLNGILSENPEVVLAECTKKFGPWMVTHSMELLAADNEYADIMLHEERPNFGCLSIEELHRLVYAQVLSSHSLTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRQVLKTLELCRLYELENVSTNIMKIAGIYHWKHGRKGTGVYWFQQAHDKVRLDRIAQQLFERIGKSVADDNFKQWEGLLELLGSDIGSAGGLEFLHRYRDFKRSLQQALEGRTGEAARQTVEFLIQLMRNPSTPQRFWLPLLHDSVRLLNCKPCPLLNVAETTLLLNKLQELSMAKLRPDFCTNHLPSHALSSVRLALASNLARAILEEP >cds.KYUSt_chr2.31036 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191383999:191397452:-1 gene:KYUSg_chr2.31036 transcript:KYUSt_chr2.31036 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESWSRPASTRGGAFCRHRCQRARIREAAVHLVRSNPASSAAAGTTPERHKTGRRGRRDEEDEACGGLHSAAAKGGDVEGRTAARDRVRPRGTEMGVDRELFIAVSWMRERWIVWMEERGGREGIRERISLFINPYGGNLRKVTTAWPWCRDVVQNMQLGSPKRKGALPVSATTWNVHTTQPNYFAPTYSEVVNLTGFAENKGLDECFLLADETMLTSSKNDSREQTVKFTAGSKSMNHMEVETMLTSSNNDCREQTVKFIAGSKSSNHMEDETMLTASKNDSWEQTVKFTSGSKSPNQMEDETMLTASKNDSREQTVKFTTGSKSPNQMTDENNNSAASVQYDINSLSHQECWRSEDWNRHASSDDDKEEKVGLMQKSQSLGNMLQKDSDHHGSDGSECHSIDQDHRCHCSSFKSDTDAGESTKLVSKNNENIFDASSDLMSHGVYEPSVDHAVDSDMHHMSYDQSKFPRSQSAIFQNNSNSDVEGSVDSERLGPRCRSFEDLCSMDGEKADYLSGDETNRSKSNMDVLCGGPSSPHIFETLDLEDGSGGRSDAAEGGQRSSASLDENFVRDGMPSHEYWDGKNITADNSTDPVSPYFPDSEHCCHHSGKDDVVNGATDEERENKLWNRDSTLYHMSLGIDMPNLKNLSDSKGISEEAEHGGTGMNGDQHLDANELSPRTYSIKRIEDWISQIDIESEVIVEEQGESSSSASAKYSESVTGVSSVRPNAKSHLGMEIAYTYISKLTPVSSSAQLANLGLVAIPRLSAFSGLRLLNLSGNSIVRITTGALPKGLHMLSLSKNNISVIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLAGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYNSLEAINLDGNPAQKNVGDQHLKKYLLGLLPNLAVYNKQPVRATGSKEVSDRHSRKISSSHRSDRSSRSDRKSSRLVSGASSRHARSAHTSGHLVKQPRSWNMPTAVLGSRPVEYVGAGEQVKQIQIEEKSQ >cds.KYUSt_chr6.18127 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114099226:114102051:-1 gene:KYUSg_chr6.18127 transcript:KYUSt_chr6.18127 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIYIRNAEIKVATFTRVPRSTGHVIYTLEPRRRQGSNHPGLGFPPPTSLSQGDSYPLLLVPSSVARAMAGNNSAGSGAGHASAGRGLRWQPVSPGSASSASSSARPVVSGLGLSPASDRPSSPASSAEEQRAAAEVAPSRGRIQDRLEWLQPEPSRKAKWRRRKAEQRRREAERLAAETAGRRSSSPEGSPWWGLCFKCGRPGHKKKDCTFDIICLRCSNSGHAAADCKRPRSPSAEEEDLRRQALAKLARCEQEPNLLCTTPAWRQPRSAVGGTLGGEVQGLAMEEDGVPVCVVRRSQGMVDLERRLQFAMVAHVGGNRPEVNCAQVKEALVQQKGIPRDGFSVHPFQPEDFIIVFASAEFRDRASAGRLHHRGFQLFLRKWTRQAQATMESWRAKVQLVVEGIPPHAWDREVVQSLLGSSCALAEVAPETASRANLALFKASGWTADVERIPPAMVLVVPEPEEVGEETPSPARGCSEDSRSTPPVRPATAKKMLRYKVLIHVDSVVEEQDPEMFMRPSSPVSDQGLPSPPGGFGGNWDSTTSRRLPWRPGVPDNRGGGGFRQRTAGHQRSYCLVAAAVPVGWQLPPMDGPGQRRSDSNLVPQSIDTLVAAPPLPTEVTLAKELSPDKEDPKVDAAQPVLQGVQGPTLVTEKALSGGALDVVPAVQAGPVDVVHREQVGTGQSVSTDSCSTADSGTAPTEEESDAILGVEDDRRTDGAGNLEGYIQISLTAQEEEEAQDPVRPSPDQVRSGTACPPVDMERTAGSEETCGQSPEPSYTGPNSAMQLVLKDRVAAGGGMKDAEIVALGRMKAFCARILKALAPPLLREVQASSALRSDAEPFTPRRCTRSSSAAVTPVAPVAKQPRKATAAEAVLLKALGITQADLNVDEDALQEFKLLFDSPIREQHIKVLASVFGKTMPSAQDLARQGAVEISVCA >cds.KYUSt_chr3.35438 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222505839:222506528:1 gene:KYUSg_chr3.35438 transcript:KYUSt_chr3.35438 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLEQPAYHAIPADPHHDQPPILGNAPPPATCKEEWAFPIAILVSLVLMVVMIGPVEYLVQTNMPAFSVALAGGYHGIVVARPASVVSPAFNLTLRMTKACADRAEVVLTYSGVALGWARVEPRGCVSREPWGRDVEVVTKAHGVGLSRSLRERMAAEWRRSGQVELNADVLIYTDRGKLSYLADDTRDKVMRCKVVMIADGLQPEPEPCTWYFLRPYNYDKFQSRP >cds.KYUSt_chr5.39346 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249021338:249023490:1 gene:KYUSg_chr5.39346 transcript:KYUSt_chr5.39346 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAASSTARRGGATGLGDLPESCIAEVMLRLNPTEICGMARLSRTFRAAASGDGVWEAKLPRNYARLLAAAGAGGGDEEAAALEAEAIPKKEVYARLCRRNRLDGGTKEFWLDKAGGGTCLTIYSRALSITGIDDRRYWNYIPNDESRFRSVAYLSQVWWFEVRGEAEFCFPEGTYSLFFRVHLGRPFKRLGRRVYSAENIHGWDIKPVRFHLLTSDGQQAQYKCYLTDPGVWINHHVGDFVVKNSNEPLNIQFEMVQIDCTHTKGGLCVDSVVVRPQYLARKKGHRNYE >cds.KYUSt_chr3.21205 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129980803:129982919:1 gene:KYUSg_chr3.21205 transcript:KYUSt_chr3.21205 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCQFLFSAVFGFRNPSKEIFSELDEINAQSLRIGRSFQNTRESPEGGHRPNRRQGGAAQALAAPPYCVVASSTFRLRLFAYIKVPDLKLRYGKATVLNAGQAAVVPPSQRHHPLIHLVEEHPARFSPLLESLCIIEPMLE >cds.KYUSt_chr5.39028 pep primary_assembly:MPB_Lper_Kyuss_1697:5:247184110:247190022:-1 gene:KYUSg_chr5.39028 transcript:KYUSt_chr5.39028 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCVWSGPSLSSMGGGSRVWVKTLQVNIMSSCENDRIDLSLFAATTEPNPSSAFCVQEISADLDKEYLSTSSLTCARIMEAGQNVKWSSKNRFAAKRLRTIAAGLTGTKREVIEANSAFRALLNIAPFIIPNELIDYVAQHTTPSLREFKVGKKRILFTKDMITKVFGIRSGPRPVVLLKRSENHALRDVYRGTNPRPDIPTAIKVLKECLDTDHDTIIRSWDLLCLATVIDPSCSNHLCMEYVGSMVDPRRTHEYAWDEYILDLAMKEVSKIQKKRDKPLVLEEGSSKYEFWISGPFAVLGIVYMDHLHFPPNKHVMNYSVPRVCNVTSDDFVFAVSNDLNNLILNNKTILGRRPFLELSQTPYALGDPEPGVQPEDQPGVQPEDHHVEVNQSASLNEWLVFPSSQEMEVPERFKHLHDKHKAIFASDFDAAMKNFAVGAKRMHSQRLSALFNDVDAAMKEAGVAPSVVFSPGAAAATEGVVPNADGEVDDIRLEESEDDDTDDEGDEEKDDEPTVPATETIVPIVPLHSVAADLPQSAVITDGGDIGEHHIVDSPSRLPFANDEVRCAAWTKGIDAPSMELFEEGTDDYEIFVGNKLDTPGRTTPTRTSNDAEVATPTADIPSAETPPDEGPISIESTDKDATSGFQTHEKKNSKEFVRIGRYFCSYKSFFESLKPRHYLDSEVMNVWVEKFNREAKIVAQKNPREKKKYAFTQFMVDKLIVDPAAFDLESSMKELKLVNAKFKVLKDDLFANFNTLVIESKLSTSNFSLFTLDTPDHPQQTTLFDCGFFSQLFMENFDAKVMAHFDNNAIPDHRKAVAASLIEARDNGDVAVESVMEEELIKKK >cds.KYUSt_chr2.38964 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241588210:241588574:1 gene:KYUSg_chr2.38964 transcript:KYUSt_chr2.38964 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRPSRRLHRLPQVKAHLRRLSATSRFARELQVRARPVVSAMAGLTELHLVRLRKVVWPRISNNLNCSPVISRPEIPHYLRSPSDFNSNK >cds.KYUSt_chr2.29391 pep primary_assembly:MPB_Lper_Kyuss_1697:2:180753615:180762679:-1 gene:KYUSg_chr2.29391 transcript:KYUSt_chr2.29391 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDRANDDLRRRLAVDTPPPPQIAKEKQGLDTDMPLSPQWLMKDPSSLGSRLDATKTSGNGEDMGSSAKKKDVFRASVLDGETGRRDRWREDEREPNSGPRWTRWRETDKEHGDTRKVEKWSDDSSKFSVDGRRAPQERWGDSNNKDGNFDQRREGKWSTRWGANDKESENWRDRWGDSAKEGDASRDKGFSQFVTHGKDGNNHEKDTERDDNISRSWKSSHPVGRGRGDSSYHPSQTSQKPSSMYGYGRGKPDNEISAFPSSRGKFTPSTGSTNIGSTGSSRPFHLGLLSDRPGGASGDRTAFRYSRMKLLDIYRTTSHVTDFKMPSDVCEEVSAFLQEEMLEPLALFAPTAEEAAVLKAIDKGEVINSGVYQVSKDGSVGKSNPDGAPIKQSKLGGRDDQPGNTEDLKGETSGSLRGVPGNVDLLRTETPSYVVPQRSRFVGEHRLGPTDFPQQIPNVFDQESKVAGMAGVDELVSPIQPYPNPEGLSLHYKDPQGRIQGPFSGADIIGWFEASYFGIDLLVRVANAPPDAPFLMLGDVMPHLRAKARPPPGFSTTKSSDMLMPEATPTGKFVSSSSTHGGSAGVGIFNGGPSRNGGAVEAQNRFLESLMSNSMQGSSAAMTGGLNEYSSSSFGNIAMAGGESGNNINYFLAQQRLLERQKSSQNTVPYWSGDGNQAAQAQNKDIASEVSALHSKVPSSMADPSRQASQSQNVDLLAMLHSAEKPKAPAGLPPWSNYPEAKNLNPNLHVDLTQGPLNMHQNLQNSQQMATAGQQQNFMPQNQAPSHLPPEKLLAEISQDPQLLHMFQQQYLLSQLQLQSQPPVTPQPQPQLSMLDKMILLQQQQQQQQQQQQQQLQQRLQLEQQQKVLLQQQHLISQVAPHGHSNQQLDGPYGSKHTSLPAGDSMNLGVRKIQDVLEVDRILTNHGTTQGQQSSQAFMNMRGMEGVGLTQNSVPTVPMPHEIFMGVPPKERYSYPQKSENFASTNTQPNASMVNPMLTEVAERYEEKSPNYQQVGIDKAESKPENIFSSRSNVGSSSALSETPPNPLLDIMSPHNRVQEMKDAPTPVELTMEADAKAPDTQEMKKAEKKKKQKKKQTAVDVGKAAPKTVSQQPRLDAEVDGSNQGSTKHGLPDDTEDLFWGSPVRAESSSRSVGPPLGFESSANFPPKSLPEEYDVSRAEWEHSALSEPHAAASQKGWKPTQGPRPKSLLEIQAEEQLRAQRVAADNIKTAVPLVSVPSVPWSTMSASSEQQFGGAGRSLGGQESAGDSRNKRSQLHDLLAEEVLARSSNADNENISSANDVSFPPLSPAVVQPDAPAFDDTDFIEAKDSKKNKKKGAKSKGPAVKAPFPVGSVESAISIPAEKVKSSKQAQLDKEILPAPPSGPSFGDFVPWKTDQTNFAPAPAWSTDAAKMHKPLSLRDIQREEERRSGVVQQQPPSPTPAKVSMNQRNHGNVPSRQASGSSPSKTVAPVQMSSNPSNRAKSNAEDDLFWGPSDHSKQDMKQSEFPSLSSQSRSSITKDQAPLNRQKSQASKLPLSTAPTAKLTGKGKTEASNKQTEAMDFRDWCDSEWSRLTGTNDTSFLEFCIKQSAGEAETLLRENVGSLDRNGQFIDKFLNYKAFLSKEVIETAFRAPSNRGPRGDATSRLNPVPAAKGGPSAEAEQDAGGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNVD >cds.KYUSt_chr5.3824 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24731135:24734367:1 gene:KYUSg_chr5.3824 transcript:KYUSt_chr5.3824 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAGSAGATTCGGGASIPGCSATSRGGGASIGSLRRYHRLETVLQGVQGWESVLQEDGADAARASAFGGFGCDTTGNRTCCEPTTAMLPTCYGGASSRTGRCSHGSRTELPPAKAGGIAVGDINVASPPAGASSGSGRRCKGDLAAKGDDDATTSDRCCYHLVAEVLSAPRQPLDMKKAAAVASVAKAQAHGSNGTTGRRPRKRSRDGDLASHCDLTTDEDLISKLPDDILGTIISLLPTKDGARTQALARRWRPLWRSSPLNLEANYRLCSSQFKRIPIVSKILSDHTGPARRFVFNFIRLHKDRKRFAEEALQIESWFRSPALAKLQNLCISFRLLEDTHGDKMLYPLPPSVFLCAPTLVVARISFCYFPKEIVPSGCFPLLKQLQLCWVSISEDVFHGVLSACHVLESLYLWSIADVGCFHISSPTLRSVGLCTCFSGKGELVVEDAPLLQRLLLPYPGQGGDTIRVIKAPKLEILGLLSPSISEIKIENLLLKSLTPASFKNTVSTVKILSLQFSVPDLNAVIDVLRCFPCLETLCITLRESLKMPLKDGREYDPLDPVKCLETHLKKLVMTDYKGDEQDIGFAKFFVLNAKVLREIRLIVNKEISKEWLADQYRLLEAESRASQDAQLEFIQSDSMVLNANDLSIADPFSSYLFDGCDFG >cds.KYUSt_chr2.14621 pep primary_assembly:MPB_Lper_Kyuss_1697:2:92371101:92376314:-1 gene:KYUSg_chr2.14621 transcript:KYUSt_chr2.14621 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPATGPPPVDGGGGRRVEMGRELAHPPKDGVSNLRFSRSSDRLLVSSWEKTVRLYDARANEPVGVVLTHKGPVLDCCFHDDDSGFSASADHVVRRLAFIADSSYRLGSHAGAVSCVEYSYDQGQVITGSWDKTIKCWDPRGVNGPQEHALIGTHNQPERVYSLSLAGYKLVVATAGRHVIVYDLRRMSEPEQRRMSFLGYQTRCVECYPNGTGFALGSVEGRVAMDFFDQTAAGLSKRYAFKCHRLNEGGRIVVCPVNAITFHPSYGTFATGGADGFVYTWDGESKKKLFQYPKHETSIAALSFSRDGSLLAVASSYTYEKGDIDHPPDKIFIRDVNDLEVKPRRATNLAPPQ >cds.KYUSt_contig_2278.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:179079:188454:1 gene:KYUSg_contig_2278.22 transcript:KYUSt_contig_2278.22 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRKFRGLSLPHHHKERKDQRPPPAKLDELVDAAQEMEEMRNCYDSLLSAAAATTNSVYEFAEAMEEMGTCLLEKSAIDYDDDDSGRVLMMLGKAQFELQKFVDSYRTNIITTITNPSESLLKELQVVEEMKDQCDQKREEYETLRAAYREKGRSRHSKVETFSPEQLQASFLEYQEDAALFIFRLKSLKQGQFLSILTQASRHHAAQLSFFRRGLKYLEALEPHVKAVAEKQHIDYHFSGLDDDTDNDDYSSYHDNHSDGSELSFDYGVNFPASRSSMDLDQANMTSPSKPLKEHEQESAEQIETDFAAPRVKPEFDVSRKSTAETTVDNRRIKGTASRYRSEFCAGFVPQNKLRTALVPFRYRFGSSKVWTLLRYLKRYRER >cds.KYUSt_chr2.52086 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325029805:325032783:1 gene:KYUSg_chr2.52086 transcript:KYUSt_chr2.52086 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSPSLLLASTTRSSRSPAPPPQPRCSAPPLVSFPAARTRLVGLRLARAAGPNGANGAASASPGDGGAGNGLPKNRRDILLEYVRSVQPEFMELFVKRAPTQVVDAMRHTVTNMVGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEEKGSEDYAPGTQKKVSGEVIRWNKATGPEKIDAVKYIELLEAEIDELSRQVARKSSQGSNEILEYLKTLEPQNLKELASSAGEDVVFAMNAFIKRLLAVSDPKQMKTAVTETSGSQLANLLFWLMIVGYSMRNIEVRFDMERVLGAAPKIGGELPPPPSGDGTPTQ >cds.KYUSt_chr1.16701 pep primary_assembly:MPB_Lper_Kyuss_1697:1:96973573:96974929:1 gene:KYUSg_chr1.16701 transcript:KYUSt_chr1.16701 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSSSSTMLKPFYSTPHPLAGEMVPLTLFDLSIHDIFVPIMLVYPAPTPSNEELKEGLRRAVALYPHLAGRFAVDHQGRRCIHINNEGVLVVEATVAVDLSTSSYPDRPLPEEHDAGVALLQIKLNRYKCGGLAIGLASHHFVADGHSMSTFLTTWASAVQQARDFSPPSPFLDRGITAVTRCVPTPAVFARQDPYYAPLSLSPSRFNNITVRFTAEFIAELKGRVGVRSSTFQCLLAHLWKRITAARRLKPEEFTQVRVAVNCRGKADPPIPLQFFGNMVLGAFPRLQVQDVLSWSYDRVVGAIRDAVARIDGDYVRSFLNFGAAHGEELTGKGGTMCCPNLEADSWLGFRFHDLDFGGGPPAMFQVPDLPVEGLMVFMPSSVARGGVDVYMSVAEDHVTAFQQICHSLD >cds.KYUSt_chr6.19569 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123156059:123157137:1 gene:KYUSg_chr6.19569 transcript:KYUSt_chr6.19569 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIPMIDLRLASPEEAARLRDAARRLGCFRVTGHGVPRALQDDMKAAVRALFDLPDHAKRRNADVISGSGYVAPSETNPLYEAFGLYDAASPAAVDAFCASLDAPPHISETIRRYAEKTHELIMDVAAKLAASLGLEEEAGRSFQDWPCQFRINRYNYTPDAVGKAGVQVHTDSGFLTVLHEDDCVGGLEVADPDTGEFAPVDPLPGTFLVNLGDVATAWSNGELHNVRHRVQCVAAVPRVSVALFLLAPKDDVVRAPDAFVTAGRHRRFRTFGYDDYRRLRQSTGEHAGEALARLAA >cds.KYUSt_chr1.7383 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45224343:45225538:-1 gene:KYUSg_chr1.7383 transcript:KYUSt_chr1.7383 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVANRRSIHRTSRGNASRGSRSGCWEISALPVVGLPSSRIPSASDTGQAGYGVAAGLSAMASTSSPERNEKDEGNEVRKKKNSKAASLTQPTRDGHAKEKMKEREAYGAEIRERDRTEKPQFWRVGADQPKANIRTIRQSGQLRRRGKSWLDASIFCRSWRLPDAKITALQRASSRDSGRSRGGLPPPVLVQRGAGNGHPSLVCYVTDISRRHEDIHETDKGLTS >cds.KYUSt_chr6.25775 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163295070:163296605:-1 gene:KYUSg_chr6.25775 transcript:KYUSt_chr6.25775 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSITDEDDLVGGAIGGPRGAPVKEIAFAAALLAFGALGVIAGLLMAANQVGGDSAHGIFFMILGIVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >cds.KYUSt_chr4.33305 pep primary_assembly:MPB_Lper_Kyuss_1697:4:204070911:204075169:1 gene:KYUSg_chr4.33305 transcript:KYUSt_chr4.33305 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDHDEGAAEAAAAVPNGLGGEDYVVVKAGDQDGAVQAVATDGGDHQEDLAGGEVAVGSATAVPTPEEPARASTKAGSKDATGKKKGIQAKVQNGKVAAAAAAVAPRGKKPGLSQSASFPARATAGAKKAATVTATPKQAKPEGKGAVPNGSAAATECCHSALRFRSTNTQRKNAAASGFSFRLEERAEKRKEFLKKLEEKVHAKELEQTNLQEKSKESQEAEIKRLRKSLTFKAAPMPSFYKEQPPKVELKKIAPTRARSPKLGRHKPASPAAAASVDGSVSCESPRSTTNPGKVNKGVENNKPRVAARKPVQRLVTKAPPPASATAKAETRPLTTKQKTSNTKPKVSKPKVEQLQDNQVEIPPAEPSVLEELAAEQSVEDVTVLDPTAPMVTSNEVPIQD >cds.KYUSt_chr3.33525 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210478790:210483987:-1 gene:KYUSg_chr3.33525 transcript:KYUSt_chr3.33525 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSSTRRRCRPSSPSSARTHPLEDDDLLHEIFLRLPPQPPHRLRASLVSKRWRRLATDPKFLRRLCIHHRKPPLLGGFSYQAGELSFRSTLDPPYRIPPERFSLQPSGRFSLQPRGRQIWVCLDCRHGRLLFDDRSQSRVIVWDPVTDNLRVVPYPQQFHDHGITQIHTGTVLCAAGDQGHVHGACHSSPFKVVALSCCEHADEATAKVAFASVYSSETGIWSHLISTTLPGTSIFFLSGRSTFIGNTLYCLLIMSPIDNTCILEFDLDAQRLDVIKRPPGAPRQDNVLIIQAEDGGLGFAALITPIYHPCLQMWDRQVDSRGVATWVLRKTLELHKILGLESWIGMHKAFIMHYLQDVQAIFLRVESSVYMLQLESMQSKELFKSNNMSRYHPFASFYAEDTLKLRSTLDPPYRIPPERFSWPFHRWLGWTCLDYRHGRVLFDDWSQSRFVVWNPIITHDFHIVFDTPRFHGPGEVVIHRGAVLCADSDQGHAHGACHSSPFKVVVLGIYEHYDDANGEEEATAFASVYSSETCTCTNDILEFDLDTEKLAMIKRPPCVGYSSRIIQAVDGGVGFAALSCAPYHQPNFQIWERKTDPYGVAAWVLWNSVQLQKILGLGFRIDREISYIVGYAENVRAIVLRVHSSVYMV >cds.KYUSt_chr5.15379 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99419647:99423933:-1 gene:KYUSg_chr5.15379 transcript:KYUSt_chr5.15379 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVQPPDVAAIRGGRPPDASQGAIVGDACTVAAATGDAHTVVPTVALMLVGWNCRAMPQETSDFTNSIPDKQEIWEILKAMKKNASPGPDGFNVGFYLSAWSWIGDDVTNVVWEDKDMALRIQTFAWRLLRKALPTATDAIIKATKIDSSNDHHNKLQPPNSRQLPPLISQDSDLLAGNAIFSDAAWEKQQDSATSQAGLGVIIHFKENQHLCQLHVSALSPPASSPLQAEAYGLLLATKLADLLQVQDPRFYTDCSVLASAATATTVFAAQGHWKIRPQLAAIQASHYIRCNNVSHINRSRNVKAHHQARLALRIQTVRLAVRCLSSDTGQSK >cds.KYUSt_chr5.6508 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40392997:40401865:-1 gene:KYUSg_chr5.6508 transcript:KYUSt_chr5.6508 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRWIRLLVPGLDFIHLDLQQLGRPMGLMPPSTSMGHPSLPDLGTVDGTAMNFLKVYILVKPRERKRSSHRYHGRLCVYNQKAEAAEIALHTSPLQWHHSELDSENGNVVCEEEAWFDSVSILESDSDEDFSSVNGDLPAISSAGMTQLLQCEDASCIADCIQKFEKIFDGSSVAQAVGQYLTRDANNMDKSSQTGVQEAERLKIASSEASDLFSAKVEEAKTRNEGGVKMLTKLRRGEEGNALKSFKDGEKCHESIFKSLTPVCTPRHANKVQPLGVASPRGQKKKSGVVRLSFTRKSFDGEQTTEICSSRRYLIRPRAGLLIPQASEKILEGCWSILEPSNFKLRGETFFRDKKKSAAPASCPYTPIGVDMFMSQRKIHHIAQHIELPSIQPSEKVPSLLIVNIQMPTYPTAIFLGDSDGEGINLVLYFKLNENFEKEISPQFHESIKRLVSDEIEKVKGFPLDSTVPYRERLKILTGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGSNYLEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCSVRLNRLDFVDHGQIPTLLCDED >cds.KYUSt_chr4.38598 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238045847:238052485:-1 gene:KYUSg_chr4.38598 transcript:KYUSt_chr4.38598 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALATIRDLLTSFSPASDFLALSSGDGRIKVWDAARGHLQTEFADIPAVEAGALAEAKRGHLALDYTCMKWVQLSSKKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWRISDCHPGGVTAVAYSRLGRTVYTAGADGMVCKIDPSDGSVAGKFKSSSKAISALAVSSDGKVLATAAGQLRTFDASDNKKIQKFSGHPVAVKTMIFSDNGEYVLSSGVGERYVAIWRLGGGKTQSSSCILSMEHPAIFVDCKCSGINANNGEIHVLAISEVGICYFWSGNSMDDLRNKKPTKIALSESSLSRTKQDSTIFAAKLQGIDGPNSTHVLLAYGSVVKPSFDKLLVCYGKDINLGVSEDGVLLPTIQPTMAQKGQYAKTKGTITALDRANAEDAILPLPKLHTQEKKRKHGVTKPSSDKLAIDSDLGTTARLTEKRVPVQRIEDDSICIEDMLRECGVIDQSIERDPDMATKILSDLFGRSGIPIDANLPSKKIRAHLRSLKPGDACKLLENLVSAWKSRSGSAELVLRWIYCLLVIHGRFISSEKPKKLISNLEKMCAERYAATEDLLKLSGRLRLIIAQVDKDAKDVSVKKQDSFSALSDEEEEEIDELVYGEDLENSDEDAE >cds.KYUSt_chr1.3665 pep primary_assembly:MPB_Lper_Kyuss_1697:1:22337792:22338067:-1 gene:KYUSg_chr1.3665 transcript:KYUSt_chr1.3665 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGARCLSHGTVFVRRISTGQDHVDKIMLTDPKNCLCIFRECDKLVLKTIIYKKANDEPGWDTEVQDDDNVDGADENVDGDDQDGETDDH >cds.KYUSt_chr2.35579 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219750340:219756019:1 gene:KYUSg_chr2.35579 transcript:KYUSt_chr2.35579 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAARDRIGGKRRRVGTDRLGDMPDCLLHDVLSHLGSRQVVQTSVLSRRWTHLWRDVVRANVVIDEREFAGNKRESFEDFADHVVPSSVPPETPHLDTFRLNLVRRGTFWPGSFADRDRWIGRALHRVPAAVDIRAAHAGTICWRPHRSDATGWCTRRLTTLRLVRAVLSPDFLEYVGTYCPALEDLHIESCRIKNHLVVASPTLRSLAFLDPIACDRLSITAPRLAHLRLAGFTARALLEEESLDFVVLDSLKTLILEGCEVGAKFQALTAILPNTPNLEMLGLHHCRSNTWGFHLYNEGPRGGGRPPQALKEAAPHSGRPPPLPNPSRPSLLHLSRTLSEAPPEFSTTTATTPSCCQIQGGAATSAARWNVEKDIVFINTERVTEYGGAAQSWPRDQDLLRAFASGK >cds.KYUSt_chr1.17316 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100572219:100577209:1 gene:KYUSg_chr1.17316 transcript:KYUSt_chr1.17316 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAARWRSVAKGEVARELGVAAVASKLAARLERVAAAVDDAEARAARRDDDASEWLATVRATVYESDGAVDRFKVAGRRYRDREPQQQQQARFLPLSSNKALPWLLSSCCENDAEPRREVAAEIKNASKKLKAVLKEQRRLQLKASSAADRTVQTRTVLRRRNHHGIVGKRIEDDTRQLVDRLTQTQGRAACEVVAIIGPDGIGKTTLAKAVYDSARVRCIFEIRSWVRLRRGYTEAGLLSQVVDAVGGDTAGDESVADLEAMLAGLVANKRFLLVVDDVWYGGVWEDVLRSRLERGGRGSKVLITARSGSIARAMGASNVHRVNRLGADDGWQLLRVAACVADEEGDTGRLKGLGERLVDKCGGVPLAIEAIAGVLRTREASAGEWEDVLGSPAWSVKGLPDNAMTPLYLCYDDLPCHLKQCFLYCSLFPPGFAVDRRALVQQWVAERFVQTRVGATVEEVAEEYYHELVGRNLLQTTEEDAHSGGTERCTMHEMLHALAQLLLQGEGFTGNAQRPLDDGDGSFALRRVSLPGRNMAAVSDWVLSSDRIRTLLLPKNPLATAGKIFERMHHLRVLDLSETGLELVPETLGNLVHLRFLNLSGTRIHAVPESIGNLTSLKFLLLRECKSLHALPKSIEHLRGLRDLDLAGTVINAGAFRVGELRSLKSLRYFGVTSTEARATQDRGEWPLAELKHLYQLRTLHVQKLEKAASPAEAAEAALAVKTSLRELALSCSGNVNLFQAPAEVRKIEAVFQEMNPPECLESLKISNYFGAKFPTWLSAILLPNLRRLDIIGCNLPLPPLGQLPELRSLHVADSSALKFIDAEFMGCNHHQVPFPKLENLHLQGLHKLQRWMEIEAEALPCLQAMQLESCPELRRLPGGLRHVTSLMELRIVDMASIKAVEGIAALRELSVWNTPNLKKISSMPSLEDLSISHCPMLQIVENVDRLQAVHIFDHQLQEIPRWIKPLAGKLQSLDVTSTIKVLKRCLVDGPDWPLIKDIVQVHGLTIGPGYVYYAKNPYIFESNVNSQSKLSMERKTVDSDNADDASAGNTNVNQDDLVSASSTGYQEISGFFDSKPVKKEAIRTEDNVNRRDTHRSVQRNSQRRMHKLAEIIPEDGEAEEDAHSVVILPAHPTKAHAQVEKLHVVVTDNRSEDSDMGLSKFTPHETGPDAITSVLTRRRRSEMGKDVPTDAGTSGGTSVNKSAAAAGHSLAHEGSRAINSTEIDQNLNVSSLRSKECTLNKGDNFANISRQARRVDDSGSKVQTQVVNRDTKELGDDRTENVSPAIMAHSRKVTFNIGNDDHMDATIYSPNTANQNAGKINEIATAGTNAATMPDIPPDGEVAQESAATIDSSLTLKGHHTASITKASPDFRLLCDEQRLSSEGKEVPNTAKGPTSSAVDSIGGHIEGKSTSLPANPITNHEESKATSVTETRCDSEPCRLPASLAWRKQRAVKKQEASFADPGNGIGASIKTIPGMARKTLDKCKVGSVEHPSTEVSANTNPEWKPAFTPSCTTEATMAIRHHANRHTNDSAHCSIDVKAEDPHEAPKVYTAIWADTDTDTLRARFLSSMQYYRKMASRRRRRHRTKRGSGSKWSIGPVLVVVLLVVSMAQLLFIVWLYRRLLNQK >cds.KYUSt_chr1.193 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1105413:1105718:-1 gene:KYUSg_chr1.193 transcript:KYUSt_chr1.193 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAAAVHESPANSSTTALFLERCAASGDAAYGELRELLARLNDPATRKEARVFLAALGRISVDGAGGADEFFRRYGFCVRELLLHDSHCGLPITTLSSG >cds.KYUSt_chr1.27342 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164809225:164809749:-1 gene:KYUSg_chr1.27342 transcript:KYUSt_chr1.27342 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSIGSPGPRPSLDAAEEADEKATGKAAGRAAAPDKSIHLVPLLTLLCLLVLFLFSHDPATTVADSPPVLAVAARSLEATAETTPSVARGDAYRRLKADPTLRRGRRVRAARR >cds.KYUSt_chr5.30111 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190993511:190995521:1 gene:KYUSg_chr5.30111 transcript:KYUSt_chr5.30111 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSWSHFSCCVGGSAVADDNDDDRAASRRRVGRKVSPRSSSSSSRMSLSSLSSSGTLSPEDLSLTLSGSNLHAFTYAELRAATGTFSRANYLGSGGFGPVYKGAVGDKLRPGLAAQAVAVKYLDLDCGTQGHNEWLAEVFFLGQLMHKNLVKLIGYCYEDQHRMLVYEFMSAGSLENHLFKSTNGSLPWMTRMKIAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDYNTKLSDFGLAKDGPQGDETHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGLQSVDRARRPREQNLVEWARPYLKHPDKLYKIMDLAFECQYSCKGAEVAALVAYKCLSQNPKSRPTMKEVVKALEPVLDMEDFFPGGHFVFTIIVEEDKVVDMTVEVEEKELHHRQNCEDRHRQKYPNSVIHTGIVLHGSDGLITGLNGAHRRQQRLSSYRRERGV >cds.KYUSt_chr7.10819 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66472226:66476188:-1 gene:KYUSg_chr7.10819 transcript:KYUSt_chr7.10819 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAFSRAAEDGGCSATRGRTPDGGGSHRRSRSLSRFPPPSPSPEDAPTPSSRFVTKVRGGGSSTGGGFPEISLDDLADEFFRARVESEDDDAPPRGRGRLPAPSPAEKGGRGGTARYARETESSRQRDRSVSRAPPDRRAAAENGGARRQRYPSVDRRAPVGRQRYASVDRRASVDRQRWCDSDNDMDFSHRSGFRGTNTKSSTGHTVQNSFNKSKVNQTMRRSTSQNDFVHLRDSGSSHSSLTDDESRDAHSFHSSAHSGAQTVYAQEKSIANDGSNVLYDVMRKEVRQAVEEIRTQFEKAVTKSEPLEKVRSDDVQPTQVIGELRRNYTSKLEESEKRKQELLAQLAAEEQRGHELTKIVKELLPTTKKNVKPERQPPRRRRSNDKARVSKCLTEEAELYFEDFLSNVEDTDFSSFDGERSDTSSSRRDMIHHAMPETHVVLPKVAPPVVADGVVLPWLQWETSNDLHASPSTTKTQDASTACSTSNHSTSSRGSWSPGDHGSSAGSKDGLLSRFDQAATRQSSCPDNSRSTSFHMDDYLHLRRSEDFLFERLRQKQRIDDGSLTLCRRSTIM >cds.KYUSt_chr2.7059 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44185447:44189459:1 gene:KYUSg_chr2.7059 transcript:KYUSt_chr2.7059 gene_biotype:protein_coding transcript_biotype:protein_coding METKISGERTEKLISTFGFSGGFAVDSDGLSGGVGLFWSSAVTVDIKSSNLHHIDAVVQCKDGSVPPWRFTGFYGESRRENKHLSWTLMRRLHQLRDLPWLCSGDFNETLYSCEHFSEHDREEWQMRAFREVTEDCGLQDLGFSGLPYTWDNRQEGSANVKARIDRAFGNAALLNMFQVVKVKHISVVQSDHCMIHTELRKHINHRPLGRKAFKYENVWQTHGDYDKVVVDLWRKAERGVGLKGFSKTLASLQSGLSSWGTTTFGDFKKKLSNLRRELDRVRRISVGRGPSLEEKRIMESINEVLIQEEIWIKQRSRVNWLKSGDRNTAYFHAFASQRKRINSISTLQREDGSWCDDVEEIKEEVQGFYKNLYTSEGAPEMQGLLDLVNEKVLQEDKANMDAEFTEEEVKKALFQMHPSKAPGVDGFTAGFYQRHWELVGPELCAAVIGFLNGGDMPEEINDTAITLIPKLIMKCVSSVRFSVKVNGGLLEPFIPSRGIRQGDPMSPYLFLACAEGLTALIHHYNSGFIDRGVRVCHRSPWISHLLFADDSLIFINVNGASAARLNEILDIYHLASGQKVNKEKSAIFFSPCTSETNRVAVKQHLNIQTEAFSEKYLGLPTAVGKLTSEAFEYITESARSSVNGWAEKNLSYSGKETLLKSVIQAKPIHSMSCFLLSKVSCKKFTSVMGKFWWSGNLDKRSMHWLAWDKLAVPKSEGGMGFRDMQAFNIALLGKQAWRIIMKPDSLCSQVLQTRYLHNQELMAASAPRAASRTWKAILAGREALKLGLIKRVGSGQSISIWEDNWLPNSATMRPMGRLEDTDLVMVNELMTGNHEWNEPLIRELFFAPDADSIMSIPLRSSVGDDWLAWSKEKSGIYTVRSAYRALMEARQSEEARNDASVPSSSDNDVDVWKRLWKIPVVPKVRVFWWRVLRGILPDYRTLSRRHIMENSTCALCKAESEDVMHALIECSHAKLFWEAAKELLLIKLPRLHPLTWAKDILCEKAFSQKERAIIISVMYSIWSSRNNLTHGEAGYNPAKSIELVKETLQTLEFPRENPKPIRPVAKWQRPPDGFVKINSDGAFNTSDNLAATGVVAREGLLYRGAMGKTYRGISDPLIIETLALRDAVTYARDRGFSRVVFEVDSENLVHLWHNRATDRSMVKNVLDEISELSVFFTVFSLWHARREANQAAHSCAKFASIQDGLFSWDAEPPAFLVHSLKADCNGV >cds.KYUSt_chr4.37627 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231971522:231975406:-1 gene:KYUSg_chr4.37627 transcript:KYUSt_chr4.37627 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGGYDMRRQPTAAEVVGRLKDDGDFDALRRAIIRKVKDNEVLRNNIIAEVKQSTVLSEDGSEKFKLKELSDAIFQDVGSKIMGQISDEVWSVIQSKETDIRGTVEVIFNRIMNPEQQQDAGPSSKKLKRNDKEEQVSPPKASTSVTAKPEEEDDDPEAPPGFGFSNHQIKLDQPLNGENHSQVKPSEDKPVDAGSLGDADDEDPDVPPGFG >cds.KYUSt_chr2.4313 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26519266:26525127:-1 gene:KYUSg_chr2.4313 transcript:KYUSt_chr2.4313 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKGALQSARTWIVEHKLRAVGALWLSGIAGSIAYNWSRPGMKTSVKLIHARLHAQALTLAALGGSALVEYYDHQSGSGSRVHNYAKQFLPPADTNPKKE >cds.KYUSt_chr7.29419 pep primary_assembly:MPB_Lper_Kyuss_1697:7:183144433:183147778:-1 gene:KYUSg_chr7.29419 transcript:KYUSt_chr7.29419 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSSATGAMSSLIGKLAALLTDEYKLLAGVRKQIKFLERELSSMRVLLDKLAGMEEKLDGTAKDWRGKVRDLSYDIEDCIDRFMDRLGSGDAKPKFMKKTARRLKTLWARHDIATQIKDLKARVVEESERRDRFKLDEIPMKVVVIDPRITALHEEVRGLVAMDGPMKDVAGMLTDEKEKELKVVAIVGSGGLGKTTLAMEVYRKIGADFQCRASVSVSRTIDLEMLLKDILFQVDEDVHRKCQSEGWRLQQVIRKTKQILTGKRYFIIIDDVWKEQDWTLIKRAFPENNNSSRILATTRITGVANLCCSNSTSQLYQMAPLDDANSRRLFFQRIFSSDDHCPAELEEVSTKILKKCGGLPLAIITFASLLASKTHDRDEWERLQDSIGTGSSLENDGNLKGMNDILLLSYWDLPHHLKTCLLYLCIFPEDYKISCEELKWKWIAEGFLAPRWGRLDEVAENCFNELVNRNMIQLVDVEYNGSMKYCRVHDMVLDLIISLSDDDNFATVLNGRVCNSFPSKIRRLSMQSTGEEHVRAVTETKLHLRSLTTFRPIEQMPCLVHFHALRVLDLKACDWLENKHVKNIGSSRHLRYLRIRSTKITELPGEIGKLQYLETLDLRGCISSDLRLPSTVVQLKKLVRLIVSSTTRLPFGEFGRLQTLEVLEEINMWNTDDPMRFAEELRHLTELRKLCICLWGCLGMENAKRQRFVGLLSSSVNELGKCNLRYLHIDDGEMYESIFSDPCCTYPYLQHLKLDPFAYINMVPKGMTSLKNVVELCIVVMEFDKEGLHRLMGMPSLAHLELQIKGTNNEKLTVGINGFKLLKVFHVDCMTYGYFSQFAPQPWLTFAPGAVPALRRLHLKLTPMKEVASDFLAELGVEYLSGLAHLQVKINCYRAVLGRVEAVESSIGKQIDLHPNCETKIHVSRESEDYMYKDDKEWEEAPENERDDRSVYYNTMVG >cds.KYUSt_chr3.10278 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61023704:61024421:1 gene:KYUSg_chr3.10278 transcript:KYUSt_chr3.10278 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRPGLLFLRLGASIALLLALSALVPSSGRILEDVESVKINLPAGMCRRGFACETPPDCIFCCILPINVPTLLGQPGV >cds.KYUSt_chr6.27876 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176802666:176810694:1 gene:KYUSg_chr6.27876 transcript:KYUSt_chr6.27876 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQHCSNRSGSPIPFLGLALVLLISLACPASSCTEQEKSSLLQLLAGLSRDGGLKALWRSNTDCCTWEGITCSGPSRTVTDLSLASRGLEGYISPFLGNLTGLLRLNLSRNSLSGGLPSELVSSSSIIVLDVSFNRLTGGLSELPSSTPARPLQVLNISSNLFTGRFPTTTWEVMKSLVVLNASTNSFTGQIPTKPCVSAPSFAVLELSFNEFSGNIPLGISNCSVLKFLSAGYNNLSGTLPDELFKVTWLEHLSLPSNRLEGVINGIIKLTNLVILDIGKNELSGNIPDSIGELKRLEELHLDSNKMSGELPSALSNCTNLVIIDLKSNNFSGELTKVNFSSLPNLKNLDLVYNNFTGSIPESIYSCSKLTALRLSYNQFHGRLSEKIGNLESLSFLSLVKNSLTNTTRTLQILRGCRNLTTLLLGFNFLHETMPEDDDIGGFENLQVFAMNDCSLSGEIPHWLSKLTNLGMIFLHNNQLTGTIPDWISSLNFLFYVDVSNNSLRGEIPTALMEMQMLESDKTAPKVFELPVYFKSPFIQYLMPSAFPKVLNLGNNSFTGQIPEKIGQLKALISLNLSSNRLSGEIPEPISNLTNLQVLDLSGNHLSGAIPAALNNLHFLSKFNISNNDLEGPIPTVGQLSTFPSSSFDGNPKLCGPMLVNYCGSAKTPLITQKRRKKKGVFALAFGVFFGGVAILFLLARLLVLFRGTSFMNQKRSSNNDDIEDKSSDFNSEYSLVMVPQGKGEENKLKLTDLLKATKNFDEDHIIGSGGYGLVYKAELSDGSKVAIKKLNSEMCLMEREFSAEVDALSVAQHDNLVPFWGYCIQGHSRLLIYSYMENGSLDDWLHNRDCDASSFLDWPMRLKIAQGASQGISYIHDVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLIFPNNTHVSTELVGTLGYIPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPVQMCPKSKELVQWVQEMRSKGKQIEVLDPTLRGTGYEEQMLEVLEAACRCVDRNPGMRPTIQEICISDSDDSDAFEWDTDGEAEPSSVAALRNFDAPGPSTLDANECVKRKASFDLVKEYVGMGFLEEHVLKGIEQVGHSDADELLNHLLTYQLPGDDCVSNFSTSGCAPLSVEEGSDDDFDFDWESDEDAGGREPNSDGSGNEDFLQEMVDKDEKIKSLQEMGFPGDEVDRAINICGVDASMDVLIDSIHALQHEVDFLLTLGEY >cds.KYUSt_chr2.12571 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79799001:79799727:-1 gene:KYUSg_chr2.12571 transcript:KYUSt_chr2.12571 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEACVLRPCLQWIDAADAQGHATVFVAKFFGRAGLLSFISAVSDDQRPALFQSLLYEAAGRTINPVHGAVGLLWTGSWHLCQAAVETVLRGGVIGPLPDLAGSGCGAVAGGDLYGGSSGASAKRAGGWSTFSTAKRARKSTEAPAPSCDLGLCLSPRSPAAAMPRPGTPSVSSDGSVTTTTTTTSSGGGEREAVLLNLFL >cds.KYUSt_chr1.33554 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203907593:203915682:-1 gene:KYUSg_chr1.33554 transcript:KYUSt_chr1.33554 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQAYSRLGSFGGSAGTPSPPPPPSPARGGGRRTPGKGPSSARGSHEAAASAAAAAAAGWGAAARRAAWALLAALLRRQAVFLFAPLLYVAAMLLYMGSLPLDVVPRIITRSAPGSVYRSPQLYARLRADMDADNSTDALATVWRQTYKGGAWRPCISNVTNSLPESNGYIYVEANGGLNQQRTSICNAVAIAGFLNATLIIPNFHFHSIWKDPSKFSDIYDEDHFVKRLQNDVRIVDKAPDFIMERFGHNITNVFNFKIKAWSPIKYYKDVVLPKLVEERFIRISPFANRLSFDAPPVVQRLRCLANFEALKFANPIASLSETLISRMRAKSAESNGKYIAVHLRFEEDMVAFSCCVYDGGDEEKREMDTAREIGWKGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFNNKTAIFLASGKIYRSEKTMAPLLEMFPLLQTKETLASDEELAPFKDFSSRMAAIDYSVCAYSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTIKPDKRRLAILFDNPRIGIILFVYTEYPVLLDRLIEIGNLADKEYPFVLDDKPWRRGAGRVQSS >cds.KYUSt_chr3.15894 pep primary_assembly:MPB_Lper_Kyuss_1697:3:97738189:97740816:1 gene:KYUSg_chr3.15894 transcript:KYUSt_chr3.15894 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSILLVTFTLLALVSLAVAAGNSSTASTPILLNCGASNRNNDESGRTWDGDSASNSAPSVKGVALTASNQHSSLPSIVPFMTARIFTSNYTYSIPVSPGRMFLRLYFYPVDYENYTVPNAYFSVSTTDLVLLNEFNASQTAQAISSAYLVREFSVNVSTGSLNLTFAPSAHQNGSYAFVNGIEIVPTPDIFTAPDTRFVTGGSPDPFTFDPSTGVQTMYRLNVGGNAISRKADSGFDRSWDNDSPYIFGGSGVVFSRDSNLTISYTSQVPNYTAPVDVYGTARSMGPTAQLNLNYNLTWILPVDAGFSYLLRFHFCEIQYPITKVNQRSFFIYINNQTAQQQMDVIVWSGGIGRTAFADYVILIAGAGQVDMWIALHPDLSSKPEYFDAILNGLEVFKLHALQPSGLKNLAGLNPPLPQKPDDINPTEAPGGGKSKGALIGGAVGVFAVLLIVCFGVCIICRRKKKIPKDSGKAEDGQWTPLTDYSRSRSTASGTTATTSTLPSNLCRHFSFSEVQTATNNFDQAFLLGKGGFGNVYLGEIDSGTKVAIKRCNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEDRSEMILVYDYMAHGTLREHLYNTKNPPLSWKQRLEICIGAARGLYYLHTGVKETIIHRDVKTTNILLDHKWVAKVSDFGLSKTGPNVDNTHVSTVVKGSFGYLDPEYFRRQQLSEKSDVYSFGVVLFEVLCARPALSPSLPKEQVSLADWALRCHKKGTLGQIIDPCLQGKIAPQCFIKFAETAQKCVADHSIDRPSMGDVLWNLEFALQLQESDGDISSSLTEGTLSSGASPLVMTRLQSDEPSTDSTTTTTTTMSITGRSITSVESDGLTPSTVFSQIMHPDGR >cds.KYUSt_chr2.36909 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228222266:228224685:1 gene:KYUSg_chr2.36909 transcript:KYUSt_chr2.36909 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAALLIVTLICWAALVRASHIVYPELQSLEAKEVDKELRTGYHFQPPKHWINDPNGPMYYKGLYHLFYQYNPKGAVWGNIIWAHSVSTDLIDWVALEPAIYPSKPFDVKGCWSGSATVLPSGMPVIMYTGIDPRERQVQNVAYPANLSDPFLRQWIKPTYNPIIYPDEGINASAFRDPTTAWYGPDGHWRLIVGSKENMRGIAILYRSRDFKIWVKAHHSLHAGETGMWECPDFYPVAVAGGSRPHRSGVDTTELHNRAVAEEVKYVLKVSLDLTRYEYYTVGTYDHGKDRYTPDPLFPDNDYGLRYDYGDFYASKSFFDPAKKRRVLWGWANESDTVPDDRQKGWAGIQAIPRKIFLSRSGRQLIQWPVEEIKSLRGNHVNVSNKAVKSGNYFKVTGFKSVQSDVEAAFAIKNLDKAEKFDPAWRTDAQGLCKKLNSHVKGGVGPFGLWLLASDDLKERTAVFFRVFKTNDTNYVVLMCNDPTRSSYESKIYRPTFAGFVNIDIAKTKKIALRTLIDHSVVESFGAGGKTCILTRVYPRKAIGDDAQLFVFNNGESEIKVTNLHAWEMKTPTMNQPE >cds.KYUSt_chr1.4772 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29376839:29377726:1 gene:KYUSg_chr1.4772 transcript:KYUSt_chr1.4772 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPGRRLLAVAAAALLVATSLLVATLLTASPLQFLPLLPCLPAVTAPSGAGYEPPGLAALADAAVYYATTRAVPQQSRAELALSLAVLRRRAPLRLLVFGLGHDSPLWHALNPGGATVFLEEDPEWYRVVRSQSPHLRAHLVRYRTRLDHADLLLGSYKRFPSCVPGAGAGAEPAVRANAACPLALHDLPAEVYENEWDVLMVDAPKGYFPSAPGRMAAIWTAAAMARARQGEGDTDVFLHDVDRRVERMFAEELLCDRFRVGGTGRLWHFRIPPVSRRANTTTAAGGGERPFC >cds.KYUSt_chr7.8598 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52132654:52136406:1 gene:KYUSg_chr7.8598 transcript:KYUSt_chr7.8598 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQETTPMQQAIARLQHRKTKAITRLQQSKLKNFPVGAGLQISLKMALLDKIHLLYLEAISRIPKHELRSRHHRGLLKAGHCYGPCDPVTNIILNTIWYDTMYPPEQHFEVAMICTKSLARVEFLSLCGLVAYICARFPDFSVYEAMRRLVICNARLDSVVEMAKAEGHDEGDIPFSESDAYDTASRAAHHPSPAAFVEFATTVMPQGQECELHFICDVNSTIPEDGVCYCAHSCSYPFSHMNILATRKGSQNDQVPTLFFIQCSNSDEHMQDKAFLCCPISDPSKDAGRCYHCEYDGIKIIHPSSKSYLGRCTDFEEMARGESAVDAQGLAKKGQMNTLFDDTLEYEDSIYFDPAWDVDFRIFIDRTARDQARQEKLKKEQQPGRSVDYSWIDKLVYEDSLTRSPYLMC >cds.KYUSt_chr5.28248 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178802044:178803842:1 gene:KYUSg_chr5.28248 transcript:KYUSt_chr5.28248 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAVAWEERRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGTLTLYRSIVQAACYPLAAYAASRHNRAHVIAVGAFLWAAATFLVAISETFLQVAISRGLNGIGLALVIPAVQSLVADSTDDEHRGTAFGWLQLTSSIGSIIGGFSAVLLAPTTIFGIAGWRFAFHLVAAISVVVGVLVWFFAVDPNFPTGKEASALGEKRSAWEEAKELLREARAVIQIPTFQIFVAQGVSGSFPWSALSFLSMWLELVGFSHGATAVFITVFSVATSIGGLLGGVMGDALARRYPNAGRIVLSQISAGSGVPLAAILLLGLPNDPSTGIAHALVLFVMGLIISWNGAATNSPIFAEIVPVKSRTSIYALDNAFESILASFAPPVVGYMSQHLYGFKLAGGGAAGKNGSSSPERDRANAASLAKALYTAIAIPFTICAAIYSFLYCSYPRDRDRARMQSLAESELQRMELQQGSRFRSGDGDNRFELFEPETDDGGRTDSAADAAGTARLLSDPGS >cds.KYUSt_chr7.28097 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175482858:175484390:1 gene:KYUSg_chr7.28097 transcript:KYUSt_chr7.28097 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVKSESLSRGGVTTMTLDDILNGSFSSMASVLRVGSQVGGVLSSALGAMTLSCLLTPATPLIHLRRNGTRISRPGCASRSSYCKGAETRRRNGGRLRVKALFGDGGDGFRAMMRIVKLNSAIQNRSIKELLELITEECQYFFSNLPPVSISQISKNMFLLLHEMMLRHQVSFVLKPTENGGFDLGIKWSLEWKGEKLPWDVDCAVSTTHVYTGLLLIRYPPTLNLGQLLVLTYRRI >cds.KYUSt_chr2.49952 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312463313:312465328:-1 gene:KYUSg_chr2.49952 transcript:KYUSt_chr2.49952 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRPVNVDGGVFLLAFRSRQRLLAFGAGVNAATTYAGSRLKSGGRYALRRRISRRQAGLCAGMSGTDTHAWLRCHLCAMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr2.1309 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7773415:7776232:-1 gene:KYUSg_chr2.1309 transcript:KYUSt_chr2.1309 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEWEGAEVKKPLLVTGDHRASSIWVVVVSTAIAVCGSFVFGISVGYSSPSQEGIMHDLHLSIAEYSVFGSILTIGAMLGAIFSGTIADRVGRKCAMAISDVFCIAGYLFIVFSKNFLWLYLGRLSIGCGIGLFSYVVPVYISEVTPKNLRGRFAAVNQLMICCGASLAYALGTFITWRTLAIIGVTPCLLQLVGLLVIPESPRWLAKIGHPGALEEALQKLRGKETDISEEAEEIKDFTEKIQHLPQSKIWDLFQKDYIHAVTVGVGLMVLQQFGGVNAICFYASEIFVSAGFASGNMGMLAMVAVQVPMTTLGVLLLDKAGRRPLLIVSAAGTCLGCLLVGVSFLSKVSNHRK >cds.KYUSt_scaffold_6468.757 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3662903:3667174:-1 gene:KYUSg_scaffold_6468.757 transcript:KYUSt_scaffold_6468.757 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLSKWQHKRMHEKLARHKERGLLRHEKQLYLARLRSEIRASHLPAPDRGPTSSRAHIRALADRFLRPGAEDLWNDDDGPLRRARPPLPLPQQQQQPPARSLPSGVRLVDWDQEKPPRRGGGDWKHWEELDSEEPSAGNEPHLPPVNPKRGFGQRREYGTVAPWWWQWGSRSATGLQRKDASLGFFSPNRCYSAAPPPCQPHRRSTGALMPPGATQLAEVGNGTKGTPLALLNQERMYAVAARRFGQRWGRDSSDEDQEGAPAAKRDLRLRKFVDSREEEESEDDEPEGEGSAIRRKWSSAALRNCDMKKERRVQLKTYEEESNDIAGRIQELREEIRNREVLGAERRRYESRGESLFTNKRFDEFGISPLTVKALTDAGYVQTTVVQEAALPVCLEGKDVLVKAKTGTGKSVAFLLPAIESVLNAMKSNTNHRVSPVYALVLCPTRELAVQVTAEANVLLKHHHGVGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENRSSFSVRLMGLKLLVLDEADHLLDLGFRKDIEKIADSLPRQRQTLLFSATVPKEVRRVSQLVLNKDHVFVDTVGLGAVETPTKVQQQYLVVPHELHFHMVHRLLREHIDQEVNYKVIVFCTTAMMTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRDSNRLILVTSDVSTRGVNYPDVTLVLQVGVPPGREHYIHRLGRTGREGKSGKGVLLIAPWEEYFLKEVQDLPIEKGPVPHIDPEMKQKVDESIKIVDMSIKEAAYHAWLGYYNSIADVGRDKAMLADLASRFCVSIGMEKPPSLYRKTAMKMGLKDVPGIRIRK >cds.KYUSt_chr4.37869 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233525744:233526112:1 gene:KYUSg_chr4.37869 transcript:KYUSt_chr4.37869 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHVRFFVADQVPDEEEEEKDDPQCPFDQVDHVDGKIMDEEEEGDDEEDHDYFTSNAFYAECKARHEARFLDHGADATAVADELTDEEDDDVYSPFDCAFLDECKAWHEEEFEELLAEMMI >cds.KYUSt_chr2.13073 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82967408:82968253:-1 gene:KYUSg_chr2.13073 transcript:KYUSt_chr2.13073 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFALLLVLAVSGGAAGDDEAMLPRLKLRDVSMNGCGSFVSLLNMTANASDISHSQWPVLVGGGGGLAVFCPDEAWQGLVDQMSKNGCGSFAGLLSATASAAEIFHEHLLGGGGLTVFCPDDKAVASFDPTFRSLAAGDRVAVLLYHGVAACYGRERFKGFNYVSVHTLAEDAATKKNQAITVRDEGGALALWPAPPSYPNGGAWVTRTASEEAPLAVYVVDAVLLPSTLACIGYLGWLRCCIALFPDWIVPVCISCVAGSVVGVLLGVLIVEFLDPID >cds.KYUSt_chr1.6910 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42456808:42463945:-1 gene:KYUSg_chr1.6910 transcript:KYUSt_chr1.6910 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAEPVEAEEGAAGKEEKAAVSCSICLDAVVDAAEGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRPSQDINMEEWAHDEDLYDVSYSEMPFRFHWCPFGCLAQLPSFFEEGESSPPVTFHDFMGQQVFAEHPPVSAAPGAHPCPYVAYLQPLPSLASSSSSHVPERTMDGSVYHDHWNHLAGPSDGRPLHTVPPTDFHHNHWAHLPHSYAQPNSNNGLTEQPGVPFGTMRAARADGDIQRRGSVISPSYFSNGSGSRSRAPNVPPLVPQFMRGHGNINEQYQQNPSSSLFAGAHRSGGMRSAPPPQPENPTFCLFPPGSSGHSSMETDDAGGSRFYAWERDRFAPYPLMPVDCETSWWSSQQSHGASESTAAPAPAPAPRRLFGQWIGLGRSSPENRSSEGSSYRQMHSPRM >cds.KYUSt_chr5.43422 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273613326:273617422:1 gene:KYUSg_chr5.43422 transcript:KYUSt_chr5.43422 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLDEGKIKEYFRNKSVLITGSTGFLGKILVEKILRVQPDVKKIYLPVRAADAAAAKRRVETEVVGKELFGLLREKHGDGFDLFIEEKVVPLAGDVMREGFGVDRETLRELRVVEELNIIVNGAATTNFYERYDVALDVNVAGVRHMCDFARRCPNLEVLLHVSTAYVAGEKQGLVQERPFRHGETLKEGTHLDIDVELRLAKDLKKQMQADGVDDAKAERKALKELGLSRARHFGWPNTYVFTKSMGEMMLGQMMQGGEVPVVIVRPSIITSVQNDPLPGWIEGTRTIDAILIGYAKQNLSCFLADLDLTMDVMPGDMVVNAMMAATVAHASSSPSATVKKTKPQYEEPPETPPSVYHVSSSLRHPAPYAVLYRTGIRYFEENPRVGADGRPVRTRKVRFLSTIASFHLFMVLKYRLPLELLHLLSILCCGLFGLAALYHDLARKYRFVMQLVDLYGPFSLFKGCFDDVNLNKLRLAMAEEGAAGDALFNFDPRTIDWDEYFYRVHIPGVMKYVLK >cds.KYUSt_chr1.17016 pep primary_assembly:MPB_Lper_Kyuss_1697:1:98762277:98763499:-1 gene:KYUSg_chr1.17016 transcript:KYUSt_chr1.17016 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLRIMWPVTWLFMVLTWAYGSWFTVERNVMKKLRMQSWAIPRYSFHYGLNQQKEAINDLIEKAIYEANKKGAKVVSLGLLNQRMEIDDDEEDNLYDADEVSRLIPDANATALNPARYSQLHTLAHVAADHEAVDCNLLIPNGTADHTNFQ >cds.KYUSt_contig_2785.27 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000500.1:170312:171337:1 gene:KYUSg_contig_2785.27 transcript:KYUSt_contig_2785.27 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGPTTATAAQPEAGGLPSSSVANEVAGEQQARPNSMTERARMARVPQPEPALKCPRCDSTNTKFCYYNNYSLSQPRHFCKACRRYWTRGGALRTVPVGGGCRRNKRSSKSSAASSSKPSSSSARQLASGASSMPSTTASTNPGPTGAMIPSGLSSMSHHLPFLGTMHPPGPNLGLAFSVGLPPLGMQQRQHMDGSVDQFPLASGGGATFSASLEQWRVHQQQQQQPHQQQFPFLELPPAPPMYQLGLQANRAGPSAAAPLPAMFTLGQTSATEEASMKEADSKGQEMSLQRQYMEALRQGEGVWGGSASDNTGNGSGSWTMNIPGFHSSSGGGDGGGLL >cds.KYUSt_chr7.2863 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16973720:16974847:1 gene:KYUSg_chr7.2863 transcript:KYUSt_chr7.2863 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTKGLTRQHKQQEPPKIPTQPRPTTTKKTAPPPSTKSVAAQFRIYQLPSSLRGWAYDRDLEAPLRHLGLLDVARLQLSTGGPQPSLVSQLIASYDPKKRCSCVLGIRVSVDRRSFLSAVSLLPTAQRGDLPPGVTRAAEQFINVCLRVQWRDTLPIVSAAMREVKAGAAQKVDWGKLMWDLVENEILELPKRDDKVSYFGIYLQKLIMVQLPQLFKSDTFQAAQQGAWLKVMDNRAILWAGTKPMEVQSSQLGAVTLNDDAGVGNSVRQRLSSTLNDGKMHTDDHNDHTESQHRFIQQGNQQHKRARSNINCGGVVPTGTAIAVANSSHDIADIERELKNATSDELHQAETLVQVVKLLQEELSAEAIMHMFD >cds.KYUSt_chr7.37321 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232990161:232991035:-1 gene:KYUSg_chr7.37321 transcript:KYUSt_chr7.37321 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRLVASRRWQAMLQGRMRSSCICPNKVRIWSFSVLVVSHGTRRSSSTFFVKLPWRKAMEEVGCTCSINKCGHVHSGELGVELLRLAGLGGEGEEELGSMCGWRGRWRGNLEAADVSSTTVVLGPSIYAEGRHLPAPSKWLPRRINQQRTHAGNLCLAPAPYGRMATIFDLGMPWRRLFNLRLGRPFLDSSKAPSVLFSPSGMIPAVRKTSVRGICSTVTTRDQIAFLLAFLRVPSLVQKKVWANQLPIFSCH >cds.KYUSt_chr3.40496 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255400018:255410921:-1 gene:KYUSg_chr3.40496 transcript:KYUSt_chr3.40496 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRTPPQRKRRAEADADADLDLDLDPDLAAAVAVATGRTPVSDRRLVLYDRPAGLVAAAGPGEPSDDMVCTYHCRQMVKSEFVVALDTAEKHVQEYRVLLDTLEKQISKSEDERTTYKDKLNYVEQELAATKGRESALQERLLKELDGYNERYRDQVKKRGELEVQLNKEIDSRISAETSAASAKESVKELERTLQKLSESSEREKKALKKELSYMQDDSKLSIAKLNAELERMSLRAQNSEKEAKMLTEQLEDLKKKLDECLREKNETEHRLLDSGALSVQRNPTDDQKLIKLLQEELRNYEKEVHEARRLKSVHTNVELLSEKLLEEQGRRERAETELSKLREIEAKAHKLELELASCTSLLSNIPDVSSYSNFADLQRQALTDLNKLGEVTSRLKELEVTLEFAEISKQRAEGEATLAKERAESASREVKRLELLLTAVSEERDRLRKDHTMLSSQKSRDGDDTSFKKMEPDLSQMEKVVRELESSLHEQRTLISQKNAELNLKNEKLSIEARKAKSLEREGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVDSEAKQTIETLQAELKKTKERLQAIEELKGQADAGTVVDVNVAEKLAQLKNQVATLEKREERYKAVFSERISVFRKACCSLFGYKIVMNDEQQSNGIHVTRFTLQSVYAQSDDEKLEFVYESGSTNIVVNGYTSQHEIAQQAQPQLEKKLLPRGRAPLTGKAVAALCVASFVVGLLLSGRVSLLPSASASSKVKATSDGSGCDDNSKLGQSHDPKGIMNEVSRTHHAIRSLDKAVSSLEMELAVERARSGGTGAGVSSRVPQKAFVVIGINTAFSSKKRRDSLRETWVPTGEKLRRLEKEKGIVIRFVIGRSGTAAGGGPADRAIDAEEAEYKDFMRLENHVEGYHELSSKTRIYFATAVATWDADFYVKVDDDVHVNLGMLTSRLAKYRARPRVYVGCMKSGPVLSQRGVKYHEPEYWKFGDVGNKYFRHATGQIYAVSKDLAAYISVNQPILHRYANEDVSLGAWLIGLEVEHVDDRSMCCATPPAAVRFVDCEWKKRAGNVCAASFDWSCSGVCRSVDRMKLIHNACGEGQDAVWSAGAT >cds.KYUSt_contig_1181.1157 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:7542619:7546666:1 gene:KYUSg_contig_1181.1157 transcript:KYUSt_contig_1181.1157 gene_biotype:protein_coding transcript_biotype:protein_coding MINSNWSSSDTTPCAWMGVQCERNNVVDLNLSYYKVSGSIGPEVGRLRYLKQLDLSSNRISGSIPAELGNCTLLTVLDLSNNSLSGGIPASLMNLKKLSQLGLHFNSLSGEIPEGLFRNQFLEVVYLHNNTLNGSIPSSVGEMKSLRHFTAAGNMLSGALPDSIGNCTKLEVLYLYDNKLNGSLPKSLSNIEGLMVLDASNNSFTGDISFRFNSCKLEEFVVSANQITGKIPAWLGNCSSLTRLELLQNRLSGQIPTSIGLLRNLANLILSMNSLSGPIPPEIGDCRSLVLLQLGANRLVGTIPKQLANLSKLQRLYLFENRLTGEFPRDIWGIQSLDSVLLYRNSLSGGLPPMSAELKHLKGFKTKLKEELNPFFGESSSKLNEVIESTENFDDKYIIGRGGHGIVYKATLRSGEVYAVKKLVGHAHKILNGSMIREMNTLGQIRHRNLVKLKDFLLKREYGLILYEYMENGSLHDVLHGAERAAILEWRIRYEIALGTAHGLAYLHNDCHPAIIHRDIKPKNILLDKDMVPHISDFGIAKLIDQSPAPSLTTGIIGTVGYMAPEMAFSTKSTIEFDVYSYGVVLLELITRKMALDPSFPDNLDLVSWASSTLSEGNVIESVCDPALMHEVCGTAELEEVCSVMSIALRCTAKDGSHRPSMMDVVKELTRARGDAAWLSKQEMTGCSSSC >cds.KYUSt_chr4.21387 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134697324:134699916:1 gene:KYUSg_chr4.21387 transcript:KYUSt_chr4.21387 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLGALPFIGPPGTFRRRISSLRSLELEVWSFDQDEDDRASVPSSSASFRQPRPLANQAGGGLGGLARRKASIGVSSGNSPRGDNKGDEAGLTSVPPPQTIPPSASAARWMGEGARNGPSLTPPSASPRPWAEAAMGCCGQSRERQSAIISVVRKKAVRQQLVDSASCPDTGDVPVNSKALKKRKCELLIPLVDNMVGILLVVGYVVTLGCYSQ >cds.KYUSt_chr5.35749 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225797951:225798376:-1 gene:KYUSg_chr5.35749 transcript:KYUSt_chr5.35749 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRPAEGGRGRKRARVEPAPAPPADFPFDEAAAAADPGEAWRRPPGVFQFPWQKCRGGLGVAGGGWELRDVFFRSLVDGGAAAIGVPGDRLVSPGKHQQRALFDDLDAWLAAAADGEVDPVWRSAIRGGAAGPSASASAV >cds.KYUSt_chr5.19021 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123116517:123117349:-1 gene:KYUSg_chr5.19021 transcript:KYUSt_chr5.19021 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLEKGGTRVASWTRGLEILIPRETLSIFPKVLNLGFQLYDSHQGLLLLEPAVKLPKGILPRFLVLDPATRRRVLLPPPPRDTVPDDRIWRTSRYYIGSALLSRAHPSKLCFEAICFAIDDGHPRAWVASVDDGDCHWRALPRDEDVLVEFDPWLFEKRGVHAAGKIYWHICNSWRVLVLDPSTLKFSYLRAPDALGCAKYRIGETPEDGRLCIVTDAESQLQLWVRGQTRWSDRGWLLERKIVN >cds.KYUSt_chr5.11432 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74179903:74180124:1 gene:KYUSg_chr5.11432 transcript:KYUSt_chr5.11432 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAVPAEGKSSWPEVVGLSSEEAKKKIIEDKPEANVQVVPADSFLTMDYNTGRVRVFVDSSDKVTKAPRIG >cds.KYUSt_chr2.40260 pep primary_assembly:MPB_Lper_Kyuss_1697:2:250099305:250101364:1 gene:KYUSg_chr2.40260 transcript:KYUSt_chr2.40260 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLVGNKCDLSHRRAVSFEEGEQFAKENGLLFMEASAKTAQNVEEGFVKTAGAIYKKIQDGVFDVSNENCVVNPEHELNFFFLIFCSPLESRLAMIPLANLGVLVAHLPKAVAAAAN >cds.KYUSt_chr4.11291 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68592766:68596611:1 gene:KYUSg_chr4.11291 transcript:KYUSt_chr4.11291 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASLLLLVVLSAAAAAAPQKDIIETINKHPNAGWTAGHNPALADYTVEQFKHILGVKPTPPGLLAGVPTKTYPRSEVLPKEFDARTKWSSCSTIGNILDQGHCGSCWAFGAVECLQDRFCIHQNINISLSANDLVACCGFMCGDGCDGGYPISAWRYFVKEGVVTEECDPYFDQEGCQHPGCEPAYPTPACEKKCKVQNQIWEQKKHFSVNAYRVKSDPHDIMAEVYKNGPVEVAFTVYEDFAHYKSGVYKHVTGDEMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNTAGDHGSSGFGTAIL >cds.KYUSt_chr3.26774 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166971994:166973323:1 gene:KYUSg_chr3.26774 transcript:KYUSt_chr3.26774 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSGAPDGGREGRPGRHAAFKESAADEYKEEGNLFVEMGHKHFTFKESAAREYTKAINQMGALSLLNPDSSILLANRTHAHYPVAKAALVLDLLTEAESFSRRGFEQEEPKKLLASNSIKGTRVRTGDLPMQPKARPLRETSMSVASGRPVSVLPHKMANMRGHYRINKKLRRGSSAPRAVHAQEEQ >cds.KYUSt_chr5.18219 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117664366:117664914:1 gene:KYUSg_chr5.18219 transcript:KYUSt_chr5.18219 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTASCFTSIKEALLIHAQNPKIVTLVLLLLVTSFLVSLDHVMSNRPLTGDMASAGASHLIEIKNTGPFSAGYARLLNEIKQDVLKLVIINIALQVVIPAFSFLKQIIAFTIYFADRYSLTRIIPKLVKVKNLKDPSITVALVALLVALLSALIRRRLGVLSIQGLVFLLAFLVFLIERV >cds.KYUSt_chr4.9098 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54712741:54720945:-1 gene:KYUSg_chr4.9098 transcript:KYUSt_chr4.9098 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLALRSRLLPHSAAAATAAATPHRLLLCLLSTAAPRHSHGHHHHHRRRRHSPTVYASAAAAAEAPRPMPPIARATRHPGGASSVARVYADVNAQRPKEYWDYEALSIDWGEQDGYEVLRKVGKGKYSEVFEGFRTGSQERCVIKILKPVRKKKIKREIKILQNLYGGPNIVTLLDVVRDEDSKTPSLVFEYVDNTDFRVLYPTLSDYDIRYYIFELLKALDFCHSRGIMHRDVKPHNIMIDHANRQLRLIDWGLAEFYHPRMEYNVRVASRCYKGPELLVDLLDYDYSLDLWSLGCMFAAMIFRVDPFFHGQDNYQQLVKITKVLGTDDFHSYLEKYGLEIDPQLEMLVGRHRKKPWQKFVDAENRHLVSPEAIDFLDKLLRYDHEERLTAKEAMEDRCFEFLLRIDGNPLSIKRLPDKFAEFGDGVEPAHLQLREASCNFCPWTVEVLFDGQGKMYLHTGWDKFARDLALEPGCQLTFLYEGDGEMIVKLFDDTTCRRHYHTGESDSDTDSENFECYFFAANMATGQLKPVVKVSGCSSSKEPTEVPTQLYFSVWVTGSA >cds.KYUSt_chr2.34111 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210832054:210833793:1 gene:KYUSg_chr2.34111 transcript:KYUSt_chr2.34111 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPCAPASRPILYSYWRSSCSHRVRIALNLKGVDYEYKAVNLLKGEQSDPEFMKINPMKFVPALVDDDAVIGDSYAIALYLEDKYPEPPLLPKDLKMKALNIQIASIVCSGIQPLHNLTLLRYIEQKVGTGESVPWVQQQIDKGFTAVENMIKGCAGKYATGDEVQLVRMLP >cds.KYUSt_chr4.44836 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277485840:277488206:-1 gene:KYUSg_chr4.44836 transcript:KYUSt_chr4.44836 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGKSTKSAAKPKGSKLAVKKRLSVKINEADKWKPRTDSMKERKKPAPQLASTASWLGALALFQRDYLKEFREKNPKDKSSRDTDKAPYEARSLAAALQYRKDVAKTAFYRKTWDLKLKMEVEMDKMSL >cds.KYUSt_chr1.25358 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152128219:152135404:1 gene:KYUSg_chr1.25358 transcript:KYUSt_chr1.25358 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRGRRRDSESRAWVVVCEHGGGLGSAVGEIESAKFYQSEVLGRVRPFLISSEVWVCKVTEDLACSVWRMFQRATMVSIFDVGVGAVVAGRTGKALFGGQIMAPPSINFNQFLEKEKLKGNGTNFTDWFRHVRIFLTGGNLQFVLEAPLGPPPPPAVSEDIKNVYETRATRYSEFGVPSCAA >cds.KYUSt_chr4.17311 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108297830:108298432:-1 gene:KYUSg_chr4.17311 transcript:KYUSt_chr4.17311 gene_biotype:protein_coding transcript_biotype:protein_coding MARIFTVAVVTVLSILLLRACPCAAARPMSTGPLSSGTSALAVPPSAAEDAQWRDADAAARAGKWLPYPYASGGVHRYPGFPRYYTGYPEGKPTMWAAGAPPALGGYSGQLGKSPYVDETRQEQVAMWASLLNPAHKPTMPTTWLPANGGDEPADQAHDEPKALDGAAEGGEMDVPQGGGGVQTGGQPKWGLYAGNNNGK >cds.KYUSt_chr4.6997 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41442557:41447755:1 gene:KYUSg_chr4.6997 transcript:KYUSt_chr4.6997 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATICTIWNAVGMSLDQRFAIIRSIGLESTDEDDIRRLLGKKVAPVCYVWCDPSPSVHIAQGIMMVINVRKMVKAGCRVKILIADWFARMQHKFDGDLAKIRTFGLYMIEIWKAVGLDLNGVEFIWLSDEINSHSAEYWALVMDIFRNNTLRKITRAFCPAKVAEGNPCLEYIKYIVFPWFGYFEVLRKEENGGSRTFQNMEELIIDYESGALGAGEVKLALAEALTKILKVSFLATSIP >cds.KYUSt_chr6.11326 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70158258:70160107:-1 gene:KYUSg_chr6.11326 transcript:KYUSt_chr6.11326 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRESAETLRNKCSACFRQYNKMEHLVEHMKVSYHSVHEPKCGACRKHCRSFESLREHLIGPLPKVECARVFSVRGCSICLNIFDSQTAARYHRSACQYSRAAPMPKSGAGGRAVAMACKMVGGGSDGSVDLCARVCLIGDDETVIFQTYVKPMAPVTNYRYEVTGIRPEYLRDAMPLKLVQRRIQDILCNGEPLWKIRPRSYGRARILVGHGVEQDLERLGLDYPTFMIRDTAKYPPLMKTSKLSNTLKYLTQAYLGYDVHTGIQDPYEDCVAAMRLYIRMRTQAHPRDYASGSGEVQNNYPAWRQRELERMSPEELMALSASDYYCWCLDP >cds.KYUSt_chr1.1356 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7367537:7368733:1 gene:KYUSg_chr1.1356 transcript:KYUSt_chr1.1356 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVLAVLASLLGAVSCEFPIYAGYGFPPPDPSVPYPLPPACPPLSPASGLKVGYYADNDKCPRAEEIVREVVEKATAGEKAGLIRLFFHDCFVEGCDGSVLLSGTDTERTAVPNLSLRGFELIEEAKAKVEKHCPGIVSCADIVAFAGRDASYNLSYGRINYRVPAGRYDGKVSRANDTFQNLPPPFGDLALTTAIFAAKGLNQNEMVVLSGAHSIGRSACSSFPDRLPPAANSSTAMEPKLAGQLTATCSAGSSVNVPQDAVTPDRLDNQYYKNVMSRDVLFNSDASLTTSSQTEDLVEFYAGNLPFLGGKFLGPLAWYHDFEDAMVKMGYIGVKTSAEGEIRKTCASINMP >cds.KYUSt_contig_319.731 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:4703080:4716370:1 gene:KYUSg_contig_319.731 transcript:KYUSt_contig_319.731 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPEGIFRDDSDEDDDNLHEREANKEMVVYLIDASPKMFTPATASQEDEKQETHFRTIVNCITQSLKTQIIGSSRDEVAICFFNTKEKKNLQELAGVYVYNVTEREPLDRPDARLIKEFSCIEDSFMSNIGSRYGITSGSRENTLYNALWVAQALLRKGSVKTVSKRILIFTNEDDPFGGITGAVKTDMIRTTIQRAKDAQDLGLSIELLPLSRPDEDFNMSLFYADLIGLEGDEIVQYVPSAGEKLEDMTNQLRKRMMKKRKVKTLSFAITDDVCIEVNTYALIRPTVPGTVTWLDSISNLPLKAERSFICNDTGALLQDPQKRFQLYNDKVVKFSVRELSDVKRVSSHHLRLLGFKPLDCLKDYHNLRPSTFIYPSDEQIFGSTRVFVALHSSMLRLRRFALAFYGNPTRPQLVAIVAQEEATSSGGQDEPPGMHMIYLPYSDDVRYPEEVHLTSGDAPRATDEQIKKASNLLRRIDLKNFSVLQFANPGLQKHYGILEALALGEDEMPDIKDETLPDEEGLARSGVVKAIEEFKASVFGENYDQEEAEAAAAKAGTSKKRKAITDAASQKSATYDWADLADNGKLKDMTVMDLKSYLTAHGLPVSGKKDALISRILTHLGK >cds.KYUSt_chr7.24796 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154549455:154552903:-1 gene:KYUSg_chr7.24796 transcript:KYUSt_chr7.24796 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCKDVGILAMDMYFPPTCVQQEALEAHDGVSKGKYTIGLGQDCMAFCSEVEDVISMSLTVVKSLLEKYNIDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALLNCVNWVESKCWDGRYGLVVCTDSAVYADGPARPTGGAAAIAMLVGPNAPISFESKYRASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYKQYCAKYEKLVGEQFSISDADYCVFHSPYNKLVQKSFARLYFNDFMRNCSSVDNAAKEKLQPFVNLTSEESYQSRDLEKGSQQLAKHLYDIKVQPSTLLPKQLGNMYTASLYAALASVIYNKHTSLSGQRILMFSYGSGLTSTMFSFRLNDGQHPFSLAKIASVLDVTGKLESRHETSPEKFIETLKLMEHRYGAKDFETNKDTSLLPPGTFYLTKVDSMYRRFYEKKAADGIIDSNKCSNGIANGH >cds.KYUSt_chr7.25811 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161065241:161068682:-1 gene:KYUSg_chr7.25811 transcript:KYUSt_chr7.25811 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGGRVRGFLRNRWLVFVAAMWMQSVAGVGYLFGSLSPVIKSSLGYNQRQVASLGVAKDLGDSVGFLAGTLCAVLPLWAALLIGAAQNLLGYGWVWLAVTRRVAVPPLWAMCILIFIGNNGETYFNTAALVSCVQNFPKNRGPIVGILKGFAGLSGAIITQIYAIMHTPDDAALIFMVAVGPAMVVVALMFVVRPVGGHRQVRPSDGISFTFVYSVCLVLAAYLMGVMLLEDLVGLSETVMVVCTIILIALLLLPIVIPVILSFFSNDDESAYAALLSSPRREEASGSVPSEEQNEVILSEVEDVKPKDVDLLPASERQKRIAELQTRLFQAAAVGAVRVKRRRGPRRGEDFTLLQAMIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGFEESHIFVSMISIWNFLGRVAGGYLSEIIVKDYAYPRAIALATAQVFMAIGHFTFAMAWPGTMYIGTLLIGLGYGAHWAIVPAAASELFGVKNFGALYNFLTVANPAGSLVFSGIIASGIYDYEAKKQASQHLNSMVVTMPGRLLGMVSDATPALKCEGAICFFISSLIMSGFCVIAVILSLILVHRTKIVYTNLYGTPR >cds.KYUSt_chr5.6736 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41738985:41741929:1 gene:KYUSg_chr5.6736 transcript:KYUSt_chr5.6736 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGCGDEPAPSPVLVRGKKRPPSPSTSPGHGEGSPTSDEDDNPWAVTDDEDEDEYQGKYRPFTVDEFPRASTYDEQDALDTNPEISLRGPKLLWSVRPFKPEIGRHPCGAYYQLSNESEISVNNVRTIDCSNKCRCHVMDLLQFIDLKIAGYRHAQPGSAKIFGFFATRDRIEPLRNYVYRREIGNCEVVTVKPKTGMARLSLGSPARGIGMTSHVLFEFKLCVRSEAPPENGPKDDLLIAGCAEFSKIMETKSFIQNRRIYGEKCGLDVKFLVVMNAVQAFVDVEILRAPACGFNLNLYAKTSGFSDVIRLYRGSADTGCRMSSVVAVEIHSYLDLRIEGTPKDDGGVSQELLSCVWEDSFDSCYHGEVDKVVDLDESTSISVKITWRSVD >cds.KYUSt_chr2.724 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4357003:4359439:1 gene:KYUSg_chr2.724 transcript:KYUSt_chr2.724 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVQMGGAAAEAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRIVPDKANKALSIVDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTLDTSGERLGRGTKMTLYLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDEDDAAADKKEGDIEEVDDDKKDGKKKKKVKEVSHEWAQINKQKPIWLRKPEEISKEEYASFYKSITNDWEDHLAVKHFSVEGQLEFKAVLFVPRRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEDLIPEWLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIELFFEIAESSKDDYAKFYEAFSKNLKLGVHEDSQNRAKLADLLRYHSTKSGDDLTSLKDYVTRMKDGQKDIYYITGESKKAVENSPFLERLKKRGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDEETEEEKKRKEEKKAAFEGLCKTIKDILGDKIEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGAYMSSKKTMEINPENGIMEELRKRAEADPNDKSVKDLVMLLFETALLTSGFSLEEPNTFAARIHRMLKLGLNIDDLGAEEEDADMPAPEEDGAEESKMEEVD >cds.KYUSt_chr5.4203 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26864244:26868166:-1 gene:KYUSg_chr5.4203 transcript:KYUSt_chr5.4203 gene_biotype:protein_coding transcript_biotype:protein_coding MDKENANPIVHDAVGSRRDASLFDVVPSTDVAAVPSTDVAASSAGASEAAATGRGLGFGRSLSGSDVGSDDEHHNIKTRQVLRRLQVGQYVSYFAKGVYRCPFCTRRLGGTDFNCLLTHAENNGNNFPKVGVSVNPHSFRAKHKALDMHLRSFPRVEISGGRMPPLKPKVPRGATSGGRGRWGRRSPGRVLLLPPILLLGCLLVATVLAAVLAGAWCAPPSVTFTVDKGSNEKNMALQIKCSKEGDAMKAVELKEHTYNKWLFLNKGAGGVWQVKSDKPLKGPFNFRFVTEKGMKNVFNDVVPANFKVGTTYAPKE >cds.KYUSt_chr4.33513 pep primary_assembly:MPB_Lper_Kyuss_1697:4:205517960:205521508:1 gene:KYUSg_chr4.33513 transcript:KYUSt_chr4.33513 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEALRAREIAVQKLGKRDFIGAQKIALKAQVLFPELENISQVLNVCNVHCAAETRVNGELDWYAILQVEPTADHANIRKQYLKLAFSLHPDKNCFHGAEDAFKLVGDAHKILCDQTTRSQYDIRRQNASRKAPTQATQQQKKSDTSKQNVPGYVLTFWTICSHCQVRYQYHIRVLNTLIRCMNCGNNFFTYKLEEQYVSTSSGVYTSSQVPAKTFPSQQGRPVKLPSAQGTTDVKPRVNVARCNEYMKGFSIPSTNQKANQSRTARGTSHFPAMNKDKPSVPPAANEHMGGWSIPDPANPDIIGRQKSCTEEASAAPNAMNIPGPVKLSSTGANLYMKARINVAQCDIDGHGSAGGEKEANRSDSKRGNVDIPATNTSNFSAQTAHRNTGVGGMPGPADLNVVDRKNLGKEDVSAVQNAAESPSIRRSARRKQDADGSSSMNSNSKKKQRKNDFPSNADLNLKQIFDDNVSNAVRQSVPSNVPSKVDIQAKAKTARKKQGADGRSSLNSNSKNKQMKNDFPSNADLNLKQIFDENASNAVRQSVPSNVPSKVDIQAKAKTARKKQGADGCSSLNSNSKKKQRNDFPSNPDLNAARQSVPSNMPSKVDVQENAKYTDIVDQDNMEAEVTDTVGQDQPCYSEKLSFPDADFFDFEKLREVYLFAVGQIWAIYDNLDGMPRYYARIKRFDASNFKVHLTWLEHVAANESEDKWTDEELPVACGSFSLGATETSQDRLMFSHIVPWTKGKKRNYDIHPGKGEIWALYKGWSMQWVSDADSHRSYEYEVVEVLSNFSFSAGVTVAPLVRIKGFVSLFATAKDKSEFVVAPSELLSFSHSIPFHRTDGSEKVGVPGGLLQLDPACLPVDLDAAFSSVTLDSCMFPDKKKGSTFIDLSTRDSCISPDKKEGSTFVDLSTDSPSSSGKHEDISSEQNTCLQKIPHGPNALDDLSQQKCPSLSVYTYPDSEFHDFEECRSREKFERGQIWALYSDVDNFPKFYGWISKVELEPFKVDLTWLEACPQVGQEKQWLEEDIPVSCGKFKIRNWQTKYETKDTFSHLVYTRQLDASLQIEILPQVGQIWVIYMNWTPDWIPSSTDACEFAIGEIIERTEVSTKISLLTKVKGYTAVFKPRKPKSVLEIPTRENLKFSHRVPSFRLTEESGGKLRGFYELDAASVPDVFLY >cds.KYUSt_chr1.30640 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185509595:185514838:1 gene:KYUSg_chr1.30640 transcript:KYUSt_chr1.30640 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEPPSPPPPPPPPAPTTINALTDDLLREIFFRLPDLPSLACAAFSCRAFLRAVRSSPAFRRRFRELHAAPILALFIEPYMRAFVPAVSHMSDSDTGITAAFADLLRDDGSSEWMYHPEAPYSDGYAFFINRNTEQNIFYNVHTQALYIYPRKSHVGVHTFLEFRTLPADRDGQRPSRVVCVHHDSSWAWARLAIFSSHAMEWQVIPESGTPLLKGGSYTIGTVIGGFVCWLYEDCILALNIAKFQFSLVDLPSPSDPDLNSCFNIGQTKDGELCLVGAQECTLSVWLLTPDNGGVERFVLHKAFPLHTSLKEVTGRPVEDIVDVGSQLIMAIDGFVYLAVVYNGDPQSWFVSVCLETTDVKLLFKRTSCSSCFDPCIMAWPPAMIHNEEDSETEVIGDSVGDNGRVGIEEASPILFTALRSFKEALIDDGNAKFVCYMDSFLLDDEILVAKSTGFSTFATPIHPIEFDKQFTVWLMPRVDTMSRTIGPVDGKKIMIFQEDAAMVFGIPFSGKEVYDSSLDKSATMRQEVMALIGMEDCKAKPNYAAFKTLSDLAGRELNEEEEAKLRCHSPCSCCGCCAMEETLERRSQSISGQL >cds.KYUSt_chr6.10455 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64550986:64556464:-1 gene:KYUSg_chr6.10455 transcript:KYUSt_chr6.10455 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTTPHSPRISGLRASSAAVAEQEKYLAELLAERHKLNPFVPVLPHSIRLLNQEIIRVSTLLENASLLNQSGLEHGSPLTSGGLYSNGAATDMNGWTSAFQSESSSAYSWRGGSQGSSSGLIVKKQMRVDIPVDQYPTYNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEAEMPVEIIDARLMQAREILEDLLKPMDESQDFFKKQQLRELAMLNGTLREEGMQRSGSQSPFHNSLGMKRAKTRG >cds.KYUSt_contig_990.198 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000093.1:1025399:1026304:1 gene:KYUSg_contig_990.198 transcript:KYUSt_contig_990.198 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPGEAATPSAAVSSPWDDIPDDFFLSASISSPCPPPAPAPILCTSPSPPQALRSSFLPFTSTHSASAHFSDSLHHATPPESIHPSHSLPALSAASRSAAADVWHPPPGPHHSHSLPEFSASVSDSHVHRRSPYNSVCADRPPPLELRPRPPRESQAGTALRALACFASPAVGTSTHLWAAGDAGVRVWDLADAFRSPTSRRRWGDEASAPFRESRRTPPALCLVADPGRGVVWSGHTNGRIMAWGADRGPEAGECIGWDAHRGPVFALAISPYGISPWLFSMSISMIRMRLHDNDFRGN >cds.KYUSt_chr7.38171 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238005241:238010358:1 gene:KYUSg_chr7.38171 transcript:KYUSt_chr7.38171 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSPTPVHGPFSNTLPAATADMVLGLGVTSSSLARDSQAPSTRAVAATGTYTSAAGVGWLSRIPMVGSDEAPASGRACALASEPLLRFAMVEDEDDIAVHPGSHKFVWATAKGCRHALIIMLIPQFYIFPQVPGRFGLFGVDVPGCKIGGGVDLVVVDLVAA >cds.KYUSt_chr6.33635 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211228620:211229093:-1 gene:KYUSg_chr6.33635 transcript:KYUSt_chr6.33635 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYLTCNLAPGRGAGAQDDGPSQPQTATCCTALHRGPGSTQPRFAPIRTPGSAALMLEDDDHTIEEEETDEGEGQQQQQQQEQQKKKLAKTPTRKAAEATKTMIKKMVRKCKSSVADVDASRIAADTGTPSRLRRSVAVRRDWSFEDLHGGGHNAA >cds.KYUSt_chr3.21999 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135527993:135528571:-1 gene:KYUSg_chr3.21999 transcript:KYUSt_chr3.21999 gene_biotype:protein_coding transcript_biotype:protein_coding MASWPQLSAKAAADGHRCDIDLDLKPRWDDGATTYLIRFDLSGFKKDEFKVLVDAGGRLTLRGQRPSGHVRVNKALQLPPTADFDKIAARFDGRMLCLTVPKLPVAEMALARMDEAKELAAWETEAEKERSEWDRGQVIAAAVAAFALGVVVTHKLLSAKHV >cds.KYUSt_chr2.5144 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31907972:31909233:1 gene:KYUSg_chr2.5144 transcript:KYUSt_chr2.5144 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPGGPLTTNKAAALAKFLERKLQQPDGLDSLNPDLVNLAVKNAKETIKASKGESSTSGRVVRHVPSFEDSSEVSDQEEQRKAKKKKKNKRKTKAAKESKLNKTSKKKKLSL >cds.KYUSt_chr2.7360 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46199577:46204212:1 gene:KYUSg_chr2.7360 transcript:KYUSt_chr2.7360 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASREGARGDDAWSEILASGGGDGGGAHIGAVYVRRRAQEASRQRNADARVSLAAAENRPSFVPIRRNSWNRALSIRGNILLARDEIPDKNRTMTATLQGKRICARTFGLSISIIDFTLSRINTGDAILFLDLSEDPELFKGLKGNKQAETYRKMKQITKEYWEGSFPQTNVVWLIYLVDIVLQKKYETCTSKDERDLRSFKKRLSSCGSARDCLADPIFSDLLSEEEDA >cds.KYUSt_chr6.25741 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163067424:163075352:-1 gene:KYUSg_chr6.25741 transcript:KYUSt_chr6.25741 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGVGGVSGRFSVSWLSLEEDCGATDVTSQVREFNGGLIEESMRKYCEDKKSVMFEPEVGMQFSSTEEAFQFYNMYSWVLGFSIRLAKEALVDDVQKTLQSFREKISRDPRFVFTAELDEDNRLNSLMWTSGRSRSLYQHFGDVITFDTTYETNIYKMPFGMFVGVNNHFQSVIFAGVLLTNETAANFKWAFQEFVAMMGGKPPQTMLTDQSLAMTIAIKENLVSTKHRWCKWHILRKAQEALGHVHKLHSTFSDEFNKVVNHMLTPEEFECGWDYLTKKYDLGGNPFMTRAFEVRQKWAKPYFNDIFCARMSSTQRSESANHVLKVYVPCKSSINMFVKQYTKLIDDREKADDEAEKNRSQVMTQTGVMKIPECHIMKRWTVDARKGSKVKSGKSVAVLDDEANRTLRHKNLYMHVLDLVSAGEYDKTTSDLAMKYVELAKKKIEEYKMTISRTCQVGYNLPTSINGDKEVTMEGIGETGDTSSCGLQLYDRAQNCGIEVSSIKAPIVKMKIGRPTNRRFLTRFDANITRKKGVVHGNKKVNVPGGRTGVQQTRFCSCCKSPDHDIRTCPVKNDYNVPPKKKSKQSSTAHFKF >cds.KYUSt_chr5.7889 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49753405:49758043:-1 gene:KYUSg_chr5.7889 transcript:KYUSt_chr5.7889 gene_biotype:protein_coding transcript_biotype:protein_coding MDKENANPIVEVASKRDASIFGAVPSTAAAAAAVAGASEAAAAGGSQIPAGWDPYDPVPYVPPLNPYDTSLEDPWNEMSSSDVGSDEEEEDVKTRQVLRRLQVGQYISYFAKEVYRCPFAPGRLGATDFNRLVTHAENISNTFPNVGTTVNVHSFRAKHRALGMHLRSLQRVKISAGRMPPLKPKAPKGSKSNKWRQSQMGDKTFQLQPPARPLIEAKLVQTFQASSKRRTTIVLSTTPLHLQLPSPLLIADEQPTKCTRPGRSNCCPSPPPLDSRERGDWASIDDHSPCARPGSLAETPPRRKRAPPPATLLGLHHRESELRSSYSELHLDDEKHEPRGTAAAAADLFLASLSAVGRRDHHSPTRGLVHDLLTHLLPAAEKASSASRLFQENRIDKTRAELDNSRSVANHTQNQLNQYAETIKVIAKERRTLRQLVAKRDSTIHRLKAKLAVLKETIATQAEQLQILEGEGEGEDIQGDGYSYVSNDNDYEEEEDGDLDFHQHLPAGMDTTFPLRIDG >cds.KYUSt_chr4.27862 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175086823:175091520:-1 gene:KYUSg_chr4.27862 transcript:KYUSt_chr4.27862 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKEQGNRRSGQQESKASRAAMAGRDNTKRKGDGRRRREQGVGKEGGKKVGQQFCRLFLAPESGERLRIPPAFCQYLQNIPTGLISLKGQSGNTWPAKLSSDTEGLFFGHGWKEFVMDHSIESGHILTFSYDGHSRFSVVVFDGLCIEKSSAFDAKPSNNLVCIIETDEEDNDISAASVDYKSLSLNSTEAVVKVQRGPEAMSQRLPVSEDQKNYALQKAKKYKSKYPTTLEIMKDTNAYKTFFMIIPSDFVRDYLPHTDKKLTLWDEQGKAWEVSYVYCSKRSSGGFSKGWGAFSRGNHLEKFDVCVFELFSEDNIKVHVYRANPGLTPYILQSNN >cds.KYUSt_chr4.50875 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315395662:315401373:-1 gene:KYUSg_chr4.50875 transcript:KYUSt_chr4.50875 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPAYVVLAAAFRTKSPISGMRRINPFFSLCQSTPLVTNLNTVLAQVGFDSTMRQGNINHHAVPLRQQWVDFRQGRPILCIMWYEGSDMGRGIGAVPRT >cds.KYUSt_chr4.24676 pep primary_assembly:MPB_Lper_Kyuss_1697:4:155277393:155279741:-1 gene:KYUSg_chr4.24676 transcript:KYUSt_chr4.24676 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVDRLTGIKPLGPPHREEGACRPWGRKEPAGRGEEDLVGLTGPGAAALGYGAAALGYGAAACRWGARLRRGGARLRRGGVQMGSSATALGYGAAASRMQIFVKTLTGKTITLEVESSDTIGNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr5.6773 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41971494:41979855:-1 gene:KYUSg_chr5.6773 transcript:KYUSt_chr5.6773 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCLDDKCKLSKKGSRRSATSSSPVSPKGRTSRGSGRSVPGRLASLAREQRARKLVASTSTTPVAAHPSRLESPIPIPSWPLSSPTPMAVVALIPPKEEGSSVGVRPVVLQAVGHGVGRVWRRRCKGGRPIGYEKHIQSSRLSTHTNAHAIEVATAVFLDSIFKRDHRITHARLAIDAATAPDDTTILRASITLHPSPRHHCTMPRRLDDADTAKTHCSTSAPPPSVVCSKTMPPTGRTALRAAIVRSGRPWSRVSPGAAQAKNADCRDNAFNKHPEASHPYPQRPKQKKKEDSKHIADKASEIEERNREDIMEMCLDDKWKLSKKGSRRLAAVAPTASTTSIPVSPKCRTSRGSGRSVPGRLASLAKEQRARFYIMRRCVTMLVCWRD >cds.KYUSt_chr5.43409 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273532077:273533069:-1 gene:KYUSg_chr5.43409 transcript:KYUSt_chr5.43409 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSCFRDAAVTTLPSSVAAGDAQHLDRSLQSATACVYRATLSSGKELLVTVTWTRSLAVPGDAATGFSVAVEDAVPLAPPKLLPPSSAAKTAPTTPRRSTPLQAYKMQLQTKRRGARSFATEGGTAVAVHWDTSDARYPSPSSPEPSGGYHLAVVADAELALLLGTELPRRFAAASTPCRPALLSRREQLRAPPTPTSAAVAHSTRCRFRDGGEEHEVTVCCRGDRRATASDESEVAVSVDGRTLVEARRVEWNFRGNRTAVLADGAVVEVMWDVHDWWFPTGAGAAQFMVKARGGDGGGLGVWMDEEMASKGHPPAGFFLHMQCYRR >cds.KYUSt_chr5.21743 pep primary_assembly:MPB_Lper_Kyuss_1697:5:141946895:141952320:1 gene:KYUSg_chr5.21743 transcript:KYUSt_chr5.21743 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGIGLRGVDNDGTAGCSLNSGGVGSALGGCASTVKQADTGSQGTFSVALRKKRGRTAPPSSRCLRGKKDDKVMDSDDAINNLNHACNVQATAVKNTTAVDKTAQAILDDVYKAEMNSTSSDNESAEEVEDVKVCDICGDVGDEEKLAVCNRCNDGAEHIYCMQVMMEKVPEVEWFCEECQAEVDFGKANLQKSQVKVGISKQESIEEKINKPINAAQGRSSSENEVDAETVGRKEWNEANQAIDTVTRRNEEDARIMTVAKQNIPEPGVLLMGSDSRKRIPLSRDSSFRPTGYSGSERYILRFDAGQLSKSTSFNTSKVPKVKQLVNEAPQKTKTLKAQLTSSMKKEGPMCLSAKPSSFKKPKTCESAIKAKTSTIPPAEELTVINLPVSRNASNDRGTSILGCPSIAGSIAFPVQSKAESAAERLTTGNNTATFSNLGVANEQGAGNVPGNSELNKPLLAKAPVSVTSTSSPRSLGILGSGSQRNVFQKTCSQQFTAVHSRGQKTCSQQFTAVGRRPVHSSSTMSSDLMDKLSQAFLPGDKTIVSTVPELDYIWQGDFELWRTGRSPELCDGFQAHLSCTASRKVLEVAKKFPSRVQLEELPRQDSWPMQFQENGPTHENIGVFFFARDAHSYEHHYSKLVQNMLNNDLALRGNIETAELLIFPSNILSNNSQNNQSRVINFNNTESLMDIYHFNSVELNSGAVNPVSHASGGAQKRKVDMLNWTDGVNGSLDKKIKLDNVSSTVESSVSENIRDGYPLAASSVDDCTDNKAMPGTSKSNGKCIFPLDLNAVDDDATTGNVVTILSSDDEDLPEQNTQGPKLELGDNEFSGKSIFSFSPMVEEKWNKGESLPTDTSGVLSLAIAFPASKEQAGKMQSESCNGL >cds.KYUSt_chr5.13750 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89414218:89415792:1 gene:KYUSg_chr5.13750 transcript:KYUSt_chr5.13750 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEKPSGGTSPSRRKKFLLLLLVAAAAMTIAASARAASTQGIGSGMGKAAEGAGSGASSAAEGAGSGASSAAEGAGSGAGGAEGAVRGASKTAERVGSFASSDAEGAGRGAGTGANGFGSGAGQGADGAGSGAGKAAEGMGSGVGKASEGAGSGAGKASEAFHN >cds.KYUSt_chr4.49563 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306865540:306865917:1 gene:KYUSg_chr4.49563 transcript:KYUSt_chr4.49563 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAWWPVIAAAVPAIVAGQVFRVKRRRDEEQRLKAARGREKSSDEVFVCERVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLKRCQSECLKLSSTIM >cds.KYUSt_chr2.23908 pep primary_assembly:MPB_Lper_Kyuss_1697:2:145809225:145809506:1 gene:KYUSg_chr2.23908 transcript:KYUSt_chr2.23908 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSRKTTSKFILLPFQVLNCNTWMFEESLIRYAREVERLCIMQSVTEVHDPIGHGESSSSFSGRLQIEKSMNTPPRRVCALYAMPFVAGVGA >cds.KYUSt_contig_2431.54 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000336.1:360247:364001:1 gene:KYUSg_contig_2431.54 transcript:KYUSt_contig_2431.54 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPPAVLGLVVLLVLVLSPNGAEARPAPAGGHPQKKLSSYSFFVFGDDFADNGNLPLTDPVTQMSRQWAYPYGSSYVDADGNPRPNTPSGRFSNYQIQSDFIATILGLEEAPPAHALTAEKTCDPSGMTFAYAGAGVLDGSSTHKVPTLAKQVETFSKMVKDGTITQQQLSRSIALVAISGNDYHGSSSTIGLSNPNDINAYIGRVTKEIAANVEQLQKLGVTKVVVNNLHPVGCTPLQTRTNNYTACDVFGNLGASVHNSNLKQTMEGKKNVHVADLYTAFSNIVDTAPGKGQELSKQFKRKLSPCCESFDSNGYCGQQGDSSELLYSVCDKSNRFFYWDDMHPTHAGWEASTMVVHMREGQVVRYKVKAKADMVRNNRTLIRCPCRKCGLRQWIDPDSGQLEEHLLRHGFMLGFNEEPATNVGHEEEADIGREDEESPEHGVHHEEGEADEGEDDAGADGGGEAESTQMPLTSALQDPHV >cds.KYUSt_chr2.5218 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32407405:32410854:-1 gene:KYUSg_chr2.5218 transcript:KYUSt_chr2.5218 gene_biotype:protein_coding transcript_biotype:protein_coding MERWRRVSVVALLLLLLSACHGKELSVENHDQSLVYDHTLAKTLVEYASAVYMTDLTALYTWTCSRCNDLTQDFEMRSLIVDVENCLQAFVGIDHNLNAIIVAIRGTQENSVQNWIEDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRVAITSAVRKARNTYGDINVIVTGHSMGGAMAAFCALDLSISLGSKKVQLMTFGQPRVGNAVFASYFAEYVPNTIRMVHAHDIVPHLPPYFSFLSKLTYHHFPREVWINDSEDNTTEQICDSSGEDPNCCRCLSILSLSIQDHFTYLGVDMEADDWSTCRIIRAQSVEQLRKDLASNIIMAKHNVDVSIVKNGVQTDWSSSR >cds.KYUSt_chr6.31709 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200386361:200389044:1 gene:KYUSg_chr6.31709 transcript:KYUSt_chr6.31709 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPFVREFLGAEVAGTELRTFAGGRRFTGVIESVLVGERKREVVERMFAGGDMPDVGLGDCESDHDFMAICKEAYMVPTNKRAPRATPDDLLSRVIFHDGRLVRRPDPKHALFALAYLPVGFALALLRILISHHVPRSLVRHAYRLTGIRLTVRGTPPPPPSRGKPGSLLVCNHRTAVDPIFVSIALGRQVTCVTYSVSRLSTATSPVPMVALMGDRKVDKARITTLLESGRDVVVCPEGTTCREPCLLRFSALFAELTDRVVPVAMEAVQSTYYGSTARGWTSMDPCFFYMNPRPGYRVTFLPALRAEETCGGGARSVVEVASHVQAVIAKELGYKCTGFTMKDKYMKLAGSVADECDPNGKKLA >cds.KYUSt_chr3.33382 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209583751:209590334:-1 gene:KYUSg_chr3.33382 transcript:KYUSt_chr3.33382 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRVIHIRNVGHEISESDLLQVVQPFGTVAKLVMLRAKNQALVQMEDLAASVSAIQYYTTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQDEPNRILLVTVHHMLYPMTVEVLHQVFSPYGFVEKIVTFQKSAGFQALIQFESRQSGIQAAGALHGRNIYDGCCQLDIQYSNLTELQVHYNNDRSRDFTNPSLPTEQRPRSSQPGFNDPSGLFGFQQPGAAYAQAAMVAAAFGGTLPPGVTGTNDRCTLIVSNLNSDRVDADKLFNLFSIYGNIVRIKVLRNKPDHALVQMADGLQAELAVHYLKGAMLLGQKLEVNFSKYPTITPAPDANDYSTSNLNRFNSNVVKNYRHCCAPTKMIHISALSQEITEDAILDHVSEHGTVVKSKLFEAGGKTQALVQFESEEAATEALVYSRSDRSYLSDSEDELYLSYPVADYAGGGDSKEESEIADLFDFFLSNPPAEAKVQPPPPPADHPAAAEVADRSVAEAAPSPEMVVPAAESVSCFGCGREPVLRLVPVEHVCFCQPCRSNPSRWSYCAFCDGPLH >cds.KYUSt_chr5.15514 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100186110:100198826:-1 gene:KYUSg_chr5.15514 transcript:KYUSt_chr5.15514 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSGDRGAHQRHAKLDGEAREGLCRRIEPSPASEKTARRGRWRELQLDSSRTETMSRTAVPMVVSARHGDVSIVGDGRRQCGLGFREKRMGTSLSSIFRTKGYSAWLGLLLDCVAGPRWWTPAGPLRLARSRLSQHTKGNGLQPNTLVQWLSFILKYVKLGLRLTPAENSWSAKTKMGQRMALVHHRLNLLDDSRHVQEDGDFKDEELAIVFGEQSKELDDYIILKRKRGRQAAGAESSKSKMEQKGIFFNSGRSSDCKSDKDDDFETSSNNDDFQTTRKRGRPTGSKSAKSKMEQKRLLFDSSSSSDCRSDKDDDFEPTTKRGRAPGSKSAKSKKPQLVDSRSRSSEGKEDKDGDLEPEVSDDDGRDKSARVKDFYGTAWDRAVKVNEKLPEEGPSFIKLMLKSHVVRGFWLVYVLRENKFTTTDGALSLLNLDTSKENNISEENSSDGDVMSKEDSEGTRLGGNGTNDDSSNLAIEEAADNDGIRSPDHPDTGFNTMTSLRDFKTVIDGSAIDRKLIPAHMRTAYYELCLARKAFLHRGLLKQISPMFAAGVIMETVNIAVDIRASSASSSSLEDLAAWKKILESFEFLGMDVAFLRKRVDGLLALLTAQPDLQVAAQECEGYEKVKLERNNAAEEMRALESRMSSLKDALEEMDVEMKEMVESSARKKKDQAMRQLAAAPW >cds.KYUSt_chr1.7392 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45286407:45290765:-1 gene:KYUSg_chr1.7392 transcript:KYUSt_chr1.7392 gene_biotype:protein_coding transcript_biotype:protein_coding MYMKMPCTVSGLWPPINACHVACQIHKTDDAPTVTPPNLLVHQLQEWINRPISMEKRRVAIVGAGVSGLAACKHLLERGCRPIVFEADTVLGGVWAHTPDCTSLQTPRPLYQYSDFPWPDSVTEVFPDHRQVMDYLGAYARHFGVLDCIRFGHRVLGMEYVGVGEETVAAWDEWGGSGGAFGSGDGVWRLEVDNGADGQIETHIVDFVILCIGRFGGVPNIPTFPVGKGPEAFDGQVMHSMDYSKMGSQKAKEMMQGKRVTVVGYLKSALDIAAECAEVNGTEQPCTMIVRTKHWIIPDYYAWGVHISKLYLNRFAELLIHKPGEGFLLGLLATTLTPLRWIFSKFAESYYSIPMKKYDMVPDHSLFEALVACLIAITPKDHYKRLEEGSIVLKKSKTFTFCKEGVLLEGEASPTKSDLVIFGTGYKGDQKINDMFKSEYFRSIAVGSTSTTVPLYRECIHPMIPQLAVIGYSESLANLYTTELRVKWITHFMDGGFRLPSVGAMQKDVLEWEKFMKHYSRGYFRRSCIGILNIWYNDQLCKDMGCNPRRKKGFFAELSEVYGPGDYANLHPK >cds.KYUSt_chr1.22813 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135059809:135060141:1 gene:KYUSg_chr1.22813 transcript:KYUSt_chr1.22813 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTRSLFFHQWDCVSMLGDAVDMLRQSVEAMAEQAEEEEGQATQQAKFRVDSVRTWASAALTDDTMCMEGFKGEAAGVKEAVRGHVVGPAHLTANALGIVNAMAAQTPP >cds.KYUSt_chr7.14379 pep primary_assembly:MPB_Lper_Kyuss_1697:7:88871107:88874669:-1 gene:KYUSg_chr7.14379 transcript:KYUSt_chr7.14379 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTVLAAEAGLATVLLFKTPLRKLAMLALDRLKRGRGPVMVRTVAATVLVVLASSLHSMAKIHGHAGAGELDAPGALTPTDQVLLARHMLEASLMGYSLFLALVIDRLHNYIREMRGLKKNLEAVSKQNKSLQEAKFGSSDDDESKPHQKDIASLNEEIKKLKLKLKEKKGEVKDAEAKALAAKTHSEGLTLKYDRLLEDNKHLLDQVQSGDIAVSGKKNT >cds.KYUSt_chr6.10427 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64327015:64330223:1 gene:KYUSg_chr6.10427 transcript:KYUSt_chr6.10427 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDGNPNANPNNLNSPFDIWMLFSPPHNQPPPMAASAGNPNLNLNNPILPFDIGMPFNSPHNQLPPMASPAVALDLNLNNPNLSVDTGVLFRPTHNPTPTAASMYPAAASQPCLSSPDIYPVTTHSFHPGPYLNYAEDPQPPMPHTDISVPMLNSNTNPVRSGNLVGPYLHYAQDLQTPVPRPAISFPMSNPNQSGITPRPYLHYTQGLQNPMSHPVTSLAMPNQCANPNRDAASATSTTLQAWRLSLYGTPNGRQSPVDVIPISDSDSEFESEDYFEDTSTHSKSNGKAHSKSNGKASSDSLKTGGKASSFSEGLTGSGKGGKGSASFSAGKGGKGSASNAKPPKSDPELKLELDMPPNSRILMDCEAAEMLQQIHEHMAILSEDPKIKIPESFDKAFQYAKEGNHFTTAKSVKQILEPLKKYGVTDGEICMIANIGPETIEEVYALVPSLKATKELNESLITEALDALANIKASK >cds.KYUSt_chr6.27580 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175035056:175038339:1 gene:KYUSg_chr6.27580 transcript:KYUSt_chr6.27580 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTLKDYGVSLGTVPLLCDNESAIKIANNPVQHCRTKHIDIRHHFLRDHVANKDIDLTHVGTTYQLADIFTKPLDEARFVNLRGELGSWSGEPERRGTVAKRGRTGENPPRRSSARAPPAAHQTTDTGSSERRRTKSVGGKKKDKHDAQEDDEVVPDFNLADAHRGEWREVRLVNPYRHERRTYLGGDKFFWTKTQATLWEGFYDDHDSMKNGTVVMPKAINPHELALHEATKYRFVVETLKGLGVYDLVILKPDEAQEDYTYCPMLVRQFHCTVYFHEDDDRTMTWMTGKEKYSCTYTQFCEALGCGGDRVPGYRIHSRSKMTKGDISFCYPTNPTAAPPTISGMYYSYLVLAKLFRENLISKSGDTSEVRNYHLNLISKDRETPRPKNFAERPSKETNITKKPEAKLQPATPPLTAGEHRQGTRRSHIAQTGFRSRIGSPQSAELIRILKTIGWGSTPSSSHPGPGAPDPPPPDPFPTWAIIASRQSPAKPSNQQANRSQQKPPRPASTTAIKERSRKIRTCKIDLICGDSRVSPPNRIRLRPWKHHDEEGEDSTTPDRGRPIRSLSPDMKPR >cds.KYUSt_chr6.16443 pep primary_assembly:MPB_Lper_Kyuss_1697:6:103415234:103416085:1 gene:KYUSg_chr6.16443 transcript:KYUSt_chr6.16443 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os02g0631700)] MSSFIGGVVGAVAVGAPVDGGASPLHILAVDDSSVDRAVISGILRSSRFRVTAVDSGKRALELLGSENNVSMIITDYWMPEMTGYELLKKVKESSRLKQIPVVIMSSENVPTRISRCLEEGAEDFLVKPVRRSDVSRVFNRVLP >cds.KYUSt_chr2.2907 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17179983:17182922:-1 gene:KYUSg_chr2.2907 transcript:KYUSt_chr2.2907 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLLPQCCCGGGLTPLPLPRRATALPPPPPAAGASRRALSLAIPAASRRALSLRVAVAAPTRLVTAEDDGSRSQAAPAQDDGPSESEFDPAAPPPFGLAEIRAAIPKHCWAKDPWRSMGYVLRDVVVVLSLAAAAARLDSWLAWPVYWAAQGTMFWALFVLGHDCGHGSFSNNTKLNSVVGHILHSSILVPYNGWRISHRTHHQNHGHVENDESWHPLPEKLYRSLDSSTRKLRFALPFPMLAYPFYLWSRSPGKSGSHFHPSSDLFQPNEKKDILTSTACWLAMAGLLAGLTVVMGPLQMLKLYGVPYWIFVMWLDFVTYLHHHGHNDKLPWYRGKAWSYLRGGLTTLDRDYGWLNNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPDKSGPFPFHLFGALAQSMKRDHYVSDTGDILYYQTDPKIAGGAQTSD >cds.KYUSt_chr3.41754 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263494898:263497854:1 gene:KYUSg_chr3.41754 transcript:KYUSt_chr3.41754 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSKEGSLKLNSQRALSFLLGAALPTILLFALATDRVGEQLSSVSGGLWGYKYNGGTRPAATALQEDEEKFPGLAELLPRVATEDRTVILTSVNEAWARPGSLLDIYLESFKNGEDTAHLLDHLLVIALDPAGLRRCVSVHPHCYLLENVTAANLSSATRFMSKQYLELVWTKLSLQQRVLELGYNFLFTDADMIVLRNPLRRIPVYADMSVSTDDFSPARAHPLDNPINTGLYFMKATSRNVAMLRYWRAARVRFPRAHDQQVFEKIKRELVAKLGVTIEPLDTAYFGGFCEYHDDLSTTCTMHADCCVRVDNKVHDLTDMAADWKRYTAMTPEERKRVGGNLTWTVPVRCRESVKWRKPVHP >cds.KYUSt_chr4.8433 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50491607:50492989:-1 gene:KYUSg_chr4.8433 transcript:KYUSt_chr4.8433 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAFPSGTTPRRQSQEAVHIDLKKLRRITVKVKLAPCRPGSDDPRVDLNCVHHLVRRQSMSITLPANNFVLDRLMRKYCPPFILSFANYVEIVCRRSRGRHMSGCGIRKDIAERLKNKQTAASIDAITTAEVECSHTSGTSTRAAAIDEGNDVLSSQMQHSELLLRNAERLKQTQDKAADSLPTHLAHHRFGDYTMGMIPEKTWDLMFGKPRNIMRFSLPATSMIQLFSVPSLWGGRYLRLSANGD >cds.KYUSt_chr1.734 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3927335:3927838:1 gene:KYUSg_chr1.734 transcript:KYUSt_chr1.734 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSMKQLAAVMLLALAYAMIVTAQTMEQDMVDSHNVVRANVSVPPVSWDPTVAAYAEAWAEKRRADCLVENSPQGRPYGENIVGANGTEWNHVDAVNFWVLEKQYYDHATNTCSAPPGESCDAYTQLVWRDTKFIGCAGVICDGDVGVFLICSYDPPGNVVGQSPY >cds.KYUSt_contig_817-2.100 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000077.1:666621:666996:-1 gene:KYUSg_contig_817-2.100 transcript:KYUSt_contig_817-2.100 gene_biotype:protein_coding transcript_biotype:protein_coding MEQETNRFGGGGWGKTMRMRPPRPVWGRWRSSTPVDRFHGFLGLPVEFEVEMPPHVLSARSTHDMLEELIAVTEMPRSKLLTTSA >cds.KYUSt_chr3.40662 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256491206:256496085:1 gene:KYUSg_chr3.40662 transcript:KYUSt_chr3.40662 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASSSSSSAAAPPPAPAPAPAPALDPEAIALMADEAPPEEMTLVLKWNGKEYTVRAMGDDTLLELKRRISELTDVLPKRQRLLYPKIMLNDDSVLLSSIPFKRNLKITMIGTVEEEIYVDQPDDPEVVDDHELFKSEVTAIKDNEVYKKKLKRRASQYKFKLLNPCREGKRLLVLDIDYTLFDHKSPAENPMELMRPYLHEFLTYAYAEYDIMIWSATSMKWVQLKMEQLGVLSNPNYKITALMDHLAMITVQSDKYYANKIFDCKPLGIIWAKFPEFYNEKNTIMFDDLRRNFVMNPQNGLVIRPFNKASKNRSRDKELVHLTQYLLAIAELEDFSKLDHDAWESFMENGKRRRHR >cds.KYUSt_chr5.16021 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103235748:103238629:1 gene:KYUSg_chr5.16021 transcript:KYUSt_chr5.16021 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGMSAPPEHANSSPAPNGSGGGIRVENCYVFKSRLQEYAQKAGLMAPEYQTLKEGPSHEPVFRSTVLINNAKYESLPGFFSRKAAEQSAAEVALMEIGKSAAVATNASIPAVQETGLCKNLLQEYAQKMNYAIPSYICVKQTSGVGSFICTVEIGGIHYIGATARTKKEAEIKAARTALLAIQGQSEGCANGSTKYIVVPGKRQGKEPEKRPIETPKPLKAKKGGFKKQRNKQKFIKNNGQAVNVEKNEELPGDSHQSDVPMEPAETTQDPSIDTVMLQPDEETIRMDHEPMSDTAILQPDVEARKVEQESPSDTAILQAHEEAGRVEHEPPNDTPMFLHNEEARSIKQEPLIDTAMPQPHVEDRSVEPEPPRDAAILQANEEAGRVEQEPPSDTPMVLHNEEARSIKQEPFIDTPMPQPHVEDRSVEPEPPRDAAVVQPNEEPRSVKQEPLIDAAMPQPHVEGRSVEPEPPRDAVMQPNEDPRSVKQEPHIDTAVPLPNEVAKPSVTHVSPNDSTIEQQPNAEARNIKEEPLSNKAVPQHDEETRIVNQEPLSNGS >cds.KYUSt_chr5.18313 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118401129:118401983:1 gene:KYUSg_chr5.18313 transcript:KYUSt_chr5.18313 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQNKAPSFFSFLREGLLLPSRNWRLFTSVCALILASTKLIELAVHPLAADETKLDIKALNTTEPGSPDFAKLLQNLLLAGAGYNLFSFVSHSAVQIVVLFAAAFTYPGQEHTITTFGALLGQAKAQIKGPLLTLAFGYILETFSFVPLTAMASLLGLLEAKQYLVTSLVASLLGFAAVVCLEYFSFLCSFSVVVAVAEPGCYGAAALGKAWRLANGNKRQVVLYLAVTGALSAAVSPVHTLARTNNSVALGLLLGFVYALLLALVQLFSDCAMTAFYYERS >cds.KYUSt_chr1.30955 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187502978:187503580:-1 gene:KYUSg_chr1.30955 transcript:KYUSt_chr1.30955 gene_biotype:protein_coding transcript_biotype:protein_coding MACSADHATRRNSMDDEDQAAAELDQILARRKSRAAASGAKIRMPDSDINFIMSLQRSNIPSDDDDTLDYLSRRNPDRYTPEVVEKLRREHKELVAMFRRDDEEIEARQAEIMREVGEKGYVEADEIDQTYIDEVNEWTRVQWEKLGMSSERLRLLRIADEENDEAFRTFLIKQAEEAEEEEDYYGDEDDNEASTFESSK >cds.KYUSt_chr5.5365 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33668365:33672140:-1 gene:KYUSg_chr5.5365 transcript:KYUSt_chr5.5365 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEPRQQQEEQEPKASMEKTVKAGFSVDDDSSSDAGEPVQNHRGWKAMPYVIGNETFEKLGTIGTVSNLLVYLTTVYHMQGVSAAILLNVFNGTSNLATVAGAFISDTYLGRYTTLAAATICSFTGMVILALTAALHSLHPPSCNPKAAGVQCQGASGGQLAALLTAFFFLIIGAGGIRPCNLAFGADQFNPRTADGRRGIASFFNWYYFTFTVAMMVSATVIIYLQSNVNWALGLAVPAALMGLSCAVFFMGTRLYVCVRPEGSPFTSFAQVLVAAVRKRHLRRQGGTGANTLFDPPHRSKVVSKLAYTDQFTCLDKAAMLTPEEDALCADGKTPTDPWRLCSMQQVEEVKCLARIIPVWSSGIVYFVVVTQLGTYVVLQAAQTDRRITASSSFQIPQGSFVVFVMLALTLWIPVYDRLLVPVLRRITKREGGITLLQRIGIGMVLSVVTMLVAAAVERRRRRIGPGSPMMSCFWLVPQQVLAGLSEAFAAIGQTEFYYRQFPENMRSVAGALYFLGWALASYASGLMVTILHRTTGWLAQDLDEGRVDLFYLVTGAIAAVNLVYFMACARWYRFKKSDDDHTGSGAGDTDLDESPKKAANAAPV >cds.KYUSt_chr4.46299 pep primary_assembly:MPB_Lper_Kyuss_1697:4:286164477:286168822:1 gene:KYUSg_chr4.46299 transcript:KYUSt_chr4.46299 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPASNPLEPVFQTVAAFSRRLLIAPDTAPDDHRLRPLLSLSLAPPPPPPPPSEEKDAKVAPLTHKEEVGRATWMLLHTIAAQFPDEPTRQQKRDAKELGMIRLYFHYGLWLDIFTATNADAAYGTVELNGRRLKETRHTITSRSLQDVRTDDYRPVDPTPSSKASIRPGPIEHGAPAFPYVPGYPPPAQGPASPGA >cds.KYUSt_chr5.5275 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33129688:33130924:1 gene:KYUSg_chr5.5275 transcript:KYUSt_chr5.5275 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYDQEMETSNTSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFTGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >cds.KYUSt_chr2.53335 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332805639:332812498:1 gene:KYUSg_chr2.53335 transcript:KYUSt_chr2.53335 gene_biotype:protein_coding transcript_biotype:protein_coding MERSCDARGGGALPPSKPSPLPSPLCSRPSPVGGGLGGRFWALTPAEDDAEAVSEASDDLPSSPSTVTAVRVREEARGPAASGAEPVRSGVRTTARERRRRGGSEGRSGAGRAQQPQQPLRSEAALRDRALVEQGRRRQGQGGSRAGAGANKDPPAWWVAREKKREARRALEAAPPLVPPSIPELGRGRASETAAGKQPVVQDRPATGSGPSRAAAVEEVPTPASNMECFKCGRMGHFQASCTFPPVCLLCGVEGHKSNACLSKGKQPELRILGQAVPGESFFYLDFDEDEDEEEEVTNGAVISFRQVVFSAADLSRELQHLVEADWDWQVQEVGRNEFAVLFPSRESLQFSTRSGRLFLPLSGTVADIRLADADPAPVELLQEVWVKLTGVPKRMRWASRLLAGMRMLGWPMEVDEVSLRCRQPVRMRIACRNPDKLQGVVQVFHKKQGFSIGVHVEPLAGQSGSAPPRSPPRPPHPRDEEEEDDDDVDDLSPSRKGSDERDDRAVEHEGGSPTGRGVPSGSHGQATSPGARALQGSPEAVVAPVPPAVAALLGVGLDQYGSNLRAPWPAPLAALERARARRLVPEEPVGSGTMVARPASPPFDSSTLISADSVDATPSGSPSKDLGGAESLVSIGVLDSDEMMREASELDEATVARSDGPGAGVPRRRRTSTVPAEPARKSARLTGPGAATPVLQRAQERTASRNLDPAGKLDDFAILPRVSDSHLLRVTHDSGLAFSCETHSPQEALALIRAKEEAQAALALAVFRKEVEEARSAPPAPASSAVAGATTAMDREETIKASFTPAELLSLDPQGRFAWKSTPAQGRSGGMLLGVNEDAFEVLEWHWGAFFIRADVLQLDNSSRWSIFVVYGPADHRRSADFLAELSAAVNACPFPLVRFNDCIASLALREIRRTGARYTWTNRQISPVRCVLDRVLVTPEWEGLFPLSSLVAGTIIGSDHSPLVLSSGEELGKRSSRFFFQKGWLEKPDFHALVAHRWQLLAREVAWCQDPIETWHRIAAGLRQFLRGWGANLGREERDLKADILAQIRDLDGIADHAGLDDDGWALRYHLEGQLLHLSRVEEEYWRQRSRANWLIQGDANTAFFHAFANGRRRKCAISSLVSDSGIITDERELQAHIYDFYRGLMGAQAAPSFLTLSPSIWHSIRRVSEGDNDCLMLTFSGEEMDTVLASMKTDTAPGPDGFPVFFFKEFWQLAKPLILAIANGFALGRVDIARLNMGVLSLIPKVPGANDIRQFRPIALINVIFKFVAKAYAVRLSPIAHRTISQTQSAFIRGRHIHEGILALQEIIHETKSKKLPGVFLKLDFEKAYDRVSWAFLREVLLRKGFEPGWVHRALGLVSGGQTAISVNGEVGNFFRNGRGVRQGDPLSPLLFDFVVEALASILDRAREAGHIAGLIPHLIPGGVSHLQYADDTIIMFQPDDLAIANLKYILLCFENMSGLRINFHKSEVMVVGGDETEGTRIANMLNCKRGTFPFTYLGLPVSDRALLASDWGPLTNKVAKRADPWMGKLMSSAARLTLINACLSSLPLHAMAVCLLGEGVHGLFDKARSRFYWEANGAKRKYHWVRWSALCKPKCLGGLGIVDTRIMNICLLAKWIWRLYAGEQGLWAEILRAKYLGERDLLVDNHRPGSQFWNAIQKIKHVFGMGARHAIRNGRATRFWVDWWHEKGPLKDQFPGLFAIASEPLASVATLFLGNQCRLTFRRELGLGERVELANVARLVDTIHLSEPQDRISWSLEPNGKFSVRSLYKSLCQGIPHKHYGIVWEIMVPLKVRIFLWQLSKHRLPSNDNIRKRRGPSNGTCALCLEVEDNNHIFFRCPLARFMWSAVRDLLGCSWNPSCFADIFRYMRVHVGQTRRVLWLACAALLWSLWNVRNKFTIEGSFPTDPANGLYKMTVYLQAWKLLARRGDRHAVELVIGRIRALHASIRDSV >cds.KYUSt_chr2.33634 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207782650:207789286:1 gene:KYUSg_chr2.33634 transcript:KYUSt_chr2.33634 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVVRLPYALQMTHRFPNEGASSSSTSASSQRSETDDDKMIAMLLEEEYANLDGAMAKRLTNLTSIPHVPRINTYFPTYSDATMDHHRLHDRLNAYGLLEVRVSGDGNCQFRALSDQLYRSPEHHKHVRKEIVKQLKACNSLYEGHVPMKYKHYCKKMKKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQYQAPQRELWLSFWSEIHYNSLYDARALEMFGEAVPNLDLVSGHANIYLILSSRHSRNPVDRIWRRTKPVHAPVGTGPQTGPAGFQPELGPGLDRAGFEAIEWRPDVTVQSPVADRPLGPVLRSTGPWTGAAGPKPGW >cds.KYUSt_contig_1181.369 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2501801:2503285:-1 gene:KYUSg_contig_1181.369 transcript:KYUSt_contig_1181.369 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELWLLCATLAIVFLWATRRSGAGRMPPGPTPLPVVGNLLSLRHGNLHHTLARLARVHGPVMTLKLGLTTAVVVSSRDAAEEAFTKHDRRLAARAIPDAARALGFSDRSMIWLPSSDPLWKSLRGVVATNVFSPRGLAAARGVRERKVRDLVGYFRGRAGREVDFGQAVYGGALNLVSSAFCSVDVVDVGGESAQGVRELVLDLVAVIAKPNVSDLVPFLRPLDLQGWRRWSAIRFGKIFLILDGIIDRRMALANGSTEKQGDFLDSLLELISTGKLARGSLTTILFDVIAAGSDTVSLTVEWAMAELLRNQSIMAKARAEIQSALGGKVAVDETDAVNLPYLQAVVKEVLRLHPVAPILLPHRAVEDGVEIGGYAVPKGSTVIFNAWAIMRDPAAWERPDEFVPERFLDMANQLDFRGKAFEFIPFGSGRRLCPGVPMAERVVPFILASLLHAFEWRLPDGVSAEELDVSEKFTTANVLNVPLKAVPVVIA >cds.KYUSt_chr7.10765 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66116765:66118045:-1 gene:KYUSg_chr7.10765 transcript:KYUSt_chr7.10765 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAHRHGPCSPLADAHGKPPSHAEILAADQNRVESIQHRASATTTDKLTKRTATVQPSLKKNPAGHSTSSSAPSLPASSGRALGTGNYVVTIGLGTPASPYTVVFDTGSDTTWVQCRPCVVKCYKQKQPLFDPAKSSTYANISCADPACADLDQSGCSGGHCLYGLQYGDGSSTVGFYAQDTLTIAHDAIKGFRFGCGEKNSGLFGKAAGLMGLGRGSTSLTVQASGKYGGAFAYCLPASSSGTTGHLDFGPGAAPANAKLTPMLTDKGNTFYFVGLTGIRVGGEQLSIPETVFSTGGTIVDSGTVITRLPATANAALSSAFDKAMAAKGYKKAPAFSILDTCYDFTGVSEAALPAVSLVFQGGATLDVDASGIMFAVTPAQVCLAFASNGDDTSVGIIGNTQQKTHAVLFDLGKKTVGFAPGAC >cds.KYUSt_chr7.29222 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181821968:181827042:1 gene:KYUSg_chr7.29222 transcript:KYUSt_chr7.29222 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIPAYVGSLAVRVSALVLIAPIVARLATLPPPAGRGIPLTSASSGIVAVGSSRSSAVALSDRDILVGLRSPLATLDSSSSGRSLVPWIGSSGTARPPLSTQSVMSIRAIDVGTPLVVGCASLVTSHLMRRVASILAPPRPTSYRDAERHPEWQLAMAEEIAALERTGTWDLVSPLLVFVPSREHGRDYDETFAPVAHMTTVRTLLAVASVRRWSVSQLDVQNAFLNGELSEEVYMQPPPGYSVPDGMTKKQVAVSRSSVEPELWAMALLIAEAYGATKDNQRRKCLIQRSAIRVQTHPGRPTQTAANGWYRLASPIRGSPRSTNHRQPPLFYIMIPPAPIFLTSHLQPVPHLVFHLPARSPPPSNPSSSMAPKAEKKPAAKKPVEEEPVAEKAEKTPAGKKPKAEKRLPAGKTASKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_chr4.24569 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154625926:154628425:1 gene:KYUSg_chr4.24569 transcript:KYUSt_chr4.24569 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHGGNSGRGRIWQLRAKRGEIEASEVRPDPLNLVVAALGAGTHRSVAGVEDLRAATSFTDERPNQRGRETSGSSTGRRKKRRNRWLFGAPELDSFGEEIEDVEAVPTEVAARRGVDGVVDTVKNPVAAMALGGGKILSSLVFVGKGKEGEEQVGADEDEELVSGPEIKREGGRRTTREMVLSLSRQRGRARLSRALRGERRARGCEGVRRAWQVGLAGSGLGKKRPPVGKVWAWSAGLQGEKEEKG >cds.KYUSt_chr1.24723 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148033623:148034831:1 gene:KYUSg_chr1.24723 transcript:KYUSt_chr1.24723 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTRRRAMAAPPPNPGKTPKPRGFFPGDRLFASLAPYVSFVDYLSIRLVCPSWRFFCRRIGRAPPPFPWLMLPEPAPASPSAPAPVTVRRQFYDIPGGRPYAYDVPGEGYHRCVASSAYGWLVFVSVDAPRRLVLVNPISGARVVVSWPFGDKSPNARFHAALTSSPADRSAFFLVVATDRLVAYCRPGQQDQAWRTLRAPGFRYDAAASDIVAVGAMVYLIDGRRKVWRADLGDPEPKVERRNTACPLPFPSEEGSMRHYLVESLWNVHLVVTDEHHARVALFRLDWDKRTWVAMDRVGGDRVLLLGRGCSAFVPPAAGRPPGMVLFAHQPSLSLADVDVDGGGAAPAWFWSETRLNNGSDGLLVMKKKMNHREGAFTAGDSFWFFPAIDPDENAVRTS >cds.KYUSt_chr7.10602 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65136759:65137262:1 gene:KYUSg_chr7.10602 transcript:KYUSt_chr7.10602 gene_biotype:protein_coding transcript_biotype:protein_coding MCQDAIDCFHVLQASWLLPQESRCGPGAVPVTMSQHAGEAVSYLFSPVPSMASSRRICWVTTTRPTDGAWINTDKTLPASLFSNKKKELRKVGLSDVGTGKISALLTDEAWIDADNPLPESLFSSKKKELRKVGLSDVGTGKISALLTDEAWIDADNPLPASLFSTN >cds.KYUSt_chr6.8547 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52518985:52520034:1 gene:KYUSg_chr6.8547 transcript:KYUSt_chr6.8547 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQSHLLRAAASPLHRFHLSTAAAAASTTPAQFVVEDYLVASCGLAPAKALRASKYLSHLKSPANADAVRAFLADAGIAKADIASAIACFPRLLCSSVDKTLTPRLAQLLDMGLSPPQISRLYAFAPSLSPARISRLAFYLSLLGSYDKVEAAIRFNFSLLSRNIDTVKLNIAFLQQCGLTCDDIADLYARGRTGNLLTSSLEHVKGMVACIERLGVSCDSGMFKAALVTVCNQRPERITAKLELLERALGCSEARMAVCKLPTILNLSELTLGYRVEFMRTEFGLEPSYIAHRPAILMYSLQRRLIPRHFVIHVLKSKGLVKRDIDLFHVFCITHNKFVDKYLDRR >cds.KYUSt_scaffold_869.828 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:5169036:5171438:-1 gene:KYUSg_scaffold_869.828 transcript:KYUSt_scaffold_869.828 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLPGDADGGSVPSEADLTQLSTAISAGEDLGPFVRRAFACGRPEPLLSSLRAVARDRESEIEELCRAHFHDFIRAVDDLRSLLADADVLKGSLSTSHSALLSSAAPLLASLESFLAARALAGNLSSALASSRRCVRLLALAARANDHLQAGNHSLYLALCAVDAIDLDLASGPEPLPLPALRRMLLSLVPAVRVHAEREISREFADWMVSIRAASRHLGQVAISRSAATRQRQEELRSKHRPLEESITLDDDGAGDLDDFAAATAMSDVADGAAAASFDLTQLYRAMHIHQTLALGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFAQIAGFFIVEDRVFRTGGGLTSRADVDALWEAAVGKMVSVLQDNFSRMQTANHLLLITDYAALLAATMRRYSYPVGMLLDVLAKHRDKYHDLLLADCRRQVAEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVDASIQKLVDSGGGLSVSQAMQVAANMSVMERACEFFTRHAAQLCGVPLRAVERGRRDFPLRKSRDAAEALLLRLLCSKVDEFMQLSDGVNWIADDPPAGGNEYANEVTIYLETLTSTAQQILPLPVLRRVLVAVLVHISDSIIALFLSDSVKRFSANAVVGIDTDLKMFETFVESMSSLFLDSDQDSAASEMKAALVEARQLVNLLMSNSPENFLNPVIREKSYNKLDYKKVAAISEKFRDASESYFSQFGTRGNRQNPKKKSLDTLIKRLREAS >cds.KYUSt_chr7.13310 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82003621:82005915:-1 gene:KYUSg_chr7.13310 transcript:KYUSt_chr7.13310 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSPDGTFTCGFNNVSHNAFVFSIWFSNTAEKTVVWSANHLHPVYSLGSQVMLHKDGRMVVEDYDGQLVWENGVNSSSNAKQAQLLDTGNLIVMGQGDIILWQSFDSPTDTLLPNQNITAATKLVSADRLLVPGHYSFHFDDEHLLTLFDDQKDLSFIYWPKPDINTWAKKRYPFSTTTFGFLDNWGYFLGSDNLTFKAADWGLGVMRRLTLDYDGNLRLYSLSREKRKWAVTWIAFPTCFVHGLCGMNGICVYTPIPACACAPGHEIIDQSDRRKGCRPKFNLSCDGQEMKFVKLPTTDFIGYDQSKRIVSFHDCKKMCLNDCNCKGFSYWQGDGTCYPKSSLVGGVSRPDLSGSIYLKLPKTLQVPTSSIPHSQPFGLTYVPNCSAMNKYFTANFPDEPKSSKSGPQYWYFYGFLSAIFCAEVIFVALGYWFMLKMEGKQLTGVWPAEVGYEMITNHFRRYTYKELQRATRKFKDQIGRGASGLVYKGVLKDKRAVAVKRLEDINQGEEEFQHELSVIGRIYHMNLARVWGFCSDGPHRILVLEYVENGSLDKILFSSKGSQTLLEWNERFKIALGVAKGLAYLHHECLEWVIHCDLKPENILLDENMEPKITDFGLAKLMNRGGSNKNVSRIHGTRGYIAPEWVSSHPITAKIDVYSFGVVLLELLKGARVSDWTSNADEEVEMVLQRVIRMLAENLKLEGSKQLWIADFIDSRLNSQFNSLQAIMMVKLAISCVEEDSRKRPTMENVVQMLLSVDEVVA >cds.KYUSt_chr3.23175 pep primary_assembly:MPB_Lper_Kyuss_1697:3:143406694:143408804:-1 gene:KYUSg_chr3.23175 transcript:KYUSt_chr3.23175 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAPWRVLEFYSGIGGMVLSSDFLLLPVLHLNLAAYLTATRVSFCCRLLRSQRYSLASSGVRAEVVEAFDINDVANDVYERNFGHRPCQGNIQTLTASDLDKYKAHAWLLSPPCQPYTRQGLQKHSADARAFSFIKILNLMQNMNFPPQMLFVENVVGFEVSDTHDQLIEVLSSLNFNTQEFILSPLQFGVPYSRPRYFCLAKHDSMRFQNPSVNNKLLRTPTCLTLNSTATQNSYEQNEDVLEVVCKPIRDFLEIHIMDTVDQDCSAATSDFKKAGGCIPSETGSHDYTVPLSLIERWGNAMGILSSLIETLVIIIEY >cds.KYUSt_chr7.17917 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111023472:111028676:-1 gene:KYUSg_chr7.17917 transcript:KYUSt_chr7.17917 gene_biotype:protein_coding transcript_biotype:protein_coding MEATMLLLAVKDLEDRAKLLNRRRGSVFGRNHIQWNRLLGHEQLMEDYFAEVPTYPPHLFRRRYRMRRSLFVRIGKACELHSNYFKQRRNAAGVMGFRAFQKISAAMRVIAYGIPADYTDEYLRIGKDTTTESVRRFSRMIIKLYGPTYLRAPNEDDTKRSPLFAKLANGEAPTCNYKVMNNEYTMGYYLADGIYPDWATFVKSVKDPQDRIEDEFAKAQEVARKNIERAFGVLQARSLLFVLTSLFVSPVPTQGKETVLESHTVGAEIGLITSGRLLLNSADLFRPTSPMGNAFASCMSGKQHRGPALASRSKRMGSARTPRGGAAKLTPAEEEMLHRQALAMAIHQHLDAGGSMSRRIDAGGASMSRRIGPGNTSSRRHGGLPDSVTNAKAVQVVLENLETKKIVLVHGEGFGAWCWYKTISLLEEAGLDPVALDLAGSGIDHTDANSIATLEEYSKPLIDYLSELPENEKVVLVGHSCGGASVSYALEHCPEKISKAVFLTATMVKDSQKPFDVFSEELASADVFLQESQFLLYGNGKDKPPTGLRFDKQQIKGLYFNQSPSKDIALAAVSMRPIPLAPIMEKLALTAEKYGTVRRYFIQTLDDHMLSPDAQEKLVRENPPDGIFKIKGGDHCPFFSKPQSLHKILVEIAQIQAPATLLPGKAISEEVEENAEKS >cds.KYUSt_chr7.36143 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225891209:225892954:-1 gene:KYUSg_chr7.36143 transcript:KYUSt_chr7.36143 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDEGSGSGRRPLVFDELRWVVQIRESLTEDADDEDDNGIPVSVFNVPKQLLVHKPEAYVPQFIAIGPYHHWRPELYEMERYKLASARRAQRRLRPAGLKLDALVAQIADRLERKVRAYYHRYLDFSGETLSWMMVVDGAFLLEFLQIYASAADDGSGKPALRRVSSRMAHLVDFAGRKSAHGLILRDMLMLENQIPLFLLRKILEPQCASPEEAGELLASMVTGFMKELYPFKMVNGEFPAVDVAKHAHLLELLYYLLVPKPTEDGAAEAHDHDESYDIEEQPENGGGRGGDEKASGGGGEYVAQLFAAMWGVASKIGRGPLHLIMKPIAFAVKAPWKMLTVVPGMSGIKHPVESFFMSGGADGSVDPSSTSSNLSRPPLIEEIMVPSVTELVNAGVQVAATTGGLSSISFDGKTATLHLPVVTVDSNTEVMVRNLVAYESSAASGPLVLTRYTELMNGIIDGDGDVALLRKRGVVLNRMKSDGEVTKLWNGMSKSTRLTKVRAVDMAVEEMNRYYNGRWRVKTKRFLRKYVFNSWQLLTFLAAIMMLMLTTLQAFCSVYTCSRWFGAVTVSAATGG >cds.KYUSt_chr4.39787 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245684948:245692496:-1 gene:KYUSg_chr4.39787 transcript:KYUSt_chr4.39787 gene_biotype:protein_coding transcript_biotype:protein_coding MNKALDFWSLDGRRLLLVNALVRYNVQRVSIRSYDSGLAVPGFQPSCFQKCYCFRVVALVIPDVRRGRGALAPGASQDGQARSTIFCTSAKAPLPPPPPVALVPAWAAPPTAVPRQGAPLSEERIDASLSVGIKHAIFPIPDIVLLSTVIILSGPSVIVAVTLKSKEVTKEKEDIEWEVVETTKALSRTGPVEGAYKPKNLSLEDELKVMSSSSLWKKRGIPGISTSLLEEFGARLVTHDLPGFVESDPHPGRNLNSSALDMLHLTDALGVVDKFWVDKTLLEAPMFNASWEKDVAESVRQADAQPFVEEAVMQGMDDRVVPPSATEFVRRMVPGATVHKLLDEGHFSYFCFCDECHRQIFSTLFGTPQGPIDPVPDATPELEEETSAAHEEVADQEQETTGLA >cds.KYUSt_chr4.50883 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315435674:315436837:1 gene:KYUSg_chr4.50883 transcript:KYUSt_chr4.50883 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLRHPSLSRLKPPNPNAHRTSSISVTTPRRPRVPKRRLAAAAALFQDQNPRTAEASKGGDEEDDEGYGEVERIVSSRTVKSAVFAEDGSATTVTATEYLVEWKDGHEPTWIQAEAIAADVVAEYETPWWEAAKKADADALGALLADEALRRDPNAEDAQGRTAMHFAAGLGSEECLRALAEAGADVGHAERAGGGLTPLHIAAGYGRATGVRALLELGADAEVPDGKGRTPLELVQEVLAATPKGVPAAFERRQALEAAGKELEKAVYEWGEVEKVVDGRGEGKWREYLVEWRDGGDREWVKAPWVAEDLVKDFEDGLEYGVVEAIVDRRPAAGGEWEYLVKWVDIEEATWEPAENVDADLVQEFDRQQSETGGDAPPAETIAG >cds.KYUSt_chr4.8163 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48817755:48823625:-1 gene:KYUSg_chr4.8163 transcript:KYUSt_chr4.8163 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKRSLDAAVPEQEAAQSPRKRLQRAVFLVMSLERRRARTLTVEQMSHMVRQVQLDMAKLFVLVMMLVARLGSMESLLLQLPNMVQGLLAEQLGSFHRAISECLPETGGSSGVVRLRFVDADRPKYSVFTRCPVKWQNGENPKVAIFRNEKKITGGDLSKLQIEILPVYADFFTERGHDDFTEEEFNKQIHRYNGNESVLKTVNLRNGEADLGSIIFKESSYRQNVRLAARVKRKDPAHRVQEAITDPFVVKDRRSESNEKSYLPSKEDAIHRLKKISQKGPRCVALAKKKVTKVKHLLREYFKDKSGLQELNNWKMSAYKRLEERENSGNLIPDYLMANGRPVRAMPLNNEEGPSVQARMTGQYPNYIEFGVQQQNGFSPAELLSNNDAGPSKQNAPLFSHHTAHQDLGQHGPSMPHCHLPQGNNLNGLSGQPTIPSYNVLPVPEELITGTNLIGQQNGHLNSLTTVASELGKHHPSMPQNETPYYPPQENNLNGQGSFSGEPTIPSHIFPPVPKDYLITASGLIEQHNGHLSSSMTDAPGTSCPAIDGLSQATSSFNHADDDISMELSTPELQAILQQVNLPDQGFLQDEDAELPNFSIQVDGYEHDGGNL >cds.KYUSt_chr2.52737 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329025698:329031159:1 gene:KYUSg_chr2.52737 transcript:KYUSt_chr2.52737 gene_biotype:protein_coding transcript_biotype:protein_coding MHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQHPPQYVGEDRACFLAMVIWWTSREYARKHEEGKQKRSEMGGGSHVLGSKNLALTLQDERRAVWRVHRSKFKDKHGEDADPTTKEFDVEVAVLAGQGKKGGRLWIADGLVDPSTIPSLRQIRRGRTSEQPRVETRPRASDLAIEKLRVSSSSILYASLHVFHCNVTDIAATQRRRRWKKGNGGTKRSRCRCSEQLRENMRMQQQMLRQMQQQQQMFQQMFMNQTVLTSPPGSSGPSTSCPPMFPHFEVEKDKEVEMDKEVEKDKEEAKVDVACGLMGKQENSGKICTIFAVHMHTAKATAHGKGTLCRAHAHGKGCPRCPPDVAVPTFAVHGGREAHGKAKSLPCELERKRTAKKLARQRGQTHGKGIRTATRPQLTAMLRRSAKPLPCDFRAAHGKDPFAGRIFAEQSLPCTAARQRLCRADLSLCRAFGLHGKALFCRSDCNEQPGGMVRLGVLGVDHAMAVDDVAGSPALLLALAVVATVAVAAVAAFGCADGMKKQNNNGVYYYGQGQPPPPPAGAYGYPAQQPPQGYGYPPPPGYAYPPQQQQKQRRGGGCGGGCGGGCGGGCVG >cds.KYUSt_chr3.44496 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280801693:280808065:-1 gene:KYUSg_chr3.44496 transcript:KYUSt_chr3.44496 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSVPKKRKVHLPANEELARKILEKRSSLAAEQPGGGLSEHQGIALSAAYRGVCLAKEPFRTPDDLSRIKSSRVLSFSAGKKRKRTKPYVPRINSAAYAIVITLHRALDKGKDFMMRQELIDAAEASGLSRDAIGYKLTENGKKTALVCLKQSGLDDPAGPSTHHSESVVLSDSDSDEQCEGNNPLIGSENFAQRNGLPKMKAGSSSSFGIKYVLDFIVERKNVDDLVDSIRDNRYKDQKLRMQKCGLRKLIYLVEGDPNTADSVESVKTACFTTEILERFDVQRTTGYPDTERRYGRLTLSIIDYYSTNFSTAADTSRVCMTYDEFVKRCSDLEKVTVSDIFALQLMQVPQATEEAVLAVTSLYPTLLSLAQAYAMLDGDRRAQEDMLMNKSSNLVKAGASKAIFKSVWAEG >cds.KYUSt_chr4.15117 pep primary_assembly:MPB_Lper_Kyuss_1697:4:93276932:93281827:-1 gene:KYUSg_chr4.15117 transcript:KYUSt_chr4.15117 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSLQDSIYPKSQMEGIAGEYPRKLAPSVGTVLAQDPASADHLFVMLHVVFVGVNLLFSSSSHPQTDGQTEVFNRSLSTLLRVLVKKNLKSWEDCISHTEFAYNRAKHSTTMRSPFMVVYDLEPPMVIDLLPLPLHEQVNMDIDKRAQYMKKLHEDTRSTIEQQVLRQATRLNTKKKDLIFEGDLVWLHLRKDQFPQERNSKLKPRGDAPFKVIKRINNNAYVIDIPTSKYLVPQQDVAMGTKPMEKKRKEKEKKKQKLQRHSQNYRPLGPTPRITELHVRMDCNGCVHKIKKTLSGIEGIGEVYVDQANHKITVVGTADPERIVKAIRKTKKVPTICSHIDPTAEAQPPAPPAEGEAPPPPADPPSDEPPPADPPSDEPPPAEAAPAEAAPENKEAPPAETPAADATVIHMAHDYPYSHHVHRDHWANHPSNMRGVRYDAAPYYAAHSYSHRSSPCISEYGHLSSPVQEGRYYSHDYRPVARGKGDGSQITSMFSDENPNACSIA >cds.KYUSt_chr2.36499 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225314971:225316800:-1 gene:KYUSg_chr2.36499 transcript:KYUSt_chr2.36499 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDVAGGDQQPMQMVLRVKHPSSLSSSEEGAGEGEGSSRSALSVFKAKEEQIERKKMEVREKVFAQLGRVEEESKRLAFIRQELEGMADPTRKEVESIQRRIDTVNRQLKPLSKNCVKKEKEYKEILEAYNEKSKEKALLVNRLIELVSESERMRMKKLEELNKTVDSLY >cds.KYUSt_chr5.20570 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133678127:133684815:-1 gene:KYUSg_chr5.20570 transcript:KYUSt_chr5.20570 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGHHLTEVALLASASGCLAAAGAGEREGWLDDPAVVASLGPRARDLAVASAARSVLAIVPIAGAGGGGITVKPALGPDEGRISAVEWVPLDVGAAEGEEGVALVVGTDAGWLLFYTLAGDLLHKQSIYPAKILKLNFSERKENAWEDSGSDELSVIFPGVIARCDGADLQIMLQKSFQEVKPRMWKDKFEQEDADDESSFERMPLQIWNVSKFGSCADAAIVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRGRSLVGAILSRGVAATFSTISSLSKILWRSEPSPTKKPRPKPQSFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTRALVAVRLWKGYRDASCLFVEMLLNKDKASSSSTHLEYTKSDYCLCLAIHAPRKGIIEVWQMRTGPRILAIPCPKGTRILQPSTRFSSSLFSSYTPLEVYLFNGDSGQLSVLNRHIG >cds.KYUSt_chr5.36726 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232217949:232218182:1 gene:KYUSg_chr5.36726 transcript:KYUSt_chr5.36726 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVAALSSHGVALLLLVDTAGVVVLLGDSLRRARAAVDTGGLIRTEFPKVFVFGMTTLAFQVEGMATGGGREPSI >cds.KYUSt_chr2.2945 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17351200:17351529:1 gene:KYUSg_chr2.2945 transcript:KYUSt_chr2.2945 gene_biotype:protein_coding transcript_biotype:protein_coding METQPILNHTGPLYVGTDWRLHDAAEGVLRSMHRVPVHLVDITALSEYRKDAHTSVHTLRQGKLLTPEQQADPRHYADCIHWCLPGLPDTWNHFLYTQIVSGTPLLSSS >cds.KYUSt_chr3.9814 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57677471:57679939:-1 gene:KYUSg_chr3.9814 transcript:KYUSt_chr3.9814 gene_biotype:protein_coding transcript_biotype:protein_coding METAGSGGCSIRDLPPSKRFKFVGSDLGSAPLPVKKRAFRPLPEAAPAPVCLPAKKRAYAPALEEAAVRACLPAKKRAYAPPADEISPEEAAPPPSAPSKKSVHAWSRPEGAAARLPVPAKKRFQMRSGPVADTAAPSVPPKKRVLTLSPLRNAGAPPTVPAKKRVQAPSLGADAAAPLSAPAKKLFQAPRRKEAAAAAPSVHAMSSTVDAAVPLPVPANKLVQVASRPEVASAAPSVQAKKRVHAPSPKEDAAALPSIPAKQRVPVPLCPERAVAAPSVPAKQRVLVPSRMEGATAALSVAASKRVPSPSAPEDDSALFPVCLPANKRVMSQFIPPSPSMKPDGDRVAAAKETRPEGSVKRGGATSSCVADGAGDCPRAEASKMPEKPKEVKDLVFPKSRRANTAKKASGLHCKKLSEVISCMQSEVQAEVPKASEQASDVHYKKLRSVVNDKSSEVQAEELKTLEQVSEVHCNKLSNDIHSEVQDEVLKTIEQAGDVHCKKLPNVISGKHSEVQDEVLKTLEHASDVHCMMLSNDKRSEVQDEVLKIAEQAIDPKVAAPAREVEPRKEDEVAVERKQCALVEEVEDDGVLCEVCRSTDGDPSDPIVFCDGCDLMVHATCYGNPLAQSIPDGDWFCSLCSEKATTKKGKPARPPCCLCPGRGGAMKRTTDGAWAHIECAVLVPEVFFQDADGRDGIDCSLVPSRRFTKHCYICESSRGCALECSQPKCDLGFHVSCGLNVGMCIEYREQKGGGVVAGFCIEHTKIWEKQQLTGKYKIVSRGH >cds.KYUSt_contig_2860.19 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000546.1:76033:76401:1 gene:KYUSg_contig_2860.19 transcript:KYUSt_contig_2860.19 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSGKCGCGAGCQCGSGCGGCKMFPDVEATAGAAVMVMPTAAHKATSGGFEMAGEAGGCDCTTCKCGTACGCSCCSCK >cds.KYUSt_chr5.43263 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272709144:272711275:-1 gene:KYUSg_chr5.43263 transcript:KYUSt_chr5.43263 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSLAATLRALVAAPAAVSLPKPFLVLLSPALPARHLGLRSARPRLPLAPLAASDSFDSSVEVAEPEVAEDEALEAVAEEEPAAAEEEVAAAEEEEVGEYVEPPEEAKVYVGNLPYDVDSERLAQLFEQAGVVEVSEVIYNRETDQSRGFGFVTMSTIEEAEKAVEMFHRYDVGGRLLTVNKAAPRGARVERPPRDSGSSFRIYVGNLPWQVDDSRLVEMFSEHGKVVDARVVYDRDTGRSRGFGFVTMASQVELDDAIAALDGQSLEGRALRVNVAEERPPRRF >cds.KYUSt_chr3.4411 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25017673:25018527:-1 gene:KYUSg_chr3.4411 transcript:KYUSt_chr3.4411 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTKLVALGFVVLLSIGFTSASRMLASSSSASGGGSGGGGGGGGAGASGYGGGGGQGGATGYGETFIGSGYKSNYAQGAGGGGGAGGGGGSNGGAGSGSGTGGGIGSGASGSTGSGQANASGSGGGEGQGAGANGSSGQGAGEGSGEGSGESSVAPAPSAGGISYSDAAGGGTGGGGGNSGNGGGEGTGAGNAGNDGTSGSASGQGSGNGGGIVKGVAQGPSVGVGSGAGFGAAQTGSAGPSGSGYAAGSGAGGGGGAGGSENGGVGSGGGTGEGSGSGTYP >cds.KYUSt_chr3.30211 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189168642:189184459:-1 gene:KYUSg_chr3.30211 transcript:KYUSt_chr3.30211 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTYDAFKAYVPEMVEVLIDSVRNPVFFDWEIKEQLQKIKAEIAEVSNNPQGLLVEALHSAAYSGALAKPLMAPESAIHRLDSSILKEFIFENYTAPRMILAASGVEHDVLVSVAEPLLSDLPGVKRPEEPKSVYVGGDYRCQADSQDTHVALAFEVPGGWYEEKTAVVVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILNHYHQIESFSAFNSIYNHSGLFGILATTAVACEDIGRQILTYGERKPIEHFLKDLEAITLNDISSTAKSIISSPLTMASWGDVIHVPSYESVSRKFHSNLFPLLHASLPFAPLLSSPNFLNLNLTTSLVGFRLPIRDPHLGVSLMASHIILPKEEEEEQQEAGRGAAAEADRGPPETRGYRHMETAPKPLPFSATCVRISRDSYPNLRALRNASAMNLPDDDAAYIKLDEGDYGYVLDDVQHLTDYLPELPVSADTTPALSFAPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVIQKNSQRGVHFRRAGPRQRVYFEPEDVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVSDIFAIQNGYKGFYSSNYLPMTPKSVNDIHKRGGTVLGSSRGGHDTNKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRRRGLKVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAIDAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLFEYIEKRLKENNHMVIVVAEGAGQDLIAKSIPVADQLDASGNKLLLDVGLWLTHKIKDYCKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVKITDRMWARLLSSTNQPSFLSEEDIEEAREADRLANRPPLPAGTSEHTKKHSTSLLANGSGDARHAPAFLLLHQPVTVFTAVTLPAPPSSLTNGMESVITLDSDDDSDATGPPEETPERETESRQEADETELTRQEADRADLTRQEEKPEQPETPEHGAHADETATQAAQDVDAFKPDDGCATPPPPPAHDSEVSDDNRSSPHREKPKPPAGASDKRPDVAAAKSMLLAFRSFSRDKKGKRSGDAGAPSPGEHRPADGKTWEEAAAEHKDKGKARWKSLWK >cds.KYUSt_chr4.19637 pep primary_assembly:MPB_Lper_Kyuss_1697:4:123514442:123518238:-1 gene:KYUSg_chr4.19637 transcript:KYUSt_chr4.19637 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFALLAGAAAAFFFLFKFATTDGDFTLLSCGQQRRDKVDGKVVWITGASRGIGEVLSMQFASLGAKLILSARNKNELERVKYNIVSKHPDSRVEVLPMDLSSDEESLKEVVHAAESLFSSAGVDYMIHNAAFERPKRGVLEETEEGLKAIFNVNVFGTITLTRLLATSMLDRGMGHFVVMSSAAGKVPSPGQALYSASKHALNGYFASLRSELCTKGIKVTIVCPGPIETPESSGAASSSQRNSSEKRVSVERCAELTIVAATHGLKEAWISYQPVLAVMYLVQYIPTIGYWLMDKVGAKRVDAAAKKGNAYSLNLLFGGKKSA >cds.KYUSt_chr3.29885 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187000003:187000979:1 gene:KYUSg_chr3.29885 transcript:KYUSt_chr3.29885 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRMKALEGLLKCEKLGLSEEEMSKILSIVDATSSDSGALDGVLELLIRGRYPFGRSLPEAVMMMIPEAWQNDVNMEPQKRALYGLSLGMDLL >cds.KYUSt_chr2.48162 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301203145:301208107:1 gene:KYUSg_chr2.48162 transcript:KYUSt_chr2.48162 gene_biotype:protein_coding transcript_biotype:protein_coding MTREMGSSRNHRAVLPRSGPNGPRSQPRLATPHVFIRKPRCLGRHYCQATCRPPLDQDLRPIARETVVRFACSCNLQLNATSNRFHHRSRGPQVSEQLKKRAPLVRGTVSPALTVPRHIPLPPYVGSKKMPEIASEMQMHDKETIVHMRAACELAARVLENAGKLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRELQDGDIINIDVTVYLNGFHGDTSKTFLCGEVDEASKRLVKMVEGQTFTIEPILTMGKDSIECDMWDDGWTALTTDGSMATQFEHTILITKTGAEILTKC >cds.KYUSt_chr3.41814 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263826729:263831511:1 gene:KYUSg_chr3.41814 transcript:KYUSt_chr3.41814 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGGSWFLFNRRVHRYFRKDGYGWRKKKGGRTIAEAHEHLKVDNVEALNCYYAHEETNPTFQRRIYWMLEPAYEHIVLVHYRDVLEGSISLSPPNNSSTSNHGASRADAHSSPGLTSGITVPLLNSCSPGSAEEVSSQILTISNETNNASQFDWMRTIELQLSLENKEDRDVNAEEILPNHNPITVPGIQTEDSDNCTILADILTDLESSEDNHNEGSQPYTNAIDVLKNSDTWLEEDQLESFFHSVPLIVNDQLSNIHEVSPERAFCSESAKVVIVGDFLCNPSRSSWVLFGDVKVPVEIVQQGVIRCHTPYLGAGKVRLCVLDENEKPCTEAREFEFVEKPTSTMISGNEKPCSEAREFEFQRSPTKSGEELLLLLNYVQILFDGRGCELFSEFRLPLSNVQCGFQTNPSEIISEPCEQLDHENAVNCIMEVMLNNKFQDWLSSKFEQNSEGKHLLPKQYHGVIHTIAALGYDSALKSLLSSGVPINYRDANGWTALHWAARFGREKMVGVLLTAGAAAGALSNPTSEDPAAKTPASIAFAYGFKGLSAFLSEAELIATLHSLESKDNGNPVDHTGAVVICSAVDRISDKCAHVDGGTDDQLALKDSLGAVRNAVQAAGRIQATFRVFSLKKKKEKALQNGDSCTMPIREAGASSRAMLEKAALSIQKNFRCWKKRKEFLRIRKNIIKIQARVRAHQERNKYKELLQSVGILEKVMLRWFRKGVGLRGFNTRAMPVDQDEEEDIVRVFRKERVETAVNEAVSRVSAIVGCPVARLEYRRMLEIHQQAKVRLICGIY >cds.KYUSt_chr4.26641 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167222116:167227594:1 gene:KYUSg_chr4.26641 transcript:KYUSt_chr4.26641 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHDCPRVTHSQTPRLADVALLCYSSAMPIPIPPSPSSTALPTPKPARVPISCYDFHEMLLGIAPSPAAVAAASQLSASVPPPASARVPFTKGSTVACRAAAKGRKEEVLVSGVMFQPFEELKGELSLVPQAGGQSLARQKFVDESEAALNEQINVEYNASYAYHSLYAYFDRDNVALKGFAKFFKQSSEEEREHAEKLMEYQNRRGGRVRLQSIVTPVTEFDHSEKGDALYAMELALALEKLVNEKLHNLHTVATRCNDPQLTDFVESQFLQEQVEAVKKISEYVTQLRRIGKGHGVWHFDRMLLGA >cds.KYUSt_chr1.38991 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238380074:238380361:1 gene:KYUSg_chr1.38991 transcript:KYUSt_chr1.38991 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAKVAVICFLLVALAAAPGAVEAATCSPTQLTPCAPAIIGNAAPTAACCGKLKAHPASCLCKYKKDPNLQRYVNSPNGKKVFAACKARLPKC >cds.KYUSt_chr4.37755 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232737550:232738559:1 gene:KYUSg_chr4.37755 transcript:KYUSt_chr4.37755 gene_biotype:protein_coding transcript_biotype:protein_coding METGPRRFPYHELVEATRNFAEEEKLGQGGFGAVYRGNLKALGLPVAIKRFSKDSSMQGKKEYASEIKVISRLGHRNLVQLIGWCHGRNELLLIYELMPNRSLDIHLHGNGTFLTWPMRMKIVLGVGSGLLYLHEEWEQCVIHRDIKPSNVMLDESFGAKLGDFGLARFIDHAVGMQTMTAVSGTPGYVDPECMITGRASAESDVYSFGVLLLEVACGRRPLSLLQNKAENGGLFRLVDWVWELYGRVALLDAADERLNGDYDKVEVERVMVVGLWCAHPDPSARPSMRAAMAVLQSKETLELIS >cds.KYUSt_chr7.19258 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119371489:119372397:-1 gene:KYUSg_chr7.19258 transcript:KYUSt_chr7.19258 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVAVPFPGPPILRRLHFTATTRASAARTDPLPEELQLVADLRTPHNHIRVADVSRRATGHPLAGARLLLLDGPGNIHSVSFPRCPLTRTYLDVFAAVPPLLPRPSLAVLGFGAGSAARALLHFYPDLSVHGWELDPSVIAVARDFFGLADLEKSHADRLVVHVGDALEAEAVPGGFGGVLVDLFANGSVLPELQEAATWRRIGGMVARGGMVMVNCGGGFVEAEEEGRDGEAVKDATLRAMAAAFGDGMVAVMDVDESWVAMTGPAACAPQEAAAAWKARLPPQLRQYVDVWRPYNGNK >cds.KYUSt_chr4.6243 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36677587:36680532:1 gene:KYUSg_chr4.6243 transcript:KYUSt_chr4.6243 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRACRLALLLAAAAAYLLFLLFLELPTVSVSPVATHRPRRRELQAASLRSSSSAPLRPETRTFPSPVPPRRSRLALSSIRVHRRSNSSSIESSAASAFAAAAPLLPRLLSSPPALPSSPSPSPSASTSCPATVSVPTDRFASTPVAVELPCGMAVGSRVTVVARPRRRAGSAASQFMVELLGTKAVQGEDPPRILHFNPRIAGDFSGRPVIELNTCYRMQWAQPQRCEGWPSRTDEDKVDGELKCERWTRWDDGAKSEESKANWWLSSLVGRPDKPSVDWAYPFAEGKQFVLTITAGSEGYHVNVDDRHVASFPYRTGYNLEDATGLSLNGDLDIDSIFADHLPKSHPSFDPQRYLEMSEQWKAAPLPTEPVELFIGILSAANHFAERMAARKSWMIATRKSSNSVARFFVALNGKEEVNEELKKEAEFFGDIVLVPFMDSYDLVVLKTIAIAEYGVRVVPAKYIMKCDDDTFVRIDSVLDQVKKVPSGASMYVGNINYYHKPLRSGKWAVTYEEWEEEAYPPYANGPGYVISSDIAQYIVSEFDNQTLRLFKMEDVSMGMWVEKFNRTRRRVVYSHDFRFYQSGCFDGYYTAHYQSPQHMICLWRKLQSGSAQCCNAR >cds.KYUSt_scaffold_1700.479 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:3026661:3026981:-1 gene:KYUSg_scaffold_1700.479 transcript:KYUSt_scaffold_1700.479 gene_biotype:protein_coding transcript_biotype:protein_coding MERNQERPEVRFGDAAAAMAATAALWSLLHRPAQGCACCLGLAHLTGDYSLEAPVATSPQCDVSVTDYEPQKEENKQEVVEVQSRSMVLKKNTKVNQGVGDGGIIY >cds.KYUSt_chr7.22372 pep primary_assembly:MPB_Lper_Kyuss_1697:7:138595039:138601081:1 gene:KYUSg_chr7.22372 transcript:KYUSt_chr7.22372 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAASRHARSVAAPLDGPDPDGLGPIRARSALGKQTEPLGRCLPRRASVWPAASCRALPCSFPVAAASLGAGSGAAAWEPAGFLGMAAALFANARSAMDCSSDESSELSDTDIDDYAEKVYVDLKSGRFAARLGPDRFRCPFCLGKKKQDYRYNELLQHAIGVGASNRAAKVKANHQALAKLLKTDHADAAGSVPARQAEALFNPPKPVQDQELYVYPWMGILANVPAEQTEKDGATVMQQLDRFKPSRINAVDSSNGYTGFVVVHFEKDWIGFKDALAFHNYYKSRHLGKREWNEAGRRGKYIFGWLAKEEDYNSDDPVARFLSERGELKTVSELQLEVSRKTETLITNLTNQISAKSKYLMELECKCNQMDLALQRAMEDSDSLHQRYNEEMRNMQSAAREHSRRIVEETDQLRKHLDEKERAIKRRSKQLSEIVAQTDMERRKLENERKKNDGQNDSLNMARIEQEKANEGVRILVEKHKKEKEAALNKILMLEEQLDEKQKLELDIEQLRGKLEVVKHMEGEGIDVKKRTEELTKQLDERMDAMEHMDELNQTLIIKERMTNDELQDAKKELIKGLADLLNPRSIIGIKRMGELDEKAFFSACKERYGAEAETKALELCSLWQANLRDANWHPFKVVATGDTHKQIIDEGDEKLVGLKEQLGEEVYKAVTTALLEINEYNPSGSYVVSELWNNKENKKASMGEVVDHILKQWKLQKRKR >cds.KYUSt_chr3.22470 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138854006:138858295:1 gene:KYUSg_chr3.22470 transcript:KYUSt_chr3.22470 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGAVPSDIDRSDRIRMFALHLINYRACESVTATPAAAAMLGMAKEAKLMSEWMSKENKLIVSCDTLLPREILECRVIREATFHSMVIIVQHYVPSAPIAAPVIWDATAVPEASNPSWGSTDKLRCEDHQENEQDEKGNRQKRKKSEYLVKYYQHNAPNQDSLLKPGADQIATNGSGCGNYLSAATKCCIEKEDQLISVLNTQSEHMASSIVLSHIIKERAHLLISRKDELSEVSMAAFVPSLSLPNPSSPITFGIRATAAAANNAAAAAAVLTDAIPAIRWAAATAAAAAVATNTATAAAAATDAVPAFRRCGSDLGPGLYIDTARDALPPGPFPSVAVTASGLDRYPPCVGGAELMYQMLELNAGPDDIQLSDLIRMCALCLMNYGGHRSIAAAGAMVGIANEAKLICEWLRKENELIVYHDPSLPRYYFHGKIIRERAVNLMFNLVEDSSSC >cds.KYUSt_chr2.45309 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282606549:282607841:-1 gene:KYUSg_chr2.45309 transcript:KYUSt_chr2.45309 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGNCGNEQESRAAALKARGDKDEADALAFIMQVKAEVERCLAAGMSKEQMFRELREKGSHPAATYAVYKELRHQNKDFFKEHYVKMDVRKQAERLKLLVHQYRTVRDAPAGPRAPGTETQQTAMAIPPDDMGLLDDWTVLSGLNGDPQSAATSAQLPTAQPLDQREQPVPNGGNQRVPVPSAACTTSDGAMAVSYPWVCTDNVGTMGWQGEQAVPLQHWWPRSGGCVLPPKGWQPPSSGDRLPENGSHLAGAAPLHSQQAEPSWRPGTQPHLQLDSLQSYGNFLAHVTSWHGEQAHEPLHQWQAARQP >cds.KYUSt_chr1.33506 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203632354:203632944:-1 gene:KYUSg_chr1.33506 transcript:KYUSt_chr1.33506 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPHPVKKSRNQNCVSLALFDSPSHLVAIQQEHSHPPPLAGATFLDPSVMAPKAEKKPAEKKPVEEKAEKAPAKGEKKPKAEKRLPAAKEGGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_chr5.35493 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224293928:224296041:1 gene:KYUSg_chr5.35493 transcript:KYUSt_chr5.35493 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQVAKPKEMGEDGRQRSAAEEISVESHEQLESPGSVLEKDTSGLSSDSGDVPLAPDGDSGELKEKKDSDSNENPEKKSSQKSSMSDSFASAKVSDGTNSLGKTSGSAKTSGRDFTESGKSSMCRVSASSDLSDESSCSSMSSATTKPHKGNDSRWEAIQVVKSREGVLGLNQFRLLKKLGSGDIGSVYLSELSGTKSHFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQAGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLTDFDLSLRCSTSPTVIRGANPALDALQRNNAAYCVQPACIQPSCVVPTTCFGPRFFSKSKSKSKSKKEKSKPEVANQVNLFPEMIAEPTDARSMSFVGTHEYLAPEIVKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPLVSFSARDMIRGLLVKDPQHRLGHKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQAPSANGKVAPASNQKGSDNYLEFEFF >cds.KYUSt_chr4.7048 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41719512:41723078:-1 gene:KYUSg_chr4.7048 transcript:KYUSt_chr4.7048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcriptioon factor, High-temperature stress tolerance, Tolerance to environmental stresse [Source: Projected from Oryza sativa (Os03g0795900)] MSHRAMQPVKVENGHPSSATASGAPRPLEGLADHAGPTPFLAKTYDMVDDPTTDAVVSWSATNNSFVVWDPHLFGTVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKNIKRRKPTHGSPNQQSLASYLEVGHFGYDGEMDQLKRDKQLLMAEVVKLRQEQQNTRSNLQAMEQRLQGTEQKQQQMMSFLARVMQNPEFIRQLISQSEMRKELEEAISNKRRRRIDQGPEVVDSIGTGSSLEQGSQVMFEPHEPVDSFVNGFAPDLESSSVGTKGAKVQQGATSSSSGQLRSRPSGELNDDFWEDLLHEGGLGDEAGNSVDPDDTNLLL >cds.KYUSt_chr2.44179 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274786195:274788240:1 gene:KYUSg_chr2.44179 transcript:KYUSt_chr2.44179 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRFLLLPILLLLFLLGTADHGAVLAADDQFTYNGFSGANLALDGLAAVAPNGLLALSNGTSQAAGHAFHPTPVRMRNGNGTVQSFSAAFVFAIVSNFTVLSDNGMAFVIAPSTRLSTFNAGQYLGILNVTDNGKPGNNIFAVELDTMLNPEFQDMNSNHVGVDLNSLRSVQNHSAGYYDDATGVFQNLSLISRRPMQVWVDYDGATTRLDVTMAPLDVPRPKRPLISAPVNLSAVVTDTAYVGFSAATGVIFTRHYVLGWSFAVNGGAAPPLDISKLPALPRFGPKPRSKVLEIVLPIATAAFVLALAVAFFLYVRTRVRYAEVREDWEVEYGPHRFSYRELYKATKGFRNRQLLGTGGFGRVYKGVLPKNNLEIAVKRVSHDSKQGMKEFIAEVVSLGHLRHRNLVQLLGYCRRQGELLLVYDCMPNGSLDKYLHDRTRPVLDWAQRFQIIRGVASGLLYLHEDWDKIVIHRDIKASNVLLDADMNGRLGDFGLARLYDHGVDPQTTHVVGTMGYLAPELVRTGKATPVTDVFAFGVFVLEVTCGRRPLGSFAADDQNVLLDWVQEHERRRAALDAVDPRLCGKYDADEARMAIKLGLMCAHPLPDARPGMRQVTQYLEGEVAMPEVVPTFFSYTTLALMQNDGFDSFAVSFPSTVSTDASPVSGDVSAVSGLSGGR >cds.KYUSt_contig_915.370 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:2420370:2421332:-1 gene:KYUSg_contig_915.370 transcript:KYUSt_contig_915.370 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKATNPKMDLAAMDDDDMDVEYSSPESGAEDAAEPSSWAPAPVPPRATGTSRFKGVVPQPNGRWGAQIYEKHSRVWLGTFPDEDAASRAYDVAALRFRGRDAVINHQRPPAPEEAGSSSTSELDPELAFLNDHSKAEIVDMLRKHTYADELRQGLRRGHGGRAQPTPAWAREHLFEKAVTPSDVGKLNRLVVPKQQAERHFPQTVTGGGSDKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLGAGDTVVFSCSTYGPEKQFFIDYKKTTTTDAAIGNENETSHVAVKLFGVDIAGRGAEGSSSGG >cds.KYUSt_chr4.5574 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32333899:32335406:1 gene:KYUSg_chr4.5574 transcript:KYUSt_chr4.5574 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGSLSSSPPAPLRIVIVPWLAFGHLLPYLELAEQLASRGHCVSYVSTPRNLARLPPLRPAAALRVDLVPLPLPRVDGLPDSAESTNDVPDEMRELHWKAFDGLAAPFAEFLAAAYADEDRRPHWIIADSFHHWVAAAAVEHKVPCAMFLPTAAVIAAVQPPPSEHDGARAVTRPLYEKEEAKPLYDDQGASGMSLVQRWSLTKERCTVGAIRSCVEWEPESFNLVSALLSMPVLPLGLLPPSDDGGRRRPGTSTADGSEHATVRWLDTQPPGSVVYVALGSEVPLRVEQVHELALGLELAGTRFLWALRKPSGAAVLEDDAAASLPLGFQERTHGRGLVTTGWVPQMSILAHAAVGGFLTHCGRNSLIEGLLFGHPLVMLPIIGDQGPNARQMERKKVGLQVARDENDGSFNRNGVATAVRAVMVEGEARSGFVANALKMRQVVANKELQEGYVDQFVHQLRSYADADANC >cds.KYUSt_chr1.18631 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109119303:109123664:1 gene:KYUSg_chr1.18631 transcript:KYUSt_chr1.18631 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPASACPAELDLARARCRALHDRLAASPALPRHPALRSLLRLVAAELRFLTNSPDPATSPRPLSSNLPHLGALHRLLTHPAVRAPSRLAPRPGVDFACAFRSRAAWVLLSGRNPSGLRWAPRRQGLRPRVAAVLDSARSAPPATRPEKLLLAFARGVGADVVRGLAVEFGAVEIDLLAEFVDDTEDDKEEEDGWVSVSFHPDEEMRSFRAFEIDVVDGGGAEALSPPPPPAQDVNLDVRSDDTLEGCFGDFLGKMRMDSMELLNLDTTALVAIVSGISNGGVGKLMSAPEAETRGRFKCNYKFVMDQAQSELQYPIFVELGKAVDGKKCIICETNSLGQSFTTHDGSSNNKEACDEKQSCLWYRLSKTVPKLLIMVLIMLWMMINTLTVPKLFILVFTMLSAKAIESAKALDHVIKLECNRVGKGWFPPPGYEDCIALNRWMSALKPKVPGRVEAMLMPPLTVGRQPELGHHSRSLMSRRFYVQLSTDNLHILVVPPWWEWIKITLPRNVPCVHAGSATSGCRSSMAKWSSAGTGRRRPASAISITATSWSSSSRPSS >cds.KYUSt_chr5.36700 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232055654:232056259:1 gene:KYUSg_chr5.36700 transcript:KYUSt_chr5.36700 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVGLDGSMTQYAAPVTACEALGNDERNGNESVFLCSSDELRFDAAPRALANEEELQPGWLYFLLPVSMLHVALRRYEMAALAIRASSALAVVSGVASPPRLKNVVGNKSKQRKTARVAPLVSPGQHAELADGEWSQHAYGKYGGARKTVRGGSAETAGKTRKRSGYRSRGARHRRRAADDVPRLSATRFRCAPWRTTP >cds.KYUSt_chr4.28051 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176210135:176210893:-1 gene:KYUSg_chr4.28051 transcript:KYUSt_chr4.28051 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLPRYSRFQSIQVTEDGNPRWARVKVKVEDHIVVPTLDPVAVAANPDQSVEDYVASLFTLPMDRSRPLWEFHFLNFPTSEATSTAIIRVHHSLGDGMSLMTLLMSSASSAADPTRLPAMPKQPERTGAIYAPRRPRSSGAMPFLGWVCSYFVLAWNTMVDVAAFIATMMFLRDPHTLFKRSDDTVFNPPRRFVHRSLSLDDVKFIKNAINCVSMGS >cds.KYUSt_chr2.33832 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209069407:209070348:-1 gene:KYUSg_chr2.33832 transcript:KYUSt_chr2.33832 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAPTVDDEVVREFGPLLRVYKSGRIDRPIVAPPVAPGLDATTGVQSKDVDLGVYSARLYLPPSVTTSGAKLPVIVYIHGGGFVAESARSPNYHRFLNDLASACPALGVSVDYRLAPEHPLPAAYDDCRAALAWVLSGADPWVAAHGDLARVFVAGDSAGGNACHHLAIQPDAARLRGAVLIHPWFWGSEAVGEEPRDPAGRAMGAGLWLFACPGTTGADDPRMNPMAQDAPGLDGLACERVMVCTAEGDFLRWRGRAYSEAVTAARGAGGLEVELLETEGEGHVFYLFKPDCDKTKEMIDRIVAFVNAA >cds.KYUSt_chr6.28330 pep primary_assembly:MPB_Lper_Kyuss_1697:6:179552720:179553888:-1 gene:KYUSg_chr6.28330 transcript:KYUSt_chr6.28330 gene_biotype:protein_coding transcript_biotype:protein_coding MARQARQQTNLAAATSHLLCSGFGPVGTARLSSSSWPANIIAVAHAIAPASFFFLCSLHRAAFKAALATTARSRPLPADAAPEDDVTPPPTVPWTAAATMTSTASARNAAALARGRASRRLPIARRVVQKPRKQRKLQRSPPELQLRRRPERERDAPLPLLVHTPRIDESIPKATGKSPLTAPGGVTPWTNGSAAKHHAIFFNIFEIQIRRTGSGWGEPHRADALIRERRGSRRLTTELRRAPQTKESRTCTKHFTGATTTSMAPPAANPTDPTLYTTAKRGSPALPPPERPAEGERSGETAVARWIGGEISEIAL >cds.KYUSt_chr2.1455 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8723138:8723668:-1 gene:KYUSg_chr2.1455 transcript:KYUSt_chr2.1455 gene_biotype:protein_coding transcript_biotype:protein_coding MIGELGPIICGAPVLLDGTLHVHWMTRSTVRYHKILVFDTVAETFRHMVPPSVNPRHAMHLLDMCGKLAVATSKDRVTGMTIFVLQDKEQDVWAFHYRIKLPVMDIRRFQEQGNLWAEVVSEEGDVLVCCYGYLLHCDKNGNLVASFKYDDDLPVVLPHRLKESLIQHTFFQKTES >cds.KYUSt_chr5.8144 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51617366:51618736:1 gene:KYUSg_chr5.8144 transcript:KYUSt_chr5.8144 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRSQGKILDNLERSYFPHPKWGRDWSNLADGPTGLIAERLLANDVADYICFRAVCCPWRRCSTDPRAQGAMDRRFHPRKWIMLRETPDHRLLFLNVSTGRCIRALLPELHGCRVLGSSAEGLLVLLHRASYVVRLLNPLTCQVIDLPPATTVLRRSCYAVDLPPTYNMSSAFEVSGVGLADDSTFAIYFFRFGVLAVAQPGDKCWTIVHQRHLNSQAISVDGRFYCHTNRGVMVVEISADQPPRLVLAADLTKVLSRMSSSAHLVDNGGELILVYRTIQQRRCPSDEEEESSGGKWKEFIKYDAYRVDLKAMDAKHVTRLGGRAIFIGLRTALSVSTSVFPSIKSDSIYVGRDLIMLGTNRGVYCLMDGTTEPCGIIRHGGFDKECGSEIGPWGIDDCLSVYVTDAHHVGNLNKIKGMPDDD >cds.KYUSt_chr4.38847 pep primary_assembly:MPB_Lper_Kyuss_1697:4:239827758:239828060:-1 gene:KYUSg_chr4.38847 transcript:KYUSt_chr4.38847 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRGLSETAESTQGVAEVADDAGACRGRCMRLPGSDADEVVLRVANLCTAVSVPGLSDGDYRGLGDGRIEPEGWHGREERRVQLPGMAPPTRMRGGRLA >cds.KYUSt_chr7.14561 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89966735:89968442:-1 gene:KYUSg_chr7.14561 transcript:KYUSt_chr7.14561 gene_biotype:protein_coding transcript_biotype:protein_coding MSGALETLCGQAYGARLYRMLGLYLQSSLIISAVVSVLISVMWVLTEPLLLFLHQEPEVSRAAAEFIRYQIPGLFAYSFLQCMLRYLQTQSVVLPLVVCSGVPFAFHLVLNHLLVNVLGLGLAGSSASISVSFWFSCLMLLGYVMWSKKFDETWNGFSVDAFSYMLPTIRLAAPSAVMVCLEYCALELLVLLAGLLPNSTVSTSLIAICVSTEAIAYMITYGFSAAVSTRVSNEIGAGNIDRAKNAVAVTLKLSVFLALSFLLVLGFGHGLWASLFSGSAVIAAEFAAITPFMMISIVLDSAQGVLSGVARGCGWQHLAAMTNLVAFYIIGMPLAILFAFKLNFNTKGLWSGLLCGLTCQTCTLVVITICTKWSKIVEAMQRDKVNYVA >cds.KYUSt_chr5.31576 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200099709:200103627:-1 gene:KYUSg_chr5.31576 transcript:KYUSt_chr5.31576 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVSALGVKKERAAEYKGRMTWAVAMACLVAAVGGSIFGYDIGISGGVTSMDPFLEKFFPVVFRRKNSGHQNNYCKYDNQGLSAFTSSLYLAGLVSSLFASPVTRNYGRRASIVCGGISFLIGAILNVAAVNLAMLILGRIMLGVGIGFGNQGVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQNINPWGWRLSLGLAAAPALLMTVGGLMLPETPNSLIERGRSEEGRRVLERIRGTADVDAEFTDMTEASELANTIENPFRNILERRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQTMGFGADASLYSSVITGAVLCLSTLISIGTVDRLGRRKLLISGGIQMIVCQVELRDHHSIYYYYCSSQVQNGHLTPLHFLVQVIVAVILGVKFGTDKQLSRSYSIAVVLVICLFVMAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFIIAQAFLSLLCAFKFGIFLFFAGWITVMTVFVYVFLPETKGVPIEEMVLLWRKHWFWKKVMPDMPLEDGWGAGDGEPAENKNHN >cds.KYUSt_contig_2278.40 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:289154:290107:1 gene:KYUSg_contig_2278.40 transcript:KYUSt_contig_2278.40 gene_biotype:protein_coding transcript_biotype:protein_coding MMHELGAPGPQFFEEIPDDGTYSYRRVGVILAGVPSDMDFYAELSTMQRSYDDVIPMQEVAKEAMHGLQARFGDRLRTTGYRDLPALDPGNFGQSHNQEYNGAGIDVGSSSTHPIPELSTVSTISGITMIADLVMFHAMKDELIRNQEATNFWFHNFQRSEYEKSVLHGALNVFVPGGPLNPIPVPDEEEEEDPEELEPEEEPEGVEEVENPVEPPAPPAPVVPPAPPASPQPLPDNEVEQAPAPPIQQQRPHSLIQEPHQPDYIHPHMSVSLREYRETTANLAYARGLGIEPWGRQTGSGSNNGSGSGGSSGSGMA >cds.KYUSt_chr7.21575 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133767586:133772493:-1 gene:KYUSg_chr7.21575 transcript:KYUSt_chr7.21575 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAVVVPHPSSGNINPALQLAKLLHRHGFYITFVNTEDNHRRVQATEGAAAVLGRDGFRFEAIPDGLVEAHRYTGNYDLALSMATSTHCAAPLRELLRRLNATPGVPPVTCLLPTSLMSFALGVARELGIPTMVLWGCSAAALMGQMRLRELQERGYLPLKDNSCLTNGHLEKTVIDWIPGMPPTSLGDISSFVRTTDPDDASLRFNIVEAEGCTKAGALIINTFDDLEADVLDALRAEYPRIYTIGPLGDQLRDDNAAAEDNSNSTNGGLSLWKQDTECLAWLDTQAKSSVVYANFGSLTFLTADQLAEFAWGLAASGHPFLWSIRDNLVPGASAGLTVLPPEFVAATAGRCCLTTWCPQEQVLRHPAVGCFLTHNGWNSTCQSVAAGVPMVCWPGFADQYTNCRYACEVWGMGLRLDDDVRREQVAGHVSQAMRSGEMRRSAAAWKAKAEDATAPGGGGSSYENLQSMVTALGSVSS >cds.KYUSt_chr4.5294 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30503588:30507046:1 gene:KYUSg_chr4.5294 transcript:KYUSt_chr4.5294 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGVKGEDLPKPLSAKDFIISLGMENVKDGDTTKVITRYTYVPPADSVEEKTKPSSVDKESLAAPEPDYVMEIFPNSSHRDGSIYSGTDDWKIDYRIVDRNEILTPTDCSIPNFMLDKAVSRLAKIPAELGSVELYGYIAARDNMNPLLNYIFHFSRDDPIIVKQGSLIHMAGPKRAIELVGTILIEYDMKIKASEHEKEDLQVIDGISCLDNIDTWDRTPFTFRIQGDCGAIDVGVSRLSFAYEATVEVVVSEVQSSFSMCIGCFTSGLDEEIRLFNGAIGESRALKRSVVAVASDDEMELKLKVAADSGIPAEYCCCFQSKQHGRATQEIYTGFALIAVKVTWSTLNKPRKGKEAKAV >cds.KYUSt_chr4.19064 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119737851:119739481:-1 gene:KYUSg_chr4.19064 transcript:KYUSt_chr4.19064 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQDEHVVYYYILLATILVVPLLLVKLMRPGKHSKNLPPGPWQLPVIGSLHHMVGALPHRAMRGLARRHGPLMLLRLGEIDFVVASSASAAMEVLKTHDAMLASRPRTSTTKAMTRHGLGIVLAPKGEHWRQVRKLCVNELLSARKVQSFRGIREAEAGKLVASLAVASAASRHRQPVNVSSHLATYVSNAAVRAMVGDEITDRDAFFECLDKGVTAAAGFSLTDLFPSSRLAHAFCGTTRRLEAALQGMRHVMNGVIEEHRARRSVDACNGDENILDVLLRIQQTEQGAPLNMGTVRAMITDLFGGGSETTATTLKWAMAEMMRNPKVLCKAQAEVRATLLGQSRVQEDDLSKLHYMKLVIKETLRLHVPGPLLLPRECPEPCRLLGYDIPKAAMVVVNVWAIARDTQNWGPDAEEFRPERFEEPADSAGVGFRGQHFQFLPFGAGRRICPGVNFSLAVMELALANLLFHFDWELPKGTVPDELDMTEAFGITARRKNDLMVHAYLRTSCASSN >cds.KYUSt_chr2.31990 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197309815:197310129:1 gene:KYUSg_chr2.31990 transcript:KYUSt_chr2.31990 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAGSGSKERLRPSAVELAPSLSREVVATTFVAYADLRLLPSTGLALSGPDACLNIADSAWRMLPVAAAGSFGFGSAREVKPPSPSPWSRGVLIWDFAPPAT >cds.KYUSt_chr4.48392 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299720255:299721350:1 gene:KYUSg_chr4.48392 transcript:KYUSt_chr4.48392 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALVMVAFVAVVAAAACVGVGGDMNSDRSECADQLVGLAPCLQYVQGEARTPAPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINASLALALPSACGATKANVSHCPELLHIPPNSKDAAIFSPGGEKGTAATPGKDNTASTTNSRAQQAANGAIATSTATAGVALAALLAGYLALLLPADVLAAPF >cds.KYUSt_chr4.23337 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146950026:146951081:1 gene:KYUSg_chr4.23337 transcript:KYUSt_chr4.23337 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCDGEIPAKRPKLSDGGDGCSEDRLSALPEDILINIVLRLANAAVAARTSVLSSRWRRLWALLPQILFRSSTGPHGIRAALLSHEAPVLLRLVVDLRDASPESVAVWLPIAAHRLHGDLFLVNVVRQNERGHEAAEGGAFELPCFEKATSISLVLGYLGLSVPPLGVFAVLTSLFMSCVKLHGPCSLGDAVSSARCPALRKLTVYHAWGLGNFAVHSDSLLEIDLKHLHGLEQLTVMAPALKRLCVICCLSKPSSTANISAPQLVSLEWAGYYDPRFTQLGKMDNLQRLRTSAFLVYGQHDFGHELNSNSLRLIRRFELIPRLSFMLIYVPVSSFLCEPWHHCVNLESK >cds.KYUSt_chr2.13537 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85835421:85836742:1 gene:KYUSg_chr2.13537 transcript:KYUSt_chr2.13537 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFNVNSEAGLKNLDTYLLSRSYISGYQASKDDMAVFAEFSVAPPSKYTNVVRWYYHIDALLKLSGVTAAGQGVKVESSIVPEASTSADTKGKAPAADDDDDDDVDLFGEETEEEKKAAAERAAAVKASSKKKESGKSSVLLDVKPWDDETDMAKLEEAVRSVKMEGLLWGASKLMPVGYGIKKLQIMMTIIDDLVSVDTLIEDHLCVEPANEYIQSCDIVAFNKICEFLQLL >cds.KYUSt_chr3.10410 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61802521:61802859:-1 gene:KYUSg_chr3.10410 transcript:KYUSt_chr3.10410 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWRKKETAVGASGAAPACVVIRSAGTGKIPRGYIPMVLVGDEGEEEERVMVHVRMLTEPHVLDLLEMSAQQFGYGQRGVLRVPCGVERFEQTVCAGHQQETQDPACAAAH >cds.KYUSt_chr1.11186 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68419720:68425966:1 gene:KYUSg_chr1.11186 transcript:KYUSt_chr1.11186 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGRGDDEEERGKVGLHSLFRFADASDVLLMVAGAAGAVANGVSQPLMTLLFGDVIEAFGSASRHDVLHRVSEVCLKFFYLAIGSWFACFLRKGYMFNFGGKSEVTCWMITGERQSARIRGLYLEAVLRQDIAFFDKEMTTGQVVEGMSGDTILIQDAIGEKVGKFIQLTSTFIGGFAVAFTKGWLLSSVMLSSIPPIVIAGATMSWIISKLSTQGQSKYNEAGNVVEQTIGAIRTVASFNGENRATRLYNKYIGNAYVCDVQEGTATGLGFGFVMFIIFCTYGLTAWYGAKLITDKGYGGGQVVSVWMAFMTGAMSLGEATPCLTAFASGQAAGYRMMRTIRREPEIYSDVIDGIVLENLRGDIEMRNVYFSYPSRPDQLIFDGFSLHVLCGTIMAIVGESGSGKSTVINLLERFYDPQTGEVLIDGVNMKNLRLGHIRKKIGLVCQEPLLFATTIRENIVYGRDDATTEEIMVAAELANAAKFIDKLPNGLDTIVGEHGAQLSGGQKQRIAITRAILKNPKILLLDEATSALDLDSERVVQEALGRVMRDRTTVIVAHRLSTIIDADIISVVRHGRVAEQGTHIELLKNPSGAYSQLIQLQDLTEGPDNSDVDYQKSISTNLNVQSISKSSQSNSASFKRSVGGGTSFGSTSMNLVSTAGLIVPESTHTELQSSADGEEHRRVALSRLISLNKPEMPVILLVILPSGGLQGYLQIKFLEGFSSDAKVMYEEATQVANDAVSGIRTVASFCAEHKVMKAYYKKCEAPVRQGIRQGIVSGLGFGVSFFVLYCTYALCFYVGAKFVLDGKATFTEVFRVFFALLMATIGVSQTSALGSDSAKAKESASSIFALIDRKSRIDSSSDDGMVLADVAGELELCQVCFSYPSRPSVQIFRDLSLRIPPGKTVALVGESGCGKSTIIALLERFYDPDSGMVMLDGVDIKNLKVGWLRQQMGLVSQEPVLFHDTIRANIAYGKQGEATEDEIIAVAKAANAHQFISSLPHGYETCAGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESEHAVQEALDRVMVGRTTVVVAHRPSTIKGADVITVLRNGEVVAMGRHEQLMALKDGVYASLVELHRDLERTGKGQSVSYGL >cds.KYUSt_chr2.4157 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25171933:25172700:-1 gene:KYUSg_chr2.4157 transcript:KYUSt_chr2.4157 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARALRLVASSPSTTQRSILAAHRRLLSITTEAAGAGDTAVHSGEPPSDDYADRPPKFSVAEEATKGSDDKHPSATTPRPSESPSTTTTKERVSPFGPSGKLGSQELADPAAGSTFTQKRHWSSSAPAGSDPLGDATPGDEEAAARKVREEDREYYRTHKPSPLAEVEFADTRKPLTRHTDGGAEDRMEHDVQGTMVRDTADASLARAEAMFREAASRGNPEWPHSRALAAMLARRRGEGGAGDGGTAPWGS >cds.KYUSt_chr1.40784 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250136963:250137949:1 gene:KYUSg_chr1.40784 transcript:KYUSt_chr1.40784 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNKAAAALVKKIAGLHRAISKLPSLSPSPEADALFTALVATCVPPIPVDVATLGAKARRMRGELVRLCADAEARLEAHCSDALAALDHPLRHLRLFPYYENYVALTDLEHTLLARHAPDRLAAPARVAFVGSGSLPLSSLLLAVRHMPHAVVDSFDLCSDANDRARRLLLRGGDVATRMSFRTADVEGLTHELAAYDVVFLAASVGVAAAEQKTRVIAHLGEHMADGAALVVRSAHGARGFLCPVVDPADVWRGGFHVLAVHHPDDDAVINSVIVARKAAGGSHELAPAVASSPCKCCEVETPRRRSPPTSCHLDAMDEIRRRSW >cds.KYUSt_chr7.3430 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20458172:20458843:1 gene:KYUSg_chr7.3430 transcript:KYUSt_chr7.3430 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKTTTAAAIMRSLSKLKLPGTSPTLSSRQRGEEGPRGGCRSTRRMRPADSLLANLFTGASAVKAPYAQLKDPAGGSKDATAVGNTALSAHTEESGQPRARGDSSYRRMGSGAGCPKAGEPDSVAIVALQQVAYYSGKDQKLLAPPRQLQLHH >cds.KYUSt_chr3.8238 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47398536:47400903:1 gene:KYUSg_chr3.8238 transcript:KYUSt_chr3.8238 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSALEWWDEWQLRILVLSSLFVYYILNFSFTVRRLPELRRLKVVIWIAYIGSDALAIYALATLFNRHKEQTTTTTSRALEVMWVPVLLIHLGGHQTISAYSLEDNELWKRHVITFVSQVTVALYVFCKWWSGEKRLLQAAVLLFVIGILKFSQKPWALKTACFNSMLDSVCVDLNQGTRGEEQDLSLEKYVSKAKECVLEDIKETAQAEKLSDITEIINRERSITDYTKNMFIDMSAPYPVRLSELRSFMQLDEDNAHNRLQHCLAIIFGLLYSRMGSAMTPLGILLSIPIPSITIASVVLLAKSSMDGYPKNDVRVTYILYWGNAIMEFLPLFLTYLYLPFKCCRPMFSGRWHDMVSQCSLMSFSARERKPTILMKLAIFSSLREYLNMHWYIKQEPEARQITGLRPSLAHGYGPLLLLPSRVPSRRTGRGGNSTEKQKQQSDIQLHMVYLLSARPEMLMAGTRLGLFTVVSNEIKDILSHSGEAPLDTEKSLAQEIIRVVKLLPDTTTYSLTRDASRLTEVLMKLDGDERWTVIQRVWVEMLCYSAARCRGYLHAKSLGDGGECLTSIWILWSFMGMETLADKLQRPQPSQAQDGAPLACRSHGRGHSSENFSPALKRLFAEV >cds.KYUSt_chr3.22064 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135891270:135895478:-1 gene:KYUSg_chr3.22064 transcript:KYUSt_chr3.22064 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSCRLLPPVSPPPALPKPQLFHNVARALAPRQAHTLARARPDASAQVDAALSAGFVRLLNAGKKDTESVGGHYDPKSGDYAVGVVVSGTEARLDVAVGADRLATLLTKELLPLCRAELPTVADEASPPRPGSVGVVAASAVVEQKRGARTLVAPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWHRARQIMQLDEPIEVKIYEWNTGGLLTRIEGLRAFLPKFELMDRTNTFTDLKSKVGRSIRVCVIRLDEETNDLIISEKKAWEMTYLKEGALLQGSVRKIFPYGAQVRITGTNRSGLLHISNISRGQVLSVSDILNVNDEVKVLVIKSNVSDKIALSIADLESAPGLFLSDKEKVFSEAEEMAKRYREQLPVVSRNTELDYDLQGETIPFDKEATLYANWKWFKFLMHGKTGQENVQT >cds.KYUSt_chr7.36129 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225803528:225809329:1 gene:KYUSg_chr7.36129 transcript:KYUSt_chr7.36129 gene_biotype:protein_coding transcript_biotype:protein_coding MITLPPSNMSSQKEVWRPSPTWAMETPQVHLHQGVEVLLVQTGGGVDGAHFLGQPWPRGVHAEVEAMPKLQLHTLILHIETQETQEAGLSGAGMCVDDAERLDFGDAGVEKPAEITLPHVFDGHGGKDAAHFVRDNLPRVIVEDADFPLELEKVVSRSFVQIDNQFADKCSHHRALSSGTTALTAMIFGRSLLVANAGDCRAVLSRCGIAMEMSTDHRPCSLSEKLRVESLGAYVDDGYLNGLLGVTRALGDWHLEGMKEADRPGAGPLSAEPEVKMTTLTKDDEFLIIGSDGIWDVYSNQNAVDFARRRLQEHNDVKLCCKEIVEEAIRRGATDNLTAVLVSFHLVVPPQIRVNRPGRVARSISADGLNSLRSSHSRSLHRYQMVKVCLPLAAAALVLLLMVATAQGIRLDAGSQAALSNKVLNKSVDKGVVIKPDGEASSDELGETISEEKNRHGMPDIHVDYYGPRGHNPRHH >cds.KYUSt_chr3.35227 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221236943:221239831:1 gene:KYUSg_chr3.35227 transcript:KYUSt_chr3.35227 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLARQLALPVRPGRLDRSGSLRCRAEAAPPASVARTGPHTGRDPEAKKPAWLRQRAAQGGKYARMRESLGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPVEPLNTALAVVSWGVDYVVLTSVDRDDLPDGGSDHFVQTVRALKELKPGILVECLTSDFRGDLEAVSSLANSGLDVFAHNIETVRSLQRIVRDPRAGYEQSLSVLQHAKNCKEGMITKSSIMLGLGETDEEVRQAMTDLRAIGVDILTLGQYLQPTDKHLTVREYVTPEKFQFWKEYGESIGFRYVASGPLVRSSYRAGELFVENLVRNQKARPAASSS >cds.KYUSt_chr3.5342 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30413286:30413720:1 gene:KYUSg_chr3.5342 transcript:KYUSt_chr3.5342 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPTMHKPRAKSLWLLVRRMLCRGSKPHRPTAGASAGDGGDNYGGEKSSLLGRSGSLEELLGSDGAGLHASVKKDVQHVLLPDHRQRQPADVARPEATLTVSSSARAGGAAMQQYRRFVFGGFRRRLMMRRQWRPMLVAIPE >cds.KYUSt_chr2.35205 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217278389:217280488:1 gene:KYUSg_chr2.35205 transcript:KYUSt_chr2.35205 gene_biotype:protein_coding transcript_biotype:protein_coding MAELELKDQIAALVASVREQNTKLDGISGEMSSVKTTVTSLEEIKPALVDLALWKPKIDQVMGALQAELGDLRLAFDHFATTTTSAAPSSTPSAPPSAPPLLPAPERRPELRGDVGRAPSGDGGRHGPVGHRVEFTNRGSVMGPLPHSTPAKGTYGFPHPESSQPFPRENWSKTPRMDCPGFDGEGPLEWKLKCESYFRVCRVDREIWVDTAVVYFTGEAALWLQWTNTHLTVASWEKFVVKVCEKFGRREFEQLLRQFSRLRQTGTVAEYAAQFTVAMNGLLAHHQSWDPLYFVTKFVDGLRSDIRVVVMVQQPRDLDSAVALAALQEEAMELTREPMRSAYGGHNSFSRTPPRSALPLPPPPTGRPPGMTPGSRTEDRRGAASAQAPSTDDKVQALRAYRRARGECYTCGERYSRGHVCAPTVQLHVVEELWGLFGCEMDSNSSHEDKGIQADLCVVSAAAQQGVEPPLTIRIKGVVNSQEVLMLIDSGSSHSFISEATAAKWATVRRCRPMHVKVADGGILQCDLEVPDCVWKAQGAEFATTLRLFPLGCYDIILGMDWLEGIGLMNVHWGLKRLSFQHRGRQVLLQGITANTSVCQEISISQLEVLDHKHAICHLVQLREVKEVDQPEQCPPAIQALLSEFKDLFAEPQGLPPQRDFDHKITLLPGSRPVNLRPYRYNPEQKNEIEKQIAEMLKQ >cds.KYUSt_chr4.44457 pep primary_assembly:MPB_Lper_Kyuss_1697:4:275396593:275397855:1 gene:KYUSg_chr4.44457 transcript:KYUSt_chr4.44457 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWVLLDRQVPFPHDPIVTKEEDVLVGLGCPPSDEEMMQTITRYLHTLKPDAHLVDPPNLSSLRMLPPMNTIPPLCSGVTSAHISSSDKNLVALYAGAYRPGTLSEGCYLIYDARKNSLSAIPKPPFDLSHDCIGRHSSALVVSDGEGEGYVLAELIRVRSSPDVAKAALYLWRSSATEWVVKAGRLPLEICQPIDDFSADMSFLSEGSTLCWVDLFKGLLVCDLSRVLKNNSDPEFRFIPLPHVCPVYNVYTPEGRQLRAEQFRSMSYVQGAIRFVTMDGYVKHQPGDDFELTVWTLSSDLSKWDQTSEYNVGKIWENETHQAIGMPKISPSLPVLSMYEDAVVYLVFTDVRVVGCKLDYKGQYLLRVDMGNNKVQFYPGTTKWIHSPFMASDFSAYQKVSGEHPVLILPLKRAPLA >cds.KYUSt_chr3.23586 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145808667:145810499:-1 gene:KYUSg_chr3.23586 transcript:KYUSt_chr3.23586 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRETVVTPAMSLEKNGSGRRRPRYRIETRALSYVLPARRVGVSSFLLGGKGGGGSGERLLLRSVTCEAPPGEVVAIVGPSGAGKTTLLSVLAGSADPARVVSGAVLVNGCPMDAARFRRASGHVPQDDALFPMLTVEESLTYSARLRLRAAPGAAVARARELMAELGLSHVAGSRVASVSGGERRRVSIGVDLVHDPAVLLLDEPTSGLDSGSALHIVRMLRDMATVHGKTVVLTIHQPGFRILELLDRVVLLADGVVRHHGSLPFLDARLAASGHSIPAHVNILEYAMETIDTLKPDVVVATAITYQEAAGPAPASSCSSARRAAYANSAAAEVCILSGRFVKTVLRTPQLFAARMVQSAVVGVFLGTIFLGTTDLQSRLGFFAFNLTFVLSSTTEGLPVFLQERRILERETSRGAYRVSSYVASNAAVFLPFLLAAAILYATPVYWLVGLARDPASFAYFALVIWLVMLTANSFTACLSALAPNYIVGNSLIAGFIGCFFLFSGYFVASKDIPQYWVFMHYISLFKYPFEALVVNEYGGARGGQKCLAEAPGGGICVLDGTMLLRQQGMREGMRWSNLGVMLGFVVGYRVLCFVFLWYRCHRMRR >cds.KYUSt_chr4.3776 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21570479:21570931:-1 gene:KYUSg_chr4.3776 transcript:KYUSt_chr4.3776 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAEPATGIPVGGAPANGTWSSGLFDCFDDCGLCCLTCWCPCITFGKVAEIVDRGATSCGICRSALRAAGDAHRVPVHLLLHLPRQDARPSTRSRPALAAARCVHFSLRAVLARPADTRSSRLSGSRPRHRMAAQRRARQRQCARRAR >cds.KYUSt_chr6.31716 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200415798:200420015:1 gene:KYUSg_chr6.31716 transcript:KYUSt_chr6.31716 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETSTRPGVDFLERMLIDETAEPTSVSLSLLETITNCFSDDQRIGSGGFAVVYKGIVGKGMIAVKKLTKYDLPEKKFLREVKCLMKVVENWRNRLEGSDQRDTQLEQVRVCTKIGMECMDSDPKKRPVASHIVDTLDKTSRTVETGISNSSFVEQQVSFLNDQHCQGESAKLSPEYLGKDIKESAETEELGEYVGTPREDHWQQGKEDALGDQWSLWKAQYAKQNVIPQVANISSSNSGLLYKLNNLGIFNTKAHKNYIKNGGPMLENVSHLKLFKKGELKPILKYSNLIGKGDFGEVYKGLVYNVPIVVRKPYSRGVLAIHIFTNEVIIQSQAHHKNIVRLIGCCLEVDDPILVYEFYSKDSLHDILHGNSTVPLNLSTRLSIAAVSAAGLAYMHTEGHTIMLHGDLKPEYILLDDKFEPKITNFGISRMFLKEELTGTRSISAAFNVSGCMDPIYLETRLPTKQSDVYSFGVVILELLTRKEAKAFNIHSITRKFLENHKQGRKATELFDKEIAVTRDLELLGDLAKIVMECMNPDVEQRPTMVDVAKRLSILSKSRELALLQAS >cds.KYUSt_chr5.37541 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237237183:237240736:-1 gene:KYUSg_chr5.37541 transcript:KYUSt_chr5.37541 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTTTKVIDADEEDDHPPRPEATATAAVAAASLADRADAAPAAGELSKGGEGEFSIDIPALYTGQGCDAPFSAITPGGVPTHTDICLGACDRFFHIVAHGGAGETAYFAVPREMASPGVVGPRGFAHINLVTGAVGVIDHIERAPGVAACLSVRVLADAMTCASPLDLVPHRGMLSAGVDGFSAARDLSPALRAAASPAPVVVQVAAPAPVRAPAQATAPVAMQVAAPAPVQAPAPAPAPRKRGRPAKASGPAAVPANAVAAPPLPSAAVPLKRSARVRDLGGPLFESVMERAVRLKAMKAGDGNAATSASVPPPFRTDEIIAMARACQLPDEDVRDLQSASAVPEAAP >cds.KYUSt_contig_319.1375 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:9162403:9164667:-1 gene:KYUSg_contig_319.1375 transcript:KYUSt_contig_319.1375 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAPSLAADLWRPVHREASSVLTDRSDGGRGVGRRRSRSDASAHEEDPYKLASTSGDGAGQELARPEAKRLKKVKSSEDDDAQADSGNASKAVHENPKPPEPPKQDYIHVRARRGQATDSHSLAERVVGKASVLDEIINYVQALQRQVEFLSMKLEAVNAQMNNRVASESKDAGAQPYGAQPYHTTLGLTLDPQTPREYAQASTSEWLHMQVGSTYERVT >cds.KYUSt_chr2.36958 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228581811:228588686:1 gene:KYUSg_chr2.36958 transcript:KYUSt_chr2.36958 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPLWPPEPPGGGDGAPEIFAGGVGTVRRAVVIGNGCAGAENQCLGLVRALGLADRLTLFRIIRPTGGINKWLHFLPISLHKIVDQVLRNIFSNTTFTTLFQGKLLAPYPVSNVQSFGLSSVLEADTSKIVTLVRDTFEKDGLAIVVACGRDTISYASSVRCLAPDNVFVIQIQHPRYRLDRFDLVVTPRHDYYALTAKGQRETPWLFRRWITPREPPGPNVVLTAGALHQADSAALRIAATNWHNELAPLPKPLVVVNIGGPAKNCNYGVELAKQLVSSLHNVANTCGSVRISFSRRTPQKVSDLILKEFSTHPKFYIWDGEEPNPHLGHLAWADAFIITADSLRRAPPPPPSFSGDKLLAPPRRIPPHLRHGLPPPQYRVAGERIEAIPLAGHRRGGVAAQVQEVRRREPLHGVPVRRRGRTCRMRSGEYAAGGRWYRQDPPLKPMSGGAFEKWLAEWQRDRASKAAWAATIGSTSGGGSGGDEEEEVAAEEEAAFRRAVAESEADAAEKARAEAEEEAAAIAAVREFEAREAQEEAASIAFIPYVILDE >cds.KYUSt_chr7.1715 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9773217:9775836:-1 gene:KYUSg_chr7.1715 transcript:KYUSt_chr7.1715 gene_biotype:protein_coding transcript_biotype:protein_coding MADTQPTDTESFEYMLLEKDPDHYRTVFSGPSQISPWIDPSMLNLKHRIGRGPFGDVWIATHHQRTEDYDRYHEVAVKMLHPVKDDQLQLFSARFDEIFGKCQGLGDVCSLHGVSTQNGRVYSCHICIAMKFYEGSIGDQMARLKGGRLHLSDVLRYGADLARGVLDLHSRGIFVLNLKPCNFLLDEHDHAVLGDFGIPSLLFGLSLPNADLIQRVGTPNYMAPEQWQPNIRGPISYETDSWGFACSILEMFSGVQPWRGKSPDEIYQFVVLKKEKPIFPYNMPPEIENVLSGCFEYDFRDRPMMTDILHAFESAKNVDYDNTDWDSSDNLRAERSTQPSRINWLHFKDKLQIGDKVRSRKLKTSCTPETMQIPDGTIVGLEEDGDHDAYILVRVHGLHDPLKVRSTTVERVTYGFAAGDWVRLREDDKKRSQVGVLHSIDRDGIVYVGLIGMDTLWKGEYSDLQMAEAYCVGQFVRLRTNISSPRFEWQRKSGGGSATGRISQILSNGCLVVKFPGKFSLGEVCSCLADPSEVEAVSFNKCDGVVKKYEHLEDFHWAVRPLFIAMGFFTAMKLGIFIGKGVTRPRSRKVASVSEQGGDHHKSQQQEVQNSASATWLPPPVVNMLFGEGYAPSG >cds.KYUSt_chr2.896 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5304240:5304821:-1 gene:KYUSg_chr2.896 transcript:KYUSt_chr2.896 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAGRCLHPARRALRLLLGRRSAVPGAAAARLTWRRTFGVATARCDKTSTAAGEAAPTSTALPFARGPPLPTSNPPPCTGGAAAIHRVTLCPAYGGAAIHGLLQAAGLEGARRRLLPPQQQQQPEGHHLARRRLLPPPPSRATLPLLQSPVAGAATGGGAAATAAAELQRASTVLQSQFCYERLAGAMTVLP >cds.KYUSt_chr6.25546 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161941614:161944864:1 gene:KYUSg_chr6.25546 transcript:KYUSt_chr6.25546 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTASKPTPSSSPHLLWDWADAAAPGSSGEAAGRRGKEKRAKVAVGEEGSGGGGGGGGNARCQVEGCGVELRAAKEYHRKHRVCEAHTKSPRVVVAGQERRFCQQCSRWVFPDVPSLFAGVVFLHSVWLLAGAALFPSFRLLSIQRFHPLTEFDLKKRSCRRRLSDHNARRRKQQPDAFSFAPARLPSSLIFDDRRQISFVWDKAPLSHVRPFAISPWNSPSDFKLPQVKEIREVSINGQENLDKSRLPHAVPTLSHDIDGLLSMKGPDSSLSASKLGGAPDLQRALSLLSASSCGLPDPILQASCLVQFTGASQNSRALPPSHGGSSASASFVEGHQPMAPSSQLVRFTLDGSSNGYESTFFGLNPMN >cds.KYUSt_chr2.34453 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212804905:212808155:1 gene:KYUSg_chr2.34453 transcript:KYUSt_chr2.34453 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKPREEAARRREPELRPSSSIGKQCRMGSNWSREARLSSSSLLIGFPKTLFRLVVGLGGQARLSLWGLELNVWIRGFKLSDVAVVGAGLNANLKLPKKKRRFSSMFERFAITDCTLPSITLFANCREV >cds.KYUSt_chr6.26887 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170443851:170444552:1 gene:KYUSg_chr6.26887 transcript:KYUSt_chr6.26887 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSAKAKQVSSGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGIKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIIDPLYPGGSFDPLGLADDPEAFSELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVNNNAWAFATNFVPGK >cds.KYUSt_contig_1158.307 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:1843226:1846699:-1 gene:KYUSg_contig_1158.307 transcript:KYUSt_contig_1158.307 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRQLCRCFLLLLIFAVAPRTSDSKLVTSLPGFHGRLPFNLHTGYVEVNEGTELFYYFVESDTRAEEAPFLLWLTGGDRCSVFSGLANEIGPVRFVEEPYNGSLPRLQINPSSWTQVAHILFVDSPVGAGFSFSTKPEGYQVGDVSSSLQIHDFLIKWFSDHPKYHQNSFYIGGDSYAGKLIPFIAHIISQGIQAGNTPTLNLKGYLVGNPSTGEIIDTSSRVPYAHGVGIISDQLYETILEHCKGLDYMLPSNAPCAQALDTFNHLMSQVQGAHILLDTCVYASAPTGPAADTKAEYSSDSGRRILVGNPPARPPFGCITYKNYLSYFWANAEVTRDALGIKEGSVEEWVRCHNGDLPYSIDLRSSIEYHRNLTANGGYRALVYSGDHDSLVPHLGTQAWIRSLGFPVVRDWRAWHLNGQSAGFTLTYSNNMTFATIKGGGHTAPEYEPESPIEINGQSYIARIPERQRQRQRQRQRQLVLCFAVSVWELFQLISDCIEEDSHPVREEAVSPSPTGLLKWAGAFVWVDACDAPNVAQNQRDQIWITAKV >cds.KYUSt_chr1.39898 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244259950:244263183:-1 gene:KYUSg_chr1.39898 transcript:KYUSt_chr1.39898 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRCEWLELRMKDLLSQVSKYDSQLALIKQEKELQRAISNTNGSKAESGKSYRGHANTSMERRKRKRHEDTVDTSLYLKKHQILSYFFDKQNKGAQTGDLLIDDDSHGTVGDATKGLLDIVGLPASKEYDLDSKQFMLQKILLKISGIQSRVHRLQERLRKAGSKQAKLASFMDHAEVNVAEKRQRTQKRSFSPENDRYARPQKKKKLNILLEQENGTALPVKPTLSERATDCVKEETQWDSEEKTAERSHAHKKAITVDLLLGAESSLANGHLGDLCKENADDILIDNQGAKEGYQPFENVKHPLEEPPALTANIAETAPLKAGSISEPAEAEKISVPLVKQEQEQSRAKAPALTLVYSGRKWRRQPRPEVVASAAASKNYNKGASETPAENQKAQTPTAAENENAGPKKQKTMDTRSYSKLNSGSCLAAMTPKTGNLSSAAKTPKTGNSSSAAKMPKSRNSSSAAKTPKTGDLSSGRNKQAPGTSSAAAKKARTGNSFSDVKKPATGKPLVPARMEMSKNIPTNMRIEKAILVEVNSRRSQRVRKPKVY >cds.KYUSt_chr7.30650 pep primary_assembly:MPB_Lper_Kyuss_1697:7:190781727:190782986:1 gene:KYUSg_chr7.30650 transcript:KYUSt_chr7.30650 gene_biotype:protein_coding transcript_biotype:protein_coding MISALVAAKYILMLCVAIVVGLSTYVCFGISVARLVQRDYGDAGGDAANKAKLEAALDIFYALVLIQTAFRIYWAVLGSQDLCTPVSDECGFAGWGPKLVRRYEADTQISCNKEEPLPRGWNLITYAVVLLESASLDDRLSGARVLDTFVEQGILVRKELLSSSPYEDLLTTQFPGTLRCVCSLLEASIEQTLDLKSSSVASQASDLGGVHLSLAIPEQTDHQQQQLERKVPWYGRVIDILFDKEPDFRHGHTFVSKGTKELISQGLLILERLTQDQDNCTAICNHPRLLSKITSSITTHQDFGNNAYDRTWVEMLSRSLTVMRRLITSPGDEAAKLCHGISCNPVAVRNLIDILESDNKGAKELREQSLEILTELALEDSFRQQPFDEFGTATSIMEEFTSYRFCYTSCLRMRTAVVQ >cds.KYUSt_chr6.10043 pep primary_assembly:MPB_Lper_Kyuss_1697:6:61958908:61960245:1 gene:KYUSg_chr6.10043 transcript:KYUSt_chr6.10043 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKPNSQIARKAACASGLLLVAVFTAWNYSSLSTERLIDAAPASSAAATARSSGATSNATSGDAASCDVARGEWVPDSAGPYYTNATCALIDGRQDCMKYGKPGMESILGWRWQPHGCDLPRFDAAAFLRLVRGKSMAFVGDSVARNHMQSLMCLLAKVEYPREIEPKDCVHCTRKYHYRDHDFTMCVFWTPFLVRWNLTRAGGQQFMDPHNVYLDEADPEWSGDVSGYDYVVLNGAKWFTRPAVLYEGGRLLGCANVDGCEAAHNATDVAPDYAVRVSFRTALRALARFRGRVVVRTVAPPHYENGKWYDGGNCVRTRPVRSDETSLPETEAAFHAAQVEEFRAAAAAEPAARFVLMDVSEMMQMRGDGHPGQYGHWPHEKVGFGIDCVHWCLPGPVDAWNELLLHLLLSD >cds.KYUSt_chr3.19129 pep primary_assembly:MPB_Lper_Kyuss_1697:3:117656566:117671737:1 gene:KYUSg_chr3.19129 transcript:KYUSt_chr3.19129 gene_biotype:protein_coding transcript_biotype:protein_coding MWAIWTSRNSITHDNGTTDPVHSVKRIRDDLAILDLPSGHTKIMPGFGWKPPDDGWIKVNTDAGVALDARKCGAGGVARTASGFVGAWSKPQEGVTDPLVAEALALREGVLFAKLRGFAQANTFIWEAIRLAEEDHIMPARDGRALRRLADGMELVLVSGSSDGTGIGNSLALVRGNVNKAESLEEASQSGIDVNDEMGEVANTIDVRVTLSHWKGCSETRGRTYSRVKKLGGLLLKLDFEKAYDRVNRDFLQEVLMGKGFSDMLVYCLMQLSETVKWDNLSWEVQALPTFNLQDEISWSLDPSGVFSSRSIYLGLGATVTHFKEVWRKGGGGGGGLTGGDGGRLDESNSTTLTLPFLDAEAATEADRRPSGRKIKISGISFFPAFGAGLQKVIGCGRIRSCPPACLHSCMTMAQVDACRIAASCPPWTFAADDSTTTPGSNASQAVENSAADAPLANPQSSQGLTGGDGGRLDESNSTTLTLPFLDAEAATEADRRPSGRKIKISGISFFPAFGAGLQKHATVGNPKRKV >cds.KYUSt_chr1.7574 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46488555:46501760:1 gene:KYUSg_chr1.7574 transcript:KYUSt_chr1.7574 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPTSRKRVDMGGRSNKERDRQVLLEQTREERRRRLALRLQNSSATKIQKCFRGKRAFELARAEVRENFCSTFGEHCQRVDRNCFSANSDFLRQLLFFFNASKDDDIAILSQVCNLLLQYVKNGDAVSLFAGVNYSSVEPLVIHRVKKLALICVYAVHQKRYDWSNQLLMSVESTEMPFVRLLEAVTCLLNPKLPWICKVVGYLQHKRIYCLFRGIIIAVPQNARNLEHHHNTSALEQALMLVASHVGDDPCCCPIVDPRWSFSSQLLSIPFLWHRLPLFKKVFAANGLSKYYIHQIACYLPSRADVLPKDISVNHPGYACVLANVLEAATWILAEPMFASDRAADIIAVATSLLDALPTITSPTERADEDDDMPMDVDVKGGLDIDLQKQITAAFDSNTRLLDHLVNALFRGTLSTNYSDLSGPSDAEVEAVGSICAFLHVMFNTLPLDVTMTVLAYRTEIVPALWNFIKRCHVNQRWPYFSKYISPLPADAPGWLLPVSVFCPIYKYMLKIVDNEEFYEQEKPLSLKDLKSLILILKQALWQLLWIIPSHTSSTQKPLPNPLGLKKMSIDNLKSRARTGLSELLTQLQDWNNRLPFTSDSDFYSQEATSENFVSQAILGNTRASEIIKLAPFLAPFTIRVKIFTSQLANSKQSTTSHSSLTRHRFKIRRSRLLEDAFDQLSLLSEEDLKGPIRVSFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETVDHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAMYEGILVDLPFATFFLSKLKEKSNFLNDLPSLDPELYRHLLFLKHYQGDLSDLELYFVILNNEYGEQSEEELLPGGREMRVTNQNVIMFIHLVANHRLNYQIRGQSRHFLRGFQQLVPKEWIDMFNEHEIQLLISGSVESLDIDDLRLNTNYSGGYHPDHEIIDMLWEVLKSFSSDNQKKFLKFVTGCSRGPLLGFEYLDPKFCIQRAGVPGVEEHGDRLPTSATCMNLLKLPPYKTKEQLQTKLLYAINSEAGFDLS >cds.KYUSt_chr1.28097 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169435436:169437361:-1 gene:KYUSg_chr1.28097 transcript:KYUSt_chr1.28097 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVGVVILYGGILIVTFGARTLMKTLYSIESMQLIQEPQSRQQEENSQQLIQEPENRQREEKPQQLTQYPENRQHEGNFPYPTYLHGSVKLVVGAYFAAVPFYWQMRAMEERVEEAAEEAIEAVERVAETAEKIAEDVAEAFPENKSLKKAASRIKAITYEVEEDANKAEALLHKIEQIEKEVDEAVDSITKKKMKRKNS >cds.KYUSt_chr2.37821 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234124880:234129701:-1 gene:KYUSg_chr2.37821 transcript:KYUSt_chr2.37821 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQGIPFFMDNIIRDADAAVWCAGRWKLPPTRQGGHAPARSRDYGHGHGHHRPGSSTVREGVASAPVGVTLSFAGAKSPMRAAGRRSAPLQTLDGALADASRRRARPGASVRPRPCGRSMASPADDDGALQTLDGVARGQELLDGAASRVAWEMFLLRVDPLWCRRAVGGTGTAEAGGGKKDVFSVGLWGRWHRGGAFVRLLGIGIGKRKRRKEGTNRLRIMYLIMPTYVLPEGLAARLQFLSGVVAVADVSMPSIKRGGIATRDDICRFRVMPNP >cds.KYUSt_chr1.34523 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210160087:210162098:-1 gene:KYUSg_chr1.34523 transcript:KYUSt_chr1.34523 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEDVAVKEPLDLIRLSLDERIYVKLRSERELRGKLHAYDQHLNMILGDVEEIVTSVEIDDETYEEIVRVSIFSFPKTFNYTLMSASSKFRLSTVDFPNKSH >cds.KYUSt_scaffold_6468.28 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:224695:225018:-1 gene:KYUSg_scaffold_6468.28 transcript:KYUSt_scaffold_6468.28 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERVKKLASQHAVVIFGGSNCCMCHTVQTLFTQLGVSWAVHELDKDPQRKEVERVLAAMVVPTRSAPVPAVFIGGALVGTTDRVMSLHLGGQLVPLLRQAGALWL >cds.KYUSt_chr1.29480 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178502294:178502626:-1 gene:KYUSg_chr1.29480 transcript:KYUSt_chr1.29480 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWMACILSVRQAGARHDGVKVNMEAWRAKMGWWRSALDSWRKSGSLQSAAAQTDGTDAATKAPSSTAVGSRFHTHPDSPDAVDRSGVAINYYGDHDERSTRRSRTHCR >cds.KYUSt_contig_1890.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000227.1:51963:59499:1 gene:KYUSg_contig_1890.11 transcript:KYUSt_contig_1890.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLDDIEIETPETTASSPFLRTGDLAAGAATSQLLLPGPTRVPPWREQLTFRGVAVAAALGTLLCVVIHRLNLTVGVIPALNVASGLLSYFLTTAWRAAAGRLGFGRGSPFTRQENTVIQTCAIACAGLAFSGCSASYIFAMDRKTYELVGPDYPGNRVEDVKDPSLGWMIGFLFLIALLGPFAIVILRKVLVIDYKLAFPGGTATALMINSLHGEKEADLTGKKVHCLVKFMGLSFGWSFFKWFFSGVGDSCGFDNFPTFGPEAFKNTFFFDFNPSYVGYGLISPHIVNCSVFLGSVISWGFLWPFITTKAGDWYPDNLSSNDFRGLYGYKVFVAISIILGDGLYNLVKIFVIIAREICNAQSKQRDQLVQPLQDNESSKPLMDDTLQTEMFFKDSIPTSFAVSGYILLAAISTAAVPSIFPQLKWYLVLACYFLAPAVAFCNSYGMGLTNLNLAPTYGKIALFLFASLVGSNNGGVIAGLAACGIIMSIACSAADLMQDFKCGYLTLSSPRSMFVSQLTGVALGCIIAPLTLWLFWTAFDIGNPDGEYKAPFAIIFREMAILGVEGFGSLPKYCLQICCAFFLASLAVNLLRDLSPASASRIIPIPMAMAVPFYIGAFFGVDMFVGTVILFVWQKVNRRDADDYAVAAASGLICGDGIWSIPSAVLSILKIDPPVCMSFRPSSASSTRSAAIAIRNTEVEQRSPERNQGRKEGRSRWVPSIMEMLSMLKVGYTVLRSETPATDLVNTFMDWAARRSLLLLAVFMPPYYVYKLTSSALATVAPEDVAGKVVLITGASSGIGEQIAYQYAKKGARLALVARREGSLNEVAAKAMDLGSPDVLVVPGDVARPEDCKAFVQATVERFGRLDHLVNNAGLANVCWFEEVPNVADLKQVLDVNFWGTVHPTHAALPHLKNSGGKIFVNSSAAAMLAMPRMSFYNASKAAVLNFAETLRMELGGEVGVTVATPGWIESEMTKGKHLSREGRMEVDQDTRDAQVGLLPVVRAERCAEAIVDAVCRGRRSVTVPVWYRALFLWRAFAPEVGDMFQRVFYRRTAGDGGSQMRARSVTELTGAKRVLQPPSLYTSEIKRE >cds.KYUSt_chr1.25855 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155544586:155551644:1 gene:KYUSg_chr1.25855 transcript:KYUSt_chr1.25855 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAEPCGMDGYRGLRDVRVDLDLGAARGGGEGGAGGGGGFTVCFWLYLSGPARPSSVILHQITAGGDNKLPFLALSEGNRLLLFPLLRLHKEAPGASSCPWTDTTNISAVNECPLEKWVHIGCEVTENVMRLHIDGDLVAEAHLCALPSEPVNQDDAYQICLVGNSDKVEGYVYNIQVLSMLGTIHEQYTENPPSKLSIDYSCCDGIEVGDDGIWCIVGGKASCRRNFIMEVVLTNAFGEPVNKDTQIVASLVYADNGAVVEKSRDDAEPPLLIAFEGLEYPAKSRPLPILRGRALFKLKISQLSSKCDNKLFRIYFSTLHVQKYPFLEASSKPVRCISRGRPNRPMGPRKRTSSATVGEIQSVNNHQGFGRDGKVNACLQSHDLVCLHPSKFLKVEVDGIETHKILTQDRKLVLETPSVTRTDSTTSDSDSIDGGSSWSGSDRDEVETFSDAMVFRYCLEGTCERSTFLKGAASSINVDDLIALANQVSLYSGCSHHRYQIIISKQLLEEGADTWSRISKNKERALWSSAVPEIVAKFMDISRSANRGLSEQDLEVLRGIAGCGDDLGRDEFDRLWYWLYPVAASLSKDKINNLWARTEPVWIEGLITKEEAENALRSSRELLRKPGTFILRFPTTRSWPHPDAGSLVVTYVGFDNSIHHRLLSLDFSAARAGSLQDLLLQEPELLQLGRRAH >cds.KYUSt_chr2.8341 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52531629:52538201:-1 gene:KYUSg_chr2.8341 transcript:KYUSt_chr2.8341 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGASGSGGGGDTEMGGGWSELLNTSTKLLEQAAPNGPHFPTLQRNLDQLEVLSTKLKAKTIRAEAPSQSLSATRLLAREGINAEQLTRDLKSFELKTTTFEDVFPSEATSVEEYLQQLHEMAIVSSIQGAQKDNLKSFNNYMMQVLEDDWQNEKRDFLQSLSRLSTLPKRNTNLAGALSRPALMPSSASSPQAPSGLPSMEVMPIPNKTIIENKSSVYAGVVRDLNDARGRSVPFNPATAFRAAYESLSVDAIGSKSVTMQKVWHLIQALLGEGSTQRNISRKMSLVIGARRHLEWGHEKYIIETINSQPALAALGGSVGNLQKIRAFLRVRLRDHGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAYSFAPLLAEWITSNGVVSSETALTASEECEKMLRMGDRPGRPGYDRKKLLLYAIICGCRRQIDRLLKDLPTLFSTIEDFLWFKLSALREYSSASSSNGVNEGLVPYTLEDLQNYLNKFEPSYYTKSGKDPLVYPYVLLLSIQSLPAILYLSKEVGEEGYHVDAVHISITLADHGILPEGVGSGQKMGVMDACAEAASIIRQYGSIYLRNGNLDLALEYYAQAASAMGGGEVSWIGQGNADQQRQRSSMLKQLLTEILLRDGGIQLLLGPSGMGEEGQLKKYMMDWRSRQQFLLEAAHRCQEAGLYDKSVEIHKRVGAFAMALQTVNKCLSDAICAMAHNRLDGESRAVALIQSGSEILETARYSSEASVQDKDLISEQQIVLRQLQAILHIYKLTRAGQTVEVLRETIKLPFLHLDPQSPNVSVDVFRNLSPHVQACVPDLLKLALNCMDNVRDTDDSTELGSLLCSSKPPRIPTEFGWIHALTSLLIQPP >cds.KYUSt_chr3.20110 pep primary_assembly:MPB_Lper_Kyuss_1697:3:124071871:124073111:-1 gene:KYUSg_chr3.20110 transcript:KYUSt_chr3.20110 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAGAHTPSGELFLLFTARKLDLPRHGLARFDFLWGDAAYLEATLENLEFSNAWYRHLVIPAVCAPQLNVGVDAGAFPRVHLSSDGHLANDLP >cds.KYUSt_chr3.9769 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57451293:57452078:1 gene:KYUSg_chr3.9769 transcript:KYUSt_chr3.9769 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDLKLLGTWASPFAIRVKLALALKGLSYEYTEEDLASKSELLLSSNPVHKKIPVLIHNGVPVCESNVIVEYVDEAFAGPSILSADPYKRAIARFWAAYVDDKLLASWATILFRGKTEEEKSEGKKALLAALDTLEGALAKCSDGKGFFGGDSVGLVDMVLGSQLSWLKATEVITGEEFFCGDKTPLLAAWMARFSELDAAKAALPDVDKVVEFAKMRQARMAATAAAASNN >cds.KYUSt_chr6.7787 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47813141:47815605:-1 gene:KYUSg_chr6.7787 transcript:KYUSt_chr6.7787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein REH1 [Source: Projected from Oryza sativa (Os02g0743400)] MITGADFYHVMTAMVPLYVAMILAYGSVKWWHIFTPDQCSGINRFVALFAVPLLSFHFISTNDPYTMNLRFIAADTLQKLMMLAMLTAWSHLSRSGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAGAIASIVVDPDVMSLDGRSNAIETEAEVKDDGKIHVTVRRSSASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFGAADAFGLRTGATPRPSNYEDDKAKHQQHQIPASYPAPNPAVAAAPKGPRKAAAAATNGQAKGEDLHMFVWSSSASPVSDVFGGGAPDYNDGAAAKSPRKVEGAKDSREDYVERDDFSFGNRGAALHDRDAEAGDEKAMTADPGGNAMGAGPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNIEMPAIVVKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATYAMAVRFLAGPAVMTAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLAYYILLGL >cds.KYUSt_chr1.15149 pep primary_assembly:MPB_Lper_Kyuss_1697:1:88109302:88109947:-1 gene:KYUSg_chr1.15149 transcript:KYUSt_chr1.15149 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQVADKASEGVPVSLAMWTTSIYTTRLCAAPLLVEDATCYFALAEGKLEHPVIAITAFDRARRRLPGRAHPRRTRRGDGPHHVAHHDEDALLRGRRTNHVSFREYVSGDALLVLTLEQLADDGTKLSVIPA >cds.KYUSt_chr7.21160 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131099764:131101089:1 gene:KYUSg_chr7.21160 transcript:KYUSt_chr7.21160 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCPGGLPRHILLRSGSGRSPPPSSKKKAYLRLSDNAVQVGDGCDTAVWLARGSGAKCVALSARKLSLPWDDSEFSSRWTPHPLSRSNCTCGQTSHLITHPHTTPATARLTSSFSSDELP >cds.KYUSt_chr5.16235 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104531648:104532562:-1 gene:KYUSg_chr5.16235 transcript:KYUSt_chr5.16235 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKSCQTSTPSTTEHLEAASEVAPQATKKATTHRLEIVTLILGHRLGILSSLHLCTAHGAHEESHRRAPVGLRASLAEPLHYWYTGKRYLAKAKCGQYSDLWRAAVPVVAQLVGGRRRRGCHGRASRVVSVKLDYFELDAADAGLPTGRLVFTDLADDVRLLPAEACASLRRADGLGLREDRLLLVAAVDVVPGEEGRRPRRDEVMLVAALRDGGAAVRGSTQPDEEPSPALP >cds.KYUSt_chr7.32747 pep primary_assembly:MPB_Lper_Kyuss_1697:7:203988406:203988837:-1 gene:KYUSg_chr7.32747 transcript:KYUSt_chr7.32747 gene_biotype:protein_coding transcript_biotype:protein_coding MDILNYPRGFGTSPEDVELHQNPTLSATTMWILGVEEGHADCLLRVELKYFAGPKDFVYALRVANLPDVKWAVDEEGSLYLKFSNRYAFDFTSINHFFICGRLMLKFYKVATVRKRHPDFDGSFKSIYSRQNINDTWDVVESS >cds.KYUSt_chr6.3917 pep primary_assembly:MPB_Lper_Kyuss_1697:6:22647454:22653074:-1 gene:KYUSg_chr6.3917 transcript:KYUSt_chr6.3917 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTPDHATAWSAWNLDIINVFNGDDEGGGEDGANGLRPVGAPNAMPSLSCHNLLDLADEVPPLPPPSPLRLPRVMAAGSPSSPASPSPTGPPRRVIVSHRLPLRATPDPSAPFGLSFTVDSTTVAYQLRSGLPPSTPILHVGTLPETASAAASDELATYLLTHFSCLPVFLPADLHRRFYHGFCKHYMWPLLHYLLPLTPSTLGGLPFDRALYHSFLSANRAFADRLTELLAPDDDLVWIHDYHLLALPTFLRKRFPRARLGFFLHSPFPSSEIFRTIPVRDDLLRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETADLVRQITNAYRNQRLMVGVDDVDLFKGIGLKFLGMEQLLVDHPELRGRAVLVQIVNPARSEGRDVQEVQEEAGAISARVNATFGTPGYTPIVMINRPVSVHEKAAYYAAADCCVVSAVRDGLNRIPYIYTVCRQESSAPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESVAEAMNSALVMSEPEQRLRHEKHYKYVSTHDVAYWARSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVEHIVPLFRKTDNRLILLDYDGTVMPESSIDKAPSGEVISVLNRLCEDPKNRVFIVSGRGKDELSKWFAPCEKLGIAAEHGYFTRWSRDSPWETCGLVADFDWKKTAEPVMSLYTEATDGSYIEHKESAIVWHHHDADPDFGSCQAKELLDHLESVLANEPVVVKRGQHIVEVNPQGISKGVVVERILSSMVRSGKPPDFVLCIGDDRSDEDMFESIVCPANNGVKLPPTSEVFACTVGKKPSMAKYYLDDTVDVIKMLQGLANAPSQQRPWPVQLRVTFESTA >cds.KYUSt_chr7.35432 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221305609:221307054:1 gene:KYUSg_chr7.35432 transcript:KYUSt_chr7.35432 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVKWRPSLDALRNQAVLRRLYARTTAAAMEFGGGAKRRGRRHASVAGAREVGGRAVVSGWKRRHRVGKAPPERALNSSRVTALERTLHEFPARSNGEVILPEVGVSFDSIGEAYDFYNLYSWERGFRGRPRATNSHSTRCLCPALVRLLRTKDNGWYICEHRDVQGHELSASFGERAHWPSHRHIDSYTKDLVKQLRENNVNLGKVYNIIGSFFGKMENIPFIKRALKTLCGKISSEQADDDVRKTIEVFSEMGAADPEFTYNVQVDDDSRIMNLLWTTGKGMAQYHYFGDAITFDTT >cds.KYUSt_contig_1253.750 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:4605170:4606051:1 gene:KYUSg_contig_1253.750 transcript:KYUSt_contig_1253.750 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDMQTPDALMGLAFGIPTSAGDEVRQENGHRWDPMDCDEAPLPARTALRMDCDFSYLPDHDEDAHFCHARAGVVGVADGVGGCRGDGVDAAEFSRGLMVNAYNAVTAAAGSSSGVCPYTLLEMAYQKTVASTRTPAASTALVLSLAGQALRWAYVGDSTFAVFRRGRLLLRALPQQHYFNCPFQLSAVGGDRVKDAALGEFPVEEGDVVVAGTDGLFDNVFDAALEGIVQRCTALSLTPGKMAQAIGRLAYDMARSSRESPFSAASREQQGTNFTGGKMDDITVIVAFIVS >cds.KYUSt_chr6.29812 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188942114:188945352:1 gene:KYUSg_chr6.29812 transcript:KYUSt_chr6.29812 gene_biotype:protein_coding transcript_biotype:protein_coding MHEARVGCVRRWYAEKRKLPMRDKSPARDILMEPWQYMQVPPPFVGHARPGVYKAMVHWWTSAEFKRRHIAGQLKRAAMIGGSHTQGSMPMTVIMQNKEKELGTTPSMFLVWKECHQKKNKDGTLSWVSENAELKDTEYRAGFAESYGEANPEIEPFDPEVAMRRGGGRKNGRLWMCDSAIDPRTVRSMREIRHDSSSSSTTIQSRPTPASRAIEKLREDFENERKEREQAQALLAQQSQMMAQQNQIMAWFTQRMAACESQLTALLPSGATLPTVGPPPFNLNAWASGGSNNAELGGPSSQDETMQTPPTGECTRQRGHGRRRRTAKGYRPAERGARQRGDHGKGKSLCRVRVHGKGQSLCRVRVHGKGQSLCRVRVHGKGQSLCRVRVHGKVSYWDPPAAPSRPGRRQVLFCAVEGSKAHGKIFAVHFHPCARQRYVCRPDFCRAIFAVYCYTAKALPCTSKALPCTYSYTAKNQFPVVSVGHTEDEKL >cds.KYUSt_chr3.30837 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193462555:193463148:-1 gene:KYUSg_chr3.30837 transcript:KYUSt_chr3.30837 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAGLQIAASNLDRCKICLVKSGEADGYPITEEDNVVDEETLQSNLDRAIQEEDYARAAKIRDDLRILHEDTEASVLAANARFYNAFKKGDLTAMYSIWAKGDHVYVVHPGAGRISGYDVVLQSWEMVCNADYEFPLNIDLKNIEVHVRGDLAYVTCLELVKSKGRTWGKQIATNVFEKVDGVWYICVHHASHIEE >cds.KYUSt_chr4.42645 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264011864:264012121:-1 gene:KYUSg_chr4.42645 transcript:KYUSt_chr4.42645 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEQEQAPGRGFQGGANRLTFGRVGQVGELLSAMKWIPRTAVPLVCCATSLHSGAAIPLEEALTSASQPASAKMPPTRRMFSVL >cds.KYUSt_chr2.50474 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315648637:315651538:-1 gene:KYUSg_chr2.50474 transcript:KYUSt_chr2.50474 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRARSSLAWRAVGVVGAATGQTNLPQLFSHTGPVLHGGVSELVALCACRSFRSVALGFIDGVGEAAATTPSDGFFLLLCPRCCGVVSGAKVEQRGFVQEYVQTVVYTGDSWKMVHLVVGSWLKDDGSGSLLRHRHAWVPVLKFDGVSRDMLPWSDYFNGNGLSYGKLHWRSEKLMISDGAASSSGLHERDIFDLFLPEFDKPWVIHLRENLLLFYNPLLLEAQHCLQEKEGGVDIRSPKD >cds.KYUSt_contig_686-1.575 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3500848:3507183:1 gene:KYUSg_contig_686-1.575 transcript:KYUSt_contig_686-1.575 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRLAVSHRPALPLPTPHNHLRRRHLQLQPSPSSLSLSRPISPHLSPTPRRHLHPLLASASAAQAATPEPAAAAAPSAGTKLLPLILSVGVGLAVQFLAPRPAEVTPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGGSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIVKSLSLSAGSKPNDPSAKKLGAYLVQSQLQASGNSSALFLTAAAQNLLCMKLAEEAGVKIVSPWITWFKVASLPAIISLLATPYLLYKIFPPEIKDTPEAPALAAKKLEKMGPVTKNEWVMVATMLLAVSLWIFGDVIGVSSVVAAMIGLSILLLLGVLNWDDCLNEKSAWDTLAWFAILVGMAGQLTNVGIVSWMSNCVAKVLQSFSLSWPAAFGVLQASYFFIHYLFASQTAHVGALYAAFLAMHLAAGVPAILSALALTYNSNLFGALTHYSSGQSALYYGAGYVDLPDVFKLGITAAAINAFIWGVVEDDFGGVGMEEDLDEDTAMYGGGAGEKKRRLNGEQVRALERSFEKDTRLDPEHKERLARDLGLQPRQVSVWFQNRRARWKTKQLERDYAALRQSFDALRADHDALRRDKDGLLAEIKELKAKLGDDVGAAASFSTAKEDQAASGVEPAAASAAAQGSSESDSSEVLNDAELTPGKQQQQATAAPAEPVVSGAVLHGETLFHGHQLLKVEDDEAAFLGDDDAACGGFFADEQPPSLPWWTEPTEQWTLH >cds.KYUSt_chr1.28756 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173869601:173870593:1 gene:KYUSg_chr1.28756 transcript:KYUSt_chr1.28756 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRRAPGLAILAVLAMVLTTAARAQTCGSQAGGATCPNCLCCSRFGYCGSTADYCGAGCQSQCSGCGPAPPGEGVASIVPRDVFDRLLLHRNDPGSGCLAVGFYTYDAFLAAAAAFPAFGTTGNTDTRKREVAAFLGQTSHETTGGWPTAPDGPYSWGYCYKEERNPPPDYYCQPSSEWPCASGRQYYGRGPIQLSWNYNYGPAGRAIGVDLLNNPDLVATDPTVSFKTALWFWMTAQANKPSPHAVITGQWTPSAADVAAGRSPGYGVITNIINGGLECGIGPDPRVADRIGFYQRYCDILGVGYGSNLDCNNQRPFASGLSAGFAVQ >cds.KYUSt_chr7.12397 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76327459:76330080:1 gene:KYUSg_chr7.12397 transcript:KYUSt_chr7.12397 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVLFPPGLVRSLCFLAFFSLAICDETENDRQSLLCFKSHLSGPAAGVLPSWSNASREFCGWQGITCSATSPRRVIALDLESGGISGSIPPCVANLTWLTSLQLSNDSLHGGIPSELGRLSRLRSLNLSMNTLEGTIPSQLSACSQLRILGLWNNSLSGEIPPGLSQCKHLQEINLGNNKLQGSIPSSFGNLPEMRILVLASNRLTGNIPPSLGSSPYLTYVDLGMNDLTGAIPESLASSPSLQVLRLMSNNFTGELPKALFNSSALIALCLQQNSIVGSIPSVTVASSPIQYLYLRNNYLSGTIPSSLGNLSSLVHLRLTQNLLVGSIPESLGYIPTLQLLTLSMNNLSGSVPRSLFNMSSLTVLALAQNSLAGRLPIDIGYTLPNIQSLILSTNSFDGPIPASLLKAYHLQMLYLNNNRFIGHIPFFGSLPNLEQLDLAYNMLDANDWGFVSSLLNCSKLSMLALDGNNLKGRIPSSIGNLSNSLKFLWLSSNKISGPIPPEIGNLNSLTSLYMGSNLLTGHIPPTIGELRKLVYLSFAQNKLSGQIPYTVGNLVQLSMLKLDENNFSGSIPGSIAHCTRLEILNLAHNSLNGRIPSQIFRISTLSEELDLSHNYLSGGMPDEVGSLIHLKKMNMSNNRLSGNIPSTLGQCVVLEYLDIKSNFFAGTIPQSFANLGSIKNVDISQNNLSAKIPEFLTTLSSLENLNVSFNNFYGELPRSGVFDKAGAASIQGNDHLCTSVPIGSMPPCSPQVERKMKHQSLVLVLKIVLPVVAITIIILSYIAKIYWRKKVQQNTHLQKLNEKIKQISYEDVARATNKFSSANLIGSGSFGMVYKGSLQFQENIVAIKIFNLDIYGAQRSFTAECEAL >cds.KYUSt_chr3.14270 pep primary_assembly:MPB_Lper_Kyuss_1697:3:86655959:86656633:-1 gene:KYUSg_chr3.14270 transcript:KYUSt_chr3.14270 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVRVDGGRDGTEKSTGGALENEAHRCKVGDDDTDVSDILEVMATARSSAWTQRGRSARRGSKGTRADEGAVQNLDTLLAATSSDGSNKEKRE >cds.KYUSt_chr5.42078 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265225106:265228314:-1 gene:KYUSg_chr5.42078 transcript:KYUSt_chr5.42078 gene_biotype:protein_coding transcript_biotype:protein_coding MCHCRRSLDVDVEVADRDVAVVEVVVIDDVVVVCGVAAFAGGTVGVTFCTLRGTIAGVLQMSEDAIVDRALGYWHTVLFRIVGAADDRLVPGKPLSPGNTIVSDGGMFALGFFNPSNSTPSKLYLGIWYNNIPELTVVWVANRETPANNTTSSTPMLYLTNTSNLVLSDSDINGHVLWTTTNVATVPDLSPPTAVLLNTGNLIIRSSNGTMLWQSFEHLVDTFLPGMKLRIKYSTRNMEERLVSWKGPSDPSRGRFSYGSDPSTPLQMFQWDGERPVARSPPWTGFLVRSLRQDQLAEAMNTTSHIMYMAVVDNEDEIYLTYSLSDGAPPSRFVLLYSGMYEFQSWSNRAWAIVWKWPSAECNHYNYILWPIWVLRRDGVTGSSVQVPGRFRASKHGGVDQWQVLDGVPTEREATPTRVRR >cds.KYUSt_chr6.15774 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99263573:99263944:-1 gene:KYUSg_chr6.15774 transcript:KYUSt_chr6.15774 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTSIACPLNPQFAHLISLQAVITTSPHDSSNTPPPRPATDPMEQLQADKMQQAGGKASAVPERRDEHQEPSSLNPTAARLVRESIVSKQPAEGDQKQAADDSSDILAFARSVNRVDSSLE >cds.KYUSt_chr5.34563 pep primary_assembly:MPB_Lper_Kyuss_1697:5:219174191:219177719:-1 gene:KYUSg_chr5.34563 transcript:KYUSt_chr5.34563 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRVEGVRDLAGDEKEGSSAQGFGRAGASLSLRATKDSDGFRPLVSEKPEWPAPAKREGLEVFGREASNGEEDGQVQGEPAPWSVLNQLGVELDSDSSYAALVYGSSALVAIWISSIVVSAIDSVPVVPQVMEVVGLGFTVWFTSRYLIFKENRDELITRIGSIKKQVLGSRDD >cds.KYUSt_chr3.10442 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61971094:61972257:1 gene:KYUSg_chr3.10442 transcript:KYUSt_chr3.10442 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPEMMRLAQEQMSRMSPADIARMHQQVMSNPDLVKQASESMRNMRTEDFKRAAQQLNHTSPEEILRMTEKVANAKPGEFAAMKAQADAQMSYAISGAKMLKQQGNELHSRGQYADAAAKYKLAKDNMKNVPSAAGQTLQLQCALNLMSCYLKSGRFEDCIDEGSEILAYDSSNVKAYYRRGQAYKELGNLEAAVADLSKAHEISPDDETIAEVLRDAEGKLANLPREVVIEEIVEEEDNFQPSSPQNVVAEKSDEIDQSMHQPSLNSVPSADDMQDVVRTFMEDPAMQQVLTSMMKNMSPDTMADMSRQFGLNLTTEDAAKAQDAISQLSPESLHKMMKWMDRSQRGVEAAKKTKNWLIARKSFIIAIVLLIVAFILQRLGFIGS >cds.KYUSt_chr3.21825 pep primary_assembly:MPB_Lper_Kyuss_1697:3:134402763:134404659:1 gene:KYUSg_chr3.21825 transcript:KYUSt_chr3.21825 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRPRLTPTPSVWVDAPDNILLRLAAYLPSSGDRIRMSFANKHWSRALRGEGQGRPPQLPLPPPLLPCLIFPSTEAPTFFCPIDLMSYPLPLPRAVRNARICGSTEGGWLVLALDARHAHALYNIYSGNRITLPRGLRITEVKIDLPLVLRAATLSYSPSYSPRGRGGYMIGAIALVGRRRCPAFWQEGLENWITPDPEDMWTRRRLQDVIYCSGAFNFLTTAESLVTYTPVLKGDNNELSMLRYDYDMVRRQDYADDLLFIGGGHGSMVRYLVPIGGWLHMVVRYIYNDGGTGVLRVFRFELKPPASYGRSFRGTWVPVKEFQGHMLFVGPACSRSIDVAHFHGFEDSTIYFPDDCFFPADTQPAADNRRWYSFVDMGRYSLFDVDSIDEFWPPKDRRPESVMVLVSEVTVLQGMQQKNQRVIPDIVCMFPQIPDSFVLFGVLVRHAFSSSH >cds.KYUSt_chr3.6892 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39616195:39616965:1 gene:KYUSg_chr3.6892 transcript:KYUSt_chr3.6892 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLGKVLEEEAAAIQPKQGSGKVFSVSRLLTKDSSAGAAPSFRVYYGVASAGAVPFLWESQPGTPKNDAASDATMPPLTPPPSYYTAAKEDASSRKATGGGGKKVGILGFILPRFAMARRRTRPSGSPTSSFSSVSSSSSSSASTTFSSFRGAQSPACSSMRSGGSSRMRAPSSCSSMRGGRGYGSSRMHSSSASSFSDEDDTAAAALACFGVRGESFRALKACRVAMTVRSALGSVGGGHGTAPVQAQSVKAN >cds.KYUSt_chr6.14626 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91753996:91759369:1 gene:KYUSg_chr6.14626 transcript:KYUSt_chr6.14626 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENSSLFLIFILTMIALPLVPYTIMRLCHAATKKAKPIHCRCSGCHRSGKYRKSIYKKISNFSTWSNLTILVLWVVVIFLVYYIKLSSREVQVFEPYSILGLEPGATESDIKKSYRRLSILYHPDKNPDPEAHTYFVESISKAYQALTDPTSRENYEKYGHPDGRQGFQMGIALPKFLLNMDGASGGIMLLGIVGLCILFPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIRTEQAKFWKQHPSLVKMELLIQAHLTRESFALSPALVKDYRHMLELAPRLLEELVKIALLPRSPHGFGWLRPAIGVVELSQSIIQAVPLSARKAGGGNSEGIAPFLQLPHFSEATVKKIARKKIRAFQELCDMSQVERAALLTQVAGLSEEEAQDVELVLEMVPTIEVDIRCETEGEEGIQEGDVVTMYAWVSLQRRSGLIAALPHAPCFPFHREENFWLLLADTASNEVWLSQKVSFMDEATAITAASKAIQDTQEALGASPREIGVAVREAVDRVKKGSRLVMGKFQAPAEGNHNLTSLCLCDAWIGCDSKTSFKLKVLKRSRAGTRAHVPEEGPVAEDGIEEEEEEEEEEYDDYESEYSDDEEEEKNKGKGKVANGAAHKKADSDIDSGSDVDE >cds.KYUSt_chr7.27684 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172829521:172833476:-1 gene:KYUSg_chr7.27684 transcript:KYUSt_chr7.27684 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQPPADDVVVQMSAAAVAAIDERSSTNEDDDAGDGDVCRARRTFSHSYKMEHRKPLDFTWLQIGVLSYQSLGIVYGDLGTSPLYVYSSVKLPNPGEHDFLGLLSLILWTLTLIGLIKYTFIVLRADDHGEGGTFALYSLLRQHVNFKGSMPMPVTRLVSDINLKFHSKKSKLPSKMRDFLEKSTLAQAIITYMVLCATSMVMGDGALTPAISVLSAVQGIQTRSDRITQEHVVILSVIILIILFVCERFGTSKVSFAFSPIMLLWFVFVAFIGLYNIIKYYPSVLKAWNPIYIITFFSRNKKAAWEELGAVVLCITGAEAMFADLGHFNKSSIQLAYSAIVYPSMILAYAGQAAFLIKNPSMLSTTFYSSTPEPLFWPMFIIATLAAIVASQSLISASFSIIRQSIALGCFPRVTMKHTSEKYEGQVYSPEVNYFLMIVCILITIGFEGGPQIGQAFGTVVIFVMLFTTALMSVNMVIVWQWHILLVALCCGVFISIEGIYMTSLLYKVLQGGWVPFVITAFFLSITTSWTYGRRKKNEYEAANLVDRQEFLQIVMMSSKVPGICIFCTDLMNGIPPIVRHYVRHTGSIREVTVFVTVRILPVTFVLPEERFLVDKLDHVGVYRCILQYGYMDKHNIDDDDFVVLVVASLKEIAENNDETLLLDSAFTNGTTFVFGRTILKMGTGRNRFKRFVINNLYRFLQKNFRSNMSSLKIAPGKTLQVGMHYEI >cds.KYUSt_chr5.37692 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237999533:238003486:-1 gene:KYUSg_chr5.37692 transcript:KYUSt_chr5.37692 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKPEAAAMAQHQESLAEEGEEQRVRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLIKVRRDLKLLLGSNLPDVINAVFPVIACRKPANTILAPNRHGKKKPGSNHSHYKRLLGVARLLSQMVEPVMKGAVQITFLLARSFFIELCTAVLALLARVRVLIQHMLLDVVSVYNKVTDLMDRKQAVRITIDKVQAFREYYPSSNDPSSTLECVWVKDKFVLHERTKASCHKTQDDDHRSCAPDSSIQYETLGPVSEEMENLDGSNSLAKQQDASLADQPDKAIHCGDAGDSHSGRQLPNENTTGSLPDALAVPVPVRSTPHTEVKPDMRKRVAFIAVGKTKVTVTRPETTSLELTKKQRVDMIPHATADPALQLAQN >cds.KYUSt_chr4.12076 pep primary_assembly:MPB_Lper_Kyuss_1697:4:73392535:73394429:1 gene:KYUSg_chr4.12076 transcript:KYUSt_chr4.12076 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRFFGRAGAGAAEEPAPKPFSFPEPLPTWPPGGGFARGRISIGGGELELAAATAFEKICALSPSARLQQACGVTFYRPTALPDGFSVLGHYCQPNSRPLHGHLLVARAGADTTRSPLCAPRDYELVWAFHASGASRACSGYGRSDAYFWLPVPPEEYRALGFLVTTDAAKPALDDVRCVRADLTDDECEPHGALLRLQLKPQPSSASASPAGEAAFVVRGLRPLRRGMREKGIGAGTFCCAVDGRSPPREQGGLACLKNVDLDLTAMPTLEQVHAVIKHYGPTLFFHPKEVYLPSSVAWYFKNGAKLFRKGGGAVGEEIDAEGSNLPGGGWNDGEYWMDLPCDAKRRQEVCRGDIESAELYAHVKPAMGGACTDVAMWVFCPFNGPARLKLGMVSMPLGTTGQHVGDWEHFTLRVSNLTGELMAVYYSQHSGGRWVDASALEYAAGNKPAVYSSRNGHASYPYAGVYLQGSAALGVGILNDAARSKLYVDSSVKYRIVSAEYLGDGVVVEPQWLQFMREWGPTVIYKSKTRMEGTTKRLPLRIRGSAENMVSKMPNELSKEEGPTGPKEKNMWEGDERW >cds.KYUSt_chr1.7030 pep primary_assembly:MPB_Lper_Kyuss_1697:1:43235522:43239058:1 gene:KYUSg_chr1.7030 transcript:KYUSt_chr1.7030 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEAGAGGGGEANGVQPNVTIYINNLNEKTKLEELKKSLKAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVESATKALKGMQDFPFYDKPMRIQYAKTKSDVIAKADGTFVPREKRKRSDEKPEKKQKREQHHDVNQAGLGMNAYPGAYGAPPLSQLPFGAGPRVMMPEIIVPNSILFVQNLPHDTTAVLVQMLFCQYPGFKEVRMIEAKPGIAFVEYGDEGQATAAMNALQGYKIKENQMLVTYAKK >cds.KYUSt_chr3.44014 pep primary_assembly:MPB_Lper_Kyuss_1697:3:277798971:277799390:1 gene:KYUSg_chr3.44014 transcript:KYUSt_chr3.44014 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQTRKRERGEALEAADGDVVANGVVSKTFGEAVFVLVPGTGSLNPYSHRVGAAAVSDSEVVFFSDDESEEDDWEEDGVLLEEGPEVVDPPATHGVEGCGEQLGGRALDTPRDEDEYVDIEGLSDSEEHDYDGEVID >cds.KYUSt_chr1.40613 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249005275:249010713:-1 gene:KYUSg_chr1.40613 transcript:KYUSt_chr1.40613 gene_biotype:protein_coding transcript_biotype:protein_coding MESERHRCSRRGAPGLGVLQGTMGQELPHYPLLACGEERSKMEDRLRGILGQTQEWRSRCGGGRRWQRRSPGPNDLPSRPRGHQSTEDELKCDVCDLVLSKALKKMMAETQEALTKRDEKRRRKKEATTATFIDLTKQAIMVEAMAKLLVEENQIMSAGLSIMELEQRAWFEKKRAIIRELIAAAPSELSLVDPSELTVVAPSEISLVDADQQRSWEKQLAPAPFVAAWEGRRKLGSQTDESELGNQLLPSLAMVEPGRSAAKKTTTFTSTTRKKKQTATAAATKPDNEKLADSKKQSSHGSAAEPAAAESPSYRLALRSLFSCRNSNAAHHHRGTAPPPAEDATSAARNYKKNNRQPLGCSSASICKLRDASPRRVMLHRPEPAPAAEPCKRRASVSGGGGSERRVKKPLQQQQQQQQQSEATGVGSSSRHWGSTTSSSSSTTGGGGSSFRLRRLSGCYECHMVVDPVSGSGSMRAAIFPCPDCGDVFVRAESLNLHQSTRHAVSELGGDDTSRNIIEIIFQSSWLKKQTPVCAVDRILKVHNAPAVLARFEAYRDAVKAKALALTGAGARCTADGNELLRFHCAPLACSLGANGATHLCDAAACVACGIVRDGFAKGGGVRTMATSGRAHDAVEGGGERRAMLVCRVIAGRVKRVAPSPCQVTEETVNTAVEETEDTSEEYDSVAGTAGVYSSLDELEVFNPRAILPCFVVVYKA >cds.KYUSt_contig_1145.56 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000108.1:276991:279398:-1 gene:KYUSg_contig_1145.56 transcript:KYUSt_contig_1145.56 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKQGVKRGPWTAEEDNKLVSFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTADEEQLVVDLHAKLGNRWSKIAAELPGRTDNEIKNHWNTHIKKKLLKMGIDPATHQPLANTKAASPIGTTSRTTSGKSSNTGNYLDYKEPCCEGVSMPMDSSEQSSRNTSGDKQDPFAKWLLEEELPVDEPWLSFASSKDDEFGSITGQLLWDGTTDWLLDYQDCGIGDSSLVDQDFGRGD >cds.KYUSt_chr6.29018 pep primary_assembly:MPB_Lper_Kyuss_1697:6:183763553:183768796:-1 gene:KYUSg_chr6.29018 transcript:KYUSt_chr6.29018 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRPTGAVCAVIGSFSGRDSKKSNAAGSSTQEIAVARGGTLDLLRPDPETGRLRTLLSVDVFGSIRSLAHFRLTGATKDYLVVGSDSGRLVILEYSPDRNRFDKVHQETFGKSGCRRIVPGQLLSVDPKGRALCIAALEKQKLVYVLNRDASARLTISSPLEAHKSHTLTFALTALDCGFDNPVFGAIELEYGDSDRDPTGQAAAQAQKVLTFYELDLGLNHVSRKVSEPIDNGANLLVTVPGGGDGPSGLLVCCDNFVLYRNQGHPEVRAVIPRRVDLPSERGVLIVAAATHRQKGLFFFLIQTEYGDIFKVDLEHSGEVVTELRIKYFDTIPVASAICVLRSGFLFAASEFGNHALYQFRDIGRDVDVQSSSATLMETEEGFQPVFFHPRALKNLIRIDEIESLMPIMDMRIANLFDEETPQVYTACGRGSRSTMRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVSLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLLFLEVQASVGGEDGADYPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYLAIIESDKGAFSAEQREAAKRECLEAAGVSENGNGNGDQMENGDGQEDGAEDSNTLPDEQYGYPKAESEKWVSCIRILDPRSKDTTCLLELQDNEAAVSICTVNFHDKEYGTLLAVGTTKGLQFWPKRSLASGFIHIYKFVNEGRSLELLHKTQVEEVPLSLCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAANHIDFDTMAGADKFGNIYFARLPQDLSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >cds.KYUSt_chr5.23006 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150148377:150148850:-1 gene:KYUSg_chr5.23006 transcript:KYUSt_chr5.23006 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVIGATPALTFTSTGRLGNALQPDQNHTTRTSRPADIRVATRGRGELGRGHGNAPTASEPPAPSLPPPLLCNGCPRWKIEGVARGNGSHALSLRLAKIAMGLLLPVNTDGCVICRGIVGIVGPKSGFPRFLQRAVLRLAEVGDVPWDTTATALI >cds.KYUSt_chr6.13900 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87001601:87001801:-1 gene:KYUSg_chr6.13900 transcript:KYUSt_chr6.13900 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFVFDAEETTPLHRKTPLASTYSKYGALKREDGAACHHCDPGILEQTTFHAMSALEPNAAMHP >cds.KYUSt_contig_824.96 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:622378:622752:-1 gene:KYUSg_contig_824.96 transcript:KYUSt_contig_824.96 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSAVVAALALIFFVAAAVLPVATVAVATPPSKYTKQEDVTSQLVKGVGSFSVTVYKLHEGRTMSYASTSQCWSVPSQEGYEYWSELTAKDGAGAYGRYLSIVWGIPGSESRTWKLLSFNSTN >cds.KYUSt_chr6.32318 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203943428:203944138:-1 gene:KYUSg_chr6.32318 transcript:KYUSt_chr6.32318 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPTKMYVEEEDDGDIVKTMAAARTKMHVEEEDHGDIQPPPAFDYFELNAHEDARCTRLTQEIKEQIAASKTRDPEMERLRSIFNIGDKAMAAAPTKMHVEEDDDGDMQPPPAFDYFELNAHEYARCTRSTQEIKEQTAANKTRAIVRMHRAAYKVLETAARNNSGSSKDHHRAVLGVAATAHEDDIRPRYEELCTLFSYGGASRDVARALELVNEAFAALLEIKWLEEVEEEA >cds.KYUSt_chr7.27925 pep primary_assembly:MPB_Lper_Kyuss_1697:7:174225771:174226915:1 gene:KYUSg_chr7.27925 transcript:KYUSt_chr7.27925 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPSPATTLLALATLAVLVSAAAAAAACSESDRDALLSIRAALSEARLGVFSTWKGDDCCANWYGVSCDPTNGRVADLTLRGEAEDAVMAPAGRPASGVMSGYISDGVCRLDALSSLILADWKQISGPIPPCIATSPLPSASSSSRPTGSPLTGRIPASIGNLAATLSRALLSRNRLSGPIPPSVGSLSRLADLDLSENRLTGAIPDSLGSSGSGVLTTLHLGGNRISGRIPTKLLATKGLGIVNLSRNAVEGPIPDVFTAQSYFMLLDLSRNRLTGGVPKSLASAAYVGHLDLSHNRLCGTIPAGPPFDHLDAESFASNSCLCGSPLGKCT >cds.KYUSt_chr5.22736 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148513872:148514624:1 gene:KYUSg_chr5.22736 transcript:KYUSt_chr5.22736 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGWWPMSPWLSPGAAWFIFFNALVGAIALMSSSRGQQQHDGRERRLARTASTVVLDSLRSISIFSFHSAGDYSVACSAPAPALHHVQEQYHTFQEQEPREEVAPQLPREPEAASVAEEESMVLPQSAPGAAAAAPTASPAASESQVEDDESSISLDEAYALARAKRQPPAAAAADKVPAKKEAAADAKARAVGCGRGAEEAEGKAEVNARAEQFIRQFREELKLERINSILNYTSALRRGAGAAPR >cds.KYUSt_chr3.29064 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181743703:181746396:-1 gene:KYUSg_chr3.29064 transcript:KYUSt_chr3.29064 gene_biotype:protein_coding transcript_biotype:protein_coding MACRARPPDVQPAAPASSSPNPREPPRHLAGESASLPDVDDLLREILRRLPPQPSSLPRASAVCTRWLGLVTDPKFHRQFRDHHGKPPLLGIFELGTTRIVFKPLLNPPECIPSQRLDLGRYSQRWHYDLLDSRHGLVLVKDLNQNQVVVCDPITGHHRCLAVPSELKMDNLGGAVLCAAGDHGHPNPFKVVLVSTATSDDQPLACVYSSETRIWSNPMSAEAPGQFSDTGVPPTLIGSAVYWLSVSDLMLKFDLDKHILAAISVPPVTDGTYYRNRRIIQAEDGTIVYAILSYPSFQMWQGNVNGHGVATWLLPKTIDMHTFLGLPSQISEVLGWLLGYDEDTDEIFVYVRPNVYGVQLKLMQSRKLHETSCVNPYYPFKSFYTPGDCSSLVLIL >cds.KYUSt_chr4.5672 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32986810:32991931:1 gene:KYUSg_chr4.5672 transcript:KYUSt_chr4.5672 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPLAVSGAAVATLAVLGLAVFACRRWRRGAPPAPPPPTAASPSSQEDDIYRPLISDNLEDHSGSSNNHCSSTTAAESGIWKNRSSTSPRTHRHPTESHLIEGEIHVIDVTNGTPEELHLGSTLKRPAETCVPTPEVKHIRRASEENNNGGIPVKDITVGSHLALEVIAGPSHGINRYLQSDNTSMLPMTLGRVPQSNLVLKDSEVSGKHAQINWNANALKWELVDMGSLNGTFLNSQPVNHPDVGSRRWSEPAELKDGDIITLGSSSKVSVQISLQNQQVPVGVGMASDAMIARRTGQKLPMEDVSCYKYPLAGAEQFGLFGIFDGHGGDGAAKAASRILPENVANILSQQETIEGVLTSGNASDVLRCAFSLTEAALNHQYEGCTATALLIWFDKNRDCFAQCANLGDSACIMNVDGNSIAMTEDHRVVSTTERERIAKLGPPLKDGESRICGLNLCRMLGDKFLKEQDPRFSSEPYVSPVVHITKSCSAFALIASDGLWDVISAKRAAQLVLEYKERNKDQILSADRVAEHVLSEARNLRTKDNTSVIFVDFDAMRMGPRTAS >cds.KYUSt_chr2.31196 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192550173:192553861:1 gene:KYUSg_chr2.31196 transcript:KYUSt_chr2.31196 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSSNWAMAPPYHYHGPPPHKEQAAPAAEDETGAGSVGFGPRSLWIGGLLDWMDENYLYSCFTRSPELLSVVIKRNKETRQSEGFGFLNFADHVTADQILHSYNGQRMPNADRDFRLNWVMRTAPEKPASAKPAEDDHAIYVGGLAYDVTDFMLHNVFKNRYPSVTRATVIRDGFVGPSKGYGFVLFGDVSERRQAMTEMDGAYCSTRPMHIRAATGSRKQGTDSDGNWDNKRLFVRGLDLSVTAEDLKKAFSPYGEITNTRIIEGKCCGFITYTSRASAEEALRILDGSQLGDNTMRIFWARPLSNKKDEVNDEYHGHPQGLGPDNDYCPGDPNMHGYKGHGGNAYNQHKQPQQTPVQVVWERKSGMHMHTHLDHSINNNNVWKVGMHTHLRHSAVLRASPTGRPIPRPRVRMDRAGQNRGPTWGRTAKADGRGVRNDANPAQIWARFAWLRMARREMAAPPGGLWSPWPESPARSSHNIASPPGDVVHGDDVADNAHEG >cds.KYUSt_chr4.47428 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293504027:293510636:-1 gene:KYUSg_chr4.47428 transcript:KYUSt_chr4.47428 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERNRGDQRYSWFPYRLPNGEEILVYKDKDGVLFTDHGGPTRPVQDVIREFLSGLSRDPPPPSGVPDPLALKLGMALISSSFVSSLVPTGLFIKYFVRVDKEGFFHTYPDRGGPFKTLEKAQEAIDSHHVVQREMMCMDGLSDEERAVRNTLYWYHDGTRKHSAEAFASCECAVCEDKKDDIKHPNDDVYNRGRRNKFHVIRSRRRSHALGVDLFEKLFAVREEAWLIEEEARVRRMIKEGKDARSSKLERGDNIPKEARRSELEVDNRGDVMIKEGKEARRNLEVDKILKLASRKLRVDNILKEDNRGDVMIKEGKEARRSKLEVDNRRGDHAKRDNFALASRNGAPELMANNS >cds.KYUSt_chr5.39582 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250493580:250497264:-1 gene:KYUSg_chr5.39582 transcript:KYUSt_chr5.39582 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEERIVVSVRLRPVNAREAERGDGAEWECSGPTTLKFLGTIPERAMFPATYTYDRVFSPECSTAQVYEEGAKEVALSVLAGINSSIFAYGQTSSGKTYTMVGITEHSMAEIYGYIDKHPEREFILKFSAMEIYNEAVRDLLSSDATPLRLLDDPEKGTVVEKLTEETLRDKGHLLELLAVCEAQRQIGETNMNETSSRSHQILRLTVESSARQFLGRGNSSTLVACVNFVDLAGSERASQTAASGMRQKEGSHINKSLLTLGKVIRQLSGGRSGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHCHIEQSRNTLLFANCAKNVVTNAQVNVVMSDKALVKHLQRELAKLENELKFPGSVSCSNHAEALKEKDDQIKKLEEQLKELMEERVTVQSQLDNFRKVASDDHLNAHKARRWEEHSRSSDCFPRNMSEDAFSFSDTHDMSYQDEAMDEPSAPLPRRPSNHVFDRISEYQQKSVASQSASEVSEEQCKEVQCIETNELRRRTSQESFHAYKLETAEKEKQACTDEEKHDESVRKDTENAIELYACDSDASLDIEQSNTDDEPLALKRCVVSSRDIALARSSSCKASFMVIPNSWFDDSMSANMTTPPSEHFKYPPRRPEKVRRSVFPEKVASDAVTDNSTGNTEEESAVNDTSCVSEAKQTEENDASQQEENQVQVSTDSSLSTFESPSRWPFDFSKKQREIIELWHDCHISIVHRTYFFLLFNGDHTDHIYMEVEHRRLSFIKSSFIADGEPNATIASSLKTLKYERDMLYRQMVRRLGYSEKESLYSKWGIDKSSKQRRLQLSRRIWTQTDMEHVRESAALVSKMIQHLERGQAIKEMFGLSFSLNLRSGKSFSWGGGYS >cds.KYUSt_chr1.25853 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155538348:155539937:1 gene:KYUSg_chr1.25853 transcript:KYUSt_chr1.25853 gene_biotype:protein_coding transcript_biotype:protein_coding MSIARRSPSPTSPPYSGLLGALHCSVSGGQAAAAVSLLPTLSRAGLRPPFPLLCSLARLLLLRRAAPSFPTLAGRLLLYIRLAGLKHLVASSTPLANQLLSLHLLLGRPRDARRLFARMPQPTVYSYNAMLTGYAHLALAAPAANLFAAMPHRDLTSYNAAMLALASGGEMREGVALYSELRHTSPPLGYNHQTFSALLVACASLMDGELARQLHAHLSLLGFLSDINISSALIDVYRKCGRITDAHSLFNEVPAKDMRMWTSVVCGYAEDGQLTAARRLFDQMQEKSILSWNALMEGYVRHGQAVEALNIFQQLIKDGFHPDQLTFSSALSACAAIGSITRGKQIHGRLLQTGFDPNVIIPSSLIEMYSKCGYLAGARQIFDLTCQDRRDIALWNAMLSALCHHGLGQEAVGLFVQMIREKLKPDAISFLLVLTVCSHCGLVDEGMNFFELMIKRYRIVPGEDHHACMVDLISRSSRSCDEVVDWIRSSPFGCSKQALETLVGKCAINGNTELMSKIEEHLAELDSPK >cds.KYUSt_chr3.2367 pep primary_assembly:MPB_Lper_Kyuss_1697:3:13496577:13497200:1 gene:KYUSg_chr3.2367 transcript:KYUSt_chr3.2367 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSSSTISLGLAVAILAILCCSLPCFAFKAGEETTAASINAAGYKAHEPQGGHVGWRPPPRPKKPCPSTYPDYGSEYTLVPPPAAAFEDRQETQNGFGEGGGDRQGSKPPSPWGRRGFGHR >cds.KYUSt_chr5.40569 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256093131:256118794:1 gene:KYUSg_chr5.40569 transcript:KYUSt_chr5.40569 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPAEDAEGPTVGAKCRGIVTAYAIGWLIEVVPEGSHGGIRMRLNPLLQQAGEIDNLTASLGQSTLVVLCGFHVGAESLVLRSHYIPPPSTFNVLLGSSWTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYLYNNGNGYAREEKLEREASIPKKLEDGWEPIIKMKVKDFDCNALCDLGANVNLADHSTKKPLGKVDNVRITVNNNLVPVDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEACTADAAVVSLHGGDSASSNAGPAAAGASMLEELMDGASVGDVASPMGGADCPDSAQSTGLDNPQSAGWTKRVTHNHVLTETCGETAFWPSHRHIDMFTKDLVRQLRDNNINLGKVYSIIGSFFGSVDNLPFTKRSLRNLCGQISREEADDDVRKTIEVFDQIGAKDPDFVFRVQADSDTRIKNLMWTTGSGRLNYKYFGDVVTFDTTYKTNLYDMPFGLFVGVNNHFQSIILAGVMVRDEQAASFEWVFSEFVRMMGGVAPKTILTDQCRAMEVAIQKVLPGTAHRWCKWHVLKKAKESLGPLFTRRHAFRAEFNKVVNHMLTIDEFEDAWDVLLEKYHLREHAYMTQLYEVRQKWAKPYFKGIFCAKMTSTQRSESANSMLKKYIPKGCSMHMFVRQYMRLLYDRESDENYQEKRTKVVMDYVGITEIPIKHVMKRWTRDARDVLPEHLRHYQRDHAAGKNFTKRHSTLYIQAMELVRLGDTSATAYEKLSGMFKEILTVMAPFEETRDGLGLEDRPTVSGKDGKRKGDECSVEGSVSVSEHLMAGMTAPSKKQKAGRPTSSRDRAPYEGCSKRTRFCSIYKRPGHKRTTCPDRGDEPKLPRKFLKEGMAPPEAWSSLPALTSESGSSAVAAGGVQVEALEDLAVPNRARRIAGVDSGVDTEVDEESVMGVLKCSSQTGTGAVDPERAICFAEVQAVHAGVEEGWDEHDPFGATEELVNVRDGLEEGDMSGVWAWSAVSMKPGPVAGRKGAPPPEQVAAGASEPTGGPPVVAEKAKSPAIRSGFCTSAMLGVGTICYPVVASTGLGALLTSRIVGGLDREQYANLLGNLEPSTLAFQFRDGEIRYLDKSAVETVLGVESGTKPVQVTTRRSRSSRVSLIKDVQGLLGMEVNSSGGIGIDDLKRVLLICDPAGMTSDQVSAAKVAFTLLACSCFLSPKQCGQNINLPDEVLCSVLKPDEIGNYNWAGYILDQLRVAATRFQDDVKKRAKTYTLSGCNLLLQIWYLEWMDFGDANLVTDLVPKVAAYSLEKIKELIRLDAEDMSLEKPRLKATGKIRTLIEEEVIALVKDAVVKAVFGLSHPGSEGGVSEARTAAQIEEIFGEVDARKRELEIKFITALFPTGRLAEARNEIFASKQIQRVDKPSSSSKGRRQKVLKRKSIAAMISAGVAGGASTSVALGGEGASKLGKSSAVPKRTVTIAEAFKWATLGKQRSAVAVEKQYTKKQYAQILQQLAGDAVDDQGVVIAGVETVHLPSELTGDIYAGADEEQGLATGATPHVSAVVGPGVSGVMDVADMCANAGGSEHGAVEAVVPVDAGDKHAAGGGEAIVARDVIKPACSRRKRKNLALPVTVEKRVHFSDKVSIIEGADEEQGLATGATPHVSAAVGPGVSGVMDVAAVAGAMCDSNAVINECVNAGVGGSIEIPGEAAVADMCANAGGSEHGAVEAVVPVDAGDKHAAGGGEAIVARDVIKPACSREKRKNLALPVTVEKRVHFSDKVSIIEGKKAGASDMDVQLHGRSTAPASGNASKSKMVSGSAMGSGSKSLARAAAKSRKRAACNIRARGPPSPTDRTVGVLAGLNSVKAKRKDESNYVVEQPVRSAAANAPAGTAVGYNTTVKKHKGNQAGDNAATATASGLRSKPLQRAAASPSGRTVGVLAGIKSVKSNMKDRIVTADLLYMKHPVKRAKVEGGRRFPADIGLHHEEPHVFAVLCFNDKVKDCEGYELEREWFVHMHPYGVAMTGSELMNQLGPDGEIDKEGWALMLTHYSYDGWALYVVDVEAKKVLVMDPAETSELPEEMMVKHEAAAKKIVANLCKAIEVCIPGWEVSESGWNYFYNWSMHPSCEREKSGLYIMHYIREFDGVRLRNDISESQLEYMRMSLFYGIMKMTANRGDRPSFMKDIRG >cds.KYUSt_chr3.8508 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49223282:49226409:1 gene:KYUSg_chr3.8508 transcript:KYUSt_chr3.8508 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKPGASGFGSASTAEQVTAGVDASALTVLVTGGSSGIGLETSRVFALRGAHVIIAARNTEAASEARKRITEKNPTARVDVLKLDLSSLKSVRAFADQFNSMNLPLNILINNAGVMFCPFQLSEDEVEMQFATNHLGHFLLTNLLLDNMKTTAKSTGIEGRIVNLASVAHLHTYPKGIQFDQLNDKKIYNDKMAYGQSKLANILHAKELSRRLKEEGANITVNCVHPGLIMTNLMRHSFALMKAIQVVTYMFWKNVPQGAATTCYVGLSPQLKGVTGKYFADCNEEKTSKLAKSDALAQQLWEVSEELVRSAQ >cds.KYUSt_scaffold_1700.102 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:733868:737738:-1 gene:KYUSg_scaffold_1700.102 transcript:KYUSt_scaffold_1700.102 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPIHTIVSLVCWSFCLLPFSASSDSRLLPGKPLSATTTIISDDGTFALGFFSRSNSSSGTKHYYVGIWYNNIPKDNVVWVANRAMPITDPSSATLALTNGSNLALSDTNGYLLWMTNISTKSSSSPEATGGEATLDNSGNFILRSSQGILWQSFDYPTDALLPGMKLRITHKTHVLQRLISWRNPQDPSPGNFWYGADPDEFLQRFIWNGSRPYRRSPVWNNYLVVGQYIESIKSTLYFTLQRIDDEVYIFFGLPVPTVSSVVLVKMDYSGKVRIMIWNINMSKWTDLQSEPNQECNKFGYCGPFGYCDNTQPIVTCKCLDGFEPNNKQDWTANRFSQGCHRMEALRCGQGDGFLNLSTMKVPDKFLYVKNRSFNECIAECTSNCSCTAYAYANMGTKAINGDETRCLLWMGDLIDTEKLIGQGENLYIRVNRLSDKKWSGNVLKIALPVVSSLLIIICVCLVWICKSGGKRRNKEIWKKIMSGTSSTAAELRDGNLKYPFISFREIVLATNNFSNANMIGHGGFGNVYKGILGDEEIAVKRLSKGSGQGALEFRNEVILIAKLQHQNLVRLLGFCIHGDEKLLIYEYLTNKSLDAFLFADATRKSIFGWPIRFEIIKGVARGLLYLHQDSRLKIIHRDLKASNILLDAEMSPKISDFGMARIFGVNQQQGNTNRVVGTYGYMSPEYALEGVFSSKSDVYSFGVLLLEIVSGSKISSMHLKAEFPNIIAYNSPNARPLMSSIVSFLENGDISLPHPKQPIYFAEENYETDGAAQDTVNSANNMSITVLEGR >cds.KYUSt_chr2.34577 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213510861:213513171:-1 gene:KYUSg_chr2.34577 transcript:KYUSt_chr2.34577 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKGNKRFGGGGGGGGGGSGGDPPAKRQAAGKDDADDGGIVVAQVLVPYSLSNLPLPYFTDALSKGRSLNTSPVDLSIFTVQISKNKRVSVRSWSGKVMVDVREFYVKDGKDLPGRKGISLTMDQWKVLRDNIKAIDEAIKENT >cds.KYUSt_chr2.595 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3593306:3599999:1 gene:KYUSg_chr2.595 transcript:KYUSt_chr2.595 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTPPTPVIPREAWEGCSVLLDINDGDRLAFFRLTPGATVKIGDKSCSLQPLVGRPFGSLFRVGPAGLVPCAAAEAPSQGKDDGANGQAQVETRDNRSLVDNNTAQTLSSEDIEAMKRDGASGDVIVEALIANSSTFGNKTVFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKYPARIGFMRVDTLSLMLSMANVGAYSDVLVVDMVGGLVAGAVAERLGGTGYVCSTYLGSASSSIDIIRMYNLSGDMTSRIFQARLSDLCSLQNSGNAPSVISDSIQGEVAEPGTVQDGSTLAQPVDTALSDENMQSTAAQPVDIEVAEPAMDEHLSQGDNSLLDCKGSNGTSVAPKSLRAGKAPSPERMKYWKEHGFSSLIVAAPGHEVESLVADLLPLLSYSAPFAIYHQHLQPLATCMHSLQVSKMAIGLQIMEPWLREYQVLPSRTHPHMQMNAFGGYILSGIRIALKLYRITLAL >cds.KYUSt_chr5.29488 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186924593:186930017:1 gene:KYUSg_chr5.29488 transcript:KYUSt_chr5.29488 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSTGDHGLSSRPSPLLLWAASSGTVDASRACIVGGSTELSSGSGPCISESSCGGAGAASFEGGGKGSDPNLVGDDSRCQGSLSAGSRGGGFEGHGRAVAGWHLSLTASSCGAGSEGAGRASETEAAVAAPGWQRRVHRGNIPEGRDPDAGRVSALESAMRGFADRNTDFVIDPSLGTEFDSLSEAYDFYNLYSWEIGFGIRYGHSRKNVAGSKTVQEIICGCGGKPQRSNNTSVCCECPARIVLRRTDDHGWYISDHKSGHNHRLSETCAEKRNWPSHRNIDKYTKDLIRHLRENNVNLNKVYCVIGSFFGALGKVPFNKRSLRTLCAKINHEHSDDDVLKTMEVFREMRAHDPEFIDSVRVDGDSRVKALMWIDGRSKMQYKHFGDVITFDTTYRTNLYDMPFGLFVGVNNHFQSIVLGGVLLRDETIESFKWVFTEFVSLMGGKPPMTILTDQCRAMEVAIEDVLPDTTHRWCKWHVLRKAKERLGPVYSKNSGFRDEFHKIINSMLTTHEFECAWGFLIEKYGLQNHPFLTQIYEVRRRWAKPYFSGKFCAMQTSTQRSESANHMLKGYVPPGSSMNMFVRQYAKLQFDRESDESFEEKRTKLAGFVLQVGVPIELHASKLYTAAMYELFRKIIFESGAYVVEVVVPKLKYIARHVQSERRERWSKVSFEVNVSGDGDAYNCECGQFDHTGLLCCHALRVMINLGVQEIPKHHIMKRWTKNARDILPEHLAHYQKDSGPARSQTFRHSTLYISALQLVKMGDANVQSYHVLMACFADAKSKLAEISAEQDGMSLVEKESVEATGSSMTIVETNPDFADPAVRKRLHEGVKPPDRKRKLGRPTNAREKPGYEVGVQRSSLPRPYANGFTG >cds.KYUSt_chr4.44488 pep primary_assembly:MPB_Lper_Kyuss_1697:4:275579341:275580896:-1 gene:KYUSg_chr4.44488 transcript:KYUSt_chr4.44488 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGASTLGGCGGGPSGSGGSGGGGLGGGGGGPCGACKFLRRKCVSGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPSHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELTYLQAHLATLELPSPALPVAPQMPMAMPAQFSIADLPSTTAIPTSIDLSALFDPPAQPQWAMQQHHQHQLRQQSYGAPAPRGSTSMAEGSAGGSGDLQSLARELLDRHGRGGIKPELPPPPPPHPR >cds.KYUSt_chr1.38783 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236960162:236963982:-1 gene:KYUSg_chr1.38783 transcript:KYUSt_chr1.38783 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAGGAAAAAGSTTPSTATVADLAGGVPPAGAGGNFPLGAAILAFAIANFVNVLSIWLCMMHQELGFTQVAKLRWLLRAKEVASSRALKRNSDDMGWEFAILFDPDDLQSIRCKLCGKEMSGGCDAAFNLGI >cds.KYUSt_chr6.4276 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24736914:24740716:1 gene:KYUSg_chr6.4276 transcript:KYUSt_chr6.4276 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPTPKQVYGRTPRYLKNINMTTTDGPVCEGEDDVWGEIAMLKEHIRRLENRNNKEGNGNEIEEEDGEHDNIHQLLIQQNSSPPQDPVSGSMPEVRETRDQINESFARLDQQRTRNKRGSSVPSKPSKRRHTSSLKAGCEVVLGTSTYPNKRNVAYATIRSIDPATKAGGIELGAQFSLVRIDEPIMDSEKLIREVSDCKIVDRKCVTRDGDGGVGVFQDEHPNFGLAVIGTAGDDSSIIGEREKSSPE >cds.KYUSt_chr3.39307 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247602793:247603857:1 gene:KYUSg_chr3.39307 transcript:KYUSt_chr3.39307 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRWWLQLLCLAGGILQALAQTDSIGFISIDCGLPGEGGYIDDTTKLAYVPDTGFIDSGTNHNISAEYLRPIQSRRSYNLRSFPDGTRNCYTLRSLVRGLKYLVRASFTYGNYDGLSRPPASFDLHIGVNFWTTVNMWSWSDPLGGAVTVEAIVVVPDDMVQVCLVNTGGGTPFISSLDLRPLKMKLYPQVTAAHGLVLYARLNAGQANVSYIVRYPDDPHDRIWPPWFDATIWTEMSTTGRVLNGDEKDFFVAPTAVMQTAMTPRNASGSIDFSWDAEPRPDDPTPGYIAIMHFVELQLIDTNAVREFYVSINGKPWYYSSGYTPVYLNSAAAYDSLAFSLAFLSFSQRPTT >cds.KYUSt_chr7.40106 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248998852:249000783:1 gene:KYUSg_chr7.40106 transcript:KYUSt_chr7.40106 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPSVSLAVFPVDEAKQEQLRLQLQMGSVLSAPLKNIWQNRFKDFLPGQQEDAHEFLRCLLENLHKCTLDPKSKGKPSSIDEESIVKQVFGGRMINHLTCRDCGHCSETFEPFLDLSLEIDQVDDLAAALESFTKVEQIGDAKNKITCGSCNGQVCKDKQLLLDKAPDVLAFQLKRFAILDGSIEKIGKHVVYPSELDLKPFHSNPDKEDLKYDLYGVVKHSGLPSFGHYVDSITETSALHQEAYMLFYVRQSTFPWFSSLLEEADSGASPMSVVDNKDVVMRCAPSATEITNPERPSTPAPHPKRMYSIYDHNVFAFENLDENEHETKVKKSKATSASKYLKSSTLDQNASRLMRSMTSARRKCFLNRLDSHNVVLC >cds.KYUSt_chr2.28145 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172682397:172682960:1 gene:KYUSg_chr2.28145 transcript:KYUSt_chr2.28145 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPLPPNSGAPPPNPLRQPMLPGRTPVRADGRDNGARGDEGALTGGGSAGFQNFGNQGQGDQHSRRPQQQNSRFEQGSNSSQGGSGTWQEGGFNGQGFGAYESGYFEGNNGYGNGYGSMNRGNYRQRPYRPFYAGNRARNNNYRGGNGRFNGNNNRYQRVFNNAENSLATCRDSVLAALMMPRLL >cds.KYUSt_chr2.37292 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230921087:230923657:-1 gene:KYUSg_chr2.37292 transcript:KYUSt_chr2.37292 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHHPHVPYVALGSRVSDPRLPLCRRALGFRSMPGPYPVFSVFGFLSLSAFSIIIDGAGGDRRRRFTCDGSATLPAVEPPQGAEQDGHAVTPAPAAEAGLPLPRINADQQAPRSGLSRAGRLRHEHGGSPAPSGRSKPVLRRPSPANRFLLSKAYFKGPCRSAAGVVVAIDGTVFAPPAVDSTAVRPF >cds.KYUSt_chr7.17769 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110052191:110054847:1 gene:KYUSg_chr7.17769 transcript:KYUSt_chr7.17769 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTASRRALSRIGGALRRSFSSAPDSAAGYQVSGGPSFMRAAVFWEPGRPLTMEEFRMPRPKAGEVLVKTKACGVCHSDLHVMKGELPFSSPCVVGHEITGEVVDHGAHTPAEIVNRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGETRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPSSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEIIAVDVLDEKLQNAKTLGATHTVNAAEEDAVEKIKEITGGRGVDVAVEALGKALTFSQCTQSVRDGGKAVMIGLAATNVVGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAERGAFDLKNAISRKCKLEEANSAYEDMNKGKIIGRAVVEIM >cds.KYUSt_chr6.7086 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42955671:42958845:1 gene:KYUSg_chr6.7086 transcript:KYUSt_chr6.7086 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAASLSFPVINMEKLETEERGAAMEVIRDACENWGFFELLNHGISHELMDEVERVSKAHYKNIREDKFKEFAARTLEAGEKGADVKDVDWESTFFVRHLPATNLADLPDLDDRYRQVMKEFASEIEKLAERVLDLMCENLGLEKGYLKQAFVGSQGAPTFGTKVSSYPPCPRPDLVDGLRAHTDAGGVILLFQDDQVSGLQLLKDGAWVDVPPMRHAIVINIGDQLEVITNGRYKSVMHRVLTRPNGNRMSIASFYNPGADAVIFPAPALVSDVAAEEKEGEEGVAVYPRFVFEDYMNLYVQHKFEAKEPRFEAMKSDAAPIATA >cds.KYUSt_chr3.9701 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56991169:56999950:1 gene:KYUSg_chr3.9701 transcript:KYUSt_chr3.9701 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAGRCLHLHRPSPLRLRVLRAALSTAAPPSSSPSPAPAAPPRHELLLERLRLRHLKDSSPAPPKPLRDRARGPQQQHQQQRTVEVETFAELGLEDQVLAAMREAGISKPTEIQCLAVPAILDGTSVVLGSHTGSGKTLAYLLPLVQLLRHDEATLGMSMKPRRPRAVVLCPTRELTEQVFRVAKSISHHARFRSTMVSGGTRLKPQEDSLNMPVDMVVGTPGRILDHIKEGNIVYGDIKYLILDEADTMFDQGFGEDLRKFLAPLKNRASKPGDQGFQTVLVSATMTKGVQKLIDEEFEGIVHLRTSTFQKRIATARHDFMKLSGSENKLEALLQVLVPSLAKGNKVMVFCNTMNSSRAVDHFLTENQISTVNYHGEVPAEERVENLKKFRNEEGDCPTLVCTDLAARGLDLEVDHVIMFDFPKNSIDYLHRTGRTARMGAKGKVTSLVAKKDVPLAARIEDAMKKNESLESLTTSNVRRDSANPQTPSTKGRTPRLARNSESPRVANLKSKRGVTLSRRAPKVAIKDTTSTRKRSSTNSQPSSSRKHSPAKNPPKPRPAEARTAKPVRAVSKGGVKVGKSSRGKPEGRKEDTLNKVGTMDNDDEMVALLLEDEQAFDDDLREHLLIIASLQDMLDAEAEKRKRPRRGGSRPGRRKYRGTLM >cds.KYUSt_chr4.39094 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241363345:241366266:1 gene:KYUSg_chr4.39094 transcript:KYUSt_chr4.39094 gene_biotype:protein_coding transcript_biotype:protein_coding MELHYINTGYPYTMTESFMDFFEGLTYAHADFALADAFQDQANPYWTMTQTNSYKYGYSGAGNYYSYGHVYDMNDYMHRADAGRRVWDNPMPVSNTDSPNVVLQGGEAPHANANSTTEERIQTPVHQNSSSPQAVWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQESIASLPVTKYKCGFFSRKKARRERCVICQMEYRRGDLQMALPCKHVYHASCVTRWLSINKVCPVCFAEVPAEEPKMK >cds.KYUSt_chr4.53388 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330405279:330414234:-1 gene:KYUSg_chr4.53388 transcript:KYUSt_chr4.53388 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPCSFSCSYTQSSIGFTPRVRAGTSWIRAAAHHGSGGGEPTQPQRTTGRLATDGPPVVNVPAVATAEGPSGFHGAREAEQAMWEKLGAVVRLSYGIGIYAGMALAGRAICDLAGIDATGGFHSSLTALVEGLGYASPPIMALLFILDDEVVKYSPHARAIRDVEDEELRTFFVGMSPWQFILVVTASSIGEELFYRAAVQGALADIFLRGTELMKDARGIVSLSGMVPPFVPFAQTFAAAITAALTGSLYYIATAPKDPTYVVTPVMRSNTGRQNIKKLFAAWYERRRMRKIYSPLLEGILAFYLGFEWIQTGNILSPMITHGIYSAVVLGHGLWKIQDHRRRYLYGRSEEEAILFFAVWNYIYNFYPPDEESISQAWGRLKSLMLKCPIHELPRNVIVNNFYARLSGQHKDYLDACSKGSFTSKEVEAKWDLLDRIEENAEGWENNKGYADKPPFKPLPPKEGNEEKEEKKKKKKKKKGTKKKKKENKKKEVTAYPRVNEITLDNRKYVAPNNYCDNESEYDDLPMPFTYISDHDLNGHITFDIANLWETNSENDDDNNCHGVSAIHASSHNDIESSKLGEEVFENPFATDHYVFDTYPSNNNDGMDTDKPTVKDNYSISYDDTVPPIFDDYYKECYDIGSFQGSREEDDTMRSRRVKSLSLGMPRWFTPAYFKKTQASKLGDAQGIPFFIDNIIRFL >cds.KYUSt_chr3.40364 pep primary_assembly:MPB_Lper_Kyuss_1697:3:254253539:254256552:1 gene:KYUSg_chr3.40364 transcript:KYUSt_chr3.40364 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLRLLIVLFLFHLPFSLSSHHSHRAPPPSGSQTPPAASYAAPLPVLLACNATRFRPACVSTLSAANATSESSASDLLAATLSALRARIPPAVSTARSVLASSSNVNLSGAATNCLTLLALASHRLSPPPSPSALHPASAALLHLYDCWSAYKYVNFTRTISDAMAYLNDTITISSNYISMLTALQRYGDDTSRWAPPQTERDGYWPPPAADGADVDALGVPKGLPANATVCGSGCDYRTVREAVAAAPDGGAERFVVHVKEGVYKETVSVAWEKANLVLVGDGMGKTVITGDLNADTPGISTFNTATVGVLADGFMARDLTIANTAGPDAHQAVAFRSTGDRTVLDTVELLGHQDTLYVHAMRQFYTRCRVSGTVDFIFGNSATVLHDSAIIVLPRQLHPEKGENNALTAQGRTDPAQPTGIVLRGCVVNGSEEYMAMYRQKPDKHRVYLGRPWKEYSRTVFVGCTLAEIVQPQGWMPWSGDFALKTLYYGEYDSAGPGGGDRSGSRVAWSSQVPKEHVDVYSIASFIQGHQWIPKV >cds.KYUSt_chr3.25613 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159023816:159025913:1 gene:KYUSg_chr3.25613 transcript:KYUSt_chr3.25613 gene_biotype:protein_coding transcript_biotype:protein_coding SLSYEAKEVFLAALVSEGRAEWIDKSHKKCLILWLRIQDWANYILDFVKESGLEVTTIEDIRSGIETHGTELAGIDRGVLIRALKLLEQKGKATIFKGTSADDEDGSQSLEMLRKEVVDTSSDEESDETSNLMVTAASLIHEHNDCGLPRPRAQ >cds.KYUSt_chr1.6444 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39698322:39702943:-1 gene:KYUSg_chr1.6444 transcript:KYUSt_chr1.6444 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDARVAPAADERPPAADGDEVASAAAAAEGSKRAAAEVGGGGIDGEEVRFEGKDRSLGGSEANNGVVEAEEAEAAVGDAKDESDGEVEPAAAAAAAEEESGDREPEAEDAEAAPLAPAPSDSTELGEGYASLPAPDAPVAEDKGELVDEPAALEVKDGGEAAPDAELSVEKLEDAEVAAGGEDGGEFGTEKEVSTRSTEAAEPEDKVAPVAEANGKLGGEADAPVETVAVGGEETPEASLETVEDKAAEPEPESDASPVIIAMENHAIVEDGSAKPETETDANPVVIDNGSLENHANVDNAVTKPSVSGHVLCDSIASSVDSSRDVNNSIVMKQVVGDSSLENHANVEDKAAKPEPENDASPVVSGHIQYNSVSSGVDISWDLNKSHEPKQVADNISFGSQANVEDEAAKPEPKDDASHVVSRHVKFNSSACRNINGDLNNSNDPNQVIDGSDLEISEKLAPVTSDIVLNESNENAQNAEGQVANSGTVEDVSVEKPTEVESVVAGGTDVILSRELAPEPIEENNDAAENENAAEVIGHIEEADDHDIVVAAAGDDQKAVAADDDEERGGEENEGAPDVSDREVEAVDDEIVLAAADDEDGSGNEGDEDDDEVSFDRSPARVAIIENSEAAKQIMKELGEGSSSGSPVSGLSSSREYTNSMDGQIVLDDSEDDEDDDDNEDDDEKGFDSAALAALLKAATGASPDGNITVSSQDGSRIFSMDRPAGLGSSAPSLRPTAPRQPARSNLFNPSELAVTAEPNDEMTEEEKKLHDKVELIRVKFLRLVYKLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLENARKKAIQLEAEGTEDLSFSCNILVLGKIGVGKSATINSIFGEEKTKTDAFGAATTSVREIVGNVDGVKIRIIDTPGLRPNVMDQGNNRKILASVKKYTKRCPPDIVLYVDRLDSLSRDLNDLPLLKTITAVLGSSIWFNAIVALTHAASAPPEGPSGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRKNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPSPGKLFGFRFRSPPLPFLLSSLLQSRAHPKLSPDQGGNEGDSDIDLDEYSDIEQDEDEEEYDQLPPFKPLTKSQLSRLSKEQKNAYFDEYDYRVKLLQKKQWKDELRRLKEMKKRGKSDMDAYGYASIAGENDQDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEESLALLSKFPGTVAVQVTKDKKEFSIHLDSSISAKHGEDASSLAGFDIQTVGRQLAYILRGETKFKSIKKNKTTGGFSVTFLGDIVATGLKVEDQLSVGKRLALVASTGAMRAQGDTAYGANLEMRLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITVRTSTSEQVQIALLGLVPVLASIYRSIWPSEPSFAY >cds.KYUSt_chr1.37327 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228070131:228074596:1 gene:KYUSg_chr1.37327 transcript:KYUSt_chr1.37327 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFMIELYDPKTETFVVQDKAEAITATSVDIECIYGLRNEGYFAFDIIDQECLTSRRKIPPSYLSKSSGNLVIEDLIADIQREKASDDDFVRKAVLVLIGTVLAPSGPKTVDRDHYCLVEDVPRLFNINWNHFTLRYLLDPNMENSISGMIEEQNEYVGYNSDDSEMEENLQHEAGWSEDEFDIAYDEFDNDDNHGEHTDDQNDENHGEHTDSLNTSEAQFDTQLEYDYYGESDLDTGHTDDVEGASVPEDSVDMSQATPGASQPEKPHKGSNGNEYPDSDRDLYWMIKEMTFISEAAAYSFYNRYAKDYGFSVRLDQVKRFDDGVIRLRRFVCSRQGRRPKNQLTTEGRVYRHRPESRCGCKARLVVKFDGRTGFWVVEDFRDKHNHETMSDSVSSVP >cds.KYUSt_chr1.6887 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42325725:42332559:-1 gene:KYUSg_chr1.6887 transcript:KYUSt_chr1.6887 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLALLGFTGDFVLDNSSSSSSARRRPSPAESVAAGDADAGPAFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWIQSSVDVSSPNADITLKGKVRKGSAYRRAIGNGIAEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLYELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLNSWMVYGILQDQYHEFFIRRQVDRDEENESAQSDVADKFAQKLAKDTSLTSWHSGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQEPANQSQILKGSHRMQTFTGGSGALKELPNFSNISAEELLPQVEADKVDAMLKQLKHSSEFHKRLFESAVSSIRTIAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLIVPFQLAALKTIGDEDKYFTRVSLRMPLFGMKSSTSQKDLQKSNTPDLSSQGKASSELALDGWHSIALEYSVDWPLQLFFTPDVLSKYRKVFQYLIRLKRTQMELEKSWTAVMHQDHVDFSDYCKDRKNSSATQLRRLRTKPFWRVREHMAFLIRNLQFYIQVDVIESQWNVLQTHVQDSHDFTELVTFHQDYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEHYETGANMFEIDHITEVSSYPTLLAFSKQIRDVPRLSPALHESLTA >cds.KYUSt_chr7.30957 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192833947:192835270:-1 gene:KYUSg_chr7.30957 transcript:KYUSt_chr7.30957 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLRLVNPTVVSLAGLAIVLFIFTTPYCHSFFDTYGIVSTPSSGSGRPIAAKNSTRAVARVPKGCDIFRGEWVPDDGGAVPYYTNRSCPFIQEHQNCMKYGRPDLGFLRWRWRWRPSGCELPRFDAAGFLDAVRGRSMAFVGDSLARNHMQSLMCLLSKVEYPKDVSPTQNQEFRTLHYASHNFTVSVFWSPFLVKANVSDSDNGGGRLWNLYLDEPDDAWLPLVAGYDYVVISAATWFTRPSLFYESGRLVACYYCLVPGVPQLTLRYSLRVAHRTALRAVASLPGFNGTAIVRTVSPMSHFEGGEWDKGGDCRRTRPYEARVAGLDLDFYTAQVEEFEAAEKAARERGVRMVLMDTTAAMVLRPDGHPSRYGHWAHENVTLYNDCVHWCLPGPIDVWNEMLLQMLLP >cds.KYUSt_chr7.11683 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71763177:71763875:-1 gene:KYUSg_chr7.11683 transcript:KYUSt_chr7.11683 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRSERTISILHPFSIPVGKKREIALVREIMAIDRQSEWIVLRRVHAFLKSRKLHRAAYALEKEARLKLDLPHLHTLFAKGRWRAADEYVTAFLGGAKEETGSTPAASATLFVVRFERFVRALRRGDEVWAMRYFGRAVEPLLDSHPDKAAARAECIRALELDDESLRRSYPDDDRHRRERETEFIRCVYENESISRAFNDVFDGNLRFMSKTTSLGLRRHVRRGPPYDQR >cds.KYUSt_chr7.4223 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25211712:25212707:-1 gene:KYUSg_chr7.4223 transcript:KYUSt_chr7.4223 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGRLGDYYCMAAKSAGKPCPDYNRMHEGFKLAYTEMARKYPCFGFAAKMPTIEWWRICVKDSFVKAGYDYDDETFEKVFKRIYSAFGSSAPYSVFPDAQPFMRGLREKGITVGIVSNAEYRYKEVILPALGLNQGSEWDFGVFSGIVGVEKPDPTLFKIALEMAGNIAPEEALHIGDSMRKDYVPARSIGMHALLLDRFKTADAESWKQSGAPVLPDLEAAEAWLTKKPKEESEEEPLAAALLRRMSEKL >cds.KYUSt_chr2.26729 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163671439:163674987:1 gene:KYUSg_chr2.26729 transcript:KYUSt_chr2.26729 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTSSCINHACGLKLRSGSTIVYRRDLADLCAYKFVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRITRPTRPDRARRLGFKAKQGYVVYRIRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRRLGGLRVLNSYWVNEDSTYKYFEVILVDVAHSAVRNDPRINWLCNPVHKHRELRGLTSAGKKFRGLRGKGTRHHKNRPSRRATWKKNQTVSLRRYR >cds.KYUSt_chr6.30530 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193431285:193434988:-1 gene:KYUSg_chr6.30530 transcript:KYUSt_chr6.30530 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTFMGSPSWLRIRLLPSSATPRLSRRALSSRVRASADADAGGGPVRVRFAPSPTGNLHVGGARTALFNYLFARSRGGKFVLRVEDTDLERSTKKSEDAVLSDLAWLGLDWDEGPDIGGEFGPYRQSERNSLYKQHAEKLMESGAVYRCFCSNEELEKMKETSNRMKLPPVYMGKWATASDTEVHQELEKGTPYTYRFRVPKEGFLKINDLIRGEVSWNLNTLGDFVIMRSNGQPVYNFCVTVDDATMRISHVIRAEEHLPNTLRQALIYKALGFAMPLFAHVSLILAPDKSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDATKLKWMNGQHLRSLPSDLLIKDFEDRWRSTGILLESESGFAKEAAELLKEGIDLITDADAALRNLLSYPLHDTLSSDEAKPVVEDKLSEVASALISAYDSGELGQALAEGDDGWKKWVKAFGKTHKRKGKSLFMPLRVLLTGKLHGPAMGNAILLVHKASSSEVVGPQSGFVNLDERFKILKELDWESLHKEQESPAESAVPVAS >cds.KYUSt_chr3.14135 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85563766:85567129:-1 gene:KYUSg_chr3.14135 transcript:KYUSt_chr3.14135 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPISLLLLVLSAISVGGSAPSSPNGNGSDTDFAALLDFKAQLSDPLGVLAGNWTTNTSFCHWVGISCSRRRQRVTALSLPDTPLYGPITPHLGNLSFLSVLNLNNTNITGFIPHDFGRLRRLKFLQLGLNGLSGSIPPTIGNLTGLQHLDLKLNLLSGSIPLELQNLHNLAYINLGRNDISGSIPTGIFNNTPLLTYINFDNNSLSGSIPSCIGSLPVLQYLRMNYNLLTGQVPTAIFNMSKLQTLYLTHNYLTGTFPSNESFSLPMLQVFSMGKNNFTGQIPLGLASCQHLQAVSLSINSFEGLVPTWLGKLTRLFWLSIGEMGLFGPIPTTLSNLTSLNVLDLGSNKLTGAIPTELGQLTELSELNLSDNELTGPFPTSLDNLTELAILLLDKNMLVGSVPRYIGTMNSLVDLDISTNYLYGDLSFLSDFSNLPNLQYLSVMWNNFTENQLESAIPESIMMMKNLQWLDFHDNNLFGSIPSQIAMLNNLAHVYLNDNKLSGSIPEDIGNLSKLETLTLSGNQLTSTLPPSLFHIDSLLSLELSENSLNGSLPFDIGHLKQIITIDLSTNHFVGRFPDSIGQLQMLTALDLSQNSFSDSIPNSFNKLTSLKTLDLSNNNLSGTIPNYMANFTILTYLNLSFNNLEGQIPNGGIFSNITLLSLMGNSGLCGALRLGFSTCHSKKTKGGVLKLLVPTIIIVIGVVASCIYVMIRKKSKNQQGVSAGVVDLTSHPLVSYHELARATNNFSESNQLGSGSFGKVFKGQLNNGLVVAIKVLNMQLEQGMRSFDAECHVLRMARHRNLIRILNTCSNLDFRALVLPYMPNGTLDALLHCSESTRHLGLFERLGIMLDVAMAMEYLHHDHYEVVLHCDLKPSNVLFDEDMTAHVADFGIARLLLCDDTSVIAMSMLGTLGYMAPEYGTLGKASRKSDMFSYGIMLLEVFTRRRPTDAIFVGNLTLRRWVLEAFPAELAHIVDDDLLRGPSSSCNLEVFLVPIFELGLVCTRDSPDQRMTMTDVVVKLRKIKAEYTKWSATQ >cds.KYUSt_chr7.3434 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20478749:20479786:-1 gene:KYUSg_chr7.3434 transcript:KYUSt_chr7.3434 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPHCFERSLIKSSYYLVHDLVIVAALLYLATAVIPLLPAGLTRVTAWTLYVVAQGCAATGVWVVAHECGHHAFSDYQLLDDVLGLVLHSSLLVPYFSWKFSHRRHHANHASMENDEVFVPEQKAVLAWYAPCVRNPVGRLLFLAAHLTVGWPLYLTFNANGKAYPRWANHFDPYGPIFSDRERVQVFVTDVSLLAVCFAAFKLASAFGFWWVVRVYGAPLLIVNAWVVLITYLHHTHQALPHYDSSEWDWLRGALATMDRDYGVFNRVLHNVTDTHVLHHLFPVMPHYHAMEATKAILPLLGDYYKRDSTPIAKALWREAKECIFVEPMADRKGVLWYSNKL >cds.KYUSt_chr6.26772 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169729849:169732756:-1 gene:KYUSg_chr6.26772 transcript:KYUSt_chr6.26772 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQERAATLLSTAEECVGGEDELLALLHDKPSPICFLSFQPSASGRLDIAQGVGKVIDVNKMIRAGCRVKILIEDKRMGGDLSEIRATGRNMIEVWKALGMDLDGVEFLWSSEEISKRAHLYWPMVMGLARETKVARLASLHPNPDNVKVDQLWEPIMRCADILFLDLEADICQMGMDQREVDVKSKIKKAFCPVKITEGNPCLEYIQHILFPWFGKFEVLRNERSGGNRTYVTMEELLADYISGALHPGDVKPALTKAINQILQPVRDHFKKNSDAKVLCNAAKNKEQAR >cds.KYUSt_chr1.36938 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225319543:225321299:-1 gene:KYUSg_chr1.36938 transcript:KYUSt_chr1.36938 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDQLDSTLALSLLFVVSCFFVLVIVKGKGGNRNAPPSPPALPVIGNLHQLGSGHLHRRLQALAQRHGPLFLLRLGSVPTLVVSSAPMAEAVLRAQDHVFCSRPQQYTARGTLYGCRDIAFSPYGDRWRQLRRIAVVHLLSAKRVDSFHTVRKEEVASFVERIRALASGAIREDEGVNVTELIVDLTNTVISRASFGYKLGGMEPGMIHDVMKEVSGLLGVIAISDMFPWLWWMDWATGLDARVKRTVKKLDGILNTILAEHERIRGGNDGEAPDLLDDLLSILKDGDHGFKLDRIDVKALILDMFLAGTDTPYKTIEWAMAELMKNPREMEKVQAEVRQVAQAHGGVHEEDLGKMSMLHAAIKEALRLHPPVPLLTPRETIQDTRLHGYDIQARSRVLINAWAIGRDNESWENATEFRPERFLGTVIDYSGKDPRFIPFGAGRRGCPGTAFGTRLVELTLANMMYHFDWKLPDGQDPESFELLESKGFSPGLKSALILAVKTL >cds.KYUSt_scaffold_2697.503 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:3242270:3243383:1 gene:KYUSg_scaffold_2697.503 transcript:KYUSt_scaffold_2697.503 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPSVHPPLFTKVMGRPKKNRKKAPEEKLKHGVKIFTKSGVTMHCSICGKANHNKKGHAKWVQSQPREIQQNSARENEEEGIPYILQHVYPHTQNPSLDPTRDVNSMVYNMAQEDMNNVPIARVLGPLPENAFIVSARDSIPQARQRVTTSTTRGNLMGRGRGRSTAIRAQTRSAPTAQQQSNARGRGRGINLSKKKRDVVATTSGNVQDGPTVVSRITSNTRSTTTTIDTANRNRAYNIGPGSAFYLLFGDDQQPRASEIPDLNSQAIPDLNLHDFGLSQNATQVGKNDVL >cds.KYUSt_chr4.8914 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53539436:53543014:1 gene:KYUSg_chr4.8914 transcript:KYUSt_chr4.8914 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFLNCAVLTFGPHVVYYSATPLSEYDTIGTSVKAAVVYLGAALVKLVCLATFLKVPDANDSFDPYQELMKILIGFIDVAGLYFAMTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGVEANANLVCDDPFPGCIRILDVAEEEQTENFNSNNLCMCSASGNNAINHQLLEEIIRVADSQGGRLRALFLADYGFHQLAAVLCLSEADVMNGRTAEFTNYQRFDGPEIFLYFGKIRMML >cds.KYUSt_chr4.2121 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11599505:11605017:1 gene:KYUSg_chr4.2121 transcript:KYUSt_chr4.2121 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVMPRPTAPAPPPPPTDLFGEPIEAHPPWFHPDAFLRAGFDPDAYVAELRAYVPLESLAAELRAHLAALRAELVGLINRDYADFVGLSARLKGVDAAAARMRAPLADLRDKVAAFRAAAAAALAALRAGLEQRAAASNARELLELLLDTSHVVSKVEKLIKELPTAPSDSSSAEVGSADKSYTGNDTTVESGTDVRETQSILLERIASEMNRLKFYISHAQNLPFIENMEKRVQGATKLLDGSLERCFVVGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVSPLIQKIVPQNYAKAVSGVSSDDLEDDYLRIMQCVEKDCKFILEISSSANSGLHIFDFLANSILKEVHSAIMKGKPGACSPGKPKEFLKNYKASLRFLDFLEGYCRSKSAVTKFRSEPAYTEFMRLWHVNVYFSLRFQEIAGGLDSALTATISPVGINENQMKQKTLLLKQSIKLLESLHSCWSDEVVVFSHSDKFLRLSLQLISRYTIWLSSGLAARKASDGSSSSPADSEWALSVPVEDFIYVMHDVNGVIGELSESGNFVEHVNQLLASCPIEVLTLVKQSILQAVEPLKELLPGIMDVMIGVIVKRSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGERVHYLSEDDKTKLRCGSTDKITAIYYDMVSEVVNVARKTESSLQRLRQGAQRRVGASTDSSDNIISDTDKICMQLFLDIQEYARNLRSLGIDARGIESYKALWQCVAPKDKQDTIQF >cds.KYUSt_chr2.36616 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226119484:226120673:1 gene:KYUSg_chr2.36616 transcript:KYUSt_chr2.36616 gene_biotype:protein_coding transcript_biotype:protein_coding MAFARRPCAVAVLACAAAFLVAAGGKPERPSMWDRDVVPVYLSPGASPYWVSCYDRSNPEQDTLPPACTLQARQCPRDCRDLCYLDCLTCKLVCICDLSGTECHDPRFVGGDGNKFLFHGRRDADFCLLSDSNLHINAHFIGKRNEQGARDFTWVQALGIRFGGHRLYLGVKRTVTWNSAVDRLAITFDGLPVELDAAPASSWSPASAPALSVFRTGAANGVVVRLDGRFRIVANAVPVTEEDSRVHGYGLTADDSLAHLNVAFKFHSITADVHGVLGQTYRSDYVSAGVDMGAKIPVMGGAGKYQVSNIFGTDCEVARFAGEDLGEVGLIQEPADTMCGSGKGSAGLVCKK >cds.KYUSt_chr7.21444 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132976041:132977060:-1 gene:KYUSg_chr7.21444 transcript:KYUSt_chr7.21444 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKATAPAAASKPKPRARARAKPKPKPSPASLSSGTSSPSATSDDASPSPVAVAAGRGFLAPSSPATPNTRSPLSAPASPFPAPKPASVDDLRALAASTLDSLKRRLDALHGDSVRDLEASHSRISKRIKMQTQSCLQLAEEAEREHRKVAEKISESADEMKASYKKFVAEVQSSTSRVCKVTVPEMAKSVERAIDGLRTRYNIPATAA >cds.KYUSt_chr3.39536 pep primary_assembly:MPB_Lper_Kyuss_1697:3:249093403:249094164:-1 gene:KYUSg_chr3.39536 transcript:KYUSt_chr3.39536 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTPAFTDSFLVEENNYGDFMRIVRQNVIKYCSDRRPGIVQPVLPPEQAVPSRWFYVLLRNTKTTSSSLTLAVRMDNLYLVGFKTPAGVWWEFGKEGDTRLISGSRWLGFGGRYQDLIGQKGLDTVTLGRAQMARAVHVLAKHGTSKAWEEPRMADPQAESKSMLAKLVIMICEGLRFLTVSGTVDKKFDKVNPTITDVEGKQVNKWDRICVAVFKWANDPNAKFPDLEKVGVKNKTDAERIVVLVKYQAS >cds.KYUSt_chr7.16072 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99668695:99669000:1 gene:KYUSg_chr7.16072 transcript:KYUSt_chr7.16072 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRADKENLPSAAAVARLHGVAVKSCKLKRLGRARRRVPLRDITNLVVAESAVPEWQPHAWPATAELAKAGPAGKNLAAAAAAAAGSKAGRYSLRKEFR >cds.KYUSt_chr2.5535 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34267909:34270991:-1 gene:KYUSg_chr2.5535 transcript:KYUSt_chr2.5535 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSGATVFDDLPEWLVVDEILFRLPPKDVLRCRAVRRSWRSGTSTDKFILDHHRRQPSLPIIKHREGLCRFPVPSAAAADVCSDKKIRPFVRYTPTTIVDVIHHGACDGLLILSEQADFYICNPTTRRCASLPHPPLRPGFSTVTVVAFYRHHTSGDEAAQSCGGTAPPCCYNVDSTNVEGTASTCCARYRSRGVIPLATHLIHMWSYLQSVDVRLDMDIGCNRLVMVARDFYNRCGWSADRRLEC >cds.KYUSt_chr6.2159 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12754982:12755242:1 gene:KYUSg_chr6.2159 transcript:KYUSt_chr6.2159 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGATSDTAAAGAFAASGTSAKPRGAASACPRVVAAGGRRRCRVVHCDAGGDAQAFAKAASIAALEQFKISADRESLPLFRFRFP >cds.KYUSt_chr4.11461 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69700144:69710511:-1 gene:KYUSg_chr4.11461 transcript:KYUSt_chr4.11461 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVLAACRNRDSCPPDLGFAMAAALEETKRAAGASQVAQLLVNVVSEIFNINRIKGWAPLSHRIGEIVYLFSRLGKQSSRAMVPNTSIHRLFSPHVGIRRWTSTAANGVTFAVSSPAAQIGGFLSKKPYTPPSWASDLSLIPSHNFTLGQFPTPIHKWNLPNLPKDTEVWIKRDDLAGMELSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAAKYVNLDSYLILATSTPLVDEDPGLVGNLLVERLLGAHIDLVSKREFVKFGSVALTDLLKKRLLEKGRKPYVISGGGSNSLGHWGYIEAVREIEQQIQLSGDIQFDDIVVACGSGGTVAGLALGSQLSSLKAKVHGFSVCYNSRYFYDNVQGHIDELQPGLNSHDIVSIEDAKGLGYAMSTAEELKFIKDIAAATGIVLDPVYRCNTFSFMRGIISDIMYPSPSIQVKKHAILICQVNTKTLPINSGRDLIFVLLNEDMHAFDFYSGKAAYGMLKDMSSNPTKWKGRKVLFVHTGGLLGLYDKVDQLSSEVGSWRRMDLEEFVHAKMALVRCF >cds.KYUSt_chr2.53015 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330804311:330805848:-1 gene:KYUSg_chr2.53015 transcript:KYUSt_chr2.53015 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDALSITGTNKLIMGLPELWEAWEVKCLLLSSLVMQLLMLILTELLRHVSGWPLLSAALSAILWLLYQTADDVAIYVLGHMSLSSRPREEQQLMAFWASLLLVHLGGQDTVTAYAMEDNDQAGYKELRGGCYWIASRIGLGQQWNRLRSCDSIVISHDVEDLLLNEVQRMVEACGGNDEEHIMRSPDQLALGAWGTGGSGARFYDGVVGVEEAGFNGSILAWHYATDAFLGLFDRRCILPAGGDKKDALQETERQERKEPQQRLAEAILVLSRYMMFLLVERPRLLPGPFRHSQYDNFCSEFQEFSRFEVEDDDFIPDALQPAVRLAKNMLARSEVEGVEEVLRAISMVWVEKLCYAASHCINNSHARQLSSGTEFITVAWILTTALFNRFYRDHPVFKEQADHFLRRH >cds.KYUSt_chr7.3933 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23449410:23453088:-1 gene:KYUSg_chr7.3933 transcript:KYUSt_chr7.3933 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGELAGAARLLLLLLAALVAVAAAAGPSEADVLLSFRATLRGPTGDRPPAPLDQWVTSPGSGPCGLPVWYAVRCHPVTNQVLVLRLEYLGLQGPAPDMAPLAGLPGLRSLSFANNNLTGTFPAGLSKLGMLKLLYLSRNRLSGDIPDDAFAAMKGLMKLYLTNNDFTGHIPGSIVTSPKLLVLQLSKNRFDGPIPDLNQKGLQLVDVSNNNLSGPVPEQLQHFGVAAFQGNEGMCGPPLAIACPPASPIGASSPSSDSSNSLKILMIIAIAVVAVGGLLAIAGIILALLARRKDDATETLGGSGDAATAAKLQATAEPAIKIEKRDMEQTGSVTAVPAKRGGRRDGLVFLQEGGPRFELEDLLRASAEVLGSGNFGASYKATLLDGASMVVKRFKEMNGAARQDFNEHMRRLGRLSHPNLNPVVAYLYKKDEKLFVTEHKANGGLAAFLHGGERSGLPKLDWAARLRIVKGVARALAYLYEELPMLTVPHGHLKSSNVLLDDKLEPVLSDYALVPVVTPQHASQVMVAYKSPECAAAQGGKASKKSDVWTLGILVLELLTGKFPANYLRKGRDQDTMMDLAGWVNSVVREEWTGEVFDKDMGGTRSAEGEMVKLLKVGLACCDADVAARWDIKEALARIEEVRERDPDDSSTASSYLSDGVSGAGDQPNYIST >cds.KYUSt_chr1.27658 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166658137:166662918:1 gene:KYUSg_chr1.27658 transcript:KYUSt_chr1.27658 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSLMGSSSDAPTSGEHRMGTTIVGVCYNGGVVLAADSRTSTGMYVANRASDKISQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQSGQPATVKVASNLVRLLAYQNKSMLQAGMIVGGWDKYEGGQIYSVPLGGTILRQPFAIGGSGSSYLYGLMDHEWRDGMTQEEAEKFVVKVVSLAIARDGASGGVVRTVTINAEGVKRSFHPGNKLPLWHEELEPQNSLLDILAAGNPDAMVQ >cds.KYUSt_chr2.19988 pep primary_assembly:MPB_Lper_Kyuss_1697:2:125772805:125777016:1 gene:KYUSg_chr2.19988 transcript:KYUSt_chr2.19988 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYNKMKDKVKDAFSSSGPETGKGKTKLSGRRVKHGYHLVKGKSNHPMEDYLVAEYRQVGEHDLGLFAIYDGHLGHTVPDYLREHLFDNILKEPEFLSDTKNAMRKAYLLTDEKILERAAELGRGGSTAVTAILISCDDSVKLVVANVGDSRAVISKNGKAEQLSVDHEPNMEKKIIEEKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPHVVEEVINERSDFLILASDGLWKVMTNQEAVDEIKDFKDAQAAAKHLTEQALNRRSKDDISCVVVKFHC >cds.KYUSt_chr7.10072 pep primary_assembly:MPB_Lper_Kyuss_1697:7:61682110:61682418:-1 gene:KYUSg_chr7.10072 transcript:KYUSt_chr7.10072 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNIPDWSEILGTEYYGGKAGAGHWPSDSHKGGWVLPHEHATRGPAGRASAGARACDARGGRSSRPGDREARLAVAD >cds.KYUSt_chr1.28705 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173510743:173511093:-1 gene:KYUSg_chr1.28705 transcript:KYUSt_chr1.28705 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRSHDCLSRVRHHARGSRRSPRPAARTTRHGAARHGQAAAAASPVPREVRVKAAAEAAYAGPAFGAMSPSPRALPLPRFSFSKPAAAAATVDDSATRELRRLLGLERPETN >cds.KYUSt_chr3.32507 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204315249:204316409:1 gene:KYUSg_chr3.32507 transcript:KYUSt_chr3.32507 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASRWACLYPDLVRLIGWRVLAGDLLDYVRFRAVCPLWRSSTVCPLGRGLVDERFHPRLWVLLPEGRALFPGHSELHGYVRFFNLSTGLSVRARLPLFEDHRILDSVDGLVLLLQERDGAIRLLHPFTGDIAELPPLSTLVSDFAAKSKLSSIWDLSDFRKISATSVSVSSDGLITVMILLSMMGHVAFATTSGGIDRQWSVSSWSLSPMWRSVSFQGRLYILQRSGYNSSNPQILQIDPPHHDKEIISPPKLIATCPGKIQPPYHLVQCDSDVLAIGCSDKPNSLHLLVYRLADLILNKFTPVESIGGNVLFANIERSLSVSARALPTIVGDTIVKLKPRDIHLGEYHLKGATWLRTTDESTCGLEPSHSNLIHRIFWCHHNR >cds.KYUSt_chr2.48472 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303197423:303198763:1 gene:KYUSg_chr2.48472 transcript:KYUSt_chr2.48472 gene_biotype:protein_coding transcript_biotype:protein_coding MECQNGQVAANGDGLCMAAPRAADPLNWGKAAEELTGSHLDEVKRMVAEYRLPVVKIEGASLSIAKVAAVAAAGKTKVELDESARERVKASSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGNGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAVTKLLNANVTPCLPLRGTITASGDLVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGIASTVLFEANILAVLAELKHHPGQIEAAAIMEHILEGSSYMKLAKKLGDLDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNF >cds.KYUSt_chr3.44319 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279737841:279738311:1 gene:KYUSg_chr3.44319 transcript:KYUSt_chr3.44319 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPTPFFPTTVVPPPPCGCGVPPYTPCCFSSAVAYPPPSFSGGYYTSPFPYFYDAPPPFPYPPAASAAYTPASGFSPNWPPSAPHQAPSTTPSTFPYDSSCQTREASQIPTQVDPIQEMGKDMAPESTPSPRAATRGTVDPASSPPKEVGKYTA >cds.KYUSt_chr3.7453 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43094026:43094601:1 gene:KYUSg_chr3.7453 transcript:KYUSt_chr3.7453 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAWKARFRQRVVTAVDCFLAADQVLELADPVLHAQVDVVAAAAALDPVATAAIERRRLKLSLDSLSRVMQSLDSAVVYLQGARILARVGGDIDHRQHQAAAEPEPVVQDVIGRLKTACTVAMDAYEVFDSCCGHLSTAIALLDATGILNAGVLVEQERQIVAPALRYAIGRHREARDQASAARQMLTP >cds.KYUSt_scaffold_6468.1052 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:5101904:5102278:-1 gene:KYUSg_scaffold_6468.1052 transcript:KYUSt_scaffold_6468.1052 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPTVQPPPPDDEMNRRNARNRGHLLNACRSRSRRPSPVAHPLTTSRRVEPLADVRNQRPPAMTPLRPRAARLATGAGHRRNPQLQSLAHRPSPSPLASSRPDSEPPAADQLSIDSFGKKLWS >cds.KYUSt_chr5.29158 pep primary_assembly:MPB_Lper_Kyuss_1697:5:184777027:184779856:1 gene:KYUSg_chr5.29158 transcript:KYUSt_chr5.29158 gene_biotype:protein_coding transcript_biotype:protein_coding MWAALLLPVLVLADSLALQPPATGCQTSCGGVDVPFPFGIGPGCFLPGFQIECINGSTPMLPDDSYEIKVLNLSVMPRPEARVMLHIAFQCYDTTDGSAKDGASFRSAVIKSAYRISDMRNELVVLGCTTFGFTSSGPWGRRDSSFASGCLAYCDNDGSAMDGSCVGIGCCRVEIPPGLTDNTVFFLNDSASTWSHQGLGFSPCDYGFMVEKNTYDFRVSDLNMDGSSTTKPLVLDWAIRSSNVSSSVDNMTCTEVKGTPEYACLSDNSQCLNSTNGPGYICNCTQGYWGNPYLIGGCKGISLGLFVLIVALLLAFIMLQKRRLDDLFEKNGGEMLKNVKGLTIFTKDGLSKITNDNEEFIGKGNFGNVYKGTLPDKTVVAVKASIKVDEATKEEFVQEVEIQTHMIHKNILKLIGCCLEVDVPMLVYEFAANGSLQEVLHDKKNQVLSLDSRLDIAIGSAEGLKYMHSYATQSIRHGDVKPDNILLDENLTPKISDFGLSKLLKEEYVAKVVVGCMGYIDPVFMKTGLLTQKSDVYSFGAVLLELITGKRNVYDEKRSLIIEYRKVYENENRGTAMFDIDIATEENISTLEQIGKLAIDCLKEDIEDRPDMTEVAEQLVMIRRNKKSRDNTNPDNWEHYQI >cds.KYUSt_chr5.725 pep primary_assembly:MPB_Lper_Kyuss_1697:5:5009373:5010690:1 gene:KYUSg_chr5.725 transcript:KYUSt_chr5.725 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEAILARSSEFYHNSNNYNSALDNMQNSQQYILWSTNMNTRVLPEYFISIKFPECATPARIIGSFPILGDVIQCLATCRWRLCRPWRAGGAWRQEEKLFRLVELQRMEQASRGANLPSTRVNKAQVCVKLEIWLPVSPPSLAGRGGEGKRGVDADPDAQAWWHMGERAVLTELEAFYWRQISSAGDVRRRYSWPRGPLRTSEEPAPGTIYFPQADVLGGKIFDLGVVIHPGGEPSGEVPGVAARDHAPRSCRRGGEEGPDCFLFNLFGFLFVKSSDSCANVPKAKVLLVIVPTD >cds.KYUSt_chr3.26182 pep primary_assembly:MPB_Lper_Kyuss_1697:3:162869141:162873472:-1 gene:KYUSg_chr3.26182 transcript:KYUSt_chr3.26182 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRVALQHLLILSAVLILGTPSEGLVRIPLKKLPLVHENSLVAGEDAQSLLAQRHGLVFNKEPQPQPKSDIVILKNYLNAQYYGEVGIGTPSQNFTVIFDTGSSNLWVPSSKCYFSIACYLHKSYRARRSSTYKKKGKWVSIHYGTGAIVGYISQDSVQVGGVVVKNQDFIEAALEPSISFMLGKFDGILGLGFKEISAGGAEPVWYNMVSQGLVGSPVFSFWFNRHAGEGKGGEIVFGGVDPNHHKGSHTYVPVTKKGYWQFDMGDVLIGRNSTDEKHIQSSRLSTHANAHATEVATAVFLDFIFKRDQRINLARPTVDGNMTPDGSTILHAYIILHLSSIPCGTMPLLSANNVVDEYHSTDIRQHLTAAPKMMPQEVERRRARRHRSIRKTRT >cds.KYUSt_chr6.24512 pep primary_assembly:MPB_Lper_Kyuss_1697:6:154814989:154818365:1 gene:KYUSg_chr6.24512 transcript:KYUSt_chr6.24512 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVSLSSLVLLESEPAAAEGNAVSLNKSMVVIHIKMREILDAELLLAGRGGEEEGRRVEGCSPVELLLDGRGGEGEKLCWASSSASTTWRFGVWAIASAPVVSLSLFDHHGDGAEEKDAARQTAAAQLLSRKEIGMREVGVLEAVLVGVYQRRRRDAGAILGERSHSMSGSFVLLRLFILCARILINPTPGGNAIDAPSGMFPGGDDGAWRWGLPNSHIWVRRRLQTNTNTDANLRRYTDANLRRYTDTNLRRYTEHPNYWKGHPDAIVAAIGSLGNIGQTFGAACSMIVGKKLENLHDALSNTRTDGIGALIREGAAAYLNSIVNKKFPFTTQQVKDCIVVAVISEGAASAQAGVFKKANDSHYKF >cds.KYUSt_scaffold_869.232 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1398521:1407822:-1 gene:KYUSg_scaffold_869.232 transcript:KYUSt_scaffold_869.232 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPEAAAMCLDCLERRVRSDLAGSGLSFVHGLSDSPLPFASSAVVQVASDGPQQCVGRVSASEITELIASYINLSIEQNVISSLNMLCGNKISGSSGLDFLSFVGFSAYDDIQPSGLVRHPNILPVLGVIESSECWYMLQEKAPYTLENIMHYSPEALCSDWHIRFFIYQIISAMAYLHDFGVHHGNLKPSTILMSDSLWPYLSISDIWHVKQNWGFGGPEGSTPNSCCVAEDCSSRSIYASFNLPSSLDWPSHFKRWWAGELSNYEYLLVLNKLAGRRWGDPAFHPVMPWVIDFTVRPDENSDIGWRDLTKSKWRLAKGDEQLDFTYSSSDVPHHVSDECLSELAVCSYKARRLSKTILRSAVRSVYEPNEYPSSMQRLYQWTPDECIPDFYSDPCIFISLHSEMSDLALPSWVTSSEEFICLHRDALESDRVSQQLHHWIDITFGYKLAGEASIEAKNVMLPPNDPSRPKSIGRRQLFTKPHPKRSISTPHSAYHNKVQSCARCQGKGSDSTTDVMLNDCNPRNMLSQVNFLEEFEQATLFMEVEHHLNPIYSYADTSASYCSSVKCPSSEFSDQEILQPDSVLSVVPDFDFGSYLECFESDDSSPMGYQELLRWKQMSYSVTEHHANDIFSIGCMLAEIYLRRPLFDALLLAAYKETGILPGALHELPVHVAMLVESCIQREWKRRPSSKQLLESPYFPPSVRSAYMFLAPLQLLCTSGDRLKYVAKLASEGALKTMGKFAAEVCAPYCLPFVSSSLSDTVLPLIHCFGKGLCADGIETLVRIGGLLGESFIVKQILPLLRNVILLCVDSSKVIKPEPQHSWNSFALIDGLSALEGLVSVLPVKAVLRELLEDQVCLHIKVLMLSHLDLRVIQVAATAFLDLCLRIGPDNTVIYVFPHLRELFAELAFSHDSSAVSLSTKGFKISDGNKSEPIKMESRIDLIFLLYPFLASLVGIEKLRECCSTWFLLEQSLQRLYNWKLEPFSDFSRSSENMKNQRFHPGNYTSSEVVPTKLLFNGAGWSVSQSEIAKTGWNGAASTHGSRLEHGTSSENLSASTSGNQPWFWFPSPDSSWGAPDFLGRSSCLKDELPWKIKASVLYSARAHPGALRSLAAHDDECTVFTGGVGPGFKGSIQRWELPNMNCTSGYYGHEEVVNSICILSITGKVASCDGTIHIWNGQTGKLRAAHTESSTSFPLQTGSTQQANMLNQDTLSGGILSTAFRGSLYTTMHYMASEDKLVAGMGNGSISYLLVWLRQAEEWKRSDFIILDCSRSKLWLLPVT >cds.KYUSt_chr4.22576 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141919528:141920754:-1 gene:KYUSg_chr4.22576 transcript:KYUSt_chr4.22576 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGSPSHSSFSSFGRSLLSRTRDSPAHPTMLPSGGEADVDAFQRHVAVTLADLRDGDDFLSLAWIRRLLHAFLQCQDEFRVVVAQARRRAGGGAAQAERLVAEYHERAVKALDVCNAARDGVDQVRRWGRLAGIAASVLAAPGEIHEGQLRRARKALSDLSVLLVDDATAAGSAGGVASFLASHRNRSFGRGARASPSRASSVSSSSSSSSSAHFRSLSWSVSRTWSAARQLQAIGSGLAAPRAHEAGLAAPVYAMGCVLHLASWALVAAVPCPDRGAALQAHHLPAVPPRAPFAWAPPLLALQDRLTEEGKRKDRRNSCGLLKEIHALEKCAQRLAEAIDAAATPLSSESEAEVREAAAELAAVCAAMKDGLEPLERQVRDVFHRIVRSRMEGFDSPMSNAD >cds.KYUSt_chr5.28257 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178871666:178876136:1 gene:KYUSg_chr5.28257 transcript:KYUSt_chr5.28257 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPRERGSRAARKGRPVRTPAAVLALNPTTESDPSAPASDDVSPWGRSTELELENRLHKRLQEAYAAALAGLADLGYDEDTALRAVLRAGHCYGKLDDPVANIIANASAFLSDPELAGGSGGFADLRRLEEYSLAGLVCLLQSSRPTLSRVEAMWCLLSNDLRLEQAINMGAAFTDKSPTDGLLPAATAPGQGGHCQYHATAATAEPQNHMFDPETFMRLAMRANSDSVAGVSSCVKTTWSRSSIAVPDGQPKQSFAMKVSTEDLIESVAAELESLDIDKKDPPAEKPDPKNEMVRDLIKQTREMEELLKERKEWAQQKAVQAARKLGNDLTELRVLRMEREDSQRRNNDKQATENETSKRLAHLENELKKKSGLLDRSNASVQKLEMENAEIRAEMEAAKLSASETERQCQVLLRKEKKDNKKLDQWERQKAKLHEEITECKAKIAQADKELAGVNKSIKNMEVKIREDAKATEDNLALAEQERGKRESAKADADRRLEEIRRKTEVESQCYKDDLRRLQDELSRLQKSLGANGSTVPSTRSPAMADRNTGRAPKQANQRQPPPASNRPQEPPVQKTGRRRDCVICKKEEACVILLQCAHQVLCVGCNKQHQEKGLVRCPTCNAKIEERIRVFGASSS >cds.KYUSt_chr5.13864 pep primary_assembly:MPB_Lper_Kyuss_1697:5:90157861:90159001:-1 gene:KYUSg_chr5.13864 transcript:KYUSt_chr5.13864 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDCCRRGSPGCRGPWRCCGPRGRPRRVQHGPSVQDREEVVVRGLVAVGAKSLGKGEKQEARWREANAPVVAVALPLAHSRSLPSHESSAIASRGRPPGTSLERGDRLPPAPAPALQHSRCRPLPFHESSAIASTGGLTGRP >cds.KYUSt_chr3.42643 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269607918:269608523:1 gene:KYUSg_chr3.42643 transcript:KYUSt_chr3.42643 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIHPLPAAASQSTSSSSSFDDRQGGQAYTVWMKSLVFNGSGCTVYTPDGAVAFRVDNYSCRGGREVFFMDRAGNTVLRIRRKGLGMFRRWEVSRCTHDGGEEDEAMPWFSVRRAEKARASVRMHGGEGTCYRVDGCCARKSEYRVTGVDGAVVAEVSRKQTSAGVVLGEDVLSLTVGTEVDHLLVLGLVVVRGLMNRSL >cds.KYUSt_chr6.5201 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30853010:30859958:-1 gene:KYUSg_chr6.5201 transcript:KYUSt_chr6.5201 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVHPVVLTPASQILLSPFPFLLLCSLLKAKPKPPPAAFISPPPANAPAPMPPRRRLLGALAALLLAAVAVAGSDDVYVLTVSGRTLLEIKKSFRNADNALHDWSGDGAAPGYCSWRGVLCDDATFTVAALNLSGLDLRGEISPAFGDLKGVVSIDLKSNELSGQIPDEIGDCSSLKMLNLSSNNLEGDIPFSISKLKHLESLILKNNQLVGVIPSTLSQLPNLKILDLAQNKLTGEIPRLIYWSEILQYLGLRSNLLEGNLSPDMCQLTGLWYFDVKNNSLMGTIPDTIGNCTSFQVLDLSYNQLTGEIPFNIGFLQVATLSLQRNKFSGPIPTVIGLMQALAVLDLSLNQLSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSALNYLDLNDNNLTGLIPPELGKLTGLFDLNLANNKLEGPIPDDISSCINIISFNAHGNKLNGTIPRSLHNLQKMTDLNLSSNYLSGAIPIELGRIKNLDTLDLSCNKIAGSIPSAVGSLEHLLRLNLSKNNMVGYIPAEFGNLRSIMEIDLSNNHLHGLIPQEVGMLQNLILLKLESNNITGDVSSLTKCFSLSVLNISYNNLAGVVPTDNNFSRFSPDSFLGNPGLCGYWRGSSCYSSSHAKRFSVSRDVIIGIAVGGLVILLLILAAACWPRSPAVSRELSVSKQEIHAVLSSNVPPKLVILHMNMALHVYDDIMRMTENLSEKHIIGYGASSTVYKCVLKNCKPVAIKKLYSQYPQSVKEFETELETIGSIKHRNLVSLQAYSLSPAGNLLFYDYMESGSLWDVLHAGSSKKTKLDWEARLQIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYVAHLADFGIAKSLCISKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKAADNTVMEMVDPDISDTCKDLGEVKRMFQLALLCSKRQPLDRPTMHDVVHVLNSVVCPEPAPKPAKPAQLPGSTQPSTGPSSYINEYVSLRGGSALSCANSSSASDAELFLKFGEAISQNTE >cds.KYUSt_chr7.28614 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178426914:178429586:1 gene:KYUSg_chr7.28614 transcript:KYUSt_chr7.28614 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGSKESSSGRQQQQQQHNQDRGRSAAPAGHNRFAKFGDDYHTLEQVTDALSHAGLESSNLIVGIDFTKSNEWTGKVSFNNRSLHAIGDTPNPYEQAISIIGRTLARFDEDNLIPCFGFGDATTHDQKVFSFYPDNQSCDGFEQALGRYREIVPQLRLAGPTSFAPMIETAIGIVDSSGGQYHVLLIIADGQVTRSIDTGNGQLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTEIMSRPIPASKKEAEFALSALMEIPAQFKATMNLQLLGKRRGFPHRSVMPPPVRVYQQQSGCSAVKQAQSTSTSYGLGYGSPQKKASAPPQDSDIGDQQACPICWTEAKNLAFGCGHQTCADCGKDLKVCPLCQRKISTRIKLY >cds.KYUSt_chr5.12854 pep primary_assembly:MPB_Lper_Kyuss_1697:5:83849707:83853162:1 gene:KYUSg_chr5.12854 transcript:KYUSt_chr5.12854 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLHNYPTASMEHTAASVPGDGRPLWSAAAAFPRDGATLAALIPRPDAVFPCDGCGSPSRAIPAASIPHDSRSRAVPPWAAEGAATQCRRCFPQVTQVLPAKLDGASIGYGGCYKRHHCRRLSVVRVLQKVNTSHQPVDPCVRISRIESPQQQVQGKRMIWMDNLVNTTTKRNLDMNDKEDMETGHEVADATGMEYGAMLIDDKDQNTIAVGAKNIERNKRTKKDGADSPSLGSAGSLEGSVRSQ >cds.KYUSt_chr2.14835 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93569692:93572691:1 gene:KYUSg_chr2.14835 transcript:KYUSt_chr2.14835 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIHLLLWAPSYSRRGQIAHSQAVCSEALLRSISFLLHLSPACGSDAMAAPLRRVVPGLGRALLSPTPARMLSAEASDALVEIKPGEIGMVSGIPEEHLRRKVLIYAPARTASQQGSGKVGRWKINFMSTQKWENPLMGWTSTGDPYANVGEAGLSFDSAESAKAFAEKHGWEYVVGPFPLPGILVDKSQIRYLIRMGTSRILGNIEA >cds.KYUSt_chr1.3389 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20401762:20404349:1 gene:KYUSg_chr1.3389 transcript:KYUSt_chr1.3389 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQPDAIVVLQFSPAATNGHPPCYKRLSALLQKAKASIGVRVHGQQGEQRGEFAGRVLWKGSSNVALPTVRCFAIDMGSVRERQERWKGVLQVLQEPERFFIWKDTYIEDLRSSRIMAAVPSGVFETQIESAPVVALPSSDSLVEVLNHLREMNEGIADIRAGVAEARSAYKGFCAAKKTSRIIAITLVVVWIGCVLAKFIQM >cds.KYUSt_chr1.25035 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150028976:150029914:1 gene:KYUSg_chr1.25035 transcript:KYUSt_chr1.25035 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPMYLLLRLLRADGRGRRFVARHCRHGVGGIRRFQRHVRDDLRRFRRGGWNRQDFRRHHRNGAGLSNQPVLPPAPLQPVVPDWLLAGPAAPSLDADENIDPALAAPLPPFWCPVHGWVVCPLHQYDFAIHDAPLQPPTRAVVEAEAGAPLTRSPTVARAPDAPLVQVRDGDALAFVSAPTGASVFAFGSVVNGSSNHAVVDVDAVAPRLPSPTPATPPPSPCASARRRLRLSASRWPARRRTRPLAAAPTAPAPGARPRSGSQMAPPTTSPRGPCSLEDPPTRKRAAGAPFGQPRALRSVRSRLWGIGD >cds.KYUSt_chr3.5810 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32935998:32936366:1 gene:KYUSg_chr3.5810 transcript:KYUSt_chr3.5810 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAYGTSAAFSVRPTVPGARPCSCAAAGPTFRSDGSGKWWAPLLGWSGQPDYIDAQPAASPAPEEEQREKPAARRFGVLTEEKARQLRMRMMETESFHDAMYHSAIASRLASAARDKDTKG >cds.KYUSt_chr7.31847 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198218339:198219397:1 gene:KYUSg_chr7.31847 transcript:KYUSt_chr7.31847 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAEITVLLIQCLVVPIITELVKVTLKKLSSGKELKELEAGLGEIKSMVDLETMSLRRDPKVVIGSLRRLKGATEATESILGDRRTTGIAMGRSIAYLSGKTQLLPQVSESILPSVRSFVQGMEPNLQKENVNDEDPVRQTLRKLEGSVASLRRPAVEHRKGNALRRTVTEGLPGPVEPQRENDLRSTAEESPYGMSLREYVLSKQTDEPSSCGKSLREPVSRNPTEQPREGKSLRKPILDKLVEQPLEGKSLRKTVLSKPAEEPQEGKGKTLRKPVLSKPAEKPQEGKSLRKPVLSKPAEEPEEGKSLRKPVLSKPAEEPQEGKSLRQGKSHHKPAEELSEGKSLRRQPN >cds.KYUSt_chr7.18332 pep primary_assembly:MPB_Lper_Kyuss_1697:7:113600016:113600710:-1 gene:KYUSg_chr7.18332 transcript:KYUSt_chr7.18332 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRLDDVSVVAVALMLALLPPPAVADGGWTEAHATFYGDETGAETMQGACGYGNLFQQGYGLDTAALSVALFGDGWACGGCYEIQCHGSPDCKPDPVTVTATNLCPANYSKPNENWCNPPLRHFDLSKPVFLRLVTDYRVGIIPVQYRRVPCAKTGGIRVEMTGNQFWIGVLVFNVAGSGEVKALAVRGSGDGQ >cds.KYUSt_chr6.32094 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202725387:202725731:-1 gene:KYUSg_chr6.32094 transcript:KYUSt_chr6.32094 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWLSSSMLSSHHEQNPPINFENPQLELHQTLTTTQHLFRETEAAPSHLLAQLLYPELLHILVHGHHHRASAAAGHATKHADDPGCGNGVEPGGGLVLEQQALIHWPASLAQF >cds.KYUSt_chr5.13967 pep primary_assembly:MPB_Lper_Kyuss_1697:5:90719680:90725776:-1 gene:KYUSg_chr5.13967 transcript:KYUSt_chr5.13967 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDGDASLAADELQSLSFGSSERSRSASTVSTATASCSTSSSGPIGPHPPPRSAPRLGTVQLSDIRFVRRLGAGDIGSVYLAEVRGSKQGAGALVAAKVMDRKELQGRNKEGRARTEREILEAVDHPFLPRLYGVAEGERWSCLLTEFCPGGDLHVLRQRQPNRRFSEAAVRFYVAEVVAALEYIHMMDIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDPTAPTPAHVISDPVALTGHSSSSSSSCIIPSCIVPSVSCFSLFPGRGRRRARRRKTKNGTSTTSSFPTGVLDLEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGIFIFELLYGATPFKGHDNEMTLANIVARALEFPKEPSVSSAAKELVTALLAKDPTRRLGATMGAGAIKRHPFFNGVNWALLRCAAPPYVPPPFSVAAARGSGGPGKMIKVPNDDVEDMSDDSCPGTPVEYY >cds.KYUSt_chr2.11691 pep primary_assembly:MPB_Lper_Kyuss_1697:2:74412232:74412528:1 gene:KYUSg_chr2.11691 transcript:KYUSt_chr2.11691 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMNLLQWLADHTSHGEEKFLQHKEKIIHFTKDIVDQVFGFPSGSKPFVMESTDPEIIMEVEGIHDQYLLGKKKQITEKLGDWIRIPVQLHLPDPLI >cds.KYUSt_chr1.35594 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216954844:216957513:-1 gene:KYUSg_chr1.35594 transcript:KYUSt_chr1.35594 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLPLLLLHSALSSKPTPSRLLSSAAVATTTGRTVARRGGANGTVSAVLEIVGPIELLFPSSEARLYVRLLRRCALDALAAGAAGAVHGHVLKRGFAPDTLVSNVLMDTYAKGGSLAACRRLFDEMPHRDVASWCTVISAHATRGLWVEAIGMFKGLLSSEVKPNRFVISSVLNACARSGVLELGLVVHGLVIKSGLGVDRFVEVGFVDMYAKCGNVGCSLRFFSEIPVKSSVAWNAMISGFVQNGCVVEAAELCRDMHRVGIAMDVVSLRLAASVAATLEMFELCRNIHVHALKVGLGRDCFVVSELVKSAGRVGDTQYIGGLVAAVRRREASLYALAVAGYHSNGCQEEAVKLAKHLLSSGLSLREGDVVTVLDVCHIEEEVQQVHAYALKSGSFSYINVRNALISVYSELGSLVHAEAIFRTAHSRDVVSWAAIMAGCAKNLQFEKAFGYFGGLRNSGAPLDQHCIATITNICTGLQDIDKGQQIHTLALKVGILAVDFISASLVNMYAKCYHMDCAAELFSHTLFPRNLVVTNAMLTGYCWNFLPEKALLLFCSEYHSGLRPDHFTCSTVLRACADIGAKEAGEQIHGYLIKTGSEYMDVIVGNAIIDLYVKCGHIASACRFFHSMRSWSINSYAMLMLGYIQNRCSDEALQLFSKMQHSGLRANRVTFARILRGCADLCAINLGRQLHASIIKMGLISDVYVANALVGMYKRSDSLMEPIRDSREISAGNGLELNIADNCYSEQRDGNHNLEEIGLFTLEEEKDHETSAHARKISIGGASQFYETPVPIHMLGHELRINTISGNGRNDKCSKSKVFPGTGYQGNRGGSLKLFNLLQADITGPDQFVLVVFIDNSLLKIKDTRFVNLELMRRSGVAPALGFPP >cds.KYUSt_chr2.48624 pep primary_assembly:MPB_Lper_Kyuss_1697:2:304118701:304132431:1 gene:KYUSg_chr2.48624 transcript:KYUSt_chr2.48624 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFNSSKSGSTICGSTPESVTRVTQNRDGLELCEQHPLLVYHADGLIVSEVVEVVVALVERVHRSYCASQQGLDSEAFGLLPFPNPTPHISAGRDSAFASGNRNTISPPLPPLLAGKNISSSRYSRAAALSAAAEERMESSSSGSAKARQGSGAGAGGADTFDIPGKGATIERLKRWRQAALVLNASRRFRYTLDLKKEEQKEEVKRKIRAQAHVIRAAYRFKEAARVNVQPKETTDAAPHVDGALGFGIKEEQLTALTRDHNYSALQQYGGISGVANMLKTDTEKGTSGDDPDLKARQNAFGSNTYPRKKGRSFLSFVWDACKDLTLIILMVAAAVSLALGIATEGIKEGWYDGASIGFAVLLVVFVTATTDYKQSLQFQNLNEEKQNISLEVVRGGRRIKVSIYDLVVGDVVPLKIGDQVPADGILISGHSFSIDESSMTGESKIVNKDQKSPFMMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGIIGLTVAVLVLVVLVARYFTGHTYNPDGSPQYVKGKMGVGATIKGIVKIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVTEAYFGGKKMVPADNTEMLSASVSTMIIEGIAQNTSGSIFEPEGGQAEVTGSPTEKAILSWGLQLGMKFNETRSKSSILQVFPFNSEKKRGGVAVHVGDSEVHIYWKGAAELILESCTDWLDADGSKHSMTPEKVGEFKKFIEDMAVASLRCVAFAYRPCEMSDVPKEDQRAEWILPEDNLIMLGIVGIKDPCRPGVQDSIRLCTAAGIKVRMVTGDNLQTARAIALECGILNDPNVLEPVIIEGKTFRALSDLEREEAAENICVMGRSSPNDKLLFVKALRNRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASIVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVSAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPNNHLMQRPPVGRREPLITNIMWRNLLIMALYQVAVLLTLNFDGTNLLQLKHDNHAHAEKVKNTFIFNTFVLCQVFNEFNARKPDELNVFKGITGNHLFMGIIAITVVLQVLIIEFLGKFTSTVRLSWQLWLVSIGLAFISWPLALLGKLIPVPDRPFSELFACCFPEKKQDDDAKEKEGSAKHSEV >cds.KYUSt_chr1.35757 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217911563:217917054:1 gene:KYUSg_chr1.35757 transcript:KYUSt_chr1.35757 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVISAVLGEIVSRAISIVAEKWCEQTTAEEDLQRLRQLLLRISTVVEEAEGRRVENRVMIRHISTMKEQMFRGYYLMDTFRCKERKIDHDEVRRFSFAQSKFNPAKRFRRLVSNTQIGREDIKELKQAALVLESMVVDMKEFVMLLMSYPRIYRQPYATYLFLDKYMFGRQMEREQAISFLLQAEPPGHGNFGVLPIVGPALVGKSTLVEHVCGDERVRNHFSLILLYSGNGLQDETASTFRDHCVIKHQSIASGEERLLVVIELLNDVDEGAWKRLLHTSKRYMAHGSKIIITSRSEKLVSVGTTEAIKLKCLSKETYWYFFKMLVFGSTDPMEHPKLTSIAMELALEMRGSFISANCVAVLLRGNLSAWFWCRVLRQFREFTQKNTLAFGQYPEDHMSRYAWSIAKTHQAFSDIVSRAISVMVKKCREQTTANEETTIEDNLQRLHQLLLRISAVVEEAEGRCITNQGMIRQVSMMIKQMFRGYYLLDSFKCRNKKTDNEEVSLSSFAQSKFNPAKRFRRLSSNTQIESMEIGRDNSKKLKQVVLVLESMVADMKEFAIFLISYPRMYRQPYSSYLFLDNCMFGRQMEREQSISFLLQAEPVVGGNLGVLPIVGPRLIGKSTFVEHVCNDERVRNHFSLILFYSGNNLTDETAVTFRDNCLIKHQNIDSGEGRSLVLIELIGDVDGGAWKRLLQTAERCMEHGSKIIITSRSEKMVRVGTTEAIKLTRLSKEAYWYFFRMLLFRRADPEEHPKLASIAMELAIEMSGSFQAAYVVAAVLRENLSAQFWSSALRQVSKYMQNNTLVFGEYPEDHMTRYIWSIPERLRGSEDRGLFMIHHSRQKGPAIHGEDPRITVADIISGTWSAIPRGKFEVLRWRSPIPPYYSYVHSCELVQNKNTRIEP >cds.KYUSt_chr1.29933 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181283750:181288273:1 gene:KYUSg_chr1.29933 transcript:KYUSt_chr1.29933 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCVFLLSDCGEVLVEKQMTAHRVDRAICGWFWEYVLAHAAGDPSKFLSRVADVLTDYLGDINEDTIKDNFVIVYQILDEMMDNGFPLTTEPNILKELVAQPNMVSKMLNIMTGKSSTIGNKLPDATASFVPWRTTLVKDASNEVYVNIVEELDACVNREGVLVKCEACGEIEVNSSLPGLPELTLSFANPTLINDVRFHPCVRFRPWESNQILSFVPPDGQFKLMSYRVKKLKTTPIYVKPQLSSDSGNCRVNVMVGIRNDPGKAIDSIIVQFQLPPLIASADLTANHGTVDILADQTCVWTIGHIPKDKAPSLSGNLRLEEGLAHLHAFPTFQVKFRIMGVALSGLQIDKLDVKNTPNAPYKGFRAQTQAGRSVKIQYPDMQSEDGCVCR >cds.KYUSt_chr6.3945 pep primary_assembly:MPB_Lper_Kyuss_1697:6:22811122:22813395:1 gene:KYUSg_chr6.3945 transcript:KYUSt_chr6.3945 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSGAVQWWDEWKLHVLVFASLGIQYFLTIFACGRKFSIPSWFRFVIWLSYLGGDAIAIYALATLFNRQKKSSSNGSRDLEVLWAPFLLMHLGGQVTVALYVFCQSWSSSADSKLLATAILIFIPGIFKCFAKPWGLKYASFHSLAESLDLAQRTTASNREEELQKYVQDARTCVLANNNESSGSEKLYVPGMLFADFGYTYSRRLTNLKSFWELDDSKTYSSLRNGLSGMFDLLYTRKNMFDFERTEVSGRDCVGTTTWWLSFFLPIVAIVLFHISHKKEFRSDDVAVTFVLLYSTFVLEFLSLSILRTCVTEWPENVAQRSILGQFANSKRHVKLVRLATFLGCKDLVNQYWSKEKIEFTGHFTGLVRDHVRDGWKEYITDADSYREFSDIRGQWTLERQGCHGRLDWSLEKPFDESILLWHIATELCFYQMGPSCDWHDAEISNYMMHLLVANPEMLMPGSRRSLFAIAYNELEAILKECEDDTLMEEGKIAKQVIHKVKSAHDTRTKKSFIYDACVLAEGLMHLGHAKMWDVIHGVWLEMLCFSAGRCRGFLHAKALGSGGEFLSYAWLLLAQYGMETFPERLQRTQKLHLSVETRKRLQTRGKDVPGPSACQGTEDEITAGPPTSQGDCAMEIVVSP >cds.KYUSt_chr3.44673 pep primary_assembly:MPB_Lper_Kyuss_1697:3:281941604:281944741:1 gene:KYUSg_chr3.44673 transcript:KYUSt_chr3.44673 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQLASSCSCNMQLKAILLLILIISSIPTFLTVGALQYQHHHGGGCIPVERAALLSFKQGITSDSTKVLASWRGQDCCRWRGVSCSNRTGHVTKLNLGNTILDPDTSNPCRNANSLFGEISPSLLSLEHLEHMDLSMNCLLGANSHVPHFLFSMENLIYLNLSGLPFTGRVPSQLGNLSKLQHLDLGQGYSGLYSGLYSTDITWLTNIPLLQYLGMSGINLSRIADWPHTLNMIPSLRVVDFAECRLDTASQSLPYFNLTRLEKLDLSDNNLGHSIASSWFWNATSLKYLILGGNYQANWKFGKLPDAIGNMKSLKVLDLSDTNLNKTGNLKNLCSLEILDLSTNYMNGDITVLMEDLPRCAWKTLQELHFHKNEFTGTLPNLIGEFSALSILELYINNLIGLIPAGLGNLASLTTLDLGENQLNGSVPTEIGALTALTYLDMGSNNLTGSIPADLGKLINLTTLILAQNKLTGSLPAEIGSLKNLISLDLSNNNFSGVITEEHFANLKSLKYIDLSSNQLKIVVDSDWRPPFRLESAVFASCQMGPLFPAWLQQLREITALDISNTTIEDKFPDWFWDTFSQATYLDISNNQISGSLPEHLDGMALEELHLGSNQLTGLIPSLLTNITMLDLSNNNFSGAIPSNFQASRLQILVIYSNRLGGYIPESICKLQQLLYLDLSNNFLEGEIPECFDIQMVQFLLLGNNSLSGKFPVFLRNNTDLEFLDLAWNKFSGKLPTWIGELSKLRFVLLSQNAFSDKIPVSITNLTYLQYLDVSSNDFSGAIPWNLSNLTFMTRFQEEFMDMYDGTFGGTDGINNMGAGHLGKILVVTKGQWLTYGRTLAYFMSIDLSHNSLTGEIPTDINSLVALMNLNLSWNQLTGQIPSTIGAMQSLVSLDLSENKLSGEIPSSISNLTSLAALNLSYNVLSGRIPSGRQLDTLNVGNPSLMYIGNSGLCGPPLLNNCSGNDLFIHGYLESSNKRFQPLTFYFGLILGLVVGLWMVFCALLFKKTWRIAYFQLFDKVYARVYVFVVVKWKSFTKNTAVE >cds.KYUSt_contig_686-1.862 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5285762:5290413:-1 gene:KYUSg_contig_686-1.862 transcript:KYUSt_contig_686-1.862 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKFAFFPPDPPSYSVVDEEEPSGAAPAATVTAVAQSDAAKISSRVAMTGVPWREGVEARRVRTRRGSEIIAMYVRCPKANLTVLYSHGNAADLGKMYELFIEFSARLHVNVMGYDYSGYGRSSGKASEANTFADIEAAYKCLVEVYGTRGEDIVLYGQSVGSGPTVDLAAHLHHIRAVVLHSPILSGLRVMYSSVKKTYWFDIYKNIEKIPRVKCPVLVIHGTNDDVVDCSHGKRLWELSQQKYEPLWIEGGDHCNLETFPVYIRHLKKFMSAIEKLPIGKEAPANTEKLPAENETPSDRALSEAPWTTSQRLEPSRKSSRHEQPPGPSTEHVDKHRRSTGVREKSRSSTDRRERSRRRSVDTFERATIDENEQTDKPRKSIDRLGEMIRSMGLCNVDCFKDPPRKTEPSIGLRVITNCEAKPFVVPESIS >cds.KYUSt_chr6.1528 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9321165:9321884:1 gene:KYUSg_chr6.1528 transcript:KYUSt_chr6.1528 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGGGGTNAGAVAGAAGAGSPCGACKFLRRRCVQECVFAPYFSTDQGAARFAAIHKVFGASNAAKLLAHLPAADRCEAVVTITYEAQSRLRDPVYGCVAQIFALQQQVAILQAQLMQAKAQLACGVQSTTSPMSHHQQWPDSSSIAAMLRQQDANSVGFGGGAALLPELMGGDVSMSMMQQHCGVKTDAGELQYLAQAMMQSSNYSQ >cds.KYUSt_chr3.42362 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267810714:267816504:1 gene:KYUSg_chr3.42362 transcript:KYUSt_chr3.42362 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDSVAVMEVAAVPDPVFVGAAPLTPPPEASVLRRSARCLNRPRVPIYAEKEEPKQPTGRRGRPKKKRDAENHDPAAEAQVPAKVARKAVKAEPKEKKPMPVVEPISAADFAGAAAEDDALGKGKSAKLRVKETLRAFNSHYLHLVQEEQKRAQAVIQEIEASKNKKAGKKGGEDEIKEKRPSKRPDLKAITRMQENNSVLYTEKVIGQIPGIDVGDQFYSRAEMVVLGVHSHWLNGIDYMGMKYKEMKGYANFSFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQKLARGNLALKNSKDNGNPIRVIRGHVAKNSYTGKVYTYDGLYKVVEDWVQNGVQGHVVFKYKLKRLEGQPSLTTSEVRFTRAEAPRNISELPGLVCDDISGGQENIPIPATNLVDDPPVPPPGFAYIKSLKISKGIKIPSDCTGCDCEGDCASNKNCSCAKLNGSDLPYVSFKDVGRLVEPKAVVFECGPNCSCNRNCVNRTSQQGLQHRLEVFKTASKGWGVRTWDTILPGAPICEYVGVLRKTEDVESLLHNNYIFDIDCLQTMKGLDGREKRAGSDMQLPSLHAENDTEAPPAPEYCIDAGSIGNVARFINHSCNPNLFVQCVLISHKDVKLAKVMLFAADTILPLQELCYDYGYVLNSVVSATGDIIELPCYCGAPDCRKRLY >cds.KYUSt_chr4.19292 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121175057:121180069:-1 gene:KYUSg_chr4.19292 transcript:KYUSt_chr4.19292 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRPKKRPPTHSEAGVEEEEALMLPVGAEVEVRSDEPGFEDSFYEATVAGHLISRHRRRYTLTYSTLLAEEGGPLKDTADAADVRPRPPPPRDENAAAAPRGFAICDMVEAFHRDGWWAGVVSAVPTPPGTGDRPRGVYEVTFPTSRETMEFEETALRPHRVFLDGRWVPAAEVDNGSPLFSEGNQVEVSRSEKNSGESWSPATVIKVIGAHFLVQYIHIEKNKELVTEVVDSANIRPACIITRMTSRYRFSPSSHVEVLHQGSWWAGVIVEVLGSGIDKKYAVELEDHETYIEHVDCAGVLTVENTQLRPRYCWDGKKWVRYFEEKPAKGCRLTARKRPISASSALHDGGDGSCRDKKLKKADVSSYWPNIVLNDQEKQSTVGSRTDLSRQKEECVPFQTPDALGESTETPKEGIAAKTTEEDNNMLAIFADLAQLPNDMIAGCEILSELKTGSCIDLTPRKYTTGSQARNQTPYIKQGGYAGETSVEQDTGEDLCQRYLVMPDDANVHFLPSEKGCEATVHDGQLCMENAAAMPECMTGSVAPAEDLCIVSRAALDGAMPNPLQLAVKFEITGSRTDGFSASSQYIQKSTVTQLSSVGMDNCTETEPGNSLAIIKYVEAAPMSRTHDSRCPLSPEALDVHESIIDTNDGFSEALAIQHLPFVKTSPLWAELEALEIFSKVPQRPKFHLVQQYCPELREGMALGLMVSFASLAESISRMEIQDDTGPFEQKMLGLSLLEANGFDVRDMRSRLETLLDTRNRRGELQDGMRKLREKIANKEADDRELSLEIRMLATALHHLELHACVMRDVMRSAISRKVNTAMVISRLKAEVNKLERSYVSTTVPR >cds.KYUSt_chr3.49131 pep primary_assembly:MPB_Lper_Kyuss_1697:3:306924355:306925890:1 gene:KYUSg_chr3.49131 transcript:KYUSt_chr3.49131 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYCRSSRLREAQQLFDEMPEKRGVTWSTLIYGHTATRDPTLLASPWRRSPAWCVQGVSSVLVACARMEDAGAGAVLHSVAVKYGICGGNVIVGTALVDMYAKCHDMPATQQVFQEMEEKNVSTYTAIIGGFASVTRPRDAMVLVKEMEQSEDQVSLNSVISGLSSLGLGNEAFEQFLEMRRHGADTDLFTFASMLKAIGSSSSLPEGRQVHALILNTGHDSDVNVQNGLISMYARRGEIGESQNVFASVQAPGLISWSSLLSGYAQHGCGKEAVEVFEQMRRLNVHLDHTTFLLVLTACSHTGLVDQGLEYFNLMRTDGFLAGAWQEQYACVVDLLARAGRLREAEFLVTDMPIETSVSLSKAFAGDGQWGSAAEVRESMAGKAIVKNPAWSCVEDQM >cds.KYUSt_chr5.22340 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145823435:145830613:1 gene:KYUSg_chr5.22340 transcript:KYUSt_chr5.22340 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSPLMGDSSVDGDGGGVDGGAFRGHFPVPAVCRNRDSCPPDLGFAMAAALDGFSYRGFSVSSLISIWLGLANGLNDPVVRKDNVFVTVVASVQYRALVDKAPDAFYKLSNTKQQIQSYVFDVIRATVPKLELDDAFVQKDDIAKAVEEELEKAMSMYGYEIVQTLIVDIEPDVHVKRAMNEINAAARMRSAANDKAEAEKILQIKRAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDVASQIRDGLLQANTI >cds.KYUSt_contig_988.391 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2319832:2327262:1 gene:KYUSg_contig_988.391 transcript:KYUSt_contig_988.391 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHACGVGLLVVAAVLARPRGSIRWRPSEQQGQQGRPGRVALGAAPPDAGRAGSTDQGLVVDTKAALGGEGQGPVARGKWSSTTAGYGLFLHKQLLPFYANSAAHGQWYALHDCRCWWKQGFQERLDTEKSRAAVDYLALADLWEHFAESSAYGLAVLVRLLGHVLPLRHPAIHSHQPHHLQVIKWF >cds.KYUSt_chr1.42695 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261241084:261244967:1 gene:KYUSg_chr1.42695 transcript:KYUSt_chr1.42695 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGAGKDGEGDKVMGPLFPRLHVNDTTLKGGGPRAPPRNKMALYEQFSVPSSQRFAPPAPAPAHRPAANASASATASYAPSSASAGQIAGSDRPLFPSFGVPSNEPLRSSNSNGRDGGATRVESGRPSTQPKSKDTHAAGSTAECSSQRRENNTRNSTGKKLTNDDDFTVPSGVPPHSAREEARIQEKSTPFPMYKSGPTMSRSSAKQSSADKRYLEGVDASDMRSRDSPSIRDKAPAKSTTNLLEVGARSSSFKYFSTDKTGKTDDKVSSYGDKLTRMNVSDKQHPRTEGRHGRTENAAESQNVSKAGNGASNMSEKGLRETGEKRKRSTGHHDVQRDDFSDDSSVEYLPGLEISPDDVVGAIGPKHFWKARRAIVNQQRVFAVQVFELHRLIKVQKLIAASPHLLIEGDPCLGSALVTSKKKLAAANVQKQLPSAKSKDDDDAQLTLQQAELADYSRDNTEGGNQAASLSQDDGDDDDVVEEVQNNNSQATASNGGNPPAATMPAPPDNKWCAPPPPSNQWLVPVMSPSEGLVYKPYAGPCPPAGSFLAPFYASSIPSMPGDFMASPYGMPMPHHHQPQHMGGPPPMPPMYFPPFSMPVMNNPAVSASAVEQVTRIAPARPTAEHVSRTSCNMRNEAVSGGGIWRFHPSARDSELQGSSAASSPFDRQQQQQGEARAAPPPPPIPTSSAGPQPSSGSKENPAARVIRVVPHTARTASESAARIFRSIQMERQQNDP >cds.KYUSt_chr5.23168 pep primary_assembly:MPB_Lper_Kyuss_1697:5:151109289:151109954:1 gene:KYUSg_chr5.23168 transcript:KYUSt_chr5.23168 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSPPMLASDGDLPWEPYHDRYSLFRPQMPPVRPVAGRRVRAVQPAQSPQRAPRYRPRVQSRPPMSTASARLPDPSISGHRATRLEALSVHVWKLLAAAVDGSNTHGGVLHGRRARRSPAKGARGQESLGRVGSGREQAMWGQGSPLELPILHRWWMTKPPLISWCRRSPDFSWMKRRRPPLDETAGRNQQQLSDLGHLARVFQWARWLDSGWTTSLRT >cds.KYUSt_chr6.19942 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125740909:125747455:1 gene:KYUSg_chr6.19942 transcript:KYUSt_chr6.19942 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDARNGSTGTSQTKGSGVDGLAKPLPPCCIKARAAAPESEAKCHATVVSGWFSEPRSRSGKTSKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLIFESLTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREIARHASVESIDICEIDQLVIDVCKDFFPDLSVGFKDPRVRLHVGDAVEFLRNSPEGTYDAIIVDSSDPIGPAQELVEKPFFETIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPSGAIGFLLCAKEGPPVNFLVPINPIEKLEGAMTAGRDIRFYNTEMHRAAFVLPSFAKRELEEYCGSTERMDMWQMLDKFRAEVVDSSSDEESDQSTQTLATSAASMIHEFTSNTGLEHQGSVKGRSKNLPRNRVRN >cds.KYUSt_chr5.16571 pep primary_assembly:MPB_Lper_Kyuss_1697:5:106645143:106651023:-1 gene:KYUSg_chr5.16571 transcript:KYUSt_chr5.16571 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEWFINLKRRGLRKGTMAPCCSEKGPLNGSSTAGLQSLHSCYVTTLQAAPRALPQKTAARPLILTLLIINHGAGTASPSGRVRRGAAAGAVDDDHVRSGDGAGRLGATFRGHGHGNGGDARPSDANGTRRRVPQLPPQHVGLSAVRAVEQRDGAASRVLLSGAGRDGGVQPSCLCELLSGAASPHHRPSVHAQHSVSSTSLSILKWIDLEQLTSHKEEVAYEEGRKWNYMFNLIREEEREKKIKIRLENQRLEKEKKEQEEKDLREVEREKKRERGLVVQGRMRKRKKMQPNGRENTPVGLSRFMC >cds.KYUSt_chr2.17793 pep primary_assembly:MPB_Lper_Kyuss_1697:2:112077941:112080055:-1 gene:KYUSg_chr2.17793 transcript:KYUSt_chr2.17793 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLVYASTTTNLLILCPSAAAANPSQMKSARLGESQGCHDAADHISGLTDDLLHYILSYLHSAPAAAQTSVLSRRWRSVWKQIPDLIFLEESSPDAVDAALAAHTAPTLDKLAVVVAKASGRVSAARVAAWLRFASRRDAQEAHVVLSCKEPPPSENEEEEELEVPLLESAVMLTANLVVTFRLRLPPAGSFAELRLLILTLAAVSGDGLSRVVSTQCPCLCVLKLAMLNLVGDISIRSDSLRAISLRVVGKETQLEVTAPSLESFVVHSCSHRQVRITAPKLTEVEWDDAYDPDVHRLLDTGRHLHKLVVTQWSPMPPLLERFESADELSLHLAIPPVIIPRLEDDRPDIRVEERESEERGEV >cds.KYUSt_chr2.1677 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9969758:9973822:1 gene:KYUSg_chr2.1677 transcript:KYUSt_chr2.1677 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAKSHSRLCGAENTREKRALRQAEICRGNSLPEGEIDAIVTAIELDIISITIVIISIIITAISTAAPRHRFADACKGYNHNSASAAAAMPRLASSSRYCRISSTGYRGVRACPKGMYYAEIRDGGERIGLGTYEACRKRKTLTEFTAMDSPDTTVQVSGGGGGRVLLLPFPGMQGHANPMLQLGRRLAYHGLLPTLVLTRHVLSTTAPSDCPFPVAAISDGFDAGGIASCPDTAEYLRRMEAAGSDTLARLLLAADVRVLVYDSHLPWARRVARDAGVAAAAFMTQMSAVDVVYGEARAGRVALPLADGSALRRRGVLSVDLGPEDVPPFVAKPEWYPAFTDSALGQFDGLEEADDVLVNSFRELEPKEADYMELRWRAKTVGPTLPSFYLDDDRLPLNKSYGFNLVSNPAPCMAWLDKQACCSVVLASYGTVANLDATQLEELGYGLCNSGKAFLWVLRSEEAEKLPDELCGKCNMKGLIVSFCPQLEVLAHRATGCFLTHCGWNSTTEAIVTGVPMVAIPQWADQPTTAKFVESAWGIGLRARRDGKGLVRREEIERCIKEVLGGEEYKRNASKWMQKAKSSMQKGGSSDKNITDFVAKYLPNSRSYEDGHS >cds.KYUSt_chr3.45022 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283605844:283608880:-1 gene:KYUSg_chr3.45022 transcript:KYUSt_chr3.45022 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQQQQQQPPPPPPPQHPPPQQPGGGDFYRGPLQPPMRQLSAASSTNLPPDYAAHPGAPPPHQQQQQQQQPFDAYGDSFGAKRMRKPVQRRTVDCTSSLVRYAQARMWQRDARDRFTLQPTAAAVVDMLPSVAYPDNPSTSFASKFVHSSINKNRCSINCVVAHDQAVRSMIWSHNEDLMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRDLSQAMVGMLKVSIGIPRNLYWSQVVKTIL >cds.KYUSt_chr1.38914 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237906839:237908466:-1 gene:KYUSg_chr1.38914 transcript:KYUSt_chr1.38914 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAVAQAAPHPHLYLKTHGAKVARLHMVDWIVLVLLAAIAGALNIIEPFHRFVSKDMMDTLLYPLKDNTVPIWALGVLAIVVPLLIFAGIYVKRRNAYDLHHAILGRCEILRTGLLFSVLITAIITEAIKNGVGRPRPDFYWRCFPDGVPDYHNITGQVICHGDAGVIKEGYKSFPSGHTSGAFAGLGFLSWYLAGKIRAFDRSGHVAKLCIVILPLLLAAMVGVSRVDDYWHHWQDVFAGGILGLVVASFCYLQFFPSPSAEHGHWPHAYHHHILNPEAENQVQSAAAASDCNQSVLPRDLSIVPFAVGMETRTSARALDSMEAGSRGL >cds.KYUSt_chr1.11108 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68012113:68015816:-1 gene:KYUSg_chr1.11108 transcript:KYUSt_chr1.11108 gene_biotype:protein_coding transcript_biotype:protein_coding MERCTAEHKSATDGERCTTEPMEATRGDALLKIKAQEEIRPHQEQDFPNPSATDVVSVAAAVEVTEPMVVDLAKAAVSEPQAEGGIQEAVSEPQAEHTDGYEDQAEDDNEYDAEYDDEGDYEDDAEYDDEAEGEDDYDYGSDYEEEEYDYGPLFEEERPKVRAVLSHFPETANLEPHELDAAVERILEQSKPEYADVAGPGKIRLLTADIEQALDPWTPPPPSIIRRGSRDGLPADLILKLEEQDKEWEELEKEFLPLYDKPDEELERFRAKVRTELIHKGYVEVDEDYYEKKDELERQFMDKLREEWNQNNNLSRIRVATGEDGICRYSEEHGGLFVVLTGPKEDQIDDFLNENVAPDELADVIKNR >cds.KYUSt_chr4.47043 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291096088:291106247:-1 gene:KYUSg_chr4.47043 transcript:KYUSt_chr4.47043 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGGPASAAPLSHGQAAEAELFEDAVEGSPTASAPPVPWRDGEAAAVVSSSPPSVSIPQGDVAAEEEAYESPSASGHPAINGAEEESPSVSELRDGLGRLGVGSVSPPGQRARGTEEGNSTAPSGAASPGMEDSKPRSASAPPSPGPPGASSSSSSPVPQIRQHARHVRTSSFQRFRQQMQRAWKWGPVGGAERSPREHLLRTTVNIEAMANQKRQWYQLHSKALDQKQFKEPASLFEHFFVVGLHSYANVTVIEDAFAKKKAVDIRQDHAKIGHILIICNIQVIITLETSILFQYPPGKGVEIREADLPSFCFPEGVKAHLVERTPSMSDLNEVIFGQEHLCRDDLSFIFSLKVSDNAPLYGVCLHVQEIVQRAPGILGAVSPLNQTSYKPSRFLVSAPRCYCLLTRVPFFELHYAMLNSIIAQERLDRITQFASEIALAEPVPRSLKEQDLFKADFESSNGLLYSDWMEYAVPVNSISGIVSSSRLTSERDAASYLFRSWEPNSPESISASETSDSSYAKEFEKEGRHSFQQFEDCISENTESRCDSFGSASSTYENGHMSPDLLSTDSLMSRRLGRTQSMESLQSSVKCAGSDEEEDEVNMKNETIVDDYKVMGWAKAHNNESLQIVCGYHALPVPPRGGEIVFQPLEHLQPVKYSRPGLSLLGLGDTNLDNDLTSPETNVVVVNACLVAAEEALALSVWTMATVCRALSLESMLALLTGVLLEKQIVVICPNLGVVSAIVLSVIPMIRPFQWQSLLLPVLPRKLIDFIDAPVPFIAGIQYKPPDIKMKASSLVRVNVQKDQVKGNLLPQLPRYKELISDLSPIHARLSCENALAKKHPIYKCNEVQAKASWQFFNVLRTYLESLCSDLRYHTITNVQSDNDRVSLLLKDSFIDSFPSKERPFMKMDYGLMGQKEEKTWYATKNGGLDCPSG >cds.KYUSt_chr5.7224 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45184926:45185603:-1 gene:KYUSg_chr5.7224 transcript:KYUSt_chr5.7224 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLAVVLLVLAALAAVSSATTLTIHNLCPHPVWPLVTPNSGLPSISDNTARLDTNALLSLSFPSTFWAGRVVIRTSCGTSSPPRGCWTGEAPPSSVAQLTVHDGGNLDRAAYSVSLVDGFNVPMVISPQAVGGGQCPALGCAVDLNCDCPPDQRAAEGAACRGTPAYFKNRCPLTRTTPTDVEPVPQSCRAPGELKIVLCQTSMIQSGAGEADMVIRTVVADN >cds.KYUSt_chr6.32081 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202624853:202629084:1 gene:KYUSg_chr6.32081 transcript:KYUSt_chr6.32081 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRRVRPAPLSGSAVLPWWKEAMLISAARLCFNKRLRHHCLGVPVPLLLLLAGLGGEGEEWTRATATTLQRWCSRFLERATSAAASKRRLAPAAAIFGHKVGPVALDLHSGSSFLLLRWRIYTDSGVTVNAASSPSGFVPGEGSGGCAGKSATIGHGLDRVSAHLYGVLGASIRDLVVIFLLFESTVWDAVAAGAPPTPSRYSPSSPSMRKTPPGSNVLFRLDTLKGTGNSGQGDHCVLSHAECGSGGLAGLHRRANRAASFCHCSTVAASSSASFLRRSRLSSSRSAAWRVASSCQRAMARNAHASASSLAFPASSSSNAEAHSSSTRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRSSPPGLEAMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVLPNENHHNKIVTTANAIQVRINENIRLMAELQC >cds.KYUSt_chr7.12423 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76425989:76426331:1 gene:KYUSg_chr7.12423 transcript:KYUSt_chr7.12423 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPILLCPRCRAPVDRRISRTPKNTNRPFYVCSSEKGIKCFFVWVDVLLQTLMNQLLDEHEEWLPMLPQTTRVAAIASAEETQRITH >cds.KYUSt_chr5.10330 pep primary_assembly:MPB_Lper_Kyuss_1697:5:66308468:66309628:1 gene:KYUSg_chr5.10330 transcript:KYUSt_chr5.10330 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFERLTENLHLDILSRVPAKSLCRFKCASKIWLRLVDHPAHSTRLPKSMAGFFCNSTISDDDDDDDERASPDQLSTSLMPPPEAAPLSSTPHRPPYCPTAAASISSTAATGSSSAAVLPDRVQDDKVVGTACLGFDPAAAPHFHVFLFSLDARRFISGVDVYSSQTGTWTYKVKRRGETLRLADHQSAVFLNGYLHLSTACAQRYPSILAVDTKGEEWRYFDTPCDMHGGDEFLGSIHQSQGRLHYASFNTTGDWDEVQIQLQVYALDDYATDEWILKHTLELSETDVHGGIIERKFDWIEMHPESNLIFFCEESDSTLKCYSMRSQEETELRTLGEGQPPYLPYVPLYTN >cds.KYUSt_chr3.23736 pep primary_assembly:MPB_Lper_Kyuss_1697:3:146781650:146783586:-1 gene:KYUSg_chr3.23736 transcript:KYUSt_chr3.23736 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPLIPRVRLGTQGLEVSKLGFGCMGLTGAYNSPLGEDAGIAVIAHAFRRGVTFFDTSDVYGPHTNETLLGKALKQLPREQVQVATKFGIRRDTFGTGTVCGRPEYVRACCEASLRRLGVDYIDLYYQHRIDTTIPIEDTIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWSRDIEPEIVPLCRELGIGIVPYSPIARGFFGGRGVTEQVPAESNLKGHPRFSAENLEKNKILYLKMEELAKKHQCSPTQLALAWVLHQGDDVVPIPGTTKIKNLDSNIDSFKLKLTEDELKEISDQIREEDVAGGRQYAFFAHTTWKYADTPKK >cds.KYUSt_chr5.39174 pep primary_assembly:MPB_Lper_Kyuss_1697:5:247946059:247946769:-1 gene:KYUSg_chr5.39174 transcript:KYUSt_chr5.39174 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGLEVSSSSTSSSSSASASSEHNRVMAPAKRPAGRTKFRETRHPVYRGVRRRGNAGRWVCEVRVPGKRGARLWLGTYATAEAAARANDAAMLALGGRSASCLNFADSACLLSVPSVVADLADVRRAAVEAVEDFQRREAASVPPAAEEPTSSDFSASSSPDNAGSSETSAHGEPDGSDMFRLELFPEIDLGSYYMSLAEALLMDPPPLATANGSSCWDNGDCGDGPADFSLWSY >cds.KYUSt_chr2.53351 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332877642:332878307:-1 gene:KYUSg_chr2.53351 transcript:KYUSt_chr2.53351 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPLQVDVAGRALAVVERDGAHDPATGRALTGSWLWDSAIVLTSHLASAEPSQLHGATVLELGAGTGLPGIAAVACLGAARCVLTDVQPLLPGLRANAEANGLSAAQADVLELRWGEEDDLVMLDREVPSVDVVLMSDVFYDPEDMPAMADTLRRLWRDGTVCRAASEVRCGVQDCVDVLREEGFDLAEVDRVTRPLLRAPSQNADFAVYRIEFRRSREG >cds.KYUSt_chr6.26516 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167956196:167956642:-1 gene:KYUSg_chr6.26516 transcript:KYUSt_chr6.26516 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTSSPLLLFSAGEAMVDYFDDMIRSEKESGCVRIWLWMEDVDKLARRATLMLEEPMVDGPAVAHHSSVGIFSDVAYRSGPVAVIEHQVLIHLDRVVDRSADASNGDVSPVSHVTYVSDINGMASESSTDIDGTVTWTYTWVLGEEA >cds.KYUSt_chr4.34788 pep primary_assembly:MPB_Lper_Kyuss_1697:4:213773249:213775449:-1 gene:KYUSg_chr4.34788 transcript:KYUSt_chr4.34788 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCSSGADGLLLVPCLDGRVASTIVAEQGGKQVRRRAVVDAHVPDFTYLVCNPLTGQVLRVPKLWGTTKALRDSRVGILTQVDRGYGLPDRFVVAMHFSQKNMLRFRSDTGKWDSTKSGWEDPRAQGLKIRQETVAFGGRLWWVDLRYGAISADPFADLTETPFVELPKASVLPEAQGHEAYQQLRKYRRVGVSEGRLRYVEVSQREPFVLSSFTLDEESSGGWTLEHRVALSRVWAADGGGHPWLPLQGNKTPQICVLDPLHANVIHVIVGEHVVAVDMDVGKVTGSSLLPPDNHRGGFIPCVLGSSQIPPSKVRNSRSRVFHRELGLSGALSAGLAGRSWIWSSARVDTSSFSVCVLSFMAFWSRSPDPIGRIGRTLCFIFFVGLLWWQELSIATNLERDISINKAVFSNYWRLVDLVLSRSAGRSLVKLCVKLSRWKLGEHGGSGEAFFNKRAMVILFFGWSSFVLLLLLAYRGGEGIESDQLKVLAGSISEP >cds.KYUSt_chr4.26316 pep primary_assembly:MPB_Lper_Kyuss_1697:4:165417921:165419309:-1 gene:KYUSg_chr4.26316 transcript:KYUSt_chr4.26316 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIAKYKGILSWDAAAAYDVSSPSSSSWEEQAFARDAAANLLVWPPRSYSCTFCRREFRSAQALGGHMNIHRRDRARLRHQDNEVQEKSNEEDFFMYKQPAPVSNPSTTTPSYRSTTIQEEERKRHKVLISMPTRGQEAIHHHDQDQDDETGRSKRRRVDQPPSAALPILMRSMATALVVAPHEGFALDHSKEWMEYLISTIGRI >cds.KYUSt_chr2.29617 pep primary_assembly:MPB_Lper_Kyuss_1697:2:182195502:182197319:1 gene:KYUSg_chr2.29617 transcript:KYUSt_chr2.29617 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFLRKFFPEVYQQMQADTGVSNYCRFNSQLLTAFTSSLYVSGLVTTFLAYSVTARYGRRPSMVVAGAAIITGATVGGASVDLSMVILGRILLGVGLGFGNQAVPLYLSEMAPPSRRGGFSNGFQLCVSLGSLAAQLVNLGTEKIEGGWGWRVSLAVAAVPAGLLTVGALFLPETPNSLVQQGKDHHRVRVLLRKIRGTDIVEDELDDIVKADRSNKTSTRSGLQMIVFQRRYRPQLVMAVMIPFFQQMTGINAIAFYAPVLLCTIGMGESAALLWVVVKQTIGVGAVVKWATLVSMFAVDRFGRRTLFLVGGAQMLASQLMIGVVMASQLGDHGEVGRGYALVLIGLIGVYQAGFGWSWGPLGWLVPSEIFPLEVRSAGQGIAVAVNFLLTTFVAQSFLAMLCGMKAGIFFFFAAWLVVMTVFIYLLLPETKGLPIEQIAELWGEHWFWKRFVGCDNPETLGNYTTLVD >cds.KYUSt_chr6.1059 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6711696:6713801:-1 gene:KYUSg_chr6.1059 transcript:KYUSt_chr6.1059 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKSEKSKLGDAPWFIPAYFKKTQASKLGDAQGIPFFINNLSARCGSLGVASFFVEVRSDTAKIPADALLPGEIVAADVKGEHDESTELQWRHKVSILTSEGCLTNCIDMCVPRRGSTPGGSSSSLLLVIHIQDIVFVAPARIFKEDPEDEDPEAEEEDDDPEEDEDPEAEEEDDDPEVEEDPEAEEEDDDPEMEEDDPEVDEEELGASIIGGGRAGRSRRWRGGGAAMEELAGAAEKILAGGGAMKYSGGTCPKNWPVEEDWLEEGEGTAEELAIWPIWPEETLAGIRSWYLLQPWLEQIVPARTGS >cds.KYUSt_chr5.23742 pep primary_assembly:MPB_Lper_Kyuss_1697:5:154617593:154622163:1 gene:KYUSg_chr5.23742 transcript:KYUSt_chr5.23742 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTISIPRLLAAISAAASSPADLRRLSHLLLNPYAPLPPLRCLNTFLMALARHRMLPDMESFTSRMPARNLRTYTTLINAYCLAGDLPAAKRHLASLLRAGLAPDSHAYTSFVLGYCRAGLFAHACRVFVLMPLRGCARTAFTYTALLHGLCGAGMLREAVSVFNGMRADRCTPDTHVYATMLHGLCGVGRTGEAEVLLAEAITDGFEPNVVVYNALIDGYCNAGDMKLAVNVFDRMDVNGCSPNVRTYTELICGFCKSRKVDRAMVLFNRMVQAGLLPNVVTYTALIQGHCSDGHLECAFRMLESMETSGLVPSEWTCSVLIDTLCKRGRVREAQLFLASVIQKGYKVNEIVYTSLIDGLCKTGNVGAGDKLMQKLVSQGFVPDAHTYSSLIDGLCRQKELSRAMLVLDDMMLKGVQPSVVTYTILIDELVRELGSEGSKKILDKMIAAGTKPDVFTYTIFVRSYCCEGRMKDAEHMMVQMVDHGVCPNLVTYNTLISGYANLGLASQAFSTFKHMVATGCKPNEDSYTILLRLLVKKKSSNDMPACSVDIWKIAEMECLQGLLEEVVKLQLPSDIDIYNCFLRLKMPAEALTFLDSLIESGYLPHIESYKHIICSLCEEGSIKTAKHVFGDMLSKEYNYDEIVWRILIDGLLQKGNVAECSSLLSVMEEEDYRPSDALFSVIAGASQQLEEELEQPAFNFADSKVVVVSGTEKGFDDGGVVWDPEHQLPYLTEDEAIQLAIDQSDLDQLAERNGIGFQLRESALAQGTAVTLPVTSERQMRSPSATAPVVWDPWPEPPPAATLPPPRLALPVYHQLPPVPRRPLEMMEVIDLTGDN >cds.KYUSt_chr2.47103 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294668225:294669805:1 gene:KYUSg_chr2.47103 transcript:KYUSt_chr2.47103 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAGDGVSRKTACVTGGSGYIASALVKMLLEKGYAVKTTVRNPDDEVKNAHLKDLQALGPLEVYRADLEEEGSFDDAVAGCDYAFLVAAPVALMPENPEEEVIQPAIRGTLNVMRSCVKAGTVKRVILTSSTAAVSSRPLEGDGHVLDEESWSDVEYLREKKIGTWAYPASKVLAEKAAVAFAEENGMSLVTLCPVVVVGGAPATKVKTSVPELLSLLSGDDDMVDKLELIEAASGSIPLVHIDDVCGAEIFVAEAEAPAGRYIVCTLNTTAIELARFLHAKYPQYELNIDHIGALPEKPRVSIWSDKLQKEGFQYKYNNLDQVYDDIVPYGKKLGILPK >cds.KYUSt_chr5.32238 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204571401:204572072:-1 gene:KYUSg_chr5.32238 transcript:KYUSt_chr5.32238 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLPAAVQLSQVAKLGSLLLLFLLAPLVPSFLRVPYFYFLFNVIVLGLGIQAGLLRAGGVIGFITATSSAPRADERSPSDQAATPISFGASPFQGARSVQQPNVVEQKAAADAPVVSVFGASIPLPVIDMKIILPIIELKTKTKEVVLTLMKKCPSTASIFFLSALDGGQAGGEEQAYEEEKKDRNKVDVDGDVTMSRQELFANTERFIGMFRKHLSTETH >cds.KYUSt_chr6.27823 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176511053:176511628:1 gene:KYUSg_chr6.27823 transcript:KYUSt_chr6.27823 gene_biotype:protein_coding transcript_biotype:protein_coding MIILDHFLDWPVRLKIMKEASPGLSYIHDVCKPHIVHRDIKSSSIILDKQYKAYVADFGLARLLHPNQTHVITELVGTMGYIPPEYGQAWVATLRGDIYSFGVVMLELLTGKRPVPVLSTSEELVPWVLQMSSEGRQVEVLDPTLRGAGYEEQMLKVLETACKCVDHDQFRRPTIMEIVSCLASVDADQQM >cds.KYUSt_chr3.27549 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171939742:171941091:1 gene:KYUSg_chr3.27549 transcript:KYUSt_chr3.27549 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTPVQPHVLLVATPFQSHVNPLMRLGRRLAAKGVLVTFTTALRAGIRLDEVSGVDGFRVECLRGGDLWEPDDPRFVDANDMARHLSAAGPAALEALIRREAAAGRPVTCVVANAFVPWALPVAADMGLPRAMLWIQSCALLSVYYHYLHALAPFPDTDDASGSVAIPGLPSLAIDELRPLLIYTSSQDMWRQMVVTDLGSVREKVSWLLVNTFDALEHETIAALSEHVPVIPVGPLLEPETAEADGCIAWLDAQPPRSVVLVAFGSLVKTGDEETAEIAEALASVDRPFLWVLRDENRALLSKDTLAAATSRGRGKVVAWCRQARVLAHGAVGCFVTHCGWNSTTEALAAGVPIVACTRWSDQRINAKFLVDVYRVGVRSPTPVSRESLRRSIEEVMGGPEAEGFGLRAASLKKRARAALADGGSSDNGVQAFVDQISELARSGGG >cds.KYUSt_chr7.21428 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132897416:132898114:1 gene:KYUSg_chr7.21428 transcript:KYUSt_chr7.21428 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDFCVADKTSQVLVNGFACKDPKAVSVEDFFFSGLHMAGNTSNKQGSAVTGVNVAQIAGLNTLGISLARVDYAPYGQNPPHIHPRATEILTVLEGSLYVGFVTSNPDNKLFAKVLSKGDVFVFPQGSIHFQFNYGTNSAVALAALSSMNPGVITIGNAVFGSKPAISGDILAKAFQVDKMVVDRIQAQF >cds.KYUSt_chr4.50324 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311742413:311747478:1 gene:KYUSg_chr4.50324 transcript:KYUSt_chr4.50324 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAPPRGSDVDFADVFGGPPRRSSGSERVRRSSLDSSASRARSGAEERPVFGDRTSSGSASRARSGAESGLGDRPVFGERTSSERRQLGQEFYRDIFPGGEPMSPRRGGTSGDRDVFGAPASPGPTTPGRLRSSFSMKFSRGVDNSVPTSPSCHISNKNDDDTPYAYSVPASPNSSTSSFLAQGASQQDSKKNPFSWHRYPFLSRFRSQSGDKKDTSQYDNSMASECEWTPVGSESFTNSNKFHFSFYKWAGKGALLMLPASVQEKDGNVIGIRSFPQVVLQGIDLIDEEESMSTATAASKSQTDYEDYKSGKDDLFERKHSINSTTKDEAISLVFDEYMQGAKTKETGTKSGTSNAKSNVSAASPSTQRSRPPSGEKSRGTRVLKDFIKIFSPESSPKRKKAPEAQDQISSGKNGSKGGVEDKFSISGLETDEDIKTDRTTNRNAFSAAPSQMSEVQSKTEKPVLTVDNEMHTRTGKPAGKEDVKPLSPDEEKVCDTIGHEESHMEDLEGCVVEQFEEGQILQDDKEKEQIKISESKIREWSRGKEGNIRSLLSTLQLVLWPESGWKPVPLVNIIEGAGVKKAYQKALLCLHPDKLQQRGAAVHQKYIAEKVFDILQEAWKEFNSVSFG >cds.KYUSt_chr7.29914 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186252209:186254694:-1 gene:KYUSg_chr7.29914 transcript:KYUSt_chr7.29914 gene_biotype:protein_coding transcript_biotype:protein_coding MDINVGPTTASAGWKTPLSMVLVQLFNTGMILLSKVSIGGGMFIFSLLAYRSFFGAVFILPFALVYERGKWRDMSWRAFGFIFFNAFIGYSVPLSLYYYGLRDTTASYAVIFLNIIPLVTFVISLVLRMETMQICSAAGSLKIVGVFLSVGGTMLISLYKGKTLHLWSPIFEYHKDKQLEVASNQLRGTIILVASSFAFACWYIIQSKVLKVYPHKYWSSMLTCLVGGFQTALVGIILRTDKSAWKLGWDLNLVTILYSGALATAARYSLNSWVVAKRGPAYPPMFSPLSVVFTVVLSSVFIGDHITIGSILGTTTVIVGLYVFLWAKSKEVWQA >cds.KYUSt_chr7.31122 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193780772:193785003:1 gene:KYUSg_chr7.31122 transcript:KYUSt_chr7.31122 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPASTHGDDGDNRMGPVPTSGTRVCGLMEKEKDLLLSPELQRRKLVSDKCILLLLLDFWSPFTIVTVGIAILLVLKRSSSAASRLWSTQLLDKQSMPTMLHLSQAITGKAHNVAALPLCPLWHSTGGAQAAS >cds.KYUSt_chr4.26074 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163934328:163936742:1 gene:KYUSg_chr4.26074 transcript:KYUSt_chr4.26074 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRFRLRAKGGDGGNGCISQRRSRSDRLGRPDGGDGGTGGNVILECSRSVWDFSNLQHHTKATRGGNGLSKKQIGTRGPDKVAQVPIGTVIHLVRGEPPSFTVNAPTRSLDPWDIPDAAEDSADSSNQKNNKDIIYGNEAERGINNQWEKKTYTSSCSKTEFSNAEDFNESNTQYQVEMDEKDQSDEDDGEFWEDEDETEEEDEDEDADADEDREEEDDIQYSVAEMTRAGQRLIVARGGEGGLGNASIGNDVRLSKGDRQQKIARLSAGEPGTETFLVLELKSIADVGLVGLPNAGKSTLLSALSKARPEIADYAFTTLRPSIGSLTYDDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLSYVLDLAATLNGRKGIPPWEQLHDLVVELEHYQEGLTKRPSLIVANKIDEEGADAMYEELKRRVQGVPIFPVCAILQDGVPDLRVGLRDLMDASAPQGVDLSKIIVD >cds.KYUSt_chr1.27902 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168240090:168240965:1 gene:KYUSg_chr1.27902 transcript:KYUSt_chr1.27902 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYVFREYIGAQITGVRFSDVPVNAGLSFHFILAFAIDYMASKSSSPPAPTNGVFTPFWDTANLSPAAISATKAAHPNLSVMVGLGGDSVQNTGVKVAFAPTSVDSWVANAVSSLSTMINRYGLDGVDVDYEHFGGADVDTFVECIGRLLTQLKARFPNIKTSIAPFEDVEVQKHYKALWSKYSGVIDYINFQFYGYGANTNVDYYVKFYDLQARNYPGSGAKLLASLETGNITQQLGLLSPEQGIAGAKELLRQGKLPGFFIWSADSSKASPYRFEFETKAQQIVANH >cds.KYUSt_chr6.31470 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198965641:198966939:-1 gene:KYUSg_chr6.31470 transcript:KYUSt_chr6.31470 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPSMAPSALPSRTFHRPPLPSPAPPTPPPPRETAVACRLSRRRVAAQLLLSAGFLTAVCPLPALAARRGRQTVRPEDYASTPEGLKYYDLVEGKGPTAEKGSTVQVHFDCIYRSITVVSSREAKLLAGNRSIAQPYVFTVGSLPGKERKRDFADTANGLYSAQASPKPPAAMYMITEGMKVGGKRRVIVPPELGYAKKGLNEIPPDASFELNIELLQVIPPAEN >cds.KYUSt_chr3.31531 pep primary_assembly:MPB_Lper_Kyuss_1697:3:198082999:198086805:-1 gene:KYUSg_chr3.31531 transcript:KYUSt_chr3.31531 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVNRIGAAAAACAVLLLAVACHAGQTSEYRRQLGQAMDMPIDADVFRPPPGRNAPQQVHITQGDHDGTAMIISWVTTIEPGSSTVLYGASEDNLNCSAKGKHTQYTFYNYTSGYIHHSTIKKLEFDTKYYYAVGTEETRRKFWFRTPPKSGPDVPYTFGLIGDLGQSFDSNVTLAHYETNTKAQAVLFVGDLTYADNYPYHDNTRWDTWARFVERNLAYQPWIWTAGNHEIDFAPELGETKPFKPFSQRYPTPYKASGSTAPYWYSIKRASAYVIVLASYSAYGKYTPQYKWLEAEFPKVNRSETPWLIVLMHAPWYNSYNYHYMEGESMRVMYEPWFVKYKVDLVFAGHVHAYERTHRISNVAYNIVNGQCSPVPDQSSPVYITIGDGGNQEGLATNMSEPQPSYSAFREASFGHAILDIKNRTHAYYAWHRNQDGTNVAADSLWFTNRYWMPTDDSLDDSQ >cds.KYUSt_chr2.42291 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263445337:263446656:1 gene:KYUSg_chr2.42291 transcript:KYUSt_chr2.42291 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPGGGRLALFCVLAVSCIALAARTSDATGRDAGVGTDKHPIRYAAPPPPPMSSEPRACEFENLRLYKAYLVIKKFKKTVTRDPKGVTSTWTGTDLCGSYKGFFCERPRNIPDRTVASVDFNGYQLHADSLQGFVDGLPDLALFHANSNNFGGALPNLNKLQYFYELDVSNNRLAPAPFPTDVLGLANATFIDIRFNSFFGELPAGIFSSFPEVQAIFVNNNQFSGNLPDNLGDSPVNYLSLANNQFTGPIPASIAHAADTLLEVLFLNNMLSGCLPYELGLLAQATVIDAGTNRLTGPIPCSYACLRNVEQLNLADNLLYGVVPDALCRLATDGHLANLTLSGNYFTWLGESCWDLISEGKLNVDRNCIPYAPNQRSYEECAEFFHENWTKMTTCPVNFHVPCEDHHEGYGSVDAGREEAKAAEEYQYRTYSALKP >cds.KYUSt_chr6.8948 pep primary_assembly:MPB_Lper_Kyuss_1697:6:55173068:55178920:-1 gene:KYUSg_chr6.8948 transcript:KYUSt_chr6.8948 gene_biotype:protein_coding transcript_biotype:protein_coding MERSYTSLSHDSSDGVLRTGGDERREDNDATSQKMIVGVIPTGDEPDGRDEVEPDGRDEDTSNAEQDHTQGEQQEYQTKRSRWPQNLKVYTRRQRAENDQVQREEEITLSQNPEAQVQLDLHDSSSSPSASDGNDDDGIACLKKMLAKSFEVKDLGFLHYFLGIEVVYGPQATTTIEQNHRILAESGDPVDKHQYQRYLHSEAGQVQALYAMPLGLLYRLDKLTLYAAPRPLSPLYPRHFHRLPPQPRLLPIAARAYGSQ >cds.KYUSt_chr5.18716 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121079368:121082702:-1 gene:KYUSg_chr5.18716 transcript:KYUSt_chr5.18716 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSSRRDRDRDRDRDDDRDRDRDDDRHATRDRDEDRHRRRRHDAETHHHKPRDAEDDRRHHKRGGDDTSAAAVADEDRRRARRRRSRSPSESSPPPAKRDRSSSRAPRDSADPADREQQPSRKRKEHEGGGRRDGDEPAADREGGKRARAVAVDPAPRAEERPRRERRRFDDGDENGDQKRGRDGSSREHRKVEPGANGGSHSGAAPNPGAQQPLNSAPAVAVPSADPVASKVSSITTTNENGGVSIRSDQVTGKSSTDGTPNSAAGRSSNLSLDALAKAKKALQLKKELSEKLKKLSGLNNKLGTALSDAQTPKKETQPVSGSSASSGHAGAASELPASIASGMAAAAAVAAGISGLTNIPNLDAVKRAQELAASMGFRQDPQFAPVINMFPGTSSELAVSQRPAKAPVLRLDAQGREIDEHGNVISMAKPSSLSTLKVNINKQKKDAFQIIKPDLESLAKSTVHFDERMGINPTKLLRPKRPGFVFVEEGKLTRQAELQRIKSQFGDAQAKELKVKQAQLAKAKVEVDMNPNLIEIAPGGRPPKQKQKEEIPDIEPWDSKILISATYEDDISLEKLNMDRITIYVEHPEPLEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGAEATQDPTRMEMEIRTAAAEREQAHVDRNIARKLTPAERREKKERKLFDDPNTLDWIVCVYRIRDLSHPQTRFKVDVNAQENRLTGAAVIADGISVVVVEGGKKSIKRYNKLMLNRIDWAAAVGGEDDAEDEPDKPVNSCALVWQGSVGKRTFTKFSVHQCRSEAAAKKVFADASVPHYWDLAVNFSEDSS >cds.KYUSt_contig_662.154 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:952868:955579:1 gene:KYUSg_contig_662.154 transcript:KYUSt_contig_662.154 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLGKCRDIEADNAVELREKEFATLKGYVLDKDSKIVRRAVISIVGPSGIGKTTLARKLHNDRGVREHFKVQAWISLPARIRFEKYLEMMYSQVSRQVPAEDVHGDVQTKLEQLLKKHEYLVVLDGLVDMSDWNSLVRLLPDGNPKSRILLTTQLNVKEIRPSIKQIAPIVLQPLLGSTSANIEKLLCRRGFGAGLAGVRAPWRSKYSKRALEISAGLPLALVVLCGLLRTKLSYGEWEEVFQQLQTPKGQPVRCLWALAFEDLPHHLKSCFLYFAMASDNILLDPDRLVRLWVAEGFIAPKKGKTLEEVGLGYLKELISRGLVQALKDGRGGGIKFVAVHSLLHAFAESEAQESGLFEMHHHAHILNPHSARRLALHNYVDSYVDIPDHFPKLRSLFCDFFEEDQQQGGSGGEHQHGWAEWFLRACGSSSSESPATRLHGLSFIRGSRFLRVIDLYGMLLARVPNEMGDMIHLRYLGIRNCKLEALPSSISKLDNLQTLDVRRTGVQHVTDEFWEIQGLRHVLADGLRLTSCPGVRLRHLQTLVGAVPTGHTWGARSCPLDSMVYLRSLAMSLVCERHVPALSQALQKMELLVSLSLSGDLLPSGVFTSRCSRRLEVMVLDGRLEANLGDDPFFLPSLGMLSLRRLAVKQDFIDKVATLPNLTEMELLDDSYSGVHLVFPAEGFQSLTRLKLLNLAQLELALVPNSTVLTRCGCPVLKVEHPQQVAAHDPADKQMLVRRELYTSYKNEMFSGHQEPEFEDELQYIHQEIEEVAVGDATEDQMLVRHGMHVRRGTEGLDDMEHLHGFAAGDPAEDEMLVRRGMEGLEDMEQFHGFAAGDPAEEEMIVRCGMESFEDMEHLHGFTAGDPADDEMLVRWGREGLERTRKTKHLQQIDLHDPAED >cds.KYUSt_chr3.29288 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183222689:183223467:-1 gene:KYUSg_chr3.29288 transcript:KYUSt_chr3.29288 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIRHSEAKLTVYVHPSNADDVRRAVARQLNTLLFSYEDRFDGVLLAHEFQVEGTKAKLMDGLVPYFGVPVHANMLMFSPQPGMILAMPQVLSSN >cds.KYUSt_chr3.37050 pep primary_assembly:MPB_Lper_Kyuss_1697:3:233070666:233076736:1 gene:KYUSg_chr3.37050 transcript:KYUSt_chr3.37050 gene_biotype:protein_coding transcript_biotype:protein_coding MCWIKLVRVSMILSLERDIEFIIDEKGKCPIVSQVTNNGQTCKEIVVPWRKPATGWAKLNFDASFLEAVETAEAIAGLEGIRAIIPHYAGPVHLENDCASLISELCAIGPSKSAVADIVKDMKLLIRSFPDSLISKVNRASNQVAHELARLFFVRRIGYNYGHAFSRRTSGILWILVATDYWRSHGTAISRLLDGATLKESKFEDG >cds.KYUSt_scaffold_2697.88 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:577193:580017:1 gene:KYUSg_scaffold_2697.88 transcript:KYUSt_scaffold_2697.88 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGALAVGTGYGGSEITFTVVMSCLTAASSGLILGYDIGITGGLMQMESFLQAFFPEIVRKMSNAQQDAYCIFQSQVLNAFVSSFYLAGMLASLVAGHVTRTLGRKNSMLIGGLLFLAGALLNSAAMNISMLIIGRILLGVAVGFTRLSAPLYLAEISPARWRGAFTSTFHFFLNVGFFMADVVNYGTNSIPRWGWRVSLGVGIVPAAIIIVGAALIPDTPNSLVLRGRADEARASLRRIRGPAADVDAELKDIIQAAEEDKRYESGALRRLGRREYRPHLVMAVALAVFFELTGVTVVSLFTPLLFYTVGFTSQKAILGSIITDVVSLASIAAAALAVDRHGRRSLFFVGGVVMVVSMVAMAWIFGAQLGTSSGMPRGYAAAVVVLVCVYTVGFGVSWGPLSWVVTSEMFPLEVRSSALGLSGAIGGLLSFAQSQSFVEMLCRFKYGTFAYYAGWVVVMTVFVAVFLPETKGVRIESMGAVWAQHWYWKRFVKPAVPAPAKQSDGPA >cds.KYUSt_chr2.43785 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272523582:272527193:1 gene:KYUSg_chr2.43785 transcript:KYUSt_chr2.43785 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAAAAAALAHDADAVESGNLLRRRNVGSDADGEQREEEASVERAFLDKPVPTWTEQLTVRAFVVGFILSILFSVIVMKLNLTTGIIPSLNVSASLLAFFLVRLWTSAIERMGLLKQPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFAMSETIAKQATEANDAMNIKNPQLGWIICFLLLVSFVGLFALVPMRKVMIVDYKLTYPSGTATAYLINGFHTPQGAELAKKQVRTLGKYFSLSFVWAFFQWFYTAGDDCGFNSFPTLGLEAYKNRFYFDFSATYVGVGMICPYLVNVSLMIGGILSWGLMWPLISTKKGIWYSASLPASSLHGLQAYKVFITIAVILGDGLFNFMMVFYKTVKAFVQMYKNKSKSLPVSDNGTPVATVEAESFDDKRRNELFMRDQIPKTIAFGGYVILAVVTIVCLPHIIPQLKWYYILVAYIFAPILAFCNAYGCGLTDWSLASTYGKVGIFVFGTWAGASHGGVLVGLAACGVMMNIVGTAADLMQDFKTGYMTLASPRSMFVSQVIGTAMGCVVSPCVFWLFYKSFNIGASDSAYPAPYTIMYRNMAILGVDGFSVLPKHCLTLCYIFFAAAFAINAIKEWLPKKVSKFIPIPMAMAIPFYLGPYFAIDMFIGSTILFCWEWMNKADAQAFAPAVASGLMCGDGIWALPQAVLSLANVNPPICMKFLSRAANAKVDAFLGN >cds.KYUSt_chr3.23099 pep primary_assembly:MPB_Lper_Kyuss_1697:3:143006773:143008053:-1 gene:KYUSg_chr3.23099 transcript:KYUSt_chr3.23099 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKTGMDVEAWIKDAATNKSAWRAGKVIQGNGHTYTIKWFDGGPDTAGIKRKFVRPSPKPDVQLPKDLAAGDIVELFDSNMWKWVEVVRVGDRQLDVKFVDSTNVFTADRSLLRPRLLYGEEGWALTHKVTYPSSSPSRSPSLLDSVACAQLTAPCPVSSPLQDDQIPIESAVPSRPIAGKSIKRKATGPGIVNGAFKRSNCTVDSNIVRDVKRFQGDANKLFPKREAPAGRYNNNIEVMDVHPSHCIKKREETGYNADIFLARRTDCDKDNGVRKSDTSSSTSDSSSSCSSGSNNRGDDCADYATVEHCQEGQEPDIMLLPRCNEEEQGSDNRRLQHYQANEVQGVMKQEEQNDRVHARELEAYLSVMKAFHATGSLTWAKTGLLSDLRLQLHVSSDEHLQIIWSLNGKKKPADGPRNGSSVCQ >cds.KYUSt_chr4.53165 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329275265:329277140:-1 gene:KYUSg_chr4.53165 transcript:KYUSt_chr4.53165 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQLTLRVAAPPLVLVAMLLLSFPFTGRCAVAEQPGADESSLDTGVAGDVVGPNFTQSAASFGQSGVARATWYGAPNGAGPYDNGGACGFKNVNKYPFMAMTSCGNQPLFKDGKGCGACYKIKCTKHKACSGRTETVVITDMNYYPVAPYHFDLSGTAFGKLAKPGRNDELRHAGIIDISFTRVPCEFPGLKVGFHVEEGSNAVYMAILVEYENGDGDVVQVDLMESGRRGGGRWTRMRESWGSIWRLDSNHRLQAPFSVRIRNESGKTLVARNVIPKNWRPNTFYRSIVQYS >cds.KYUSt_chr4.33410 pep primary_assembly:MPB_Lper_Kyuss_1697:4:204880587:204889995:1 gene:KYUSg_chr4.33410 transcript:KYUSt_chr4.33410 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFLATATTTPASSSATTSTSISAAPASSSTTSISAASSTSPASTISSSTSPTYAVSTTSIVWTVSSFQVRATPSTGASYAIAVGPAKAAASRDDGQECNNSDHGGNCNHENLERLLHCVLSDALYDQRPELWPFTQIWPFPVLPCPGSPEGYRYGEHYPHDIANYPHSRPELTIKYPKCKGGQCDEDIPTSLLPPSLQDEDEAVKLKSNEVRIGPMTRARAKLLKQQVNLFLNDTLIDENFILPKSYYLCMIRYEEEPSIARGGEEQLDKKLDMELDVKTSHGRAREEREACARGGVLQAGPRPGQTGRGTGPPGRKPDFAPVPSGQHPGNADPSSGFRPVTDPV >cds.KYUSt_chr4.1973 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10816173:10821115:-1 gene:KYUSg_chr4.1973 transcript:KYUSt_chr4.1973 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGFDLLRLLPPRLCGVAEAKETCCKWQMVSYSSRLLAQRLMQFMGGWGYTSVSGQVERPTCKEGKKLRLEVYWFWILTLELKVAGMVRMGGIWSRNYDLLHSDDAEDKNTTMPRRLKSTHWLFFYTAHVHQHVYVKKRRNQHRKSSPVRGAHAALRGYILLYGPFLAYFSRKLAVGFSLIPGSSSAVETPVRFFLRFFLCIDKEDVNLMKGLNFDAYRFSVSWSRIFPDGEGKVNEEGVAYYSYNNLIDNFLHKGITPYVNLHHYDLPLALEKKYRGWLNAKTLELFADYADFCFMTFGNRVKNWFTFNEPSRAALTWL >cds.KYUSt_chr4.43576 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270240498:270242348:1 gene:KYUSg_chr4.43576 transcript:KYUSt_chr4.43576 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTHCRRLLDVQGRSGGGSLLQSRWQSSLPQLDPVDRSDEENSGGDIDWDNLGFGLTPTDYMYVMRCPQADGSFSRGELSRYGNVELSPSSGVLNYGQGLFEGLKAYRRADGAGYMLFRPEENARRMQAGAERMCMPAPSVEQFVHAVKQTVLANTRWVPPQGKGALYIRPLLIGSGAILGLAPAPEYTFMIYASPVGTYFKEGMSAIHLLVEEEFHRAMPGGTGGVKTITNYAPVLKAQMDAKSKGFADVLYLDSVHKRYVEEASSCNLFVLKGDIVATPATAGTILPGITRRSIIELARDCGYQVEERLVSIDDLVGADEVFCTGTAVGVTPVSTVTYQGTRYEFRTGEDTLSRKLYDTLTSIQMGLAEDKKGWTVAID >cds.KYUSt_chr1.33827 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205538796:205544197:1 gene:KYUSg_chr1.33827 transcript:KYUSt_chr1.33827 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCSSVFRRDAGRRRHAGRTALGLLGRIWCCLLVFLLDAELRWSEPHAAGREAAGYSINKVFPSSSSVVAGEVSTSSSELHGGDLKRNRAELRGLVWGCSGVAMLKIIGEHSRRPQFRCPTQLTNRWSLSKPALKASSTSKRRSPIELAAALPVLLVPSGFVPGTGEDGRGLSSCFSGKCKGPDCFFQNICKVPHVQQAFTWDCGLACVLMVLRTLGVDCCDGIAHLEKLCRTTSVWTVDLAYLLHKFSVVFSFFTVTIGANPQYSAETFYREQLQEDIDRVDELFGKALDAGISIQCRSISAYDIAFLLLSGHCIAIALVDKSKLISSWMNDVHDVQQFDEDSDYMGHYVVICGYDADACEFEIRDPASSRKREMVPMKSLDEARKSFGTDEDILLVGIVALGPAL >cds.KYUSt_chr7.835 pep primary_assembly:MPB_Lper_Kyuss_1697:7:4498724:4499981:1 gene:KYUSg_chr7.835 transcript:KYUSt_chr7.835 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTQSTNQALLDAQLNLLDNTFGYIKSMALKAALDLRIADAIEHHGGAATLPQIVERVMLHPSKIPSLRRLMCVLTVSGVFGVQPTDGSSELLYALTPTSRLLVGPRNLVSITAMSLSPHFVASYLELGTWFQQELPEPCIFKLAHGEPLWKLAEHDATFDALINDGMVSDTSFIMDIAIKESGEVFQGITSLIDVAGGLGAAAQAMSKAFPHVEYTVLDLDHVIAKAPTGTNVKYIAGDMFESIPPANAVFLKWILHDWGHDDCVKILRNCKKAIPPRDAGGKVIIMDIVIGAGQSNVKHREVQALFDVYMMIINGIERDELEWKMMFSEAGFTDYKIIPVLGVRSIIEVYP >cds.KYUSt_chr1.39105 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239000279:239001916:-1 gene:KYUSg_chr1.39105 transcript:KYUSt_chr1.39105 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRDPLPWKPGVVTVHGRRDRQQTTASSCTEPASWPMTASPIVDGEDLHWAAIALSLDSAPTQLIRGDTALWLMGDGNHLTSSTAAQSEVHDEKAERGAVREDNCCTEKANEQLATLSIKGSPRHNEESRSRNVNANQDFSSKPNTETMDLDPLPFQPSRTKNQPPNSTTQSPARTEPSDRWLKRLRHDVADPHGPGSKRPESVDCCPVGGASRMPNTDIADHAEARSVHCWVGRLCVGGGSPVPRGDPDQGVRAAAKPGVATGEVGVGGHFPSIKAMAMMGHVMSKVRPLDRERKGPCVMWKTEGERAT >cds.KYUSt_chr6.13770 pep primary_assembly:MPB_Lper_Kyuss_1697:6:86220933:86241284:1 gene:KYUSg_chr6.13770 transcript:KYUSt_chr6.13770 gene_biotype:protein_coding transcript_biotype:protein_coding HPENRSTMTSIAEWPEWILEVMISNHEMGDNKDSDGVSIYELEDVIHKFLIIMLEHSVQQKDGWKDVEATIHCAEWLSMVGGSSTGEQRIRREESIPIFKRRLLGSLLDFSAQELRVQSEEGTTVAASGVAAEVPREAKMQAEKAAHLSVVLAENAIVLMMLVEDHLRSRSQHFFTSCLIDSSVSPASMASSRSLSRTGSEPLEAGGSRQSLSSDAGGLPVDVLASMSDANGQISAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRSRLWYGVCIPSKSNIFGGGGSGWQAWKSVVEKDSSGNWIELPLVKKSVAMLQTLLLDSGFGDGLGSGGVSGAGMGVMSALNQLLDSDQPFFCMLRLTLISMREGDSEEDNLFMRNISMKNDISEGLGSQSGSAIALDGNSCSSARKPESALLWRVLGPVLNMPVSESKRQRVLVASSVLYSEVWQAVSSDRKPLRKKYLELIMPPFAAVLKRYRSVLAGIHELTSSDGQNPLVVDDSPSAADALPIEAAVSMISPGWAAAFASPPVAMALAMIAAGASGAETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQRPLDTTPTMSSSAPKDKASAKAAALAAARDLERTSKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMGAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERQTQADILNRRCASVGVRAWRRLLHCLIETNRLYGPFGELLCTPDSMFWKLDFTECSSRMRRFMKRNYNGSDHLGAVVNIEEQKLLCDGVESSPCHTEEENTQFINDLPRSSLVIVAEAMSVDIGHEDAEQTETETICSSVDDQLRNSLQPDPFKGSVDSRSSDFSGVRNLVRSTVIAPGYRSGEEDKRIIIELPSIMVRPLKTVRGTFQVTSKRINFIVDDHISDDDSYMDDVASTSGQYDQQEKDRSWFISSLHQIYSRRYLLRQSALELFMVDRSNFFFDFEDTEARRHAYRAIIHTKPPYLSDIFLATQKPDQILKQTQLMERWAKWEYPIFPWVVSDYQSKTLDLENPSSYRDLSKPIGALNPARLMKFQEHYSSFSDPIIPRFHYSSHYSSPSTVLYYLTRIEPFTTLHIQLQGGKFDDDDHMFSDIIRTWNSVLEDMNDVKELVPEMFYLPEVFTYVNSVDSGMSHLIKKLGSVQLPPWAENPVDFIHKHRKALESDHVSAHLHEWIDLIFGYRQRGKEAVMANNVFPYATYEGTVDTDKIADPVQRRTTQDQIVNFGQTPSQLLIVPHIRRRRLADVLQLQTIFRNPSEVRSYSLPNPDQCNVPASAILVSNECIVVVDANVPAAHVALHHWQPSTPDDQGTPFLFHHGRNAINSSGGAIRRIFKGPASAEDYHFPRAIAFAASGIQTSSTVVITCDKEVITGGHADNSVKLISPDGARTIETASGHIAPVTCLALSPDNNYFVTGSRDTTIILWRIHHMSSSHWKNAPEPPPSTPTTPSSPVANSSSSSTIRILETSKKRRIEGPMHVLRGHLGEVTCCSVSSDLGLVASSSHMSGVLVHSLRTGRLVRKLDVGEAHLICLSSQGIVLIWNEPEKRLSTFTVNGISMATSVLSPFSGRVSCIEVSKDGHFALIGTSLSGSSCVCGDCTATDEDYAMEKHGDDEDVAESKEMGLSVHVPSICFIELHKLEVIHMLKLGEGQDITAVALNEDNTTLVASTADKQLTVFTNPSLNSKIADQMLHEGDGLL >cds.KYUSt_chr6.19016 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119728004:119732242:-1 gene:KYUSg_chr6.19016 transcript:KYUSt_chr6.19016 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSVAPAALRCPGIHVGRGLLPRSAGDPCPRSALPLRLDGLSLRKCRRAGAGALLATRSPGLGNAENLRESSSLSRNWDLRRQIGDEHGVLIECRDVHKSFGDKHVLQGVSFKIRHGEAVGIIGPSGTGKSTILKVMAGLLAPDKGEVFICGKRRQGLVSDEDISGLRIGLVFQSAALFDSLNVRENVGFLLYENSNLPEERIGELVTDTLGAVGLKGVEERMPSELSGGMKKRVALARSIIYDDTKETIEPEALLYDEPTAGLDPIASTVVEDLIRSMHVTGEDALGKPGKIASYVVVTHQHSTIRRAVDRLLFLHEGKIVWEGMTEEFTTTTNPIVQQCTEVPWDPASRQFSTCDYQAPFQGWRRMLALGVLTRSSDCQGTAIPLQKPESAHMPERFVVHFARSCRELTQDQVRYGFRKDINKRKLLAYQSRQ >cds.KYUSt_chr2.45928 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286654129:286657425:1 gene:KYUSg_chr2.45928 transcript:KYUSt_chr2.45928 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIGGWVASALITKLVEKVCSYAGDQYEYQRQDTKEKLRILEKNLSSIQTVVHIAERVQAKNTVMGSWLRRIKDAACQAEDVLDLFDYRVLQAEAEDMGKANSVASAAAGSSSSSTTTITAASTTTSSSSGSTVNQSVCALKRFLFSDEDVDELISVVDLFADIGSTMQTFLGLVKLEDSRPEQAVQWRTTTSIPGSRKFFGRVNEEKHLKKLLVQINEQSSQPYDVISIVGIGGVGKTALVQRVYNHFRVTCHFDFAVWLHVSDKFDVGRLTKEMAQSDNLGMSADLNSISSLDQAQRMLREKLNGKRALVVLDDVWNELSSQSENLCKPLQFACKGSKVIVTTRSKNVASINGATEMMYLHGLEEEEYWGFFSECAFGDANPSEYPQLENIGRQVVKRLAGSPLAAKTIGGSLKLELEENHWRAVSRSKLWQLEQKEDDIISALGLSYEHLPDHLKQCFVYFALFPKKYHLRGDVLIQMWRAHGFLSTQTPDEIAYRYINDLLQLSFIEKVANKEDHYVVHDLLHDFAESVSNGEHFRIEDDFHVCIPRNVRHIYVSASNISKVFISLQEFKELKKNVRSLIICKAEEGTSRRGITSSNFNHVLEETLQQLRSLRVLVLSNLDGILPKNLDKLVHLRYLNIHENGSFISVPKSIFKLYHLNGLDLKIQEGGMMKKELQEGLSMLTQLRYLKAPKEIISGIKLIGRLTSLRELEEYEVKSDMKHHICQLKELNELRGMLNIKNLQNVRCREESSEAGLVKKENLNKLTLCWNHHKHSMNYTDHEGVLEGLQPNPNLRELSVRCYMGINSPSWLSCKYLPNIHCIELFSCHHWKTLPPFGSLPFLRILKIRHLTAVENIDAGFYGDAPVAFPSLEKLLFEGMEKWKGWSGIDSSQQVFPRLRDICIQKCTQLMGPLPLQSLKKMQISVSNSTYEKITSCESENTTNVNTSFHVQLSLDHLGLLFSCLPTSSLANVHMLDISSSHLEIFNMDQKEWLQQLTSLEELRFTDCLKLRSLPSNMMHLTSLESLYIETCPKLESFPQTGLPLSLKMLTVIKCRKTFSELCSEINTSTNNTIQQVIVREPIACVGTKRRRAS >cds.KYUSt_chr7.497 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2736717:2737949:1 gene:KYUSg_chr7.497 transcript:KYUSt_chr7.497 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSQFVAIPRTTILAPHPKSLINVGEIVNCLLDMAGPRWKKGKDGKDFSALAAASPMSSIVAELQSSLKRSTLLATLSSRGGDAVLAVNPQQATLLNRAAFGRSLENAGAEEHWFQLGAEEVFYLCHALKCVSVSKSEGEMGLSEGELWHHLCSASESFPEMYKAYAHLRSKNWVVRSGLQYGTDFVAYRHHPALVHSEFAVVVVLEGVEFGGRCGRLKVWSDLLCALRASGSVAKTLLVLTVSSSTCELRSPDCLELLVVHERTITRWIAQQCREQRFKPSREEANKEDQGHTRETVVLNYWGVILGFTVLSSLLVYKLRF >cds.KYUSt_chr4.17779 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111360758:111367939:-1 gene:KYUSg_chr4.17779 transcript:KYUSt_chr4.17779 gene_biotype:protein_coding transcript_biotype:protein_coding MRETHYAAGLRTTGTSTSHAATTPHHVGPPTSPPAGAEARRNEQPTTTCRSPPAEEEAGERGRHPAHPRRTAAVSRRRRCHIWEEPELRPPPRCFEPPGVQMGRRARPPTDDPEQARAGPQEPIRARRRAAKPHRTPLHHLPPRPRPDHGPPAARSPAGAQPPAHRARRTSPRPGELLLARGRGRGRECHAATFPGGARGFAGPPPAAAKRGRGEEGREVAAAGFRAARGERRGDTAGGLLGGKRCYSAATKGGLSISGVSDIIAVASGKGGVGKSTTAVNIAVALAKEFKLKVGLLDADIYGPSIPTMMHLDEKPEVSEDMKMIPVENHGVRCMSIGFLVEKDAPIVWRGPMVMSALEKMTRGVAWGNLDILVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCDEKSYIFGKGGAQRTAEDMDMKFLGEIPLEIDIRTGSDEGKPIVISSPNSASAQAYLGVAEKVTQRLKELAEERLMGPEISL >cds.KYUSt_chr1.22223 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131402536:131404056:-1 gene:KYUSg_chr1.22223 transcript:KYUSt_chr1.22223 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMSRPDDGHRSFFPVGNPFRVILPGGPHLPRKLQALLTSYEDALASSLRKLKPENAAEVLTLSWMMRAVDCLSELHASIVTLITELELPVSDWDEKWVDIYLNSSVKLLDVCIALSSELARLDQGQLLVRYVLHLLDTGAGLPSLEQLKRAELSLKEWMDKVGAAGPRLDSCSTALQELAGSLCLMKVKNSAKGKVLMRALYGIETVTVFICSVFVAALSGSPKPLVDLRVPRKFGWSQAFGDLHVTVSRELGRKLSRECAAAVKELEEVEACVRKLHELTRTAQLKEKNVNLACDVIHSVEVVMSDSTSKDGGLEDNLKLADNTGRECELTMPEITTDEGTREAEMTLKQDTRTMGHGSNEFIMLESISQHNNINKTNGISDENSTVVPERTSAPEGSKQPMVPERTSAPEGSKQPMVPERTSAPEGSQQPMVPEKTSAPDGIDQLLDCISSMSKSAEGLRVGLDSLSKRVGDFFQIVLTGRDALLCNLRMSDAASKVAEVRS >cds.KYUSt_chr3.31348 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196909507:196910538:1 gene:KYUSg_chr3.31348 transcript:KYUSt_chr3.31348 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLAKATIALAILVMLFLPAAMAAASFDATRSQHLPLPRGTVRGPESVAFDGQGQGPYSGVSDGRVLKWNGDKLGWTTYTHGPGYDSKMCTATKFRPETATESQCGRPLGLRFDQKTGDLYIADAYKGLMRVGPGGGEATVLVNNVDGIPLSFTNGVDVDQTTGHVYFTDSSMNYNRAQHEMVTRTGDSTGRLMRYDPRTSDVTVLQTDMTYPNGVAVSTDRTHLVVASTGPCKLLRHWIKGPNTGRSEPFADLPGYPDNVRPSNKGGYWVALHREKNELPFGRDSHLLAVRVGSNGKILEEMRGPKSVKPTEIMERNNGKIYMGSVELPYVSVVKRK >cds.KYUSt_chr1.4849 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29846000:29847233:-1 gene:KYUSg_chr1.4849 transcript:KYUSt_chr1.4849 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGHGGLSRSATRVVAKEANGFHLLRIDGYSQTKTVLPGQKLSSHPFSVGGHTWRVDYYPNGRDVSADHNAISVYLQLASSHPQPVQARYKFSLLDDSGNPAYELPAEKGSFVGAPVVNRYPNGITRAVGTLNNGGEEEQGPGCGHEEFIKKDDLERREHLVRDDSIVVRCDVGVTQFVNSVLAQDDLVNNAWGDEEEGYDQYDAQGIIFPD >cds.KYUSt_chr1.17757 pep primary_assembly:MPB_Lper_Kyuss_1697:1:103448213:103451049:1 gene:KYUSg_chr1.17757 transcript:KYUSt_chr1.17757 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRPRLPLHLLLPHLQRRTPLPPTPPRRPVSSYPSAAAASTDSEEDVIVARDALLAPRYDRVGLLPRFGEDRAEFERKASIAARLRLCHELLGQRRWREMRDSLAQMVSEQGSGSAATLCGILSSEFREHDSSSVLWDALANSYARAQMIHDALYVLSKMNSLNMQISVSTYDSLLHGLRMTDMALQLFEEMEADGIPHSEYSHSILIDGLCKQDKVGEALSFLQEARAGGRFKPLGMSFNILMSALCNWGFIQPAKSFLCLMLKYGLNPDRYTYSTLIHGLCKTGSIDEAVDLFERVTEEGMKLETVTYNSLINGYRLLGLTREIPKIIQFMRCQGIEPDLVTYTILIAGHCESGDVEEGMKIRKDILDKGLQLNIVTYSVLINALFRKGLVYEVENLLGEIHGIGLDMDVIAYSILIHGYCKLGEIERALQVCDVMCYSQKVLPTSLNHSSILLGLCKKGLLVEARWYLENVASRYQPGDVVLYNVLIDGYAKIGDIGNAVRLYDQIVVAGMLPTIITCNSLLYGYCKFGDLQAAESYFRAIQISSLRPTMVTYTTFMDALSEAGEVNTVLSLFYEMVEEGIKPNAVTYSVIIKGLCKQLRFHDAIHFLDNMHVEGVIADPIIYNNLIQGFCEAQDIRMSFHIYDRMVCCGVVPTPVTYNLLINVLCMKGKVIQAEMLLDSLREKGIELRKFAYTTLIKAQCAKGMPYEAISLIGKLIDEGFEASIEDFSAAINRLCKWEFPREAIMVIPIMLSVGVFPDTDVYRVLVRALRKSNELYYLPILNALAVKTGI >cds.KYUSt_chr5.25131 pep primary_assembly:MPB_Lper_Kyuss_1697:5:161202621:161218323:-1 gene:KYUSg_chr5.25131 transcript:KYUSt_chr5.25131 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLVKLTARAFYDDISMKGENQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKSLKLHSKQLRRILRFFEEEKLVTRDHRKESAKGAKIYSAAAAAAGDGQPGTKEGEEKVKLHTHSYCCLDYAQICDVVRYRIHRMKKTLKDELDSRNTVQHYVCPNCKKRYSAFDALQLISYTDEYFHCENCNGELIAESDKLASEEMGDGDDNARKRRREKLNDMQQRIDEQLKPLQAQLKRVKDLPAPEFGSLQSWERLNLGAFTHGDSCAADASRNAQGQYNGTPMPYLGDTKVDVELAGSGAKEEGAESGRNGAELKVLPPWMVKEGMNLTKEQRGETSNTSSNGDEKSEGKDAKRQDPKEDEKSIQDEYIKAYYEALKKRQEQEDAKMMQQEGHAFSSTHSERQLGTKTKREDGDVEDEGVEWEEQEPLDESPATRQQLRAFDIYNMGEKMASSAEEVTMVVSNNHARPSIAFSLTKSSVSVHLHPVLYRWKPALYWGGHTRF >cds.KYUSt_chr4.39646 pep primary_assembly:MPB_Lper_Kyuss_1697:4:244869689:244876923:-1 gene:KYUSg_chr4.39646 transcript:KYUSt_chr4.39646 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTRKRRREPSPDAAAGQRKLLPGEVVEVFSFDPGLCGSWHQAVVIDILDNFRSVRYNDFVDDNDNGSPLVEKVKVSDAVDGKSSVSGGFARGKIRPVHPHQPLQVSDASYGLCVDALVEGSYWEGVIADHAEGSVERKVLFPDEGDERVMAVDQLRHTQDWDEVTGKWKPRGFWLLLQLLLSYEEKDGLPVSVRQIWYDLRSDPSLLTEANLWMCGTKSFWERSVAVLIAELWSVCGRPLHDGYHSCRSAEALTSADLPNKKVEPTVLDKLDSTTADISQTMSEFISYYRSNDRIGARAKRDPIKRHLKSLGWTFVEDRPKNKYCVSPDGKRFISLIGACEAYLAQKDCHTNHLVLHRVTQSNEYINPTGMDLALRENKSYNKLSSNASTWKPEQLNAELSPVIGSLLVSYQEGTTLSQRHISETMRMKLKKHLLALGWSIEVREDKVTRHQGKPDINKRYRYKSPFGKTFFSILKVLKSFTVQCDKQFQGNRIEDNYLAADRVNLDATVLSDLTRLGKRKRGQKSNDIRKYIDFMEADAQNSRKKKCLRLKAKNFLKSAGWKVWRKKKSSKKREPRYVAPYDHVGCIRNKDDQLTCCPEGCWFCSRECSEIFQHLQELIGKPIPTSVEGLSCTILKFDRENVSGNVDFDNEKMAEQYGKLCIALDVLHECFVTIIEPRTRRDVSEDIVFNRESDLRRLNFRGFYTILLQKDGELLSVGTFSYSLAGGWKGFSYRQFLSFWKHGQDPLVSQQCLTLTGSEYQVECTGIVREILESDSQESTSVVIEDTDRLEHELLLEIRSNSGEEDSCAIDVPTTTPNQEVSFTVDAHEQPYGRKKRFQD >cds.KYUSt_chr3.19511 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120014947:120018265:1 gene:KYUSg_chr3.19511 transcript:KYUSt_chr3.19511 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRAHFVILVLAYRLLVSLSAEAQHAKESYVVYLGSSSGGDAEAVRASHLQMLSSIVVQSDEQERPSTLTQSYHHAFEGFAAELTEEEAAALSGHERVVSVFRDRALQLHTTRSWDFLDAQSGLHAERLGRRASGDVIIGVIDTGVWPEAPSFNDDGMRGVPARWRGVCMEGPDFKKSDCNKKLIGARYYGSQPESAASASPNASLSGAAPLPADTAGSPRDTVGHGTHCASTAAGAAVADADYYGIARGMAKGGAPASRVATYKVCTLGGCSSSALLKAVDDAVSDGVDVISISIGMSSALASDFLSDPIALGAFHANQRGVLVVCSGGNDGPTPYTVVNSAPWILTVAASTIDRTFQSSVVLGNGNVIKGVAINFCNQSLSGEHYPLVTGAEAAGRYTPVSEASNCYPGSLDAQKVAGKIVVCVGTNSMVARRVKKLVAEGSGASGLVLIDDEQKDVPFDAGSFAFSQVGNDVGAQILGYMISTKNPTAVILPTEDVKEFKPAPTVASFSARGPGGLTESVLKPDLMAPGVSILAAAMPSTDKAEVPAGKKPSAFAIKSGTSMACPHVAGAGAFVKSAHPGWSPSMIRSALMTTATTTNNLGKPVASSTGAAATGHDMGAGEISPLRALSPGLVFDTTTKDYLNFLCYYGYKDKLVRKVSGDAGFACPPGGTSPDLIATDLNYPSISVPRLVSGKPVAVSRTAMNVGPSNATYELVVEAPPGLSVKVLPERLVFSRRWTTAAYKVTFATAAGVSKGYAYGAVTWSDGAHSVRTPFAVNVV >cds.KYUSt_chr6.30454 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192885650:192888538:1 gene:KYUSg_chr6.30454 transcript:KYUSt_chr6.30454 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHGESYKSWAWWLDALQAMRRTYSIPMDAKEARICAEVAEAMRKEMAAALTLEGIRKGRLMRWIGETQDPKALRAAVEKARRMDRLEEEQVAVDRWAKTKDPEAATYRRQLENERSEMCWRMTTEETSGDTEDWSEPCNYRSKWRYIYEGRRGTYEDTTDVPAMRFTDDDRAKQNWDVELTGTLQIFSFKVAAIAEELRWPLDVYGLIAIRDHLDRRRNIIYARARDNCQTITREDPYLKLVGPTRAAVLSQVSDSVRFEVVLKVKSGSNESDDKDLSFLASKYRTFNTSYSRVICRVVSSKLSKLQWRYGLLAKSVEATIVFTPLLWVFAAAYLCTLALVPPRAS >cds.KYUSt_chr4.17473 pep primary_assembly:MPB_Lper_Kyuss_1697:4:109306635:109308248:-1 gene:KYUSg_chr4.17473 transcript:KYUSt_chr4.17473 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLPGKKDHKAGKDTKPGAGPEETPKWAPASLSVTPASTPGAREKRRWSFRRPPAAAGKDVATGQLAFLEARADPDQHAIAVAIATAAAAEAAVAAKQAAAAVVRYAASAPGSKSKRTVVGIEEAAAIKIQSVFRSYLARKALCALRGLVKLQALVRGHLVRRQASNTLRCMQALVAAQNRARTARLRLLDDEKPIRTPRMTPTRRSPHHPRLRQHQDMEENIKIVEVDTTGVGASDVHCTPRTSRRSSCYATPLCRTPSKNDLYHQKISPTPSALTDASGRSCSGRYDDFSFGTARTSPYHYYASDASCRQPEQQSVGTTGADHPLLFPSYMANTQSSRAKARSQSAPRQRASVSSAPEAAPPSSWERQASGAGRRRASLEGPAQRGMASPKVGAVRVQRCQSQASAPAAACPWGVRLDMSSASLHDSDCGSTSTMRTAATSVYCWSAAATNSTGVA >cds.KYUSt_chr7.41070 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254477046:254482059:-1 gene:KYUSg_chr7.41070 transcript:KYUSt_chr7.41070 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGNGGFGPGRGAGPGRGRGDRGDRGGFGGPGRGANYGNNGNGFGGPSGFSNHGNGRGAFSGRPGNFVTGESSGTAGEDVAQGQRFNGEFAAEVGQFNRGSNFNNYNRPGGNQHYRPRSYGNNNYYANNRYGFNGGRSNFSQNRSYGGGSSDTGNQNNGSLAGVNPDLFKEAIQGVVAAITAAAQKGGLEVPTSASGVAVPATTQAAAPVQQPQVTGLQQVQPMQVETEIVPRQEGTNPAKKAKKTEKNPCFRWGSYKPKVDNLKLVKVTVEGDPMSIPDIADCLKRIVPVENFQWEIYNFQNNVFRVKFPNKSEAQRMKNFRTYPVPDRGSDLVFEDWSALEDPLYMLPEVWLRVRGIPADVRTDFLSLWAVGTLFGKTKEVDMVHTRKNKELRLRIGCLDHTLIPETTYVFIQRGFFKLSFEVEPVTVIQLETDGLDNDGGNNGRGDNNGSDKDNTDDASDMDFEKTINSIQQQNNNGQQGTMKNVSNAKSVSAHQAQHQIEAPILFGSLKKDLLSSAQKDIEGAVFKVVSASGSPKGQAAVALGLETPGLADADLPLGRQPTFGVQLVDADVAAPPRAITPLAPLSPGRRSPRSPGRPTSEAGGEGADTSGTRALVAESQLGPEALAAALLATQTTQTAPPVGMARRGASPLAAVSVGCNPKGGSVQPVMAREHGLLLQHGHQMHMGSSVASPTVGCSIVSTKNTGTTTPTAIVLEERAKKASLENDVVAFGGIAAPSMSVRASDRIRAQPNADATQMERAMQNANFRHDFTAPGELQLFKLNFGVITLLPKKENAIQIQQYRPICLLNVSFKIFTKVATIRANTVAEKVISPTQSAFMPGRHILEGVVVLHETIHELHRKKLDGVIFKIDFEKAYDKVKWPFLQQVMRMKGFDPKWCHLIEQFVKGGSVGIKVNDDIGHYFQTRKGL >cds.KYUSt_contig_1467.58 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:403305:407406:1 gene:KYUSg_contig_1467.58 transcript:KYUSt_contig_1467.58 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSESQILGPKKPALPREFRWAYSAPVVAQHGPDHLAPSTAAQNRNPFELQLNQTDRGKETEAQPNPSRDPPEPAGSSAAAAAAAASRHGRLPAPQVRKVLKVPFSLQGTPKGNVKHFEMQEIRNMKEPEAVNHGNTLYITGLSSRVTDKELREYFNKEGKVVSCHVVLEPHTRVSRGFAFITMDTVEDAERCIKYLNQSEMQGRNITVEKSRRGRPRTPTPGSYLGHRYERREMQRGGGRFRRGGYGGRDDYYGGNSYRRSPPPMYSSYRDTRDYPPYRDARDYSPPPRDGRDYYDSRGGGRGYSPQRSPPPYGGRARRERSRSLPYSPYRMPERGYGGRRAGGGGYDR >cds.KYUSt_chr6.2519 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14640012:14643865:1 gene:KYUSg_chr6.2519 transcript:KYUSt_chr6.2519 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTAPENALILCSSIKKFLMSILCRAMPPRPVIIDEIESMPLQLIEPYQMPRIPQSRSNSHCPKRGNKEHTSARLNIRRSSSIKQSHLATTLGSTAVISSHQFTTMPSIDNANDNSEIKPPEQQLVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPADSKNAHLLALDGAEERLTLCRADLLNYDTLRAAFAGCHGVFHVASPVSNDPELVPVAVEGTRNVISAAADAGVRRIVFTSSYGAVHMDPNRSPDAVLDETFWSDYEFCKQSGNLYCCAKMMAEITATEEAAKRGLELAVVVPSMTMGPMLQQSLNFSSSHVARYLTGAKPTYPNAVAAYTDVRDVARAHVLAYERPDARGRYLCIGAVLHRAHFLKLLKDLFPQYSFTAKCEDDGQPMAKPYKFSNQRLRDLGLKFTPLAESLYETVTCLQKNGHLPLPAPMAPKRAYL >cds.KYUSt_chr4.8455 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50618654:50621280:-1 gene:KYUSg_chr4.8455 transcript:KYUSt_chr4.8455 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGVAFEAARKIIMHPLYASRSSPWLDLRVFYVRVSNCVVDESAPEHLTLNHIPLSPDTVIEVNGRRSSMHTEFVSSSLRRDRIDKKTEEATYVSTDSIRMTGSVRFQVFDKNDLLLTGDLELCNANGVVGEPKNSSKKWNMKCQSSASCNGFLKGKMSTGPESAHPVVEVYVAGTFSGTPIILTKTIQYISRRKSQMKLKLDSIPENEATELQKENSHEDLLKVSEYHDLKSETDVDVDYNSLYARQDFLDGEDGALSWFNAGVRVGVGIGLGVCVGVGLGAGLLIRTYQSTSRNFRRRLP >cds.KYUSt_chr1.40719 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249711572:249712189:1 gene:KYUSg_chr1.40719 transcript:KYUSt_chr1.40719 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDGDFTFAVAAPLAGSDGIFPGDRRIGAGRMYPVFGRPRSPPRQQAPEQEPETTTATARVPLGRVLLVDRGRAGAAQPPYDEEPEKTCYCSWCPGLSAAAKPATRCRKSGSTGSLLRWSQRLLGRSHSDGKEKFVFLDAAGPSGSERKAGGGGHVTAWSYYAKAGAGGSNHGGRRRSFLPYRQDLVGFFASPTAFRRSYHPF >cds.KYUSt_chr2.8509 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53487159:53489865:-1 gene:KYUSg_chr2.8509 transcript:KYUSt_chr2.8509 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIIQDMRDEFGSISRHGLRASRSHRAVAAPGASAAGAGLVDALEGTCWAQLPPELLREVLVRVEVDDTLWPSRRDVVACAGVCRAWRGIMKEVVRVPEASGKLTFPISLKQPGPKEGTLKCFIRRNRTTQTYYLYIGITEALADDGKFLLAARKCRKPTYTDYLISLDMGDMSKGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARMVGLNQVSPRIPAGNYPVSQISFELNVLGSRGPRRMNCVMSSIPASAVEEGGKAPTQTEFPLNNIDSFPSIPFFRSKSAHMDSAAPQIPAQNEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDESGPAGQEQDKVILQFGKIGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >cds.KYUSt_scaffold_2697.552 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:3579331:3580452:1 gene:KYUSg_scaffold_2697.552 transcript:KYUSt_scaffold_2697.552 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGSRNPSLDYGLKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISARKTEEAIEILKLMSSTFLVALCQAIDLRHIEENIRSAVKSCVMTVAKKTLSTNSTGGLHVARFCEKNMLQEIDREAVFAYADDPCSQNYPLMKKLRGVLVERALANGVAELDMETSVFAKVTKFEEELRTALPMAVEAARAAVERGTPTTPNRITECRSYPLYRFVREELGTVFLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >cds.KYUSt_chr7.6116 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36597922:36618468:-1 gene:KYUSg_chr7.6116 transcript:KYUSt_chr7.6116 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPLQAPDYKHVTEECLREWKGQSAAAFRLPDPVPMPRFLYELCWAVVRGDLPPHKCRAALDSVAFVEEPWQEESGSVLADIVAHLGQDITISGEYRNRLVKMTKSFVESSLIMPRLLQERCEEEFLWEVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLITLLCQVGSDLAGQNASSATISIVKSLIGHFDLDPNRVFDIVLECFELYPDNNIFYQLIPLFPKSHAAQILGFKFQYYQQLDVNSPVPSGLFRIAALLVKSGLIDLDNLYAHLLPNDDEAFEHFGSFVSRKIDEATKIGKINLAATGKDLMDDEKQEITIDLHTALEMENDIIEERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFDRLAQLNPVEHVKICDGLLRIIEKTILPAYSTYCQIHHKISRNIDTHMTDASSVSSPSYLVDLPKEFFQMLAACGPYLHRDTLLFQKVCRVLKVYHASSKESARTAGVMSPESRIEEALGLCLLPSLQLIPANPAVDMEIWGVLSLLPYEARYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVQQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKHLSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDAMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLHPKDEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYAEFLSSALTPATYVQLIPPLKDLVYKYHIEPEVAFLIYRPVMRLFKSGNGGEACWPLDGNEEGESVSSDDMILRGDSSQKLITWTDLLETIKTILPPKAWNSLSPELYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESDKHKQHVASVLQRLAREKDKWLSSSPDALKINMEFLQRCIYPRCVFSMQDAVYCATFVQTMHSLGTPLFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKTAYYWKSDESIYERECGNKPGFAVYFRFPDSQRVSYTQFVKVHWKWSGRITKVLNQCMESKEYMEIRNALILLTKITSIFPVMRKSGINIEKRVAKLRGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHLDPKPVPAKTVPGNQSADPTTAKDHIVRAKSTEGRHERSENAMRPDAQHKKNASTANGSDSQIPSSSAQGKVSGVAHGADEPPKLLSDEGVKVLKPTAESETRGPQKRAAHNAAKVSKHDAVKEDAKPGKSTSRSLNQQASAISVDREVLPPAADGMLDTKTTSPLVGTNGNIHPAPRKQKRSVPVEEQERTGKRRKGESEVRDGDLTDHHTDKEKKLDSRSVDKFRSSDHERGASEEQNVVRTEKLKEKFDDKYDRDPREKADRSERRRGEDVVEKPTDRSSERRERSIERMQDRGIDRVPEKGREDRNKEERSKIKHVEPSIDRAHTSDERFRGQSLPPPPPLPTSFVPQSVVANRRDEDGDRRGGSTRHTQRLSPRRDDKERWHVEENASLPQDDGKHRREEDLRDRKREDRDVSSSKVDDRDRDKVTMKEDSDPNSASKRRKIKREQSTLEAGEYAPSAPQPPSLGAGNSQSEIRERERKGAVSQHRPSHADDLPRLHAKDSTSKTSRREADQ >cds.KYUSt_chr6.6450 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39030550:39031222:-1 gene:KYUSg_chr6.6450 transcript:KYUSt_chr6.6450 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLERGFQFLNSEQENSVILRALISVVSGDTAAVVPTLLHLEPSTPPFAPAAAPACARMAAWASGVRGGGSKFRGVRQRPWGKWAAEIRDPHRAVREWLGTFDTAADAARAYDVAALEFRGHRAKLNFPVAAASSTTSASSWAAQCLSDSHPENCGSNASSPCRGCLNKDGRWRGSRSSGMGCTKS >cds.KYUSt_chr3.18390 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113043299:113047845:-1 gene:KYUSg_chr3.18390 transcript:KYUSt_chr3.18390 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADCGAAFLFSHPENSRNARRFSIQVPAGRSRLHIPLAMSPAAVPPPEEPPSPVRFGIMGCGSIARKLSRAMLLVGPAVDVAAVASRSGDKARLFAADNGLPAGTRLHGSYEALLDDPDIDAIYLPLPTSLHLKWATAAAERGKHLLLEKPTAPCAAELDHILAACEASGVQFMDSTMWMHNPRTAKMRQLIADQDTIGDVRVINSIVSFRANEDFLKNDIRVKPDLDALGALGDIGWYCIRAILWAVDYELPKNVIALRHPVKNQAGVVLACGASLYWADGKVATFHCSFLTNLTMDVTVVGTNGTIHVTDLVIPYDEKYGPFSLDSKTNFTELTTGWDPHPSKNVVTTDLPQEALMVQEFCRLVRNIRDAGGKPEGKWAAITRKTQIVVDAVKTSIDNECESVDVVS >cds.KYUSt_chr2.2182 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13268424:13269668:1 gene:KYUSg_chr2.2182 transcript:KYUSt_chr2.2182 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKIDLRGLKPGGPGWDDARDAVTASMVANSCVVVQHDGLNRDIRQALFGRAMPELFAFPVETKRRNVSNDVQYGGYIGQLPGMAGYESMSIEDVPDHGHISDFAKLFWPQGNPAFCETSAGFARDAIQLERTVTKMVLEGLGVRDKHALDSHHDRLRYTLRMAYYGSSPEDDAAKMSMPEHRDYVMTSMIVQHQVEGLEVQLKDGSWFSVPPEPDTCAIVAGSLFSVVTNGRVQACLHRVRTPSNRDRFSALLGCMPTKGSMVRAMDEFVDEGHPLMYHPCDPYEYVSFQYSEEGRKSKDALKSFCGVVKDEPAEAA >cds.KYUSt_chr5.7476 pep primary_assembly:MPB_Lper_Kyuss_1697:5:46995098:46999939:1 gene:KYUSg_chr5.7476 transcript:KYUSt_chr5.7476 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAIRPEITSSFRDDEEQAAAAVPLLAAASPTRSRSHAGDVHILSAAFLFVFSAYLPTQNLQSTLNDDGNLGAVSMGIVYVSFTVFAATAAAVVRGLGSRGALLLGTSGYALFILANLHPTWYTMVPASIYLGFASSIMWVGQGTYLTSAAFSHATENKLNDGQVLGRFNGEFWGMFASTQVIGNLISLVVLRNDKDGGGAEEKNLLFTVFLGCMVVGIVLMFLLSRRDESIGVEGGDHELPEKSLLWDMSKSAVAPLADRRMLLLAPLLAYYGLQKAFVWAVFTKSIVTPVLGVAGVGGAMALYGTSGVISSLVAGRLTTGLYSSTLIVSAGAVLQAGVLFWLLFFYSPVGGVLGSEAPLLVGALWGVGDGILNTQLSALIGLLFKDKEAAFALGKMWQAAATAAVFFLSPGATLQGMLAAVAAALVVALTAFLSLSLVVERSYALKL >cds.KYUSt_chr2.15921 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100189448:100192875:-1 gene:KYUSg_chr2.15921 transcript:KYUSt_chr2.15921 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSRRCLAVLFAVAVAALLFSACCAEGAAAAGGKATPQRRQLLRQRHVEYHLRRLNKPPVASIESPDGDVIDCVPISSQPAFDHPFLKDHTIQTRPAYHPEGLYDESKVASSHKDKQKITQMWHQNGMCQENTIPIRRTKKEDVLRASSIKRYGKKMHKSTPNPSSVEPDMLNESGHQHAIAYVEGDKYYGAKATINVWKPSIQQGNEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQTNNQIAMGASIFPISNYGGSQYDINILVWKDPKEGNWWLQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNTEPDGSHTSTQMGSGHFPEEGFGKSSYFKNIQVVDSTNNLKAPRGIGSYTEQSNCYDVQNGNNGDWGTYFYYGGPGKNPNCP >cds.KYUSt_chr3.43383 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273938578:273939863:1 gene:KYUSg_chr3.43383 transcript:KYUSt_chr3.43383 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSCIGFSGPVAFRARGCSLALAGMAPVSGVTLAVQAGMGPVSGSPLITLVGAGTGAIGGGNRGAPAGRGSDLLSARLVPSSAVATPGKRKAIFACRATGLDGDDYPQRDLEAKLSVPELLDVLAKKTLVLLDSVYLPKPQDYVVDQDRPSVSSEIGAIQAGLKELVEELSETPISFLPAAINLVGLHGRIYNLFTFCRLQTEWPIYILDAPTSLEMITKETLRRTMANTVEFYTELQKQPNKDICELTEEGPEGEETLSDLLVILVKKTTLLLKEIPRILVPSVHHAMSLPLRNFMQSATLCELDELQEMCDVLREKAGTPDILDQVAFGTFLGLDGRLRDLLFVVVTRCFHDGKFDDSPLQDGTLESAYKSSLERSMSDVRDCLKDYVKKETE >cds.KYUSt_chr3.49201 pep primary_assembly:MPB_Lper_Kyuss_1697:3:307580548:307585175:1 gene:KYUSg_chr3.49201 transcript:KYUSt_chr3.49201 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGGGIEGESPPRRRPLTVLPLIALIFYDVLGGPFGIEDSFRAGGGTLLLLLGFLILPVLWSLPEALITAELASAFPTNACYVVWVSAVFGPTAPFLVGFTKWASGTLDNALYPVLFPLLAGTVALAGPILIPSPIAPSTGLPSHPPADPERWRWRHPYSSAPRPDSIHDPSHDIDCFNLQCNGFVQISNEYAFGAALTPLSQFGGAQYEISLTLYKDDTTGRWCVMYGEKLLGYWSPEQFPQFGSGMAALWGGQLCNKNIGNRYTTTEMGSGRPPSDGWGQSAYIHGLEVMDISEKWHRPQDLHSNLSSDCYKVQTFEPMDGKMSAYFGGTASLQCCGISCDHCKVANMRIEPGGLFFIEHRLYGPYTTVLLLVVLASQAHPILKFVDEDEGEKPSPPGAGSFSSGRKVQLIRLGKPPKQAMPQDLISHDLARIYRLFGTTPLSTSAVVSISADDRTWDQDQFTTVLAFGDPIRHQKVMFDTASDFSWIRCNWKDNNSAEIDEGVRYAPSDSKSSKLITCRSPTCYEAGGYCISNADYYCSLSTSIPVQKEQETFVDSGTMFTYITPEVHAKLTTIFRQEFQEYLIPDNASRFNGCFNFTDAPDRIYIPQVTFSFNDGAQFNLGVDGTLYKPVLGGRIACLAFQPQEGISMIGRLAQQSIEVVYDTNNAKLGFGISGSC >cds.KYUSt_chr5.3771 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24358625:24359120:1 gene:KYUSg_chr5.3771 transcript:KYUSt_chr5.3771 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSGASKERRGQKTRKLRAGHRAWVESGVSLVPEQRRGEKPGPIRGFGRREGRTGEVHANNVWGIKGEKGTGKLRAGHRVWGESGVSLVPEQRRGEKPGPIRGFGRREGRTGGGVQGGPAPEVWPDGHVHDLQ >cds.KYUSt_chr1.26513 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159780054:159780437:-1 gene:KYUSg_chr1.26513 transcript:KYUSt_chr1.26513 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQEKGRSELDSLAREGQTVVPGGTGGKSYEAQEKLAEGRSRGGQTRKEQIGEEGYSEMGRKGGLSTNDESGGERAAKEGIDIDESKYKTKPPMF >cds.KYUSt_chr5.42647 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268643290:268644656:1 gene:KYUSg_chr5.42647 transcript:KYUSt_chr5.42647 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPVLAFVGLVFIPIGLACIAASNKVVEVVYRYDTKCVPGNMLHNKVAYIQNASIDKTCTIVLKVPRDMKRPIFIYYQLDKFYQNHRRYTTSRSDMQLRDPKQAAAITEFCKPEAYAANGSPIVPCGLVAWSLFNDTYSFARRRRRGSHAEALTVIKTGISWRSDRGHVFGNHVFPKNFQNGSLVGGGQLDPTKPLSQQEDLMVWMRTAALPRFRKLYGRVEADLGAGELLAVEVRNNYNSYSFAGTKAVVLSTAGLLGGRNAFLGRAYVVTGVACFALALLLTLLCLVFPM >cds.KYUSt_chr3.32354 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203246812:203249349:1 gene:KYUSg_chr3.32354 transcript:KYUSt_chr3.32354 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLILRPEGEVVVIDGYGGLGKTWAAKAALRTARNSSHFDTYIWVSLSESSSVRRCVEKIATCLSIDIGEELLSSRISVMIEEHLATRKFLLVLDNAYFVEENILRRMGIPDPTEQNFGSKVIVTTRTVRARSVVKPSIVITPQALSYRASCDLLREKIGKDIDPGLINNCFGIPLSLILLAGALCDVPTQVEFLQIIREAFLAQEPKISVFATMIRLVNFGYRHLPTDNARHCFLYCLRFPADEPISMKDLIISWKLNGMIQEARDYHEANCIGMEHIHVLLKHGLMHFEGDDHIRMHDVIRETISGLGRANGHVEQPGRYSQLESGRYFDDDIQSGTLFLRGIRHMRTISEDFFCRVGMLKELDLSSTQIIILPQSISRLFHLQMLLLVGCGQLEKIQHIGSLEMLEVLNASDCVSLKEVECGSFDHMKLLKILDLSKTSIECLPSLAACMELSQLLLQDCPCLKSEQSAETNDKSFDARFIKFPYGVSKKGAVRNLHVGASNDLVDWMDILWLPCGLTFELSDRFGMRISQDVNQTSKTYVHASHANLFQSLDNESPLWLSSFRKFHIVISPKFDQTMDHDFRAAKTKFSYADAHSSDFDRFLEINCVRITNDIEGILSRAELISLKCVTETDQVCNLNTGKLTAARELWIEEFHQLENLFLVEEVHVLSRMNKLHNIWISNMENLASFSQNMEVLTSFSCLVHLHFDCCPKLNFLFPSSLQLLNLRSLSIRFCDSLERVFDEPIDAEYALPELQSLQLWELPELSCICGGVLPSLKDLKVRGCAKLKKIPVGVTENSPFFTKIIGEARWWNDLVWDEEGIKRWTLFRKWGPLLPKFATEG >cds.KYUSt_chr7.1126 pep primary_assembly:MPB_Lper_Kyuss_1697:7:6051120:6051437:-1 gene:KYUSg_chr7.1126 transcript:KYUSt_chr7.1126 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGWCRCAAEGGGEEGAVVWALWEEDLLHELNDAVMASISKSGSTGSSMGGNGFPIPVNGSLRSWSAPLQAEDRGWRDRKGKKGLTDVSTNQKGHALTNAQTDV >cds.KYUSt_chr7.36600 pep primary_assembly:MPB_Lper_Kyuss_1697:7:228705941:228710130:1 gene:KYUSg_chr7.36600 transcript:KYUSt_chr7.36600 gene_biotype:protein_coding transcript_biotype:protein_coding MSILYAVVARGSTVLAEHGAASTNASSVARQVLDRLPDGGADSHVSYTQDRYVFHAKRTDGITALCMADDAAGRRIPFAFLEDIHGRFVKTYGRAALTALAYAMNDEFSRVLGQQMDYYSNDPSSDRINRMRTEISQVRNVMIDNIDKVLERGDRLDLLVDKTTTMQGNTVRFKRQARRYRSTVWWRNVKLTAALILLLLVIIYIVLFFMCNGFTLPTCIRFRCLPTLPIPIHSDPQHGGSASPRRHECNETPPIAGSQIRSRIMTSDAKTPKGAHRQSNREQRSDAVDDDDDDDEKKAVHCAHWSESCALLGKG >cds.KYUSt_contig_662.232 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1349286:1352685:-1 gene:KYUSg_contig_662.232 transcript:KYUSt_contig_662.232 gene_biotype:protein_coding transcript_biotype:protein_coding MSARIPMIIFLLLLLSAVSYSSPSPTNGSDTDLAALLAFKAQLADPLRVLATNWTPGTSFCHWFGVSCSRRRQRVTALSLPDVPLVGSIAPHVGNLSFLSILNFTYANLTGSIPVQLGRLHRLRYLGLGGNSLSNDIPAALGNLTRLESLSLALNQLSGQIPPEMLMHMRNLWKISLFGNDLSGQIPPNLFNNTPSLAFIHFGNNSLSGYNKLTGGVPVAISNISNLEWIDLSNNLLTKPIPESLGHQRVSYHELVRATNSFSEENILGSGSFGKVFKGQISSGLVVAIKVIDMQLEHAIQSFDVECQVLRMARHRNLIRILNTCSNLDFRALVLQYMPNGSLEMLLHGSESTRMRLGFLERLGIMLDVSMAMDYLHHEHYELVLHCDLKPSNVLFDEEMTAHVADFGIARLLLDDNSMTSVSMPGTVGYMAPEYGLLGKASRKSDVFTYGIMLLEVFTGRRPTDSMFGAQLTLRQWVQWAFPTDLVQVVGGSLLLQGSPLSSCSLDDGFLVSVFELGLLCSSESPEERITMRDVVVTLKKIKAEYIKQITTTTSRSTHTN >cds.KYUSt_chr3.34794 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218150416:218155210:1 gene:KYUSg_chr3.34794 transcript:KYUSt_chr3.34794 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAEEERGAAGAGSEAFTDSADGSSSSSDAASTDDYWPAPAVALAPKKTPAFCVPDSELAAKQQRRRAAPEMEMMKERFAKLLLGEDMSGSGNGVCTALAVSNAITNLCATIFGQLWRLEPLAPEKKAMWRREMEWLLCVSDHIVELVPSWQSFPDGARLEIMTSRPRSDLYINLPALRKLDHMLLEILDSFRDPEFWYVDQGICAPDCDGSASFRAAFHRRDDKWWLPVPRVPPGGLRVKTRTQLQHKRDCASQILKAAMAINSNALAEMDVPESYLDTLPKNGRATLGDVIYRYITSDHFSPECLLDSLDLSTEYQALEIASRVEASVYVWRRRVTAKPVNSLGRTVSARSSWGMVKDMMIDTEKRELLAERAEGLLICLKQRFPALTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIVARIDDLLNIDELNRHSEHLSTGDADLKIACSKAVVPPYQQVPASGTPFVTAYATPSFSPGQLSSPSKKDRTSLAAGRRSHGSNRPAAAKRALTDHLGPEREASGNEMEPKAVRCLFFLAMLLVAGLGTASGAGECGRVAVDKMALKLAPCAAATQNPRAAVPPSCCTQIRAIGRNPKCLCAVMLSNTARQAGVKPAIAMTIPKRCAIANRPKGYKCGPYTLP >cds.KYUSt_chr7.17766 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110033066:110037316:1 gene:KYUSg_chr7.17766 transcript:KYUSt_chr7.17766 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAATSEKLKEMDWAKNIEICELVAQDPGKAKDVIKSIKKCVGSRSKNAQLYAVMLLEMLMNNCGEPIHKQVIDNGLLPILVKIVKKKTELPVREKVFLLLDATQTSLGGAKGKFPQYYGAYYELVSAGVTFSNRPNVIVTEVKHPVPEAIIEPNKDDLSSRLNDRHNEAQTPPVSDTSIIQKASSVMEVLRDVLNSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDELVVSQCIELNEELQKVLVRHDALLSAHPTTATVPSNLEEEHEEEEDAESLYRRLRKGKALSQDYLDESIPPFRSIPEEKLRRPLTIQPVPHPGKKPTALNIRSPDHPETRPDPSAVLIPPPPAKHAERERFFREKSMDGLPGHLRAPSSNSSSTCSGSTDYGDLGER >cds.KYUSt_chr2.16253 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102191606:102193762:-1 gene:KYUSg_chr2.16253 transcript:KYUSt_chr2.16253 gene_biotype:protein_coding transcript_biotype:protein_coding MNALSSTVHAVVLLTSLLFISTLPRCRPVSFSYNSSDFDRSNIALDGSATPSQRDGLIELTQNPDPKAEGIFNTMGRASYSKPVLLWDKATGEVTSFTTRFSFAIKASSQGMYAPGDGIAFFLSPYPSKIPPEDGGGGPGGGYLGLFVNNSTASTPVVVAVEFDTYQNGWDPSTDHIGIDVNSINSTAVKVLPNGILANCTEPMIALVSYNSSTRLLAVALQLDMRDGGMRYEMNSTVDLRSLLPAEVAIGFSAASGWSSNLHRVLTWSFNSTLVETVPVVVEEPKGNEGARNKAVQTFPSKGMVLPVAGAASAVFTVACVGSLIWFLMMRRRRRMSGEQEHEMEDLEVSSMDNEFESGTGPRRFSYGELAAATNHFSEDGKLGEGGFGSVYRGSLSDLGLEVAVKRISKSSQQGRKEYVSEVTIISRLRHRNLVELVGWCHRSGEFLLVYELVPNGSLEAHLYGSGKALTWPSRYKIVLGLGSALLYLHEQCKKCVVHRDVKPSNVMLDASFAAKLGDFGLAKLLDHGSSLETAFLAGTMGYMDPEYAATGRASTPSDVYSFGIVLLEICCGRRPRLPRDESIKSSLLEWVWDLYSREETLEAADKRLDGDFDDTQIQRVLVVGLWCAHPDRSARPSIKQALAVLQFEAPLPPLPPTMPVPTYTTSVAGSWSGDSSSAGVLSSSGGELWTTTSSSTTPLVGHPTVLLAPLKPQTAAA >cds.KYUSt_chr7.21636 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134214917:134217403:1 gene:KYUSg_chr7.21636 transcript:KYUSt_chr7.21636 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVQVHKVVAYFRSITVLTIGIIMTPSKNVKTPRSGHPKFKNLRKRLQYKHKKEDSRDVPDVEKQLPSEQLFFNRASPMKVVKLYKSQNASHRQFISDNGFGSFLGIKCSKLHPNLSNYLMGSFNHESCSLDFPGRGSIPITDEVVKKVLGLPLGKYPALYTVDSETTSFVMNTLGFGNGKQPKLTDVETKLKAMVKGDDLYFMKWVMYVVCSVLAPTTGIRVCPKCYPAIMDPSKIKDLNWCRFVITVLIETAKAKGVKNPFKACMAFLEILYIDSLDTEDVNVSQDGPRICAWDNKSATQAIEQDLNDDGSFGRLPLKKCFRTTGVLMLSTPIMIENFVKANAPSDCGEEEISRYREAAQEMYSEIDVAIAKFSMKVSTINTAKKQKKSSTRSTPSSRGPTENKTRQQFSVEETDGSDSVYQVNLSGFESESEGEGSKENAYGSDYDDFVCVPRKRKNCN >cds.KYUSt_chr4.48472 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300172740:300177209:1 gene:KYUSg_chr4.48472 transcript:KYUSt_chr4.48472 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKCGEELAVAEPLGRWPVLSYGVGHMLNDITSACWFTYLLMFLQEIGLTPRDASIVMLSGQVADGVMTIVAGEMIDRFGRFKLWHIGGLVLVGVSFSSVFGGCLLCAVLGTDSYLVRTIGYSFFAAVFNVGWAATQVSHMAMVNCMTSNPTSRVALASCRNASTMVANLGLYGIALAVFGAVKAKTCADIVVQYKWIAYVSIFVGCCFLVLFHVGTSEPTLKCEPNCKKRARIAWGYWFKKTLYYQVALLYMLARLITNVSQSLIAFYVTRDLKMNEYSKATIPAIIFCCSFLVSVVLQEMKWNSRRLKSLLTVGATLWVVSGAAVFILPSQMHNLMYPLAMVIGAANALVMVTTIGLESALVGEDLNGCAFVYGSLSFLDKMSCGIALFVLESYDETPSCGEVRGLNTMSRYGTGLIPACFAVLVLVVTSTLRLHDDAPRARASAALEAPLLV >cds.KYUSt_chr1.8434 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51890059:51890427:-1 gene:KYUSg_chr1.8434 transcript:KYUSt_chr1.8434 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSSAAAAVSFSARPSVATTTFRPRATVLASASAGAKRLRTKAPKGGKWWAPLVGWSGRADYIETAAPVVEEEEEKAARPFAGGLTEEKARQLRARMAEMDSFHDAMYHSAIASRLARTA >cds.KYUSt_chr1.24021 pep primary_assembly:MPB_Lper_Kyuss_1697:1:143264936:143266984:1 gene:KYUSg_chr1.24021 transcript:KYUSt_chr1.24021 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNLPIFLDDLPRLRRLLTSCPALRTLTRIHALLFVSSSHHLLSPSLATAYARAGDLAAAESTLAGAPTSPSSVAAWNALLAAHSRAGSPDAALRVFRALPPAVRPDSTTFTLALSACARLGDLAAGEVVRALASQSGYRSDVFVCSSLLNLYAKWGAMGDAVKVFDRMPKRDRVTWSTMVTGFANAGQPEEAINMYRRMRDGGLNGDEVVMVGVIKSCAATGDVRMGASAHGYLLRHGMRMDVVISTSLVDMYAKNGLFDQARRLLELTTCRNIVSWNALISQFAQSGRADEALGLFREMQASGLQPNSGSLVSALLASSDLGFFKLGKSIHGFILRRLELDCMAITAVIDMYSKCGSLASAQMLFNRVGSRDLILWNVMIACYGAHGRGRDALSLFQEMKKTEVRPDHATFASLLSALSHSGLVEEGKFWFDCMVHEHGIDPAEKHLVCIVDLLARSGLVEEANDLLASMCTEPTIAILVALLSGCLNNKKLELGESTAEKILELQPDDVGVLALVSNLYAAAKNWEKVREVRKLMKDHGSKKVPGCSSIEFHGAVHTFVMEDQSHPQHKEILQMVSKLDSEMRKIGYVPKTEFVYHDLEEGVKEQLLSRHSERLAIAFGLLNTTPGTRLVVIKNLRVCGDCHNAIKYISKIADREIVVRDAKRFHHFKDGACSCGDYW >cds.KYUSt_contig_662.253 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1449661:1451080:-1 gene:KYUSg_contig_662.253 transcript:KYUSt_contig_662.253 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLTALMDLVMPPASMVMLAFAWPTLAFLRAAEWAVKALTKEDMRDKVVLVTGASSAIGEQVAYEYARRGANLVLVARREHRLFAVRDNARALGAGQVLVVSADVVREDDCRRLLADTISYFVDHLVNTVSLGHDFYFEEAGDTAAFPHLMDINFWGNVYPTYAALPYLRRSHGRIVVNASVETWLPMPRMSLYSAAKAAVIDFYETLRYEVKDDVGITVATHGWIGGEPGAGRFALEEGAPAAATDQTQTQTQPHLKVDAPELPAAAAAQVEAYARAVVDGACRGDARVRRPGWYDVFLVFRAFAPDVLAWTFRLLLSTAAPAAAAPRAVVAPVGVATAALPAPPVRPLIEYPVTAAGRRPAGAVAQLQKLE >cds.KYUSt_scaffold_1259.44 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:282863:292342:1 gene:KYUSg_scaffold_1259.44 transcript:KYUSt_scaffold_1259.44 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGASGRLESILTDSSAPLAKRAWAAGTIELGLLTRLAAPAVVTYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQMFAYGLMLGMGSAVETLCGQAYGARKYEMLGTYLQRSAVLLCCTGIPLAVIYVFSEPILLFLGQSPEIARAASIFVYGLIPQIFAYAFNFPIQKFMQAQSIVLPSAYISTATLALHLLLSWVVVYKAGLGLLGASLVLSLSWWIIVAAQFGYIVMSPTCRHTWTGFTSQAFSGLTDFIKLSAASAVMLCLETWYFQVLVLIAGLLPNPELALDSLSVCMTIAGWVFMISVGFNAAASVRVSNELGAGNPKSAFFSVWVVTVLCGTITVILAIVILCLRNYISYLFTEGETVSNAVADLCPLLTITLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGVVLGFVFKLGVKGIWGGMIGGTAMQTAILLWVTIRTDWNKEVEEAQKRLNKWEDKKEPLLTDVKKDDN >cds.KYUSt_chr2.51700 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322981917:322988132:-1 gene:KYUSg_chr2.51700 transcript:KYUSt_chr2.51700 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFHVEALLPSSISPKIDSILHSHIYPQVGHVFRAVAKFKALLVDVILNKKKRTAAAGRSAAAGGYGRSTKRSGGKKRASKIAGLVKMQFTASSSPAGARRQLDYATSREYSAPWSAAATEVEEAVQVCDDATECGYLCWLEEEERPAGEEGAVQDDGDDGDVAVNEIDRLAEKFIARFHAKFLLEKQESYRSTVGCPFGESCHFLHFVPGGYQAVSKSHNLGHSAVSAPSRGPVDHSANSHSAPAGKTRLCTKYNTAEGCKFGDKCHFAHGERELARQGPPSYMSQESPYAPPMSGRYGGRHEPPPPASMGPATGSFGASATAKVSVDAALAGGIIGKGGVNTKQICRVTGVKLSIRDHESDPNLKNIELEGNFDQIKQASDMVRELIASISASMPSKNPPSTAPPARSGGPGGRSNYKTKICENFLKGTCTFGDRCHFAHGENEQRKGAAV >cds.KYUSt_chr2.44514 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276996738:276997763:1 gene:KYUSg_chr2.44514 transcript:KYUSt_chr2.44514 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRGWPDLLPDLLRLISGHLHHAADFVRFHAVCRPWRDSHDATATATIQTFLPWLLAPDPENHHALSWLICVFSKRSYRAPPLPAFPSWVAGTDGTAVRYFVDSHPYSELRDPLMGAVTLLPPVRDQEDKSWEHCCPDGLVYRDGTIVLYSQSGNNYNGPFRFRAALLHPDDAAWTIVERTLGDYKFNKSCFMYHGGKVLIFVHDSQWHMVTPGEDASSDMLVQRTWKPTKPNGYYYKRSHVIESHGEVLHVTLHVTLNVLAKCRGDVPQLVQEFLLSVYVFQEEASKLHKMQHVRKDVHSLADRVLFLGCPNSFAVDASRLRCEGGCAYFVYSGGFVA >cds.KYUSt_chr4.13656 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84137840:84139154:1 gene:KYUSg_chr4.13656 transcript:KYUSt_chr4.13656 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHVTSRHDAHAALVANSDWLYIAPFGMYGCVNYIRQKYGNPAVVITENGMDQPGNLTRDQYLSDVTRVRFYRSYLNELKKGINDGANVVGYFAWSLLDNFEWGSRYTSKFGIVYVDFNSPSLERHPKASAYWFRDLLQTKH >cds.KYUSt_chr1.9557 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58321029:58321966:1 gene:KYUSg_chr1.9557 transcript:KYUSt_chr1.9557 gene_biotype:protein_coding transcript_biotype:protein_coding MATEARSVARALRRPLQPRDSNVASPLVAVAGKAKTKARTRLAAATTPASPPSVKSYMKKPESRVELKEVSLAEELEKARERRGRLRVARQLTDRVLDERDEALRWEVREWERRADEQRRLVAELMRLIGMPEVYTPVESLRSKEERKRKDAISHSGFSVYGFIITGRSWSEFVCPRIGGTRDSSHAGNNISQHLLNLFPPVFFNCRSDGCE >cds.KYUSt_chr1.32794 pep primary_assembly:MPB_Lper_Kyuss_1697:1:199233465:199235143:-1 gene:KYUSg_chr1.32794 transcript:KYUSt_chr1.32794 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVLSPLELLEEYAAAVRRVACGLLELMAEGLGIEPADAISRLVADPESDNMLRVNHYPPAPRPEQQAGRLLTGFGEHTDPQIISVLRSNGTTGLEIAGRDGAWASVPPDADSFFINVGDALQVLTNGRFRSVKHRVVVSSERSRVSMIFLGGPPPGARLAPLPQLLGDGGGRSRYREFTWKEYKSCSHRGRLVDDRLRPFEN >cds.KYUSt_chr1.13720 pep primary_assembly:MPB_Lper_Kyuss_1697:1:82203186:82212201:1 gene:KYUSg_chr1.13720 transcript:KYUSt_chr1.13720 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFVTKWSHRSNEPAGPSNSAVASGQQQEQHLPPLPSPSGSSAPAAAPSPSLPVATTEAAGDEFILQEEEYQMQLALALSASASGGDGAGDPDGEQIRKAKLMSLGRGDPVDTGDPGDGDTAESLSRRYRDYNFLDYNEKVIDGFYDIFGISVESSGLGKIPSLAELQSSIGDLGYEVIVVDHKFDTALQEMKEVAECCLLGCPEITVLVRRIAEVVADHMGGPVIDANEMITRWLSKSIEQRTSHQTSLLHIGSIEIGLSRHRALLFKILADSVGIPCKLVKGSHYTGLEDDAINIIKMDNRREFLVDVMAAPGTLIPADVFNSRGTSFNSSQVLGQSQVAKSASNIKNDPVALQSEHKHNQGHMFANSNRISDNQSGCENTVTAGSSASESGTLAPQTRLGQTLTFTGAPSKQKNNLQLIPESHETEESQKLFVEFDPFNATESGRSSLAFKGLNNNRNNQFQKRRENGVPAASGRSQQPLVMKNWSACNDISNNKQYNIADGSVSRRNANDNVASSSQLALSTSKHYNSNARELNDRVVYAAPARNYNNTIVGTSAMPRASTTEHIDRSYAPPALYYGKMLGTSSANAASTSGIGKVEEKEPCDDLGKYPIYSAFDGQLSSSAQGSVPKGGEHKENCGSHDHKRFHPDPRKPLLDRFMDTRKQNTECVSPSHVGSSTVDMVLDEVSECEILWEDLVIDERIGIGSYGEVYHADWNGTEVAVKKFLDQEFYGDALDEFRCEVGEAYIRLFIALIAKLMRSAGLKWPLMWSDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDIYSFGVILWELATLRNPWHGMNQMQVVGAVGFQDRRLDIPKEVDPIVASIIRDCWQK >cds.KYUSt_scaffold_6468.664 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3169528:3169791:-1 gene:KYUSg_scaffold_6468.664 transcript:KYUSt_scaffold_6468.664 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVVSTMWKAIALWAACSSALGRCRTPVCHGGGSSRDGTASSATRCRGMGRLCTGGSVAKASHGEEQQPQQRPGSGREARCHGRA >cds.KYUSt_chr3.2125 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12321090:12322864:-1 gene:KYUSg_chr3.2125 transcript:KYUSt_chr3.2125 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQDGRVAANGGSPDPIVRDDGCSGSTAGGRTEEWRIEKWLQRPTTPTASGGRPFLPCKRGAVQYSKSRRPNVRNLAEKSTFSSCDSISEKKGPAVPDERASSVGRVLALEASLRSFDSRQTDVVVNATTTASRAVDRQLQHGYGALAHARNTRSLFSPVLSGLLDLIPAPVLPAAKLAALTKLSNAAGGRPPRTWLLRMGNRKKDEETPRRRALAHEEALAGDSDGPQIVLKGRSRRSLHVRCRGRLAHPHAFSPAFSFRSQLQDDFIQRRAHGVGLYHMRM >cds.KYUSt_chr5.30770 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195001954:195004263:-1 gene:KYUSg_chr5.30770 transcript:KYUSt_chr5.30770 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPLLQRHSGLLLLLLLLAAGASGAAAQVVVDVGVILDRTTWVGNISWTSIELALDEFYADPRHAGYRTRLKLHLRDTGPDPIDAAAAGLDLLKNVGVQAIVGPQTSSQAKFIAELGKKSTVPVISFSADCPSQFGQNPYFIRTAWNDSSQAEAIASLVEKHNWREVVPVFEDDDSNTRFIPDLVDALRQVDTRVSYRCKIHPSDTGDDMRRAISNLKLNWTTVFVVRMSHALALKFFNLAKDEGMMGQGYVWITAYGLTDIFDVVGSPALDVMQGVLGVKPHVQDTVEVQNFRQRWRNKYRSENRGTSLSEPTVSGLYAYDTIWAIALAAEKAGYVNADFQPSVRNNGSTDFDRIDTSEGAEKLRDALLKVNFSGMSGKFQIKDMQLVSVNYTIINIVDQKRRLVGFWTPRSGISGSLNTKVDLDTIIWPGYNKAAPRGWLFPVNKTLQIAVPVKAGFDEFVRFENGKAMGFCIDVFEAVVQSLPYDVPRNYVQFRDETGHSKGTYDDLVYSVYLEV >cds.KYUSt_chr4.44307 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274522596:274525133:-1 gene:KYUSg_chr4.44307 transcript:KYUSt_chr4.44307 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTTFTKLFVGGLAWQTQRDAMRRYFEQFGDIAEAVVIADKHTGRSRGYGFVTFRDPEAAARALQDPTPVIDGRRANCNLAALGASQRPHPAAAPAPAPFGMVRSRPAAASSSSYQGTAAAAMAPSYFPQHAHYTYPYYYGYSPESMYQMQMSYYGAHGGAGVQQQQQQQSQLQTYYAAAGAEGGQQGFSPYYLQQMQAAASNQEQSSSAAAVQYAQMMQYAAHMQQAAHASRLHGTAVSDVPSDAGTRKGGTAAAVGGPGSSQTSPETDRKEEP >cds.KYUSt_chr3.14456 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87862450:87863268:-1 gene:KYUSg_chr3.14456 transcript:KYUSt_chr3.14456 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLVPWPADPFTTGVASSACPREEKLPPAPARWKPGVDLSGSLLYTPLLVNNVSTAGVSSKGDKSTDYFIGVTAIKVNGRAVPLNATLLGIDKQGFGGTKLSTLCYDGSKVGSTRVGPAVPTVELVLQNEATSWVVFGANSMVPARGGALCLGVVDGGPAPRTSVVVGGHMMEDNLLEFDLQRSRLGFSSSLLFRQTTCNNFRLG >cds.KYUSt_chr3.3417 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19468539:19474271:-1 gene:KYUSg_chr3.3417 transcript:KYUSt_chr3.3417 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPPHQVAVEADSAVCAGRVGARAASSKDGTDCCFWDGVGCSNSSGHVTALELSGFFDVVSPWLQGPNFQNLVGNLSNLRELYLDFVDMSSSRDWCHTLAKFLPDLRVLSLRHCNLVSPICMSLSTLHSLTVINLEGNFGISAAPFPEFFMDFLNLSVLNLAGTNLQGLFPRKTFKSKSLRVLDLSGNRGLLGHMPNFANTSSLEAMMLDGTNFYFAKPSSFSCFKYLKVLSLDVNFVHVEPQPSLGIIRSIQHLELSQMDSTRNLGPILAWIEDLQNLRSLKLHGVNFAETSSSSVAKLKSLRSLAICECSFTRPLLSTLAAVGNLSNLESLEINYCGFFGPIPDEVGLLKKLTVLRIGQCSLSGRIPNSIVNLTRLIDLDLSSNLLSVISILDLSFNNFSGRIPSCLIEDGHASVLSLRDNQFEGLLPNNIKDQCNLHTLDLNNNKIKGKLSMTLTKCLQLEFLDIGNNRMVGTFPSWLGKLPVLRVLVLRSNRFYGSMGGYLDRDDKSGEYFSSLQILDLASNNFFGNLSSHLFEGLESMMTEMNTTGLIVTYEGGFHGHPYQDTVTIAYKSIYRTFDKILTTLTTVDLSNNSFDGAIPESLGRLVSLHVLNLLSNAFTGHIPHELGRMTQLESLDLSRNQLSGDIPEELTNLNFLGIWNLCNNQLVGKIPRSGQFSTFQNSSFEGNLGLCGPPLSNPCSFSPVPPSVAHVEKSSNVDVMLFLFTGLGFGTGFAVAILLRCGRTDKCLVKSARGLRT >cds.KYUSt_contig_1181.713 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:4954790:4959059:-1 gene:KYUSg_contig_1181.713 transcript:KYUSt_contig_1181.713 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLKYRKLSYGSTAVVPLWYRLVSSKLWRPLRYLERTRSLCGRTRKQRRARGSLPPSRVFRDREQPAQLRLPPAPCATHARAHTCASPDSRRRGYQKASLEHGEREVEQCSGDEESTAGHAMDESTELEAAERVVMRWDSSPASTAAAGRDDEPMLFDGAGDRAEAERFLRAVDDIRRLAPPSPAAVGSPRRLSSGSGAAAASGLGGCSAVQVAMARLEDEFRHVLSSRALDLEIEALADLTSLSSISSDRSNSLSSVDLPAVDEDDPVAYRVGRRSSYRSLRSIREIDLLPDDAVADLRAIASRMAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWDALEAKIRRWIRAARAAVRGVFASERRLSFHIFHDLPIANVTAVTTAAATHDTPFVEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDVSDIFAASKAAESIYVQAVEIRSRLADAVRGILSEFENAVLRDPPKTPVPGGTVHPLTRYVMNYSSLISDYRATLTELIVSRPSASARLAAEGSELAPSLADLDLPELENQSPLAAHIIWIILVLEHNLEGKASLYKDTALSHLFLMNNVHYIVHKVKDSPELWSMIGDDYLKLLTGKFTRAATNYQRSSWLKILNCLRDEGLHVTGGFSSGISKSALRDRFKSFNAAFEDAHRVQSGWSVPDTQLREELRISIAEKLLPAYRSFLGRFRHHIENGRHPELYIKHSAEDLENYVNDLFEGAPPTPHNRRRSHG >cds.KYUSt_chr5.3429 pep primary_assembly:MPB_Lper_Kyuss_1697:5:22151050:22152570:-1 gene:KYUSg_chr5.3429 transcript:KYUSt_chr5.3429 gene_biotype:protein_coding transcript_biotype:protein_coding METTSFLIAGVLLLLPLAVLLRNAARQRRGLPPGPPAVPLFGNLLWLRNSAADVEPLLLGLFKKYGPIVTLRIGSRLSIFVADRHLAHQALIGAGVALADRPQAATSSLLGVTDNIITRANYGPVWRLLRRNLVSETLHPSRVKLFAPARAWVRRVLMEKLRDEETPNVMEAFQYSMFCLLVLMCFGERLDEPAVRAIENAERAWLLYISRKLTVFFFLPSITKHLFRDRLRVAHGLRMRQRDLFVPLIQARREYKKGHAQATETTFQHSYVDTLLDVTLPEEGNRPLTDDEIVALCSEFLNAGTDTTSTGLQWIMAELVKNPAVQDKLYDEIKATCGDQDEEVSEDKIEAVKMPYLKAVILEGLRKHPPGHFVLPHKAAQDMEVGGYLIPKGTTVNFMVAEMGRDEGTWDKAMEFVPERFLEDDNKLATVDMYGTKGIKMMPFGVGRRICAGLSIAMLHLEYFVANMVKEFEWKEVAGKEVDFAEKREFTTVMANPLRPRLVPRN >cds.KYUSt_chr7.34047 pep primary_assembly:MPB_Lper_Kyuss_1697:7:212645434:212649010:-1 gene:KYUSg_chr7.34047 transcript:KYUSt_chr7.34047 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRKGRSAGRRAAVRWWLLSLAGTAAAAALLAVALHVSASASAGAPYRLAKQPREAEELRWEQEFAPPQLASPHSRKLDGATDDAAPDKTLWLPAPSRRFVPCVSPSLEYKRPAKSRGYLLVHTNGGLNQMRAGISDMVAVARILNATLIIPELDKKSFWLDKSNFSDVFDEEHFIRSLVNDVKVEKKLPMELVKAPKSVRHFKSWSGVDYYQDEISPLWEHRQVIRAAKSDSRLANNFLPPDIQKLRCRAFFQALRYAPPIEALGNLLVERMKSFGPYIALHLRYEKDMLAFSGCTYGLSQTESDELASIRENTTYWKVKDIDPLEQRSDGHCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHMVELQSRFPILMSKEKLASAEELRPFRKYASQMAALDYIVSVESDVFIPSYSGNMARAVAGHRRFHGHRKTVIPDRKALVRLFDKVDSGLLNEGYELSQMITEMHRKRQGSPRKRKGPVSGTKGSDRFRSEEAFYENPLPDCFCQSKDDSS >cds.KYUSt_chr4.7168 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42409650:42416030:-1 gene:KYUSg_chr4.7168 transcript:KYUSt_chr4.7168 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRKFEYPETMTDDEIARHGVLVSEVDRPVQPPLPRYATGIMPPGLTEEETLRQALQDSAMPPVQPPPPPPPYNQWGPPPPPPAWAAQPPAPEWAAPPPPPEWAAPLPPSAWPAPPPPPSAEPAYVPSIPNWPWPLPELVVIDSDDENIPKGDQESLGATGTSHLRRRKSEPTCSVRTITLLDGYIWRKYGQKKVHGSENTRNYYRCTQKPGQGCTAIRTVQVSDEEPQFFNITYYGVHSCVEPTIRRPELQRGSGPLAVGIKAAEESVAASSSFPGSSSVTNPATPESQITYKTQTSVGQPERTFENVNYCFPLQFENMQDVWTDDIGFGFGDNSSDSESDQILYGRRPQDEYKEGMWVLPHSGVLNPILTINCIRMEL >cds.KYUSt_scaffold_1700.456 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2913019:2915562:1 gene:KYUSg_scaffold_1700.456 transcript:KYUSt_scaffold_1700.456 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVALGASDVVTEPSRPERDRSTCMCYADGLEYAEGGCRRIPLYVEGVHTPRGSLGRCPGPGPGRQTRCPHSSKPPPNQTQGNGKAPYDRRCKEARGRRLGTAPPSGATAPASTSLATETSRPAATNQPHREGQNAPRRCLQQGSNASVAAARSRWSLSFHPELLGPLTSVGIGRLHNDANKKENDARRHRRRRHVPKSRAELSPGGRPDREARWSSRPGADALWPTTKTPQHALTAKTNSGPGRACRPSPEQPKPPPHNAAAISTASRAAAVPARCGPDRPRNGPPDHHLCAGSRSRRRKPQRPASHYLRRRSRREPQGRRPCPLFPSGDAPHGPASPAKQTRDPLTSFGDEVRRHRGSGQRQRRQRGGAGGPPAVAALGFAPSVVRGATRGAGVFQLFGLF >cds.KYUSt_chr6.6425 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38895484:38897296:-1 gene:KYUSg_chr6.6425 transcript:KYUSt_chr6.6425 gene_biotype:protein_coding transcript_biotype:protein_coding MALAANTHGRVVHTCTLSSKPPTLFSRSTAAVPGHHAHSLRARFEVRAIAERATWLPGLDPPTYLDGTLPGDYGFDPLGLGEQPEDLKWYVQAELVHCRFAMAGVAGILGTDLIRVSGISNLPVWFEAGATKFDFANTTALFFVQLLLMGFVETKRYMDFLHPGSQAKEGTFLGIEASLEGLQPGYPGGPLFNPMGLAKDIENAHEVKLKEIKNGRLAMIAMLGFIVQASVTHAGPIDNLLTHLSDPFNKNIVHALTSS >cds.KYUSt_chr4.51254 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317917088:317917453:1 gene:KYUSg_chr4.51254 transcript:KYUSt_chr4.51254 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHVIDGSMASGKPNSGWMDTINGQEKQLGRERPDLALQQAHGRVHVRHRHTQRPGGRHRDDGPRRLREHPRAPETGLCKRAAGPEEMETRGLVVRCEEDTAVERLDAVGTLGGQINSDS >cds.KYUSt_chr7.20788 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128873210:128874525:-1 gene:KYUSg_chr7.20788 transcript:KYUSt_chr7.20788 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMITSPLVAPTSLPSLSRRGSNFAVVQSGGKKIKVDKPLGLSGSFSYDVDANGRKGTGKGVYQFVDKYGANVDGYSPIWAPESWSESGDRYAGGTTGLLIWAVTLAGILGGGALLVYSTSALAS >cds.KYUSt_chr5.31265 pep primary_assembly:MPB_Lper_Kyuss_1697:5:198084585:198087822:1 gene:KYUSg_chr5.31265 transcript:KYUSt_chr5.31265 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVNTVAQQLPGHGQTVCVTGAAGYIASWLVKLLLERGYTVKGTNAHLKALDGAVERLILCKADLLDYDAICAAAEGCHGVFHTASPVTDDPEQMVEPAVRGTEYVINAAADAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLEFCKKTKNWYCYGKAVAEQAAWEAARKRGIDLVVVNPVLVVGPLLQPTVNASAAHILKYLDGSAKKYANAVQSYVDVRDVADAHIRVFEAPEASGRYLCAERVLHRGDVVQILGKLFPEYPVPTRCSDEVNPRKQPYKMSNQKLQDLGLQFTPVNDSLYETVKSLQEKGHLLVPSKNIPEGLNGVTA >cds.KYUSt_chr6.2274 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13320005:13328407:1 gene:KYUSg_chr6.2274 transcript:KYUSt_chr6.2274 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAHEAVAMAEVLGLVPGFVDILVLAGGRTSSGAPASWRSGDVQKALRWALFFEEVFRNLRESGRYEDSTREFDAALAELTSNRNFPQGLADVRSDTLSTARELVIKHFLKAETTSVENLCAVLEAVVEMDIEGIGGSGERDACQEYVESILDMDLSSLARTKSGGDAGVPATSSDELYAESLFMGHSRVLVSELLERLDSASCISLAEGVLGGLLNSAKRNSFDDAGSTSRAPASLMCEICLLGLVSMRWDPLIQSFVSHAFSSIPISKQYADLHQLLQGPYQDKCLDKILNLKENDILEYARQSLKTKPHILWLLPPVLTAAAIPPRSTLFEIYLAEIDKQFDEAASTDRRCNCRRDGIEQHDNCTVPGFSYNSQISVIDINVVPAVSEVTAPKFPEYRTFYIEKDMCGNSITSYNTFWAANGVLQSTSNFTSDPCINNGLPTGKLSPESHITAMHGCDTSLTSVHSSHKGNPGYLRMFYPKVSEEISWGEKPLPGVFDYPASIDVSDQRNIIVSQQTQDINTVDHDTHLAHQNEWFSSGSSGQFFENSGSGGSVLMAVDATSTTPSNHAYFHEQNNISSPFNMDELSSDKSPSSDTAPTKSRMRWTPELHERFVDAVNKLGGSGREFIYLCPVPAEATPKAVQKVMKVEGLTIYHVKSHLQKYRTVRHQSESSDGTSTERSSHMDEVCSQSSKAMEACEGLRIQIGLQKQLHEQLEVQRKLQLQVEEHSKYLERVIAKQGESLKLLGGLPRFQHASRQAVDHKETYREQTVGVEESHSEKK >cds.KYUSt_chr2.16854 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106124806:106128516:1 gene:KYUSg_chr2.16854 transcript:KYUSt_chr2.16854 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDGGNPFEEEDVNPFSNPRNVAPASNSRLSPLPPEPADFSATVDIPLDSSKDLKKREKELQAREAELNKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDIANEIPSHLQRMQYFAFASFLGLACCLFWNVIAVTTAWIKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLLYLFHIIFVVFAAVAPPAVFEGKSLAGILPAIDLISVNALVGIFYFIGFGLFALESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMRAAF >cds.KYUSt_chr6.22692 pep primary_assembly:MPB_Lper_Kyuss_1697:6:143314670:143315674:-1 gene:KYUSg_chr6.22692 transcript:KYUSt_chr6.22692 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVPSPARKYFWGDSPEPDEYYVSLGLRHSESYYQSPCGRLFTQSFHPLSAAHDGDVKGVVFMTHGYGTDSSWLFQYIAISYAQWGYAVFCADVLGHGRSDGIHGYVGDMESVARASLSFFLSVRKTTAYAPLPAFLLGESMGGAATLLMYLRSPPDAGWTGLIFLAPLFVIPEEMHPSRVRLFLYGLLLGFADTWAVLPDNNIGCKAIRDPEKLKVAGSNPRRYCGAPRVGTMRELARLTELLQESFGEVTAPFQAVHGTGDVVTAPEGSKMLYERAPSEDKSLILYEGMCHELITGETDENRDRVLADLRAWIDERVRRYGNAAVSTPA >cds.KYUSt_chr4.10475 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63603355:63603609:1 gene:KYUSg_chr4.10475 transcript:KYUSt_chr4.10475 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFTAWFESVHSSAPPAVQKGVKSIIILTMWRLWKLRNDYIFNGATPINLELVHSILDKARLWMLASAKALRCLPLNAQPPDA >cds.KYUSt_chr2.20521 pep primary_assembly:MPB_Lper_Kyuss_1697:2:129006111:129007492:1 gene:KYUSg_chr2.20521 transcript:KYUSt_chr2.20521 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHHQQRNNDFLLVVSPDQHGARILVSGVEKINTSPEEGRTFDALYFVPVRPGAPGPCSTSDVRHGCLRELRLYLLHVAASPPLDTIRPVAYWFVPGFPNRLA >cds.KYUSt_chr7.40624 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252152044:252154399:1 gene:KYUSg_chr7.40624 transcript:KYUSt_chr7.40624 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLQRSAAVSGKEPEMGHQQEVVAHVYDVACVGPDGASGGGATVLHFNRIFKDAIGLGGIFHTAIQVYGEEEWSFGYCEHGSGVFSCPPCKNPMYTFRESIVLGKTSCSMLKVNHIARELSLEWPGSSYEILSRNCNHFCNEFCDKLDVPKLPGWINRFANAGDAALEAAELTAEKLKQAKKEIFTACKTASTYLTGAPSSTPSDVEDTGGSTSRSIFDGTWIRSIIGISMKPSRSLMNDPSSSSDDKTSDDESESDGKQPCSDQNEDQKDATQEQAAKSEDEPHDQP >cds.KYUSt_chr3.23475 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145101391:145104871:1 gene:KYUSg_chr3.23475 transcript:KYUSt_chr3.23475 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGREQPQVEAEHEAVELVLFQVAECYVYLIPPRMTAASYRADEWNVNKWAWEGALKVASKGEECVIKLEDKSTGELYARAFLREGEQHPVEAVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERTEAYDFQAALHDHMKYLNKKKTAEEMVQHYENTSSVDYSLKEGETLVLQLKKKETGTNTKSAFFEQGLNKLSFNEKTNTKEGTVSLKLPPPPPSPVSPTDSGVSLSPFKAEFPSQEQPATDDSGEAAPFKAEFPSQEQAPAGAPPSKAEHAPHEQPAAVEKTKQGSAEDDFDFGDFQAAA >cds.KYUSt_chr5.33823 pep primary_assembly:MPB_Lper_Kyuss_1697:5:214840641:214841348:1 gene:KYUSg_chr5.33823 transcript:KYUSt_chr5.33823 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLLMSSSPEAQLALMNTMLQLEQALNDQSFTPDASPPISPVQTPSQSLSPPPHVLTTCAAADAANGYFYHQDYLHSPPAAYGNSAGGHQEYVMSPGAVTTGGAPQGYSSSSDAMREMIFHIAALQPVEVDDSEAAPRPAKRRNVRTSKDPQSVAARLRRERISERIRVLQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLELAAAATAAHRAAVFGAGAYHSAPMQHAPW >cds.KYUSt_contig_915.128 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:773776:779226:-1 gene:KYUSg_contig_915.128 transcript:KYUSt_contig_915.128 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAPEPRRSARRPRTKPAYVDADAEIEPAGVKRRRRARGKGKPKQPAAAPATMVDDDDDDVCASEPDEEQLRLAEEEEEAAALEAEEQANAQKLGARKKRAAAPPSATQEQPDDASESEDHFVGDPMPDDEARRRWPERYTSKDSDDPQVTQWSDREEEKSALCHYTSARVDDSNFDLGDDVYVRAVFFFFFFDTIQHMSKKKLQVITEKLLNVGDHEHDDKRVFLSEQKDDNKIGSIISKVNIIYVGPNVAPQDKAQLIAKSDLYYDMSYSEAYSTFSNMPPENIGATGSETTSDISCDDDLSSNEKVIADFAAPPDAQTGTATLLDLYSGCGAMSTGLCMGAALFGLKFNRKWAVDMNPHACSSLRHNHPRTEVRNEKAENYLSLLQEWEALCKAYDVYNSNFLPRTLNDDEVDVNVPLSEGTFEVEKFVDICYGDPNSTGKDGLCFKQCLVACDETEAKNVEEALVLRDALSDLPKGANFRDLGGVLVGKNKKVEFDPDIPRVYLSSGKPLVPDYAMSFMKGKSFKPFGRLWLDETVSTVVTRAEPHNQAILHPNQDRVLTVRENARLQGFPDYYKLDGPIKQKYIQVGNAVAIPVARALGYSLAQAYQQREFDGDQCPLFKLPGNFIPVAARLPR >cds.KYUSt_chr4.17147 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106984674:106986005:-1 gene:KYUSg_chr4.17147 transcript:KYUSt_chr4.17147 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPAPYLPDMAAPNSNSSVSSASSSSTASVAGRSSTFSVDSAVATPTSSPPRPHRAGDLAWEAIRAAAAPLGPRDFTLVRRVGAGDIGTVYLCRLEAEGNQSCAYAMKVVDRRALAKKGKLGRAAAEKRVLRRLDHPFLPTMFADFDAGTDYSCIVMEFCPGGDLHSLRHRMPGRRFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRGDGHIMLTDFDLSLESTASPSLEEERNVAGDDDGDDARASIPTCFPEVHLLRLMKWRRRAAPRPRPRPRFVAEPVDARSSSFVGTHEYVAPEVASGGGHGASVDWWAYGVFLYELLYGRTPFVGATNEATLRNIVRAPLECPPLPAATASHGDAAAARDLIARLLDKDPKARLGSRRGAADVKAHPFFRGLNFALLRSSAPPVVPPRAALHQQCGKASPDVHNLFDQF >cds.KYUSt_chr4.46921 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290259688:290279637:1 gene:KYUSg_chr4.46921 transcript:KYUSt_chr4.46921 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNSLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTVTHFWSMLKLLDVLLQLDHLKNAKASIPNDFSWYKRTFTQVSTQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESVELDFALLFPERHTLLRVLPVLVVLATSSEKESEALYKRVKINRLLSIFKRHYLILNHMGTIRAEHDDFSIRFSSAMNQMIILKSSDGADNDWSRDIKGNMYDTVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPVSDSQQDSTTFFDYEKVVRWNYTAEERRALLELIGYIKSIGLMMQHCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANTSKADPEQRSLHQETAEMRQSTFYPRPVAPTAAQIHCLQFLICELVSGGNLRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDNVIESQDAGLLESILIPLDLYNDSAQHALTYLKQRFLYDEIEAEVDLSFDLLVQKLNEIIFTYYKSCAASTLLDSSFTYACDDGEKYSVKPLRFDAIFKLRRVMILGRTIDLRSLITQRMNKLFRENIDFLLGRFENGDLCGVVELQKLLDILELTHQSISRYLELDSYSLMLSEMQENLSLVSYSSRISSQIWNEMQMDFLPNFILCNTTQRFVRSLKGANHSSRRSNASTGKPYFYCGSHDLTMAYQGLAGLYRDFFGIPHMFAVVKLLGSRSLPGIIRALLDHISSKITAMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSDVKIEVLHDLKEIGSALYWMSLMDIVLRQIDTTQFMQSAPWLGLVPGNDGQVKQANSDNTPFTTLLSSATNAVASSPACSNPSSFLVMSKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRVFSGLQYSYLEDSITNPSKKQEMLGDSVAWAGCTIMYLLGQQLHFELFDFSYQFLNVAEIENTTVSLYQSADRSKSPNIFQGYEGILEAMRKARRLNNHVFSMLRARCPLEDKIACAIKPSGAPLHRMKFSNTVSAFETLPQRANS >cds.KYUSt_chr2.39631 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245856931:245859881:-1 gene:KYUSg_chr2.39631 transcript:KYUSt_chr2.39631 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSLQHQQFRACAKTRVDVRGPPGHGLRSPASGTIGRKPSYSDTSVPEAQLQMLNNWSSKVDAFFQSTKLSFIIVHAIGKGAAENITPQSEFVATAPTLARLASPVATEKEDKEDL >cds.KYUSt_chr2.42099 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262125176:262128698:1 gene:KYUSg_chr2.42099 transcript:KYUSt_chr2.42099 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDDRCSVLLPSGSFFLGFDCSTQSLKATVLDAGLAIVAHDAVHFDSELPHYGTEGGVRRDPAEPGRIVSPPLMWAEALDLLLHKLKPKVDYGRVAAVSGSAQQHGSVYWAHGAGAALASLDPADGLATQLAAALAAPESPVWMDGSTTAQCREVEAALGGALALAAMTGCRAHERCTGPQIRKMFQTRRRVYDGTERISLVSSFMASLLIGGYSCIDQTDGAGMNLMDIQTRQLREDALEATAPDLEMKIGKLVPAHEIAGTVAPYFVQRFQFTRKCLVVQWSGDNPNSLAGLTLSSPGDLAISLGTSDTVFGITDMPEPSLDGNILPNPVDPKTYMVMLCYKNGSLTREDIRDRYAEKSWDVFNNFLEQTDPLNGGKLGFYYKEQEILPPLPVGYHRYIVDTLTSGSSAETARHQTDEFDPLSEVRAVIEGQFLSMKGHAERCGLPVPPKRIIATGGASSNRAILKIMASIFGCPVYTVQRPDSASLGAALRAAHGWLFKQQGDFVPISRLYSGRLETTSLSMKLAVPFGDCQGDAELLNNYKLLLNKRLEIEQELVERFGRRTCAK >cds.KYUSt_chr5.40861 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257892362:257897185:1 gene:KYUSg_chr5.40861 transcript:KYUSt_chr5.40861 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQCWGSTGRSENGWTGSNLSRKVNSLTPSLTGNFTFCPVLLCHSIICPCLHLPLLFTTLYIYLSSSASSTSASDDDAPRRSSRRAAAYDAAALDLWTSQPAPIQERRHRLLHLMGLAGDPALARFAPAASSHGSRSDPPATLSDAATPEEDPSCLIRNLDDGTQFAVREEAGLREVGTGRQLTVEEFELFIGRSPLVQELMRRQSAITNCNSAPNSAPNSNSQSGASTPMERSSSGSSNGGARSRRRGSGWLRTIRCVAAYDASSDKGGRRSSSATDDSQEGAARHGPDRVKVRPYGKSGKDFGGLFMNQEIHGHRGSIWTIKFSTDGRYLATAGEDCVIHVWEVLQSGRMSQLEHNGTCNPFATMVCDDEGEGSHLEKKLPAQALNTGRSASSEQLRVPAHVFALSEKPVITFAGHSDDVLDLCWSKSQVKSSTSGRVVRHVPSFEDSSEVSDQEEQRKAKKKKKNKRKTKDFHWSVVTTFNKMKDTSTPKRRDHECFPDENILTRQQLSERSSKGCSDHRG >cds.KYUSt_contig_7426.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001521.1:45795:46609:-1 gene:KYUSg_contig_7426.5 transcript:KYUSt_contig_7426.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHARALATPFCFSSPAGGRGQPEERHIDAERRKLQLEDAAEAFGVMLTLHKSEPEMYTDTFIAALVANLLGVGTETTSTTTEWAMALLLNHPAVLNKAQAEIDARLGADLSPPPRQDRPAPPPLPPPRHHRDAPPAPRRAPLLLPHEAAADCKLHGYDVAAGTIVLVNAHVINRDPATWGPAPTSSGREVRARRRGREAHDILRDGPPQVPRGEPHHEDHGPGSWHAHPKLLPEKGWG >cds.KYUSt_chr7.10250 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62761242:62763023:-1 gene:KYUSg_chr7.10250 transcript:KYUSt_chr7.10250 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATASGLMLGAPGALTGAVAGLPPQSVLMPAGSSPAPTGCCPPSPVGCAASSRRLRDADPLWVGSLSSDDDDGEELAPQTPFVVAPGPDSGAIRVSNIVGSPPASGGTVEERMEPVAAMSCSLAVNNEVEGNVMKTCATPPAEDDEGWVQVGRGGRPGREPTPLLRGEGLECSLAFKRWARGRCFRCLERDHQVSTCRGPFRCIRCRRPGHRERFCGARFPATMGEEVLTCVGSPVAFAPCQRGNSLSAQPRRPSSPRSWVEVVDHTAVAPRPSPTSCEQFKINATLDSLFQSQVALMRMELLQLVDVRVEEASRPLREEVAALKLLLACAGVSVEPTEACPSVSLGLAKVQASVALDSFEEKSVVEEEHLHGCFSPRGPSSLPHESAASECEGLDMIAAQSIDLELSDVADTPVSLSQESGEQVVAKSGVLTHVPASSPPQLEPCQSPLPVVLADSKCRDIDEFLAPMLQVTEELHELRGDSPGSFPSALCSFETMEVATTPSPPQSEPCQSLASLDYGAVLVPISDALFAKELCGLLASLEAASPGYGREIACVLAGKALEDMIRKVEKSLKKVSIRRIRRRAITREV >cds.KYUSt_chr6.23489 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148337293:148343362:1 gene:KYUSg_chr6.23489 transcript:KYUSt_chr6.23489 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDLGLLPAPPVITSSLWPLGHRGVVDLGPRRFSVIASMGLAKDGAEMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSKHAAEGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDATH >cds.KYUSt_chr2.44533 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277092866:277093357:1 gene:KYUSg_chr2.44533 transcript:KYUSt_chr2.44533 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFTPGRGKLRRRDRRAATVVCVLIQRRPCISMATGGADRDLPARASSIDGVSTATTTDSPAQLSVFAGDGSGSRPLRSANKNGRLSSVQLRSPSPSASRSGAAWGDALAPPRHASRDRDGSAKSSGFGQSCDEPSWNGHILASSFLLFWLVSTAFVSAWVP >cds.KYUSt_chr2.11443 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72739641:72740930:-1 gene:KYUSg_chr2.11443 transcript:KYUSt_chr2.11443 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPLPTPERVPGLSFAPQDDELITRYLRPKIARQPLPVATADFIHESDVYAADPAALCAAFPPSYSGIDESSKIWYFFTSPKAKNSRGTRKSRTVGENQGTWHSESRKDVFAGKEKDSLIGYRRSFSHETSSGDKSGWLMMEFGVGGNQEDGLVVSKIYKTPRPATAGCSSSARKKRKATDPAAPSARVRRRLNFRSPPATPTDHFLNESQRQHSEAEERTPAFDPISFLADGHAPSSLNCYGTPVLGDWPTAPENYGSWTTAAPLLPVEARPEETASAGDCSFFLNDHDGFALSCPGTARIAPDAYWTSPAVSEQYVGTTSEDCSFLLNNHAGFAPTCPDTTLLPPVASQQHGCEISYGSCTSGMEFFETLPVTGFQHDTPFYWPPEPSSAPTASSAAVIPLRSCGTNWASPMLLPPEGWMNSLC >cds.KYUSt_chr4.31002 pep primary_assembly:MPB_Lper_Kyuss_1697:4:194014077:194018372:-1 gene:KYUSg_chr4.31002 transcript:KYUSt_chr4.31002 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNSSFTLPNASAHGRRLEVRLGKRPVVDPVDYLPTVSVERARINRRNRHLINAYLNKSLKIGKVSRLELPLSVQLIDIFYCNTVGRSPDPIGRIWCILCLVVLADQLWWQELWLGRMMELGISPNKAVFSFFWRLVELVRLRSTRRSPVKLNVRFPRWKLGATCRSSEAFFNKRIGGLLCCWSPLLLLSILAGRGGEENGWLAAALCSDGGNSGVRDTTTAWSSSSVARAWLPTLGAGGQQLHGLAPVLRQDFFNLPWRPCVGLATELTLSTSPSGLVPGAGGDDRRLKPKIVGGDGGPDCFSYFLFRVYSVKAKDLISFVLLSKVLFVICTTPLLD >cds.KYUSt_chr4.18003 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112872277:112873698:1 gene:KYUSg_chr4.18003 transcript:KYUSt_chr4.18003 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHQKLLHFFRQDPACSPRSFSSSSTSVSDDDGHSCSSYATTDGDASPTTSRYASSTPPTPKSPWAHFPGLATATDPAATGLVASLVKEDGHVYSLAATGDVLYTGTDSRNVRVWRDNRELAGSFRTASGLVKAIVVAADGRIFTGHQDGKVRVWRADDAASPAAHRQVGSLPKLADYLRSAVNPAGYVQTERKGRKRAVWLRHSDAVSSLSLDEGAGLLYSASWDRTFKVWRVSDSKCLESVRAHDDAVNTVAAAGFDGVVFTGSADGTVKVWRRQVSAKSGGATKHVLEKVLREGESAVTAIAVSPEDRVVYVGSSDGLVTYWYWVDGEARYGGVLKGHKMAVMCLAVSGNVVVSGSADRTLCVWRRDGAEHVSLAVLAGHTGPVKCVAMDEEEPAGSRGDRRFVVYSGSLDGSVKVWRLSDADAPVTEQTHAAPPQPLPVWRGQPAAPAAYAGAWAAYQTPELKRVAAT >cds.KYUSt_chr2.53348 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332859069:332861764:1 gene:KYUSg_chr2.53348 transcript:KYUSt_chr2.53348 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRDVTTNADGVWTLEELADASMPIDEAHEPDSTLAVVAHSSPNRRRPAHPPDSARISIYRRPRANYTRPLDPHCTVLGGAKELLENFQQKLNKSAEHEVHGTPDMEDKRADRIQTSSEGSNQNDNVTECAQYDDVFGQWFVSFAHHDLLLLENQIPFFVVKRIFELVAGQCTVTPCTNEIAKAIETALRWYPKSIQESCRPNDFCHLLHLCHLYFRPTVKQEENYHDQVAPQYINRFLSFGLKYLRIRQQHDDNERDISDSNKVDFIQGGQYLNRWRRAEQYLEAGVNFKKRKYDKVNPHSLLDIQFINSLMEIPCLAIDEFTGTLFRNLIAFEQTCPQFGDDFTAYIVFLSQLLSMPEDVTLLAQREIIVHHLDSDDNVSDLFTMLSKDVVFDFNGNYYLKSLCQTMEAHYQNRINRWMAWLWLNHFRNPWLALAAFATVIVLVCTVVQTVYGILAYIDPPQ >cds.KYUSt_chr2.47378 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296386109:296390092:-1 gene:KYUSg_chr2.47378 transcript:KYUSt_chr2.47378 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRRLSSNGTQVFTYLAYAIDLDKGAFEERWRQRTAMYRRVGEDMPRSARGHLAGAQQQTPVTWETNEKSTLLEVMLQYHRQVRTEGEEEDA >cds.KYUSt_chr4.27822 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174800881:174803468:-1 gene:KYUSg_chr4.27822 transcript:KYUSt_chr4.27822 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIEILTEMTLDSSTNLKTGTKENLIKKQLQIFLNGDEAEEEKHRLTAGKTLGLLSKIENINVFIMKEQSNIFYLLSGILDAKNSITYKTVALEVLENLCTHCTLDKNYVKESLLPKILAEVLASQRELPKIERKNSELDRPLRRNRANLTSVKKRLPTKRDEENQVISGPRGDEEKQLVSKHSGQIKSRDQANEEQIAKMEFQEALLSLTLVICDKLISADDFDEVARKAAPGEGRPSPAKKRATSTVVPKAAAPGDLVSRVKPEIASTHRREAGGKWRRPWAWPPPTTSPCRSAAESRREEGAGRPPPSIPTAPADPPGESRREKGAQRRPPPPIPSARPPCSREGDPAAVHHASTRKDPRHRHTPWVFAPAALPAAAAARAGRLGIAVIMMRRSQYTAHFKEEKFVETLAEASQKMCNLESCMLFAGTDGGAKKTGSGLLWEVVKEAQAMVA >cds.KYUSt_chr7.15626 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97042763:97045708:1 gene:KYUSg_chr7.15626 transcript:KYUSt_chr7.15626 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSVSHHTGVLSLHRQGPSPRQLSARSQAISTSSQAMAVLRHSQHRGVLPLRRHGPSPRQLLARSRTISAPYQSTEEDEMTEEDEKTEEDKKTEEDKKDSTPPPVSWVERSLEAALPYARLARLEKPIGSWLLAWPCMCRVLGLIWLPLVSSYPLMKRLTFWPQAYLGLSFNWGALLGWAAIKDSLEPTVLLPMYIAGICWTLVYDTIYAHQDKKDDLKIGVKSTAIRFGDSTKQWISAFGTATIGGLALSGYNAGLG >cds.KYUSt_chr5.19809 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128550986:128553014:1 gene:KYUSg_chr5.19809 transcript:KYUSt_chr5.19809 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGARLSRSSTRYGPVGSSNASFSGTVRKWRKAWAPLAGGASGGGGGGAGSASAGMGPIGCPRGNKMVLLKWAPVNGAGADDGNGTGKEVAAAATRRRYVPALPQNPTRKSGSTELNLNLGLEDPDDDSDADLSADEQPDSSSTPRSENRLKRKVF >cds.KYUSt_chr4.2021 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11040460:11044142:-1 gene:KYUSg_chr4.2021 transcript:KYUSt_chr4.2021 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSCNEGCADAIVRASALFVGIYEPTKRKLLDVFPDNLSAVAHLTAGAVGGFAASLIRVPTEVVKQRMQTGQFSTAPNAVRMIVAKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLVAKRELNDPENALIGAFAGAITGAITTPLDVLKTRLMVQGQTKQYSGIVSCAQTILREEGPKAFLRGIEPRVLWIGIGGSIFFGVLEKTKSVLAERSSRKATADKDE >cds.KYUSt_chr2.52539 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327744296:327747401:1 gene:KYUSg_chr2.52539 transcript:KYUSt_chr2.52539 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKPFLLLYSLLGMGIKDQDLEWSVQDLVVPFLAGVASDNEINDKLNANPELHGEEPNPNDLYSTLFPKAKKSTRYGLGMVVGGKGSENLAEALAALEESRKETRDLKLVVENMARKTDIIEGQLSQLMLVYQASQKIQDNQQQQQQTGEEGMEGIPTIQVTKQNVEKVISSEVTGCKENVRLSFFFHDLYCNHTVQMVQATTTHEDRRARKIKERKSVKEPNSSIPKETIYTHETTYSQQGVYSQESMFSQEEMFTNQEEGNHSKTTYKHLEAKTASVNKIIKSVKVEKAQENKMKNSEVKKKRNKGTVNVTKGMDVALTSPTSETIVALGTVQNADTDEYIEVMINMVLKRTTRLPQAKGRMTLLGEAEAHSIQWPRKNGCQPCWVMLAVKIEEVDMTHASIVSSMDKNLRIHSRSDGRVLTLEEQAANRDKIRRTDDSQNPDRTKRRRTFSFKSKASIASLVQADELLDDV >cds.KYUSt_chr3.25595 pep primary_assembly:MPB_Lper_Kyuss_1697:3:158890779:158905257:1 gene:KYUSg_chr3.25595 transcript:KYUSt_chr3.25595 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTSDKVVKIQLHPTQPWLVTADANDRVAIWNWEHRQVIYELKAGGVDERRLVGVKLDKLAEGETDSKGKPTEAIRGGSVKQVSFYDDDIRFWQHWRNCSAAAEAPTAVNQQSSAFTAPAPSTRGRHFVVICCENKAIFLDLVTMRGRDVPKQELDNKSLLCMEFLSRSSSSDAPLVAFGASDGVIRVLSMITWKLVRRYTGGHKGAISCLMTFMSAAGEVHLVSGGSDGLLVLWSADHIHDSRELVPKISLKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQALAQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAVAPLPAPAESKEHSAVYIVERELKLLNFQLSNTANPSLGSASETGRSRNESMDQLIVKQSKKHISTPAPHDSYSILSASSSGKYVAVVWPDIPSFAVYKASDWSVVDSGTGKLFAWDSCRDRYALVESALAPRMPLIVKGGSSKKAKEAAAAAAQAAAAAASAASAATVQVRILLDDGTAHVLQRSIDGRSEPVVGLHGGALLGVTYRTSRRISPLTATAISTVQSMPLSGFGGSASSFASDDPFSTREGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQYIVISSLRPQFRYLGDVSIPFATGAVWHRRQLFVATPTTIECVYVDAGVAPIDIETKKRKEEMKAREAQGRAVAEHGDLALITVEAPQVTASEKISLRPPMLQVVRLASFQHTPSIPPFVVPKQPKLNGDDSVFLKELDDRRYAEVAVAGGGVSVAVTRFPAEQKRPIGPLVLVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSKDLKRALACLLTMSNSRDVGQETTATDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQAEIAREVLKRLAAAASVKGALHGQTLRGLALRLANHGELTRLSGLVTNLITAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHAQAHGRPSLRSSVITWNKVLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAAPIVIKKAATAKPGLPNAAIGAPMNQAGAPMNQAGTPMNQGTPMAQGTPMNQGTPMVQGAPVSQGAPVVQGAPIVEGAPVAQGAPTDNGTPAPAQSTDEVKPLEATTAPDNVEATAATSNAEATATPGSTAEATEAPVTDPTSSPDAAAAPAPAPAAGSSGTDAPAVTPGEATKDAPSTEAPETEDKPPSTEASSSPPPPPPPPYSSV >cds.KYUSt_chr3.1845 pep primary_assembly:MPB_Lper_Kyuss_1697:3:10643131:10643796:-1 gene:KYUSg_chr3.1845 transcript:KYUSt_chr3.1845 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFGSLAPDLLGKIWCVWWWRRCGKELWRSEMAPLDLPTRISINKPKVCSPSSISMFVLFALLPLAGRGGEGWGKRMEDGGSMEMSRGSLSLVCWCGTDGWPSSGDVKSPRWGMESFATPAKPPCNKHCGLQRCALDILDIDLAGRGGEVEDEDGDDGVFFAFRRWEVTFLSSSKATSMAYPIVGDGLRRFNLRCEVLPEGCSPILRLRGGEWFRPRLFA >cds.KYUSt_chr7.7387 pep primary_assembly:MPB_Lper_Kyuss_1697:7:44326148:44327057:-1 gene:KYUSg_chr7.7387 transcript:KYUSt_chr7.7387 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVSMLNEGPVDPDSTPLGHDYDKNIEDYENGELSAGDNSDDECDEMKNERFAMERYRQMPASKGRTFLLMHCFKLLEHSDKWKVREQEASPMRGEFVQLDDDVLLAKGKNKVRPDGNKSAKEKIRKQAETQRCRDKIDEMMKSKENLVTQDFGD >cds.KYUSt_chr4.10011 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60545150:60551095:1 gene:KYUSg_chr4.10011 transcript:KYUSt_chr4.10011 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGRGKGKHPSMDEEAELDVDRRGAAPAPPPRDGRKGRRQRTRRHREEGCFDLLIPPMDGEQAIAAIWKLLEIPCHGLIVHPGFYSVMRRRSGEERLHWKTSIALKSNTQPSQQGRPGLIVLHSHFAPPCRPKLCFDMTNCSIAPGLPDNSNSGTTKILFKKYGNTKQEDVLNFSLTYYVYMYDCWTTVNVLYLMGRLVDLWLTASMNDYMLLEALMCSHASRSDCTHLLAQYSTLMVKFGKFLHTVLAVRMVQIHYPSKVSLNFMESANCESNRKMSIFMVPESWMNLDVLSCFEMENVASEDVQIQAKPVLAVTKVLRCSAVVRVSEDDMLPGYAMETLPEEDIIS >cds.KYUSt_chr7.15199 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94320639:94320989:1 gene:KYUSg_chr7.15199 transcript:KYUSt_chr7.15199 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLDGEPRAHSPEGSGRARLGGAELKRLPGRSRADALVGVGAGGGRRGRAGRRAHREGAGDGRREDQCWCARRGVRSSEERTGALAGKERETVAGRSSGGAFAGQRGAASLESR >cds.KYUSt_chr2.36276 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224066423:224070424:1 gene:KYUSg_chr2.36276 transcript:KYUSt_chr2.36276 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRDSTICEMSDSTICEMSDSTICELDECLHFESMSDTPSPMDDETPIMETMYMVHEDDDITPCLIEDEHGGHIEPTSSTTPTSDEWDYKGTQTPMSTSIEHELTKRALESMIQVSSNERRANDDISIRETATCGRAALLVPKTERDGNFIHGSGASTGRIDCGSSLIAPPPRNGTQILRAWISMDIERLIASSDDPDGTPGFNAVFMSPLNGHSAWEGNIEVVIALSIRDSCRPLADLACDDDEAGPIDVVKDKPADPRGKLPADEDYNFFQYYDRRRWEWKRTHHTHTRCDVARFNRFGVATGPSFHRVVGSSSSREEQIRHPSTGKEKAAILPAKAV >cds.KYUSt_chr7.40733 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252799013:252804013:-1 gene:KYUSg_chr7.40733 transcript:KYUSt_chr7.40733 gene_biotype:protein_coding transcript_biotype:protein_coding MYTALYKSIIPALHLTKDIYKEEDEEEVMGKSRGSIAFFTTYRPTVPLDIFSCAVTLPSPAQHDDDLLLTDDEGYQQHILHGVESKPRRGEKVHKNLYIMEDAEVGEFSEGTVSRLTNGPWTDTHCSWSPRGDWIVFSSSRDKAAGAPEKDILDPGFFAVYLVKASDPTVVGRSTGAEVPMFSPDMRSIVVAADLTAVSTEPISMPQFLHSRERERGCSLGLHQHYGDIFSIDLRDPDDMSKNEGIKELHRITHSRYEYATPEWTSRFASDDPNGTQWNSMLAGRTNLAFGAACPREQEKMPTPDVSVVSASAFAKSKQKKAKAPSTKGKNWSSDEDKVLIAAWGNTSLDAVTGTDQNSSCYWNRILDYYNLHKDPSWPERNYNAVNCRFTVISAATSKFCGCLQQILNLNESGRTLNQKQTDAELMYMSLDPEKKPFKLMHCYVEFEKYPKWATRTVPQKKQKKTSDASPGSTSNDEDFVVCTDALENEKRPRGTKYAKEQRDKASDGSAVKLSLETVWAQKLEKDDIKEAAKSARYALAFELQKKQIELKEREDARQEREDARQEREDARKQFELEEKIMLTDTSGMNAAQQQFYKGKQDEIIARRHH >cds.KYUSt_chr5.9365 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59388329:59390553:1 gene:KYUSg_chr5.9365 transcript:KYUSt_chr5.9365 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCDLSSYQEATGSNSHGGDVAVSNHVLLSPLFPPAATTTTLLPRPPPLLLEEPARAKRKRSQPGNPDPGSEVIALSPRTLVATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSLAPLPSRPGDAPRKRVYVCPEPTCVHHDPARALGDLTGIKKHFSRKHGEKRWKCERCGKCYAVHSDWKAHVKNCGTREYRCDCGILFSRKDSLLTHRAFCDALAEESARLLAAANNSITISTTTCNNNSGSSDNSNNNNLITTSNSSPLFLPFSSPPPPQSPNPLMFLSQEPQHHQLFPPFQPLTYLDELPMNSAITDSVSTIAADTVTYRLSQEGSMTMHAGGRRLTRDFLGIDDSGDQVDELQLPLCATAYQGRSIATAACCSTDMTRQYFGRLPPVNETWSHNF >cds.KYUSt_chr2.49002 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306698092:306701315:-1 gene:KYUSg_chr2.49002 transcript:KYUSt_chr2.49002 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQMSDFLWNEDINECRNDNNDCTYPELCNNTKGGFTCSCPQNYTGDGHRAGTGCNVPFGSPIQQPQGLDVCNHPEKNPCTYLKYCSDAQGAVVCNCPLGMNGDGQKTGSGCQNENNHFPINTALGIGLALVVTITTTSMCYYWGMKRRNVQRNRAELFRKNGGLLLQQRFSAITSQEKDSSARIFSAEELKTATNNYSETRILGRGAYGTVYKGILQYEVVVAVKKSRVFDESQVEQFVNEITLLSQTDHPNVVKLLGCCLETQVPLLVYEFIPNGTLFQHIHNKSALVSLTWEDTLRIAAETAEALAYLHSTSSIPIIHRDIKSSNILLDENFVAKISDFGASRSVPFDQTHVTTLIQGTIGYLDPEYFQSGLLTEKSDVYSFGVVLAELLTRQKPISVGRPEESCNLAMHMVILFNEERLLKEIEPHILAEAGEEQLYAVAQLSVRCLNMNGQERPIMKEVASVLDQLRRSFTKEKTIRRNDVPVPENNEQEHLLCKASSISSLHYSEGSTLSMEAEMRASSHTPR >cds.KYUSt_chr6.19083 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120074876:120077266:-1 gene:KYUSg_chr6.19083 transcript:KYUSt_chr6.19083 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGSTSGEDGNQEKHLVLANKLFLLSQPDVDDLSKVAIRADVLSAVKSDDMASLFESLAATGVLEPDAALLAEMRARIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFVRVGEKEKALEQLKVTEGKTVAIGQKMDLVFYTLQIGLFYMDFDLISKSIDKAKKLFEEGGDWERKNRLKVYEGLYCMATRNFKKATSLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMASAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDERNAFYQSTIKQGDFLLNRIQKLSRVIDL >cds.KYUSt_chr3.37383 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234922571:234924160:-1 gene:KYUSg_chr3.37383 transcript:KYUSt_chr3.37383 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSVEFCIISARGLGHKSSLLKPQWFSVGWVDPNSKYCTKIDASGNSNASWGTKFSVSVDEHDLAMKRMELTVEVYRRDPIFLREHLHGAAVVQMKEYLEKFAHREEHSGVIEETGSFQLRRKKSDKSHGFVDISIRICKEEDHHAQVSGSPDGFKHPGQVGITLAIEDGPVYNYPPPPYNHYRGYDEDTDHYSHSMLPIPGTRPYPPPSGSSYSYHPPMVPSTVPPPATSNLSFFPPQYPGRGQVPQNYINLPPRKSAGQNSAPNFGMGLGAGALAAGTAIFGETLLPGTSFSAGLSGASLSVSNNGPF >cds.KYUSt_chr5.31955 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202636167:202644977:-1 gene:KYUSg_chr5.31955 transcript:KYUSt_chr5.31955 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLTPLQAQEAASLPENWPPGSFGGSRSSAVVGAAAGDWPVEEERPRLRVLIVQQPAASVFHLDCKHSCLSDVILLTVDFVALFGYKQDSDEWPILRRWDVPWGWQTLVLSVVGCGVSFALTGLVEQSALQYLGYSAAGATIDEKAGILFLGQLSVTAIVLGVIFSITNTFRPFPDDIFRYDIKEPFKLRNGWLLWAGIGLFGAVISIALVGAGMTYLNGEPPEREMIILGVSKGTDNAQVLLRLSSLRYKSSVTCPKLPLWIMDERSDMDKSEEVLLPGFRFHPTDEELVGFYLKRKIQQKPLSIELIRQLDIYKYDPWDLPKLASSGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSQGTKCIGLKKSLVFYKGRAAKGIKTDWMMHEFRLPSLTDPTLPKVPIDKNIPANDAWAICRIFKKPSSMAQRALSHSWGPQSIATTEPDLLSALQSIQASHFALESSSCSAEVAIPVNQFNSQHYFQGRQQQKLNSSQNGSSYKVINFNRGPSLTHLSEKGIHSNPIILPFETQTLQRSPDAVLLSIAPGIINSMNEASPDTEFEQLEQCDGYAVDWDIDTTGGTGNRDEDPYTRKPDNGYVTGNECGVPRKIKFPFDLGLDSSDDWTCNVPCESLTCPPTSPRDAQ >cds.KYUSt_chr6.2446 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14298315:14300376:-1 gene:KYUSg_chr6.2446 transcript:KYUSt_chr6.2446 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEACEIARLPEELLSAALARTSTRDACRAAAASPAFRAAADSDAVWACFLPRALPPLADGELPDALPRKKDLFLRLSDRPVLLPDKRVSMWLDRETGAKCYMLSARGLTIIWGDTPQYWSWIPLTDSRFSEAAQLRDVCWFEIRGKIQSKMLSEDTIYAAYIVFKLDEHSIGLDYPTQEATVSVGETSLARKVCFHGYDDDGDDGGVPENYRPIMFPPQQRIRRRNGRPVPHGENVGQPQKRADGWMELELGEFFNEGGEDGEVSFSLMEVKGGHWKSGLIVQGIEIRRKKSG >cds.KYUSt_chr3.34905 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218861164:218870636:-1 gene:KYUSg_chr3.34905 transcript:KYUSt_chr3.34905 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFNMVELAQSYHELEKCTKDILSVIKPTEDDRKKRLLAIQEIVNSVHLVGYLGDAAVKPFGSFVSNLYAKSGDLDVSVELPNKYGFPTSKETNQDVLHKLMMALQIEGVARDVNFIPTARVPVLQYVSNHFGISCDISIDNYPGQIKSKVLYWINTIDERFGDMVLLTCKPAILPPMKEIYDVSITEGSGRFNEKSLDQICVENIAKFRRQNIGQRNQSSLSYLLASFFEKFCHIGTYSSDHLISTYTGEMKRNLYWMARSYCLFVEDPFQRHENAARTVDMLELHRISRAFTRAKDMVSSDRGSDRNELLSLLCTPEVGSKLGARATADRYTNPAMSPQQHRFTELMEYLTADLHSNRFLIYIKRKSSHEMWIKLKEMFGGSTSQLVGGDSEELSSPSHHEELQVASTSGRDELSSSSTSPTCSKTREETEHSMDQDTISNEDSRISSSSFSGLHMCLMAKGSKVSPTLTPNTSSNDENDDDDNDEEYNTLVHNMAMVYASLHGNKEARANLEHSMDTLNKYRETIVELESHVENGEMRFTLLKHELKDEKHTNFMLTQKIESYMHENEKTIVDACATNSNSCEASILKENVELRAQLELLTSNYRELEESHKKLSSSHDDLLISYDVLKLAHEASITKVVSCEPHVDISTTSTQNAILPCASPSSPSSQTSDTPCVGLLALPCCSNNEASTSSSTCISTNHVEKIKELKAQVLSLKKDLEKRHEGKSALDNMLSVQQSPNDKSGLGFNSNNKNKSKSKNNKKKGQDKVKDSAKIVCFKCKVEGHHVRSCPLKKKHLSEKQQEKRPQGQAQARPQVEDRPIPKKNQDKVPQEKKSIKKKKGNTCYLCREKGHLASSCLGGTLSNPIIVDDDYSLGKDKDGNVFAKFVGTQSGFKKRTIWVAKPIVTNLLGPNLVGDQQAQT >cds.KYUSt_chr7.33818 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211121335:211121616:-1 gene:KYUSg_chr7.33818 transcript:KYUSt_chr7.33818 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTDIVRPFAAVGDVGEAPAPVFLIATGKHDDRVVDQEIWGDEQRMKRELMAWAKAVASMALSVSAGSTTPPHSPMGHRRHYSESRLQRTI >cds.KYUSt_chr7.38842 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241727674:241728795:-1 gene:KYUSg_chr7.38842 transcript:KYUSt_chr7.38842 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSTPHSNGGAAGEESPSVPRSLAPPRKVALVTGITGQDGSYLTELLLSKGYEVHGLIRRSSNFNTQRLDHIYHDPHAAPSADRPPMRLHYADLSDASSLRRALDHVLPDEVYNLAAQSHVAVSYEIPDYTADVTATGALRLLEAVRLSSKPMRYYQAGSSEMFGSTPPPQSETTPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWLMLQQDKPGDYVVATEESHTVEEFLQAAFGYAGLDWKDHVVIDKKYFRPAEVDSLQGDSSKSRRELGWKPKVGFQQLVEMMVDNDIELARKEKVLVDAGYRDAKQQP >cds.KYUSt_chr5.18194 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117491696:117503777:-1 gene:KYUSg_chr5.18194 transcript:KYUSt_chr5.18194 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGADPLWRRARSETGQPEVEEQRGARRLAVATPTPLLVPVLSSSSALEVVFRRSDGREHKGGGGSWSVSSTQRRPIWRNCGSSGGGGANGDATAEVLVESLIAPLQELVKPSTKACSRTRIEAVGDPCTVGDNRQHSVLWECQRSLKKQYVADGVHEVTPNNEQDGKSDLSASMFYVVKTLHGVVRDSRTVIVSIHQPSSKVFELFDQSWCSLRVETVYFGQTREAYEVEAGHVGHWKSDRVKNKKAGNSIDNVVTEELEVPSDVIGQNVGDSESTHVLMSTNTSDTAKRVNALRDNRPCSCVHLFSP >cds.KYUSt_chr2.19833 pep primary_assembly:MPB_Lper_Kyuss_1697:2:124897697:124897909:-1 gene:KYUSg_chr2.19833 transcript:KYUSt_chr2.19833 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVREVDGKISMDQVWAGFAITHEIKIGYFLTFKALKKDAYKVTIFDYSMTEIVKKCPEHDHALAMIEE >cds.KYUSt_chr3.48444 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303033276:303033848:1 gene:KYUSg_chr3.48444 transcript:KYUSt_chr3.48444 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEIISRVPYKSTCCCKCVSTRWRDLISHPYHRKEMPQSLIGFFHESSDESSNRECSDKSARCFTNVSGKGDPLVDPSLSFLAKLGLVSIVDCCNGLLLCFFCKQRDPVEWDYVVCNPATEKWVVVPGTKWTSNVVARLAFDPAVSSHFYVCEFVEDSSVCIAAVVIYSSKTGDWSYKDNAWSVYEIQK >cds.KYUSt_chr7.6438 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38862028:38868401:1 gene:KYUSg_chr7.6438 transcript:KYUSt_chr7.6438 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVRVRLHRCHIDSLWPPEELLSTDARWGLVKLILQLPPTLTNSPSFAACAGAIRRADFPPGFTFGTASSAYQYEGAVNEGQRGPTIWDTLAKRPGRVIDFSNADVAVDHYHRYKEDVDLMKDIGVDAYRFSISWSRIFPNGTGKPNEEGLSYYNSLIDVLLDKGIQPYVTLFHWDLPQALEDKYGGWLNSQIVEDFVHYASTCFQEFGDRVKHWITVNEPHNFAIDGYDFGIQAPGRCSILSHLFCKDGKSSTEPYIVAHNILLAHAGVFHTYKQYFKKKQGGLIGIALDSKWYEPLSDVNEDREAAARAMDFELGWFLDPLMFGHYPASMQKLVGDRLPQFSNQESQLVSGSLDFVGINHYTTLYARNDRMRIRKLVMNDASTDSAIISTAYRNGKKIGETAASSWLHIVPWGLFNLMKHVKEKYGNPPVFITENGMDDANNRFSRLETVLQDDKRIQYHNDYMSSLLDAIRKEGCNVQGYFVWSLLDNWEWNSGYTVRFGLYYIDYNNNLTRIPKASVEWFSQYEGSVNEGLPGPTIWRVVDFSNADVAVDHYHLYKVEDFVHYASTCFKEFGDRVKHWLTVNDPGSFAIYGYDYGIKAPGYFDEDRKAAA >cds.KYUSt_chr7.35226 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220067654:220069214:-1 gene:KYUSg_chr7.35226 transcript:KYUSt_chr7.35226 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTGWATCRTASSTTYSLASGHGRPCRRASCRAGGGTSGATCSAVIVNESEFAGEQWERFEDFADHVLPASIPTETPHLDAFGLNLVSQRVTCSCSNRWIRRGLRRCPAAVDIRTAPNVTVSWQPHWSCLDGSRPQPDVSAAGLCAAGFTRRLTKLHLVGVAMSATFLEDLGTYCPVLEDLHIENCRMMVNLVCIASPTLRNLALVQLRHHLACANLRITAPLLVRLRLELAYDGLNSHCVAAGLATGTEPLALVREALIRLTDTSHHLQRNKRRRKKGKLEFLTSMRAFLALLPNAVKLHLAGFTTTALLEEESQEFPVLHRLKTLILEGCDLGVEFQTLPGIFQNTSDLEKLGLHHCTV >cds.KYUSt_chr4.48590 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300947756:300949368:1 gene:KYUSg_chr4.48590 transcript:KYUSt_chr4.48590 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQELAVIRAPFFDEPDIVLAGADDGMLLFAAVRESVLSLWSMEAACPNANGAATWARRRVIELQPLLPLCAFFHVLVVGFAEGAGVIFLGTGAGLYTVELSSGRSKKVGQRFFGKAIPYTSFYTGARNTVADSTFALISLPCHGSKPSSHESNAASLSQQLWPPLAASPATLCSKAVRSRQLASAASP >cds.KYUSt_chr7.35788 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223561484:223577616:1 gene:KYUSg_chr7.35788 transcript:KYUSt_chr7.35788 gene_biotype:protein_coding transcript_biotype:protein_coding MQELPKEDSALDLEISNPTQSAPEQEITVEDQCRSAWVSQVLEKQRCHFVHLLAHTAGTAPPPEGAGPMQVEATGDSDAPDQPEAVENGEAPDQQEDAGDKEESILGNLSPISGDASTMDTKEYNRTMKELEDEEKAEAESAPPKEVFATITGLEQGTDEETTPSDPMEAGSSDFETPQRVRYRILQDSGEGRILGNEENLSAEELVEQAGIGAIVNFETIPSPSNEMEASFATCQEAARKDVERAFEVLQQCFSIVRYTALTWSEAQMWEVMNVCVIMQNMIIESERDAHVQDDQPFDYQGPLPERTASPHVAGRATSRATAYSCGRREPAMSGASGPLSVLLLLLAAAVSAAAAGPGSWRGEQERDRVPRVPGQAFNTSFAHYAGYVTVSEERGAALFYWFFEAATDPASKPLVLWLNGGPGCSSIAFGLGEEVGPFHVNADGKGVHMNPYSWNKVANILFLDSPVGVGYSYSNTSADILSNGDERTAKDSLVFLTKWLERFPQYKEREFYLTGESYAGHYVPQLAQAIKRHHEATGDKTINLKGYMVGNALTDDFRDHYGIFQYMWTTGLISDQTYKLLNIFCDFESFVHSSPQCDKILDIASTEAGNIDSYSIFTPTCHSSFASSRNKVVKRLRSVGKMGEQYDPCTEKHSIVYFNLAEVQKALHVNPVIGKSKWETCSEVVNTNWRDCERSVLHIYHELIQYGLRIWMFSGDTDAVIPVTSTRYSIDALKLPTVTPWHAWYDDDGEVGGWSQGYKGLNFVTVRGAGHEVPLHRPKQALTLIKSFLAGSQMPVLSDLHSDIFNQGFEELSYGRSSQPISLQGTALKILCKVLTRRIQPHIPALVAIDQSGFIRGWNITDNFAYAAELVQCCYKRRSPTIVLKLDFRKAFDSVDWAALDRILRARGFGDQLIAGDAAPGHLQHPLVDDLPCPVIQYADDTLLLLRATPEQLLRFKTLLDAFSRATGLQINFQKSTFVPICVPDARTAELSVLVGCVPAAFPPDHAARPLFACVPDLLSHCTDHTVTVAAALAHGHITLPLHSHVTSAAQAQLAALADSLRQAELVAEPDTRVLPGGPSLLLDCTPWEHQDSCAPSPARVLAVAVLSVCAADEDLQHLFFGCPRLAPWYAAIGCPAATSAAGLEDGCRALAGALRPLNPFIGHTLVLLVLWIIWKSRNRMIFDGHRMGPRQMFSLLVAHCGLWLHRLPQRYERHQIDVWVAARRV >cds.KYUSt_chr6.4041 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23385568:23386418:1 gene:KYUSg_chr6.4041 transcript:KYUSt_chr6.4041 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLSTLLFETSVRDLRLGFRCEKIWVQPECWTRQLAYVFHQLRIVNLVEIPEGCDLTWTMFILEGAPSLEELYMTVMDHHCAMQMDKEKRREALYSEKKGVEWKSTTSNFKHHSLTNLIMFCFQSDDHMVRHVRRVLEAAVNLKDVYLYDRVPCRKCEDVKSKRFPRTKKHRCLLKNRMTLCVMSLAVIHFLSPCRMRADHNARIL >cds.KYUSt_chr3.18375 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112979660:112982199:-1 gene:KYUSg_chr3.18375 transcript:KYUSt_chr3.18375 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLDVRSGDRHNQPQSGRPRPAKEEATTAKPRIVTQGIPVVQSQSQILLHKSPPPAEKSTRPTASCRPTERPQSDGGEPAAFVCPHRRRDPDQAAAAMDMPSLEEKLPPPCRRALPGRRILGPSCWYTTLSRQGFRKTKFAWVFRHEPAEFVADPSFSQFFWRRKGDDMDEDDLGNDKDADPAGPSEAPATGGCAGGAGGVLFPTPACRVLAGAAGGEWGCYDVAVPLFLFFDSIGGGGCCAIASPCRWCGGGRGGCYIAVAPSHGRNCVHVGVGGDSGWTRRLSGGVWGCYIAFAPHHRGFDVVFGKGGIGAGCFSGMVSPGPPSFFSDGGTLHIDGYLLLTVAGSTTFSALYAYDPDDTPCIAVAAA >cds.KYUSt_chr2.39917 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247870060:247871032:-1 gene:KYUSg_chr2.39917 transcript:KYUSt_chr2.39917 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYCRNIERSGVGRKTAYLESLLQSLRDCGDACDIRCSANSRKNMCSRACLKCCSVCRCVPAGTAGNKETCGKCYTDWTTHGNRTKCP >cds.KYUSt_chr3.47831 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299713999:299715567:-1 gene:KYUSg_chr3.47831 transcript:KYUSt_chr3.47831 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPCSIQMRPGSIQKRTNVVLLSRRAEHESTAAWWTGMSRRHKLQWSSCSVAGLLLSVCAATTEPCRIKVLVHQAPPRYNNLQQLLVSQMILLGSIVLLQIRIRKMPSSVISVTRYALMALQGSSTTLLALKETVLHLAQRFLLTSRQRCWLFC >cds.KYUSt_chr1.19615 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115309511:115309960:1 gene:KYUSg_chr1.19615 transcript:KYUSt_chr1.19615 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPRPATVTTAAPSTSCTAWRATSPPRTRPPRSCAPRSRPSRAAPCQASRRGLPRPAAPWEIGDRESIDRWFSSGKSTCPKTGQVLTSLELVPNKSLKNLITKWCRENGVAMMEPCEASKGEQAQATVANKAALEVARMTASFLVKKL >cds.KYUSt_chr4.33740 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207045867:207050383:1 gene:KYUSg_chr4.33740 transcript:KYUSt_chr4.33740 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIARAASLLRRAVDCPPQLGAIVRDAPLVSKILPNVYFNRYSTHLAPANEVLIPPELLSSKSVWTPDRELGQYEDLVGRVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGCQFRGGATMHGPKPRSHAFKLQKKVRRLGLKIALSARTAEGKLCIFEDLEVPSHKTKNIVQYIKQMDDTKVLLVDGGDIDKKLKLATQNLHYVNVIPSIGLNVYSILQHDTIVMTRDAINRIVERMHTPISR >cds.KYUSt_chr2.4478 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27721494:27726720:-1 gene:KYUSg_chr2.4478 transcript:KYUSt_chr2.4478 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKRTKRTAPKSDDVYLKLLVKLYRFLVRRTKSHFNAVILKRLFMSKINRPPLSMRRLVKFMEGKDNQIAVVVGTITDDKRVYEVPAIKVTALRFTETARARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKEQRPCLRHCEAAVALLQDDDIGAAREDMGAAREEEIGSGERLERRVWNGEGRRGALNAQRRQAKRQRGVGHNNASARPWTMRRVTAVAVTVDGVDGHAAMDDEMTERNCPPDATGHQDLQHCYYCHG >cds.KYUSt_chr4.6387 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37575687:37579167:1 gene:KYUSg_chr4.6387 transcript:KYUSt_chr4.6387 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGRPKKRKLPYPTSPEPEEETAHVNIAVDDEEKEQVESGKDETGKAKERKEGSGILTSRLFSELPISDLTARAITEMDYTRLTHFHKHAFSILLFSLVGIDVIQARSIPPLLEGRDVMGAAKTGSGKTLAFLIPAIEMLYNLRFSPRNGTGVVVVCPTRELAIQTHNVAQKLMKYHSQTLGYVIGGNSRRGEADQLVKGVNLLVATPGRLLDHLQNTKGFIYKSLKEKIVGENDILKQSAKEAYRSYILAYNSHSMKDIFNVHGLDLKGVAASFCLSNPPKLNLNLASSASKHRKKMRKIDLGRRR >cds.KYUSt_chr3.35206 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221074277:221074672:1 gene:KYUSg_chr3.35206 transcript:KYUSt_chr3.35206 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGSVYIAARDPDLDGGERPVAGRRAAWSASHRMDLDGPACSVARYLDLDDGARSVDGRRTVRPAARSVLLQTALTAWVRRRQRDFENACSPSGCWAGAPQTKRACVPAETGSTTTITTAEPCSRCVVG >cds.KYUSt_chr7.14449 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89301440:89307482:-1 gene:KYUSg_chr7.14449 transcript:KYUSt_chr7.14449 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAASQANLRGCDDIAARRASADQHHNSSGTTQGQGLQRCKKQPAEALGDPGRADAGRHPDVAARSDMTRSKLRVVQSNDEYMTEEHENTMHVDVESSDSEPLSCNGKEGFPKSDDEEDEDDHDDTDDNAEEENGETNKRGKTKLKKTWNLPKGQRIVVKCNDLNQPIGKEAGRLDEVSLSPSIGEVELKKTLAKRPDLADTSKGTAWKGDALNTILGVDKPGHVHGLGLVPNPNQVFGVSSSGNFQAIHLTSLEHTQNEDVMVLWVRYLHPKILLRVYGDLHRQQLGTVGKENNVMRKENFLNDMEMQAPKKCPATHKVGAIVFLKSLENPNKNVALATLQSSDPEYAVDGVKLGNQFWAVCVDATLAKSDELIRPLKKVPDLKLRDGRATVRETFQSRRHHEAKMWGQKSLFRHAAGTGKCPRKASPSTPLPSPPPSSSPLLSPMRRE >cds.KYUSt_chr4.2505 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14041890:14042795:1 gene:KYUSg_chr4.2505 transcript:KYUSt_chr4.2505 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGGSGGGGVGRTCMSLPLGKYLRRALRGSGGRGKPRLKDKEYMRQRSLSSLSSVELNLNLVTSSTSSSSTAPASWSAGSPSVVRVVLWSGLVEVYTGVVLACTVIRRHPPGLCLAHTDVFRNPHRATLRPLEPLFPGQKFFLLPETTVRKLQRDIPLDVPFPDKHIRGAAEEEEVAGEAVARAAPERCCANDFYVNRERWAESQFKSMVEHGLAADQSSSSSRWSAADKRNIRRMMKTKSPRRDLLGSGAMPLPLTSRAWEPSLPAVQEEAAPSSPELHTATTSPALDQATRTDGESSS >cds.KYUSt_chr4.26498 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166389427:166391079:-1 gene:KYUSg_chr4.26498 transcript:KYUSt_chr4.26498 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAANGTFRPRLITASADTSDTHTRRRHWKAGEFPFSTTSPSSGTQRRKPRTTERPPPTPPSKGKVDPEERGRQRHWKAGEFPAAAESQSQSGRRGRARTPIKNVKKRLDARADSKAWACTVTEALSDRIDAKNWQEALQVFEMLKEQPFYYPKEGTYMKLLLLLGRSGQPSLARQLFTEMQQQGCQATPELYTALIGAYCRSGLLDEALQLLDDMKASPLCQPDVYTYSILIKAFVDAPRFDLVDAMFKEMAERSVAPNTVTQNIVLSGYCRAGRLDDMEKLLSTMLDSATSKPDVWTMNIILSLFGNSGQVGLMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRRLAFPWTTATYNNVIEAFAEAGDAKNMEDTFNQMRSEGMKPDTKTFCCLINGFSNAGLFHKVVGMVKLAERLDVPANTSFHNAILAACAKAEDLMEMERVFRHMKHMHCVPDATTYSILVEAYRKEGMTDKMYALQQENPSLVSTELVMV >cds.KYUSt_contig_7513.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001572.1:64304:65749:1 gene:KYUSg_contig_7513.8 transcript:KYUSt_contig_7513.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIAVLMRVLVLVAALLLVMDAVAVEGRKMLGGRGDDGGEVVHAAFDEEQQEAANAVEEADDDSDLDNWSDGPDPDEQAAEQEAILASYESMKKTEDNPRSRKEANKEQWRRVVDAFIQQAQIEESTPRLFAKEQ >cds.KYUSt_chr6.16359 pep primary_assembly:MPB_Lper_Kyuss_1697:6:102934088:102942013:-1 gene:KYUSg_chr6.16359 transcript:KYUSt_chr6.16359 gene_biotype:protein_coding transcript_biotype:protein_coding MLSERLTQFINEDLHDAKDCRQRLDKATVGYDQAREKFVSVRKGTRAEVVTGLEEDLHNGKSAFERSRFNLVHALANIEAKKKYEFLESISAVMDAHLRYFKQGFELLSQMEPFIHQVLTYAQQSKEMAMNEQDKLAKRIQEFRTQEEIANLRMASNVNTSTSGDGIHVVGLQSYKKIEALMQSTANGQVQIIKQGYLFKRSENLRGEWKRRYFVLDSHGTLYYYGNKQGVASQQTTEGTGVFSRFKFLNQKASSQGDDPLSCRTINLRTSTIKMDAEENDLRFCFRVISPMKAYTLQAETEADQKDWIEKITGVIASLLNSPLPPQLPYGNLAAESHSSASSADLASLEESKSSEAQNDALNHLRTIPGNDCCAECRAPDPDWASLNLGILICIQCSGAHRNLGVHISKVRSLRLDVKVWEPVIIDLFRALGNDYNNSIWEALLPKEDQGMDESNSAILFMEKPKPTDAFSIKERFIQSKYVDKLLIAKDANEITIGILEAIRTNDVRAVYRILVLADASPNMTYDDLNNDVNHVLPVTDKRLFDPASCERIEDSGKPEGCLQGCSLLHIACQCDHPLVVELLLLFGADINKQDFHGRTPLHHCVQKSNDALTKHLLKR >cds.KYUSt_chr3.43575 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275018965:275020227:1 gene:KYUSg_chr3.43575 transcript:KYUSt_chr3.43575 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRLPVLFVLVVSLVVLVSGQPRPVVVPVTKDTATSLYTIPLYDGANLVVDIAGPLVWSTCQRDHLPAKFACKSDTCKLANAYPVPGCPATGCGRDPRKDMTCTTYPYNPVTGSCAAGSLVHTRFVANTTDGKNPVRQVSVRAVSACGTTKKLLASLPRGASGVAGLAGSALALPAQVASSQKVAKKFLLCLPTGGANGDGVAIFGGSPLYLEYTGSVEYTSSLEHTPLVTRKGSPAYYVAVKYIALDNSRVPLPPLALATSGVVLSTTAPYTVLRADVYRPFLAAFREATAAQWQYAQKPREVKPVAPFGVCYDARTLANTRMGFMVPSVTLALEAEKNWTMTGVNSMVAVKPEKACLAFVEMKDVKAGDGKAPAVIVGGFQMENFVLEFDLERKRFGFLRLPYYAQCGHFNFTRSS >cds.KYUSt_scaffold_2697.161 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1125336:1131231:-1 gene:KYUSg_scaffold_2697.161 transcript:KYUSt_scaffold_2697.161 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQLLEQAQTKTISGSDVLKNLEPNPVRLTGPGDFFSWSRNALLILEAHGLEKFLREDEKRPGEVPQDQWDQQQKRVMVWLLGSMEKTVREQVEGLQSAAEVWASIEKQFSGKSNKMQVSRIFHELRHIKQEQKTVTEYAGEIKKLYRDLEYFRPFKAHDPRDVSLLREWFEPLLVQTFLDGLNSEFNLRSQLIQATPDCPTLDQTISSILEEETRLANQSTAPQANDGRAALSSVNQVLSHGTSRNQQANATRFYYRRKPGMFCDHCKQPGHLKKSCFDLIGYPPGWQPKKINRFTSSGSNTRRPDRAHLTLSEGERPAVTAQALEEFKGKLMANTIEGPTHAHSGKGQEEMETYDGEGVPQDVNEGVVNRLQVLEETPTSEEIAHDEGATEGDDSQGIEKVKQHLKNEFEVKDLGEMRELSQKKNLGGSLPSSIGTLSNLQNL >cds.KYUSt_chr6.31142 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197375328:197375840:-1 gene:KYUSg_chr6.31142 transcript:KYUSt_chr6.31142 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKMRAAAIAVLCMFLMLSGQQQEVAAMSKYCECYHGCYTGCRQHMPPWACMVLCVVDCRPNQPGDGDGYSSSSVTSCRKACSLFSSRFSICGSSAALPDSTDAASCVQNCNEKLSLN >cds.KYUSt_chr6.29414 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186414831:186418489:1 gene:KYUSg_chr6.29414 transcript:KYUSt_chr6.29414 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFRPRSPSLYDPVPSPGYQLLDEFKDRLASKTLSPDLAHQLFGKLLRQPVKVPARALNGFFAALARAPPSIACPDGPALAIALFQQMARAGQRPVTAPTIYTYSILIYCCCHTHRPDLGPAFFGHLLKTGIREDVVTFNNLLKCLCDMKRTEEALDVLLHKMPDDLPNVISYSIILKGFCNNGRSQRALDLLRMMTKKGSDHSPDVVSYNTCKARAMDKAEEVLRQMVDNGVRPNTITYNSLIHGYSTSGQLEEVARLLEEMKTQGIMWDVFTCNSFMDYLCKTGRIKEAAELFYSMAEKGHKPDVVSYSTLIDGFLKVGEISKACDLFHEMIQQRIEPDVVTYNSIIDGQCKARAMDKAEGVLRQMVDNGVRPNTVTYNSLVHGYSTLGQLQEVARLLEEMRTQGIMWDVFTCNSFMDYLCKTGRIKEAAELFYSMAEKGHKPDAVSYGIMLHGYATEGSLVDMNNFREQMVRDGVVPSLGVYNILIGAYAKMGRMDDAMDKFSEMIDMGVPHDTDVYECMIKGYFRQGELLFSSIQGELILFSFFNLRLRFISPSQSHGGGGGRPSHGADWTRKGWPQGAISNSDGWTRQRQQQGPPERQFLFLASSYSRLFVEGLMPTVHAMATTQKTVYGPSSKAWRGGRAASFNIIPSTGAAKAAGKVLQSLTES >cds.KYUSt_chr2.48456 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303060547:303061193:1 gene:KYUSg_chr2.48456 transcript:KYUSt_chr2.48456 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTVGKKRSQGTQLLVEEYKEKLGHYCAVEDILIKSNPKLTSDVKVQIEAEDTSMMQQLKAEDFVVVLDENGKDVMSEQIADLIGDAGSTVCSLWFTR >cds.KYUSt_chr3.29047 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181645713:181647887:-1 gene:KYUSg_chr3.29047 transcript:KYUSt_chr3.29047 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAGMPRRRSPPLHPQIQARDEGAGVDRRRNPRLHPQIQATEEGGGIAHRSSPRLHPQIHASEDGAGLTRTSPVESASLPDDDDMLREILLRLPPQPSSLPRASAVCKRWRGLVTDPKFHRQFRAHHGKPPLLGLFQFRNGWIAFKPILDPPDCIPSHRLNLGRISLLRHYILLDCRHGLVLVKTFTQNQVVVCDPITGHHRCLAVPSELQKGDLRGAVLCAACDHGHVQGGCHPNPFKVVLVSTVRSDKQPLACVYSSETARWGNIISAQAPCQFFYTGVRPTLIGSVLYWLSSSDFMIKFDLDEHILAVISVPPVTDDIYDLNRLVIQAEDGAIGFTILSYPCLQMWQRNVNGHGVAIWLPSKTIDMHTFLGLPSQIGPVLGWLLGYDEDTDEIFLLVRANVYGVQLKLMQSRKLYESNGVNPYYPFKSFYTPEIHEGGEADMLHDP >cds.KYUSt_chr6.23421 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147933669:147935063:1 gene:KYUSg_chr6.23421 transcript:KYUSt_chr6.23421 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKRAAAAAPASKKPPPSQPSQPAKFSIRHFFERQSQAAPSQIARRQKPDASPDPMPPPPPPPPLPLPEEVSPEVTKTLAPKRVKFSPGMEQRGAALGRRWRSSDGRLFRWRWVKLVVGAGRPVPVALPQPCTTGVRRNQPDTLRFLLPRFISHVSLGYRFMARLILDSKRWERRMLVKMTFQNRSKACYSRNRLEAI >cds.KYUSt_chr3.47459 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297756683:297758046:1 gene:KYUSg_chr3.47459 transcript:KYUSt_chr3.47459 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSILLQILACALYNNWWPMLAALMYIIVPMPCLFFGDGSTRFLSSGEGGAWINAAKFLTGASAMGSLAIPAILRHAGLIETGAMFIEFTSFFILVCTVLCFHRATLEEEW >cds.KYUSt_chr1.20587 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121574629:121582272:1 gene:KYUSg_chr1.20587 transcript:KYUSt_chr1.20587 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPTPSPSPSPSPSDSPPPVNWDSLDALVLDFVRSDRLIAPSASPSPPSSPSSSTTTATSTSSSSPSTSTSSSYCSRILIRLARRALEAGDVDAALALLRAHAPAALLDHRLLFHLHKQRFVELVRRGTEADREAALDCLRTALAPCALDAYPEAYEEFKHILLVLIYDKDDQSSPVTNEWSIKRRFELAGLLSSILRAQLQAYDPILSMTLRYLISIHKVFCSRQGISSPISDLTERLLFEDRDLPAVPHECSVEAPPFDEVDVQALAHAVELTRQGAVDSLKFAKGDLYQAFQNELCRMKMDLSLLDKLVHEYCVYRGIVEGCSHALPGTSDLKCSQNTDVSSINKQRTDSETHLECEMADNQDGGCSTSDITYHDSWSKRIRKDRSSTSGQGRRKRWRGRSDDLNYACETPADGDREILSPSFDMDEDVLIQKQDLVADSGFSDTRDMQDQKYEVILEMRDLTRKGMASKVVDEINDIDPGFFTQNPVLLFQLKQVEFLKLVAGGDHVAALKVASSHLGPIAASNQALLKPLKETLVTLIQPSENVLTKAVSLPVLASSLQLAISRRLGIEEPQLMKIIRTTLHTHTEWFKLQMCKDHFDNFLKIDSLKEIDPSVSHSMSKAPTDECGNGSSQITTCSSGKVPDEGSSPQVLSEVACDESAIIKVMFMSSGCSRCSILCGCTAFSWCAVFS >cds.KYUSt_chr7.39323 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244572300:244575802:1 gene:KYUSg_chr7.39323 transcript:KYUSt_chr7.39323 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARSAATAARSALRRAPLAGPSLPHLASSSRAGPAGILRRSAAAWLETRMPLHSAAASAARLGSCATQDGNNAFSWNSKRMFSSNEKHLPAISDPEIEAAFKDLMAASWNELPDSLVEEAQKAVSKATDDKAGQEALENVFRAAEACEEFSGVLVTLRMALDDLCGITGENVGPLPGYVEEAVKSAYNRYMTYLASFGPEEHHLRKKVETELGTKMIHLKMRCSGIGAEWGKITLIGTSGISGSYVELRA >cds.KYUSt_contig_1253.508 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3158289:3158543:1 gene:KYUSg_contig_1253.508 transcript:KYUSt_contig_1253.508 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMGQLGRFVDGIRSKMRAGGGGKKGAAGKKAAAAAAYDKMGKTDSMRVEIKSRQAQKLIAKNLVAAESIGRRSRNKRFFLAF >cds.KYUSt_chr6.13026 pep primary_assembly:MPB_Lper_Kyuss_1697:6:81295162:81300921:-1 gene:KYUSg_chr6.13026 transcript:KYUSt_chr6.13026 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLFDGGGAGGGMQFPYAAAFSSSPALSLGLDNAGGGGGIGGRMFPDAAAGRDADAAQNDSRSGSDHLDAVSGVGDDDDDAAEPSNPRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRAELSRRLSLDARQVKFWFQNRRTQMKTQLERHENAILKQENDRLRAENMTIREAMRTPMCGGCGSPAMLGEVSLEEQHLRIENERLKDELSRVCTLATKFLGKPVSLLSPMQLQPQHLSMPLPNSSLELAVGGIGGIGSMQQQATMHGGMSDYAGGASSSMGTVITPARAAGSAALASMVDIDRSVFLEIAISAMDELVKMAQMGDPLWVTTGLPGSPSKETLNFDEYHSTFQSIGMKPVGFVSEASRESGLVIIDNSVALVETLMDERRWSDMFSCMIAKATILEEVSSGIASSRNGALLLMKAELQVLSPLVPIREVIFLRFCKQLADCAWAVVDVSIDGLLSNQNSATAQPAANLKCRRLPSGCVMQDTPNGYCKVTWVEHSEYDEASVHQFYRPLLRSGHAFGASRWLATLQRQCECLAILMSSTAVPPNEPTAISQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGATGSIGEDVRVMVRKSVSEPGEPAGVVLSAATSVWLPVAPERLFDFLRDEQLRAEWDILSNGGPMQEMTRIAKGHQNGNSVSLLRASALSANQSSMLILQETCTDASGSIVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPSVGNEHKTGGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTIKKIKTALQCATPEC >cds.KYUSt_chr4.12624 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77518512:77527509:1 gene:KYUSg_chr4.12624 transcript:KYUSt_chr4.12624 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSDSSSSPDGSNSPRTPTSAPAASAASPASSSASSRSDKKKPKSETPRKRDKLFASPAVPVPSPRSAASSSPSAADARKASAAALRDAAGASSAAALSPILASSLGLNKIKTRSGPLPHEGQRMAAALGSSNLARGHSQAGTTSAAKDVRKGMSSWADSTTGSKLGKGKAAEQPPRSAAATGVEPAAAKAKSNSFRNHSGDLRTPPQIPAAVSAYDTCETPKESESPRFKAIMQATSAPRKRNPSDIKSFSHELNSKGVRPFPFLKPRGVYNLKEVLKVIQMRFEKAKEEVNTDLAVFAGDLVSVMEKYADSHPEWKETFEDLLILARSCSVMTPGELWLQCEGIVQDLDDQRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVAMDQRDKIIQSADNKILAQSGPHETTARGSKSDARKSYSQEQHNLKWRRSQEIKPVKILMPLDTDIKKEVDSPTRERMSSWKPFPSPAPKPPKEPASIKEESPTKKTDTLATVISGVELVSPVESISHQPLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAVCAIADRCDQKGVSVDERLVRVAETLEKLVESYSQKDLINAVSSPDAAKVPNLSINEESDGPSPKLSDCSRRGSADMLDYLQDADNTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESDDLTQISELADIARCIANTPLDEESALSQLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLQLCDSVDMDKVDSSSTIMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYLAEVVLALEYLHSMQIVHRDLKPDNLLIAHDGHVKLTDFGLSKVGLINSTDDLSGPAVSGASLYGDDEPQMNELEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSFEAKDLIDKFLTEDPHQRLGSDGASEVKQHPFFKDVSWDTIARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYECEDSSDNGSLSGSSSCVSNHQDDMGDDHGETTEYESGPNVNYSFSNFSFKNLSQLASINYDLLTKGLKDETTMKSDT >cds.KYUSt_chr5.35262 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222883072:222884531:1 gene:KYUSg_chr5.35262 transcript:KYUSt_chr5.35262 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTSKPSSKTISFTVGEGLYVMAREPGTPPQPHHFQALVYGHPHRFLQPEQWHWRLLHQPRFDFLGSERDPCTYMPEDMADPYAVSSYTLVGDSQIWISTVAGGAYSYNTESGMWSNAPVAALPFNGRAEYVPERRLWFGFSAEDEQQLCAADLSSNRPVPHKVAWEDPPPPPDEACSLTASHLLPMGSGKLCVARVFQRTDMGELLPSGYTRAQRFVVLSGVEVFMPDSVDSLHIIKHKSKCYSLGQAVKVELCAPRRDFLVTFVSSSDCECIAHFLCLQVLRRENELSPLALRLVITSTARWWLTNKKDIIIHVNEVLEGSLSLIDCLPRHDLEEESKKRPRRLATWACRVDDKWPPHYAHSFQGQLGVRVVSISRV >cds.KYUSt_chr5.9856 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63035987:63040634:1 gene:KYUSg_chr5.9856 transcript:KYUSt_chr5.9856 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGGTHHLSILPLLYFFFLKQNLPLVSHKPFFPKPSPTKPRATMFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHVGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGEEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQFNKELTLEEAESIALSILKQVMEEKVTPNNVDIAKVAPNYHLYTPAEVEAVIARL >cds.KYUSt_chr7.3275 pep primary_assembly:MPB_Lper_Kyuss_1697:7:19516939:19519284:1 gene:KYUSg_chr7.3275 transcript:KYUSt_chr7.3275 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRRKRASEVKAEGLMRGACAALAAAAALLVGLDTETETVLLIRKKATVRDVHALWVLAIAAGAAAGYHLLHLLRCLYVGHCSAADNRCRNSRALAWAWLLLDKGCAYLTFAVTVAAAQACMIALDGAHALQWNKVCNIFTRFCQQVAGSLVCGMLAAAGTTFLSGISARNLFRLYPSLSHSQRSSTK >cds.KYUSt_chr7.10178 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62318964:62323701:-1 gene:KYUSg_chr7.10178 transcript:KYUSt_chr7.10178 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNHSPTLSTPLLSDSSSTTPTRGVANGHAYNHGDLPADAVCDADPFAFLSEDHPPRSLCPSPADPFRNRTPGWGDAYCWARALLLAPVAAARLLLFGLAIAIGYAATWVALRGWTDSRERPREGAGPMPAWRRRLMWVTRISARCILFSFGYHWIKKKGKPAPRELAPIVVSNHVSYIDPIFFFYELFPTIVSSDSHDAIPFVGTIIRAMQVIYVDRFSPASRKSAVNEIKRKAAGNNFPRVLLFPEGTTTNGMFLISFQHGAFIPGYPVQPVVVRYPHVHFDQSWGNISLIKLMFKMFTQFHNFMEVEYLPIVYPPEIKQENALHFAENTSYAMAHALNALPTSYSYADSMIASRAADAGKANCSNYMVEMAWVKEAYGVTTSEAMELLEHFLAMNPDSDGRVKAQDFWALFGLDCSPLCKKIFHYFDFENKESITFRQFVVGCAHIRKQPLFEGVCETAFDKCKVPGTSDISLAQLADALRSSMLPPADDGMLKLFETFDIDNDDKISKDDFMACLARFPFMIALFAGRINGEVYIEIV >cds.KYUSt_chr2.47379 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296390353:296390640:1 gene:KYUSg_chr2.47379 transcript:KYUSt_chr2.47379 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRALVAANRLEAALLRFPASAQAHLGSEGAGLVPGARAVPPATVKKREEESTGKGGEAAREETRERRRAIDDLAPTAAFAAAVSRGALAAAVA >cds.KYUSt_chr7.35977 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224828810:224829292:-1 gene:KYUSg_chr7.35977 transcript:KYUSt_chr7.35977 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLCEPLEEIPAPSVVVPETVPAEDIQVDPGDPAADKLNVFLSSIFRPAPPPILASPPSRRARAPKEVATTPRRSGRIEKQKQSRKDATTQELLARVLGVLKENDAFDDNALAAFIDKFKTPLSPRSIAMLGSLVKNVEKVKKPKGNKVGAKKKAVEIT >cds.KYUSt_chr4.1931 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10538768:10544134:-1 gene:KYUSg_chr4.1931 transcript:KYUSt_chr4.1931 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIDTVRNIDGDAMAGSDTLRSLSLDMGNAVSMSCPRHGGKPNAQVFVDHVNEVLQKHRGKAIDHLEIKFTFQSNLTYHLNNWISCENLEWLSIVRCQLKDELEFSRPLSQLRYFRVKHCNNITKIQFHAAKLSTFMYRGDCIPIALHHSIKLEKAEVIFHDLTFQHCTAALLDGLPGVRNLTLEFTFQRMELDSSYTLWFAKGGPSRLDIPACGYKYLNLKNMHLTGFRAANSHIQLLLHVVENAPVIDTLTVDTCERLVDLRKTEKIKPGLRCAALDTVCGGCEVWRLRWLSPLAGRGGEGRRPSALQQLLQAQGPAARSPACASDAGYPSALIWCRRLWWEATAVFVEGGGSLNVCARFGSRFCKIRKWLPLLAGHGGEEKVVPVEVLCSPVSRSARQSAENLQLLYFLFTVRQGKGDEGGSMCSWVLDDGCWQGLFLQAFPTSDDKLRGRNSSISPQEDDAADLAHIFNTCPCKLCKPEILNCYGHRVCDLDCCTGQFTSARKRFESDLANGPVIILFLDGFRITMPTPVSIDYHHRRTRARCLQMVKEMNMIQLQSGCHMLLPCQVADHAVFAFFVPLGCIRRRQMRESPMSLPRFSGEDPIDLSSVRHPLDLQLNPQPQRRLPTRLLVEPLALRLVRLQEAPGEYNCS >cds.KYUSt_chr7.29932 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186335703:186337341:-1 gene:KYUSg_chr7.29932 transcript:KYUSt_chr7.29932 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHALLCHGCAYMMEQQPYYLRLFLALLVPFLLLKLFRKIGGRGDGQRLPPGPWRLPVIGSLHHLAGSPLVHRVLAGLTDRLDAPLLYLKLGQVPLVVAMSPEAAREITRSQNSLFATWPWSPAIRIIDVDGRELTFEPSHALWQRLHKMFILDLLSRSPYRVKSFYHVREDEVWRFINALAAAPPGQPVNVSERIAALITVSALRVMIGGKFKRSEEFLHVLEEGPKIVAGFSLGDLLPSSSWLRATTRLAERNHRKSFELMQYVIDQLEERRDAAMTGNYDMEEREDLVDVLLRIREEGGTDDVHLTMGMIKAVILDLYGTGRETSATTLQWAMSELMRHPKVMQKAQAEVRNALQGKPNVTLDDVAGLKYIRLVIKETMRLHPPTPLLIPRKAMKPCKILGYDIPKGTTVLVNAWAVGRDPRHWEDPDEFKPERLEPCIIDFNDEAVFEHMPSTSLLTFAHDNMEIVLATLLYHFDWELPGGVEVDMTEEMGLTLRQKKDLYLHAVVRVHQI >cds.KYUSt_chr7.8836 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53528407:53529689:1 gene:KYUSg_chr7.8836 transcript:KYUSt_chr7.8836 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAKKLGLKESPPAYLSLAQGTTPLVVTALAEGVSYASAGAGILDSTHAGDNIPLSKQVMYFESTKAKMQAKVGSAAVRILLSRSFFLISVGSNDLFVFAGAPTDVVALYSSLISGYNTTITSLYNMGARKFGILNVGLLGCVPTVRVLNSTGACNDGLNLLAAGFDDALKSLLASLAAKLPGLVYSLADFYSHTEVTFANPQASEGRRAQRSGILRWTSRVYSAHQLQAAGPEDLGHESVYNIPLTDWIDP >cds.KYUSt_chr3.42050 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265526581:265527327:-1 gene:KYUSg_chr3.42050 transcript:KYUSt_chr3.42050 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLSAASPDSAPELAKPSLPTTWLILHALFCATSMAVGFRFSRLVVFLLFLPTPPLNPAAHLVSLVTPPLTLASSNATATITTTTTTPTTVTTTTTVAETEAFAHHQVHHGPVFVGRHAIRVRKWPHPDPSELLKAHHILAAVQTAQRSSSRRGNGPPRPVIAITPTVIAKINGDASIPTLSAPPRRATSQRSTSPRRGRPQSRVPRHLAAGRHQLLPKMRLECCRGGGGDYRDTEMHGGGTPPRR >cds.KYUSt_chr1.655 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3489380:3492125:1 gene:KYUSg_chr1.655 transcript:KYUSt_chr1.655 gene_biotype:protein_coding transcript_biotype:protein_coding MENPGAYYRSFTIQALTVFSLVAVFAADHVHGGNDGCPHFSCGHLQNISYPFHRRGDPVGCGAEAYELVCTSSKATIQINTGKYYVTAINYTDSYFSVMDANFDPNSSCSLPLWNHHPYSGPMGKLDSHGFWDFGITSMGACFANCSEAVTNNSAYKPVACLGANNSHVYVWVSQYAECYVVDLEPYCGYLAMIPFGDEYSSDRLQGASYADIRQFITKGFTVQFPVAETQPPPPPSTNEKLRENINICLSNSTSYFKEQISSASIMNWTHAFFWSEVHFLECVTQSSNDHYYTTKFVLVVATIVSAIAIPKVLFVLCRFLLAPLALWTFLLYKYWKTRITIDAVEKFLRIQHMVGPTRYAYTDIVAVTSHFRDKLGQGGYGSVYKGVLLPGGAHVAVKMLEGNSSCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSAEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRGDSFVPLSAMRGTIGYIAPEMISRSFGVVSSKSDVYSFGMLLLEMAGGRRNADPNAATSSQGYYPSWVYDQLTLQGEACEISPVIADMHELEKKLCVVGLWCIQMRSVDRPTMSEVMQMLEAGADVLQMPSRPFFCDEGHIHVEDSYHFTSELTTVSEELTAVSEEDEE >cds.KYUSt_chr5.19539 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126768279:126769510:1 gene:KYUSg_chr5.19539 transcript:KYUSt_chr5.19539 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSLRDRVDEELVLKTGKAAGFGLAAGSVWGGLVSMLRDGPQVGSNVKYPELVRTGKVCGHYAGHLAALGATYVCVEQSLAKYRKKKDYLNGAVAGFAAGATVLGFRARRFPTAIVSGSALALTSVLLDVTGMRTTEEEEKAQH >cds.KYUSt_chr2.45774 pep primary_assembly:MPB_Lper_Kyuss_1697:2:285555121:285557634:1 gene:KYUSg_chr2.45774 transcript:KYUSt_chr2.45774 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSYFDGVGTMAGMINGGGGTEGGTQGKHDATQGGTHDGPISIDEVHFFEEDQPQVTKGASHRTGNFTELEDVCLCEAWSDQSEDSLTKRWAWIQEKITKFNATYDKTKKRKIAKEKKSFNLVLCWMALKDFPKWHDLYASYDVNSSGESPATASCSRLGVTSPGAVRARADPAQKAPPPAPASAPAPASGSPPQDPLAEPAPTDAQKALCPKPAPPAEDPRKKEGARHTATSLPPTAALGRTRSRPRAETGDATHTAVPLTSQNACDLRHYEATAGRPVPMASSDGVARSDPARAAGASAPPSPAQPTVGSRFFCLAEDEDSDEETRETAEEAAWSVLGLDPIVQQIGRSLELSKEEVRDDFWTKIGYPTSESRVWSRSASPEVRFSSCRSSERARSASPPPGEKVKRSAMRAASSSPVGLRLSRMPRMKPWRGPLPRRRVTPAPIFGDFLAAVSARRPAAIAPLDPSSGSATSVVLGASPTPSRVVVEATLAASSSVPAEVPAVRPLIAAGLEPRFKPADAALEASSSGPQGRWAWFGRAVMAVQKAPRCVPHDNSIRSRAIDQFSYRSRDIFAAAARPQAATVEPSSSPASTPSQSAGLRRSFAQVVVGVSSSVEMAGAARPPASPGVIAAGLEPRFKPADAALEASSGGPQGRWAWFGRAVMAVQKAPRCVPHNNSIRSRAVGRRSVVVLEEVLPTSEHASLPHHLDAGQRTFRVNGPVKPVIPPPWAEGQADGTKGASSSTPFFPRDGGLAWGCCDTGGYITFYPCIDDLFSFTG >cds.KYUSt_chr6.33017 pep primary_assembly:MPB_Lper_Kyuss_1697:6:207921649:207926064:-1 gene:KYUSg_chr6.33017 transcript:KYUSt_chr6.33017 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAEYRGSLFPKLGKLLNEDCSMPKVELESMEEQLKLLLANSNIIGDVELRDLEVEKSVWLCHTMKLLYDAENLVDSLLVPADEPAPAAENTSSPPEMADARIKEITERGLSLMSLLLKEGSYTRALYKHEEETELVGIDGPRDELIKMLAAGDGMPEEKMRSVSIVGIGGSGKTTLARIVYNQLKPQFDCGAFVRAPAQEPDDFQKDALKDAFFREMLSQLDVENHMNIKRSVFGYGKIIDGIKQFLQNKRATMVRKWIAEGFIDKYGGCSDEELASWYFDELIGRNFIQLVESDGCRDLDNSAMGHICCMIDLRYLSLKHTQVTEIPPVIGKLQKLKTLDIRQTEISNLPPEIGELQDLETLDVRQTQVIGLQMGIFQLPKLAHLYYGNSSSPREVKLPMGTDQWKSLNVLDTVDSIEGPGSVTDETSELAGVREFKVVLHDHPADKDKNDKLLSSISKYENLVSLIVHGDYNPSDELPVSTKFPLLERLKVAGRFVKVPRWLEQLTTLKQLYVRVCKLDRDDLKILGGLPVLSTLALALICIPWKKEVTIPIRLHSSTCTDLPPLEETCNKGFMSLEIFSFDCRVPWITFELGAMPRLKQLHLKLYACPADKFPSRLINLDSLEMIIIQYSSEYASSGGVTKAVAAVREEASRHDNLIRLCVNGNNEVFLSNSRVHETKTGTETKKASNQGNLVEPSVNGDREVLLSNTWVDETRNGTEIEECH >cds.KYUSt_chr6.12077 pep primary_assembly:MPB_Lper_Kyuss_1697:6:75130405:75131401:-1 gene:KYUSg_chr6.12077 transcript:KYUSt_chr6.12077 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHASPLALKSSGDTGGAECEEEEEAGNQRWPPWLKPLLATSFFVQCRVHADAHKSECNMYCLDCANGALCPLCLAGHRGHHAIQVRRSSYHDVVRASEIQRVLDIGGVQTYVINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGGYRPRKKQSSSGGSGGRKKKKRAALKDARSESEHSCTSTSGGGSSDKSSVVQSFTPSTPPAASSSCRTGSKRRKGIPHRSPFGSLIVEL >cds.KYUSt_chr7.26315 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164274329:164274562:1 gene:KYUSg_chr7.26315 transcript:KYUSt_chr7.26315 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASRPRGADLDAGACEMQLVSRPRGADLDAGACEMQLARPCRSRPCGADLDVGASEMQLASGPSGADLDAGAHEM >cds.KYUSt_chr3.29370 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183881704:183882624:-1 gene:KYUSg_chr3.29370 transcript:KYUSt_chr3.29370 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGQPLRADPDPQPRRHAPPALHPAIVPAYPPPESDSEESWVWSQIKAEARRDADAEPALASFLYATVLSHPSLDRSLAFHLANKLCSSTLLSTLLYDLFLASLAAHPTVRAAAVADLLAVRARDPACAGFSHCLLNYKGFLAVQAHRVAHVLWAQSRRALALALQSRVAEVFAVDIHPAAAIGKGVLLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVLIGAGAKIGAGSVVLIDVPPKSTAVGNPARLIGGKKGDDMPPGESMDHTSFIQQWSDYTI >cds.KYUSt_chr3.34551 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216753660:216756873:-1 gene:KYUSg_chr3.34551 transcript:KYUSt_chr3.34551 gene_biotype:protein_coding transcript_biotype:protein_coding MESCGLTQDIGYQTSGESDLPRFEALDEGPSSRLVVATIAKIMDVLGSLSPGPYIGSQVSRGSFFGTDVVVHLAIECLHGPLVGSQEVVQCQLPEGIMAHRGQSDGRTPGLMRHGAFSTASLSARQPLEPSPPTILDILENKLAALTGEAEKLIRENHRLASSHVVLRQDIVETEQEMQMIRSHLGDVQVETDMHIRDLVERIRLMEADIQAGDAVKKELHQVHMEVKRLITERQMLTSEIEIVTKEMPKFPVDNNNLPELVAELDGLRKEHHSLRSAFEYEKNTNIKQVEQMRTMEMNLITMTKEADKLRADVANATNRAHGI >cds.KYUSt_chr5.15569 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100582455:100583144:1 gene:KYUSg_chr5.15569 transcript:KYUSt_chr5.15569 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNVVVRESPAGAVVEEEQQQPTVLTVWRKSLLFNCDGFTVFDSTGGLAFRVDRYGSSSRSRRRAEDVVLMDAAGKPLLTVRRKIKLSLSLAEHWVLYEGDAGAAGTKPLLSVRRHHVGLHRRASDKTLAHITPLGSSSSSYAEAVAYVVDGSYGRRSCAVRDARGGASAAEVQRKESVGDDVFRLVVADHRMGAALSMGVVIALDQMFGGASPRTSLLPRSWTA >cds.KYUSt_chr3.47461 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297766670:297768725:1 gene:KYUSg_chr3.47461 transcript:KYUSt_chr3.47461 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNGIYHLFFQHNPNGPQWGDIVWGHSVSTDLVNWIILEPAIEPDTPGDIKGCWSGSATILSGGQPVIMYTGGDAENHQVQNIALPNNRSDPYLREWTKAGNNPVLQPVGPGMNPGEFRDPTTGWIGPDGLWRIGIGAEVNGYSAALLYKSEDFLNWSRVDHPLYSSSASTMWECLDFFAVLPGSNGGLDLSAAIPKGAKHVLKVSEDQCDKYMIGVYDLERDAFVPDTALDDRRLLPRIDYGNYYASKSFFDSKNRRRIIWGWTNESDSSSDDVAKGWAGIYAIPRTIWLDRDGKELLQWPVEEIESLRRNEISYQGLDLEKGDLYEINGVDTLQADVEIDFELTSIDDADSFDPSWLLDPEKHCREAGASVHGGIGPFGLVILASSDMEEHTVVHFRVYKSQKEYMILMCSDIRRSSLRQGLYTPAYGGFFEFDLEKERKISLRTLIDRSAVESFGGGGRVCIIARVYPVAIVDDGKAQMYAFNNGSTTVRVPHLRAWSMMTAQVNLKKG >cds.KYUSt_chr6.7163 pep primary_assembly:MPB_Lper_Kyuss_1697:6:43417841:43419016:-1 gene:KYUSg_chr6.7163 transcript:KYUSt_chr6.7163 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALETAIEEVLATLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNTAGRHRWWSAPNRTLENVLAHIEDGNSPFLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSVSRSGGSTPATVKKEWSSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNQAAAAAANQLAEEEARRAEDAAVAEAIARSPKDLVPADNTLPIDAAPEWSERDRERQEEEQERRMLDLAAARQLAARAAAPSSSARNAAPREVIKLEESSDDDIYRPSPPRAGDDGQGTSRWYEAPPPQDDAGSSDDDDGGDYTTFYRHFGM >cds.KYUSt_scaffold_1700.370 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2459503:2473726:-1 gene:KYUSg_scaffold_1700.370 transcript:KYUSt_scaffold_1700.370 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFSVSRVICWRLVVAGEVDDPRHLPWPVRHQRRQTVYRWRKRRRRRKGSAAGSSHGSHATGAATDGTHASRVLWTLESSEVSPASLTKQDSETIPLGELVELALGNGDLDGLFLVEIRWLVRKLNGDVLALPWFLTATRVEPIHLSEGPSFFHGVGDALHNLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNISGTIPSELGNLTNLVSLDLYLNNFTGPIPDSLGNLLKLRFLRLNNNSLSGTIPKSLTAITALQVLDLSNNKLSGEVPSTGSFSLFTPISFGNNPALCGPGTTKPCPGAPPFSPPPPYNPPTSVQSPGSSSSSTGAIAGGVAAGAALLFAIPAIGFAYWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLTDGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERGPAEPPLEWQTRRTIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKERRLEMLVDPDLLTNYIDVEVESLIQVALLCTQGSPSERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEVEMGPHRNSEWIVDSTDNLHAVELSGPR >cds.KYUSt_chr2.32016 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197463328:197465055:-1 gene:KYUSg_chr2.32016 transcript:KYUSt_chr2.32016 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGERPHAVMIPYPAQGHITPMLQLAKLLHARGFHVTFVNNEFNHRRLVRSQGADTLAGVPAFRFAAIDDGLPPSDPDATQDVPALCYSTMTTSLPRFKELVAKLNEEAEASGGALPPVTCVVADSTMTFALRAARELGLRCATLWTASACGFLCYYHFKDLRDRGIIPLKDEAQLSNGYLDDTTVDWIPVVPKDLRLRDLPSFVRTTDPDDIMFNFFIHETAGMSQASGVVINTFDELDAPLLDAMSRLLPTVYTAGPLHLTVRNNVPEESPAAALASSLWKEQHAPLRWLDGRAPRSVVYVNFGSITVMSNEQLLEFAWGLANTGYAFLWNVRPDLVKGGEEAALPPEFSAETEGRSMLSTWCPQAKVLEHEAVGVFLTHSGWNSALESISGGVPMVCWPFFAEQQTNCRYKCTEWGVGMEIGDDVRRAQVEGMIREVMEGEKGREMRRRVTELRDCAVASAGRDGRSMRNVDRLINEVLLA >cds.KYUSt_chr3.2617 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15002392:15004603:1 gene:KYUSg_chr3.2617 transcript:KYUSt_chr3.2617 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGDHGGGKLVKSLRGAAQKYVGVAFFLGFFLVLLTYFTLSEQFAIAAPNAIRRTSPASPTPATPAVAERTPTQLPVKEEEAPKPKPEHEQVQEKPPPVDETEGHTQTEESPTQTEESPTQTEQDQTPIDDGGSSRLTVPVAEESAPAKKPACDIQGPWASDVCDVSVNVRIHGSERTVLIPPTIESGGSNPNPQSWSIVPYSRKHQSGMNVVTVRELATAAEAPACAVTSQVPALVVAMGGLTGNYWHDFSDIMIPLYLQAVRFDGEVQLVVTNIQRWYVGKYRHILARLSRYEVVDMDKDDQIRCFPGAVVGIRMHKEFSIDPEKEPTGHSMPEFTKFLRTVFALPRAAPVRVVDGSGKKPRMMIISRRHPRKLLNVAEVTALAERVGFEVVIGDPPFNVDVGDFAREVNGADVLVGVHGAGLTNSLFLPTGAVFIQVVPYGKMEHIGEVDFGVPAVDMGLKYMAYSCGVEESSLVDTLGRDHPAVKDPESIHRSGWNKVAEYYLGRQDVKLDLERFEPVLLKAMAMLRE >cds.KYUSt_chr2.54497 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339985874:339990190:1 gene:KYUSg_chr2.54497 transcript:KYUSt_chr2.54497 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSPMKEDQILGEEHDNTEDFVGYLAKKDKEEDTVDQLSLMPEGVDEVEAMMMALFLLTHDVSDLCIGKPALRWLPPSSTVAHAVAELDGGGPDACVAVWDG >cds.KYUSt_chr4.16914 pep primary_assembly:MPB_Lper_Kyuss_1697:4:105305127:105306020:1 gene:KYUSg_chr4.16914 transcript:KYUSt_chr4.16914 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRSWTSMATGRSRHGGFYRSTEMLARRPPGRDPAEGISRRRQGDDARLVPAAAFLASSSARGKSVAAAPVEGIAVACWDGGGKAPRTSWLSPALAAAADNQARAAVSVFRPPATSLS >cds.KYUSt_chr4.6941 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41095387:41098137:1 gene:KYUSg_chr4.6941 transcript:KYUSt_chr4.6941 gene_biotype:protein_coding transcript_biotype:protein_coding MATSARPLVSVKALDGDMATDSAGIPMPHVLRAPIRPDVVRFTHKLLSCNKRQPYAVSTKAGHQTSAESWGTGRAVSRIPRVGGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHKRVNVRMRRVAVASALAATAVPSLVLARGHRIEAVPEFPLVVSDSAEGVEKTAQAIKVLKQLGAYADAEKAKDSVGIRPGVGKMRNRRYINRKGPLIVYGTEGSKIAKAFRNLTGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLDEVYGSLETPSLKKKGFVLPRPKMTNADLGRLINSDEVQSVVKPINKEVKRREARKNPLKNAAAVLKLNPYFGTARRMAVLAEAARVKARKDKINSKRTKLSAEEASKIKAAGKAWYQTMISDSDYTEFDVFSKWLGVSQ >cds.KYUSt_contig_1467.156 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1044805:1055866:-1 gene:KYUSg_contig_1467.156 transcript:KYUSt_contig_1467.156 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSSHIKELRELIAGSSSSAAAGPASAHFEAKLREVLPSLLRDHVLPSPTANERETTAVLKLLTYTARRFPGVFFNGRPAEVVRVIGRILPFFADLDYQSIIFDTVWSLLSLLRTGDREAYRQFFLDLMIAVEDLEYVASNNTESGCLVKCLCGSFSDILDSPAIFSELPDRFQPRNGPGVLVDLSGGMRWCPFATSLMRLINKCLTDGTLHVEGLVTMPFVSAAWSILCYGDESLHKVCFDFVRIVATVTTVEILPMETIIRSITWILSQDINELSSVRDADYDFSMGACLHALHSSCPGYIVESTAADIVNVFARAVQTSRSSELQAAMCNAYKRIVEFCPPQVWNPEILLKLLYLPKPYSKLTECIWLVVDKFGQSSISVDDRGDRGSFQEKSEISGLPKAGQKRIAQNQENICYKRRKVSESQSSADSSMTKLSSAGIRHKLAKDYAYDLQLSLSSCIKFLSPDNHNAYPLEPEIAIQVLSLLCLSLCVNPKTSLFIRISKQVLSWIPWICKQPTEKCLFSFDVLLYFKALQTVMLLRSSHPGDTGLFEDEARLIGDSGEDYVDLVNLLKRMWSDGHAFTQACLDWKLKCLMVQVIAKIGDKLNTECYLEVLELATHSESAEVQNEALMSLPIIVMYTGPRMLGVMFKKLELFGDLGSEQVWKCIAFSLGLLSCLNGTTNVTDKAGSSCKLFVDKKAKQPVSTLDLLLKGFCCPQCDINTVNTKEQISVVDMAVMQAENVGLKSNMLKAHILFFKFLYAQTSKECIISMVEVLPRVLRHSSREVLLEMKIKWLKCIDFLLLNGMKDVRDAFSLVVCCFLESRVMDILFSDEVEMKGGTKEVNFMDKIKHAFAQAGDSHVLLTLLESTATVMQASDTQGEVFFCSFVLLIAQLDNPDPIVRKTASRLLRRCCAYCFKGGIELFLSKNFRVRDNLYDYLSSRLVSHPAVISEFAEAVFGIKTEELIRRMVPSVIPKLIVSHPKSDQAVITLHELANHLNTELVPLIVNSLPKVLSFALFYEDGKHLPSVLQFYHTETGTDSKEIFAAALPTLLDEIICFPGESDHTETDRRTTKISPTIQNIARILTGNDTLPEFLKNDFVRLLNSIDKKMLHSDDTKIQKQALQRIRKLVEMMGPYLSTHAPKIMVLLIFATDKEALQMDGLDVLHFFIKQLAEVSPTSIKYVMSQVVAAFIPSLERCKERASVHLSKTVEILEELIVKNNKLLKQHIRELPLLPSLPSLSEVNKVIQEARGSMTLQDHLKDAVDGLNHESLNVRYMVACELSKLFKAKREDITALIIGEDISDLDVISALITALLKGCAEESRTIVGQRLKLVCADCLGALGAVDPAKFKVISCERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLAGCQSLPNEDNGKDSSSCEMSKRGQKLWGRFSSYVKEIIAPCLTSRFHLPNVSDAALLGPIYRPTMSFRRWIYYWIRKLTSHATGSRYGIFSACRGIVRHDMPTALYLLPYLVLNAVCYGTPEARQSITDEILSVLNAAASESSGATVHGITGGQSEVCVQAIFTLLDNLGQWVDDLKQEIALSQSSHAMAGKHAGKLKNDGQDQLLVQCSNVAELLAAIPKVTLAKTSFRCQAHARALAYFESHVREMSGSSNPAAEYSGTFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSSLQDQLIINEKTGNWAEVLTLCEHALQMEPDSVQRHCDVLNCSLNMCHLQAMIAHVDGLVCRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLPEADKGLVCSSSENSASFDIGLAKIFKAMMNKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLCELEDFNSLLGDESFLDKSFTADDPKFLKLTTDWDNRLRCTQSSLWAREPLLAFRRMVYNLSHMNSQVGNCWLQYAKLCRSAGHYETAHRAILEADASGAPNVHMEKAKHLWNIRKSDSAIAELQQTLLNMPAEVLGNVVLSSLCSLSLALPNAPFSATQASKENPDVSKTLLLYTRWIHNTGQKQSEEIRCLYNRVAELRPKWEKGFFCMAKFLDDLLVDARKRQEDKKFTGGVGSVTPSSAGPLSTQAQEKPWWELLPTVSLCYAKGLHKGHKNLFQALPRLLTLYFEFGNIYIRDDNSSNGGMVTVHNRMLSIIRGSSKDLPTYQWLTVLSQLISRICHQNGDVVKTVRYIITCVLQAYPQQALWMMAAVSKSTVSARREAATHILNSAKKGCRKGGNVALFNQFPSLIEHLIKLCFHPGQAKAKAINISTEFSSLKRMMPLGIILPVQQSLTVTLPSYDSNMSGQSAFHPFSVSEHPTIAGIADEAEILSSLQKPKKVVFLGSDGVARPFLCKPKDDLRKDSRMMEFNAVINRLLSKVPESRRRKLYIRTFAVVPLTEDCGLVEWVPNTRGLRHILQDIYITCGKYDRMKTTSQIKRLYDECHASKMAEDVMLKTKILPLFPPVFHKWFLMTFSEPAAWFRARLAYAHTAAVWSMVGHIVGLGDRHGENILIDATTGDCVHVDFSCLFDKGLQLEKPEVVPFRLTQNMIDGLGITGYEGVFLKVCEITLSVLRGHKEALMTVLETFIHDPLVEWTKTSKSSGGEVRNPQAQRAIANITARLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHKNLGKMYIWWMPWF >cds.KYUSt_chr2.49478 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309663583:309663894:1 gene:KYUSg_chr2.49478 transcript:KYUSt_chr2.49478 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAAEPQKPAANGAMAKASPLSSMAGTAACLVAVGLGGAALLVWWALAFHSSHARLWMVPAGLVLLGTPILAWLSLLASDPCRCGRRPAETTPTPSEHPGA >cds.KYUSt_scaffold_1259.243 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1491520:1495995:1 gene:KYUSg_scaffold_1259.243 transcript:KYUSt_scaffold_1259.243 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPVRPWGELQHDLLVAIMSRVGTPDLLSGGAPRACSAWWAAARDPFAWRRVDLRDWTALSSARRAAGTRATSTSVSVQDALAGVLEVAAMRADGRMEAVLLPEFADEDHILFLAERSPNLQYFSFPTTCMTYDQFCKAIGKLQSLKGMAVDESLINYDALHHVHQCCPDFLELKVFALYVDEYMASIICDSLPRLKKLEIPNSDMSCAAIIKFLDCLEELEYMDISGYETSAISSTVLRKASRLKVFIWNSKFELGEFKDCSNCGEHSINPLEPCNCIMDHKVMDWLAGPSQTS >cds.KYUSt_chr7.33681 pep primary_assembly:MPB_Lper_Kyuss_1697:7:210091812:210097429:1 gene:KYUSg_chr7.33681 transcript:KYUSt_chr7.33681 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDFYSEFAWEEEEERRAPCSRVREAREEEERATTSSSGARAAWEEEEEEEEPAATSSSRSTTRRRPAPSLDDGGSRHQALGDAGVNKSLQVWINLASKDKVVFNIGQNEILRGTRAATLEATAERTERGCLPNSLHIQQIHAG >cds.KYUSt_chr4.15789 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97596203:97597285:1 gene:KYUSg_chr4.15789 transcript:KYUSt_chr4.15789 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSAGHGRRPKKKLYHREPGLDKAMDLHKKPALLLRLRELIMAQKSGSLLVRDLEKEVGFVQKWNFVALIERHPNIFRVSGGSTSREPIAVTLTEKARNISSEEIQAQELMEPVLVRNLRKLLMMSMDCQIPLEKIELIQSELGLPKNFKNKLIPSYPDFFSIRDVRGLDYLCLESWDSSLAVTAREEKLNFDGFQMDYRGVPKDGNILGPFAFKVKYPAGFRPNRKYLEEVVRWQKMAFPSPYLNAREVEPATPQARKRSVAVLHEILSLTMHKRLTSDKLEVFHNEYRLPCKLLLCLVKNHGIFYITNKGARSTVFLKEAYDDTDLIEKCPLLKFHDQFSSLIGRPCSDSNTALAV >cds.KYUSt_chr1.18817 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110410482:110418009:-1 gene:KYUSg_chr1.18817 transcript:KYUSt_chr1.18817 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLVSAKASPRAATAAAASPLLPSPTRGRARLGGRGFRCVAAAAKGEDVFGGRKELSGVQPLVEALPPLARAALELAVVAAAATAGYTLGTRYGGGTRTASVAGAAVLGAAGLAGAAAVNSVVPEVAAVGLHNYVAGRDDPTELEAAEVEAIASKYGVSTQDAAFKSELCDLYASFVYSVIPPGHKDLKGNEVEAIIKFKRALGLDDVDAANMHMVVGRRLYKESLDAFQKLIFVSNLVFGDASEFILPWKHLFGITDYQIDIAMRENAKSLYALELKSIGRGINIGTVIDIRRVQLAYKLFDEIAADMFREHAKNLIQENISSALSILKSNPGSTNIPEQVIDEVNTILAFNSMLTVLGKFPDGDRFARGLGPVSLAGDFDHDRMVGDLKILYAAYATAVLSDGNLDDEKGRDSQHIDHILKVQHIRLKPRVLKRMGFNKKLSQPKALNIIRDVVR >cds.KYUSt_chr5.630 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4556430:4557147:1 gene:KYUSg_chr5.630 transcript:KYUSt_chr5.630 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQAQPVRPGDVYPPYAAGQQEARRQRDEVLARDRQQHDDSLRVTETDQHDGRRVVTATAAGQVMAQFTVPAPGPTGAIGKATDAVTIGEALQAAAGDAPVDLADAAAVQAAETRATGLGRVVPGGVAAAAQKAAETNMRLDAGEEKVRLREVVGSATGVMPANKAVTREDARKVAAAAERNARGRGGSDVADSVAAAAEMNQGV >cds.KYUSt_chr4.42783 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264987984:264988620:-1 gene:KYUSg_chr4.42783 transcript:KYUSt_chr4.42783 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVRDGNLERALQVMERKMRSSGIERLIKRRTEHHVKNSEKRVLAHKALMARVRSQELGKKLREILIKKIRPGIVDAPGQKPRAQKNSRKIRQF >cds.KYUSt_chr6.31707 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200379104:200381671:1 gene:KYUSg_chr6.31707 transcript:KYUSt_chr6.31707 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPRRRHKRACVEEIHGAEGRTQQRLPELDAPSVDKGLRVSSAETKAQTCEDDDICQGAPNARQYLELDKLPEKLKFLMVTRWYEMQAIEISAPNLSTFHYIGSPVEISIRYPSQLKDVYLASFVPSRILSYGRANLPFIARHVERLTLISCGENVNTPMLPDKLLHLKSLGIRLYRSRDSPIYDIFSVVSFLDASPALESFILHVERDYIINDCVTGDDLYASGESAYQHDRLKRVKIMGFHSAKSLIKLVIHILGSAPSLESLTLDTTGGRKPGDAGKCTASGESHKCCCMSERDVEYADRAVEAAGRYIFGRVPSAVQFEVLEPCRRCYAGNR >cds.KYUSt_chr3.45778 pep primary_assembly:MPB_Lper_Kyuss_1697:3:288268540:288269591:1 gene:KYUSg_chr3.45778 transcript:KYUSt_chr3.45778 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWLTSHFLQRATTKESPSESGDFQTSVMKTMLRLADDPELSQDLLPDEESESESELELEQEQEEEEEQVEEQAIHPVPQLDIKEKFKIMCEKVTTWARSNTLPCVALLLLVALLLMLYVRIPATNPTGLIIVKVNNDTITSQTDTSRHPGWPKMEKALEVTMLRSMDDFGIASVFHGTSIEEVRDATNKYLYLAIVHASVLGSRFRSEDVGTISMLEEKVKSLEAEKNAHLVKIEALAKEKEEIALSAENCPAVKESDEALQRANTRIVELEKQLRDKKAFAENVMSELNNAEDHLKTCGQRVDMLEDKVREMEGVVIN >cds.KYUSt_chr2.50511 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315835927:315837273:1 gene:KYUSg_chr2.50511 transcript:KYUSt_chr2.50511 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLSGITGGDGKQTGESEILRIPEPTGVSTNKIRTNPTHHIATSGLLPSIWRERLKKIIRKSNSPEKCLEHCKARSAQILDQFPEKEKDSSGWGKESIPSPATSPCGPEESKDKVPAEGAASASLVAIEGEKAPRLLIVPSTGSPLASVTALTPTSQRVPLQWEADHLGIVGQLIAELIQGSDQRIIDPGGPINRAHDAGKSHQPSPSRYYSPNLPLLYAKVETNSSEKGKGALATDVAPVHRSPSICNMAGTFYRRRGKELLVELVTMKEKEATVLNMVKVRSDVRARFTAVGIFMTVLTVRSEQLMQTMRRVWKLRGIVNTNLDDCLGREFVHQLRNEEATEGREQEEADTNVAFEALHDHERQDSSSLDFVVGGASKSVIDPKSVEDQLDSHNAQSKKEVQSSPHMKVKEEDCEEVKQGTREDTGEETAGMKSGTDEGAWQDQ >cds.KYUSt_chr7.34270 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214161320:214166731:1 gene:KYUSg_chr7.34270 transcript:KYUSt_chr7.34270 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHATGVEKAAAPYGSWESPISAAAVSAAGKAVEGLAVAGDGRLLWVETRPEEGGRAVLVKEGAGENGGNLDVTPQEFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNSPLPLTPDYGGSVVRYADGVFDPHFSRYITIMEDHRKSSSDPITTVAAVSTSVGDVNEPTVLVSGNDFYAFPRIDPTDKRMAWIEWSNPNMSWDKAQLWVGYFSSKGEVQKRICVAGEDPTSVEAPTEPKWSSKGELFFITDRQTGFWNIHKWDEQSNVVVQVYSIDAEFSKPMWIFGVSSYDFLRNDDSSQKIACCYRQNGESYVGLLDHNSGSFSKLDLPFSSVTNIVSGDGSFYVEGASGSLPVSVAKVTLDEKRTMATDFSIVWSSSEDITKYTSYFSLPEFMKFPTVIPGQHAYAYFYAPHNHIFQGSSDEKPPLLVRTHGGPTDEARGILDLSVQYWTSRGWALVDVNYGGSAGYGREYRERLLGQWGVVDVNDCCSCATFLVETGRVDGQRLCVTGESAGGFTTLACLAFRQTFKAGASLYGIADLASLRAGMHKFEAYYIDNLVGDKQAYFERSPINFVERFTCPVILFQGLEDPVVSPDQATTIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQAMMFFARLVGHFKVADNITPIKIVNFD >cds.KYUSt_chr4.21422 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134865987:134866937:1 gene:KYUSg_chr4.21422 transcript:KYUSt_chr4.21422 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRCKRSKKAVVDATGEDRISALPDAILQVVLSFLPSDETVQTCTLSRRWRHLWKSTPALRILYTDLRYWSVKEMNVFVNYLLLLRDRAPLDECEISYRGQFSDEEHADIVRFSGMWIHYALSLCRAQVVKVNVGIPLTHGNSALVSRFLRRLELRGVSLCEHAIDFSGCPKLEDLNLRYCAINGHKISAQSVRRLSIIQCAINLDSDTRIRISAPCLVFLQLDGVRGGVSLLESMTSLVTAYIRLGGSYLDSCSHVDYRDCDDCLAYDDDGGGGDGNGCVLLQGLSGATSLELITTDRDVVCTLLFFTPKSPSD >cds.KYUSt_chr1.33914 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206151830:206152993:-1 gene:KYUSg_chr1.33914 transcript:KYUSt_chr1.33914 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRGFLSRLLLRATAGATDRHACARIHPLLLKSGHASDTRLATALADAYAKSGLVAHARRVFDETPHRDLVLWSVMISCYSSHGMLSHSWALFASMRRSAGLSGDGFTFSALLSARAPPSSCGHHPGLLAHGLVVRLGLHVDLVVATALLDMYAKCGRVADARRVFDAMLLRNSVSWNAIIVCYGQHGGGKEALQLFVSMLRNDDGCCCRADELTLASLLSSCANMAAAYEATQLHGYALKRGLQGYLQVANALIMAYGKNGFLLQARQTFAAIPNPDIVSWSSMVSSFAYLGCAKSAIHVFDRMLHQGVRPDGIAFLGVLSACSHAGLIQDGLKYFLVMAKDYQIDPCPQHLACLVDLLGRAGRVQDAYNVLLNMSCQTNTCLFG >cds.KYUSt_chr2.17433 pep primary_assembly:MPB_Lper_Kyuss_1697:2:109898640:109905239:-1 gene:KYUSg_chr2.17433 transcript:KYUSt_chr2.17433 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRSKGTAKATDDEPVEEAAQVDAMSEDEADAEEESSASGAEEESGGEEAAEPKAEEMDAEAGDTKAEEMGAEPAANGADAGQTNVEAPDAKAEAEADESGSDREADADPEPEAEESDGEAEEQAAAAGGQSGGEAAETDGEDEEEEAAAAAAGEEEAAEAGGEAEEDGADSDPEGEGSDAAEESPPPSPPARGRRRKRAATPEPDDEEEETPVPPRRRRRRRKAGERGDSPPPLPDDLRCRRSDGKKWRCSARSLPTVSFCEYHYARASKGKKPPADGEVLAVALQRQKKNKRNGRRRLNLTPESPKATKDLPNGLMTISPGSSGAVGSPVMTKVGVDVPAPIRRCYRSKNADPVPVGPVKVVPRAMSKAKEAQMSCHRCGLKKVARVVKCNNCENNYFCNSCINKWYSGISRKDIKMQCPVCRGRCDCKRCNLGLTKHKELIRIKICNHQLYKLLPLKLNQEQLDELEIEAKIQGVSVITCGIGYGLKSVEDPQIAGLLGDRDFPFERPPPPSRRTRQPPASPQDDDEEEDYNALAYHNEEAKDDIDDFVACIFHEWQAAMAEGRKFDFSATMTDDDIKRLGVLVSEVDRPVQSPLPLYPIDIMPPDLTEE >cds.KYUSt_contig_319.1796 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:11736541:11745957:1 gene:KYUSg_contig_319.1796 transcript:KYUSt_contig_319.1796 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSESGVYLGAFAVVIHGISDPETLEAMACREAFSLALDLQEKKVHIASDCLDVCRLLRPDCAPDTPGRAWSHVLPCDEDIVNWFGAPSAGMAPDVQNYASQVGGNDKSDWLHRNDAYQMQARSGGTRPILIAQSNFVGAGSLTQLSGDTKSDDESDHAGIFEPVEQGARLEGIIQEQMLAARDLDSAPTSCTEGPEAIRDKLTMPVQIV >cds.KYUSt_chr1.22314 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131973834:131974322:-1 gene:KYUSg_chr1.22314 transcript:KYUSt_chr1.22314 gene_biotype:protein_coding transcript_biotype:protein_coding MHNWQKLGARLEEVGIHEDGLAWSVAEALVCACKEEEEEVGAIKDATEVADRGAKISLEAASQSPVVKVDEESVAQVPAEDTVAVKRGAFEEGAYEPNSSSAAGEEQLRAGEAVVGAAVEDEEDDPLSTAVEEQVRSRSRSGGRGEVRRVVGEAGPRVVART >cds.KYUSt_chr7.10212 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62516027:62517214:-1 gene:KYUSg_chr7.10212 transcript:KYUSt_chr7.10212 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGQDMEGRSGGKEGEIDDMLSHLELNDEELDDVVVDVEAAKEYRRAARWLAIGKVQTSRSFSSEALFEKMKSVWSLAKDPVCRVVGDNLFLFQMHCLADWKKVVHQGPWTFRGWGLLVEDYDGLGDPAEFVFSGLFVWAQIHGIPELYRKEEVVDDLARRIGKVKEVQMVPKLFFEGNYVRLRVRIEVAKPLLRFVSLTTPEGKKRLAVKYEKMPFFCKRCGLIGHDHEECGDGVWEEKQLQYGTWMLATRRANQPMPAPRQSMDRARFWGGFAGRGMANSANGRKRNSEEAALTEEDDEKDTASSPEKLPLIDDSTKNNAESDARKMLELGGVVPVDGVEKMDTSGGSEAAPVPPPPPAYIKNKDKKQRKENVIGNNLAPSAASLEEDRRA >cds.KYUSt_chr7.12153 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74671121:74672337:1 gene:KYUSg_chr7.12153 transcript:KYUSt_chr7.12153 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEEEDKDGDHEDELFDKSGAHALRVSVNPEVQPTDFTEEEPDACTDTSSHVYAAATTSSCISTAVGFVLDLAVGAFGSSTQTSPAAAAMAELSWLTALGFAFLTFNSGMAIYRSKGDAGSILFVAVSYLDLLALFACLRHYERLDRHSPRREKVKAAVWSLTTLLTVMFSYKVAELMPLAVKLLVWAMAAATTCGGFYAFFIHDDKEFQLQQQDVAERGQGAADY >cds.KYUSt_chr7.3596 pep primary_assembly:MPB_Lper_Kyuss_1697:7:21407709:21409310:-1 gene:KYUSg_chr7.3596 transcript:KYUSt_chr7.3596 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDRLLHPLVDDLPCPVIQYADDTLLILRAEHSQVRRLREILDLFSHATGLHINFRKSTFVPVGGVSAELASELAGILSCPVSSFPQTYLGLPLSDRKLPAAALEFLSVKISKRIPGWRTSLLPIGGRLTLTTAVLSALPSFAMSVLPIPKGTLAKMDRPRRSMFWKAREKCSSGDCQVAWDYVCRLRSEGGLGVVDLGLQNKCLLLKAVHGLFTGRDSPWTRWIKRSYLGEHPQAATPAWKCFQSLIPLYRSITRVEPRDGCSTSLWHDAWTQLGPLSAALPAAFSHCLRPLATVADALESGTVEIPLVHRVSAAASGEMEFVHACLSRISLSASPDVRSVALGPSIDFSTGCVYRALHSTGCIVPGQDVNWNCFAPLKVKVFFWIMRLQKTRTRVLLHRLGCVPSTDCPFCPGQPEDISHLFVGCPRLRPLWNIISPSGHPRTDDDVLGLLDALSEDLPPMHPKARNTAILALLWSIWKSRNRMVFDADLMSTLSVLDMIADHLRLWIVRAPSSVDTTPLLAWCRSIS >cds.KYUSt_chr5.32285 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204833296:204839439:1 gene:KYUSg_chr5.32285 transcript:KYUSt_chr5.32285 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKSSSPSNHRAIFRAEIRIVLDKLVVDASMETNNTPALHDYIISIITPVTETPPRHATETAIVDAVVDASLHHRRDTGAGDEPLGPASWLELKAFPTDCLVSGKEMEALHFAKIMLRLKKLSYGLSQEHGDPVLIRISRRRGRCSSRATAHLASGNAAALESGSRAPLESGSRLLELAPPRCIHAAARPWSPAGRLLQLAPLRLLQLARHRAPLESGRRAPLESGRSCYNWPDSSRRTRPRLEAEAAAAAPRIDDDMLLEVFKRLPPPLAACRLTRGRRHSGAANQHAMAASMPATCGVGVCYNSQRLLLQRAAAVCYGGCCQCIGRRSKR >cds.KYUSt_chr4.50740 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314412992:314414561:-1 gene:KYUSg_chr4.50740 transcript:KYUSt_chr4.50740 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGVWHDGSSRVSGGYQDSSSRDAWYNSPVASAVAASSRRVAVPAGSIRGRRLNDKLYAIRSVVPNITKVNRSSCIYMRACVDCEMCKLGKTQMDKASIVKDAIEYVRQLQEQERQLLAEMSILESAAPATHGQLLATAERGAQAVVLRDSCAHHAMPPMKKMRSFMSSSTAATPASNMVTTSRRIEALEVNVNVLLTDSTNNCNNDKQSDGDAWSDLVCCVSTIHRHAAGTVTTRIDLISYFWMQVKVTGVGDKVLLVSVACRHRKEAIAKVSLALDGLRLHIISTNVTSTFGTLKYTALVQANEEPMSFVSMLNDASVDLG >cds.KYUSt_chr2.13252 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83948276:83949280:-1 gene:KYUSg_chr2.13252 transcript:KYUSt_chr2.13252 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVPVMAPSAPCSPRTAAAMSGTDLLPGSYHYFFSSAPTSPSRASSYTVDDHDAATFDFAFGFSGQLHESRPILAAADELFEGGRIRPLNGPHSSVNPRDFDGFDYPSVSLSPRSPRRSRTMMAVRGDAEESADQNQARGRSGRPALATSSSSVSSRSRRATRSLSPYRGDSMSIDDEFPSSPPSPRGAASLMRGCGSSGSRKWRLKDLFLFRSASEGRASGGKDPLLKYSMLKGGSGSGGDGGNASMRIKGRGSAASASDMAPYTVSRAAAEEMRRRTTTPLPFHRNSLFGYLRSNPAIHTISRKLSSYSSSNRGRNASSSTTTTTGYAHA >cds.KYUSt_chr5.11860 pep primary_assembly:MPB_Lper_Kyuss_1697:5:76983294:76986742:1 gene:KYUSg_chr5.11860 transcript:KYUSt_chr5.11860 gene_biotype:protein_coding transcript_biotype:protein_coding MHVATISNQTHLKSTIRAYISNLSNQQPKLSSSFTTCLSVPPSLWLLSLGAREPRWYATCIGHNQQRDVGMRGGDGDKEAFFQCLDRVPSGIHIDADFPSDDDEDDEDDARASFSSAMGDHQFQSFRRHQAAVLLEDDDEEQEEAEMEDASKYDMWMSDEPMSIQERRRRLHQGLGMVSSRDLALRRHSTKKRFADVPRSVSRKLMQLQEQPLPPATLPVPDAPSAAAATTKAPAPPKSGLAAARDMLKQPPAKPITRRRSDSFLAVRDGTSGSGKPSLRRARSLISPHDPCSSSLADKFKATRAKPGVPLPAAVPADKDRKGDGGDGSVGQTKKQEKEAAVVAAPKDQNQTGVQMGLEEIEKFIGNTPIMKHLMRRGPSQHHSPPMPAPTGAAPPKGDKSASKKKGGWLKNIKSVAIGAFIQEKDGNGKPAPAATTGASPKKGHAGATVPPPSSSASTSSEKLKVQNYGKSSKELTGLYMSQEIQAHEGSIWSIKFSADGRRLASAGEDSVVRVWEVVETSAPAGSVPQDGSLPPLPSGGTTADGATPALSKKSTTKGGKTAKDALPEHLVVPDKVFALAEQPLCVLEGHEDDVLDLTWSKSDQLLSSSMDKTVRLWDTTSKACLKKFSHSDYVTSIQFNPVDDRYFISGSLDAKVRLWSIPNRQVVDWTDVNEMVTATSYTPDGQGAIIGSHQGSCRFYKTADCKLSAESQIEIDAKKRKSQAKKITGFQFSPGNSSEVLVTSADSQIRVFDGVTLVQKFRGFKNTSSQIAAAYTSDGRYVVCASEDSHVYIWKTTRTAPAAAAIGIGMKPKTWCTIRSYENFYCKDVSAAVPWTHSPSLPGSPKSQQGGMSCNDEVCTMASHAAKAPDVNKSGELSSPAAPSPHSGPLGSAAGDARHGGKSGDSGNAWGLVVVTATLSGEIRVYQNFGMPFRIKGQGNLFY >cds.KYUSt_chr3.36025 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226435644:226436090:-1 gene:KYUSg_chr3.36025 transcript:KYUSt_chr3.36025 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTGTKTNSSSRPRDSPSNSYSTPNEVTTPWRRCCMYRHAGLRVSPDARGRGFAVEAKRRLQEGKRHPQASPSSARSRDFSLARRSSPQGPSEQRQMEMEDRQRKERNLVSSSLGEGLHATAGQIKAGGLHPPPRGTTHAGRRSGAH >cds.KYUSt_chr4.36870 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226811775:226812113:1 gene:KYUSg_chr4.36870 transcript:KYUSt_chr4.36870 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSPVMTESERRAYRYAQAPRVQGLGVRKSWSNDSLFSLVGSARGVTVHSCVCAPTTHPGSFRCKHHRQNQNAYQIGGAGQSTADADADVKRGDEAHQEASSSAEQEKAS >cds.KYUSt_chr2.4637 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28668196:28670129:-1 gene:KYUSg_chr2.4637 transcript:KYUSt_chr2.4637 gene_biotype:protein_coding transcript_biotype:protein_coding MVGENVVFVANDWHTAVLPCYLKSIYKQNGIYENAKVAFCIHNIAYQGRFPRADFELLNLPESFMPSFDFVDGHVRPVVGRKINWMKAGITECDVALTVSPHYVKELTSGPDKGVELDVVLRAKPLEVGIVNGMDVYDWNPATDSYVSVKYNATTVAEARALNKEMLQAEVGLPVDSSIPVIIFIGRLEEQKGSDILIAAIPEFVEENVQIIVLGTGKKKMEEELMLLEVKYPQNARGVAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVIPICSSTGGLVDTVKEGVTGFHMGSFSAEFETVDPADVTAVASNVTRALKLYKTPTFHAMVQNCMAQDLSWKGPAKKWEEALLGLGVQGSQPGTEGEEIAPLAKQNVATP >cds.KYUSt_chr6.29629 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187840798:187841232:-1 gene:KYUSg_chr6.29629 transcript:KYUSt_chr6.29629 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLLLRPLPSPATSGSLAGRASRPAPRRPGALVWAGDRRCRRGGVAAAWMEEAGTAVLEEAARRNPALSESYRPAGLPRPNGTVLEAQGRVCTGPEQTRPLGEEQAMRVLDTILRSGECSAYSSSACSDSAFWTPFAVVIDCQ >cds.KYUSt_chr7.36876 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230354928:230363815:1 gene:KYUSg_chr7.36876 transcript:KYUSt_chr7.36876 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAPMVAAAEEEPHVERLPADLLAHILSLLPTFHDLAMAGGVSRRWRRAVGRSLATRRRLSFAGQRTGDDSTARLVRAAINLRDLDISRSCWGCHISDRGLLEISSAACVANLTSVSLWGLAGITDKGVVHLVSRARSLQHLNIGGTFITDESLYAVANSCANLKSIILWSCRHVTEAGLVALVNKCPELECINVGGMRVSPESFAGLLSISPALRIRSIPQILNADVVQVPLACFFLHHIGLNKSKLAMGIMAELDRAWIELPREVYPKEDNNRESLIWEASDLATTILRHDGFMDDEVE >cds.KYUSt_chr2.40417 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251075844:251079700:1 gene:KYUSg_chr2.40417 transcript:KYUSt_chr2.40417 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRVCSAVASLLLLYHLAVAAAQGASSWKTLSGKAPAIIAKGGFSGLFPDSSEFAYQFAMIASSPDTILYCDVRLTKDGLGVCLPDIKMDNCTNIADFYADGKKSYLVNGESTTGWFSVDYNGTELSQVSLKQAIYSRTFRFDPSFFPLLAVEDVASKFNPPGMWLNVQHDGFYSQFNMSMRKYIISVSKRVIVDYISSPEASFLTSLSERISNTTKLLYRFLDETAIDPSTNLTYGSMLANLTLVKTFASGILVPKTFIWPVSPDNYLLPYTSIVDDAHKAGLEVYAADFANDLKLSYNYSYDPLAESLSFIDNGAFSVDGMLTDFPVTPSEAIGCFTNLNKSNTDHGKPLIISHNGASGDYPGCTDLAYQKAVDDGADVIDCPVQVTKDGIPVCMGSINLMDGTNVAKSSFASQIAVMKNIQSVLGVFTFNLTWDEIVKNLQPKISTPFSTFTLDRNPRYMNAGNFMRLSDFLNFSKDKDLSGIMISVEYAAFMAEELGFDMVDAVIKALDDSGYNNQTAQKVMIQSTNSSVLEKFKEQTKYDLVYMVNEDISGVASSSLDDIKTFANAVSVETGSVFPENHQFTTHSTDIVQSLQAAGLSVYAYTLMNEFVSQPYDFFSDATAQINAYVRGMGVDGLITDYPATARRYKLNKCMNMGKKTPTFMSPARPGDLLQIISKPAQPPALAPMPLLTESDVSEPPLPAARLTNASTAPAPSFACKMQTPIPILVTLATLCACVLL >cds.KYUSt_chr2.10523 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66824145:66825496:-1 gene:KYUSg_chr2.10523 transcript:KYUSt_chr2.10523 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTGPPRTTSWCSHCGAGLVAPPGGSSSSVRCALCHRVTRIERHRSVGNAVVLAPPSPPRTLVPVRRELPAGYPVARGKKRAVLVGVSYTGTDYELRGTVNDVDSMKSLLCGKFGFPSDCILVLTEKKSDDPYMVPTKENLLLAMRWLVEDCDAGDSLVFHFSGHGVQKLDTNGDEVDGYNEALCPLDFEEKGKILDDEINETIVRPLRPGVKLHAIIDTCHSGTILDLRYLCRLSRTGYWQWENHNRQPQKPKGTSGGLAISISGCKDDQKSADSSGFPESAEIGAMTDSFIKAVQSEPGTTYGRLLGAMRGTIRDGQGMGRRLLPGRVGSFVRKMVTSSSVQEPQLCSSEVFDIYRKPFLL >cds.KYUSt_chr4.50765 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314651380:314655896:-1 gene:KYUSg_chr4.50765 transcript:KYUSt_chr4.50765 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLSRPSRTIAASMQVRSIRDFNTPFNAEIGRLAFSIPGHHGDGAPPPARFFLLNRWIQATTTVQPAHRGKIELESKQHRRCSELQVAGERCGRPASQALRELARRRCRLCLDLLAALLLCLLCPHSHDAAGSPHPTPTPWPQQFHATLLMDYHGNLSLDDLWYDWPGGLNLQAIRYQLAADAPYYNAEWNNGTSFFYTTLL >cds.KYUSt_chr5.32526 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206392204:206392704:-1 gene:KYUSg_chr5.32526 transcript:KYUSt_chr5.32526 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPPRSTTQRPWGKVQVTPRRALSRSPRLSPSAPPSSPSPAASALPRPPRRPRSHNRQRHLRGGANFLDFLRSSTPAPHAWALLPPAQLLCVYAVAGATAGAPCGLRFERDEDGSDGKFVGKLAPGEIAELLTMCCACVERCGAPRVSEGVKGGRWGVLLPDVS >cds.KYUSt_chr2.51361 pep primary_assembly:MPB_Lper_Kyuss_1697:2:321119327:321126852:-1 gene:KYUSg_chr2.51361 transcript:KYUSt_chr2.51361 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSPFWLRCSELPPQCTNWDSEVDCTAKRMCREEGTGLDCFLCLYFEVIYAISVVSAHVVDLEDQLKRSQDQSAEMKEELAAIKKKSAEEVAAIKTKADAVEATQAQRDKDYEKVVLKLDLHDDKHKQKALKVVSGLQGIDQIAVDMKDQKMTVIGTVDPIDLMAKLRRKLFPTAQIVSVGPAKEEKKDDKKGGDKKDAAGGGDKKDAGGDKKDGADKKNQVVPVYPHHWYPPPPPPRYVVHSSEDDPNSCVIC >cds.KYUSt_chr2.15247 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96185899:96187059:1 gene:KYUSg_chr2.15247 transcript:KYUSt_chr2.15247 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTGKQIHDRAYFNSINVLSVKIVSSDKGFPLNVYGNIILRDNLDKKCIYLFYRPTRRDSELINSEDQSLILTGPSRGLVLTDYIYIEVDLKMKLGQGKDKQLSIGLLDIDGRVAPRVPTTEVQCCTLESSLSTVEVRYALVKEATEATVEFQVLKGDFKGKITAHTTRIHDRMLLHDSRACGAVTSSDGSRVIELLRRVLAVCVDEVLFISAEPEGVDTLVKKFTPSLSGSQSDVFDWGAVKLGVKVTWSVISF >cds.KYUSt_chr5.9536 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60647372:60648331:1 gene:KYUSg_chr5.9536 transcript:KYUSt_chr5.9536 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATRIMRTALHAFFTHYHPAASAAALLALPFSAAALLSRSPAASALLARPALSRRLRRVLVAAGFPPASQLLFLLNHRLSQSALSFLATLPFSLSFLLLAKACAVRAMQSPSPSPRTQHHKPPLPLPPPSFPRYPAMARTQLANFAALLLANAAVFAALLAAFNAAEALRLGSDGRAVLALSAAGVIVYSVALANAAAVCNLATVVAAAEGGRGGCHALLKAVLLVRGDAATAVAVALPASLATTAVEGLFQHRVMKLYIVDGRVTSAMVCEGFLIAYIHSMICVLDTVITFMVYQTCKASHSRDLLELEGKEDFFA >cds.KYUSt_chr2.39802 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247032456:247034200:-1 gene:KYUSg_chr2.39802 transcript:KYUSt_chr2.39802 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKGPPSHRERHFTAGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAQSEADHYKREMKREQEEIIAVPDTEAAEIGDIMAEYGLEPHEYGPVVEGLRRNPQAWLEFMMRFELGLEKPDPRRALQSAFTIALSYVIGGLVPLLPYMFISTVQDAMFTSVGVTLLALLFFGYIKGRFTGNRPFLSAIQTTIIGAVASAAAYGMAKAVQAR >cds.KYUSt_chr3.1416 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7859527:7860271:1 gene:KYUSg_chr3.1416 transcript:KYUSt_chr3.1416 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNSTALGRPCHAAAGNRGYSTALNSQRLAGKVAVITGGASGIGKATAEEFVKNGAKVVLADVRDDLGHARRPSSAPTRHPTPGCDVTDEAQVAAAVDLAVSRHGNLDIMFNNAGILGSLARPPLASLDLADFDTVMAMMPAPPPRSPRRPSPSPTLCVITSNRWWAAAVEGVAARGTARSRVRSSIGAA >cds.KYUSt_chr7.22276 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137953383:137957977:1 gene:KYUSg_chr7.22276 transcript:KYUSt_chr7.22276 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAARAMSPVICKLGELLVGEYNLEKRVQNRVKSLHTELELMHAVLRKVGKVPPDQLDEHVQIWAAKVRDLSYDMEDAVDDFMVRVDGPSDKPANMKNRVKKFLEKTTKMFTNGKALHQISDAIEEAQILAKELSELRQRYELDMGRSSIGATIDPRMVALYKDVAELVGIDRTRDELIHKLIELRVLHLYCYEMGKCIALMESLGSLRKLQSVKIDGSYELMKLMSARWVPPPCLRRFGAQYGFLTLPKWINLTSLPHVSFLSLWVINLHKDDINIIGMLPALRYLFLYVDNEDRWNIPLSVVRAGAFPSAIECVFRGIMTWPCLFAPGSMPRLKRLYMEIRLRVRLIWLIRFG >cds.KYUSt_chr4.996 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5158120:5160486:1 gene:KYUSg_chr4.996 transcript:KYUSt_chr4.996 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPYILSLSVILFINQANPGMTIETDIPLGSRIDAAGTQSWVSPSGRFAFGFYPEGEGFSIGVWLVIDTSRSVVWTANRDDPPVSGGSVVLTYGGSLQWIPANAGSQGKSISATSTPAASAGMLDNGNFVLFDMKKHVIWSTFSSPSDTLLPGQNLPPGSQLFSSVSDTNHATGKYRLFNQEDGNLVMYPVGAIDPDSSYWNTGTYGSGYLLTLSLDPNGTLWLFDQNSTYTDVLFLANRSSSNASPDRRQSFFHLTLGADGILRLYSLVFSGNGKAPITEVKWLQPSSNRCLVKGVCGPNSYCQVTANGETSCSCLPGFEFSIANQGCWRVQQIGGCANNDDIRMISTMVQVKNTSWSDNSYNVPPPTTSIEACKELCQSDCACEIAMFDSYCSKQMLPMRYGRMVPGSNITLYVKVYTRDTKVVIRKKASKSASSVAMLISGATLAIFSIAVLFVSTLLCKNRQALRYTRPPQQQDFELDETIGIQSYSFHDLELSTNNFAEELGRGAYGTVFKGVLTSNNKDVAVKRLEKMAENGEREFHREVRAIARTHHRNLVCLLGFCNQGMHRLLVYEYMPNGSLADILFKSDAPPCWGNRHAIALDVARGLQYLHEEIEGPIIHCDIKPENILIDSSGVAKIADFGLAKLLMGNQTQTFTGIRGTRGYLAPEWSKNMAITVKADVYSYGIMLLEMISCKKNMQLKLAGEECNISEWAYEYVVSGEVMEVAAGEGVNQIELERMLRIAIWCTQNVPVTRPAMKSIVQMLEGSAEVRQPPPPASFSQSLG >cds.KYUSt_chr4.49021 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303675991:303676659:-1 gene:KYUSg_chr4.49021 transcript:KYUSt_chr4.49021 gene_biotype:protein_coding transcript_biotype:protein_coding MPWGILLPVSSSAFWWRLMLMRLLVELLWKEPEAGGGATSTSLSTSIKLEVEAPNRRDLEPCFFRSCSHGDLHEEEKVLAAPCSEALRDRGVCVCTLEASSSCGVHQRRQRYVGVIFGDSSHSTPGSDELPWTAFLLVYRPYWRIFIAYSTGFITYVAPSGMFPGGCAGSRSWRFTGCGGKNQGSDCFSPFSFRVLSVKVKAQAIFLYVLRGLSTTLYRPLD >cds.KYUSt_chr6.33405 pep primary_assembly:MPB_Lper_Kyuss_1697:6:209761917:209764187:1 gene:KYUSg_chr6.33405 transcript:KYUSt_chr6.33405 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRTYPDSDPVLRRCETRTDDVYCGDVSSKWNVLNSIDNTNPIFYTVSQTCRRQLKMECVSATVSKVLDDDNLLIEILLRVAFPSTLVCTALVCKRWFHHISDRKFLCHFRKLHPPCLLGYYLYGRFVPMLPQPPRATASGAPRTLLY >cds.KYUSt_chr6.5818 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34934201:34936334:-1 gene:KYUSg_chr6.5818 transcript:KYUSt_chr6.5818 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSPRWAAPLLLVLLAAIAVEGSPAAAEACRVPAEAEAVLGPLSTCSPLDRRLGDPVGVIEGDEATLARAINLLHVNQDEYIAVLFYASWCPFSQECKPNFETLAHLFPTIRHFAFEESAIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTIKPLAAFYSDVSGISASMESTTGESMPHPLDEIEPKKDIEPENCPFWWARSPENILQQDTYLALATCFVILRLLYLLYPKIVSAAKLAWRRHTRFPNLMGVHEYFFTYLEQARQKFNRLYPSKRGNLQEGARSATAWASKSLASVSIGEPSTIGRTNSTNELR >cds.KYUSt_chr5.42943 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270565585:270572507:1 gene:KYUSg_chr5.42943 transcript:KYUSt_chr5.42943 gene_biotype:protein_coding transcript_biotype:protein_coding MPPANANANATPPRAGGSPPDTAVAPLGLGFLPPEAQAASPPPSSPSGKPRHGSELLVQDTEDDPRTPGSAEFFLREPPKSPDSNTTLAHTTFTYEQHLQLRAQLCVRSDLCIGKPSAEHTMVHAFGEPAEGQKHVWQDLWRAAVDRWQTQQPPVPGYDTPPSSSLVAGSPLSSSSGKSCNRSGHVPDAEDDDPWTPGSAEFFLRDPSESPDRNTTLAHTTFTYEQHLQLRAQLWVSGDRCTGKLSAEHTIVHAFGEPVERQKQLPVPGYDTPPSSSLIGSCTGEQGSKDTAVEKRTVSEQGDAGDKETQVLDVGNVSILPPKNTTNHTEILEQKNGHEDHLAISFAEQVDQSLSDDGSNLKKNAVCAEQTFLESYCSLPKHNPRKNITKIQESCYSGTKSVPKSPRDFQESSSSRFGSCIAEQSTVVDKTSILEQHSSDGCQQVSQGTDSGNDRNHLADSTIDKDDQVISDDVNNLEQNTDSGSDRNHLADSTIDKDDQVISDDVNNLEQNTLCGGKQSLLDSCYQCGHIARVVLHLIPGGISHLQYADDTLIMIQDDDQQIPNLKFLMMCFENMFGLKINYHKSEVIVMGRPKRRQQRAADQLNCKLGKFQFIYLGLSIVDRKLTMEQWMFLVQKMAARIEPWWGKFLLSSDD >cds.KYUSt_chr4.5123 pep primary_assembly:MPB_Lper_Kyuss_1697:4:29453919:29454569:-1 gene:KYUSg_chr4.5123 transcript:KYUSt_chr4.5123 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPWESKAAVDGRFYAEHPTALTVTRSDTWSGPGFNVTTFGSNDDAAVMMKVQTAHLGNGNLRSLLLLDAASQAPLLAVEESRFGVAGQRRWEAFRGRDMKGADRLFVAVDKTRFLQMGYTVHVFLHGNSSGERVPDFVVRGSYLRGTMTVSRGGDDGADFVAQIRNESSLWASLVGENRYTLWIKTGVDQAFIIALAVILDQMQSPRHDAASRR >cds.KYUSt_chr1.25677 pep primary_assembly:MPB_Lper_Kyuss_1697:1:154217815:154222599:-1 gene:KYUSg_chr1.25677 transcript:KYUSt_chr1.25677 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLLRKVNSLTHSLTSPHERSLPAFTLLAPVPPRAADADAAMPVDVEMNDSAAPAPAVIPAIADVPAPAPALSTLQHLKEIASVIEAGSLTKEVRRISRAVRLTVALRRRLAPRGVAAFLAFALPSYSDGFAHLSPLLPKEDGSEMDVDTAAPVAQVSIKHGLPDIEKYCYLLVLIFLIDHKKYDEETLLNLLLRNYLHYNLSDQAEKLRLKAPCFEAHSNQQVCTFKMNFTDEVWKIVSRPNKISPADALLLLAHSIEADPSTVILWVFYLHIYYQKDEGLGTFSVNCRRVGGGRRARSPVLWHHARRGRGLGVGDVNLAVASDAWRSWRNFLWPHDEASGRAARQGPNSMTTFFLGGR >cds.KYUSt_chr1.20591 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121625592:121627025:1 gene:KYUSg_chr1.20591 transcript:KYUSt_chr1.20591 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVEITRSTVLEPSPESARGGGKKVPLTVFDRASTDGYIPAVFAWNGPAPTNDALKDGLLAAVARFPHLAGRFASDEHGRKCFHLNDAGVLVLEATTDADLAHALAHDVAAHINELYPKADRERESEPLFNVQLTRYACGGLVIGTACHHQVADGQSMSVFYTAWAAAVRTDTAVLPTPFIDRSATVVARNPPAPAFDHRNIEFKGERSSTHAYRVLPMDRIENLAAHFPDEFVAGLKARVGARCSTFQCLLAHAWKKVTEARDLAPDDFTHVRVAVNCRGRAKPPVPMDFFGNMVLWAFPTMQVRDLLSSSYAAVVAVIRDAVARVDDDYIQSFVDFGEAERGGIEDGGDELASTAATPGMVFCPDLEVDSWLGFRFHDLDFGYGPPCAFLPPDLPIEGIMIFVPSCAAKGGVDLFMALDDEHVDTFRQICYSMD >cds.KYUSt_chr4.37164 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228649834:228668243:-1 gene:KYUSg_chr4.37164 transcript:KYUSt_chr4.37164 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTRRVIPTSASLDIEEKTRTKDTTEKRDGQSSTNMVQKKAYNKKKGNNTPTFNKRVKITTFKKNKINKVNPSCFTCGEGQPPTSAQQPHPPDPSNLSTRWPATPLFSLPTNHNSKLPSSASVSGHGGQPRTMAPTVEIKNLTFTYPGIDGKPPPGAPPLIEDVCFSLDAGQRCLLVGSNGAGKTTILKILGGKHMVDPSMVRVFGRSAFHDTALTSSGDLCYLGGEWRRDVAFAGYQVNIQMDISAEKMIFGVTDVDPHRRDELIKILDIDLGWRMHKASDGQRRRVQICMGLLKTFKVLLLDEITVDLDVLARANLLTYLKKECVERGATIIYATHIFDGLDDWPTDIVYIAHGKLQLALPLEKVKETSQLSLMRTVESWLRKERDEDRRKRKERKEKGLPAFDKVIEGSRLAHLVPSTMNLAGNVVKAWPVRTQSSIQPSVTSCQISTLRHARSASQFRISAHHAPRLGPHEVLKYPVLTEATVRDVERQNMLVIIVDVRADKGEIKAAVQEILGVKVKKVNTLIRSDGKKKAFVQLTQDYNTADVLHRENNSSSVAVFLWFQMPGSGFGTNAAMGSASLPARVEYNTGNGLSAEYIYDQGLSYPATNGYAYYAGFEQPVGWSENTNVWGLDGQYVQQSNDNLPYVYCTPGYGYPYSSQDQYAYMPGMVMGVDGTIVGSQQYFTNPYQPPGSPSGYFPVFVQPTTDMSSTVSLEPPTFGTGSSVASRPANIRIKDRPQMSGNTMVSQTASQTVPSGSPAIAPSHRPYQNQSTNKPSDLPSVNVTRRDNPSTSHLAVPVDASSASKVTSDASAGDTGPRTDRPEVESVATTAGQDTETKAVPSSSVKNIIIHPDQYNKDDFPIDYPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNRRLDAAYSEAQGRSPRKCPIFLFFSVNTSGQFCGVAEMLGPVDFHKDMDFWQQDKWSGSFPLKWHLVKDVPNSSFRHIILENNENKPVTNSRDTQEIPYKSGINMLKLFKSSPMTTSILDDFPFYEGRQKAMVEQKRRHLGRSSNGLIYVPALVAKKSVVVEGEPSEVGKGQISSNDAHSGGTGQDNGDCKQPDKTSQMKDEVGTQVIKRDAVTPVAQPEHIKTERHNLDASVHKQNEYSSGSLSPENIGRKPAAFSEVVKSNGKGYNDRKTQPVMNSSEANCSGGKGLPHAFDGQDPPNHMKDGASGIAADMKSTKLLKKPEDLSTSQVERQAKGIVNERTGVTPTGVVKVGSVHIKLNASGGSSSEIIGDGSGSLEMKGAEHTEQAVSRKAT >cds.KYUSt_scaffold_1854.170 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:928984:930861:-1 gene:KYUSg_scaffold_1854.170 transcript:KYUSt_scaffold_1854.170 gene_biotype:protein_coding transcript_biotype:protein_coding MYCVFYQAIDNEQLRPNTVHLPPLAKAKFIQNSPHPYQNPPPDPLAHIRPQLNPSYGICSFATTTVGAHRGASSCACWVHIRTGPSSPTPASTSRRVAVFRSPGSFASPSPASPPPVSPRPAFPCLAPAATGFALPVNAIAGFAVGDFAFASFALTGFAVTGSTPTGFTTSSFACDRQTRKRPRVVFATDILASGPGQHHRRHPRSSVLAAPPAGVALSKLRPGQVRRSQHLQPCWLRRSGNDRVRHRLCQAARRPRTGINRVEHRLCQVARHPRLRQRLCPASA >cds.KYUSt_chr2.41292 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256752890:256754047:-1 gene:KYUSg_chr2.41292 transcript:KYUSt_chr2.41292 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGQPVAPAAAAAAAPVSATSFRVGMVRVVSFLVGGLNCAVMLLGLYLMDAVLPPGCGGGLAVAAAPVMAGVRVLAMLGTAWAQHATADAIARRHLDEEAASVAEDAVARHEIRGWLYKQHSGWLFFFFAAAAAAATTATPPRLLRRQKEKMLDEYIHSHAQIQSLHARSDELARSDGLMLVNLVSLDSVRITPESYALLRPLLQPMARICSELPFLTVVAGLALELHRLEHDLLPQVMDQEAKLEQRGVLEALLLMKNSAFTLSRLGKAFREAHVRGGLLNDDLVSGQVRELSTGLKEAAVNVLENNFTILWLREERVPWLLRLVTALLATPVHFEDSEEFLAAWETA >cds.KYUSt_chr7.16446 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101917012:101921002:-1 gene:KYUSg_chr7.16446 transcript:KYUSt_chr7.16446 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMGRERYDSDWKEVKVINGYAVFMAYLSFAVRGLGFLVMTWTTVVLLGGFVSMVDKLDFWLLTVITLIQILWIDVSFNQRIRYIGGLYSNLKGVNSILTDNAHPENRVPPDVKRAVVVVLAVIRPVLALVHKVMLHMYIGPLMCLLWSGMVITTVISIRGLVQHNHYGDKDVDKKNMDPAHNVLYVLCVAQGALFLYYSVLLLSEKRIAKQVSRAYGFKDGGHAVLGYLREIKRGCLKSPSSARGRNLVTYAVQLMESKSPRRCRTGMLILDRLLTRHSSDNVVKDPNFVEENHTEHRTGALFNQKEMMKENEDEKKNNKEKEEKKTKEKEERKKKKVEDKEEKKKKKKKRKHKQPKKEEGKGKKHQKLQKEIRRQCWFIKQMIGSTSSTHVLQKLLHMLDSRGSYEKLMRESAARIVEYVAVGIRLEQFPRGIQCISTLLNTFEEYRGLQPSSSSSPNTNNSHDQEQSSSTNDHSPPSDSESDSESESELDLDSHVNWRPSPKDTKSNYNAHSFDGYKSLVLTGLRIICSLAGSEHNCRIISNTRHLTCKIMAPISCDLVHSTHHSAWSTCVVEESLKVMLRLVTADGETGIQLRRQISNNKEAITTMERIVTCVECKGQKLHMKAIKILTQVCREKTEIREFLAKILVSIFVNDDSSNDSIRKAAGKALVVLIFSSKSIATILPKENDDASEFVAGLVKIVSQVGNHDAWRKSAAEILEHLCVHYTDNEEYLRTLKNTMTCVIPKVLREILSVGDESKPVYGRSDADIEWQVVDGGYAASQVSVRGTTTINNKYNNNLHAALLSLCVTACETLNLDLDMLGEGVAFSLARKMVQLNSGNLTADCLATMKLTVRMVIAALTKLRGAPGIIVKRADLESLMESLSRASQTMLDLESCMVFAAAGPTTTTTLDSLVEQAQSLHAEIKLRELEITPASQASSSLGANKLL >cds.KYUSt_chr6.7928 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48615230:48617214:1 gene:KYUSg_chr6.7928 transcript:KYUSt_chr6.7928 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSSSAATARATFLPSSCRAAPAGGSRRSRLLVARASSSSPKLPAGRRLRVAVVGGGPAGGAAAEALAKGGVETVLIERKMDNCKPCGGAIPLCMVSEFDLPLDLVDRRVTKMKMISPSNVAVDIGRTLAPHEYIGMVRREVLDDYLRTRAQKAGAEVLNGLFLRYEEPKERNGTYTVHYNHYDSSSGKVGGEKRSFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIPDDKMRYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQAATRLRAKDKIEGGKIIRVEAHPIPEHPRPKRVSGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRLVDESDLRKYLAEFDRLYWPTYKVLDILQKVFYRSNAAREAFVEMCADDYVQRMTFDSYLYKRVVPGNPIEDIKLAVNTIGSLVRATALRREMKNLTL >cds.KYUSt_chr1.6940 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42648479:42652080:-1 gene:KYUSg_chr1.6940 transcript:KYUSt_chr1.6940 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYLRAEKVDFVGLQETIRQDFSASELRRLEIGGQFNWNWLSATGHSGGLLLGFRDETFEVGVWRKGTFYLSAHILQRRSNKKWWFVLVYGPADHRRTEEFLGELTREVSSYQDPVVLGGDFNIIRRAGDKNNANINWPMVHRFNDAIANLSLREVARVGARYTWTNKQLSPVRCVLDRVFVSPAWEAWFPLCSLTAITRIGSDHTPSSLIVEKKSDAGPLDFGPHRGSIELWMCVARLSRQFLKGWGANLGKEKRDRKAGLLAQVEALDALADSSGLDEEGWAFRYHLEDQVVLLDSLEEEYWRQRSRIQWLLKGDACTAYFHAIANGRRRKCGIPRLISEQGELSDQKAIMGHVYDFYRQLMGTAGEARAFTLAQDLWPPSSRISEAENWELERSFTLEELDAVLHDMKVDSAPGPDGLPVAFFLRFWGTLRPLVFQILNDFALGRVDISRLNFGILSLIPKVKEADSIKQFRPIALINVIFKFVSKAYASRLAPLAHRTIDRSQSAFIKGRALHEGVLALHEIAHELRVKRLGGLFLKLDFEKAYDRVDWDFLREVLGRKGFSPTMVHRLMQLVSGGQTVVNVNGEIGPFFRNARGVRQGDPLSPILFDFMVDALAAMLSRAKEAGHILGLVRHLIPGGVTHLQYADDTMVMIEPSDVGIANLKLVLISFELMSGLKINLAKSEVVVVGTTPQEQERVARLLNCRLGRFPIKYLGLPVSNKTLRASDWDFLTAKVAKRVDPWQGIFLASAGRLELTNSCLSSLPLFAMSLFMLFDSTHAAFDKVRSRFFWEGVGDKRKYHMVDWATVCRPKEAGGLGILNTRHMNIALMLKWVWKLYHNADGLWADLVRAKYLGEHNLFSPLVPTKGSQFWNSIQRVKWYFKLGAKHSVPNGKRTFFWLDWWLGTAPLRDRYPVLFSCCDSPFITVLGARDGPGWRLRFRRPFSLAEAVEWDNLTRELDFTQASADDDVVSWRLEASGDFSVKSLYCRLSQGAAVTHFREVWRTRVPPRIRVFLWQLLWGKLPCSLQVAKRRGPSNGLCSLCGEPEDCDHIFFTCPLARFLWAGVRELLHCSWNPAGTGDFLAISHGLTGPYRRVVWFSFAALAWTLWNIRNKLTMERVLIGKPADALFKMTIYMQQWRMLVKWKDRGLVDAAMEALRRMHADLAASST >cds.KYUSt_chr1.36378 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221946484:221947011:1 gene:KYUSg_chr1.36378 transcript:KYUSt_chr1.36378 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAGRSGSKKRRPTSSLEKRAGGDRAPLTEDEGGADDRISNLPDGSLGEIVSLLNPLRQDPLSLSPNPPAFPHISLQIPDPASISDLPDTSSLSLCFPAASGSKDMQLLLDGGPGGHGALRGHRHAGVLTLCRWWPRASTGRQQKLSDAVLGAASRRRGPRGGEQATCSSART >cds.KYUSt_chr6.4076 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23660853:23668048:1 gene:KYUSg_chr6.4076 transcript:KYUSt_chr6.4076 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHTPPKQPGMPASSRLCPSATCSTQTHLSPHRRRRRSHLVSAMAQSPGSSRRSSSSARWSPPALRFDLGALLGRKQRPGGGRLDLGNWVRRALSRPPAAEREVEVEAEAEEEGRDAGNREEVEVGSEEADHLVVMVNGLDGSTSDWKFGAEQFVKRLPGKVYVHRSESNHSKLTYDGVDVMGERLAEELPFLFGVPLLERIAAETAHLIVARTGKHLFLSDRDDEKPPLLLQLTAIDEKYPHIIHVDTANSEGPQHEDSVAASLADSLEEIMIRGLTQVTWERVDVCFHESRLKYNAHYNIQGKRKGRKQSKGDLIYCARGLPGVAGMGKFGDGDGAVGGGSYPLVAVCIDKDKNSQNALKYATETLVHRGQTIVLVHVNMRGSSGGVEDAAGYKQPTDPQMKDLFLPFRCFCTRKDIQCKDVVLDDHDVAKSLVEFAAHAAIDKFVLGANTRNSFVRFKPDIPSSVSKTAPDFTSVYVVNKGGKVTSVRQATRPAPSVSPLRSMIQGPQAPKAPLPPEPQQAPAPPAQKWAPPPPPAATRGDSIGTPTMQMQDNFIMSPFSRGPTSARKEFPQFSLPESSDISFIGVAPGRRSVDRPSYPPRLSTGSDNPYEQHSFEAPRPGWGDFGNESTSNSQTSVSSLPAEDMEAEMRRLRLELKQTMDMYSTACKEALTAKQKATELQRWKVEEEQRSQDGRLTEEAAMALIEQEKAKARAAIEAAEASQRLAELEAQKRIAAERKALKEAEERLKSAGSGGGSSARYRRYTIEEIEIGTDHFSDARKVGEGGYGPVYKGHLDHTPVAIKVLRPDAAQGKSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMAMGSLDDCLFPRAGAPVLPWQHRFRIAAEIATGLLFLHQAKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIITARPPMGLTHHVSRALDHGTIADLLDPAIHDWPVEEARCFAEISLRCCELRRKDRPDLATGVLPELNRLRTLAEDNMQFCNTMGMGGGFHGGGMSSSPYISNTAMTAQSRPDGIGDPFGRPQYSGNASQPSVPLRRSNYN >cds.KYUSt_chr1.33445 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203342928:203344588:-1 gene:KYUSg_chr1.33445 transcript:KYUSt_chr1.33445 gene_biotype:protein_coding transcript_biotype:protein_coding MVARVSRCAWGGRRQAIDEHSRAPITIRQRVSGERRRPLLTSTMRMSLDKYMSEKSYKKRISRSVSTITKRMTMTNKDDFATDCMFDEGDGPGKKALGLWWAASGEDRCRPASCKAAEEVGALLELPCQLAMAALVGLAAWLRSW >cds.KYUSt_chr2.47229 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295432298:295432576:-1 gene:KYUSg_chr2.47229 transcript:KYUSt_chr2.47229 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPVDDQVGEQRLHVRVEEGQPRVHRNFTTEELVVLLFAAGADQPAAPRRQRDLHRGRLRRAAAALVGRPHWLVLVATATLPPQSCADPQA >cds.KYUSt_chr4.11330 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68889776:68892444:-1 gene:KYUSg_chr4.11330 transcript:KYUSt_chr4.11330 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYVSVEEDTGTELFYYFVESERSVSTDPLILWLTGGPRCTVFSGLAFEVGPVKFVLAPYSGGLPQLVYNPLSWTKMASILFLDSPVGSGFSYARDPKAYDVGDYSSSLQVQTFLNKWFIDHPQYLSNPFYLGGDSYAGMVIPLIAQYISEGIEKRQQPLINLKGYLVGNPKTDPKFDINFRIPSAHGFGIISDQIYEDAMKSCKGDYVNPTNQLCAGVLRKIENLISEIADAHILYKKCVVAVPKPFDDASRRKFLMEESIKINAAPGRPSLDCFTYGYYLAYFWMNDNLTRNSLGVKEGTTSEWIRCIKGLPYTFDMPSSIPYHLNLTTRGYRVLVYSGDHDLEVPLLGTQAWIRSLNFPIVDDWRAWHLDGQAAGFTIAYANNLTFATIKGGGHTAPEYQPEECFAMAQRWLNNEPL >cds.KYUSt_chr4.45367 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280854205:280856474:-1 gene:KYUSg_chr4.45367 transcript:KYUSt_chr4.45367 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAATPVRRPAEPSSPSPTPLSLRQWRPAAQRNLRNQWSRLLAAKARWLAADANGRSHAAGLVNAYLSRRDMSGMDLGVLKDMPGIRDRASAKVAHKQGQCHQMLLSAYKEMVLAISDLVKASRAMRCYSKVSASSPLFKFTDSQDDLNDFGDGGGAPRLIVLELVSINLKESANPSLEWSDELYDGESYEFQSIGLQSGDSCPPPKNWRADVLQARQPDHAPSHDVLQVYLTSWLANMNINMNRIDEIFELVGEEMQIRLC >cds.KYUSt_chr1.26104 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157006901:157009213:1 gene:KYUSg_chr1.26104 transcript:KYUSt_chr1.26104 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPDGYDLRQGGVEGPSSLHIAIPPHAPTPPPLRPSSPPPPVPPLSFRHLSPCARWSAWVAAALADPGFAPVLHSTAIHDGVAASTAAVIPDRDALSALLSLWHPDSHTFRLPAGPATFSLEDALVLAGLPPSGAPLDRPLTPTEEDVRIRLLVEKEKIKELHPCARKARRVSAEHWLEWFDTRIRPGEDDELRRLGFLAYWLAFFVTPRLNPKGSELPDCVFALAARLSLGERIALGPAVVSNLYAEMDRIATSGVAEGASGRVDVWAPLWLLQVWMWERYDCLRPPELRAPEFPVSSVRALYWTRRRRKTTREESLRVLQEEDCFEWRPYARNSLKWTEPEWFSNETVLVSSRGKDKPEWFEDYVAMITQAVLNGVYGDGIGTSTMYNPHVVARQFGYDQDAPFPIVHGFKSKGIKLWVPGICRRGAASKEYVAWLNGQFVRPQEADQHVRSEIAHEENSASSSPLSEDTGNLIGTGKKKKRDKLPENGGMNKKSKVSVSDSDDECIVIESHDKKCEVINLDDDQEQSICDPEDDDRQLVLELEEFVRCGLFSQWEESSDEDEGGGRKQQKSRNSNIDPYAEAAMTEYPLFFELIPQRPHYRGLVNSDDTIRDMACSGMWFLLVGLAREVLRTSWDTDASEVTYLMQKARQLEQNGFNVKHFIARLKEPQTRLRRLQDSMARLEDARTKEQESKGVKSLSSHLSKLKHNILTMERHLDEKKQARRASVHNESSEGIDLLEKEVEAAEKSFQAMKDEVAAMRLKDADI >cds.KYUSt_contig_2087.137 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:852329:856955:-1 gene:KYUSg_contig_2087.137 transcript:KYUSt_contig_2087.137 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTILSLLGAGSSNVQERSQVSDGAVQEQPAPKVGVIKNGREILLQAFNWESHKHNWWSNLEGRVSDIAKSGFTAAWLPPPTQSLSQEGYLPQNLYSLDSCYGSLQQLNSLIQNMNDHNIRAMADVVINHRVGTTQGSNGMYNRYDGIPIPWDEHAVTSCSGGKGNKSTGNNFDGVPNIDHTQPFVRKDIIEWLIWLRETVGFQDFRFDFTKGYASKYVKEYIEESKPLFAVGEYWDSCEYSPTDNRLSYNQDKHRQRIINWIDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEEKPPGVMGWWPSRSATFIENHDTGSTQGHWPFPPDHVMEGYAYILTHPGIPTVFYDHFYDWGDSFHGEIAKLMEIRKCQDIHSRSTVKILEASSNLYSAVIDDKLCMKIGEGSWCPSDVEWKLAASGNRYAVWHK >cds.KYUSt_chr7.9843 pep primary_assembly:MPB_Lper_Kyuss_1697:7:60272598:60276641:1 gene:KYUSg_chr7.9843 transcript:KYUSt_chr7.9843 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQPLTAAPSAGLLKPETRLHLVGLNPGRDVPGILVGVLTRIHPCAVSRSIFHAAAVCTVVSLAFSLLTEVRTCQDTSGCQGSVLSDAPVPVTPSMTPQLPMYPPMAPLGQQVFYGQAPPAMMPPQSGYGFQQQLVPGMRPGGAHMPNYFVPVVQQGLRVHAQDVVG >cds.KYUSt_contig_1539.89 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:627640:636506:1 gene:KYUSg_contig_1539.89 transcript:KYUSt_contig_1539.89 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPTRRASSSHSVERDRLSMHSKASATSSGEDDLDSIQSTSVGYSSCPAVKKSLAVMKTRTIASSKKTSKNFSPSSAPKRSFDSAVWLMDHRKAPRDRFRPLLSSVPATTFGASKINNVHKPLFSHNSSVTTSSNASSEHGATFSHHIDSDQEQQDLIGEWEADDGFRVHEDIFMFDKLDELNEETSYDMSAKYVNDSPLPVEHFKSDKKGFDMERNRSANQMAYNGINSSQVLRGEMATCSRCGKFFNATDLDEKGDCCEECASKLGGFSTDLMLWTSEEVCQHDDKIAKSRLCVESEPPIAPDSVDYNKQASLDHQTVNNEPLADCKEKCPSSQLMVDTDEDMLLGQEVLNHEANMMPYLVSDSLLENGDDISSSRSSVRDHQQTEPTSVEHDHYGDQMDNCNHGLPRCLNELDCQRNEAVSDNSHQLGITEHPSPEVESTEGTGISVLLHQKSSSNKWPVMEGGRAMASTNIVCSEPYYTRDSINMMKRSFGRDSSSASSSIDLGSSRQSDGRFERLRSGKKGDFEKVQLSSTMSRQSIASVSDMSISGSSASLCHQSYAIEDTCSRIDTLESSASRTVVSTEEDGYSKDALSSAMECSSAARPIVNDDILVDVNSSSFGRLSETAEAISHNRMGRMAGNDHSSTSMCYSDTEMPSDVQESSAAEESSLLKPEEDTSDATQCCLVGTPDPVENFDNLLMQSEAAVQASVEEHILDDCCVSAISEEDVLVSRTGTSIMELPTDEKSPETVQGSMKQIRRCFTLEEATDTILFCSSIVHDLAYKAATIALEHEQESQHVDSTQPMVTIVGKSIPNDDCFLKLPYRRTPNRKVKRKRLEGEMTIAVTVEDEAIAKDPSPVSSASELVRSSNNMKPPKLESKCNCVIM >cds.KYUSt_chr6.1291 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8080710:8082491:1 gene:KYUSg_chr6.1291 transcript:KYUSt_chr6.1291 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVEQVLGWFPGGDAIARCSATSLSFSAAAPAVAGHDGEDRISALGDHILCDIVSRLPVKDAARTAALASRWRHIWRSTPLVLYDAHLLPSDRDRVAAAVARVLANHPGPFRTVTISSCDFASREPELVAECARLLAAKGVENLVLVNDLADRSRLPAVPSDVLRCASLRRLFLGFWRFRNNSAAVPLPPSGGFPHLLELTVFGTHMPGHSLDHLLASSPALGTLALVLSRTRERVHLQSLSLKCVLLWHYSTQEVVVSMDSRLLQRLILWKTLHDGDDNVVVAMRVKIHGATKLRLLGYLDMDIRVHQLQIGDNVIEPDTEVSPSTVVPSVKVLALRVNFGVLEEVKTMANILRCFPNVRKLHIEVIELLLTPVILQSALAADEPTGTHNANFWRELRPIECLKSRVRKIFIHEFRGEQSEMEFLGFVARSAVKVRNLLFGVTKEIFASGPLVNEAISKVAALSRGSWNCDNCNVAVVRPNGWSFHRASDLSVKDPFH >cds.KYUSt_chr3.45315 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285534968:285536611:1 gene:KYUSg_chr3.45315 transcript:KYUSt_chr3.45315 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMDVNIALLLLLFLPVLVAVYLRWRRGPAAAASANHCPHPNRILGNTVPFLLNLHRFLDWATDLLAASPASTIEVRGALGLGSGVATASPEVVDHFLRGNFPNYVKGARFAVPFADLLGSGMFLADGRLWSLQRKLASYSFSSRSLRRFSGRVLRAHLRGRLLPFLDAAAGSGEAVDLQDVLKRFAFDNICGVAFGVDCSTLLELEDGGRQQHEAFFAAFDDAVEITFARILHPTTLVWRAMRLANVGSERRMREAIGVIDEYVMGMVEQLRARGGAEEQEQHLLSRFAAAMDEETALGVGGELGEMFGSPDAKRRFLRDVVVSFVLAGKDTTSSALTWFFWLLAANPRCERRVYEEVTRYSDAAGAENDNAEAEYQEELKGMQYLHAAITEAMRLYPPVPINSRVAAADDVLPDGTTVRAGWFADYSAYAMGRMPRLWGPRCREFLPERWLDVDQGDLFVAADAARYPVFHGGPRACLGKEMAYVQMKAVAAAVIRRFRVEPVPAPVSMDAPPLYEMAVTLRMKGGLRVRIRRREDDDSARKCL >cds.KYUSt_chr1.3300 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19926442:19931292:1 gene:KYUSg_chr1.3300 transcript:KYUSt_chr1.3300 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVPSSLVELQPFLRVAKEVEPGNSRVAYLCRFYAYDMAHRLDPISSGLGVRQFKDDLLQSLQRDNDLALKSRKEKSDAQEMQLFYLHYCDKFIHTLQNGVDKTNHAQLAKLNQTVDVLFGVVRAINRPLNVEYDQAVFEMHNNIEEKRKLYDLDIIPPVDPENTGQASAQQFFTYPGPSISRGEAQRDNVFIMGTPEEIADSERWDQFSTSVYNGLIFRDRMDIKCMPANVLDQMVHDISAEPISIPLALLQDITENFADERKIGQGGFGVVYKVLRRWRYRWNRSAKYPTLGYQQVTKCIEIALRCVSHDPKERPYISDIIRELNEMESTDEHINSANEFSFSLISPYPWELLDIDPLELHFPFEAEKQIPCSLQLSNPRDDYIAFYIQTSSRQYCIEPNKGIVLPQSKSNVIITLQAQKEPRHLMYKDDFLVRCIVVNDDLRAENITKDMFDEKSSEMVDNVNLTVCDELPPPSSCVAA >cds.KYUSt_chr2.44205 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274917802:274919111:1 gene:KYUSg_chr2.44205 transcript:KYUSt_chr2.44205 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAISSPPNTRIAVVTGGNKGIGFEVCRQLASDGVTVVLTARDETRGTAAVDKLKGLGLFKVVFHQLDVSDASSIAGLANFLKTRFGKLDILAIGGAEYVQAFDTDEEKFSALDSHQRLEMIMKNVCETVDAAKEAVQTNYYGTKHVINNDDVRHELNDIDSLTEKRLDELLEKFLMDFEAGALEVHGWPIGLAAYKMAKVAMNAYSRILAKRRPELCINCAHPGFVKTDMNMRSGVLMPEEGARNVVKVALLPDGGPTGVYFAEGEESSFL >cds.KYUSt_chr2.19615 pep primary_assembly:MPB_Lper_Kyuss_1697:2:123392690:123393514:1 gene:KYUSg_chr2.19615 transcript:KYUSt_chr2.19615 gene_biotype:protein_coding transcript_biotype:protein_coding RRQAESARIREKYSDRIPVIVEKADKSDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVNQSLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >cds.KYUSt_chr1.24261 pep primary_assembly:MPB_Lper_Kyuss_1697:1:144848256:144848720:1 gene:KYUSg_chr1.24261 transcript:KYUSt_chr1.24261 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAGTEAARRLRERGAVDVEYRRVPCEYARERNLSVRAEARAGPGGLAVRFLYQGGQTDIVAVDVATAGSSGSWKAMARERGGPAWSTSQAPAGPLQLRMVVTGGYDGKWVWADGEVISRRWRAGRVYDTGVQIDDVALEGCSHCDAQEWK >cds.KYUSt_chr5.31456 pep primary_assembly:MPB_Lper_Kyuss_1697:5:199367162:199368716:-1 gene:KYUSg_chr5.31456 transcript:KYUSt_chr5.31456 gene_biotype:protein_coding transcript_biotype:protein_coding MVARCVHADAFRLWPIFSAATLRRKLLEVLTCGGGGGGGGSCRGRTSCRSPKPRTQSMPRPRSDRLAELLRAEPSECGDGDDEGEADAAARKAAALEELKVVVAALQDGDIDGENGGGVSWRVEAATVVRRKAKDDAVAREMLAMLGAIPPLVAMLDDRDGGGEELLAAALYALLNLGIGNDTNKAAIVQAGAVHKMLRIAEGASGALTEALVANFLCLSALDANKPIIGASGAAPFLVRAFESAASEQTRHDALRALLNLSIAAANAPHLLAAGLAPSLLAAIGDASASDRALAALCNIVAACPEGRRAVSRVPDAVPVLVDVLNWSDEAGCQEKAAYVLMVLAHRSYGDRAAMAEAGATSALLELTLVGTALAQKRASRILEILRADKGKQAADSVVVATVSAPQERGAGGPCREEEAEGDEACMSSEKRAVRQLVQQSLQSNLRRIVRRARLPRELAPASAESLKALTASSTSKSLPF >cds.KYUSt_chr7.4986 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29872612:29875339:1 gene:KYUSg_chr7.4986 transcript:KYUSt_chr7.4986 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTEELHRQFIEAVDCLGGPDEATPKRILQLMGEKGVSISHIKSHLQMHRSSSSNNSNNTDTPANVFVDRHQDQCVDDESKEWNQAAASDMSNASCKVRRCRGTHHHSTSPPSLQEWGYMPGPTAALRRVSKGQAGLAGPYADGPDFWPSAYSLAVGVASHSCSAYQIPSIEEVLRSWEQSRERPPWNSSMLITTEKAIGWPCHADCKTRQKKQTAAVCDLTLSIGGPGEDEADASSDIDGSSMTTEEAAAPVRDRGARDLHRSTCLNLDLNLDLAVPSSWLA >cds.KYUSt_chr5.9062 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57538869:57541333:-1 gene:KYUSg_chr5.9062 transcript:KYUSt_chr5.9062 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVVVSTGCKGESVGRKRAGGGEEAEERERQQLSVLALLLAAVRRSVVACRVEREPTRVAGGGGWGEDDEAAAAGLGEMEIGWPTDVRHVAHVTFDRFHGFLGLPVEFEVEMPPRVPSASASVFGVSAESMQCTYDGKGNSVPTILLLMQERLYAQGGLKAEGIFRINPENDQEEHVRDQLNRGVVPEDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLQCNSEEEFLQLVTLLRPTPAALLNWAVELMSDVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLREREDLAAGDYTPYSSPASSGQHSDAEYYGSEREMMDRSCDLSDMHSQISKSGGQVDYLVRYNTCFDSEQEGDHPLTEAEEEFLDKLESTIEDRPGGSTSKQHEVSSETMAMEDVHPELKSEIEELDDIQEVEGAELK >cds.KYUSt_chr7.3966 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23778161:23783057:1 gene:KYUSg_chr7.3966 transcript:KYUSt_chr7.3966 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDMIAAFQAEYEEEMLNEEAEPRRPRRRREFIRRDRLGAHDRLFEDYFADDCNYPPSFFRRRGEAPVVNFTVNGHEYNYGYYLADGIYPSWPVFMKGVTLPQSEKHRLFTTAQSAWRKDVECAFGVLKASCLAARAPALPSPALARHLSAAPAHAPQRPGPAVSDSLSPPADRACPCASAPLPCRLRLCNVPVSDSPSPPTARPALLVAGARRPVVARTRAHRPCLALLCTRLTCSPAGLALAPWLTCPRRSLHRGPAVNARELAAHLAGVDWRVVLVRFDPQLGLVELLEPALDGREPHADNALLAIAHCSRLQVPCSTRAWCTSVQQLRFGVQVLSSHASISKSWSFYTSADLPSNGFVVVLASCVCRTLDAMSNCADLRGAAVASFSIRLCIGTELDPAKDSHAP >cds.KYUSt_chr3.31172 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195667557:195675864:-1 gene:KYUSg_chr3.31172 transcript:KYUSt_chr3.31172 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIAWRRYDYRWKEVRDINAYAVLVAYVLSAIRGIGFLVVTWTTVVLLGGFVSMIDKHDFWRLTVITLIQIIWISLYADDAAIFVVPVKEDIQNLACIPHNFGKVTRLSTNFLKTSVVPIRCANLDLEDILHGIPAKRESFPIRYLGLPLTVRCLKRGDIQHLEDKCAGKLPTWNGKYITTVGRAALVKSVIASQAIYYLTPLSIPASTITFINKIERAFLWAGKDHTTGAKCKVAQALHDSAWVQKIVFNHDFFPDHLSQFVELWNLIQNFHLDDNIEDDMSWRLTEDGQYNTKSAYEGQRYAEGCPRRRGRYADGHVSPSVERAGGLAGPYADGPDFWPSAYSLALGIASHSCSELFLYRFLLLLCEKRIVDQVSQAYGFQDGDRAVWGYCQQIRSDCIRKPSSVRERNLITYAIELMESKSPSSFLSGTLILDRLLTRQHLDKIKAPDLIEHEEEQQHQIDFVDKTRAHLIKKEKTDKREERRKNLEERKMTKVGDMKKIKERRKKKHQQTEDEIIVQQCRVIRQLLGSASATHILQKLLETLDSRHSYDKKMRGAAARIVDHVADRIRLDQFPRGIQSISSLINSFEEYYQLRPRQSASSLSLSTDDTTTRPPASSNTKRYHDQEEQGSSNTSLFDTESEYSESDSDSDVDHSSPEALHGYKEMVLTGLSILWSLAGSEDNCVIISNTKNLVSKIMVPVSCNLVHRSGHTEWSTKVSERSLGVMLRLIVTAKGDTRADLHQQITSDKGPITTMERIVTCEGCKGGELHMKAMQILTQLCMDKTENRGNLTKMLIRIFVNGDSSDDSIRKTAGETLVVLFLSTKSVGSLLTKEENDKFVGDLAKILLQVGDNDTCSKSAAEILEHLCIQYTGNKLLKNTIKDLMPKVLREILSGWTGEEGNPGYTTSSTDIESQVTNNNDKNNKKCISRSSGQKKHHKLYVAMLSLCVTACEKLNLDINAISVGEKDQEDKGEHVAFRFAIKMVQKNRYLITPDSLKAMKLTTRMVIAEIKKLSGHGTVVERADLESLMVLLSSVSEAMLDIESSMIFNTGTTTLPATTDTLDSLVKKAQELHGKTKNPESEIVTSS >cds.KYUSt_chr7.2525 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14782927:14784283:1 gene:KYUSg_chr7.2525 transcript:KYUSt_chr7.2525 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTAYTPTIGFAIHILNLNIFCGEDYRWWWRSYLTAGSSAVYLFLYAGFYFFTKLDITRAVSGVLYFGYMLIASYAFFVLTGTVGFCACFWFTRLIYSSVKID >cds.KYUSt_chr3.38837 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244654425:244662286:1 gene:KYUSg_chr3.38837 transcript:KYUSt_chr3.38837 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATFLHLLSPPGLRPKPIPRTRLRRLALSVSPSAPDETPADDPPVIPSVLIKNNEPEDVARRRSWVEHGWAPWEEAMSPEVAFARHSLNEGEEVPLTSPESLEAFRMLTPAYREKVESEPGYLERLFAMRETPEPLETAWVGQLPLRLVPPRDWPPPGWEVDPDELAFIREAHRAASERVDMEAAAAAGVTNVDKVEDAPKDLALERYKVFLKQYKEWVDTNRDRLEEESYKFDQDYYPGRRKRGKDYREDMLELPFFYPGQICHGKVISIHLHQGAFVDIGGVHDGWVPIKGNDWYWIRHHVKPGMKVYVEILAKRDPYRFRFPLEMRLVYPNIDHLIFNRFDYPPIFHRKEDTNEEQLWREGGRPPIPRKKPLEDMEKEPLVSDHPFVETLWEWHNAEQMILDHEDDNPDKFRDTTYESTVDTSSFDEVNRVQYTEAPYKDSMLKKKVVNVNIKELDMEAARAERKEIKKLMEEARERGEEYKVDKFRRNKEMDEYDLLQWRRSYEEREALLRDICCRKALGLPIEEPGRHDVDETVVYGKDYYDESKPMYRYDYWGEPRNTEKTKLERDVERHNQTIVGDAKKWCEMSYEDYVRKKTLMEAAEARERRRKAEEPPEEEEYDDGMDLDFEKMNDPLAPHNRCCEPADAADPMMGHHLGIGTVAVSRGAGRPSRRRSQGKKNMGDGGDGAAASSSPPDAASAAGFSYLAVFHNYPLIAALLGFAIAQTIKFFVTGYKEKRWDPKQLIGSGGMPSSHSATVTALSVAIGFQDGFGSALFATATIFASVVMYDASGIRLHAGKQAAVLNQIVCELPSEHPLAETRPLRELLGHTPTQVVAGALLGCMIATAGQIVIAVTSAATSVV >cds.KYUSt_chr2.48997 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306661680:306667467:1 gene:KYUSg_chr2.48997 transcript:KYUSt_chr2.48997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix transcription factor, Regulator of tapetal programmed cell death, Male reproductive developmen [Source: Projected from Oryza sativa (Os04g0599300)] MIVGGDYFQGSHDHNLMAESLIHDSSRAPRCNDNTNIEIQKYKAPSFEVLSDSINLSSEAARAINHLQHQLGIDLEQDIPPMETAAWGTSICTMQDHIINHQISEDPQDILVEQQIRQYDAALYPNSTYTPAPDLLNLLHCTVAPGFPATSAFGDTGLNGTTYLDLNGELTGVAAIPDSGLMFTSDSALQLGYHATQSHTLKDICHSLPQTYGLFPNEDEKDAMIGVGSVGDLFQDIDDRPFDTVLECRRGKGEFAKGKGKANFATERERREQLNVKYKTLRTLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEQKWHGTNRRKIIKLDEEATADGESSSMRPMRDEQDNQLNGVIRSSWVQRRSKECHVDVRIVENEVNIKLTEKKKANSLLHAAKVIDEFHLELIHVVGGTIGDHHIFMFNTKVWLADRYHCLTHMNNEEDRRCVAACVVKSTYILENDRTMCRMEAVEALAPTWWESFHFRLVDVLKEESFTHKCEKLTFGAI >cds.KYUSt_chr6.31505 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199115342:199119026:-1 gene:KYUSg_chr6.31505 transcript:KYUSt_chr6.31505 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALARALLRPALAQRVPPIRKGLANGGDPMSRVMQIRKLNDGINRPFAENEFAGGDRMGGTGGITGGDRMGGFNSGERVSIFNGGDRMGGFNDGDRMGGFNGGDRMGGNGGFTPGGRMPGGFTPDGRMGGNGGFAPVGRMAGGFMPDGRMGGNGGFTPGGRTGRFNMDLLQPTGQRVKRDVLHITQKGKKTFVTVTDIKGNRKAGASAGCLEDRKGRSRLARYAGEATGEHMGRAARKMGIKSVVVRVKGYSFFRKKKKIVMGFADGFRGERVRTQTPIMYIHDVTQLAHNGCRLPKKTRKSSSVVSTYADRSPAGRLVRLMAPMRWADLTRDLLHVVYAKVSGPLHRVRFAAVCTSWRHVATRPRYAAPPALPWHISSVGGRRDRTKRLYRPEDGEVLSIRVPSVAVLRRFVGAHDGARLGRRACSRSNT >cds.KYUSt_chr6.33485 pep primary_assembly:MPB_Lper_Kyuss_1697:6:210298368:210301266:1 gene:KYUSg_chr6.33485 transcript:KYUSt_chr6.33485 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAAEEIPPTGQPREETTRGWRAPEQPAAVAKVFDDDNLLWEIIVWVGLPTTLVRAALVCKRWLGHASDTKFLSCFRNFHPPRLLGYYITQVSDLLLGVLDAPRFVPVLPQPPELAAIMRRLESYSFGTKDIWDCRNGSLYTHRVEGTRWTYEVHRPLCAEKGIQIVPPLPPASDYHLKIFSATVSKKEGSVLSYVHVFWESTEEEDKFMMRVYMLVRKVDMLDGVTILRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKKDIRKFLDGIYVSDKGAIKEE >cds.KYUSt_chr7.37059 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231352239:231363116:1 gene:KYUSg_chr7.37059 transcript:KYUSt_chr7.37059 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAALSPSLQPPAPAPAPQPQQQQAKEQLQKAVAMNGLRLQAIGDRIKVHFRGGHNTLPSSDLNHLVYAFARGIDYALSGGDVPKIAAEIPDTMRKNACKNKWFQPADYIDILRMADEISGNFCTPVSQANDNTVLEIISTVMPRYYPKLKFDRLVTSLEAKVGYDILMADFFIHRNLPKNEKISLIVVQKENLDVSSCIATPPHVSFLVNGKGVDKRTNVSLETGAQFPTDITKMLKYGANILQAVGYFNANYIIAVAFVNNLMSSDAPKLNDYAQPVTADLPDSDILEGPSRVSLKCPISFRRMKTPVKGQLCKHHQVLQETSDDIIDILVFPDGSWKAIHDEKSDKNRHAGDMIQQNGDTVDTDATISEVIDLVNKDDDGDLPMSLASTPEDLKPVLNSPDLSVMDYLADFPDMRNMPNHARGRVMGLAAPPFVHMRPPTGVPGQGRVSQGMPSNLFAPQQSQALRSQAVSRPGTPPVQPPQQSQALRSQAVSRPGTPPVQPRVQSPGLPQTAPATPLVESSDAPELQMDPNWQPTGRMRGSLLEAQLVKQPGALLRRGRPLGGPRFSRLSVQNAMKPTVLPRYKEPTKQRATVVPLQSHRQQQSVAYNAKDLEDQQTQQTALQVQLLRPSLNRIHACLHVAGLSASSTMFQILLPSKQQILLGVSDCSFPLHLYISRCAKRRTHSFLVRDSVVKKLPPETTKYSSRPKSVMCGGKLLPNDHGKGRRPGQVLPAGKRKMRDNRPADEDTHDWEAAFRQFMDDDDDDDDIEPFLTGVMAESCKQAHRSPPSGRSGSKKKMRVSRLVDEDTRDWEAAFREFMDNDDDDIEPFFTGVMAESCKLEHCSPAIVRPKRRRASPSHPYRGIRQRAWGRWSAEIRDPTKGVRVWIGTFDTAEDAARAYDAEARRIHGRKARINFPAGTAAPASYSDHPGPSHRSTIGGKGSVVSATESFSTWSSSSSEASSTSPSDARILLECCSDDVMESLLAGSSDSMDFWSFLLPSNNY >cds.KYUSt_chr1.37090 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226528628:226530785:1 gene:KYUSg_chr1.37090 transcript:KYUSt_chr1.37090 gene_biotype:protein_coding transcript_biotype:protein_coding MHKASSLSELGFNAGTASSGFFRPVADDTPPTPTAAHRRLTKVSVIGAGNVGMAIAQTILTQNLADEIALVDALPDKLRGEALDLQHAAAFLPRVRIVSDTDAAVTRNSDLVVITAGARQIPGETRLNLLQRNVALYRKIVPPVAEHSPEALLLVVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLIAEHLDVNAQDVQAYMVGEHGDSSVAIWSSISVGGMPAFKSLRDSHLNFDEEALEGIRRAVVGGAYEVIGLKGYTSWAIGYSVASLAASLLRDQRRVHPVSVLASGFHGISDGHDVFLSLPARLGRAGILGVAEMDLTEAEAAQLRRSAKTLWENCQLLGL >cds.KYUSt_chr5.27637 pep primary_assembly:MPB_Lper_Kyuss_1697:5:174910648:174914171:1 gene:KYUSg_chr5.27637 transcript:KYUSt_chr5.27637 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTPQSPHQQHQSSSPFVEYIRAPGGLDKVLLRGGRSCSVEFGSHGNLEQHGFARNRLWTIDDNPPPLPVNPAIKAFVDIILKPTEDDLKMWPHSFEFRLRIALGALGDLSLTSRIRNTNTDGRPFSYTFAYHTYFSVSDISEVRVEGLETMDYLDLKKERCTEQGDAIVFESEVDKVYLSTPPKVAIIDHEKKRTFVVTKEGLPDVVVWNPWDKKAKAMQDFGDSEYKHMLCVEPAAVEKPITLKPGEEWKGRVAFSAVPSSYCSGQLDPLMVLHG >cds.KYUSt_contig_686-1.769 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4667135:4667800:-1 gene:KYUSg_contig_686-1.769 transcript:KYUSt_contig_686-1.769 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPQAYFPPSFDPTALFAGDDASPSFELNTILEEASHLASGNDSPSSGSDAGGVNYFQVGVASDGVGVGAAEEERRRRRMVSNRESARRSRMRKQRQLSELRAQAAHLRGANRRLLDELNGALRQCADARRESAHLRDEKAELTKKLEQLSHQSAPEKKAASSNHSSCSSEETEGEESMGTRAQEGTTESHNRWRRCGHEEAGVDKSRTAGLQESRAQG >cds.KYUSt_chr3.33403 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209715712:209725565:-1 gene:KYUSg_chr3.33403 transcript:KYUSt_chr3.33403 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGEASAGEGSGSGDLRRRKGGQDMVGSSSSFAEGTREFVLSTMDERFSGSVDADGFPSSRREVFGHSKSTTATFHSFKGREHPFVRSQSDRLLKCDLTLDMLSENEKMKIVEKLVKIQKDGTLEVDLTRSALVASELSEIDAFASVQRDAEEVTSGLSKSVPKLKIVMLVVGTRGDVQPFIALAKRLQEFGHHVRLASHVNFRTFVKSAGVDFYPLGGDPRIMAQYMTKNKGFLMLAPNEISVQRKQVKEIIFSLLPACTEPDLDTGTPFRAQAIIANPPALGHLHIAEALGVPLHIFFTFPWTPTNEFPHPLARTPQSATYRLSYLIVDLIIWWGTRGFINDFRKKLNLAPIAYFSTYHGSISHLPTGYMWSPHLMPKPKDWGSLVDVVGFCFLNLGTKYQPPLQLSQWLQQGPDPIYIGFGSMPLDDEKKVTTIILDALRETGQRGIISRGWGDLGSFSEVPVDVFIVEDCPHDWLFPRCTAVVHHGGAGTTAAGLIAGCPTTIVPFFGDQFFWGELIHARGVGPAPIRVTELTTEGLSNAIRFMLDPEVKSRSMELAIAIGNEDGVAAAVDSFHQHLPSELPLTPPAMPVEEEGLDLFQMLSRYLEKCCLPFNS >cds.KYUSt_chr6.26606 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168550424:168551914:-1 gene:KYUSg_chr6.26606 transcript:KYUSt_chr6.26606 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAQVTQHQQAKWKPAWLEALSTEKFFIACSFHEHAKKNEKNICCLDCCTSICPHCVATHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSGVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFYCSMDCKVEYILRKKKDLSAYLRPCKTLQLGPDFFIPHDADDETTHSTLVDVDEPMGSSDSDNLSTPYPSFVRKKRSGPYICARSANRVSDDDMATNMSRRKGVPQRSPLC >cds.KYUSt_chr6.27104 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171932461:171933147:-1 gene:KYUSg_chr6.27104 transcript:KYUSt_chr6.27104 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYSDGEGFYDDATDGDGSCGEEEDVDGCLGLSRGPSDEEDDDDSNGEGMCEVACCLDEDDDIDDIEEEDDVEGEEELCDEEGNDEELVDDPCDEDDYEGDVEAEDLCDDPSSNDGEEGEREVVTVSGFSIADGSHISEPVLDDSSSSSSYSSSDEDDDGTGSEGFMPIALPLRRTPSPWTTAHLLEAVAQHTDSDDDIGYDTDYDDDEYEQHRLPASRAAVESLPE >cds.KYUSt_chr3.26334 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163900647:163901048:-1 gene:KYUSg_chr3.26334 transcript:KYUSt_chr3.26334 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPLTFLREAELRLLRCTLPAAASRPPVPSPPPLHPLGPVAASALAAVELGDYAAALASAVRHILRASASTEADHDSPAQFYDDLAAAVEVFLLGDVGGAVDEGFQCRCALVLSAAVAALLAFTQQNVTG >cds.KYUSt_chr6.27848 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176633434:176637931:1 gene:KYUSg_chr6.27848 transcript:KYUSt_chr6.27848 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSLTSALYAVLGIDPEPPPRLLLRHPEQLPSRLSSSTYASPACCSTAVAPPVRFRAATVAPLTLNLPCAGVGGAARGGAGTEVEEHGFGASRLLYEILMVVLGVCNGEVEHIDLYNAQTANNGVVVTDTLTQFPEEVVLLQGSELQHVDAQMALEIFKIGDGDRDGASTSLMRWRIDKQKVMGIPAVREGLRDAAMGRRRLLREGVDFDVEIVTLMSRPGPDHRWFSVLCPRSFLSCMRMVEV >cds.KYUSt_chr6.1519 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9262888:9265319:-1 gene:KYUSg_chr6.1519 transcript:KYUSt_chr6.1519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Ethylene receptor-like protein 2 [Source: Projected from Oryza sativa (Os02g0820900)] MVSPRFRCGGGGGRRRLVPLLLALALLAPAVAVAAGDDDAEYAHCNCDGGGGGGGFWSVENIFKWQKVSDLLIAAAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLTAFTYEPHAFALVLLLTAAKFLTALVSFLTAITLLTLIPQLLRVKVRESLLWIKARELDREVDLMKQQEEASWHVRMLTQEIRKSLDRHTVLYTTLIELSRVLDLSNCAVWMPAQDKAAMHLTHELRRGGNVDGLVAIAVDDADVVEVRRSDDVKLLGPDSALASASGGGKEDTGTVAAIRMPMLKVSDFKGGTPEVIQTSYAVLVLVPPSGKNWGAHELEIVEVVADQVAVALSHASLLEESQAMRDRLAEQNRELLQARRDTLMANEARDAFQHVMSQGMRQPIHTILGLVSVVQEDNLTPEQKLVVDTMGRTATVVSTLINDVMEMSATNRERFPLETRPFPLHSMIRDAACVSRCLCDFRGFGFAVHVENTLPNLVIGDERRIFHVLLHMVGNLISRIDSGHVTFRVRADDEVTEDTLGQRWDPWRPSYSSGYSSVKFVIGVKSQQSADSMSSQGQFLRKPIGEGFDLRLSFSMCRKLVQMMQGNIWAVLDGQGVPESMTLVLRFQLQPPLAPSSTGGSFEQQYTSPSCQLTGLKVLLIDDDDINMVIARKLLEKLGCVVSSLPSGSGFLNSVGPSSSVYQVVMVNLEMTRVNALDVAARVRQYRSGRWPFVMAMTSEQSAWEKCAQSGINGILRKPVVMLEMKEELTRILQSA >cds.KYUSt_chr4.26746 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168019257:168021886:1 gene:KYUSg_chr4.26746 transcript:KYUSt_chr4.26746 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSLHSLVLAPSFGSDIQLSPEPSLSVEEIPRRRGYPEAPGKIGTQPGRPSAAAGQLASQPGPPGVAARKVGSQLGPPGAAAGLPKPAEAQKNPAHSPVHRVLLPERSSASPVYRVLLPDCEEEPQHRSFRQSARSTGCCCRAVRYVARYHRVSYRVARL >cds.KYUSt_chr4.13669 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84234084:84236699:-1 gene:KYUSg_chr4.13669 transcript:KYUSt_chr4.13669 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLMHLDGNAYSCKFCRTHLGLAADIISKSFHSKHGKAYLFNRVVNVTSGVKEDRLMMTGMHTVSDIFCVGCGSIVGWKYEAAHEKNQRYKEGKFILERFKVSGPDGSHYWGITHDHHVGGSDADDQ >cds.KYUSt_chr1.3181 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19268019:19269693:1 gene:KYUSg_chr1.3181 transcript:KYUSt_chr1.3181 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMYYPQRFSNVMIGYLNLATLLASIPVIGAGLWLAKGSTTSCSSMLQTPLLIVGFIVLLISLAGFVGACFHVAWALWLYLFAVMILICMLLGLTMFGFAVTAGGGGTQVAGRPYREYHISDYSSWLQKHIQDIKYWKPALACVVGSKACPKISNWTPMDYLQHDLTPIQSGCCKPPTACTYSGGMPVGAQDEDCFQWNNAPNILCYQCNSCKAGVMEQVRQDWHKISVLNVIVLVFLICVCACGCCAFRNARRSVSEYPYGVNRMSKINPRWDYYWWRWFRDRREQMY >cds.KYUSt_chr1.40851 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250595107:250596688:-1 gene:KYUSg_chr1.40851 transcript:KYUSt_chr1.40851 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAACRGPAPALPAARPGGGCLLGRVRISRRRAPTSRRSAVVRCGLLPVDPWAPTMDSQSIASQLFAVSLFPYLGFLYFMTRSKTAPGLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDLLHGSAESLLTLTNLFIVLGLRGALRKLKDGEESNSEASQDNKEKSSV >cds.KYUSt_chr7.20053 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124249960:124253966:1 gene:KYUSg_chr7.20053 transcript:KYUSt_chr7.20053 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGDPLAPLRQAEAVRHALPAAAAPQFAPSYRSHCRPRHSPRLACARGLPSAAAAPPHTHLFSRGRPHPPPFYCTAGVGTRTVSSCPGCVASPTNTKAMDDQTESEVVMGYTMTQICDKFIDVFMHQKPETKDWRKILVFREEWQRYRPHFYKRCQARIDAETDSLVKQKLVVLARKVKKIDNEIEKHMELFTELRENPTDINAVVARRRKDFTGEFFRNLNYLVNAYNGLDERDAIARLGAKCLSAIHAYDCTLQQLDIDSAQSKFDDILNSSSLDDACDKIKSLAKAKELDSSLVLLINRAWAAAKDSKTMKNKVKDIMYHIYTTTKESLKIISPPEMKLLKYLLNIEDPEDRFAALATAFSPGDEHEVKDEDALYTTPNELHKWIKMMLDSYHLNKEEADFMDARRMSDPVIIQRLILLKETVEEEYMKQYINPEDQESDGREEPEYYP >cds.KYUSt_chr2.40499 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251646307:251652058:-1 gene:KYUSg_chr2.40499 transcript:KYUSt_chr2.40499 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPHLVNKASAPTHDQRPGAQRLRVHGQKHRWRPKGDEHLQAGYKMALLRDFLELLHAFLYDPGRKRRRDKVADRSNGDVAVDSYHLYKEDVRLMKDMGVDAYRFSISWTRILPDGSLRGGVNKEGIKYYNNLINELLSKGRCSSWEMGKCSVGDSGTEPYIDAQKGKVGITLVSNWYVPFSRSKSDNAAARRSIDFMLGWFMDPLTRGVYPHSMRKLVGNRLPRFTKEQSKLVKGAFDFIGINYYTANYADSLPPSNGLKTSYNTDAQANLTGVRNGVPIGPQSGTPWIYVYPQGFRDLLLYVKDNYGNPTVYITENGVGETNNKSLTIQEALDDYGRIDYYHKHLLALQSAIRDGANVKGYFAWSLLDSFEWASGYTVRFGLHFVDYSDGQKRKRYPKKSARWFKKFLKR >cds.KYUSt_chr7.26731 pep primary_assembly:MPB_Lper_Kyuss_1697:7:166934538:166937106:-1 gene:KYUSg_chr7.26731 transcript:KYUSt_chr7.26731 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAASQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYVRTHQRLLLVGCGNSVFGENMVDDGYQDVVNIDISSVVIEQMKKKYHDKPQLKYTKMDVKNMSDFESGSFDAVIDKGTLDSLMCGQNSQEHAMKMLGEVNRILKDNGVYIMITYGDPSYRLHLLKDLQLWTVKLHVIDRWERSSKQTWDLTKPLPLHEDSTSIIGLLGPKPDVHYIYVCIKGNDSPRVGSKAVANESAN >cds.KYUSt_chr4.15397 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95079651:95085356:-1 gene:KYUSg_chr4.15397 transcript:KYUSt_chr4.15397 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRVCKGMTSKQRALISGADFSVILSMKCSKLIPELCRFLMEHFDLVACVLDFGERGKIPVNVQSVVSVMAVPMGTHPVPYKQNINATSSVLEMMGINNERQPTLSEVEKQLERSYPADDAYLRKFIIFLMSSVFAPTTGIYISPKCYPAVINIEAIRRLDRARFIIDILIKTANAKGKKNWFKACMPYLMVLYVDSLETDAVDLPPGPRICVWTNQLIRHVVNLDTNTDGSFGKLPLKACFRNKTVLFNNDPSAIDMFIRCHAPESPNEEVSAFEDGLSQFIRSFAPNQVKEGNPDLHQVEKDVDKTSKHKRRRRVTKVQQSVRDDENQKDVQVDVQVDQMEGEANPRDNEVKTKKRKPDDRFIAAGRPKKKKIKVYVVSEDCHDEGPAVVYEEKASDGQSVDITCNMIAEDDQTLNKEADKLYEEDGIDEGTVNIEELVQANEEVLPIVSVETEVSPPHTNMVDALRILQGYGTGSQSSTETPQVHITGEGMQVDQEDERSNGSAQLKTKTSKSDTFLDQVQVTPVARRPVTRSMSPLKSPLLKEDVKNTAPSPRRLTRFATTEARANASSTKLCNSPSSASKSFRNMSKNLSVDLGNAETPESEHQRKVRELAEDFPSFDLGFSPGEQTMVEHTAPELTVPELTVPEQTVHVQQVETVQKDQDSQVDEVIVISNNDSGDSLDKIFASIEMPTSGGKAINLQNSSGVSPTTPGNSTPIPQTKRILKLGPQQKSPFVANDKKPSVPKSDTELYNKVCMYGGKTKDKLNEERIIDYGTFFVQLRDLSDSVKPGGWLSNTTCEIALQVLSADMAKQKKFVMPLMIAIMFSVLQDLTPEIKKMTGHYYLIVLNLTSGRFEVMDSLRREGDKALMADARTIIGSIKHLWATNNSESKIDISKYKTVHITTPRQLTTYDCGFFMLKYIECWNGRRMAAINPSDMPTLRKIFLKKWMDYVENRIDWEELLFPRIVAKSHSRLCGAENTREKRALRRAGIRGGNSLPEGEIDAIVTVTELDIISITIVIISIIITAISTAASRHRCNNLGLIWIV >cds.KYUSt_chr6.25450 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161362196:161363107:-1 gene:KYUSg_chr6.25450 transcript:KYUSt_chr6.25450 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVDSTCTVCGVAAEDEHHALVTCTLARALREGMRQFWDLPSEEAFLFRGTDWLLVLLSNSSQSARSRIIFLLWRVWHHQNNVVHGDGRASVSASVSFIRNYLDSFTSACGTVSDPKGKTPVCFAPVYSSEGLSVVNRWTAPAIGSLKANVDAAWDAASRMAGIGVVIRDHTGYTLLSEWKHLPWCSSAEEAEVLACIGGLHHLIGMSCEFAVLETDCLRTIDVMKNNLVDRSSSWCLYREGQELLKVFRSISVLKVDRGNNRVAHGLAQLGKKGDSGTMLDSVPAALAGLVRKDCNRVDEP >cds.KYUSt_chr7.1288 pep primary_assembly:MPB_Lper_Kyuss_1697:7:6994557:6998410:1 gene:KYUSg_chr7.1288 transcript:KYUSt_chr7.1288 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHFIEMGFPAEKVAMALEHAGRGGGDKEADVLQWLLDKQEGSSRQGAAHLTSPMATHFIGMGFSAEDVAMALEHAGGGRNVEAVVLQWLFDHQETDLDGFSSSIDLEGISSSSGDLEVISSPTDLETFHEELTEGEKFFKLVEMGFTSNEASAAITRCAVPPTRLRRSSAATAWSEDQGFHPKQELGEVRWSHGIAHKRESGAHGRRRYQNRHRRSELSLGHNTHLPRVVELAPAPTTKARDTMIATPPPDLDLGEEWPPCQTSPGAPDAVCLAALAAKGHDQHHRHEARRRTTRSRRSGLQTPPNRQDKEAKPHTHRDDPVHHTNCPQLDEKARLGHQIPRSSRPEARATRDDDHQELADDIQDGEEQRDATPAGAPRRRRLPGTPVPPAATARLDPRQKVTLTHSMVASTCGVKLGAVSAREKTEVEEGRVVHG >cds.KYUSt_chr3.36227 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227819997:227821138:1 gene:KYUSg_chr3.36227 transcript:KYUSt_chr3.36227 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSTTPSLVPSYGDAFMAASFSSVPTQIPRSAAGGYGGYLEGGNASSAFPPFAGASSPPSYSSLPSSYYNNIHRSISSHSLPLQLHQLGDALNGGGGGAFFSSSSLSPHQLSSLPPLSSSPSSSCGDLYEFTSACPVRRVFSTGDLQVWPQPGTCPHNGDRIPWRLEADQLVFSDIKQGMNGSSPVQSGDSCGQDAGGGPFSQKVGRYSAEERKERVERYRLKRHQRNFTKKITYACRKSLADSRPRVKGRFARNGETEADNYDEREASDNSYDYCGYSEPSNQSTGNSCYHVKDTALVGGGDNGDWWWPAPGATTVEAEGQRQVGFDVVDEEIWATLGDMLSVNLAS >cds.KYUSt_chr2.40544 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251917955:251919817:-1 gene:KYUSg_chr2.40544 transcript:KYUSt_chr2.40544 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKAQRRARSPPTSPTPTWARRSEALTHILTDPSHSPSLHSQLFLASRVPCPPGGSSYPPFLCPGASLLRWALASVFIPRAARLGLPPSSWRSRCPFQLPPPVVPSTAIEPAPERWGEAELRGYAQRRRARRGPMRVRPPVSVAGIVLTTVPNFVIIAVIMRELFWLEGGDKVIMPASALARLATMRIEYPMLFELHNAAAERTSHCGVLEFVAEEGTIIMPYWMMQNMLLQDGDTVRVRSATLPKGTYVKLQPHTSDFLDISNPKAILEKTLRTFSCLTTGNSIVVAYNNKQYHIDIVEAKPASAVSIIETDCEVDFAPPLDYKEPEKPQPTVVPASKEVAQDQEANVEEDEPKFKPFTGSAKRLDGKILKQQAPEVPSAAVPARSAPSVSNKRANQQTAAPSGASTSTRQKTGKLVFGSSASNKKEAQAQKEPAKVSEPPKKEEPKFNAFSGKSYSLKS >cds.KYUSt_scaffold_2697.354 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2365748:2366912:1 gene:KYUSg_scaffold_2697.354 transcript:KYUSt_scaffold_2697.354 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPWRLTHPKRPTGVNDRAQILAFRNKPSKRENFSAAPLPTQFLLNRPSRRSRCATLLRTLDALDLIPQPDGLWEQQPTVHCVITGIAYKVAYVASITAQGKKEVDACFDMKNTLVQKK >cds.KYUSt_chr2.46398 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289940525:289941844:1 gene:KYUSg_chr2.46398 transcript:KYUSt_chr2.46398 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHAASPAREAARPSRSLAKAVSRRAAAVSQKLAARGLGAFVWHKKLDRDLGRGLLPDIVSLRSERRRCLARRKEAAAVSSSAASRRVSPPPPITAASFAKAEEEAKEAAFLLDQSRIRAETRFGYGRPKPIDVLVKSLDGTRPRAVAAFRGASLEGLRELGKEIAEHAGLDRANGPFWDAAKVLCDAEVAKAAGTAGDGERLVHSAIFDDVKGVVEGKSIEELDAMQETITARMAAGEAMVVEHWQGVTELIRVEKDKKYLQQNYSTCDDEPASSDNKDDEEEPESADDGGDMADTDDAEGSDALCQVALPQTPSALELRKPKYITRVRSGFEWSKYNRVHYDHDQPPPKIVKGYKFVVYYPDLAGTKPPEYTVHKDGESDETCIIRFHAGPPYEDVAFRIVNKEWEYSRKGGFKCTFERGILHLNFHFKRFFYRR >cds.KYUSt_contig_1467.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:166120:167322:-1 gene:KYUSg_contig_1467.22 transcript:KYUSt_contig_1467.22 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGSSSSISPVRPWPPRQRSAQDVDEEYGRAFKSRSFLDLWSHAHRSLKHNLSSYKLSSRLSSSFSDDQVPANEEPSSCSYAVLNDFVLEPSPETLTRPGHRRSRRCHGRRRVEALLLEYFDVTREACEACSALLAAAGAAQRHHLVLRRLLLRLAAEGDDRAAAARDALARHIGSDNPLSPAGRRLTGFNDAHARCAPLSRRLVATRRRLRRLARAARIARCAAATAIVGASAAAVVAAVVLAAHAVVGVGAAAVLTFCATSTTRPSARRSFSINKLARRCHRGRRRRHARAGEAAVDAAARGAYILGRDLDTVSRMVRRAHDELEHGRDMARIAVAAGADGGERPPLLLQEVAREEEECGGGSAVPARGAGGARMPLPPHHQPEQEDSDAGDDYA >cds.KYUSt_chr3.31446 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197515650:197517341:-1 gene:KYUSg_chr3.31446 transcript:KYUSt_chr3.31446 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDLLLHGAAVVNLGLGLSVTRPRPIAPARFGTEDDLSRCGIPPSRAAPDQAPITTSGVATSARGDSIADEDFESGDGTEDDEISPSPLP >cds.KYUSt_contig_60.371 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2198798:2199244:1 gene:KYUSg_contig_60.371 transcript:KYUSt_contig_60.371 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGREFEYPATMTDDEIARLGVIVLEVDRPLQPLLPRYATGIMPPSLTEEEALRRALEDSAPQPVQPPPPPPPYNPWSAPPTWAAPPPPPEWAAQPPPPAWAAQPPPPVWASPPLPPPAAPAYVPPLANWPWPVPELVVIDSDYDDH >cds.KYUSt_chr5.8431 pep primary_assembly:MPB_Lper_Kyuss_1697:5:53335555:53336511:1 gene:KYUSg_chr5.8431 transcript:KYUSt_chr5.8431 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHAVCSLLLALLVGLTRPSPCTASLYVPPPPVMAYHHGAVLDGTVPVSVLYYGAFSPHHKAILADFLLSLSPRARPHAFGAPAAASPSVARWWETVDRYVQRAGRERTRVLLTNQVSDEGCSLGKRLSRLQVEQLAARLGVAPGGVAVVLTAADVAVEGFCESSCGLHGSAAPGGSVHVWVGNAAVQCPGRCAWPFHAADGYPIGGHRSGDTLRAPNGDAGVDGMVINLAALLAGAVTNPYGHGYFQGDAGAPVEVGAGCPGVYGRGAYPGYPGKVRLDTTTGAGLPGYNAVGRNGRRYLVPALVDPTNYSCLIMA >cds.KYUSt_chr2.3066 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18010023:18021889:-1 gene:KYUSg_chr2.3066 transcript:KYUSt_chr2.3066 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFLHCLEAARNAGPEEIVPHEFAAFNNYLEWFHENTRIELVKHVYAEDILDDPIQFDEVGQSQHDTFARRGRSISIASELNFVREEIQKIAQECEIMWEQSHRDEKPIGPLRSSEKTRAISTVVCGVRHLSFFSLSLRSVSFKGVDSIKETGGAGAVGWPENAGHYKMLECKLLFVCCFGDLNKLGGAHWTCCAENLAFEALYDGSWHGVKCIRVRDGGLFVKFVYSGSTVEHNVSGDHLRMRSRKATCFDCLHVLKPGVSVSVLSPNALQILPCHDDARLVAIKRNHNADQCLCKFAVMLYKNQCPGNTEKGKMISDRKEEVVMTLRNIFLLQKLRPKELLEESIQWSCTVDCLSHNRSKLLSGRFSSEIAHLIVLSTLRGMEFSIKLVEGKIIYRSIKGDKARYSLDSMTVPPGFGNSMDIISFQPHDETLRPITKNVPLTHPKKDNLTEDTSFVLNTELAIPLEYMRLDGLIDAFCANKHNPITVKTELDVLSDVFSYEQLDMRRSKRLKTQPEHFISYDAPNFDRDKWKRRGASSTKHDKLQRASYQDLSVKVESSLEEVPVNITPNQAAAGAFVTKEDSSSTKRQRMSTRTPVKEKSISEEAKAKSTTQEMASYRYSSVEVDSSCEEVPANITPHQAGACTFLVKEKPSSTKEQYKSTRRTPVKKNPSSDVVKEKSTIQETALDSPIPRTPVKNKEKNHRPPMSFQRNSFTLSCSLNGNSEPAFCQKSGRKRKERMSEREYKQMINQCIGNIQSEMERDFEFPLDVPVMNYHQGAYQEEDFTWPPSADSPDEKDELDDLDDLWKEMDYSLTTVALLEQKQVNGNDYNKKRPGCSNFYHDEHVLDPSLFAILGPELSELRGSVNLWSLIPDLEPKLLPHQRKAFEFIWKNLAGSLQLEEMNNPTASTGGCVVAHTPGSGKTLLLISFLVSYLKVHPRSRPLVLTPKSAIHTWRREFEKWGISLPLHVLHHADRSGKPMGDIDPRLQAMLSNFRQSSWKNMRLVDCMDKLCKWHESPSILLMTYSSFLGMTREDTRMSQRAFMAQVLINNPGLLILDEGHNPRSNKSKLRRVLMKVKTEYRILLSGTIFQNNFEEYFNTLSLARPRFVNDVMTALVPERKKETSSRRAKHIEAVTRRIFVERVGQKMESSDNWDRVDGINLLNELTCGFIDSFEGSKLSSLPGIHAYTLFMKPTDIQKEILSKITMATSCGGRYLLEVEHLITICSIHPWLMKNTKCASSYFTPAEIDKVDKYKRDLAAGCKAKFVIDLLHKSSYRGERVLIFCHNVSPINFLVKLIECVFGWRLGEEVLVLQGNQELPVRSDVMDKFNGDIEGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTRQAIARAFRPGQQRTVYVYLLVASGTWEEEKYSSNRRKAWVAKMVFHGRYFVDPLQNAVTAIDDSVLKELADEDKTKTFHKIVKQD >cds.KYUSt_chr5.1297 pep primary_assembly:MPB_Lper_Kyuss_1697:5:8922459:8925844:-1 gene:KYUSg_chr5.1297 transcript:KYUSt_chr5.1297 gene_biotype:protein_coding transcript_biotype:protein_coding MEALARAGEGDALGEGRGGFAVVVDHNLLRARSRVLTSPDFSGASFSLDDDKINAYDSILNLQAPDFTTVMAIVHGEGYWTEVACYFQDSDFVTLLNFMNSLGLEAVEDEDFLYFANAVSFANSFGKESNEEDDMVRVLLNVDDVSEEL >cds.KYUSt_chr2.1948 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11694302:11694589:-1 gene:KYUSg_chr2.1948 transcript:KYUSt_chr2.1948 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAGRSSLSLPVAVVIIIAGCCGQCGAQLPIPARTDGFVWGTAPAPAWGGAVVVEAFFDPVCPDSRDAWPPLQKAVQHYGAGRVAVVVHLFPLP >cds.KYUSt_chr2.42297 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263499246:263501567:-1 gene:KYUSg_chr2.42297 transcript:KYUSt_chr2.42297 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSVKRLARLAITALLLAVMAISAVALLLLVCPSSTLCSAAAGWSALLAAPRTSKLRACGGTEACDIFEGEWVWDDSYPLYDSKDCPFLDGGFRCSENGRPDKSYTKWRWQPSCCDLPRFDAKRMLERLRNKRVVFAGDSIGRNQWESLLCMLSTGVSDKSSIYEINGRPITKHDGFFIFRFRDYNCTVEYYRSPFLVPQGHGPAGAPKFVKSTIRVDSMDWMSGRRKWRDADLLIFNSGHWWTKNKTTEWGAYFREGHEVKMDMSVNDAYRRSIHTLFDWLHREVNSTKTQAIFRTYSPSHFSGGDWNTGGDCQLETLPDKTPVKSMEQWADMLKPVIDVLGSNHRPKLAGLDILDVTRMTAQRKDGHLSVFRNSASSRQAFLNKTSEVKEVEDCSHWCLPGVPDTWNELLYALFMRRQMSLDRNDTDSELNTDWQSVGDIST >cds.KYUSt_chr4.43817 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271629257:271631148:1 gene:KYUSg_chr4.43817 transcript:KYUSt_chr4.43817 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFIALLAVAALRCAPAAAELERVEHPAKNDGSLSLLVIGDWGRKGTYNQSRVAEQMGKVGEKLDIDFVVSTGDNFYDNGLTGVNDQQFEESFTNIYTAESLKKPWYLVLGNHDYRGDAVAQLNPVFNKIDSRFICKRSFIVNAEIVDFFFIDTTPFQLKYWTHPKDDHYDWRGVAPRGKYIDNLLKDLDEAMKKSTAKWKIAIGHHTMRSVSDHGETKELLQLLLPVLKDNSIDFYINGHDHCLEHISSRDSPLQYFTSGGGSKAWRGVFQPTDDKLQFFYDGQGFMSLQLNQDQADFIFYDVFGNILYSWSSSKTNYLQPSIHVTEE >cds.KYUSt_chr7.18164 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112700400:112701692:-1 gene:KYUSg_chr7.18164 transcript:KYUSt_chr7.18164 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPTVAKSPPALIPPAGPTPGGTLPLSCIDKTIGGLALVNLIQVFPRPSLSAAHDDQGAAAAVAAMRNGFARALVPYYPVAGRVVPSGLAVDCTGEGVWFVEAAASCALADVGGLECFPLLIAGELLLPRPPPGEKLDGLILMAQVTTFTCGGFAVVISFNHTVFDGYGAAQFLTAVGELARGFPAPSVDPVWDRDAIPHPRSPPPPLFRVLTEFRLVTQVADISAASIERVKDEFKQAAASTGEGCSTFDALTAIVFKCRALALAAALPDGAEVRVSFAAGTRPLLRGVLPTVDGYYGNCVYMACVARTSRAARELALAELVGAVREAKEAVATGFADWMRGVVRPDVPLDYSTAILTDWSRLGFNEVDYGFGVPGYVFPNDEQANFAATLLFVKPPAPRRGGIRVLLRCVEEPHAAIFAAELAKFA >cds.KYUSt_chr1.34251 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208414002:208419102:1 gene:KYUSg_chr1.34251 transcript:KYUSt_chr1.34251 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVSRFPVTQDALDACGLQWGISVTPFAAADERGQPPAAADRGDRLPRCERCWAYFNTYCDVERWGWACALCGTLNGFDDDAARRYQRAEDRPELTASFVDFEIPVDEVDGLPARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPVVKNVFIPPDSEEDGPPVALEDAMPLLSFLAPVDTCKDRIAAALETLRPTSSWERGAASGQEADTVLLGGRGFGTAMSSLIDYLSSEYGSTFALARVFAFLSGAPDYGDGQLDTRRYGEQYASRGEDADLALLPEQIPFYKDLAAVAVQAGVCVDIFAVTDEYTDLASLKFLSIESGGSLFLYANADDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGRSYGHFFPDPQYENVQHIICCDSFATYAYDFNFTHADGFSRHTEPAVVQIAFQYSVVEPVEEVSGNDPQSYPSYKFFLKRRLRIRTLQYRPAKDINEMYDSVDPEAVLHILVHKVILVSLENGVREGRHSVHDWLAILITRYNDVLRSDARTPESHIDIDFSQFPQLQMLPQFVFAMLRSPLLRLHEEGIHPDYRIYLQCLFSALEPSSLAKAIYPVLTSYSSPNKQAFPRHTLSRAALTMSESPIFLLDAFTNLVVYYSSAADPSLPFPPPHDCLLRTTINALKQDRCLTPKLTFVRGGEDDSSLFENYLIEEQDVDGSGFTTGKGFISFRESIRNEVAEILKEESGS >cds.KYUSt_contig_946.40 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000087.1:324185:324424:-1 gene:KYUSg_contig_946.40 transcript:KYUSt_contig_946.40 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPQQEQQLLRVLDSVKLSPAKQPPTSSLPLSGLDADRNMLDVSFRTVRFFPPHPVSLDPLDVLQDAFAAALAHFP >cds.KYUSt_chr6.26819 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169981201:169987751:1 gene:KYUSg_chr6.26819 transcript:KYUSt_chr6.26819 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTASTTSRGEASSALVVAHKVLHKSPALDDVPESYVEMLNDASVNIDSPPLGDYYTDDTEDGLEDDEFGEEDADGEGEGEVAQFCSHTLTMDQQNHQLWVNGQERAMQCAVIVKSIQVKKELGKRKSIDPISEYNHCAGFIVETKGPEAYIAVHESQIDLVNTFYVSFAGGDFKLATVLLKKTDCQFVILGASLEGEFRKVRTKKMFDRGKAGAKGEQAKEQPKAVAATVLAVLPQVASVQKSVRLVALKRKSERSGGLPLRFSPEHAVKR >cds.KYUSt_contig_686-1.1337 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8492857:8494467:-1 gene:KYUSg_contig_686-1.1337 transcript:KYUSt_contig_686-1.1337 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQQLQVLNALDVAKTQWYHFTAIVVAGMGFFTDAYDLFCVSLVTKLLGRIYYHVDGSPTPGSLPPHVASAINGVAFIGTLSGQLFFGWLGDKLGRKKVYGMTLMLMVLCSVASGLSFGHTPASVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGLVSIIVSSSFRILFPAPSYDVDPVNSTPSQADFVWRIIVMLGALPAALTYYWRTKMPETARYTALVAKNAKQAAVDMSKVLQVEITEEQAKAAGQPSNNKSAAFGLFSGEFLRRHGLHLLGTSATWFLLDVAFYSQNLFQKDIFSAVGWIPKAATMSALDEVFRIARAQTLIALCGTVPGYWFTVALIDVLGRFAIQVMGFFFMGVFMLGLAIPYHHWTAPGNHVGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAASGKLGAIAGGFGFLYLAQSPDPSKTDHGYAPGIGVRNSLFILAGCNLLGLLFTFLVPESKGKSLEEMSGENEVIGAEATGYNRTVPV >cds.KYUSt_chr6.17288 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108867368:108869263:-1 gene:KYUSg_chr6.17288 transcript:KYUSt_chr6.17288 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTIAESEPAAHLSPPPPAVAGGANVAREAAIDVSSSDTESDSGGAKRPRRRRRVGREEKRARVLDAVPPGFLEPLPPRRCVTKQFWKAGDYDGDGHLLWSEHAKHSESGMEHVRVHPRFLHSNATSHKWALGAFAELLDNSLDEVSNGATFVNIDMLENKKDGSRMLLVQDDGGGMSPDKIRHCMSLGYSAKSKVKNTIGQCIWKWFQDKYNETWC >cds.KYUSt_chr5.6495 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40298953:40300828:1 gene:KYUSg_chr5.6495 transcript:KYUSt_chr5.6495 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTDACADDSDDDSDDDVVMMWLPRNNDSVLGTNANLNIEGSSHMNRNGITGLEPPDVSAVNVLSKEVVGSSSTMKRIDFPTVGTSSSQNQSYSSGRMNLDPPSLEDNLEEKHVGAASGSKFFAANEEAWRSNSYSHKKDDRSNDIHNKSGVDSSTAHYLSSSSIINPQIFAASSSNAALKYQWQSSSTAASRQYADAEMHFAQNDRLPAVQEYCGLHSPVIPGGQFQKHSPFYDCPKDVDLNNGPQDTNTTLGQASESTPMEISWVRNKLLNMRKEVSMKKSQVALSCANVHSQILPGSMAYSEGSRRIFGCTVSAATKRDSEPSSTVHMGTDITALIKGIANMQIQSQKNKDDTNGRDLMDLNVALPFMDDMEMDVHQSEGNNSVPQKPNARCIDLNVALPFMTDMEIDTRQSGGDSVPQAPDDPSNEALAITAAENLIAMHNDEFQARSPHVNDILHWFADLTISAGENMVVYNTESNNGDGSEALKLQLFEDSVYSSALYTQDRKSNEDHVSAAAPTFKASDKANSSTETPSEDGRPEGNYYGGALKARRRSFRKASYKANSSTATPPEVALNARRRSLRSFRGKK >cds.KYUSt_chr5.26502 pep primary_assembly:MPB_Lper_Kyuss_1697:5:167781752:167787450:1 gene:KYUSg_chr5.26502 transcript:KYUSt_chr5.26502 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDGDLTEYERLRAENIRRNDVILASLRRKADELSAAIKSSSSAKRGRTSGQPRKKPTTPTGPAVLRRSLRLSQLPPSHSPDAEPIPGPPPKPRSTSFSSSLASSILDAVSLSPGAAKVCADYFDAGKELVLTPANVRRVVPDRILGVRVLPLVDRTVVVAGNKLGNIGFWDADGMVEDEGDDDADGLFEYLPHRGPVPAIVAHPGVPHKIYSCSYEGEICLMDLEKENFNMIHLCDYPVYSLCQAPDNASCLYFGDGNGELKLFDERMGKVSATWDSHDNSINSIDFHPEKKHMVATSSTDRTAHIWDLRRLKKKKDDCLKVLKHSRSVQSAYFSPSGRMLATTSLEDTVRVFHCDDFDTSHTIKHNNQTGRWLSTFKAIWGWNDVDLFIGNMKRAIDVISVSSSGTGLSASNSACLESEDMTAIPCRFSAHPYKVGHLACASSGGKVFLWTRA >cds.KYUSt_chr3.23614 pep primary_assembly:MPB_Lper_Kyuss_1697:3:146030153:146037833:-1 gene:KYUSg_chr3.23614 transcript:KYUSt_chr3.23614 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYPFYPYVPDSPGEGAPPRYSSHEIDLIAARYAGDSFPVHSASGAFDLHVGARRPADGEPDCPFFIKNNECKFGSKCKFNHPKETDNATVAGTGDEESIAFDNSTLPLKPNEPCPYYPKGKCKFKANCKFSHGNGTKVPSSGNESENKATVEAEGHNGAADDSVSAKKITTVAKEHNSKGLPIRPGEVDCSFYIKTGSCMYGSLCRFNHPEQHGRQLQVLDFPLAAPLGLLPTPLGQSLLPTPPGQSLLPTPPLGHSLLGTPPLGQSLLPTPLGQSLLPTPASAVPPEMLNPAANFLPNFDFHATHAQIEPEPIAYPQRPGETVCDFYMKRGYCKYLERCKFHHPVDRSAADPAANCEPSQQPVTLTLAGFPRREDADICAFYMKTGTCRFGALCKFDHPPPGEAIAKVWSKQEEGKSSKQGEEKKGGKKKKKKKVVGPSFVLEN >cds.KYUSt_chr1.4125 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25130781:25135504:-1 gene:KYUSg_chr1.4125 transcript:KYUSt_chr1.4125 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLLPTATHTLFVLPDLQTLTTTLPMAPAAAAKPAARTTANVSAAVLRVQVALLEGAASCNEPLLHAAAAVLSRSDYDDLVTERTIDDSCGHAACPNPLPANANANAAPRFHISLREHRVYDLEEARKFCSERCLVASAAFAASLPTERPFGVPPARLDALVALFEGRGDGPGLGFREGGGKKEDEGIKVEIKEKEAPGTGEVTLQEWIGPSGAIEGYVPRHHPIHQGPMPEAKQSKGSIAEQSGNKNVHSGTAAPGKHDMAVSSSSVEAEVSSEVLAKKLDVNVKAKKKESAKTPSEIFKEDEAADMLSTCITDTIAKQLEHVVLEEKNDKKKKKSTRVSPRASKSKPARKPAGSDRHGVGFSSTIIMGDNTSLPAGSDGHGVGFTSTIIMGDHASLKMDQGPMGQYNFSSSILGDNHPSSSQHSVRDSTSGYNDQLHEGFNKAVDLEKNETSDEKARAALKSSLKAAGSKNRSQSVTWADENGSILEISKAYDTHSDDKQSKADIDSSLRRESAEACAAALIEAVGDISSGTLEVEDAVSKAGIIILPDMLHQEQFENDKGNDTADKEVSEVDNDVVKWPKKTVLLDTDMFEVDDSWHDTPPEGFSLTLSAFATMWATLFGWISRSSLAYVYMLDESSVEELSISTGREYPEKRVSRDSQSSEIKRALASCISNALPVLVSNLRMRIPVSKLETTLGYLIDTMSLVEALPPLRSRQWQLMVLVLLDALSVHRLPVLTPVISDSKLVQKVLISAQVSREEYDSMVDLVLPFGRVTQTPPPS >cds.KYUSt_chr2.12531 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79531391:79532533:1 gene:KYUSg_chr2.12531 transcript:KYUSt_chr2.12531 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRSKKLRRPFERLTDDLILEILSRLPVKSLNRCKCVSKTWENLISDHNNRSKLPQTLAGFLYSSINPERSPLFALHFTNVSDSEIGFPLILPTFNFLPKYETISLLDCCNGLLLCRWHGDSAEYGFHYVVFNPATKKWCALPHSSQAGKMCTARLGFDAAVSSHFHVFEFALDDDRDGDSFVEVYSSKTGRWVHSEDGWYDDISFIRDESATVFLNGRLHFLTIDDNEVVVVDTEGKVMANIPVPDVLDCSFIQQSQGCLHFASFDKFENRLSVYVLEDYDSQEWILKHSVRTAKIFRGRYMEIREIFQWVAIHPDSNLFFFTVGREEETTLMSYDMNRQHAKLIRSLGLGSGYGQKFQPPYLPYVPSYLELPALHI >cds.KYUSt_chr1.545 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2945276:2945644:-1 gene:KYUSg_chr1.545 transcript:KYUSt_chr1.545 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLMENDPRRPSPKQPHAGASLGHGRRRLEEAALVWLSRRQPDDCARLGSRCRDGSKQGGSADTRRPLKNFRVDCTDEGVCFWRPNRALASPQRTGNKEDTEAQNATWLEQILLFSLPLRI >cds.KYUSt_chr7.15016 pep primary_assembly:MPB_Lper_Kyuss_1697:7:93008556:93013921:1 gene:KYUSg_chr7.15016 transcript:KYUSt_chr7.15016 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAPALDLYVPLRLECGTGGMGTRTLLRRQLPGSAQFPPLWRKRGKRSRKSKASPDSLQGPTAAPSSSIGSTAGLASDLLLRAPARSNAAALLADGIRAVGLTSSVFLEIMPATPEYEKNRLARIARRKAEEAGPLANIRNIASQLLYGQNTKDKQRHKGDDGGSGSEYEPNDDEEADDGDEVSGEEEEHEPTVHMSKEKENQPSTKPQGRKRARSKTTVPTTTRTTRASASRLNQTDHTLPNDDTSAHRTSKDSNSPQADTEMQHSQDMTNTLRQIDNSDTLLGQDALVCSNGPTNPKTSMRVKRRPTMGQGLDDYAKRNGGMKMKIDFSAGRVRPLDPVQAAKLASQCGVHVRSNIMHVATHWNDYSKEDLAHHIPKAIGHVADIDFEERVRELEEKVEQQKIEAIEANAMYQQQLNERGKTQEAALGEMQRQQQEELVAMKKIQEEKNKAYEKKQAEQDSLISFLLRKHATQN >cds.KYUSt_chr6.22364 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141322408:141323841:1 gene:KYUSg_chr6.22364 transcript:KYUSt_chr6.22364 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQHFLVVAFPGQGHINPARALAERLARATPEARVTLSAAVSAHRLMFPSLASPDQEVHDGAVSYVPYSDGYDRGFRLFAGDGDDARRYCEAFGRVGSETLSAVMDRLAARGRPVTCVVYAMLMWWAAEVARGRGVPRMLYWTQPATMLAVYYHYFHGYERIVTEHAAEPGFTVAMPGLPPMAIRDLPSFFTNFSDERMVAAFGDVRKTFEQLDLDVNGSTGGRKPMVLVNTIEELELGALASVPELDLFPIGPAVLSLFTDGTTRGNPKAAVGDLFEHDGKAYMEWLDTKPSRSVVYVSFGSMSAASKRQKEEMKRGLAASGRPYLWVVRKDNRDHDEDSDDERGMVVEWCNQVGVLSHPAVGCFVTHCGWNSTLESVACGAPVVAVPQWSDQDTNARQVVEWGVGVRATINADRFLDANELSRCVEMVMGDTEDGAAIRRSSTAWKAKVQEAITDGGSSEHNFRTFQNQFANDA >cds.KYUSt_chr4.40400 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249346660:249349687:-1 gene:KYUSg_chr4.40400 transcript:KYUSt_chr4.40400 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDSLPSVGTRSHHRHSKSRAHNTSACISHDRYLPQRRTDEMPEVKDVFEVMDAMRVRAAYRAKNGNATSRFDATEKANLDFIRQKFMDAKRLSTDESLQMSEELNETLDALVSNKDLLLEFLEKQDQRTPSSNANCITILKPSKRNLFVDTDNIYSQDNGIESFYHKHSTRKPSAKLSSQSPKDDSGSLRQKLSRSSHQEISDKRACPTRIVVLKPSLDKARDIEGSFALSHEIPHSDYRRHTSCQDDGMWSPYTEDSMCQVSLGNPETLGHIKKGSREIAREITKQMRAARGGSNRKQILQPDTSTVLSDESSYFVSSRTKLKTSETVHRSSELCDSWDSSSFNSSPAHSNESSVIKEAKKHLSSRWKIAHQFQDQEPENNGFSVLGDMFALSDQESPKGELQRNGMPGSCSNPLGISSKDGWRGVAPSTARSKSILSSSHQSVQKSSNRKKSSRKNEFSMLKDVLKIGPHDSENACHSRQRKSPVRGSAFRGDVAEQVSPDDEERMMIDHEVHVSSQKPTDVVDMPDSSEQTLAHTVDPGHELDVVCHLDTDSAVFEQNKEPLSPAKLNQQMHRQPPTALDLLIRVPDVDNLQTQAEGIENHLDDDYSALFSPPTGTESPVGIEHHHDSDNQSLWIHPTGSDSPTGSNNDEQPSPVSVLESSLDTDEVYSGDFEKISADIQGKLFTFSLPTHLHFNSWLLSLTFCNITGLRMQLQLLKTETTEDADATDHLIASDDEDASTNQPLPEMEISHAFMDEEERDFSYVLDMLTLLGIDAAYQDGQLDMYCFSEQPAGPDLFEILENKYSGLILWQRSERMLLFDLTNAVIAEVMTSLVHHGSKGLFRRFSSRWDQEGFVEYVWQGVFQLRQEMDCNQVDPLMMDLERHGSEDGVDLVGREIGTMVLEGLLEETIAEFLGMRRSGRFCG >cds.KYUSt_chr3.46916 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294723194:294730589:-1 gene:KYUSg_chr3.46916 transcript:KYUSt_chr3.46916 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQYLLSRHASKRVMAPSHVTFCNVEQLHIYNRSWEATAKLISGRIKNNRGGDLIYHAILVDENLAKEVLKGQFQIDYHWFLGCQSEERIRLGTFDTTHEAARAYDAVAWRLGRPRQQMNFNDIWTREQEEMLAPPSPAVTTEQRQRARELEQRLHVAEQAERLRLEWARAFPEDVTAMEAFYAQKKEEKAKAATKKKADREKRRAESAARKAERAEKVARMARRAEEKKRGAGPSTNMPTSSSSFEWTTTAVSDTTPTSGSSDHDWEDS >cds.KYUSt_chr3.8727 pep primary_assembly:MPB_Lper_Kyuss_1697:3:50754664:50756865:1 gene:KYUSg_chr3.8727 transcript:KYUSt_chr3.8727 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKLAPVKVNYCGVCGLPAEYCEFGPDFERCKPWLRAHAPGVYPEELVASSSGGSEKDVDKVGERLQGVGISTADGSSSTGGASASKQEDVKRLPGGKLKKKDKQEVIIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDVVEFITDTWPDVPESAIYFIEDGRKVAAA >cds.KYUSt_chr6.27301 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173339050:173341614:-1 gene:KYUSg_chr6.27301 transcript:KYUSt_chr6.27301 gene_biotype:protein_coding transcript_biotype:protein_coding MASFATEFPTVTRPRVRRVLCKPLSLFFFSRSQLRARHDGVAINQPIDPRFAMYGAMKEQAVALVRQAVAEDDAGEYAAALQHYVHALDYFAAHLRYERNPKVRDAIAARLPGYVSRAEEIRALLDGQAGREGVAAEACGKGGHQRKKDGGADGEDDERGAERAKLRAGLHSAIVSEKPNVRWDDVAGLDGAKQALQEAVVLPVKYPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLLSKWMGESEKLVANLFEMARENAPSIIFIDEIDSLCGQRGEGNESESSRRVKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQRMFKVHLGDTPHSLTESDFERLAHRTDGFSGSDVAICVKDVLFEPVRKTQDAMFFFRSDGSGGTWTPCGSRQPGAVQTTMEGLAEEGMADKITPPPISRTDFEKVLARQRPTVSKAELDIYTRFTREFGEEG >cds.KYUSt_chr7.39407 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245039068:245040051:1 gene:KYUSg_chr7.39407 transcript:KYUSt_chr7.39407 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALSTAPLSRLHSPTPSLSPIPHAQSQLRIRQQRKPLTVASALPQVADLPALSLPAAAAAAAALAAAVSLSDPERRRKAQAEAVGGGDKEAVRAYFNSTGFERWRKIYGSATDDVNRVQLDIREGHAQTVAATLAMLRDAPDVPLAGATVCDAGCGTGSLSIPLASAGASVLASDISAAMVSEAQRQAQLAQAAGSAEFRMPRFEVRDLESLDGRYDIVVCLDVLIHYPREEAQKMIRHLASLAEKRLVISFAPKTVYFDILKRVGELFPGPSKATRAYLHSEKDIEEALRAAGWRVKNRGFISTQFYFAKLYEAVPVSGGSSS >cds.KYUSt_chr5.13652 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88714174:88714671:1 gene:KYUSg_chr5.13652 transcript:KYUSt_chr5.13652 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLTGQETIAEIMMKRDSDDDADDELPSAGGGSDSSQDAMVFTDEDDDELFELDISLLRGFDDDDVQDRHRASDGAGDCAHALLANCLLPVSSVSMAVPVTANDAVSACYAFSTYNSPRRFSVGISGGGRRKLGRAAADGGISSWARFRLSSRGFATVGNFQR >cds.KYUSt_chr4.34465 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211610522:211610967:1 gene:KYUSg_chr4.34465 transcript:KYUSt_chr4.34465 gene_biotype:protein_coding transcript_biotype:protein_coding MCYIGIGQETGMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >cds.KYUSt_chr2.3425 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20502331:20505404:-1 gene:KYUSg_chr2.3425 transcript:KYUSt_chr2.3425 gene_biotype:protein_coding transcript_biotype:protein_coding MYKARRAASLKVRRRVGKYELGRTIGEGTFAKVRIAKNMESGDHVAIKILDKVKVQKHGLVEQIRREICTMKLIQHPNVVRLHEVMGSKARIFIVLEYVTGGELHDIIVDRGSLKEDEARKFFQQLINAVDYCHSRGVYHRDLKIENLLLDTAGNLKVSDFGLSAISEQVKADGLLHTTCGTPNYVAPEVIEDKGYDGALADLWSCGVILFVMLAGYLPFEDDNLASLYKKISAAQFVCPSWFSDGAKKLIARVLDPNPSTRITIAQLLKDQWFKKGYKPPVFDEKSQTTLDDVDAAFGDSEEKLVKEDMEGQPASMNAFELISLNRGLNLDNLFETDKKYKREKRFTSQCPPGEIITRIEAAAKPLGFDIQKKNYKMQMENLKPGRKGNLNVATEVFQVAPSLHVVELKKAKGDTLEFQKFYTKLSAQLKDVVWVCEGEAEERSSAT >cds.KYUSt_chr6.18509 pep primary_assembly:MPB_Lper_Kyuss_1697:6:116407575:116410345:-1 gene:KYUSg_chr6.18509 transcript:KYUSt_chr6.18509 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGASRDPGVGRQGRPKGVGEVVVFLPGLRVPRTVDFSQYLGDHLDKSTVERLSALRARVVEMAMQESATALKPRRKAASTRHGGSSTANLLQTLEEYLPVLLGLVKESSELRNKVQFVWSNQEDDAEAILWSIHANSLILPRSYSDGLTAMGREYVKGVDMQLGLAIDNPKATLAVKRRLACELVKYWQQIKDSIPELPLSDGWAKKHTLFVKWKYAEAKAAAYYFHGLILDEGDTEKSHEMAISSLQASEEFLKESERASEAFHSTPPTSRISIPFGTTKYLLDRIPKDTLSKLQNNQHLYTQGRTSNTGTSRIITPPPPLPDFPLALNPEEYELPQLDPLWKKEVNLLWVYFMGISTAWPRSGKPRWPIVGGEACGPSGGPVAVDHEG >cds.KYUSt_chr4.8993 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54058206:54063712:-1 gene:KYUSg_chr4.8993 transcript:KYUSt_chr4.8993 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLREVLRESDLTTTTTGALRRRLEEDFGVDLSDKKTFFREQVDLLLAEFADKAEREDADGVAPAQGEEDPEEVIPEAEGGEEGSGAEGAEEGEEEEEEEEEEEEDGGRKKKRRLADGKKKAGGFTKLCSISPALQEFVGASELARTEVVKKIWAYIKQHNLQDPSNRRKILCDEALKKIFNVDSIDMFQMNKALTKHIWPLNSEGPVSPKRSEPKEPKEKPQKRDRNEGNKKKGGSSGSGSTSGLLAPVQLSEDLARFIGTGESMLSRSDVVKRMWDYIKANNLQDPSDRRKIICDEKLKDLFQVDTFTGFTVSKLLNPHFTKAT >cds.KYUSt_chr7.9462 pep primary_assembly:MPB_Lper_Kyuss_1697:7:57716492:57716701:1 gene:KYUSg_chr7.9462 transcript:KYUSt_chr7.9462 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLHPQMQWISYVTQSGRLINVMMTKVNHTGKVYHMRAKRQMAQSLGQIAKFKRRYEQEAEENKDNK >cds.KYUSt_chr5.36908 pep primary_assembly:MPB_Lper_Kyuss_1697:5:233448305:233450182:-1 gene:KYUSg_chr5.36908 transcript:KYUSt_chr5.36908 gene_biotype:protein_coding transcript_biotype:protein_coding MALIAVGMKGGVEVLHMFGGTNAGMLCRRTSYVPLSTTARSSFTTCALVHRNNSSIRSRGSDLGFTGAICTIGSHYPTKGNWGCKAKPATLNLALAINIIYFLVLVSLILWKKIRAKKLMSQLALLLLSLCICLAVFAAKGNKMAICAVTLFDKAGKIYKVLGHLLSILGAPLVTKDGVLVEGLSWKDVERLIDDCNNGHKTK >cds.KYUSt_chr2.1887 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11310479:11312409:1 gene:KYUSg_chr2.1887 transcript:KYUSt_chr2.1887 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVHGGGNNDGANVASRRAGHDACTGDQVQEEWGKRPAHEEPAMDVAVQSAVLGVDDVQLNEGGHEELVATAAASFPGSSSVGWRSP >cds.KYUSt_chr5.22151 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144547035:144548599:1 gene:KYUSg_chr5.22151 transcript:KYUSt_chr5.22151 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMAYYLLTEPKKDQENEGMVPPAKQAYRRLLAEKFLGGRTRILAFRNKPPEPQGMLQQISAETQTSSQINPAKQHRKIPKFAERTLDAPGVVDDYYLNVLDWGSKNVVSIALENTLYLWNSSDSSTSELVTVDDDNGPITSVSWSCEGQHIAIGLNSSDIQLWDASSSRKLRTLQGVHESRVGSLAWNSNILTTGGMDGKIVNNDVRMRSHIVQTYRGHEAEVCGLRWSGSCQQLASGGNDNLVHIWDASMASSNPSLGHPRWLHRFNDHLSAVKALAWCPFQSNLLASGGGGNDRCIKFWNTHTGLCLNSVDTGAQVCALIWNKNEKELLSACGFIQKPLILWKYPSMVKLAELEGHTSRVLCLTQSPDGSTVASVAADETLRFWNVFGTSEALKPAAKTVHTGMFNSFSHIR >cds.KYUSt_contig_319.652 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:4154116:4157456:-1 gene:KYUSg_contig_319.652 transcript:KYUSt_contig_319.652 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSVPGHLPAPTPPPDPLPPASVSGSSSATHKASAPKPKSPITTAPSPSPPKPKPKPKPKPPSRNAAAIPVTAATVSRSSPAATSSAPEDYTPRMDMEFDSEQQAYEFYRHYAFKVGFNVRKRYTNKSRKTGEVTSCKLACSREGHKQHSSAATKPHNGAAIFLTESRTGCNAHLTIRRNNPGEKFQVNAFQPRHNHPLFAPPRGVPSPFQFHDAPVPPPPDFPNGDAGACAVGEGPLRTRRQWEMKYGEAAALLNHLQQQSLADPAFHHAVQLDAEDKVANVFWVDARMVIDYGHFGDVVAFDVVSRNSISLRHLASFVGCNNFGEPVVFGLALMYDETCESFQWLFQRFLHAMSRQAPRTFFSHQDAVIAKALSVVMPSTSHVICTSHIKHGATWNVNHLAKGQCDFINEFKACISEYDEEAEFLAAWDAMISKYDLRGNVWLQKLFEEKQKWARPYAKGIFTAGMKGTQLNERLNSDVRDHLKAEVDIVLFLKHLQKVINDRRYKELEVEYNSRLKLPYFKIRAPVLTQASEVYTSVIFQFLQEEFEEFQSAYIVNRDESGPCREYVVSIVEKEEQYTVYGNSTEQTVSCSCRKFETIGFLCSHALKILDAMDIKYIPDRYIMKRWTKYARCLNSPEVLGQEVQVEKSLEISNRYQYMCPKYVRLVSRASECEESCRVLDQFWGELSDKVEEILQKQTSIGTSVRQPDVQSLKIALSSITKGAESENVLDKSSSTAAKVLKRKDQKSKNHPRNCIEKGLRKKQKVHSEEPTVQYAFLDASAQSGNAMFQDLEAPPNMSQMGSQTPSYKAYMGTGLSSPMGTINYEEMHSGASPAFSLELDFVDCHTSQVSSDSQHNQFCGLFI >cds.KYUSt_chr4.47539 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294265221:294273780:-1 gene:KYUSg_chr4.47539 transcript:KYUSt_chr4.47539 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKTVEAAAAAPSNGAAAAPRSEGKERSSRRRSSAGDEERDDGERRSKRSRSEHRDRDRDRDLESRERHRSSRERRDRDEKEREKEKERRSRDRDREREKEKERERRSRSRSERRREDDDRERHRERDYRDRDVRRRKEDGAEPEADPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSNASSSLAASGGARKLYVGNLHSNITEEQLRQVFEPFGLVELVQLPVDPLTGLCKGFGFVQFARLEDAKSAQSLNGQLDIAGRVIKVSAVTDQGGMQASGATTGDLDDDEGGGLALNASSRALLMQKLDRSGVTTSLTSGMGATGVNTSVALAPASVLGAPTATPLLQTAISGLRLIPGAALPVITQSIGTAPPSECLLLKNMFDPAVETDPDFDLDIKDDVREECSKYGQVRHIFVDKNTAGFVYLRFDSIPAAMGAQKALQGRWFAGKMISATYMSTEQYEAKFPELTG >cds.KYUSt_chr6.7432 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45166956:45168099:1 gene:KYUSg_chr6.7432 transcript:KYUSt_chr6.7432 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAPSPCVLCRDIYAGAREASVPSSAAACSTVGGARSVLGVGVWRGGGRGGRRGGGGGVRAAAAYLWDASVPVEMDEIDSMDKLDAALASSSESNHPLIIDWMASWCRKCIYLKPKLEKIAGEYPGLLWKDGEWKEEVIGGDKAWLVMDHVREMIQKYK >cds.KYUSt_chr6.15844 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99715451:99720710:-1 gene:KYUSg_chr6.15844 transcript:KYUSt_chr6.15844 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPGWRHDDAQALGARSRPRPGFLLDQGNSSVDGRLGLLEDAVVVLFPYHPGYEQGCRCQTLAHRLWSSLTGIHPPVQQGGSIVAWRVAWQAQPGKGLVPDLSGERALDKQVVYRFWGLIEEGACSGMLQSLSSKPIGSPASVQVGKPMENFDTRSTSGDPSILPKQSLSAVAQRHRGVENTSTKAPDPIRILMVQRIGHGGASRSYHLAVDMPLSLLEEITDNFSQERVLGNSGYAVAYKGIYENGQVIVVKKFSSPRISDTRLLCFEYLPNGAVDQYISAISPGLDWHARYRIIKGTCEGLRYLHMERGLVHKDVNEAWRKRLQKAVKGMLLEGYCQQVKKCLEIASLCVQHDPRKRPFMDNIVNMLNETETSIQELALVRSELLDVQPLELCFLPFMPSLEPKKNKVMSSSSSCSLQLNNKGDDRVAFMLVANSPKRYLTKKPLCGVVPPRCAYTLTLTIMASNQKQPPSSSDSSDFFTLYSVMLGGYDLLDVDKHHVIAEYDKFFKSKQTASGDELQEVMLKVICDQPAAESAGASSPEDYDDESRGKPSCLELPNNAKFITREKWLIAGDENGCIHVYDYSKQEGVESFDAHDSNITTLDVHRTHPFVLSSSSDDDHMIKLWDWNKGWECTQKFQGHTDRVTQLKFNPKDASSFASASLDGTVKVWSICSDEPNNIMTLDGQVESLLCVDYFIRLDRPHLIAGSKHKTAQIWDLGIMEGCVHELEGSADRITTVNLHPELPILITGSLDGTVRIWDSTTYK >cds.KYUSt_chr4.23789 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149887961:149896971:-1 gene:KYUSg_chr4.23789 transcript:KYUSt_chr4.23789 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESSLRRRFLHVYVRRSRRYVAEQLQSLPEQSTPMPTPLRRSSRVKELEQNKMMMKRKASVTLAKGLKKRIQAKIVGSKQSGTSMLLARRRLDFEPATLHDDPVSASASAPSSPHTFQRGSCIHTYHRRGFKLMPHKATPKSDDRITKQGAAAKKMSTTVTKLNTRRMMDNQDSASASAPSTHTTPQKAERTPRRLQKISLRLKVEKDKAATPARVQNKPRGGMERKTRQGTELATVPYQKSPTGRSPSARLRVDLDDDSLRVYHALLQWEKGYSESFEGLNIGSGPEWHKTRQDFQVLIYEFISAVRCLFGPGPFSPCEASVVDSVVGTFLTQNAADHLSSDAFMNLAAKYPARQSSSSENCSNEASDATDFDSSAFAESVYSDEETNYGDETLKRLIATLRVKDTSHWDELRKQAYIQGYRSGTGVSDLVDWEAVLHASPAEVARYIAVRGMNYVIACRIQAFLLRIKTDHGNFDLDWLRHVPRESAKNYLISIDGIGYKSADCIRLLSLEHKAFPVDVNICRICIRLGWVKLQGLPDSVAFHLIELYEMHCKMITFGKVVCTKVDPNCSACPFSTRCKYYNSSTNRALLPPTKKHADEPGEDKTSMSNSSNCSTSNSLQVYQLQMDLGRTTENQPFRDCVPIIEEPLSPDYEHVEELDEQEQALQDDLPDIEDYYRPQESQYDAEIDLRSHNHMMNDDSWIGNHGKDIVQSNPQYILGQQKEVKNIGHLRTEHYAYVLPDDHIILKEFEKKHKEDPHHYLLVTSSTDEHTVKGTILELHHNHAAMLAGYVCLRAIDRGSRYPRRLCPSLHATNRKKDSVGSYRKKTTKPSQGKGKKKEEAGRSEKKGRGKRARQVATVPVPDTLGSDDWPSAGTREHKRNRKYLRHDWV >cds.KYUSt_chr2.2332 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14065675:14066698:1 gene:KYUSg_chr2.2332 transcript:KYUSt_chr2.2332 gene_biotype:protein_coding transcript_biotype:protein_coding LPEDVPCHPKLADFANFMKKKGRGMSIFVSIIDGDYHESAEDAKIACRQLSAYIDYKRCEGVAEIIVAPSVSHGFRSIVQTMGLGNLKPNIVVMRYPEIWRHENLTQIPSTFVSIINDCITANKAVVTVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKDSFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQAEVIVVTMRSFEAHADGSRKDDPQEAYTSAQQRIGVYLSEMKEAAQREGQPLMEGGKQVVVNEQKVDKFLNTMLKLNATILKHSRMAAVVLVSLPAPPLNQPAYCYMEYMDLLVENVPRMLIVRGYRRDVVTLFT >cds.KYUSt_chr4.23256 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146476224:146478870:-1 gene:KYUSg_chr4.23256 transcript:KYUSt_chr4.23256 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRAPPVPMEPAVAAMLRLQGHDPDAVAGLIADVLTHVHCALPDPPTSVDARLFALLPYDAVDRVSSLPDVLLGNIVSRLPIKDAARTAALSRRWTGVWRSAPLVLVDSHILPAGAAIGRADTRRVTSAVSCVLGAHPGPFRCVHLTSSYMEEFHGLLTRWLQTLAVKGIQELVLVNRPWPLDLVLPATFLSMTTLTRLYLGLWKFPGTAGVPRATCFPNLRELGFSTVIMESRDLDFMLDRSPVLETLCVGGNMFKLPLRLVSQSLRCIKITGCSFEEISVVDAPRLERLICSACLPGGAVCTRVKIGHAPKLDLLGYLDARRHVLEVGKTVIKAGVKASPSTMVSSVRILALEVCFGVRNDVKMILTVLRCFPNIETLHIMSGETDQPLGKLNLKLWNEFGPIECICSRIKLLGFHDFRGDRTLMSYLVLHFGNLDHERQANLDGDGNLSYMGMAKNSCQCSGDHGTCERFP >cds.KYUSt_chr7.36697 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229339765:229346400:1 gene:KYUSg_chr7.36697 transcript:KYUSt_chr7.36697 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLRLLGRMAGGGGRRGRLPPPLAALAHLSASPHRIPDQQLQSPAPPLPCPPCFLPFAVPTRSFSWYSRSPSVPAADAVAAEAPVGEGAGVETKGIYLDDASTIDYGEVLPGAAGGAADAAVGVAVGSDGSGVSGFCMGTVVDAIDGFHSLTGLPWWITISVSTVAMRLVILPALVLQLHKTAKIGQLFRKCPVFPILVAGLHYLNIQYYKIYLDILSIPLFLIAYVVPQQLSLRNDVIRKMLGLPDIGAHSGNASPKSVLEGQKAMQQWPLGGTPMQSKLGSSDNETPKFMFENSTIMEENVSESSSPEELLQQALQYLQTGCQDQAIPLIKTAIEKNPDLFDCLIGMGQMLFQNKLFAESAVCYDHAIPMIKEQDPLLILAYFGAGLSRQQQGDNETAIKLLQRIAELKEPEKNKQCYFQGFIVLASILLNEGRNSEAAKYLRLAMAYDPTAERLLKECEDSMEHQPSQQNIEPPDQKRP >cds.KYUSt_chr7.37782 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235576803:235582914:1 gene:KYUSg_chr7.37782 transcript:KYUSt_chr7.37782 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGALPPDAEMAEAGAGAAAAAGQGAPPMDNIQATLSHGGRFIQYNIFGNVFEVTVKYKPPILPIGKGAYGIVCSALNSDTGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPAQRSSFNDVYIAYELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHASQSLSEKFPHVHPSAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCTAPFSFDFEQHALSEEQMKDLIQQEALAFNPDYQ >cds.KYUSt_chr7.20998 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130111635:130111946:-1 gene:KYUSg_chr7.20998 transcript:KYUSt_chr7.20998 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKEAAANVGASARAGMEKTRAAVQGQVDKAAAYTTGHKEAAEINKEAAKVKMQQRVHAAEEEKQRAMRENAAAKEHASGAGKEEEDHHDGHRPESGHGGE >cds.KYUSt_contig_915.75 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:478402:486371:-1 gene:KYUSg_contig_915.75 transcript:KYUSt_contig_915.75 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLDDKELELERERERKQKKEQKAREKEEKKLKAKQKEAARLQAQAASDGPKKSEKKQKKKAAEDENPEDFVDPETPSGEKKSLAPQMAKQYSPSAVEKSWYAWWESAGYFGADPASSKPPFVIVLPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMREKELTRHDLGRDKFISEVLKWKDQYGGTILGQLRRLGASLDWSRECFTMDEQRSKAVTEAFVRLHKDGLIYRDYRLVNWDCTLRTAISDIEVDHLELKDETMLKVPGYATPVQFGVLISFAYPLEQGLGEIIVATTRIETMLGDTAIAVHPEDKRYKHLHGKHAIHPFNGRKLKIICDAVLVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGNINSNGGVQFEGMPRFAARVAVIAALKEKGLYKETKKNEMSLGHCSRSNDIVEPMLKPQWFVNCHTMAKSGLDAVRSGKIEIIPQQYEQDWYRWLENIRDWCVSRQLWWGHRVPAWYVTLEDDQFNDLGSDNDRWIVARNECDAILEAQKKYPGKKFQLNQDPDVLDTWFSSGLFPLTVLGWPDDTADLRAFYPGSVLETGLDILFFWVARMVMMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVVDPLEVINGATLEELLKRLEEGNLDPNELIIAREGKKKDFPDGIAECGTDALRFALISYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDHYTPPATIAVSPMPPICKWILSVLNKAIGKTVTSLEAYKFADATSAIYSWWQYQLCDVFIEAVKPYFFNDSQEFHSARAACRDALWVCLDTGLRLLHPFMPYVTEELWQRLPQPKESRRKNSIMITEYPSVVTEWADDKLESEIDVVLDTVNKLRSLKPPTDTNERRPAFALCRGQDIAATVQCYQSLAVSLSSVSSLKILTESDETPPDCSTAVVNKDLTVYLQLQGALNAEVELEKLRKKRDEIQKLQHALSQKMDASGYREKAPPSVQEEDMRKLTAFLEQLQVISEAEKKLDL >cds.KYUSt_chr1.39980 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244803225:244805865:1 gene:KYUSg_chr1.39980 transcript:KYUSt_chr1.39980 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDHNHHHGDHSHDGHDGHDGHSHDGHDVDHHHHSHGDAAAAAGKGAGSWVGEDGRVWHSHDGLAPHSHEPIYSAGDFTKRAPPLDSRRFDDRAFTVGIGGPVGTGKTALMLALCTCLRDKYSLAAVTNDIFTKEDGEFLVKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAAAVGADLSVMERDALRMREGGPFVFAQVKHGVGVEEIVDHVLRAWEIATGNRRR >cds.KYUSt_chr7.15039 pep primary_assembly:MPB_Lper_Kyuss_1697:7:93193435:93199365:1 gene:KYUSg_chr7.15039 transcript:KYUSt_chr7.15039 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDALDEMKRQLKLGLPISFTNVLNTSLLFVSLMFVGHLSDSEHVFAGTALATSFSFVTGFSIMGFWIGFIIALCVQDLIFGMAFLCIDWENLAAKAKERVHISISQKENVYNREGETDIGQLQGIDKLNPCQLAQSVGIGGDKELISMASSTSTTSSVASNAIDGGKQVKPDLVDFVPHPPARLHAYADLEESTEMTFGNFHFLIRKEGSHRFAAPVFSGPSAADSDFSGSSTSIIESGDKEVSS >cds.KYUSt_chr7.16137 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100004611:100006628:1 gene:KYUSg_chr7.16137 transcript:KYUSt_chr7.16137 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVPCMVSNQEEHAESMGKNIQLDTKRLSIGLSEVGTDEDKIKRMWMEEKLDTHEDGEDSEVEIRRSKKIRKFITNGDDEDDDNAQNLICAEKGTAGFTEAMDSSIQLKDQSIRLVEDGGDISGNSRKRTEQLPEENPKTHEDNDSEEPDSCRPKKRRRKLILIDYEDDEDGDQNRAGVEIGTARLTKETVVVKECSTEVSSPFLSASLMLQQYGSLPIDEHVWSGTFNISSNKNISLAAHLSTKCCEEAWNLAESLQQEIVVTKLPRLEAWPKSFEASRPTDDNIALYFLPCKMRQDADLDQLVKELVENDMVLQAVIAEAEMLIFPSILLPEQHKTFQGKPYLWAVFKRRKSKVATVEAQHGRRHCAQKEIGEQLASHCSVSKEVHHLTGLNMDGGLETPEESEMQGMEQEQNPSLARANTQSPATRDPTMDATGSANHGHTHSRLAVSTGAMFGFVVQRGPRIEQFIQELQREGAVVVAMRGEMIGSGLGPAAASGREADKMPQPSSS >cds.KYUSt_chr6.12005 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74732309:74747223:1 gene:KYUSg_chr6.12005 transcript:KYUSt_chr6.12005 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAYWQAVSISMYTHREHDTQGRTTYAVAKGFELSRVWEASNGGWTARFHVGAGIPGVAPHYTPPPSTFNVLLGSYWFHVGAGIPGVASHYTPPPSTFNVLLGSYCYSSSQSGGLPNVAGIQDLSLGYSQEEIERLRVQNVGEGEVKIRLYEGRVVQGPLKGIETVFKVYPGAIAGASEANLMALNELRTHAFLQKDKRDICENIQFLLGAFETATGEQWLAFRDDGRYSAADYAKITSEILLKERPNYWNPYDRAYKMEFRRYFVLRLLNGAMCGLAHMHKHDRLHQSIGPSSVVLNTVAEKNGYYLLPQLRDLAFSVDVGSSSLGVGTLSDSLWRRASAAGASTPFEKRAFGVADDIYGAGLLVAYMSFIPFCKAGIMDGISLQRLLENTFRLDIYAAREYCLEDDQLLEAVLFLDLGDRAGWELLQAMLNPDYRKRPTAEAVLNHRGDSQVVMTASRAIRFLWLQPHLNGDVGKLVDVVWSSRISYGCGDLRIVKELYRQFILLLRLRDGCGIFDPFGNFSSVTNNVMSTHG >cds.KYUSt_chr4.39916 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246471064:246477747:-1 gene:KYUSg_chr4.39916 transcript:KYUSt_chr4.39916 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCTGGDVVCAGCRDDVARVEMLLLLSQPRFALDAGGTVLRTQPRRRLPRYYLYGTIMGANAPMSSSEYPDVQSFYYYFCERLPVARSLQSLTDTRAPKNIKELIEGVEEEYNDYEVNKLSRSFFTLKTIMIEIMRAEGDVHYDIPHMYKDLLEAEGRLEEKLQEKQVPSKQPEKQATEREEKHGKQSRNKRSKRQEKHMKQSRNKRRKRQNKHLKQARNKRRKRQEKHMKQSRKKRRKRQEKHVKQSRNKRSKRQEKHMKQSRNKRRKRQKKHLKQARNKRRKRQEKHVKQSRNKRRKRQKKHLKQANNKRRKRQKKHLKQARNKRRKRQKKHVMQARNKIRKRQDKKEARKESDPESIEMVNLEGG >cds.KYUSt_chr2.2763 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16489385:16494423:1 gene:KYUSg_chr2.2763 transcript:KYUSt_chr2.2763 gene_biotype:protein_coding transcript_biotype:protein_coding MARCAAASSCRHCSSLALAASSLSISNDSTRARCSAAFSSGVGASGSSKDQDISESESSAAAAAASLRCAGSASNAAWPAGSSSRFSRRECRGRGVPDRVEELGAVFGVELVVGARGRGRNPRPSQAHPPLPLPLPATMARRQTPFTNVDPATAAEARRQGADPYVLDQLVERMLLNIYANLPAFPVYGCRLPPAIAASAGVDRISALPSELLCDIVSRLPVKDAARTAVLSSRWRPIWHSTPLILNDAHLLPDGHRWPFTPANSPAITAAVSRILEAHPGPVQCARLVCTNMSLYRPQLARWLQLLAAKGVEDLALVNRPWPRDLPLPAAVFSIATLTRLYLGQWRLPDTAVLRGASFPHLRELGICCVFMKHGDIESLVARSPVLEILNILGCMEGLRLRLVSQSLRCVQFCLTSMEHVDVVKAPLLQRLVMYGSSPKARGLCTTVRIADAPKLHAFGYWQPEDQVLQIRDTIIVPGIKTSASTMLTSVKVLSLDVRFGVHSDVMKVPTFLRCFPNAERLHIMSKRCDQPTDNLAPNFWDESGPIINVILRINTMSLREFRGEPGEMAFLEYFFRSARVLSFVVVGMANPMYAPFSTDEAYSKVKKCYKIMASKSCNKLVLGSNGPAGGDLWKFKDGADFSFHDPFSIAEVGRVS >cds.KYUSt_chr7.35416 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221234695:221248992:1 gene:KYUSg_chr7.35416 transcript:KYUSt_chr7.35416 gene_biotype:protein_coding transcript_biotype:protein_coding FIKFLGPYYMLIITEQRKIGAMFGHPVYQVTKTAMIEVSNSKTRPTLFNSKDENRYKKLLQTIDLRKDFFFSHSYHIMRSFQKNFSDPQEGWDLYDTMFVWNEYLTRGFRNVLKSTRWTVALVYGFFKQDKVTVSGKDIMLTLIARRSRHYAGTRYLKRGVNEEGRVANDVETEQIVYEDTLGPRQISSVVQNRGSIPLFWSQETSKLNIKPDIILHEKDNNYEATRLHFENLRRRYGNPIIILNLIKTRERRPRESTLRQEFDKAIKIINNGLPGENHLKFLHWDLSKSTQSKTIDALQVLMKVASEALNLTEFFYCQVSSDQTPGSSLNLHAPLNNGCGLGACDDNHDGDNVDCINNNDDISQEDTRVSSDTSTNEIPEHVVSNNGPMPVKPPKLQKGVLRTNCIDCLDRTNVAQYAYGLASLGHQLHALGAVESPEHDLDSPLAHHLMHFYERMGDTLALQYGGSAAHNKIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGHCQPHQGKSAPWEPESVAGENVLDDNTRSRAGSTPDVNVSADIGASGHTPEIEAHGLSGSFMQWVDQGEAFWWKGFSPNGALDGVDLSVPSEERTRAVRQEISGMVTHSLHRHSENLVNTLERVALRVIQEIMRHQYSPTEVPASPAFVVYKIGGDPSDYQFLPEAPKEIPHGYTCTYVPDCGNWALTNQATTSGTSGKTGGTSAIDLEKQTWLTKYATPTNLQSSAPAVGSELEKQTWLAKRAIGYSKPYPDDYEMIPLPPKYRLPDFSKFSGSDGSSSIEHVGRYLAQLGPASVSDQLRVRLFSQSLTGSAFGWYTSLPPDSIRTWKQLEEQFHIQYHS >cds.KYUSt_chr5.5894 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36679735:36681096:-1 gene:KYUSg_chr5.5894 transcript:KYUSt_chr5.5894 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVLLLSYPSQGHINPLLQFAKRLASHDGVRCTLATTRSVLASTNPSAGSVHIAGFSDGGGLDEAGDISAYLPRLESFGSVDVDDLLRSESAEGRPVRVVVYDPLLPWAARVARRHGVPCAAFFTQACSVNLAYAHAWTGLATLPVGEDTADLPGLPAGLKPCDFPTYLTEERSNFPVYAELALKQLQELTSADHVLVNSLYELQPEEAEYMALAWGAVTVGPTVPSAYLDKRVPDDASYGLELHVPMAAACRAWLDGRPERSVVYVSFGSLVTLTVAQTAQLAEGLCGGAMPSFLWVVRASETPKLPEGFAETAKAQGRGLVVEWSPQLEVLAHPAIGCFLTHCGWNSTTEALAAGVPMVAMPQWSDQTMNAKYIEDVWRVGVRVRVRADEGDELIITKEELERCIKEVMEGGGMYAQNAASWSTKAKSAMSQGGSSDRNINEFLAKFGHN >cds.KYUSt_contig_2655.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000439.1:130677:135211:1 gene:KYUSg_contig_2655.26 transcript:KYUSt_contig_2655.26 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPSLPPPLPAAGRLLVLYASQTGNAMDAAERVGREAERGGCPAVDVLSMDSFDPSCLPGEKFVVFVVSTTGQGDPPDSMKGFWRYLLKKELGTRWLEGVRYAVFGLGDSGYQKYNFAAKKIDKRLSQLGADRIIKLGLGNDQHFSGYEGALDPWLLSLWRSLNQENPSLLPRISDIINPSLINLGDSKIEVVYYSSHDAPQDSPVSDSMKLIGRARTMSPALKFHNDGEPQYMLKMVTNQRLTKEDSEKDVRHFELENPSSAISYQVGDALEILPSQDPSAVNAFIERCNLDPDCYIMIRAKGGDEVSKCSLVNGMMDSMKLKTFVALTMDVTSASPRRYFFEVMSYFATAEHEKERLQYFASPEGREDLYKYNQKESRTVIEVLEDFPSVHMPFEWLVQLTPPLKKRAFSISSSPLAHPNQIHLTVSVVSWLTPFKRARHGLCSTWLAGLSPNEGSSTKMPADVTAALEEVVRQKGGEAASGWLGKLERAGKFNIETWS >cds.KYUSt_chr6.24200 pep primary_assembly:MPB_Lper_Kyuss_1697:6:152809376:152814773:-1 gene:KYUSg_chr6.24200 transcript:KYUSt_chr6.24200 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHGVPNDDPSKIDSKGSMLSTCKITMDGSGGPLVDLDGNIVGMNDYHDQEGTSYLQGSKIDECLRDVRIRRDEIQRHCWQNFTSSFKHHWEGSSNQNGYSGGSESNNQKQFLSSKPEPQELEFTEDVPTPELIGDEHKRILVPWPSDGFTKMVNALLNKDGYPLPAYADGGMRLEGHFEEEFGRDILSEPARKIALKMSRSVVALASFSRDFKKRHFACTGVCLDFNGSTSTTRVLTSASLVRTSGDEDKIFDNLEIRVCLPSEECIEGKLEKYDFYYNFAVISFPFRCNRPAMLVDAPQTEAGIGGPLVDFKGNVVGMNFYDTEGTPYLPSIQLSLDVKPSFGLPSTAGLLLLVLQGSLTVVGRCRSHIGITRVNIVMSHPWMYGNRCAPAFREGVNSFPLVAEANKSKQGFMCCPCLKCKNEKDYSCSRDIKSHLLRFGFMSSYNVWTKHGEEGVMMEDGDEEEDNDEKYYRSMFSECFDTAMDDNEEEGGEEQASMIPLMMIFVGPFRCKKRP >cds.KYUSt_chr4.38414 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236945030:236948902:1 gene:KYUSg_chr4.38414 transcript:KYUSt_chr4.38414 gene_biotype:protein_coding transcript_biotype:protein_coding MALVALAGLRLAASPVLKKLLANASTYLGVNMARELHELETTIMPQFKLVIEAADRGNHRLILDKWLQELKEAFYMAEDLLEEHEYNLLKRKANGKDLLPANASSISSTLMKPLRAASSRLSNLSSENRKLLCQLNELKATLAKAKDFRELLCLPAGSNNAECPTLPSAAAVPEATSLPPLKVIGRDMDRDHVIDRLMKTTANGSSTAMYSGLAIVGVGGMGKSTLAQYVYNDKRVKEYFDVKMWVSISRKLDVRRHTREIIESASQGECPRIDNLDTLQCKLTDILQESGKFLLVLDDVWFEPGSEREWDQLLAPLVSQQIGSKILVTSRRNTFPAALCCEEVCPLENMEDTQFLALFKHHAFSGPEIKDRQLREMLEDLAQKISKRLGKSPLAAKVVGSQLKGKTYTTAWEDALTIKIDKLSEPIRALLWSYEKLDPCVQRCFLYCSLFPKGHRYDVRELVHLWTAEGLVDSCNQSKSAENVGWDCISEMISVSFVQHLYGCPVRFGMHDLLHDLAESLSKEEYFRLEDDRVTEIPSTVRHLSVCVDSMMQHKQSICKLLHLRTIICIYPIMDDVGDIFNQILQNLKKLRVLGLSSYSSSKLSESVGKLKHLRYLNIIRTFISELPRSLCTLYHLQLLLLNEKVNRLPEKIYNLRKLRHLERHSDYIDTSCEKVLPQIPNIGKLTSLQKFEKFSVQKKKGYELQQLRDMNELRGTLSVTNLENVTGKDEALESKLHQKSHLRRLELVWSCKNNLNAEDSLHLEILEGLMPPPRLESLAIDGYRSSIYPGWLFYGSYFENLKYLRFVNCSALQSLPNNIELFVNCCSLTLKNVPNLKTLPCLPLGLKMLTVDKCPLLIFISYDELEDHDQREKIMTTDLLASQLGLIWEVDSGSDISTVLSSQYSFLKELMILMHADVSHVPNLENSLEREKGKVLVKEDIIKAWICCHEERMRLVYGMSIALPLVLPSGLSDLSLSSCSITDGALAICLDSLPSLRSLCLVEIMTLTTLPSEEVLQHLTELWNLSIQSCWCLRSLGGLCAATSLSSIGLTSCPSLELVRGAECLPLSLEDLSIENCVLAADFLCADWPHMDSIRIRKCRSTACLFVGSLTSVKSFSLHHLPDLCTLEGLSSLQLRHLYLVDVPKLTPDCISQFSVECSLCVSSPVILNSMLSAEGLTVPEYLCLEGCKEPFVSFEESANFTSVKILRFYDCQMISLPTNLMCFSSLKKLKIHSCLNISSLPDLPSSLEHICVRNCCELLKESCRAPDGESWPKIAHIRWKEFSPSDSY >cds.KYUSt_chr7.35810 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223691712:223693116:-1 gene:KYUSg_chr7.35810 transcript:KYUSt_chr7.35810 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKVWGSADTEQQELNDLESDLKVFFVKHKNVRKNTWKLHEDLRVHVLEQKTEIEMLQKRDAENQKSIATLETRVKNYEEQLAKRPSVDELAAELEVLKAEHDSLQEFLKESSEQETKKRKELEERHAQAIADLNDKLKKSNAHIKTLVTKAKAYETEAEDIDKLIFPALGFEWTKEAPLSRTEVYEDARNSINDFFIACRGIAKALNLKRATTAVIDRMTKLMQMVPDLIKDWQASSAHGVASLTLATCKAHFPTMNFADIARGAPKGTNVKLSLAETQGYDRPLAERVNLLFSYNKYDLPEGFTDAEDEDEDEHLEEGYGSSADQSDDDSGEDSGDASAYLASEDEDHVSE >cds.KYUSt_chr6.9730 pep primary_assembly:MPB_Lper_Kyuss_1697:6:59972190:59977295:-1 gene:KYUSg_chr6.9730 transcript:KYUSt_chr6.9730 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQELQEKSSFDHESLKEPRDPKNSKGGWITFPFLAVAILGLGLAAGGAMSNMVVYLVTEYNVPSVDAAQISTIISGSISLAPVLGAVVADAFFGSYPIVAVAMVMSVLSLVMFTLTASLRVLRPAACQAGAGPCEQASAGQMAALYAGVFLLCLSSAGARFNQATMGANQFDAAADRDVFFNWYFIFLYASSVLGATLIVYIQDTVSWALGFGISCAASVVGLAALLLGARYYRQPPAQGSPFTGIARVVVAAVRKRKVSMEASEELKYYNGPSRCDTDSKTAGHGVQAPGDSFSFLNRAALIADGDLDAADCSVVRPWRICTVEQVEDFKTVVRILPLWSAAIVLSISIGVQINFTVLQALVMDRAVGRFNVPAGSMIVASLLSVVIFLGLLDRILLPLWKRLTGHTPTPLQLVGVGHALTVLSMAASAIVERRRTATVRAHGEEGNPMWVSPLSAMWLILPFAVSGAGEALHFPAQVTLYYQEFPPSLKNTATGMMAMIVALGFYLSTALVNIVQRSTTWLPDNMNASKLENLYWLLTLLVAVNFGYYLTCAKLYRYQNIGK >cds.KYUSt_chr7.28557 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178169735:178171717:1 gene:KYUSg_chr7.28557 transcript:KYUSt_chr7.28557 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALDSSRFLPLLLLAVLLLAAPSNAFYLPGSYMHTYSEGEDIWAKVNSLTSIETEMPFSYYSLPYCRPPGGIKKSAENLGELLMGDQIDNSPYRFSVNVNESLFLCTTKGLNENDAKLLKQRARDLYQVNMMLDNLPVMRFAEQNGITVQWTGFPVGYTPAGSADDYIINHLKFKVLVHEYEGTNVEIIGTGEEGSAVISETDKKGMSGYQIVGFEVVPCSVKRDTEAFSKLNMHDKIEPVSCPVELRKSQAIRQQERITFTYDVEFVKSDIRWPSRWDAYLKMEAGANVHWFSIMNSLMVILFLAGIVFIIFLRTVRRDLTTYEELDKEAQAQMNEELSGWKLVVGDVFREPSCPKLLCIMIGDGVQILGMAIVTIVFSTLGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRLWRTIKGTSEGWRSLSWLTACFFPGIMFTVLTVLNFVLWGSKSTGALPISLFFTLLALWFCISVPLTLVGGFLGTRAEQIEFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLMLVVVCAEVSVVLTYMNLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLRSLSGPVSAMLYIGYSFLMAFAIMLATGTIGFLTSISFVHYLFASVKID >cds.KYUSt_chr3.29307 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183331489:183332787:-1 gene:KYUSg_chr3.29307 transcript:KYUSt_chr3.29307 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKHVLALLLLLFSATNACHQRAKTLHIPVVHRDAVFPPPPYAKRGSLLTRRFAAADTALMTSGLHSPVMSGLPFESGEYFASIGVGTPSTTALLVIDTGSDLVWLQCKPCRHCYAQMSPLYDPRRSSTYSQIPCTSPQCPLLQGCDNSTGGCGYTMVYGDGSSSNGNLATDRLVFSNDTSVYNITIGCGHDNEGLFDSSAGLLGVARGAASFASQVVPSYGSYFAYCLGDRTSNVRSNSSYLVFGRTPELPSTAFTPLLSNPRRRNLYYVNLAGFSVGGERVTGFSNSSLSLDPASGRGGIVVDSGTSISRFPRDAYGALRDAFDARAAAGGMRRLSGHISVFDACYDMRGVAMAKVPQIVLHFAGGADMALPPENYFIPEDTGNGKYYCLALEATDDGLSVLGNVQQQGFRVVFDVEKERVGFAPTGC >cds.KYUSt_chr5.962 pep primary_assembly:MPB_Lper_Kyuss_1697:5:6632056:6633344:-1 gene:KYUSg_chr5.962 transcript:KYUSt_chr5.962 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKNLGISDACLAALSSQDNDFSASPKMPDSKPESESVDDGQEIMNEAEEPTPPQEETDGQDDDAFQGMIRASKEQYEQLPPYMRTLASWEELSDAVSKLNSYFGGGKGQGSVALNQDDVGSIGLGRKGRSYLLILLRLNQLAMETVDGSIYYTLRKTDS >cds.KYUSt_chr4.10683 pep primary_assembly:MPB_Lper_Kyuss_1697:4:64875516:64881184:-1 gene:KYUSg_chr4.10683 transcript:KYUSt_chr4.10683 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSFSKRRSEASRRQQRRPEDCPGLHAAFTASLNDKDAWRGNLDAAIAMSIRDAGMPLVDLTNDGKAGPSGAVKDEPDERGKQDVVVDDMYNFHQCSISIPFHQKQQNRGTGRTDNSGKLIMCRQGRKESTADQVQRIEETLRMLEEQINVQRRDEDPAFNATGPPSERKSSVASTELPADDDAVMLAAPRYPVDDITESENCELHGKLRNISIKVAVGFALPSGPRTTSPVPDGYAVVRVDEVMKGFEHVELDFPAGEGDLIELGEAMKGTVLWPKEDIVIPNWTPRPPTSQSSNPAQSPPPQPSPARQQTPPSPARQQTPLPQPRLLKRKSTASPAASTTAAKESSAAKKAPAKLRYELTDEELAREVDADVKRQLALKRAPPKQYIAPRTVKHFVDMLERPRPEHLPSDYDCSNIQSHNANVQQSRSSSASGKTIPMEGIQSSGSRSGGGRDVSSWHHLMRKPHLESMSWKRSRRNMSSDGHALTGKIIDHGSANANVSSAEYDEMSHEDTSKMTPSVRTQKLDQLAARILRLETKADQLQLYRKMGALLEDEDTSVDQPRHEKGRSSVRHTIKPIVAESRNGEEDEYDFDDAPRRKSEGAQEEKRSTHGHILTQKDRCTHGHILTQKDRCSYCFENPSRPKHLVVSIGNFTYLMLPQFEPVVPGHCVILPLQHESATRAIDSNAWEEIRNFKKCLLKMFTQQRKDVIFMETAISSAKQRRHCMIECIPVPHELSDKAPMYFKKAIDEAEEEWSQHEPKNLIPTSGNLQQVIPENFAYFHVEFGLDRGFVHVIDDESRFSAGFGLKVIRGVLSLPAEDMHRRRRHASADDQKRAAVSFMKGWEPFDWTKQL >cds.KYUSt_chr3.34560 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216800297:216803109:1 gene:KYUSg_chr3.34560 transcript:KYUSt_chr3.34560 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAILWLSTGYFGGIGAPFAPYFWPYLGQLPKKKEQQRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLIVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFSKYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIRRTEGVSSTDIVGRILLTFKHKQGSNENVGVESCDQKKPQLSNFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRIARQLGDFLLVGVHDDQAIRERRGCCPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVAEGTSVGEDDPYALPKSMGIFQIVTSPKTITSVSVATRIIDNHEAYKKRNLKKKASEDKYYTQKKFVFGD >cds.KYUSt_chr4.39291 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242477489:242481823:1 gene:KYUSg_chr4.39291 transcript:KYUSt_chr4.39291 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKQKLDQGLPHSPEPHNGSAPYSSTQSVMDIDVGPVNGASPAAANGAAAPVSAGEASLGRHLARRLVQAGVGDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGVPDFSQELRCFQTVTCHQAVVTNLDDAHEQIDTAVATALRESKPVYLSISCNLPGLTHPTFSRDPVPFFLTPRMSNKMGLEAAVEATVQFLNKAVKPVLVGGPKLRVAKAQKAFIDLVDASGYAYATMPSAKGLVPETHPHFLGTYWGAVSTAFCAEIVESADAYIFAGPIFNDYSSVGYSFLLKKDKAIIVQPDRVIVGNGPAFGCVMMKEYLSELAKRVKKNTTAYENYKRIFVPEGQLMQCEENEPLRVNVLFKHIQKMLTGDNAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGANDKRVIACIGDGSFQVTAQDVSTMLRCGQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTAKVKCEEELTAAIETALGEKKDSLCFIEVIAHKDDTSKELLEWGSRVSAANSRLPNPQ >cds.KYUSt_chr1.31298 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189926652:189928765:1 gene:KYUSg_chr1.31298 transcript:KYUSt_chr1.31298 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGNPSSPPPSPPDRACAAPDPAELGSGRDSCDYGSRSGLPCVGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >cds.KYUSt_chr2.7543 pep primary_assembly:MPB_Lper_Kyuss_1697:2:47279097:47280107:-1 gene:KYUSg_chr2.7543 transcript:KYUSt_chr2.7543 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAQSQKDASKEGYGASGVIVHELSLGCARTTRHQDRLTSPAQQEPWQGVDTGHRRRGVVKLQGAPTPDGRAAASRGAATQRPVAGAQRWPRTTASHTPGAGINGRTPKAETRKAARRRSSRHDDAGSRGGERRDGLTTPGSSRGPAIDPDGASRPGATAGRVGPRTHGIKVEERAVGAFCVRAWGGGGVAATADLDGGGVDWRKGQGEEARSTQQLAPGGEQPLRAREDPGGRRHLARRPQALCLERQGARFEVFLGVGGLDFGRRWPPAATVRRWAASSDGSGGGGRGVGWGVVAAVWVIILCRISIIRPTLNLVNFTCKPDYLTYLSKPVL >cds.KYUSt_chr4.39995 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246970243:246970983:-1 gene:KYUSg_chr4.39995 transcript:KYUSt_chr4.39995 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLDDTFKKVTAPAGVAVVLHKHWDFSRPFQQPHSPQKQQGAKHTAVTHEKPGSSTAREAASASNFIPASPRTLPALLPQTRAGKCATGIGEPAHADAARKSRDALAGPTPAAAHAPASAAPPHQLHSIPPAPNEKPRSGPGWAQIGPCSRRRESSRAAAQGASPPRRARPSAAVKPVWPLRRAPPPSPTLHSPRDARPRAKTSSWGALRTKSTPSVFDGRAPPPPPAPAAAAARGGTVEEAFC >cds.KYUSt_chr4.3303 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18769683:18777048:-1 gene:KYUSg_chr4.3303 transcript:KYUSt_chr4.3303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller domain containing protein [Source: Projected from Oryza sativa (Os03g0835800)] MHWARADASDFGGQPPVPRSGHTAVGIGNSKVVVFGGFADKRFLGDIAVYDVENRLWYTPECTGSGPDGQPGPSPRAFHVAVVIDCNMFVFGGRSGGKRLGDFWMLDTDIWQWSEMTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYVMDTMSLEWTELAVAGSAPPPRCGHSATMIEKRLLVFGGRGGTGPIMGDLWALKGVTEEDNEAPGWTQLKLPGQSPSARCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECVILDRVSVQWKRLPTSNEPPPPRAYHSMTCIGSRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDLVPNVESDSNQSTVTSDAQQYAVKESQSGESPMVDLAKRLGIPLSMEPPASFVDEINDKELMELSTRLIGESLPTTDQLACIQNSAFHLRGLHLQLFYLAGNISKSQAHRTCIHVKLIDDERVANQSIVRFPEDECVVTML >cds.KYUSt_chr1.35789 pep primary_assembly:MPB_Lper_Kyuss_1697:1:218137063:218139460:1 gene:KYUSg_chr1.35789 transcript:KYUSt_chr1.35789 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSQGPKSTARRRPLRVLSGNRTPHAPPPGSLRRKPPAAATTPSAPAAATTPSAPAAATTPSASAATAEPALYRLLLASSGLAGLVSQIDELVSNALHCQKISTRGEQEIESFSAFLSDTNSSLKQWSSRLKQALEASPARTENASKQPREVFPKSAAKGNDKLFPSTSILPQAGPATSTSHNLVCSSSSSNLPETDLIVSPSPLVSWRTGSCMVESGKQLFLLTPLPKTKAGSSRCPRSSKTQLKTAASMDELNLPNLPVWKLTISDDNRPDLEQSVKAKEARATATTPHLIKAKKGSSEDGLCSPFSFSIQKSRIALPSPCLKTALRGKQHVFSPISEGSSKDGVLSAGAAESDNKPSAASDDMLSDEKDLASRYPEMYGFNLPAGDVRRRREADEALDWFLSPLKTCVLMDPSPTDDKPIPVQAKDTPWKGLERSKMKGRQELSDANPIQTPAVGGTPWKGLESNNLKGRLDLPDDNPIQTPAVHSKALLGTPWKGLESTNLKGRHAGETTLKKELWTRFEAVSTNDLHFDKSVFQKSDGRRFIDMLEEES >cds.KYUSt_chr6.20895 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131744785:131745150:1 gene:KYUSg_chr6.20895 transcript:KYUSt_chr6.20895 gene_biotype:protein_coding transcript_biotype:protein_coding MKQALVALALPLLLAESLYASAPPDSTAAFAGDVCVDLTHVDAGKQMSRHELIRRAMRRSKARAAALSVARSGKNGRHAGKSADEQQQPGVAVRPSGDLEYLVDLALLVEKGPLVAVRNCH >cds.KYUSt_chr7.28289 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176651233:176653128:-1 gene:KYUSg_chr7.28289 transcript:KYUSt_chr7.28289 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKAASGVAAADRCGDEGRMREERLLLQSFPSQESDDCEQAEVDCELAMSGGQVCNVPYGLYDLPELKDVLSLETWNLCLTEDDRFRLAAYLPDMDQEDLFTTLTELFSGSAMFFGSPLGGFFDRLSGGFYSPEVSQARELLVGLQRRKYYHFLRLYHNGMVWKFTCMDRLLRTGMSTSLEEKIHIWHTWIHEKPLTFVDLNSSSMNASLPMITSSSLLKRAKLMEGTSATNCSAKHKEIVHRVKSMEMGSSTSHVFRSQDEPDEKCGKLPKGVLKTKSDYDALADVNEGIHHTLGLVPLTHHGVQVSAFSPYAFPQHMHNYAVNPSYPYYMNTSRTSLGSSSSSPWQREGALETYPLLVKGPSGVQHTFLEELKRGSHSAMLRGYESTYKPGLAYSNEANGTRESTHEKNLLKSFGQRSAMNPADPYARTILGHQRNVCTKMPSPRNADRISGMLTLSTSTNPPCNNLLGQSETMHKHHDGLETKAPSVTKVEEEHRFPNTYTNPPCNNLLGQSETMHKHHDGLETMAPSVTKVEEEHRFPNTYTNPPCNNLLGQSETMHKHHDGLETMAPSVTKVEEEHRFPNTYTRRKLQRGVDLGDHVKTPTMVGSESASVLSSMTNVKAKAIKL >cds.KYUSt_chr3.36430 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229143501:229155603:-1 gene:KYUSg_chr3.36430 transcript:KYUSt_chr3.36430 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPAAAAAKKKPHQPRPGAGGQKPRLDVLGEEGCEFAGGIDAKYALDRDHELGRGEFGVTYLCIDRATKEQLACKSISKRKLRTPVDVEDVRREVAIMRHLPRSHSIVALREACEDDAAVHLVMELCEGGELFDRIVARGHYTERAAAGVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRRMLEPDPKLRLTAKQVLEHHWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKAMDTDNDGVVSCEELKSGIAKFGSHLAESEVQMLIEAVDTNGKGALDYPEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPDELREALKDDGAADGMEVVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSIKLVKDGSVKLGVE >cds.KYUSt_chr3.31591 pep primary_assembly:MPB_Lper_Kyuss_1697:3:198606397:198608098:1 gene:KYUSg_chr3.31591 transcript:KYUSt_chr3.31591 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSRRRGKRGRTSPDPPAKRRRGPLESEYDDVEAAPAPAPAPQPSSVMVAGLPPGCGVMELKSRLEAYGPIARTRIDAAAATGHVTFRSAAAAAAAIAASLDPECGITIGSKKVLVVQASEVPNDQKTVVQSDPTDATKNGVGDASAILSRLGPRAIHKSREIVAYDDLF >cds.KYUSt_chr2.41430 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257650226:257665244:-1 gene:KYUSg_chr2.41430 transcript:KYUSt_chr2.41430 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSARKKKKRGGAGRKAGKDHAAQLEGDQTALTDELTALAAIFLEDFKVTSESPHTRFSICIRPYSDGMGFGDLNVSAILDVTCFAGYPHKCPKLRIIPEKNLSKEDADRLLSLLVDQANIYSREGRVMIFDLVEAAQEFLSEIAPAIDSTSSAPHLASDTIHQTTDANAKVSLDNGPYPGISYIYNSFDLFSQLYDDNSWQRQGFDPTTDSARKTIGSPVQSNVRSKRKTVDEKSRFSADKINAAKSSSRDNAEQHAIKHGSKREVVPSLHVVAEETDNDSKTLSTSNGGGMSDNPDRSSSSVHEPEDSDLDDEAWNDEGSDSGSSNALSYVSDMLDDAARNKKRDLILVHLLRLACASKDSLSAALPEISSELCNIGVLSEWAKKLISESPAVFGETFDHVFGQQMISSECSVFWRADSSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWVETEYGHHHVLNTGASRTADSSLYSFDDISLSDASAGNKQESTYLYIQMEYCPRTLRQDFETYSSSFNVDHAWHLFRQIVEGLAHVHSQGIIHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQLDHDLYLPTEATGVSMDGTGQVGTYFYTAPEVEQKWPQINEKVDMYSAGVIFFELWHPFSTAMERHLVLTDLKLKGDPPVSWTTQFPGQSVLLRRLVCPSPSERPSAVDLLQNELPPRMEDEWLNDVLRMIQTPEDTYVYDRVISTIFNEDRLDAKMQSQHESSKKSICKNDNSELLDSIIEVSKEVFKRHCAKRFQISPLHSLDGKFTENSGKTVKILTQGGEMLELCYEQRTPFVMSIAANQISSCKRYEVSWVHRRAVGHSTPFRFLQGDFDIIGGSSPITEAEVIKVALDLVRRFYDSKAIVIRLNHSKLAEAVCSWAGVPQERRQNVAEFLSSTLVQFCPNKADRKSQWSFIRGQLLQDLRLSEEVVEKLHKADQRFCGSANLVLARLRGTLFYDKSACKALDDLSTFLKCLRVWSVEEHITIDVLMPPSDYYYTDLFFQIYSKEGNPVASSHEKLMAVGGRYDMLMEQAWDKTYKTKPPGAVGVSIALEKFLPNNPASDVGLPRIEHSISVLVCSKGGGGLLNERMELVEELWEANIKAQFVPQEDPSLQEQYEYASDHDIKCLVFITEGLSQTDLVKVEKLLSVQAYDGHQIDSRLLQ >cds.KYUSt_chr7.35879 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224159753:224174325:-1 gene:KYUSg_chr7.35879 transcript:KYUSt_chr7.35879 gene_biotype:protein_coding transcript_biotype:protein_coding MWALLHGEPTPQWLGGDRCLPAPKPLGSGGALNVRARVQETEKVDTLQTWSAPLRSSTPRALLRIALAAAAAAAMDFLCPGDPTAMSYFTEILSFSTSITFGLFLIVATAAGRLCNKREVNSIEAPLILNNENSETESTNLVYKQHNIWELLTFKSVNPMMDIGITRQLDFADLLELPSELRADSCYDKLLSSWTAEHQNHHADPSLLRAMFHAYGWIYLRLGMLKVINDSISFVSPLLLNKFIRLIQQGSVGMDGYTIAISLGLTSIIKSFLDTQYSFRLAKLKLMLRSSIMGIVYRKVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLRNYLSSPEHCSSELTASTDVAKDDFQRNTETIYDPTAVVVRNAISAADMIVVMANGFVKWFGTSECFLATPYSTISEPDSSRVFSATISQKDKGPSTSEFITEDMLNNVSVVGQEEKSDQTEAEARKEGMVELIVYKKYATFAGWSMVLLIFLSAFLMQASRNGNDLWLTYWVDTSTGTKNTRFYLTILAVFGIINSFFTLGRAFSFAYGGLCAAIQIHADLLSNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVLCYSQVTFLLILIPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTEILDGSSTIRAFQKEGFFLQRFIQHLTLYQKTSYSELMAGLWLSLRLQLLAGSIILFIAVMAVVGFHNNSNVNFVTPGLVGLALSYAAPFVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELQGSESPHRSWPTEGKIEFEHVTLRYKADLPPALNDVTFHVESGIQVGIIGRTGAGKSSILNALFRLAPICNGRILVDGFDVAKVAVRDLRGHFAVVPQSPFLFDGSLRENLDPFSITTDLRIWEALEKCHMKAEIESIGGLDIHVKESGGSFSVGQQQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQNTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEALMNDRCSRFSRFATASTM >cds.KYUSt_chr4.8013 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47763114:47767183:1 gene:KYUSg_chr4.8013 transcript:KYUSt_chr4.8013 gene_biotype:protein_coding transcript_biotype:protein_coding MADHVAGGGGGHGLGAAMGAGGGDDPPPHDNRSNPPGFQFKDWKNGNVNTSRPAKVSIIDDDAQVIVYLQDSGMVDGSELTLYTVPANYVIQSEAKMIALRSTMNHYNAMAVGRRKYLYLAVKSILGEGSKGDDKLCQKLLDQFLDDKKRVWEYVCEIKPPRPKFSRMPEVFDHLPVAKPEKRVQRHVLVVGAPLAGAAVQIALTLVPQGGGAPAPLRTRLPPNSHSSPCGFCRYRAGGGLHLAKLHRRRSALAAEEGGVRAIRRRRRRRLMVDSPCPWLANTGEGRCGVEVGPAAGPRPGQGPTVRR >cds.KYUSt_chr5.13075 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85186889:85194220:-1 gene:KYUSg_chr5.13075 transcript:KYUSt_chr5.13075 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPELDVEEYAEKRQTDVLSLLLNAQQEEQMVPPSWGQHVGCHCRDRRGQQAKIPYMFREGNQQQVLEKIEVAIQVNSMEWLGKEEEAPVVDVVGSRLTVPFSSRRQVDVGDHLEQLAHQKDAPGYRGAKGHTGAGHCTCPCESIRNTHAKVGTEKRLKSSVKKAMLDVGCRDQEQKGLALCSQMLPMSMAVAARDVAVQGTILYYVRIVIKCCFVRSILPRKTGRPSSSFMEPFAAPCFFRRFS >cds.KYUSt_chr3.48441 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303019480:303020224:1 gene:KYUSg_chr3.48441 transcript:KYUSt_chr3.48441 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYAPPMASQLLKSNSSLVSSSKPRGLSGASLTTRRPRFVVKAIKADKPNYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAVGLVTILSVVLTMYGVASFDEGAPSTAPSLTLTGRKKEADKLQTAEGWAQFTGGFFFGGVSGAIWAYFLLYVLDLPYFFK >cds.KYUSt_chr4.4854 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27810029:27811360:-1 gene:KYUSg_chr4.4854 transcript:KYUSt_chr4.4854 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYAASFQPPALPATDAARPAWILLDTLGYISDYCPHNTTKAEAYTSTGKQITVSFFVAEPPAVSYFTVHCPDLKKEDFVTEPRVVHSEKNLALLSLSLRRGIETRTDYFIYRARPGHLPSLDLLPAGTDCRLSKITALASVACVPSSDGEHFVVVALGLTFQPGRYEFNLFRSESGTWNYKLLVWGTSVLGPKPVTIDPAKVIMLGGGAIAWVDLWNGILVCDDIFSNEDPADFRVIPLPKLLPANHAYKQISYPQQFRDVVCADGLIRFVEMEYCRRRIIYEIPDVSKSEVLYDSELPLGQTVDDEDDIYEYLGWRIVTWNRPIDSNCWRRGSLVHVNDIRVVNSPSHYSGLLSDGVDTNNALEENLQSAGFPTLSMDDSDAVYLLSKVDPKNALLLTLDMRKKTLEGLTPFSAERCMYGKPDYVTCTLSKYLNTNSGDV >cds.KYUSt_chr4.28120 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176780618:176781043:1 gene:KYUSg_chr4.28120 transcript:KYUSt_chr4.28120 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGDGWIERRTSLLADQEKRKAHATVKRWWSPATSRRRDGVITEMGRPRVPGGAADPSLPLHSQSLPPSNANNQEPRNTYSNAAECYRQQGNRREGGEVEGQKYSLSMSHLPINYGNPWMEMREGGSVSVWPESTQNCEQ >cds.KYUSt_contig_1358.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:71273:73166:-1 gene:KYUSg_contig_1358.13 transcript:KYUSt_contig_1358.13 gene_biotype:protein_coding transcript_biotype:protein_coding MELEASAELQVRRPPWRRTVAVQAALCLALYAAFRLGEPQLRSRGGDALGSGGRAGGGVSFITVAGGARPPADQARLLRQGSLYFQALNIPWYSTTSHGQIVDNFLKKVKMPYDQILEIIGVDTGPLQELLHHGKVSNSSREQITWLEQTLALSSSNWYEDSI >cds.KYUSt_chr4.46889 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289949844:289954164:-1 gene:KYUSg_chr4.46889 transcript:KYUSt_chr4.46889 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSTSSSSSRNRQSSRARVLAQTTLDAELNDEYEESGDSFDNSKLVEAQLTAPPLQQGLSEKVIAYLQHIQTGKIIQPFGCLLALDEKSFNVIAFSENAPEMLTTVSHEVTSVDNHPRLGIGTNVQSLFTDQGALHKALGFADVSLLNPILVQCKTSGKPFYAIVHRSTGCLVVDFEPVEPTKFPATAAGALHSYKLAAKAISKIQSLPGGSMEVLCNTVVKELFDLTGYDRVMAYKFHEDDHGEVFAEITKPGLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCRATSIKVIEDEAHPFDISLCGSALRAPHSCHLQYMENMNTIASLVMAVVVNDDEEEDEFESEQPAQHQQKKKLWGLLVCHHESPRYIPFPLRYACEFLAQVVAVHVSKEFELEKQLREKSILRMQTVLSGMLFREASPLTIVSGTPNVMDLVKCDGAALLHGGKVWRLCNAPTESQIHDIALWLSEVHRDSTGLSTDSLHDAGYPGASALGDMICGMAVAKINSKDILFWFRSHTGAEIRWAGAKNDSSDMDDSRKMHPRLSFKAFLEAVKMKSLSWTDYEIDAIHSLQLILRDMLNDAIKPTREASLDKQIGNLKLDGLAELQAVTSEMIRLMETTTVPILAVDVNGLVNGWNQKAAELTGLRIDDAIGRDILSLVEKSSQPVVQRMLYLALQGKEEKEVQFEVKTHGPKVDDVPVILIVNTCATRDLHGHVVGVCFVAQDMTVHKLAMDKFTRVERDYMAIIHNPNPLIPPIFGADEFGWCSEWNAAMTKLTGWHKDEMLDKKLLGEVFDSSNASCRLKNKNAFVDVVMAAVSQVLLACQGKGITVSCNMPEIFLKQLVYGDGVRLQQILSDVLFVSVKFSPVGGSLGISSKLTKKSIRGNLHLIDLEIRIKHLELGVPAELMSQMFEEDSKEKSEEGMALLVSKNLLSLMNGDVRHLREANVSTFILTFELEMRQL >cds.KYUSt_chr3.29426 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184207341:184213206:1 gene:KYUSg_chr3.29426 transcript:KYUSt_chr3.29426 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLPAPEAIDGGSERTEGGGAGEEGSGGAPAPQTYSAGCIAATNASPYSTNVPVYVPGVFSPSSSAFYNDGPSGTPGCVTPNLSPHYQDALPHGGFNPNNLYSPAYEKREPGPSPDGGPFTGRRGPLEYDGAGAEEDGGVEEEDDEEEDRVEDDEEDDDEDEEGGKEDDDEGAGDDDLVEVDADGVRTTKKKKKASGTRDPKWTPLEDLCLCESWATVSHDSIIGANQKGGKYWARIKAEFDERKLINSEYKKVPMKRSQKAMSTRWAIIQASVNSFHGYHQDLETRGDSGADVAQLFDRAMEVYARNSDGHKPFALMHCYSKLKVNEKWRLTRLSLSKGKDAIDLDAPPATSTGVLSGNKAAKSALADVASSEKTQASITKCLADVSSTFLSRAQKNDERWAELLKRQEEKLELKKRRDDMSPPRTSTEGMSPRTRAAHNFFKGQILDDIEAKMAAADAAARGSGIGGSGSSKKSRTSNDMLNMFLGKGSNLAMSDGMLVLKAKLHILSIDSWFSVTHMEIPRDFLSHIAEVGFRGSGISAASSVKLTLKRSERKTWTVELEKVDRCVFLTTGWPQFVVDNSLRGYEFLLFTYDKNMHFTVSVFGWNACEKAVPSSGSGAQATEMDKFASGKRGHSGHEVTEAANNPTRSHSLVTVADQSNTEIPPFQMITRGNGHSSSQSFVDLHLHQVDGSKDELKTYLLLKVPMNDDRAVAIAEWNSDAAAEDFDVCRGKPQIQNQFLKQKLVLQFDFIKRQLRCFFPPEDDCSTQIRDSKKSSLEEPNLSNQPLQFDLAAVKSRLVGDRELCDFSYKQKRRTGKWRSLQTSETPRRSPRLARLNNSRGSTETGLKERPGVVESSVAGTIDRVENRAAQASLPYEKPDSVSEVDCQHIVGSLSRDFKRLKSTRGVVGLSEKPEHNQGENKEKIDQGNKGETLQEQIDRNAVETYDSLMERGCIDTSPATKSEVSSLRLNELYLAWKPSVHANPHEEILLHIQRDNFTRTISHVQGIIRSRPSDLLCAAIIEAVVQKELLKWDPCLEDVDAQRIVIALLEHAKKIKEIINFNMDSRKEEFSTKLHDQLKWQLKELENVYTSTEVDYKKVTLDGSIAVSTLQEQKKKLHALQGEIKDSRQSMMIEDEMQKLVHEVAEQESLVQRSLMERVRVKTVLKSYEQILVEAKERLALSELGLIDVETLVKVEMDNMRKELEISKRSLLNIVFK >cds.KYUSt_chr3.49437 pep primary_assembly:MPB_Lper_Kyuss_1697:3:308896934:308899589:-1 gene:KYUSg_chr3.49437 transcript:KYUSt_chr3.49437 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYHTPCGEGCGDCDLRGSWKDDVLSLEHLRKVKLGSFSGQEHYCLDMARLLLASAPVLESITVTAKTSIEPWCDDQELMLVESLESSLPRGRGKWTARRAANATVTLEYEWTQAAKMGLTFTKLFSRLFAKEMRILMVGLDAAGTTTILYKLMLGQIVTTTPTIGKPHPHCM >cds.KYUSt_chr2.27610 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169662683:169664438:-1 gene:KYUSg_chr2.27610 transcript:KYUSt_chr2.27610 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSMAAGSRSAAEERHDEEALELSLRLRTGGSSGSSAAAEEAAAAARRRSMTIFYNGRVCAVDVTELQARTIITMANHQILTEQQQQRMDSDRHLQDSSSSTSSNAAAHCRGRQDTKPPPVSQRSAPSLAPPPGLTAAGAAPVISQAAAAGLSMKRSLQQFLQKRKTRVAATGSPYAGGRPAARHSAMHS >cds.KYUSt_chr2.41676 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259337334:259342895:-1 gene:KYUSg_chr2.41676 transcript:KYUSt_chr2.41676 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPATSSAVSRAASHARLSNAPLRAPRAAAAVSFPSARSVRPAALVADARASRLPVVAAAAPGHQRLMGSLTNTEGLRFGVVVARFNEIVTNLLLQGALEAFERYSVKGENITVVSVPGSFEIPVAAQKLGKSGKYDAILCIGAVIRGDTTHYDAVANSAASGVLSAGLSSGVPCIFGVLTCDDMDQALNRAGGKAGNKGAETAITATEGFLALEGVYKVGG >cds.KYUSt_chr5.16630 pep primary_assembly:MPB_Lper_Kyuss_1697:5:106960736:106961239:1 gene:KYUSg_chr5.16630 transcript:KYUSt_chr5.16630 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVVQASSVFAAFDKDGDGKVSADELRGSMTAALGEEVSEEEAAAILATVDADGDGLLDQEEFSRLGATASQEGAEGVAGDEADDDEVRRRCLKEAFAMYATEGGDEGARITPASLMRMLDKLLESSTEKMELEECRAMICRFDLNGDGVLSFDEFMVMMMGNV >cds.KYUSt_chr6.8441 pep primary_assembly:MPB_Lper_Kyuss_1697:6:51777191:51779228:-1 gene:KYUSg_chr6.8441 transcript:KYUSt_chr6.8441 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVARMPSMVWLLAAVFMLGAAVGLAQNTVHYDFFVKETTYSKLCKSKSLLTVNDMFPGPTITARKGDIVIVNVHNQGPKNITIHWHGVDQPRNPWYDGPEFITQCPIQPGTNFTYEILLSEEEGTIWWHAHSGLDRAGIHGAFIVHPKRGTEYPFIKSTELHKEIPIILGEWWTSDLNLQLEEYLKTGGEIHNSNAHTINGEPGDLMPCGRGHAFKEDVLTNKTYLLRIINAGLDNDMFFAVADHLLTVVGTDGRYLKEFTVQTLMISPGQTMDVLLKTKEFPTYRRYYIGSRTYLSNPRLAFQNGTATAILEYQDAPRARGGPMLPNLPNNTDHETAIEYTAQLRSLASTAHPVAVPEVINERMIITLAVNTLPCAVGETCRGPGNNSRLAASLNNASFEDPHTDILDAYYYSTKGIYETDFPNVPPFLFNFTNTNGSRRYWPTKRSTKVKVLEYGTVLEIVFQDTDILGAENHPMHLHGFAFYVVGRGLGNFNATTDPAKYNLIDPPYQNTVTVPTAGWAAMRFKAENPGKLILASFGL >cds.KYUSt_chr1.9737 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59530550:59532343:-1 gene:KYUSg_chr1.9737 transcript:KYUSt_chr1.9737 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGCTGHDSRTREQMVNNVAETLSIAAAMAKPLHFVLVPLLAQGHMIPTMDLARLIAGRDGACVTVVLTPVTAARNRAVLEHARRAGLAVDVAELEFPGPALGLPEGCESHDTVRGGDFTLFNEAVRLLAGPFEAYLRRLPRRPDCIVADTCNPWTADVARRLGIPRFVFHCPSAFFLLAVRNVAKHGVHDRVAGDFEPFEIPDFPVRAVANRATSLGFFQWPGLEKDRRDTLDAEATADGFVVNTCAAFEGAFVQGYAEALGRKVWAVGPLCLLDSDAETTAGRGSRSAVDAGRLVSWLDEKPLQSVLYVSFGSMARLFPPQVAELAAGLESSNRPFIWVAKEADDLDDGFDERVAGRGLVIRGWAPQMTILSHPSVGGFLSHCGWNSTLESLSHGVPLLTWPDFADQFLNETLVVDVLGAGVRVGVTVPITHKLLNPDAAATLVGRDEIKRALTELMDEGALIRARAKELATMAKKAMAEGGSSDRDLGEMLRHVGELAQRTEKGVPASSWRA >cds.KYUSt_chr4.12964 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79694810:79699592:1 gene:KYUSg_chr4.12964 transcript:KYUSt_chr4.12964 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGCIFSRRPSSVPSVPLPNPEIPSPVPPAAAAAAAAMPPAGSLTADQLSFFDTNGYLVLESFSSAEEVRAMRDRMAELVEGYDGANSSVFSTKDHRQLKDDYFFKSAENISFFFEEKAFGDDGCLRQPKELSINKVGHALHEHDPVFKKFSFSENVSSLFSSLGYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTEPLSCTGLWLALQDATITNGCLWAIPGSHKNGLQRRMIRDENGTHFDRPSPLYDQKEFVPLEVKSGDFVVIHGDLVHQSFENNSPVSRHALSLHVVDMEGCKWSKDNWIQRTTAPEPLYVS >cds.KYUSt_chr4.9735 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58822529:58827736:1 gene:KYUSg_chr4.9735 transcript:KYUSt_chr4.9735 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNMLKTLERYQRHIFASQDAVVPTSDEMQNNYLEYMELKARVEVLQHSQRNLLGDDLAPLNTSELDQLESQVGKTLRQIRSRKTQVLLDELCDLKRKLDEMEVDAAPPPPPPPQQQQLPWQNDHGVAVPSYAPPQPDHFFQALECSPSLQPAFRCMDVNQPPPAWMA >cds.KYUSt_chr3.46377 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291814374:291818496:-1 gene:KYUSg_chr3.46377 transcript:KYUSt_chr3.46377 gene_biotype:protein_coding transcript_biotype:protein_coding MERVHWEVAAMDITQVLLAAQSPDANLRAAAEANIKQFQEQNLPSFLLSLSAELSSDERPPESRRLAGIILKNSLDAKDSAQKELLIQQWVSLDPSIKLKIKDSLLITLGSSVADARQTSSQVIAKVASIEIPRREWQDLIAKLLGNMTQQGASAPLKQATLEALGYVCEEIPPEHLVQDQVNAVLTAVVQGMNQTELSSEVRLAAVKALYNALDFAESNFANEMERTFIMKVICDTAVSKEEEIRQAAYECLVAIASTYYVHLSPYMQTIFNLTANAVKGDEEPVALQAVEFWSTICEEEIELQEEYEGSDDANSTVNYRFIEKALPSLVPMLLETLLKQEEDQEQDDNAWNISMSGGTCLGLIARTVGDAIVPLVMPFVEANITKPDWRCREAATFAFGSILDGPSLEKLAPLVQAGLDFLLNTMNDPNSQVKDTTAWTLGRVFELLHSPCSSNPIISNANLPRIMTVLLESSKDVPNVAEKVCGAIYFLAQGYEDAEAVSSLLTPYLPNVIAALLTAADRGDMTHIRLRSSAYEALNEIVRVSNIPETASIIGQLLQEIMRRLNLTFDRQIYTSGDKEKQSDLQALLCGVLQVIIQKLSSSDAKSIIAQTADQLMLLFLRVFACHSATVHEEAMLAIGALAYATGPDFVKYMPEFFKYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDGIMTVLLKDLSNSQLNRSVKPPIFSCFGDIALAIGENFEKYLPYAMPMLQGAAELLVVLDQSDEDMVDYGNQLRRGIFEAYSGILQGIKGAKAQLMIPYAGHLLQFTEAVYKDSSRDESVTKAAVAVLGDLADTLGPISKDLFKSHLFHVEFLRECQDMDDEVRDTASWTQGMINQAIVS >cds.KYUSt_chr1.31477 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190857256:190857573:-1 gene:KYUSg_chr1.31477 transcript:KYUSt_chr1.31477 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQGLEFLEMVVKLQWAEFLNDVDIKPKLFFAKVMATPSSGAPLAEVFSSSSPACLCRGSSSITVRRRTPSLSQVVLSPAARRVAVSADPRAKINSGGEEKIV >cds.KYUSt_chr2.37313 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231059905:231060153:-1 gene:KYUSg_chr2.37313 transcript:KYUSt_chr2.37313 gene_biotype:protein_coding transcript_biotype:protein_coding MMWPAALLQSPSPCGSSAGEIPRTPRVPIPSPPLEILGENTPEPLTFKPPPCTGTSTAPEAPEPPLEQEASSTGRTPVDKLP >cds.KYUSt_chr2.6738 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41992619:41994247:1 gene:KYUSg_chr2.6738 transcript:KYUSt_chr2.6738 gene_biotype:protein_coding transcript_biotype:protein_coding MQRALIESLHVFKGRAAGDDAAESPVEDIERDLAAKLVSYYPIAVLLVLSDVGELVVDYRHGAVAARTRSTAISTRRSTSCGARDDTAFVLGFVGPSDAAFNLLLGDAFDAQRLRGPPTRHGHPPPAF >cds.KYUSt_contig_1158.361 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:2175789:2180121:-1 gene:KYUSg_contig_1158.361 transcript:KYUSt_contig_1158.361 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALLGGYLTASAPPLPTPAATATPTTRLPPGLRRSIRLVARRRAGETVHATAAEEEEQEWKELQEEGLPRRGQYGQQDDHDRDPEIGDIMGDYFDDPKKAQSRMEERIKKKRHKIVQAKTGSANPMKVVFNKFDFSNSFIWFEFYNALLPKDVKLICDDVAILPLLMLADSAIMAYSWTPWWVQFYEYAGDLAARESTPPPGNMPLGVVPTMGPELRSEKNFHKSTGTQKWRLGEVEEDDLMVNSAPE >cds.KYUSt_chr6.6447 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39017460:39019873:1 gene:KYUSg_chr6.6447 transcript:KYUSt_chr6.6447 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKARRDVSVRVWVASFWKDSWVDGRAPFLIALKLFKASRRKCRSVAAALNGGKWILDLRGRVTADSLPEFVDLWDRVRQVNLVQGTSDSFVWHFSADGVYSSKSAYRLQFCGATSSHFVKLIWEAMAAPKCRFFGWLFAQNRLLTADRLMARRWPNSYFCPLCRRSLETAIHLLVECPWARRVWAAVADAHRLPALSPLTWGTPSATLEWIASTIVAATVRDRKLTISVLLVLWVLWKERNRRIFDNKDRPVSIVVAEVADELSIWALATCRHIVARE >cds.KYUSt_chr2.20016 pep primary_assembly:MPB_Lper_Kyuss_1697:2:125947704:125949571:1 gene:KYUSg_chr2.20016 transcript:KYUSt_chr2.20016 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTKMDPPTLTLLGAACCVMLSMHFTVQLVSQHLFYWKNSKEQKAILIIVLMPPLYAITSFVGLLDIKGSKTFFTCLESVKECYEALVIAKFLALMYSYLNISISKNIVPDEIKGRVLHHSFPMSLFLPRNVRLEHKTLKLLKYWTWQFVVVRPVCSILIIALQLLGLYPSWVSWTFTIILNFSVSMALYALVIFYHLFAKELAPHKPLSKFLCIKGIVFFSFWQGCALEVLTAVGVIQSHHFWLDVEHIQEAIQNVLIIFEMVIFSVLQQYAYHVAPYSGADRAKFEKKNE >cds.KYUSt_chr5.1433 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9834843:9838792:1 gene:KYUSg_chr5.1433 transcript:KYUSt_chr5.1433 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAALVFAGKSVATPAISFLINKAFSYIDEYFKSKDMDEVKNRLLRAMPQIQAVLDVVSPEHVRGQSSALDAWLWQLRDAVEAAEDAIDELEYYELEEKAKHQRVSDWGSPFGKMKHKFVKSVKSGPVFRKNNHGESFKRLMKSVDGLDKAAAGVASFLNLTDHLRGGSSASSQQQVQKLVDNSRQTDSTLSATIFVGREKEKEQIAGWLASPSVESGVTGVTRNKSIPIISVVGHGGMGKTTLAQSICEQEEVLKHFKVIWITVSTSFDATSMTSKILECATGVKPSADHLEPLQRNLIEKLKSINFLLVLDDVWEDKRRDEWEKLFAPLRKLNTRSKILLTTRMQSVADMAAKVMGVKGDQCLTLQGLEEDENLDLFIHHAFSGLNPGDYVHLKSTGGQIAKKLGGCPLVTKVVGEHLQGNITLEHWSRFLDQGLEHFKGTEDDIMKVLRLSYYHLPTELQICFRYCCIFPQDYEFQKKKLVQLWIGSGLISQHASDTQTLVDTAEQVLAQLTRKSFFDLKSIAIGWEQFEHYVMHDLMHELARNVSTGECARIDDPVQLNYEKDTLRHLCIVNIHSFSADEVKKISHFKNIRTIIIFSIYRNRRQVENDIAGALEMVIESSKSLRLLHSELWNTFCLADKFGNLKHLRYIYLHQISAGTICRVAKLYHLLVLQCGSGLETETCEVRYLGDLEGLRYVSYGVRGFGNFCISRLTSLRELHDYQVGGKICNKISAIRNFRDLRELSVGGLDNVKNYEEAKDAKLKEKQLLNSLYLEWSTPDQIMTDDLVLDHLEPHVNIRKLLIRGYEGPTIPSWIENRSVKNLVSFTLIRCINWEYLPSLGELVLLKNLELFELPKLRQIGRSSGVSSSTSTELLLPQSLHTLRVSGCQNLRELPILPASLGYFSIHDVWLTKLPMIGKISCQDIESKSSNLTNIFVTDCPYLTSLEGSLLEQKLYMGALLVLKVEDCTQLESASIPFEEMKELELLTIDRCPKLRMLRDAKDMLMPPSLRELTIAFCGDMEVPLFGPGQLLTNLSNLKLQNCSSLVSLPSADVFRSLGSLQYMYIEGCENLSSLGGLGSLPSRIRLSISECNKLAQAAESSLTRVTCVSGSGGEEEHLVEPNSSLQIHSLNIDLPSLLLVEPLQSLCHTEDLRISNGSEMESLPERWLLQNRRSLQHVSIYADSLKSLPPSMQELCTLEDLDLRGAGQLQSLPHLPSSLKKLDLSGCHPELEKKITEHGNPEWNKIAHVPFVRIGDMYFVMGKKSSQEAAFESLYRTGTK >cds.KYUSt_chr7.26113 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163025464:163029335:-1 gene:KYUSg_chr7.26113 transcript:KYUSt_chr7.26113 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRRGGRRSSSSPSSAASLPEIAEDAAIPRDEPLVKRSGFGSFAVKIQMANLVPAVPRNILDDNHGAGKLYVAVGEDAEDGKSNLVWAARNFLRAGHPKLVLLHVHRPAHGIMTGSCKVSAREKELKAYRKIHKDESNTPLNQYLDFCRVSLKIQAETLVIEKNSPANGIIELIDQSHITSLVMGASSFSPYVHISNPTVETDGQHEVFKKLHQVRSELQRSRKEASEGQRKTERGSFEASMMFKARENSLRKEKEVEERLTREKTVLEKEHVHIRNELQKANEKSAQLKNKLLQANSQKEELQREKDHAVRQAAQIRQTNAHTVFGSTGTVALTEFSYEEIKEATDDFGDSKKIGQGGCGSVYKGFLRRTIVAIKKINRDGAAGEKEFNDEVEIMSKMRHPNLVTLIGVCREAKALVFEFLSNGSLEDCLQCKNQSGPLLWRTRIRIAAEICTGLIFLHSNRPKGIAHGDLKPDNVLLDTSFVCKLADFGISRPLNLTNTTVTPCYRTDNLRGTMGYMDPVYMASGELTAHYDVYSFGVVLMRLLTGKSPLGLPREVESALKNDTLQDIIDPSAGDWPLECAEELARLALRCCRYVRKERPDLENEVLGVLQAMMNCRDDKSQPPTFFICPMTQEIMREPHIAADGFTYEGDAIKDWLERGHEMSPMTYLRFTHRELIPNNALRFAIQEWQVQQQQQ >cds.KYUSt_chr7.4912 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29510166:29511245:1 gene:KYUSg_chr7.4912 transcript:KYUSt_chr7.4912 gene_biotype:protein_coding transcript_biotype:protein_coding MENVCTTSITGVARLVHLLKIEGFSTTVTMKSKESIRSRWHICGFDWEIRVYPTAEFSGYHYPAVALQLVFLGGSRGSCSRANLGCQVIDPTGKIMPSEENSVMKRFHRPKQHSDLLKLMFREGPGGLAASGYLKDDTLTVRCTITVADDIPIPTIAAATEEIVLPSTNFHQQFGELLRSGTGADVTFVVSGESFPAHKLILSARSPVFMAEFFGQMKEKCSEHVEVKDMDRAVFKAMLHFIYTDTVPELDQRLEEVTTMAQHLLVAADRYGLDRLKRICEGKLSGGISVDTAATTLALAEQHNCSQLKAKCAEFIVSTPAVLDAVLATEGYKHLATSCPSVLADLLKSSLRVGGRTDA >cds.KYUSt_chr7.33738 pep primary_assembly:MPB_Lper_Kyuss_1697:7:210600118:210605012:1 gene:KYUSg_chr7.33738 transcript:KYUSt_chr7.33738 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPPYGMRNKGKHYYTMWQTMFEIDTKYLPIKPIGRGAYGVVCSSVDQETNEKVAIKKINNVFSNRKEALRMLRELKLLRHFRHKNVICLKDIMMPINRKSFKDVYLVSELMDTDLHQIINSSQPLSNDHCLYFLFQLLQGLKCIHSAGILHRDLKPENLLVNANSDLKICDFGLARINNTEGQLMTEYVVTRPYRAPELLLGLDSYGTSIDVWSVGCIFAELLGRKPIFRGTGSLDQLKHIVNVLGSVGDADLEFIDNTNTREYIQSIPYTPGIPLAGMYPQAHPLAIDLLQKMLVFDPSKRIGVTEALEHPYMSALYDPSANPPAEEATEGQQNVHDDEDGEIPNGPDTSSFKAFLLSFMSASSSSIDSTETIPEQNLDMEYPTLTPVGRGNKERKGLLSRGKHSIGKIINKAGRIGRFRQKPTHVIDSEIASQTWSVSSELDLKRSKESASNHKLPAMSEPSMLLSETMRNDLYPSLPLLVQGKNWMLVYRLSPESEYVLVILVLVTLAVNCLMSMFVIVQYLEAWDFSIYPVQKEYALRW >cds.KYUSt_chr3.35988 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226198112:226200386:-1 gene:KYUSg_chr3.35988 transcript:KYUSt_chr3.35988 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGTSEVKLIATWSSPFVLRVRLALSLKGVSYEYIEEDLKSKSQLLLESNPVYTKVPVLIHDGKAVCESLVILQYIDEAFAGIGPSLLPEDPQVNIIMSTFLAYLQFTLSNNITNIKVFWDGFEVFDAKPTLQVEPNQHEKRYLKGRTVCEVDMG >cds.KYUSt_chr3.2256 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12939262:12940545:-1 gene:KYUSg_chr3.2256 transcript:KYUSt_chr3.2256 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRRAGNTTRASTHRPQTQAVLVNPSTFSIQLPLNPNPLPLSLVGGAMTLLAAITNPTPATTAAYLVLTPAPPPPPTSSALPTPIPPTVWSLAPADPTLATAAASLATAAASLAASLSASSLTAPRFRALLASFLAAFSHSLSLPPPSPNLPLAVRATAPYLPATLAALIASTASHLSDHDIVLALADTRAIPHPQSDLLATLADAARPDLVCAVLRQAADLRASELLAALRCFLSPASDAAYDAMAAVKGRWKDAALLAVSKCKDKNAGKKETAAARQAALLLMMGHDGFTSPEVCLHYLLASRAADCVDSVVLAAAVAELDGAEVAALLSYLSKWVGKYWRFPVARACPTEMPGLEQCESVPSLGAVTRAMGLVLDQHFSHLVLNAELRKELCAAEAMVKELAVEAESSGPILDLLHRMQQAV >cds.KYUSt_scaffold_2697.382 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2506967:2507607:1 gene:KYUSg_scaffold_2697.382 transcript:KYUSt_scaffold_2697.382 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAVRVAVLLFSLILVLPRPRTATAVGAPAAAPAPAPGRSIIPDPLLPCLEEVLPCTAYLKSSKRPAPTCCTALNRAAGTEMPCLCQLLADPGMLLDFNVTREQALRLPTRCGLPVGCRAGATGSSQPVVEALPPPPAVRPARRAGDPSRAGRCRISSVGLVIATVLFSGLASISVLL >cds.KYUSt_contig_2087.116 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:734044:734567:-1 gene:KYUSg_contig_2087.116 transcript:KYUSt_contig_2087.116 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSQSVEPQDCFSQYDRTEDITKHLTASFAVQVFPAATLPLQMAAQEPTAAAVVMEVDSLLVVKWRVKMFWVLTHRVQASKMCRVGVSPMYGSSDST >cds.KYUSt_chr2.45906 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286537099:286546161:1 gene:KYUSg_chr2.45906 transcript:KYUSt_chr2.45906 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASKKQHSSSIGCLRAEDVSPRLAFHYGVPADAALLAYDPLLHVLAVATSNGQIKLFGRDNTQALLQSPSPIPSKFLRFADGQGVLLNVNTQNQIEVWDIDTKKLCYLHPFEKQITAFAVLQKSFYIYVGDNSGNVSLLKLDLGQRCLVDMPYCIPFAESYGSTANVGNGVEVAFVSPQPSAEYNRLLIIFRDGVMALWDIKASKVVFVTGRITQQQSHQEEKTVTSSCWACTNGSKVAIGYDSGDIYLWAIPDISSAQNSSSLSNHSLPLQRLNLGYKLDKVPIISLRWVASDGKSGRLYINGYSEHAYLSQVLILNEESESRIVKMVLPLTEACKGMELVIGLSDPNKQRQNILVLLLKSGQICLYDDSEIERYLLHSQSRSPPTLPNHSYVKQPYGDSGINIAKFYTSGRTSIADEDYFSSLATKYTWLFSMKDKGQNSTNFTNIHKTRNLYITGHMDGTISFWDASCPLLMQIFMIKQQNEDNTSSGTRITSLQFDMSSSILISGDQSGTVRIIAFKKDSNDNILSFLHAKQGDNYNARSIKLKGAVTSSSMISNSKYFAVGTEKGIVSVIKIDDATILYQKQLECRVSGGISSLQFELYSHNGYDKDLLIVGMEDSSISVLEEETGKLLNTNPVQTNRPSRALLLQKLELSPNDVSDNHNTASKESLLLLCTEDAIRLFSLSHAIQGMKNITNKKKLNGHCCFASLIHSTSSEIGIVLVFSNGKVEIRSIPDLSLLKEASLRGFVYSNSLNSSISITCSSNGEIILVNGEETYFFSTLCQDDTYRHVDSINTVYRKDHPPREESSYVVKSPKEKKKGIFGMIMKDAKGSKAKESDANSSEQFIATSSEDLASIFSSANFTPPSERRNSSLKYDENIELDIDDIDIEDNTEKQKGPHFPGLSKQKISKGFQTLRGKLKPKTEEKVNSANKKPEDEPPVRQIDQIKMKYGYATSNESTSVPKMIGNKLQENIKKLEGINLRSADMANGAQSFSSMAKELLRTTKNEKSSS >cds.KYUSt_chr2.11543 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73398615:73403041:1 gene:KYUSg_chr2.11543 transcript:KYUSt_chr2.11543 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTHGSPLNTSVKPAQSHRTEPPLAMCSLGELALVPQPGTSVAASMECANWTTADAGAGASAEGNRPKESEFREQIVKLAGIACHEDEDDIVTILMEFLMEHGSRIDCAEPDKKLRKRKRNRDGADLSGGDPSKKRKPDGTVLETHGEEEAAGKKCVKDRANCSDFHLRGNRNVCTDNKTGQFSKGKAKPDQFEGMTFGEVVKAVDKYFGKDLFERKPLIRALIEEELFRLAEEAEKKELEEEEAMGTKARAEQAAKASIKDVRVGSDIVKENGLQAGQNGKSKYAEKSYRSDNNIEKGAGNGTSVKAVDNRSSDDAAESSQDGKGEVETENETNCDGFAKDGEAGNIVQNANGDDGVEISKDGKVGSIVQNANGDGGVEISKDGKAGSIVQNANGDDGVEISKDGKTGDIVQNANGDDGVEILQDGKAEADRKNENNSDGFTKDGEAVNIVQNANGDDGVEIFKDGKAETAKNCSGNTMGGSEDLKAGEDEGTKDGRAEECRSGNDANSAEKVGDCGPEESNQMAGHVNCSEDGKSQEAGDNVKGQNIPSCGAECGNANETTENASTGQSRIGEDDDGKAEDAEQNMKVKVDADSSNHGTAEDNAIANGDGQA >cds.KYUSt_chr3.31204 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195856252:195859650:1 gene:KYUSg_chr3.31204 transcript:KYUSt_chr3.31204 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHTAVLGVILLLALVLNPNGVEARPAPADGHQKKSSSYSLFIFGDDFADNGNLPLTDPVTQMSRQWAYPYGSSYVDADGNPRPNTPSGRFSNYKIQSDFIATILGLEEAPPAHALTAEKTCDPSGMTFAHGGAGVLDTTSAQKVPTLAKQVDTFRKMVKDGIISEKQLSRSVALVAISGNDYYGNTGVIGLSAPNDINAYIAKVTKEISDNVDQVQKLGVTKVLVNNLHPVGCTPSQTRTNNYTACDVFGNLGASVHNNNLKQVMAAKKNVHVVDLYTAFSNIVDNVPGKGQELSKQFKRKLSPCCESFDSKGYCGQQGESSELLYSVCDKSNKFFYWDDMHPTHAGWEAVMKQLGQGHHQRKLQALARRHGSIFLLRLGSVPTLVVSSPSLADAVLRTQDHIFCKRPQPHTARGTLYGCWDMAFATYGERWRQLRRIAVMHLLSAKRVDSFRSLRLEEAAGFVERIRGQRERAHHQLTNTTVVSRAAFGNKLKDCCTSQLNQNLGGLEPAKVRGMMKELTELLRWVDWATGLDARVKKTAAELDGMVERTLAEHEASRGNEDNREAGDLLDGLLSIFKDGDQGFTLDRIDVIMSRRSYW >cds.KYUSt_chr3.4275 pep primary_assembly:MPB_Lper_Kyuss_1697:3:24340435:24342114:1 gene:KYUSg_chr3.4275 transcript:KYUSt_chr3.4275 gene_biotype:protein_coding transcript_biotype:protein_coding MARADPAAADPDIPAKDAPAEAAQRLQRVRANLRLPDRPFSALSRDHDAGLRALRLLDFARLDLPSSGVPRPDLVAELVATYSATRGRSSVRGEQVEVSLDSFARALLLPPHAPPAGGDADAAARASASREFARVYIGTPAAASTGMKRRLPTIFFDRNVKRGPDYTTELLWELLKQEMEHLVESESTDCVSYYGAFLQRLIWVQRPQLFQPPPETPAEAVAPLALCVWKEQESNLAALCVRKKQESNPPALCVRKEQESNLAALCAPKEEESNLAALCIWKEQESHLPTIHENHECCSESDICRAETSPKQVDMESKTTWSAVSDKGYALTESRQDNSFPRKTASVSRRRALSFEGADVANEVQKLPPVVAAALLPVLHKKRRGRPGKVQTALVEPGNHSPCEVQTSPVEPENCGPCEVQTAPVEPENHRPCKVQTALVEPENRGPCEVQTALVDPEKRSTCKVQTALVEPENRGPCEVQTALVDPEKRSTCKVQTALVEPEKRRVTRGSIKSNGSKPTPVVDQQARPKKKLGAKMMLKKPTPARQQGTMIKQIKRKK >cds.KYUSt_chr6.21462 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135514203:135519807:-1 gene:KYUSg_chr6.21462 transcript:KYUSt_chr6.21462 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGLPDAWSQVRAPLIVPLLQLSVAVCLGMAVLLFLERLYMGVVIVGVKLLRRTPERRYKCDPISEEDDPELGSAAFPVVLVQIPMFNEREVYHLSIGAVCGLTWPSDRLVVQVLDDSTDPIIKEMVRLECERWAHKGINITYQTREDRKGYKAGALKQGMKHGYVRECEYVVIFDADFQPNPDYLHRTIPYLHHNPQIALVQARWRFVNADECLMTRMQEMSLDYHFKVEQEVSSSVCAFFGFNGTAGVWRIAALNEAGGWNDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIVTNKKVTIWKKFHVIYNFFLVRKIVAHIVTFTFYCIIIPTTIFVPEVHIPKWGCVYIPSIITLLNSVGTPRSFHLLFFWILFENVMSLHRTKATLIGLLEAGRVNEWVVTEKLGNVLKMKSANKASTKKSFMRMWERLNIPELGVGAFLFSCGWYDVAFGKDNFFIYLFFQSMAFFVVGVGYVGTIVPQS >cds.KYUSt_chr6.29967 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189768613:189774041:-1 gene:KYUSg_chr6.29967 transcript:KYUSt_chr6.29967 gene_biotype:protein_coding transcript_biotype:protein_coding MGACLSSSSSPPAGAAQRRPRKRSPRGKPADKDGGAAEARAAVEFGYERDFDARYDVGRLLGHGQFGYTFAATERLSGDRVAVKRIDKAKMNRPVAVEDVKREVKILKALKGHENIVNFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGKKFRDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWPGISPSAKDFVKKLLVKNPRARLTAAQALSHPWVREGGDASEIPVDISVLYNMRQFVKYSRFKQFALRALASTVNEEELADLKDQFDAIDVDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFKEFVAATLHIHQMAELDSERWGLRCEAAFSKFDLDGDGYITPDELRMHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNLPSPTGVPNPQAL >cds.KYUSt_chr1.6005 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36890810:36892256:1 gene:KYUSg_chr1.6005 transcript:KYUSt_chr1.6005 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSHCRLLLVCSVLALCLCNQGARGDELTSDFYDYKCPGVYTVVQQHVFSAMRDELRMGASLLRLHFHDCFVNGCDGSILLDGDEGEKFARPNQNSVRGYEVIDAIKADLESMCPGVVSCADVVALAAGYGVLFSGGPYYDVLLGRRDGLVANQSGAEKGLPSPFEPISSIVQKFSDVGLDTTDVVVLSGAHTIGRARCGLFSNRLTSTTSSADPTLDSTMAANLQSLCAGGDGNQTTALDISSADAFDKHYYQNLLTKKGLLSSDQGLFSGDEDVVANTTKALVQKYSDDGEQFFSDFGASMVKMGSIRPLTGSEGEIRCNCRVAN >cds.KYUSt_chr1.6634 pep primary_assembly:MPB_Lper_Kyuss_1697:1:40888264:40891899:-1 gene:KYUSg_chr1.6634 transcript:KYUSt_chr1.6634 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKAAAGRPKMGEEKLIIRSEKVRLFDILSMMILRRPITSYAFVDVGDETARDIGITPGDIFVKLTEIIQKVLASIYTPAKWLGIVVEFFLNFVALNGGLLGILWNIVRFKLVIPRRDAANFRTMIGMIDGRTELKPAPETSAGDMRQLQVLNVLVSGEVADLESGYVTDGTPLIVRTYLILEITVMAAKIAYENAAFVENVVNNVWKFNFVGFYNGWNKFLKEETTQAFVFTDRPEDANVVVVAFRGTEPFNMQDWSTDVNLSWLGMGAMGHVHAGFLKALGLQEEDGKDANRAFPKDAPNGAAPIGKTIAYYKLREVIREQLKKHPGSRLVITGHSLGGALAAVFPAILALHKETDILSRLDTVQTYGQPRVGDDTFAKYLAAEVAKVAATYYRIVYRYDVVPRVPFDIPLIAEFAHGGTCIYYDGWYDGKVLPGDAPNPNYFDPRFLLSMYGNALGDLVKGAFLWVRAGKDYREGAVSLLYRASGLIVPGLASHSPRDYVNGIRLGRIEAKQV >cds.KYUSt_chr7.2518 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14739392:14741240:1 gene:KYUSg_chr7.2518 transcript:KYUSt_chr7.2518 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGAAEASKVVAVRRISPSARPATPRNTTTGTPRPDGFIFFEPISGAKMATSLGTKGLAVTFDSSSSSSDVPSQGDTDSGYGAKAHDKIGQVRGRGFRHENTKMKCVGGGCPLELLPDDADSGYGGKAHEISGQVRGRVFRHEKTKMKRVGGAVHWSCCWRPLKACGPCLL >cds.KYUSt_chr3.24068 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149347100:149350784:1 gene:KYUSg_chr3.24068 transcript:KYUSt_chr3.24068 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFRPLRRIQVEPERVDPPPPAAAGGGETEEMVPAPAAGLLMGAKVRRRAAVYRDCKGDYIGVPNDPCLAKILSKQGDNKVLFADKVLKFTQSGKMKRRILVITDFAIYLVDPDADILKRRIALAAVDKLCISNLSDNFFAIIVPTEYDCLMASTRKKEIVDVIVKAIKSTSEYEPEVASSNRFEYHAAAEVIKEVEFEEANGGVKTRITHKEKP >cds.KYUSt_chr1.25453 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152706908:152709235:-1 gene:KYUSg_chr1.25453 transcript:KYUSt_chr1.25453 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQVGAVVQVAEVAKAAGKAKKSMRCWKCADNTHAVKDCKANHYCYICDKKAHPTARCPVLKLPRPSVFVSGSGLLETYFTAFPDSVVNEDLAPTQSPIALVVVTGDEVPADLIAKQVARRCSDYPNWKWEAVPHVDMQFLVSVPSFEDLDRLDGIQMGVPSFSSNISISAWRSAEVPHKAELEKVWLHVDGVPHTLRHFLGLWAVGSLVGKTVDVDLVSLRRRAVVRIQVAMLQAGVLGDPSDEARPIAKADAVVKFKAFEFRFRREPADYIPEPDFVPLIWVKKDDSDEGGEGAPDGGDDAMDTSEPRLGPSVSGTSQVQQGGPSSSAPRGAQAMAPVYAVTPFNPNPKTPFAIEAVKRLRAISPSLEAPPSGVASPRVSADALRLALDAVSSVSPLPSGLVGDRPRLDRPARGRVCTLARTTPAAARRAAASEAARVGALDGARASAPALGEGAGEAGLRGGSSSPLPPRTSPPSPCGVGSATPLTPCLVARGGSSSALPPRASAAGDGCPLARLAASSTAAAEVEEMPPASSVDQATQPTPPVSALGVVDESPLPPLASGGAGLPSMEPARGGSSTTATPPRASTTTRPTGINAATSIRRSNRHGVGIDGAAATDEDTMAKAMRRKAEANLDFSGTVNSSKSFLSFPTPLMASKLHNVGLSLGTSISSISVSANALRRMEFDRLKFTPTLSGKSDTCFSDEDDEEAYAVSDGQLLSHLVGEVSEVGLDDTALDSCIELQATERKSRLSSIKRKAWPNKKAKIPKSPIVSK >cds.KYUSt_chr5.35427 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223848300:223850604:1 gene:KYUSg_chr5.35427 transcript:KYUSt_chr5.35427 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPAGPASRSRIRGGLAASAPSSRRVASMAYTAAPNQTKKVPEPKVVKPTRTTPAKRRQQLDQAQKQREELAALQEQLSGLQGKLLEKDDALRSAENLIGRISAANAAVDELRSQLSDKESLVESTGSELQDTKIMLADKQASLEKLEWEAKVSSTKVEELEVDVASMDVEISALMKVFRKITENSRTSYSTERSDDSSLECEPVQLDDLVGDIDTDKMEQEMSAYVTALAAAKDNPTEEFLKAVTEARLRLQAFVL >cds.KYUSt_chr4.25331 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159154448:159156655:-1 gene:KYUSg_chr4.25331 transcript:KYUSt_chr4.25331 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAVSFLAAGILFAPDALLGGSPGRPSAGVAAARLAHLLCFATAWGAALWVTFIGGIVMFKNLPRHQFGNLQGKMFPAYFMLISVCSAISVAAFAYLHPWKTASTIERYQLGFLLAALGFDLSNLLVFTPMTIEMMMKRHKIEKDLGIGSEVGGSRNSDIAKTSPALAAMNKKFGMIHGLSSLANIMAFGSLAMHSWHLASKLKL >cds.KYUSt_chr7.20395 pep primary_assembly:MPB_Lper_Kyuss_1697:7:126602342:126603657:-1 gene:KYUSg_chr7.20395 transcript:KYUSt_chr7.20395 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGSERYRELSNIISSAVKMLKKEVGGALDQVSVIIGRGLVNRLSCGADVQKLCSSALEIVDSTLESTLVLETNNNLKPPGLSPSTEYFFKVLPFGSTQVFSDCEAKCSTRSLDRGSSQCSTQNSESMCAKEDGPQYQKKESNVQKQQRDTQYESPKGSTNSSENNESPERHHKRAKIARLDGVSDNDESQQLLASEVVPFTSSNSCPSEPPNKPDWLNSTPDSACKNHAEQQYDYCVRVIRWLEYRGHMDEELRVKFLTWFSLKASAKDRRIVSAFVDVFIDDPACLVAQLKDAFMDAVCSNEKPAPSKVPCFSLWH >cds.KYUSt_chr2.7130 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44652371:44656880:-1 gene:KYUSg_chr2.7130 transcript:KYUSt_chr2.7130 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALCRRPCQHNATRTLPTLFLDVMAWDVLDDAAAGLEDLLDMDDDIVLNFHQLSDEAVDDLFRDIQREAIEANLSEVETNVIRKSIGGARTSTYTAFWRIPNQGMWAATKSVDDTANLFPLHGLHEGVVVELLSQSNHTWELRLGFYMRSCPQAENIIREAVRSATSKNPGIGAGLIRLHFHDCFVQGCDASVLLDPTPANPQPEKLSPPNFPSLRGFEVIDLAKEALEKVCPGRVSCADIVAFAARDAAFFLSRARINFQMPAGRLDGRVSLSSEALQFLPPPFFNLSQLITNFKAKNLDEDDLVVLSGAHTIGVSHCSSFTGFLALNPPAMEPAFAAQLKRKCNVSNDPTVMQDIATPDQLDNRYYTNILKRNVLFASDAALMSSQRTSIKVLENAFIPSRWEMKFARAMVKMAAIELKTAANGEIRRMCRVINN >cds.KYUSt_chr5.36657 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231738005:231738238:1 gene:KYUSg_chr5.36657 transcript:KYUSt_chr5.36657 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNAIHTDPPGLGKRDYRYLMLMHPGRPAARDEDCGILSTDINSAPLRTATACRQGRFTQPDLPAFLNSSRQILHS >cds.KYUSt_chr7.28604 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178376364:178380178:-1 gene:KYUSg_chr7.28604 transcript:KYUSt_chr7.28604 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGAGIAVQEDGSLAALGATVLTEVRDNVLVTPAAGGGMLDGAFLGVRSAPAGSRSVFPVGKLRDIRFMCTFRFKMWWMTQRMGSSGRDIPVETQFLIVEAADRTGDEQSAVYTVFLPILEGSFRAVLQGNEDDELEICLESGDPAVESFDGTHLVYVGAGSDPFEVITNAVKAVERHLQTFSHREKKKMPDMLNWFGWCTWDAFYTDVTAEGVKEGLQSLEKGGAAPKFVIIDDGWQSVSMDPAGTACISDNAANFANRLYDIKENYKFQKNGRKGHREDDPANGLAHIISEIKGKHELKYVYVWHAITGYWGGVRPGSDGMEHYQSKIQYPISSPGVQKNEPCAAFDSIAANGLGLVNPDKVFSFYNELHSYLASAGIDGVKVDVQNILESLGAGHGGRVLLARKYQQALEASIARNFCNNDIISCMSQNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSLHPMAEYHAAARAVGGCAIYVSDKPGNHDFDLLRKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKRIRSLASRVLARSSAVISIGPKPLEQFMSLTSRVALALFCGVTQAPATTALVASGPDPPACSAWTSVLLFLLLSAGFSIEHSCKPSVSTSPAYQSIEPD >cds.KYUSt_chr6.6185 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37307938:37312658:-1 gene:KYUSg_chr6.6185 transcript:KYUSt_chr6.6185 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSQFASSSMNEIIDKYSTHSKNLGKADQPSLDLNLEHSKYANLNDQLAEASLRLRQMRGEGLEGLTVDELQQLEKNLETGLHRVLQTKDQQFLEQINELQRKSSQLAEENMQLRNQVSQIPIAGKPVVADTENVIAEDGQSSESVMTALHSGSSQDNDDGSDVSLKLG >cds.KYUSt_chr6.2005 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11914382:11929420:-1 gene:KYUSg_chr6.2005 transcript:KYUSt_chr6.2005 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVCEGKDFSFPEEEERMLKLWQHIDAFHEQLRRTAGGDEFVFYDGPPFATGLPHYGHILAGTIKDVVTRHQAMRGRHVSRRFGWDCHGLPVEFEIDKALGITNRQQVLDLGIGKYNETCRGIVTKYVAEWEAVVTRSGRWIDFRDDYKTMDLNYMESVWWVFGQLWKKDLVYKGFKVMPYSTGCKTALSNFEAGLDYRTVPDPAVMVSFPVVGDADNAALVAWTTTPWTLPSNLALCVNANLVYAKVKDKSNGTVYIVGETRLGQLPVKAKAAGKKQAKGSTAEASQGGLDTESYELLEKFPGSSLVGKKYTPLFDFFLELQDTAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLSGGIIEASGLVVAVDDDGCFIEKITDFKGRHVKEADKDIISAVKDKGRLVSKGSIEHSYPFCWRSGTPLIYRAVPSWFIKVEKIRDQLLECNKETYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPVWISQDGEEIVVIDSIEELERLSGVKVNDLHRHHVDDITIPSREGRGVLKRVEDVFDCWFESGSMPYASIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPVDVINEYGADALRLYLVNSPVVRAESLRFKRIGVFGVVKDVFLPWYNAYRFLVQNAKRLEVEGLGAFSPIDQASLQKSSNVLDHWINSATESLVSFVLQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEVDCKISLSTLYHALVTTCVAMAPFTPFFTEVLYQNLRKVSSKSHESIHFCSFPSTTGERDERVEQSVNRMMTIIDLARNIRERHSKALKTPLKEMVVVHPDSEFLEDITGKLKEYVMEEMNVKTVTPCNDPMMYASLRAEPNFSVLGKRLGKDMGKVSNGVKKMTQEQVLAFEQSGEISFFGHCLKLDDIKVVREFKRPANVSEKEIDAAGDGDVLVILDLRADQSLIEAGVAREVVNKIQKLRKTAQLEPTDLIDVYYKPVDDCSSRLEEILLSQDQYIREVLGNSLVPKAMAPSDTVVICEESHGVHDMSFVIYIARCMPVLAPDLLPHASGNSDHVDALRVYLSSRSISRLKNEFQTGNGKPPDRAALPPPPRGLVGEATLTLRMATLRSLKIKTSTCMRVAKELWSYEEVVGREAAKAAVMKNRGANLDDLKQQLFQSIVGRIDEIAEAESDQRLKL >cds.KYUSt_chr5.18407 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118915446:118918598:-1 gene:KYUSg_chr5.18407 transcript:KYUSt_chr5.18407 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLGANFFSQFRSKGLMNLFKKLCKQNQACKYTFLRGKLDEFTKDHVRHRLAARAALVAAHAAAVAQGTQVPVGPEPDPIGLCDLPGFDPPNTRRRPGRRIKIFAEWIEHEPLERWSLLHDTHGARYGVMTTNLAESYNFVLRGNRALPLTALVEGILYGTMNYFKERRELALKHMLENPNTPYCKAIMEYMDVKMEKGMSHTVLAIDMAARSLLDPLIDQKHRASHFEADPQSMEPLQTRTSKKNWMIHPQWEDRLKWAGLLPFARLVEARANVSRLNYDAALITCLVDRWRPETHTFHFRWGEMAPTLQDVSMLLGLPLAGEPIGPLEEPVGWMHTMDARFVGVREGVGPISFEAHGPRQAWLHEFQVPLPPRVHAYNRKGANKTAAGWLQLLAPYITGWANAPAVSWATMEAFDLQEFEHYLRAYMPRTRLRLSQACDPVQRDPSTMWDTYPRHSTSGTRHHAAVLTAELQDDAAQYARSLSSGPLLGRYEHHASFAQRLQDKLRRIYATITCTRSSDVAEYRAAQRPPRPSLQVHQPRHAPRPRMEVPPSPRPPSPNQAGGSGWQNQQQQEPTYEYWQRAGFGMEQQFPMPNFGWRPRMDEPEGEGHMSTGSGSRSFWSSAHDQDETQQSYQDWMSSQQQTPPPDPTQYSQHEQGYMLPPRHRQPPVRMYSPSPFQARPPPRRGGGRGRGQ >cds.KYUSt_chr5.11698 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75870163:75870657:1 gene:KYUSg_chr5.11698 transcript:KYUSt_chr5.11698 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPDQARPPGSACVWVVSALLLLAVLAGGGCLVLYLALPPAEVPQWLPIAGLALVACPWAFWIATCAYSCCCSRSLPPAPAPAVAGNVERQPSRSAAVAPLPSSTNIKSALSKKHLRSPVASTNDARRVHFGDSVILGEEKDDASSVGSHESEAPLAYSMDSS >cds.KYUSt_chr6.15465 pep primary_assembly:MPB_Lper_Kyuss_1697:6:97130360:97133630:1 gene:KYUSg_chr6.15465 transcript:KYUSt_chr6.15465 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAQDVSRVLDKMSEKEEVAFTLAASTAQLGEHALANAAVCWRARRVRNTRPDRHVAFRSRCPPIRTICFANWTDEYIAFKVKTTSPKKYCVRPNNGIVAPRSTFDVLVTMQAQREAPQDMQCRDKFLVQSAIVSQDIAPQDITGDMFTKGSGNVVDEARLKVVYAPPSQQASINEGSDQESMGSLSYQETTRELAEPETITSEPLALISRLKEEENSAVQHNNELREELVRGLYLIMQTFLLLLIDHTVSITLKIPSVYAGL >cds.KYUSt_chr7.35525 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222010186:222016257:1 gene:KYUSg_chr7.35525 transcript:KYUSt_chr7.35525 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGCLKRLQKEYHALCKEPPPQIVARPLPNDILEWHFVLEGSVGTPFEGGYYYGKLKFPSDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIRSTDGEKKRLAKASLSYNCESKNCPHFRKLFPEYVEKYNQQQEMEQTAAEPEPQDNPAPAPPAVQEAAALVNNVGKPVVEGRGEKKQKTRLPFWLMVLIVSVFGAVMALPLMQL >cds.KYUSt_chr5.22389 pep primary_assembly:MPB_Lper_Kyuss_1697:5:146078974:146083344:1 gene:KYUSg_chr5.22389 transcript:KYUSt_chr5.22389 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSAVFVALYGLKQAPRAWFECFASVVTAAGFSPSAHDPALFVHSSPRGRTLLLYVDDMIITADDLEYIAFVKARLSEQFLMSDLGPLRYFIVIEVSSTTSDGFFISQECYIQNLLDRAALTDDRTVETPKELNISLCASDGAISIAPDTVKHELTKHNGVDASFVRASVQDQIIALQVKYDDELAAALQNALETKKLSLMDNIGIVDDSHALSMARQQTFASLLRLLYCYRGEADFSVLSHVNSVTTSIAKISVDATPGLAGDIKQLLIKILLSPAEKLGWDPKNGESHLDVMLRPLLLFALVQLGHGKTINEGVRRFNIFIHDHNTSLLPPDTRKAAYLAVMQNVSSSNRSGYNALRKVYRESAEGEERLHVLGILSSCRDKGIVLESLNLIFTNEVRNQDAYIAISGVQIEAREIAWTWLKVLLGNLQLVFS >cds.KYUSt_chr5.11233 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72967739:72973398:-1 gene:KYUSg_chr5.11233 transcript:KYUSt_chr5.11233 gene_biotype:protein_coding transcript_biotype:protein_coding MSADAPVPVPTLRPDERAGILTLLAAAARPLGDVVADFLARFPRERRLRVGATLCFLLEASHHPSHPLAYSSLDKKMLHPTGRLIAFAILHQSYSSQPVNPYVPLLLDAACDETSDKSERAFVQLLLMANGNNNNEVLQQSAVDYINGPDSASQALLPREQLEKQYCGNSVQPQPQASSFRSATVRCAIPDPDIPQSCANSSEISPPGGKQECASDDRESALNALLQEKSWGRLGPQWIRPTPPRLHILDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLGPGQQEQVVIELAKDSKLVYHCGMTPQKLPDLVEHNPLIAVELLSKLMNSPDIAGYFDVLVHMEMSLHSMEVVNRLTTAVELPTGFVHEYISNCIQSCQNIKDKYMQNRLVRLVCVFLQSLIRNQIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >cds.KYUSt_chr6.6251 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37757369:37758784:-1 gene:KYUSg_chr6.6251 transcript:KYUSt_chr6.6251 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTANSRLEPAGRKRNMAARRTKKQQSQSATADRITALPLDLRARIVSYLSFCEVVQLSDLSRSWRHIHHHVPVVDLNLSEFVAFKKHIIDEKLAIPGIVDDHRLLGIRVTLAHRAREGIGSKVETLRLAYSAGDPRVKRHADRLISLTDAPKICLDVSFAAVDRLPKRLNWKVDLPPAARHLKIRSMDFRKPTPTIAGPGAAALRKLYLHEVTLREWPRLPSLRSLRMNMVTVTTAFTPGVTCPLLEQLAIWDSTIKHPCVDIRLPHLKILDMDDVGIELPRASDFLFPYGDVTVDAPELEELMVICSTGCSVEYKSFTLRAPAMRYLQWFGQFAELVHIDVGKPGSVSGGTIQFTSNGKLEAMSYREMKDYRAQMMQMLHGLLPHLPPLTVADTAQPYITSKTRTVMDEDLEEMIPEETLTCDLGQLMARDV >cds.KYUSt_chr2.54042 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337352285:337352566:1 gene:KYUSg_chr2.54042 transcript:KYUSt_chr2.54042 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKAKASSWAAAMSVGAGTAEALKDWHAALSSWNHAFRSFQQGGSTTAAAGNSKSRAVPAAGGAATRRKAKQEQEEELRTVMYLSNWGPNN >cds.KYUSt_chr6.404 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2489161:2494946:1 gene:KYUSg_chr6.404 transcript:KYUSt_chr6.404 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRRGATLLEDLPEEIIDNILVRLTSKDVGRCRAVSTSWRSATSTPEFMLQHHRRQPSLPLIYGREMLVAFGAGALWPFLPDTKHSDEIRIRGACDGLLAISWRSRSYVCNPVIRARVLLPQPQPCQDVAMGFAGKHIHNVIIGFYRHHPTGEHRVLWVVSRHYDEYSLYILTVGCDTPRHVEIRLPTMPEPVLRVDSPLPGQTDALPGGAAVRRKLRRGAGVQIVDFRCSLSSMAYGSRSSELVGWLRCVLGVVVLAAALWQVRPVGAWIPEISVNKVGFWSYSARAAPHATDPSTSAISTMLPWRKLEEEAACSSSTTNKCVLPSDQVEPATSLVLPSHHGGGGELGIHLNSDLFGSGGWGDVVIAYARRSASSMHLSPLRARPAVDSHGRRRPLPTCTPLSNLWCKFVAGISASKVEKELDLDMIAFSVLVLRRRPWPPLQAQLAEARVELAAASAVAWANLEAELAVPMTRQLAIMADAVIDDMDDEDEHDVDFLPYDCEEGAFMRAEQRALLASF >cds.KYUSt_contig_7589.32 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001601.1:247665:248168:-1 gene:KYUSg_contig_7589.32 transcript:KYUSt_contig_7589.32 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAPHLLLHGVSLDLRLDTTTPTLHHRGGARRPASPVAAAAAADREAFACNYCHRKFLSSQALGGHQNAHKLERTLAKRSRDLPSGPTVPAAPSSTSPAWPAHWLDGGGELWAYPASGAVESATMTAPVLGMGMGLGWAGGATTNGGGISNGEAVVAEMDLSLRL >cds.KYUSt_chr6.15661 pep primary_assembly:MPB_Lper_Kyuss_1697:6:98429588:98430286:1 gene:KYUSg_chr6.15661 transcript:KYUSt_chr6.15661 gene_biotype:protein_coding transcript_biotype:protein_coding MNQASTTGVSDEPSSDPSKRVSGCFDCNICLDFAVEPVVTRCGHLYCWPCIYEWLRLDGEHVGASTGRPCPVCKGPLTLDSLVPLYGRGDSRSDKPLPHPAIPSRPTVQISGGSDLQQVRTEPDWLTRSPSQAHSHAGTARFNVLYPPSPLGRRGVNVMHSTAGGVLGGMAMAVLPLVLRGEVPVPSAYYSSPSALQNMSPRLRRQHMEVERSLHQILFFLFVFVVLCLLLF >cds.KYUSt_chr6.579 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3601989:3603406:-1 gene:KYUSg_chr6.579 transcript:KYUSt_chr6.579 gene_biotype:protein_coding transcript_biotype:protein_coding MCYFCYRCSPTFRAIWRTQQVGETKPYSYLAVVFNSAMWVEFGIPAMLKLAHGHRHTLADWLGVPLMIANVIGVIVELIYVGIFLRFAVGNRQRTVISLLGIALVSATVFSVLWVKGWSRTFVGYFAMFSGVAMYAIPVYTTREAYRNNDVTQISLRQLVPNLAGEVSSPSRASRHDLRQAPPRQPLVSPTAFFDLNCISPEEEKDQNHQACTYPTCNLDYRQLWKACPSLFSDDH >cds.KYUSt_chr3.22105 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136147117:136148209:1 gene:KYUSg_chr3.22105 transcript:KYUSt_chr3.22105 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPSIPSIKVKVGRVVPPSSYRACRSFAVIRSSSAEGPRRPSAPPLSPPPPMPPKGPSLSSPPSLSKPPTPVKPAAPSPPPPETKPAASVAAGPPPVGGVVTFEYQRKVAKELQEYFKKKKLEETNQGPFFGWLAKNEISNGRWAMFGFAVGMLTEYATGSDFVEQMKILLSNFGIVDLD >cds.KYUSt_chr2.523 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3169397:3176091:1 gene:KYUSg_chr2.523 transcript:KYUSt_chr2.523 gene_biotype:protein_coding transcript_biotype:protein_coding MEHWTAVKNILKYLKRTKDMFLCYGGDQELVVNGYTDASWNTDPDDSKSQSGYVFILNGACDKLGKLEAVHGGEVFNGIEYIAASEASSKRSLRRRLRLPHPVRRHAPTAGTVGLRNPASREPVRVRGNHVFGERSGATTGITTSSSNDEFLHTDNFFPDLSDFFDNLNMGDNDAAAKETKDNPVDPAPFSLLSPPFSHGNLRDIRRSLPRLVDCRRHLRMEAPVTAASIFFTLAGSRRRRNELRAAGLDADEEDDAGGLDGAELAGPRRGWGLPEQSSPGRRSTRAGPFGAELAGAEGDAGGSRTLARSHGG >cds.KYUSt_chr7.19923 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123463693:123464382:-1 gene:KYUSg_chr7.19923 transcript:KYUSt_chr7.19923 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRIISTTPRSMTKHIQFLAVALSLLAAGATSGDHQHACPDVPSMTAVKACSVVCGTRHMHRLCLRTLLGRRSRRAASPVTRYAAVAARSALDAYDATKAAARQALLYDRRGGHAVLPSDERVAYAGCLHGYEAARRSMSHIADDLARAGNSSTCDGAADLKQDYMGGLRGMDACRRRLLAYPASPLSDRNLADRNKTLLAGLLCSLVPTPPEKRRGVDAYRSMGMHP >cds.KYUSt_chr1.9722 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59431877:59432158:-1 gene:KYUSg_chr1.9722 transcript:KYUSt_chr1.9722 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPEYCGKPTRRGRPQRRPSHPR >cds.KYUSt_chr6.4724 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27690768:27698150:-1 gene:KYUSg_chr6.4724 transcript:KYUSt_chr6.4724 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDMDDLPQTPRSVAGEDPDLSMFSGEADLAAAILTRLGRSQREDDQHLCATAAAMAQAVRDQAVAATTVAYFAAAAAALSPLARAGPGAADRNVAGALLAFLSAAVPALPLAVVRARGRQVADDVARVLEFPSTPDSGVRAGLRCLAHLISAGDKSSWEAVEPLYAVVLRLATDQRLKVRRQSHSSLRDILLSFQRQPVLVLASQAISRAFERFMLLAGGSSNGSAAEGPKGANEIICILDALKGCLHLMTSKPSNDILKYFKVLLNVHQPILTRSILEILHAVGDSPTLQLKPDVLLDLICSLGLSVSTERKSGDELASIARLLNVGTRKVYSQNKNIFVVKLPLIFTSLGDILASEFEEARFCAVETFKGLIDNCIDENLVSQGITQIKARHQGMRSDPTVIEKICPILEGLLDVRYTDVWDKSFHVISVAFDKLGESSSDLLPEALKNLADMQNLSDDDFSFRKQLNACIGSAVAAMGPKNVLDILHIQSICAENEWILPILERHIVGASLQFFLRDILGIVRAVEKSIPKLLKDDKLFSAKRAEGYVYSLWSLLPSCCNYPCDTSNTFKVLQNVICDTLKNQPDLRGIICSSIQILIKQNKEALSITSEEDILVEDELSKSERRAKNRYTKDFAEENLKEIRAFSKEFLDILCSIFLSSSKDAIGFLQPVISEIASISDKDEVGNLFLDTIKKLLAATKAVNAQQVDDSSMEIENNSNTNNMMRALLLDFAASLMPGLAAKSINVLFSYVKPAIKDSDSMNQKRAYKVLSMLLKDAEFIERNLDVLLELMISSLPCQFPSKRYRLECLHHLIVHIFKDPSNKLRKREIVSSFLTEILLDLKEANKKTRNRAYDLIIEIGHACEDAEIDGRKANLRQFFDMVAGGLAGQTPHAISAAVTGLARLTYEFSDLIGVAYKLLPSTFLLMQRNNHELVKANLGFIKALVAKSKADVLDEHLKGVVEGLLCWQSDKKNSLKAKVKSLVEILVKKCGLDAVKAVMPEEHMKLLTNIRKINERKMRKGKSSEDGEAMSVASGATRRSGWNHTQMFSDFGSDDEDSNGSFPDQHTVASRNGSKASTRSNRKRQDRNLQEKFIDHSTGEPLDLLDQKTMRLALKSTGKKRAAPDDDDDEIELDPEGRMIIREEREYRKKKPISRDEEADDKSSVRSQSVKRRKVASAGWSYTGHEYTSKKAGGDLKKKDKMEPYAYWPLDRKLLNRRSDRKASARKGMSSVMKMAKRFEGKSASGALAARRTQKHKHKKNK >cds.KYUSt_chr2.53891 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336384805:336391879:1 gene:KYUSg_chr2.53891 transcript:KYUSt_chr2.53891 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSVRDLYSLRARTRIPRPISSEVQSPTFLQSRRNSSKASQQSSAQNPVPKPQEEPPQSGSKVSKLLLGTVVVGAAAVAAHQAGYIDLQFKDKKLPSTIRDPNFTKMYENLKSPFEQKVDQKQTMSDPNNGIAQETPKDLPTKEVNAAESPAAVEQPTPAEEKETETLTQVQDKQESDAKLPSQDTLSFHAKPNVVNDKAASEVAPGQSDKIDSTVSHLQSSPSMAGPSHDSHADTDAPKDTFAAGAGEQKSLAETYLLREEPGITKDVSAKETRSDGVVDGVKASDDGKIMLDVIEAIHAAEKKQADADAYKYSEEKRKLKEKYETQLKDTRARELMRMEEVAILDKELKKEKDKAAAAIKELKEKSEKKLVEELQQKDEEASQEVEKVKELAKAELAAALAKEKASQIEQIAEANLNIDALCMAFYARSEEARQSHSVHKLALGTLALEEALSSGSPIRTEVDILRKSLEGIDKDSLLELALSSLPEDVLEYGSDTRMELKQKFNSLKETIRHFSLIPSGGGGILTHAVAHVASTIKIKEDQSGDGIESLISRVENLIVAGDLSAAADALTGGLQGTEAEEIASEWVNQAKKRAIAEQTLALLHSYASSITFS >cds.KYUSt_chr3.6890 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39598355:39601997:1 gene:KYUSg_chr3.6890 transcript:KYUSt_chr3.6890 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPQYDLVGNPLGAVRTTFEKAAAAAAAESGGRDPVAAFRSKDWGASEVFRSFLFDQGGIDKVPLLDASNLGLIKPNTLVRFRGMVQDMLGNEFYAGAFKDGSTWRTNKFTDFSPFAMPHPCDSHLWERHLFHCVPVPGQNTWTLDSTPGPDLRQMSSCMSPEQREKRKRDGDDDIMDVSENGNGESSSSKKPKEDAVQISSNSAETTATEAVPEMNGNDHHIPGSSFSCLVKIYDMPESQVKLNDVGEFIGVYTFDPELAAPNDNSDDIMFDLIEDVTAQLPPSKVPRLHCLVWRKLSSQDFLAKQPVEPLPSLLKGIRQSLLSHLTQLLGNDDLAAQCLLLHLLSRLRTRVDVVTVGRLSLNFTGFNRESASIFGNQLNTLIQRLVPYSQAIPLSIEYLNTTTLQPRKDNKSGRLVTGVLQLPQGTHLTFDETIMQSGSLTSKGVENTVLLKNLMDSMMVEYDFEYYKLEMATDVQMLTLSEGKSNILPSDLVVPFRPSTVPAVTATPEELESWRWYLATVRSLPQSNEPEILQTIQDEMVNAMREDRSLGCSELSRWLTMAQITASSFGEKSLSMEHWQMVKELERLRKQRMQ >cds.KYUSt_chr2.50936 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318611359:318617032:1 gene:KYUSg_chr2.50936 transcript:KYUSt_chr2.50936 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQFLSLPTASSPTAHLLPPKPFFKPLSSASASSFRRPSQPPPPPPKPSPPPPPPPPPPPLPNPLASKLWLSSKLSPPPPPPPPPTQAPHHTPPPPPDPEPAPEPEPAPCDEEDFRQKGKVFVGNLPLHVRKAEVAAFFRQFGPLDKVELVRARDDPERNAGFCFLYYSDSSAEASAEAAAEVDGVDFRGRSLTVRLDDGRRGRARAEDRARWVDQGRGREPASPWHRSRDEACREFRAVVESRPQDWQAVVSAFERIPKPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKAEGIELTIVTYSILIAGFGKINDSQSADKLFKEAKTNLGDLNGIIYSNIIHAHCQSGNMDRAEELVREMEEDGIDAPIDAYHSMMHGYTIIQDEKKCLIVFERLKECCFTPSIISYGCLINLYVKIGKVAKAIAISKEMESYGIKHNNKTYSMLISGFIHLHDYTNAFSIFEEMIKSGLQPDRAIYNLLIEAFCKMGNMDRAIRILEKMQKERMQASNRAFRPIIEGYAVAGDMKRALDIFDLMRRSGCAPTVMTYNALIHGLIRKNQVERAVSVLSKMSIAGITPNEHTYTIIMRGYAANGDIGKAFEYFTKIKEEKVIEEMAGVGLKPNLKTYTTLIKGWARASLPDRALKCFEEMKLVGLKPDEAAYHCLVTSLLSRASVMEGSTYTGVLSVCREMFENDLTVDMRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEVLGEVSDSVNTGDSDYSSDSDLSDDGDENQDVDDN >cds.KYUSt_chr7.11709 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71947069:71948472:1 gene:KYUSg_chr7.11709 transcript:KYUSt_chr7.11709 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHRRRSHRRRRADDSVALPTELVLEILSRVSPSTAVRCAATCRPWFRMVSRRSFVRRASRPRRALLLGFFAFDHAEDDDQSTLPPRFVGGSSGGWRCPCVPRLELDDGDPRCRDMHGMHPVLSRNGYLVLSRAEVHADEPTTIRYCVCNPSTGLRRFLPPFRVHEGIGFWEYGCALLTDRDFISFDEDASTPSSFKLVVAGIHAEWMELYVDSFSSRTMTWTTSTCRIDIPCHRIAMVNADDGKYSSHFYRDRDCNLEMHPKNPPAVLDNGLIHWQCSCDDGTRVALVLELRTQDVELLDLPGVSRCSAAYWDMGLVLGSRGDVDGDAVLCTFGLSAENGLVLETWSTLKRRLDGAICTGGRTWHRSGDIDLRRAISGCSGGAGGNDDVGDIGDVKLLWYCEKSNVLVFNTVALGNFALDLETERAEALGEIMHCNSEFCARNACVWWRACPYEIDCPPAWITSN >cds.KYUSt_chr5.27970 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176966846:176967643:1 gene:KYUSg_chr5.27970 transcript:KYUSt_chr5.27970 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRSLNLHRVAKDNFGAETGTQSFADQKAEKGNSVVSLWDLLHQTLSRRSSGNSQSPSSVSRSFPPTRMAGDEPLTAAVQPPTTELDEDLVEILEEGSGRLDITRYVDHVRDLAAGAIATFEGTTRDHFDGRRVVELRYEAYSAMARRRLEAILREARAAHALRRLAVAHKLGPVPAGEASVFVAASAVHRADAMEACRYVIDEIKASVPIWKKEVYDDGEVWKENREFFDRADAVTDTGRKKGHNKASGGGCCGSKVRVMEES >cds.KYUSt_chr7.17697 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109584587:109584838:-1 gene:KYUSg_chr7.17697 transcript:KYUSt_chr7.17697 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPTRRSRTRASGYLSLAKWTSSLSAPSPSSAPPCPAALSLQVAVYWWCGDAAGHVSTTVSTPVERPLVCNLNVRVLVVLR >cds.KYUSt_chr2.43756 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272329773:272335430:1 gene:KYUSg_chr2.43756 transcript:KYUSt_chr2.43756 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYTTEADASGDGADVEEAVEAGTLRHRHNAAKDGVDDGDDIQRNGATHAVAGEEASVERAFEVNKVPTWREQLTIRAFVVSFFLAIMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTGAIERAGFLKQPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFGMSEVIAKIDEETNTPANVKDPQIGWMIGFLFLVSFVGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGRFFSFSFLWGFFQWFYTAGDHCGFGSFPTLGLQAYKNRFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGLMWPLIHNKRGSWFNADLGDGNLQGLQGYRVFISIALILGDGLYNFVRVLYHTISSFIRMVRENSTIPVSDEGSATATGEPMSFDEQRRTELFLKDQIPKPIAFAGYAAVAAVSIATLPQIFPELKWYFILIVYIFAPVLAFCNAYGSGLTDWSLASTYGKLAIFIFGAWAGASHGGVLVGLAACGVMMSIVATAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKSFDVGKDGSEYPAPYATVYRNMAILGVEGFSKLPKHCLTLCYVFFAAAITINLIKDNVPSKIAKYIPLPMAMAIPFYIGPYFAIDMFVGSVIIFVWSMVNKPEAEAFGPAVASGLICGDGIWSLPQSILALAKVKPPICMKFLSRTVNARVDTFLGN >cds.KYUSt_chr2.3866 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23344238:23355657:1 gene:KYUSg_chr2.3866 transcript:KYUSt_chr2.3866 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKARPSRAGGPAAAAAAEPDSPSAPSKSKRAAKGEARRDVLVEADGGARGRSADDCRDIAELVIRGARLDGEGQDGVDLAALEEAYSTLGSGRRSLRLRVRDAPEDGFRLGHWPVVPADCVLLEYHSDKFVAGSVFISGRLDGPDEGVSGLVHLISLGLMTLRVELHSFLQMNDAVPSVALRVRVEVTDKAFAACESLLEVARHPWRKSLMNVMAWVRPEVTTSATIYGMDGLVQPLDGGADCDFTPKSDSQFDLAAFYEAVKPSTDAVLLEEELPGLLPHLRPYQLRAANWMVQREKGSAAVSSPYEGFVHPAPYCVPVDFINKKSRMFYNPFNGNVSLQPEPSPPYVSGGILADEMGLGKTVELLACIFAHRSTFSMECSVSQNRKELDRISRQKRDRIECICGAASESSAYKGIWVQCDICDAWQHADCVGYTPKEDLTFDDDNMLSNCEKGTVKSKRRRRATYSIAETEESYICAVCLELAEAAQTTIFSRATLIVCPSPILAQWHSEITRHTRPGSLNIRIYEGARNLDSASNQRNYLIEISTADVVLTTYDVLKEDLSHDSDRHDGDRRFLRFQKRYPVIPTVLTRVHWWRLCLDEAQMVESSKTSVTEMAMRLSAQHRWCITGTPIQRRLDDLFGLLRFLRTSPFDTYRWWVDIIRDPYEKGDMIAMNYTHKFLKEIMWRSSKIHVSRELKLPPQEECFSWLIFSSIEEYFYQKQHATCMDHAHEIIRRLRNDANRREPTSDSNALSNVYLSNNDTAKLLVPLLKLRQACCHPQVGSSGLCSLQRTPLSMDEILKVLIGKAKVEGEEELRKVVVSLNGLAGISIIEQKNQEAISLYKEALDFARQNIDDFRVDPLLNLHINHNLAELLRTSSEYLPECPLKVRTSVLCYKRKRKETSPAHSELCGIKRNKISENSGSDLAADGAETSEDINIIGQASTSVELDAENKSGCHSSFECFADGCLRKTCNTLKEKYLSVFTTKLLIAQKDFRASMEEVTTLNKELQNQGMHWWLYALDSIDKNKESADELLKKVDSFSTKSTTGLGTAGISSRVQTIAGLKYTIQSGIDSLQGSRQQLMIRLLEIDKTMDNPRDEDIEGQRYCPKCYDGTGSLCMQCELDEQFQGYEARLFVVKKSNNDSVIASIDEAQDLQRRKYELNHFFRNKKANEGSEVGGDNNNPRSARENIQVYRHPSWTETALKAVRTHSKKVLGNQYAEIAKKHLLLFEAMRKEFSLARSLSICQNQLLRAHDEIKMSISRLQLKEDDDEPSAVNIVTREELIPYNVQFTSDKFLALASLARIRGQLRYLKGLMLPKSGNTADTAASFPATGQTGSEILEQCPVCQEKILEQKMVFQCGHSMCCKCCLYLTERAAGRHQKWIMCPTCRQRTYLENVAFVVEKQSENADKQAEDLAESAISVQGSYGTKIEAVTRRILRITSTDGAAKILVFSSWNDVLDVLEHSLAANNISYARMKGGRKSQVALCQFKGQASSIKGEKVKNAVPKMRHVQVLLMLIQHGANGLNLLEAQHVILLEPLLNPSAEAQAISRIHRVGQDKSTFIHRFVVKKTIEDSIYRMNRGRAVCSTINRKSKNFKDELALTLKDVESLFPVKAPDQPPDEESQNHGDSLRSLPPSVAAGLAAEMRATNGAT >cds.KYUSt_chr2.41887 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260749252:260749947:-1 gene:KYUSg_chr2.41887 transcript:KYUSt_chr2.41887 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAPVWSLTPPSEFKPSGHEIIAQYLTPRALRGDTAAAPDLVADDVDVFSAATPAALPFPHSSGSRSGEVWGYFYGDYPIDGARPVPGGYWVRYGPEKEYVRGHGRAKEAIAFRRRLVFLTAMEGGDGRVVVGPSPFFMKEYRLNKGATAFRAASIRPGPKANMDCVVRKIFAKTPPPPPACSSVEQEIPASRYVDEDAGYSSDEDQKRYRCHVEEEPARRRGPLLLV >cds.KYUSt_chr1.34448 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209720055:209720990:-1 gene:KYUSg_chr1.34448 transcript:KYUSt_chr1.34448 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSHCEDMLAYAAAAAGRRAAALIDPRRYRPNVEVAPSCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKAVRTVAETAASRDAAAFSPRLHGPVIRPDLLLEGMTGSPPEPGHHQPVSSGSGKQAGAADGSTIDLSLIYSRFLSPQPLVEQCAVVQESVDNLSGSSTDEVSPPVLSDPSQHGFVELCGPVSCAEPSSATTMLQCADAARAQALSEYNNFSVDQSCYDSLELQTDGGNLVLPSTWGQEAKYEPFDSLPEDAMGLPGGDDVWSKVLGCQGLEAALCRP >cds.KYUSt_chr4.41534 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257002714:257003304:1 gene:KYUSg_chr4.41534 transcript:KYUSt_chr4.41534 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSTPSEVLEAGSLQHDLLPHGGTCASRTPTSRNGSVRNRRETPARSRYPALCDAPACCAPTGQRRRGLHVLARCSLPRPNQLRAGRPPPVALLLPVPREPSLPAQCCSPRHPDLSHGERARPGGVDQDHEPRELVHQPVELALRRVGDVDGLEKAAPMARPISTGSMHPSLTEPSAGPPSRASTAANTACLTA >cds.KYUSt_chr2.14373 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90966706:90967437:1 gene:KYUSg_chr2.14373 transcript:KYUSt_chr2.14373 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAGEEAVRRHQRHASGQPHLAVPLAKSDAVAGARRSSSRTRVSSRASRMRGVLGGARSSARDDGAAPSAELPSSGTGRELRPRRRGRGRGCARGWVRSSATGRDLRLWRRGHARGCARGGATSCGTGREMRPDLPGGVHFSACLPQSTASSTQLSMGFDDKEGSSSSSRLHTTPLLPHHGGAVACLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWAAGLILLLAVAGWAAGWATAWAR >cds.KYUSt_chr7.16859 pep primary_assembly:MPB_Lper_Kyuss_1697:7:104425441:104425674:-1 gene:KYUSg_chr7.16859 transcript:KYUSt_chr7.16859 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFARSFVLVTALLVLCLLLPCHAKLSTKFYAKTCPNVESIVRAVMAPAVAAEPRMGASIIRLFFRGWETRGCAE >cds.KYUSt_chr7.38958 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242509062:242509524:-1 gene:KYUSg_chr7.38958 transcript:KYUSt_chr7.38958 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSVVHAPAGTALLGHPLAVLAERPAPRLTRLLVNVTVERSLWPVHVVLAADATVADLARAAVAAYVAEARRPPLPADAKDFEAAARFELHLSKYSLDALNPDEKVLDLGSRNFFLCARRF >cds.KYUSt_chr6.10106 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62256575:62260429:1 gene:KYUSg_chr6.10106 transcript:KYUSt_chr6.10106 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLESVKPHLLVEVQEALTSYEGIKVGIVKVDGVQYSTTLMLSPPPSRMPRKPKGEVIHGPGHAMDVMQHQAQYTAMLMLHISAHHVTHRFILLIVWHRPMSVCVSVYPRHQRVPVLPLPALAIPAASVFAEAEAATTVYGDKEEGEEVDSWLLLERDSDDNNCTNNIDQYFNLFGYDMYYDKFSCNPGPGEEYRLQEQDVQNMYRENEVCEFAVPSQVGMASEQPESSYGMIGAEQDASMTAGTSTYTASISNGIPFSSMEVGIIPDNTRPDVSNTNIQRTSEAMELAGHSLQMPVHFSSMDRDARVLRYKEKKQARTFQKTIRYATRKAYAEARPRIKGRFAKRSDIEHELDQMLTIPALPDSGHATVLWF >cds.KYUSt_chr3.35799 pep primary_assembly:MPB_Lper_Kyuss_1697:3:224974802:224975014:1 gene:KYUSg_chr3.35799 transcript:KYUSt_chr3.35799 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEKGKAPADAAESSAASAARLAREWSTWAMKNAKVVAHYGFIPLVVLVGMRSEPRPSLAQLLSPV >cds.KYUSt_chr1.20563 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121383928:121385917:1 gene:KYUSg_chr1.20563 transcript:KYUSt_chr1.20563 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPIPRRCRHRLVPHRDSLLQLSILAVDPHAAPSQSRDCRQVGAHVRPPHVKCIAASLRLRLQSLTTTVVLREAIERCAQRLLPITHILFAGRKPMLSSSSSPTVWMTAVGAAAAKSALATAAITTLAAASSAMASASSRCRWDMVTVADSASAAALALACQTSAIAASVVTSTSSYSAWRPYAAVSSSMKAPPCVWRCGAVFAKKRGVRGCAGRAVRGRYMRNSAQSKLSGSSRLPACKVSPRRDGLCKVSPEPPQAEENQIAVGELPHRQPLGRSPALDPSPAASWKIPSTGSLIGSLLVDPLHWIPHRQPLGRSPPPDLSYLSGNHLVRPEHQRQSLGHRGNHLCSAVP >cds.KYUSt_chr5.18273 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118054241:118055203:1 gene:KYUSg_chr5.18273 transcript:KYUSt_chr5.18273 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQNETPSFFNFLKEGVLLPSRNRRLFTAVCALILVSTALLVLGNDLAVQRIHDQIQLDVKALSTTEPGSVQFAKLLQEIQNDTKKLLLVAMGSILIAVVVGSAVRIVVLFAAVSTYSSDGEQRATTFGALLGQAKAQIKGPLFTLAFVYVVEIVCVVPLVAMVALVGFLVAKQYLVALLVVSLLVIAASVCLVYFSFICSFSVVVAVAEPGCHGAAALGKAWRLASGKKWQIVLYVAITGALAAAVLPVHTLARTCAGNSVAPGLLLGLVYVVMLALVQLFAVCAMTAFYYERRESIDSQLGATTVYAKVATEEANA >cds.KYUSt_chr2.42165 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262566693:262566994:1 gene:KYUSg_chr2.42165 transcript:KYUSt_chr2.42165 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDQLFSRPDLLYARKNPCAICLGGIGAGGGQAIFTAECSHTFHFHCISASVAHGHQLCPLLQR >cds.KYUSt_chr2.53067 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331107654:331108334:-1 gene:KYUSg_chr2.53067 transcript:KYUSt_chr2.53067 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLAFLAVVWSLAMADVSSASWLLALLPGGCLWWPATLGTDSGDVSMNKLTGYGGSRFWVDLEAFLLSPGRHGDGADGEENLVQLLRSEGLREDGVHAACPPEIAIYGGVHQQRLLRQCYLWPTRPLHARLMNPSSIFLQAAMPMRRIFDLDAGFATSFTPSGTVPGSVAGSCALRSPRNCGEDDGPDCFSLVFFKVLFAKCEDWNVVFHFFGILRVVMYSPLI >cds.KYUSt_chr7.16716 pep primary_assembly:MPB_Lper_Kyuss_1697:7:103596702:103601896:-1 gene:KYUSg_chr7.16716 transcript:KYUSt_chr7.16716 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQAVVCISRPTDAQTSRRLRDYRRISTTWHAVALEKGPVRADWTCTGPSGSQDEGPTLTISCLTGLLESAIEVHDIYAVLQCAAVDQLLHRGDAEGVDALSTTLRSGHISIYIIVLRFKLLPPRPVFKHRSIVVGDVPVNSEAPVVTSSTSRYAGSVPRRFIGRVSYLYIKLRVTAHHLGTQQHSSRHTRFDMSRSKKTARISCVWQPSAQALPRLESAPQQGKQASLIGEDEQSLPLPDHDGRLLTLVLWLVLLKQGVRECGGCPGVDGEANPGAYGGEAAPAVSLKGAAAGKKKQVVVVVAHQFGILWRIWDAPQNSRH >cds.KYUSt_chr6.27314 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173396793:173398728:1 gene:KYUSg_chr6.27314 transcript:KYUSt_chr6.27314 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMMHSKKLAQLAKKWQRMVAAGQKTADTDGCCSTASVADRGHCVMYTADGSRFEVPLVYLHTMVFSELLRISQEEFGFSSDGKITLPFGASVMEYVMCLIRREASEEVEKAFLSSIARSCHSASCSIHKQSTTARLTLDTNLKLSAKKEGDTTMVSAKRLSQMIKKWRRVAAVRRKRLMWTAAKEVDECCTSVAVKGHCAMYTADGRRFEVPLVCLSKMIIQELLRMSQDEFGFTSDGRITLPCDATMMEYVMCLLRRNASEEVERAFLSSVVRPCRYGNGLEPSMGVIQQVAVSSF >cds.KYUSt_chr3.41680 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263057896:263059141:-1 gene:KYUSg_chr3.41680 transcript:KYUSt_chr3.41680 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHDGAGVSQSVSFILGALVPTLLLFFLASDRVGEKLASISSFANGSAHQQMIPNLPGNGSTGKKGERLFPGLAELLPKVATDDRTVIITSVNEAFARPGSLLDLFRESFYAGDGIAHFLNHTLIVAVDAAAYHHCLRVHPHCYLLKATARTSMNLSSANDFMSDAYLELVWAKLEVQQRVLQLGYNFLFTDVDIVWFRDPFRHIGVHTDMATSCDIYSGDADALDGNWPNTGFYYVKATGRTVEMLRRWRAARWRFPRDQEQTIFNQIKHELAAADGDLRLRFQFLDTAIFGGFCRLFRNDMTRACTMHANCCFGLGNKLSDLRDVLAQWKNYTAVTPLGRRNAKAAGRSFGWRVPAKCGTPDKRAPMGS >cds.KYUSt_chr3.42454 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268521796:268526270:1 gene:KYUSg_chr3.42454 transcript:KYUSt_chr3.42454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, High-affinity K acquisition, Root-to-shoot K transport, K-regulated salt toleranc [Source: Projected from Oryza sativa (Os01g0930400)] MAEPLKTNGNGAAEGGADSGFASEKMQSPPRRLQRFDSLHTEAGMIPGGQSHAAKVGWATTLSLALQSLGVVYGDMGTSPLYVFSSTFTSGIKDTDDLLGVMSLIIYTVALLPLMKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDATVSHYKLESPTNRVKRAHWIKEKMESSPNFKVILFLVTILATSMVIGDGVLTPCISVLSAVGGIKESAKSLTQGQIAGIAIVILIVLFAVQRFGTDKVGYTFGPVILTWFILIAGIGIYNLIKHDIGILKAFNPKYIVEYFQRNGKDGWISLGGVVLCITGTEAMFADLGHFNVRAIQIGFSVVLLPSVLLAYMGQAAYLRIYPENVADTFYKSIPGPLYWPTFVVAVASAIIASQAMISGAFAIIAQSQILGCFPRVRVTHTSKKFHGQVYIPEINYALMVLCVGVTAIFQTTEKIGNAYGIAVVFVMFITTLLVTLVMAMIWKTSLLWIALFPIIFGGAELMYLSSAFYKFKEGGYLPLGFAAILMLIMGTWHYVHVHRYKYELKNKVSNNYVAELATRRSLARLPGIGVLYSELVQGIPPILPHLVEKVPSIHSVLVIISIKYLPISHIETSERFLFRYVEPREYRVFRCVVRYGYNNKVEDPREFESLLIGNLKHFIHEESLFSQSSSSLGGEDNSIEESGNAMEPSVEVQDARLPKSFIDGIHAGPPNRCMDEIELIQRGMDDGVVHLLGETNVVAEQNAGLVKKIIVDYAYNFIRKNFRQPEKITCVPHNRLLRVGMTYEI >cds.KYUSt_chr6.3316 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19314565:19315140:1 gene:KYUSg_chr6.3316 transcript:KYUSt_chr6.3316 gene_biotype:protein_coding transcript_biotype:protein_coding MPVITRAAADACAFAATSLTLLLAPTPSAATIRPLAFWYISLAVTGMPTNPRLTPARPRPRAPETAKKRPRSNASTTGVHVLDPIVGCFAIVHVLLTIIDVVIVPGTDVLMTPMRVIGRRHCHLLPPLSTHTHAKPVCSARQLACTTSSQGRKLIVIFRNVGLVQINRVSTNKALFGIDHIKNEEGCLSLQ >cds.KYUSt_chr3.48082 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301056753:301059063:1 gene:KYUSg_chr3.48082 transcript:KYUSt_chr3.48082 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLADGAPLPPSILLNLQIYTATTRSERNATTASCRTGDGAHDVQVTLCAAAPPRVSCLQVYCSGPALAPASAPFIIATEADVILLAVPVSSSRYDYFVYKLGQVGEEGASSLELLSDSDAVPDSLSLDDTHVGILRSRRDDFYLVAALCYTTLPGKYELYTYNSITRKWIQKPPLFNQRPEHADSYHVNHTVITIGGQAGTMGWVDLWQGILLCDVLREDSRLCYIPLPPPLLSSRVLEGCPRNARDIVVVDGRIRYVELQIRTKPGSAKGGGYIADGWTIAVWSRSAADPPDMYCWHQDYILQASQISVANQLANSDLLPVLPDSQGTVQSILERLHTGHPTLSLHDDQVVYLMTKVDHRDDKAWVLAVDMRNKTLQGVAEFTADRVPGFSFTYTHCMISQHMASGEP >cds.KYUSt_chr4.2535 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14202293:14208252:1 gene:KYUSg_chr4.2535 transcript:KYUSt_chr4.2535 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRRCGTTLSGYEQMDGGFLWMDAEAASACRLILRQRPAGGYGGGVVVLEVRIHTLSLIKWPVTAIDDGDDEKFTDSDEVLRKESWKLGRFQAPRDACFRTSAQRVEAHLQNHRTVAGAAPFGGFFTDSGGQYTRMV >cds.KYUSt_chr3.33373 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209537775:209538676:-1 gene:KYUSg_chr3.33373 transcript:KYUSt_chr3.33373 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRKATELDAAAGSAQPPPPQRWREDRDEDDHSSLSDYGGDLGDADDERSSLSDGHVGSVDSSDGSETGFLASNMMLDLNLNAPAEPSDWEEVAAAAAQTHSPPAADQATEMEVQPQSPPPTTDQSVPATEVADHSVAAAAPAPEMVVPDAASVWCPGCAREPASVRLVPVKHDCLCLPCRSNPFFRPRCVFCDAPAVEDSGED >cds.KYUSt_contig_3853.41 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000751.1:194647:196455:1 gene:KYUSg_contig_3853.41 transcript:KYUSt_contig_3853.41 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSSAWVQWAAEYTKAAQADALPPNEWAARVAAAAGEREDVHFSAGLAEMLARLLLSGGSSGAAPAAAWKYAEAALAARLASPALLLALLSSRVIPQRAARPTVYRLYLELLRRHGFKFCFQMKAANFKKVMQLIDDNLNLSKIFAFPTCEPGVFIVEFVLCILWKLIDTALDDEGLLELTPEKKARWPTRPQDISAFEGSFSEQKQEKIEKLQRMNSVITIELIGHLLHDKVTTRILSLARENIPDSYSTGLKFSSQVSVRSP >cds.KYUSt_chr4.2300 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12849724:12850056:1 gene:KYUSg_chr4.2300 transcript:KYUSt_chr4.2300 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASSRRSSSRLAGVVLMAPCYAPAASSRTSSSRLPSVVLMAPRCTPAAPTPDVVAASCGDEDVAGLHAGSPDMGIVLIMARGDGRSAGLHASSANTDGCLRSSSCMHA >cds.KYUSt_chr4.33781 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207267941:207269369:1 gene:KYUSg_chr4.33781 transcript:KYUSt_chr4.33781 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEARPSKKEKARQSKETRQGKKARSSVDSAGLTALALRLANTLSKGENIIFSPLSIYTALGLVAAGARGKTLDEILAVLGASSREEVAEVVRGVAESALATDGSGPLIITFACGVWHQKGLALKPAYRAAAVESYKAEASAVDFQEDPEGSRAAINGWVAEATNKLITSLLPPDSVHEDIRLVLANAMYFKGKWEDRFHQSLTKDADFYRLDGSTVSVPFMTSGRLPTTGTEDEEEDFPFFFLEQEEEVKYFVASYDGFKVLKLPYQAPNGGKYSMCVFLPDARDGLPSLADEMASGGGGRFLFDHLPDWRIRVDKLLLPRFKLSFSCSMKETLQTLGLRAAFCEEADLSDMVDDSGQDMKMRVEDVFHKAVVEVNEEGTEAAVSTAATSVLQCYRTPFHFVADHPFAFFILEEESSTVLFAGHVLDPSNSSE >cds.KYUSt_chr5.1369 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9435811:9436170:-1 gene:KYUSg_chr5.1369 transcript:KYUSt_chr5.1369 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSMSLIRWKHRFGDMVLMGSTWAVVKRLVGHYQWRVFLGSVVLGLVGLPRGGGSRSTLDMQAAPDESCFPPSQDRSGTCRLLSVMSSLSDVVEDGEQVRGNGDRFNMFGVTLGLLQ >cds.KYUSt_chr1.38638 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236111809:236119666:-1 gene:KYUSg_chr1.38638 transcript:KYUSt_chr1.38638 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKQWTRVRTLGRGASGAEVFLAADDTSGELFAVKSACASGAAALRREQAVMAGLRSSRVVSCIGGRAGRDGSYQVFLEFAPGGSLADEVAKSGGLDEPAVRAYAADVAAGLVYLHGESMVHGDVKSRNVVIGADGRAKLADFGCTRKLCSGGKIIGGTPAFMAPEVARGEEQGPAADIWALGCTVVQMATGRAPWSGMVGDAIAVMHRIAYTDAVPEVPQWLSAEAKDFLGMCLVRKASDRCTAAQLLEHPFLASAVPDAKLETVEGKWVSPKSTLDAALWESDSDSDEADDDDLSDNTAERIMALACPAAALPDWDSEDGWIDVLSAASTQTQDAVAVPAVETAADLHSTISREEASGAETVVPSLDAAGSNAARFISEFSVFISSFPCSVLAIGKKPFSASMASSSSSVSSGLSFDSSSSSELEPEVNLMAIYEALAPEYWDARDWDYSIESEDDEPLTDGEEDLRFLVDGELEAASDDDLFSWEADFSSDEEDEEAEEDSSSAGYPPAKRFRAGSDDDDDDEEDEAPAGGFISSDEEPAGSSADGSYDGDDEGSDGP >cds.KYUSt_chr4.47368 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293122982:293127786:-1 gene:KYUSg_chr4.47368 transcript:KYUSt_chr4.47368 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRSPAAASASASPSPGAVAAAAAAMAPGVGGVEPAVTLDQVPRWSDPDQRLPSSPTAAGSEAPASSFLSFADPLDAAAGAGGRGASRFPVDDHVNSKIYLWRGQPWSLEVDAVVNSTNESLDEAHSSPGLHAAAGPELAEECATLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAESALSHCYRSCMELLVENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDNITAVVFCTISSSDTEIYKRLLPLYFPRDKQEEEIASLKLPADVGDENGEPIIDERKIRIKTLPAEAANSKYPAPVPADNPLSGSGLTRRRNSKMDSYLDPTFMSIIKDPDLRRKEQWEKSAQAKKGFNCAKLLGLGDLGGPALSAAEEYSLHSRYLAKANSLNLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPLIQKPYSIVYFHSAASLQVQPDLGFMKRLQQMLGRKHQRNLQAIYILHPTLGLRTAILALQLLVDGDVWKKVVYVDRLMHLFRYVPREQLTIPDFVFQHDLEVNGGKGIIVDPRTKHVYQRPSG >cds.KYUSt_chr4.9437 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56916919:56918194:1 gene:KYUSg_chr4.9437 transcript:KYUSt_chr4.9437 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEFRVEIEMLKELSKLPNIYDRLARLLIKNMWELDGVKRGLPCQIFGGNALRLPSRANFRDDINVFLVGDPGMSKSQLL >cds.KYUSt_chr5.23018 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150199233:150202720:1 gene:KYUSg_chr5.23018 transcript:KYUSt_chr5.23018 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLVPAPSIALLAAPGGARAGSVAPRPASWVHAASSSSSSTRRRRRRLGVALAMAGGEKEEEEPTASAAAAGGRMNLNEYMVAVDRPLGLRFALAVDGRVFVHSLKRGGNAEKSRIIMVGDTLKKAGNGQNEGLLNIKDLGDTELALKDKSGPCNLILERPFAPYPIHQLHQNEDYHILFNRGRVSLAAWNSALLSSKLSASSPGNGNSGFAIFSPRLLSSHGWALLSSEKDGLNRRSTNLANRITEIVGFYSDEDDMDAEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCIQTEKDVKMLSETVGITAVLNFQSESERTNWGINSEAINNSCRQNNILMINYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAYKFITGLHSCRPDRAAIVWATWDLIALVENGKHDGTPTHSVCFVWNSGREGEDVELVGDFTSNWKDKLKCNHQGGSRYEAEVRLRHGKYYYKFIVGGNWRHSTSLPSETDEHGNVNNVIRVGDIARIRPAPSQLQIRDPSVVKVIERALTEDERFSLAFAARRMAFAICPIRLSPKQ >cds.KYUSt_chr4.53575 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331452146:331454189:1 gene:KYUSg_chr4.53575 transcript:KYUSt_chr4.53575 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNFDFDTRQSDLERLFSKYGPIRRIDIKSGYAFIYFEDERDAEDAIRRLDKADFGHGRRRLSVEWSRQEEPVPKNRDRPTGDAVKPTRTLFVINFDPLRTKIRDIEDHFQPYGNISNIRIRKNFAFVRYQTLEEASAAVKKTDKSTILDRVVTVEYAFRDDDNERDDRYGDSKQGNERYGSPKRGSDRYGAPKRGDGRYGSPGRARRRGSPYMRSPSPRYQRDYSPDYDRRRNPGYDHRDGAPYRRSRSPGYGRYDRGRSPGYGRY >cds.KYUSt_chr4.4772 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27284528:27290389:1 gene:KYUSg_chr4.4772 transcript:KYUSt_chr4.4772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to TA11 protein (Fragment) [Source: Projected from Oryza sativa (Os03g0821300)] MGNSLACFCCTGAGAPRRRHVAPAALPSDPAYDEGLGHSFCYVRPDKLLQSYHYSTAEDDLLPDATAASEEATTFRAISGAALSANVSTPLSTSALLLLPDDSSATTATVSSGFESSDSFAALPLQPIPRFPSGPISNGGFLSGPIERGFLSGPLDPALLSGPLPGPAASARLPALRRSLSHGGRRIRDFTRAILSRADRFNPAAVSGSLGSPDAAAAAVAACGGDASGLQWAQGKAGEDRVHVVVSEERGWVFVGIYDGFNGPDATDFLVSNLYAAVHRELRGLLWDEQSPQPDHPTSSPSTTTTATDHQDQHTRRRRARRARPPRGSADYDDEESQRRWRCEWDRDCSSLKPPTQPHRHPRTDGEHDHVAVLKALARALRKTEEAYLGVADKMVAEFPELALMGSCVLSMLMKGDDMYVMGVGDSRAVLATMDSVDLGEGSFDGLSPCLSAVQLTSDHSTSMPEEVRRIRNEHPDDPAAISKDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYVGSSPYITCNPSLYHHKLSTRDRFLILSSDGLYQYFTNEEAVAQVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPQGDRRQYHDDVSLTPTVGPAATLAGVHIRAGLLTISGERVVSGLVYRLFSMDFINDNAGCFSNGGKFTKNGRIIELGSHRVYYGTVPERQYLSPVLVALDPPIYARDERTTYFY >cds.KYUSt_chr2.37949 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234877067:234893935:-1 gene:KYUSg_chr2.37949 transcript:KYUSt_chr2.37949 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMDFLLGIGMNEEDIKTMLFGEKVDDLKEDRFDGSEEERQIFEDIFGGTSDGTSSLPASTPSGSASNRKVVRCRIVESFTHGNLSSYHVFYHSAIQQMQNTMPSEPMVQSTPPPVDRVYVRRAVIRRSRRAQLCSVLDWQRIDIASMVSQRRGGRGGSGLGKLWNHLRLHAHLLMMDAGWKIEGKERGDKSKVDLMYESPDKIMRLVSLPKAWKCFGQWLLIQSSRFDGNDYGKEWMNMYDFLYDLKNTLLCLEHEVRLPKQSLSFLHQWQLLDPFMAVVCIDKKVAALRNGVALKAVNSTVTFLSHSESKRLFRRNANKPLGLNYPSSYNKAHPRSRKNLLPLLLSDGQPHNEENLHNGHSSMSGSSKHIRYEVDQRSLTIEKINERSNGSTAHHTVKGLQDAADHVSSRPTYFSAEKEISYSKMARNVQDESDPLYVPRNYSSAHLVENVQTDGPSSHFCQVMETVDAGNSADGPSDEWLIRPDFLFTHEVDKMILGRTDDVNNEHHSAALVSEYQVGNENVMNGPSSGTLSLPEEKDSCFEAIRDDFNNEHDVAVVPELQVGNERVGNEPSAGSLSLLAEKDTNYEAKEVSLEEMSKTGQLSSEATGSELMIAEPQVLFVSPQDGRLSFMNSSTYSQEMWSCLNLPHDNMGANMQLDDQSSAYEASLIQGFLYLDSEGSPIGWEVINVEPPRQLFCGPSSEPNLNVSGHCGELSMENQAPTLGQMQISEFDPSKKGQKRSEKVAHTKDGASRKKPNVHGFHASHCAIGEDMDIEHVCSNQKRSQKVADIQDKVSRKKQKVNDGHISDCIIGQCMGSRAENPTGCLAYSEELQISASISEQARLNLVHKMKVHKEQAEDSSEPPKQLMSEQPLKNDVKFGKKPWTRKSRFDEDDLLMSAVIYRLTARYRNSFNRMLINRAGFKCLPRSRWENEEKCDQKRFPEGARTVLSKLLEMGIVGTENVLQYKRPGDKHVLKGGNITKHGIRCRCCDTTFTMSNFSLCQLQAWSIEYKARKERAMDIMSLQADENDDTCALCGDVAMRSLVGIPDHTGDEFSCTILRNNDDQKVRSAADIALLAECNMKLVIALSLLEECFLPIFDPRTGIDIMPPMVYNWRSNFVHLDYKGFYTIVLEKDDSILSVASIRLHGASVAEMPLIATCSENRQQGMCRQLVDYIEEMLKALKVEMLLLSAIPNLVDTWTSAFGFRPIDDSDKKKLSRVRLASVPGTVLLKKDLYECSETEAGDGMEHLSRGHQLLSAQGTPSVAKSKHPQPSEDTGPVCATESSLIDELGGLMIGPQHVGPPSAVALEDVSDNVSDNDISLAVLGLVVVFSNKPNAGSSCSDKAPIVNWPHHADAIQSSRSKDDFLSSSFLFSLPTQRANPEPDIMLSLRSAACKIQGPERLQVPWIEKAWRSVRNTQVACKSYLRPGLSAKVKDCDGDYAHAYAKDSSYNANKLDNVPRSTVPSQESMHQRPEGGILEQNTSHRLAGINSRTATYQSNHVVGTTYQCNFTRTDAKSYQTVPVADNMCADPMDDDEILASIDVDRIVMEHYEATNTPRGSASRQMSTPSGNKCNSTGLDENSLAQELSEICSHGCKLAFCPEPNYHLLELKDQLLAVSSELIDGSGELSPQRSEELRQQRAHLKKQMQILGDYMARPTQDDERQRSHSMASTTAVEGHHPPMTPRSTFVMDNDRFQSQLNFGNEPGNGGSCYTPAPYTYTDSFDTPSVLRDYTRKNIDITYTDGSGDKKWSSRDFSWTKELEVHNKKVFGNRSFRPNQREIINATMSRRDVFVLMPTGGGKSLTYQLPAFIEEGITLVVCPLVSLIQDQIMHLLQANIPATYLSANLEWTEQQRILRDLLSTCNYKLLYVTPEKIAKSDALLRQLEILYSRGHLSRIVIDEAHCVSQWGHDFRPDYQNLGLLKQKFPETPVLALTATATASVKEDVVQALGLANCIVFRQSFNRSNLRYIVMPKTKKCFEDIDCFIRKNHHKECGIIYCLSRMDCEKVAEQLREYGHQASHYHGSMEPFDRAEVQRLWSKDKINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQPSSCVLYYNYSDYIRVKHMITQGSAEQVTSRPPGRSLSKHEQALQTHKENLLCMVSYCENDVDCRRFLQLIHFGETFDPSHCAKTCDNCLKGLRWIEKDVTDIARQLVELVSSIRQACSSSHILEVYRGSMNQNVKKSNHDALPLHGAGKNLGKGEAARVLRHLVTEGILTEDVKKSDYGSVSSVLKVNHTKAGGLRSGNHIIVLKFPTPEQAPKMGKLDEPSISQTNKTVHQQSEVDENFSTLLFETLKILRTQIAEDTPGCVGYHIFKNETLKEISIRIPRTKEELLDINGIGKVKLNKYGDRVLATIDELLNQFSSGGKRNSSSGGSNEQNEAAKKRRGLTAINVSDNGDDFEERSVQSKKRTTKTRNAKQVISDAASIVQDGRHIIDLELDGYEEEELCSSVQQPVASGRVLPKWAAAGNTPTTNIFDGYKYTK >cds.KYUSt_chr7.39504 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245584160:245592273:-1 gene:KYUSg_chr7.39504 transcript:KYUSt_chr7.39504 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRALADSLSSLLFPPPDAPPMADAAGPAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPEDADAHYRNALRVMLEAKAARVPDAVSSSSERGQVRMYQEKIAKWQTQVEERLRVLGQRSGASAPVPKKVGTNNHLNRPEKPASTSSRRPALQSTPTFNRGGQASSHQKNSNVGSKPMQRAGGKDDDKLVEMINTTIVDRSPSVKWDDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPAKGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSTRLASENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKNQLKGQAFKLSNHDLERLAVETEGYSGSDLRALCEEAAMMPIRELGPHNILTIKANQLRPLRYEDFRSAMTVIRPSLQKSKWDELEKWNDEFGSS >cds.KYUSt_chr4.22378 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140584152:140587262:1 gene:KYUSg_chr4.22378 transcript:KYUSt_chr4.22378 gene_biotype:protein_coding transcript_biotype:protein_coding MESAADALDILSKLQFLGFCSGIKIPDPASSHPSEVFDAVLAAFLREVYPGKRDARPLPATLGDGRRVDLLRLFAAVQAGGGYASCSAGAWAAAAESAALAAPVKILYAKYLGALDRWIQRLLEAQPPSEKKEEPSLYCNGRGQQDVVLKRKREDMAGMLEWVKGLAESVSEEDALAAGLADEYFPVALAVREAVSRKRARRASVTNGTLLQEVGCKCCGSITSAKIDVQCTKKLLVQHAGSDTNKLTKVVENMNGSSMAIEEESDLNGQWKHECKKQLSSSDGWHFTPVGPSYQAHVPQWTNILPENYGDPETLKWLGTKLWPLENENKIAPFYRDPIGKGREDICSCNFPRSVECVRFHIAERRLQLRRELGSAFYVWGFDRMGEEIALSWTDEEEANFKAVMQMYAPSPGRNLWNRLHLSFRLKRKKELVSYYFNCFLLRRRCYQNRITPKKIDSDDEEETEFRFLGNRLGQSATKYDSTKHTICIQSTHCMDLDQ >cds.KYUSt_chr2.53871 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336225963:336227495:1 gene:KYUSg_chr2.53871 transcript:KYUSt_chr2.53871 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKADPAQSAALAAAASDPAATNHQQDLPSPDLKPRGTIYFPIADDPPEPAAEAADDDDGADGDDKEDVAKMLEPLSREQLVDLLRAAAEASPATMAAVRLAAEADPASRKLFVHGLGWGAGAEDLRSAFSRFGDLEDCRVICDKQSGKSKGYGFVLFRSRGAAKRALRRPQLQIGGRLAFCHLAASGPAPPSAQAQNPSSNSNANTSSGTTNAAPASSSSSQADNMQRKIFVGNVHADVDVDRLYEYFSQFGEIEEGPLGFDKSTGRPKGFALFVYKHVDSARRALEDPNKSFDGKVLNVQKAIDGRSKTPGSNSNANPSATAASAQMTAPAIATINPYDPASVYGATSVHDMGFAQQAAMLGLGAQQQAFAQPNTAMLAMMAAAMQNPAMLAALNPAFAAMGAGGQHTGIPGFGAQGFGTQGFGAAGVNFPTAAGLQGAASYQGGPPGFQGTPGFPTSAGFQVGQAATQAAGTTAAAAGAAGYQAGAAGQGQVPSSQTGFQGGY >cds.KYUSt_chr3.36953 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232470027:232470332:1 gene:KYUSg_chr3.36953 transcript:KYUSt_chr3.36953 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPANTRDVKKDEKSVPNLVHLLDLSPGNTAKEYAISCLLSLSASKCCKKLMIAHRAIGYLKKLSEIDVAGAKKLLEKLERGKLCHLFSRNQRWSALFLP >cds.KYUSt_contig_1798.24 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000208.1:222468:222791:-1 gene:KYUSg_contig_1798.24 transcript:KYUSt_contig_1798.24 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPRAFEYDELRNATNTNDELYSSSVLLHTSGARWEGANVAEDAVGEEDPCERLPPHLQPRACRRAAGAGRTAPSQRGGGDGRSGAGRGGRSEAEMPGRRRFFKS >cds.KYUSt_chr4.11827 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71890278:71893017:1 gene:KYUSg_chr4.11827 transcript:KYUSt_chr4.11827 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHKNKEMGDIFAQSFEMDSSNAQAEGSKPSAPQNPAMASCRKKKTDDATFLEDVKEHIDEFINASMDEHKTCFKKTISKMFGMSKIVTERSAAAAAKESEVESALPLQTSVSQ >cds.KYUSt_chr3.15817 pep primary_assembly:MPB_Lper_Kyuss_1697:3:97139226:97145039:1 gene:KYUSg_chr3.15817 transcript:KYUSt_chr3.15817 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRCRRAAHPGVLDEVEVATGSQKGLDLVQVDAGVEPPPRSGKSRAASRKCALLGNVGGSEAGGWRHEDGGVPETERVASKAVKEEADQLATMQKLHSPIRNDAPQKDKFLEQDRFTLCPVMLIVIH >cds.KYUSt_chr3.3941 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22342840:22343961:1 gene:KYUSg_chr3.3941 transcript:KYUSt_chr3.3941 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKAAAAAGGPMSAMEEETADQELRRGPWTLEEDNLLMSYITFHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIRRGNLTAEEQLVILELHAKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLKVDANSAVFRDAVRSYWMPRLLHDMASAASMAAPPPLVAEQHGGASCSQSPVAMIGTEQMCCYAGGGVQPSPGVSTSVSAAAAMLPTPVPCFSELNWDDQYYYPEIEGGAGALDSAGLLGSLGLDGLDLGPAAEYYSDATLLDYLNSSCTASAMNTIVNAGSGNYYNHCGGGGMIDGNHHGSTTTTCQQAPAMKLTGEWGGGRI >cds.KYUSt_chr4.12147 pep primary_assembly:MPB_Lper_Kyuss_1697:4:73925283:73926020:1 gene:KYUSg_chr4.12147 transcript:KYUSt_chr4.12147 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSHLHQGVDVGSWSGRQKEGGFGLHFKSTTFSVPELSTHQKTQIAPAWKPPPEGFIKINTDASFIPESGVGSAGMVRWDHLGSGHVICSLSDEKLHRAEEAEVEVILQGVHLNMNFGCIASWWDLTDRR >cds.KYUSt_chr2.20558 pep primary_assembly:MPB_Lper_Kyuss_1697:2:129251889:129252284:-1 gene:KYUSg_chr2.20558 transcript:KYUSt_chr2.20558 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVEAVRAPPPPGGKRRSTTLLHLLNLEKPDGVFVFAANAAVKLPPPSPEPEAESLIDKIDSCCRVFTFSDSEHCADQRDLKHARLAEILAAVRSTAKNQPPGLALDHRVMAALVRMMPTEMAAARTAP >cds.KYUSt_chr6.14354 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89804084:89805058:-1 gene:KYUSg_chr6.14354 transcript:KYUSt_chr6.14354 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFSGDIDDFSLQFIHDQLQLLSAEPSCALQPLPVAADDFAAHPEFIPSEYLPQPLPVYVDQVTTNDYVDAAAAAAYRAAAEPVMIRFGGETSPVSDPARRPSLTISLPPAASNAWAAAAPFPTAAEAAAAADDFRKYRGVRQRPWGKFAAEIRDPNKRGSRVWLGTYDTSVEAARAYDRAAFRMRGAKAILNFPNEVGSRGAAKDFLAPPPPSQASQNKRKREAADPDVEVVRDTTKHFKTETSSLSAASSLVSTPTSTVTYSCSATTTPSSSDAGDGGNSEMLQLMSSTNWTWDQLLAEGMFGSLSPQHQLGGGFPEVTVN >cds.KYUSt_chr2.263 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1577524:1580222:-1 gene:KYUSg_chr2.263 transcript:KYUSt_chr2.263 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMTIVSWAYLLGAVPLLCLAFWHAADAWYRAAFFLKHGSKKDSNGVRRRLPPGHMGLPFLGETISLLWYFKRLRRPDEFIRAKRRAYGGEEVGMYRTHLFGSPTVVVCAPAANKFVFQAGGDTFGVRWPVPELVGLKAIGVVEGGHHTRLRGVIVGAINRPSSLAPDNRRRSAAEYIIQVVFTSICKMFVSMEPSPLTHKINQWFTGLLAGLRALPVDFPGTAVHEALKCRRKLNTVFREEIEARKCMGKKYDDVMSGFMETEDEQGMKLSDDEVVDNILSAIVAGYESTATAIMWAMYHLAKSPDALAKLREENVAMSKAKGGSYFITHDDIPKMKYTAKVVEETIRMANIASMMPRVAKRDVEYGGYTIPEGWQVMVMVRSLHTDPSYYPDPLTFNPDRWSEPAKPGTYQVFGGGYRICPGNMLAKLQLTIMLHHLSIGYEWELLNPNAETNYLPHPKPVDGAAMAFRKLAT >cds.KYUSt_chr7.5141 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30758619:30758906:1 gene:KYUSg_chr7.5141 transcript:KYUSt_chr7.5141 gene_biotype:protein_coding transcript_biotype:protein_coding MRELIRRLSFSDRVSDGSGGVPRGCVPLLVVGDGDEESERFVVRVEALRHPSLAVLLEMAAQEFGYKQEGILRVPCAVHQFKQALSTAGAVSTKY >cds.KYUSt_chr6.28222 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178836013:178836978:1 gene:KYUSg_chr6.28222 transcript:KYUSt_chr6.28222 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQRMDSPADGGAPGLRRSASAKQAPSPSPLSGNNASSQKQPPRASTSTSLAPGYPRKAPSINCIAATSTDTPPATPRGKTKQPSSASSSSYYSSMFSPRKLMQRASRAFRGSGRSRRKKNAMAAAAVDGGDVDSPRSVVSKGSDADSVFSLDDQIIADGDVAKQQEEEVVPEKIIHEANPSPAPVATEQKDEGRDNTQEETSATKEEAEPKKESAPPAPEDNVADEIAAAKDAVKEKEQREEVIKAEVVKRFQGRRVRTTSTERRESARSNEAIEEARTKLLELRQGNRVQALVGAFETVMDSPQRRAAAGKPQLNLRV >cds.KYUSt_chr7.27741 pep primary_assembly:MPB_Lper_Kyuss_1697:7:173107675:173107902:-1 gene:KYUSg_chr7.27741 transcript:KYUSt_chr7.27741 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLGTGHRSSVRRRLSPASASAHGSALLIFLLPDAGSSEKIYICLCPNARAIVQSSGTNVLRISTTTYGLICC >cds.KYUSt_chr6.28663 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181643571:181643852:1 gene:KYUSg_chr6.28663 transcript:KYUSt_chr6.28663 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPEYCGKPTRRGRPQRRPSHPR >cds.KYUSt_chr1.35647 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217342504:217343136:-1 gene:KYUSg_chr1.35647 transcript:KYUSt_chr1.35647 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSKWGGEDEEDGEEHGGQDEEAGGEDGGEDGGEDSGEDGGEDGGEDGGEDGGEDLEEDEGLGGMPQELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPYRWPRRGLDLEALQLRGRS >cds.KYUSt_chr5.38055 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240404122:240404645:-1 gene:KYUSg_chr5.38055 transcript:KYUSt_chr5.38055 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAARRRQVPAFGEWNYYYHYDQPEAVAPPAAAYFYGATPEPEACSDVWFRYSPPPRKPAPAKKPRRRVPEGDSDASLEKKGRRSARPASEANGGSLARATRVVRPVDKDLYQVPPPELASSHRRSPRRRSLWMGCLGCVA >cds.KYUSt_chr4.9709 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58647253:58647621:-1 gene:KYUSg_chr4.9709 transcript:KYUSt_chr4.9709 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEALRRPPVAGRAPPSAKKTGRVKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKVTTPPPSVPAVAPPA >cds.KYUSt_chr4.7607 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45430778:45437014:-1 gene:KYUSg_chr4.7607 transcript:KYUSt_chr4.7607 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKNVGLVLLNSSLSPFGQRCSIALAEKGLPHEYVEEDLAAKSDLLLRSNPIYKQVPVLLHDGRTIIESLIILQYLDEAFPDTRPLLPTDPYERAQARFWADYVDKKVYGCGSRLYMVKGEPQVPANIEMAGILKTLEGELGEKEFFGGEHGFGFLDIALVPFSTWFESFDKFWGVGVAEVAPKLAAWAARCMERESVSKNVFSPEKVSDFIGEMRKDLGIDFRKFNVKLDRPAGGTTNATGAVEDVAFFGGVRRFTTDKRLGWKQFIHSVVIHEWDLQQPPKPSERVGTSSCPAPFKPPSGEAAPVTFSRLIGNGPPPKPWERVGTSSGPAPFKPPSGGTTSDVVEASGTAKPGEVVSPAESNAGFSGNNTVSRPLPPRPWQQQQPGYGNSYGGYGATTYNSYGGFGGAYSSGAGGLYGNNMYSGYGGGYGGSYGGSGMYGGSMYNNGMGNPYGGMAMSPYNQGPNSFGPPAPPPGFWVSFLRVLHGAVNFSGRVAFLLSQNTQAFHMFITALLQLCDKSGMLYSELVIFALRLLGVKTKPKKGKLQGAEVPSLERPGQQFVEAPKANKNSWEKSGAGFTASQEKMGEITNMMEYQAIAKQKLPKMAYDYYASGAEDEWTLKENREAFSRIL >cds.KYUSt_chr2.38637 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239504169:239508331:1 gene:KYUSg_chr2.38637 transcript:KYUSt_chr2.38637 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEREPLLQNGGAGNAATAKGSPALLPSLARSVLKFLMWAVFLTWAGGIFLYPTKPVQAAFREWAAVSKNSIFGITGTVFVAFSAPILIVAALAYVYIFAFPRDHVEKKKLRSLSFRLWTFPVLVDGPFGVVSAVEFIGIVLFIVYVVFSMTYYVVESVSLVSKSHLPPTISSELILDTVGARFGSVGLFCMTFLFLPVSRGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVIAWFLEGRLIEEIIQWKEIGVANLAGVISLVAGLLMWATSLHPVRKRFFELFFYTHQLYVVFIVFLALHVGDFVFSFAAGAVFLFMLDRFLRFWQSRAKVDIVSAACRPCGTVELVFSKPASLRYSALSFIFVQVRELSFLQWHPFSVSSSPMDGRYHMSILIKVLGTWTDKLKSIITDVEENKTRSDSDQSHTGRITASIEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIERGMPCAPKKVLVLWSVKKSTELSLLSAVDAQNISSSVSEKLHLDIQAFVTQESDPPLEDGIVEGEQKSPGIFVKNGAAMAWLVGTGDNFWAAMYFAASTLGAILAYALVQLYYVKRFNVYAWWHLGLLLLLCMTAGVALPGGLVVLLWHLAEKRRVQDDRWDTDASTAKDGAEDEQTTNGAAGEDAASAASVATLRTTRYGCRPKFQAEFMAFAERAGGAAADVGVLVCGPPGLQTSVARECRSQNLRRGAGKSGAVFHFNSHSFDL >cds.KYUSt_chr5.39627 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250728436:250729299:1 gene:KYUSg_chr5.39627 transcript:KYUSt_chr5.39627 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEDFLDVLLRLREEGTAGLELTDDSIKSLVKDMIFAGTETTSITLEWAMAELIQNPRAMAKLQDEVARVSNGNPTTEEDDLHRMEYLKAVLKESLRLHPPAPLLVPHESTAAAVVQGYEIPAKTALFINAWAIGRDPAAWGDAAKEFQPERFLDGGGVASIDLRGNDYQLLPFGAGRRVCPAISFALPALEIALASLVGHFDWELPIGTRLDMSEAPGLTTPPLAPLRLLPKCKTLV >cds.KYUSt_scaffold_6468.681 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3257701:3260638:1 gene:KYUSg_scaffold_6468.681 transcript:KYUSt_scaffold_6468.681 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDAFRLHLLRGSIAASARWIHRGLRHIIEGFTKDLGHYCPVLEELHMESSCSCSRTYGTGAGPLMLMAPRLASIRLDIPHDRWRYYYHADAVAFDPQPLPLLADASIRLTGQYKHHPDRPLWQARKLDFVKSVSSFIAFLPNVANLHLSGFTVEESLQEEFLEFPVLHNLKTLLMEECGVGASFLVLTSILRSTTNLEKLGLHQCIAPRARGGRRRRGPGRRPSEKKAGKTWARPSEEKAARSWAAWSCAVRPSEEEGREDLGRTVGGRRRKAARSKAEPLEEEGGEDMGAAVGGEGGEVLGGVVRPWRGPAWSCAAMARSWAWEERDREDRRR >cds.KYUSt_chr4.49144 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304338281:304343896:1 gene:KYUSg_chr4.49144 transcript:KYUSt_chr4.49144 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGKVIASILLLCFLFNGEKAGCTTASSADGNQMWGYTQVRPKAHLFWWFYKSPQRVSSPAKQWPTVLWLQGGPGGSGVGGGNFMGVGPLDTNLKPRNSTWLQKADLLFVDLPVGVGYSYAEDPSLLARTDSEVVADASALLKALAVAIPTLQSSPLFLVGESYGGKLAAMIGVDIARIAAKVKDEMAAGQFAKARITWVELSHFIDSNSASVVDELLAYGVVVAIYNGQFDLICSTVGVEGWVRKLKWDGLKNFLSLPRQDVFVCDTSVHCSGGGAVRAFMKTYQNLHFYWILGAGHTVPVDQPDVALQMIGAAEPPTTMGGGDCSQMRRRSHLGAAARRIKKQIPVHRLESRVTTWIRSLLPGVASRRWQRNSLQPSQCLQSALLSSSSPRPVLVAGALLLPVTESFDLFGTES >cds.KYUSt_chr2.53692 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334965234:334967826:-1 gene:KYUSg_chr2.53692 transcript:KYUSt_chr2.53692 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAATASIIAAVGVVAVIGTIAAVMTSRKAHGEGAPMATSVRLSELCSSTQYPEKCEKSLSPVVNESSNPEDIFRAALQVALDEVGAVFVRYTDVGKDAADSVTKSAIAECKKLLNDAISDLKDMTGLRADQVLQHVNDLRFWLSSAMTYVYTCADGFDKPELKEAMDKLLQNSTELSSNALAIVTKVGEVLQSQDGYKKIAGGSRRLLGWNNGGDAATTEESRRRLLAVNGRLDEIADVRDASRRLLSETLDEIAEMSHDGSRRLHSFMLTDDDSNANDGSGPEFDVVGRRRLMMSMDASMFSGISNLSKETDLVRRRLMSMSFNDASSESGAEVKYDQDGNKRKLLSFSFQDESSMTRAEGPDPDGYGPVESQRRLLSMPFDSASATTGTEVNYAQEGNRRRLLSAQIESIADMSAHINRRLLATELPEDLAGKRQLLSNTLIMVQDVANEANGQLEAIDKGEEDERRVLTTDVIGTIEDLDDHNHQHRALLSAGAFPEWVPSKARRLLQLPGMQKPNAVVAADGSGNFKTITEAVNAAPKKSTARFVIYVKAGDYKEYVTVPKDLTNIFMYGDGPTKTRVIGDKSNKGGFATIATRTFSSEGNGFICKSMAFVNTAGPDGHQAVAMHAQGDFSVFFNCRFEGYQDTLYVHANRQFFRNCEVLGTIDFIFGNSAALFQNCLMTVRKPMDNQGNMVTAHGRTDKNMPTGLVLQGCKIVPEQALFPVRLTIPSYLGRPWKEYARTVVMESTIGDLIKPEGWSEWMGDLGLSTLYYAEYANTGPGAGTSKRVTWPGYRVIGQAEATHFTAGVFVDGTNGSWVQNSGAPNVMGFIK >cds.KYUSt_chr6.32650 pep primary_assembly:MPB_Lper_Kyuss_1697:6:205665489:205667226:1 gene:KYUSg_chr6.32650 transcript:KYUSt_chr6.32650 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVTGAMGSLLPKLAELLNDKYKLQKGAKEGVRSLEKEMKSMHAALCKVAEVPRDQLNEEVKLWAGEMRELSFVIEDVIDKFLVRADDGSKPTANSKDVKSIIEKMVGLFTKGKARHEIAHAIKDINKQVQEVANRRGRYTINDIVAKPAAVTPINPRLGALYQDVTELVGIAGKRDQELMKLLSDGDSMSKKKLKIVSVVGFGGVGKTTLVKTVYNQTKGDFDCSAFVAVGRNAGANKVLMDILLDLGMYESQFTIFDERLENKRTKVFLTLALRQEARSTFRKAHFWVLQHKRQGCKLSVRNVRQHCC >cds.KYUSt_chr2.51980 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324435651:324438620:-1 gene:KYUSg_chr2.51980 transcript:KYUSt_chr2.51980 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARRLCSSWEGKKARTSKLAARQLNEKLDTVVKDSEAEENNNTQPLQSATKKTFATPRNSTKRIAGVMAKWEGKKARMSKLTAQQLNEKLDTIVEDSEAEEDGKTQPPQSAAKKTSATSRGSTKRIAGVVAKWVNTSKPKLLHEMAFQGVLDLPKINTVDPEFSFWLLRRVDPTKRILWIDDITYVPIRHVDYSRVLGIPCGKLPVCGLDSKDPEDKIDFINLCIGRTCGKQEYCSLKAAELNVEKQYHEPMDKVQCDNFKVSFVMDLVHVRSQHFETNLFQLFHLACPMMTKMNQHLDGYPVDIPSCSINICKVPYKAEQHYGFIRFMEKISSAKYLHLHHRCLWCRSFEGFPSCPFLTRLALQSGERDAGADTKPEHPLVFSFSSSWSPTTSCLPMRQASPGPVLAESGKGKEDSLERGRSNEKGNRNPPPSLPAQTAAAAAAAGSGSGAKTHGPWRRRGPFLVDAWWTAAESPLLEHGGRADGMGGGGLRCAAFSRRLSHGGSAGAGGGGLCCAPSSRRLSAALRQGLVVGGG >cds.KYUSt_chr4.48113 pep primary_assembly:MPB_Lper_Kyuss_1697:4:297946349:297947442:1 gene:KYUSg_chr4.48113 transcript:KYUSt_chr4.48113 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAATAQIDPSPTPSPAALAKSRLKRLFERQVLRVSPAERLPSVSAGGDKDDLLEPSSVCLDGMVRSFLEDGGAVVPGERANAARCCNCFNGGDASDDDDGPAAAEASALSDAAETIKGLVHCASLRERNLLADVSTLVERHRAAGARKRDLLRLLAASLVATGHDAALCLSRWDKSSSHPAGEHAYVDVLLPAGSERGDRERVIVDVDFRSQFEVARPTKAYRAVLQRLPSVFVGREDRLRLLVAAAADSARASLKKRGLHLPPWRKPEYMRAKWLSPYEREVPPPPPPAPDAPAAACELADAGEGGGDGHTV >cds.KYUSt_chr6.28719 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182004053:182016430:1 gene:KYUSg_chr6.28719 transcript:KYUSt_chr6.28719 gene_biotype:protein_coding transcript_biotype:protein_coding MSREREASGVAATSASPSQRGEGAGGADAGLESTAEAVEELYRLRDTFFPRDPAEKPAALRARADAALAILDSLPPEQRKSPQQRAVCEFLRGKILDVFPEYNKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLASAKNCFVLALKKGADKKILCQLSMLERSMAQGSEDQKLLVEESINHAKEAVMLDIKDGNCWYNLGNAYLTSFFVSGAWDHTQLHHSVKAYQNAEKDETTKLNPDLYYNSATANKYLENYERALSGFEAAALKDPDLGADTEVQKITSLLDKLVNAMKIKEGDRVALLEPYYRILNIPWKEQIKEGDRVALLEPYYRILNIPWKEQEDDAQSQKISVRRSERHIHAINLMGLLGRRNQQKVMRQNRRTQIRLIFQSSSIPGGSEIEMATRRHSPNSSPAPAPALEDDDLLAEILLRLPPQPSSLPRASLACKRWRRLVRDPGFLRRFRAHHRSRGTAPVLGFFTKEDSGISFHPALDPPNRVPPERFRLHITGRADNCRIIGCRDGLVLLVNVHPGQVLVWDPVTGDQRRLPLPLAFRNINKFYNGMVLRSAAAAAGDGDRFQFQVVFVRCIKGPHARAVACVYSSDTGAWGDLIQTSTPLLRTLSVDTSGAMVGRSLYCSLRGNSAAVLELDLDSQSLAVVPLPLGGWIMSAEGGGLGLVSVLGHTAQLWKGETDSDEKWALVLSMLELKSCTTDKVNARSSFFDVVHNGCLIVFVDHMVKVL >cds.KYUSt_chr1.32726 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198760389:198763087:-1 gene:KYUSg_chr1.32726 transcript:KYUSt_chr1.32726 gene_biotype:protein_coding transcript_biotype:protein_coding MIWAPGVEVGPGGVNPDKLAMVGSADGDLGADEEVMVQGSFAAAEDVDATMVESAGLQGEEGSNMVQGSLAAAVEDFDATMVESAGLQGEAGSSMVQGSLAAAVEDVDATMVESAGLQGEAGGTMEQVQTVAVETVEKSDSAASQHDEAGESDDGQRARFHLPARDSEDSFRVSDLVWAQLEGHPWWPGEIFDPSHASELALKHQKKGNHLVAFFGDSSFAWCDDSQLKPFMPNFSQMEKQGNSDDAFTIAVNHALQELSRRILSATSCSCLPEEFSDNGMSYMVENSGLKAGVTCSMVNKAQMLKSFSPEYLLHYVTSLALCPGQGGDLQDLVIACSQLMSFYRSKGCPEIASFQSASGWGENDIDSLSIKNVMLGESVTNEVQPNHVKPKRGRGRPRKRKPEELVETEPIAKPQNYPNSTAETECAEKKSAKKRRVKRHNGVNPNTLPCPKLEPNDHMQDAYWLGLSLHGSPTPSLKGASGKTRPIHVVKRSIIHVDEKMVHEVKPTALVLSFDGSTDLPSEMDLIRMFSQCGPVKETETEVQGNTKTVKVVFKKRVDAERAFTVAGKFGSFGPSLRSFRLVEMPFTLSTSELNYPKLCPEDSGLKIPAPRMSGVSLDSAQVDVINKADKASVEHVEDGQTT >cds.KYUSt_chr4.24655 pep primary_assembly:MPB_Lper_Kyuss_1697:4:155116833:155117405:1 gene:KYUSg_chr4.24655 transcript:KYUSt_chr4.24655 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGNGVQRTSSHPELAATPRSRVGCPQHRRAYHCRSRVQLPPALGPHSLLPLDPSSNCAPCHERRAAATLRAADLLWRATRSSSSGLCSSARSPLAAPHGVALVLAPRLLKLVLSPPRCTSSYSISPHAGAAERRPLPPYGHASSSWSSPANLHPHLSSSLSPRRLVAASVTDMEKSSGGWRIGGEKRD >cds.KYUSt_chr2.25127 pep primary_assembly:MPB_Lper_Kyuss_1697:2:153775870:153776930:1 gene:KYUSg_chr2.25127 transcript:KYUSt_chr2.25127 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDMAALESGGARDYADPPPAPLVDIDELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDASASGANAACGGVGILGISWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYIIAQCLGAICGVGLVKGFQRGLYDRYGGGANEVSAGYSIGTGLAAEIIGTFVLVYTVFSATDSKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVVYNDSKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNA >cds.KYUSt_chr2.41731 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259672472:259672789:-1 gene:KYUSg_chr2.41731 transcript:KYUSt_chr2.41731 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKIEPVVDQHGGGAPRLQLFGADPFSNRSRRSTLITEESTSRRQAMLKPGLAWRSEQRRLSVLDAMARRPKGRAAVSCMVTSTCELACWKEEASSWEDEPLM >cds.KYUSt_chr6.27987 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177471676:177485797:1 gene:KYUSg_chr6.27987 transcript:KYUSt_chr6.27987 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSRPIVHPVQAPPSQAQGNENPAPIGVRMKNPQGSPGTLGGIGFRVAQASFAATSLATMASTRVFPSVSAFRYLVAAATLQCLWSLTIASVEIYALLVKRSFRNLEAACLFSIGDGITGSLTFSAACAAAGVTVLIGTDVGMCAGNPCALFMTAVAMAFLSWPAGKANGLKWFKQAQGQPTTRLCPRGLPDRGSRMSAAFKRRSGIAGFLNDINMLQQFQGLRKAMLDRESTMTGPSEVNGRGKTVVPAPPVGAGSSVGSDRASPSVAAATGSEDNVSEMMGRLRLTAAEAAAVVLDDGGDDFQVHSQWAVVEFNTKADKVRVVDGPPWVVGRHAVLLRDFDVDQKPSEMYFNSLKVWARIINLPFGYMHKRWGAVIASPLGVNGSVPMVECDDSGRCWGGYMRVRVEVDVDKPLMRGVTVFSQRRNVTEWFQVQYENLPLYCFSCGCFGHSSTECKDPGERDAEGKLPYSADKLCAPDERKKAAQAAKSYTGSASAGKGQDSSRQSSGRNDQSANKSGAARQQSKNDESPEVHSPVKKRQSRARTTTANTRKDIGKGKDDGQDEGRTTAGRKRKQVYKPKAAPPLEESPSNMLALVVHSNSFAQGIGEVHTEEALSKDSNKRTRLSGDNGSADQAGAVDQPRQTQ >cds.KYUSt_chr2.44551 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277216051:277219781:-1 gene:KYUSg_chr2.44551 transcript:KYUSt_chr2.44551 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWVCGLLSLLAVAAAAAAAEGNAEPLIRLPTQKGRDATAAPAPAPAAAEEEEGVTRWAVLVAGSRGYGNYRHQADVCHAYQILKRGGVKEENIVVFMYDDIAKNHLNPRPGVIINNPKGKDVYAGVPKDYTGDQVTTKNFFAVLMGNKTAVTGGSRKVINSKPQDHIFIYYSDHGGPGVLGMPNMPYLYAGDLIKVLREKHASKSYSKMVIYVEACESGSIFEGLMPEDLNIYVTTAANANEDSYGTYCPGTETPPPPEYSTCLGDVYSVSWMEDSETHNLKKETIKDQYEVVKNRTSGSDRVNRGSHVMEYGDKTFRDEKLFLYQGFDPANANINNRLLLPGLEGAINQRDADVLFMWKRYEQLSEGSEEKLRALREIKETVLHRKHLDSSIDFIGKLLFGFVNGPSMLEAPRSSGQPLVDDWDCLKRMVRVFESHCGSLTQYGMKHMRAFANICNNGVSEAGMKEASISACGGYNSAKWSPLALGHSA >cds.KYUSt_chr5.8146 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51623054:51624496:-1 gene:KYUSg_chr5.8146 transcript:KYUSt_chr5.8146 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPLAAPPPKRRSIPPTTTTATITTTAGKGTTTVSAAAKGTTTTITTTTTITTVSTTANGTTITTATTTSNATTTTAASADTTISSLSEDNLREIFLRLPDLPALVRATLTCRSWLGVVRSSLAFRRLFRALHPAPLIGLFFTSDTYPFFIPLRPSDSDSDSNPGCDSDVTAALRRGDFFLTSLPQSFSGWTVTDCRDGILLLWNKLDNNDLSLATLNPMTWAVNILPLPAGIADGSRRHLDFVGFHLQSSEEKPWLFRVICVCTNKRRVRAAIFSSETWDWVIQPWVRIGGNNSLKFKVGSLVNGSIYWPFHGEGHMIRINTDTLDTTVVDLPEQVDAVDGCNFRAGETKDGELCIVYHSGLLLHVWIRSVDSDGTEIWVPQNRISFSAEIDGFTQDLPDFIRIVQVRSGYVYLSMTYMTPAGTQRRSFFSIALDTLVLDVLLEGAFDDYFYPYIMAWPPSLVADDGRIGHDAEGSL >cds.KYUSt_chr2.5326 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33040747:33042326:1 gene:KYUSg_chr2.5326 transcript:KYUSt_chr2.5326 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAAITEESEIERRTPAAAVAWIGSSSDIPAVVEALGLIWPRDLGRGLGVEATWLTWLAWRRDGQEGETRTYTRINHGSGIPAAAIGVCDKESELQLCSRKSAYWRTSSMG >cds.KYUSt_chr1.38272 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233884750:233885130:-1 gene:KYUSg_chr1.38272 transcript:KYUSt_chr1.38272 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKNLSSGQQAQQAKLDALSPDQGTLTHNADSVTGKVSPVNGHEPVDMNMEAAISADDVMRAGGFGAKDDIGSLLPTAMDSTDFEASLRDARDFEDEQEKPSHPGLGYKATEADVGSKPSEVPPQ >cds.KYUSt_chr4.39150 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241646065:241653020:-1 gene:KYUSg_chr4.39150 transcript:KYUSt_chr4.39150 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSNHTKHRRIGEDDEEEEEELERFDDFTIASTWERFISEIEAICRQWLADGPKNLMQKGAESVLSFENLCMVKRELKHGKRVYSMEYHFMKSAKGKYSYWDDDTHSTQLSFGVDEFLIIAPLSASGVVLDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAYIGIQNMGTVFTRRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSSTDFPAKVKVNFSMKLTYRTPEHDYDHEETLDSEATESIPEHGIASQPRKQWDDDCPWAEWYSAEDPVKGFELTAIWGEKMFEESLEMAEVENASSFDADSWLLHPVVSPYMVDDSIGKFVGFASQLHLLVNALESSGEAQFLEDYVADNSGPDNSKSSVAVPPPSVVDRVMKDIFNDEVGKSNYVEAGSKFGRALKGAPSDSLFAQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESEQLPRMKSSSSIDLSACLIHQKLQMLAICIERKKSLNHEKDTNHADKEGTSNSMAPNKIRKGSAGIVPSLMLINTFQEMHIPYTQDAPLMTEDMHEERLHAAEAFGSAAGLSGQLERDILASDMSAFKAANPDAAFEDFIRWHSPGDWVSEGNGDGNSAWPPKGRLSQRMSEHGNMWRKIWNDAPALPVSEQKSLLDSIREGEKVVHYLETLRPQQLLEQMVCTAFKSSADILNKTTYGSFKLMKTKMDQLYATMASTLKSLQGYPASVHSLVSKIKEEARLWARAGANGLRVVLPPTWDVH >cds.KYUSt_chr6.27704 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175763398:175764411:-1 gene:KYUSg_chr6.27704 transcript:KYUSt_chr6.27704 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLLVCFLSGAKARQFTIINKCETTIWPAVTPSPSDSFGGGGFELKSGQSIVFTAPPAWSGRIWGRTDCAFDGSGTGKCGTGSCGPNLKCSGASGDPPASLAEFTLATPDFYDVSLVDGFNLPITVNPVNGHSPGNCSAAGCDGDLRETCPAELAVKGAGGKTVACRSACDVFNTDQYCCRGKFAGPSTCPPTTYSKKFKEACPTAYSYAYDDPSSLFQCSGADYVVTFCSNRKQSVCSYHNNKLVCNGSSRRLPVMSAALMLVLILGSVALQFSAW >cds.KYUSt_chr7.23319 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145132950:145133906:1 gene:KYUSg_chr7.23319 transcript:KYUSt_chr7.23319 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTVSSARDLKNVNWRNGDLKPYAVLWVDDGAKCSTRVDLDHGESPEWDEKVAVPLPHSSTRLEDAVLHLDVVHANAAEGTKPLVGSARLPLRDVLDDAGLGGRASRTLRLKRPSGRPQGRLDVRVAVREAARYYDPAYPPPYGQPAGAGARDPYAAPAPTYGASAGYGSGGYGSGGYGQPAYAAPPSGYPAAYGAPPQPAGYPAAYGAAPAYGAPAPAPAYGAPAPAPAYGSGGYGSGAPAVEDPTKKKKGMGMGAGLAVGAAAGVLGGLALAGGASYIEDKIEDGVAEKVEADLAAGGGYDDFGGDDDY >cds.KYUSt_chr6.20533 pep primary_assembly:MPB_Lper_Kyuss_1697:6:129437166:129437408:1 gene:KYUSg_chr6.20533 transcript:KYUSt_chr6.20533 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSVGDSTPSRSGEARSAQLLQNLTIISNIGGTMEGFLLRLLAIYLDGEDHDDTQDGDEARSGSATFVGGGGNRMRRC >cds.KYUSt_chr1.11103 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67989354:67991657:1 gene:KYUSg_chr1.11103 transcript:KYUSt_chr1.11103 gene_biotype:protein_coding transcript_biotype:protein_coding MCKFPELRFEAEDVREIGELPLWTHGHGDEAGRNEASISILEHCSDALRRLHLHLHVPDCINLEELHIDVKRDPAEPACSDEACLCNKEGEWENQDFSLEHLRNLDIAGVQGLDYENRLLQMMLAGAPAIKKFKLPPSLGQAYETFLPANSRGRWITSGDGVYRWTPN >cds.KYUSt_chr4.49972 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309582260:309586012:1 gene:KYUSg_chr4.49972 transcript:KYUSt_chr4.49972 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPNVRYGAGEIQSEYRYDTTELVHESHNGASKWVVRPKSVGYQFRTDTNVPKLGVMLVGLGGNNGSTLMAGVIANKEGISWATKDKVQQANYFGSLTQASTIRVGSYNGEEIYAPFKSLLPMVSPDDIVFGGWDISSMNMADAMTRAKVLDIDLQKQLRPYMESIVPLPGIYDPDFIAANQGSRANNVIKGTKKEQMQQIIKDIREFKEKNKVDKVVVLWTANTERYSAVSVGLNDTTENLLASVEKNEAEISPSTLYAIACVMEGVPFVNGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILFEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVMHNTCEDSLLAAPIILDLVLLAELSTRIQLKSEGEDKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >cds.KYUSt_chr6.30816 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195316975:195317178:1 gene:KYUSg_chr6.30816 transcript:KYUSt_chr6.30816 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRRHSRPRSPAVRTPLEDDDLLSEILLRLPPQPSSLPRASLVCKRWRSLASDPGFFRRFRRHHR >cds.KYUSt_chr4.39757 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245509373:245512319:-1 gene:KYUSg_chr4.39757 transcript:KYUSt_chr4.39757 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPTPFRGKNPAPSHSLQDPTPAYQFRGPILFTAKRRPAAAPAKSAAPPKPKTVATARGKTVAKKSSPAGVSAPAAPQPRTRRVFGTVRSSNSLAEKQAPAKVSPPPPQKPVKASPPPPPAQKPSKQSPPNPTRAARPSRPAAKPLKKALPGPDPDAKPKKKSHKVSFQDDPAAAPAPGSGNKVNKASTEDATAGHTPMVRVKALEKGPAKVAVPETPFFSAQNCSSCTLHPLESADYWLAHIRLAESVGKHGVSAAFFRLAFECQAQPLHRIQGELRNYVVRHESASTLTPLFDELSLAHGMAVNQPKFDIDASEKLDTLETVDSKLDALTLVHECSDCDCAGEVDMVEVNVVKQGEEQTDQPSFERKLDESFEFDDCEAVIVDRLVKKHSVLEKDVGVEVPCEDEIIQSACRSSINKLSSKESPAASGSPERRLSSQSHLDKLSPSARRLSAKRLSSGSPFDKKSPYGSATLKRLTSSCPSYKKSSTRGQSSKRMASGGCSDGEHNGTAGAGDSSKVIQEGESGCYALVEPLELKEHGEHAAVGEHNGSSGVVLLVDMDINQTFGFHVDMKVVSRVLPMAV >cds.KYUSt_chr4.2177 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11956849:11959287:-1 gene:KYUSg_chr4.2177 transcript:KYUSt_chr4.2177 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEDGRAPPGAVFNLPDHELITKFLRPKVAGLPIDDHHNIHDFDAYSLSPDQLVEEREEALGTDNHDGKGGHWYFFTPVRRHKAKNGRGRRQRAVGEGYTWHSEHREEPVFDEENNCVGYKMELSYQFKESPRARPTRLGWCMTEYRLNDDAAGLVLCRVCVSRHKTETTYDSVIKAMEAMVKDDLVPNSSPVARVVVTGEAVTTVVIQKQMARRCPAKPQWKWEAVPHGDNVFLISFPSFEDLDVVDGIQMAVPGFNSQMSVSVWKPTDVPHKFELEQVWLHVEGVPHNVRHFWGLWAVGSLMGKTLDVDLLSLRRRGVVRVLVAMFDTTKFGKKDAATFVKSDVVVKLKGYEFRFSRESPSYVPEADFVPFVWRKKDGDGDGGKGKEHEDAMDTSEFAQGTSDTVMHQAQGSSSSTVAPVGSSQVAAVLHAVTPFNSDPKTPRGIALVAALRKSHPSLERRSPTALVGSDMQPPLSLVDGHFSSKAVTAEELSVALSSVSSPSQRLVVQDVASEALAKKVQVTPSTSRGRRNVLGRTRPSPPPGDAALASHPAHGPGGGQLLPAHAGVDGGEQQIPAVGEQQLTVVGEQRLKSVSEQKHTYVREQQLTCAGEQQLTSMCEHALKYVGEKQFTSAPLEHGQRVAGAAPAGRDGEQQLLLAADQTERHGPARGCVGEQQLPLTADQPVSRGPASGVSLPGAVADRVLRLEMVVPREGKGGSSEAVATVGSEPTVAAIAPSSPPSHDAKVSDGDHWEGVYSDLAFPGGHSSSSTATRHAVSPQHAASDGYRWGVGLRRGFVVQGNEAQGGL >cds.KYUSt_chr2.35282 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217771731:217772102:-1 gene:KYUSg_chr2.35282 transcript:KYUSt_chr2.35282 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPQLAEYEEWEEAALAAIVDAFVADEWQQQEAVRRQQTVKSRQRQWEELVRRREVRKEQQRQDEIYERWRLEEMQLRPQSQEVEHRRWREELEQQLRQEAAAVDRAAYLAFVVERAAGDR >cds.KYUSt_chr2.37542 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232460442:232461232:1 gene:KYUSg_chr2.37542 transcript:KYUSt_chr2.37542 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDDLKLLGAWPSPFVVRVKLALSFKGLSFENVEEDLGNKSELLVSSNPVHKKVPVLLHNGKPICESMVIVQYIDEAFTGTGPSLLSSDPHERAIARFWAAYVDDKLVTSWVQSFRGKTEEDKSEGTKQMFAALQTLEGALRECSKGEGYFGGESVGLVDVSLGGLLSWLNATEEMSGTKIFDPVKTPLLAAWAERFSELDGAKLALPDKDRMVEFIQKRQAQAAAAAAASQK >cds.KYUSt_contig_319.205 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:1484213:1484614:-1 gene:KYUSg_contig_319.205 transcript:KYUSt_contig_319.205 gene_biotype:protein_coding transcript_biotype:protein_coding MCRDTGRTRARGRVSTAEVGALFELGGDGSTEVGEVDESSVLAGRDRLLLVAGEARRVAGRPCMETGPRTSPSLPSNKISSSTCSSESLESLPGLTAASSAGVERPGASSAGVEWPAVPPRGGAGKSRTGAAS >cds.KYUSt_chr3.9586 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56262789:56270031:-1 gene:KYUSg_chr3.9586 transcript:KYUSt_chr3.9586 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLPGRTLADLDGDVLAHCARHLCARDVASLAMACRPLHAAAYCDAVWYLLYREQWPFQQVPRGALGIRELYIRRHTEVHQMKFNDPLSSIYYLDPTESAPSHLMLDRNDVWFSQGPVAKRLSLGWLNMELMETYKSHGARITCMRLFPLIDTPFFRSDTYTNEKALVTSSTDRTVRLCWKGLSRCYKGHSGPVTAVADKLLGDGEFKVLATGSEDCTIRLWSMSTRAKKHPLISTLHGHEKTLSLLSVAWHKSSLLVSSSKDTKVKVWDTMAPPSSVSSSCVGGTHLNSSGPPVAIKCYESLCYIAAGSEVTAIDLRTMKKSSVLALRNQRILSCEMLPSEWLICTGIKDKALLWDIRKSQELANTVAELHSDGPVMLLHLDPYKVVTGAPWDGQVHVWETKTGHLVNTLSCNNPVKSAGRSTLSAMAVDGCRIITTGRSSTEGSLLHYQDFLRSSVPVASPGEEVSKFWGSQKYDDEDSEDDH >cds.KYUSt_chr4.37735 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232618474:232618803:1 gene:KYUSg_chr4.37735 transcript:KYUSt_chr4.37735 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALDCSRRDWERQEAERQRRLLDLAAARCRTVRATPAAAPAPTVAPVPLIKLEERSDDELYRPTPPCGVPGQGSSRWYEAPPPEDAGNSSDDDDDGDYTAFYCHFGM >cds.KYUSt_chr2.41616 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258863093:258866879:1 gene:KYUSg_chr2.41616 transcript:KYUSt_chr2.41616 gene_biotype:protein_coding transcript_biotype:protein_coding MALIFLPLLLLPLATASNPLVKPGCQPRCGGVDIPYPFGIGAGCFRPGFQIDCRNRIGGGPAPFLPDATVRVGTARPKPVRVLKLMVTPRAQVRVKLRVAHQCFDAAGNETSRFDGRMKVNPVGVYRISNTANELFVLGCSTFIYAGRGKLGLRDNVSLAYFSGCVAYCNSAGSARDGKCAGVGCCRVDIPAALTNTYMRFGNWPHKGIEFNPCNYAFIVEKNHYTFKAADLKRTPVSPWTMPLWLDWAIRNGNNSLSCPQAIITPGYTCVSKNSKCVNSTNGMGYTCNCASGYEGNPYLDNGCTEVPLLAYEFISNGTLCDYLHKEPRRSIPWEDRLRIATEIGKALAYLHSAISVPVIHRDIKSANILLDDALTTKVSDFGASRYIPKDQTCITTMVQGTLGYMDPTYYCSGRLTEKSDVYSFGVILIELLTRKMPITYRSSNGDGLVAQFLELLADCKLVEILDPQVMDERGDQVEKVAALAMLCIKLRAEERPTMRQVEMTLEGLQSPKEHILHDLQAGTKVGTHLARIYSSTIGNISSVDVSRQYSQEEEFMLSARYPR >cds.KYUSt_chr1.22680 pep primary_assembly:MPB_Lper_Kyuss_1697:1:134118961:134119248:1 gene:KYUSg_chr1.22680 transcript:KYUSt_chr1.22680 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRSELARAMAEAEAREERLRQQLEAALARVAVAEEAEERLCVQLGELEAEAMEQALEYQQHVRSLSERLAFMDGVLRSSGALQSAVVAGGMH >cds.KYUSt_chr6.27091 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171833199:171833750:1 gene:KYUSg_chr6.27091 transcript:KYUSt_chr6.27091 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHGTRGAAACGIAYHHSGGFGAAGVLLPQDYDPRITHGQYGFASLADMVAPYTSCNGGDSDPAVSWSSPGDGEVHGQTTGCGNGDERKTRRLASNRESAKRSRVRKQRRLDELSSRAARLRAANQKLLVELNRVIAEHGRVARESAQLRQEASELRRKLDGMGVDEAELAAAECTEERPEI >cds.KYUSt_chr1.41954 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257238506:257238853:1 gene:KYUSg_chr1.41954 transcript:KYUSt_chr1.41954 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHRSARRAELAPLQVQASKQAPETTTIKPPSRSWVTFSSRHPASKQPPEHVPQQPRVVGGGNGGASTEGPRTGSPPGEDGAVATPSTRRNSDRSITATHSTGEEELDPRRPNG >cds.KYUSt_chr6.9741 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60107232:60107939:-1 gene:KYUSg_chr6.9741 transcript:KYUSt_chr6.9741 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYMPNGSLDGYLFGGSSGSCPSWRERYEIMLGVARGLVYLHDGCRERIIHCDIKPENILLDAGMSPKIADFGMAKLVGRDFSRALTTMRGTIGYLAPEWISGQPISAKADVYSFGMVLFELVSGRRNSEGYGRRDSGSEVEPAAGSSAFFPVWAAGKVVEGEVGAVADPRLRGDVGEEEAGAGVRGGSRWCIRDQEEHRPTMAQVVQALEGVVHVHAPPVPRRLQHLVSLT >cds.KYUSt_chr3.41548 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262096775:262099394:-1 gene:KYUSg_chr3.41548 transcript:KYUSt_chr3.41548 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASSSASSSGYRPRRGVRLRPLRRRRGPASSLASRGGDGDGGENGGGGAQDDLALPLGMSFAAVLARVVNSSNGPGERLHPVILSKICTSAVKESLANTYGDRFDSFMRNFENSFSSTLRTLHRINEIPDYERSPAPECSFKHGGSAALGNNLSTVDSQNRTHEVIVSSVESQLVLYARDSQQLAQSARSRSNHEADRSILNAFERSVKEQARSNELKEFEIGLNMRKLELKQSQLALSSYTHMLEKIKLSLGFQKASFQGEKFQTKMQDTRDAQILRTLTDFLVSAGSKSWWVPSSVSNLNSGLLFIRCHLIAATRMFFGIVMILAIAWFCTNTLGGDGNVWLMCWEILCSIHLLGNCYPSILYRILHGPITTARGNEVVWLPYWIRRCIFYAVLGFVVPTFTGLLPFASVSDWKDHFSDQIKTFIIGDKVED >cds.KYUSt_chr3.39320 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247686833:247688214:1 gene:KYUSg_chr3.39320 transcript:KYUSt_chr3.39320 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTTVKPENEEEMSTGYGNDSESLRLVENRRFTVGVCTQGVQSTLIHRDVKATNILSNARLEAKIADFGLSKAFDQHNDAYISTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKQAILSDPEPTSIIYWARKRLARGNIETVVDARMHGDYDVNGVWKVTEIALKCTAQASTQRPAMADVVAQAAGVHRPRGEPCSWLAHRW >cds.KYUSt_chr1.7980 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48955158:48955910:-1 gene:KYUSg_chr1.7980 transcript:KYUSt_chr1.7980 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGCPVPASAFRGFIPDPEPQVRSATGRPDEDDCDSVFLQLTPAQHLYGRRFGYANIKPGAAAAGIADQKAFIRSAILAAAPELQFEVLECSPMTAHVCLRFGSPEEREAAVARQPYPHDGFSVEVVREGEQGGVVRVKLQQQKLAHVVFLDYPIEERTEEGIRANCSRVGHVVEIDPGCFAAPDLAPVHLVVQREDPESIPAGLRLRYFDRSRTVYPVQVAQCIIRIQIVRVWQAKGSYTSLFQPAAA >cds.KYUSt_chr7.22493 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139409049:139412090:1 gene:KYUSg_chr7.22493 transcript:KYUSt_chr7.22493 gene_biotype:protein_coding transcript_biotype:protein_coding SSGLTARVPKKANMLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQEKYPHMLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG >cds.KYUSt_chr6.31215 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197714503:197715054:-1 gene:KYUSg_chr6.31215 transcript:KYUSt_chr6.31215 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCRHGFLRAPLRTFQGTEELLVRDPFNGHEHHLAIPPVLGRKSIHGAVLRAAPGVDHFQVVLVRTDVKQGVVACIYSSETGLWGNLITTPLPSRGRIDPNKPAVLVGGSLYMLLLRLEAPSMIVEFDVGRAEPSSDTSACQLGFRAQSLLLSYARRWWWAWYGLCLNFRRQRPVMEEDRRL >cds.KYUSt_chr1.31116 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188667964:188669414:1 gene:KYUSg_chr1.31116 transcript:KYUSt_chr1.31116 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLYMGFDDADAGGGEDVEARGAEAYNCPFCGEDFDFVGLCCHIDDEHAVEAKRGVCPICAGRVGMDLIGHLTVQHASYFKISFLLLTIVLSSSIYGFTI >cds.KYUSt_chr6.10920 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67670633:67670980:-1 gene:KYUSg_chr6.10920 transcript:KYUSt_chr6.10920 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGAASGGGAVKTALVVGGGLILAWITVESAFKPFLDRLRAAVSRSTDPARDPDDDVPDDDKAPSTAAVAAAVADEKEPAAAAAEPSAPPLPADAEEEIEQKGEEGPVPAKAE >cds.KYUSt_chr1.7859 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48284651:48293300:-1 gene:KYUSg_chr1.7859 transcript:KYUSt_chr1.7859 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAGRSGVARAVKGYADAVAHHAGQAVSEILHDRGGTQSFKSFKKTVMRLEEAAVSCHGSDRVELLRRWLGVLQDIEAELAGSDLKDAEHHDSAGELDALKPPLALFYDPDIEGAPMNFRDVFLYSQALEDITQSMILEAPSEEEVSLLLEIFGLCLTGGKEVNKAIVNSVQDLANAFSNYKDEVLVKREELLEYTRNIISGLKRNADIMRIDAETLELWRELEGKENSRSQSTENEDKASEKIAVANIEALKEALTEVRFCSRVEELLLKKKSIAPGDSMEIHSQKVDKLKVLADSLASSSSKAEQQIMDHRRQKEDALNFRVKKENEVNAAEKGLLSEITELEKQRDELEAQLKKVNISIKAASVRLKTTREERDQFDEANSQIIFSLKTKEDDLSKSITLCNVEANVVKTWIDFLENTWQFQSSYNEQKEKKTSVELERCVSDFLKLTKHHLSAFKEVLSPSIESIQTYVDNLAVLNSREETREHKDDESSEKTNPLKSLEEEYLETEKKIIIAFSIADHIKKLFYSEHGANSRRDDPEVKKLIDEIEKLREAFESIERPTLSVEDHKSRPLPEERSDLSPSPIQAPVTPRAAHVDSPKSPLKAEQQVHSDAELADLGAGFGKDDKDYSGEEISGWEFDELEED >cds.KYUSt_chr6.29442 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186625546:186626331:1 gene:KYUSg_chr6.29442 transcript:KYUSt_chr6.29442 gene_biotype:protein_coding transcript_biotype:protein_coding MARLATILSFGDHADDHADVPETEQHEEYREEQDHDEDDDATSVASDDSFEFAFARPLATEGQHSADALADDLFAHGRIIPAYPVFASASASSATAPPSPDTYCAWTPRSAPGSPAREPAAFPKSASTGGQSVPRFRIRDLIGSGAGRRSNSDGKEKFLFIQPSTAKTTTTTPPASAAPAAKKPSSSSQPKTKQSKKKGAAPVTEMDMATAHRLFYSKPAATSATGDKTTTTTKKSYLPYRPAIVGFFAAAHGLRPKHHPY >cds.KYUSt_chr3.33296 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209042625:209042966:-1 gene:KYUSg_chr3.33296 transcript:KYUSt_chr3.33296 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHRAGAGDASAFFAATLVLWAVSVGFEIGARGRRELAAVAAGFAFFQAANTAVRAAVSRDPLFVNTAVSLLHSSLTSASGSGPSLSPLPKPSHLLSAAFPINALSFGFID >cds.KYUSt_chr3.2959 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17014075:17020433:-1 gene:KYUSg_chr3.2959 transcript:KYUSt_chr3.2959 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPYELSSLSLILTARLVNMSANVQESSGAGDAVDTRSATPVASVAEAARAGEMPPHRDLPDEIAIWEILVRLPPKALLRCRTVCRAWRFATSSRDFLLAHHSHQPTLPLLYLHDATYDLSQSLDIVPLDHRAGVSAADQLHLVARLGSCNNYMHLEASCDGLLVLATVGDPCFAPALYFSVCNPATRQYAPLPLLRGFRLAGMYPHPPMGEYRLLLYPDGTWMHDERPAGIQEACYVYTVGSCQPPRHIGWLEAEELIHLLVSVLCRGNLHWFVAMVEVISNIIMVFDATAEVFRQMPAPPVRATADLFEVDGMLGMASFNDAVTTIDIWMMQDYDSEVWAFKCRVELPVAELTGRFGLSKYWNLVVSSSDDNDVLILVQTSEWLLQIDIVGKLVAKFYTKCLGERATGSEWNMSFELRVAGERATSLGRVVDSLETWETTILATNSQSLSVVITSYYTTHKPSLSQLTAPPLFSSLPIGLCSAFVAPSMSLITDDPNHSPRHCAAKHHHRAGCGRFSGTAWRRLLMAASSLVALAIILWLTLRPSAPHFSLLAATATASNGTLARLDAALVAHNPNARAAALYDHLVIQASYGAGVQLAAAAQPFEQTQGDVVLSASLASSAEPAAVSAVEAETESGRPTTLLRLRVEGQLRWKVASWVSGRRALAAQCVAVVVLQPAQQLSRAVVVQGSQCATTLQ >cds.KYUSt_chr6.5746 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34535688:34536065:-1 gene:KYUSg_chr6.5746 transcript:KYUSt_chr6.5746 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVDAMAAAYDALIDAAAAAVREPGRGPALEDLKRCLDAFSESCDRAEDLVQAAAAGLLPSPSATSRLDALPLAVHAIEKDLVQAAAADVDERDEKEVEVEEERDSAPTPPVPVPVPEAAEDE >cds.KYUSt_chr5.9873 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63139931:63142567:-1 gene:KYUSg_chr5.9873 transcript:KYUSt_chr5.9873 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAALEKARRLLEEAAGESLPTEQVDALPSGFYDAFVLCGIRVHAVEPGRLLCHFTVPSRLLNSGSFLHGGATASLVDLVGSAVFYTAGAQTRGSPLEMNIAYLDAAFSDEEIDIEAKVLRAGKAVGVATVELKKKSGKIIAQARYSKYLGPSSKL >cds.KYUSt_chr7.30820 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191883528:191884895:-1 gene:KYUSg_chr7.30820 transcript:KYUSt_chr7.30820 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPHFLLLSYPAQGHITPARHLALRLLRATGASVTVSTSISAHRRMFPEAGELEHVDSAGVRYMPYSDGYDDGRKALDDSDYIAHLNLVGPRAVAAVLARLRDAGRPVTRVVYTMLLSWGAGVAREHGVPSVLYWIQSATVLACYFHVLGGTAGATTDLTAAVHVPGLPATLLTRDLPSFLLTGTSDDDPFAMVNTAFRELFFGDHRPTVLANTFDAMEPEAVATLRQHGLDVLPVGPVLSFLDAGPAATSLSQGNDLFKQDGKDYLQWLDERPAGSVVYISFGSTSVMSKRQIAEVDRGMTESGRPFLWVLRKDNPRGDEEHGHGAGAGIVVEWCDQGKVLSHPAVGCFVTHCGWNSTLESVSCGVPVVGVPQWSDQGTNAWLMEQLGTGVRAVVSDKDGILDAGELQRCLGLATSEVVRAKAKMWRDKAREAVLEGGSSDVNLKAAFVAPSN >cds.KYUSt_chr1.2328 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13713309:13714051:1 gene:KYUSg_chr1.2328 transcript:KYUSt_chr1.2328 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVPAALLLLLSLVEANACDAHIRRKFASSMPRVVGVVAPEDSSLHDAQIDLIRGSPRLLLLFKIVGDVLDLMC >cds.KYUSt_chr1.24538 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146662995:146664016:1 gene:KYUSg_chr1.24538 transcript:KYUSt_chr1.24538 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVTYVSAAKLVSMARGNHRMAVIDVRDEERSYQAHIAGSHHFASGSFAARLPELVQATSGKDTLVFHCALSQRKSE >cds.KYUSt_chr6.2741 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16063427:16063941:1 gene:KYUSg_chr6.2741 transcript:KYUSt_chr6.2741 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLPALVLLALVCGELVIAGNAQTYIGGTSAPSPAGMRPLPYPYARGRAPVRTEPPNYTAVRPSISGDDATGRVPAVEEDKAFMIHSVPAHDRVRPVPVPPTAN >cds.KYUSt_chr2.53356 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332913831:332920381:-1 gene:KYUSg_chr2.53356 transcript:KYUSt_chr2.53356 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSATMASSLRCCPAVAATRGNLRRRADTLRAGLPLPVGHKLNSGTCFKLSCAPPTNWSCAHDLDSDSELMPPLPWWGDELLDEDADFLLLADLFPVGQGRKELDAIWHALVAGPLESIVLTVREIMAAGNLFRCRSFHAGTLSVLLLILAFKDSSAFQTPPPEYNAAASIVKAEDDPEEFPGLRQAQLESFAATDAFAEAWSAADYRIVEEEHRRHLGLVIDLDGDARPYTRPCGRRGDAGQGSSSYLPQPKEEDPDDSEAAAIPQPQQRPYPRSYLRIKVNSWIRQPIARGRTPCRAMPCHGTTRARMLHAFMALPCPAYARLIAAALTEPAGR >cds.KYUSt_chr6.25477 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161495131:161496510:1 gene:KYUSg_chr6.25477 transcript:KYUSt_chr6.25477 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKRYLEASLRLPAPGPKLSNDCTVEEALVDTSAYIVDEQNNTTASSLTRDGREVQVSFFLAQPPGVSHFCVACTANTPTEFSSEPRLVASEGNLALLLLAHGSHRSNQDYYVYRAPLAFDTAPPVLEKVCHPGPTLFLHTVSYYFHNEEVGFLRYRANCPDGHHQSCGDNLDAYKIAALRTHDLKPRMSRYNLYTYDSQSNTWDRKVAVLADQQFEDQLAAHESSVVINIGGDVGTMGWIDLERGIILCDVLGGMEDTPTSRLVRYIPLPDAGPVKKKNILRGTSRRYRTVAAVHGRIEYVEVQIHVRPGPRPKRLHGTYFSAGWTVVRWSTTAAAGRSGWHMHSKLRSSRITVPADMAALLPTLPRDIDEDATTLERLHIGHPTISLSEDSDIVYFMAKIDEMEKDAWVIAVDTKKKVLKGVTEFTPRCVYSMESTYIPTTISKYLTPARTEVVDI >cds.KYUSt_chr2.10915 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69323088:69328102:-1 gene:KYUSg_chr2.10915 transcript:KYUSt_chr2.10915 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGVAVLLLATAFIEVTADTNSDDVDVSAYSATALKTLYTTLNSPSQLTNWASQNGDPCGQSWLGITCSNSRVITIKLPGMGLRGTLGYNMNILTALVELDMSNNNLGGGDIPYNLPPNLEILNLESNDFTGTLPYSISHMAALRDLSDNTFSGTLPESFSSLKTLTTLYLQNNQFTGTIGILSDLPLTDLNVANNQFSGWIPEKLKTIGILQTSGNSFSNSPSEPPAPTSQTTPSPQPSSTGSPSDSNSDSNNNLPSGSNGGNVSGSDGGKRKVGGGAVAGIVISLLVLGAMVSFFFIKRKYMRPRQGGDPEKDVPLAPLASGKFKLLRPMNIVSPAGKEGLQRTVSMNLKPPSKIDLHRSSDENDRMNKSVATKTTNLSSIRATVYTVADLQVATESFSADSLIGEGAFGRVYRGQFSDQKVLAVKKINFSALPSNPSDFFIELVANISKLNHPNLCELDGYCSEHGQCLLAYDYYRNGSLHDFLHLSDGNDKPLSWNSRVKIALGSARALEYLHESCLPPVIHKNFKSANILLDDELNPHLSDCGFADLIPNHELQESDDNSGYRAPEVTMSGQYSEKSDVYSFGVVMLELLTGRKAFDSSLQWSQQSLVRWATPQLHDIEALDQMVDPAMEGLYPAKSLSRFADAVALCVQPEPEFRPPMSEVVQSLVRLVQRSGVLRGGSDSLRHEDHSNYKF >cds.KYUSt_chr7.22714 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140933583:140934206:-1 gene:KYUSg_chr7.22714 transcript:KYUSt_chr7.22714 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQQPRPQHSSPGLLLRYSRKTDTSRCYCWCFATVFTIVTLIALVGAIAVLFVDPTLPRIAVDSSHLEYVSYDGSSISLKLSLGVVAENHSPRATVIFTDVSLHLLYHDVVIAVMTEGPVEVSSKSSCSANYTMPVWNYPLDSIRRAELQDELHGGFVHMSLAGRAMIGWKVGRVVAFRLPMRISCDFSFYWPSGKQLDVSCSWSF >cds.KYUSt_contig_1658.50 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:248176:258211:-1 gene:KYUSg_contig_1658.50 transcript:KYUSt_contig_1658.50 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGFLFFLLLIIIGVSHDEAILLAAETPSSYLNPSRDGMEVDRCEDEADGSDDGECDSSDDGEADSFEDGEAGGDLTKSEAALEDTADGYATGSAAVAAAVPETTEAAQGSIDQTVGEEPKQPSHTIVLSDDEIGEVEGGEARAMAAEDAVTAQDAQGRDREAVENEAAEVKEQDVDGGNADDVAAKDDVAAQSATDDASNKQESDPRPLFQEEMNAEQQEEEDPEEVIFEDPMDEALAAAEVKGEEEDHAMLEEDPEEVVFEDPLGGGQVKGEEDRARVEEDERTVMSNMAKNRQLKKELEIFVGGLGRDTVEEDIRKVFGQVGDVVEVRLHKDFLTNRNKGFAFVKFASKEQVARALAEMKNPMIHGKRCGVTASEDNDTLFLGNICNTWTKEAIKRRLSDYGVQGVESLTLVPDTQNEGKSRGFAFLEFSCHADAMLAFKRLQQPDALFGHPERTAKVAFAEPIKEPDAEVMAQVKSVFIDGLPPYWDEDRVKDRFKAYGLIERVVLARNMSSAKRNDFGFVNFSTHEAALACIEATNNTELGDEGKSKLKVRVRLSNPLPKSQAVKGGMSGGFRIGYPVSGFNKPEDRWEPSSGRHGSFDRGYPPPRRPAFEPEGDFGGPFGENQYFYADARPSFKRPHSHMEPDPGYFEPGPPRVRPRFDHYDHPFPGGNRFDHYDQLPFSGRDRYRDPFEMGSAYPRDYYDPRPGRVPAAPDVRAHSDHIIEAGILDLEADILEAVGITTSAIRLPVLLPKIPPPNASRSYPTMYQMLAPSPPEISSRRWPPAAGLRSSSPPRPMRPPQGGQCRLASISSHPGGHACIPAPPATPSSSSLAAGLRSPPASASTGLTLMKRISNLLQRL >cds.KYUSt_chr1.6286 pep primary_assembly:MPB_Lper_Kyuss_1697:1:38638150:38638833:1 gene:KYUSg_chr1.6286 transcript:KYUSt_chr1.6286 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVDLNEPIDWDDLDDFDGEARELAGDFFFEVDSDGDEDSNVDQPRQMLDLNSSTAEGNGRGRRTLGLTGLRLAQRWNSEVSGGGHGLGRGPLYVGETSSAAASRGAQFAGDGARRGEPFAGDGAHRDAQFAGDSSCDGARRGAQFSGAGLGGGGVNSPALESKRRRWTRGRRRPVRVRCDRGGKIGKRSGGRKARLKP >cds.KYUSt_chr4.48844 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302462137:302462584:1 gene:KYUSg_chr4.48844 transcript:KYUSt_chr4.48844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix dimerisation region bHLH domain containing protein [Source: Projected from Oryza sativa (Os03g0171700)] MSSRRGRITDEEINELISKLQALLPESSRRRTASRSSASKLLKETCGYIKSLHQEVDDLSDRLSELMSTLDDASPQAEIIRSLLR >cds.KYUSt_chr4.17196 pep primary_assembly:MPB_Lper_Kyuss_1697:4:107461400:107466318:1 gene:KYUSg_chr4.17196 transcript:KYUSt_chr4.17196 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMVVHGGGRDRDRDRSSPGGGPQVDTGKYVRYTPEQVDALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSRLVYENGYMRTHLNNPSVATTDTSCESVVTSGQHHQQQNPAVPRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDVLQIIPTGNGGTIELIYMQTYAPTTLAAPRDFWILRYTSGLEDGSLVICERSLTQATGGPTGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFLDDGWSLMSSDGAEDVTIAINSSPNKLVGSHVSSSQLFSAIGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRASGFMGNQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRIIPLDAKTDAPSGTRTLDLASTLEVGSGGTRASSDASSTGSTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRVGGQIEMKNPPGSPEAHTLARWIGRSYRFHTGAELLCPESQSPDASLKALWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCSEFPKIMQQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLGDDDTPHCLAFMFVNWSFV >cds.KYUSt_chr2.30720 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189205778:189208702:1 gene:KYUSg_chr2.30720 transcript:KYUSt_chr2.30720 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEGPPPPLAELAECCRELDEGHHAWAAHRKEAAWRLKRVELQLESERACRRREAAEEFEAKMRALWKEEYAPGYLEVPHLQYYDEVLNTFPEIKSDQELMTMFEKHSETKSVQMFIQYCDPSEPYTPITKYVQPNSKTSQDEDSYLRNPLPENEHVGIDEEENMYSDKEPIPLNVVLFADKEKDRNYFPEDVSVDESEDESEVEEDEEVQEPNHAPNVEYDREDPPMTVGSTYPNMNEFKLAIRQHAVKRDFEFNTEKSAPHRFTAHCKRKDEDNCPWRIHASTTDDLCTVVVKTNPFDHDCSSTKNQKKVKNATKHWICEKVKDWLIEDATLGADELRKKLKEHYKIKIHYKRVYMEGFRVGCRPYLAVDSTFLTGRFKGQLASATAVDGHNWMYPVCFGVFDSETNENWTWFMQLLRQAIGSPLGLAICTDAGQAVMTGVKEVFPEAEHRECDKAGDREGSRSSLRRGQVWRFPRPPPATSAAGGWGNPGASVSACR >cds.KYUSt_chr5.17920 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115874615:115875781:-1 gene:KYUSg_chr5.17920 transcript:KYUSt_chr5.17920 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATMPRNLGGSLPVPNVQDLAGRPEDLPPTLLNRYLRPEPTLPDAPADEQEHVPVVDFARLFGQKEEDRAEEAARLRMACEDWGFFQVVSHGIPEETMEEMKRSVMGFFALPLPEKQALAQEPGGIEGYGQAFVVSEEQKLDWADMFYLVTQPPSYRHLRLWPSNPSTFKDCLESYSAEVQRVARELLGAMAGNLGVRDPTDMTRLAEVQAMRMNYYPRCPEPHADRVLGLSPHSDATGLTLLLQVGSVAGLQIRRNGRWLPVSPLPGALLANVGDVVEVFTNGKYKSVEHRAVVNPRQERMSIAAFHSGKYGTTYGPLEDVVRDQEPRYRSVSVEDFYKLVVSTKLDGKKIMDAMKIN >cds.KYUSt_chr4.10426 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63273069:63284164:-1 gene:KYUSg_chr4.10426 transcript:KYUSt_chr4.10426 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKSTSLDLLLVLLRGEAEVDGYDGGSRGRITEEGCEDRTAAVWPGDRRRERGRQHIAALARYLNDLSGYAVTTKRSKCPSFPTPGNPRAAPTPPSRVAHRRLLESGDPSSPALTGDLSCANLLRLFPVPTGSPEIAAMETLASAMRRDNRRFKASSSSTTSSSAGRIPLVMAFLSCLAWLYVAGRLWQDAQTRAILSGLLEKSSGNLPKAVSVDDKLRNLGCTEIGRKIAEAEMDLTKAKSEGYLWGNGTGTTASDKKKLLGNTTCRLRDVTPPSTPQSPMLSKIRLSLAAASSTPTHGACIAMDEVRKKLFSLSLSGKAAHCVSAIPYELYTEIMHEIDSCELEDIDVVIQLANRETISPIGIVRDVEVLCADLPSNDFKMEQCASIVLVPNNPLQQHPEDSESEIFRKERDELEEIFLRQPILKHDLPVEDLGTTPPPKEDPVFDLKPLPDNLKYAHIDDKKIYPVIISSKLSEIEEERLLEILKKHRGAIGYTLDDLKGISPSICQHAINMEDDAKLVVEPQASSDSEDEGSEVFMDDFSVYENSFDSCLRNLDKVLQRCEETNLVLNWEKCHFMVNEGIVLGHKISERGIEVDRAKVEAIEKMPYPRDVKVETPEAYIKGQIDNPPNSTSNRICFGSRAIMSSSETPKDSSSKDVGNLYMEELRMHPKELLLVEGELQVKDVQGPKGEGSLEDRMEKLEQEVFKYKKMAEREVDIFHRIVSELIAEHEKETAKLWSDILSLHDTTNKLQAQLYDFRNRNREYENRFKYISRAASFRIPETKMSFLDGEPLPWKFDDGSSSPSSPKE >cds.KYUSt_chr3.21068 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129238311:129241988:-1 gene:KYUSg_chr3.21068 transcript:KYUSt_chr3.21068 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDINSTPPEEEDDVGRVLDLNFSPHTPQEQEQAAQPGHIPDEQEQEQAAELEQNPEQEQEQDVELNQNPEEERNLHRRRKDVPERSKFASYIALKALGKDRPVVKADKENVAQLLGISLRSVEKTWKKAMDQEARNEVVDFSNNQKMCGRKRRELNLDERVPQVPLNQREEPDPVRTIHNHNNIGKVMFLTLVARPRFDAQGNMTFDGKVGIWAFVIEDVAKYNSKYQTKGDLMLKNLNVTREVMREYLCEKKLDLIRETQIGKFEAAIQPRIKEEKPKQEKKIEAKQPAKASKRKARRTGPQLTELSSSEAGKEDLDLELALDGVGGLEDGHGGLLPEHVAPGLGGGGGPEAVGGVGLAVAELA >cds.KYUSt_chr5.3346 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21671745:21672971:-1 gene:KYUSg_chr5.3346 transcript:KYUSt_chr5.3346 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAGGRCSRKRKSAGLGSLEVGAASEGRGPGPTAGDQEPPPPLPGAEGGGSDRISDLPDAVLGEIISLLPTKEGARTQVLASRWRRLWRSAPLNVHCTPYPFHEDARDAIISRILAAHQGPGRRFCAPVYHLHGDRAEAWLRSPALDNLQELELCSFMFYLPFPPATPQPLPAAAFRFSDTLHVATIGECHLTDSTVQALHFPKLQKLALKRVSISETSLHTLIAACPALECLLIYASTGFHCVRINSSSLRSIGVEGFRYQKGLEFPELVIENAPSLKSLLQLGSCGFLHISVISAPKLETLGYLSSGSSSRLVFDSAVIQGFRVDSFTTTVRTVKILAVDMEALSLDAVIDLMRCFPCLEKLYIQVTIHL >cds.KYUSt_chr2.26026 pep primary_assembly:MPB_Lper_Kyuss_1697:2:159335462:159350032:1 gene:KYUSg_chr2.26026 transcript:KYUSt_chr2.26026 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEAAATPAPEQEAGAPPQEESAAPEEEEEVAAPPEEEKEVAAPPAEEEIAAPPAEEEIAAPPAEKEIAAPPADEGNAGPVHEESAAPADEVSAAPVDGERAAPVDGERAAPVDGESADAVAVEDEGASGSEAERARKELERKVTELSIQNEHLKSQIAAAQQPASSAEVGRGSVPEDEEGSELVRSLKEQVQRLSREVHEQKQTQKVAEAALEHVNLSYAEADGKVQELTAKLNQAQLKLEKELKERDDKYVELDTKFQRLHKRAKQRIQDIQKEKDDLEARFNEINQKAEQAASLQVAAQQELERARHQASEALRAMDAERQQLRTVNSKLRSNFDETRLALEARNNALEKLQQSMLEKEQMLEQIQGSMQSAEEKRHASVSELATKHQKQLESLEAQLAEVSAERTKASETIHSLQMVLAEKDTEITEIEAASTGEAARLKATLEEIKGELAHLKGQHEKERQLWEAEHESLKEKLEASESACRRSEIESDKVRCQLESELSRQNQLLQTKGCDLISAKEEISRLESEFSAYKIRAHALLQKKDAELSTAQNSDLVKAHEEAIREAEKEVAAALEERDEAIQDLQAAQSRHREEIEARDLALADVDKKLKNVMKTLDSVTSQFLSEKESWEKDLASLEESWRLKCESMKDQSNGHVEDHLQKNLGEWTLKYEKLKEEHESFRDISDRMIEEKEREIAKLLKENKDLHHSLEAKAAVSNGENQSPGHVKQDVLSIELAEQQILLLARQQAQREEELGQSQRHILALQQEIEELERENRLHDQQQAMLKTELRNMERSQKREGIDMTYLKNVILKLLETGEVGALLPVVATLLQFSPDELNKCQHGVLSTVASSPATALSDGGSTPNSFFGRFTF >cds.KYUSt_chr3.46678 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293399733:293400195:-1 gene:KYUSg_chr3.46678 transcript:KYUSt_chr3.46678 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWYRGMSADNLKGLALALSSSLFIGASFIIKKKGLKKAAASSSGVRAVMESLAIVHKLDLQAATNAHRFGECIDS >cds.KYUSt_chr7.17976 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111524582:111529565:1 gene:KYUSg_chr7.17976 transcript:KYUSt_chr7.17976 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDATAPPPEVAPETTQTPAAGLSADAASDPAVPALEETAPEAAEGEGDHKRKLDEVDAGADANGDAEDAKRPRVDGDGADAENIETSDKPEEQAPAATEGVADAENGKAAPDGGLQTSSEDKPQEAATDTVTEAPPQQQGGDATGAAQETTRLIEVPNTKVGVLIGKAGETIRNLQVSSGAKIQITKDADVSAEAVTRPVELVGTLESINKAEQLIKSVIAEAEAGGSPALIAKGFGSGQSGSEQFEMLVPDNKVRPFQEIQLRLVAMGHSITTLRVMVQALSGGHVLSHSSMDIHQGGLHLRMRHTLSHPMVAIHSSLRQEVAWAGIRGRVHRLILHTRVVVMTITSMDLNHMKASHQTTLLDQVTTTVMGHHQLQAMDNLRIRSLDLHRTMALDMVILDTALLHQPSTMGSHQRVHSKATLNSQILMPDLHMVDLHNGHPEAALLLETVLTRRHLLRLMLHQLSNLLLMVRHTQQQDLMGMLNRVTHSRVVKRRQRMVRVLRQDQGTVSKVAMHSILHHNLHMVAINQLKTVPTTGTRQLQLIPTMPMLPTHSRDMLLHQQLGSLDMVRQDTPSHLQIRQVMISQRHHQPLLKAVMLHPLRIHSLLLQRACHRSLPLLLDTAGSGLHEFSF >cds.KYUSt_contig_817-2.183 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000077.1:1210252:1213017:-1 gene:KYUSg_contig_817-2.183 transcript:KYUSt_contig_817-2.183 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFAESAAEPPLADSYHALLRRDTKLDACITTAPPPGCQPVSECQLPMIDVRCLTSTGASPEERAACVAAIAAAAEEWGFFQVTNHGVGQELLDAMRREQARLFRLPFEAKATAGLLNDSYRWGTPTATSSKQLSWSEAFHVPLAGISGDRCSYGELTNIRDVTHEVANAMSKLANALARVLAESLGHTAAGGEQFPAGCDERTCFLRLNRYPPCPFSPDAFGLVPHTDSDFLTVLFQDQVGGLQLMKGSRWVAVKPIPGTLIVNIGDLFQAWSNNRYKSVEHKVVTNATTERYSVAYFLCPSYDSPIGACEEPSPYRTFTFGEYRRRVQEDVKKTGKKIGLPNFLM >cds.KYUSt_chr1.3994 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24316235:24316952:1 gene:KYUSg_chr1.3994 transcript:KYUSt_chr1.3994 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPITVAYEYEQLLSPELHMAQEAVAANGAGGRTKPHAVVIPYPLQGHVIPAAHLALRLAARGFAVTFVNTESVHQQTAGALGLGVDRSRYDIFAGAGADVRYELVSDGFPLGFDRSLNHDQFMEGVLHVLPAHVEELLRRLVVDPASTCLVVDTFFVWPATLARKFGVPYVSFWTEPALIFALYYHMDLLTKHGHFKCKGT >cds.KYUSt_chr6.19674 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123789207:123789854:1 gene:KYUSg_chr6.19674 transcript:KYUSt_chr6.19674 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARSMARPSASWVDRSISSSDDRAIHRGRMCLKARVVAEGDSEGDLVGDRSWERAPPPRAALARRKRAAARREAVRRATANSFAILGEIEEVSSEEQEDVEAEVGGACRRSPCLGAFMEGAFVRTAGEGLPPADSVAALPDLCNGESRRPQVRLDSEAEFPPLPQLRGSAAPLVVQVLEEGSSSPLPEVLIGEIPVPLVREPAAPPSEEGERL >cds.KYUSt_chr2.43556 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270982314:270982675:1 gene:KYUSg_chr2.43556 transcript:KYUSt_chr2.43556 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISRRMVASALFVLLLLAATEMGTTRVAEARHRHRHCESQSHRFRGACWSDSNCAHVCNTEGFPSGNCKFHGFESKCFCKKPCST >cds.KYUSt_chr3.36361 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228753972:228757124:-1 gene:KYUSg_chr3.36361 transcript:KYUSt_chr3.36361 gene_biotype:protein_coding transcript_biotype:protein_coding MQQALGLGRACSLGHSCRRSTATTPSPAPPPLPPRTTTTSKSGGRAVAVRAEAASSAPRTMEATKREEPTVLTLRAVEATPESFAPFGQVIAASPDGDQFGPQDAQLDLSRGIPRFYIMRLESKPLKFSTITHHAGVTQCLGSIGGQDWYLGVAKPSVVDGAAEQSGGRSPVQSRAGHYYLPPDPAEVCVFRVSGPKFLKLHTGTWHAGPLFKADAVDFYNLELSDTNVVDHTTHYFEKQDGVTFVIED >cds.KYUSt_chr1.28953 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175193262:175201583:1 gene:KYUSg_chr1.28953 transcript:KYUSt_chr1.28953 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAVALSLPIPHLRFAPNHPSLRAHHCHLLLTRTASRPLPAPRRRLLLAPRASPSAADPARDGSCKVPGYRNRLLDLARLGAVAEGAAEAFFRSEIRRRLAVTALLIVLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLVDFASELKLSFFQLGISHQISASIVMQVLCHVLPSLEKIRKEGLDGHEKIKSYIWWLSLGFAIVAACTVSCYSLQYSIYAASYRVKHVVLTSFLLVLGAMSTTWICDTISESGFGHGSSLIICVGILTGYTNTLHKMLTQFSGNVYMCWPYILGIAGIFMMVTMGAVLVTEGSRKIKLQYYGFKLASGARNENSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMARRSTAELAGNFLIIT >cds.KYUSt_chr1.21862 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129083051:129084175:-1 gene:KYUSg_chr1.21862 transcript:KYUSt_chr1.21862 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKVVLRVPTMTDDKVKQKAIEAVADIYGVDSIVADLKENKMVIIGDMDTVAIAKKLKKIGKVDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >cds.KYUSt_chr4.17897 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112150104:112153171:-1 gene:KYUSg_chr4.17897 transcript:KYUSt_chr4.17897 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFMEKLLQASPQFNKRDPRRRWGLSALLVLSAGHGGEGEEIRAAMMSAAARYAWVPSESAVPAAVPKRRRGVASAILGQKDGPAELEISFSSMFFLLCWRIFLDLNAAANAGASPSGFVPGGSAGVWRGFSELHRDGMVSVDEDGTHEGIKTIRIQKACEFVSNSVLCVCIITWNMNGKMSVEDVRKLVSSDRKFDLLVLGLQEAPKSGVAQVLQEAMADTHILLCQKNMQSLHMYLFGAKSSESYIREMKVDKHAVGGCGGVIGRKKGAVAMYINFSGIRMVFVSCHLAAHERKVEKRNSECQHISHSLFSKNDIHYAKSADITVWLGDLNYRLEGISSMPARKMIEENRQSKLRGKDQLLQEAEKGQVFNGYCEGTLSFKPTYKYNVGSSNYDTSYKIRVPSWTDRILFKVDHTSGLDAILSSYEALDCVRSSDHKPVKAHLCLKVGDGDA >cds.KYUSt_chr2.4166 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25234794:25235514:1 gene:KYUSg_chr2.4166 transcript:KYUSt_chr2.4166 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISELIPERDHHRAGSKRSRLCTADFWPHAAAAFDDPTDHDLYPDLTGAGTFPPDHQEPSRKRERKTLYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEAAARAYDRAARRIRGAKAKVNFPNEDPPPETDDYDHDIVHAVPPCDASGLLVDGYDMASFFQHQPYMADTVTVAHEEAPVYAHQLPRQEECMMELWSFDDIHTAGHI >cds.KYUSt_chr5.23062 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150435473:150454867:1 gene:KYUSg_chr5.23062 transcript:KYUSt_chr5.23062 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALASSRCCGRPPLLRTGRDRRSVARCALSGEKGNSFSWKECAISVALSVGLITAPSTFGWSAHASPLQPVIPDISVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKVAGVRALDSVERNVRQASRALSNGRSLILTGLAEPKRANGEEILDKLAVGLEELQRIVEDRNRKAVAPKQKELLNYVGTIEEDMVDGFPYEVPEEYNNMPLLKGRATVDMKVKIKDNPNVEDCVFRIVLDGYNAPVTSGNFLDLVERKFYDGMEVQRADGFVVQTGDPEGPAEGFIDPSTGKSRTIPLEIMVDGEKAPIYGETLEELGLYKAQTKLPFNAFGTMAMAREEFDDNSASSQIFWLLKESELTPSNSNILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLVNPSYKILSWVLRRIPARKLKGLPLTRRRPPGRIRAEHKAEEAAAKKSKARQQDAEAKGKWWPCTTTKMELTNLEMEGFLQPGSWRSVPNELAPAPEDNEMVLTKALVERGFSFPPSDFFLEILKVYGLQPHNISPNSVLAISNHERIRNSTELATCGSITFMIRPGRVYPHTDRHESARYWSGGFFYLKDVSDPASTRRLPSFKNCPATELPTWTHCPHLSESPQLTRAVRRICKLTEEGLSGKDLTLSWFTKRIQPLQHRDRLMFQYSGRDDPMRATKDNLSADAIDKRIRLLIKVPRELHVHVCNKDIHTKGSGTARVYSFDQGDEEKLPEAWARFCSLIRALPDHDLEKHELLDIFYSGLTIDSRAYLDSCAGCVFRKRTPDDAEELLAKIGRNYDDWNTPEPTPTPIVKKRGLIKLNDEDMREAKKSLKEKGIKSEDVKNLPPIEDICEIIPPSSMIERLYLDKLIPTDISLQMADKSTAIPVGIWAVIDCNKGKVTFNVDDREHTVYFPKRIEKACGVNTISNVRTIKVGTIDCPIYEPKEEYHTLVIGSISIQFKRKRPAPSIPAAKRAREVPSTAVTRKAEAEKKRLKLINTSNKGQPAIQNFFKPSGSGSQPPKTPKVLKKKVKPSPASVPVTPEVEIPPKASSASKPDPKDIIDLEDLPEDPTDHGGSAKGASSSAPPQDQPSATFAEPTEEEYEEKVKLVQASNAIRVDPRPTQSLQKLSLAERHTEVSAMLNKVWGKSDEEMQELTVLENDLKEFFAKHKEVRQKTRKLHEDLRVHVLEQITEIEGLRQSAENSKNAIQLLETHLREETAKHSSFDELSAKVKVLEAENESLKTFIQESSNKETEARKELSEKHARDLAELNEKLEKSQGRVLSVIAKNRVLEAEAEAIDKLIFPSLGFEWSKESNLKRTEAYDEARISIDALFEACRGIAKTLSLKKAKTTIIDTMTNLMEQVPDFIKDWQKSSARGVASLVLATSKAHFPSLNFANVARRAPKGSDMGAILAETQGYEQLFVRRVNHSFWYNKYDLPKGFFDAEEEEEGEPEYYGEGSGSSVEHSGESSGEDSEAGSGDSDGDDSAYQESEEEDSE >cds.KYUSt_contig_257.302 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2363120:2363641:-1 gene:KYUSg_contig_257.302 transcript:KYUSt_contig_257.302 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASGCSPPPPPPRLSSSSTRSSSSLIHTTSTITRRPPANARCRRSRPGALPDTAVLVAGVAAVGVAATVLLRGDQKQQQAAPTEQEAGKKAEEACGACGGTGLCPRCKGEGFVFKEVAEETASKARRAAKNMATRYTAGLPTKWTYCNRCSSTRSCTACDGTGTTAAAMK >cds.KYUSt_contig_686-1.586 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3566562:3569021:1 gene:KYUSg_contig_686-1.586 transcript:KYUSt_contig_686-1.586 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGEWDPIVIQSLDGYRVETVVGSRLAHPRATANTAIHAVPMHRARAPATLASRALVRDNQRITALARAGDLAAARRVFDAMPRRDVVSWNALLTALWRGGRDLPAARRLFDDMPSRNVISWNSVVAGCLAHGDLPAAAAYFARTPRRNVASWNAMLAGLVRLGRMEDARRLFGEMPERNVVSFTTMVDGLARRGEVARAREVFDEMPERNLVSWAAMISGYVENGMFDEARQLFEAMPEKNVVACTAMITGYCKEGDVESARRLFDGIRVKDVISWNAMIAGYVHNGHGEEALKLHIIMLREGEKPDHATLIAILTACSALALLRQGRSTHAVGTKTLLESSTSFCNALMTMYSKCGDVGESELVFLNLKSQDIVSWNTIIAAYAQHGKYHKAISLFHEMETRGLIPNDITILSVLSACGHVGRVDDSLELFDLMTFKYATSPKAEHYACIVDILGRAGQFEKACSYIKEMPFEAEKNVWGALLGASKTHGNVQLGEFAAKMLVQSDSGSSGPYVMLSNIYAAAGMWGDVNRVRGQMKEKGVKKQPGYSWTEIANQVHMFVGGDASHPEMNKITSELRKISFHMQMMANGTHTVVELVQECGH >cds.KYUSt_chr1.25403 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152383097:152385530:-1 gene:KYUSg_chr1.25403 transcript:KYUSt_chr1.25403 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPVSRKREQGKCRSRHERGDNALRHRASPGRLMKLYAHLTAGQREMIEGAGFGALLRIQCPTLPSRICTWLIRRFDLESCELVIPNRGRIPVTVDSVHRVLGIPCSGRDVVFRMDEKSIAFVLHKHGVTKPPTVASLEKSIKLMKSADEHFLRTFMMLLLSTFLCPNSSLKVSPRYFPALVDVGSIKELNWCKFVVQQLENCISSPYDKKNVGGCLFYLLILYLDSLDIQSLRIPDGTPRVCAWDQKLLNKVIMMDRKNRTCFGKCFFKMEEATRIIKSRGTSVLLGDVSAIANFVSANVRPEYTQQNKEVLCKATGNLCASITDALAKFMREVSGLEGCSTEAGKGSTEVTVREDNNAKNDGDQMDIDTLPDDSSELESKDMEDTSVDEYEDGSSEEGEADDLSSADSEEGEADDSSSADSEEGEADDSSSTDSEEGEADGSRSTDSEDDPDWEDFSRSITRFHSQQSRVTRNSDSSKEPGDGDVTANGSGNVTTNGSGNDSDIPEGNLGRVNRCSEEQGSVAKKISPSVHKNVALTSSAMSQGSGKAGDVTTNGAENDLGIQEGDQGRVKRCSNERAGVHEDAVVPSSAVSQLKSRKLSTKKRNFLEDTTVIQPTSLLYGESSMLALHDDGNSSKEGKTMEKAPFVDSLEGTPFIDLSTPTNSDSECNGSKRSS >cds.KYUSt_chr6.30190 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191356710:191358023:1 gene:KYUSg_chr6.30190 transcript:KYUSt_chr6.30190 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHERQQQQPLHILFLPFFAPGHLLPAADVAAIFAARGARCTILTTPVNANIIRPAVDRANDARTLHGTGSRAIDIAVMPFPDVGLPPGVENYMALTTLQVDCRAKFMRAVQLVQEPFHRFLAANRLDAVVSDSFFSWSADAAAEHGIPRLVITGTSVFARSCNESMLRNNPLQTATCEGNPEALVSLPRLPHRVELRRSQMMDPGKQPEAWAFYQSNNAADQRSFGEVFNSFHELEPDYVEHFQTTLGRRAFLVGPVALATRDMAASGANVNADVSKDCCLRWLDTKPAGSVVYVSFGTATRFSPAELREISRGLDLSGKNFVWAISASESTESSEWMPEDFAKLTANVSDSRGFIIRGWAPQTLILNHPALGGFMMHCGWNSVLEAVSAGARKRNLPPPHRTNAWPWRTSPGPREPVDLEARRRRGRGRGRDA >cds.KYUSt_chr3.17398 pep primary_assembly:MPB_Lper_Kyuss_1697:3:106546300:106550886:1 gene:KYUSg_chr3.17398 transcript:KYUSt_chr3.17398 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRPNDRISARRNTYKVTVDADEGRRRREDNMVEIRKGRREESLLKKRREGLQAQVPIPAAGVEKKLESLPAMVAGVYSDENSMQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSDNTKVVIDHGAVPIFVKLLAAGSDDVREQAVWALGNVAGDSPKCRDLVLANGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPAFEQTKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQSVIDAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDSQTQCIIDHQALSCLLSLLTQNHKKSIKKEACWTVSNITAGNKDQIQAVVNAGIIGPLVHLLQTAEFDIKKEAAWAISNATSGGSHDQIKYLVSEGCIKPLCDLLLCPDPRIVTVCLEGLENILKVGETDKNLGGSGDGNAYAQMIDEAEGLEKIENLQSHDNNEIYEKAVKILEAYWMEEDDDAMGGAMEVPQGASFNFGQGGNPDLNLG >cds.KYUSt_chr6.2749 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16122059:16122922:1 gene:KYUSg_chr6.2749 transcript:KYUSt_chr6.2749 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTGGEEALRQQYVLGEEIGRGRFGVVRRCYSAASGESLAVKSTPKAPLRDKSDPLDLALAEQEPKVHLLASAPPCSPHVVALHAAFEDAHAVHLVIDLCDGGDLFSLISARGRLPEHEAAGIAAQLAAALAACHRRGVAHRDVKPDNLLFDAATGALKLGDFGSAEWFVDGRAMSGLVGTPYYVAPEVVAGREYGEKVDVWSAGVVLYMMLSGTVPFYGATAPEIFEAVLRGTLRFPPRAFAKVSPEAKDLLRRMLCRDVSRRFSAEQVLRHPWIVSRGGNAVAD >cds.KYUSt_chr7.2333 pep primary_assembly:MPB_Lper_Kyuss_1697:7:13484412:13487879:-1 gene:KYUSg_chr7.2333 transcript:KYUSt_chr7.2333 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAASLHAVVPALLLVLLLAASAPAPAGASSRRRSTPSPFPAGLAPPRYPVAPAADGSGNATAAKPFTAHYFPQELDHFTFTPNSSRVFSQKYLLNDTFWRKPSAGAAAAGPLFVYTGNEGDIEWFATNTGFMFDIAPRFGALLVFIEHRFYGESLPFGNDSYKSADTLGYLTSTQALADFAILITSLKSNLSVPDAPVVVFGGSYGGMLASWFRLKYPHVAMGAVASSAPILQFDNITPWSSFYDAVSEDFKSESLNCFTVIKSVWDVLDARGSNATGLLELSKTFRACKTVQYVDSLSNWMWTAFTYTAMTDYPTPADFLENLPAYPVKEMCKIIDAFPPGADVVDKAFAAASLYYNYTGDQKCFKVEGGDDPHGLNGWGWQACTEMIMPMNVSSESMFPPSSFSYEERSEECVESYGVRPRDHWITTEYGGTKIYQVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEEGAHHLDFRSATKEDPDWVVEQRRQEVEIIQGWIDQYNQDTAEMSQ >cds.KYUSt_chr7.26229 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163835747:163836769:1 gene:KYUSg_chr7.26229 transcript:KYUSt_chr7.26229 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQQKNGAHIPKFGNWDNDGNVPYTVYFDNARKGKGVGGKPMNPNDPVDNPEAFSSSYAAPSPMRSGSEHGRAAANLPPPSPPPAHRHERRPSDAPAPPPPMSPSPYGGASPYRGEAPRRAAGRAGGYSVEQSPSPSPLHPYSQSRAADNSVEASPYGLVANSTDRPNRARPAPRGNETPTRGSAVPKFGDWDSNPASADGYTHIFNKVREEKSTQAKAPAGFDKDAGPRGRGNGPRQHDDGYVSSSRWCFGWCK >cds.KYUSt_chr2.49978 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312602470:312604434:-1 gene:KYUSg_chr2.49978 transcript:KYUSt_chr2.49978 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLNTNHILTFSCIVSSAVVILGRTCSCLQFTYPNFNTSQKDDFSFSPGSSITNGSLYITPNTGNISHQSGRVVYARETLKLWNSKQTSLTSFRTEFVLNILPQNGSAGEGMAFILTNNPSLPSNSSGQWLGVFNNQTDGTFENRVVDVEFDTRKSYKDDLDGNHVGIDIDSIKSVDQSPLSNQSIILSSGSDRRLAYRNLEKMIDAYGPVKFKLKELRRATANFSTTRKLGRGGFGTVYLGYISRMNLEVAVKRVSTNNTKSGRGEQEFITEVNTISKLSHRNLVKLIGWCHEEAELLLIYEYCPMGSLDKLLYASARDNMCVASALDYLHHGSSKRILHRDVKASNVMLDEDYNSRLGNFGLARVIQHDGVTHHSTQAVVGTRGYIAYETFFTGRASLDTDVYAFGVFIMEVVSGRFPSSSVSYRNQDEAVGRTHEAMYIVDWICRHYGEGKVLAAADTVLGGMYDEAQVDCAIRLAVACCHPNPRERPSMRTVVQVLMGGTPPPEPPYVKPAFVWPPSGNQLEIELPHVGLLFTGGQTSFCSMTSTSLTGR >cds.KYUSt_chr5.36165 pep primary_assembly:MPB_Lper_Kyuss_1697:5:228638489:228651899:-1 gene:KYUSg_chr5.36165 transcript:KYUSt_chr5.36165 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGRYRVSNTLEDTEMGARENGEERNDHSTDVEMERDGKQRKEAESDYEAARDSFSSQGEANSNEDTKVKRVSRVPKKLAKKESKQNSPRPLRTNSGRLVNTKLQYISPNNTQKKSPKPNKAAYVVKTIETQRPETVEVSSCPSSDVSGETNDKSIEDTITDDNSIVGRAADDKAVDGMETDDQANEGGGTDDKAIEHTAADEKIIEGTTTDDKSIEGTASDDKGIDGVKTDNKEAIEEAKEIDVLDEAPTCDQSSGTDDEIADTEQGVLDDDKSAAYEKNDENIEELESKIEKLEQELREVAALEVSLYSIVPEHGCSSHKLHTPARRLSRLYIHASKFWSPEKKASVAKNSVSGLVLIAKSCGNDVPRLTFWLSNIVVLREIIAQAFGVSCQSTPVLKAFTADSTTKVDKNSSPVRWKSNSNGKHARHTITQLPDDWQETATVLAALEKIESWIFSRIVESVWWQALTPCMQIPVEDSSTPKAGRLLGSALVDQHQGVFSFNLWNAALCDAFSRICPLRASGHECGCLPVLAKLVMEQCVARLDVALFNAILRESENEIPSDPISDPILDSRVLPIPAGYLSFGSGAQLKNSIGSWSRWFTDTFGMDGDDSEKDGQDAGRDGDERNGKGGLNSFKLLNELSDLLMLPKDMLLENSIRKEVCPSIGIPLVIRILCNFTPDELCPDPVPVHVLEELNSQRLLECSAEEHMISTFPCTAAPVVYHAPSLKDVAEKVADTSGNAEVDRRASMVQRRGYTSDDDLDDLGSPLMSLYDRSSPPSPCDGVAHFSTRKEGEIVNVRYELLREGRRQRYAGDTNTTVAEHLRRRHEYCEQHPRQVNLRGAPIVGEDESSPEFVNRQRRFSTRSRAEAIRQERKRRGKPRGQIDRSARGGSDLVGGYGGGARSRPERGGGHGGDAIATGVAGGLGLETNETRGPGSINCIELALSRESTSKFTLAHVNLIIFYYFNAKLYTYHSCIANKMLLSNYEYDYVVGNGTMSRWGVGGTAAIAIMANTFPKRTLRKVEGWLGDYDGPITALLCGMLKELHCDPRIPVIKYTYYDGEILAKCRVSVQLPTKLLMSRVMPYGEAKTITTAYHMGLFKAILEIRQHKSAELLCSEFSHIPHAEEDEDPTLNHLVLAHRSPEAAVSTWIAVSLY >cds.KYUSt_chr6.26650 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168936275:168938328:1 gene:KYUSg_chr6.26650 transcript:KYUSt_chr6.26650 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGTYQRSLETISRNFSTVLSAILSLSGDFIKLPDPTVEPPDDYKWKWFGNALGALDGCHIPVFVSTADKGRYRNRHQEITTNMLGVVDWNMKFMYVLPGWEGSASDSRVLKDAMRITRQDAFVVPHGKYYLVDAGYTNGLGFLSPFRSTRYHFKEWVASQQQPQNYKELYNLRHARARNVVERTFGLLKKKWAILRSCCFFSIEDQIRIINACCVLHNFARDRQHVRDNLLLQEVDAELAAMAPQPADDATLIRSVQQTVVWSAFREQFAEDIMADPKTKKIGTRAYLQWTPEMDTALLDTLVEHHNNGDTAQNGWKPHTYTACIKHVKETCDVDITKDKIQARIKTFDKHYEIISKMLAQSGFGWDSEKNMVEVYSDEVWSRYVEANKEAAGYRNKVVTNWHAIQTIYSKDHATGVGATTAVESVQEQFTPVPGESPEVPQKRQRTGEAILCMMGEMRTSFDEALKATEPLPMPKVTPPTEIYDALKKLSLEESDLLRAYGKLIINERLFEALKALPEEIKKPWLLSLP >cds.KYUSt_chr6.10421 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64292774:64298083:-1 gene:KYUSg_chr6.10421 transcript:KYUSt_chr6.10421 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONSTANS-like protein, Heading promotion under long-day conditio [Source: Projected from Oryza sativa (Os02g0724000)] MSAGSDTGAVRAPMPPPRVHSANTLSRRHTRTLLCDRCASQPAEVRCLEENASLCQNCDWNSHGATSSAAGHKRQTINGYTGCPSSEELSRIWSFDMDIFTATAEPNCVEGISMMNINDSSVSNHCAQQGNSLLDIANTTLTSDPPTGEKITSRDGMNLRPLATHQPAEPVSVTPKVPCVTDEDMFNDDSIYENFCVDDADLTFENYEELFGTSHIQTEQLFDDAGIDSYFESKEMLADECNELKPTQPECSNMVSADSSICIPARHAISNISVSFSGFTGDSNAGDHQDCEVSQMLLMGEPPWLPPGPEGSLASGSRGSALSRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSETRSY >cds.KYUSt_chr3.3966 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22544486:22546587:-1 gene:KYUSg_chr3.3966 transcript:KYUSt_chr3.3966 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRTRVCSGARGKGRRRRVAGFAPLEPRKREGRELAASRVGIGLGFEKLGEEPWGLGERNREWRLGERETVGAATVLANEEPLVETDDDEPVIGAWAAALDAPVLLLSSELDLPQAQDVAVQDSQLNQLEELGMPRAMGFGRAGGDEAAGALPGRDRGRGRVRSPSPQASRRRSVAARTPPSTPDPPSSPTSVIHASPTTKGLGQEYVHIEIVDGSSSRLLLSPGSASSDGARLAFAPPALVLPERPPGFEASPVQRTPPLVVDGSPARTPELARRAPGTHANMPLAPLFTKAQAPVLSPPTSTPPRRPANRRKTMAGVDIARTVGFSLRRASERVKARRKAAPVAKQAETTLCKGLGIIQDGEEITEQAMAEFERRFKGRVSDDVLGAMRVLFKINEEDEDGLDDALVGLGGAAALEEDLANGSVAACT >cds.KYUSt_chr7.36330 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227060139:227062398:-1 gene:KYUSg_chr7.36330 transcript:KYUSt_chr7.36330 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNTVFLGLLQLLLLLLPPSLRDYLGAGPSDRGVDQQLQVYHPIILQGGFGCPNLEARLTDAYTPSLPRCGALKGKGWFPLWNGTGDLLNHHYMRCFEEQMSLVFDPVINSYQNQHGVETRVPYFGSAYGFSYKDETCPFCCNVRLRNELEALGYRDGDNLFGAPYDIRHAPPRPDKYSQVYSEYFAHVKDLVQNASEKNGNKPVIFIGHSFASRLILDFVNSTPLQWRKKFIKHLVLLSPTPHTGFMDVVANLVSGPRVFPFPNVPNLALRPMWWSFASSLLSLPSPMVFGHEPLIITKHRNYSAYDYPDFLAALGFSIKGVSPLTKLPPPTDMRVEAPMVPTTVLSGFGIETTKQMVFWDGNFDVSPELVYGDGDGVVNFISVLAFTKELERQQELENIFFKFVKIPNVAHGDITIQDHSLKIVLDEVLEANS >cds.KYUSt_chr5.38532 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243766585:243767067:-1 gene:KYUSg_chr5.38532 transcript:KYUSt_chr5.38532 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARALRRLQLHLAPALARPFCAVSPAAAAAAPAPSSAKVSDRIVRVLAIDLDGARREVVGLAGQTLLRALANAELIEPASHRLDDIDACSAECEVHIAQEWLEKLPPPSYEERYVLTRASRNRELNKHARLGCQVVLGKEHQGMVVALPEPKPWDIP >cds.KYUSt_chr3.34966 pep primary_assembly:MPB_Lper_Kyuss_1697:3:219280612:219284922:-1 gene:KYUSg_chr3.34966 transcript:KYUSt_chr3.34966 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGEDDEFEALLRGGGGGGGGAVRRRGEEAGVPERGLSMFRSGSAPPTIEGSLNAISGLRLGGGEAARAAAAAVPDAEELNGHGGLLSEEELRADPAYLSYYYSHANLNPRLPPPVLTKEDWRSTQRLKAGVVGGIGDRRKTVQEEAGPGMSLFPQNPGFDREGDARKVAGGAGEWVDRGGDGLIGLSLGRQRSFADMLQDNLGHRTPTSEHPSRATSRSSFLDNQEPLNYSENQYSMHNDTLDARHSVGNAQSVSGFSNINASASQTFASVLGSSASRNATPDPHYVPRVPSPGLPPVGVRVGSNDKRLNGSSSSFNTVSSKALEPDDILTALSSMNLSKGGTLNDNNNIGQPTFQREISDHHNFSLAPQVAQVNNRQHSVMLEAGAEYLGIPSISQPSNSSFADVNTNATNLADLRNPTDARFDGHGEMQRSSTLSARSYQKSPPSSNATPGGSPAQHQNPDGMNPAFLNYGLGGYPLNPGFNSAMMNYMGSGSMSPVFESAAAASAIGSLGSDSRNFGNNILSPPTLSLSDLHNIGRSNNQTATGLQSPLSDPFYAQYLKAAQYTAQGAASFSDPSLERGFMASSYADLTPVQKAYVEALLQQKQYGMPVLGKSSVTNQGYYGNSAYGMGMTYPGSPLGSPVASPSGPGSPFRLGERNPRFPTNMRSLGGWNADPSGYMNDNFPSSLLDEFKSNKARSFDLAEIVGNVVEFSADQYGSRFIQQKLETATVEEKNMVFEEIMPHALSLMTDVFGNYVVQKVFISETLVLLT >cds.KYUSt_chr6.31310 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198098743:198100211:1 gene:KYUSg_chr6.31310 transcript:KYUSt_chr6.31310 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVLDAFASYVQNMLTEMVTEEVHMLLGVGDEIEKMDVKLRDLKNFLADADRRNITDKTMQEWVAGLKRAMYEAADILDLCKLKAMEQGQSTVDVGCFNPLLFCMRNPAHAHNIGTRIKELNKKLGTIKERGDAFNFINFGSYEDRNNRVHASHSGIRSRETSGEYDRSGVVGEKIKEDSRAIIDIMLTEKEGNTNIMVVAIVGVGGIGKTTLAKKVFNDEIINAEFDKTIWLSINQNFDKVELIKTMITLAGGECGGGAVLAVLQPILTTAVTGKKLFIVMDDAWSPRAWDDMLGSHLANVVARGSRILVTTRDERIARGMKAMFPYHHIDKLEEEDGWSLLKKQI >cds.KYUSt_chr1.39109 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239047904:239048404:1 gene:KYUSg_chr1.39109 transcript:KYUSt_chr1.39109 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDKPQTLTSCAMEKGDQPQTLTSCAMEKGDQLQTLTGCTTQKGDHSQPDREQAPEISDDEDELVIYCAFSNPLSDSDEPRPKFDYTKPVQFVKPVRRSDPETEYEEVEAEREKESLGAERRLKRQVAVANGDLSVKLPKLTLRRINAGAPPHKGGQLPRRFFV >cds.KYUSt_chr4.5724 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33365872:33367146:-1 gene:KYUSg_chr4.5724 transcript:KYUSt_chr4.5724 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLVSSRLVVLALLSICNAASLVEETNSSLKSSTGAPPGANSVVFNIHNYGARGDGKHDDTQALAKAWKAACSSWRSAVLLVPKGKSYLVKFTALSGPCKSSIVFMVKGALVAPRSRSAWREKNRRHWIVIQRIAGLTVTGGGTINGKGKIWWKNSCKTNEALPCKGAPTALTFHLCNNLTVENLKILNSQQIHLSVEDCSNVQLARLSITAPGTSPNTDGIHITHSRDVQVRDCLIKTGDDCMSIESGTHNLHVTRVVCGPGHGISIGSLGDDNSRAEVSRIYIDTVRLYGTTNGARIKTWQGGSGYAKDIVFQNMIMDNVQNPIIIDQNYCDSAKPCKSQESAVEVSNVVFKNIRGTTISKDAIKLSCSKNLPCSGIALQDIDLKLQGGKGDTESTCQNAKWRKSGNVVPLPCKSNESE >cds.KYUSt_chr5.14696 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95242015:95248924:1 gene:KYUSg_chr5.14696 transcript:KYUSt_chr5.14696 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEKGAAAVALGAPLLPAASCYREEGCPGCVLDRRKESSGGRIPCKELFFVGITTLASCTVIFNTLFGLSTEYWMAISTRLVLGSLNGLLAPIKMNTAWGLGLVIGPALGGYLAQPAEKYPQIFSMESVFGRFPYLLPSLGVSMFAAIVLIISCIWMPILSLWAVSDRKYGGLSFSSEDIGAVLAVAGASLLVYQLIIYHWVNKFLGPIISSRVASALSILIVSTYPFMTYLSGVKLSFALYSAAMMRSVVAITAGTGLCLLQNNAVRQEQRGTANGISTTAMSFFKAIAPIGAGILFSWAQKHQDSTFFPGDQMVFLVLNLVQLLGLIFLFEPFLVLPAVGEECR >cds.KYUSt_chr4.19143 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120309457:120310788:1 gene:KYUSg_chr4.19143 transcript:KYUSt_chr4.19143 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHAPTMAVPTDAQLIKAQADLWRHSLCYFTPMALRCAVQLGIPTAIHRLGGTASLSDLVTALSLPESKTPYLGRIMRLLVTSDVLSSPEDGIYCLLPLSYLLVEGVLIDGEAHQEAIVLAATSRHYLEAALGLADWFKKDLALPLPSPFEEVHGATILEESMTLLDPESDKLFHQAVAAHDHMGIGTILRECHDLFKGLDSLTDCCGGDGTTARAIVEAFPHIKCHVLDLPKVIEKAPPSEAVNYVAGDLFHSIPSAQAVMLKLVLHFWSDEDCVNILSHCKKAIPSREAGGKLIIIDIVVDHPSSGEMLETQLLMDVLMLVCTRGRQRDENDWSSIFTKAGFSDYKIVKMLGARGIIEVYP >cds.KYUSt_chr7.4809 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29060710:29069109:1 gene:KYUSg_chr7.4809 transcript:KYUSt_chr7.4809 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVRTVPGVRSCFAALPLPVIQALERTSAAGSLPAVLALELRTPDRSRWRLAWAGAVSASPNAVEVSQQFAACILLPDNTKASLSAVSVLPKAKFVSVEPVSEEDWEVLELNSELAEVAILKQVGIIYDGMKFPLWLHDHNIVEFLVVSASPSNSIVQLVPGTEVAVAPKKRKDGSDFNQCALKQDSLKEQPHKKALLRVQEAGEKYVHRFEYNGIQLGVFVTYVVQIHPDTAAKLSLGNLQSVSITPKFSPKGSTENAKGSDQQIKGSVSGIKRNRHIVVHIILSNSVAKGHIMLPQSIRCYIGTGVHSWVYVQRFSPIVNKNTPSVNISPVYFKMLERNSESTSALDCQEQDNYQRLGEISSADHPPTDDKISILRGFNDIAATANGEESSKLKQERVYIDCSKLAIENNKKQLQAIAEYIYEAIVHSPSIVLFDDLDSLISFSPDNRKSQSSNSGAIAKYLVDVIDEYRDKSHGMCGYGPVAFVASVKSLKCLPDELTSSGRFDLHVQVPGLSVPARIEILRQTIGKLHLVCSAEIVSDIASKCDGYDAYDLEIMVDKAVLAASDRLLGSSSVNLVEEDFLKAMMDFSPVAMRDISKFSPESSSGWEDVGGLSEVVNVIKETIELPLKYPKFFTAAPVRLRSNILLYGPTGCGKTHVVRAVAAAYSLRFIPIKGPELMNMYIGSTEQYVRDTFAKASAAAPCLLFFDEFESLVPQRGKHGTQVTDRVVNQFLTELDGVEALTGVFVFAATNKPRAIDAALLRPGRFDRIVFCDFPQRNERLDILRVLSKELPLASDVDLEPIASMTEGFSGADLKAILTDAGLQAAKEAVQRQSGEACSSTPQGPPGITRGTLMSVASEARPSTSEEDRMSLRQLFNQFSTSRKSSISTQRREANGQTQRVAVAES >cds.KYUSt_chr3.2048 pep primary_assembly:MPB_Lper_Kyuss_1697:3:11944241:11944567:-1 gene:KYUSg_chr3.2048 transcript:KYUSt_chr3.2048 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSSNGGEALPNGNGKAVQALQRSFGEVQGILEHNRMLIQEIGQNHESRDAGGLSRNVALIRELNSNIARVVGLYSDLSTSFSGSLLAKGPDDAAAAANKRPRPTQ >cds.KYUSt_contig_662.46 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:291980:292738:1 gene:KYUSg_contig_662.46 transcript:KYUSt_contig_662.46 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQRIRVSFIDPDATDSDDSGDESSRHAEHGEKPAMKKEMVILLTTACTNNINPTAHASTSRPPPPPLPRMRGAKVSRSRRFRGVYERQPGRWAADFRSHRLNVRRWIGTFPSEEEAKAAYDAFERQLSVGASVDAAVPLSPTRSIVMDDSDQPEVGLNHTADALSAVSSPPSMSSTMTSSRSPSPAAAVTSHKPLLPYADEHRMDDPFLAEVLAHDDLVGPVNLADLPLPSLDDKLDFGSGDWSLLMEKL >cds.KYUSt_chr7.11913 pep primary_assembly:MPB_Lper_Kyuss_1697:7:73163483:73166355:1 gene:KYUSg_chr7.11913 transcript:KYUSt_chr7.11913 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRARGRAVPLLPLLLLILLAPLIYSVSRLRLSWAPEQLPHPAAPKRPDVLVLGPAAGQGRPDRLQCQGLKAVNKISLSSERKDSGEPVSFVTVFTTYNSHPAGAGKVPSIDAVTVGKHSYSKEERSMAILNTFVSFIQVSMPASKVIILTDPKSKLSINQGRATILPIEGNYSRGNLMLQRIKSYIAFLELKLEEVDQVNSFRNYIFTDSDMAVVEDLGHIFKRYPHCHLALTFRNNKGQPLNSGFVAVRGTSDGISKAITFFKKVLEAYNLKYMKASRMLGDQLALAWVVKSYLPSAFGKFSRPEIFTEEVNGTSVLFLPCAVYNWTPPEGAGQFHGMPLDVKLIITEHRMVPFGIGSAVLSHFQNPGGA >cds.KYUSt_chr3.38230 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240716298:240717615:1 gene:KYUSg_chr3.38230 transcript:KYUSt_chr3.38230 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSLGVVAVAVFVVGIVMPAAAAAAAAAQAPAPAPASDGTSIDQGIAYVLMLVALVLTYLIHPLDASSPYKLF >cds.KYUSt_chr4.19625 pep primary_assembly:MPB_Lper_Kyuss_1697:4:123420829:123424624:-1 gene:KYUSg_chr4.19625 transcript:KYUSt_chr4.19625 gene_biotype:protein_coding transcript_biotype:protein_coding MDNISSRYEIPRTAEFLRGRAYTRVALQFPDELLKDAAPVARALRRELGGGGTKLFVMADTAYNSCCVDEVGASAIDAQCVVHYGHSCMSPTSNLPAFFVFGKAPLDVGACCRSLLDCSRESNKRILVLCGLEYAHALDDLKGAAAELCKSDSCNHEIQYADVMCSEMSPSSSPTAEEQCPQSNESTHNDDLANFVNSCCNVEGSMRKYNLGGLTWSISIDEKIDDYLLYWIGEDNSAFANVALTFNKCDIVRYDTVANQLSRDVSHLMKILRRRYYLVEKAKDANIIGILVGTLGVAGYLDIIEQMKNLIRAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKEFLAPVITPFEAVLAFSRGREWTGEYLLDFKDLITSEKPEVASRSKEARFSFIKGGYVEDDFPQENEEHPETSLALAELTEKALSVRNQNNDAVLYQGGAKSAIDYLKARSYRGLTGEYEVAPDTVLIGRTGRAAVYSDEKTKQ >cds.KYUSt_chr7.34612 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216054240:216059332:1 gene:KYUSg_chr7.34612 transcript:KYUSt_chr7.34612 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPCPLPHRCLFLLHLALLAFLLAAAPAADAWTGEIRGRVVCDVCADSAIGPEDHILEGAEVAVLCITKSGEVINYQAFTNSKGIYSIAETMPESDRWESCLARPISSFHHHCTRRGDAHSGVKFTYNKQSGNSHNVKTFLYKPVNVPLYCS >cds.KYUSt_chr2.6153 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38346735:38350983:-1 gene:KYUSg_chr2.6153 transcript:KYUSt_chr2.6153 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPPAPNRKRRRSPVLGSLKSPFVYVPGDGTKVGRFVPRGSGAQYCFPDQPPPPPGCEEKELVPGPSVPAAQAVQQNVSSSILMPAIIVGPSTEKEELYWKTTKKKRIVTTIPAYSPKTVVVEWGKMKAEEELIFDEPIFDDPDDDLGRAILDAKMNCGNEKERLKLEKMLEDHNKLLYPNCENGREKAGYHAGIAASGRRENGTSTRDLKSC >cds.KYUSt_chr4.16636 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103410891:103420560:1 gene:KYUSg_chr4.16636 transcript:KYUSt_chr4.16636 gene_biotype:protein_coding transcript_biotype:protein_coding VQQSNLEGLLQGHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMETNMEQLLGKITSVQSRSDTVNTSLFNKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKAEAYADAVKFFTGAKPIFEAYGDSSFQDCKKASEEAMDIVIQHLQAKLYSDSEPIEARAEAVVLLKQLNFPVDNLKSSLLEKLEDCLLNFQNKPTNASIGDISKTFRAYLIIFPDSERRLIELAHALFTNRYETVRETLKERIPSTDLLAILRGLWEDATAIDEVIPEAALPAFSLETTRDIIKQHIATAFLHLQTEISDVLVRTHSTSNEKLEESQLQTAMEKSKIKVSQGCIDLLQEFHHLIDGDTELIVKLKDLIIDWVQEGFQDFFQKLDGHFHLLSGRSKSFSQESNSIDSVQIDKIPTVLVLMLAQLCVFIEQTTIPKVTEDLAASFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHYINMKTQKISKLLNKRFSTPVWIKHKEPREVNMFVDLLLLEKAITVQMHWRWLEHIQLSDQADTMIWRWSNNGAYSASSSYKATFHGSLLCKAWQLTWKTWAPHSVKFFHWLAHLDRCWTAERLQRRGLPHHARCLLCDQEMETIQHLFTGCSFARQVWFEILSWLPPPAGHQTKTTG >cds.KYUSt_chr6.1005 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6370852:6371461:-1 gene:KYUSg_chr6.1005 transcript:KYUSt_chr6.1005 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRCSGLEMGRLVCSFTDLSRWGPWLVVLAITLKFVVQPLADMSWATPRSEMQTCVHAFDGKGPEDGNVYLEDDKAFQMVRNGLMVLFCTA >cds.KYUSt_chr3.36765 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231208529:231209038:1 gene:KYUSg_chr3.36765 transcript:KYUSt_chr3.36765 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYSGRPHCHGRATSPPHAQSERARAVGRDRHRQCHGSKLGVLCNPNSSSKGGLHQYIDAYVRVCTPILQQAVIPPQFSILQRDGSPEVGESMATEPSWVSLDLNHIMVVHSKNPWAIPAGSFPGARNLYEKMAGLFDPAIVQLAVILHQRSCPRHSLFPRNGEKEEA >cds.KYUSt_chr2.37566 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232582744:232583525:1 gene:KYUSg_chr2.37566 transcript:KYUSt_chr2.37566 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDLKLIGAWPSPFVTRVKLALSFKGLSFENVEEDMSSKSELLLRSNPVHKKVPVLLHNGKPICESVVIVQYIDEAFAGTGPSLLSSDPHERAIARFWAAYIDDKLVASWMQSFRGKTEEEKSEGTKQMFAAVETLEGALRECSKGEGYFGGESVGLVDVSLGSLLSWLKATEMMSGAKIFDPVKTPLLAAWVERFGELDGAKAALPDVDRVVEFAKMRQAAAASEN >cds.KYUSt_chr2.51639 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322607152:322607475:-1 gene:KYUSg_chr2.51639 transcript:KYUSt_chr2.51639 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVVGQPVAPGQRVTILTIDGGGIRGLIPGTILAFLEARLQELDGPDVRLADYFDCIAGTSTGGLITAMITAPGKHRRPLFAAKDINRFYLENGPYIFPQRRVSP >cds.KYUSt_chr4.28090 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176523142:176523941:1 gene:KYUSg_chr4.28090 transcript:KYUSt_chr4.28090 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKYIKELKQKVVMLNQEIACAQDSRSRQNSSYPTVKVETLGHGSFLVNVFSDKSCPGLLVSLLEALDELGLSVLEATATCADTFRLEAIGGENLVENVDELVIKQAMLRAIRTARSSQSGGTEDDDDQI >cds.KYUSt_chr1.5823 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35879650:35880828:-1 gene:KYUSg_chr1.5823 transcript:KYUSt_chr1.5823 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAATIVYLGDDLLREVFLLLPTPADLLCAALACKPFLRAVRSAAFLRLFRRRHPFTCPPLLGCFLHRSMRERIRENQVPLLLPASPATAAVTRLVVEGSDFALSFLPTRCRPYADLWKVLDCRNGHLLLRNRVSGELAVADPLTRRCVSLPAPPAERPVGYGLVADDGDSSVFQAFCISQEGDDSSRLRALVLSSGDLRWADVAGIALAHQSDLADDARAMQANRSLYWGLKGWERMVELNTATMELSVLELPPFTQQFSFDVIEKHGEDGAARLYLLTMRGSCVEVWGGWEDGSSGGLTWTLVEKSVRFQRAMAAMIGSEHFYRQGLDVIGVVAGVLFLRNGDRLLSIDLETMKLSMVSHKDQCPLALIFPYTIVWPPSFLNPSEESA >cds.KYUSt_chr5.12289 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80160541:80162534:1 gene:KYUSg_chr5.12289 transcript:KYUSt_chr5.12289 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >cds.KYUSt_chr2.346 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2138473:2140884:-1 gene:KYUSg_chr2.346 transcript:KYUSt_chr2.346 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSPGSLFSLAVATTILLWFVLRQALGGGKDTGAKLPPGPWNLPIIGSLHHLVIGTKLPPHRALLRLSRRHGPLMLVRLGEVPKVIVSSPEAAMEVLKTHDLTFATRPSGPTLDIVCVVEVLSARQVRRIESIKQDEVARLLESVAEASAASPAATVDVGERLARLTNNVIARAAFGGECRQQEAYLRELARMSPLVGGFSLVDLFPSSRLVRWLSSAAGDVRRSHARVQRILGDIIRERQEKKPNADSAATAARDDEDLLDVLLRLHKEDALSFPLTPAIISAVIFDIFGAATDTTAATIEWAMAELIRNPHAMARAKLEVRQRLGVHHQRSVVTSADLSDLHYLRMVIKETLRLHPPGPMIHRACQEDCQVMGYDIPKGTAVMINAFAVGRDPAHCGEDAVEFRPERFEEMGTEYGWQGPQMEFIPFGAGRRQCPGALLATTTIELVLANLLYYFDWAIPGGAAPETLDMGEVFGIIVRTRSSLFLKAVAACHLQDH >cds.KYUSt_chr7.26177 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163416247:163417323:-1 gene:KYUSg_chr7.26177 transcript:KYUSt_chr7.26177 gene_biotype:protein_coding transcript_biotype:protein_coding MKARQFLNVVMRRYGRGSMYSVSRMKPEEQLFYSSTKEAQSAASNKEMETISSMPELGLRHQRSISKDKRLDFLPFYERGSGAYSKILCIDDKGCTALYDIDGDSLHSIPGLNGPKGANPISFSIIDREPRDPGRADALYTMRKYPRSYDYFNFEALMYSEPSKSMKGWRWHRLPPPPAHVDTSIVRSHALIDDPSRAPILIVSSTETSGVGTHCFNTFTNKWFKAGCWTLPFVGRAEHVPELDNLWFGISNNWPYYFCTMDLYSLDSVEAPSLAYNWEDLNLPDDWVMMDCSMVYLGDGKFCIAKIFEFSIDNDRTGMGAAISGLEVVRLGEPSKLLMVKHKSKFYKFIRDEIQCIL >cds.KYUSt_chr2.16162 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101671433:101672938:-1 gene:KYUSg_chr2.16162 transcript:KYUSt_chr2.16162 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKHSDEEGQDAIHQHQAEAAGMPDLALNLKAMILSEQGLSSVQADQACQEEELDFSFCLLMKIACTGGTPRNITRQVLQQSMARAWRNNYYAISQVSSTVFLAHFRTYDEMMSVYTGQPWAVGSDILLFDWFDLDDEVNSREEYKFDYIFVTVRAYGIPRLFRSFSLLTDILNQIGTASEYHILQENMLHARQDYIWGVAKMKVNAPVKDKIKVIYTDNSSGIAFLHYEKIKRICMFCGIMFHTVQQCPIRTSMLKERSRRRLSVHDFPAQRLGQWIIDESLIPAEALQRTAFTTTGSQAMVNPVLARLQKLFAEDTKAKGKQIEGSSALYHTPHQGQSAMEARATPYPHDSLVSPPDYDKYISPPVPTVFPQTRMAPKRPLPRSEQIQPQLTKKSINQPMQEVQGDTREQGVVSQTREVPLIGTFSSHQMFGEYYLGEDTGFNNSSQQDSVHARDETQLIALGTVPGVHIEENVGDSVDDMDMDRGALAPALKAPRAP >cds.KYUSt_chr5.35522 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224428431:224434586:1 gene:KYUSg_chr5.35522 transcript:KYUSt_chr5.35522 gene_biotype:protein_coding transcript_biotype:protein_coding MPERKRARTSPPQRSGNNEAPYAADEVKNALFQMFPLKAPGPDGYPAFFFQKHWDICGSDVTKAVLSIVQGSESAECINDTILVLIPKVKNPTLLSQFRPISLCNVLYKIASKVMANRLKQILPDIISEEQSAFVSGRLITDNIISAYECLHFMKRNKSKNNAYCALKLDMMKAYDRVEWGYLEAMMMKLGFAPQWISVIMGMVSSVSFSVLFNGNKLEGFKPTRGISDPATLEALAVREALALAEDLSLDQAYIVSDCKTVISDIKDGSMGKYGSVISEIKHRSNIFQSCTAFLREKVSEMATDKDDHIERPMENHAASISRITSDVLHEILLRLPISSLLRLLRTCHQWRDVIRDSCFVMGHANRAPEHLLLFLPRVDASESHMTVSPGRIKIFDEKWSVSTWAASSMDPDDHLFASCNGLLCFYRKYTLKIVNPMTGQSLYLSKPDRKLFRDLYYLYSFGFHPATGEYKLIYFHREPRHGRLSGQPFCFDSIQVYTLGEDRWREIRAPKESCLVNLGVVNVDGAMYWITEEEGTCCGVGVMRFDLRDETFQLLRPPPLKTCEVTDRPCDAPDLSYHITEVDRKVCLVTVPFSCSSPLWRRYNAEVAGRMDVWMLQSPAEDRWFLRYNIDLPASAPRFVPQPCFIRGEKILLHDHDGSAFCQDLQGNGMQTEDCSEVVLLNFKPYRYYETQSYLYKETLVPLDVYAGAAIVRSSR >cds.KYUSt_chr1.18072 pep primary_assembly:MPB_Lper_Kyuss_1697:1:105468177:105471696:1 gene:KYUSg_chr1.18072 transcript:KYUSt_chr1.18072 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSGLVSRSISRSAPSWAPVKKEPSSPPSTRARGSGGIVIREPSRAQGRLRPKREPDASGERKRKPAKVKVEEAKSAEDAAILEVVMARSLQDLVPAENAMPLDQACAWSREQWEKEEAERQARLLEDVARYRRPATPPSGVAVPIIDLEESDDDWLHNRRVDRRSRPHGPGHLDSSPEEKDSPFLAAAHLFTCDFSVARTMATGIGQTYKIPGLVKLLFETPSGFAIFSFDEHYLKKDIKIFRLHEFRKFEDTRNVINLTAQTIDVGLTKMLWTHCDADETLVVGSLAYKDLIKKLLGLNCHYEEAVKEVMWGMQNLMHTLVPQEQSPISKDDLLPSLGLNVVLNRYNLNVKKEMLNECIIKKTAKVYETDIRENAHLRFLCKRFDERLKKVSALDTKDWNLFKLATALKMVADPQGRVKLGPPYKIFSSHELTVIRRDASLYQQTINKDTILQIYDDVVSLRMLKAELLYDLRILVKKAKAELNTEEEQEKISANDPDSKKQVDHYPHSYEQQQLLSTS >cds.KYUSt_chr3.46370 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291790860:291791541:1 gene:KYUSg_chr3.46370 transcript:KYUSt_chr3.46370 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSFAMSLLLLFLTMPYSSLSLSCPATSCECELSMQLYLHQIVQGQPTHNQVVTSETNEPGGFGMHVVVDWAVVDAVQPNATVIAHAKGQLVRASVGFPARYVNYFSVVFQNGRFSESTLEVMGNFYDDKNDGQMAVVGGTGEFVSAHGVIKYKKVQGIPNVESYREFNISASYPATTVSTSHLFCS >cds.KYUSt_chr3.47916 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300137383:300137814:-1 gene:KYUSg_chr3.47916 transcript:KYUSt_chr3.47916 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVAGTGNGELCNFRFYVHTPRKWGAGTDGTVTFGAYDKNGAGWTHSYPGKGKTFDQGNDVIITVADKECMDPCRLTLSIDAARTAGSAWYPDKITVDVSSPGIGEFLGITVVRDYQYFYVRDWVYDGKPAIERRNGACA >cds.KYUSt_chr1.30719 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185963908:185965005:-1 gene:KYUSg_chr1.30719 transcript:KYUSt_chr1.30719 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEQEEEIAGPVAVRRRRDGKEAAPANEEPALAALADDVLLQILGRLEEDPRDWARASCASPRLAALLRAACFPPRVSQALPAELLPADGAPAAWAALHKMSVCCPGLLRAGILLEPSDDFGLELDIGPDLTVPASSSSSSLEPTATSEPRTTALPNPSDPPATADATMWSLYDDLYLDAAYDCTSESHTPAAPAPAAPADSPPQPAPAPAPNAVRRGVASGTRRRPRRWLGPVGAHLASGSRTLSREQGNKLLASRFRGDRLYICDWPGCVHAEERRKYMVFRGVFQDFARSQVRRALRDTRRPTVALDCAFCGCTEAWDLYSAFCLRSFYGYHDDGEPVVRAYVCENGHVAGAWTERPLYS >cds.KYUSt_chr4.38390 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236781417:236781814:-1 gene:KYUSg_chr4.38390 transcript:KYUSt_chr4.38390 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYIPANPLDIPQKIAMDLSLKICMLVVLLLVTSDGQGPVQVALAKECLTQSHKFQGPCVRDSYCGNVCVTEPGRPGAFIGGKCVRVHDHGCFCIKKC >cds.KYUSt_chr4.53959 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333836224:333840507:1 gene:KYUSg_chr4.53959 transcript:KYUSt_chr4.53959 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSLGLFKNEAMRMPGDESTTHPPEGFRGIQMYQLTPNSILHISIFITLCECFLGIHLHWGLRKRIFYLHRNNSKNTIYNVGGGCICVRPEARYFDVKFANSVQGWRKKWLYVKDESSDAQEYGLAPFDPSEDIQRRKSWDAEAIAEEIAATESLIARIRALQNTEGEELSDKHKREKNSDEENSGESKLPQPAAEESTPEEFDPFGAAAVVSSDDEELPEADAFEPAPTSTSHMLVLFEDPKVSVEAASPQPSPRAPKKKPRTSASPRVVAASGNIPTSILNDPLMKEMSDMTSRFIGFRDEAESLRRNLHLPEDRAAELERKLQDNEEARLNAEKKAATVDDLRERLHEAENALSQREQQITQREHGIVARLQTQSSRFSTERIGEKYILNEDKEEDPLLEDFLVDLGMVLLKTLPPSLLSHCSFPSRARAPLLDPDARFLAPSTPIEPNAKLRRKLRRALSSGVDRRPFPRALLAPPHHPRRQEPRVHDVASSTKTSAPLALC >cds.KYUSt_chr6.18250 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114734750:114739449:-1 gene:KYUSg_chr6.18250 transcript:KYUSt_chr6.18250 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQPALSILVREPDGFTVWPGPPYPPGSSPPQKLAKTACSATTFSSDGSRLLVTVASASATVYDCGSLSVLRSFDLPGLTAAALSPTGSYLQTFQKSSSPQVKNVTVWHVDTATALYQHYQKNLSKATWPMVQFSADESVACRMQTNEMQFFDTKDFTKGIVHKVRFPSIAAMQLASDPGSHVAGFVPEAKGVPASVQIFSCDKDAQNQVVARRSFFRCTTVQFHWNKGSTGLLIVSQADVDKTNQSYYGETKLHYLTTDRAFEGIVPLKKEGPVHDVRWSSSGSEFAVVYGFMPAKATIFNKKCNPLHELGEGPYNTIRWNPKGRFIVIAGFGNLPGDMAFWDYAEKKLVGKTKAEWSVISEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLQFKKMFENKLYQADWKPEAPERFDDIADLTTSLSNIKIEDTKKQGQGSKSAQTSSKAPVTIGAKPAGAYRPPQSKHTAALQDKLFGGLAPTGPEMSKTALRNKKRREKQKEKKAGEGSSADDS >cds.KYUSt_chr4.12212 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74338494:74341116:1 gene:KYUSg_chr4.12212 transcript:KYUSt_chr4.12212 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPPATPRELSSGGSIVKAVKEECQPLHSVKQENVDDPLNASSSPSDLDAKNDDASFVKEEEEQLCDAEQMEDAVGLASDPMTRFNKLDELLKQTKLYAEYILEKLLEESSNYSPGYDATLTEEEMWEKEQAKIVPLVTGAKLKPYQIEGVKWLISHWQIGVNGILADEIRIGKTIQSIAFLSHLKGNGLHGPYMIIASATTLTNWVDEISRLAPSLTCLIYYGDKVAREEMLTKVMTKTIGPDFPIIVTSYEMAIADAKLLAHYKWKYVVFDEGHELKNLECELLLELTPLPIGNGLLLTRTPFQNDLAELWSVLNFVFPEISVSHHEFESWFDFTGKRGEEQIEETTINRRAFVVSKLHAILDPFILRQMEKPVENTPPRTKGATCSNTKAVKNKDAVNLSKGHTVRDGARSGGQSSQEAAAEAAYENDGEGSPNLPSTQLGPEGNHKAKRPRTDDAVLSLLGEIKSTFQASLKPAEPVQVPKATSPREILEALKQIPDLTSADFLRAYSSLIRDDRQFESLMVLPAGMRKDWLLVETGKK >cds.KYUSt_chr1.27869 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168060945:168061409:-1 gene:KYUSg_chr1.27869 transcript:KYUSt_chr1.27869 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPVEEEPASEPAAKAPAAKKPKAGKSLPAGKTAAKEGGGVDKKSKKKNKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >cds.KYUSt_chr3.30535 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191360419:191361153:-1 gene:KYUSg_chr3.30535 transcript:KYUSt_chr3.30535 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCTTKQFLPMPPQDPNSPSSSTSSTSSSSTSPSHPYHRPQPQPQPQPHHNLPPSPRPVPRTIETTPFPTTFVQADTTSFKQVVQMLTGSEQPSKTAAPAAPTAGNTSAGIGGSQTSTRPKKPSFKLYERRSSMKNLKMIAPLAMGAPASPRKAAATPEILSPSVLDFPSLKLSPVTPLTGDAFNPSPASSSGDAERAAIADRGFFFHPSPRGAAAEPPRLLPLFPVSSPRMAASATLAAPAE >cds.KYUSt_contig_662.175 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1084692:1085183:-1 gene:KYUSg_contig_662.175 transcript:KYUSt_contig_662.175 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGKLQAIVGLYDVAAVELLHPAMVWRCVVLPAPLTPTIPTFYPRCTQGHQLDMPSSHTPDGMVDAPLFIKPDLAVAESLERSADHPSAMPPSEVVVTYEDREALRRPWVGSVGDGEGKGEMGGDRGLETLELRWRAGSLQQRRREEESRRTSLGSSTEAN >cds.KYUSt_chr5.37380 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236336950:236342032:-1 gene:KYUSg_chr5.37380 transcript:KYUSt_chr5.37380 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQTQLYCSGVFVNGNNMTMKMRKMPTLLKILEEYLNYREYNYCKIYGGTRLEDRDEVIEAFNKEDKFVFLLSTGACGGGINLPTADVVIFYDSDWNPHADLQALVRVTGIDQRDPLMPEETEWKGRSPCSYSVDYMDTGTEARREDEIQNEEQEPGFGDLFGVIANRTSVPTYESCMPGKGEPPFSSNGKRKAALDAPSAPPTKRTGTSSTEVAGSPTVSAPVLARAPATDSRRCPPTGRLPRPVPPRNRDPRETQDSGPARVSAQLNAAAGLAPVDLVIDVCGHCKDRDIPIEIPCFERATSIKLRIDNLYLTPPPGGVEFPALERLSVVGLIRRCPHLRVLKVRSCFGLGTFKVHSPTIEELVLKNNGWLSNLDIMAPVLKRFTLRATMDSDFNVLFSAPMVEDLWWSYSCAVQSVGIGELLWRLRSLDLWTDDSAYVLRLNIDFSAPRMTYSKKKGSDHCFAAATTLSLKDKFIFQLMPIEDQQS >cds.KYUSt_chr3.5033 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28593863:28596073:-1 gene:KYUSg_chr3.5033 transcript:KYUSt_chr3.5033 gene_biotype:protein_coding transcript_biotype:protein_coding MACSCAHGVVFDANLLKNSALEDGLAGWAPLGACTKLSAHEEEPANVPTETINDVADDYKPSGRYILAAGRACEEDGLCQAIPGGALRPRVTYRVAGWVSLGDGMAKAEGAGDAVVRINVRLGGDGEEGVEEEKCLVVEGGVVCAEAGKWTEIKGVFRLKGCLPSGSAAVHVQGAPAGVDVKVMDLQVYATDRKARFKKLRKKTDKVRRRDVVLKFADAGSSSAVSDATIRVMQMDQSFAFGACSNPAVFENELKWYHTEAVQGQLNYADTDALLDFCDRYGKPVRGHCIFWAVANMVQKWVKALDNDQLTAAVQGRLTSLLTRYAGRFPHYDVNNEMLHGSFFQDKLGDDVNAFMFKETARIDPGAALFVNDYNVEGGNDPNATPEKYIAQINALQEKGAPVGGIGLQGHVTNPVGEIICDALDKLATTDLPIWLTELDACETDVDLRADDYEVVLREAYAHPAVEGVVFWGFMQGHMWRQDACLVNSDGTVNCAGERFINLRREWTSHARGKIDSDGNFKFRGYHGSYIVQLATATGKMHKTFSVEKGDTPLVLDMDV >cds.KYUSt_chr1.6333 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39050041:39053317:1 gene:KYUSg_chr1.6333 transcript:KYUSt_chr1.6333 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYGLRLHLLLEELELLCRPLPLLRGGGLPLLLIERPALLVTLLVLHFPLLRGEFGPRLLRRLHGLCDHRNGRDLLSDRSDNGGELGLVFDGGGGDNFVDHPLGRVRGNQGAIDEEVELDVEELAGKLQARISFHPHPLAAGGWWPSWLFLSIVTPRPVWGQKDGIDRVVHIAIAKP >cds.KYUSt_chr1.19286 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113202514:113204433:1 gene:KYUSg_chr1.19286 transcript:KYUSt_chr1.19286 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFFPNDLPDFVAEAPDGGDPPAAGLSGLLSLPYPKLSHRLLHAALRLKDKAQTWTRTGGQVTDYTLYTGALGTALLLFKSFQVTGDRRDLALAGDIVRACDAASTGLPQVSCLFALLLLLLTHYLSSFDEITVTEKVPNELLYGRAGYLWACLFLNEHLSDKTIPAEHITSVAKDIIKEGRKLSNKGRCPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKLDEQDDVKNTLRYMISNRFPSGNYPSSEESESDRLVHWCHGAPGVALTLVKAYQVFQDDHFKQSAAEAAEVVWNRGLLKRVGICHGVSGNAYVFLSLYRLTGNVEYLYRAKAFACFLLENADRLIAEEAMHGGERPFSLFEGKAGMAYLLLDMVNPYESRFPAYEL >cds.KYUSt_chr3.47234 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296356890:296357099:1 gene:KYUSg_chr3.47234 transcript:KYUSt_chr3.47234 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLHPQMQWISYVTQSGRLINVMMTKVNHTGKVYHMRAKRQMAQSLGQIAKFKRRYEQEAAEESNSK >cds.KYUSt_chr3.21861 pep primary_assembly:MPB_Lper_Kyuss_1697:3:134704575:134713937:1 gene:KYUSg_chr3.21861 transcript:KYUSt_chr3.21861 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWNYVVTAHKSTAVSRSCVGNFTAPHHLNLIVASGGDASHFGGRPTDNGQVIPFDNQGQLKEPLNIRLQELLVLDIKFLYGCEIPTVAVLYQDNKDARHVKTYEIALEDKEFVEGSWSQNNLDNGARLLVPVPLGGVIIIGEDTIVHWSSTTFKSLSINQAIIGAVGRVDPDGSRYLYGDNTGSLHLLVITQERGRVTDLKTHYMGGTSIASTISYLDSGLVYIGSQFGDSQVTANSVRLVSSTSLDLLDQWFAPTGFSINVAAANASQVLLATGGFHLVYLEITNSKLVEVKHIELEHDISCLDINSVGENPQSSSLAAVGMWKDISVRIFSLPGLQLNWKEKFAEVVPRSVLLCTIEEVSYLFCGLGDGHLFSFVLNINRPTLIYSSDKKLLYSYVNLKEMSHIRLYKWVQRDDRSHELQSECSYHSEVLPMHTQTRGDFIVVGDIMRSLSLLVYKHDEGLIEYKARDLNTSWISAVEMLDDDIYIGADNCCNLFTVRESETHEGRLDVIGEYHLGDLVNSLQHGSLVKHHTRSLVGKYPTAIFGTISGAIGVIASIPSNLHMILEKLQSILAKSIKSVGNLSHAEWRSFYNVRRTSVARNFVDGDLIELFLKLSPSHKLAVARAMGVDVDKLCLLVEELADLH >cds.KYUSt_chr4.5675 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33006529:33007569:1 gene:KYUSg_chr4.5675 transcript:KYUSt_chr4.5675 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSMAPPAALAFPRISLKTMPPPIIALPDRADTMRPPPPSLVLRHAAPKHKAVVVMGATGTGKSRLAIDLALRFGGEVINSDKMQLYDGLDVATNKVTPGECAGVPHHLLGVVTCPDDDFSAADFRREAARAAAGAAARGRIPVVAGGSNSYVEELVEGDRRAFRERYDCCFLWVDVQLPVLRDFVARRVDDMCRRGLVDEVAAAFDPRRTDYSRGLWRAIGAPELDAYLRSTGAGEDERELMLAAAIDEIKANTSRLACRQRGKIQRLARMWRVRRVDATEVFLKRGAAADEAWQRLVAAPCIDAVRSFLNEDQECSMVAAAGKASVFASAAGNASVFAATAVV >cds.KYUSt_chr1.32118 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194898093:194898710:1 gene:KYUSg_chr1.32118 transcript:KYUSt_chr1.32118 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTSLRPSPPQRARIAGGGGCGWKAAAHAASCVAVPAEVARHHEHAAGGGQCCSAVVQAIEAPVDAVWAVVRRFDRPQAYKNFIRSCRLVDGDGGEVAVGSVREVRVVSGLPAISSRERLEILDDERRVLSFRVVGGEHRLSNYRSVTTVHETAATGGAVVVESYVVDVPPGNTADETRTFVDTIVRCNLQSLARTAQQLALAA >cds.KYUSt_chr1.5836 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35988086:35993124:1 gene:KYUSg_chr1.5836 transcript:KYUSt_chr1.5836 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLIRRLTAVPSRLAARALRQPPLGRAATTASTTPAVSSSHLAAGSPPAEDPAADQGCESEPLDRDWGSTLSRADPAELAGILRRLHDDHTHLGLGTYNLLLKRACEAHNFALFAKVFRYLLLSKAAPDLTSYMHVARAIGDLDDPEPMLRFVREVLEITHGRDPTVVNRIVFAVGKYGHIDKSLIIFEELKKDQKCLDVVTFNTILDMLGKAGQIDPMLREVKLMEELGIAADIVTYNTVINCLRRLGRLDLCKSFATEMVGRGIDPDLRTYSALIDGFGRAGRVTDALEAFEQMKKSHQPSVYVYRALISDLKKAGRFELAQKLSDEMDSSASDLLGPQDFKKKFKGKNGRMVWLLDEEYDREHRAVHMTQRTTDLHPLKIRYHGTVDIPYDERYTEFIQPTGLLPFISLGEPLCMNTASDGWRQQMEALIGRAPPPPADPKERAPAGASFSWIRTNFGQSPEGANEDTRRTYTRVYLWYMISRTLFPDSGGKLAHWCWLKALTVLDHRWSWGTAALAYLYRQLDKACRRTGSGGIGGCMLLLSVWSWDRLSVGRPRVLNERPWPHHHENLDREPTWAYLWDNVSEMTSDPMVMYRQYTAELDTLTAEQVEWEPYGSYYHIGAGMTDLNHKCTEEARFWRMRCPLICMWLVEHHQPQRVMRQFGLYQECPPVWQDTDKALHRLDRQRQRKITNWPVHRSSHVAAFQHCLEAARNAGPEEIVPHDFAAFNNYLEWFHENTRIKLVKHVYAEDILDDPIQFDEVAQSQHDTFARRGRSTSIASELNFVVMDSLTN >cds.KYUSt_chr3.47659 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298760391:298761528:1 gene:KYUSg_chr3.47659 transcript:KYUSt_chr3.47659 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRCSLHQWEGRLLHMAGYPAPPDFRAPGGWRLSAGGIPIPPPPMTRAALETEIDAVLVTLSDEQRVDPRFFPDNYNSWSDFFRRRYERGLAAYDGPPPPPARNNAAGRRRWWSAPNRTLANVLAHIEDGNSPVLGMPPPEAATVSRRHGSSWTPRRMATSSSSSGSRSASRSGGSAPRYPVKQEPASPTPTRGRSSGALVIRDRPAPASPSRERKRKTAKKEAAATSAANQLAEEEAKRAEDAVMEEAIARRDWERQEAEQQRRMMDLAAARRLTASARNAPSRPVELVKLEESSDDDLYRPSPPRAGDPGQGSSRWYETPPPQDAGNSSDDDDGGDYTAFYRHFCM >cds.KYUSt_contig_1253.243 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1654386:1654766:-1 gene:KYUSg_contig_1253.243 transcript:KYUSt_contig_1253.243 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVDMLRHKTDTGAGDEPLGPASWLEKLVFPSDCLVSGMKRLRRVAFDHRLRRQGGGSRRHRSSVEELLRQEHRGSQVQHQYPISTADYLTSCIGLPTLPRRHNRPREERHQQHQGEGDEDDAY >cds.KYUSt_contig_686-1.1376 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8705416:8706168:-1 gene:KYUSg_contig_686-1.1376 transcript:KYUSt_contig_686-1.1376 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPPELGRGKRSLLQEVPSPTSGGQARLDPDRSAKDIRLGAGRYSRESSRVVDSAPPRGRPGGSSPAAAPALPALDSQVLDSEQGGMWRRLRPRDMDSSASLTGEEQEVQEAAVQPERGLSGEFRRWEGH >cds.KYUSt_chr2.36040 pep primary_assembly:MPB_Lper_Kyuss_1697:2:222720604:222723748:1 gene:KYUSg_chr2.36040 transcript:KYUSt_chr2.36040 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSASNSNQGNGKFVPKSVMYFQTWRIGILFFAVGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYVVLNKTITVKVMVATAFIVFGNVFLVSFGNHQSPVYTPEQLIAKYSNLVFVLYCMSLVFVVAFNQYLYRSGETILSDNAKNTGAYWRTLLPFSYAIVSGAIGSCSVLFAKSLSNMLRLTMSSKYQFHSWFTYSILLLFLCTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIMMFILGMTFVFIGISLLAPDDSKVADIKDGSSATEGPTIDMNSEAHASGNCRSRKLQMEETEVDDTDSFSSSVKVKAKRILSRAKSACSMSLGLGEETISASSVLAMPMVSSRTTGFRGAGTDRSKYIPLQSAEWDNL >cds.KYUSt_chr1.37871 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231258630:231259444:-1 gene:KYUSg_chr1.37871 transcript:KYUSt_chr1.37871 gene_biotype:protein_coding transcript_biotype:protein_coding MPPINVLQQADNGADPKLNMPLREDVFTTFLQSSGSADTMFAEGSLFSPFLFGKFFDPVDAFPLWEFESDVLLAALRPGGRTTVDWAETDCEYYLRADIPGGKKCDVEVSGDGARVVNVSGLWCAPPVDGQDWRAGTWWEHGFVRRLEMPEDADGSKVEACFDDCHGSLEIKVPKKKSDGQEATA >cds.KYUSt_chr3.2086 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12129250:12129720:-1 gene:KYUSg_chr3.2086 transcript:KYUSt_chr3.2086 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTFFAVHAPVAAAAAHPLPVVFLVVLVVAAVVVSLCTSSTHAKLWKQRGTTTAPLEKTGVAGNRKNMLAASLSGIGGKAARMVSWNRRSPAPSSSDDDEEAEAVVEDEEAVWRKAIIMGDKCRPLQFSGHIAFDSDGNQLPPVVKKADVAAEK >cds.KYUSt_chr3.34897 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218802861:218805593:-1 gene:KYUSg_chr3.34897 transcript:KYUSt_chr3.34897 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEQSTLWQHEPIRPKREEPPRPDNEELDRQIALSLAEDAKHPKERNHNKGENDEDLAKAMQDSLNMNPYMPHNPYGPAQALPRAHRVCGGCKHEVGHGHYLSCMGMYWHPQCFRCSSCTHPIRETEFTLLGAEPYHKLCYKELHHPKCDVCLQFIATNRAGLIEYRAHPFWGQKYCPSHELDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLDSAVMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGECRGPHHMPETRGLCLSEEQTVTSILKRPRIGGHRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILARELMHGWLRLKGYRNLSPEVEEGICQVMSYLWLEAEILPASTRHAHPSSSYASSSSSSYRPPSSKKGGISHTEKKLGEFFRHQIANDTSEAYGDGFRTAYKAVNKYGLRQTLNHIRLTGGFPV >cds.KYUSt_chr2.48770 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305081940:305084306:-1 gene:KYUSg_chr2.48770 transcript:KYUSt_chr2.48770 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQCAVSPPPASPTNAKSRTQLAATQVKKLCKQGRLEHARRLLLDALPRPPPTLLCNVLLIAYAAAALPDHALRLYALLNHAARPPPRSDHYTYSCALTACARARRLRHGRSVHAHLLRRARSLPDTAVLRNSLLNLYASCARYRHGAGVDVVRSLFDAMPTRKRNAVSWNTLFSWYVRTGRPQEALEMFVRMLEDGVRPTPVSFVNICPAAGSVDPSWPFVLYGLLIKHGVEYIHDLFVVSSAIGMFSETGDVQSARTVFNRAAKKNIEVWNTMITGYVQNGRFSEAMDLFIQVLGSKAVPPDVVTFLSAVTAASQSQDGRLGQQLHCYLMKGLRSELPVILGNALAVMYSRCGNVQTAFELFDRLPEKDIVSWNTMVTAFVQNDFDFEGLLLVYQMQKSGFVPDAVTLTAALSAASNTGDLQIGKQSHGYLIRHGIEGEGLESYLIDMYSKSGRVDMAQKVFDGYGTGRDEVTWNAMIAGYTQSGQPEQAVLAFRAMIQAGVEPTSVTLASVLPACDPVGVGVCAGKQIHSFALRHSLDTNVFVGTALVDMYSKCGEISAAENVFGGMTEKSTVTYTTMISGLGQHGFGERALSLFYLMQDKGLKPDAVTFLAAISACNYSGLVDEGLSLYRSMETFGLSATPQHHCCIVDLLAKAGRADEAYDFVESLGEDGNFIAIWGSLLASCKAQGKMELAAWATEKVLNIEKQYGHAGYNVLLSQLFAAEGNWSSADSLRKEMRLRRLMKKAGSSWIEVQSPALQDRSIERNQNYPENGNILFMLDGDI >cds.KYUSt_contig_786.354 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1928225:1930408:1 gene:KYUSg_contig_786.354 transcript:KYUSt_contig_786.354 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIWVTASKECSVLKVQTQILDDLKLKGDGNMRTQSSMIRGFLENKSFLLLLDDVWERIDLDAIGLPLPLGIEPLNKFKRKVVLTTRFTSVCGGMEVKKQIQVPYLREIEAWELFREKVGDQTLFSPGIEDRARILVTEMKGLPLALVTVGRAMYGKFCADQWDSAIQHMKNSCCIDTNEDPLKMEEEVFRKIMFSYDNLKSGRLKNCFLTCALWPEDYEIHKEELAQCWVGIGLVGVGDIQSPYTKAYSLMGDLTGACLLEGCGESNDWVKLHDVIRDMSLWISCGCGKNNGNWFVHAGVGLDEKIGIPWSSAEYISLMFNKMKKLPFVGHPLKLTVLCLQKNNLDETIIDGVLANAATLTYLDLSYNEIKGIPESICYLLELIHLDLSFNKGIQEVPRSFGNLVKLKFLYLQYSSTQIIPKEVISRLQALEIIHVDIMLVSDFVRSNVYTELSTLNHLKVFGTSVILSDAWTSLHDAADLPIRSLRLDALHGGADLPIGSSSLEASAENNEFHLYDILSLDFAQTTLYDLDIAYDRCVTDITLIHRPEQQPYNFGILSNLTLYDLSAWITVKWMGTSPTSVFPRLTCLTVSDCPNLEHLSWAMYLPCLGELDVEYNHSMQKAFTRYHVDNVWSGLESSQTFPCLKQLRLAKCETLVTIADPDVTFPSLEVLLITVCPELKKLPFNMTSLPQSLKVLRMRDTESWERLELEEGVKSFLQPRLQYS >cds.KYUSt_chr3.47329 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296925201:296926832:1 gene:KYUSg_chr3.47329 transcript:KYUSt_chr3.47329 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAPRPEEVMLAVAGMGSKDQVLDVEGMTEAEFVLANKNKGPSCFRCRKNGHVLNDCYVILCDCCQKPDHATKDCPLHKAPRPRLAMYGMGHPDLAFWELPLSTSVRPRVENTRLGRVDVAGGTLSIPQLITHLQWIVPDSTYQWEVQQVEEHVFKVNFPSKVELVRVQHFGRFFVPDSTIVLSFDFWKKEVQPVWSPEDVWVRVHGLPPVALDDYLSLWALGDVFGKTKEIDIAFTRANNVLRMFITCLDISLIPETWDLKIKNEFFRLRFEVEGAPPRVPSDVNMSEAPGESGDDDPQANGQEKKAESDRNAKRTKNVEEKDGAQGGDMSAPKSSATAAGLNVNELHFATCSKVDWTKNGDGDIGCELPGRTSLLYGDAVSRHAGKCLDVPAVQVLQASVPVPSPIGSPARLEGGPGVGRPGTSSRPVCGPGTERPNLHGWSDGWGYAS >cds.KYUSt_chr7.5993 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35910686:35914060:-1 gene:KYUSg_chr7.5993 transcript:KYUSt_chr7.5993 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSPLCFVLLFLASFVLAMAEDPYRFYTWNITFGDIYPLGVKQEGILINGQFPGPQIDAVTNDNIIINVFNNLPAPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYNMQFKDQIGSYYYFPSLAFHKAAGGYGGIRVLSRPRIPVPFDPPAGDFTILAGDWFKLNHTDLKGILDSGNDLPFPDGLLINGQGWNGNRFTVDQGKTYRFRVSNVGISTSVNIRIQGHSLLLVEVEGSHTMQTTYSSLDVHLGQSYSFLVTADQPPQDYTIVVSTRFTNPILTNTAVLHYSNSNGVRAALPPPPGPTIEIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTMRLANSRETINGKLRYAVNSVSFIPADTPLKVADFYNIQGVFTPGSMPDNPSGGPGYLQTAVMASNMRDYVEVVFENAENQVQSWHIDGYAFWVVGMEGGPWTPASRQSYNLRDAIARYTLQVYPGSWTAIYMPLDNVGMWNVRSESWGRQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRKTRPL >cds.KYUSt_chr6.5342 pep primary_assembly:MPB_Lper_Kyuss_1697:6:31726287:31728300:-1 gene:KYUSg_chr6.5342 transcript:KYUSt_chr6.5342 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSTARAADAALVKNTGAPCVAEADVVPGGWSRRGAAASGGGLVLTVADEQEHGLGGEIVPDLNVQPMAEDPLLGETSSVRKRKFEEFDDSEDSGHSYISCEVESGDAVSYNSDASSDNEVDKYNVLSYEKKVEEKIWAEGISAYMKPDGRYYCKFHPSKQVPRDGMREGLVSHVKEVHPKDLRDKANHAALRKVLGVLWSGLTECLKKLVKKYIIGRYDDVK >cds.KYUSt_chr4.18926 pep primary_assembly:MPB_Lper_Kyuss_1697:4:118828242:118831122:1 gene:KYUSg_chr4.18926 transcript:KYUSt_chr4.18926 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLATDVRLSIAHQTRFGLRLASAISSNPEYAAVNAAYSPLSLHVGLSLLAAGAGGATREQLVATLWTGEVGEEAEGLHALAEQVVQLVLADASCVGGPRVAFANGVFVDTSLALKPSFQELAVGRYKANVQSTNFQTKPAEAARQVNSWVEKVTSGLIKDILPEGSVDNATKLVLGNALYFKGAWPEKFDPSKTKDDVFHLLDGSSVQTPFMSTTNKQFISSTGNLKILKLPYQQGGDSRQFSMYILLPEARDGLWSLVNRLNTEPELIENHIPSVKVEVGQFKLPKFKISFGFEASKFLQCLGLQLPFSRGADLSEMVYSPVVQNLHISSIHHKSFVEVNEEGTEAAAATTIEMVPQSMPLTTDFVADHPFLFLIREDNTGVVLFVGHVVNPLPSL >cds.KYUSt_chr4.21457 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135130189:135135303:1 gene:KYUSg_chr4.21457 transcript:KYUSt_chr4.21457 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSKRSKKAPMAAGVDRIGALPDEILHHLLSFLPAEEAVRTCVLARRWRHVWKFTTGLRIVESEDFPRSIQDVRDFVDYLLILRGHEPLNTFEVELSDLSQDDAPYLNLWARFALLCKVRELTLCLYHTDYLCAKLDGLPIVSRNLTTLNLRGVGLQGGFLDFSRCPALEDLRMRHCQIDVDKISSLSLKRLSIVFCRSALDHRVTVSAPRLVSFTLDSFAGRTPLLEIMPMLESALVELGRACTDFCHNYRSRGFCAANSAARCANCLAYNDESSNTVLLGAIYNAEHLQLISPLGMMIFARDLKSCPTFNKLKTLLLNSYWCVGPDFDALTCILKHSPVLEKLTLQLVSELDCEEHITNIFWGDAKMMLDYAHFGDTVTFDTTFGTDKEYWPFGVFLGVNQFRETTVFGAALLFDGTFASFEWLFHTFLAAHNGRQPRTIYTDQDAAMGKAVEAVFTESYHGLCTFHIMQNAVKHLSPIKCEEKDEGEQKHGGEEKDEDKEPHILEIYWTSKEGMS >cds.KYUSt_chr7.18261 pep primary_assembly:MPB_Lper_Kyuss_1697:7:113209026:113212125:-1 gene:KYUSg_chr7.18261 transcript:KYUSt_chr7.18261 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQPSEEPEEQVDLEGDDDVMDDEESYRRRRHHGGEDSDEPEEEPQEVDADGDDDAGMAVAAEEPAAGSGDDMDKAEADGPEDEEEKSKWEELLALPPQGSEVFLGGLPRDTTEEDLRELCEPLGEIYEVRLMKDKETKENKGVAFVTFTAKDVAQRAIEELHDKDHKGRTLRCSLSQAKHRLFVGNVPKGLSEAELTSIIKGKGPGVVNIEMFKDLHDPSRNRGFLFVEYYNHACADYARQKLSSPDFKVDGSQLTVSWAEPKGSTSSSSSDSSSAAQVKTIYVKNLPENVSKEKVKDLFEEFGEVTKVVLPPAKAGHKRDFGFVHFAERSSALKAAKGSDKFEIDGQVLEVSMAKPLGDKKPDHSYKAGGAPNYPLPPYGGYMGDPYGAYGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGMPPPPPPRRGDRRDDRRDGGGRGGEGSRRYRPY >cds.KYUSt_chr4.24265 pep primary_assembly:MPB_Lper_Kyuss_1697:4:152784398:152786453:1 gene:KYUSg_chr4.24265 transcript:KYUSt_chr4.24265 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGGRAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVSGSYAAAYVRGLQQPYGGGGHHVGHTRLKTAACCKHFTAYDLDNWSGTDRFHFNAVVAPQDLEDTFKSCVVDGRAASVMCSYNQVNGVPTCADESFLRGTIRGKWQLEGYIVSDCDSVDVFYRDQHYTKTHEDAVAATLRAGLDLDCGPFLAQYTEGAVAQRKVSDADIDAAVTNTVIVQMRLGMYDGDLATQPFGHLGPQHMCTRAHQDLALDAARQSVVLLKNDAATLPLSPGTHRTVAVVGPHAEATVAMIGNYAGKPCGYSTPLQGIGRYVKTALHQAGCTDVACQGGNQPIAGAVDAARRADATIVLVGLDQKIEAEGLDRTSLLLPGRQAELVSVVAKAAKGPVILVLMSGGPVDIAFAQNDRKIAGILWAGGTGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPQDYLQKVPMTNMAMRANPAKGYPGRTYRFYTGPTIHPFGHGLSYTKFTHTLAHAPAQLSVRLTGHHAATASSLNVTTHLGRVAADVRVSRARCEGLSIPVHVDVKNVGDRDGAHTVLVYASPPAAAAAAHGAPARQLVAFEKVHVPAGGVARVKMGLDVCNGLSIADRDGVRRIPVGEHSLTIGELTHSVTLGVEQLGV >cds.KYUSt_chr7.36122 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225764391:225764642:1 gene:KYUSg_chr7.36122 transcript:KYUSt_chr7.36122 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDGALHADSPDVVVFRATRGSRGGVGLHAGFVVSGFIAACRSRDDARLHAGSSDTVIANSVRHRVICMRMGKREKEGKEI >cds.KYUSt_contig_3828.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000743.1:154196:154915:-1 gene:KYUSg_contig_3828.25 transcript:KYUSt_contig_3828.25 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCGSDISQSQRRFLVGGGGGLTFFCPDEAWQGLVDLMSKNGCGSFAGLLAATASAAEIFHEHLLGGSGLTVFCPDDKAVTAFDPTFRSLAAGDRVAVLLYHGARGGVLRQGAIQGVQLCVGEHAGGGRGDEEEPSHNSPRRRGRARAVAGAAVVPERRCMGDQDGVGGGPVRRVRGGHRAAAVLPSTVACVGYLGWLRCCMALFPDWIVPVSISCVAGSVVGALIGVLIVEFLDPID >cds.KYUSt_chr7.16815 pep primary_assembly:MPB_Lper_Kyuss_1697:7:104145634:104148120:1 gene:KYUSg_chr7.16815 transcript:KYUSt_chr7.16815 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRLLSTAAAAAHGPLPIRVALTDSAGRGVFATRPIAAGEVLHSAQPLVSHPSRSLLHEVCYSCLRRKPGKGGHSSGGCYFCSDACRQHAKGFHGIEKNLDWSLLDDHCSLRGLKYPYMVKRLACMVISGAVGADCLDILQPARLHQGMISEMEEEFELLDSTFRKAGFQEELAAFFTKQWYINVLARIRVNAFRIELVASSYEDLLSSAIASVACDASVGNAVYMLPSFYNHDCDPNTHIVWMQNADAKLKTLRDIDEGEELRICYIDASMDVNARQKILAEGFGFECRCLRCLSGD >cds.KYUSt_chr7.8954 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54430318:54431013:1 gene:KYUSg_chr7.8954 transcript:KYUSt_chr7.8954 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSKGSEMSNVHHFRRIAYKGVFFPISSSPNTNPFHSYTQTVDGIRPFSQSPGSVSPQQQPSSARVIAADGSLTELPASFSLVSVSDVLGDNAGRRFFVCSSDALYFDEEVPALSGGELLRLGQIYFVLPAAMLGRPLSSADMAAMAVRASEAIVTARARDIRKAHVTPVQAESEPGNVDGRVNEKLNERTLGEDSLTGSGSPTRNGKRLAVAAFPPAKRLLTPLGTIAE >cds.KYUSt_chr2.31942 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197038534:197040510:-1 gene:KYUSg_chr2.31942 transcript:KYUSt_chr2.31942 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPCPAGAGVHAAWTPRALAGAFLDLAIVYAFLCAAAAASAASALLDLLLGLPLPCTCSRPHLPCLFGFLARYPARVLHSIALSLRSRFPFASVPTSSDDDDEEDELVDAQEDDVRDLNREAAADQQRQENVRSALQQELDKERSAAASAAEEAMAMILRLQKEKSSLEIEARQQRRTADERCAFYEDEVEELRDILLMREREARSLQKEVESYRRLLGLSVDGDDDDDGDEVMMTPHNFFSEGDPSSSRSAANDSAFSTARRPFVREELLSPIRVTHPLGGYQDTLPVQVPPLGEQAPPPLLGSKLEDDGADTVVILPLSARSLDFPVSARSLDQGGDVEVDAAAGIKAMEALTADEFQEARVDKICHDFVPTENDANVFDVHVVDDICFTTEVKGLIGRSFSDAAMQADKLQNRAAADDLLGKSLNAIKGAQDKIRLAANERRQSLQLQLLEDIADQLQDIKDAADAGRNLHCISPKSSKKI >cds.KYUSt_chr7.15633 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97107959:97115131:-1 gene:KYUSg_chr7.15633 transcript:KYUSt_chr7.15633 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVTFGVGEHGCEHVQLVLLIQRLHELVPHCLSLPLLAPHNFGVFPSVYGSFFVHGCSIRFGFHPPTQVLLGSGIAVLSGCAANLSQKVKVLTTGGKGHEATACMRSPSAFRQHHYQPPNTTTALNRQSFPKRCPQGGIDAHGANDVAQSRGFWVFTREHGRGGENLGPQQNLKRKNGAPGRRHCHGSPANHGFPLSRSHTTPPPPPPPKPSLDLSGANDQLRWRRESQSERKELTFRSGGRPPEQPPCHDRYRLATRAAKDDVAHPRMPLATIANSATPPKADALSPKMPYHKKLSAAMALSVEAKMDMVLPMEVKLGKVMTITATLAPSTHPYHEDKGLLNC >cds.KYUSt_chr7.23621 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147255903:147257867:1 gene:KYUSg_chr7.23621 transcript:KYUSt_chr7.23621 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSSSKQTLIYSFVAKGSVVLAEHTAFSGNFSTIAVQCLQKLPANSTRSTYSCDGHTFNFLVDRGFVFLVVAEEAVGRSVPFVFLERIKEDFMQRYGSSIDEEHPLADDADEDDFLFEDRFSIAYNLDREFGPRLKDHMQYCINHPEEISKISKVKAHLSDVKGIMMDNIEKILDRGEKIELLVGKTETLQSQADNFHRHGRELRRKMWLQNLRFKLMVGGGVAFLILILWLLVCGGFKC >cds.KYUSt_chr5.6611 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41034350:41036032:-1 gene:KYUSg_chr5.6611 transcript:KYUSt_chr5.6611 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAVALASPPIAQAPAAVLAAAALAARKSAPAVRGRLLVRRSPLPKSVKAASPPPPLASVFSGRSMESLPTGMSSPAASSATFTPPAEDQDLLPPPRSTVVWVHELAPETPTAVGMSSACVPRPLRRGCRSSSAPASPRLRSCISGIPLQRGGVTTGVWTSLAGKDDDMDEHELAPMGPVATQSSSLAAQSVGREAKAGSGWQEVLPRRSLRRPKSPASAPPPRPIPAWLSGRCCRCLAHGHRAVVCKDPFRCSRCLENGHRKRECRNPWRPLSSLACLAAPPVSYHIADHHHASASCVDSMGSAPPSKEFRCGSWASVVSTPAGSVPLSDVVLQSTLVAQVEQLQGCLVQIAGFLERAEATLSKISPLPAMLETTHTLCPPSEVGVDSAEDRGAELYGCFSPRFEDSPPSSLSALPSASSTAEGDAIAAVVTPVLQIMPDLEDLLGSSTLPLSMEHMEVDSSLTLCSLGSSDVISAPHPPPSALNPDALFAKELCDVLSGLEAAIPGCGRAIACILTGTTIKRKSKKVDDCPRSGTRKEKPLKCKGKKSGGSRMLDG >cds.KYUSt_chr4.11880 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72214789:72225313:-1 gene:KYUSg_chr4.11880 transcript:KYUSt_chr4.11880 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRKNTPHFNLDDAEQRQAKINELRAALGPLSARGEKYCNESCLIRYLEARNWNVDRSRKMLEESLKWRLAKRPEDVRWPDVSVEAETGKLYRANFTDREGKTVVVMRPAKKPCSAAAITFSVTTCPTNKPLTGQDSPTFYRLVEPEIQQLTLHQQLQIREIHLLAADLILVAMNERHRIIQNIPCTSQVLKVFIDQKSVQKLNFVYKENEESMKMIYNHIDPEVLPVEFGGKNNVVYNHEDYSKLMTKDDIKTASFWATDVDMS >cds.KYUSt_chr7.14682 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90696845:90708124:-1 gene:KYUSg_chr7.14682 transcript:KYUSt_chr7.14682 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDALASNVLSMLTQMAKEEVGLLLGVSGEIDRLSVQLHDLKNFLADAERRRITDKSVQGWVTELKGTMYEAADILDLCQLKAMEQSPLASHMGCFNKLLSCLSSPLFNYDIGSRIKVLNQRLDSIKERSAAFSCFINHSSYDDRGSKVHASRAAFAGRETSGLVDWSGVVGEKIEQDTRKLVEMMLTGIQGATSRADNRVMVFAILGVGGIGKTTLAQKVFNDEAIQSEFDKTIWVSVNQDFNEAELLRRAIIEAGGDHQHAGNAKATLQRVLKDTLIGKKILLVMDDVWNHIAWEDVLKTPLVNGAAPGSRVLVTTRDERVARGMRSVPPYHHIDKLDDDDAWSLLKKQVVLNEIDESEIATLKDIGVGIISKCDGLPLAVKVMGGLLRQKERNHSDWGKVLHDSAWSVVGMPEELNYVVYLIDVRSCRNLTKLPDSIVKLEHLSRTDDGLVKDEEEERKIVELFDKLCPPPCLDFLEIVGYFGQWLPSWMMSTSAVALESLKTLWMTNLTSCTQLPDGLCKLPYLQNIHIRHAPAIKRVGPDFMQLYHHSSHHPSKTMAAFPRLNKLVLEGMVEWEEWEWGEQVKAMPVLEQLEVKGCKLRHVPPGLSFHARALRKLSTKNIQHLSSLENFASVVDLEVSQNPDLERISNFPILQKLSIIMCPKMKVLEGVPLLQTLVLKDYVAEALPEYMRDVNPRQLNLDCSLALLTSISMGQSGPEWAKFSHVERIKAYAQEGDNERNWYVLYTREPYSLETNILLQLQPLSVDFIYVPSLPYIDASRGFCTWLSTLSIDFVCATSPLYINTSRGLCTRLRPLYIDYSCGFHRRLRLLFLGLSFNLFNCVSECERSSRIATASSSRRKTPERKELSCRKKSAGEIPSRRGEIVAIVTVIELDFIGIIIIISTTDTIISTAAPRLRYNI >cds.KYUSt_chr4.1598 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8454583:8454933:1 gene:KYUSg_chr4.1598 transcript:KYUSt_chr4.1598 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVETTTMAVAMRPRRPKGPAAGLHRGGGVGDGHCAEQLSENSLTGMASPSSRASHPSGDTPEQQSACATSSPDNISTGAISRAPPVPAPPTHGTLYVPLTWVPFVFVVTEDSDD >cds.KYUSt_chr3.22248 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137318284:137318877:-1 gene:KYUSg_chr3.22248 transcript:KYUSt_chr3.22248 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALSVVVVMVAAVAPVLLLLAGVARADCFDYCFNNCIANDKSMKDYCNFICAQTCDPPQPQPSAAAAVAAGSDMGCQLSCVRTACNRLGPDSKAVETCFGACYDGCKTKPLPRPFRAGAGSTVSAASGPTIFPSSEPIKDDAATEEHPFHEKQDATSTEPIPKTVQIGDKE >cds.KYUSt_chr5.14209 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92151768:92154012:-1 gene:KYUSg_chr5.14209 transcript:KYUSt_chr5.14209 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVGIAVAGGHEAGLGLFRDVSMAEAEPHGAAKVEYRSSPSSPSTSLTPSPPRAEPGHGGGGGGYAAATPHAWSFGAEQEKPSEAGGGDNGNGMQMPGHGEHAAGLSSGRRRGRPRGSGRRQILATLGEWYALSAGGSFTPHVIIVPAGEDVAARIMSFSQKGPRSVCILSANGTISTVAFNQPGSSGSSGSTFNYEGLFEILQLTGSFTMAEEGRRRTGGLSVSLAGPDGRVVGGVVAGTLRAATPIQVIVGSFLPNSLRQHQRRMGLQQPSAPLPATAAPPPVLTAAMPISQAAPGNDRHAPPVPAAPLQARPNMEHAATTGTMNLNSSSTGFTMVGWPVSSAQPMRHMPSPDINLCLTPQE >cds.KYUSt_chr5.5460 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34104176:34104883:-1 gene:KYUSg_chr5.5460 transcript:KYUSt_chr5.5460 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPVTAATGSLGPVIAKLSALLGSEYKIRWRTRRDVKFIMSKLKPVHSILWNIWEREELDAASKALKMEALDLADDVDDAIVDFILRLERNLSNKRLVQTKIKASPFHDFKKRSSDVSRRCRTKWKKALSSSLSWGKLATTDSGPAKPRAPFLRKDASELVGMAGPRDELIQHLVGEGETTPVGMARPMDELKNAFIYGSTGMGKTTLADLVYEAIGSKFQSQAFVSVTPVRW >cds.KYUSt_chr7.9738 pep primary_assembly:MPB_Lper_Kyuss_1697:7:59606433:59608265:1 gene:KYUSg_chr7.9738 transcript:KYUSt_chr7.9738 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEARSKSSCRALDDEVVVPLLARLTTLKTILASTPESTSSGAGAMRSEARALLEKIERQMAQLKDVVGRIDKTEKEIRYSFDPVERHVDDAIRAADKMEGICSKLREVDAEMASIQAKVHKAYNLAKDCGQGEGDRGAPSRRAVAFSNGRSSVINERTEEIWRSPQMQHIRLVVRGLDEQLRGCLICLAAFPEGDAIIKKRVLIHWWIGEGFVASSKEGSRRFKDLVDKGFIIPLGKDHCDKIHRCRLMPWLRESLAGLANRRNFLDAEESTDFTGRSRAFLRSRRTSSPINFNTAVTTVYNMGRKHVQLDKGCFAGKKNLSSLQLGQWREFAPLEQVTNPDRSHIEVSGTERLAELGQCNNLRYVSFRGISRIETLPDSIGKLRHLVVLDLHACHNLQELGQEVTKLDHLQYLDLSECHLLTGMPKGIGRLTRLEVLKGFVIANFKSKDLCHLNELSKLPQLRKLSVIIGKTAVPAVGEFKKLGEFQSIKALTIAWGVQFSTAGDKSTNTSAIANMEFVLPPHLEKLDLRGFPLPDFQKWAHPKHVKKLYIRGGNLRTLGEDQDWEVEVLRLRFLKDFNYDHDRLQHSFKKLTSDLTLIHECPNFNT >cds.KYUSt_chr1.8796 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54112876:54113304:-1 gene:KYUSg_chr1.8796 transcript:KYUSt_chr1.8796 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLTAHRYPLPAGVPLRRFRARSPPPSCSPCGKSPFQQFLSGRHSGTVLADGRALCRATRYLFPRTTFSAREAWSSVATGRGVEAAGVRGCRTTRRRHYMSEAERLSDGIPVRRRAKAEVVEPAVAAKRRVEAAGGRHAA >cds.KYUSt_chr6.23533 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148661004:148664921:1 gene:KYUSg_chr6.23533 transcript:KYUSt_chr6.23533 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSAARKPSAAPTVVLTLVLAVASAGLLFLLVHLSPSSPSAHPHPHRRLRLRGARLGHGGGAAPHQIPFDPVVADLERRLDDREWERLAAAGLHAPGMEAAPVPEDVADYEDEYINDAARFNMTLRVAALFPKIDVDPADGAVTGAELAAWNLASARREVMHRTARELDLHDRDHDGRVAFSEYERPSWAWRFDGMERDKDNDGKLDFHEFYNGLFYSIRHYDDETSTDDSNGSDAPAKKSFSQLDLDNDGLLSADELKPIIGKLHPAENFYAKQQADYVISQADTNKDGQLSLNEMIENPYVFYSALFTEDDYGSHDELR >cds.KYUSt_chr4.49446 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306191782:306193649:1 gene:KYUSg_chr4.49446 transcript:KYUSt_chr4.49446 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKLLFILCVLATLATLETTYAHCGESSTHGELHFSHGLSLPGLDSCHGADTDLAGGMKIGVDDVVKAWYMDDVAVAEDEDQRLPHHRQPDEAVPLAKLLDLGIVALRLDAENHERDENLTTMRQERGYLHMDIIELTPEKMPNYEAMIKRFFEEHLHTDEEVRYCLDGTGYFDVRDEDD >cds.KYUSt_chr5.35780 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225974858:225975199:1 gene:KYUSg_chr5.35780 transcript:KYUSt_chr5.35780 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPALHTHPSISNKQATLFLTDRMASAPVEFLGGAQGGLGGEALYCAVILWLSAMSWIIFTCVGSDDGGHRRRGRRGSRDTKVFVGAERLCDGTGPRCSGGYGLCGSCVD >cds.KYUSt_chr1.15910 pep primary_assembly:MPB_Lper_Kyuss_1697:1:92483973:92491308:1 gene:KYUSg_chr1.15910 transcript:KYUSt_chr1.15910 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKYAALRRAVDETAAVDAHAHNLVAVAAASSFPFLRCFSEADGDALAFAPHSLSFKRSLKDIAELYNCEASLDKVEEFRKAQGLPSISSKCFQAANISAILMDDGIEFDRMLELESHKEFVPTVGRVLRIEWLAETIINDVIANEIVGLKSIAAYRSGLDIDPCVSKTDAEDGLRKELAGRRPFRITNKSLIDYLFTCSLEIAVQFHLPMQIHTGFGDKDLDLRKCNPLHLRGVLEDERFAKCQLVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMTSSLKELLELAPIKKVMFSTDGYAFPETYYLGARRARDVVYRVLSAACEDGDLSIQEAIDAVEDIFRRNALDLYKLNVANGSIHRKTTIIDSRISTSCVEQEVLFVRIVWNDASADGTNLTGVGEIRLMPDMSTILRLPWSRREEMVMADMQIRPGEAWEYCPRYALRKVTKVLLDEFNVTMKAGFENEFYLRRKLVSEGHEHWVPYDNSSYCSTSSFDGASSILQEVYSSLEEADIVVEQLHAEAGKGQFEVALKYVLCTLAADNLIYAREIIKSVARKHKLIATFLPKPDLNDIGSGSHVHLSLWKNDQNVFMGSNEYNYYGMSNVGEQFLAGVYHHLPSILAFTAPHPNSYDRIQPNTWSGAYLCWGKENREAPLRTACPPGVPLDMVSNFEIKSFDGCANPHLGLAAIVAAGIDGLRRGLKLPEPIESNPADYASKLKRLPQDLLESVESLAADKTLHELIGDKLITAIIAIRKAEIDHYSKNPGAFGDLIHRY >cds.KYUSt_chr3.27065 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168925396:168929246:-1 gene:KYUSg_chr3.27065 transcript:KYUSt_chr3.27065 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPIVNATAAVLARVTSAFNAPLARAVVFGVHIDVDGKEVVNFASANYLGLIGNEKILTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >cds.KYUSt_chr6.15895 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99954722:99955006:-1 gene:KYUSg_chr6.15895 transcript:KYUSt_chr6.15895 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQGRLPMAAQQWKRWRSPPVVLPHQHEATMLLQLLSRRLEVGTPPWLQPYRREVVVPPLLLPTGGAPQVLTLSISQARGHTAVEGHGCHSPV >cds.KYUSt_chr7.14750 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91167956:91168643:-1 gene:KYUSg_chr7.14750 transcript:KYUSt_chr7.14750 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGNLSPVLTSCFRWRVVAVLLLVVLQRKEPEAGGGVASTFPSIKLDRSTDRPVLVACAPICNYQGDGAVGVLVSMVGLQSVLMGALQGGRWSVPLLSFDCLRGEGVSGGVAWAASSFRQVPSSIPAVICSRPQLVRTPPYLQAEGRPSKKFLPADVHEGRQYNCGSASVSSSSAWCRKRRRSDDLAPSGSVPGGSSLQYPGIDL >cds.KYUSt_chr4.17659 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110590617:110593230:-1 gene:KYUSg_chr4.17659 transcript:KYUSt_chr4.17659 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVVGVAGDEVFARWLAEVKNRMNSVRWRSSSGETPAGFRQTPARRRESSKAALRREGSNPETCGMLSMDEVPQDGRISDKKLGNPESIHRTDQEFDPAASRGVGSFRGFEFDTESSSSIARRPISWPHPAPLHPTQPLRPRKLQLGSAAASPPEPTSPIDSLLLPPSMAPAKSSVLLDVKPWGDETDMAKLEEAVRGVAMEGLTWGASKLLTVGYGIKKMQIMLTVVDDLVSVDSLIEDHLCAEPLDEYVQSADIVSFNKICE >cds.KYUSt_chr1.26195 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157614514:157617815:-1 gene:KYUSg_chr1.26195 transcript:KYUSt_chr1.26195 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAAADKTSVFRKLRAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWAPNQLKMMVYGGNNRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQLLRKEVDKSSTEDANNNSWPSSPVAASSNQAPAFPDLELAEASKEVVDDKTEPEVIRSPKAPTHSFKKPIGGKKPGNKTGGLGARKLTSKGSESLYEQKPEEPAPALPTVIESTTARTKSHTSRFEYVENIPSAGSSSEESQAFGHVAPPKSSNFFGEFGMDSGYQKKPSSSSSKVQVEESSEARKKFSNAKSISSSQFFGDQANLEKDGQLSLQKFSGSSAISSADLFGHQANNSNADLSASDLINRISFQATQDLTSLKSMAGETGKKLTSMASNIMSDLQDRIL >cds.KYUSt_chr3.24291 pep primary_assembly:MPB_Lper_Kyuss_1697:3:150794655:150799700:1 gene:KYUSg_chr3.24291 transcript:KYUSt_chr3.24291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat shock transcription factor 31 (Fragment) [Source: Projected from Oryza sativa (Os01g0625300)] MNPRRQERWKLHGDASKKGNGALRRRHRRRRPGRAELSPGASTLPSRLPSPAGKPEHAIMRASTVNHLAHHDTAAARGRRPGTPPPTSSRCNLQHLHDQGPSLASTSPPQTPRPPAAELARKAQIWPGQARLHPAPLRLATPAQPPRAARSQQRHRARRPPPRPVARRRARRRPHPAAAIPQIRELASAGRREDRGAYLSLGGEQVSSMDGLHTELALGLIGCGHGELQTAPFVAKTYQMVCDPRTDAFLRWGRGNNSFLVADVAGFSQLLLPCFFKHGNFSSFVRQLNTYGFRKVHPDRWEFAHESFLRGQTRLLPRIVRRKKRGEGGAASCSSTVDKQDMAEEIDEDEEGSEALLEEVQQLRQEQTAIGEQLARMSRRLQATERRPDRLMAFLARLAEDPDATSAHLLEQKKRQRMHFPASPIALPLQPPQPPPLLAMAEGAVDDGVWQWAPEPRLTTFEQPTGSSTLQQVPEFDRGGGGMGITDGGTAVETPFPFCLLGECFF >cds.KYUSt_chr5.20885 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135611053:135616353:-1 gene:KYUSg_chr5.20885 transcript:KYUSt_chr5.20885 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSPLTSPADGALPCPQSRRPANTSRSPAGAGASATAAVQDGDRRRRTGLTAVWTSGRIPSRRFAIRRSRCSPTGTTKVAAAARAPVPLSRRRIGGVTWGHGRGRVLRMEPFRDLQDPSPTSTPPSRSHPKVEIFASRARVDTTILYIYFISPGERAPTRAAPVTVGSWSCECRICLDEFKNAGRGMTIQLFIQKSARLRAVALLSMIIDLDHGDDLLHSQRC >cds.KYUSt_chr2.54363 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339176372:339181239:-1 gene:KYUSg_chr2.54363 transcript:KYUSt_chr2.54363 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVNEDRCKPKKCRQECKKSCPVVKIGKLCIEVSPAAKFAFISEELCIGCGICVKKCPFDAIDIINLPKDLDKDTTHRYGPNSFKLHRLPVPRPGQVLGLVGTNGIGKSTALHILAGKIKPNLGRFKNPPDWQEILTYFRGSELQNYFTRMLEDNLKAIMKPQYVDNIPRNVQGNVGQILDRQDDTGLKAQLCDDLELNQVIDRNVGDLSGGELQRFAIAAVAVQSAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRTDSYVIVVEHDLSVLDYLSNFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLKFRNESLTFKIVETQENVEEIETYQRYRYPTMSKTLGDFKLTVMEGEFTDSQIVVMLGENGTGKTTFIRMLAGHLKPDTEEGTEIEIPEFNVSYKPQKLSPKFTGSVRQLFHKKIRESYMHPQFTSDVMKPLQMEQLMDHDVMTLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRYILHARKTAFIVEHDFIMAAYLADKVVVYEGQPSVECTACTPQSLVSGMNRFLSHLDITFRRDPTNYRPRINKLDSIKDREQKSAGSYYYLDD >cds.KYUSt_chr3.12391 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74071963:74072556:-1 gene:KYUSg_chr3.12391 transcript:KYUSt_chr3.12391 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLADIAVLTCFTEEVVTKECREQWTSHYQDYRRESTSFYGAKYSKEERNKRFLELYQKYKRILYHSEEFNESPRNHNEVFGEACAIYQIVYQYAIRRDNISLCGFAWKVAGRALCQLHTIKRGGQTVLCSHSVLRDAFKRKR >cds.KYUSt_contig_2197.114 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:659403:663048:-1 gene:KYUSg_contig_2197.114 transcript:KYUSt_contig_2197.114 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLHRAAAGDVTLDCGVAANHPSLEVGSAGRVEGWGGAIGGTRVVIEAVGEGGVVCDVHGKGTFTSGITCDSAGYVTKLQLPNKGLNGTLHAFYSAAFQNLTVLELYNNDLVGIIPANISLLLNLTILDLSNNNLAGDIPYQLSNLPKIIELYLGSNPPDKLRHCQVQTYVHFAGLTAI >cds.KYUSt_chr6.15005 pep primary_assembly:MPB_Lper_Kyuss_1697:6:94009240:94016287:1 gene:KYUSg_chr6.15005 transcript:KYUSt_chr6.15005 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGFLFTGLKVVVGRPDCRIDSRTIKRGFRLGRVVSKNGRAVLPNRSSSTGRVERKEQSAAMEAVIPDRTRIAPEIEKHVAAEGDRESDPALALERELEPVGRWQDELTVRGMVAALLIGFIYTVIVMKIALTTGLVPTLNVSAALLSFLALRGWTRLLDRFGIVSRPFTRQENTIVQTCGVACYTIAFAGGFGSTLLGLNKNTYELAGDSPGNGPGSYKEPGIGWMTAFLFSCSFGGLLTLIPLRQVLVVDYKLVYPSGTATAVLINGFHTTQGDKNSKKQIRGFLKYFGGSFLWSFFQWFYTGGDVCGFVQFPTFGLKAWKQTFFFDFSMTYVGAGMICPHIVNISTLLGAILSYGILWPLISKNKGDWYPANVKESSMKSLYGYKAFICIALIMGDGLYHFTKIITITFKGMYRQFIRKRVDKKVKDVDSTVSLEDLQRDEIFRKGHIPAWMAYTGYALLSVIAVVTTPIMFRQVKWYYVVIAYIVAPMLGFANSYGTGLTDINMGYNYGKIGLFVFAGWAGRDNGVVAGLVVGTCVKQLVLISADLMQDFKTSYLTQTSPRSMMVAQAVGTAMGCIVSPLTFMLFYKAFDIGNPDGTWKAPYALIYRNMAILGVEGFSVLPKYCLALSAGFFAFAALLSIARDVMPQRYRQYVPLPMAMAVPFLVGGSFAIDMCVGSLVVFIWNKINKKEAGFMVPAVASGYWDLPPRSPLHHDYQQTRRAATAALHRTISMHDLLFTAALRRHRAPPSLLHDLLVTGISRELDEPLPPPHSVTIDLLHQQHIFPTISALYHLHDLQGLLDEPPLLHSVASSSVSPT >cds.KYUSt_chr5.11193 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72528493:72531518:1 gene:KYUSg_chr5.11193 transcript:KYUSt_chr5.11193 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSSSNTSTSEQPGPFEKKPKTLSSRLACPPPPALAMAAGAAVAARRLLLLRHPRHPHLLPKHQFSSSAAADGLDGGDGGRVKIFDRDLKRRHRDRAAWAMRENDALLDAVADNLLDRLEDCRKAFPSALCMGGAAAAVRRLLRGRGGIEKLTMMDMSEDMVKKWRELENAADDGLETHYIVGDEEYLPIKENSQDLIMSCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTIAQIEREGGISPRMSPLAQVRDAGNLLSRAGFALPGVDVDRYTVKYNSALELVEHLRAMAETNALFQRSPILKRDTALATAAIYQSMFGLEDGTIPATFQVIYMTGWKEHSSQQKAKRRGSATVSFSDIRKEFGSNQE >cds.KYUSt_chr2.40116 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249123598:249126019:1 gene:KYUSg_chr2.40116 transcript:KYUSt_chr2.40116 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTQMVIRMHISSDKGYSKAIKVAAAVTGVESVTITGEDRNLLLVIGAGIDSNRITEKLRRKVGKAEVVELRTVDHHYGSNHPGDHHPYRYHPNQSLYKHEAALDLHYYTEGYQNAAGTYGHDYYGGGGGYQGHQYKQHNYYAYAPTPGNTHTVMWESFIAEYAVVVLSDENDTVKWKLDPKGFSVKEENRDGLNWGVKLFVQLSSEAFQAARGWRPIIQRLTG >cds.KYUSt_chr2.52142 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325422217:325423662:1 gene:KYUSg_chr2.52142 transcript:KYUSt_chr2.52142 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDAEIPLLTGSDRDELPLAGVSDFRGRPVYRKTSGVWRSVYFVVVAEIAGTFAYYGVSANLITYMTGPLDHSNAAAAAAVNVWSGTTRLMPLLGAFLADSWLGRYRSIILGSTFYVLAFITSHI >cds.KYUSt_chr1.25220 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151268552:151273531:-1 gene:KYUSg_chr1.25220 transcript:KYUSt_chr1.25220 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASRSHPSQYVRLKKHASHSGSGVEDIRPGELNQPIAVPQLERRKCGECGQVLPESHRAPADEPWTTGIFGCAEDTESCVSVLDPLMALHMEHMQEHRERKGRLTDNNAVPMTIVNPPPVQEMSTVENHASTSGNEAPKAEHDDVDDIPL >cds.KYUSt_chr5.1008 pep primary_assembly:MPB_Lper_Kyuss_1697:5:6949078:6949716:1 gene:KYUSg_chr5.1008 transcript:KYUSt_chr5.1008 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAKPAAAATKPKSSAAGASHPPYFEMIKEAIAALKDRTGSSSVAIGKYIEEKHGKSLPTNFKKMLSVQLRGSAAKGKLVKVKASYKLSDAAKKDSPKAKSVAAKKVSPKAKPVAAKAAPKPSKDASKPKKKAAAAGAKRKTPDKKLIAKAQKSPAAKAKAKPKTVKSPASKKARKVAAA >cds.KYUSt_scaffold_869.959 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6099548:6108029:-1 gene:KYUSg_scaffold_869.959 transcript:KYUSt_scaffold_869.959 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSVPLRGSDAISPSMVCHWNAASLLTLVEVKVCGTTAYVAQTAWIQNGTIEENILFGLPMDGERYKEVIRVCCLEKDMEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSEIFKECVRGALKNKTVVLVTHQVDFLHNADIIYVMRDGTIAQSGKYDELIQRGSDFAALVAAHDSSMELVESAAPVTEKGDAPAVSRQPSANGHGSSTSNGDVSVVAAKAEKASARLIKEEERASGHVSLAVYKQYMTEAWGWWGVALVIAVSLAWQGSVMASDYWLAYETSAENAATFQPSLFIHVYAILAAVSVVLVSGRSFLVAFIGLQTANSFFKQILNSILHAPMSFFDTTPSGRILSRASSDQTNVDLFLPFFVWLSVSMYITVISVLIVTCQVAWPSVIAIIPLLILNMWYRGYYLATSRELTRLESITKAPVIHHFSETVQGVMTIRCFRKGDSFFQENLNRVNSSLRMDFHNNGANEWLGRLELVGSFVLCFTALLMVTLPKSIVKPEWRIKDCLPVANWPTRGDIDVIDLKVRYRHNTPLVLKGITLRIHGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGVDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLQEYSDVEIWQALDRCQLKEAVTSKPEKLDASERPRQKRKLQVTPSSRSRRGQRAGAVGDAPLPLLRFTPWMGASPEAAGKSPIAGPKDPTRLNVVAVALGVNAIFSLISFNIRIPRHQGTGRGTAKPTDPKTGGLEEVSRGATKLRRAPPREGTQTDTKTSTDTTFSSMAPPAEGLPNPALCRVMLKHSNILFMDEATASVDSQTDAVIQRIIREDFAECTIISIAHRIPTVMDCDRVLVIDAGLAKEFDRPAALIERPSLFGALVQEYANRSSDV >cds.KYUSt_chr6.11365 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70464709:70465242:-1 gene:KYUSg_chr6.11365 transcript:KYUSt_chr6.11365 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTQPMPYYPTTSSPITRAQPATTASRGSFVPVFTVLGVISFLAVVACVAGRLCGRRLSRKKSSYSDQHYYGSDAVGGDLEKGFEVKYPPMKPMPSSRAVIHDMDDGFEIKFAPGKPAAWKTDSKPDTRGRQQHQHPQVGVAMPREYAGFRYPAGANGAVRQGQVRGGTFVSAKP >cds.KYUSt_chr3.44180 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278868796:278873661:-1 gene:KYUSg_chr3.44180 transcript:KYUSt_chr3.44180 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSSSPALLRQAAALVSGAGRRYSRPSAPSHLGRLHLQVLAYLHSPLPVAPYSVLSHVWTIVDMQSDYESDPPPDDAKTFEKESTLNGAVSQLASDFDRDSNLTLERFSRTRRASVISTGSLKLDLALGVGGLPKGRMVEIFGKESSGKTTLALHVVKEAQKNGGYCAYIDAENAFNTSVAEAIGVDIDKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALLPKCELEGEIHMKFADLQSRLMTRALRKIQYTLSRSETLIIFVNQVRTRRDPFSGLYKETTCGGNALGFYSAVRMRTSRRKLRYSEDQATGIGISVEIIKNKLAPATLKEAGIDIRFGRGICYESEILEMASSHGVIVKEGCGYWINGKLLPDKGEAEKFLLENDAVADDICSTMRSELFER >cds.KYUSt_chr7.28036 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175072775:175082615:-1 gene:KYUSg_chr7.28036 transcript:KYUSt_chr7.28036 gene_biotype:protein_coding transcript_biotype:protein_coding MRASYIIAPKRSILDSVALSIEKVGWAFTQTGYLQKELRKQPFSAVIEAKEGTTEQAAVMDSMAGESPELSSSFSGGGEKKKVYVAMGAGESKAMVLWALQKFPDRDAAAFVLLHVYCHPKFIPIMGTRIPASQVQEQQLIAHKKMELQKISDILDEHLLLCAQEKAQAEKLVVESDDVTEGLLQLISERHVTALIMGAAADKHYTKKIMALKSKKAQAVEQQADPFCRIWYICKGTLVYRRKGVAISNEAMRQDRRNSGYQQFSVDRSTSLSDTWFVQNTWLHEPNLGQQTGRTSPCRLYDNEKDIVREFDEPEKFQHMLWELESVRKQAYEEKCSRDKAERELLEAFQKARDSENLYLGEVKQRNETEEKLRATIEEVEKLTETTDELCAKLQEERKKKLALEKRTAHSDRIIKDLMLQRDKAVREVEALHAKKGGSSATAEGKMHITELSCSEIKEATNNFDHSLKVGESVYGSVYKGFLRHTNVAIKKLNPGGTESQSQFNQEVEILSRVRHPNLVTLIGACKEDQALVYEYMPNGSLDDRLACKDNSKPLNWQLRTRIISDVCSALIFLHSNKPHSIVHSDLKASNIILDGNNVAKLSGFGVCRMFTDAFRNTTTLYRHTHPKGSFAYIDPEYVMTGDLTPQSDVYSFGVVLLRLLTGRPGFGLLKDVQQAVEKGCLEAILDSSAGDWPAMQAEQLARIGLRCCEIRRKNRPDLQTEVWTVLEPMLKSASVKLCSLSFKSVSEDLGGVPSYFICPILQHFRPNESDGESNRYKLHNQHSSTVVPRDKRDKDDTDIDAHRLMKSGASQLGTSQKQNLDVMLLLIFNSIQGATKMDDEEVHIAVGKNFRKEKANILWSTVKFPRARIVLVNVHWPSKWMPFMGGKLLYKFADEKEKEMHRGRETEAMVRMLSQYKSLCDTREVRAHYITHEDILGGLVNLVKKLKIKRIIIGSRKMAKQEVLRKCCQVWVVVNGKYVSTSNDHLRHTGSIGYGGSSELLASIHELSDESDGYTTPQSDFYDSFQADDIMDGDGVIQLDGADQSAKESNAYEEAEIFPDEDTDESDEIQSSRNISEKTAKLMKEMEELQRKLKDLHDEGHNHDEDILSPRKQNDLPRERTLSKTRYPELQIPDHIVEFPMSRIAKATNNFYSQNLIGEGGYGPVYKGRLGGVTVAIKLLRPHGKLHGRQGFPEFQQEVVVLSRIEHPHIVKLIGVCQESCALVYEHLPNGTLMDGLSKGLPWRDRIRILAEQRSALAHLHSSRPHAIIHADLKLTNILLDGGNSSRLGDFGTARVVQMKPLEEDTICRRTNPMGTMGYMDPVFFTTGELTAESDVYAFGVVILQVLTGLLDLNIAEQVQEAVKMDAVHGLLDASAGNWPGVQAERLVRLALRCCNMERKRRPTITSDTDWRPLDIMRTMATGSKSRKWSQAS >cds.KYUSt_chr4.6260 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36748933:36754441:1 gene:KYUSg_chr4.6260 transcript:KYUSt_chr4.6260 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLVHSPGSFFLFYFHLLLAALVATAQNAPVKVRVGVILGFMSPVGHRRRSSIQMGVEDYYAAHPGSATRVELHFRDSVGDVLDAASAAVDLIKNTQVQAIIGPKTSAEADFVSRIGDRARVPVLSYSATSPALSAAKTPFFVRAAANDSFQTAPIAAILVAFSWRAAAVLYEDSPYGTDILPALADALQRVGAKIMDRTAVPSDATDAQLDAALYHLMAMPTRVFVVHMVYPVAARLFRRAKMAGMMSEGYVWVATDGVGSFMDMSSPEDVEAMQGVVSLQPYVQMTDAVKNFSARLRARSRRENSSDDGVAGSILMRLWAYDTAWAIAAAAETAHVPGPAFQTPKRSTKLSDLDRLGVSATGTALLKAVLATTFDGISGKFKLVDGQRQMPGYEVLNIIGKGARTVGFWTPESGISQDLDTGSAREMKQILWPGEPRSTPKGWVVSPNAPMLRVAVLVKRGFKQFVGVSVNSTTGETQVTGYCIELFDEVMKNLPYPVSYQYVPRDPSLDSYDKIVDQEADMIVGDITITASRMTKVDFTMPFTESGWSMVVAVQKDTSPTMWIFVYPLSASLWLASLAFFCFTGFVVWVIEHRINPEFRGTPWQQFGLIFYFAFSTLVFSHSRKSSFGKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVEKLLPRVTDVRELQRRGDFIGYQEGSFIKSSLLEMGFAEDRMREYSSEEGYADALSSGSANGGVEAVFDEIPYLKLFLSQYCDGYAMLGPIYKTDGFGFVFPRDSPMTGDVSREILTLNEAGKVSKIEKAWFGEPGTCRSQTNSVVGLSSNLSFESFGGLFLVTGVASSLMLLLYLATFAYRERDELRAAEATTASGSVSLRRLRAWLQHYDKKDLRSPVFRMNDESVRNGVVETPRNDGTTPFSERISSELEMNATSSEETPTHEPGTSFEHGGDMAPASAEMETQAASQPH >cds.KYUSt_contig_686-1.700 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4177512:4181194:1 gene:KYUSg_contig_686-1.700 transcript:KYUSt_contig_686-1.700 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGCAASVKRILEIEPQVQSATVNLATETAVVWAVPEDTAVQDWKLQLGEKLASQLTTCGYKSSHRDSSKVSSQKVFERKMDEKLQNLKQSGRDLAVSWALCAVCLLGHISHLFGVNAPLMHLFHSTGFHLSLSIFTFAGPGRRLILDGLKSLFKGSPNMNTLVGLGALSSFAVSSVAAFIPKLGWKTFFEEPIMLIAFVLLGKNLEQRAKLKAASDMTGLLNILPSKARLMVDSDAEQSSFTEVPCGTLAVGDYIVVLPGDRIPADGVVKAGRSTVDESSLTGEPMPVTKIAGAEVSAGSINLNGKLTVEVRRPGGETVMSDILHLVEEAQTREAPVQRLADKVAGNFTYGVMALSAATYTFWSLFGSRLVPAAIQQGSAMSLALQLSCSVLV >cds.KYUSt_chr4.32818 pep primary_assembly:MPB_Lper_Kyuss_1697:4:201250065:201252125:1 gene:KYUSg_chr4.32818 transcript:KYUSt_chr4.32818 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRATVALFFYAIGVLVLSCSPPARSQQPYGSEIADCGSKHNDTGLLGYFCGSGAPSCESYLTFHARSPFSDPASIAALLGADAASLAAANSASSPFAQGTKVLIPVRCSCTGAAAGGSYYQRNATYVARDGDTLFIIANNTFQGLSTCQAVEEQGLGGAAPTSLLAGQSVTVPLRCACPSASQAAAGVRFLVSYLVDELDTVDAVAARFGVDARSILEANQLKSDATIYPYTTLLIPVKSQPNISQLQSPPPPPPPPVASVPATKGKSHTGVYIGIGVAVPVVAVIASVVAALVLKARRRRASTDPTTAGGFADKDGKDMGKLPYGVTGDEVSVTISEAFSSLSDIKSSLKVYTYDELVTATDDFSTERRISGSVYRAAFNEDTAAVEIVDHDVSTEVEITRRMNHFNLVRLNGLCHHRGRWYLVSEYAEHGTLRDRLLAGATGAVAPLSWTQRVQVALDVAEGLRYLHGYARPPYVHMDVRSGSILLDTAFRAKIRNFGGARVIRGGDDGDQGDARELFTMTSTIAGARGYMAPEYLEHGVVSPKADVYSFGVVLLELFTGKDVDQLEEDGGGDPLAGLNALGVDRKNDEEHHGDDGAALKRLEEFVDPAMAAGSCPLDAVVMMVRLIERCVQRNAGARPGMGEVAQYLLKLSDISGDSWQSSSEYRHSSVSEATSEQLAR >cds.KYUSt_chr3.25178 pep primary_assembly:MPB_Lper_Kyuss_1697:3:156393793:156398725:-1 gene:KYUSg_chr3.25178 transcript:KYUSt_chr3.25178 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALLSAKPGLTLRAGPRLPLPRLRATRTSLSPTSRPAALQPPLLASRAPSSHDAVLGYGLLKRRPTPTPTISCSAAAAAAQPEPKKFLGVGLPTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLAYSKLSDVLSREALFYTVIFPFIAFFGLFGFVLYPLRDLIHPTALADRLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTMAPGVDGWEVSLKGMMGIVVLLGLVISSIYWAVNKLVLNDPSLPKSDHKKKKKKPKLSMKESMKVLVSSKYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRIIFQKFGWGVAAMITPTVLLVTGVGFFSLLLFGQPLTPMLATMGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDGDMKLKGKAAIDVVCNPLGKSGGALIQQFLILIFGSLANSTPYLGGILLVIVLAWLGAARSLDKQFSSLAKEDLRKEMSEKEKAETVPLKEPEAATDVLVEQPNGTTGTES >cds.KYUSt_chr5.42546 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268073654:268075621:1 gene:KYUSg_chr5.42546 transcript:KYUSt_chr5.42546 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQVTQEQERITSPPMASLLCPPYKAVMYDQYGEPDKVLRLADVGPVELGARDVCVKMLAAPINPSDINSIEGVYPNKPTVPGAVGGIEGVGQVQAVGPAVTASLSPGDWVIAFPHTAMGSFSVFPRRALSLWSYSFSPSSLPLLRAGTWQTYAVKPQELWHKVRNDVPMEYAATVTVNPLSALVLLQCFTKLNPGACPLPTCHPSTSLYISKKLYMLIPSKLLISLGDTIVQNGATSIVGQCLIQLAKAQGIRTINIIRDRPGSEQAKHRLTQLGAHLVFTESQLRVKNVKSLLGTLPEPALGFDCVGGNAASLTMRVLRKGGTMVTYGGMSKEHVNVSTSSFIFKDLSFKGFWLWNWVNSDKVVDCKKMIDYLLDLVKEGKLTYQTELTPFRDFGLALDKAQGKLGAQPKQVVSFWDKAKL >cds.KYUSt_chr2.49107 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307346058:307355155:1 gene:KYUSg_chr2.49107 transcript:KYUSt_chr2.49107 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQRIPFFIDNIIRFHVGAGIPGVAPHYTPPPSTFDVLLDSYWSDKPWFLTEGKLAARAERRLRALERCLEAIDGVQPVVARPHPGTRCPVAPDAIQWRGHRLRRLRRPPARSAPTDPVERFALTLHPKRGGTRFGAAALGSVRRLEQRGRDGGGAWASRGDPRVESSPWRFALTLYLDARRRLVRHSGRSSEAEKAAGARAREKRGGEQQSGGGGGSVNVLVEDGE >cds.KYUSt_chr1.33385 pep primary_assembly:MPB_Lper_Kyuss_1697:1:202928452:202931680:-1 gene:KYUSg_chr1.33385 transcript:KYUSt_chr1.33385 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGASLTEQSFASGGWGAALADHFARQADVVLRGLSGYNTRWALKVLGRAMEGAAAGGADPAAVTVLLGSNDASLPDRAEPHLHVPLAEYQDNLRAICAHLKNKWPSAAIILITPPPIYEAARIRHIYGDNDPSRQPERTNEAAGTYAQACIAVAKELDQPFVDIWTHMQQFPDWQTSALCDGLHFTPFGNKILFDEVLKTLESIGFNKQSLPSDLPLYHEIDPKDPLKFVASAKPWMVKHAFGFSYVTWRGAKWKCFRRNEAVNLFSWKVGGSGLWVQGPWEYKPVARKRIGRARLG >cds.KYUSt_chr1.29331 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177505134:177507737:-1 gene:KYUSg_chr1.29331 transcript:KYUSt_chr1.29331 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEGAGTRRRLAGASPATPASPLEVDDLLRQILLRLPPRPSSLPRASAVCTRWRGLVADPKFLRLFRAHHREPPLLGIIEHGYQGVQFTPILDPPDRIPPERFHLGPCSRGTDVLDCRHGLVLVNNRASARKEVLVCDPIAGEQRHVAIPPELDRYILNGAVLCSASEQGHVHGSCHSSPFKVVLVGKGRNDLMACVYSSHAGVWGNLITTAGRFHLFAHHVPATLIGNALYWLPFTDSIVEFDLDGHNLAVIGGPPVTCDLQDGSSHIIQSEDGVVGLAIFSYTCLQMWHRNVDRHGVATWVLWKTIETQNILGRPRTKADGAIMGYSQDTDDLFIYLEPDAYMLHLKSMQSKILPGSYEPIFR >cds.KYUSt_chr7.22155 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137157590:137160163:-1 gene:KYUSg_chr7.22155 transcript:KYUSt_chr7.22155 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLQLPADDSVTLIVSHSNLTSFSSDVRVSQQTTVEALKEKLWKKTGTSVASMRLELRDETGSRVADLDRDAAPLAAYSPYDGYRLHIVDLDPSSVTSGGWLEDTSLVEKYTISDEAYDKLGTNFRKFKENMVSKNPVMDDKQSDNQMEELCANIKVGDRCEVEPGAKRGTVKFVGKAEALGRGFWVGVQYDEPLGKHDGMVKRVRFFECPQGHGAIVRPEKVKVGDFPERDPFEDEEEEI >cds.KYUSt_contig_686-1.719 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4260991:4262162:-1 gene:KYUSg_contig_686-1.719 transcript:KYUSt_contig_686-1.719 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALETAIEEVLVTLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGAGVSTADQRAQQRRARHPRPALLAATRAEEEDGEESGRRGRRQPARRGGGERAEDAAVAEAIAGSPKDLVPADNSLPIDAALEWSGRDPGAPEEEQQRRMLDPAAARQLAARAAAPSSSRNAAPREVIKLEESSDDDIYRPSPPRAGDAGQGTSRRYEAPPPQDDAGSSDDDDGGDYTTFYRHFGI >cds.KYUSt_chr4.22864 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143758595:143760330:-1 gene:KYUSg_chr4.22864 transcript:KYUSt_chr4.22864 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEQALWRACGMNPVSLESDVTTMLTCLHYILPGRPVVSHHGALSALLPPPHDGADRISDLPEDLLRNIVSRLPAKDGARTAALSCRWRGIWLSIPLVLVDADLLPAGRGSGLQVARADARRVASAITRILEAHQGPFHFVHLISCYMQETPGLLARWLQLLAVKGVRQLFLVNRPWPLDMALPATFFGMATLTRLYLGALGFPRTAGLPRAVAFPHLRELGLCCIAIQNRDMDFVLARTPVLEILCIQANILLKCLTIVSRSLRCVQIIEGIDLNIDVKDAPHLERLIIWTSSARDGLHRSLKIGHAPALSMLGYLEPARHVLEIGNTTIKAGMRASHSTIVPSIKILSLRVCFGIRYDDKMLPSFLRCFPNVERLHLESNEAHEPTGKLNTKCWKEAGPIECIQSNIKLMIFYAFRGERNELSFLKFILENARMLTKLVIVYCKGSFSSMTEANSKVKPLFAAKWASQDCVLQLFESALEVGDDKWLMNFETGSDFSTRDPFACTAALRGCNI >cds.KYUSt_chr6.31608 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199770341:199772725:-1 gene:KYUSg_chr6.31608 transcript:KYUSt_chr6.31608 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAGEIRWKGICMQAWHVVYLRDADDDDDDAGAATMPCTCNCNCHRYSISTRGVPPNPILSLSFCRCCVLLRAWGEQFRPSSTFDKKTTTTNAGQPVWNDNEALTVGPRGPILLEDYHLLEKIAHFARERIPERVVHARGASAKGFFECTHDVTGLTCADFLRAPGARTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPKSHVQEYWRVFDFLSHLPESLHTFFFLFDDVGIPTDYRHMDGFGVNTYTFVTRDGKSRYVKFHWKPTCGVSCLMDDEATLVGGKNHSHATQDLYDSIDAGNFPEWKLFVQVIDPDEEDKFDFDPLDDTKTWPEDLVPLQPVGRLVLDRNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCGFKNNHYDGAMNFMHRDEEVDYYPSRHAPLRQADPPSFPVPTRPIVGKREKTRIKKENDFVQPGERYRSWAPDRQDRFCKRFADALGHPKVSHELRIIWINFLRQCDESCGMKVANRLNVKPSM >cds.KYUSt_chr4.14004 pep primary_assembly:MPB_Lper_Kyuss_1697:4:86197350:86198426:-1 gene:KYUSg_chr4.14004 transcript:KYUSt_chr4.14004 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALATMALAARTQTENRIYVNSEDVASEESLRGLYERWYRLYRPGGDPANKAKRYAIFKAEVRAVYELNEGDTRVKHHLNWFADMSQGEYAEYFANCSPREIQPFGDIPAMNHHQPSRYYPDKLPLTVDWRTVDGVLTSVKQQGNCGSCWAIAAAEAMESVHFLKNKQRTNLSAQELVDCTPNNEGCKGGFASRAFQYVIDRRGIHSSEQYPYVGKAQYCDPPSVSPVMSIKKFYFVQRRNEKMLMDAVAHNPVVVLIVGAENMHFKHYSGGIYRGPCGMDKKHEALLVGYGTTPSDDSNDPGAKYWIVKNSWGDSWGEKGYMRLARGHQAYGGLCGIMLDPKYPSHPKRLDHAYA >cds.KYUSt_chr6.16080 pep primary_assembly:MPB_Lper_Kyuss_1697:6:101171678:101173220:-1 gene:KYUSg_chr6.16080 transcript:KYUSt_chr6.16080 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAVDGTESDGVCDAMDEVAGAGGGMQMGGAAFVLSWVGKASDVGIDSGARLTAISGAAHFGAAHVADRSNPDRTARTVSTSRLLRLVSVRAGPIRSRFTLSSPATSPSPPFPFLPSISPPLLFPPHIRQATTTTPPGAASQAMTTSALANLAGASPLPTFAPRPRSRPNSASPGPTGPAAPRRLAVAPPPRAFFSREPYQPQIPELAGYSPVQAGLVPMVVETTSRGERSYDIFSRLLKERIICMNGPIADETASLVVAQLLFLESENPLKPISLYINSPGGVVTAGLAIYDTMQYIRCPVNTICIGQAASMGSLLLAAGARGERRALPNARIMIHQPSGGAQGQATDIAIQAKEILKLRDRLNKIYLKHTGQKIEKIEQCMERDLFMDPEEALAWGLIDEVIESRPASLMPEGLSGVDSPNIGGSGGGGSGRGREMEEPSAV >cds.KYUSt_chr6.32752 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206385089:206391580:1 gene:KYUSg_chr6.32752 transcript:KYUSt_chr6.32752 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWLVCQQGTVRVSWTMSRQQQHAPLRASANGAPHRKLDGRQDSKSHLMRSSSGGFASAENGGKLGLTTPSRDRLVYVMTQFTGHHVDVHVKNGSVISGIFHATNTDKDFGIVLKMAQLIKDTSMGGQSNPADVLRKPDTMIIPGRDLVQVFAKDVTLAGDELPKGPVHDKRKDLMIDSAISRSHFPEERELERWAPDEGDSDCIELEKFDRKGHRSWDQFETNAALFGVKSTFNEDLYTTKLERGPHMRELEKHASRIAREIEGEDTEDLHLAEERGIYVDEDLEQDEEIKYSAVRRDTDTSKLKSFMNVPSSAHPFDPLTRPGSIDTKDLQDEESSSLIFGGTHLPVTAATSVPASEYQSNKPFPADANRLDDKRSKDSSSDKDNRNLRPENTLPEGGRPLVSEDPEGASSRSRASEPSSSGQGDKSPDGLAPDSAVPSKLHSAPEYVNSSQIHGSSTSSTSERVAANSAASAPGLSPSSSMGSLSSDKSTLNPNAKEFKLNPNAKAFTPLTSPLRPPNPPAPDSTYYYPNSMPTTPGPGLPVGMGAYGVQPMVYNAQPGPPPPPQGYMHPSGQQAVVADLPSL >cds.KYUSt_chr4.2615 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14647807:14648850:-1 gene:KYUSg_chr4.2615 transcript:KYUSt_chr4.2615 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLSAPAESGPRLAGDRGAPGCMLDAGDRRQLASDPMRCLDRGAFCRSPRGKCGPFSLDPLRRGEGEVEERVTRGQMGTGDAEIRRRCSGGGPALVSKAAGHATAILDVPGPTTPVVTCGKEIEDDWEEGECRSGGFQLVKDPTLTPLSGLDRADPVQNCQLSNSGILHTPLQQVWTSPEVSVGPVDGGSPRSVLDYFQVSVSPTDKELRVGPAVVGPAVVEAASSEMGQDPLCFRDSCRRPISPVLSRPVRQRRKKVYTGPVRRSGRISKRFAAGTPIRQHQRALITRLGIAWEGDIIGDEALGAYLDLFTRPFRQQHLDIVLRLFGWTTDDLQTVSDAPVDCLT >cds.KYUSt_chr3.38363 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241570726:241572954:1 gene:KYUSg_chr3.38363 transcript:KYUSt_chr3.38363 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLPLRLLSSRTPALHAASFLPARASLSFAASAPRHWRILASAEEAPAPVDSEVDVLEEPAEEVAEDAAVPEPVEVQLAAAGAGKDADIFAVVMVGLLALSFTALQSFNSGPDKKMEKNKSSAFLSCITCTRAVSCGAIGSRQYIVMPGRYIYTQRLKDANVNDQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGLDDKVIIFKFKKKKKYQRKAGHRQPNTRLRITGISGYEEYPADPILQVPA >cds.KYUSt_chr2.35390 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218479737:218486309:1 gene:KYUSg_chr2.35390 transcript:KYUSt_chr2.35390 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGRPPAAQKILQSLRPPPAFSAPSRPPFASPDDYHRFHGPATPAATGSGSGGIGAGGVGADIEEGLVIRTPLKRKATCEENKAAESSDCMIVTPGVTGNPLLTPVSGKTVKTSKSKAKNNKAVPQAPIPNAGSPLNPSTPAGTCRYDSSLGLLTKKFINLLKTAEGGVLDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLNDSGVELDNGLLALQTEVENLSLQEQALDERISDMRGKLRGLTEDENSQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFDEGFEDLAGGATPPMHTNVQNHRSCEDSRTTNAAQSSKSMYVEQNVQYSQNTPQDPSCSNDFGGMTRIIPSDVNWDTDVFLPEDVSTPRAQNQHPLTVDTICKIVALVILVAIDLKLHRRSSAFDKLLEHIEAQQYIDTIG >cds.KYUSt_chr1.26536 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159955274:159956221:-1 gene:KYUSg_chr1.26536 transcript:KYUSt_chr1.26536 gene_biotype:protein_coding transcript_biotype:protein_coding MCHTDREKPDDTRMTLPGDGAPPAHGGGGRIKGSWSPEEDELLRDAVSRHGARNWSVIGAEIPGRSGKSCRLRWCNQLSPGVHRRAFTPDEDALIVAAHARLGNRWASIAKLLVGRTDNSVKNHWNSTLRRNRRAAANAANNHNGSGAFSAAAGNAFAAVPFPPPANPAVSFQSSLNLTQDHDDDDDEDEGGEDSEGSVAPLPHAKRQCVGSPIADKPDPPTSLSLGLPGATTVIPVTQAPPAPTPPLPPPPPPPPQDEWVKSERARLERNPWLKAAMRQMVAEEVQRHMGTVYSSVVASLTGADLPTARIDPDK >cds.KYUSt_chr2.30095 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185352261:185355950:1 gene:KYUSg_chr2.30095 transcript:KYUSt_chr2.30095 gene_biotype:protein_coding transcript_biotype:protein_coding METVERRGQRSFAKPVKPSYRRSKSDLGEKNAKDALQKAPNQQKLIVQLERHLDDQQTVRGALEKALGPDPAPVTLSNESPILKPANQLIREVATLELEIKHLEQYLLTLYRKAFEQAPSLPSSGVHGEAPAPPKPSVSSRSAALVEETPKAKATVGRGGDAMLHYSCPPLSKRRNGTVDDSSPSTCPRKTMDSDHGLRSQSALSFRGVCSSRISPSEESLARALRSCHSQPFSFLEEGETATTSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHRPSSSPTSSFSSTSVVSPQYLGDMWSPNCRREATLDSRLINPFHVEGLKEFSGPYNTMVEVPSISRDRRRLSEAEDLLQTYKLILHRLETVDLRRMANEERLSFWINIHNALLMHAYLKHGIPQNHLKKTSLLVKAECRIAGRTINAAAIQGLVLGCSTHSPGQWLRTLLHPRIKSRVSKGGGQWQPFAINRPEPLLRFALCSGSHSDPAVRVYTPKRLFQQLEAAKEEYIRATVGVRPPEHQHRHRGGRVVLPKLVEAYARDMGLSPERLLDAAQRCLPESVRAAVQRCRQQQQTAAVVEWAPHRQSFRYLLARDVAFPHLS >cds.KYUSt_chr2.50350 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314780419:314780712:-1 gene:KYUSg_chr2.50350 transcript:KYUSt_chr2.50350 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACSFFFDAEPLGEPAMPAQDACALCTKQLARDSDVFMYRGDTPFCSEDCRHHQMRLDARQATKAAARRQKQFSSGTVSGRGNRESREVPVAS >cds.KYUSt_chr3.38612 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243211621:243220610:1 gene:KYUSg_chr3.38612 transcript:KYUSt_chr3.38612 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFNVLLDSYWTNSSRSNSVSLICAHIHQTCRSRGFTSTARALNLGTSPRAVYQSHIRIPSTSIHEPPFFSYPVAYAVTIPRHLAPTVGPAAAPTGVHLDGTLLIIVSTVAFAAAGIAALFGHGSTFFTTAVALAAASFLTIFVVAAVDVAATFSVDAAAGFSLAVFPWPTPRELPHARLAAAASVASSSSSPPTSPTKPGGLAPGKSPHARLAAAAPSPCPCCATSASAVLLRTRCSKQQAGHPWQTCRSRGFTSTARALNLGTSPRALYQSRIRIPSTSIHEPPFFSYPVAYAVTIPRHLAPTVGPAAAPTGVHLDGTLLIIVSTVAFAAAGIAALFGHGSTFFSTTAALAAASFLTIFVVAAVDAAATFSVDAAAGFSLAVFPWPTPRELPHARLAAAASVASSSSSPPTSPTKPGGLAPGKSPHARLAAAAPSPCPRCATSASAVLLRTRCSKQQAGHPWQGRPNCDARRRVLRLLPARATATSNSPNTPAAGHVQLRLVPAGHAGSASAGSSSLAPYATALLDSHALTTSSVARDRTRLHHHAPAASSRRSNRNSGSIFRCARAGSRRSRRCVASTWPASANVDLAMAGSRLP >cds.KYUSt_chr1.39913 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244355901:244356806:1 gene:KYUSg_chr1.39913 transcript:KYUSt_chr1.39913 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLFLLAFLLALTSWQATAYDPSPLQDFCIADMKSPVRVNGFACKDPMTATPDDFFNPAMLDQARDTKASKVRSNVTNINVINFPGLNTLGISLARIDYGPLGVNTPHIHPRATELLTVLEGTLYLGFVTSNPNRLFSKIVKKGDVFVFPKAMIHFQMNLAHDKPAAALSSLSSQNPGVISIANAVFGSKPPISDDVLATAFQVEKDLIHWLQSQFWENNNY >cds.KYUSt_chr4.18916 pep primary_assembly:MPB_Lper_Kyuss_1697:4:118759534:118762289:1 gene:KYUSg_chr4.18916 transcript:KYUSt_chr4.18916 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALEGMPPTGRKHWCKHCKKSFPSGMSLGGHMAVHRNRRKKQRSGTTSTDSVGERYGLRERCLKSSCLADSTSSDDDPWALFPKTECQLCFKSFASRDALSIHMRVHMRRRNKMVVERNVSLGDGDPNAAVFAAPVRKKRSKRIALDTVPAAAMKTDGIEEVDAAHILVMLSGDHGMCSDFANCDEDFEMDGNVPYDPPMMELSSSDHHGLTGEDNELMEPETSTGSYEEVKFLSLSEVFKATTRYECKLCGKVCASARSLGGHMKGHRGIRKSAASQPRKQLLEQDRKLFVLSHAAPSIWNYRRARTKSELDPSWVESSLPGEGMLGVV >cds.KYUSt_chr3.2178 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12602679:12603801:-1 gene:KYUSg_chr3.2178 transcript:KYUSt_chr3.2178 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAAITNPTPATTAAHLVLTPAPPPPPTSSALPTPIPPTVWSLSPADPTLATAASSLAASLSASSLTAPRFRALLASFLAALSHSLSLPPPSPNLPLAVRAAAPYLPTTLAALVASTASHLSDHAVAADLRASELLAALRCFLSPASDAAYDAMAAVKGRWKDAALLAVSKCKDKTTGKKENAAARQAALLLMMGHDGFTSPEVCLHYLFASRTADCVDSVVLAAAVAELDGAEVAALLSYLSKWVGKYWRFPVARACPETASLPGLEQCASVPSLGAVTRAMGLVLDQHFSHLVLNAELPKELCAAEVMVRELAVEAESSGPILDLLHRMQQAV >cds.KYUSt_chr1.33573 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204013339:204020227:1 gene:KYUSg_chr1.33573 transcript:KYUSt_chr1.33573 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGAMAAAVKVEERDGARAEDRLTLASPTPSSASEGGSYGALARMSPFGFGSSPAEAMSGRRRTSGPVRRAKGGWTPQEDETLRNAVNAFKGKNWKKIAESFPDRTEVQCLHRWQKVLDPELIKGPWTQEEDDAIIQKVKELGPTKWSIIARSLHGRIGKQCRERWHNHLDPQIKKEAWTVEEERVLVKAHRLHGNKWAEIAKLLPGRTDNSIKNHWNSSVKKRLDDYNTGALPAPVHVIHNDVKQVCSLKNIKGCSDFLSLSLPTAEPVTSREASVADDSAVALAIMGLKMVSGHDKDMELNFVSEKGLEMNLLNEKVLETDHLTNKLEHSGPAKSEGGRAFFGSLCYQIPMLEDTDLVHSPVFSKYYGPEHHEDKFQTPSGYATPSPTNKIKSDQLSVESILKSAAEKFPGTPSILRRKRREKPTPAQDGDFKIDMNSDTFDTPLGICSTDSPHSYKTAAFLSLGHLDDQRLPAVLGKFDVSPAYRPRSKRMAVLKTIEKHLDFSSDAMDICDTAEIMKSACLNSESINASTDISSMQNKKMEGHIIGLETLTSDFAHTTKLDAI >cds.KYUSt_chr4.8595 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51612595:51613761:1 gene:KYUSg_chr4.8595 transcript:KYUSt_chr4.8595 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRQWTPKFVVRPRGPRGDSGRRRQTPDPVPENLDSSPPRSGESGDGDSGSGHRLHQIWLGMVVLAREARRRRIHGGGGSRHGRLCGRDFASVSVKCDEGKDRRDFYASETYDRRTAEVQVTLYSHGFMTAELNHFAMMFAPRTVVGRDCVIHSADDTIDGTYGTINHITDCRDDTTNDKTGQDQRC >cds.KYUSt_chr1.36619 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223498384:223501691:1 gene:KYUSg_chr1.36619 transcript:KYUSt_chr1.36619 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRRNPDGNGSRRKHEEPWLAAGIRPANFLPGLAIGFLLGLLLDLSSSWRPRFSLGPAPPASASRGSKRSGGSSAAPGEELKMMQQLDFMRSCWQGANAVSDLTSLGNIVVLLGTATPEHSSMVQLQSVPE >cds.KYUSt_contig_988.406 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2390807:2391424:1 gene:KYUSg_contig_988.406 transcript:KYUSt_contig_988.406 gene_biotype:protein_coding transcript_biotype:protein_coding MESYYINPPKASRFASSPFSPNETPKMAARLLIPILLVLLVVSHVALASIVKETCAKVENISLRKELEAVCVTTLQAAPGSATADTHGLAVIATNLTLVNYTAAVATIKDLQRHGGWTVGQQAALATCRERYTEGLNAMHRAIQALATMQKQAYEDNMIAAVRASTDCAAASVAADKEESPLRKVNADAEHLTVVAMVIFFLLYV >cds.KYUSt_chr5.40486 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255610006:255612843:-1 gene:KYUSg_chr5.40486 transcript:KYUSt_chr5.40486 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRNRRWHAKRYHGGSPNPPRASLPSYPGLPGKESSVWYHESDSVLIHTGYDHVDNQCPVPLWEREFCSYVGGISWPRFCENKYFSYIYKEIDQWDDSAAFENFQKAKSRFWSHYHGQPSDIPLPDPDLYIEKVDHRCEVDPELVADLEKVGLPFEADNESAIAANKKSQNQSGNWDIYVEKPAEVNKWEEDNSRSNTGWGVNPDPLNGWNKISSGWGDALVQPSWGSSGNNCAADNWNSSHGASNNHSAANNWSSSHGAPNNAYQDPSSTYQDPRSTYGRKRNGGGYSQQRNSRSRHQAEDYQRGRWQDHRGRNSERFPFDNRPNGQRAERGF >cds.KYUSt_chr5.8921 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56708761:56709126:1 gene:KYUSg_chr5.8921 transcript:KYUSt_chr5.8921 gene_biotype:protein_coding transcript_biotype:protein_coding MARADKHLLVYRRRTLEEREAAAAKRREEEEAAARLREEQQAAVTLNQLGECFRTTQVIQLPTDRPKPTPKPRRYHVSPNLEEKVKAGVMLCWLKKGMKKMKEALKIGRGKDKGQVYHRKG >cds.KYUSt_contig_1253.419 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2598847:2605927:1 gene:KYUSg_contig_1253.419 transcript:KYUSt_contig_1253.419 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSRGSSSRLRFLLAVLLFELVSAAAAITRDDFPEGFVFGAGSSAYQEDVRLMHEMGLDAYRFSISWSRLIPDGRGAVNPKGLEYYNNLIDELLKYGIQPHTTIYHFDLPQALQDEYNGLLSPRIIEDFTAFADVCFRSFGDRVKHWTTVNEPNIEPLGGYDVGYLPPRRCSSPFGFPGITCAEGNSTTEPYIVAHHLLLAHASMVSLYRRKYQAVQGGRIGITLLAWWYEPATTKPDDVAAAERVNDFTLGWFLHPLVYGDYPPVMKRNAGSKLPALTAEESARVCGSFDFVGVNQYGAIYVADDPSQLKHELRDYSADEATKYVTQPFESSRHQPFQEFKQRGLGLGNHDAPWALRKLLEHLQTKYANPPVVIYENGAGHEPDPSGGLLYDDEFRSRFLQVYIEAALQSIRNGSDVRGYFVWSFMDVFEYIFAYQFQFGLIGVDYSTEERTRDLVKRGALWRIGNGQSINIKTDYWIPGTVPCTLHTLTPICDSLKVASLFSAHGRFWDEGRVRALFAEDVAEQILQLPISRHDDEDFISWPHTKHGGYIFRSAYNFAQHESAQTERIGKGRGMSSDNGAVEKSWKALWAIKAHGKMHITLWRFAHNCLPSGQQLLRRRVPYSPSCIHCSVDETVEHVLLFCPFARAVWDGVKERFGI >cds.KYUSt_chr2.33069 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204037672:204038157:1 gene:KYUSg_chr2.33069 transcript:KYUSt_chr2.33069 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGTQRWEPTPPKLESLRAGRSALQRLLLPRGRPVVAARGWRGRPSAMKSGDRGAVIVLQWRGCLSAIEYGDNGVVENLREEADELPCCRSDGQAEAAIKNSFLSSRRRGRRSSLLLHLQPPDPPKPALFMEILISLRRHTFGSDRRSSMRSRKKTLQC >cds.KYUSt_chr3.33577 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210820306:210820716:-1 gene:KYUSg_chr3.33577 transcript:KYUSt_chr3.33577 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr1.35016 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213341102:213341820:-1 gene:KYUSg_chr1.35016 transcript:KYUSt_chr1.35016 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKKLMKVGPWGGAGGNPWDDGGHTGIRSITLSYDSRCIDSISVEYDRNGIAVPGERHGGTTGSLTTQIKLSFPDEHLTAVSGRYGPVAPGGSPVIRSLSLRTERAAYGPFGAAAGEGMPFEFAVEGGVVVGFCGRSGWQLDAVGMYVAALRPERVYDKVQKMGIMAYRSVMQRLGPAPAAQHQQDELEEQGRVQHQNGSVVQTNRKTY >cds.KYUSt_chr2.13503 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85589072:85593382:-1 gene:KYUSg_chr2.13503 transcript:KYUSt_chr2.13503 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSENIQFSWGNKRAKGGAKMDTQFYGSFTFDNVKYSLYDSVYLFKNGDPEPYIGKILKIWQQKQAKKVKILWFFSPDEIRSYLSGPVAEKEIFLASGDGTGLADINPLEAIAGKCTVVCLSKDERNRQPTPREQEVADYIFYRFFDVGNCTLSDKVPEKIGGLEVNSLLNPKDEQVTCYPDQDTQGVDQKLGAGLVAPLPQSAVEMEDENPVAAVTPPQSGAIPLPPAVKEEDKAKVAAVPLPSAVKEGVPKPTQDIPKRTQQDLSEKMPSKKLKLSQDLTGLSVAPVPDVKVHPGQAVELIREALQLTCRARPINHPTYDDPNNGKAYAVFKSKKAADVAISKINSGLVVGGRPIYCSKGLLKIKKPSGALVGHLTISNQKRSRRQREDQEKAVSTSHCSQPNTIEYDLALDWMLVREKQARKFSILHKKHADERKSFAAKIGK >cds.KYUSt_chr1.41006 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251484238:251486926:1 gene:KYUSg_chr1.41006 transcript:KYUSt_chr1.41006 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSSPSPTLGSIASRWRELQGSGSWEGLLDPLDPDLRASILAYGELTEATYDGFNSQRRSPHAGSCIYGHADLLARAGVSRPGLYAVTKFFYATCGLLLPKITMPPPLLSSTSVPDAFFVLPLPALLEEPWSRESNWMGYVAVATDEGVAALGRRDILVAWRGTVESLEWVNDLDFTPTSAAPVLGPAAEDNGGAVVHHGFLSVYMTSDEDSKYNQASARDQVIEEIRRLMEVHKDEATSITVTGHSLGGSLATLNAVDMVAHGVNVPPPSTSNQPSCPVTAILFASPHVGDDKFRSAFASFPDLRALHVRNYGDVVPLYPPIGYVDSATAVLPIDTGRSPYLKQPGTVQTRHNLECYLHGIAGHQSSAGGFRLVVDRDVALVNKGADALKDEYPVPPNWHVVNNKCMVKGPDGHWKLRDFEET >cds.KYUSt_chr3.40842 pep primary_assembly:MPB_Lper_Kyuss_1697:3:257754721:257758172:-1 gene:KYUSg_chr3.40842 transcript:KYUSt_chr3.40842 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAGSGIGGMPGAPQNILDAGAQEYYPAIAAAYPFPPLQHQQLCCPPVTYPVMPPPAPMQMPMPMAMPMPMPPPQTVAISQQFGIPMPAATATASVDGPPSRAVVLSLLPPHTPEAEVARAMAPFGDVRAVDSLALASEGVATVHFFDLRAAERAVTAVREQHMRRQCLLSQHYAAMGAWPPQQQPPPPPVDWPQDDGLGLGLVLGQAVWANFAAGCSLPDGGPNGGSLIVLNCLPDVSLSELRQAFQAYGDLKDVREPAHRSSHKFLDFFDSRDAARALAELNGRDFFGHRLLLEYTRPSIPGVRGRAHVPQRPMAPTPPRLQSTWRPLPAPAKPPPPAPAPPSSGAGKAREGVVLLRRSSPKSSASDPSKGGNNAGTSQERKGKRGKNITIVVNATSASPSESPSPALASGKQPCTKAVGRSGSWRGPKGWRHGWETRYEFKQPDADNNVATAGAATDSSTQEPETRTTVMIRNIPNKYSQKLLLNMLDNHCIEYNKKIEAGGGEGEFEGQPFSSYDFLYLPIDFSNKCNVGYGFVNLTSPEAAVRLYKAFHKQPWEVYNSRKICQVTYARLQGLEALKEHFKNSKFPCDNDEYLPVVFSPPRDGRQLTEPELLVPRTPVSSSSSSLPANVDPLALELMAPPSSSGDGASSTMSTHADEDVRGGDGSNDDDDDGLGEELQRLGYTD >cds.KYUSt_chr6.33889 pep primary_assembly:MPB_Lper_Kyuss_1697:6:212367000:212369097:1 gene:KYUSg_chr6.33889 transcript:KYUSt_chr6.33889 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLCPAAASSSSLLFLRLRLGGARGMARRPGGDGYSPARSGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEAASKQQMIDCYIHTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEAELFVNGEIVQRSPERQRRVEPVPQRASDRPRYNDRTRYARQRENQQR >cds.KYUSt_chr7.33093 pep primary_assembly:MPB_Lper_Kyuss_1697:7:206559340:206564641:1 gene:KYUSg_chr7.33093 transcript:KYUSt_chr7.33093 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPTGKEPKKWRGVRAFVELALAVVCFLDFDDSLEDARRCRPHRQPCCHRHARQVDIGIGISVVCTRWSELAVDIFLRNRVAVKSTGAIHQKIVGDALKHTQAVGCPTSPRTGSPRSGCSLAPLLHQRDREAYHDQAAAHDSVSLLPMDKDVISWVFLMLLKAANNETKKNGKCHYVLHDSAFAWSKRMIVKLSVMVVEPAREILTNETTTTVKKHAKDASVAADASVELIMTRNLLMVEKIVTCIKQELLSTEEDMTSALN >cds.KYUSt_chr7.21202 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131324907:131326041:-1 gene:KYUSg_chr7.21202 transcript:KYUSt_chr7.21202 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGHTTLVIAHRLSTIRKADLVAVLQAGAVSEMGPHDDLMARGDSGAYAKLIRMQEQAHEPALIAKYCYLLIGMSSAALLFNTVQHLFWDTVGENLTKRVREKMLAVVLRNEMAWFDMEANASAHIAARLALDAQNVRSTIGDRISVIVQNPSEERGAAHHQQRRPRQAHERGDGGENGGVAEEEREGDAGGDRDARGGAGPRSTSRRTPCGRWAAVGRERRRRYLHRRRGLRRRRRAASAAAGCVGGGGCVGGGVGAWAAWVRHAASGGSLVCFVARGVGFGDFSVAAKLKRGERTFSTDW >cds.KYUSt_chr2.4374 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26986414:26989310:1 gene:KYUSg_chr2.4374 transcript:KYUSt_chr2.4374 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYTGSHDIISLRNSYHGNASGTMGATAQKNWKFNVVQSGVHHAVNPDPYRGAFGSDAENTASSSLVSGFSKSGSKDFIGPSLQDHQGVGGIVEVAPGYLPLAYDTVRKAGGLCIADEVQAGFARVGSHFWGFETHGVIPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRCYFNTFGGNPLCTAGGLAVLKVLEKERLQENAFIVGSYLKDRLRGLQEKHDIIGDVRGTGFMLGVELVTDRQLKTPAKDEICHAMEHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDADFFVDVMDIALSKL >cds.KYUSt_chr7.38156 pep primary_assembly:MPB_Lper_Kyuss_1697:7:237916590:237917730:-1 gene:KYUSg_chr7.38156 transcript:KYUSt_chr7.38156 gene_biotype:protein_coding transcript_biotype:protein_coding MPILGSSAFIARRSQLLDSTILFFFLRISIPAAAARLLAMDNRRKNTADGKRPLAASSSSEQEAVKSCDVCHGPLKPPVYQCSRRRLVACSDCGAGQCRSSADFAEEAINVVSQHLGYFKVACPYKQYGCASSVASRDAATHAAVCAHAPCACPQCAFLGSPAQLVRHVADTACPHAWPVHGIEYGRFLVLDVRVPQEPRQDKHLLVAEEDGGVFLLAVSGHGHFGRVGVVCLRGNADAGPVYRSTITVTCPPSEQAITSVVWCCSVPAEFDVDLLPPSLFVENGSELGQLRIRIRCWPRPGSTLADLIPNTAPAAECFPTTLTMSFRRDVTHVREYFLSAAMHTFRQAEPS >cds.KYUSt_chr4.28196 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177149334:177151300:-1 gene:KYUSg_chr4.28196 transcript:KYUSt_chr4.28196 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFHPAKTLSFFLLLSLLFLARSDSPPATPVPPFTACNETTDPTFCRSVLPANGTNNLYTYGRFSAAKSLTNANRFLGLVNRYLARRSGLSAGAVAALQDCQLLSGLNIDFLAAAGATLNTTNTLLDPQAEDVQTLLSAILTNQQTCADGLQATASAWSVRNGLAVPMANSTKLYSISLSLFTRAWVPPRHKGKKPSTKPPRHGRGLFDATDDEIVRRMAVEGTAAAVSVVRAVTVDPSGAGNYTTVGAAVAAAPTNLAATSGYFVIYVAAGVYEENVVVPKNKKYVMMIGDGIGQTVITGNRSVVDGWTTFNSATFAVLGQGFVAVNMTFRNTAGPAKHQAVALRCGADLSTFYQCSFEGYQDTLYTHSLRQFYRACDIYGTVDYVFGNAAVVFQDCTLHNRLPMAGQSNTVTAQGRSDPNQNTGTIIQGCSIVAAPELAANSAFATTNYLGRPWKLYSRTVIMQSFLAGIVDPVGWMPWDGDFALSTLYYAEYDNSGPGSDTSRRVNWPGYHMLNSTVDAANFTVGNMVLGDFWLPQTGVPFTTGLI >cds.KYUSt_chr4.13949 pep primary_assembly:MPB_Lper_Kyuss_1697:4:85845282:85847188:-1 gene:KYUSg_chr4.13949 transcript:KYUSt_chr4.13949 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSVGAALSEFGAGSPATGPPSPTGAAGFEALPRLTSRRIWVVDVVGSLLRRPDADTRRRARSLRSKSGGAGDADDGTGLLGRGDQWSLFINLPVLEAATAGLSNCNLLGGGGFGPVYKASSDCSSPPLPLSCALRLTSEHLVAQGVLESGQEIALKKLSLELRQVASSMYVVNEEEEQILQMENTLDYVCSQLSDYKEKLIALDIAHGKRLLQTILVHANIVCS >cds.KYUSt_chr3.31021 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194615071:194617062:-1 gene:KYUSg_chr3.31021 transcript:KYUSt_chr3.31021 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNTPPHLPPSHFNALIASRARAGRAADAFSLLARMLAAGVAPTAFTFAPILSASPSLSPRRAAQLHPHVLKRGLLHSDPYSGTALLGFLARHGRFREALDLFVEMPARSVVTWNCLVSSFVQYDRLQDAVFWFRELVRSGDGLSEGSLLAVLPAFGSPQLVHGLAMKIALDSFSPVANSLLNSYCTCGAVCVAEKLFNGLTFRDVVSWNTMITAFAKSNLPERALELFSMMEGQGAFPSETTFSSLLHACTSLKGQHHGKIVHAKSIKHNLNTTVFVSTSLVDFYTKCVGRRDARQVLEEMHNKSTACWNALIYAKSDGDVPTLFVVLRDMLRSGISPNEFTFSSLLKDPSLLDLWQIHSLVTRLGYNGNDYVSSAIISSYVSHGYVSDALAYGVTLDPDYCNVSMNVLAGACNKAHMYQETKEILLHQQSRDNISWSILINACARNGDYAEAFGFFKQMRISGHHFDNYISVSLLSICTKINSLVLGRLVHGLNIKTGSGCSDTYVCNMLLDMYAKCGRIEDCLKAFDEMEDRNIISWTAVISGLALNGFSRKALAWFKAMEEAGFKPDKVAILAVLSACRHGGLVQEGMEIFKRIEAEYSTEADMEHYICVVDMLCKCGDLEKAGAVIRGMPFRPSTILWRTFLQGCNKYGMLDTRSV >cds.KYUSt_chr6.9038 pep primary_assembly:MPB_Lper_Kyuss_1697:6:55825080:55830771:1 gene:KYUSg_chr6.9038 transcript:KYUSt_chr6.9038 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLAAAAACATLLVFLAPAVSGDGATLESVPDLVKAMYVDIESFPCVRLLNLSGEIGCSSEYLGLPLCYPGHGQIIAPVVRFNSIDQLVQPSAVLLPLDQMSDFFLRVSKDPELYHMVAGVLVESNGVHSNLLELSPDRKFPQEEFAPYSNVSHDWNSAGSGIMWNRYAFPAFLLSEESTQTLRKIADKNEKSNNGYQPNVAEFDLVMQTTKAETHDSESCLKAQSCLPLGGQSVWASLPAMSNASMKDQKPIIMVVASQDSASFFRDRSLGADSPISGLIALLTAVDALSHLHDLSKLKKQLVFAAFDGEAWGYLGSRKFLQEIDEGDDSVNGINSSMIEQVLEIGSVGKGISQGHPLFYAHSSRNSSISKKMLDGLQSGSDSLGSDNVKVKPAATSNPGVPPSSLMAFMRKNTSTSGVVLEDFDSRFSNKFYHSYLDNPANINSSSIAAAAALVARSLYILATADMPVDLMTLNTIRVNVTLVEELIGCLLTCDPGLSCGITKSFISTSSSCPSHYVGVYQDSASSTQFPSHADDTSRFIWNFLADRTGTLAGNISSCTGKCSDENEVCVGAEVEGGGRCVVSTTRYVPAYSTRLKFEDNVWHVLPANSSDPMGAADPVWTESYWNTISLRVYAVQSMTSDWLVLLAGLVVTAGSYLGMLLEMYLDQVRCVYTGQVDDCLVPIACDGEVDEYYLYAYHPSVLVVHVCLCDFMDLSNYCTYPIDEIMRWFT >cds.KYUSt_chr2.52396 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326941051:326941254:-1 gene:KYUSg_chr2.52396 transcript:KYUSt_chr2.52396 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFGALAPKTNLVVAGGLTGFVFGVYYYTMRAVGGTDELQVAIDKFEDLKMKDDVAAVNPSAPGSS >cds.KYUSt_chr6.32573 pep primary_assembly:MPB_Lper_Kyuss_1697:6:205421409:205422003:-1 gene:KYUSg_chr6.32573 transcript:KYUSt_chr6.32573 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKSALEVDEIRVDKETMEMQTNPPRDLCEVHDVAELLALASRQCRRHGLEAAAERELKASVLALILEVEREIVPGRDRLEAIREEVAINDPASCSEEIETRELFLNRGDPRGEDSS >cds.KYUSt_chr6.11967 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74497394:74499185:-1 gene:KYUSg_chr6.11967 transcript:KYUSt_chr6.11967 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSRRRPSMMASTGGWKEERRDEGIEEGRDGDGICHPFLLATRKLARYGPADEGSSTGQSSREPRLSMAESEEEDDLVPTRSPTISTEDYVHGSDEGETVLAQAKAISDA >cds.KYUSt_chr5.6290 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38880998:38881342:1 gene:KYUSg_chr5.6290 transcript:KYUSt_chr5.6290 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAALAALFVVAMCAAPVEFTVEKGSDEKNLALSIKYNKEGDSMAEVELKEHGSNEWLALKKNGDGVWEIKSEKPLKGPFNFRFVSEKGMRNVFDDVVPADFKVGTTYKPEE >cds.KYUSt_chr2.31035 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191375097:191382052:1 gene:KYUSg_chr2.31035 transcript:KYUSt_chr2.31035 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVSTGGKDYPGRLTLFVFFTCVVAATGGLIFGYDIGISGGVTSMNPFLEKFFPEVYRKKQGSNSTNQYCKYDNQLLQTFTSSLYLAALVSSFFAATVTRVVGRKWSMFAGGFTFLVGAALNGAAQDIAMLIIGRILLGVGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAAALINYGTNKIKAGYGWRISLALAAVPAGIITIGSLFLPDTPNSLIERGHPEAARRMLNRIRGNDVDISEEYADLVVASEESKLVQHPWRNILDRKYRPQLTMAIMIPFFQQLTGINVIMFYAPVLFETLGFKGDASLMSAVITGLVNVFATLVSVFTVDKLGRRKLFLQGGMQMLVSQLVVGTLIAVKFGTSGVGDMPKGYAAAVVLFICVYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMLFTFVIAQAFLTMLCHLKFGLFYFFAGWVVIMTVFIALFLPETKGVPIEEMVLVWKGHWFWNRFIGDHDVHVGATNGKATA >cds.KYUSt_chr6.7355 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44703953:44705683:1 gene:KYUSg_chr6.7355 transcript:KYUSt_chr6.7355 gene_biotype:protein_coding transcript_biotype:protein_coding MADQERDDIPMLLRNVELPKFPRSTSMCMPMRDEDYEEDTYVPHTGPLSSQPPAQAAAAAGAGNPFVGRHTPDRPPRHPQVKPVSKPQAVMPEEAGGNRWSHGGDGPKNEHLMMSGPLGQCDNPDCVNCPPACKNKRFFQRAPHHFDNKFHNILYAHQRGWKKSIERFLSYIPIMNPHAKVVQQWNQFFVISCLVAIFIDPLFFFLLSVQKVSD >cds.KYUSt_chr7.7765 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46855556:46857531:1 gene:KYUSg_chr7.7765 transcript:KYUSt_chr7.7765 gene_biotype:protein_coding transcript_biotype:protein_coding MDITSEAVTSYSLHLRTHHEKLLDSLFVEGTYEKLYSYHHLINGFAVHMSSLQAEFLRKAPGVKYVERDTKIHKLTTHTPQFLGLTTAVWPTGGGFDRAGEDVVIGFVDSGIYPEHPSFSTHKTDPYGPVLRYKGKCEIDPTTQRSFCNGKIVGAQHFAKAAIAAGAFDPDVEFASPLDGDGHGSHIAAIAAGNNGIPVRMHGYEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIDQ >cds.KYUSt_chr3.30693 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192585757:192587456:1 gene:KYUSg_chr3.30693 transcript:KYUSt_chr3.30693 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGSALGYQSWEYDELTRIAVGVPMEDVVAMVGKTVWEEAEEPITMKEGRAVRGDKISVLEIGNIKDTITEEMGDAILDIVRMVADAAEAFARQLVGQPEPSQSAAPQYVPKVPANNAGQGNTVVRQPGFARAPQTPVAGQHTANAQGQPHAQRAEEVLLAVAGCASKEFYVIVVNDLNMLLRIAHFFVLRDLVLQCMWILPDPQYIWEVQQMEENVFRVNFPSKNELVRVQHFGRFHVPDSTIILSFDLWKKEIEPAWVPEDVWVRVHGLPPVALDDYLSLWALGDVFGKTKEIDIIFTRKHNVLRMLITCLDTALIPDSWDLKTKHEFFRLRFEVEGEQRTNTLDVTMSDVPGDGGDDESNNNDHNKPADGGMERNVKRNKNIDGTSEGNGTANSQPELNTSSHSSQMNKAS >cds.KYUSt_chr7.31285 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194831370:194832539:-1 gene:KYUSg_chr7.31285 transcript:KYUSt_chr7.31285 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSSPKDPPLEAALRRNRRWIVNNQIKRLLLRFPSRSAPVRLLQSRFKTLDLLGRAANWLRKYPSCFDIFTGEGPSGSGGELCFGFTKRMAELVDAEEAAVAASEPAMADRLARVLMLARGRRLQVSKLAALRGPLGLPDDYLLRVLPAHTDLFRLANPYPHRRNAAELELLRWVPSLAVSSVEAAASARNSLPRFTCSLPSSWVKSHEKMEDFNSTPYISPYSEDWAVASTDAEAEKRAVAVVHEFLSLTMWRKISVMKLEHFRWEFGLPEDIGRLLLRHPCLFYVSNRYKLHTVVLREGYDVSELRVKDPVVAAKDRLGELMQEGLHEYNQRRRAANLENKRRRGDVDVKKEEEEPEDDAARLDSAEKREERRRFYKVLFGDDNQ >cds.KYUSt_chr6.32418 pep primary_assembly:MPB_Lper_Kyuss_1697:6:204526101:204528999:-1 gene:KYUSg_chr6.32418 transcript:KYUSt_chr6.32418 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLELDLAEVEMPGLMACRAEFGPAQPFKGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGAGGGPDLIVDDGGDATLLIHEGVKAEEEFEKSGTVPDPESTDNPEFKIVLTIIRDGLKTDVRRYRKMKERLVGVSEETTTGVKRLYQMQESGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGIQILTLEDVVSDADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMNGLETYPGVKRITIKPQTDRWVFPETKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNERKSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKSQSDYISIPVEGPYKPAAYRY >cds.KYUSt_chr4.19297 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121216250:121224350:-1 gene:KYUSg_chr4.19297 transcript:KYUSt_chr4.19297 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEPPRPPAVPNSVPPRVSPPHPERDLAAVRRHAGAKPRGGAAGKNPWLAADGSKRWGETFFLLYTPFWLTLCLGVVVPFKLYERFTELEYLVLALVSTLPAFLLPLFFVGKADSIRSLKDRYWVKANVWIMIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLAHSCFLLYHMASNMTLRRLRHTTAHLPQSVRWFFDAAWILAFSYFFAYLETLAITNFPYYEFVDRDAMYKVGSMFYAIYFLVSFPMFARIDEKAEKWDLGRVAVDALGAAMLVTIILDLWRIFLGPIVPIPESKRFSIRIDWSRSSRLRKISLAKFLLLRYSLVFPIPAIACTTVRESRSPKPRPFRSCTGRRAIRFLGSVSARLLAAVRLLLRRFGYLIDRSDYTMGDINNSHGGGGAATGVTFPVAMYVFFFSYLALLLIPCSDLMHVLSLMCLVKYACASVMLLSVIKLTRKLPNNPTIQKPYMLRQFSPSGFAAALKPSPFTGSHFKRWQNKTLLWLTSMGVHRVAEGTPRGPLTSEEDKAFGDATVIFVGAVLSVLGDKLVDAYLHIQNGKELRDALDAKFGAADAGGELYAMEQFNDYRMVENRSVVEHAHEIQIMAKELELLKCVLPDKFVAGCIVAKLPPSWRNFATSLKHQRHEFSVENIMGSLDVEEKARAKDKHTGGTDGRSAANMVQKNAHKSKGKNKGVSQTTNFKKKGKRRRKILAGCVARRAIGLIVVHNAKEEMSGWTELKFCQLGH >cds.KYUSt_chr7.1402 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7678062:7678850:1 gene:KYUSg_chr7.1402 transcript:KYUSt_chr7.1402 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHEVCSHGRIKLRRCSDGVAWMPKGAREATDHSSLPSKQPLMEAALEAGAATRGKAGDNPDMVSSTICISRAEEERWANQPSSRKALHHPPSNGRVGPPTGENPSSSKPATNAAAGVASSWLGCASSMASPTPRSPGSSTQPADTGGAERMGGAGTEGRVPAFWQQAWRYGATGRPDPVTATAEKLEWRISWWRRRRRCWTGAEVLAGGRRCWTGPEVLAGGGPAEVLLQGPEVPAGGGGVAGNRTPSKTMMREDDRDPD >cds.KYUSt_chr4.15167 pep primary_assembly:MPB_Lper_Kyuss_1697:4:93671649:93675850:1 gene:KYUSg_chr4.15167 transcript:KYUSt_chr4.15167 gene_biotype:protein_coding transcript_biotype:protein_coding MELAALLTSAGINISLCILFLSLYSILRKQPHNFGVYFGRRLAEAKFRDQVDYFSFERLLPTAGWIVKAYWCTEEEIRRVAGLDSVVFLRLFIFSIRIFSITTFICVFIVLPVNYHGQEMSHTHIPEESLNVYTIANLKEGSPKLWVHCVALYIITIAACILLYQEYKYLSRKRLAHITGSTPNPGHFAVLVRSIPKSGNELLDDTIRNFFVNYHGASYLSHQMIYRKGKLQKFVDNAERAYRKFVRVKLSVFDQNVRSSLNRCGLCGVRASSFQLYRNKFVDAKKSDLTDPEVVEAQKDCPGAIVFFKTRYAAIVASQVLQSSNPMLWVTNAAPEPRDVYWSNLWIPYRQIWLRKIATLSGSVFFMFVFIVPVAFVQSMMQLDQLKRMFPHLKGTLKTSFCVRVITGYLPSVVLLLSLYTVPPLMMRFSSIEGSISRSGRKTSACTKILFFNIWNVFFVNVLSGSVLNQLNVLTRPKDMPSMLAELVPKQATFFITYVLTSGWASLCSEILQVYNLVYNFFRRCIFGYLDEPGYVYSFPYHTEVPKVLLFNLLGFTFSIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPIMHNTMVFSLVLTQIIALGVFTIKNSPVATGFTILLLIGTILFNEYCRQRFARIFNSFSAQDLIELDREDEQSGRMREIHEHLLDAYCQSPPGSGGGDDEVPIEMILEDPAQEASNSSQELCDTVQEVAGSIQDHIEEK >cds.KYUSt_chr1.30161 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182553651:182554031:-1 gene:KYUSg_chr1.30161 transcript:KYUSt_chr1.30161 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGTTASRVWPRTGCTFNGSGVGRCITGNCAGKLACAAYDEQPTTIAEYTLGKGGAPDFFDLSARHLGCCVRESEAEEDERAPAARGAAARDRVLALASRFRGAVFLEGLGKTGGGWKLARIFLR >cds.KYUSt_chr6.24649 pep primary_assembly:MPB_Lper_Kyuss_1697:6:155769490:155770953:-1 gene:KYUSg_chr6.24649 transcript:KYUSt_chr6.24649 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSKMALGIKRASRSNTYHRRGLWAIKAKNGGAFPKAEKPAAVAEPKFYPADDVKPRTVSTRKPKPTKLRSTITPGTVLIVLAGRRYMGKRVVFLKQLKSGLLLVTGPFKVNGVPIRRVNQSYVIATSTKVDISKATKNLPDFKKDDQKAIDAELIKAIEAVPDLKNYLSARFSLRDGDKPHEMSF >cds.KYUSt_chr7.32831 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204610181:204610762:-1 gene:KYUSg_chr7.32831 transcript:KYUSt_chr7.32831 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSDGAAAAAAGLDEADAAFFSRSRHRCCCFSAPWQSSSTSSYARRAAGGGAGTDGEWWHQVGDGGARAGRRRWWRRGVDALMKVREWSELVAGPRWKTFIRSFRRGHHRHAGAGGGRKLNYDALNYALNFDEGACSPEDGGAEYPDFSARFAAPPGSVRTSMDLGARDAPSLFHHQPPPHAPPAAIAAAKG >cds.KYUSt_chr6.5366 pep primary_assembly:MPB_Lper_Kyuss_1697:6:31843134:31843474:1 gene:KYUSg_chr6.5366 transcript:KYUSt_chr6.5366 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIHGALLLAIVLLSLSSEIMSAREVPADGDPFPPEKTCTKLIDVGRTCNADRCSDHCDKAVQAVNSQCGAAGCTCLYYC >cds.KYUSt_chr2.47988 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300103939:300105094:-1 gene:KYUSg_chr2.47988 transcript:KYUSt_chr2.47988 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRTLRREIAEPFYDKKEAAKLAAQTAALEAPKLPVRVHPAYQETLDAAAAAAAEAAASRASAMEVETADGGNKKRSSFLKPMGSISKKKVQLHLKIKKDKRKARKKGGFGKKKF >cds.KYUSt_chr3.21751 pep primary_assembly:MPB_Lper_Kyuss_1697:3:133727889:133731234:-1 gene:KYUSg_chr3.21751 transcript:KYUSt_chr3.21751 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVTGEMEGAGNGGAGLGFSLRFDPMRAGFDRALVSLPASALISRLLGWTENSSQGRPPHGSAVVAAAVAVYLAARFVSDQQPRRHRRRRLAASAVDKPNSATRPRALPAPGDGLRILSSNDEYPENVIHGASIGAGDDEPVLVARVQTMPPHVAAGSASSDGESEKKQSEIKEREEIERFKELWLSLVEREQRLELQLMDLDDLREQEATVRELENRLGVAAVEARHLELKVLSLREENERLKEHTSELDVVRAQLGRAKEKVRSLKERVQVEREEAQREAAALRERVKELEKNDEEREKALAAEAASLRKANAVLQEENRELARRLQDAEQVATSVSLVHEEDNGDEASYLRETNERLTRQIEQLHSDHCAHVEELVYLKWVNACLRHDLRGNDHQSSSAQQEQDGAGAGMSSAMELSKSMSYRSSEKAKELMLRYGNLGLDPSLRSPLNESLYGDGEDRQRRGGHDEPERSPGVSATTAASAPEKRAGHGKLKFLKNIKKLLASSKKGQGHGHDRKSIKVPNDEHVEKALRWLSSSHDAFGGDSSYESTPLSSCERTPLSSVTTRDSRAHARGGETAAEPAVAGLPAEAQLLRSKSDVGASFGREGSRYHALRPDRPAGVGQDGFYAPEKMRRYSEELTSS >cds.KYUSt_chr6.13118 pep primary_assembly:MPB_Lper_Kyuss_1697:6:81963359:81975323:1 gene:KYUSg_chr6.13118 transcript:KYUSt_chr6.13118 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDSTLIVTAVTRCSGGDGGDDGGDDDDGDGDDVQLDVGDDGVDFPLREGISPADFSLPESSFLSGVFRPAEAAVTPRDYPLELRLFKCAGISMDEVRKKLFSISLSGHHKDYLDACSEGSFTRNEVEPRWNLLNRIEENAEGWENNKGYVEEPPFKPLPPKEGNEEKEEKKKKKKKEEEKKKKKKKKKKKKKKEEEEKKKKKKKKGNKKKEVTAYPRVYEITIGNRKYVAPDDYYDNESEYNDLPMPFTYISDHDLKEHTTFDIANLWETNYENDDVNNCHSISTIHASSHNDIEISKLGDEVFENPFATDHYMFDTSPSCNNDGMVTDEPTIKDNYSISYDDTMPPTFDDYYKECYDIGENFRWRAAIGIDPWMDAAQWHQGLGLVKPMEEMIMTANQNPNANQNPPPAPATGAEAQRAAGPNPPAAAAGAASAGAGSTERKARPQKEKAINCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSAVVSSAAAAAVSTSAAASGTIPVGMAAKNPKLMHEGAHDLNLAFPHHHGRVLHPSEYAAFPSLESSNVCNPAGAMAANGTGGRGMGAFSAMELLRSTGCYVPLPQVQLGMPPEYAAAGFALGEFRMPPPHQQHQQHQQQQHHQQQQQHQQQVQNMLGFSLDTGGGGGGGGGYGAGLQGTQESAAGRLLFPFEDLKPEVSAAGRGASGGGGDQYEHSKEQEGGGGGGGHETLGFWNNGMIGNGSSNDGGGGGGSW >cds.KYUSt_chr4.35683 pep primary_assembly:MPB_Lper_Kyuss_1697:4:219181729:219183789:1 gene:KYUSg_chr4.35683 transcript:KYUSt_chr4.35683 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKKKAPPAAAAAAAAGTAGAASSKADEPKVESEAAASGDGIPPPTNGDQQPRPTSPQAPKGDQLPPDSAAAPNGKEVPPQPPSVQAAGGGDPIVDSGAAGGGDGIPPPMPTSVDPANGDGDAPPSLEPANDDELPLGSAGAANEDGPLPPPPFPVEPPAPINGNTPVDSAAEAKGDVIPPPMDSPAVQKADNVGELKAERGLNDKAPEVMPDSSSQPDRQAEKLKALNSKLVKEAVETRGQVAALAAQVDERSADAAALADLEQHVLQAGLIFPFVAAGEYCTALRGHLVDAQEPLQAAKSRAAREADAKEDAAARLEVAEAENLRFVELLGRKDAEAASASKNVAGMEAVVSELAGNSTELCARKGDFEKQLGEMSASARSVLAEKAELETSLDDYKMKAKMYQQEIQEKLDDKSKQLEALSSSKAEMEDKFQSLEAELSAALAKNWELESEVKTSMTELAEANRNLEKLRFEVADVGKKYTTMMAEADRLRKEMAKMMATKDAAAAAFATEKTQLHKELDGLKRKVESIRANKDAAMTSCRQKDAEAAKLKSQLNGLSDSLAEQRVLCDDLRAKSSRLQDELDTVKKALGEERAQGDELRSTLGELESNSDDKARQVEELKTEVQNKRGQIYALNGEVKKLQLAVADAEERGKSGKVWTWLCPTTTVIAAASFAYAARRG >cds.KYUSt_contig_686-1.968 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5882755:5884743:-1 gene:KYUSg_contig_686-1.968 transcript:KYUSt_contig_686-1.968 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDVAPKEESGVKDVADAGPPPPAGDFSRTEPPPHHPEKLRIRENRSQGSGIHALRRRRLRIRLSSLVIIEDDKQRRESFENLCAYQCLHAIVYWLTVCVFIMVLDEEEMFKVYRREVTDRPNGKSISGCSSARMVCGNSKWPSVPYLAAGFARSRGRRELPVKEPAHDMEKFLV >cds.KYUSt_chr5.5134 pep primary_assembly:MPB_Lper_Kyuss_1697:5:32404859:32405478:-1 gene:KYUSg_chr5.5134 transcript:KYUSt_chr5.5134 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKNGNAGREFVKCESKAEPGKDLAKCTHFEWIDEYIRRIEMEGAILGFNLPSAVEQLGSAATVGKSEGVAEEMAVKELKKINKQVTRAGFAKFS >cds.KYUSt_chr1.15773 pep primary_assembly:MPB_Lper_Kyuss_1697:1:91657092:91657787:-1 gene:KYUSg_chr1.15773 transcript:KYUSt_chr1.15773 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQGRVLVTAREVEVEVLVVVDMGTVVAVEAVVVRAAVQALGTGLVRALAMEAALAGTVAAVVEEAVVAKVAVAQAMGMGPVRALAMEAALGDTVAAVVEEEAVVAKVAVAQAMGMGLVRALAMAAVLVVVVVDTVVAAEAAKVVGLAAARAMAPVLAVDMARVVLMVEGMEAAVVAVVAVAAAAAKVEALAMDPAPALDMATAPAPVVASEMDATELTSMARRTTGYSI >cds.KYUSt_chr1.1509 pep primary_assembly:MPB_Lper_Kyuss_1697:1:8402559:8404634:1 gene:KYUSg_chr1.1509 transcript:KYUSt_chr1.1509 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGHIAVDVGADEDRDLMPVSPPVPYVLSFTDLSYSVRKRQDGLRGCLPSRASNRLASSDTLPSNMKALLAGVSGEAREGELFAVMGASGSGKSTLVDALAGRVARGSLGGAVTLNGEPLQGRRLRAISAYVMQDDLLYPMLTVRETLLFAAEFRLSRALSPAAKRDRVDALIGQLGLANAADTVIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRTIARSGSVVVMTIHQPSSRILGILGRLLLLSRGRTVYAGTPAGLKPFFSEFGTPIPDNENPAEFALDTIRELEGSSPDGAKPLADFNAGWQATQKLITTNNNKRPPSPLTLEMAIAESVSRGKLVAGSGTGTAAASVPTYANPLPVEVWVLIKRSFTNTRRMPELFGLRLGTIMVTGLILATIFLHLDDTPKGVQERFGFFAMGMSTMFYVCADALPVFVQERHIYLRETAHNAYRRISYVLANAVVSFPPLVVLSLAFAVTTFFAVGLAGGGSSFMFFSLIILASLWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYMSLVKYPYQAVLQNEFSDASRCFARGIEMFEGTPIAGMSEAVKMKVLHAIGNTLGTHMTAQTCVVTGADVLKQQAVTDLGKWMCLLVTAGFGFFFRALFYVVLLVGSKNKRK >cds.KYUSt_chr6.27750 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175991058:175994415:1 gene:KYUSg_chr6.27750 transcript:KYUSt_chr6.27750 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVMQACVIMHNMVIEDDRKNKVRRHVSPYECQDPLVEVDHKVSADFVNFLAMHAEIRDSNVHEQLQNDLVEHIKYTKKFHMPSLGLALVLLISLVSPIDSCTHQERDSLLQILAELGLAVSWRRNTDCCTWEGITCNQDRKVTDVSMASRRLQGSISPFLGNLTALLRLNLSHNLLSGGLPLELVQSSSIIVLDVSFNRLTGDLIELPSSTPAQPLQVLDISSNLFTGRFPSTTWEVTKSLVVLNASTNSFTGQIPTTPCVSAPYFAMLDLSFNKFSGNIPPGLSNCSKMTLLSAGHNQLSGILPDELFNVTSLEHLSLPDNHLEGPLNGIMKLTNLVTLDLGGNGLNSNIPESIGELTKLQELHLDHNNMSGELPSGLSNCIDLITIDLKSNYFSGELTKVNFSNLPNLKKLDLLYNNFTGNIPESIYSCSKLTALRLSHNQFHGQLSEKIGNLKSLSFLSLVNSSLTNITRALQILSTSRSLTTLFLGFNFMHETMPDDYTIDGFENLQVLGLNDCSLSGHIPHWLSKLTNLRMIFLLNNRLTEPIPDWISSLNFLFCLDISNNSLTGTIPSALMDMPMLRSDKIAPNVFELPAYDKIPSLQYLRPGAFPKVLNLGMNNFSGEIPKGIGKLQTLLSLNLSYNKLSGGIPQSLCALKNLQVLDFSSNHLTGTIPNTLNDLHFLSKFSIYNNDLEGPIPTTGQLSTFPGSSFDGNPKLCGPMIVNHCGSTEAGPVSIVSTKDISTEAIFVIAFGAFFVVGVLYDQIIFVRYFG >cds.KYUSt_chr5.13390 pep primary_assembly:MPB_Lper_Kyuss_1697:5:87169883:87172226:-1 gene:KYUSg_chr5.13390 transcript:KYUSt_chr5.13390 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQGINDRCGMMYRCRLVELSGVMVASTAGLAWLMRLSLLKMESRKTAGATPVAWALACAESLLDWMCFSPAIGRFGKAFSLDDVYNMGETCCIPIMDELTVGCVRAMNRGNGNGNGGARLELQLNLSPPVMMEVDGHDDSGSSSPSSCVSSDGSPGSKSPMVIGACTRCLMYCMVAKKDFPTCINCKQPCLVDLLQQGAAGGGGAGASADGEKKRGRRK >cds.KYUSt_chr7.30236 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188268520:188273236:1 gene:KYUSg_chr7.30236 transcript:KYUSt_chr7.30236 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHAETGDGMGQSPGPLPWPASAAAREPNQNHSRIAAAPPLHQEITTIQIGNQQPPRLREPSDLGRSSSEPIGKASRYVNGDKGISGDLVISCDGRILMEAKAYDDINEKSYLLHEVLPAATRDNGQNDEKGELIKSDKLMLDLNKYILLLATLVATVTYAAAFSPPGGVWQETDTSMDRLAGDSIIRATNPRRYLSFYYCNATAFASSLVVIVLVLSLALLHEKKNYLINVRPLQAFMVLDLLSLMGAYAAGTCRDKVTTIYSLVLVGIAVACLVVQMGVAWFSADPEVPATAANKNRATAPVSSDLHRYSQDPATAADENRATALVSSDPRRYSQDPATATDEKRLRKVLMLLATFAVSITYVAGMSTPGGFWDAGSGHSPGHAILKDSHGARLTVFLYCNTTSFVASLLIIVVLLDTRKPRLYLAYGCITAALISLVGAYTAGSCRETDTTVYVSSLVGAVLVFIILLQVAVAKGWSQTVRKICFWEEIEQLHGWVSGKLEAKTQGSDNDRKAADKASSLVLLLATLAATITYQAGLSPPGGVWPDNRDGHMAGDPILLTTNARRFKAFFYCNSIAFVASLVAIILVQSKQLRKTHVLEAAMILDLFGLIGAYAAGSCRDVSSSIYAMALAGAVLVYVVIHVVFFTLNHADTITQEEMDLVEKRRKGLLLFAVLAATITYQAGLTPPGGFRLEDDGGSSGHYAGDPVLLYNSTRRYTAFFYCNSVSFMLSMALIILLVNRHLYRPAIRSYALAICTAAGMFCLMGAYAAGSTQHLKTSIYIFVLMAAVIFVIVAMIPLFLGEKRDDTGSQREKKKKRDGETEEEKKKSEEAKEKHAKRKYLMLLGILVASVTYQAGLSPPGGSWQTDSDWYRAGNPVLHDNRRPRYLAFFYSNSASFVASIVVIVLLLLPEQWRDKKVLSLKVMTTAIFLDLLALLGAYAAGSSRGWKTSILQKLSLKTVTLNFI >cds.KYUSt_chr3.41225 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260176483:260178026:-1 gene:KYUSg_chr3.41225 transcript:KYUSt_chr3.41225 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGIIRVLVAMRDPTALEKDNGCMEVIALLQLNGYRFRFSRETVGFQPDSRFRPFFWKDGGDDDGSHGFEEERYDEATADVAPEAASMALRRCRLRRWL >cds.KYUSt_chr4.40418 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249422154:249426087:-1 gene:KYUSg_chr4.40418 transcript:KYUSt_chr4.40418 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNINWELQGCCRHNQVVFIAAVGVSTVVILALWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILSSTNLLATRIASGCFILALVIVLFVAKNWFLRWLCIGMHKYDTNFIQNFTLLHTTYIITFQVSSYSLLLFGLYKSLQLSML >cds.KYUSt_chr3.8199 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47179769:47182551:-1 gene:KYUSg_chr3.8199 transcript:KYUSt_chr3.8199 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWCTLPCLIEMDCAEAVRMIKEPGIDRSPFMGIVQEIKEQVAAGVDLHLSLISRDQNRASHILANIGRSLSSSRLWPNSGPDEVLAFLQQMSREEMDARISLAVISDMSGLLSAGEVIHVSAALNHMSCYFKKLISDPIVMHWFRAIFFTGNQFISCLYPYDGYPISHELYLSSETIIYSIDVLKFLFGLCRFVLAPLAVLIFLAHKYWKTRIIIDAVEKFLRMQQMIGPVRYAYTDITAITGHFRDKLGQGGYGSVYKGVLLPGDVHVAVKMLEGNSNCNGEDFISEVSTLGRIHHVNVVRLVGFCSEEMRRALVYEYMPEGSLDKYIFSAEKIFSWDKLIEIALGIARGINYLHQGCEMQIVHFDIKPHNILLDGNFVPKVADFGLAKLYPKNNSFVPLSVLRGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSDPNAVNSSQAYYPSWVYDQLKKQEVREDEISTAASEMHELERKLCLVGLCCIQMKSHNRPTMSDVIDMLEGGVDGMEVPSRPFFCDDEDADITDSYRFFSEVTAISEEEVSEDIHTCN >cds.KYUSt_chr1.34675 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211152550:211154203:-1 gene:KYUSg_chr1.34675 transcript:KYUSt_chr1.34675 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPHRLRGGNGTEDDGGWEADAAAVLSGEYQAQEMSTMVSALARVVSGGDGRWDGSWNHDPAAMGGYSGAPTPDQLALAGQEQERAAMGEQYSPTYTGEAAAAPPERRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDDAALRFRGSRAKLNFPEDARLTFTPPAATAANSSAPTMASSSAAGYGYPAGAVSDYLQYQMLLHGGGGGGYPPYYGGGGGTSTSSSGSSYSFPDSSVTVASVPSSASSASGYGAGGAAPEYGDAEQWAWQPDTAWTYPATTGSWSGSSQYPPPPRRQ >cds.KYUSt_chr3.34573 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216870008:216873969:1 gene:KYUSg_chr3.34573 transcript:KYUSt_chr3.34573 gene_biotype:protein_coding transcript_biotype:protein_coding MEERREQTEPAGRRRRRRSALGHAVAWILADAAGLLHARIQQQHQHEIKLVSMPTMHGTKTNGGIKLDWARAAHCEGGGVERHVLEKPERIGDEDAERNSERSRLLRADMVVERRRRRSTAAEGTRTETRTETLARRRDRDVAQDARAVEHVGRILVERTPAVAEAPALSPSWKNRHRRLHDHDSKVLTRAFKWLMLVDRLGSEVNPSVPINVTTSTPDPISAPATVPTTTPSIAPNVAHTSSVPTPSSNRSYNHSSNPSSYLIFNPSSYLSSNLIFDPTSYLSSNPTSYPC >cds.KYUSt_contig_1253.570 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3458127:3458985:1 gene:KYUSg_contig_1253.570 transcript:KYUSt_contig_1253.570 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAKAAMPPPALRFLAVAAANTLIYAGYAMLWVGTACNAFMVVARRAFGEGSAVLSAVTTVALYALVGSGFLLPFSLMLAAARSMASDSDSDSNSNNTDVEQRTKEPAVRPRGPRQILRQMLKDDAVVGVLLTLPFVLLIVAGVLVKGISPAKESERERVGSILMDVGIVGFSTLQCFIVLPITILRTWRMIY >cds.KYUSt_chr4.4161 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23877073:23880747:1 gene:KYUSg_chr4.4161 transcript:KYUSt_chr4.4161 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIPSKLGSGSSGSFLDLGFQAESVSCYCRVDGGLKTVVNARKFVPGARLCMQPDVKLNKRKSRSSRKERSRTQAPLLPGLPDDLAISCLMRVPRSEHPNLRLVSRRLSRLLSGNYYYSLRKKFGMAEEWVYVFRRDCDHKISWHAFDPVQRLWKPLPPVPPEYSEAVGFGCAVLSGCYLYLFGGKDPVRGSMRRVVFYNTRTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLRSAEVYNPNRNRWSCITEMSTGMVPFIGVVHDGKWFLKGLDSHRQVVSEVYLPTTNMWSTIGNEMVAGWRNPSISLNGRLYSADCRDGCKLRVYDADTGLWTRFMDSRRHLRSSRAFEAVALISLNGKLCIVRNNMSITLVDVSDPTTVIEIDSARMWEIFARKGQYRSFMANLWSTIAGRSLKTHIIHCQVLQV >cds.KYUSt_chr3.46423 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292096010:292097220:-1 gene:KYUSg_chr3.46423 transcript:KYUSt_chr3.46423 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRSSNAEVMTMDLSPKKPAKAYGSDGGSYYDWSPAELAMLGAASIGAAKLHLNAGGLSLPSYSDSAKVAYVLQGAGICGLVLPEAAKEKVIPVKTGDTLALPFGAVTWWHNAAEAGDTELVVLFLGDTSKGHTPGRFTNFQLTGATGIFTGFSTEFVARAWDLDQDSAASLVSTQPGTGIVKIAPGHRMPEARAEDREGMVLNCLEAPLDVDIKGGGRVVVLNTANLPLVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGIDGTRVLETRVEAGCLFIVPRFFVVSKIADETGLEWFSIITTPNPIFSHLAGRTSVWKAISPAVLEASFNTTPEMEKMFRSKRLDSEIFFAPS >cds.KYUSt_chr1.31349 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190172581:190181238:1 gene:KYUSg_chr1.31349 transcript:KYUSt_chr1.31349 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPAPDGDVDRQEQLQIVLSSAAVAAAPSKPEPEPAPTVATHTRTIGIIHPPPDIRVIIEKTATFVAKNGPDFERRIVQLNQGNAKFNFLQPSDPYHAYYQHRIAEIAAQPPATDAQQLPSDPADGSDDKPDHSAPFRVAPPTKVLVPPKAELYTVHLPEGITGEEELDIIKLTAQFVARNGKNFMTALAQREATNPQFNFIRPTHSLFTFFTMLSDAYSRVMRPDEGVPALIRDLREGSKDLTTVLERCLNRLEWDRSQEQARQQADNEVELERMQMSMIDWHDFVVVETIEFADDEYEGLPVPLTLDELKRRKRMETLREDDEPAELAEPAKDDAMEMDDDEMQLVEEGMKAARLQENEGGAQVMVTGDDEPPMRIVKNYKRPEERMPAERDPTKVVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMMAKIKETTLAPDDEISRNIIGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGWTATQAMSMGGEEQQFDASNVRGPAPLPQPGMSLPRPPQPLPLINVPRFTPNPMPYHIHPPPHHMQGVPHMMPNMHQPPPPGQQQMIRMTGPMGHMPNSIPPPPGHTTQFMPGPPRFPMPPPPHMQTMPTMVNPIGIPQPPPPLPPQPPAEEQPPLPDEPEPKRPRTDDASLIPAEQFLAQHPGPARISVSVPSLDERNLPGQVLEIPVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLSLAYYNVGPGVVINLALRERDAAALHRKGTIFEMLIKKGKPPATIEMSRYGLEPPPANKRNDVAAWRQALRNAQSQLQHQIIRIENLELMLKHGVEVWKLQNRKMESVLSRMQKMALEYNEKIETVNRERKFHQQNTGGQLHALTVEWQELCQKNIAIQAACVDLQNQIDQLKLEAKEHLHVHVSSGTLHVHVSAGTVDVRVSPATVDPVAAMELRPRLVADEEAIEVEPPLTPFI >cds.KYUSt_scaffold_2697.175 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1226405:1228038:-1 gene:KYUSg_scaffold_2697.175 transcript:KYUSt_scaffold_2697.175 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLDGCSSLENVILEGAPPLLESFSFDGYGPAENWNHSIQLPKKELRLQSPIAPIETVQVTKISLHGCGRLQNIFLRALPNLEDLDLSGTAIKTLDLLAMDIPKLKKLFLLGCQHLRSLIWRALPKLEVLRIDMQWKQRSMVYCGIERSFSFHAFIAFTDGRFTFRFIEGLYHRISQCLSMVYLLISCMSHSQANNTKSIKEIGPSQEGLVPTSLILPYDNVALTKDVMCSSFLWNHQQLQTLDVHIEIGEGSYNIESMQDNSNFNLFARHVQSLHVHDNSSITAIPPANETNWDMLEWCHVVRCPKLQYVFFRRYGRESFGHIRIISASDLLVAYCIWSRGTIIQNSCFARLQHIYMYNCPRLVYVLPISFSLPNLETLQIAYCSNLRDVFPLDDKYPPAISSGVTFKNLKQIKLYHLHNLGQICEVRLTAPALQTIGLRDCWGLRRLPAVAREGPKPVVDCEKDWWNKLEWDGLDAGHNPSLFETRHSAYYRKTLPMVSFLR >cds.KYUSt_chr4.46485 pep primary_assembly:MPB_Lper_Kyuss_1697:4:287352519:287354169:1 gene:KYUSg_chr4.46485 transcript:KYUSt_chr4.46485 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEASWHSFDPSVAVEDSEAMARLLGVQFFGNEQQQQKQPAAAAPLSSMYWPAHEADQYYTSAPYYMQMQEGTSASAGAGYHNHGGYYDGGAMVGEFFVAPEEQITDPAAFMVDLNLQFDDQDGNTSACRRKLGDQNGESSTGTAPKKKPRSASATTPPVQRKGKSSVPPKRASKGACSRGAQQEESNGGNNVQSSSNYLSDDDDDESLEMTSCSNMSSASKKKSSSHGAGAKARAGRGAATDPQSLYARKRREKINERLKVLQNLVPNGTKVDISTMLEEAVHYIKFMQLQIKLLSSDDMWMFAPIAYNGFNVGLDLKIAPPQQ >cds.KYUSt_chr3.2708 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15607007:15608512:-1 gene:KYUSg_chr3.2708 transcript:KYUSt_chr3.2708 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPFSRSTTKRGGVRPSPTFYVVVLCMFLWALIFSLHCSTSLQSGEAIIKPPAIFSFRFGRPDQCAGRYVYMYDLPPRFNADLARDCRRLSASTDMCKHVANDGFGPPITGGGDGGSLPERGAYDTDQFMLGMIFHARMRQHECLTADPAVAAVVYVPFYAGFDAAMNQDNSDLTVRDALPQDMADWLVRRPEWRAMGGRDHFMLVGRCTWDFLRGPDGGWGNALMTYPAIRNMTVLSVEASPWHGNDFAVPFPSHFHPSSDAEVIAWQHRMRRQERQRLWCFAGYSRPSSKRTVRAQIMEQCGRSSRCAMLGEAAATPTPGNYSPGHAMRLLESAEFCLQPRGDGFTRKSTFDAILAGCIPVFFHPVSAYLQYMWHLPRDYRSYSVFIPRDDLVGRNLSIEEVLRKIPPEKVARMREEVIRLIPTVMYRDLAAKGMHFKDAFDVAIERVIDRVAKRRRAVAEGREYQDSVDGDYSWKYDLLEDGQKDIGPHEFDRYVAT >cds.KYUSt_contig_2549.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000380.1:189981:196726:1 gene:KYUSg_contig_2549.25 transcript:KYUSt_contig_2549.25 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPGCAVYIGNLDEKVSERVLYDILIQVGRVVDLHIPRDKETSRPKGFAFAEYESEEIAQYAVRLFSGLVRLHNRTLKFAISGQDKLSSNGNVPVTPKMNPIPLPNPHQPMRSSDTPVSQNRVVNGRIAGYGVSPNQSYDFNSQALYAESVELQLFKLAVILDVSAKRTRGITTVAWLLACVLFTFGEVVMHLLLEALTQPQSEKKAARARPMSGKAVLVLCAASFFVGLLLSGRMTILTSPPSATRGASSSSGHGSRIALFPDDDCEHRRKLEEGSNPNDVMKEVSRTHQAIRSLDKSVSSLEMELAVERAKRNGGLGASVPSKGLPKAFVVVGINTAFSSKKRRDSLRDTWVPRGEKLRRLEKEKGIVVRFVIGHSATPGGALDRAIDVEEAETRDFMRLDHVEGYHELSSKTRIYFAAAVATWDAAFYVKVDDDVHVNLGMLTNRLARYRTTPRVYVGCMKSGPVLSQKGVKYHEPESWKFGDEGNKYFRHATGQIYAISRDLASYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVWNFAAAA >cds.KYUSt_chr6.18558 pep primary_assembly:MPB_Lper_Kyuss_1697:6:116740616:116746369:1 gene:KYUSg_chr6.18558 transcript:KYUSt_chr6.18558 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCSFELIPGTPLSAVFSGCVDQSTTTEFVDDAYLRAIGALPPLPPVRFASSSPIHHAAALHDSIELPATCEVSDYDADIDVNLREMEKDAKQWPSPEYLKTVQGGRMTKSRRAELVSWMDDFTRYFDLAPGTLHRAVSYVDRVLSQRTLPETDTEHALQLLGATAVYTAAKYEEQCSTHKLNATAVAGICGLDTTNKEVIAMELGIVEALAYDLSRPTAYTFVEHFTRHGGRGEQDSEVQRLAHHLADRSLYDYSLLQLLPSVVAASAVFLARPILNPNAIDVQQWNEDFEELTGYWPTDIILGIESLYMLNHDSRFDVVPDFLEGQLMDFSYLRVQSSDVDSDDESFHTKTRHVLRRLQSGHYDGPFARGIYKCPFATEAPRHRLQLPGEPC >cds.KYUSt_chr4.39370 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242910417:242912409:1 gene:KYUSg_chr4.39370 transcript:KYUSt_chr4.39370 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHRVAEEEEATAAGEEEDTGAQIAPIVKLEEVAITTGEEDEEILLDMKAKLYRFDKDGSQWKERGTGAVKLLKHKETAKVRLVMRQAKTLKICANHLVVASIKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVENCKKFKDLVDQIAEEQGKNEEKESEEASSAAELVEKLTVTEEKKAEPVEKEETPAAVDDTKDAKE >cds.KYUSt_chr4.5028 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28800716:28809833:-1 gene:KYUSg_chr4.5028 transcript:KYUSt_chr4.5028 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSTWWSWLCEDAPKKKLSHGGLWGSNPQDFVKQAQARKAFHLVEVKIVVIKLKWNAQRKPSTDSVLTAAAPLVRCHVDCPAVKTDPVRTTRPLDAAASSGPLQFVGLSPKLLPAEQHGLHVLLQQHSPPNLDSPILLDLQRRDSPSRSSPPCTGITPMSPRGGITPLSPPCRGIAPHSAASGITTPAAFIPRGHPPPRMEFAAATMVSFSGISPPLPQASRIIRL >cds.KYUSt_chr4.35329 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216877864:216885457:1 gene:KYUSg_chr4.35329 transcript:KYUSt_chr4.35329 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTSSSSRPPLLHPASRNPRILTLASRAPAPRPLLISLAPPAPARGLRAAATQQPAYEDGEEENEDEEEYYSDEEDAEEMDVEEMEEEARRAAADLAARLDRELRVDGDVREKRRTMRDKTSTAKHIPDNNLPKVAVIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSFWGDQEFMVIDTGGVITLSKSQAGVMEELAITTTVGMDGIPLASREAAIARMPSMIEKQAVAAVEEAAVLLFLVDGQAGLVAADIEIADWLRRNFSHKCIILAVNKCESPRKGQMQALEFWSLGFTPVPISAITGTGTGELLDMVCSELKKFEGLEGLDDFEEEEEENRIPAISIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTELTTEDGQKYKLIDTAGIRRRAAVASAGSTTETLSVKRAFSAIRRSDVVALVVEAMACVTEQDYKIAERIEKEGKACVIVVNKWDTIPNKNNESTTHYELDVREKLRVLDWAPIVYCSAINGNSVEKIISAASLVEKERSRRLGTSILNQVVREAVSFKAPPRTRGGKRGRVYYTTQAAVRPPTFVLFVNDAKLFPEPYRRYMHKQLRSDAGFPGTPIRILWRSRKRTDKQQRKTNTQARNALVAAS >cds.KYUSt_chr2.14219 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89948734:89951680:1 gene:KYUSg_chr2.14219 transcript:KYUSt_chr2.14219 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPWPGIGIGDAGGLWAPPPAQLDALFSDDHPPPAAAALGFFGGSLAQLPSPPPLLGYPPQDNFDVFHEQDLARLAAQVAQKAELQQQLSEHGILGRADGSSFPLATPKLASQHVSSSVIVPTLPSHADSINTGSAGVLQALQGSSITLDRPADDGYNWRKYGQKAVKGGKYPRSYYKCTLNCPVRKNVEHSLDGRIIKIVYRGQHCHERPSKRFKVCGSLLNELDCFNDTEDASSRSQFDCQGYYGKPITSIGTMGDPSLPTKEEGDEQFSGSGDNREEGDDEIGAVDGIVGDANANERNAPGQKIIVSTTSDVDLLDDGYRWRKYGQKVVRGNPHPRSYYKCTFQGCDVKKHIERSSQEPHAVITTYEGKHIHDVPASRNRVQAASQPYCTEETYTDQTPANFCSSSEKRNYGTITLNHLAF >cds.KYUSt_chr6.768 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4881987:4884137:1 gene:KYUSg_chr6.768 transcript:KYUSt_chr6.768 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNPRAGGDPFSRQQNPNAPPRSGRSPPTSPERGAATVAVGELFSDLSIRRPQPAGNDDDDDFIVAEMIGLGAPAPIEDFEDVGLAPAVLENVGYEFPTLLQSFSIPVVMAGRDLLVVSSAMSTGKSASICLPVVSRLLQTGPGQRIGIPMPRTVILASTIERATAHALSVRYALALPEASVGYVQTI >cds.KYUSt_chr6.8356 pep primary_assembly:MPB_Lper_Kyuss_1697:6:51310127:51311257:-1 gene:KYUSg_chr6.8356 transcript:KYUSt_chr6.8356 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSRHALRGGVRKSFARSRSGPATVVHHTVALKSSTLGSLSLDRDEEMIKWRADTSAGAATKKTTPAPAPPQPQPARRQRQQAPVVAPAKTPAREPPEVINVWELMEGLDDATKNAEEVADGADEPREPSPEFDPDVISEFRKALGEVSPPRDCQGGDGDLVRKREIQRFPGIVRARVSAFQQRIDAKLAKKTRPPPPTPTPPPSPPRLPPPPDSERKVVLYLTSLRGIRKTFEDCWATRSILHGYGVRVDERDLSLHGGFKDELHAALGTAGRLPQVFVDGGHLGGADDVRRMHEAGELSKALEACEMAPSGGVGGKGVAAVEACSGCGGVRFVPCEECSGSCKVFLEELDSFRRCPECNENGLVRCPLCCL >cds.KYUSt_chr1.17376 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100871851:100873233:-1 gene:KYUSg_chr1.17376 transcript:KYUSt_chr1.17376 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRDEVMASPFFRLLLRPWARRTHAASGGLCVHAEIEVEGILANAWNLAMADAILAPTAWVERLHPLTRSRADMGTFRLSAWCLDPVLIPREMDLHIVKPDEPLSLEDMAAPAQAVMPPHINTLAYTLLIYVTSTVDFRRNTPRHGAGDRTVDGDGRTPAWPTRRHYSYTRGVPDVLPGSAEGGSGGAPSSSAGQGVGGHGGSVWVLASGAIVGETVADPPQRAKRRKRGGRKIREMRARAAAEAAGGDVGDVLAVVGAEGEREAATSATATPILAMVDTPVHAEVDSLTHGPVHRSVEAAGGDAGEVLAAVGVGDGREADASTTATGAAVHAPVHAVVDPLVHGPVHRSVDRVQVIERPVVGDACARVGSAVGRPVLERSGSNMAAGGGDRERSFRVGALAIPFPAFACESVAVEPPSLQTLTLDDVAGPFVPRPLLGLEAFASPAPPDAAHGWARRG >cds.KYUSt_chr4.51514 pep primary_assembly:MPB_Lper_Kyuss_1697:4:319617099:319621279:1 gene:KYUSg_chr4.51514 transcript:KYUSt_chr4.51514 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSPGGGQGHPLVVSLNCLDDPSLEQELLSGVAGVEHVPLSALTTGRVESAAAVLLPSLAALPRAAQRRLRPWQLLLCLGSADRAADAAAAAALGLRLVHVDACRADEVADTVMALFLGLLRRTHLLSRHASSSSSSPAALAAGCLGAVQPLCRGMRRCRGLVLGIVGRSAAARCLATRSLAFRMSVLYFDPRYLAEGKMRRPSIVFPAAARRMDTLNDLLAASDLVSLHCTLTNDTMQILNEDCLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGVEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITILQSFFFDGVVPSSSISDEDEEISEAGNEDGQDKETKDSHSQVFDGEQQTDESHLTLSYEKKRAISQHKEPQASGQSQHSGSRTEVRRSRSGKKGKKRPAHRRSQQKTDDLSAVESDRDDDTAMSGRDQVLSSSSRFASPEDSKYKQEFPAESPMEITSEKKLPIALGRKYPDKLKDGFVVALRSKDNSGFHVARQRRAGGGGWILDLVSNATNRDPAAQFLVTFKNNDTMGLRSFVAGGKLLQINRKTEFVFASHSFDVWEGWVLEGSLMEGCRLINCRNSSAVLDVCIEVLAAASEEDGVTRWID >cds.KYUSt_chr1.42795 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261880469:261882713:1 gene:KYUSg_chr1.42795 transcript:KYUSt_chr1.42795 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSALLRAAAAALRRRARIAAPLQSISLLTHTLTPPPFPDPTPSPNPAARRHLITLTRRPCPNPPSAAASSYYVDRILLPSTFSRPHSTDEGKEDGVPAAASWVERRLPEAARPYAMLARLDKPIGTWLLAWPCMWSIAIAAMPGELPDLQMLGLFGCGAILLRGAGCTVNDLLDRDIDNKVERTKTRPFASGALTPTQGVCFLGSQLLLGLGILLQLNNFSRVLGASSLLLVFTYPLMKRFTFWPQAFLGLTFNWGALLGWAAIKGSLDPAVILPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKQWISAFGAASIGSLALSGYNAELGWCSLSECDAL >cds.KYUSt_chr5.31630 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200440562:200441080:-1 gene:KYUSg_chr5.31630 transcript:KYUSt_chr5.31630 gene_biotype:protein_coding transcript_biotype:protein_coding MAALINTTLSVPASLTGAVGAPRPRSRLAVVARAVRCHEQGGQESSRRAVVFGAAAVATALTAAVSRPARAESMPGGYVEMVVDDHVRGLGEWAVRQHNKESGEKEDVQFGEVVKAEGQVVNGMNYNLFIDGKDSRGDPGTYLAEVYEKAANKPGVQETLKLNEFVRLLKSS >cds.KYUSt_chr2.8859 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55804152:55808400:-1 gene:KYUSg_chr2.8859 transcript:KYUSt_chr2.8859 gene_biotype:protein_coding transcript_biotype:protein_coding MPREEQGCGFPLAVVSCSIRARGSGLPLDLVCNLRNLPMASAAYIDDSCSEVIDPPKSDVLDVAELVGDHIPLTPKPNVVASSSVRELLECPVCLSAMYPPIHQCSNGHTICSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKFQNFGCLGIYPYYCKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHSGSTFNHRYVKSNPHEVENATWMLTPAGSSARLGEGCGGHGRLLPSGEPRVPAGLLAEMAASVRRFHESPQEAEMPYYTCDLTKKLRFTSNFDLFRLPRPTGKTPSSSWCPAGVLRRGAQARRGNAGAALGPCSSGRHATARASPLQASCH >cds.KYUSt_chr7.13774 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85019956:85021350:-1 gene:KYUSg_chr7.13774 transcript:KYUSt_chr7.13774 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPAMATPAALSDPEALSDFHPGAKRPASLLGRARGNLTFRSVWSELNGAMGDLGTYIPIVLSLSLSRHLDLGTTLIFTGIYNAVTGLVYGVPMPVQPMKSIAAAALSDPSFDIPEIMAAGILTAAFVLLLGVTRLMKLVYWFVPLPVVRGIQLAQGLNFAMAAVKYIRYEQDLSKGKSAGRRPWAGLDGLVLALAAVCFIVLVNGAGEDQVQVAPGTIAAAQEEEGGQGNNSRRSWRRRWASAIPSAVIVFVLGVVFAIIRHPAAVKELRLGPSRMRVVHIPREAWKQGFIKGAVPQIPLSVLNSVVAVCKLTRDLFPEKEASATSVSVTMGAMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGACVAALGGLKLALGLLLGGSVLRVLTNFPVGLLGVLLLFAGVELAIAARDMSTKAEAFVMLVCTAVSLVGSSAALGFLCGMVAHGLLLLRTWTVSSM >cds.KYUSt_chr3.29538 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184845382:184847252:1 gene:KYUSg_chr3.29538 transcript:KYUSt_chr3.29538 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYAISISLHTCACIYPNVPGAPLSSPKGQTTMASLDPSLELKHVLLFSVPLLIAPLVILLYFQAVRNKKNAIRLPPSPLRLPIIGHLHLMVKEPHRSLQKLARSLGPVVYLQLGDIAAVVVSSPEAATEVLKTHDVHCCSRPSSPGKFYYPECRSPPSKPINLNETLFTLIDGFIGTVAFGRMSGAKLMKYAKFQQVFSEAMVALSAFSAQDFFPASPMSRWFDKLVGLEARYRRIFLELDAYFEMVISQHMDPGRVKPETDDLVDVLINLWKGQALTKDPLKALIMDAFIGGTTTSSVTLLWAMSELIKNPAVMKKAQTEIRNMVADKQTLQVDNLSKLKYLKMVVKETLRLHPPAPLLVPRETMDHVKVLGYDIPPKTRIFVNVWAIGRDPVRWEKPEEFYPERFDDISIDFHGSHYELLPFGAGRRICPAIHMGTTIVEFTLASLLHSFDWELPQGMTSQDVSMEGTGRQVYCRKTPLYLVPSCKKQTHFP >cds.KYUSt_chr6.29569 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187358980:187361816:1 gene:KYUSg_chr6.29569 transcript:KYUSt_chr6.29569 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSPSSLVALLRRNAATPAVALRLFLHLTSPSSPPPARSTSFLSRLLAADPSTHHLLPRLLRHILSLPNPSPQLLELLSAATSSPRRLPLAFSLSVFRSLRAPPTPVYNRLLLAALSESRLDLVESLYKDLLLSGAAPDVFTRNILLQALCAAGRMDLARRVFDGMPARNDFSFGILARGYCRAGRTTDALDLLDAMPRINLVVCNTVVAGFCREGRADEAERLVDRMRAHGLAPNVVTFNGRISALCRAGRVLDAYRIFNDMQEAWERGLPRPDQVTFDVMLGGFCDAGMVDEARVLVDIMRCGGFLRKVESYNRWLSGLVRNGKVGEAQELLSEMAHEGVQPNSYTYNIIVDGLCKEGKAFDVRRVEDFVRSGSMTPDVVTYTSLLRAYCSKGKTAAANRILGEMAEKGCAPNLFTYNVLLQSLWKAGRTAEVESLLERMSEKGYSLDTASCNIIIDGLCRSSKLDMAMDIVDGMWNEGSLALGRLGGSFASLVSSDSSLTKRCLPDRITYSTLMNALCKEGRFDEARKKLIEMIAKDISPDSVIYDTFIHGYCKHGKTSLAIKVLRDMEKKGCNPSTRSYNLLIWGFQEKHKSDEILELMSEMKEKGISSDVMTYNSLIKSFCERGMVNKAMPLLDEMLQNEIVPNVTSFGLLIKAFCKLPDFSAAQRVFDVALSTCGQKEVLYCLMCTELSTYARWIEAKNILEMALEMRISIQSFPYKKIIVGLCDISEADHAHSLLKLFIAKGHSFDPAIFMPVIDALSERGKKPDADMLSEKMMEMADRNDGNVTVSGAVTPRSGKHEQDKSPESDWHALLHRDDSARTIMKITNRVRTGWGQRGNVCGHKRKQDDDIYVLENTG >cds.KYUSt_chr1.9402 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57347275:57355609:-1 gene:KYUSg_chr1.9402 transcript:KYUSt_chr1.9402 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPRQSQQQRHRRLRLRRVFDLFDCDGDGIITQAELSGALGRLGVALGAQAGALDSVVASYIAPGMPGLRFADFEALHDELDGGEGEGPEEEDEESEMREAFAVFDENGDGYISAAELQAVLGRMGMPEAGSMARVQDMIAAHDRDSDGRVDFQEFKAMMADGREGMMSESEEHGSLLEKINDKIHDYKKDSSSSSSDSDDDKKKSHKSKNKKKKLFGRMHPLHHVLGGGKAADLVLWRDKHTSGSILAGVTVVWLLFEGIGYHLLTFLCHSLIVFLTVCFVWSNAASFINRSPPQFPDVILSETQCLRIAHVLRKEINEAFLTLQSVASGKDLKTYLKSIGVLWFISIIGSCFSFLTLSYTIFLMAYTLPMLYEKYEDEVDVVGEKALIEIKKQYAVLDEKVLSKIPMLSDKKQH >cds.KYUSt_chr2.2746 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16399053:16399628:-1 gene:KYUSg_chr2.2746 transcript:KYUSt_chr2.2746 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRALAALAIFLLVALSISHIASALRPSLGVCHASGYLPGKSGNCEKSNDPDCCEDGKRYPQFHCSPPVTASTKAILTLNSFEKGKDGGGPSECDNAYHSDEEMVVALSTGWFKNMGRCGHRIKINANGKSVYAKVVDECDSVYGCDEDHNYEPPCANNIVDASPAVWNALGLDQNVGMEDITWSDGDN >cds.KYUSt_chr6.23026 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145480657:145481582:1 gene:KYUSg_chr6.23026 transcript:KYUSt_chr6.23026 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRCFDERPDFACVSRATSPFPKRAVIYERAPKALPGSYKLWHAYLRERLDHARPHPISHTAYASLNNTFERALATMHKMPRVWALYLASLLDQRSLTRGRHAFDRALRALPVTQHDRIWPLYLRLASLHACPVETSFRVFRRYLQFDPSHAEDFIEFLVSSNRWQEAADRLASVLNDDGFRRQEAADRLASTSCLQHLRRRCACFASPRTITAARTGEKGEPSSGGGGGGGGPTWDGWRREEPPGAPRPTATQRRERREAAAG >cds.KYUSt_chr7.6581 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39769657:39770811:-1 gene:KYUSg_chr7.6581 transcript:KYUSt_chr7.6581 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRTFGSPATSTRKSHESDDEELPRSDGESDTDNSCGDGANSSSNDDDASQEMETVQADFAFFDPKPSDFHGVRMLLKTYLDSKPWDLTGFIDLILEQRPRWAPLSRWPKTRRRGKQMAPMAATTTTCSENRCIKDLKDYLLGVCGDKDTKKKLGALLEEKPSTVGLLVCRHFVNFPYEMVDTSQTYP >cds.KYUSt_chr6.2664 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15571954:15574446:-1 gene:KYUSg_chr6.2664 transcript:KYUSt_chr6.2664 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMVTIVLLLIVLHSLVAIASAEQQPALARPGCRDRCGNITVPYPFGIGAGCYRDDGLQGFQLECDDSGLTIFGYNHRLAALSLASGETRAYLNATRECFNSSGGFVERNNNTSYMSLGTSPYIFSSTKNRLVALGCPNLGFFVDAQGYYVSGCMSVCRPSQYAMPGLCTGVGCCQSPIPSGVSFYEPHQRNFPQDDPTFGTNATTCHYVFLVETEWFSYSDRAFLNRTDVRNVGSCSAARRNATDFACRSADSECVDSTNGAGYRCKCSKGYEGNPYLDGGCTGSGVGLILLGSMLCCLSLWLQKSKLIRTKQRFFEHNGGVILQQQMRSYSRAAGDATGGFKIFSAEELKKATNNFATDQILGRGGHGIVYRGVLADSSVVAIKKSKMMEEAETKEFAREMLILSQINHRNVVKLLGCCLEVEVPMLVYEYVSNGTLYQYIHGGKGLNADTALDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDGSLTAKVTDFGASKLAPNDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKVLSFEGPEQDRSLVSRFVMAVKAGQHDKLMDDKVRKDMGSEALEEVTHLVVRCVSMNGEERPGMKEVAERLEALRRYQRHPRGQAGGGDLGEEEDQERSLLGGKQQRDVNYKFRPHDVLDLEEGSTYTFSL >cds.KYUSt_chr4.44841 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277509293:277519604:1 gene:KYUSg_chr4.44841 transcript:KYUSt_chr4.44841 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAGAAPPVTGDRYLNLLVRFVATHAGELLDGSLTLRLHPVGLHYVASRLDALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVLAAGPARDPAPLSLLPFARLRVLELRGCDLSTSAARGLLDLRHTLESLVCHNSTVRLSHVSPTPDALRHVFVSRVMDVKDSPVWSRLSHVSCASNGLVLMDESLQLLPAVETLDLSRNQFAKVDNLRKCTKLRNLDLGFNHLRSVSSLNEVCSRVGKLVLRNNALTTIHGIENLKSLVGLDLSYNIISNFSELEILGSLSLLQNLWLEGNPICCARWYRARVFSLFHNSENLKLDDKGMNTQEYWEKQVLFASRQKLPAGYGFYFPAKDDHEDEETSNSMMKKISRLACIVEEERSLSDEGVDQQSSPRDSASSKKDEAAAADNDIKITSLISTAELMKKERSTDWLREFKEWMDEHMEDTEGDGLYGDFSNRNGRQNKQIKREKMHMESSKSVTDLAKTSEGGSSSNLLESELSFTEDACNGANGITTESLNEASAEQIDSKVHLNSVLPLPPLEFVGTPHSDSFSEVESSGRNLHTNGTHSSAISELIEPSPSLAYPSPHSPPQFKEDILRRRLFLEEEFLQSSGDFQCVGSLGSGSSCSDDSSGDLCSCNSEDDCVAVQTKMGLALNGQMASFPYADSDNEEMDGMEFSSREDIMSDCTAEDDLIFKDATEFGIKEPDYRSQRNGHLGQDSGHLVRQNGKQKFMKRILSTFKNHNGTKLGFLKANGGEVDEGVSVGANGHLSNDMSRSTSFKDQISEKHNSNILHENNLSIGADTVSCNTDRNKYKLIEDFFNMEVANNEESEICEQGACCGYMFQDGSVLVQREVALLRSSQNKLYVLLVDMAQDEQETLPRVLGCYRLEDLEKVMIGLGLQALRVHLADDTAHLFLTRTSKEAQDVLWLLNLFSFPQLTSGVSLQSWEKIQVKLLEKCISESLKMGIFLYSMLMFCKNDTEEESLAIRSLVVTEGSIFVCIENLHQFGCFPDDSHPPYFSIDECCSINSIKEVVVDRRDKKCLTLILDKHVHEGRSHSSTINSQSKQEDEICAIHTWKLKWFAEDTLLKFISVLKALYSAAAAASTLPVKCTS >cds.KYUSt_chr4.43167 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267439319:267447292:1 gene:KYUSg_chr4.43167 transcript:KYUSt_chr4.43167 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHTPLLRLLPLLLMLLPSPLRDYLSPARHELGGHGQLEARHPIIIFPGFSCSNLEARLTDDYTPSLPHCGALKGKGWFPLSTNPWDLVEHDYIPCFEEQMSLVYDPVLNDYRNRPGVETRVPNFGSAYGFSIKIDGATEYCFIKLNKELEALGYRDGDTLFGAPYDIRHAPPLPGQPSEVYSDYFARVKVLVQHASEKNGNKPVILVGHSFGGMIAQEFVNSTTQEWRNKFIKHMVLIAPTPPTGLTEVVTNLASGPTVIILPKVTAIALRPMWRTFASSILSMPSPWVFGDKPLIITKHRNYTAYDYSDFLTALGFSNGLMPFMKRVLPKMMRIYAPMVPTTYLNGIGVQTPEQEVYMDGNFDVAPEHVYGDGDGSINLVSMLAFANELHRQHLESNIYFNFIKIEHATHSGIIVSDDSLKIVIAEITAVNPPSRGRTLVLTVIGSGRTIGGLRVNFIDSRWIFKVKLHADGFIERYTARLVAKGYKQRYGLDYDETFSPVDKPATIRLLLAMALSRRWHLHQLDIQNAFLNGFLDEELYVHQPAGFIDSEKPGHYCKLVRLLYGLK >cds.KYUSt_chr3.33642 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211262840:211266232:1 gene:KYUSg_chr3.33642 transcript:KYUSt_chr3.33642 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLDLQGRHGKSRVRVSRVWRRPAADGGHLFVEWSVAVSLVSDCLPSYTSDDNSAIVATDSIKNTVRSPTPSPAILALRALALDAFTFLLDQVYVKAKECTEVVSMEEFAVILGRHFTSLYPQVSEATVTIVERPWERVVVDGKPHSHGFKLGSEKHSTEVTVKKSGGLLINSGIQGYSLLKTTQSGFEGFVRDRYTLLPETRERIVATEVTAWWRYPFEHVSQLPSKPFCFTQRYQDLKKVLADTFFGPPDVGVYSPSVQNTLYLMGREVLTRFPDIASIQLRMPNLHFLPVNLSGKENPGLVKFADDVYMPTDEPHGTIEATLTRANSKL >cds.KYUSt_chr1.26178 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157471791:157475155:1 gene:KYUSg_chr1.26178 transcript:KYUSt_chr1.26178 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSAVLAILSVDGASLSNPEKWTCFVNCILQCVVHTVPLVLKLLKDDHIDACPHFDFSLGDSDKFCCYCSLKLHADEIIKRSGCVLYPKKFVELLNLISENFEWGQHQDAHEFLRYLLDKLDEASVPHSPPSEGSSSIVQQIFGGQLKSQLHCPECQHCSDRLEPFVDLNLEVTQMSSVMDALHSFTKIEVFENFPCDGCKSRVNMEKQFKVEQAPEVLVIQLKRFQNLGSAISKIQTKVEYQPELDLNPFLSTPEDVMKICKDRALDSEAYLLFYVKQGSSPWFSTLLKRKKNSSGDSDEGSYTCSGSDSDSDEQENEGSPETPSWCNEVRDTNDSPDGALGTLGLRSVGPNSDNITKGKCITSIVQEKAIEDEPIKQFKDMLINKLRMAGLTGSEIEEAAAVILARTEESQMVFALKASRREYIVETLDDGYKTGLKKRRRNDTKVEGHELGEVSREEEESGVGSKGGDPRECGGRR >cds.KYUSt_chr1.5099 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31449639:31451061:1 gene:KYUSg_chr1.5099 transcript:KYUSt_chr1.5099 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRREPAIGRVFGDDGKEDDLVGDDDSGDDGEEVMEVRRRVSRFAVDGDSGAGGASEVARRRVSRFAVEEVGGVVPDRRRGTVEGVRALPPPHAWLAVEETKHSKGGGFGSENEEQWARLLQRGGSAQAEAAEAQRQHQPRRSSFSVVRRERGAREAWLDRAWEMKRNWHERNGGAPDADTPVVVVVGTKQQQQHGGSGTSSPSCSSPHRHGHAAAGVAMDMEEVRACRDLGLDLPSDCAVEIQCYGISAGSSPTHSNAGSSSPSTPGSCSISSPSAGEDPVDVKARLKVWAQAVALASTTQLGS >cds.KYUSt_chr2.32206 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198695905:198696426:-1 gene:KYUSg_chr2.32206 transcript:KYUSt_chr2.32206 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTASAGSWGLVSSSCEEGDHELLGGDVPARWPRRGHGTDACRLGHPLADPWRRGQQAQAGGNAGAGGRARARAWSYANGHRVRRRPASVLTRRCRGRWKDGPRDAGELPGAGLDAEWGGEAAPEWGGGGQSSNLGKKRNRRNETVDWLSTLDFDVRLGARSRGAHANIYFV >cds.KYUSt_chr5.4445 pep primary_assembly:MPB_Lper_Kyuss_1697:5:28415255:28419160:1 gene:KYUSg_chr5.4445 transcript:KYUSt_chr5.4445 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPTADMFGGGMPMFGGDMGGMTGMGSMPMPAMGGMAGMGSMPMPAMGGMAGMGGYGGMASMGGPSYEGVFEGTMGGSVWRFLYHRTPNTTRSTNKIQKKRGTYRGVEMAVSMIQEYAIQLIMDAGVNAGEAIQLNKYISDLRTSLPRARLLIDRAEWGRLKSSDLATLLSKLKDTTYDAEDLLRAFDDQLARQKIEDEGRGRAGRIFSSSLNIFKVWISGSSERLTEAKENLSKVMDEVERVVALMGLDVPGAQQLMPETSPVLTGPVFGRDEERDVAIEKLGVTIGREEVRDLLIQQMGVPLVAGARGGESALGNERAAQGSAKRLKGESSRGAELTATSNLSVLPIVGIGGVGKTTLAQYIFNDARVEEHFSLMLWVCVSDIFDNKRITKEILESIPRDGNQPFNSLGSLGALQKELKKRLQGQKFLLVLDDVWEITKMKWENLCAPLWHGHDGSMVLVTTRFQKVVDLVGTFKSIIPLEGLPTTVFREFFSKCAFGEEHPGSYPQLQDIGHKIADRLCGSPLAAKTLGRLLNMELTVERWRTILNSELWQLPHEENEILPALQLTYLYLPDYLKRCFAVCSMFPKDYSFERDELVRFWVAQGLVKAKENMRLEDVGLAYLDDLRSRFLFQNDPKSPEQDKYVMHDLIHDMAQSVSVDECFLMQGLSCQNRRRMPPHTIRCMSVEVDNEALSRMGGVDHLNKLNSLRFGMGLKVEDSWFKQLPNILFLSLKGCKLEMLPESICELNSLRYLDISNTAIKELPHKLWSLYTLQFLDARCSGLTTINQGVTKLVNLRWLKVRRGLDKLSGIGKLSSLQTLYKFTVGKRDGRKIGELNGMNQLSDILHIRYLSSVQSEEEAAEARLVDKQYLKHLKLDWRHRDRDFMLRSREKMSRVLEGLHPPSSIESLTVVKFGGDNFPPSWLKQENLPALKTLSLQYCDGIKQLVLHGVGNEVENLRTTADATPSDSTSDNSVQQASQQQQRKCMSSL >cds.KYUSt_chr4.21102 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132910972:132911613:-1 gene:KYUSg_chr4.21102 transcript:KYUSt_chr4.21102 gene_biotype:protein_coding transcript_biotype:protein_coding MADTNGRSRRAAGDALRSAFHTLLFSFLFSGSQTSPMASKHSMQLILPALVFLALVSAVVPATTHLRFYMHDIVTADASSPATAVRVVRGVAPLPNDPTNRFGDMYAIDDALTEAPGASSPVVGRAQGYYLFASRTDAALLLSANMVFTAGKHNGSAVAVFARDAILDTVRELPVVGGSGAFRGATGYGLLRTHTFNATSNNAVLQIDMYLDV >cds.KYUSt_chr3.27497 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171564541:171570639:-1 gene:KYUSg_chr3.27497 transcript:KYUSt_chr3.27497 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCSEQAHARQTSPLAQPKRPSRTVPRRRPLCSDQLFSAPTSSLHLSTPRPQPPPRRRPPSAAPSPLPPLLVRPGAPPAVSAQSGARPPELRGCVPSAPRPLHILVRSVPPSPAPSAVPWPSSAPELSLKFLNFDMTGSRKQELNSKKKLEKKLSFYTKVKDTVTSLNAKKAISKKKKQRSRQKKLKAYDFSELSEFLPETTSSQKQTEVKLNCKSKQALVQRESAQLNAVLNNPQFQLDPFAAIHQHLVLTQPPSSIKEDDPAKIGKKSRKDKKRKKKKNGALSATESMYI >cds.KYUSt_chr2.9247 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58228295:58234228:-1 gene:KYUSg_chr2.9247 transcript:KYUSt_chr2.9247 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDEPLYANFLRVTGVDRPYREELLSKMDPDGNKVVKEVIFGPGEKKYRYCKHIAKQRLPNLTADLMKKGKHFILIRNPLNILPSFDKVVPPSFMELGVAELVAIYSELCELGSPPPVIDADDLQRDPEAVLSGLCEDLGIPFQPQMLKWKAGPRDFDGIWAPWWYESVHKSTGFSKSRHYPMTFPFAFYDLLEQSLPFYNMLKRHVRKTAGHLQPPQSDPPLPVPENKKILVWVGDELLPRDSARISVFDSVVQGGDAVWEGLRIYDGKVFKLEEHLDRLFDSTKAMAFSNVPSRDWIKDAIFKTLNANGMFNNAHIRLTLTRGKKVTSGMSPTFNLYGCALIVLAEWKPPVYDNSHGIKLSTATTRRNSPNSVDSKIHHNNLINNILAKIEGNLAQAQDAIMLDQDGFVSETNATNIFMVKKGIVLTPHADYCLPGITRATVMDLVVKENLVLHERRISLSEFHAADEVWTTGTMGEITPVVMIDGRDIGDGKIGPVTRQVQNAYKVLTAGLGVPIPRNAEA >cds.KYUSt_chr5.15243 pep primary_assembly:MPB_Lper_Kyuss_1697:5:98452264:98452551:-1 gene:KYUSg_chr5.15243 transcript:KYUSt_chr5.15243 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQQDRSAPKTHANGTAAAPERKDGKVVHYKECQRNHAAGIGGYAVDGCREFMASAPEGSPTALLCAACGCHRSFHKREVEAFDCDYESDTSGR >cds.KYUSt_chr3.45596 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287251354:287255968:-1 gene:KYUSg_chr3.45596 transcript:KYUSt_chr3.45596 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTRGHRRLLAAALALLLVASSGVARAGPTGPIKTVVVVVMENRSFDHMLGWMKRLNPAIDGVTGAEWNPASTTDPSSPRVYFGDGAQFVDPDPGHSYQEIRQQIFGSDDASGPAKMNGFVQQATSIGGGNMTDAVMNGFAPDSVAVYRELVSQFAVCDRWFASVPSSTQPNRLFVHSGTSGGATSNNPELLARGYPQRTIFDNVHDAGLSFGVYFQDVPAVLFYRNLRKLKYLLNFHPFHNTFRDHARRGSLPNYTVIEQHYMDNKDHPANDDHPSHDVYQGQMFIKEIYETVRASPQWNETLMIITYDEHGGFFDHVPTPVDNVPSPDGIVGPAPYNFTFNRLGVRVPAIMISPWIEKGTVVHGPNGPTPASQYEHSSIPATVKKLFNLPQDFLTKRDAWAGTFEGVVQTRTEPRTDCPEQLPMPTRIRQTEANEEAKLSSFQQEIVQLAAVLNGDHQLSSLQDRIRDKMNVREGTSYMRNAVRRFFEAGMSAKRMGVSDDEQIVKMRPSLTTRTSSSSPAVEDDNRP >cds.KYUSt_chr4.10053 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60801052:60804552:-1 gene:KYUSg_chr4.10053 transcript:KYUSt_chr4.10053 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPAASRRAEEAGSRRRAEEEAEAEAAAERDGDSSDYSSEDEGTEDYRRGGYHAVRPGDTFKHGAYVVQSKLGWGHFSTVWLAWDTAHSRYVALKVQKSAQHYTEAALDEIKILRQIADGDPDDSHCVVKLLDHFKHSGPNGSHVCMVFEFLGDNLLTLIKYTDYRGIPLPMVKEICRHVLIGLDYLHRELSIIHTDLKPENILLVSTIDPSKDPRKSGVPLVPPTAKTDAPVPKAAAPSVSSGLTKNQKKKIRKKAKRVAASTSEGNGTVASADTDESDDRGDLGTANEGSSPSQDGDRKRGGGRRRGSKGARKRMAMQADLSCKLVDFGNACWTYKQFTGDIQTRQYRCPEVLLGSKYSTSADLWSFACICFELASGDVLFDPHSGENFDRDEDHLALMMELLGMMPRKIALGGRHSREYFNRYGDLRHIRRLKFWPLSKVLVEKYEFSTIDATAMADFLVPILEFVPEKRPTAAQLLQHPWFDAGPLRRQPIALPDSTENPVDAALENNGKENDDEGDAMTTELGNIAIDGASSSRTVKDPQASSKQKANGTPKK >cds.KYUSt_chr4.3280 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18631129:18631764:-1 gene:KYUSg_chr4.3280 transcript:KYUSt_chr4.3280 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWQRDCCNCDSCSWTTVAIWAAVAAVIAAVLTVLIIAFAVFKPPTATADDALLTRFSLSAAANSTQLLSYNVTVTMSLRNPNMYRSISYGAMAAAFSFNGTRFEDDATVQPFDQGAKKTTTVRVTVGGVAKALPMLSAAGPAEFAREKEAGQFQVEARLDGVMQYKGRGKKCPVAVICPLLLQLVDPDVAATAFERTKCTILRAKTSGC >cds.KYUSt_chr3.37072 pep primary_assembly:MPB_Lper_Kyuss_1697:3:233186457:233187008:-1 gene:KYUSg_chr3.37072 transcript:KYUSt_chr3.37072 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKHTMQLLPVAVSMAILLVGAAAATKTTTHLKFYMHDIVTAVPPSPATAVRVARAVTQLPPLEPAFRFGDVFVIDDLLTEGPDAASPAVGTAQGYYMYASRTDAALMLSVNMVFTAGPHNGSTIAVLGRDAILDPVRELPVVGGTGMFRGATGYGLLRTYSINGTTFNAVLQVDMYVHARV >cds.KYUSt_chr3.29759 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186258637:186266679:1 gene:KYUSg_chr3.29759 transcript:KYUSt_chr3.29759 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRPGAGQSPPRPEAPQFNIDPEGAENEAWEEAVRADTIAVFDAATAEEAQRRRTDEMAEPWRAERQRLHMERELQYRERREAIEQRRQEAVERQQQYALNIEQFDAAKQLREKLAEIETEITRQREAKRGSSKNEAQDKSLDLLRARADLQKAIESENYALAAELRDAVTKLEGDSLALSAKALAYQGVKYEFRLGQKVRHKVHGYRAVICGMDPVCCESKSWMETANVEKLSKGPNQPFYQVLVDVYADPELLVAYVAEENLSEAEESEKGRFEHPYTEFLFFGEDTARDFIPVKQLREKYDQPRYSTDVALIFRGGHMFDNLEERVKEEEREVLFVFVVVLKGDPLGIQRLPNKFADFIAGNEPASLHLREAACSCCRWIVEVIFDARGKMYLHTGWEKFARYHHLDAGFVLTFSYLGEADMSVKVFDETRCRWHYHSYNAEEDDD >cds.KYUSt_chr3.30711 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192678002:192678780:-1 gene:KYUSg_chr3.30711 transcript:KYUSt_chr3.30711 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSAPDPPVTPTPPDPPVTPTPPDPPVTPSPPPPVTPSPPPPVTPSPPPPVSPSPPPPVSPSPPPPVSPSPPPPDDAPPPRAPPPPPPDEAPPPRTPPPPPPDEAPPPTTPPPPPNDAPPPDDAPPPRMPPGPPPPVEPPVMEPVMPPRPSPDADPNAGNTVPVFATSTKPDAYANSRNTVPVPTSTKANANANANANTNTGNALTSAAQAAPAFPAERARLISPAA >cds.KYUSt_chr3.9226 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53914127:53916667:-1 gene:KYUSg_chr3.9226 transcript:KYUSt_chr3.9226 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAQMAGSSFFQEACLPEQRAVEGVAFPAVLVPSGGPPAQESLDEFLAAVRSERASRVEPLLRDAGAVLLRGFAARTAADFDRAVDAFGYEELPYVGGAAPRSNVVGRVFTANESPPDQKIPFHHEMAQVPTFPAKLFFFCEVEPKSGGETPIVLSHYVYKRMNEKFPEFVEKLEKHGLIYTRVLGEGDDPSSPIGRGWHSTFLTKDKAVAEERAAKLGMNLEWTDDGVKTVMGPIPAVKWDEVRMRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADVIEECGKILEEECVAIPWQQGDILLIDNWAVLHSRRSFEPPRRVLASLCK >cds.KYUSt_chr4.42471 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263019843:263021565:1 gene:KYUSg_chr4.42471 transcript:KYUSt_chr4.42471 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAHASSAEISLRSIVPSGVDATADSPMSVLLAGKPLVAKSTNATTAFYRIKATDDSPEMSIQVSLFVARPPLVSHVVVWPKGGYFTDEPFIHSTHGGLILLSMAMRTKTCPFPEYYIYQPGCGHGGADAPSLELVPQFLGSSLVDEPRVVGLLSHGDRGGYYVAVLSKLGKGEFELYLFCSKMATWTIKKPLFLLEDGRELLEDGDVDFMPNNKVITVGGGVLAFVDLTKGILIGDVLEDSPEFYYIPLPSEFCQPWTNYPSQTRDVSINVSLDGTIRIKFIELFCPITLGAWAATTWITAAAATSPWQRLYEWDEHCRLEARELILGDGVSTAELLPEGLEFNGELNFGFLFVDMPMLSLDEDNIVCFLAKHHYLGWQVWVIAVDMVTKKLLGVHHLQMGRFPILFYSTISSHLNMSDTASGVEGDLEQLKTHPQSNPSNEASAAAASTHAVVRRR >cds.KYUSt_chr3.30446 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190718586:190721890:1 gene:KYUSg_chr3.30446 transcript:KYUSt_chr3.30446 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKFTHKTNEALVAAQEMASEAGHAQLTPLHLAAALAADRSGILRQAIAHGSAGNDSAAESFERVVAAALKRLPSQSPPPDTIPASTSLIKAIRRAQSAQKSRGDSHLAVDQLLIGLLEDSQISDALKEAGVSAARVKAEVEKLRGGDNRRVESASGDTNFQALKTYGRDLVEVAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLVALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVPDTVSILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVRKELDDLRDKLQPLQMKYRKEKQRIDEIRSLKQRREELQFTLQEAERRMDLARVADLRYGALQEIDTAIAKLEGETGENLMLTETVGPDQIAEVVSRWTGIPVTRLGQNEKARLIGLADRLHQRVVGQYEAVNAVGEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRVDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGVALAVTDAALDVILSLSYDPVYGARPIRRWIEKRIVTELSKMLIREEIDENSTVYVDASLGKEELTYRVDKNGGVVNAQTGQKSDILIQVPNGAVGSDATQAVKRMKIAQDGGDVDDMEEE >cds.KYUSt_chr3.31277 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196527994:196529415:-1 gene:KYUSg_chr3.31277 transcript:KYUSt_chr3.31277 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNKDPQVIMGRYRLGRLLGRGSFAKVYKAHNVSTGEAVAIKVFDKEGVRRSGTMEQVKREVDVMRRIRHPNVVRLHEVMATRSRIYFVMEYASGGELFAHLARSTRFTEPVARRYFQQLITAVEYCHSRGVYHRDLKPENLLLDAHGNLKVSDFGLSALSGGASCLRGDGLLHTTCGTPAYVAPEVIQKRGYDGAKADIWSSGVILFVLLAGYLPFKDTNLALLYQKIARSDYKCPAWFSVDARKILARLLDPNPKTRITVTKLMARTWFRKDPCPLDDKPLVTSEPVVFLGNQAVPHHDDTDEHEGARKRKRSKAIASSPTIRVRPTSMNAFDIISRSNVLDLAKLFDVPEHRSEARFSTKETTTAIVSKLGKIAEAGRFSLKLNKDKGRVELEGSQDGRNGALALEAEIFEVAPSVHVVEMRKTGGDSLEFRDFYKQELKPSLGDIVWAWQGGDSPPRTHAPAVPRPNT >cds.KYUSt_chr6.4660 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27303903:27304394:-1 gene:KYUSg_chr6.4660 transcript:KYUSt_chr6.4660 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMDTDAPEGGVGAARPDESVVPDTTAAPAALDESTSRLAPGLQRPAAVGPPAPAAAATALRKRTPGRWRRIPRPERTEEYLWRPRDHSPSVKAMMDEGDLITLKNIQQIENMREEDCDLFTEQDRLDFHAFKKKMIPALRGIVDSKPDNTFFTYTKFSDTP >cds.KYUSt_chr2.48665 pep primary_assembly:MPB_Lper_Kyuss_1697:2:304387965:304393176:1 gene:KYUSg_chr2.48665 transcript:KYUSt_chr2.48665 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRQTNEVLQLCLNGDGGAGETTCRRCATSTRRRRSHAPASGLFPRFLPASYRDADVYKRPLPLNGSHHSRRHPFLHRKHIPLVGAGQFPPPPSPPPPPLPQEFDVDPEAAENEAWVEAALADTIAVFDAATAEEAQRIQAEEMGERWHAERERLCMERELHHHERREALERQRQEALEQQLREEADALAAQMEEEEDDTDDDFDCLTKTLGTYTGFLACQCLQIDRSDMGAQKLPAAPVADLCDANASFILTGELRILEPVFQEYGQCISFSGQVVTMRVLEHNVGESELLETPGEGRVLVLDGRGSKRCAIMGGNLAEQACRNGWAGAVVNGCIRDVDDINTFPIGVRALASHPRHPGTDGVPELHVDVEFAGAVIRDGEWLYADTDGIIVCSKEIYGAKQKTRTHARGIDLSGDEHRRLEAWKVIHRRAEPTSTLMSVAIGIMMVSLWIAVFIFRPDWLDLGLIVVCMFASVVGIFLLLKTEQVEQKRKRLGAKCQVVSDEV >cds.KYUSt_chr3.43195 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273039930:273041634:-1 gene:KYUSg_chr3.43195 transcript:KYUSt_chr3.43195 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGTSKEAVISSDGSGRCSRKLFRRKSSVVATGAHQPLAAKDNGDVAKHHKAASAPEKAVVKGSGKAGVTAPVVKGSDVVPSTVIGAKVIGEKKEGEEIKKDGKEVAITATDATGEKETATEKFTGGKKDEGVSDKEVVADLIKDDVASATGSVVIVQEEEEGTENGEPVEVSIVADEREDEDQGVSSAGANDEEGWSTEKDIEEIAVDEDDSTVTFADGPVGVAAVADDGALISADAPDTEEDEITDFPSATVAGDDGVAAPVAKEDELVSFASAPLAEEVDGVILPAAPVGEAVDVAALTVTPVAKPNGSAVSIDAPVAKNDESVTIAATPEVPEDDVAATVDAAASTTANEDEGVMSTAAPASTEAEGAPTVDVAAPATTNYDETVASAAAPVANEDESLTSPAASEVEVAEQPTPSGNDDELRNEQELPEPTAVEEPASEADDETEQAKEPEELVNVEQEEDESVVPQEPEEEATSAAASRNGDGESDGKQAVALNDAANTDQLPEGEEPSVEEKRDDEEPAAAPIESSLS >cds.KYUSt_chr7.2183 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12715980:12717068:-1 gene:KYUSg_chr7.2183 transcript:KYUSt_chr7.2183 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQSRETTASTCAAKTACGTHFFKIEGYSLYRGLGVGNSVQSATFAVGGYDWCLSFYPDGYDNDSQDYVSVFLALKTANTKVRALYDMTLIISQGTQPPLQPFTWPNPWHIEPVVFDYRGEWGYAEFVKKSDLKEYILDDTILIECNIAVIKFMEAQVQDTKMKSEVQVPQSDLLDNLSDLLEAHEGADVSFEVEGQVFPAHKIILAMRSPVFKAEFYGPMRNKCGQSVTIEDMQPAVFKALLHFIYTDSLPPMDDLNDDDHEAMFKHLLVAADRYAMERMKLMCERELSKNLYADTVATTLALADQHHSNQLKDACIEFINSSDKMDDVVASKGYEHLKRACPMIFADIWEKAAKIRKM >cds.KYUSt_chr1.25658 pep primary_assembly:MPB_Lper_Kyuss_1697:1:154066123:154070856:1 gene:KYUSg_chr1.25658 transcript:KYUSt_chr1.25658 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLVNCSGCRTPLQLPQGAPCIRCAICGAVTHVAAAPAPGPLAHADPARGPPPPPAHGRKRAVIIGISYRFSRHELKGCINDAKCMRHLLTTRFSFPDDSIIMLTEEQTDPFKIPTKHNIRMAMYWLLQGSQPGDSLVFHYSGHGAQQRSYSGDEADGMDETFCPLDFETQGMIVDDEINTALVRPLPHGAKLHALIDACHSGTALDLPFLCRMSRTGQYVWEDHRPRSGVWKGTSGGEAISFSGCDDHQTSADTSALSKITSTGAMTFCFIQAIERGEAATYGSILNSMRWGARRPLPRRRSSPLPPAFVPASRPLLRSSGGGAWRPVSQNLAGDAVPALPSFPEKLRSRRASPARRERLVVAMSVELCELLSLRLHEEASHLLRGRSLV >cds.KYUSt_chr1.9751 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59579978:59581091:-1 gene:KYUSg_chr1.9751 transcript:KYUSt_chr1.9751 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRASLLAILGCLCFFSPVLGARELNDDLSMVARHESWMVQYGRVYKDAAEKAQRFEIFKANTRFIESFNAGGHMFWLNINQFADLSNDEFKATKTNKGFISNKEKVPTGFRYENMSLDALPTTVDWRTKGAVTPVKDQGQCGCCWAFSAVAATEGVVKISTGTLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTKESSYPYSAADGKCKSGSSSVATIKSYEDVPTNDEGALMKAVASQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTTTDGTKYWLMKNSWGTTWGENGFLRMEKDIADKKGMCGLAMEPSYPTA >cds.KYUSt_chr5.5556 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34699779:34701995:-1 gene:KYUSg_chr5.5556 transcript:KYUSt_chr5.5556 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCPAKPQWKWEAVPHGDNVFLISFPSFEDLDVVDGIQMAVPGFNSQMSVSVWKPTDVPHKFELEQVWLHVEGVPHNVRHFWGLWAVGSLMGKTLDVDLLSLRRRGVVRVLVAMFDTTKFGKKDAATFVKSDVVVKLKGYEFRFSRESPSYVPEADFVPFVWRKKDGDGDGGKGKEHEDAMDTSEFAQGTSDTVMHQAQGSSSSTVAPVGSSQVAAVLHAVTPFNSDPKTPRGIALVAALRKSHPSLERRSPTALVGSDMQPPLSLVDGHFSSKAVTAEELSVALSSVSSPSQRLVVQDVASEALAKKVQVTPSTSRGRRNVLGRTRPSPPPGDAALASHPAHGPGGGQLLPAHAGVDGGEQQIPAVGEQQLTVVGEQRLKSVSEQKHTYVREQQLTCAGEQQLTSMCEHALKYVGEKQFTSAPLEHGQRVAGAAPAGRDGEQQLLLAADQTERHGPARGCVGEQQLPLTADQPVSRGPASGVSLPGAVADRVLRLEMVVPREGKGGSSEAVATVGSEPTVAAIAPSSPPLATTPRSPTVTTGRVSTPTSPSPVVTPLRQPLHATPSRRSMRQVTATDGALASDEDSLSRAMRRKAASNLDSTGSEPSSKSFLNFSTQSISAKLNSVGVSLGSSVGTVNVSANALRHMEFDRLKVTPKVSSKPDISPVDEDELYSDTDGQLLSHLVGEVSEVGLDEAVLNSVYDLKASSRKSKSSSTKKTARPSKKAKVSKSTVVS >cds.KYUSt_chr2.14353 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90831506:90832031:1 gene:KYUSg_chr2.14353 transcript:KYUSt_chr2.14353 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRSSGSSTAGEKALVESVDADAACALLSTGQYGYVDVRMWEDFDKGHVAGARNVPYYLSVTPHSRPEKNDRFVDQVAALHGKDDRLIVGCRSGVRSRLAAADLVDAGFTNVKNLEGGYLSLLKSANPHPTAYHQ >cds.KYUSt_chr4.24072 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151572561:151573577:-1 gene:KYUSg_chr4.24072 transcript:KYUSt_chr4.24072 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDIILVSYIQENGPGNWRSVPVSTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGVIVHLQSLLGNRYAFTFIDRSWSRCEIVFSMAVMINIFLCFECRWAAIASYLPRRTDNDIKNYWNTHLKKKLRKQQAMGAIFAAPQGPGSSAATAADGNFDQHQHHDMISKVDYGYGAADNTEVSQLIARRPHSPFAADATADVCSSSSYASSMDNISKLLDGFMKSSQLPPLQNYSDGDDVKPRVSPLLSFDGMSGTGSSAETSFTASVQQPASMGGAVSYDDETKQQAHHAPLCSIEQWLFNEAAELELSDECYSVPMLF >cds.KYUSt_chr3.32574 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204805390:204808520:-1 gene:KYUSg_chr3.32574 transcript:KYUSt_chr3.32574 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGANGHAGNGAAEEKADELRRLLGKADGDPLRIVGVGAGAWGSVFCALLQDAYGHLRDKVQLRIWRRPGRAVDRATAGHLFDVINAREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETRDVFGEIGRYWKERVNAPLIISLTKGIEASIDPVPRIITPTQMICNATKVPLDNVLYLGGPNIASEIYNKEYANARICGTDKWRKPLAKFLRQPHFIVWDNNDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGHRLAKGELTLEMGDSIKGKGTIQGVSAVDAFYQLLSQDSLSVMHPEANKSVAPVEMCPILKTLYKILIKRELPTESILQAIRDESMSDPRERIEMAQGHSLYRPSILGQPNRDANA >cds.KYUSt_chr1.11686 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72043507:72053816:1 gene:KYUSg_chr1.11686 transcript:KYUSt_chr1.11686 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVSGRRSSASATAASTGSRAPKATSKEKKRVALGNLTNVGGGKAGAADAELRSSNSVAYVKKGSIASLPSVNKEWGSVTKPTSSQFDRAIAHHGSALPKENACCPYAPDIVPTSVPPGSLPGLSDDSVSMEVENPELKYLDNPESSAADSLQRRANDKLHISDNRDFTVSSWSCPTPMENDNTFDIDANYEDPQLCEPLACDIYKHLREAETKKRPSPDFVETTQKDIDTSMRAILIDWLVEVTDEYRLVPETLYLTVSYIDRYLSHKEISRHKLQLLGVSCLLIAAKHEEICPPQVEELCYITDNTYMKDEVLQMEASILSCLKFEMTAPTAKCFLRRFLLVSQVCHEGSALHLEFLASYISELSLLEYSLLCYVPSLIAASSIFLANFILKPTKNPWNTTLSYHTQYEPSELHDCVKFAMSLYLLFDSTHKAMDKPRCRFFWEGVGNKRKYHMIDWATVSIMANTFPKRTLRKVEGWLGDYDGPIIALLCGMLKELHCDPRIPVIKYTYYDGEILAKCRVSVQLPTKLLMSRVMPYGEAKTITTAYHMGLFKAILEIKGSTTSYESGYGGDDSRNLNCSESPIENSIGWRFGEPFGDEGEPITCESEDEGGAVNQNVNQSFGQEEKDTNSISLDLEMGLPKTSQYVVGESSGTKKKKKKMRGQVSRNPPWMAEEGELYSTGDTYESLSSYFGMTDLCLGTSSDSDYIPTGRTFIPDGVRKTNRCTGWTPGMYAEASYDDEE >cds.KYUSt_chr4.43909 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272165373:272166695:-1 gene:KYUSg_chr4.43909 transcript:KYUSt_chr4.43909 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARRARRSLATTKKLAAPAVAVELAIPAHFRCPISLDLMRDPVTAPTGITYDRESIEAWLDTGRAAVCPVTHAPLRRDDLVPNHATRRVIQDWCVANRSRGVERIPTPKIPVTPVQASELLFEVAESARAGDAAAAARCAAAVARVRALARESERNRRCFASIGTGRVLAAALESVAAAGSAIVEDVLAALVRMAPLDEEAARILSSPKSLGSLVAVAENGSLAGRLNAVLAIKEVVSSSDSACAGLSGKADEIVDALVKIIKAPICPQATKAAMVAAYHLARSDERVAARVATAGIVPLLIEALVDADKSVAEKALALLDAVLASEEGRASARGHALTVPLLVKKMFRVSDLATELIVSAMWRLGKSAGGDDGAVTRCLVEALRAGAFQKLLLLLQVGCRDATKEKTTELLRMLNKHKGAGECVDSMDFRGLNRLS >cds.KYUSt_chr2.43529 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270799862:270801247:1 gene:KYUSg_chr2.43529 transcript:KYUSt_chr2.43529 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTEKSAEGEGSPRAEAFLDILGRVPPADVEAALTSCGVGPTAEAAEQVLKSPICYTRPKSAVRFFRWAKRSVQLTAYAWNLLVDILGKAAMFDPMWDAVLSMSQEGGGLLSVATFASMFASYCARRNFKEAALAFDVMERHGVPPDAVALNSLLSAMCRQDGGAQPASEAFETYKTKVAPDADTFAILLEAWEKEGNVQRAKSTFGEMIVRVGWDRSNMPAYDAFLSTLVQGGQLNEAFSFLQVMRTNGCLPGLKFFANAIDILIRKGDHVNAIAIWQIMVSDAGLVPNLPMYNAIIALCCSVGNTDYAFHMLDEMPLNGVFADSVTYNAILEGLINQRKPREAEAFLAEMSKNEQLPSTSNCAAAIRLFSEEFNPSAAVDVWHCIVEHQITPAEESAKELIAGLLTFSRFADVKKYSEEMIDMGIKFPQSTIEKFKYTFDKADRLHIYDQIARRMKQR >cds.KYUSt_chr1.17533 pep primary_assembly:MPB_Lper_Kyuss_1697:1:101869882:101888344:1 gene:KYUSg_chr1.17533 transcript:KYUSt_chr1.17533 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVPGAGVPETTWPSPEVVGSAALFSSRLKLVAWAVVLETTAFCDHDVEELRWRRCVSPWTGNSTFPPRSHRHRGTPCVPPPNLKKTIRLSASASASLPPQTPTPRRRRLQALSQRRRERTHAYSRRDPKQQPPPAAAMEGFPGGTPDAQQLHATMLAIEQACSLIQVHMNPSEAEKVLCSLHSSLMPYQACRFILETSLMPNARFQAAGAIGDAAIREWGILTDENKRSLILYCLNYVMEHAGSPDGYVQSKVSAVSARLLKRGWLEFPDQEKGAIFFEVEQSIRGMHGPNRQFAGINFLETLVSEFSPSTASSMGLPKEFHEQCQWSLEVKFLKDFYVWAQAAVFNTADKILNSNVTIPEEKACSAALRLMLQILSWSFKPTLEHENSDAKIKSGLRSDAINLKKFERSLVKPGSSWTDVLISSAHTTWVLNFYTTLRQKYSYDTLWGDSPIAVSCRQVIVQLCSLAGAVFPNDNGDAQIEHFMHILSAVIMWIEPPNVIAESIRNGGSESEFIDGCHALLSVASLTNSSLFDNLLKSIRQYGTINLLSALTSEAVKSVLDNQNEEETWGSDALDILLETWNVILGEADADKSPMSADGALAASNLFKIIAESHLKAAADSAFEDNDDAEYFHVSVSKRDEQLALYALIARAAADTAIPFLEQLFSERFARLSQADVQNDPTRTLEELYWLLLITSHVLTDSGEGETLLIPEALQAGFPNVVEVAQHPVVTLSWSIINFSRQCLDSGIRGRYFSPRLMEAVIWFLARWVATYLVPLDVSREIDSMGKHGSQHSRKLLNSFAWENNQGELVLDFVVLISMVALTTYQGEIELQTLTCQKLLATVVRRKHTCAYVVQLDSWRDLTTAFASGRSLFSLSGRLQRSLAETLACAASCIKDPEASVQYLRDLMGPVAGCLVENASRSDLKSVAHQPDVIYMVCCLLERLRGAARATQPRTQKVLFEMGHTVMNSLLTLLEVYKNQPEVIYMILKFVVDFIDGQAVFLDGKETSVLMSFCLRLLQIYSSHNIGKVMLSLSSTLRSESQSEKYKDLRALLRLLTNICSKDLVGFLSDSNIEGSPDIAEVIYVGLDIVTPLISLDLLKYPKLSRDYFILMSHLLEVYPEKVAHLNRDAFGRIIGSLEFGLRNQDSDVVERCLAAVNALASYHFKERIGGRGGLSSQLMESEGSNGKLQESISSHFLRLLLQLLLFEDFRMELAGSAADALLPLLFCEQELYQSREAGASSSTSTVPTPTCLLCARGTGSGSEGGHMTDDTIFPRCLFDKSSNAHCLFDNTSGTRYDSDKVTARKESGKTMVDYKDDNKDKDSMFMHCFKKLQGVKKWDKVWLTLKGADDEENGSLPSTTALACHPIGNKKAKTERNGASSLADFYATVDNMVRSFSSKNKEMDEWGAAMWRAMLHKQDVKLGLERDKVEAAKMEAQSGMMKVMNEASHIAIAKMMQEAKILMVDMSGVVYVITANSTGCM >cds.KYUSt_chr2.32946 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203246659:203250759:1 gene:KYUSg_chr2.32946 transcript:KYUSt_chr2.32946 gene_biotype:protein_coding transcript_biotype:protein_coding MADGANPTPTPTSTPRQKAVPDWLNSPIWSAPTPRHRSPPRAPSPPPPPPPKPHRDPAPPPPPPPARPDGATSDSDSDSEAAATSSRSHLVAEFRVALERKVVDLAELRRLACQGVPDDAGVRPIVWKLLLGYLPADHALWAYELEKKRSQYRAFKDELLVNPSEVTRRMEMTTSKKKEHDSEGTGFLPRAEIVQDEHPLSLGKTSVWNQHFQESETVEQIDRDVKRTHPEMQFFNAGSSDALANQESLKRILTIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPDQSNAAEAESDAFFCFVEVLSGFRDNFCKQLDNSVVGIRSTISRLSQLLKRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCIHLWDALLGHLVTDLLCNANTCSKTALGWRLHRKPQASPELSTHKY >cds.KYUSt_chr6.1305 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8177032:8181435:-1 gene:KYUSg_chr6.1305 transcript:KYUSt_chr6.1305 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSPYASSPESAPKRAPRSSSPPPPQDRPEAEPEPEHEDEKEKPTHLRFLVSNTAAGCIIGKGGSTINDFQSQSGARIQLSRSHEFFPGTNDRIIMVSGQFDDVIKAMDLVLEKLLAEAEESNEAEARPKFRLVVPNSSCGGIIGKGGATIKSFIEDSHAGIKISPQDNNFVGLHDRLVTITGPLNTQMRAIYLILNKLSEDVHYPPNLSSPFPYAGLGFPSYPAAVPVGYMIPQVPYNNAVNYGPNGYAGGGGRYQNNKPGTPVRSPANTEAQESHTIGVADEHIGAVVGRAGKNITEIIQASGARIKISDRGDFIAGTSDRKVTITGSSEAIQAAESMIMQRVAASSER >cds.KYUSt_chr3.34486 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216445873:216446310:1 gene:KYUSg_chr3.34486 transcript:KYUSt_chr3.34486 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEASTGENETMSNEAVTGEECSPKLCPTKRKEPTAEHLHPLEGGPMENAVFSDKCADPIALAVEAFEQAIADVEVAAAADDDEDDDDRSITESEFAAFEEDERADYRRFWQEELLPRNPDWEFRDYSLVIKDVDVYDGKAAL >cds.KYUSt_chr6.24945 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158054322:158059802:1 gene:KYUSg_chr6.24945 transcript:KYUSt_chr6.24945 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARARDGRRASETEEDPTDATAAGQGALTAGERSGGEDFGVSSNSGRGEDGEGVDRDFVDGARHRARIRFLARLQPDGWMVERRSETWLGSGTEDVLQMLIGTANVVLMGNNLTFSFDDVEANFAPGVKGSGVNGIVYTAEPLNACGALTNKAVKGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLVSMAGSSSGIQIYAVFISKVSGEVLKKFSGSTDVEVWIIPTFENSAWSILAISFISLLAMSAVLATCFFVRRHRIRRDRPRNQETREFHGMSSHLVKAMPSLIFTKVQEDNCTSSMCAICLEDYSVGENLRVLPCRH >cds.KYUSt_chr3.33506 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210334229:210335232:-1 gene:KYUSg_chr3.33506 transcript:KYUSt_chr3.33506 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRRPSSPPQCSAHPLEDDDLLHEILLRLPPQPALPLRASTVSSAGDASPPTPSSSAASRPPREASLLGDFSYEVGSFSFGSTLDPPYRIPPRRLSLRSDGSEGWACLDCRHGRILFDDFPRLRDCANPQRAVLCAAGDQGHVHGACHSSPFKLVGLSACHHNDVASIFGSVYSSDTGVWSDLVSTTLPRKGINLLSHSALVGNTLHWLITTDSILEFDLVAQRLAVTKRPLGAPPRHDNVQITRSEDGGVGFAALSGSCSGWGWACARVSAFLMETAWASLVLIIQQPLPQPGKEAHPPWGQGRAH >cds.KYUSt_chr4.53313 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330145388:330148773:1 gene:KYUSg_chr4.53313 transcript:KYUSt_chr4.53313 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVDGPAGGAGYNGRVTSFVVLSCIVAGSGGILFGYDLGISGGVTSMESFLKKFFPDVYHQMKGDSHVSNYCRFDSELLTVFTSSLYIAGLVATLFASSVTTKYGRRASILIGGSVFIAGSVFGGAAVNVYMLLINRILLGIGLGFTNQSIPLYLSEMAPPQYRGAINNGFELCISIGILIANLINYGVAKIEGGWGWRISLSMAAVPAAFLTIGAIFLPETPSFLIQRDGNVDQAKMMLQRLRGTTGVQKELDDLVTASNISRTIKNPYRNILKKKYRPQLVIALLIPFFNQVTGINVINFYAPVMFRTIGLKESASLMSAVVTRLCATAANIVAMIVVDRFGRRKLLLVGGVQMILSQFTVGAVLAVKFKDHGVMEKEYAYLVLVIMCVFVAGFAWSWGPLTYLVPTEICPLEIRSAGQSVVIAVIFLVTFLIGQTFLAMLCHLKFGTFFLFGGWVCVMTMFVFFFLPETKQLPMEQMEQVWRGHWFWKRIVGEEEEEQEAGQTQTAPGTIALSTTPHNN >cds.KYUSt_chr3.33152 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208058586:208061045:-1 gene:KYUSg_chr3.33152 transcript:KYUSt_chr3.33152 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHPCVFHRVRFKRPPLPGAATPACETLLDPCHPDYTPFRGGGLDFCQTLVQLDPHPHCQVLGLWLLVQSLSFIVAGVSVTALLVFDDLKDKSFPVFVLLVSVTNVSGALAALSTLAGTILIEREWVVVICSGHPAAALSKTNSALRRIDLSCKLLAPVLSGFVISFVSTQASAVTLALWNVASVGLQYWLFVSVYNGVPALGENVQLRRKSAAALSSSEIVALANEEQRYGEEMSDWRVGVANYLSFLPCWDSWAVYMRQDVMLPGVAVAILYFNVLSFGTLMTATLDWNGIPAYLISLARGFSAIVGITATLLYPVVHSWVSTLRTGLWSIWIQWCCLLLCVGSIWVSDGVASAWMLMAGVAASRLGLWMFDLAVMQMMQDNVRDSDRCVVGGVQNSLQSIFDLLTYIIGIIISDPRDFSTLIAMSFFLVTCAALIYTLHVYLLRKHLFHLDKIIAKLEWLR >cds.KYUSt_chr7.27530 pep primary_assembly:MPB_Lper_Kyuss_1697:7:171926278:171926619:1 gene:KYUSg_chr7.27530 transcript:KYUSt_chr7.27530 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPTGVQAMVDPTGVQAMVDPTGVQAKVDPSGVQAKVDPRRSFLRRFTNSNNTEASSSGTVDCQNPPAGSGLSTQWRAGKSLELRLAETPPSDGPQCSSGHTRRCEVQGRAT >cds.KYUSt_chr4.45017 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278750045:278753356:1 gene:KYUSg_chr4.45017 transcript:KYUSt_chr4.45017 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRRLPGSRRFPKLGGGSARGARVSSSLPASPENAYPPSSTSSPTSFSPLLLSQFRAHPRFLLRYRQEAPTSRMAEQFYTVTSDSETTGEDQAQQSFPDVAIGIDIGTSRCSVAVWNGHQVQLLKNTRSQKGMRSYVMFKDDTLSAGVTGGATKEHAHEERDILSGSAIFNMKRLIGRMDTDEVVQASKTLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHLKHPVRNAVLTIPVAFSRFQQTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQQLLHDNMGSGIEKIALVFNIGAGYCDVAVSATAGGVSQIRALAGCTVGGEDILQNVMRHLLPNFDSLYGNAGQTMDRIKSMGLLRIATQDAIHKLATQESIEINVDLGGGYKVSKVLDRAEFEQVNHAIFEKCERIIKQCLADAKLAPEDIDDVVLVGGCSRIPKIRSLVLGLCKKEGSYEGVDVLDAAVSGAALEGAIASGATDTSGSLDLLTIQATPMNLGIRADGDGFAAIIPRNTAVPARREMLFTTTRDNQTEALIAVYEGEGKEAEANHLLGYFKITGIPPGAKGTVEINVCMDIDAANVLRVFAGVVKPQGEAAPPFMEVRMPTLDDGHGWCGQALAKMYGRELDLAVLLPKKVQP >cds.KYUSt_chr4.28986 pep primary_assembly:MPB_Lper_Kyuss_1697:4:181947712:181948128:-1 gene:KYUSg_chr4.28986 transcript:KYUSt_chr4.28986 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVIHLVRPALTPSPLLGPRGRRVLRLRVRCRVGGDEGDGGRGGEDDAPESLFAKELRRRGMSARSVPSGEKAGAAAEAEAEEGGLGGEEGRKRVVGAAAAEFERAAAGADGQRERSMALNSEGIEVRLSPYLVTS >cds.KYUSt_chr6.23859 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150790446:150791616:1 gene:KYUSg_chr6.23859 transcript:KYUSt_chr6.23859 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGAGVVVVFDFDKTIIDCDSDNWVVDALGATQRFEELLLHLPWNSAIDAMMGELHSQGKSTEEIAGSMRTAPLSPHVVAAIETAHALGCELRILSDANAFFIDAVLAHHGLAGYFTEISTNPAHVDAAGRLRISPYHDFHHGSSHGCTLATCPPNMCKGKVMEEILHELSMDGGKTRRRVVYLGDGRGDYCPTLKLTQRDYMMPRKGYPVWDLIVADRRAVRADVRGWADAEDLETVLLDIIHECAAAAKMEEDGGHQVVVPAECRSLPLASAPELTMPVLPKAIQAPN >cds.KYUSt_chr2.2044 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12292224:12292571:-1 gene:KYUSg_chr2.2044 transcript:KYUSt_chr2.2044 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLSYISKMMCIKIPSSSSEAKRLEDSGGGECCVCLSRIRAGEATRRLQPCRHAFHRDCVDRWLALCKRTCPLCRVQVLPDANRPAAAAKHAGGDQLADDLVIWFSTMLVPGF >cds.KYUSt_chr7.10644 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65376733:65386827:-1 gene:KYUSg_chr7.10644 transcript:KYUSt_chr7.10644 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTSELAEVECSDSRATFLDHEDGGQRWGDLTEVEDKGDAPMGRRGPGCRDGRRLASRRAHGGEVEDERDVPMGRRWYGRGDAGRRRGELTEVSWEDKGDVPKGWHHWRGRARLDGGRRPDELTEVDGSPWMSSATPPPTAEPILATPISSALPPFVPVQLDPSKDSDKDAEGTSANPEKASGKDQAEHKAEEIAAKKSKARQRDSEAKGKWWPCNTTEMELKNLEAEGFLQPGSWRSVPNALAPAPQDNEMVLTKALVERGFSFPPSDFFLEILKVYGLQPHNISPNSVLAISNHVTLCEGHLRVTPELSLFQYYFTVKKERIRQSTELATCGSITFMIRPGRVYPHTDRHESARYWSGGFFYLKDVSDPASTRRLPPFKNYPATELPAWSHCPHLSESPQLTRAVRRICKLTGEGLTGKDLTLSWFTKRIQPLQHRDRLMFQYTGRDDPMRATKDNLSADAIDKRIRLLIKIPRELHVHVCNKDIHMNGSGTALEALEEGELGTLVRVPTTGTTDPEAASEAEAHDAPRPSKRKRHAPSSPTAKRAREVLSTAATRKAEAEKKRLKLIDTSNKGQPDIQQFFKPSGSGSQPPKAPRVLKKKGSPERNRDEGFLVPGSSLANSSVPTDNFLSVLDSFCFYMSEDYLSNSFALKWLIMNLNQAMEHKETGCQSREGPILCVNNCGFFGSAATMNMCSKCHKEMTLKEEQAKLAASSFDSIVNGADATKEHLVAANTAAVAVAHVELKTTVIAQPAVVAGPSEPAPKGPSRCSTCRKRVGLTGFNCRCGNLYCAMHRYSDKHECKFDYRAAAMDAIAKANPVVKAEKLDKI >cds.KYUSt_chr5.5969 pep primary_assembly:MPB_Lper_Kyuss_1697:5:37027047:37038270:1 gene:KYUSg_chr5.5969 transcript:KYUSt_chr5.5969 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSLRLPAATAQLSLSIPFRAIRFLPHPSLTFSTFHFEREREGRETLAKSPPPPEQRAGMDLLEMPLPHFFEKSLGKADEDEWPPEARFLVAAYYGNVRRLKEIARRLDRSGGNKGEDSTLAATTYRGMNALHAAVGGLGRLDACRYLVDTLGMDVNMWDASPRKKTPLEHAVSGGNLPAVRFLLDHGADLHQECEEGATVVHRAAMTGKSEILKLLLSRGAEVDGKSEQGTPLCLAALKGHESTVEVNMVVPSCLATPLDAAVFAASKHCVKLLIQAGANVNDVNNNSLARAASEGLTEIIKCLLEAGADANRPDECGIIPIELAAVYGTREDVELLYPFTSPIPDVADWSVDGIINHAKLEHMQLMDDDAVKKRKSDLKKQGDEAFEKQDFAHASVLYTKALRADPLDRKMLANRSRCWLRLGDAEKAVKDAIKCKNSHEGWAEAHHRHGEALMMLKEYEKACEALTRGLELDPENDEMDKLFCYQKMDCWQGSGLKSGTHTKMRYEFAPNRDAIQAVRFFSVTSAPVSRLAKRQSLAISSLRRSYSLNQPRLIAMAAALANGDSTNGLQRNYQVVVAATRDMGIGKDGVLPWRLPCDLKFFKELTLTTADPAKKNAVIMGRKTWESIPLKARPLPGRLNVILTRSGSFDFATAENVVICGSMNSALELLASTPYCLSIEKVFLIGGGQILRDSLNGPACEAIHLTDIQSNIECDTFIPPVDFSVFQPWCSSFPVVESNIRHSFVTYVRVRKSVLESHDSIGKESTENDIKSSKFEIENFSFLPKMIFERHEEYYYLNLVEDIIRRGAQKGDRTGTGTLSKFGCQMRFNLRKSFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDSVGLANREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIIMSAWNPSDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCGLSPGDFIHVIGDAHVYRTHVRALEEQIQKLPKPFPILKINSSKKDIDAFEASDFKLDGYNPHKKIEMEMAI >cds.KYUSt_chr5.11640 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75438128:75442172:1 gene:KYUSg_chr5.11640 transcript:KYUSt_chr5.11640 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVGLPQQALLASALARSPSPSPARLPYPPLLRLPTYCRFFSASADAGLQNLRRRLPFAARAMSQRSEAAGSPYAAELAAAKKAVSLAARLCQTVQQEIEQSDVQSKADKSPVTVADYGSQILVSLVLNMEVTTGSFSMVAEEDSEDLRKDGAEEILERITDLVNETLAEDASFNILLSQEGILAAIDSGKSEGGPSGRHWVLDPIDGTKGFLRGGQYAIALALLDEGKVVLGVLGCPNLPLSSINNLNGSSSGDQVGALFSATIGCGAEVQSLDGSPPQKISVCSTDNPVNASFFESYEGAHTMHDLTGSIAEKLGVQAPPVRIDSQAKYGALARGDGAIYLRFPYKGYREKIWDHAAGAIVVTEAGGLVTDASGNDLDFSKGRFLDLDTGIIATNKQLMPSLLKAVQEAIKEKDQVPSPL >cds.KYUSt_chr2.1030 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5999396:6000292:1 gene:KYUSg_chr2.1030 transcript:KYUSt_chr2.1030 gene_biotype:protein_coding transcript_biotype:protein_coding MALYPTSDERREMEVGSTELNPIVLSDGEEEMPMESDPYMDIPVQQTMLVHRGPRITGNEEYDNHCVWGNNLVLNEDQCKALTNLEFSAKFTQRYLKKYLDDAIELPVQCAGYQKSYNVKMRLGLDLKRAMLTSGWAKAVRRFGLEEGCVYIFCFSVDKNGHNPWLLIDPLEA >cds.KYUSt_chr3.36375 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228849287:228852443:1 gene:KYUSg_chr3.36375 transcript:KYUSt_chr3.36375 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYSPTAAAAAQHQQRGKAATQAWQAVVGWIGFLLQVLLQILRGTPSCAQLLSFVGFRYPLLSSTAASDQPLPEVAFMPLRSEIPADAAPVPAPPLEPLERLTVVLDLDETLVSAYESSGLPPIVRAQAVEAGLHCFDMECISTDKDAEGKQKVNHVTVFERPGLHEFLKKTSEFADLILFTAGLEGYARPLVDRIDAHNRFKLRLYRPSTVTTEYREHVKDLSCLSKEFSRIVIVDNNPFSFIVQPLNGIPCVPFSAGQHSDDQLMEVIFPLLKHLSLQRDVRPALYERFHMPEWFQKHGIPRTDHAV >cds.KYUSt_chr1.37185 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227233101:227236487:-1 gene:KYUSg_chr1.37185 transcript:KYUSt_chr1.37185 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESAGPSAKREQLAAPDNAPLPVVATGQARNLEPAVAERSQEPPPGAEEDEEESLDHISRLPDHVLGEIISLLTIMEGTRTQILASRWRHLWRAAPLNLDFSSLPASNNMLPSRCALVSAILAAHQGSGRRLCLPARHLQGRADAVDVDAWLRSHALDNLQELEFYFCCAKHCDPELVIEALLPPPASIFRFSSTLRAATISNCYLVDDTVETLRFPHLRKLALMCVKISEVSLHKIISGCPGLECLLLSTISSIRCHRINSATLRTIGIRSSSEQLVIEDAPMLERLLYLEMNMQMQILVISAPKLETLGCIPEKYTDSKIVFGSTVIQKSMPWDGNPWHRKNWDLLTSLDFRLKTIVLRCYHGTRLQAHFATFFVQNARMLESMILEVESCDYNGDFFEKQHEMLQMENRASRGARLSFTTGYHHDVSDQNKQANTTIKPPLPPHTLVLPPTRRRRFLAVAASKTAISSSTSASITTLAAALGSAPSQLLTRDNTLIWKALVMPALRDAHVLDLVEGSEKPPEKLLETEDIKKKVTIPNPEYAAWISRD >cds.KYUSt_chr1.32142 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195152263:195160726:1 gene:KYUSg_chr1.32142 transcript:KYUSt_chr1.32142 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRTSAYIYLSELVHEQFPNHPDMAELAMRETFEAHTPRLKHIRSGMGYRSVCSLAAGCVSSTSSAQLCTVLIKLREDINMSLDVAGAEKKKVLLAEGLLLPASMVLVQAFTMGALLLSKLAFNVGMAPFVLLAYRNLIGSMTVAPFAFYFEREMMKKVNMKIFGWISLTALFGIVLAMGLHYYGLRATNAGYSVDFLNLIPVVTFVTAVILRVEKLRIGTCPGKMKVLGTAICVGGTMVISMYKGKLLHLWPTHLLKPHLQAIGAVSPVRNHHSMLLGTLFLAGSTLSYAFWFIIQVRVSKEFPSKYFSTMLACMSGTVQAAVFGLVLDRDLSAWALKWDLQLLVVVYSGVFNTGVSFCLISWAIARRGPTYPSMFNSLSLVVTTVLDSVLLGTDVSVGSLLGAMLIILGLYAFLWGKGKEAQEQRKQIRAATSGDQNGCPPAVAGNGVDSLQVGKHEVRIRVEVS >cds.KYUSt_chr2.26995 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165170942:165171595:1 gene:KYUSg_chr2.26995 transcript:KYUSt_chr2.26995 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEAVLRLDANGTPRTIRLLAALVDFESRHFADAASKPAENDLVRAFRGGATPAVPISEFLVRIQRSNYLFDGAVYVLAGAYLARFMRTRAARDAGILVEPATAHRLVSVAVLLGAKFISPRHFERRVEAFQICSDKSIRASEISRLELLFLRAIDYRIFIGAEEFQRFFKVMERGPKPPNASSGSQKRKAETAPDREEKKPRRLPACQPPAVAS >cds.KYUSt_chr4.4093 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23435267:23436090:-1 gene:KYUSg_chr4.4093 transcript:KYUSt_chr4.4093 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHNTRNKNKRPRANDDSSASPSRAVLKRIHSSGAVTPDDIRQLYNVCRPACQGCHGNTKDSPNCFCGLVPAGAGGARKAGLWQKLSEHVRGLGPDPSKDLHESTETPAGLTNLGATCYANNILQCLYMNTSFRAGIFSLEPDTLEKHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLERSLCHSKVPGAKAIVQHLFRGSVSHVTR >cds.KYUSt_chr4.3328 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18926375:18931978:-1 gene:KYUSg_chr4.3328 transcript:KYUSt_chr4.3328 gene_biotype:protein_coding transcript_biotype:protein_coding MRRREPHDAVVWRIGRPRRHMNFDDVWTRERRRCSRRRRRSSTTRAAAPRRELERRPRVAERDERVRLEWARVFPEDVAATEAFYAQKKEAKAKAAAKKKADRDRRRAESTARKAERAEKAARRAKRAEEKKRAYGFSTFPVRPKPGCPTKPELFSKEKIDCVVSTLVVAEHEGGLVKPSSLSALAAAEAIAKDNKVSLLLAGSGPALHKAAEHAASSHPLVNEVLVADSDVFAHPLAEPWAELLHSVQKKGGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVTAISEPRVFVRPIYAGNALCTVRYTGENPCMMSIRSTSFSPNTESMSETKVAPITQVDLSFLSEESSSKSSWVNLTSQDTERPDLANARVVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGYVPNELQVGQTGKIVAPELYIAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGIVGDLFDVLATKVQIFHFSNLIHGGWTKIGEDMRMTSPISSSGFAEVAIFNTRLPESVAGPSGVMVAMGKGGCRVAVLRRWRRSPIEAPCWSTTSAVNMPSVIYASEAWQIFNLLRRPFLRLAVASHANLEASGFVPASELDGDMADLLLVGGEREGLDCFFLSLSEALSANARDLCVIFLFHGVLCNMLYTHWLF >cds.KYUSt_chr5.4547 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29079465:29085447:-1 gene:KYUSg_chr5.4547 transcript:KYUSt_chr5.4547 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKKTPSDSNSLTLPVGADAELRRNDPGFAGSFYEVTVTGHLVSSGSYTVAYATLVADDDGGPLEETAAAADVRPRPPPPATARSGFAVHDTVEAFHDEGWWAGVVSAVVPGPRRAYEVTFPTSRETVEFEETALRPHRVFQASQWVPAAEAVLVLCHLRLMELYGITFCHLGNGSGINKKYVVKLKSYETDMEDVECLDVLTVEKTHPKEVIAAKSIEEARNTVSISEDLAKSKNGDDNVELHYNVAAGCGMLSETDTVNSVGPMTTPKDIGGPQHAVSQQGHGPAMDSESFAIQHLPFRKTSPVWARIEAMEIFIEMPQRPNLNEFQQHGPEVREGMTLGLMLSFATLAESICRLDVHQDDIGRVFEEKKQGLSLLEENGFDVRVLRSRLEALLCT >cds.KYUSt_chr4.49158 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304427505:304431559:1 gene:KYUSg_chr4.49158 transcript:KYUSt_chr4.49158 gene_biotype:protein_coding transcript_biotype:protein_coding MENRSSQLKEKVVGVLEACNNIVEKLKLVDTLQHLSIDHHFNEQIVSTLRSTHSSEFNSSSHHDVALRFRLLRQHGFWVSPDVFNKFKNEDGAFKVDITNDPRELLSLYNAAHLLTHGEIELEESIIFARKHLESMKSDLDSPLAEQVKRALHLPLPRTLKRVEVLHYIPEYKDDPMHDPSILELAKLDFNLLQRLHLKELKDLSRWEESDVSLLPEYLRKFYLKLISTFKEFEDELKPNEKYRVPYSIKAVHHSHLTTTR >cds.KYUSt_chr1.11304 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69277250:69279301:-1 gene:KYUSg_chr1.11304 transcript:KYUSt_chr1.11304 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPSRRPPADYPNPPAPTTIAALDGDLLREILLRLPDLPSLACAAFTCRAFLGAVRSSPAFRRRFRGLHASPLLALFLTPHMRAVPAFTTAWRPSNLNLDAATDFFRTILRDDNAASVWGVNLSGSSIPYVNGYVTLENRGTKQLACYNPQTKALDLCPKDPRHTTRGTILEFYTLSAEEGQRPSRVVCVRHDHSWSSVRLAVFSSNTMEWQIFPEAATLLSEGDRRTTRTVMDGFVCWEHDREGYILVLNTATLQLSRMDLPPHFKGVYSAGYSGFKVGQTKDGKLCVVSVEEHTLLTWVWAADQDGVERFMLGKMFPLLTVFKDIMECSAQDKTKVRIMAVMDGFVYLSICHWKDFSDLFKSSEWFLAFSLETGELCEMFKSERQIPCPITPYIMEWPPSLVHNTNSKSKGAGCSGEDGGPVGTEQATPILAQALQSFKGALTNDVESKILETEAFLLCLDAADKNSLVRKMTTLDAVLTAVRDRVLSIGADPDVSRKRTERESWFQMCKGKLWRAFFAS >cds.KYUSt_chr2.50611 pep primary_assembly:MPB_Lper_Kyuss_1697:2:316391619:316392593:-1 gene:KYUSg_chr2.50611 transcript:KYUSt_chr2.50611 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTAATRYVHQFHHLHPDLQLQQQQQQNSYVNAKQQQHDPADNNDNNGNYGGNGNYGGGGENNDGGSSSSGPAGDGGGGGGHGDIVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFECISTYACRRQRGVCVLSGSGVVTNVTLRQPSAPAGSVVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEDEQEAQAAAAAAGMQMQQPGDTDAAGGMGGGGVPFPPDPSAAGLPFFNQLPLGNMGGGGAGSQLPPGADGHGWGGGRPQF >cds.KYUSt_chr7.11276 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69300533:69304000:-1 gene:KYUSg_chr7.11276 transcript:KYUSt_chr7.11276 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRYHARLPLFIAELPRLPRIHLTRLPPNLPRTRTCNGESEDAAVAEAIARSLKDLVPTDNALPEDAALEWSRRDWEREEAEQQRRLLDLAAARQRAARAATPSAARNVAPREVVKVEDSSDDDMYRPSAPATLARARAAGREMAVPHEHKTHCGEGGSSSYGSSGTPAADPTVLVASAAIRMDSVAVVHLVLVLPVPLASSSFISISGYSFSALAFTNAGWSMAVGTTGSSAGCGELYSL >cds.KYUSt_chr4.8417 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50360077:50363126:-1 gene:KYUSg_chr4.8417 transcript:KYUSt_chr4.8417 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTSALWSILPSRFAAMLLRYLPCHADQIRFSAVCRRWRSQALKQSQHPPLPWIALPEGRLISFPGATTAPMVSFPDGARYRGSCDDWLVFLLHEVGEYLLLNPFSGGTMRLPSLRSLDWTAAGPAGNNPKRQKVTVRKMVMCSDGIVAAIVGDGRLGKVARCRPAAPTALWSLVLHDRWRPLMDIAFYDGKLYAVDEDENLLVMDVGEDGNNGEPVASLVQLRVTGTPPKRQTAMLYLVVSGDTMMMVRRVIRADFGSEFALFKADFGLSRWSEMTSIGDNVTLFVGAGGSGARRLSQFELSRYELRPNRIHFLHDDEFWLRSTGKGRRGCCSTQFGAYDMTDGKIYPLVPPPELHNGGKVPATWLFPRAQEGTPNWSQLTMDVVHEAPCCLPSVHDRLPLGTICRHWHSTLRLHLPCSLPKLYLALPDGEFFSFQGSLFKSHNNPGRGSNFCGATSSQLLFINDYGMYSLVNPFTERKMSLPIMSGIRLHEEPVEIINEPAPEEGPRNWRERDDTWEMSVRKLVVCPDGLVAAIIGHEHSAKVALYTPGQNEGFAPSWALNAHDRWRWYSDMVFFDGKLYALTNDEDLLALEVGYGENGEPRISSVERVIEGGNRYTLQEYAHMRYLVRSRGGELLMVCRIMLECGLTTYQFLVFRADLQSAQWVEVNALGGDEALFVSRLCSRAVCADEHGVRGNQIFFLDDSVGMAFRVEGPGLHSRDAFANVYNIKDGRVSQLLPKHVRGDGAAPATWLFREDPDAEG >cds.KYUSt_chr5.5318 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33315561:33317323:-1 gene:KYUSg_chr5.5318 transcript:KYUSt_chr5.5318 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEPAPGGDVKLFNRWTFEDVQVSDISLNDYLAVTATKHYTFLPHSAGRYSAKRFRKAQCPIIERLTNSLMMHGRNNGKKIMAVRIVKHTMEIIHLLTDLNPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >cds.KYUSt_chr5.6435 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39792933:39794674:-1 gene:KYUSg_chr5.6435 transcript:KYUSt_chr5.6435 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMSVLFIRVGLVGRFPLLPATYAGSGLLFYLQMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr3.46472 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292359780:292360459:1 gene:KYUSg_chr3.46472 transcript:KYUSt_chr3.46472 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRRSVRIQEPAPPPYVYGLGPGILSGGVITDMHCVTVRIIIETPALGLGIVFVLQMPDAVVVGPRHQGADAAVRAHRARVRAVASQGVSQSRQYAMADVRIDLAALLLSLRSHA >cds.KYUSt_chr3.45455 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286494279:286495442:-1 gene:KYUSg_chr3.45455 transcript:KYUSt_chr3.45455 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARFRYLPGHGGGRGLEEEDDEEGSDAGCFLDVYVHGARGIHNICIYADQDVYARFSLTSSPGHAPALSTRVAAAGGASPRFDERLPPLRVRRGRIGTDALKCEVWMRSVARSVLEDQLLGFALVPLAAVAAADGARLAQQDFSLSSTDLIHSPAGTVRLSLALRSGGGDSCSAAPPERASIASEVVILEPPPVECYSRVEFPDLNVARENEDMAVQCLPFLHLGEAPVPAPEGAMQVGTSPRGETAKPVSSDGGKNASTTTTASDDMAIDISSSDPTKKPRTDAASPETPTSNEGTAARKEVADVFKSPMGDIDMEAEQSAMQRQIMEMYMKSMQQFTESLGRMNLPMELDGGTGVVVQTEEKKPEAEAKKDGARVFYGSRAFF >cds.KYUSt_chr5.38388 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242712837:242716302:-1 gene:KYUSg_chr5.38388 transcript:KYUSt_chr5.38388 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLLFLLLLPPLPRDAAATLTRRDFPEGFIFGAGSSAYQVEGAAAEDGRKPSIWDTFTHQGYAPDKSTANVAADQYHHYKDDVKLMHEMGLDAYRFSIAWPRLIPDGRGKINPKGLEYYNNLIDELILHGIQPHVTIYHFDLPQSLQDEYNGLVSPRFIDDYTAYADTCFKCFGDRVKHWVTINEPNIDTISGFDTGVLPPQRCSSPFGENCTGGNSTTEPYIAAHHLLLAHASAVSLYKDTYQAAQKGHIGLTLLGLWSEPATNTPQDAAAATRMNDFHIGWFMYPLVYGDYPPVMRRRVGARLPSLTVEQSKKVSGSFDFIGINHYPNTRTRANENAFNLKQRDYYDDAAVIAVPLTEIQEDNVQSSWALGKLLDHLKLKYRNPPVMIHENGYGDIPQTPSKIEYDDDDRSEFLQNYLEVLYLSVQNGSNARGYFVWSFLDVFEFLYGNRRRYGLCGVDMNSKGRARYVRNSARWYSSFLRGGDLRPVSLSRKSDSVA >cds.KYUSt_scaffold_1700.152 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1006656:1008280:-1 gene:KYUSg_scaffold_1700.152 transcript:KYUSt_scaffold_1700.152 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVISSSGGKVYPGHMTAFVFFSCLVASSGGLIFGYDIGISGGVTSMDSFLSEFFPSVYAQAKANKDTNQYCKFNSQLLTLFTSSLYLAALATSFVAASVTRIFGRKWSMFCGGITFLAGSALNGAATNLMMLILGRLLLGVGVGFANQSVPLYLSEMAPANLRGMLNIGFQLMTTIGILSANLINYATVSIEGGWGWRIGLGLAGVPALIITVGALALPDTPNSLIARGYNAEAKKVLIKVRGTSDVNDEYDDMVAASEEANAIKHPWRNILEPKYRPQLTIAVLIPCFQQLTGINVIMFYAPVLFLTIGFGGDASLMSAVITGLVNMFATIISIICVDRLGRRALFLQGGTQMFVSQVVVGTLIALQFGTTGVGEMSRSYALLLVIFICLYVAGFAWSWGPLGWLVPSEVFSLEIRSAGQSIAVCVNMTLTFIIGQAFLTMLCHLKFGLFYFFAAWMVIMTTFIALFLPETKGVPIDEMSLIWSRHWFWKKYVPAGHEGGSNRRMHGV >cds.KYUSt_chr1.26660 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160750845:160756464:-1 gene:KYUSg_chr1.26660 transcript:KYUSt_chr1.26660 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQQPQRDFNFVGHGNFSCSDSRPSQPSEATQKSKHHPLLPPQKASCFLAPYRKATDLSEEEQGGRGYNLDVAFKKEHGAVASVVDLVASTVSQGFLRLRAHRRPTSPNHRRESRARELSDGPEMAKGKFEKAPALASTPPPPRAHGHDDAHGVLWQRLDALTIATEDKVCVAAYLGHAKNEGMRGFLAAASDKTFETWVYQFISKNNGQ >cds.KYUSt_chr6.2621 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15313806:15315052:1 gene:KYUSg_chr6.2621 transcript:KYUSt_chr6.2621 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIETRLPPGFRFYPSDEELVCHYLHNKVTSRRFAGASPAGTMIEVDLHVHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRKNRATKSGYWKATGKDRVIRNHRSSSSSSRAAIAGMRKTLVFHHGRAPNGTKSSWVMHEFRIENPHSPPKEDWVLCRVVHKNKTDTDSAMESEQEVIRDAAVKGYNYASSSMCHHNPDYHSPPVPFPSLVSHHYELPSSSHDLQCLSIDAFSSMPPLLNYDSILDFSQHLSDSLWVAGSRIDGGDKCDEVLRDLGLKEEHYNYDNLL >cds.KYUSt_chr1.7597 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46650150:46653407:-1 gene:KYUSg_chr1.7597 transcript:KYUSt_chr1.7597 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEENPELIFGEEFCFPATATYYPTPYAPDEINLPADLYEHQPLWGCGDQGLHYSGQQAESTPCPYFVIPHYGISHSPLAPYPPEPCAVADHRFAGGAQEYLAKTADVTYHQPVPTPRYDVLPSAPHWGLASMSKTVTYSDSLFIPSGQGPSFPVASEKGITWNPSLQSAGVAPKKFEGHAMLSTAQLHSSGPWKQDLAARNMMPAKLIRAPQASGHSLQGGLPSVKSSQQATSSYNCNASNVGPDLRKMVIAEKLQPSSTPRTNSSYNYNASNVGSDLRKMVIAEKLQPSLKPRSHVNGFTGKLSSPHWQKMGQEKKPRGSMPSEIVAKSCTSMLHIGNPQGEIIIRTDQYNGDDFQLVYPNAKFFVIKSYSEANVHKSIKYGVWSSSRLGNRKLDRAFKDAQMITTSSSAMKSSQSAFSSKETSSSALCPVFLFFSVNQSSHFCGVAEMVGPVDFQKNMDFWSQDKWTGCFPVKWHIIKNIPNTTLQPILLYNNEHKSVIFSRDTQEVHYGPGTCMLKIFKSTIAKECLLDHFMMYEEEEAGGRNYTRSKLSGDAPRFIPVPNLYAAHAYVPRQPKADRALVDRIIRETHDLARKLQDVNLDTQQISGKESGNPVRDSAKVYAQRKKRIRHGKQAHEDVVKAVTYQPLASNMLAALDDGKLTWQEAEVASVGKERSEAAANVSPEAPEEYTIEVKNALVHSASAIPETIYEEKKIIREHCSPATSSQMSGAWSGCSIHDFLRVGSMLVPMKISS >cds.KYUSt_chr2.20641 pep primary_assembly:MPB_Lper_Kyuss_1697:2:129818968:129819222:1 gene:KYUSg_chr2.20641 transcript:KYUSt_chr2.20641 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPHLDPSSAASGRLRLVMSQSVFLLAASSLRIAEDPLHRDPACLAPDPAPIPDRDVTTTPSLVLPQIPLLLPRFARRPPPHR >cds.KYUSt_chr6.5595 pep primary_assembly:MPB_Lper_Kyuss_1697:6:33617672:33618301:1 gene:KYUSg_chr6.5595 transcript:KYUSt_chr6.5595 gene_biotype:protein_coding transcript_biotype:protein_coding MARISVALLLAVVASAAALAHGRDLPTSIKVITLPLLLTCMITVWLVLIGASRFDRQLTRGAVVGGDSNECVYTVYVRTGSIWKAGTDATISLELTTADNYDGVEMTDLPSWGGLMYEGHSYFERGNLDIFSGRGPCMASTPCRMRVSSDGSGDHHGWYCNYVEVTVTGPHRGCAQQLFTVEQWLATDAAPYKLEAVVDHCSYDDGVVA >cds.KYUSt_chr2.644 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3969160:3970945:-1 gene:KYUSg_chr2.644 transcript:KYUSt_chr2.644 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVYILCLTALSTLVALCFVKFSGGRSKAKLPPGPWTLPMIGSLHHVISKMPYRKMFELSRRYGPVMFLKLGETPTVVVSSAEAASLMLKTNDLAFSDRTRSVTFDIFGCDGKDIAFAPYGDHWRQMRKVCVVELLSAKQVRRMEDIRADEVGNLLRSITAGALDGAMVNFSKKVSALSNDVVSRAVFGGKFRQQDEYICELDKAFTLVSGSSLVDLFPSSQLVRWFSNGEREVRKVYDGIQRIIAEIVDERKATSHGVSSTDEEDLLGALLRLQREDTLQFPLTTDVMGAVLFDIFAGGTDTSASALEWTMTELVTNPRVMAKAQQEIRQVLGEHRTVITNSDLPELHYMQNVIKEVLRLHPPVPLFARSAREDCNIMGYDILKGTDVFVSLFAISREERYWENPEEFKPERFENNSIDYHGSYAQYIPFGAGRRQCPGMVFATSTVDIVLANLLYHYDWMLPDGVTIATLDKSEKFRLTVSRKYDLELKAIPHVWSNGIPSK >cds.KYUSt_chr2.53454 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333511358:333517084:1 gene:KYUSg_chr2.53454 transcript:KYUSt_chr2.53454 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNQDAFLQISRRAKATASAQPNPGAYRSCTAESELRSTSLAVVSLVTSAAKMDLRALRSQSGEKILDIVWPDTIEIKGRVKLEDFQKFVKDLRHSKNRSIMIKAYLMGIVLLHCQIKDYTLCILLMYQQINNYIVGILLWYQQSKAHIHHILLIYQIKASILGILLLYQQIKASFIGTIPVYQEIMASFLIVLRVLHQDIMETTMSLLLASNIGCLANDLRPAMLLEVHNT >cds.KYUSt_chr1.24616 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147338256:147340116:1 gene:KYUSg_chr1.24616 transcript:KYUSt_chr1.24616 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGGRRGGRGRGPGRPRGRGRGRRGGAATAPRSPSPAPSSFSQDESCFEFLLRIDDDPLAIKRLPDKFAEFVDGVEPAQLQLREASCNFCRWSVEVLFDGRGKMYPHTGWDKFARDLALEPGCQLTFLYEGDGEMIVKVFDDTACRRHYHTGESGSGTDTGCRRGDHHLLRLLRPALQARRRPPAPPPPRPAGPAPPTCCASSAPPCRHRRRRRRGAARGQRPDTGAAAVEVRGTAVAGGAGHGLGGAARGSAVAGEGGAGGALAGGVEHGLGGRRGARSLQCWSQPSEVYVPKPKSSSSSSAGHHLSSIATKRAAARSSSAPTPAASSRSQVVATDALHELGDARVLWSWSCSSASRLAAWSSFRLRQLLAGMKKKKRRLRRRESPGEVDGET >cds.KYUSt_chr1.1009 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5310394:5313574:1 gene:KYUSg_chr1.1009 transcript:KYUSt_chr1.1009 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPHEQLEVTMDNILKKCAGLPLAIVSIASLLASYKYPERKDMWETICKSIGSQMESNPTLEGMGQILTLSYNHLPYHLKACMLYLSLFPEDYEINKNRLLYRWVAEGLVEDKRGLTLLEVAKSYFDELVSRSMIIPASSWGYAGRIEVCRVHDMMLEVMVSKSLEANFVSLVGGHYDGIFYDRVRRLSIQGIEHERRHSMERMDMQHVRSLSMFELNGKNLFDRLREFTLLRVLDLEGCQGLENKHVVVICQMYLLKFLSLKGTDISVMPNKVGELEHLQVLDVRDTNLHELPETVRTLEKVERMDLSHRKKWMIWWTLPKGLSKMKALREVARAEIDNVDVAREFGELGQLRHIFLFVNDHMHVDKKGDPKILREVALSLSKLYSLRFLGIGRLGTCEGKLDFLDRLPSPPRLLRYLFIDGEITKLPHWFERGCMDRLEELSVTFHEGRGRRIVGIEHLKNLKQVQLTGKRNNDSLILAQEKLRSKSNRRPKANQFKVIVRYE >cds.KYUSt_chr5.12108 pep primary_assembly:MPB_Lper_Kyuss_1697:5:78785575:78786203:1 gene:KYUSg_chr5.12108 transcript:KYUSt_chr5.12108 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDKDDNPIRGQTVEFKEWLAMLEAMDAEELKEYARENKDVLTGEMNAAIKKVLQKKQPKKRKKRTAVYPILGAVLKFHKDDDDDPPPQGSGGANAS >cds.KYUSt_chr4.19844 pep primary_assembly:MPB_Lper_Kyuss_1697:4:124835768:124836908:1 gene:KYUSg_chr4.19844 transcript:KYUSt_chr4.19844 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGVRIVDLSKLRSTSFSERSAAIHDIGRACQAMGFFQVVNHGVGADILQGALDAATAFFNLPTDDKSGLSSDDIRQPVRYSTVSELDDGEVKIRRHVLKQYSRPLETWIQKWPSKPIDYRQKMAMFSAQVWRLVSELVEAVTESLGLGRDYLTSHMERGFEMMALNYYPPCHQDDNGGAISCAPHTDYTLLTVLLASQHGLEYLDRESGSWRPAPASSLTFVVHVGNYLEVLSNGRYRAALHRVVSHRGAAPRVSIASLSSFAMEESVEVAEELVEETHPPMYRASTLSEFIDFLSAGNRGADFMEQSLKIARDKL >cds.KYUSt_chr1.22257 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131578508:131585834:1 gene:KYUSg_chr1.22257 transcript:KYUSt_chr1.22257 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLETKLLITDKKSEVKLAKVQARKELDMRMIKAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWKETKADIIARKMAARQARDQVAVMEAVATTGPETPNLSTPATPGTLSYDHKSMPRFKCLPVAPNASVLEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVTTIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVAVQVLGSICASFALKGMFDPFLSGGVTVPDVTISSTQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAAVTLNILVAGPTTGGSMNPVRTLGPAVAAGNYSQLWIYLVAPTLGAVAGAGVYTAVKLRDDNGETQRPQRSFRR >cds.KYUSt_chr2.7438 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46659810:46660418:-1 gene:KYUSg_chr2.7438 transcript:KYUSt_chr2.7438 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLALTSSSSKIVLAMFLLGLAAGAAAADGRRRHVSRSPDEPCKKMTLYYHDILYNGVNNSANATAAPATKPTALSTVFNPNGTYFGMLVVFDDPVTEDRALPVAGEEPAARAQGFYFYNMKETTSAWFAFTLVFNSTESKGTLNLMGADLMDEKTRDFSVVGGTGDFFMARGVATIRTDVIEGFYYFRLQMDIKLYECYV >cds.KYUSt_chr7.3041 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18075303:18075941:1 gene:KYUSg_chr7.3041 transcript:KYUSt_chr7.3041 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKEERFRREGHDRHEVEAHFARVLSDAHYALPDPPASVDARLSSLLPHDGADRVSSLPDELLGNIVSRLPIHGRPRAPPRSPAAGAGSGALPRSSSSTPTSSPAGTVVGRADARRVTSAVSRILLAHPGPFRCVHLTSSYMEEFQHLLTPWLHTLAAKGIQELVLFNRSVPARLPSPCHILRHDHPHPPPPRHVEVPRHGPRLACHLFP >cds.KYUSt_chr6.31550 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199389424:199393778:-1 gene:KYUSg_chr6.31550 transcript:KYUSt_chr6.31550 gene_biotype:protein_coding transcript_biotype:protein_coding MPESGRWRFRCLTSLKMQLQSAWKVSLFVEQGGGAPAPRSVDSLVAWGSLPVQGFGGRAAGFAGAASFSETKAPKLRRAFLYCFMFSRAFLGSALVAALRLLLLPAILLLSRCRTGAAQSSPPCGSSDLHALRGFSAGLDAPASSGSASWRRSTSVPTRSPGALTSISISLPAIRVFNVSYNAFSGALPVLPGGANLTAFDASGNGFSGPVDAAAVCASSPGLQVLRLSMNRLSGDFPAGFAQCRSLTELSLDGNGITGALPDDLFAATSLRILVLHTNSLSGGISQGLRNLTALVRLDLSFNAFTGALPEVFDALAGTLQELSAPSNLLNGSLPATLSLCVNLRVLNLRNNTLAGAIGLDFRAVNRLVYLDLGANRFTGPIPASLPECAGMTALNLGRNHLTGEIPPSFAAFRSISFLSLTGNGFSNVTSALMILQRLPNLTSLVLTKNFHGGEAMPEAGIDGFTNIQVLVIANCELTGAIPAWIAGLSKLKVLDISWNKLAGPIPPFLGELDRLFYIDISNNSLQGEIPASFTRMTAMLAGNGSGNDEDTAVQDFPFFMRRNVSASGRQYNQVSSFPPSLVLARNNLTGGVPPAMGALARLHIVDLSWNGFSGSIPPELSGMTSLESLDLSHNALSGAIPPSLTQLTFLSHFAVAYNNLSGKVPVGGQFSTFSRVDFAGNPFLCGIHVRKCDRNQTAGGASGSSGRRRSAASAGVVAAICVGTALLLAVGVAATWRAWSRRRQEDNACRVAAGDESCDDSSFEATRSSTLVLLFPSDDDDDSAATQRTTVITLDEVVKGTGDFDDSRIVGCGGFGMVYRATLADGHDVAVKRLSGDFQQMEREFRAEVEALSRVRHRNLVSLRGYCRVGKDVRLLIYPFMDNGSLDHWLHERADVLPWPARLRVARDAARGLARATSSLDADMEARLADFGLARLGRGNDDTHVTTDLVGTLGYIPPEYASLPAATYRGDVYSMGVVLVELVTGRRPVDMAARLGARDVTAWAVRLRREGRGHEAVDAAVSSSGKHREEAESVLELACACVSEVPKARPTAQQLLDRLDAIAAGTTADPETSSDDRAARAVDNF >cds.KYUSt_chr2.12235 pep primary_assembly:MPB_Lper_Kyuss_1697:2:77486966:77488906:1 gene:KYUSg_chr2.12235 transcript:KYUSt_chr2.12235 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTLGIVKWGPWDIVGNRGGPTKLTKDDDYYDHSDVDDDDDDEEEDGDGCGYSRNSFYKDEDEHGHGDADSWDEDKDNFIDIDEGAELLGPPSWRDYCEIVGFHPHKNALILIIHCGAAQGVRHRLGSSLKTVPSFHMVFLVFLGSDRELAAGVPSLKRSELEAACEDFSNISHRLPVGVHDVQGGRCPASSVEIAVVSTTKSSPKEWSKHCETRFRKKVDAKLE >cds.KYUSt_chr2.31345 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193494229:193496064:-1 gene:KYUSg_chr2.31345 transcript:KYUSt_chr2.31345 gene_biotype:protein_coding transcript_biotype:protein_coding MVADRQAVTDMRAARRNAAQGSLDASYCTVCEAAVEVRHNHQPYYSTYGHVATLAEEIKKGADTVDDVEVTIWRVPETLSEEVLGKMYAAPKREDHPVITASQLAEADGILFGFPTRFGMMAAQMKAFFDSTGGLWQSGALAGKPAGVFFATGTQGGGQETTALTAVTQLTHHGMLFVPVGYTHGAGMFAMDEVKGGSPYGAGTFAGADGSRLPSEAELALAAHQGKYFAGIAKKLKA >cds.KYUSt_contig_1181.107 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:729414:734344:-1 gene:KYUSg_contig_1181.107 transcript:KYUSt_contig_1181.107 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPSQTLGFEKGGVIDDAVALSPKADMHVVPFGDEVAKSGVLATTPDAVVAREMRLEVQERHVLILQRSAQD >cds.KYUSt_chr5.27800 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175947229:175948656:1 gene:KYUSg_chr5.27800 transcript:KYUSt_chr5.27800 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALVTEIVKKITRTSDLNSLSLVSKQLYEIEGSQRGAIRVGSGLCTATEALKSLCARFPNLSKVEIDYSGWIPGHRKQLDNKGLSVFSSHCSSLIDLTLSFCSYIDDSGLGWLAHCKTLVSLRLNNAPLVTSFGLYSVAVGCTSLCALHLIDCEEIDSVEWLEYLGRDGSLEELVVKNCEGINHHELLKFGAGWMKLQKFEFEGKIRISDIFPSDVYDPSYDAHSTAIYDFCCESLKDLRLAHIKTWPEIGLRVVLGKCKALENLCLEYVNALNDNDMIALSRGCSNLKSISLWLNLQRYHNEATTSFTDITLYALALNCRKLQILDLKFVGCSPDWPSEIGFTQKGFLVLIQSCPIRVLVLHSANFFDDDGMKALSSSPNLETLELIRCEAVTDAGMRFIAHTPCLSNLTLRACHEVTDVGVAELGHAHKLESLVIECCRGVSLQAVQGVAKSVHYSSEAASDALSKLF >cds.KYUSt_chr7.31295 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194866859:194872062:-1 gene:KYUSg_chr7.31295 transcript:KYUSt_chr7.31295 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLLLFLFLTLAAAAAAAGQRPSTVTVGALFTYESTIGRAARLAIELAVDDVNADNVVLAGTKLNLISHDTNCSSFLGTIEALELMEKKVIAVIGPQSSGISHVISHVVNELHVPLLSFAATDSTLSASEYPYFLRGTISDYFQMHAVASIVDYYQWKQVTAIFVDDDYGRSGVSVLGDALAAKRARISYKATIPPNSDADVITDILFRANMMESRVFAVHVNPDTGMRLFSIAKKLQMMTSGYVWIVTDWLAAVLDSSRSRDLNDMSHIQGIIFLRHHTPESDAKTKFISKWNNVARNRSIDSALNSYGFYAYDSVWIVARAIDRFLNSGQQINFSTDPKLDDSNGSTLRLSTLKIFDGGEQLLQQLLLTNITGLTGQVRFDSDRNLVQPAYDILNVGGSGSRLIGYWSNYSGLSVIYPEILYRKPPNTSTSTQRLYSVVWPGDTTTKPRGWVFPNNGQPLRVGVPNKPSFKELVSGGTGHDNVSGYNIDIFNAAIKLLPYPVSCEFISIGDGISSVSQQCSLHTDTVTALGRFVLIIWLFVVLIINSSYTASLTSILTVQQLVTGITGIDNLIASGLPIGYQTGKFTRNYLIQELNVPESRLVPLSTVQEYADALNRGPKNGGVAAIVDEMPYVKIFLSSHCNFRIVGQEFTKEGWGFAFQRDSPLATDLSTAILELSESGQLLRIHDKWFTDPSCSSEDSGLGAVRLSLGSFWGLFMVCALICVFAVMIFFARVCWQYSKYSSSGAVEPVDAAAVTAVIVGEIQPTKPKPTRLASVKELMQFVDKKEEEIKKIMERRSSIKDTRAAGSADSSAKKVLADFKSFR >cds.KYUSt_chr2.30137 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185567375:185567938:-1 gene:KYUSg_chr2.30137 transcript:KYUSt_chr2.30137 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSSSGAAVAAVQADGVAASTAMVLLSTGELREYPPRTTAARVLEESVEAGAGWFLCDADAMGFDAAVSAVGAADELRAGQIYFVLPAEARLNGLRKEDIAALAVKASAALIKKSSTGRRRRGVCVSPLVFAPPEEKVDQAAAYKTVPALAAKRRQVARAKSAGRMQTRFAPDLIAIPECDASE >cds.KYUSt_contig_7375.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001494.1:35019:41177:1 gene:KYUSg_contig_7375.9 transcript:KYUSt_contig_7375.9 gene_biotype:protein_coding transcript_biotype:protein_coding METTITTSESVSHGGGDSGGNVFFLPFPGAQGHTNPMLQFGQRLAYHGLRPTLVVTRYVLSSTDPPGDPFRVAAISDGFDAGGMASCPDYAEYFSRMEAVGSDTLRELLSSEARAGRPVRVLVYDPHLAWALPVARAAGVATAAFFSQPCAVDIIYGELWAGRMALPATDGRELVARGALSVELGPEDMPPFVAVPESQPVFTRTCIRQFEGLEEADDVLVNSFRDFEPKEAEYMELTWRAKMIGPTLPSFYLDDDRLPSKKSYGFNLFNCDAPCMDWLEKQDNSSVVLVSYGTVSNYDATQLEELGNGLCDSGKPFIWVVISNEAHKLSEVLKIKCEKAGLIVSWCPQLEVLAHRAIDMEYGMEFEVLSGVSDGIQDIMRSSGMVRRIRFMYRKSISKFENDPLHLWEVLEGSRKVWKKSPWKVESLWWSPRGTPPSMAGQPKEEESQVDSTPWWPATPPRKGWESHLGVGFPP >cds.KYUSt_chr6.30954 pep primary_assembly:MPB_Lper_Kyuss_1697:6:196227635:196228249:1 gene:KYUSg_chr6.30954 transcript:KYUSt_chr6.30954 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRRLSCSPAAPPLEDDNLLAEILLRLPPLPSSLPRASAVSRRWRSLASDPRFSLRFRAHHHRRNPPHLGCFVNDFRNVLFQPALEAPNRIPPCRFALPIDQDDYFALLGCRHGLVLILHWSRNQLLVWEPVTGDQYRLDIPPGSGDTVSAAVLRPAGDGRYFQVVLIGTNGMHQACASVYSSETGLWGNLTTAPCVYPDMPL >cds.KYUSt_chr3.46244 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291028350:291029192:1 gene:KYUSg_chr3.46244 transcript:KYUSt_chr3.46244 gene_biotype:protein_coding transcript_biotype:protein_coding MELHHLSGVFPSPAASSLFSSSAFDMGDSDDMHLLNTLLEMGNDMSHYLGFDMDTTERHSSSTSTSSSSSSYSSSSDQHQQQQQGMAAAAPSKRRAPAAASIPKGLIGVRKRPWGKFAAEIRDSTRKGARVWLGTFNTPEAAAMAYDQAAFSVRGAAAVLNYPVDRVQESLRTLALGATAGSPVLALKRRHSIRKRSPNKAKKTAMAPAAATKITREAAPAHQQTTAHAGVVELEDLGADYLDELLRVSSDQPTASTMVGYEFDLQSIIANAGPILFPHC >cds.KYUSt_chr5.3357 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21709927:21710178:-1 gene:KYUSg_chr5.3357 transcript:KYUSt_chr5.3357 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCILAYPKPLLKNPSPGHNFEDTSSLLTRSAAEEHQGSRGTVDLTSHTQNGRSAVLDISQLFNSGHHGRKPEEKKASKPEI >cds.KYUSt_chr6.1853 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11093477:11098474:-1 gene:KYUSg_chr6.1853 transcript:KYUSt_chr6.1853 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSRLRRAGGAIAAAAPGGFLHLSLLASLRRRPSLPAHAQLLLLGLPLPAHAASRLLRPHLRSGHHLASLRLFLRILRDRDREPPVDTETVPNSHSLSAALAACSRHATPAPGLSTHAFLIKSGHASDLFAANSLLHFYGSFGLPALARRLFDEMPVRDTVSFNTLIDSYVKSFCIDDAFAAFGMMLDRGFRLDRWTVTALSSACAGLMDLRVAKAVHGVARRALATKVFHSQEVVIGLVDMYLQCGGLALARKVFDLAGEKARDVRLWSMMVSGYARSGEVNMARKLFDETPKKDLVAWTALIGGYVQAGRYKEALLLFEEMEEAGFEADQVTVGTLVSACVQCGTIDVAKRLHHRVRRNGLISRDARLATCFVDMYAKHGCIQTAMDVFCGVGDELKTVELFNAMINGLAHGSFGEKAVALFDEMGSLELHPDKITFMAVLCACSRSGLVSRGIEIFDSMVDKYGVEQDIKHYACMSEILARDGRLDDAYRFIQNMPFEANKVVWSALLRACRIHGNIKIGKLAEGKLLQFDDHSRKPENILLSDLFADGKRKERAARVRKAINHKPEHRQPKRSYIEWNGKLHQFGTTTDTSHPQAKEISLILEEMSRKLCILGHNLSSKGETAYHSEMVALAFGLEHHKDDASNTCVLKVIMHCQCNGCIKKINDGVREIALSEGVERADLVVETAEVIVVGRMDPEKLCCLLHELTQKHVKIETQTTVSEKDTAASQQTKNGVSQVHRTLNSGCTELGSGEPRKTAKWHRCLPRDAKRPATAGDVEEPGRAFWAMRVRWLPSASGSAEPDTLFVSFRGCVCVYAYMYFIMDLVDVLQATISNCRSDHLRAWAMDRRHWVVVAAP >cds.KYUSt_chr6.11924 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74211837:74217356:-1 gene:KYUSg_chr6.11924 transcript:KYUSt_chr6.11924 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMEKVNALGERLKVTGTEVSKQMAAGMSSMSFKMKELFQAQTPADKIVEEATAETLEGPDWAANLEICDLINTQKVSSGDLIRGIKKRIVLRDEPRAQFLALFLLETIVKNCDKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALTLIEAWGESGNELRYLPVYEETYKSLKSRGVRFPGRDNESLTPIFTPPRSVAEAEVEANFSHQAFEDVHVHTYTAEETKEALDVARNSMELLSTVLSSSPQQDVLQDDLTITLVQQCYQSQHTIQRFIETAGDNEALLFEALSVNDEIQKVVSKYEEMKKPAAPAHTEQPVVIPIATEHEDSGFVGNEDALVRKPAASRAMSGGDDDILDDLDEMIFGKKGGSSSQEGPKKQDPKKDDLINF >cds.KYUSt_chr4.16263 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100913943:100916785:1 gene:KYUSg_chr4.16263 transcript:KYUSt_chr4.16263 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLCSEASHLKPLIDFPGCIGIPKPKIASVLLSFLPIILSDIAGRRFSPLLGYSIELAMKPKVEFLLRTMKMTLKAIVEYPSFMLPSGNVFQMGSHFSGVQHSEGFTSLARAADTVSARVGLQFPSLVSMAISRRTRSGSEDHAVKGCYLGFELIERLRFNENELIQTRSTRVMPSACRQGLGLLLDQPSLPRRYGGGSPAQSWCHGLSLGDARQLP >cds.KYUSt_chr7.23788 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148091029:148094040:1 gene:KYUSg_chr7.23788 transcript:KYUSt_chr7.23788 gene_biotype:protein_coding transcript_biotype:protein_coding MVATQRQVVNTSSRCTLGIARGTHSFEIIGYSLHKGIGRGNYICSDTFSVGGYEWCIRYYPDGYKEDKEYVSAFVELISNDGEVRVLSDLSLVKEDSGQPLSGVSQETPTLLKAGSYRGYSKFMKRSELEASPYLRDDRLVIKCEITVIEEPRVRQTSSVFEALVPPSDLSDNLGKLLEAQDEADVVFKLKGEAFPAHKIILAMRSPVFKAQFYGPMSDKSMPNIEIEDIQPAIFKALLHFIYTDSLPSMDGLDDVDKEEMVKHLLVAADRYAIERMKMLCQSILCKSIDVESVATTLALADQHHCSMLKDACVEFIISSGRMNDVLASQGSFQARTSNYENGGGKEGPSLAELSVTSVVTCKAEFFLDTGFGPLTGGLGMGCKISRIPALLVFRRRITSTIFFRIVRTPRWSGSAA >cds.KYUSt_chr4.45205 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279952373:279959601:1 gene:KYUSg_chr4.45205 transcript:KYUSt_chr4.45205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of OsbZIP46 deactivation and degradation, Negative regulation of ABA signaling and drought toleranc [Source: Projected from Oryza sativa (Os03g0214200)] MDLLRGIDGGGDEQEPRPQRFDLETEAEEVELSLGLSLGGRFGPDRTRLPRSSSVACILAPEEAPPAALPRTSSLPTMADADADAGGKLGTGGSNVARADGAEVEPSANLQGSAVAVEHSATLQGSAVAVEPAATLQGSAVEVVPTATQQMSAVEVEPSATQQVSAVAVEPTATQQGSAVAVGPAAKLPATGSPSTGSSDGEGKGHEVSLNHISWATTQASRHPTRTSCEPFSPKDYLDRMETPSPWNTADVLPRTSSLPAGIEDEWRKRKEAQTLKRLEVKRKRIERRNSSNVSAEAVGHILEEMNATASADRVESSDDPSMRNKQTEGNESHSKVRRRSSGLPPTYQATVASQGSCLPGKLKRHNSAMKGMLNTDLTGTPSAEEQSLSPAVLPSSEGTKGILPAQSANNTVMATPSSSALAVRAAALGSRGEHQPASGRLAARARSMGDVERVMMQEMPCVCTKGLPNGRKIEGFLYKYRRAEEVRIVCVCHGSFLTPAEFVKHAGGGEVANPLRHIVVNPVAHSLS >cds.KYUSt_chr7.35320 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220662019:220664921:1 gene:KYUSg_chr7.35320 transcript:KYUSt_chr7.35320 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNKVTALNPNAAEFVPSCAIRPSSFGGNSDSDATKSEVRGSSGKTVLDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFTSFEKIEPEPEDLSLAGLSLNAPPFYGTKASRLSREHQDLSSASKILEHGHPNLLYDDNSQATFSTVGSSNWEQNYVGDLHFANENQDLHYDSDSFASEYVAASDGLFDPLEYLASQFPGFSAESLGELYYANGCDFNHTIEILTQLEMQVDPISNQTMNMAPSAPNFSTGDFPALPTVEDQNGFSKGNLDILGIFNGRNSNTIPSGTSDFVSAVRKLASQNSGHGKFKKGPDYSNGVSTVPVPKQYNFGTKASSGNKYQSYSSARAAPWLDTGDAVANMYSESREEARDFARVRNACFEQARQAYLVGNKALAKELSIKGQTYNSQMKAAHEKAREAIYRQRNPGSLQRGSDRLIDLHGLHVSEAIHILKVELGSLRGAARASGERMQVMICVGTGHHTKGSRTARLPIAVEQFLLDEGLHYTQPQPGLLRVAVY >cds.KYUSt_contig_1163.65 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000111.1:389428:399573:1 gene:KYUSg_contig_1163.65 transcript:KYUSt_contig_1163.65 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWHNRSGRPSARTIIHAPEVLAATVFRIPIFKRDQCNDLARPATNATTTLDSSTMLRTSNMTYPTMLPRCTTLPRIAVFNTKEKLCSTSGPSSLHLLHRRCPQEGERRHLQCYIYIEKDITEPSALVSLTESTCNTICFSDHNLLGRGGFRPVYKASKHLATSRTSFALLALLQTVLEGRQEITVKLLSWRRGSGAGVSQRGGVQLRSRFAGDILADVAYWLLLVQLSNFHYHAVIVQGNGEANGNGKLVSVVIWTKACRRRCWELSTWNSFEEINKAWRIRLAMDMVVTVNRSLASMHRACFSASATKLELLEFENCMKKQAENLSDVMDYHGEANLNFSGLEKHVKESTKKSISQCTPIEFRNSILDAKKMNDIVDPEELKLIQDRIKKVAQDIDWQCVLEILPVKLKKHLSLFLIGCFHEEQDRFIINGIPIDMKPLVHPVVGLSRSGNKVDLSHSGDTHALEHDEEYEYMYDVFTAGGRSMEYLEWFRNLDDLKNLNWCDFIADLLCREIKKFNARTATFKKCGGCLLVPMDVFYDLLTPKDLRPKGFSPGQLYNTSEFEHLGGFQELEKLVTFETIEELYEFYNLGEPNDPILKKYKTHAHQQHSSEQA >cds.KYUSt_chr4.23114 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145441276:145443771:1 gene:KYUSg_chr4.23114 transcript:KYUSt_chr4.23114 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFLWGLTDELGFGVGMGNEREMRKRWDAIKKYKNPSSTISSSSSESGGSPSRADSVDRWDANKKHQIPGIAGSSSSSSDSGGSPGRAESAERWDINKLKTPTRTSTDRGCLQDGNNKRPPSRASAAERWDFHKKHRPEEKGNLLQAEQTTTAAAHMDMSRIQQAAFAGPNFYASPDPTMLPMPSFFLRAH >cds.KYUSt_chr4.50719 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314226675:314239179:-1 gene:KYUSg_chr4.50719 transcript:KYUSt_chr4.50719 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMLAARLDFAPFLLSPTPSPPSTTLRVRPSASAAAARARLLAPRAAAAAATAVSPRPAAVAPLSADRTAVRLGIASKGRMSDLTRDLLKMPNLEVWLQRPTDIVRKLCSGDLDLGIVGYDIISEIGQGNDDLVIIHDALDFGHCRLSLAVPKEGIFQNINTLEELVNMPQWTEERPMRVVTGFGYVGAKFLKEKGFKHVSFLSGDGALESYPAMGMADVIVDLVSSGTTLRENNLKEIEGGVILESQAALVASRKSLNRREGALEISHEMLERLEAHLTASGKIMVTANMRGNSAEEVAERVLKQPSLCGLQGPTISPVYCSLDGNVAVDYYAINIVVPQKSLYKSIQQLRSGFGGFFGFSLFAATSNLAPELFLVKYLDSLQLRDLDVNPEGTRVSVWTNEMVRKAIKQDMKENGSFGALPLKQEFSEVQDASDDRTITDGSDNENVNVASDPEMNESCGNDGSMSDSEVAKSITSGPSDAPAQGYENNNTANDNGQSEEDEYVQMSQSILFSDPRKITKFIEKNAPQNVTQEDKRRFTEAVEYACHGFEASLQMLVRNLATSRTDPTTSRNHNSMPEKSILPSPKKSKRTPPRKPKVHKDTELQGPKHEDVGTIHSQVEIKDSSKITADVAKARPSNTTSKENVQGESKLDGNTHAAQHPSAGAVIAILESEKKESNPAPASSDAKTKMLVSEHASFEKEDETSVDTKNLQKDEEHVVVVNTEISAQKNAVLPIEDQHTTKHALPTEKSTAPLDNQLSDHGKLEEQAEGTVVEQECGNVIPLVGGKENKVLEDAEVTLADTDNVTTNTLKFTHIDSTKTEHIAALMRDLKRKRCKSAEDDIDQLENNEEEVEPETTCINFAAIDLNANLTSSQKEHVVQTPNKLADDSTCSEESESKLEENRRKEFLKYVGTEIHKQPEFPLFEEPEFVDIGTPILNRFQLMKNIPQMEPSMDGLETVHDAILRPECQQILAQMEPKIIPKKRKDAQHQGKCNRIGRQPKQSGESAQQTRSQVAAAIKSEKENSGPRTLTTDVPQSAAHDPMNRKLDFESSESEKREEAIRRAADPPLFDLDTPPDVNDLGEGTANEQKNLDGTEARKEEVAQDRMNPKSKQPASSITPVAHEYEKRISKPGQYAKSPFVNSQTSRTFYVNKSVDEVYSMVCQHGWKHAPRDPFNKEIVIDLDDIYVSLGDLAESIRPRNKLYNTVAEIGINIIKRENQNPKKVIMPLRIAVCFPTLQAFPGTPKDASGHYWLVNLNIKAQRFEIYDSLGNNSEPVNMHACHLLIAGIKTNWTRQYSNSKIKIMDWPIEIIDSPRQNNGYDCGFFTLKNLEACPSRTPATYSQKDIPNIRKLYTDKWLRFTKKANWQLFV >cds.KYUSt_chr1.6372 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39277541:39277888:1 gene:KYUSg_chr1.6372 transcript:KYUSt_chr1.6372 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVTAKIHARVPHIAAGGNVEVAEDELSGSYSWGTSMEKETRKDVANQVTVPPKTKVTVTMVATRASCDVPFSYKQRDTLFDGQQVTHDMNDGLYTGENCFDFEYVITSQEMI >cds.KYUSt_chr4.54777 pep primary_assembly:MPB_Lper_Kyuss_1697:4:338362973:338364133:-1 gene:KYUSg_chr4.54777 transcript:KYUSt_chr4.54777 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPPVSYPVQVFSSRTGQWEEKRFIREDDTAVTVSDVWSDPLGWMGNMVSYRVGRYHAVYWREALYLHCFGGFILRFSLQKETYLVIKTPKLVCRPRSLHSDESKKVTVWRVYLGKSHQGIYYTALSKNQLRVWVLREAADSRAVPEWELIHHADLKPSFSQHYAHRRIEEKIDESWNFDCADQKGCTEDRGGNEWDSDNDSAVDIGGGEDGEGVGSISDYWDQCAKVRTDLLGFHPHKEIAFFGKRFDGFAYYLNSSKLQYLGSFRPDGCYHKGAGTYRSFIYTPCMYDMLPLREEEKNQDEDDEDDDDDNDKDDDYGKNYDNEEDDGEEEEDDEDIHWI >cds.KYUSt_chr3.30637 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192107587:192111867:-1 gene:KYUSg_chr3.30637 transcript:KYUSt_chr3.30637 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAEGNFAALGPSGGGGRRRSGSFGMKRMSRVMTVPGTLSELDGEDDSEPAATNSVASDVPSSVSGERLLVVSNQLPILARRRPDGRGWTFSWDDDSLLLQLRDGVPDDMEVLFVGGVRADVPAAEQDEVAQALYDRFRCAAVFLPESLHDRFYHRFCKRTLWPLFHYMLPFSASISPPSSSTAPPDNGRFDRGAWEAYVLANKFFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLEYFGRTVGIKIMPVGVHMDQLQSVLSLPDRHWRVSELQQQFQGKTVLLGVDDMDIFKGINLKLLAFENLLKTHTKWQGRAVLVQIARPVRGKGKDLEAIEAEIRESHNRINAEFGQPGYSPVVLIDRDVSSVEKSAFFTIAECVVVTAVRDGMNLTPYEYIVCRQGITGSESSSSEACGLKKSMLVVSEFIGCSPSLSGAIRVNPWNVEATAEAMNEAISMSEQEKQLRHEKHYRYVSTHDVAYWSKSFIQDLERACKDHFRRTCWGIGLGFGFRVVALDPHFTKLNMDSIVMAYERSQSRTILLDYDGTLVPQTSINKAPSAEVLRIINTLCSDKRNVVFIVSGRSRDKLGEWFSSCPKLGLAAEHGYFLRWSRDEEWQTCAQASDFGWMEMAEPVMNLYTESTDGSYIETKESALVWHHQDADSGFGSSQAKEMLDHLESVLANEPVSVKSGQFIVEVKPQGVSKGVIAEKILASMKERGKQADFVLCIGDDRSDEDMFENIADIIKRGMVAPKTPLFACTVGQKPSKAKFYLDDTFEVATMLSTLADATDVEPMTGLADELSASISSIDISGEQTESSDRPFRGL >cds.KYUSt_chr2.33730 pep primary_assembly:MPB_Lper_Kyuss_1697:2:208447241:208448206:1 gene:KYUSg_chr2.33730 transcript:KYUSt_chr2.33730 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDLLKQPCPGSDDTDDYDCPSPPPPSSDGSRHLVTPLVVTASVVLFLFLASLSIYCFIRRRRLRRQQQQSLLAGVQADGPQPAAAAATDVEAGPPEDEVVHHAWHIRTVGLDEAAIESIALTRYRPGTVPGAADCPVCLGEFLDGELLRLLPRCAHAFHAPCIATWLRAHVNCPLCRAHVVLDDDPAAGAADSNASPADAEQVSGDAGTLGHEQPGQRQEELRVQIDQCDQLSSPEPQRRRTRDFRRVVSMDPSVVSSGQVPQDKNSSKENQGDGGGAACCELPSGSGRLCQMKRSLSGGARWSLGSRHRRARSSLLPS >cds.KYUSt_chr5.42915 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270414425:270415417:1 gene:KYUSg_chr5.42915 transcript:KYUSt_chr5.42915 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRSRILRTLQSAAGQTNTLLPSPAAPPEKRHILQERLPSPVPPGAEAVPDPPTVSDEGGTGGDGDGEKENFSPEANPRKAKKMKVSSDEGAALCYRRPELESVTLFDPDLLAAFRGVVDAYAQALENTAKRYPAYDEDEDGIIAALEESAGVDPLAGLEARCPPGGERAVVLYTTSLRGVRKTFEDCATVRRLLDGLRVAFLERDVSMHAPYRDELRALLLGRDGAGADAMPVPPRLFVSGRYVGGADEVVALHEQSRLRPMLRRAARRGAGEAACAVCGGAWFVVCGGCSGRHWLYDGVSAAAVAANRVPCSGCNENGLVPCPLCS >cds.KYUSt_chr3.27646 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172652462:172657950:-1 gene:KYUSg_chr3.27646 transcript:KYUSt_chr3.27646 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSRHRHGHILLGLLVVLLAAGSDGDPATDTFSCQPEPSTCGHLSVRYPFHLSDGAEEYGERRSYCGYPGLAIHCDDDAKSILHLGDDEYTVSDIDYDSLTVSLADADVSNGSCPTVGHNVTVPSPFHLPTSALAYLLFFAGCEFAPPDAAELVPKPPRKPPGIKPITCGSTADSFVLPEPEVRPKDWWRACQSVYSAPVLRDALRADAQSAAWRRGGYAEALRAGFQVGWDRVGSSGPCGRCEQAGGRCGYNGTGGFLGCVCADGTLAAGRDGCSEISESAATLSGSKGKGKAAIGGVVAGAAGLFLVAAGIVFFLIRRRKQRKVVNSSSKLLKYSGSGGTPTRSRDGFDLESGSVQGMGSRFSYEELEEATDSFNENRELGDGGFGTVYKGYLEDGRVVAVKKLYNNSYRRVEQFVNEAAILARLRHPNLVMFYGCTSKESRDLLLVYEFVQNGTVADHLHGHRAQERALPWPLRLNIAIESAAALTYLHAIEPPIIHRDVKTNNILLDGDFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKCQLEELVDLQLGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVHDVLRAIKDGSVAENGGVGKDKDLEPPFSPNTVHAPWDSRSTTPNTSQ >cds.KYUSt_chr2.52474 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327400012:327407513:1 gene:KYUSg_chr2.52474 transcript:KYUSt_chr2.52474 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMLTPKPTDPSVTLSSLLYTEHEQIDRLYKEIKNLATSFSATEKDGVDDDSVRSEFTNERNLICISNLQSISECIEVLCSLEPSLHYGLGKLVDVEEMSSKGETVQEPVLLGGEEEHEIETKSESRIQVEEFDAYRSRWELLWRPARKFEDMTLLSPMVFTPFTPGRIPWDAIVGSSLQIYSVRVAELHQVEGADLSWPLEVYGVVAARDTVDNSRNPIFLRPRHNCQMLTPQDPFLHLMGPSRAILSTDPVIIEIQLKVKGRAKSEDRKLISKVCHISGESFGTFLVRDDHCAIELRCEQVKQSVQATVSRARLVERGSLPSQFGGLVLCCSLPDGAIEDATDQSKQIVMLDSKDGTLPMGSLFPILLLRLRFFFADNSSGRPAGKMGPSSSSTPRPQPASHASSHPIARTPPQHGNTSTEKSGSSEESGKRKEFDLESAKSWITRRAFELQFPLTEEKAKKSGSPVWFKANQSSSSGREEIDHMDNLSNITGIDTLQIDDGGFHIHTEEQKVATIDEKAEAPDMDFTLSPYSDLIYLLHDQMQKLVEPSFFSTEGKEDVARLWSGSEEILRSINKLQSISAQIDELGRLIPDLNEKLRLVSCTRSSEHSSAAAEIEEKQFAGHRRMWELFNARKFEDLTSLSSMIFTHCAPGRMPSYAVVKPTLQILSIKVTELTDLEWPLKVYGIIAARDTVDNLRNPLFLCSRNGAQLVTQQDPFLRLTGPSRAVVCEEPVSFEIQLKLKGRTESEDKALMSSKLSYKEDSANRYSTLNVGNHFCNMEFCFQQLDRSVQATIVGVRVVSQRPSSSFPHGAQVLCSSLPRGFKEDDNTLSCPNLLLHDWKDGIRSADGHLDLARHVVSAELRGKLKVVIKDCKSPDLTGYVLLTPKKCNFSQATCLVGDAEVEVTVAWSLLVKDDECILSSSYVDPYEACPTLHPSTLTFLKTGVAEN >cds.KYUSt_chr2.37534 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232417437:232418247:1 gene:KYUSg_chr2.37534 transcript:KYUSt_chr2.37534 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDLKLLGAWPSPFVTRVKLALSFKGLSYEDIEEDLASKSELLLSSNPVHKKVPVLLHNGKPICQSMVIVQYIDEAFAGTGPSLLSSDPHERAIARFWAAYIDDKLVTSWVQSFRGKTEEDKSEGTKQMFAALDTLEGALRECSKGEGYFGGESVGLVDVSLGSLLSWLNATEVMSETKIFDPIKTPLLAAWAERFRELDGANAALPETDRMVEFAKKRQAQAAAAAAASDN >cds.KYUSt_chr1.15949 pep primary_assembly:MPB_Lper_Kyuss_1697:1:92724290:92728006:1 gene:KYUSg_chr1.15949 transcript:KYUSt_chr1.15949 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDAQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMLKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPEVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQKHRPHTMFATAPPTQGLQNGGVGAPVPRPFANGNMPGQMQHVRPPPPPVGQYHPMQMHGQPAWPGPPQNMQVPPPMQQQLQYRLPGMPPPQNMMPPPQNMMPPPHHMARPPPPPPPNMQAPPMWRPPPPPQQSGGMPPPPMSMPPPPPPPSG >cds.KYUSt_chr4.46635 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288285941:288288759:-1 gene:KYUSg_chr4.46635 transcript:KYUSt_chr4.46635 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVRCLRDGRLDGEHAPALAVQGSLQCCPLAARAMLHLAAAVASNAAAGKAQARGLVVVAFDRSPEVYLDFMRRSGLDSNALGRCVRILDCYSDPLGWKQIIRSQSHQEDSGTPCSTNEGNITIFRSVNDVDKLLCSMIDLGRGFEGEGKTYFSVAVDSISSMLRHASVQSISALLSNLRSHDQISSILWLIHSDLHEPKFSRAFECLSTMVACVEPEGVDSVYGEEHMGNISFLEHNYSRAKFNVRLKRRNGRVKHLYEELHVEGYDVKFLSSLSMQVNQSLLPKVQFNLELSDKERSDRANVVLPFEHQGKGEPIRIYDGRRSLLEAQQDSDLTKTALLDETKAPKSATAKGEIHYIRDSDDERPDSDEDPDDDLDI >cds.KYUSt_contig_1130.69 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000106.1:458382:460775:1 gene:KYUSg_contig_1130.69 transcript:KYUSt_contig_1130.69 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGQKTSRLALWRGPEISDTQQVGGGECSGGVEDFFQQLWHIPWHPPGLPPRKATIKKGASSFRVPLLASWVAAEVISGESHFGVEICPEDTTVNMAGRGGYKGRGRGGGGNIQDQWQQQPGQIQPQQQQMPMDFPQPGAFGYPQHPFGFVPNPMPPPWAFNGSYQQYPPNTGFGMQPNQWLASQQPGFQQQTQQPPVQQQQQQSQQQQQLQLPQQQQQLQLPVSGGEGLGKSKNTARGKKKIGAVQQKSPSASSSNALQMSYTNTICMCCGEPGHHQAACGRTPMCFICKATSHLVDECPVRKRPHQLAKYVGSGAPGLGFYHIEMPETVINPVGSTRNCGIVIIEGGEVSKEELYAEFAQIYKTNWPWQIRELGQSDVYLVKFPPHLKVEEVIGYPRFGLKKKGIWVKVEAWNDDPEPVEVLKEAWIKVTGLQTKWCEWTSLDQAVSVCGLLLEVDWLSVFRNNAQEVRVKVHCRDPSKLPPGRLFGFHGNLFHLGFTLESVISSNEEDDDLLGEELDDQSKRDAEGNNQSGQDNGSGSGAAARPQSFSLGNIQQASTNDQYSQKDQVMDDPLGENCAPPESSTADVYQKLLQKGLVDQEGAFVWDKTPVSAAASEEVKKFWYSEKMLQGNLTNQFEETAPEGDDQELELPDDIMPEVELPFISVDQVTEKQPAKRRSKWGPVQPVRQSNRIDRSKNIMEKAQEMKKINNLEIPRMRGIMSNNPFNVLHVDELDNVAKIVGINLELETDSMHSACSSPRTASLSDKDQQEELAEEWIDVIRKSRGKHPKKFYP >cds.KYUSt_chr6.19218 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120817293:120831865:1 gene:KYUSg_chr6.19218 transcript:KYUSt_chr6.19218 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPNPKPKSAKKARKPAASGEEKSMDELKSDASPTRLKPTKKSKKPAADGEEEAADAVKLDVNPNPKSAKKKKKKKKLAASGEEGDLDAIKSDVASFASSLGLVPGAGSSSGFDDSDFRKSGPINAPKPPKHPQTPEAAPNTQNPKPTKKPHPLELHGPHTAATTSAATNYPLVKAGALSGQWYADADELETKVLGDRKHAPPAMGLQEMQRLVERKRELAEKLMAQYSRDYDTGRRGTGDLKLLEMSAKSGTSSDKVSAFTCLVEDNPIANMRALDSLIGMVASKAGKRYAFTGFDALRELFEMRLLPDRKLKSLIQRPLDILPETKDGYSLLLFWYWEDCLKQRYEKFVIALEDALKDMLPSLKDKAMKTVSTLLKSKSEQERRLLTALVNKLGDPERRAASSAAYLLTGLLSTHPNMKMVVIDEVDSFLFRPHVGLRAKYQAVNFLSHIFLAKKGDGPKLAKRLVDVYIALFKVLMSCPRDTKGEKRSKHGKKKDENGKTKGRKDKVNDSNSHESHEVNPPAGSDLEMDSRLLSALLTGVNRALPYVASSEVDDIVEVQTPILFRLVHSENFNVGVQSLMLLYQISTKNQIASDRFYRALYAKLLSPSAVTSSKPELFLGLLVKAMKNDVMLKRVAAFSKRLLQVALQRPPQYACGCLFILSEVLKAKPPLWSIVLQNESVDDGIEHFEDIVENPEEPAIASTTPNMQDGMLASHEKYNSDSEDGSDTTKQAKVVSGHEKGQTNGSAEGSTSHVIQVYNPRHREPSYCNADRASWWELTLLASHVHPSVFTMARTLLSGNNIVYNGDPLTDLSLPAFLDKFMEKKPKGNRIAEGKWHGGSQIAPAKKLDLGHRLIGQDLLELEENEVPPEDVVFHRFYMNKTGPIKPKAKKKASVVDEDTGELLADEADDASDESDDEMQELEDGSASDGEYDYDNLDAKAFEEDEDMLRDDSDVDELVDISDDDEGMENDEDEDDDLNMSFVDSEAESADDSDDNIPDVKAAARGQKRKHGAKSGSTPFASLEEYEHLMDGDAEKSTLKNKKRKHKEAGDNVGHTYVRGYDLAIDSRQLSCDERALRLMLKKRLLGLASLERTIAMQRSRILWLQEGDACTRFFHLHASHRRRKNSIGHLIMDDVRITEHADKAEAVDSFYDDLIGSASDRRFSLDLEYLGVPTLDLQHIDSAFSEEVWTALKSMPRDKCPGPDGFSARFFTACWGIIKTDIMAAFNSMSRLDCRGFGAVNGALITLIPKKEGAERVQDFRPISLIHGFAKLVAKVLATRLAPILPQMVGVHQSAFVRGRCLHDNFMMVQGTAHKLHSCSTPAVLLKLDITKVFDTVEWPFLIEVLRRLGLLTPLASSGLRHRTSIYTDDVVSFLRPLALDLKVFAAIIQDFGDASGLRTNMAKCSANLIRCSEADEEAVVRELSCPVVPFPLRYLGLPLTLRKPTAAQLQYLVDKVANKLPGWKASLLDRGGRLELVRATLSAIPIFSMMSLDIPAKTVSAMEKIIRDGWLQRVDDSKPWKEFNIQVPQVVRHLFEGATSSILGDGASTFFWTDRWLPLGRLTDIAPNLLRAVPKRSLRTRKVCEGLAGAWLDDISPDLDTLEIQELFLVADMLVDVALIEGVDDSFRWGWEKDFSYTAHSGYRALFGARVDMPGALQICRSRAPPNCKVFLWLESRNRCWTADRLSRRGLPHLAACPFCDQSTETLDHLLLGCVLSRDVWAACLRWWGKPRWMPQWDSSFVAWLQEKRSGPGGDRDLWTGIALVCWCLWRHRNDIVFDKAAPSKAVVLSAIATEAEMWRIARIFRGSLASVGKWRCRE >cds.KYUSt_chr5.8053 pep primary_assembly:MPB_Lper_Kyuss_1697:5:50882898:50888739:-1 gene:KYUSg_chr5.8053 transcript:KYUSt_chr5.8053 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKRPLEGGGGDGDGGGVSPSPPRSPALKKRCRSFDLEIRGCRHLEELVTSCVQRMEAAVEAVVESAISRIPAVVTKALAGYLSSAPSLGRTRVDQNQPPRYKLMFRNGLSKDVFTKKNICAANGEPLKICLEENNQQGNISRRLLSAKIKIVVLDGDFNRDNEDCWRSEDFRRHIVRPRDKVGAVLTGELELSLKNGQADLCDASFIDNSKFMRSGKFRLGVMIVDELGERVQEGITEPFTVKDRRGEGYQKHIIPSLDDDVWRLKKISKDGKSHKALKKSSILYVKDFLRSYYKNEHALRKVLTITNLVWRTIVDHAKMCDPGKELYSFVVESHNVVLFFNSFYQIIGAAISDQYTPFSDFDKAMQVFLSYRLTRWNNGAKLHMILCPIVNLITKWIMDAPFDINVFLDSGSEHCVNEDEISGSVVLHCPTTAEDEISGSVLMKQACVTIGHEEYDIPFANGGSVRLYCPTTAEDEVSGSVLMKQAVTPPATGPLTPATRMHIEAHVAEPDLVLSSVEVQVPM >cds.KYUSt_chr3.3690 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20930369:20932477:1 gene:KYUSg_chr3.3690 transcript:KYUSt_chr3.3690 gene_biotype:protein_coding transcript_biotype:protein_coding MNHILTSDYPRLRYPHFHPPKNGGSDGGGFITRMPYSLPPSPLPEAAGAEGGTRVEGAVGLRRRLQQPSPEDEVQEEVAAGLRKVYSKGLDYGARYLADCLETAAENRDSLSFSIPAFAVIPSEQLYGLLRGQWHSPRPTTLVQAFARVESAYYAVMLSLEHHLPRCIELLVGVRPPSGHRQLVGVMIGYADDLIAAAKEHLRHLANRFEGRLPNPDTDPAATGKPPQAESTVDVDLALTYLHRSCSLTSLAVKHLDVAISFISSFLDPDEVAKISEWTDQRTYYISEVRTV >cds.KYUSt_chr1.5624 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34674991:34686900:-1 gene:KYUSg_chr1.5624 transcript:KYUSt_chr1.5624 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEAAAAAPAVPPPPFAAEEADGGDAEQARTLIGALNLLSRNLPLPPAVLRAVSSIYRGGDDEEDDEEEEEEGGEEEPDVVGDGGEPASRAGEEATLIQELEDAIFGNQTMHMSSSKLTAVKEERFNTSIQHRLAELEGLPSTRGEDLQMKCLLELYGLKLLDFQKKVRSDINSEYWLHKKCAYPDKQLFDWGMMRIRYPCAMYGTGDGFSMDTEDMHRKKRFTERISKLEEEEKNQAENRKRKFFTEILNAAREYQLQSSATYKRRKQRNDGVVAWHLRARQRITRLEKNRLQVLKAGDQEAYLRMVEESKNERLTMLLDKTNELLEGIGKAVQRQKDAEHVSRPEVSKDSESDESPEDSPSDDDEDTNVSANNSKFNAGRRLDSTVHSIEEKVTEQPSALVGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLLEKKDVSGPHLIVAPKAVLPNWSNEFKTWAPSIDTILYDGRPDERKALREANFGGQFSVLLTHYDLILKDKKFLKKVYWHYLIVDEGHRLKNHECALARTLVSGYQIRRRLLLTGTPIQNSLQELWSLLNFILPNIFNSSGNFEEWFNAPFACDVSLNDEEQLLIIHRLHQVLRPFLLRRKKAEVEKYLPSKTQVILKCDFSAWQKAYYEQVTSDGRVALGSGFKSKALLNLSMQLRKCCNHPYLFVEQYNMYQREEIVRASGKFELLDRLLPKLHRAGHRVLLFSQMTKLLNILEVYMQMYNFKYMRLDGSTKTEERGKLLADFNKKDSEYFIFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKVIQAGLFNNTSTAQDRRVLLQEILKRGTSTLGTDIPSEREINRLAARTEEEFWLFEKMDEERRQRENYKSRLMQGNEVPDWVFADTETLAEKRLKEEAKNPVILTKRRRKEVVYSDSFVDQWMKPEDGNEDIPKLTPRAKRSVYMSDIQEVDIHDRRKRLKFVEDSAVGASNPTWTPEKGRVSSYSKDENEDDDEDDVNTSGFQQGNSFTWNTLRRKRSSHSTNLQSDLKGRPPF >cds.KYUSt_chr6.2171 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12813557:12818102:1 gene:KYUSg_chr6.2171 transcript:KYUSt_chr6.2171 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRGSNHHITGSLKNMTMLTLGDTILSMGAGTREPSEGALLSKYTTQVWKERNVRVFQNHYSTVDMVGARIKNEMALWVSAGAKALEHNGKYLQSVYRKNAAGNHIEASGGVEANARTRFMVERSEEEEGLMHVQCCYNNKYWAPVQVQDSGRSCWIIGTADEPEEDLSSPSCTLFQALPGKDNDNSIRFLHSGKQKFACVVSIGDKTYMQLDDGDDQENKSVNFGFPQEFPKHVAFRGDNDKYLSIKPLSTLDNIGLRLSSFGGFSEKLPSPSFLEKPPPKFWEAFGLV >cds.KYUSt_chr2.42363 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263899418:263900836:-1 gene:KYUSg_chr2.42363 transcript:KYUSt_chr2.42363 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAESLHVVLFPFLARGHIPAFLRLAALLHELRPGITVTLVSTARLLPSITLPATTPPIRLHALPFAPADHGLPPGAESLADLHVHQFIAFFQASESLRPAFQGFVSGIRSPACIIADAFFAWTADVARARGAFHAVFLPGGAFGNAVFFSVWEHLPHTLATAAAYDEFPLPDFPSVVLHRTQIPRYMLAATGADPWTAFFRRVIQSCRKTDAVLVNTVQELESSGLDMLRRSFGVQPWPIGPILAPPSLSDSQDDAGIIRWLDAHLPRSVLYISFGSQNSISADQMAELALGLEACGRPFLWVLRPPVGSDATDGFKPEWLPAGFEERTAKANMGLLVRGWAPQVRILAHPSTGAFMSHCGWNSILESLCHGVPLIGWPLGAEQFFNAMLVAEWGVCVEVARGNLESSAVASGAVAETVGTVMGETAKGDEMRRNAAVIARAMAAAWEGPRGSSAASLEGFLRCAEMS >cds.KYUSt_chr7.27072 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169198480:169199667:-1 gene:KYUSg_chr7.27072 transcript:KYUSt_chr7.27072 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTNIPHLASSSSSFFFSNCTCRGRRTGKGVITVFAAGSRCSADSGYSEPIEQQNVGPLSGPANTHCYRRRDFAAVALLPFLLPNVDMASAAELYDRSVIQNGVRNVLTKVKAAGVLRLVFHDAGTFDVGDKSG >cds.KYUSt_chr1.5927 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36454594:36455580:-1 gene:KYUSg_chr1.5927 transcript:KYUSt_chr1.5927 gene_biotype:protein_coding transcript_biotype:protein_coding MHNAPCKFVQYRRQAKVRTNLSPKIFIYLFPEKAIQANVTKWCPAADVLKLNTDVALHLVRVVAGAGIVVRNNEGAFIAAACRRYNHIEDLLSIVLMACRDAMVFAIQQNYTKVMIETDCQAVVEMWRRRKDNVLSGVHLIREMQIYEESFQDCELILFCDSNTFLAVI >cds.KYUSt_contig_528.211 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1329470:1334163:-1 gene:KYUSg_contig_528.211 transcript:KYUSt_contig_528.211 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKWRQDNPFEEVEVEVNPFSAIKRREEALERAGVRIEPKNWPPFFPLIHVDISNDIPVHLQRVQYVAFASLLGLIICLFWNFICVTAVWIIGDAAGPKIWFLAIIYFVTGVPVSHLFLCICICCAVNSVCGTFTGIFYFIGFTLFVLEALLSIWVMQKVYWYFRGSGKEAEMRPDGASRRPPF >cds.KYUSt_chr3.4630 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26221475:26222884:-1 gene:KYUSg_chr3.4630 transcript:KYUSt_chr3.4630 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSFGNRRRDRLSDLPEFLLGHVLSFLPNKQAGRTALLSRRWRHAFSNVHTVSFAERPGARANDWTTFYYEAKEKKSCSAALLDDVWSALLCRRRCAGTHAPLRSFQVAFDSCIGWDRCHVDQWLSYVLRYSIQELNLDLRFRLGPICSHRSGEDMEWRRRGGWYDPPRYLFSCTAMRSLRLAYCGLNLPATIDLPFVHTLRLTGVGGGSGGSINRLIASCPRLLDLTLEACSGLDKVFVLDRRLRRFALLCCHGVETVDIDASELRSLDYCGTPPPESLLFLRGAPEIIPECTVDFCKVLPEEAEHARVARFLEKISGAKRLHLHHQCLAPKTFEGFPWFPNMTRLVLQGPLRSLDMVRAILEQTPNLEILTLLMDVSVVVPDEEVPDDASFSVPCLRHRVREINMVHYQGDLLQRMMARLLLGNALGLERLCVVLPRGSFQLQAGMKSEIESLVVAADVEKIFL >cds.KYUSt_chr2.35467 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219007556:219007966:-1 gene:KYUSg_chr2.35467 transcript:KYUSt_chr2.35467 gene_biotype:protein_coding transcript_biotype:protein_coding MSRANGGRGARRLDLKLNLSLPARGDSSSASRRILAAPADEESSPSSCVSSESEQHGGGGALQWSDSPEATSMVLAACPRCFIYVMLAEADPRCPKCRSPVILDFLHGGSGSGNGNGNEDDESNNRNRRGRRNRKE >cds.KYUSt_chr4.43206 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267701591:267705560:1 gene:KYUSg_chr4.43206 transcript:KYUSt_chr4.43206 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGGGDDDDARAPLLAGGPGRRNNSVGSMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLREGEKEYYEKQFATLRSFEEVDSIEESNVISEEEELMEQRQSEFAMKISNYANILLLALKIYATVKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFLQAAEKLVVNEAPDKLNSVQLIWLYSIMIFATVVKLALWFYCRTSGNNIVRAYAKDHYFDVVTNVVGLAAAVLGDRFYWWIDPVGAIVLAVYTITNWSGTVWENAVSLVGESAPPEVLQKLTYLAIRHDPQIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILSKLPSSQP >cds.KYUSt_chr2.36161 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223328952:223330665:-1 gene:KYUSg_chr2.36161 transcript:KYUSt_chr2.36161 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMAQARDFRAGSTGPGFPCPYIGCPVDPVLPGKLEATVQRTGMGSGAPLPGRASTHALFCRQRRTAMGGYELVRSDDAAATTPDLEFGGSTSSKAEPLPPPRPPSPAARQRLVSLDVFRGITVLVRTSRDVNAS >cds.KYUSt_chr7.20728 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128563429:128566068:1 gene:KYUSg_chr7.20728 transcript:KYUSt_chr7.20728 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQKPAKRLGGMAEALAIAGDLGFPAPPAQEDQSTSDKSDDLVKVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGIGLMTSFMYLKEMLRPIPAALASCTRFFEAMSAMRESFSTLQALRVGHSSLSMTAGGASDDSKFLTPPQWREGSMLNSWKQVDDANSESDGLDSVNQRRLSWPSSVNRDL >cds.KYUSt_chr7.35565 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222234765:222238193:-1 gene:KYUSg_chr7.35565 transcript:KYUSt_chr7.35565 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEEDIMNEKNPRPLDEDDIALLKTYGLGPYSNTIKKVEKEIKEKAKNINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGAGGDNEVQRTMLEIVNQLDGFDSRGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRAMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >cds.KYUSt_chr5.30997 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196240327:196244836:-1 gene:KYUSg_chr5.30997 transcript:KYUSt_chr5.30997 gene_biotype:protein_coding transcript_biotype:protein_coding MREEENGGMEMDDLAASEPPPGESRGGGGGGGLVETALQPVRWLQMLCRELGATFVAGVVLVFGLNQGFAGSFFRVASDYYWKDVQRVQPATVQFLSVFFYIPWVLKPLWGILTDVFPVRGYHRRPYFIFSGILGMSATAVLAMGIGLSVTSAVLCFVGISTAVAIADVTIDACIAKNSIDKPALAPDMQSLCAFSSSLGALIGYSTSGMFVHHLGAQGALGVLALPPAMLVFLGFYIYELKKHQHNVKEKVFYKLSVAVKGMARTIKYPVVWKPSLYMFLSLALSISTHEGQFYWYTNKEPPNPGFSQEFVGVVHAIGAVASMVGVLIYHKIFKDYPFRTILFFAQLLFGISGFLDLTFVLRWNLVLGVPDAVFVILEESVSRVVSRVRLMPMMVLSTKLCPPGAEGTFFALLMCIDSIGMLAAKTNGAIVLRTLHVTRTDFSNLWLAVLLRNLLRLSALGAIFLVPTADQTDVLLPQSLLNSGSTVASASDEEETLLQLGKLSSHTDGE >cds.KYUSt_contig_402.308 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1836260:1836784:1 gene:KYUSg_contig_402.308 transcript:KYUSt_contig_402.308 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMKMTLLAVAAMAVLSSVSAATYNVGEPAGEWGFGINYGSWASSKQFIPGDSIVFKYSPQAHDVLEVSKADYDSCSAASPITTLKTGNDVVALPATGTRYFICGFAGHCTADMKVAIDVVSASSPSTPSSPAPASGPSARNSPPPPSPSAATSVRVTAGLGLVVLLAGLMA >cds.KYUSt_chr3.36269 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228103158:228105010:1 gene:KYUSg_chr3.36269 transcript:KYUSt_chr3.36269 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISSPPAYKKPEPTRTQPAGGRTHERRHPGGHREKSQGEEEEIAPSRRGSKHIGTSATMAGDPAGGGGSATGPNRRNRTSATMAGDPAGGGGGGGSATGKSPRSSSRHRQFRDRAKTRVDDLQEIFSGLQSARQESRSTDAAVLEEQLAIEEEDDATSKLAIPRSSRLGHGLGQDDQNLNAELQVQGENVAGGAPVTQQSLGHEVMGDFDGEVADVANALFNDQRAMRRKAEMNLDTADDDDDDDILDGQFLSAIIDNISEVDLEHVELSSDLQASERGSRSSAGKKSRRGLRDLAKHSHIADGCRDYDLDFLAISETGGILLGVRIDTMTVLASSDGEYHIKLDIQNKAYGFTWSLVAVYGAAQEVFKADFLRELVNLTKDNPYPILIRGDFNLLRFPHEKSKGRFDGHWPFLFNAVIDSLDLREVFMTGRQFTWANSLPEPTYEKLDRVLMDTE >cds.KYUSt_chr1.36545 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222951676:222953530:-1 gene:KYUSg_chr1.36545 transcript:KYUSt_chr1.36545 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGLILMAAWRIAEKQDDFLHKVQQQVQAPSQHTLESASIPHQGCTLRSDLLISGARVYADASWKCNKTPAAAGSTVTGIGVFLQYETNGQSFKVMIQASTTLLPSVLQAEAKALLLAAKLAEWLQIDKPTFLTDNQVLAKAAASRTIDHALLHWDARNILADFFNATRSLQADSAGAVLDE >cds.KYUSt_chr2.16272 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102394350:102398584:-1 gene:KYUSg_chr2.16272 transcript:KYUSt_chr2.16272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 and C2H2 domain-containing stress-associated protein, Stress response, Regulation of the expression of stress-associated gene [Source: Projected from Oryza sativa (Os07g0569700)] MVFFFFFVIGDVVMLVTGMNIGVMVVFVFVIGNVVMLVTSVTGGVQVVFVFVVDDMVMLVTGVNIRVMVVFVSVVGNVVVLVPGGVMVVFVFVVSDVVFCLQHRSYTSHKCPVANNKDVTVVICPLCAKGVRLNPNEDANITWEAHVNTDCDPSNYQRATKKKKCPVPRCKEALTFSNTIRCKDCSKEHCLKHRFGPDHMCPGPRKLEPTFPFANMLRRSQKVEPRANSSSSSSSWWSSSLLNAASSFRSSAEAGMQKLSIATSEVVQKAKDGITPISSSGDLVEQCVQCPARFSTVGALIEHAEKSHGNSSQPSRGRVTIDACPKCSKGFQDPVLLVEHVEREHGGTSRA >cds.KYUSt_chr2.9001 pep primary_assembly:MPB_Lper_Kyuss_1697:2:56656058:56656573:-1 gene:KYUSg_chr2.9001 transcript:KYUSt_chr2.9001 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYTLPSHSESEGKSPGFLHWWESPATPSDPGSTPSDPASTPSEEDEDGGGNASEGQEVDEGSAGSDAEDREEGQEEEEDSDTKFVRLEVQEAADDKAAARKKSRARARAQARAAHRRRPFTDDEYDISSSFNSSTGVSSSSSSSDEEVTSKRRRSLDDDAGPSNKKAKN >cds.KYUSt_chr7.40424 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251000929:251001207:-1 gene:KYUSg_chr7.40424 transcript:KYUSt_chr7.40424 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAKEKMKDGASAMKAKATITQAKVSEKAQAATARSHDERELAHEGGKAKVAAAEAELHQAKVVHREEAMEHRLHKHGHGHKKDTKHGGGH >cds.KYUSt_chr2.38793 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240506340:240512411:-1 gene:KYUSg_chr2.38793 transcript:KYUSt_chr2.38793 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLRRLSGHLRRPLSTASAQTMLLPLRRLPGHLLRRPLSTTTSTSPLPWAMIYRFSQPANLKGAESFKLPPPSDTTGAASFALAPPPSATLYSLPELAFNLAERKPNPDPRYVNIYAAFICAASSDGLLLLKVSRHRVGAQASRERPAEELLKALFGTPVFQWIGVFSFSGTALDPHKYPLSCSVSQVSTGLNVSSSNPKEVVEEEYVRFVCNPVTGQLLRLPVPDFLKNGESILMPQTGLLTQADGGHGPPNRYAAVDLIHCPGERHLLLCRFSSETGEWNTAGQPSPLPPGREMRTKQHEVLAFAGRLWWVDVSWGAVSVDPFGPDSPQLGHINLPPESMIPHKSDKDVSDLIKYRRMGVSDGWLRYVEVSEQAPFQISYFTLDGKQRGRWTLEHQVSLGIAAANQRPLIAAIDPLNAGLLYLNIGGVAVVCLDMRSNKVMARYSVSTCGIPQCYCDSNVFLPCVLPSFLGSTQIPFPGMKDAPKQKTLADVLIAAGAACTCGVVIGLNGSVLFVLRLWEENPLVPWPDASLPGGGRYLNSRRVPVPPVTREGRERRDEVHHRRAILPADLREDPAYALNSYNRISFGTWEFDTRRRAGYLGDLDYFDREIAAKEDANNDDDEDAAAAAEAECETLSGGHVQGGDNDHNDGGPAWDPETQPPDISE >cds.KYUSt_chr4.48999 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303542856:303545939:1 gene:KYUSg_chr4.48999 transcript:KYUSt_chr4.48999 gene_biotype:protein_coding transcript_biotype:protein_coding MALNERLSKFKQQQERCQTTLSSIAATQASTSKLQISSRSRPVNAPLAPAKQTPAIKFSNDTERLQHINSIRKSPVGAQIKLVIELLYKTRQAYTAEQINDATYVAINSNKAVFDSLRNNLKVQFDGKRFSYKSKHDLNGKDQLLYLIRKYPEGLPVVEVKDAYPTVLEDLQALKASGDIWWLSSMDSQEDIAYPNDPKSKIKVDADLKQLYREIELPRDMIDIEKELLKNGHKPATDTTKRRAAAQINGQPKKPKAKKKQKQITKRTKLTNAHMPELFDLPGGRNT >cds.KYUSt_chr2.44480 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276778944:276784054:1 gene:KYUSg_chr2.44480 transcript:KYUSt_chr2.44480 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAVSAIMDDGGVYHAAAQGVNAPLLPETNLKGRRPPGGQKRPSTLLPAIFLTVLILSLVGLAVYDDGQASVTRPGDQVAAAGRAVEVAATRGVAEGVSEKSNAPALLGGGGAYDWTNAMLSWQRTAFHFQPEKNWMNDPNGPLYYKGWYHLFYQWNPHGAVWGNISWGHAVSRDLIHWLHLPLAMVPDHWYDINGVWSGSATQLPDGRIVMLYTGSTEDGVQVQLLAEPADPSDPLLRCWVKSESNPILVPPPGIGLTDFRDPTTAWLNPTDKAWRIAIGSKDQEHAGLALVYRTKDFVRYDPLPTLMRVVSGTGMWECVDFYPVAFDPAASGEVGLDTSVPPGPGVKHVLKTSLDDDRHDYYAIGTYDAETDRWTPDDEAIDVGIGLRYDYGKFYASKTFYDPVRRRRVLWGWIGESDSERVDILKGWSSLQSIPRSVLMDTKTGSNLLQWPVVEVENLRMRGKRFDGLAVQPGSVVPLDVGKATQLDIEAVFHVDVDASAARSVAGAEASYNCSSSAGASGRGLLGPFGLLVLADEGLSEQTAVYFYLVRGADGKLSTHFCQDAFRSSKANDLVKPVYGSSVPVLDGEDLSVRILVDHSIVESFAQGGRTCITSRVYPTKAIYDNARVFLFNNATSVNVTAKSIKIWELNSAYIRPYSNSL >cds.KYUSt_chr6.7661 pep primary_assembly:MPB_Lper_Kyuss_1697:6:46901162:46901710:-1 gene:KYUSg_chr6.7661 transcript:KYUSt_chr6.7661 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAAGKADARYVSQMLQGDLVFGDDGDDRVPDSPHGGGARSWSALHHPEEPVVMVASGGGGRNHAAQQVPMSLPVRTLKQQNYAAAGYGNGEARPRAQETVLPSPIPWRSRSGRFDAPTNSPSLSPKRLSPASSMSNETLAKASEEQAAVPKRRSTHKSYISSPPPPPPPPPPFLVHGYH >cds.KYUSt_chr4.21403 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134789547:134792324:1 gene:KYUSg_chr4.21403 transcript:KYUSt_chr4.21403 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDEEGEWNGELTVMVLVESDMRSAAGDEGTNGDDAPGRRKVEAAASTVEEDEVVEGEAPGLRSWLESNEESSPNASPALAWRGEVHGDGKDGNSGDICLFAETLNLIGENFASSRGREERDGGGAEEEKRWQLGFEGLPRLGFCGGDKREQGAGVVYSLSLPLLWIAAFITCAYNSIDQDYDSMSLQKLSLLSFTYSGRVGTKLGDADRFHVGAEIPGVAPHYTLPPSTFNVLLGSYWFDKPWFLTERNLPLYASHLPLGVPNGRVLYA >cds.KYUSt_chr7.41013 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254093995:254094426:1 gene:KYUSg_chr7.41013 transcript:KYUSt_chr7.41013 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHHSMALQEQHLHMLNNTYKREFKLQYQMPNHHHVLNTIPHELEIPSSFHSLLASPNDHQINVHHAHHHHVQLIDHSVDDQAATDWRVLDKFVASQLSNDAAKGVAYTDEGDILRLNEKVQEVTTDYASTSTSSSQVDPWK >cds.KYUSt_chr3.27181 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169679037:169683408:1 gene:KYUSg_chr3.27181 transcript:KYUSt_chr3.27181 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPQYQIQVRLLDGRTRCLLFPSPTLTGSTLLHTISALTHIPPTSLRLVTGRRTISPTTTLLAPFPSATTLLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWRAEAADRRLERLAEDFLRKKAKEAGRGGRGVSAAEVDKYLDKYRRDAESCVNAVEESVRASLGKRKAVNKPRDAKKLKIWMGKKKVADDESDSESDSDSDVDDDEDADAKPAALDDGNCSNGSNKSEDDKVDLASVSGSHSEGESSGEKSHSSDSEKNGNALQESIELTIRSGCESELPTLECEGGMTDQPAPENTSENGTSENGVSALSEEVLKTDDKADVENTGSATSLPNDPEVPPVEESADVNTKSPLPEEPVDLTAFSSAAELEVLGMEKLKLELQTRGLKCGGTLQERAARLFLLKTTPLDKLPKKLLAKPNSGGK >cds.KYUSt_chr2.13632 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86423839:86427134:-1 gene:KYUSg_chr2.13632 transcript:KYUSt_chr2.13632 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGYNPRTVEEVFRDYKGRRAGLTRALTTDVEDFFRQCDPEKENLCLYGFPNEHWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSMVAVHSDAWLLSVAFYFGARFGFDKNDRKRLFGMINELPTIFDVVSGKSKTKTTSNINHSNSKSKSNHKMKTSEPRAKQPKPQMKDEDREEEALDAGEDGGAVAGGGVEEHGETRCGACGDNYGQDEFWIGCDICEKWFHGKCVKITPAKAEHIKQYKCPSCMGTNGSSGSNKRARPSS >cds.KYUSt_chr3.25170 pep primary_assembly:MPB_Lper_Kyuss_1697:3:156323486:156324142:1 gene:KYUSg_chr3.25170 transcript:KYUSt_chr3.25170 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGCVLPARASGVWWLAMEISMVVAAWCRRLLERSSMAHPLNKLVVEPLVSVLEASGCAGMMETMVLSLFFNHRGDGGGERTEVALQHTAWRGYMAATSGGVLQLQRIDAVVIHGQRNHSALRCCSCRSFVNLLAGVLFWRPFSYSVMALIVKSSPSGLVPGGGADGQDVECIFFFGGKGLDCFCKSFRRVLLVISEDLAIILLSSKVLDVTCNPTF >cds.KYUSt_chr2.42106 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262182709:262186692:1 gene:KYUSg_chr2.42106 transcript:KYUSt_chr2.42106 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGGGGGGGGLGKVKAGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAIKEALGYNQKSLTMLGVANDVGENVGLVPGVLANRLPPWLILLIGSACAFFGFGTVWLAVTKTVAMPYWVLWIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTEAFNGMLNNSPTNLLLLLALGIPIACVLVMYFVRPCTPSLDEDNSTEHSHFVFTQISSVVLGAYLMVATILGDTLKLSATITYLLFGIMILLLLSPLAIPIKMTLYPSKPKDEKPSTIVPSYSTDSLSGADQESAEPLLRGTSNTFGTGTNDSDEATDVDLLLAEGEGAVNLKRRKGPRRGDDFTFGEALVKADFWLLFIVYFCGVGTGVTALNNLAQIGIAVGANDTTVLLCLFGFCNFVGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVVTFLLFATGLHSLIYVSTTLLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLMVNPIGAFFFSALLAGYIYDKEAARQNPGVLDPSNCFGPDCFRLTFYVCAIVCCCGTLICLFFIARIKPVYQMLYASGSFRHPRHQQLY >cds.KYUSt_chr4.6912 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40909610:40916509:-1 gene:KYUSg_chr4.6912 transcript:KYUSt_chr4.6912 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGFEFVYRNTVGMSLDERFAIIRSIGLESIDEDDVRRLLGKKVAPVCYVWCDPSPSVHIAQGIMMVINVRKMVKAGCRVKILIADWFARMQHKFDGDLTKIRTFGLYMIEIWKSAGLDLNGVEFIWLSDEINSHSNEYWALAMDIFKNNTLRKIVRKFQNMEELIIDYESGALDAAEVRLALAEALNKILKPVYDHFANNSEAKDLEDEALCPCPIPKRPDPPNQAMEHGDTAAGSGGGRGTSIQVTALDGIVNLNSLFNLAAFLDLAWRPSSDGPGLADGADRLGACAAGDAAHRVRPRHLPRPRLLRVGILSSAVGSVCGCGFLMMALVNVVQVKLGRLGCGAGGSAAWGAVIPLVTFVPTAMLIYFGIVFYAFTR >cds.KYUSt_chr5.17587 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113473703:113476096:-1 gene:KYUSg_chr5.17587 transcript:KYUSt_chr5.17587 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAAAARALLPLPPAAVPIHTHTAAAAGSPSPAAELAAADALHALLSTLPPSLPALLPSLSLLSPRLTPHTVSDTLLLADLPAASRIRLFLFSALTRRLQSPLLHSRAVTLLLSCSPTTDAAMFDALADARAAGLHAPAAAFAALVAAHASAGRHQDAVDAFSRMADFGCRPTPFVYNTVFKALVDGGVILLALALYNRMAGAGCAPTAATYNVLMDGLCKRGMSGDALKMFDEMLERGIAPGVKIYTVLLSSLCSAGRTGDAARLLCSMKEKGCPPDEATHNAFLSGLCKAGRVDEAFQRLQLLQDEGFALGLKGYSCLIDGLFQAGRFDEGFGYYKEMLEKSVSPDVVLYTIMIRGCAEAGRMEDAFSLLDVMKDRGFVPDTYCYNTLLKAFCDVGKLDRAQSLRSEMLQNNVVPDSTTYTIMICGLCKKGLVDKALQVFREMGELGCHPTVMTYNALIDGLYRVGRLEEARMLFYKMEMGNNPSLFLRLTLGANQVRDSESLRKLVDGMCQSGQVLKAFKLVRGIIDSGVVPDVVTYNTLINGLCKVKNLDGALGLFKELQLKGISPDEITYGTLIDGLWRAHRENDAMVLFQNILRGRGSPSLSIYNTMMRSLCRMKKLTQAINLWLDYLPKKYNLSVEDEVIINARKCFEEGSLDKVVKELIKIDQEYDSVSANPYTIWVIGLCQVRRIDDAVRIFRILEEFCIDVTPACCALLINYLCWERNLNAAVDIMMYTLSKRFIVSQAVGNRLLRRLCIRYRRRDAQTLAWRMHLVGYDMDVYLREPTKSLLYSE >cds.KYUSt_chr4.16603 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103117919:103127483:-1 gene:KYUSg_chr4.16603 transcript:KYUSt_chr4.16603 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPSSPRHPPKYGSAAVQIYHQLSSIPVRLVVFTKGNGLLKLQRLSSSFHLPVAVVLDLLRNALEQLATIDLIELSKEARIEHCRATRDLSSCGRYVQHVLNSCGHASLCAECSQRCDVCPICRSPIPDDGNRVRLRLYHKCLEAGLISKQHDERFQEREGHGDPVNMDVQRLHSLFDVALQNNLVSLICHCILFRRPDITDVCLDESAVSSDPLLAFLLDEVVIKDWCKRAVNALVSEIGMIYRSGLEIMKSKLPQLQKLAVQLAGICSVLEAMIASFREAAHVSDLHQLIENTMKAKQHLEAMIWCIRHEFLEKIPSRYASFATWSADVIKRKTYAEERQWPEVSGKTSGYDEANQGILFIEQALQNLGTQQSYIDNEEEGELTCLQNEQSSSMFRSIIDQSNVNSYPFKNLREVVDILFLNGGSDMVVAKQATFLYYIFDRHWTRPDSEWRYLADDFAATFGISSRTLLECLVFCLLDDHSSQALEEACSLLPKICSKETHPKIAQVLLERHKPDVALVVLKCTGCDSFSAAANIEKDVMLCLSEAVTALRVRIEYGHVTEAFMFHRSYCSRVKEQRPADTAHVEDVHINSWMYHVEVMMTEFCDICIDRNIVEKMIDLPWDSEEEKHLHKSLFDSSRERPTGPCGSLLVVFYLQRYRYLEAYDVHRSLQSFEQNVLESAGEEVASKISTIAQWREGLVAKCLEMLPEVQREDARAISSGDQSQSAIRTMQTSSPVNPSVKSPNPAIGLSSSFTTALQNKSNLLHPKNIHASTDSGALISSVRSEFGRKVPSILQCRPVPPGTPTSNMRSTAGIMFPSVGQNGTGTKELGFMKGESGFKKGTKPAGHDSVPTYFNLGAGDTPMKNQGTSLSKTERNKTTFFQGKDSVRKGEFDFGVRAEKPFILSGTNAGQNGHTKVSESVGFHEGHMGKTKVPATPNIFRLVYKYRIHYLWLLPWCRLERGSLRRHGSSSCRMRGLPHVHCQTLPLG >cds.KYUSt_chr3.20923 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128204389:128215490:-1 gene:KYUSg_chr3.20923 transcript:KYUSt_chr3.20923 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKCGYDKQTPDPKCSSLRIISYVHMIVEHCYKTSPVFRCESNRVQKWTPPPVGEVLVNVDAALFLGQQRMAVGAVFRDHAGACVLVISEPLRGFTCPEMAEALALQRAVIVAVERGYGKVIFASDCLSLIQRLLSSKPDRSLVGAVVADIKLKSRSFSSVSFCHVKRSLNEAAHILARSVDVTSLVSVKWQKQVFSDIEIDTSQSPFVFKAQLYALTGVPPERQKIMVKGGILKDDADWSTLAVKNGQKLMMIGTADEIVRAPEKSPVFVEDLPEEEQAAALGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDNVRGTGVDQTSHSLTVATRNTFGELDQSVRPVAPLHFLQMLRKKYPQFAQQQNNVYMQQDAEECWTQLVYTLSQTLTSEGSEPTASQMKELFGIDLVSRVHCAESGEESSEAESVYSLKCHISHDVNHLHEGLKHGLKTELEKVSPSLGRTAVYTRESRINDLPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDFCSDELKQKLQAPRQVMRDAENAKFGLKVQGKTNSLKDIEGSSTSAGESSSMDIDKADSSIPKKQITGVYDLIAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPSIRKEEEILKLSGGGVESALFITERETWSSAPAVALVIVVVMRVTLWGDVDSQACPLVELRVTDALRVTSLRGRPCVVLLPRRRFQETLASRGNLVEPNSITTLALFTLAREAFIYIKPSRALFRHFYSLRTTVGNQCSDCVSFRPTDGT >cds.KYUSt_chr3.42412 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268198495:268199172:-1 gene:KYUSg_chr3.42412 transcript:KYUSt_chr3.42412 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTTRSLPPHHDSSGKNSKPLSYYDPGYYIAAQLEAAQGADIKQQPSRYPRRLAMADEDNVVGRRRPRSQREEEAAGTSSRGGGRGDDEDAGGDKDWLRLGLGAMASSSSSSSAGGDNDAAKALAPPAALDLFSSGKQSASRMSQPSLFPLPIRSYPSQSQYGHDRYRPTTAASGYMTPVPPFLPFARPLRSCSSDLLMRVVSPPPPRTEAAGLWLTLQAAPNQ >cds.KYUSt_chr1.36181 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220559067:220563789:1 gene:KYUSg_chr1.36181 transcript:KYUSt_chr1.36181 gene_biotype:protein_coding transcript_biotype:protein_coding METTAPLLTPHKMGDFNLAHRVVLAPLTRCRSYGNLAQPHNIIYYEQRAMAGTLLIAEANAVSETARGYPHVPGLWRGDQVEAWKPVVDAVHAKGAVFFCQIWHTGRVSPTEFQPNGQAPISSTDKQLPPQLVDDGSMLEFAAPRRLEIHEIPNIVNDFRIAARNAIRAGFDGVEIHAGNGYLIDQFLKNGVNDRTDAYGGSLENRCRFAAEVIAAVSAEVGADRLGVRLSPFAPFGDYVDCIDSDPEALALHVISIMNELGVLYCHVIEPRMCIVGEIDGKLMIRQRLLPFRKAFRGTFMVNGGYDREEGDKAVADGYADLVAYGRHFLANPDLPERFRKNAALNKEGPNLPRSFDQYGSNLELGVWQQGLLPKDQGKELTMGVELGQGGAAAEESLISTEARSQVTDPLASWVADSQSPAGPPAKVSRTSVREVVSEVRVLGVVEDVVSMLSDEVGSLVQPDLRSVVTLGAPIPQAKRTPTIPYARKRRVAGGTATRKSSRCKGVTGAMPVLEKAQRRASEKNLEMVIDNNKAQGLQGTGQTL >cds.KYUSt_contig_319.438 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2836076:2836660:-1 gene:KYUSg_contig_319.438 transcript:KYUSt_contig_319.438 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMAMSSFAGAAVMPAGRFGARSLPALGRRALVVRAQTEKPNTPSPKPSPSIWDALAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGNGTGQAWFAYTVAVLSVASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEILTGAPFINI >cds.KYUSt_chr2.40662 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252648863:252650440:-1 gene:KYUSg_chr2.40662 transcript:KYUSt_chr2.40662 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHDAAATSSPSTTTISPTTAPEHAPPSATITTAATTTTPPATAPVPAPAMPKEAPSNIELAMDRPLKLSVVPELAMPVPPRARQNAETSFPSSASITERAEIMESNANSRVLLDANDYNLNDMGLYWNVGLLIMHAPDAKICHQQLMDAFTLNVHNMFSIILMVQLTTILASIGYPY >cds.KYUSt_chr4.44988 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278490329:278492223:1 gene:KYUSg_chr4.44988 transcript:KYUSt_chr4.44988 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPYLVLFLLLLRPAATPAARAPPPSSPPPPQPHQKVFVWPKPTSISWPSVAYAPLTPSFSIHAVPPHPSLRHAIAYYTRLIRTERHTPLVPPSNYTLASVPVRVLTISVSEADVPLGPDVDESYTLSVPADSASADISAATPWGAIRGLETFSQLAWAGGGEAAGGQSIVPSGIEISDRPHFTHRGILLDTARNFYPVRDILHTIRAMAFNKLNVFHWHITDAQSFPIVLPTVPSLANLGSYSPAMRYTDKDVRRIVSYAAAFGVRVIPEIDMPGHTGSWAGAYPEIVTCANKFWAPTAQPALAAEPCTGQLNPLNPKAYSVAQDVLRDLSSLFPDPYLHGGADEVNTACWEDDPVVRRFLSEGGTHDHLLELFVNATRPFMVHELNRTVVYWEDVLVGPKVMVGPTVLPKETTVLQTWNNGAENTKRIVAAGYRAIVSSAAYYYLDCGHGGWVGNDSRYDKQEKETEGAPLFNDPGGTGGSWCAPFKTWQRVYDYDILHGLTEEEATLVLGGEVALWSEQSDAAVLDGRLWPRAAAAAETLWSGNKGASGRKRYANATDRLNDWRYRMVARGIRAEPLQPLWCPLHPGMCNLSQ >cds.KYUSt_chr3.23968 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148686301:148688108:1 gene:KYUSg_chr3.23968 transcript:KYUSt_chr3.23968 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRDRRSRRDPSPSPSRPSEPRASSSTPRPRVRLAFAAVPLLFLVLAALHFTGRLSRPQAPRTTPISVYERGLVKRQVSADEILAEHDRVSENRSLRHFPNPVLAYVTPWNSKGYDMAKLFSTKLTHVSPVWYDLKSDGNKLVLEGQHNYDAGWVSELQSNASLVVPRVVLEAFPGVVLLKKKPRDKAIDLIVSECRDKGYDGIVLESWSRWAAYGVLDDPKLRKLDGML >cds.KYUSt_chr7.31712 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197540788:197541789:-1 gene:KYUSg_chr7.31712 transcript:KYUSt_chr7.31712 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPASAISGDAPPRVVEDCLGMVQLLSDGTVQRAVAPLVLPGTPSQTTSPVPWKDVTYDETRNLRLRMYVPSTDAGKKLPMLVYFHGGGFCGGSFAMPGFHDACLRLAAELPAVVLSVDYRLAPEHRLPAALEDVDALFSWLRGQAMDGCLLAGPADLGRVFVSGDSAGANIAHHVAVRVGSGALDAGRVRIAGYVLLWPYFGGERRTASEAACPADVFLTLALYDQMWRLALPAGASRDHPAANPFGPESPPLEGVDLPPVLVAVGDGDMLLDRISDYVAGLKAAGKRAELVEFAGQGHGFSVFEPHGEAAGELVRVVRRFVLSAPPN >cds.KYUSt_chr3.28368 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176965134:176966491:-1 gene:KYUSg_chr3.28368 transcript:KYUSt_chr3.28368 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLHCSDKLPFMNVETILHMKEGLDENSYAQNSSLQKRGMDTLKSLIVNSATDVYISQMPERFTVADLGCSSGPNALCLVEDIVGSIGKISCRSSQPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKSAAKSDEWGRPMVFLSGVPGSFYGRLFPRTSVHFICSCSSLHWLSQVPHGLFDETNMPINKGKMYISSTSPDAVSVAYRRQFQRDFSLFLKSRAAEVFPGGRMVLAMLGRQTGDCVDKRTTFLWELLSESFAALVSQGLVEQDKVDAYNVPFYAPSLEEIEVEVRLEGSFSLDYVQTYEINLSSSGDAKEDGRTVSMAIRAIQESMLSHHFGPHIVDALFHKYTELVTESMEREEVKSVQIGVVLSRL >cds.KYUSt_chr7.36065 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225398877:225404749:1 gene:KYUSg_chr7.36065 transcript:KYUSt_chr7.36065 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAISRTSAAAAVAGSNSSEFRFFLSCDISLPLTFRVLQAPIPPPAQDGLDKKVSELFVECKLYIDGVQFGLPVNTRLESSGPPYCWNELITLCTKYRDLTSLAQLAFTVWDVSSGEGSSVVGGATIFLFNSKKQLKTGRQKLRLWPQKEADGRVPTTTPGKVPKNERGEIERLERLVNKYERGQIQHVDWLDRLAFSAVDRVKEKECERLENSFPSLVVEFCSFEHRVVFQESGANFYAPAPVSLSNELVTVWDPELGRTNPSEHKQLKLARSLTRGIIDKDLKPSSNERKLLQRIIKYPPTRDIQADEKQLIWKFRFSLMSEKKALTKFVRSVDWSDIQEAKQAIELIGKWETIDVADALELLSPDFKSEEVRAYAVSVLERADDEEMQCYLLQLVQALRFERSDKSCLAHFLVNRALSNIEIASFLRWYVVVELHNPAYARRYYSTYDMLEDEMMKMVAREDGDEDGFRLWQSLSRQTELTAQLCSIMKDVKNVRGSAQKKVEKLRQLLSGVFSELTNFDEPIRSPLAPKLLLTGVVPQESSIFKSALTPLRLTFKTASGGASKIIFKKGDDLRQDQLVIQMVSLMDRLLKLENMDLHLTPYRVLATGQDEGMVEFIPSGSLAQILSDHRSITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYIMGVGDRHLDNLLLRDDGCLFHVDFAFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRNNSSLILNLFKLMERSNIPDISSDENGTLKLQEKFRLDLDDEEAIHFFQALINESVSALFPQMVETIHRWAQYWR >cds.KYUSt_chr1.36688 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223890302:223897922:1 gene:KYUSg_chr1.36688 transcript:KYUSt_chr1.36688 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGASLGLRTSGSYGSLQQSSGLLASPAPSPPLALRKPAKLSLGGAGAGGRGGERLLFARICMFASRRQRMLLLLLVAVVVLLCFHFSSLVSKDEVAAPGTETMLGISDHVLSFVNPGWTSSFSGNDLNTTSLTGKQFDISNEKVQGPLWTFPPAIALERHPCENFSFSPPPVDRKRTGPRPCPVCYVPVEQALALMPRAPTASPILQSLNYVSEDHLVLKESRSGSLFGGYPSLEQRDMSYDIKDSMTVHCGFVRGKIPGLNTGFDVDEADLYEMRKCHGTVVASAIFGNYDIMQQPENISEFSKDTVCFFMFLDEETEAAIKNTTTVDNMKRIGLWRVVVVHNLPYSDARRNGKVPKLLLHRLFPNVRYSLWIDGKLKLVKDPYQLLERFLWRKNVSFAISRHYRRFDVFEEAEANKAGGKYDNASIDNQIEFYKREGLTHYSSAKLPITSDVPEGCVIIRENIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIRSRVNWTADMFLDCERRDFVVQAYHRELMEQRLAALRSQPPPPPPVVSKPVARRQDQAALEQVIDRLRTLHANIRESSE >cds.KYUSt_chr2.33642 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207938950:207941820:-1 gene:KYUSg_chr2.33642 transcript:KYUSt_chr2.33642 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSTLSGGAVEAAMRTVVWFRRDLRVEDNPALAAAARTAGEVVPAYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGASRLVTRRSTDTVDALLELVRSTGATHLFFNHLYDPLSLVRDHRVKEVLAAEGITVQSFNSDLLYEPWEVLDDHGCPFTMFTPFWNKCLCMVDPAAPTLPPKRINSGDLSRCPSDDLIFEDESERGSNALLARAWSPGWQNADKAFAAFINGPLIDYSVNRKKADSANTSLLSPYLHFGELSVRKVFHQVRMKQIMWSNESNRDGEEGCSLFLRSIGLREYSRYLAFNHPCSHEKPLLSHLRFFPWVVNEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQFEGYKFDPYGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGIELGSNYPLPIVELDEAKSRLQDALLEMWELEAASRAEMENGMEEGLGESSDVPPIAFPQELQHMEVDRELFHAPIPTPATAGRRRADQMVPSMTSSFVRAETELSADFGNSEVSRQEVPSQVRFQPQMEIREEVANDSTAARNNGIQHQQYNNQNTLHSVRAGIAPSTSEASSSWTGREGGVVPVWSPPAASGHSDSYTADEAEISSRSYLDRHPQSHRLMNWSQLSQSS >cds.KYUSt_chr6.23112 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146061747:146066037:1 gene:KYUSg_chr6.23112 transcript:KYUSt_chr6.23112 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGSGGGELRSSIKKWKIIYPVYLNSKKTVAEGRRIAITKACPDPTCIEIADSCAYLKIPCAIELDKAYPRDFFQVGRVRVQLVNDDGTPVNPAIKTKKQLMIQIAELVPKHHGRTKKQEAAVGSSTGTTSKPKKKNRK >cds.KYUSt_chr5.4299 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27516266:27516529:1 gene:KYUSg_chr5.4299 transcript:KYUSt_chr5.4299 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYPTGILPRNLDFTLASSSNSELARLPEPEPPAWPFDKPRAAQAERTDRRQGNNEEWILFLRVVDLNAEKINYYCHDQDRAGAGQ >cds.KYUSt_chr7.15020 pep primary_assembly:MPB_Lper_Kyuss_1697:7:93036268:93043058:-1 gene:KYUSg_chr7.15020 transcript:KYUSt_chr7.15020 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVLVDRATSESLIGPDWSLNLEICDILNHDPSQAKDVVKSIKKRIGNKNSKVQLLALTLLETLIKNCGDFVHMHVAERDILHEMVKIVKKKPDYHVKEKILSLIDTWQDAFGGPRARYPQYYAAYQELLRAGAVFPQRSESSVPIYTPPQTQPLQNYPPPALRNTDYRQEAPESSSASEIPTLSAAEIQNARGVMDVLSEMLNAIDPGNREGLKQEVIVDLVDQCRSYKQRVVQLVNTTSDEELLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKQEAPASSSPPTKPEGTKEPPQRSSEAASNMTPFEQLALPAPPSSSTSKPPGETSVGPSIDLLSGDDYFKPEPANSQALVPVGNLPAASASGHNTLDLVEMFSQTNVSNNNQNPAISSPILNSNPLSAPQAYPAPQHPVLSQQPSPFSNGLTSNTMPTYNQGSDLNSSSPWNGQFAPGTMQPHQAPNYGQDDQSSDLPPPPWEAEIAQAQPAQVGQPEQVSPSQQLLTGQPGGMQFPSGYLEQPGVQHPQSMPNTQYGGMYPPMQGNQAAGMYPQQMAGDIYQQQMYGGQMASYGYGQQSGGYGYAPNAAYGYTGANELSQRMNGLSMQNNSLYGAPASSPLQQANRPARPEDSLFGDLVSIAKTKPGKTAANKAGEL >cds.KYUSt_chr6.4554 pep primary_assembly:MPB_Lper_Kyuss_1697:6:26539071:26543606:-1 gene:KYUSg_chr6.4554 transcript:KYUSt_chr6.4554 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKPREAEAACLQSFELYESESTFYIFGTNSDKTLWRLLKIDRSETPELDIDECSTVYTETEYQELLNGLDEDHRSTGGVKFVTKFYGIIGFVKFLGPFYMLIITEQRKIGEIFDHPVYQVTKTSMVELANSKSRSSFLSSKDENRYKKILNSLDLRKDFFFCYSYPIMRSLQKNLTDPQEGWLLYESTFVWNEFLTRRIRNCLRSTLWTVALVYGFFKQDKFAISGKDVMFTLIARRSRHYAGTRYLKRGVNKKGRVANDVETEQIVYEAVSRPTEVSSVVQNRGSIPLFWSQDTSKLHIKPDITLHAEKDKNYEATKLHFENLRKRYGNPIIILNLIKTRERRESILRREFDKAIRIINEFDSEENHLRFLHWDLHKNSQGKPTNVLDVLLKVAFRALSLTEFFYCQVAPPSESETAHWPALLNSHDPYCCDESNSDISQEDISGSSDSSGNGTAEDKPETSELPQLKPPIFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGCVESPELGLGAPLAHHLMHFYERMGDTLAVQYSGSAAHNKEQMFTRVILFSLDDQNAG >cds.KYUSt_chr5.34234 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217130693:217132136:-1 gene:KYUSg_chr5.34234 transcript:KYUSt_chr5.34234 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAAVTAVSSSCSKRESSIAAGADLSVPPFQLPSSLRDKEPDCAPSVELNFADALADFSLLSLPCRACRPKKANKGRLPPPEEEMEAFFAAAESGVARSFAAKYNYDVVKDAPMDGRYEWVRVRL >cds.KYUSt_chr2.46163 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288159313:288162966:-1 gene:KYUSg_chr2.46163 transcript:KYUSt_chr2.46163 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLDSQEAVALCRGRADLIAAAARHRYALADAHAALAGSYHSLAAPLHLLLQLQAPTPHLALPSDRKGGRAGRGARPPSSSVPDRHYPTSSHVRCESCSSSSSGSESPPDSPTRRFLLPEQQRLPQPDYFPYAPDPAFAHQPPANPAFAYPPPANPAFAYPPQSTLQFYYARSRPPPASVAVAQRAPVSARARYGAFDAATGGGYAQQHYYPYGGEPAAPPPQQQRAPVAQPPPPPPRESSWDFLNVFESYDDSYDGNYYYNPAATTAAAAYTPSRSSREVREEEGIPELEEDDEEVVVVKEMASEYSTVGTTRPNRHGSVGAANVFAELDQPRKNARQRPPAYRNVCAPAPEPPAQRVFDNATDAAGAIKTQLVRVAEAARELAPLLEVGRPSYQGRSSVYHAASSRMMSAISVPHLGCRDVDTLGMGVAEEPKVVGSWSLSLTLEKLYFWESKLYGEVKAEEKMRLLLAKNSKRLKLLDQRGAEAQKIDATRNLLRKLSTKIKIAVRVIAKVSRKINKVRDEELGPQVNTLIQGFEKMWQDKLQSYQIQFQVISEAKNLASVISGGNSRDLAMELELELIKWIINFSSWVSAHRNFAKALNGWLALCLSYEPGTAPTYSPGKIGAPLIFVICNRWSQAMDQISEKDVVNAMQALVSSVRHLWEPQNLDPSERIVAVRERDKWTKMLERKALEMNKEADELNRKLALVPGQQSFQRRPTIQTYEAHRIEASSVHTNLRLVVQALENFAADSLQAFQEILREADEATRPSRENSRVR >cds.KYUSt_chr6.16495 pep primary_assembly:MPB_Lper_Kyuss_1697:6:103756262:103758393:-1 gene:KYUSg_chr6.16495 transcript:KYUSt_chr6.16495 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVLVTLGTAPLVGSPPIPTTTTPTKRKPPNLLRMITFADLTEPPPGAERGVDRQLWLACAGGMCNVPPLGSSVYYFPQGHAEHALGHAAAADLSAARAPPLVPCGVAAVRYLADADTDEVFARIRLSPLRAPESGADFHDDAQEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVARDVHGAAWKFRHIYRGTPRRHLLTTGWSAFVNQKKLVAGDSVVFLRGDGGELHVGIRRAKRGFCGAEDGWDRHAGPVRGNASPRGAARGRAKVRAEDVFEAARLAGSGQPFEVAYYPRASTPEFCVRAAAVRAAMRVQWCPGMRFKMAFETEDSSRISWFMGTVAGVQVADPVRWPQSPWRLLQVAWDEPDLLQNVKRVSPWLVELVSSMPTIHHLASSFSPPRKKPRVPAYPEFPFEGQLLHPATHGHRHHYHHHTQSYRPAFFPFPDGSIQGARHTQFGPSSLSDLRPTHLQPSLAYPGLRCPDRVSATPGISTDLTIGSSPGQLNAVPSTLCVKTHGVKRLGLVLFGQTILTEQQMSSAGVTSPTATLNSSLDWNDEKAAGNASEGSASGVIQYSSPSDDTSLRWFRGHSHSSSELGLEAGQCKVFVESETVGRNLDLSAMTSFEELYGRLSQMFCIDGAELRSRVLYRGAAGEVKHAGDETFR >cds.KYUSt_chr7.14132 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87351560:87352828:-1 gene:KYUSg_chr7.14132 transcript:KYUSt_chr7.14132 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLLLLILLLLASRAACARAALHDRDAAALRDVRASLRDLPGSRFFDSWDDAAAATPCAYAGVVCAPDDEDPSGTLLRVSVLTLGTGLSDSPGLAGTLPASLASLAALTDLVLYPGRVGGSIPPDLGAGLRRLRLLSLSGNRLTGPVPDSLAGLPDLHTLDLGSNRLEGAVPSGLLLPSSPSLKVLILASNAGLSGQIPAQFSASQLFHVDLSRCSIAGTLPPLPPTLRYFSVAANSMDGALDEAFSTASQAPADLAFLDLSMNDFSGAIPPQLFALPSASSLLLSRNNFTGALSVPATPASATPPWAVVDVSHNAISGEVPEALAAAGTLYVNNNKISGEVPDAVARSVFAGRMTTFYAQHNFLTGFPVPSRPLPDSAALCLSYNCMDLPSASAADGCPTIGGPLEARPADQCRSGGG >cds.KYUSt_chr3.30765 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193050342:193051373:1 gene:KYUSg_chr3.30765 transcript:KYUSt_chr3.30765 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTSKQKLELGTSKPLLSPSTPRCPPNISIRTREPPSGRGHIWSTHLSLPPGLSLKSNQPPPESDIHRDRKNLGRRRHGARVGVQLQAQSARAYQWRWEEKAGLVVALLDDALFHVLYAAEAVVLSAALCGFFLCCGCHI >cds.KYUSt_chr5.16879 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108686918:108689132:1 gene:KYUSg_chr5.16879 transcript:KYUSt_chr5.16879 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNLQLKGDTNETRVQHQEYLFEEKQPTAVPPLQNDSSAVQDDLVENEVVEDDVVPDNQDNLTENSSLFNRSSLMKLVSLCKGMTPERQDLITKSDFGDIILMKCSKLIRELCRFLLASFDPVKCILDFGDRGRIPVTVQSVAKVRPKCYPSVMNATSVSNLNWAKFIIDILIQTANAKGKKNWFKACMPYLMILYIDSLETNALDVPEDGTRCCAWSNSMISLVAGLDTYNDGSIGQLPLKPCFRTNLSLFTTEPTYVDMFIKRHLPDNHKDEDLAKYRPAVINMCTIFEDGLAKFINSLGKADVKGITNNQGEEEGHALRNIQMDKPKRRRHTKVSEHAAAAQTTENSCHERGDLQRDDHVAQNQEASPNAIAEQTKKRKYVAGLGGQRAAKKPVHVSNVEPLQRSDQGDDHGDEGVRETMELPHVASNVSVTVDATANALNQLQFYGTPSQTSNETIEKDQPAQHTPSMVHVQSEDELQKGWTSSTDPGRTSSGKKRKSVTFDIEEKDI >cds.KYUSt_chr4.3387 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19290436:19290988:1 gene:KYUSg_chr4.3387 transcript:KYUSt_chr4.3387 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGTGDRRTAKNTSHGNVLFSRSEGWEKEHELSLKTLWGFQGFGDVPKDMTPMFPHLSANDPDVVYLLLGEYREDRYKWMFIACNPCYLLTVDMRNKIVTSAPLAGLFPDRLLSCGLSESLCEALVPFSDDSVKKQRKRKRR >cds.KYUSt_chr7.22405 pep primary_assembly:MPB_Lper_Kyuss_1697:7:138835055:138836869:1 gene:KYUSg_chr7.22405 transcript:KYUSt_chr7.22405 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRHLPLGVGGARSLTTAPIRRAGADASASTPRQTTTADYNRLLAGYARSPDRRLADARHLFDRIPNPDAVSYNTLLSCHFARGDVAGARELFSAMPARDVASWNTMVSGLSRSGAVEEARAVFLAMPARNSVSWNAMVSGFASAGDMGEAEACFRDAPRKEDPVLWTAMVSGYMDAGNVEKAVRFFHAMPVRNLVSWNAVVAGYVKNSRAEDALRVFKALVTAAAVRPNESTLSSVLLGCSNLSALGFGRQVHQWCIKLPLSRRVTVGTALVSMYCKCGDLEGACKLFGEMRTRDVVAWNAMISGYAQHGDGWEAIRLFEKMKDKGVEPNWITFVAVLTACIHTGLCDFGIQCFEGMQEVYGIKPRVDHYSCMVDLLCRAGLLERSVNLIRSMPFEPHPSAYGTLLAACRVYKNLEFAEFAAGKLIEQNPQSAGAYVQLANIYAAANQWADVSRVRRWMKDNAVVKTPGYSWVEIKGVIHEFRSNDRLHPQLHLIHGKLEQLEERMKAMGYVPDLDFALHDVEESLKVQMLMRHSEKLAIAFGLMSTAPGLTLRIFKNLRICGDCHNAAKLISKIEDREIILRDTTRFHHFRGGHCSCGDYW >cds.KYUSt_scaffold_1854.253 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1372422:1376505:-1 gene:KYUSg_scaffold_1854.253 transcript:KYUSt_scaffold_1854.253 gene_biotype:protein_coding transcript_biotype:protein_coding MKISALLTSAGINIGLCVLFWSLYSVLRKQPAFVRVYFGRRIAEERRLLREAFILERFVPSPSWIVQAVKCTEEEILAAAGLDAVAFNRMLVFSIRIFSLASILCLFGILPLHYFRRNMHHLEIPSEQLHIFTIRNVEEQSKWLWVHCVVLYIISGVACILLYLEYRHIARLRLLHLKHATPNPGQFTVLVRGIPKTTKESCSSDIGDFFTKYHASSYLFHQVVYKAGKVQKIMTGVKKACGKLDRSTDTTLDQSCKAITYRCCLCGASSNSLQLLPNDEVVQNIYNEECAAAVVFFKTRYGALVASDLLQTSNPMEWVTDLAPEPNDMYWSNIWLPYKQLWIRRIAMLLGSIVFMILFVLPVTFIQGLSQLDQLHQKLPFLNGVLKKPYMFQLVTGYLPSVILLIFLYTVPPIMMLFSTLEGPISHSERKKSACRKMLYFLIWNVFFVSLASGTVINQLNSSSTTKDIAARLASVIPGQTTFFITYVLTSGWASLSSELMQLFGLIWNFIRKYILRMKEDTTFVPTFPYHTEVPKVMLFILLGFSCSVLAPLILPFLLVYFFLGYVVYRNQLLNVYRMRYDTGGLYWPIAHNTVIFSLVLTQIICLGVFGLKRSPVAAGFTIPLIFFILVFNQYCRTQFLPLFKTFPAQDLIDMDREDERSGRMEHIHHGLRSAYRQFPGTEDIKLEKILTVGNDEDQDEGHSSSEPSEEETCQDKEPEGTCLTQH >cds.KYUSt_chr4.19987 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125662448:125663852:1 gene:KYUSg_chr4.19987 transcript:KYUSt_chr4.19987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin-inducible protein [Source: Projected from Oryza sativa (Os11g0247000)] MDTRQRRNPVLVRPNAAKRHLPQQRANAADKKAAAPNYFGVEAFLVLACLTASLLILPLVLPPLPPPPSLLLLLPVCLLILLMVLAFMPTDVRSMATSYLAPRIRLIKTHRSRVYMESPSRNTGCLTTVQCLAVYVKDPPSSKYVPDPDTSWAVTDPASFVGRLRSGFLYLGWTSSFRIYNNWAARWATCLTTNYGPPGLAGSRPCR >cds.KYUSt_chr5.17916 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115841954:115849447:1 gene:KYUSg_chr5.17916 transcript:KYUSt_chr5.17916 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGSDRSGDPSGPGGGGRSGGDSRFARGPSRWSGGGGSPAHRSSSRDGGGGGGGGGRFHPYRAPSEYAVGVSGTGGYRGGGGGGGDFGEADGGQRNRYGGGGGGGRGDYSGDAIDFACPVEDCTSNSVSYCQLGIADKSIVGCDKLRVQVRPLFENHGDVLEVAMIRDRKTGEQQGCCFVKYATSEEAERAIRALHNQWTIPGAMGPVQVRYADGEKERHGSIEHKLFVASLNKQATAKEIEEIFAPFGHVEDVYIMKDGMKQSRGCGFVKFSSKEPALAAMNSLSGTYIMRGCEQPLIVRFADPKRPRPGESRGGPAFGGPGVSPRSDAALVIRPTANLDEPRGRHMPRDAWRPSSPSSVASHQFNNYGSDNPMGIMGGTGTSAADNVRYKPNLYYTMFPGNGQTAVPTSSHMGINTSLQGHHLGGQQIPPLQKPPGPPHNFSLQLQNQQGQHSLVPGLFGQNVPSMQLPGQLPTSQPLTQQNASAGALQAPPAIQSNPMQSVPGQQQLPSNVAPQMMQQPIQQIPSQAPQLLLQQQAAMQSSYQSSQQAIFQLQQQLQLMQQQQQQQQQPNLNQQQPNLNQQQHTQISKQQWEKPEEYVLYEQQQQQQQQQKLILLQQHQQKLVAQQLQSPPQAQTIQSMQSIQQHPQSHQGHNQMQMKHQVPIQFP >cds.KYUSt_chr3.4102 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23351238:23352410:-1 gene:KYUSg_chr3.4102 transcript:KYUSt_chr3.4102 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEQGAIPLVDKEEQDPTRMEEQEEEEEGEEEDGSGSRSSINLAMLTDKSQLERLALLLRNNEEALMRRVMRSEQERVEYIRTVTDAYRLSVALLQDCTTLREESTAPEAAPVARDVHDYVIYGLNLCMQNVRNCCMRVDVLGKLRAHYDALAQGLADDPAAVAAEAAEFRASMWEYCYSHRSAAARARSRDYSNVLRLEGVDLPELLRRQQVTLGPGYAGDFEDLKDSQKLEVYNSVIVASGRAKLPDQLVLSGRSARKGKTLAEAISVFIMAAGNMVYDVYTAEHNAEAILRGSLNVMAAVGAFAVDVAVTGAVTKAVANAGAALFACSLAGFVVGAIAGLIFITVSGRLIDLIIGSPRKVPPIRDLKFHTAVMPDGMTLANQIARQ >cds.KYUSt_contig_786.441 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2411823:2415426:1 gene:KYUSg_contig_786.441 transcript:KYUSt_contig_786.441 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGASFEEDDLVPARSPTFSARDYVHGSDEEEAVMAQTFAISEAEARVRFRREEADAVRQVREYEAARREARVRKVEEIPENFPFKITDKKGLNEITLTRTYQGEKIEVLVSMPSLVTGDEAEHDHDKDDKEKDDDQEDGEKAPKSTIPLTVTVSKSDGPSLEFTCTAYPDEILIDTLSVKQPAENDDEELIAYEGPDFNDLDENLQRAFHKYLELRGISPLTTNFLHEYMINKDSREYLFWLNKLKDFVKQ >cds.KYUSt_contig_319.526 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3296230:3296880:-1 gene:KYUSg_contig_319.526 transcript:KYUSt_contig_319.526 gene_biotype:protein_coding transcript_biotype:protein_coding MVALNSFASASVVGRSAVRSPVVLRRRALVVRAQAEPDMDPKKETTAETSTPSSTPTPTPMPAAPKPKAKANPSVWDALAFSGPAPERINGRLAMLGFVAALSVEAARGGGLLDQAGSGAGLGWFLTTAAVFSVASLVPLLQGQSVESKSSGVWSADAELWNGRFAMLGLVALAVTEFITGTPFVNV >cds.KYUSt_chr2.30700 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189081947:189084265:1 gene:KYUSg_chr2.30700 transcript:KYUSt_chr2.30700 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNQELRAKIRILDPPAPPSAAYDAGLRALGLLDFVRLDLRSTGAVCREHVADLIANYHAADGRSFTQKGPIEVCCGTLAKALCLPGPGWTASSHMDPPAAVAFAAREFMKAYILRPLEAGDSWNRKVASNVRTAAEMVMGGRAIDVDWSALIWVLVEEEIFDLSNGRRPNSACYYGAYLQRLMWLHSPDGVLPPPKMPASTLCSQDAQRRKQSAVNARNKHLETSLYQSLRLELDRKMQQPSIDDRSMKLKARYTELEEKSLNDALVSQKRKRGDELPRSELDSLMKKLADERSKLVDAISMLPEARHVEFDARSKQLDVRHMQLQARSMDLEDKSKRLDAKSKMTDARWKQIEARSAEFDAKSKQLDATHLQLHARSLELEVRSSKLEARSTELEALAVQCEFERINIEQYKELHDKMHAMEVLNQALITQQREANDELKRVQKELLNESERLALLQNDREAMESLNQVLITKEVGSNNELQVVRKRLIDGLQKCSNRRANLGVKRMGELDLKAIANACRLDLSQEDAQVTCANLCSKWQNEISDPNWHPFRVVMVNGEWTGILSEDDAKLRMLKEENGEDMYTLVTKALLEINEYNPSGRYVVSELWNYKEGRKATLEEVIEFILKQ >cds.KYUSt_chr2.6351 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39594616:39603969:1 gene:KYUSg_chr2.6351 transcript:KYUSt_chr2.6351 gene_biotype:protein_coding transcript_biotype:protein_coding MCQDSLPLAAAIEARAQGEAERGRGRAPEAREVKVVVEEPPPAAVARLQAQRALPPLQVTTQAAPTPMTVASGGVDQPPPLANYQPVMQTPPPPLASLNSRVYTNQISLCLFLLHLAAAGLAVGFFVYRAVKDIVENPRSHNARREQSLLRKWLPPVEGAVALSIVLAFAWQKAVRAWPRAMVRVILWSGFGVTLAVGSLLMCFSMPATVGLGVAMVVFSIGTGLYACWVTRRVGFTARVFEKAVQPVDKFRGLNGPAYLMVAAGFVWISVWCVAVIGAVNFPFPGLTILALVVSLAWTAEVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRILARGLNLLEGEDEFMFSCAHCCLNVMNAVFEYGNSWAFVHIAAYGRGFVQASRSTWLQFERLPGMPALADADITSSVCFLTGVTSGALCVALAGSWTFVTHKHYTATVSLMAFYVGYLMTRIGMALPQACVGCYYVCYAENPGSRLFDKTISDRLGKMQEDMVAPTPRFHVGAGIPGVAPHYTPPPSTFNVLLDSY >cds.KYUSt_chr7.6170 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37078447:37079426:-1 gene:KYUSg_chr7.6170 transcript:KYUSt_chr7.6170 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYGLLGLLCFALMAAVVSARQFQVGGSKGWSVPDATAEPYNAWAGRMRFIIGDQLLFVYPKETDSVLVVDQAAYNACNTTAYLTKLEGGSSVFTLDRSGPFFFISGNGASCMANQKLIVVVLAASHTPPSLLPTPPSMPPTAAAPEPSPSSPPSMPPTAATPVPSPSSPPSMAPPSAAPVPSPSSPPSMAPPSGAPMLPPSGAPEPAPSPTGSAPGAAPAATPGSSPPAPPGTPDTPPGSPGTPGGAPQPPSDSNPPPGDGSSSTPPGSGAAHVTAGLLGTLAAGFGYAMLAI >cds.KYUSt_chr2.22552 pep primary_assembly:MPB_Lper_Kyuss_1697:2:138389570:138396301:1 gene:KYUSg_chr2.22552 transcript:KYUSt_chr2.22552 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAKLEPDKSMYVLIREVPEINQWLHKLQEQPALISFTVSPQSPNQTDEHQCGGQAKSSNLRIRGAAREAIFELFFVCSSWRKNSILTNSVNARTSRLHLSPPRIAVDPPHAQLLLPCSLTTSTPLEPAATPALCNSGPPTRSAAGCPPPHPGQAPGHHHRSHHRLLAHITAALPPPNQLPSLIPTRVAYRSRPARPPAAGQQRAASLAPLTAASRYGRSATQHPPAPASGPWPCSLRAAPGHCRQQHEAATRCSLQAKPVTSSRRWSSSQSSARFRLLRSTPTPPARSARAHQATAKRLLCSFQLANYCISSRTLIPISQIRSKPEPRSGGNRTGPKPGVTPDGPAQYPVKPAP >cds.KYUSt_chr5.32749 pep primary_assembly:MPB_Lper_Kyuss_1697:5:207726182:207726345:-1 gene:KYUSg_chr5.32749 transcript:KYUSt_chr5.32749 gene_biotype:protein_coding transcript_biotype:protein_coding PELKTAHNLHRMSSLLGGALFIADDVFPETPYIHAAWHLAAALGVCTCNKLLE >cds.KYUSt_chr3.46101 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290208759:290211173:-1 gene:KYUSg_chr3.46101 transcript:KYUSt_chr3.46101 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSFSLFLLTSIQFLPCISGLDFLSPGSSLSVEHSSDVLYSPDGTFTCGFYQVSPNSSVFSIWFSNSSEKTVVWSANPLHPVYTWGSKLMLNSDGSMVLRDHSGQIVWTNNVSPSAAHQARLLESGNLVVKGKGGSILWESFASPTDTLLPRQSINATMKLVSANRALVPGRYSFHFDDQYLVSLFDDEKDLSFIYWPNPSRTIWEKLRIPFNSSTSGALDTLGHFLASDNATFTAADWGPGILRRLTLDSDGNLRLYSLDKVQRTWSVTWMAFPQLCKVRGLCGRNGICVYTPVPACVCAPGYQVVDPSDRSKGCSPKFNITCEAQKVRFVELPNTDFLGYDLGTMYIKIPKGIQVSMSSIPHSQPLGPKYRANCSAANRYFIAHFTDEDERKTGQNESKYTYMELVTATRRFQDELGRGTSGIVYKGVLKDKREVAVKKLADVNQGEEEFQHELNVIGRIYHMHLVRVWGFCSDDPCRILVSEFVVNGSLDKMLFGGGSSHVLLEWKQRFSIALGVAKGLAYLHHECSEWVIHCDMKPENILLDENLEPKIADFGLAKLLNRGGSDMSVSRIRGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARVSDLDKNEDEEVEMVLKRMVRMLMEMLELDVSEQSFLPDFIDTRLNGHFNNLQARTMMKLAISCLQEDRGRRPTMENVVQMLASVDE >cds.KYUSt_contig_319.401 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2632328:2633106:-1 gene:KYUSg_contig_319.401 transcript:KYUSt_contig_319.401 gene_biotype:protein_coding transcript_biotype:protein_coding MLATWAPSRPPPSASQMATVMAMSSFAGAAVMPAGRLCARSLPALGRRALVVRAQTEKPNTPSPKLSPSIWDALAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGNGTGQAWFAYTVAVLSVASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEILTGAPFINI >cds.KYUSt_chr2.40458 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251426027:251430856:1 gene:KYUSg_chr2.40458 transcript:KYUSt_chr2.40458 gene_biotype:protein_coding transcript_biotype:protein_coding MKASPNRAIRHSHLKWPLFTHLCSSKDGIFRKGSDIATPPPSICSSAGITGGESKQEFGEGWKDLETNRVRKKQRREDQYISKMPEHREIKRTGLTDLSGGGFFIRRVASPGALAGRRTGKPLSRRFPSPFSNKENVPPVWAVKATPARRRSPLPDWYPRTPLRDITAIAKAIERSRLRIAAARQQSEVPEQSPPPANLSTTVPAEQDIPHCTEAQDSLAIASGSSSTSLGEQSFKVYSSPSKSSLKTPTKPIDPVLADLMEKKLSSSIEQIEKMVKKNLKRTPKAAQPSKRANQRRTLMSMR >cds.KYUSt_chr6.26399 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167207735:167211284:1 gene:KYUSg_chr6.26399 transcript:KYUSt_chr6.26399 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRGLVYDFVRRHACCVLVLACCRFFPRVRKRKHFYAFGDEQPLPLLLFYNTFVEGAREVNLQYFEGYGYRGSTFEQTYRCYPASFIDKPQLESGDKIIMPPSALDRLASLHIEYPMLFEVRNTAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNATLPKGTYVKLQPHTTDFLDITNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSSAVSIIETDCEVDFAPPLDYVEPERPKPSAPPSADPTTEAAVEEEPKFTPFTGPGRRLDGKAPKDLEGSSSSPAKRQANATNSVQPSTAAASTSQSTAARKTTGKLVFGPGGSQSSKAKEKVPEKDAKEDPKKEEPKFSAFTGKKYSLKG >cds.KYUSt_scaffold_2697.613 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:3929718:3931564:-1 gene:KYUSg_scaffold_2697.613 transcript:KYUSt_scaffold_2697.613 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAKKTSSEATQPKSSSSEEQQAKVNEVRGVLGPLMEEMPSFLSDTTILRFLRARNWSTEQATKGLKETVKWRREYRPEAISWEDIAENEVEAKRTHIANYVDKNGRSVLISNMGIKAKVSLKDQIKHMVYLLEHLAMSSADEKDDCVVWITDVRGWSIASTPFSSSRECMHIIQTYYPGLIAVAITFDPPSIFESFWKISKSFIDQNMRDKVKFVYASKPESMKIMQDLFDKDTLESSSFGGTSTSTAFDINKYAERMRAADKMRGASKNANA >cds.KYUSt_chr3.2243 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12888407:12889279:-1 gene:KYUSg_chr3.2243 transcript:KYUSt_chr3.2243 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFVLLRVHPLEARISAIWTYSRKDDPTSISKEDYSTNELEKTARFFTRIIQKDEILSAYRVKPFDKKHQPPASQNFRGSCLNADETDESAGANRDSTIPKDPAAGPEAPPKKSKKGDANKGFKIKENPMVPATHDVSS >cds.KYUSt_contig_662.467 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:2554728:2555543:-1 gene:KYUSg_contig_662.467 transcript:KYUSt_contig_662.467 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVPSRPFLVMGDILYDIKLVDLPSCWAKVKCLKKEAYGCGEHGDLIVEGLDLYVRGGPDDDQDFHPCMCIGASLRVRSCIGGQIDQDMAEIYGRHIRISGGVYTVAGHVMVLRISFIARHDSSYFRSYYLVYDSAAAALSLLPHDVPGYQITCTPFPVKHPGGKYSLVLTAEKFTAEGPLHRVFLTWSQPPVLPARLSKFNSYKHGWVERSSLFQHVDEFVPSVVFSHKRITLWCDLGLGFMYYDSSHFVLGDRPVGEFKYLPSGCGG >cds.KYUSt_chr7.32443 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202084925:202086386:-1 gene:KYUSg_chr7.32443 transcript:KYUSt_chr7.32443 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVVLLCALVTIQAALLAAPSAEASGLKLGYYNKKCRGVENVVKGHIIRAIKKNPRVGPGLVRLVFHDCFVRGCDGSVLLDKSAQNPHPEKEAPANIGLAAFDILEMIKADIEKRCPGVVSCSDILVYAARDATKILSKGHINYDVPAGRLDGLVSSAYEAQAELPDSTFTAQQLIENFARKNFDVEELVILSGAHSIGMAHCSSFKGRLTAPADQITPAYRNLLNYKCGQSANPLVVNNVRDEDYNTVATFMPGFKSRVRKIRDLFDNSYYHNNLARIVSFNSDWVLMTHKEARGHVHEYADNATLWNDDFGESMIKLSKLSMPAGSKGGIRKKCSIVSHPLH >cds.KYUSt_chr3.4392 pep primary_assembly:MPB_Lper_Kyuss_1697:3:24884185:24887270:-1 gene:KYUSg_chr3.4392 transcript:KYUSt_chr3.4392 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGKPTKRLHVPPTSLPPPRDFTRGFRSQLAADRRLFPTVRSYPGFICSSSLEVLESIARKGALVAMEVSVNSDNKSKGVGCQDGMNGGKMQNVTMGMEVFDCSICSNPLRPPIFQCSKGNSICSPCCNKLPESDRAAAQRSYIMDRVVNNIFVPCKHGCNRKITYYNKDVHEAECPIGPCVCPISGCGFVAPTAALLDHLTTLHNLPKTPIELFRMPMFPVQPGCQVLCSGYGRLFLLDMVTLESFGHAVSLTCVRPVTPRATVDVEVQFSRFEGHIQVSRCEIKPDGEPTQCLCAVPGRGTGVMVGIKICMVYYDNDELKEEDEESDDEWNEYDYNSDQDEAEDDRDEDSDDA >cds.KYUSt_chr7.9571 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58353925:58354257:1 gene:KYUSg_chr7.9571 transcript:KYUSt_chr7.9571 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVRTWLVVAALACALTLLLRSADAQAAGPTTASPAQKPVCVPGAKTPCRVGALPRDPENQEEEGMFNVKARAPSGAGDTDSDDDYTDPDQPKDPDQPDDDDLVVLGH >cds.KYUSt_chr4.54066 pep primary_assembly:MPB_Lper_Kyuss_1697:4:334454729:334456561:-1 gene:KYUSg_chr4.54066 transcript:KYUSt_chr4.54066 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQPCYLGEEATDREIYPSLVLATAAATGGNSQQGPDNQTTKIQHLASLPSTLPWCHLDLTDLANGYDAKVSVSLYSRICHVCCCRPSRDIYNVTDCCRKKKKTTASLPHGISFYDAVVLGFVSIIHYGDDLLHRRPRTVGTTTFTVGTVSADGHRRRLPVGTAGVGVCACTVGTELHRRHSRTPTAGRWPSAVSSSAQPTSRHAGAVNVPSCADGVTVGTIFFFFSQNGGKTVAIQTGKSLRTGPGCADGDTVGTDPAIWHSYGPVPTVTPSAQPGPIFLIFANLASFAQKIAENAHIIGLNMQ >cds.KYUSt_chr4.5498 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31831881:31833718:-1 gene:KYUSg_chr4.5498 transcript:KYUSt_chr4.5498 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDGGPRGRIVLFPLPFQGHLSPMLQLADVLHARGLAITIVHTTFNAPDPASHPEFTFVAVPDGMHDAVGAPKDGIGKILSMNAAMEASGCVRDALASILAAEPRPACLVIDTSLPAVQKAASQLGLPTIVLHTGSAAVVRLFRSYAMLHDKGYLPAQEHELNKPVKELPPIRVSDLFDPSKYPNQEMANKILDIATETTTNSSGIVINTFEALEIPELEALREELASSGVNVFAIGPLHKLSTIGDASSLLEQDRSCIEWLDKQAEGTVLYVSFGSVVPVHRDDFNEVAWGLANSGKPFLWVVRHGLIIGSQDTELPDGFEKTVESRGKVVRWAPQQEVLAHHAVGGFWTHNGWNSTLESICEGVPMLCRPFFGDQLANGRYVEDVWQIGTLLAGKLERGKIEQAIAGLMEREDGTTMRERAKELKMKVVMCLKNTGSTQLAADKLVDHMLSL >cds.KYUSt_chr1.27796 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167563849:167564103:1 gene:KYUSg_chr1.27796 transcript:KYUSt_chr1.27796 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSSLLKFMCFRGIDEKPSTKDKKPKSKPAAAPAVAKPKAKPAPVVTPKRAGGRRVVNGGQDVSTYASSVMMSATAVVVCMT >cds.KYUSt_chr6.26630 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168835289:168837687:1 gene:KYUSg_chr6.26630 transcript:KYUSt_chr6.26630 gene_biotype:protein_coding transcript_biotype:protein_coding MIMASRGAPLVLVFFLAFVSLFAIHASAAGAESPFLLAAARTHRKDPLAGLRYYTGGWNISSDHYWASVGFSAAPVFAAAGIWFAVFGAALFLAGCCYCCCPSRRTSYSRAGLVISFALLLLFTAAAVVGCAVLYDGQGRFHGSTSATVDYVVKQSGDTVDNLRTFTGYLVAAKAAGVGPVSLPDDLKGRIDDVVRKVGSASDVLAARTASNSAKIRDALDTIRKILIVLAAGMLILAFAGLVLSACGLESLVYVLVFLAWIMVAATFVLCGTFLLLHNLFMSDSVVGDTCEAMGEWVRHPQEHTALDDILPCVDTAAATEALSRGKEVNYRLVVVLNGVISNISNADFPMGAPLSPPLNYNQSGPPVPLLCNPYTPDLRDRACAPGEVIGPDAARQAWGTHVCKTEGSGQVCATTGRVTPSMLGQMVGAANVSYGLSRYGPVLTDLADCTFVRRAFQAVGKDHCPGLGMYSEQVYRGLLAVAVAVLLSVLLWVLHSRERRKRSDAREMELVAPAPPMYRHGYPVEEKALLYSPRRPSM >cds.KYUSt_chr2.9805 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62040259:62042947:-1 gene:KYUSg_chr2.9805 transcript:KYUSt_chr2.9805 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSFCIVLVRRVWEEDTITAHHRLEVTGGEAAVQVLVVVVAMEAMLGISQLVFWPDDLRRPFGKFGRVKDVYLPRDYHTQEPRGFGFIQYCDPEDAADAKYYMDGQVLLGREITVVFAEENRKKPDEMRSRMLKLNNLFVFTSAAAEAVLTTGGHVLLDVVALGHLDTLADHGPPAEATRLHLRESVIPGLQLIVKDLCRALQWTANQGVEALLTIVVAGLPADRGLLLLASEAVV >cds.KYUSt_scaffold_869.1432 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:9070113:9071169:-1 gene:KYUSg_scaffold_869.1432 transcript:KYUSt_scaffold_869.1432 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQATTTAAWTKEEDKALENALAAAAPPPLDGLPEDDWFVELAASVPARSTEEVRLHYEALVEDVDAIDAGRIPLPRYAGEESAEPPYGAAPKDGGGGHRREDRKCYDSGKNCSKAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSINFGEVVPQQSPITGQATGSPATAIMKHPGPPLPGMGMYGAPMGQPVAGHHMVPAAVGTPVMFPPGHSPYVMPVGYPAPQAKMHHQ >cds.KYUSt_chr2.45291 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282490899:282491365:-1 gene:KYUSg_chr2.45291 transcript:KYUSt_chr2.45291 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTATVCSMCGDVGFPDKLFQCARCRYRFQHSYCTNYYGDGAPASAGADMCDWCLGDVAGNKARRCGSASGKQQASGSQDSITTSSSGRADKAASGGDQESGRRVSTKVGGRRYKLLKDVLC >cds.KYUSt_chr6.32068 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202570292:202570930:-1 gene:KYUSg_chr6.32068 transcript:KYUSt_chr6.32068 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCAFPRKLPQYAPYIMRLICLKWDEAVCGDLLEQCYPITIHKEHNPAVKNHSLPRYGKNAPKDKEEEEADSDDSDFVPNSVKTKGLFAKLTARLKKSFCFKEDLQDRISEESIPERIVRPSPPPHDKAHVQDEDEDDEDEDGDDDDEGDEEDKEEEDEDKDDE >cds.KYUSt_scaffold_1854.201 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1107200:1110013:-1 gene:KYUSg_scaffold_1854.201 transcript:KYUSt_scaffold_1854.201 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFLLFHRSSPAALAPTPPASTLCIPRERDALRDFKAGLNDSGDILSSWRGADCCQWKGVVCSNRTGHVVALRINSTSYSYHCGTIGGEIRSSLLSLRHLKQLDLSNNNFSGQPIPELIGALRSLTDLDLSYSNFGGRIPPHIGNLSNLLSLQLNSNQESQVIPHSHDLAWVSSLRKLRVLSMSEVDLSAAVDWFQAVHLLPSLIHLDLVSCGLQNTMPPPLHSNLTSLESLDLQDNPFNTSLVAKNLVWLWDLPSLQVLSLIACGIHGPIPDVVGNLTSLQNLKLDSNYLTGMVPLTFRKLLKLQCLELVYNFINMDVTKLLHLLPSNELQKLDLSENSLTGSLPDWIGRFSNLKMLYLDLNKLAGEIPVGIRELRNLTILSLSSNNLHGTITEDHFTNLTTLQHMWISHNSLTVKVNSTWYTPFRLISAGFSSCILGPQFPAWLIQPTMKTLDISNTSIHDNIPAEFWTGSPLSELDMSKNRLVGMLPKTLGDLELEILDISSNELTGPIPTLPKTLTSLDLSKNNLSGPLPSGTEGLMLEVLLLFNNSLSGTIPCSLLQLQELKILDLSNNLLHGTIPNCPQGYRTSNIITLNLNSNNLSGPFPMFLQRCREFIFLDLAYNKFSGSLPTWIGSRLPQLALLRLRSNMFSGGIPGQLSRMKGLQYLDIACNDISGNIPESFGNLRAMTIAPNDSGALFNLFYTGDVTYEIYSSYYTESILVDTKGQQLEYTSGIRYMVNIDLSCNRLTGQIPSEIGMLVALKNLNLSWNNVSGIMPQSIGELRALESFDLSHNELSGEIPTSLSALTSLSCLNLSYNNLTGTIPSGNQLKTLDDQASIYIGNPGLCGPPLTKSCSGTDKSTLVPEEHEGMSGMVSFYLSSCLGFVVGLWIVFCGFLFKRKWRVACLSFSDHIYDCVYVEVSVGWASLARKIRQG >cds.KYUSt_chr3.38300 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241259752:241265623:-1 gene:KYUSg_chr3.38300 transcript:KYUSt_chr3.38300 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVHVRAGADKAMEPAASAAAQGAPLGQRAEVAGPVLGEDAPQGPGCPPREGGRREGKKEEEVPLRLRLGRARRRAGPGTPAPSWKLEGSPGSPERQGEAEMAAAAAPRRSSASASASARQLGASLWEIHDVTREARRSGGRRRRSGNGPAGIGAQVDKGSNIDQAINLGRSLDVKDRSRVAGYNLNTSTELLKVLNRIWSLEEQQTANMSVVKGLKLELQQAQAHIQELKQERRGYRHEVASLMRQLSEDKLVRKNKNQEKIEGALCSLQDEVEDERHLWWHSEDRQRKSGRELSEVKPAFLKALKDLEKEKKTNRLLEGLCDQFALGIRNYEEEVSMLKQRHGNIYEHKFDKSVLHISEAWLDERMQMQNIDVQEDSLQTATVTERLSSEIKAFLFAKTSASSKNNVKCMNDTRRDSSLRRQSLESVHLNGTTSAPQVAEDDDDDSVASDLHCFELSMHGNGNRNHHHTGPHRSGTASMDEPKRISGYSYSTAGESSHMSHVPVYAQKDSYPQYVTRTRENNSHSSVGVASAEVSYANKSRGSHIDSLNHNLEALPADCLGQESLDHYSRRSLFCEGTDSGDLCNPHGLARQPNYQSTLSGHEIIECSPELPAGVKENTLKAKLLQARLEGRHARLKATGGSLSSRRK >cds.KYUSt_chr1.35271 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215039159:215041764:-1 gene:KYUSg_chr1.35271 transcript:KYUSt_chr1.35271 gene_biotype:protein_coding transcript_biotype:protein_coding MWYQLELSKILTFTVFGFHLYMTVLLCNGLQDDSILVDLAAALASEGVNVFRFDFAGNGESEGVFQYGNYRKEADDLRSVVSYFAEQKYAIIALVGGNAVLLYASMYHDVTTIVNISGRFALEQGEFEYRVTDESLRDRLSADTLLSSRSISKDCRVLTVHGLKDGTVPAGGALMFAANIPNNELHIVAGANHRYTGHERELTSLVLDFIKPRSPTSASLRPKL >cds.KYUSt_chr5.38742 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245262228:245263990:1 gene:KYUSg_chr5.38742 transcript:KYUSt_chr5.38742 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASEHGSELHVLLLPYPSQGHINPILQFGKRLASHAGVRCTLAVTRFLLGQGRDPSPGAVHLAEISDGFDLGGFAEAAGDVTAYLARLDAVGSRTVDELLQFEAAQGRPVHAVVYDAFVQPWAPGVARRRGAACASFFTQAPAVNVAYAHAWAGRIKAPLVGEVPPGLPGLPAGLEPADLPTFLTVPDDCPAYLDLLVSQFVGLDAADHVLVNSFHELQPQESEYMASMWGAKTVGPTVPSAYLDNRLPDDVSYGFHLHTPTTATTKAWLDAQAPRSVAYVSFGSIAAPGPEHMAEVAEGLYNSGKAFLWVVRALETSKIPEGFADRAGERGLIVPWTAQLEVLAHPAVGCFVTHCGWNSTTEALGAGVPMVGMPQWSDQPTNVKYIEDVWRVGLHARQDDGGVVRREEVERCVRTVMEGERSEEYTRNALDWKKKARSAMGEGGSSDRNIAEFLRELRSRKSEQSSLPKHL >cds.KYUSt_chr5.35511 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224354422:224356821:-1 gene:KYUSg_chr5.35511 transcript:KYUSt_chr5.35511 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGETNAKRQINKECIINSLPGDLIERIFLRLPVSTLLRCVGVCKHWHDFIRDPQFVTSHLQRAHHYALLFFPQGSVSGQLYPSDAILIDKTWSLSTYAVPVIGPDDFLFGSCNGLLGLYTKTSTIKIANFTTGECMHLEKPAKNMRGDHFSLYSFGFHPVTKEYKITHFLGDCVEGRPRNKDQFCVIQVYTFGDEKWKDVPTPEALSLNSVRNSGIVNVDGTMYWLNEDKIASWQHTLMSFDLRVESFATIQLPVEREDHDYFGPRKFWMRDIDGKLCIITAQTAHHDASILLGELQIWTLDNLVEQRWSQKYNIKNPPNYIPGPQFVHRDRILAQSFSSVGSYELIGENIEISFSKMAELFDFRPHKMYSMQSYICVKSLVCFDVYKKAGIVRRPKQQVGWQLKKWRAWENELCEIEKMRSRIHKLEQKFLEVVDQMVEMYQFWEDKQHEIAERVRMELNQVLQDKLENTNQAIKKAHHNICSISRSHLLDQGISIPDVSSTDDKKN >cds.KYUSt_contig_7409.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001509.1:129450:131072:-1 gene:KYUSg_contig_7409.26 transcript:KYUSt_contig_7409.26 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRTAVLSTRWRHLPWLLPELSINVEDFLSVPCTEPLDANDMEEAMVSLTKATRGFLADQKRGSTISILHLKLYLINTFLCEVGPLVCDAIDNGLLKDLDLTVLDETDPLDCSDEDMLQRAQEIDTFFRAYPSVLHCLTKLSLKNAGFDKLDMHHVLFDCCKQLKDLSLCYCDTGTFSVFKIDAPNSKLCVLDIDKCRFERIELVCLPKLETFICTTWVSQHVPVTFGFVPSLGELELSWGKSYDRCPFKLSELLHGTTSIHYLTLDFQGENLWLQPEMEELCTAFNKLKKLSVCGVFVEFDIIWITAFLVAAPSIEKLHIQVWNHACDVGDFRGDVYRDRSTPQWEMRFNGSENRLLKVLEIDGFRALEQQFTFIRSMLERSPNLQKIILRGDDQCDDCRPLDASLLHPSKFPQKDEEEMVVERIRDGIFSPEIIFDEDWSLSI >cds.KYUSt_chr5.4359 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27793906:27794175:-1 gene:KYUSg_chr5.4359 transcript:KYUSt_chr5.4359 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTTTTAASHVAAVWLGELSAVLSGKRRPAMAPTESHAEHQQRQMGEAVHAKTKGAAAGKPGIERKETGGGGMSDETVYLLLDRFAPS >cds.KYUSt_contig_2860.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000546.1:117795:118109:-1 gene:KYUSg_contig_2860.25 transcript:KYUSt_contig_2860.25 gene_biotype:protein_coding transcript_biotype:protein_coding MILASALSGDRARFVRQSIRCKHSFTDAASSTAAPNSSSTSTTALSPPTPHRQVLLPGVRHIDYFHFGTETMTWTEDPGFDCFLDVGDFRHFHSAPATTLVPIP >cds.KYUSt_chr7.36472 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227890026:227890862:-1 gene:KYUSg_chr7.36472 transcript:KYUSt_chr7.36472 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELSNFASASPHDCVRKWAEDEALAPDLAGFINPWRDPAVVSPASSTARSTASQGVLRSAAPTTDPSFKRDTPVDDLSPGARRLDDLIVGSSASPTSAVSPRVPSSGVRAGDFHERAPATSTATRALEILRAMSTAAISNSSTGGSTSTANSDSAYKLFDRVPHRPKWSSDHTLSIVVCRALYPVNEDMLRPILAPYGVQQLVVNPRVTKSNGSHYVKAIVELRSRDDADEARVSLQGKCLFDGCCYLDVKFALPYELASMATSTEPHLPPPRSLV >cds.KYUSt_chr7.24215 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150992981:150993670:-1 gene:KYUSg_chr7.24215 transcript:KYUSt_chr7.24215 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPQANEAEVEQELLSIEASISSSTTISTMCDDPRRLGDIYNGVEDIIGLPSNHVGKMLDGEMERSLELLDLCSSMQEIFMEMKAIIQELQVALRKGDDATTQAKIMSYTRLAKKAKKHFKKTTKKATSEGGRMVMLLTKAREISVSLLESTIHLLSKQIEMPKKYLVSKAFHKKKAVVREEELQELECSIGDIESGAGHLFRKLVQNRVSLLNILSS >cds.KYUSt_contig_528.190 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1223127:1227027:1 gene:KYUSg_contig_528.190 transcript:KYUSt_contig_528.190 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLAAAASSSSSSSPLARLISRRRLAGAADHHGSTKVNMWQEPMNPGNWKEEHFVLASLAMWGCIVYGGLKAFGGGKKEVKTERPGARSPSPERLLSLDCFHEFFESIVLIMKDPLGSKRILEKFTHYLAGWELASVHLREASRGFC >cds.KYUSt_chr3.41870 pep primary_assembly:MPB_Lper_Kyuss_1697:3:264251544:264252280:1 gene:KYUSg_chr3.41870 transcript:KYUSt_chr3.41870 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAPRDMPASKGWTQAVGSNRGGACRTELEVTMREARYPIAPLQPAPAAGSSLPTIRVASPVSRSSSVQVTLSAPTSSPTQHEFGVYREEVARRLVQILVKTKVVVMWIQEKCLDNVKQVQMMFKLETFF >cds.KYUSt_contig_990.314 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000093.1:1593364:1594569:-1 gene:KYUSg_contig_990.314 transcript:KYUSt_contig_990.314 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGIAVRAAIFGILWVLLGKRVWFFPNINAEETTFRELLRFWPDKDEGERPKWTSRLFYATVTVLVILLLRHHAPDEAARARYQKKVSNIIDDVLEWSPKLALSGMMDKHAEDNATETGSYSHAPTTTEEKTIAAAEDVDADETQDSGVDADETQDRADHADDMRTRSSEA >cds.KYUSt_chr6.849 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5358098:5359471:-1 gene:KYUSg_chr6.849 transcript:KYUSt_chr6.849 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRRPEAPADYCSGASSSSRHCTARLDTAPRRSRCRASRCATPVTGRKEHTGGSRKAEPEWASLPQDLVRVIADCVLSTSGVVAYMDLRALCPSWRSAIAKPSPSQAVMDARFFPRQWVMLDMEDMDVPRVGIDSRARAVVESHARGMIDDWARATNQHRLFLNVSTGCFLRLRLPLLRRNILVGASDGLLVLADKNKPHATRLLNPFTGDMLPFAVAPVTRNGRVFTAVARSGGALLLSFLGMHGLYCADPSSHLCTVQTGKLFSSDEDVSGRLVSFGGHVYMAGRFIVKIVGTSPHYHAELIANISTQTGRHFLVESAGELLLVHLQESFRVVEPPEIFRVDVEQKVLEPVKDIGGRALFLGARSVSVDADKLPSIGSNCIYYKEGKRFFGTYSSGLMYDRSSSFFIYDLKDGKEKKRRRYLKSGSETGRHVRPTLAQTLIEYCSYNVCALNR >cds.KYUSt_chr5.2174 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14795600:14807541:1 gene:KYUSg_chr5.2174 transcript:KYUSt_chr5.2174 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGNRSSKRPPPPRGKGKGKRPSAASAGDDPFFEAEPKRRRARADEDIESGDSDDDALALGGAAVAEEDEEEGKEEEETAGEKKLRMTNEYLARIEDALKRGKKDSDDDDSDEDSDDAPGRGSRGVAGILKTKQLVESGRQRLSLAARVLPPGEQDGFTFIAKHRQPVTAVALSKDSDRGFSASKDGVIMHWDVETGKCEKYLWPTEKVLVSHHAKAPLSKKRSQHILALAVSSDGRYLATGGMDRHIHLWDVRSREHIQAFSGHRGPVSCLAFGLDSPELFSGSYDRSIMQWNAEDRTYMHCLYGHQGEILTTDALSKDRLLTVARDRTMHLWKIPEESQLVFRAPAVSLECCCFIDDKEYLSGSDDGSLELWSVMRKKPTHIIKNAHPALTPSSLDSADEELPKENGTCKSESFSSAHSWVSAVASRKGSDLAASGAANGVVRLWTIQPDSKGMQPLFDLPLDGFVNSLAIAKSGRFIVAGVGREPRLGRWGCVAAAKNGVAIHQLSLQDDSEVFNPLAFESCVPALKCEAAYDGDHTAAEKQQKQAAQNDQQEAPATSVDSQTTVGTPSTEFVTPYPHPEACHAMGQMAYANIDPYYGSLYAAYGGQPMMHAPLVGMHPAGLPLPTDTIEEPVYVNAKQYNAILRRRQSRAKAESERKLIKGRKPYLHESRHQHALKRARGAGGRFLNAKSDDNEEHSDTSSKDKQNGVIPRNSGQLSSSQSPNGATSANQTGKRE >cds.KYUSt_chr7.7764 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46849508:46851286:1 gene:KYUSg_chr7.7764 transcript:KYUSt_chr7.7764 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKVVDNEKRKRAAEDMGDAMRALKNRANHAKQDMDILAALEEMRSMKEEKIVAELDDEDEELIKSITSRVILYVDFHMYLQNSKVYVKRIEDDDGDEDFVIPGQLRFMAEINGSSESVLHPTVVLTNNNST >cds.KYUSt_chr6.11871 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73823374:73825986:-1 gene:KYUSg_chr6.11871 transcript:KYUSt_chr6.11871 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQTIINDPFMLNSVILVFANKQDMKGAMTPVEVCEGLGLYDLKNRIWHIQGSCALKGDGLYEGLDWLSSTLKELQAFGRLPSGGT >cds.KYUSt_chr4.7275 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43093069:43107501:1 gene:KYUSg_chr4.7275 transcript:KYUSt_chr4.7275 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSLQFLFGKSTTTATVVDEAPGIAMDEVRKKLFSLSLSGKAAHCESEIFRKERDELEEIFLRQPILKHDLPVEDLGTTPPPKEDPVFDLKPLPDNLKYAHIDDKKIYPVIISSKLSEIEEERLLEILKKHRGAIGYTLDDLKGISPSICQHAINMEDDAKLVVEPQRRLIPKMKEVVRNEVLRLLEAGIIYPIADSRWVSPVHCVPKKGEVFMDDFSIYGNSFDSCLRNLDKVLQRCEETNLVLNWEKCHFMVNEGIVLGHKISERGIEVDRAKVEAIEKMPYPRDVKEPSCLRRKLRRTAPSKDVGNLYMEEPRMHPKELLLVEGELQVKDVQGPKGEGSPEDRMEKLEQEVFKYKKMAERELGQIRPQKLRLETFRSELGQIDEACGCLRRSLFRSEMLLGFDDDTCRIGGGHGHRREKPAEGGLVQPSETAGEVLLAISMPVSIWISWGPGEARREAERSGRDATDLLQKLTLDPKSAAGDGKEAKKKVSGPAANGGLNSAVASHNPQALSADQWATMGQQDYKNAAAAMYYGADGYPYYYGGWGDYSVYVSHDGTESLTPGAYGDMYCYPQYGAAASGYDGQYYGSQNNQYQSTYHQPQTTTGKTAYKSKTGKSDPSPQQDVVSAAYQQPGSVDASKANSNSTDTVKGLKKATFPLKPSGRSTSYQNYGDKAAYPLAGSHAFLEKTQKPSAGNPTSTASNPKTKGLLGPNSTMGPASTGYMSSMYSSSAMYNPNAYGPGFWYGSPLYGSGVYGGWNGLSDGRYKPRGKTYGPYRFGNENIDGLNELKRGPRSNLIKTEQVTGAADVAAAKGQELPNGDASKAVVLDQYNKADFVETYSDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLNTAYQAAKDKSSNSPVFLFFSVNTSGQFVGLAEMVGPVDFDKTVEYWQQDKWTGCFPVKWHIVKDIPNNLLKHIILEYNENKPVTNSRDTQEVKIEHGLQVLKIFKDHVCKTSMLDDFGFYDNREKIMQEKKSKRQQPLEKITNGKLLATSNTENQDVDGKQGVQELAVLGEQNAVVENGVATLAGNGVAPADANPAVVAVGC >cds.KYUSt_chr4.27285 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171357233:171364252:-1 gene:KYUSg_chr4.27285 transcript:KYUSt_chr4.27285 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTRSRTFPDNDEANATPPPPEEAAPVYYEGCPGCAMERKVENSTGIPYKEFVFVGVTSLASALPISSLFPFLYFMIQDMHVAKNEQDIGVYAGLLGASYMAGRFAGSLFWGVVADRVGRKPIIAFSLFTVVILNTLFGLSVNYWMALTTRLLLGSLNGMLAPMKAYCVEVCRPEHHALGLSVLSSAWGIGLVIGPSIGGYLAQPAKHYPKLFSENSIFGRFSWAQKRQNATFFPGDQIIFVILNLVELLGLVLSFKPFLSLPKKYDFK >cds.KYUSt_chr6.29745 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188588993:188592336:-1 gene:KYUSg_chr6.29745 transcript:KYUSt_chr6.29745 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMAGASSTRSLQPAAAGADTTDLHFWLQWRVAVCALWVLCCVVAAAYLIWRHEGPGAQRRTGGAVKELGRRPGGVLYDDEAWRPCLRDIHPAWLLAYRFGSFIALFSLLIVIVISDGGSIFYYYTQWTFILVTIYFGLATALSIYGCSKFVNYNAVAASTDTELGPYSAHGAITKPTADEEDDGTRKIAGFWGYLLQTIYQTNAGAVMLTDCVFWFIIFPFLTVKDYSVNFLLIGMHSVNAVFLLGEASLNRMRFPWFRIAYFFLWTALYVVFQWIVHASTQIWWPYPFLDLSANLAPLWYFAVAFMQLPCYVIFRLLMNLKHSLLSKHFPECSSKRVASSDEQPCRFTPPVVH >cds.KYUSt_chr6.938 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5903179:5903694:1 gene:KYUSg_chr6.938 transcript:KYUSt_chr6.938 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASRPSGPVLSIPSYRSSSPNRVKLPAAVGARSPGKSVRVSSPSAATRSRQSCMCSPTNHPGSFRCSRHRERKQEAPACNDHGHSKPASPTSAASLASGASKLGTKRTGSALVRLGGSVERGTWARRALAPSPQSPHRRRAAGGFCPRPSRLSAVSFAGERTGDNRQ >cds.KYUSt_chr4.28909 pep primary_assembly:MPB_Lper_Kyuss_1697:4:181554771:181557072:-1 gene:KYUSg_chr4.28909 transcript:KYUSt_chr4.28909 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMTVEEVRKAQRAEGPATVLAIGTATPANCVYQADYPDYYFKITKSDHLADLKEKFKRMCDKSQIRKRYMHLTEEILEENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPHESHLDSLVGQALFGDGAAAVIIGADPDVSVERPLFQLVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGIDDWNSVFWVAHPGGPAILDMVEAKVNLNKERMRATRHVLSEYGNMSSACVLFIMDEMRKRSAEDGHTTTGEGMDWGVLFGFGPGLTVETVVLHSMPIAADATA >cds.KYUSt_chr3.33295 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209024113:209026368:-1 gene:KYUSg_chr3.33295 transcript:KYUSt_chr3.33295 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSGVSGVASGRSLLQAARPAATPFSRLALSSSPSYYKHMPSLSVRTMALFGKSKTKAAPAKKVAAPKPKTEDGIFGTSGGIGFTKENELFVGRVAMIGFAASILGEAITGKGILSQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDEQPTGLDKAVIAPGKGFRSALGLSEGGPLFGFTKSNELFVGRLAQLGIAFSIIGEIITGKGALAQLNIETGVPIAEIEPLVLFNVVFFFIAAINPGTGKFISGEDDD >cds.KYUSt_chr7.36222 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226435915:226436376:1 gene:KYUSg_chr7.36222 transcript:KYUSt_chr7.36222 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTTIKIKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIFGGKQIADDKTAKDYNIKGGDVLHLVLALRGGF >cds.KYUSt_chr5.2707 pep primary_assembly:MPB_Lper_Kyuss_1697:5:17980008:17980769:1 gene:KYUSg_chr5.2707 transcript:KYUSt_chr5.2707 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLCSSILPADLLLEISARSDPVTLVRCAATCKALRRQIADPAFHPHLRLLHADRFVPTLLRGLFIENLRQTEGDHPRFVVPGHSKEPSPEPFRSFLSKYTTLFDFYHPVLAARRGLVALRSDCDTPESDPAGACVFNPMTGYLHFLGPPRIKAHSFVLLTGDGGGACNYRLLVAELSSGLLKTQAFSPVDNSRLWQAVAETAVAPCPQNAALMHPPIVLQGDTHWLCTSAEYLFLAYVDACTKYILSSVPN >cds.KYUSt_chr6.25843 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163768889:163771730:-1 gene:KYUSg_chr6.25843 transcript:KYUSt_chr6.25843 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLGRPNPPGGVCGAWSAPPKAKAKSKGTGGADGSRVLMGPPGLDYITLGLVNEEAIPEYELTVEDGRRLAREYSRVLMRWHRARQTAETSLLRLKNEAIVALPEKLQAAAMVPDMIPFPANRYMATLTPPIEGYIEKPRWSNGFECTKHHVSASFQNTQCKPSNSFWYDQPASPASAACTNCGVLSQIANPLTHLSPKEHLRETKREELGLSSKERQRELDAAKAKAKSKGTGGADGSSVLLGPPGLDYITLGLVDEKAIPEYELTVEDGRRLAKEYSSVLMRWHRARQTAETALLRLKNEAIMALPEKLQAADMVLDMIPFPANWYMATLTPPIEGYIEKVRDAAKKYSVKEKLR >cds.KYUSt_chr4.37564 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231505492:231507167:-1 gene:KYUSg_chr4.37564 transcript:KYUSt_chr4.37564 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFTLLACLAVLFTSSCLAGADAVPPATFVFGDSLVDAGNNNYLVTLSRANYPPNGIDFDGHLATGRYTNGRTIIDILGQELGLGGFVPPYMDPNTTGDVLFRGVNYASGGGGILNQTGSIFGGRINLDAQIDDYASNRRDMITLQGEVAAVSVLRGALFSVTMGSNDFINNYLVPILSAPERAVTPPEAFINGMIAKYRQQLIRLYLLDARKIVVVNVGPIGCIPYLRDIMTTGAGAGAGTPCAELPNQLAQSFNRKLRALVSELGASLGGSRFLYADVYRIFSDIIANYKSHGFEVMDSACCYVGGRFGGLVPCGPTARYCVDRSKYVFWDAYHPSDATNALIARRILDGDPEDISPVNVRQLITDT >cds.KYUSt_chr3.7905 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45393000:45394173:1 gene:KYUSg_chr3.7905 transcript:KYUSt_chr3.7905 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQGSTKRRRGRATEAAAADADALFSLPPEVLDDILNRVGIRDAVRTSLLSRVWRCRWEELSSLDLSFRRHEDGEGTPEGLGAVDGVLLRCPGRVQRFCADLDNTYAGRIHDWLRVLSRRGVEILDLSFGDGFPAPALPSSVFSCGRLSSLRLCGCSIPPLPPGFVAFPELRRLILMNVRLHDYGEYQLEEIIGTSPLLHYLGLTDVLIGGARAKKWVIRAPNLRHLTICSEINDGWILKELTSLRSALVRVSDFLVDHHFAKFLSGLAQVTELMVINDIRVVS >cds.KYUSt_chr1.34375 pep primary_assembly:MPB_Lper_Kyuss_1697:1:209322028:209322822:1 gene:KYUSg_chr1.34375 transcript:KYUSt_chr1.34375 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKQPLSSLFYAVNPANQDATPPSSPPTPTATPQAWMWPSCKHPTTSSFRSPSASASAAAKNAAAASLFLDSAESSSLTNSSARMHHDCAASDSLSTESEPSAAAAEDTADAIVRGLRSDRLRFEPRARSSSILEKKPGPGRGRGHAAAGPFGGGVPLALDSADPYVDFRASMEEMMASRGMCCAGDWDWLERMLGWYLRANGKDTHAAIVAAFVDLVVTTTTAGCASGSSSFTLAESDRESSSAGGNGSFRRLRRTKSTVSG >cds.KYUSt_chr2.9966 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63099639:63105823:1 gene:KYUSg_chr2.9966 transcript:KYUSt_chr2.9966 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGQQFRYTQTPSKVLHLRNLPWECTEEELVELCKPFGRIVNTKSGVGANRNQAFVEFTDVNQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIVNNKSPGETAGNVLLVTIEGVQANDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDSPTASAAREALDGRSIPRYLLPDHVVSCHLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIDSTLQPAVGPDGRRVEAEGNVLLASIENMQYAVSVDVLHTVFSAFGVVQKIAIFEKNGGTQALIQYPDVSTATVAKEALEGHCIYDGGYCKIHLSYSRHTDLNVKAHSDKSRDYTIPEGAQQAAPQSAGLPPTSAGWQGNPQAGGPYGPPGVPAQNHNTNGQMPNWNPGNSGYPPAPGNSGYPPAPGNSGYPPAPGQYPGQMYSAPPQYAPSGGFSAPPPPPPHEMHSSQQREMHPSQQMPPAHHGNQQGPAVMALCNSAVPPSGCLVETPLVLAAFILEDNLVWNGQWGEGTRRELAAGEVKRSFTPGCWRWPYLWIQTLSSCGETPCALAGTIFVMEVHKKLRSQMCA >cds.KYUSt_chr1.24920 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149194130:149196917:1 gene:KYUSg_chr1.24920 transcript:KYUSt_chr1.24920 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLPEIAAEGKCTLADAWDSKGRPATRATTGGWGCAAMILGAELFERMTTLGIAVNLAPYMTGTMHLGSAAAANTVTNFIGTSFMLCLLGGFIADSYLGRYLTIAVFTAVQATGVVVLTVSTVASGLRPAPCADVTGQSPDCVPADGKQLGMLYLGLYMTALGTGGLKSSVSGFGSDQFDESDDGERKKMMRFFNWFYFFVSIGALLAVTVLVYVQDNIGRRWGYGICAVGILAGLGVFLAGTRKYRFKKLVGSPLTQVAAVTASAWSKRTLPLPSDPSMLYDLDDAAATGEDLKGKQKLPHSKECRFLDHAAIIDRAAGESPADASKWMLCTRTDVEEVKQVMRMLPIWATTIMFWTIHAQMTTFAVEQAALMDRGIGGSGFLIPAGSLTVFLVGSILLTVPLYDRLVAPIARRITGNPHGLSPLQRVFVGLFLSITGMAAGALIERHRLTSSEHGVMLTVFLLMPQFLLVGAGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTCALGFFFSTVIVTIVHKVTGHGSNGSGGWLANDLNQGRLDYFYWLLAVMSTVNLVFFTVAARGYVYKEKRLADAGIELADEEIMIGGH >cds.KYUSt_chr1.24374 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145465089:145472990:1 gene:KYUSg_chr1.24374 transcript:KYUSt_chr1.24374 gene_biotype:protein_coding transcript_biotype:protein_coding MCMSTAQLAGPGPLPAGGPGRAPRCPGLWAGPGDGLILSYHRATFFTDPSGILPISEPSRRCAFGRWDMSARVNSSPARYLVLSSASSYTLSTHRSCCSLSVSPTPTPPPCSSLVDAIGVTALGTSKLHASLRSHLSMDVRSRDPAPYRLLLLLGLEITNLWLDAPSFPLPPPPPLPPLAMAPPRKKISSQSAPTTILALSDDLLREVFLRLPSLPTLVRAAFACPAFLRAVRSSPAFRRRFRDLHSPTILGAFLVDDEPTTPTFAPVRLRGRRSDPDHAAALRGLDVFLTRLPDAIDVKKDDAETEDAEDEDEDVQDEEDDDDEDEGWSMLGCRDGYVLLVTPRCNTKKVAVYDPLAGALHLFPGPPDEVFSGDPEYTEAEFHMIPSEADDRSFRVLCVPKEDGGKQIAVFSPDTMEWKISPTPWSLQDADNVELVRNGLVYWASSDQDEDQDDDHYIPVLHTSTLQFSQTHLPIGPSGVLGETNDGKLCLARVHYDNLELMVWVLRAGRDGVDKWRKDRTFEIVDVTDKLALNIVDECPSLSWLLREQLSPSPLKINKSQVTKFGKSYQMRGANIRVLNMATLQFSQIEPPLHTEGQDEFKPGETKDGQLCLVCAVKLMLVVWVRRPDNNNGEDRWALDKTFSLQDDTHNISRYGLDDDVSLHIVAIIGGFVYFSTFCERRPNSCHFMCFCLETEELSKLCTVVTHSNISYPYIMVYPPSLVCNKVNPQLEGA >cds.KYUSt_chr4.16212 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100489750:100492390:1 gene:KYUSg_chr4.16212 transcript:KYUSt_chr4.16212 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQLNHIARETADVRGLAAFYEEVLGFERVPAPTYSGFQVAWLRLPGTPDVALHIIERDPATALASSPGALGAPPDQLPRRHHLAFSVADYDGFVTVLKTRGTHVFEKTQPDGRTRQVFFFDPDGKMMSSARVMLETEMMEAAEY >cds.KYUSt_chr2.31275 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193035597:193036610:1 gene:KYUSg_chr2.31275 transcript:KYUSt_chr2.31275 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRSFCGSSNITMLAAALLLLLSTSAVAQLDVGYYSNSCPHVEQIVREEMLGILQEAPTLAGPFLRLHFHDCFVRGCDASVLIDSTDVANKPAEKDAPPNKSLRGFGAVQRVKDRLQSACPNTVSCADVLALMARDAVVLAGGPTWPVALGRRDGRVSIANETNQLPPPTSNFTRLSKMFAAKGLDAKDIVVLSGGHTLGTARCVSFTDRLYNFTGANNPSDVDPALDTAYMAKLKSYCRSLADNTTLAEMDPGSFLTFDAGYYRLVAKRRGILHSDSALLEHPTTRAYVERQATGLFAAEFFRDFAESMIKMGNIGVLTGDQGEIRSKCYAVNK >cds.KYUSt_chr4.12477 pep primary_assembly:MPB_Lper_Kyuss_1697:4:76317436:76319733:-1 gene:KYUSg_chr4.12477 transcript:KYUSt_chr4.12477 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSAPVRRLSTAAPLSDLTDALLATRLANHLLTTPHIPPALLPAAPLPLPVRLHILRHPSLPPTSKLSFFLAATPPAPSPLLAATFPILLRALATHSPPLLDALLPFALSSPSPSLLPGLLSALLSASRLDAALTLLQDAPPDLLPRLAAAAIPSLIASPDPISAVPAIRRLLPIASHPPHVRATNRLLLALSKSHLYDDFNHVFDEMSRRGLPSNLRFYNICIHAFGKWKRLDKSLKLFAAMKAASPPLVPDICTYNSVIRVLVIGGRVADALVVFDEMKLAGIRPDVFTYRAIVDGCCKSFRMDDALRMFQEMRGSTGVKGDVVVYNSLLDGLFKAKKLDEACGFFETMVADGIQCSASTHNTVIDGLFKNGRAEAACRLFYELRKKGQLLDGIAYSIMVREFCKEGTGDQVAEAVGLVKEMEERGFAIDLVTITSLLIGFNKSRRWDLEEQIVKMIRDSSVLPDAIRWKSNMMDALRGPQDRGKDGTSVFSFDGNMNDVMSLLKPAVCPDTNEETARNEPKDDWSLSPHLDHLAKHADKVNNSAIFTTHRGQRVQGMGDKTFDADMVNTYMSIFLAKGKMSVACKLFEIFTNLGRRGTSYTYNSLMTSFVKKGYLKQVWSVLHERGGQLCPNDIATYNLIIQGLGQMGKTEVASSIMDQLSKKGVYMDIVMYNTLINQLGKAGKVEEANCLLDQIITRGMKPDIITFNTLIDINAKAGRLKEADKYLRRMIADGIAPNHVTETIMIFLDKEIEKKRQQAK >cds.KYUSt_chr3.36405 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228975539:228977509:-1 gene:KYUSg_chr3.36405 transcript:KYUSt_chr3.36405 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAVAAALPSPSPHRRVPAGAAKSIWLNPNLPSSHPLHRHKSAELQHQQQDHAPDVTALVAALSAARAAPDVAAALSPHRPVSPRLLCTLLSRLSDPRRGVALLDLLAPDLPASALLVPYNLLLRAASRAGELRLASGLLLEMRDRGVAADAFSYSTLLAALTRAGHLDHALTFLPLMESDAVAPDLVLFSNLIHLALRGGDAPKALALFSRLRAADIKPDLKAYNAAIAAYCKSDLLRDAKRLLLHDIPADGVAPDAESYAPILAALARRGRHLAAVSLFSHMRAVARVKPDLSVFNIVLNAYGQLDLARDADRLFWSMRRAGVPPSVVTYNTMLRVYGDAGLFGEAVHLFGLMCSAFDGNGGGGSVVKPNIVTYNTMISIHGKSLEDEKAGSLVQEMQANGIQPNAITYSTILSIWVKAGKLDRAGKLFEKLQESGMEIDPVLYQTMVVAYERAGLVSQAKRLLRELREPDQSIPKETAIKILAGAGRVEEAAWLFRRAVHTGEVKDPSVHRAMMGLFAKNRRHRSVVEVFDEMRKLGHLPDSETIAVTMNAYGKLKEFDKAAVLYRALREEGCVFSDRVHFQMLSLLGAQQDFEALEQLVGELSHDPSIDKRELYLVSAGVYERAYRFDEAAQIISQIRSSSDFHVQKLR >cds.KYUSt_chr7.14708 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90928471:90931400:-1 gene:KYUSg_chr7.14708 transcript:KYUSt_chr7.14708 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPGGGGGGWLATVRKVFKTSPSSSPSKANKQGPGGGEQEAEIVSVEHFPAADTSSEVTNEGSGGRERVCGGVEAEARRARRAMASRMARLAALRGRAAGREDRAAVRIQAFYRGYLARRALRALRGLVRLQALVRGHQVRRQVRQTMRSMQALVRAQDRVRARRLTSHVDARGRLAAVPSHGGGRRSYGHDRLFFDDEHGDEDAETEAQVRRPRNRQSSMGNLSPFQEGWDAVTRAGSLRRHGGDTAAWPPAYAHGFQQQEHKLQCDEQDQRKVGWDWLERCMAPSIMPPGPASQHLAAETSYVTALATASTEGVSERTVDMDPSRKSPPKDLYPVRAGAEVIPGYMAATQSARAKARMAAPRAHNRSRSGSVALGGGSTASSGWSTSNNGDGGARAGHQREGYSPESSSSGERTLPAVGGPGRVAYA >cds.KYUSt_chr3.4779 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27159835:27168022:1 gene:KYUSg_chr3.4779 transcript:KYUSt_chr3.4779 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSYRLAAAVTAPSTGEFLVVRQQPPPSPPSTAPGEEEYRRYVDSELYDLPSAPLRRLTAEEEPARPGVAVGGVEDCRLDLSRFDVPAALDQILNQLGLTSAMCVEWRLLKHVEEPEFGPDAGVNTVLIAGSLESKPEVLQDSCKWMSKEGAWELLSGVKSGGTRIGPYVYIGFPKSELSSNCIAASALASQGHTDGHMGVLHVNTNALIVGDHCVGHGSATLDSRAGGNMKDYFQTTYKFLDMSPHVLVPMHGRVNLWPKHMLCGYLRTSPAILAGSALAGGLVVAYAFGRNAGNQPQDSARRQQNHFSSQAYVIGSSMASNTAKTMQLLHLGSTLNLFPVLLLISFGFVLGKIGSATFSPESYLPNFRALSPTPASKKACVKLPTFMESGRLTASSGGLMHNMTDEELLWRASMEPRRSTHSSTVPKVAFLFLVQGALPLWPLWEIFFNGQDKELYSIYVHASPGYTDLAPKESVFYGRLIPSQGTKWGDMNLVDAERRLLATALLDLGNARFALFSESCIPLLGFPAVYAHLTGGSNTNGSFIDSYPIKERHDPFFADRNISLAQWRKGSQWFEMDRATAVEVVAEERWYAVFRGDHGMLNMEEHYLPTLVTLLRWGARCENRTLTYVDWSTRREHPRSFPEKDITAELLEGMRRGDGKCGYKYHGDDDDASEVEFCHLFARKFSKDALTKLIQLAPELWLV >cds.KYUSt_chr7.24120 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150298940:150303128:-1 gene:KYUSg_chr7.24120 transcript:KYUSt_chr7.24120 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSATSLAEARCIADVRADAGSTTFLAGTLSLKEENEVHLIRLLPGENELVCDGLFYHPNEIWDLKSCPFDHRLFSTVYTSGEGYGASVWKIPELYGQSNSPQLEELFKLDEHTAKIRCVLWWPLGKHDKIISIDERNIFLWNIDPSNKSAKVVSQGSADILPNLRGGAWDPHNHNSIAAITDSSLHFWDLRSMKRSNAIEHAHIRDVDYNPKKQNIIATAEDEFGIRLWDLRMLKHPLKDLPGHSHWTWAARHNPEYDELILSAGTDSAVNLWLAKVGTDESGVESPSGSPNSQEEPLLNSYTDYEDSIYGIAWSSHDPSLFASLSYDGRVVLESIKPYLQRK >cds.KYUSt_chr4.23618 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148739902:148743311:-1 gene:KYUSg_chr4.23618 transcript:KYUSt_chr4.23618 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNLRFVRCPKCLQLLVEYPTIPVYQCGGCGTVLRAKNRGPPVTRPDPVSGEQNSSPCSLEGSIQTSKSISSDEQKVVSACAQPSDNVVEGSISTVSKDDISCENVNPERTLSIGESATSDKVGGEENCCLVDGNAPNPEFMIKEADDNGTAADSCLKLVENVQNVEASENGNREKGYSMGDASVGSEVAAVHSMESEELADSSNNMGGEVESMDEQKLSASNETMNCHEVVVTNKLYKEDEAKFKNMLSQREDRPQPYEGLLIESYEDLIEELERSLSLSDDEEEFSDVADNNGLNDALHNQIGSRRFLSAGKMNDDPRSDPHGRLIEELEMSFSDAEEPLEHHVRIADKDNQDKARGKEHDKDPQFLVNETANTCEGSMSSTDGKHIQSEQNFELNELIADGTKEKEEGWPGDDNKIDYVHGNELVVLTNKDIPDIIHENEHDKDPLYRDTESANPCEGSISSFGDERLKFGQHFQENELTTDGPKENEDVCIDDDNKISCFHANELTVVTNKDIAERIHENEHDNKNDSVHGIEHVVLTSKDSPDIIHENEHDKDPQYPETESAKLCEGSISSIGDGHLKSGQNFQQNELTTDGLKEKEDSCIQDDNKVNFFHANEHVVVTNKDTAERYHEDEHDMSMINPHYLGAESANLGEGGISSFSDGHIKSGESFEQHKLTSDVTEEKKDTEDDNMNIGVQADKPVDVAGFSRLPNERIHCKSPSFVKKKEEISCRYRASLLRQGLSLDSEDFKSIQNFIESQMDGTSSSLSSGSPSQGDLVLKTSNKFKTVDQLERLKKMDGLRDQLNRLSIQKSLEKRYQNKDLEYQPQQLRNYDAEQQFRSIDADSNPSSCTLDSYYGHRKPPMYPPPNPYSPAHSYAHSHYGHAQAHIPHNFDAWEFNSYYQSSQAGSSILDHDSLKSSFKEQKRVVRKHILRPLSGASPYTVCNSCFYLVQMPSDVYISKGKIGKMQCGKCSRVIVLSVPAVDHADANISKEATQKSSKVDGRIVARTESASYNVGISEDYGASFTRSLSTRAGRALAVTESSKKVSDSALHLLMGYDSASQLLRHSRAFERHSRAFDDGYESFESMVPVSNRVSRRKNM >cds.KYUSt_chr3.11869 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70833414:70835033:-1 gene:KYUSg_chr3.11869 transcript:KYUSt_chr3.11869 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNILIGNLESDITEVQNGHLMQEKQPFERIKVSRVEALEMFAENQFKVEIIKELPEDLAITVYRCGDLVDLCRGPHIPNTSFVKAFACTNASSSYWRGKAERESLQRVYGVSFPDSKRLKDYSDMMEEAQRRDHRKLGQKQELFIFNPVSPGCCFFLPHGARILNKLKTFMQQEYWERGYEEVVTPNVYNMSLWETSGHAANYRENMFVFEVDGQEVGLKPMNCPGHCEIFRHRTRSYRELPLRMADFGVLHRNEASGALTGLTRVRRFVQDDAHIFCRESQVKDEVEGVLKFIDDVYKIFGFEYELELSTRPENYLGDVETWNRAEHQLKEALTASGKPWKVNEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPINFKLTYAPEEQGKLERPVMIHRAILGSFERMVAILLEHYGGKWPLWLSPRQAIVCPTAPKPESGYNKEAILEYAKEVRGKMHKAGFYVDVDMTDETFNKKVALAQAAQYNYILAVGPTEVNSGEVTIRVRDKKDQALSTVSVDDAIRRFREEVAAFQ >cds.KYUSt_chr3.48629 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304005593:304010234:1 gene:KYUSg_chr3.48629 transcript:KYUSt_chr3.48629 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPTARSTNHHSLLPFADATNNLPLMPSSSAAPATHRLRLWWRRLGRRGATAASVAALLAAAFFFLALSPDASAPATSAPSYGHRLPALVDLTLVDGAKEKGAVCLDGTPPGYHWLPGFGEGSDKWLLHLEGGSWCRNLTVCGQRKKTNLGSSDYMERRAEFVGILSDDELQNPDFYNWNKVKVRYCDGASFSGNVEDEFQDGTSFYFRGQRIWEAVMRELLSKGLSRAKEGKRLTRQYLYYTYGSVG >cds.KYUSt_chr2.3422 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20469248:20472841:-1 gene:KYUSg_chr2.3422 transcript:KYUSt_chr2.3422 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRATRAARFPCPYSPSSSSSQAASLSGFARLAPLAAGSPAPPPAALSLLASARPISATWGSAMRPAGQPRPSTRVLCTAAGSAQTPREGKEVLVQHLLVGEKDARLLVDLEKSIASGVDLSDLAVEHSLCPSKDNGGMLGWVRRGQMVPEFEEAAFSAPLKKVVRCKTKFGWHLVQVLSERDQCMLQDIAPEELHAKMQDPSFIEEAQLIDVREPDEVERASLPGFKVLPLRQFGTWGPVMADEYDPQKDTYVLCHHGMRSMQVAKWLQSQGFQKIYNVAGGIHAYSVKADSSIPTY >cds.KYUSt_chr4.54704 pep primary_assembly:MPB_Lper_Kyuss_1697:4:337881961:337886890:-1 gene:KYUSg_chr4.54704 transcript:KYUSt_chr4.54704 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSQELTEMEKEMERMEMERKTSSKGKKETRKGEKSLVAIEPPAPPALAKIRGKEALEIASDEESDEGPEESEEEPEESDEEPKESRTDLRAYEARLYRDFWNDVFAGEEYGSYDTVTVIPPMYFTDRSHDDFVHVQHTLQIFSVKVTDVAEGLRWPLDVYGHVAVRDVVDHNRNMIFHRERGNSQTIFEKDPYLALTGPTRAVVVSVHPVHFEVDLKVKGTIESEDKDLSFLAVSYRSCGPSTSYVIDRVETSKLSTVEFTFAHIVNSVEATISVEVISGRWPLGYRGIFTAMTSTIEDMEVSLLDFGGDKLPVDNDGKIELSRRVVSVELLDPEHQKVSKLKVSVQAECIDGKKNRVKCDLSFKPKEADRSEGKMKIVSWKLARYANGSEGSSSGVPSRALRPPIADSSDNDFPVPARSPTFSAGNCVHGLNEENAVLAQTKAISTAEAHARFRREEVDAVRQVFPTRKHEFGELNSEFSVHVFTGSARDALNSGQPSPHRGDLRKAPQATSRDRPRPPCAAAWSPCTANQRDLDHQKNKSTRPVVQQIPAPPTDKVAVA >cds.KYUSt_chr1.28746 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173800599:173801798:-1 gene:KYUSg_chr1.28746 transcript:KYUSt_chr1.28746 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGSICRRRLLFRIHQIPGGGGGGGGGGGGTNPLQSIPPARNSYSSSAVADVSNSEPCPDTVSYLISCGLSPTAAAAATTGQRLRICSTDKADAVCALLRHYGFANADILRIVRSASVLLLVDPERILRPKLDFFASLGFKPRKLATAPFLLARSLDKHLVPSIQFLRGIIGSDDHLRQALHRQPRTLSSDLETSMRPAVEALRRGGLTEAAISKLLVINLCVLRLSPDRIRKVFEDLKEIGMCIADSQSLYCFGVMCTLKRETWLRKLELYTSFGLSEGEVFSAFKTQPTMLALADDNIEKKVRFLLDELKLGIHAIMSRPVILCYSLDKCILPRCAVLSVLMREGRIQREIKLPQALLGTSREFSTKYVLKHADEIPDVVKAYEGKIKFEGFGCDT >cds.KYUSt_contig_60.468 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2782583:2791450:1 gene:KYUSg_contig_60.468 transcript:KYUSt_contig_60.468 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVTVAMNSPSEKEFEAARVAQTEEISIDDEQWNDGLLATIREKVHMEAERKAMVNQTNVQADGQFQSRTTYRIRNKVIYCLDGARIGIQYETFFAGEPCEIYHCVLESKSFLEKMTVTEHTLPFFLPIREVESDHLSSNAIKFIDQLEEILQSYIDRREQVRLIKELYGNQIGELFNSLPYNLIEFVLEDFECKVTVSIRYSDLILTLPSQARVLAWPLRSYKRVSAAGRRSGSGATAQPVPFRLPYAEDALKTLSLPE >cds.KYUSt_chr2.43885 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273210303:273214610:1 gene:KYUSg_chr2.43885 transcript:KYUSt_chr2.43885 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFFHPLAVPVAGARLRRCPLALPAPTRAPPRRPTPLLVARARANTRTPAASRQPNAAPPKKEADEEVEVEEELPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVSLALAVARTYRRFTSPRTQKKKRVTKNIFLLKSLDELFQKGRETVDFPALQELMQKTGFDMDDIVRKYIRYTLNEKQFNPDVVVDLIHLRKASMLEDAEVAEILNEISRRIVREKGPIVMDLTGFTEQGFKRKLAVQTLFGKIMYLSELPEFCSRDSSLVVKEIFGVTDEDADSLRSHTLSEAGDIESLERMIDDSDIEQGSDDDIAAQSAMSKIAILVPYNSFVNQLLILH >cds.KYUSt_chr1.19534 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114780614:114784951:-1 gene:KYUSg_chr1.19534 transcript:KYUSt_chr1.19534 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGATSATAAAGAFAAGAAKARGSSSAACPRVVAAAGGRRRCGVVRCDAGGDAQAVAKAASIAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAIAELTGLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSTKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRNGQNSGGLGKNIDRMFKDAITAGKRVRCETNISAGAVSVSSAAVELALMKLPRSECLSARMLLIGAGKMGKLVVKHLIAKGCTKVVVVNRSVERVDAIREEMKDIEIVYRPLTEMYEAAAEADVVFTSTASESLLFTKEHAGELPPISLAVGGVRLFVDISVPRNVGACVSEVEHARVYNVDDLKEVVEANKEDRLRKALEAQTIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLTKIGEDNLNKKMRRSIEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAVLEQKIKAKRWALSFSPLVSSHHERFREEDRDSDSQDPRLMPLLLLIALLLLVDTASLCHGRIIQGVDAMALDGGGAPPQAKGYSSEHASSSTARQQPSRVHRHMHRVSKRLVPQGPNPLHN >cds.KYUSt_chr6.7525 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45754828:45756155:-1 gene:KYUSg_chr6.7525 transcript:KYUSt_chr6.7525 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGVASDKGLMALVVAVLVMCGGGLFVTPAAAETLVPAVYVFGDSTVDVGNNQYLPGNLLYPLPLPYGMDLPHELRPTGRASNGYNVADAISRLMGFNMSPPAYLSLAPEMSDEILRGFGGVNYGSGDSGILDKTGLNKSMPLAQQVGFFAATKSKMIEHAGDQGSVDEIERRVSESLFLISSGGNDMFEFLKSPVLLFYIQLATNYRKHLNTLYDHGARRFGIVNVPPIGCVPALRNRSDTGACMEFANNLSRNFNNNWLSVVMKNFAADPERPGITYSVGNSYNMITNFTADPEAAGFSEVASACCGDGRLGVNPWCHPGGAVCNNRTDHLYWDLAHSTDAAAHKGAALIFDAPVDWGFAAPINFRQLVSDGFSSI >cds.KYUSt_chr4.19134 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120247929:120251782:-1 gene:KYUSg_chr4.19134 transcript:KYUSt_chr4.19134 gene_biotype:protein_coding transcript_biotype:protein_coding MTDATATATAAGGLAGEMEVEAYRRLFPLAFLERHLRESVRPDARRLGEARATTVALGAVSSAHGSALVRLGDTAMLASIKLEVMSPPAETPDEGSVAVEFHMPPICSPLVRPGRPAEAAPVISKALEDVLMSSGMLNLKELCLISGKASWVAYLDVYCLNADGSLFDAALISVVAAFSHLEIPLVSVGDDGRVFTVGGNEGKTKYELVNREKRKLNLGDIPFPLTCALHKDSILADPTAEEESIIETSVTVVLDSSGRLVSIQKPGGGVASMSTIKACISLAKGRGQKLKEILMDSVEATMEVDQTE >cds.KYUSt_chr7.19322 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119878816:119881460:-1 gene:KYUSg_chr7.19322 transcript:KYUSt_chr7.19322 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGVAAGSGSGAGESSPREESNMLLPRRGPPPPSPSPVVGKQLPSGAVPRHAFVFDGEGQYLDSPWDLTPSPSSAPGAFTWHHVELPRVLAGAGAGAAKPLHHAQALIELLCPPLTLQEILALVGSGPHCGGGVSDGSDGAGALMLRVSAPGPLGSAFAIRLAARVTETSVITVSVGGVPRLAFGTTQASLLSEVPLGVAASLADEGNGGGRAVEGGVVIDERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLGTHVDHVHDIVTRLEMELDSIELHLDKGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGEQVFPRVKERCASKSWFATGDITALEDLISRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIVFLPLSIVTGVFGMNVGGVPWTEQNKNPKNLDGFINVMVICAVILLLLLLCFLFPSLYSHVSAWRTRRELTRSSSQNKRHLKPFKGHREGYMRL >cds.KYUSt_chr4.34507 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211939996:211941606:-1 gene:KYUSg_chr4.34507 transcript:KYUSt_chr4.34507 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKKGASRHRRPWSKLPHDLLSVILDRLGPAFVDRVRFRGVCQGWRGAELAHPRPPMSWLVAPGHFVSFHDAAIHRVPLPEDAAGAVCRGSFGDWLALVPPTGRRRPYLLNAFTMERIKLPRWKEQPMVKLVLSSAPDSKSCTCTVAAIVEHEDDYRMRSKIVVCRVGRGGSWRTMTRAFELQDIIFFEGKLHALDAKARVHVFQDEDFRRDEPWRPPQYKEGQGLKIGVHVDMLHLVVLHGSLLMVGRAFGRSRVPGCTHFTSAVGVFTLDLGGETETAKRPEPVKDLVGHAIFVGDACCDAFAVGASSSGGGGGKIRENQICFVDDEKNMSMSSLAAYDRRSFRQLQSYDVRNGCLRTYEPSPAGSPGPGTWRVGTVQRFPHTTAMGPPVRTALLSAAELVLWDLTNCLGASYRPNYYTTMVAGGSSVTVCISLPKTAPTRKYDDWSFTQHRPSAREAKQAAAHEAATFLRSRFRSVLDDSPWSSIPYYHSHVEEKEDDQEEGEEEEEAQLDPESNLNFFDLFDYKRWYTGY >cds.KYUSt_chr3.40145 pep primary_assembly:MPB_Lper_Kyuss_1697:3:252965575:252974722:-1 gene:KYUSg_chr3.40145 transcript:KYUSt_chr3.40145 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVPIPSSSSAAAAAAAVVPSPPPAPAPAVVRAAAAAPEAAAAASRRQLFSVELRPGETTIVSWKKLQKEAVQEAATLPPQPLAVVPAEPAVAAQLPPPPGVAPAAENNPEDPAQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTDDSFIDDAELDEYFEVDNLKTKHSGFFVNKGTLEQIESGTSTDVAPKKRRSKDPSGDHIEINQGAAGDYFNISNMPGKATSPAHAGKKLATSGTGVSKKRSTDFATGVDAAKRTKISDRPPAIDSNEVDPNGQASIKRRLPPEVKAKLAKVARLSRNMKDLVESGLSATQEKTDMLQRVKMEITEMVKERVVAKAKVNEQQDGSADDFQAVPEEGRDLKGKFAMDSALEDRMCDLYDLYVEGMEEDKGPQSRKLYVEVRSEETLKRKRLAVAEKLPDSYPVVTQRAMALQVAHPSITNPSYPVTDYGQNQASRSIERAREASGGAVPDDSSKRVGEMKKKKRKPEYDLVDRQANPMKVPSQHGSEKQKVTKHSDEANTITISTVLGLPFYDQQQI >cds.KYUSt_chr4.12595 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77271915:77286611:-1 gene:KYUSg_chr4.12595 transcript:KYUSt_chr4.12595 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLGLLRCAGELSAIAPSTARRAGSVTPRKTPKPPRVLTPLRRSGRLTAAVTPARSGSGRRCSPRLNGGLEHLPYREEDTDEDEDEEKAVVYIDKERLRVLQERRCDSKGRGAVYDPVLGICCHFCRQKKLCGEEGCKRCGEGDFDQPCIGKTECSSCHSTNGILCRACLKVRYGEEMDEVRKNKNWMCPHCIEEKGIKKFWICNSSFCLKKRKIAPTGIAIFQVSALVGSNKLYQIGPGRFKRLLACYCVRLVKLNRVLQEMHRRLTAAAAAAAAALRRFSSLRPPPPPDRRLAFLRSEIDELDLSCPQTQPPPPPRGQWQVTEQPGSGGACTGDKPVAVDIEHPWPEWVALMELLLQKGHLDPSAFAGGSPSKDSNLIRTACLRFGRERPELIRYLSRWDIQVALRSGCPSIDRKVVNSGKRLRAHVGLDEGEVCSQCNLRGSCERAYVRARKEEVGRTVDVMRILLSYGLDIITGNMGNKACLNKTVKESVKKLLNESVELDSKGPGSGTEKAAQHVPKGQSVVPTKQGDWNCPKCNFLNFAKNIKCLRCDGEFQERYRLMHEDQDHLPLKKGDWICKRCNFLNFAKNTRCLQCHDKPTNRLLSPGEWECVSCNYLNFKRNALCLRCGWKRPKSLNNPDTDEPRRDLEQNKHPAISFVEDGIQPRKRQILQKKAPLFDEDSDFWSSEEEGDDDTESSMLPINKECKFLDSFPIVGGRTALSQEPLEREKWKEEMYMGNQGLPREVAVGENPMVLRSMVGRFGLLCLTQRLVKSSIIGIVLDGWTIPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPHTVHEGRIYQLKLFCDTDYPDKPPTVRFQARVNMTCVNPETGVREHTMEDILISLKKEMSTPQNRRLHQPHEGHDDQRVDQKGVARCVIMNIKATIYHITEEAEQSTKIHSQCGRRKPYQHKTELNDKYGGKRGCHGDPRTQGRCHGSMEEKEKEELDAPGRIFRPTQPELPPRFHPRLKMLMLKSCGRNFRP >cds.KYUSt_chr6.32093 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202708784:202719269:-1 gene:KYUSg_chr6.32093 transcript:KYUSt_chr6.32093 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAPAVVPDGAYLEAVTQKRIRIFEELQARQALERLNIGGEPIKITLPDGAIKEGKKWISTPMDIAAGISTGLAASCLIAQVNGVLWDMARPLEGDCELKLFKFDSDEGRDTFWHSSAHILGESLERVYGCKLCIGPCTTRGEGFYYDAHYNDLTLNDTHFDAIDKQAKKAVAEKQAFERIEVSRAEALEIFAENKFKVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASASYWRGKADRESLQRVYGISFPDSKRLKEYQHMIAEAKKRDHRLLGLSHELFFFHPLSPGSSFFLPNGTIIYNKLMDFMRQEYRKRGYQEVLSPNIYNMQLWETSGHAANYKDNMFVFEIEKQEFGLKPMNCPGHCLMFGHKVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCTESQIKDEVRAALEFVDYVYKIFGFKYELELSTRPEKYLGDIETWNKAEQQLTEALAEFGKEWKINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPSRFKLSYSAEDEAKLERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCCVSSNSLEYAKKVHAEIREAGFHVDIDMTDRTIQKKVREAQLAQFNYILVVGAKEAESGKVVLRVRDRADLSTESIADVITRFRNEVASFL >cds.KYUSt_chr7.39938 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248042071:248049580:-1 gene:KYUSg_chr7.39938 transcript:KYUSt_chr7.39938 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQPSLAGAGDRGSSSSSQPPPPPPPKILLAKPPLPPPSSSGADEEAGARARQAPQPGSLSLVSDAWEYLTENNDFMVIGIIGPPGVGKSTIMNALYGYDGSSPGMHPPFAMQNEEMKAMAKHCTAGVDFRISHERVILLDTQPVYSPSILIDMMRPDGSSTLPVLNGDPLPADLAHELMGIQLGVFLASVCNILLVVSEGINDLAMWELMLTVDLLKNNIPDPSLLTSSSSPDKENKNDNQSVSEDYMADLCFVHARLREHDFSPSKLMLLRRSLGKHFESSTFNIGSSSATPEVTDSLHPLSMKLEDLSSSQQDVFLLPLRAHDNSTNFEYGTYSSMLGMLRDQVKETSYSRLCENKTLLTVNGQFPGPTITARRGERVIVNVHNQGDRNITIHWHGVDQPRNPWYDGPEFITQCPIQPGTNFTYEILLSEEEGTIWWHAHSGLDRAGVHGAFIVHPKKGTDYPFIESYKKLHKEIPIILGEWWTSDLNLQLEEYLKTGGEINNSNAHTINGQPGDLYPCSRNDTFNVGVKRGKTYLLRIINAGLDGDMFFGVAGHLLTVVGTDGRYLKPFTVQTIMISPGQTMDALLEAKRSPSSGRYYMASKTYLSNTRLAYQNGTATAILEYKDMPLAARRATPVFPNLPNNTDDAVATGYTAMLRSLASKEHPVNVPTKVNEHMLITLAINTLPCTTGNGTCDGPGGTRLAASLNNASFEDPHVDILDAYYYSIQGVYEPDFPNIPPFLFNFTNTNGSRAYWPTKRSTKVKVVEYGAVVEIVFQDTDILGAENHPMHLHGYAFYVVGRGLGVFNKTTDPATYNLVDPPYQNTVTVPKAGWVAMRFKATNPGVWFMHCHFDRHTVFGMSTSFIVKQGKTPESKIRPRPKNMPKC >cds.KYUSt_chr7.16448 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101926419:101928115:-1 gene:KYUSg_chr7.16448 transcript:KYUSt_chr7.16448 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATSPASTAALAAALARRSSRLPPAGRFRKENGGLVIPGAAVSRPSKATLHPPLPLERQARVLCSPAPPLPSVPAAASASSPSPTTELLLLPRAAPSWSSAADAAGCWWACRGPPRPCAACLYPAAAACDVANMEEVEEALPPPPTVRPADGEAGEGALPFAAAPWPPSWVSAAGNDDKDGEDVLVPRTPPATKSFDVAAIDKVVRKEVECVADTRDGWQEVMPRRGQRRSAPPCPPFARRAIPAWLRGRCCRCLAPGHRAAVCCDPFKCSRCLEDGHRARDCRNAWHPLSLLEGPIVSSPRHAKAPRQAQVEVLPPSDVPRRRSWASVVSAPPGSLAPKDMQSSLEKQAELFQEAARPLHEVVVSLHGWMLAIGGFLERAEAALGRLSRMPADPVASPDVGKVGASGVGLHGCFSPRARASSAPVLQIMPELLELCSGVLTPPFVEEVRPSSHEFSDLASSLCRAPNFEKCGVDDAAVSPSPQFTMPVVPIDDGVAKSGLLPTVPGAVVAREVCDFLATLVVAFPGSAVG >cds.KYUSt_chr7.29648 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184501627:184505229:1 gene:KYUSg_chr7.29648 transcript:KYUSt_chr7.29648 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGFVGVVVSDPSLQGHFTQVELRSLKAKFLSLKRDSGGHVTTKNLPGLMKKLRGLSDVVSEDDIAAFLSEVYTDADQEIEFESFLREYLNLQARVSSDQGASKSSSSSFLKSSTTTLLHNPNQAEKSSYVAHINSYLGDDPFLKKYLPMDPEGDDLFNLVRDGVVLCKLINLAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNLGAQDLVEGRPHLVLGLISQIIKIQLLADLNLKKMPQLVEIFDDSRDIDEVLSLSPERLLLQWMNYHIKRAGYKKTINNFSSDVKDGEAYAYLIKALAPEYSSETSFETKDPTVRAKMVLEQADKLDCKRYLSPKDITEGSPNLNLAFVAQIFQHRNGLTTDTKQINLTQSASRDDIILSREERTFRMWINSLGIATYVNNLFEDVRNGWVLLEVLDKVSPGSVNWKIATKPPIKMPFRKLENCNQVIKIGKQLKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNMLQLLNKLRFHSQGSQGKEITDADILSWANNKVKASGRNSRIESFKEKNLSSGIFFLELLSAVQPRVVNWKVVSKGIEDDEKKLNATYIISIARKLGCSVFLLPDDIIEVNQKMTLTLTASIMYWSLQKPQQPETSEQPEPSYVASDAASDIASEDAASPMAPSEGEEVNSFPISVSNLPTDNTSQAHV >cds.KYUSt_chr4.7395 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43947742:43948929:-1 gene:KYUSg_chr4.7395 transcript:KYUSt_chr4.7395 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSLLPRSSAAPPLEDDDLLSEILLCLPPQPSSLPRASVVCKCWHRLVTDPHFICRFRRHHLRNPPLLGFFNTDRGRISFQPIMEAPNRVPSGRFSLQLHDGDGLKLLGCRHGLVLFLAYQRKQVLVWDPVTDDQHRIAVPTVFYMNTTMIQGGVLRADGEVHDFQVVLVAACEDDRQVLASVYSSQAGGAWGNPISAPLPPEAYTDVQFTNICMPSVLVGDSLYWPLNGNFATSSYYILEFNLERQGLAVIELPVYMSEDDTDLTAMRAEGGGLGFLFVSEADASAQLWKRKTDCDGVASWALEKTIELDKLLSLNSEVERQFLMLSGFAECNNVMFLKTWVGSFMVELESLQVKKLFETTRLWHPFESVYVVPAISKQLIYYSSESMLQSC >cds.KYUSt_chr3.19737 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121476859:121481114:-1 gene:KYUSg_chr3.19737 transcript:KYUSt_chr3.19737 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRRSLPLPLLLLLFPVSLSAFLLLRRSSYPAPPLPATGPAPDPRRFSLLIKLLAYDRPAPLLRCLRSLAAADYDGDRVALHVLLDHRPPNSSLAASHEILHALDAFRWPHGEKRVHYRAANAGLQAQWIEAWWPGSDDEFAFVVEDDLQVSPLYYRFLKRVVMTYYYDRDNYSPYIFGASLQRPRFVAGKHGNKIELDSETRLFLYQMVGTWGQLLFPKPWKEFRLWYDEQKAKGIKPILQGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNIYTNFLKERALSISHRDAGVNYGRSVGPDSTLLDQNNLNFNIWQLQPLKELKWYDFCFAEVLPGRAVRKFSELGSVLKSVQLENNVLLISLYSVEQRIVRNLICHLEKAGTRNHIFLGDNSEFLDDLAHRGHAVIDATELLRSSKMSSSMNSDGSVMEILAKAYVIQYCLDLGYSLWVLDANVISLGTFDVDYCWSLETHIFSTYEHLNYNHDRPQTLKTELGNQSFLTTCK >cds.KYUSt_chr2.38216 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236579036:236583100:-1 gene:KYUSg_chr2.38216 transcript:KYUSt_chr2.38216 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEIPNADTADPNPNPTPVPAGSPSPPLPPRKRRLSLSPSPAPSPRRSPSPSRSPGGGRQTRSRSRSRSRSPARSRSRSRSRSPRQPDVKRRRQNDLTVEACRDYLRDRCTRSDLECKYAHPHQSISVDGENKVTACADSLRNNCFRGRTCRYYHPPPHIQETMLRSIGLDVPHLRTVCRDFARGRCSRSANECRFLHHSAVQETPIVCQDFLRGRCDRISCRYTHVMAQPMVPPPMRDIPMQMQYPEMKQRNACTFDNEVFVTLDRIRNEAALWAKAVAAGLRDIIPTTWDVH >cds.KYUSt_chr6.14569 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91378174:91380911:-1 gene:KYUSg_chr6.14569 transcript:KYUSt_chr6.14569 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRTFAGGAAAATSEEFLARERGTEEDFFALRFCRRWIVGRMHDGRYTRDGKRKRDQANWRVNRPLPSSPTSPSARRSPEASDHTRRVDPGGGAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELADELAERNHLFCAHPQTLLETIEAMDLNVLQPYTPGEAKPVVALINKFLGFPVS >cds.KYUSt_chr4.27888 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175244038:175248733:-1 gene:KYUSg_chr4.27888 transcript:KYUSt_chr4.27888 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQDREERRAAMAGRQNGNSKEKGKEVEGKKVGHQFCSVFSAKESGERLRIPPSFSQHLENLIGLVFLFGPSGNTWLAELASDTEGFFFENGWKGFVTDHSIEEGHILIFRHNGFSHFSVAIFDEMFIEKPSAFHAKPSYNLVATRESEEEDKQISVVPQEENNGAKNKRTAEIDASSSKLRKCSKGASAGYKSIIVNSTEAIVKFRREQAVISRRPPVTEEQKNYALQRAKQYKSKNPIALQIMKETYVYKTYFMIIPCEFVRDYLPHSDKKLTLLDQLGKTWEVSYVYFSERRVGAFSGGWGKFSLGNHLEEFDVCVFELFSEDNIKVHVYRANAMLTEYLVDSSNK >cds.KYUSt_chr4.26679 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167520290:167520829:-1 gene:KYUSg_chr4.26679 transcript:KYUSt_chr4.26679 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSSNESAPSPSRSNLQIMALHSSTPNSCDPSLLNVRLRLAGVIIVAFVVLSSIDEDAYIQNASLRHLCSTTSSSSSLWVPAARRENSSLFSVPSPSTSAAARVAAPSSSDTSSPRVATMPNCSSDTDNLPLPYVLVEGSEHRGCPASGSKVEAAFFFAGGGRLVAGVADHEIPLPR >cds.KYUSt_chr5.17245 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111445190:111446382:-1 gene:KYUSg_chr5.17245 transcript:KYUSt_chr5.17245 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLMYDIMYTKARVVHTWYGLCIRFVSLLAIATTTVNLKDGYKRVDVAITCILLVGALLLEVTSGFITIGSTWTGYFLYSRRWNLLHPITASLRRLVVRAGIGQRWSETVRTVGQFNMLDFCTGDSYNLKKVPMRRAKISPQLKDLLLNELLRIADRHDGAEEGMYSLNQNTDLPLFEAEFDTRIVRWQIATDVILCSINEDGEEDYQVAIKNLSDYMMFLLKGWGTRRLLEAIFGIWVEMLCYAGRHCSRDCHARKLSSGGEFLTVVWLLTAHLGIYEYAKFREPPRVYHNTHDLLRIDPVTVNLS >cds.KYUSt_chr4.1708 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9083393:9083674:1 gene:KYUSg_chr4.1708 transcript:KYUSt_chr4.1708 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKPVYSAAHPLAGEKVPLTVFDRAASDKFVSAVRVYPAPAPSNDALKEGLLRAVALYSHFAGRLAVDGPRPASGVASVTRRRHTGEGRQEM >cds.KYUSt_chr3.28534 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178078432:178083629:1 gene:KYUSg_chr3.28534 transcript:KYUSt_chr3.28534 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWSSMPGIEDEAPPQYFYGDHATSVLNSQCVSVPHEGRSLEFDLQRRAGYLGDVGLFNRERCVGLKDEEDEDHDLRQALGSSSSHDGRFHIKRFTSFAATDKQEPIASPSSDTTVLEDTERSTADSSTSGCSYSNDRGVGKPGFISFHGGSSQTVAVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRKVLAAVFEDSLLTDFLILFFTEALFYGGVGIFVLLIDKVWRPLQQVAPKSYIWSKARFFRISSVTTMVLSLIIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQHARHRKSPSWPVIPIIFKVYRLHQLNRAAQLVTALTFSVRATEATKQNLAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >cds.KYUSt_chr3.32537 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204486435:204486900:1 gene:KYUSg_chr3.32537 transcript:KYUSt_chr3.32537 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLSGFFILLTLAMVAVASASATAIGTEGACVGDIFALIPKCILYVIGPPGTKTKPSQACCDTWRKVDIPCLCSKVDADVESIIDMEEVVYVADYCKRPLTPGSKCGTYTVPSAGG >cds.KYUSt_chr5.18271 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118023917:118024972:1 gene:KYUSg_chr5.18271 transcript:KYUSt_chr5.18271 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCRSSSVSRVVCAPHFSKHHLLTAIVNFQLLKAMETSQNKAPFFFNFLREGLLLPSRNWRLFASVCALILASTTLIDLAYKPFANETKLDVKAFNTTEPGTPSPDFAKILLKEIQNGTKDLLLASAGYNLFPFVVRSAVRIVVLFAAVFTYPGQERTITTFGALIGQAKEQIKGPLLTVAFVYVLETVLYIMFVATTSLLILPMVSPYFVTSLPLLFPFVLLAVALLLFFAALVCLQYFNFLGSFSVVLAVAEPGCYGVAALVKAWRLVQGKKRQVALYLAVTCGLAAAVSPVRMLATTFTGNSVALGLLLGFACTLLMALVQLFSDCAMTAFYCECRDKGANGYAKLL >cds.KYUSt_chr1.28662 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173241197:173246859:1 gene:KYUSg_chr1.28662 transcript:KYUSt_chr1.28662 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAALVVFFAVAAAAAPASAPRRILVDTDMDTDDLLALLYILKQDRSEFDVKAITINANAWIDAGHGVNQLYDILYMMGRDDVAVGVGGDGGISDDGEIQPDVGGYLPLIDQGMSTAGGCRYRQAIPPGRRGGRLDADTNSGVWRGFLPRGPRRYEPLRQPTAQQVMVDTLSAGPTTLLLLGTLTNAALLLMARPDLRRNVEHIYVSGGSVRAPGNLFTAYNANPFAEFNVFGDPFAAYQVLHSGVPVTLVPLDATNTIPVTEEFFAEFGRRWQSTPEARYCFQSLDKVLRRHRRPGPDLHGSTGYYLWDSFAAGVAFSAMRNGEANGGNEFAELQYMNITVITSNEPYGAHDGSNPFFDGRVIPKFGLKDGGAHSGHVQTGIRDSFCLVPGSNNGRCEDGYTKEVSGSEGVRVRVATSATPNTDNSSTLDREFFKSFLEVLNLPEQAGRFNISTQFPYYREVLYKPDFMNVNKGKPVIFDMDMSPGDFVSLIYLLKAPREVIDLKGVLVNGNGWANIASIDTVYDILHMMGRDDIPVGLGNTTALGTPTLGCTNAYAVPLGSGGFIDSDTLYGLARSLPRSPRRYTPQSSDDPEHRQPLAFEVWQSVKKQLGPGDKITLLTSGPLTNLANISLSDRDASSVIERVYVVGGLIITGGGHEKGNVFTVPSNKYAEFNIFLDPLAAKTVIESNLNITLIPLTPQTKAASFGAVLEALEQTQQTPESMFVHRMFSLLNQLQSKQKLYHHVDIFLGEVLGAVYMVQGSELKSSVKLKPISVIANATKSTDGQIVISKQSTKLVTELSDFNAEIYYNRLANSLANKKQSAVIGSFEEQMAIWSRPPNNSGPGHNKFL >cds.KYUSt_chr7.35158 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219638534:219643722:-1 gene:KYUSg_chr7.35158 transcript:KYUSt_chr7.35158 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDRLIFANLGHGGVCMHKFPPEFPMSHDLGLTMVTHLGRLLGSSLQHRSQICSSGNVKVKEAFICLRKVARAFCFWFSRAYGPKSFSHIKQVTSHMHNLVRLQFGSLKEEHAVQMFLARLAQATLGRLWNDIEQKHASNLLTIAGFATIVPPFENISPKMLAESMAFGNIDGYFNRPADQPCLDGKRLSCCAVAVPSTIFQEDAVEPKTGIKFPAFLEDEASPATAVLVGVGFKGMKIMRVKNLNLYAFGLYMQPQSICEKLGPKYASVPTTKLKDDPDFYNDLLRENLHIRVRLVVNYNGLSIGAVRDVFEKSLGLRLQKMNPNTDYRCLKTFGSYFTEDIPIPAGTKIDFCQTSDGQLITESKSVLFRAKTFAEERCFEFLLRIDDPLGIKRLPDKFAEFVDGVELA >cds.KYUSt_chr1.8249 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50800257:50802718:-1 gene:KYUSg_chr1.8249 transcript:KYUSt_chr1.8249 gene_biotype:protein_coding transcript_biotype:protein_coding MASCCCWQKKPCPIIATLVSFLLIPILLSSSASATGGGGNGSTTAQVLLRSGEEQLRFQQMKAQLARVREASVKTIQSPDGDVIDCVPSHLQPAFDHPKLRGQKPADEPAARPGNGDAELVGADDEALPQTWRSSGEWCPEGTIPVRRTTEGDLLRASSVGRFGMKPQTQSAAVRRDSTSNGHEHAVGYVTGGQFYGAKASLNVWPAQVSSPAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNSPRFFTYWTNDAYQETGCYNLHCAGFVQTNSRVAIGAAISPVSAYGGHQFDITLLIWKDPKQGHWWLQLGPSGALVGYWPSSLFTHLGSRGRGGADMVQFGGEAVNTRPSGSHTPTQMGSGRFPGEGYGRAAYFRNVQVVDWDNNLIPAAGLRLLADHPGCYDIAGGQGGEWGSYFYYGGPGRNVRCP >cds.KYUSt_chr6.111 pep primary_assembly:MPB_Lper_Kyuss_1697:6:670638:671909:1 gene:KYUSg_chr6.111 transcript:KYUSt_chr6.111 gene_biotype:protein_coding transcript_biotype:protein_coding MEKITAQPLAPSLSASASSSPATVGALLNNAAAVAATGAAPRECRYPRSLLSRFLGRGSGRFGCRMRLPRYCATRAGAVAKEDAGDEVAAPNVVASNPAEAQESPRGSLQRKKAAEPEVSAASLGLGAGLVLLLSKGAAELSRMAELRAQMERLVMDARAAEARGGGNRSVDDGASVVKERIFFADAGDEDASSSHGSRSAAASAGENAASAMDQMEAELEAELTRLQLSSDYEGDECATPKRDHQLESEPKSDVSSESGSPARIDQDGVVNYAAGECKEDDVADIEEEEEAESSPCHFGVPALVLERRLHELLQSRHEERIAELETELQRAQRKLREKEREVSRWRDTAKLASRHKDESRLR >cds.KYUSt_chr3.22779 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140886163:140890998:-1 gene:KYUSg_chr3.22779 transcript:KYUSt_chr3.22779 gene_biotype:protein_coding transcript_biotype:protein_coding MPVATRSQASATRGGDRPWSSSAAPRAPAGHDGGAPRRPPLLANAHHDLKEKLRDLNLIYEQQVASSQGAAALRRSRSIPPASASVAEGRADEQVERHRRGHAFEEAAVLRENIAPPEARAPSKNDNVVVFSRPKEKENVPHAANFMSCPAKKPMPALPAPAARKLSLGGGVGAKLRAIGETGAGNADAMGSRILVFVRLRPMSRKEKEAGSKTCVKIVNKKDVYLTELASENDYLRLKRVRGRHFCFDASFPDSTTQAQVYNTSTADLVEGVLQGRNGTVFCYGATGAGKTYTMLGTIENPGVMVLAIKDLFLKVRQRSHDGSHTIQLSYLEVYNETVRDLLSPGRPLHLREDKQQGTVAAGLTQYRAYSTDEVMKWLQQGNQNRTTEPTRVNETSSRSHAILQVVVEYRYMDGPSVVTRVGKLSLIDLAGSERALATDQRSQRSLEGANINRSLLALSSCINALVEGKKHVPYRNSKLTQLLKDSLGGSCNTVMIANISPSNLSFGETQNTLHWADRAKEIKTKAVTAGNEDIFKASDSDTEQAKLVLELQKENSELRQQVVKQQQKLLTVQAQSLAPNTTPQQSAAPSPHVSTPCSTQRKAKRSILDGSCFSTPNAKRPADNAQVRDLQRKVKTLEAEIEKMKKEHYLQLKQKDEFIRGLINRKNPNDPEAATAERRVITRASIRKAHKDAAAAGELKSPSHRFTSPTPTAKKRTFWDFGGDSPSVLAVNGRKTRSHVAAETPPRAPSMLLQCWKVQLQPLVLTQTMRQRWRLADTTSHLTSGRAWAFSRSHGNVIRA >cds.KYUSt_chr2.53853 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336133717:336141781:-1 gene:KYUSg_chr2.53853 transcript:KYUSt_chr2.53853 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRQGYSHSPGLRTGDSKGLMPGLSFKWQAQINTQIKQSDAREWLEESKRLCSIRITNNVLNHVYNGSLEDCSVRERMATNSVAKRHGSVELAKNHVMSPTASAASAVTSAVSDEIEPLNRSIRSEVGIRWPNGSNIDASLAEISDAKQTLQFDGKARDGYGEVEHECTAKTTVNDDIKPLNMPSGSQVEIQSPNGSKIDASLPKIRKVKKSPRSASADKPVDGHNDAENECTDKTMVQPSPAKAPLSEEAKEARKALATIYDKVLVVDTVESARSVVQLLTTKYKSFIHACDTEVANIDVKQETPVGHGDITCFSIYSASSDAEADFGNGKTCIWVDVLDGEKDVLMEFVPFFEDPLIRKVWHNYSFDNHVIENYGIKVAGFHADTMHLARLWDSSRRLDGGYSLEGLTNDNRVMGVVPKELQKIGKRSMKTIFGRKKIKKDGTEGKITTMESVETLQREDRELWISYSSLDSMSTLRLYESLKSKLEKKHWTFDGCPRGSLYDFYEEYWRPFGAILVKMETAGMLVDRAYLAEIEKVAVAQRKVAADKFQKWASKHCPDAKYMNVNSDTQIRQLFFGGIENRRTPGDFLPKSRTLKVPNDDNTVTTGKKAPKYRTIELFSIVEDLEPEIFTASGWPSVSGDALRNLAGKLKTDLAYTMDVTEDDEYVSDSENFADDVEDISAYGTAYKAFGGGNKGKEACYAIAALCEICSIDSLISNFILPLQGNRISCKEGRIHCSLNINTETGRLSARAPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLANCRSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEERVLLEWDPQPGQEKPPVPMLKDAFGAERRKAKMLNFSIAYGKTANGLARDWKVKLKEAHDTLDLWYRDRQEVLEWQTKQKELAHEKSEVYTLLGRSRRFPNMAYLANNQKKHIERAAINAPVQGSAADVAMCAMLEIERNNRLKELGWTLLLQVHDEVILEGPSESAEVAKAIVVECMSKPFYGTNILTVDLAVDAKCAQNWYAAK >cds.KYUSt_chr7.12709 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78278481:78281744:-1 gene:KYUSg_chr7.12709 transcript:KYUSt_chr7.12709 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAAPSLRSPHQGSDPPPPLVLLFPQGTDPPPPLHPSDPPVNSSYPAASIPCVEQPVSDEVFEEKGTSPVQKKEKDGSHIWEKKRRKKPDGKKLKQVVKGNSRDTVVQNLLAKKANLMRFRDCSRFICLDIELSTGDDVYNA >cds.KYUSt_chr5.961 pep primary_assembly:MPB_Lper_Kyuss_1697:5:6625752:6629948:1 gene:KYUSg_chr5.961 transcript:KYUSt_chr5.961 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAAAGGGSGGIRALTRRKQVDSDRARAAGGQQLAKELSVTQLIAIGVGSTVGAGVYVLVGTVAREHSGPALTLSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGPNSLPWILARHELPWLDVVVDPCAAALVLLVTGLLCVGIKESTYVQGIVTILNCCVMLFVIIAGSYIGFQTGWVGYKVSGGFLPYGVNGMLSGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGTALSICCTLYMLVSVVIVGLVPYFAMDPDTPISSAFARHGMQWAVYLVTSGAVLALCSTLMGSILPQPRILMAMARDGLLPPFFADVSEKTQVPVKSTIITGICAASLSFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPSSLQASFRLSQECDEEKARDENREQGTSEIKDVIVVESISDPLIEKQLYASKLDEVKRRKTAACSIASVCAGVLILTSSASVTFLPFLAMCFLCIFGGLLLLAGLGMLCWIDQDDGRHSFGHSGGFICPFVPMLPVMCILINTYLLINLGAGTWLRVGVWLVMGVFVYIFYGRTHSSLTDVVYVPTAQADEIYASSSSGFVA >cds.KYUSt_chr5.40759 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257326811:257331096:1 gene:KYUSg_chr5.40759 transcript:KYUSt_chr5.40759 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSRLERQEAVSRCKARRRYTKHLVQARRDMAAAHALYLRSLRATGAALLQFATAESDNPHPRPLRHQPPPSPPPPPPPPPPPPPPPLSPARTATSWTTTTSSSTISASQILPPPPPPPPPPPPPMPSSWDFWDPFAPSSSRSPADHADWDDESATPTPLPAAAANSPRATAPAPPPVTATAARAQAPPPAPSVVTTTTSTASDLTVVALPRAGGANGAAGAGNKDLAEIATELDEYFLKAADAGARVAALLEAPICELPGANNSLPGKTNLLPPGRVLSYGKNLKPMGWSWSGGGGGYGKGSNNGFSRFDRGDDGGGSGILSHSSTVERLYAWEKKLFLDVKSYEGLKQEHDKKVGLLRKQEVRGVDYLKMEKNRMEMESLESKMLVATQSIDTTTSEIIRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHMVQQLEYLTNSLSTNPTSNDHRQAALQLEIEVDRWYSAFCSLVKSQRDYVYSLTGWLRLSLFQCHHNPLVKNIQNSDIYALCEEWQLAIDRIPDKVASEGIKTLLSVIHAVVVQQVEEQKQKKRSDAAFKEFEKKTEELRSLESKYGPYSAEGYGEMTRKTPVSEKRVKVEALRSRADDEKSKYQKSVGVTRAMTLNNLQTGFPNVFQAMTGFASVCMEAFESVYNFKRSSDRPPLDMKRLLT >cds.KYUSt_chr2.37763 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233745525:233745845:-1 gene:KYUSg_chr2.37763 transcript:KYUSt_chr2.37763 gene_biotype:protein_coding transcript_biotype:protein_coding MCTYNQASGQADLPYPAGTTARGGHGPPEKGSGRTAGSPHPGLKGSGKKRGSHPEARRRVTAPAEHDEASRAPGVGINGRTPKAETRKAVGPRRSQGLARGDPRPS >cds.KYUSt_chr5.30990 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196169417:196170391:-1 gene:KYUSg_chr5.30990 transcript:KYUSt_chr5.30990 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAADQPRSSRRYALLLAARDSEYVLKAYGGYFNVFVSAFGCGYEGDAGEESWDLFRAVDGELPTEKDLDGYDGFVISGSPYDAYADERWILRLCLLVQDLVAARKRLLGICFGHQVICRALGGRVGKARVGGWDIGIREVTMAATLPPCRFLDALQDLPPNAKITECHQDEVWEAPLGAEVLASSDKTGVEMFCVGDHVLGIQGHPEYTADILLSLVDRLSSAQSITVSSLSQSCADTVNCVLPELRSMCHFARRMQVSFAEGVKRQLEATGPDREFWLTLCKSFLKTQELP >cds.KYUSt_scaffold_6468.125 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:578620:579272:1 gene:KYUSg_scaffold_6468.125 transcript:KYUSt_scaffold_6468.125 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKPLFAVLLLAAALLMVVDAQQATDDSSSVMGGGQRSLLGETKIDCTSACEARCGRNWKNKMCNKMCNICCGKCNCVPSGTGQDTRGQCPCYANMVNSKNGKPKCP >cds.KYUSt_chr2.43818 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272820185:272821447:1 gene:KYUSg_chr2.43818 transcript:KYUSt_chr2.43818 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSEEFSSLAKVEEVEDVHPLFVGPTSEVALYSAAGSVLKVEEVEKLFYLAVERGVKNEEAQKAIFLAVQSGMNANEVLSLAVRTGLKSEEEVHALLLQQLLASFDRRRKDSELFEWEHPPVIDRKKQDPRCPFFLFKMKASAKNAQVSWRQKKHARFPPERAGYTNALRVARLAAGPDGFHLEQAGEGGQPGAISGHADDKYMGRRRRKDGILECSSVQLREVAVIKRLPRGDGISIMSYVRGLGVKVHDILFMLHCYSITVAMTFTERWFYVSSRFTEEPLYARDGLDFIDITVTLENLIKKLYQMYEQEEQEKKIMREKQDHHETETLTRQQKELMLHQREEEERKKLEDLQKRRADSKKQKCQRKELKEAAHRMNEGTEEQHGHCEDTDDASFCSPIFQLQEESDWVEPSEEEL >cds.KYUSt_chr2.49340 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308740597:308745072:1 gene:KYUSg_chr2.49340 transcript:KYUSt_chr2.49340 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLAQDQVEEWKGYYINYKLMKKMLKQYVQQTQIGGKDCEQILKEFSRILDDQIERIVLFLLQQQGHLASRIEELGAQRSAIARQVDTSRVFQLREDYREVGRDLVKLLRFVDMNATGLRKILKKFDKRFGYKFTDYYVTTRANHPYSQLQQVFKQVGIVAVAGALSRNLAYLEDEHRGSFLSIYDNPSVVLKDPIIDQVNNAVQKLTHATNFMQYLGQHALIVQDDTTSESEDTVNDESYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAAPTVCGIIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFSGNLLYALAYDLNSLTVLLIGRILCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPGLAGFLQTKFTIYSLTFNQSTLPGWLMSISWLLYLVWLWFSFKEPEHFAKAAASAAASESSKSQLADHIGHQESANLEEGLAQPLLIAEDRMDENSEDNDDTTDSQKSHEPATSFVSAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFNWDTSAVAIFLAILGLTVLPVNALVGSYVTNWFEDRQILLASEIMVLIGIIMSFRYTPHYSIPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADATITGAGYLGTDMLLNVTLLPPLVISIVSIVATFCTYNTLF >cds.KYUSt_chr6.12504 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78121951:78125856:-1 gene:KYUSg_chr6.12504 transcript:KYUSt_chr6.12504 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAPSASADGRSRPSVSAGAGRRSRPRASAGTRSDGPRRRHLQGRTLQAARICRVGASLEAARVCRGGAALQAVRVSRCWQRPSGQAGKRAGWPSSSVGLNRKAFRLGKFVQDVNTLRVGRSPLLPPPLVLHAYGGEGVYYFLEQFVWLAKADLLPAHLLPRLHLRPPRSSRGEELLPVVAEEQG >cds.KYUSt_chr5.34214 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217039316:217039852:-1 gene:KYUSg_chr5.34214 transcript:KYUSt_chr5.34214 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAMNDSHRRGDDGRRDEDRRDRRRDVDHRRIDGTLEQRLPSGTFSRVDALPGPPRHHVAVLLGPSSASTRAAAGLLTAPRLPAHSMPWRRRWLRHAFAARRWSRLCTQPSALRSSLVCCRHGRNRAVLAAHRLAVPSACPCNPASPRSLPAPPAATKNPSVRSVRSGNPVHAGAFL >cds.KYUSt_chr5.33856 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215045527:215047070:1 gene:KYUSg_chr5.33856 transcript:KYUSt_chr5.33856 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGDGIMDFRSNNNGGSSSDRRPAADGSTPLTRQGSVYSLTFEEFQSTLGGGAGGAGGSGLGKDFSSMNMDELLRSIWTAEESQAMASASASAAGPGQDAGASSLQRQGSLTLPRTLSAKTVDEVWRNLVRDDTLPGVAADGAEPQPHRQATLGEMTLEEFLVKAGVVREIPTAPAPLPPPPMQQPRPVPVAPKAVNSFYGNFPGANDAGAVPLGFPPVAMGDLGLGNGLMPRAVGMGGGGALPVQTAVNPADSGSKGSEDLSSPSEPMPYSFEGIVRGRRTGGGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKDLNEELVRKQVLGMGPYS >cds.KYUSt_chr2.38956 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241522556:241526785:-1 gene:KYUSg_chr2.38956 transcript:KYUSt_chr2.38956 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLPFATSIRARDLDPRWLSTTGTSLHTCDYTISLHDQPQRILHLISVMEDVAANVCEAFITYGVGDASTLNSRLVDAPIRLKTPALEMNMPHQGVISGKSIAPGFPPRPREALLLPARSPLHELNVPYEAMFEEYTTPAADVLFPPIPRYGVADRKDTLNNTRRRREEKEASPFVQPPSPWMNERPLGVDVNVVELLYKRSCVEWIRVEMKTNPDAEPNALIPLVVMLVITCETYVVYEQYDQVARAVGQNGGSSMWKVF >cds.KYUSt_chr3.2177 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12599711:12601346:1 gene:KYUSg_chr3.2177 transcript:KYUSt_chr3.2177 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLDGCDGLENVGVPSRLPASLRCFSFDGYGPASQRTLTIELPPKHFRPSTAEDEKDIMTSKISLEGCTELDNLFVRGLNNLVELDLSGTAIKILDFKTMVVQVPGLKRLFLIGCKHLRAIISLPVTSAELICIDTRDGIMRPRPSIDTKKFFRLKLHVVVVDARIAYSFKQLLLPPHLLRVPAEDIYFKIHITSSPRVYDGVVQFEETNNEKFDHYDQGSLQQLIPAGKYIDVLSMVEDPPMQAFPQPPATELDRHMEIAEGSCYVESGLERELGLLMEIVESLHLHDVSIHATIRNDICRWSYLRWCRVERCPKLDTIFPSRSYGFSTLQTLWASDLLMAHQIWSKGSPYSSFENLQHLHLQSCPRLQFMIPVWVYPFPCLETLHIIHCGDLVHVFELDREYVKEIITDGLLFPRLKTIHLHDLPKLRQISKVKTVAPALESIRIRGCWGLRTLPSVGGRALGERKPTVEIEKDVWDALEWDAGDRPDHFEVPVHSRYYKKKLPRVSFLR >cds.KYUSt_chr2.38020 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235288398:235289647:1 gene:KYUSg_chr2.38020 transcript:KYUSt_chr2.38020 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVGSVGCPRRGPPLKLARRTQHPPPPPPLTRRAVSAASLLLTAVPFLASSPQLPVASASETDAEAVGGGGSAAVLELERYTDQDQGFTLLKPASWPKVEKAGATALFQQEGKGSNNIGVVVNPVRLSSLTDFGTPQFVADRLLQAEKKKESTKSAEVISTGERSGHDGLTVYEIEYSLDSTRGGMKRIFTAAFVASRKLYLLNVAHSDTEEKPLDKQTRLVLEEVLHSFDTV >cds.KYUSt_chr3.24841 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154146918:154150274:-1 gene:KYUSg_chr3.24841 transcript:KYUSt_chr3.24841 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSGRVPEEISRIPRDGIGGGGVSGVARNASASGTTLINARLCHAARSHGIDRALGLCTGGGSAGAPSAVVGPTAAAGIVTSSAAHAVAAQVPAAVFLASSSIRDEGASPVAAAGEVPCSVLPSARFASALISSADKPVTATVFSSAIFTSATLAAAFACVFDDATVA >cds.KYUSt_chr6.28904 pep primary_assembly:MPB_Lper_Kyuss_1697:6:183170849:183173794:1 gene:KYUSg_chr6.28904 transcript:KYUSt_chr6.28904 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRGMAPLLALLLLGLVAATTAQQEGNVAEQFAASLATRFDAPPSWPFPNPRLRAAYAALQAWKQTAIFSDPANFTANWLGPNVCAYTGVYCAADPSAYGLLTVAGIDLNHADIAGFLPAFLPLGLPDLALLHLNSNRFCGIIPDTFKRLRLLHELDLSNNRFVGAFPEVVLTLPSLKYLDLRFNDFEGAIPPALFDRPLDAIFLNSNRLRNPIPANLGNSPASVVVLAHNKLGGCIPPSIGNMADTLNEIVLIDDQLVGCVPPQVGLLKKVTVFDVSDNRLQGAIPAAVGGMAAVEELDFAGNMFEGAVPAGVCGLAGLKNFTYTNNFIVSRPGCAQATADGAWNCIPGAPAQRPPAQCAYAAAHPFDCSKSQCQAGAAYTPSPTPTPTPTPGGGGHSGGGQPSTSPSYPSPPSSGTTPSSHSPPKGTPTYPSPPSSDTTPSSHSPPKGTPSYPSPPSSEHADDSITTAGRLDHAVIPDAAIKLQHPVLPLAAAEHADSTVLPVTTVWLDDTSRRPRAASPDLVQQAGRAVRAAAGLIRPQPFNAPVVRLAATFLRQPPDAFAAHKVPGLRGAGDPNHDASIIHAPRHPVVAIRNTNNAVLARALRAAIARRRYRWAPNAHAVQRAPDAHAIRRKPAVPTGLRRIMQLGDGAAVNGTSTTTTNPYPPSCTCRGEEEDQDDVHAWGPTWTGHHAWTATRQEVEGETYVSLSAPIDGIHTGGDEEEGDKSD >cds.KYUSt_chr6.11676 pep primary_assembly:MPB_Lper_Kyuss_1697:6:72642272:72644351:-1 gene:KYUSg_chr6.11676 transcript:KYUSt_chr6.11676 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELLLLLLLVLVTPIGLLAALAFLARPRAARVPLRGRHVFITGGSSGIGLALATAAAREGARVSILARDAARLEAARAAIRAATGQDVAVHAADVRDAAAVARALGEAGAVDVLVCNHGVFVAKELEEQDMEEVRWMVDINLIGTFHLVKAALPAMKARTRETGLPASIAIMSSQAGQVGVYGYTAYSASKFALTGLGESLQHEVISDNIHVSLIFPPDTDTPGLVEEQKSRPEVTNIIAGSSAGMKADDVAKKALNGIKSGRFIVPCNFEGAMLAIATSGLTPQSSPLIAFLEVIGAGPMRFLGLCFQFNWFSIIENWYAKNKKHA >cds.KYUSt_chr2.32514 pep primary_assembly:MPB_Lper_Kyuss_1697:2:200567589:200568197:-1 gene:KYUSg_chr2.32514 transcript:KYUSt_chr2.32514 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEEEAFFIDDEEESSQCSSGCQSGWTLYLDHSGSGQQQQCCALPRHPADVRRQMLLQEEYSSDEEDSMVSDASSGPAHYVRDDKEELLQVQGQISKQRRSSFGVDPSGSRSLLPARSHSSGEAKLRKKRRTALQGADEAAIRCHVDVDDDDDLDDTASSSAIVASAHIVEAMRQQQPSCGFMAEHCSSLDLEWPAGLEL >cds.KYUSt_chr3.17850 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109520726:109524680:1 gene:KYUSg_chr3.17850 transcript:KYUSt_chr3.17850 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSCLFRCPEEDEEVAKEQDDEEESSRIDHRLASGYCVDGDQRLLVYEYMPLGSLEDHLHDLQPNQEPLDWMTRMKIAAGAAAGLEYLHDKANPPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTYGYCAPEYASTGQLTVKSDIYSFGVVFLELITGRRALDSNRPREEQDLVSWARPLFKDQRKFPKMADPLLRGRFPKRGLYQALAIAAMCLQEKARNRPLIREVASALSYLSSQTYDRHDASARSHLVGPSSPRVQDNQVNQDVALPSRHGAQTSTHANTNDLVPEAREHCWSSSHRASRGRVVPNGVDRERALADANVWAEAWRRHEKASEMRVTDKILG >cds.KYUSt_chr3.38185 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240333309:240335449:-1 gene:KYUSg_chr3.38185 transcript:KYUSt_chr3.38185 gene_biotype:protein_coding transcript_biotype:protein_coding MECENDHFAANGDGLCMAQPARADPLNWGKAADDLSGSHLDAVKQMVEEYRRPVVTMEGASLTIAMVAAVAASADTRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVATAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILGVLAEIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGLKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVRGAVKNCVKMVARKTLSTNGNGHLHNARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERDVQTSVFAKLAAFEQELRAVLPREVESARCAVENGTAAQHNRITECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFVAMNKGKHIDALLECLKEWNGEPLPIC >cds.KYUSt_chr4.6486 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38214522:38226715:-1 gene:KYUSg_chr4.6486 transcript:KYUSt_chr4.6486 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKQRVRVRPGAGAGGGEAAAEGDAAAGGAAGGHSPSTVFVSNLPYTYKSADLETVFSEVGPVRRCFMVASKGSETSRGFGFVQFATVQDAERAIKEKNGFAVAGRKIRVKLAIQRAPLKERLHKRETVQAEDSNAKDEEDDNSTPAEHKETSHKTDTGPPQLLAKDTKVAKEDTKVAKEVSIKPADKVKSSEKQRIAKTVIFGGLQDFSTASEVFRLAGEIGTVVSVNYPLPKEERELHGLARDGCTSDAAAVLFASVKSAWDSVVLLHRKEVKGATVWARQLGGEGSKIRKWRVIVRNLPFKITIKEIMDVFSSEGFVWDVSIPQKSDDGKSKGFAFVSFTRKLDAENAIKNVNGKVIAKRTVAVDWAVPKNVYAVAAKSDVKDDELADVSDKGSDEETSDDDLVGGDDSDDGDDQETSNPLREDDFKREADISRKVLENLIKSTEKAEPSAVEVSDIDTDSEAESDTSEKKRPHSPAAVKSAESKHVTEAESTIPSSKPLTVKLVESEHVSEAEDTVPASKPKKEDSGLDRTIFISNLPFGINNEEVTQRFSVFGKVQSFVPVLHKLTKRPIGTGFLKFSTAEAADAAVSAANAAPGLGIFIKSRALNVKKALDKESAHKKELEKGKNEIEDRRNLYLSKEGEILPGTPAAEGVSDVDMNKRNWLAKRKAEMLVSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRATKQNPVIRKVNILNNEKKGKGAAQKHSRGVAFVDFQEHEHALVALRVLNNNPGTFGTERRPIVEFALEDVEKMRLQRIRNERNERAKEAAQDRRRTLGDQSATDGPPPNKKRPFGKGRKQESHDVPSKSPESGSSPPDVMYSADPKSMGSTQGDKRQPQRPAKRARHSKKGAVVSEGNQADAAPNAVATKKLSAAHEQADARRKRRNTNDGQVEQKRGKAAKRAKKEPGVQGGVDKSLAEQYRSKFLQHGVNKAKES >cds.KYUSt_chr4.37875 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233546234:233548993:-1 gene:KYUSg_chr4.37875 transcript:KYUSt_chr4.37875 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTRSTAAFLLSHLRARTPNPIHHLLPHGASMPSLLGPAHALPAAARSFPLLEPTRWFSSPAPVVEAPRTADGLTVDSIADKGWTILAEAESDWRSHAAAVAQSIKLIKKRLKWKWLLERSKQLAVVLERPDLWEDSVFAGKVSREQGEIMGKIKSVNQFEQQLMEHIDMLRLAREEGDNELETESMRALANMRIDAKEKELNALLSGDHDPYPCFIEVQAGAGGVESMDWAAMIMNMYRSWAQRRGYTVTIIEEMPGELAGIKRATIKVDGEYAFGYAKSEIGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDASSRYQINESDLRIERFRSGGPGGQHANTTESAVRIVHIPTGTTATCQNERSQHMNRASAMAVLQSRLDQLEITRQAQMNAEHTQSLNEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDIDDFILNFLSLALDKADESV >cds.KYUSt_chr6.33300 pep primary_assembly:MPB_Lper_Kyuss_1697:6:209267798:209278231:1 gene:KYUSg_chr6.33300 transcript:KYUSt_chr6.33300 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRRGIEAALHAGEIRGIEAALHTVEALGKRGRPPCQEADDTGTLLHNFPAGCCTFFSKRVNVPQMVSVIYAKKEVYTSVICDPEGEGRLDEERAGVQDYSQLRPREFSYVKMYSCSDVMAKASFWCIAKLDNLNVGQVCLEEMIPVRKVQIGGASENMNWRFGILLIDTFETYQSHPPPEEPDVTSTEAPAKDVERKLKLSGATGTPRTHPQFFPVLTKVPLSQRHAELTKLMEEVWGNPETEQKDLAAFEDGVRNTRKLHDDMRVHVLEQKAEIEALHKRDAESQKEISVLETRLKNLEEERAKRPSIDELSAELEVLKPEHSSLQKFLKESSEKETKERREREENHAKELSELAEKLKTSNQRVKSLVSKSKAYETEAEKIDKMIFPCLGFEWPPESPLSRTEACEEARNSIDNLFEACRGIAESLSLKRARTTLIDRMTKLMRVVPELIRDWQESSARGATSIALAMCKAHFPTMSFASITRGVPKGTNIKAALAETKGYDTLFARRVDHSFWYKKNAPPPGFSDNEEDEDEEDPEEGSGSSAHRSDEDSGQGSGKDDSYQASEEDGQSSE >cds.KYUSt_chr3.21957 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135353139:135358643:-1 gene:KYUSg_chr3.21957 transcript:KYUSt_chr3.21957 gene_biotype:protein_coding transcript_biotype:protein_coding MYSWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQANEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCAKLGGATAEIMCDLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLSPYGHEELAVCEDVDQVRGVMEKYPPYQSIFAKISYGESQMLDKAFYEEEVRRLCLSFEQQFHYAVFFSYMRLREQEIRNLMWISECVAQNQKNRVHDSVVFIF >cds.KYUSt_chr2.48768 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305070065:305077037:1 gene:KYUSg_chr2.48768 transcript:KYUSt_chr2.48768 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSGRQEEGAAPPPWRPSGATLFRRFVGATATRGAPHAAHRAAGGGVAPRVSKLHGVKRKPFVVRLTADIIQTFERCHPEFKYSEALNPKRFLTHPSTPVHNNGVDNKYWDLILFVNQELLNETSNRRFIVKEMLGQGTFGQVVKCWDTETNDYVAVKVIKNQPAFYQQAIVEVSLLRTLNQKFDPDDQHNIVRMLDYLTFQNHLCIAFEMLGQNLYELLKRNHLRGLKVKYVQAFSKQILDAMIVMRDGGIIHCDLKPENILLAPTAKTAAAVKVIDFGSACMEGKTVYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELFIGLPLFPGASEYDVLKRMLKILGGQPPDDLLRGAKNTGRFFKPLGNINPGIRSHESPSTAYRMLSEEEVEGRGATRPILGKWYFPQQRLDQLIFTYPWDNTELSETEKSDRSVLVDFLRGLLEFDPNKRWSPFQASGHPFITGEPFTGPYKPVSETPRIPVAHAAAVDHNPGGGHWLAAGLSPADLSPQIGSANRCLPPNNPYHPRMPFSYGSSYGSFGSHGSYAGNAGPASSYGSYGDVNNVNMYYSPVGPSGFPHVGSSPDIRLRPRISYDRGIRLSPGGMGPMSLGTSPSQFTPPNYQMHIPANSTGKHGSGSPASGSIHGSPLGKAAPVGQYSNRKNMPMPPHEFASQHGQGRHGDGVSFSHSDAYIRGHAGYSQHSLPSSGHSSWRPQIASGGGFTLEASSSHGSSGAFHSHNVPPLPSFDIIPDTSGPSTQDPSDWDPDYSEESLLQEDNSLSADLTSSLHLRDATATEGINNSPHPHLEGALALLFQSSMVVTHPRIMLSKVSAVAMDINFFNRDTVRQPLTVTCDRQWGVIKVGSRHGLLPMAWVRECPGEEQVCIRLRQAVYPRPFQGKTMGA >cds.KYUSt_contig_1253.121 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:843547:845379:1 gene:KYUSg_contig_1253.121 transcript:KYUSt_contig_1253.121 gene_biotype:protein_coding transcript_biotype:protein_coding MREAEAEAASARRRTLVLVNLTSMLEKADEVLLPAVYREVGQALGVSVTALGSLTLCRALVQALSFPLAAYASARHDRARVVAVGAFLWAAATFLVAISRTYLQMAISRGLNGIGLALVIPAINSLVADYTDDHTRGAAFGWLQMTCNLGSILGGSFGVLLAPTTFLGVVDGWRLAFHIVAAISVALGFLMWFLAADPVAKSKNAATAKEEARELLHVARAVMAVPTFQVIVAQGIAGLIAWSGLNFATMWLELAGFTHWETSIITGLYLFATALGALFGGSIGDIVSRSFPDTGRIALAQISSASALPLGAILLLALPNDPSTGVAHAVVFFIMGFAISWNAASTNNPIFAEIVPEKARTTVYALDKCFESVLASFAPLVVGLLAENVFGYKPVSSESSVETDTENAAALAKAMYTELAVPMAICSLTYGLLYYTYPRDRDSVKRGLLMASDDQYSQEAATDSVSAVPTHEDEESNAGSLNQRLVSAGQ >cds.KYUSt_chr6.2783 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16344503:16350685:-1 gene:KYUSg_chr6.2783 transcript:KYUSt_chr6.2783 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLQLQDHNLEVSLIAQYEENMTKLTEYYRQRAKKHWATQGDMNTTFFHNAVQKRRRNRIVSIRNTHGNDLHDPNDIANEFVHYFKSIFRSSTTNNDRTSLNTTLLQDSEDFTNSVPDKQEIWGILKAMRKDASPGPDGFSVGFYTSAWSWIGDDITNVVRNFYATVNELSLTLQDALQAGHLTGVQDDQTTRSLCLRAWADVCIEKNIGGLGIRNLQAINQGLILSTAWRLAKEPHSQLAHILKAKYHHDTSIWRAKSNKPKSAFWSAILKTPEEKQNNNEALGELCTTGPNPPLAGDTEAPREQETETTTPEVEEAPSPSGFVNHEKKHRRCPHIAVARGSGIYPELTFNLQIQPLPPQEARSSTRSAADGPHPQHGPRHPPAHRQPQQTSGRSSCTPSPFFPTTVETTAPRRGREGGTKVHSKVAAAPTTSPRHFIRRRRHQRHRRKLSYLA >cds.KYUSt_chr4.24550 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154523224:154526011:1 gene:KYUSg_chr4.24550 transcript:KYUSt_chr4.24550 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHQTTPPSIYRRTSGLRHGAPLLLRGWRGYPGYSACAWARPNSASTTLLPHTGAGFRLPLSSGNSRVSTRSRVNPCSCVTRERTTTTPTNIANLNVVTAWFLMAEQQKRMRTSSGGSTSFSTPSAIVKREAEVEQMVVIQAGIQAGAAAAPTDQQGSTQGDDSSKFMIVFVDRDRWLHCAACSGPLKTPVYKCGAAGHKVYCTCRGDDSPVAKSCCRACNGAAASFTLCSDDFQELIGSHRVACPYKAYGCRRLVSYLTVDEHRRACPDKPCSCFELAAGCDGGFVGSPRTLHDHLVGPLHWWPTRKFEYGKTQILDVLERRRLLVSEGEERVFVLAVAVDSTAGMTNVSLMCLRSSAAAATGPHYRARLWSHAPRDPVTGVEERLEAEFVVESRESPCEIAVREGTLLPLQPKFLRGASRKIVLYVRIDKLKPIV >cds.KYUSt_chr7.17768 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110044709:110051548:1 gene:KYUSg_chr7.17768 transcript:KYUSt_chr7.17768 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEDPAATATLEKFRLYETRARFYVIGSSREKRSFRVLKIDRSEPSELHLSEDPVWYSQQEVKSLLQRIAEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQVGCICGHAIYCIDESQMITIPHSTVQTDVANSKNELRYKKLLESVDLAKDFFYSYTYPIMQSLQQNVTSAGMKEMPYENLFVWNSFLTEPIRSRCNNALWNVALVHGHFKQVKLSIFGRDLNVILISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAVVQMRGSIPLFWSQEAGRLSPKPDIFVQRYDPTYEATKLHFEDLAQRYGQPIIILNLIKTVEKRPREMMLRREFSKAVEYLNQNVPEERKLRFIHWDFHKFAKSKSANVLGVLGGVASEALDLTGFYYSGKPKVQKRRSIRRTSTARDGSIDIRASSGDLPRLSSADVLGSTGSQDMRKNDSKQEPLGDAPCYQTGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDVSKIHPDSSIASALMEMYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDTDYYLHVTTAGDDSYHLSSAPGNNVSGGSGDAMSPRSTLSPVPACKDDFSRMKLTSFDKLIERTCSSLRDVRLHRDADLKPSGGVGTSGMAPDAAEIQLKTPNWLFGQRKHAEPAPTTKVIPVENVNDGNKDDMNASICGELNWLSSSADLCEEDNFRRYLAFTTADAENGWYSGTLLYDQDENSGAYKHYSELCQGPVMDPFEHDPEKERHYAEALSVDIAITDDAQVEAEMKAALDDYQIVGSDLCIIPSCGALAEDPSQLTRWIIGDEKLRVVSAVQ >cds.KYUSt_chr7.3168 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18809125:18817931:-1 gene:KYUSg_chr7.3168 transcript:KYUSt_chr7.3168 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPNTLPNLLLGESGLQLKEDEDKMVLSTTADTLRLHTVRCSLAVDVKPSSGSKVEIELVATNTGSNLALHWGALQPGRSEWVVPPAGMRLPEGTTRAPDDAALRTPFKNSGSNSTLKIEIDNPGLESIEFVIVDEAQNKWFKNNGQNFQVHVRPPAGHHHQGQQHSATAGSIGRKNRDVMQLLSKNASTNDQVNTAAEASSSKCTVLDLFIKSLQEKHGSQVLCKKVFKLGEKEILALMSQVQGSTKVHLATDHVEPLILHWALAQKPGEWKAPPPGIVPAGSTLLEMACESPFSDAELNGLHYQVLEIELDDDSYKGMPFVLRSNQTWIKNSTSDFYLDFSRRAAKSSEGTSDDSKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQAKDAGQLGLAGLLVWMRFMATRQLIWNKNYNVKPREISQAQDRFTDNLQSLYKMYPQHREMLRMIMYTVGRGGQGDVGQRIRDEILVIQRNNNCMGGMMEEWHQKLHNNTSPDDVVICQALMDYMNSGLDIKVYWDTLNKNGITKERLLSYDHPIHSEPNLKSEQKEGLLRDLTNYMRSLKAVHSGADLESAIGTCTGYTSESQGFMVGVEVNPVKGLPSGFPELLKFVLDHIEDKSVESLVEGLLEARAALRPLLLSSTERLKDLIFLDIALDSTVRTAIERSFENLNNASSEKLMYFISLVVENLALSTDDNENLLCCLKGWNHALEMSKCSDDQWALYAKAFLDRTRLALATKGEEYHDILQPSAEYLGSLLGIEQWTVNIFTEEIIRSGSAASLSLLLNRLDPVLRNVANLGSWQIISPVDVAGYVVVVDQLLTVQHKSYDKPTILVVKGVKGEEEIPDGVVAVLTPDMPDVLSHVSVRARNSKVLFATCFDPKILSELEQNEGKVLSVKPASVDISYREIPESELLVSSSTNTLDGQKAPSLSLAKKQFLGKYAISAEEFSDEMVGAKSRNIAYLNGKVPSWVSVPTSVALPFGTFETVLSDKINKEVAQKVKILTEKLDQGELGALSEIRNVLLNLTAPTYLVSELKEKMQGSGMPWPGDEGEQRWEQAWTAIKKVWASKWNERAYLSTRKVKLDHSNLSMAVLVQQIVSADYAFVIHTTNPSSGEHSEIYAEVVKGLGETLVGAYPGRAMSFVCSKNNLESPKVLGYPSKPIGLFIQKSIIFRSDSNGEDLEGYAGAGLYDSVPMDKEEQVVLDYTTDPLVTDCSFRTSTLSSIARAGYDIEQLYGGSPQDIEGVVKDGKIYVVQTRPQM >cds.KYUSt_chr5.8333 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52704050:52706474:1 gene:KYUSg_chr5.8333 transcript:KYUSt_chr5.8333 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVYGRKLPKIRGVLSMAQMLVLLIVLCPLVAIYVFGLLISTGISLWRLIKHDYGRNSVDEPNLKPALDTLYYLALVQGVLFCYRFLHTYTQMWVVKATMGFFEKDATIGKKELKIVSKYMGETRIACEKDPTSARGRNLITYGVDLIGSKSPDDCLSGVQMLYTAILMKERTLNEYRGIIALERKRSKNGMGSIHAQCLTIIGQQHLLLMKHLIVYAAPSSQVLQKLLEMLNPRGAYDREMRNQAARIVAYLALDIHLEQFPRVIQYISTLIGMTFEEYQLIGSYNLLNKYDQDQDQQASRLASPGNDPSNLRKDYEKLVLRGLCMLWKLATDKENCRVMSHAQGLLPRIMAPLTSDIIHQFSGGAWSISVVEGSLKVMRLLLASPGETGAKLHREMSNNKEAIGTMERILSCDSCCAKLQKQALGILIQLYTGNEKNQDRVAFIKMLLVDIFFSCDSKHRSIRKLAGEALVKLCIQGRSNTSIILQVNSGVVDTLTKILLLDDAENKTCRIRAAEILEHMCIHHTQDDDSRSKLKEAMTNTMPKVLAHILSRGPTKDQTHPKTEEDQVVVTEKEGDLENQFEHPQENTREKTSSSSHPQNNEDYDEDMQVEEEDEEHDEDENLHAPLLSLCVTICDTFISVDQDLTAQLNAISLPRKLKDMVAENSIPTVPCLRLMKLTCKMVISMMTHSGRYLKEDLESLTEALSSVAESMSLLDISMVFASEDDDAATKKKPVRSLGSLVKEAKESVDTYCNARESGNIEPLTSTHG >cds.KYUSt_chr5.4092 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26330070:26330924:-1 gene:KYUSg_chr5.4092 transcript:KYUSt_chr5.4092 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGEVAHFSHPQHRLRLEQLDTPFRCDGCKEVGIGARYRCPIPACRAAVGDHDLHRQCALPLSPPPPPLRHPFYPRCAFHFLARAPGAPGTRYCNACGRDVAGFVYHCRSCGFDLHPCCATLPHVLDAGSASGRVAVRLYLHPKAEAACHRCGHRGRSWTYRSHCKSYSLHVACVMDLVVESWSGVGRNKSVAGVGAAGGGKSVYDGVVVAGSGGYRVPVIRGAAKSSHASREGGWSSYWGMRKGKVKRCCEIAGFAGQVVISAVLGDPTALIAGVIGSLIAR >cds.KYUSt_chr1.36890 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225066799:225067386:1 gene:KYUSg_chr1.36890 transcript:KYUSt_chr1.36890 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTVSGIFVVHESASADKEAVYGLTPTTCLLVSDEVKSNLFPILSLMLDSTVITPFFGMKSWFLDEHSASMFKKAHGITFWEMADQDETYNQLINNAMVSDSNFLMDIILRECGDVFVGITSLIDVAGGHGGAARAIANAFPQIKCTVLDLPHVVADAPTDDHVPFISGDVRVHSTRECSFPEGTPFRLIFFP >cds.KYUSt_chr7.31715 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197555480:197561899:1 gene:KYUSg_chr7.31715 transcript:KYUSt_chr7.31715 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAREFQVPDTMADDEIARLGVLVSEVDRLVLPLLSCNAVTVVRRAPEPSSIASSPPPKLVAGAGHLLRARPATMVLLSSPPAPVTCRHLTVSEHRRPTSTSAIRRGFYRLQWGKDNKSSQLHTTHKRPSRLHPLYSPKSTGARNPTTMASAAAAAPDSGRAALLKAFDESRTGVRGLVESGVSTVPDLFVHPDPYASVPLAPPGVSIPVVDLSLPAPAAAAAAAAAARDWGFFYLVNHESLVPADYPAKVLTAVRAFHELPAPERATHYGRAMGGGVSYASNVDLFRSPAASWRDTIQLGFGPARPDTERIPPVCRSEILEWEAHTTAVARALMALLCEGLGLREAALEEASCLEGKVMVCHYYPVCPEPDRTMGLVPHTDPGVLTVLAQDGVGGLQVKHTNEDGESYWVDAKPVPGALVINVGDLLQIMSNDKYKSVEHRVVMNSCEEARVSVAVFFNPGKRGDSVFYGPLPELVFSENPPKYKSFTMSEFLGAFFKRDLASKALIEHFKFVTMVPSDYFIKSAKWRKVQMVQKSLRVDMPLSHLCILPGL >cds.KYUSt_chr4.52923 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328225470:328225832:-1 gene:KYUSg_chr4.52923 transcript:KYUSt_chr4.52923 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLVLFLAVNLALLANTGHACGPYCPTPTPPPTCSIDTLRLQVCANVLNLLKLNLPVPANEECCPLLSGLANLDASVCLCTALKAEILGIKLNVPVAFTLLLNQCRKTCPDNFTCST >cds.KYUSt_chr2.34142 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210991865:211009418:1 gene:KYUSg_chr2.34142 transcript:KYUSt_chr2.34142 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQLTFLYEGDGEMIVKVFDDTACRRHYHTGESGSDTDRGGGKARPLEFTPTWIVASVCSIIVIISLIFERLLHHLGKRLMRSRRKPLYETLLKVKEELMLLGFISLLLNVLQGPMGRWCVSPDIMRHLLPCKPPPRASRDTEHLGDAVFAGAMGGARRLLAGGDDSEDYCMEKGKVSLLSAEAIHELHIFIFVLAVTHFVLSAITVLLGIAQTRNWQHWETKIQEKDVDASQMIKHVQEFKFIQDHFRGHRKRWKTVGWMRSFFKQFYGSVTKEDYTAMRLGFIMKHCSGNPKFRFYNYMIRALEVDFRKVVGISWYLWAMLMILLVLNVQGWYVYIWISLVPFIILLVVGSKLEHIITELAYEVAQKNRPIQGDLVVAPSDDFFWFRQPKLVLLLIHIVLFQNAFEIAFFFWLLVTYGFKSCIMGKPAYAITRVVIRLLRNSEQGDVVKLLTLAMAGGAEGKPKPLEFTPTWIVASICSVIIVISLLFERFLHRLGKRLMRSRKKPLYEALLRVKEELMVLGFISLLLTVFQGAMGRLCVRRSVMRHLLPCKPPPLGAAAETAHFGDAVFTGVLGGARRLLAGGAISGDYCVNKKHCTPNFNFYNYMIRALEVDFKKVVGVSWYLWAMLMIFLLLNVQGWYVYIWISTAPFLVSYGFKSCIMGNPAYAIARIVISIVSQLLCGYVTLPLYAIVSHARAQHLRSALNDTKKRNMSAEKYFAKMKVLASELADVGKPLDDDELIWYMLHGLGEDYNNLKTAVRANPSTSLTDLYSQLQSFDQMHKLGDSVAEEFVSSANLARRAPDRPPVYGARQDDYRRDDYRDCRDDRRPDDYRDRRDDSRDRRDDQRPRHDDRRPRYDDRPKHRDDGPRDGPRDDGRRRDRVPTPFVDVECQICKKHGHPAEVCWWRFQRDRRNGGGRRDDQDNKGANLASYGVDTNWYSDTGATNHITSELSKLSTQEKYTGRDHVHTPDGNDVLDEQEVTEKDLSENPAENSSNGSSSVAAQNDKAGGRSQVDSPTQTAQTSDHAHDLPRRGRSRSLSPRRWSRSASPSRSARPSARHVASPDRSPSPARGGHTLGSASPADAGSPEVSADATTGSFSASNDDHNDSVSAGSSVDTHTAPNSLATSNPAPTTSIRPRTRLQHDICQPKRYTDGTIRYGLFAATGEPQTLGEALKDSRWCEAMSEEYNALIHNKTWHLVPSNPSKNIIDCKWVYRIKKHADGTIDRYKARLVAKGFKQRYGIDYEDTFSPVVKAATIRLVSRGWSLRQLDVKNAFLHGVLEEELWEENRPVPWLDANLPGGGWYLNSRRVPVLPVSREGQERRDEVRRRRAIVPPDLQEDPAYGLNSCNWISSGTWEFDARRRTAYLADVGYFEREIASEEEQNDQEDADEDGDEGDKDEDVTMAQYDHDDGGPGALGSMIQIDKREYLRQAVHIVI >cds.KYUSt_chr3.8436 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48821116:48823475:-1 gene:KYUSg_chr3.8436 transcript:KYUSt_chr3.8436 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMELLMPVAAMLAFDTLFALMTVLVKKALDGGLNPVVLIALRQFVAAVFLAPIAYFRERNARPKFTKEIFAYLFMSALLGALFAQYLFFLGLSYTTATLAAAVSNMTPVFTFLIAVPLRLETVDVRSKSGVAKIAGTLVSVGGATLLSLYKGAALTHTTSSAQEHITTSSSKGRWMLGSALLLLNCITFAFWMLLQGKLTKKYPAVISSTAFMALISSLQAGALALTTQRHLSVWLLRGTTQIATVLFAVRAALVIGGLYLLLWGKSKEASSASLLLAKGAEEDREKQPNLCAHEGHS >cds.KYUSt_chr2.54225 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338374396:338375792:1 gene:KYUSg_chr2.54225 transcript:KYUSt_chr2.54225 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEGASLEPAIAWLVQTILATLLVDKLDTWIRQAGFGDDIERLKSEIRRTGMAVSALKGRAIGNELLSQSLAFLKELLYDADDVVDELDYYRLQQQVQGVTRDEAQGIHGVERVDETSRGDADTQNSSVGKLRSMGENLCSESSGADCGNVCGCHFLLGGVVNGLIVSSPRQGETLSLVYWDSAATARHVVPFLEAPRGVPLWLARDGGLAGLRRDRVVVLCLCWPLLKM >cds.KYUSt_chr7.29960 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186464064:186466411:1 gene:KYUSg_chr7.29960 transcript:KYUSt_chr7.29960 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGALLLQQREQPWFLVLAILGAVYVAAAAFQVLAHIALLLRRPTDLRRRYGAWAVVTGPTSGIGRSVALELARLGLNLVLVGRDPAKLHDISETISATHDGVRTKTVVLDLALVTTPAGDAGLARLREAVSGLDVGVLVNNAGVAKPCAVYLHEVDVEAWVRMVRVNLWSLTEVTAAVLPGMVERGRGAVVNIGSGSTEAIPSFPLYTVYAASKRYVAQFSRSLYVEYRSKGIDVQCQAPLFVETKMTSVVARSGKRRGLMSRLMVPTSDAYAGAAARWIGHGPVCMPNLGHRLQWCLCSFVPDRLLDALRLRENLRQRALFQRLRSARINGGLPARKQG >cds.KYUSt_chr5.15800 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101964546:101965872:1 gene:KYUSg_chr5.15800 transcript:KYUSt_chr5.15800 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWVQNCVTDIEIHFLQIKFNAIGVYLDTAGVMEHLQGWKGKNQLLEDEAFFSALVSAPVEKVLRLVVIKEIKGSQYGVQLESSVRDRLVATDSYDDDEEEALEKVADFFQSKYFRPGSVVTFHFPATPTGAAAEISFATEGKEETKVAVENAGVAEMIQRWYLGGESAVSQSTVKSLAEHFAAVLSSP >cds.KYUSt_chr3.5298 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30081894:30082318:-1 gene:KYUSg_chr3.5298 transcript:KYUSt_chr3.5298 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLLHIQGGQCGNRIAAMNSIHLPACAKGRHWRVLHDLGATIPPSFGSRRRRIRSSPTRPPPDASSSAATVPGSSSTWLAPPARTSASAGSILDLNPKSQVASVLSHPCLRG >cds.KYUSt_chr5.1094 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7559584:7563341:1 gene:KYUSg_chr5.1094 transcript:KYUSt_chr5.1094 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPWPPAARKFSVRLVVRRAEGLQDADADARLAVEVTWKGPKARWKGIRVCRNRTRLESPAAAAADAPSSSSAAAVAAVEWEEEFEDVVTFAATSHRKAAAAFQPWDLSFSVLNDSNKGPKSESILGTASLNLADYTSAAEEEVEIILPLSVPNGTPESSPSLHLTLSLVELRLPQQSPDASQRSVATAPLSPSSGDSVPSGKDEVSVIKAGLRKVKHITDLVSIRRSKKANREDDNSDKYVHSDGAEYPCTIDSDDDLDDRQRDEDLGGATVRKSFSYGSLQSVNYAGGLFYAHARIDGEHEDWIYYSHRKSDAGYQAEKESSSTAEEHTSMVIRRKRSILPWRKVKVPKKGEPLLKNKNGEEGGDDIDYDRRILTSSDGSVSEGSNGSANSMESVFGDDNFVVGNWESKEVLSRDGHLKLLTEVFFASIDQRSERAAGESACTALVAVIADWFQANQELMPIRSQFDNLIREGSLEWRKLCENETYRERFPDKHFDLETVLHAKIRPLTVAPNKSFVGFFQPESVEDASGFDFLDGAMSFDNIWEEISRAAECSTDKPTLYVVSWNDHFFVLKVEADAYYIIDTLGERLFEGCDQAYILKFDDNTTIHKVPAEKKEASSDSITQQKDSSESSSTEQDSGTDTEESELLVLKGKEACKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTESCPREITLPAPFPAIEAPFEFSWPDPPPAMEVALTSAVAVV >cds.KYUSt_chr2.37372 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231418761:231419741:1 gene:KYUSg_chr2.37372 transcript:KYUSt_chr2.37372 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDAACPGDVEIELFPFIRVYKNGSIERLIGTDTVPASFDDATGVASKDVTIDPATGLSVRLYLPPAGGAKKLPVLVYVHGGGFMVQSAASPTYHRYLNALSARAGAIAVSAEYRRVPEHPLPAAYDDSWAALAWAIDACAAGAGPGGSEPWLAAHGDASRVFLAGDSAGANIAHNVALRAASEVLPLQPGAAIAGVMLVHPFFWDPSNTMAPELEVRIRREWAFTCARPDADVDDPRICPTSAGASARLAAMPCGRVMVAVAEDDFLAAKGRAYHAALLASGWRGEAELVDTPGQGHVFHLRRPGTEAAAEMLDRVADFISRA >cds.KYUSt_contig_1181.701 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:4832999:4833482:-1 gene:KYUSg_contig_1181.701 transcript:KYUSt_contig_1181.701 gene_biotype:protein_coding transcript_biotype:protein_coding MFHDCIVYLVLKLGISTKESLSRLVFELHDDGHIDLTVIGPHLDAGQRPQYTVPPNVWFGSFPTLDVESFASDGSHIVNSRKRDPEKHYSLVGCTCAPGFEYEDFEMATFDDVKSIAPKAEPFLSYLIPSTK >cds.KYUSt_contig_2323.19 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000299.1:111957:112211:-1 gene:KYUSg_contig_2323.19 transcript:KYUSt_contig_2323.19 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTCFKPEQNIIVWSSGVTTMALLQEGDPELVHVPAVFYVSASPAKSGKTTLEVVPSSVGYITPWLSLMDVPDTGDESRPRP >cds.KYUSt_chr7.1598 pep primary_assembly:MPB_Lper_Kyuss_1697:7:8894531:8902677:-1 gene:KYUSg_chr7.1598 transcript:KYUSt_chr7.1598 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGQKLSGLTTSEHEDKLALVFSHGVQLDKDNAQPTEDFNSLVLHVISELKLNMNAYWTLVETWVSVNGALLCAAAESDPGHVHGTCYSSPFKLVRRHPNRLLACVYSSNTGLWGDLISSESPSDIHGKPAVKRPEGRQVG >cds.KYUSt_contig_1993.424 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:2370428:2371624:-1 gene:KYUSg_contig_1993.424 transcript:KYUSt_contig_1993.424 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFPSVGRPRRPFLLAAAAAVAVLLHALARGADAQLSAGFYSSSCPTVHGVVRQVMSQAVLNDTRSGAAILRLFFHDCFVSGCDASLLLDDTPTTPGEKGAGPNAGGSTFGFDVIDNIKTQVEAACPGVVSCADILALATRDSVNLLGGPSWAVPLGRRDTTVPNPADAAKLPGPDSDLAGLVAAFAAKGLTPRDLTALSGAHTVGMARCVHFRTHVYCDANVSPAFASQQRQACPSSGGDASLAPLDSVTPNEFDNGYYRGLMTGAGLMRSDQELFNNGQVDSLVRLYGTNPAAFSADFAASMIRLGNVGTLTAASGEIRIDCRKLNS >cds.KYUSt_chr3.18519 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113858239:113859845:-1 gene:KYUSg_chr3.18519 transcript:KYUSt_chr3.18519 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGEAAATTPSDGFFLLLCPRCCGVVSGAKVEQRGFVQEYVQTVVCTGDSWKMVHLVVGSWLKADGSGSLLRHRRAWVPVLKFDGVSRDMLPWSDSFNGNGLSYGKLHWRSEKLMISDGAASSSSEENMLDAEAEKRKRPRRGGSRPGRKKLKPRQRMEGHTLLHNDYFADDATHADNFRHRVREFGPYFKLKHEAIGVGGFSSIQKCTAAMRMLAY >cds.KYUSt_chr1.37109 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226678484:226679020:-1 gene:KYUSg_chr1.37109 transcript:KYUSt_chr1.37109 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDKSRDAAAGNGDLIAEGWNQASRHSRTKLPPIMTMMTGSSMIYRTGSITTAMALCARHTGAHIQDPRGAIIDRVRSTGFANAVGVRTVGVNAAAAQDYQREYLVKMKLDDGGEFWTSGSPTVTDGPKPSFFDRSGTVPLQAVVQRVVAADDKQHRRKARDSIFLYHRLHHRRLST >cds.KYUSt_chr4.52874 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327999975:328001825:-1 gene:KYUSg_chr4.52874 transcript:KYUSt_chr4.52874 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAGAAQQGTTTFHHNLACAAIYSDPASVLDRRASPTASPPPATLSSSSPLAAAGVAALAKNVSPPPPAPAWPPPPSSAGDDWVHHLPPLDMAGWGDPHAAAMHDPPPPPSQQDSSFLRWIIGGADDDAAPADDDDGHPDLDLDRVMLPRHPPPPPMMMGPGHRLPFTLAGQDAKDAAAPFRPHLHQQPQPHTHAAFHAAFPSFDAHPAKRQQQHPMAGASSPKLPPFAGPGGFTASALKPKAEAPGPGDDAAAAAAVDQLAEAAKLAEAGDAFAAREILARLNHRLPAAPAAGTPLLRSAFYFKEALRVALDAAAASSSASTPVDVLLKLGAYKAFSEVSPVLQFAHFTCVQAVLDELGAAACIHVLDFDIGVGEQWASLMQELAQRRPGAALKVTALVLPSSHHPLELQLIHENLSNFATELGVPFQFAVFNLDAVDPTELLAIAGGDAIAVHLPVGSVHAAAVPSVLHLVRRLGAKLVISVDRNCDRGELPFAAHLFQAFQSCVFLLESLDAVGTGSDVASKIERFLIQPKIESCVVRRHRAAIAGDKLLPWRTMFASAGFVPVQISTFAEAQADSLLKKVPVRGFRVEKRAGSLVLHWQCAELVSVSAWRC >cds.KYUSt_chr1.39881 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244102690:244104794:-1 gene:KYUSg_chr1.39881 transcript:KYUSt_chr1.39881 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAFLGLLQLLLLLVPSPLRDYLGARPGDHHGVNYPIILQGGFGCPVLEARLTDAYTPSHPRCGALKGKGWFKLWNSTVDLLNHDYMPCFAEQMSLVFDPATNGFQNRHGVETRVPNFGSAYGFSYKDDTCPFCCNVRLRTELEALGYRDGDNLFGAPYDIRHAPPRPGQYSEVYTEYFARFKGLVQQASEKNGNKQVIIIGHSFGGRIILDFVNSTPLEWRKKFIKHLVLLSPTPPTGFMDVITNLIEGPRVLRFPNVPYLALRQMWWSFTSSLLSLPSSMAFGHDPIIITKHRNYSTYDYPDFLAALGFSLKGVSPLTKLPSPTDMRIDAPMVPTTILNGVGIETTKQVVFWDSNFDVSPELVYGDGDGVVNLNSVLAFTKELERKQASENILFKFVKIPNVTHGDITIQDHSLRIVLAEIAEANS >cds.KYUSt_chr2.3088 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18164915:18165527:1 gene:KYUSg_chr2.3088 transcript:KYUSt_chr2.3088 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAVQALRKFRQMRRGAAHASFLDVAGDQAVAYLLVTASAAALPITMRMRSAVVNVFTDAIAASIVLGFLAFAALAFSAMLSGCKRQAHY >cds.KYUSt_chr6.7706 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47293171:47301779:1 gene:KYUSg_chr6.7706 transcript:KYUSt_chr6.7706 gene_biotype:protein_coding transcript_biotype:protein_coding MWPATRVDAAAAAPPPSPRGPATVPRPPSRSCALPALTAPAPTTPPSPAAPQEQQQLVRSPRPVSAADSFVKDGREIRVGDCALFRAVDVPPFIGLIRWIEKHKDNHPRLRVSWLYRPVDVKLNKGIQLSAAPNEVFYSFHQDETSAVSLLHPCKVAFLRKGAELSSGISSLVCRRVYDIDNKCLWWLTDRDYTNERQEEVNRLLDRTRLEMNGVVQSGGHSPKRPNVPSASQQLKAGSDGTQNCGPSKGKKRDRGEQGIDPAKRDRDCPLKVDDSEPGNIKRDNIKSEIAEITEKDGLPHAEAVEKLVNFMQLDQIERKMDCASRVRLADIIAATESPDCLSRFMQLRGLPVLNDWLQETHKGRSGEGGSPKETDKPIEELILALLRALAKLPISLAALQSCSIGKSVNHLRGYKNPEIQRKAKCLVDSWKKRVDAEMKSADAKPVLSGQAVSWSGKVGFPEISSAGNGRSDSSEPSPKNAVFYLSSPKALSATPGAADVAKSNPFTSGSSKLQHAQPGNAATTLKDSPCKSAAGTCGPDFPTVKEEKSCSSSQSLNNSQSCSSDPGKTVGYSKEDARSSTAASANASKNSGRGHRRGKKGLHGSGFQKEAVLGKSSPVDRSSFQERPSQSGLPCEKGVDTAHTNNKLIVRFPNPGRSPGRSTSGGSCEEPSVPGNRASSPIRADRHEQNDRRVKMKVEHPQAHLGSDTNAKPGQISSINGTAGSGEGDKSPCGMLDGDCSRTADDAGEDDASRVTCSSYMDEKDICLGETKVQNSFSPLNALIEIKYSESSQSMQAADDTAMNLLASVAGEVSKSEVIMSSASLRNSSANKPGSEGEKIGKLKVECDAGASQSPWPSDVEKVVSDKEGKHDASLAAKEEHHQRNSGTNLPSHDKGTASTRPSSQPGIDSKGFESSANTKGNEVESNVRQPATIRIDTKFSMKSSAGIAGTVREKVEDGCTTSSDVNTSLGDKLSPPDHVETIRSTDKCTAGKLDSKPSFASSALAEKDADGLLVSNKVLKAHEKKEQSSSTSAGVTKLQVSVVLPLAAENGIGVIKESKDSSSESSSQAKSSAIVSQDTEHSARHSSKKLSDHSSGKEGIVSSDEGSSIAAKAKSNGAAKLDFDLNELGDEGNHSEPAASPVICSSAFHLPGLSPFVSPILSGPPAQITVAAPAKGPFVPPENLLRVKPEAGWKGSAATSAFRPAEPRKTLGMFLTGPDIAVSDAAGKQSRQGFDIDLNIADDQVPEVDISQSSAQTTGSESGNPRSRSGPGRSAGIELDLNMADGVAENSQFISNASHRVEVGLLPGGLLNTDRNSSRNFFDLNNGPSLDEASTEPAQRSLSSKGASSIPFPPQVAGLRMNSSEFGNMSPWYASANQGGPVAMQSFLPARGEHPYPIETAPGTQRIITAAPGGGHFGSDSSRPPVISTAPAMVFHSPAYQYAGFPFASHLQTAGFPIGSTPYASSAPAGVPYFPMMAPAFAGSAGALPAQHARQYTISLPEGSSSDGHDSNWKWRRQGLDLNSGPGSIDAEVKDERLPISELDDKYGGKRGCHGDPRTQGRCHGSMEEKEKEKLKRSRPELPPATAGTSAQILSKPKMLAQQSCDRNFCPGPELLLRTAGTSAPSNLSQISAHFGL >cds.KYUSt_chr1.32667 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198372021:198382989:1 gene:KYUSg_chr1.32667 transcript:KYUSt_chr1.32667 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALQSCFRAVPPDAVSAVVDCVLASSSSSTSPSQLFHSLLDSFPEVLPASPVQPQALHPGHGHAASLSHAAALCHLLSHLDSSSSKDALRTLLWRVFLPLLRGESNQLQQQTIALMCDTVSAAQSWDLLGATVLPFCIRSCAVAMGLPTTNEYGDDHSIIYHYHLDAADAEDHHQSGASLLPLSNAAALLASLLGDALERRQKNTLSLPQDASLNTLLQNLTWDLSRLVLKMFDHSQEYRSCATRILLQPLLISLADISCVAFEFGAVQLKLSRSGFLESIWNCCVSLFSLGRAERLDAYGILSIYFSALKSGCQYAVPGADEAHDFDLRNVTGFWDELRRGLVDKDTVVRKQAFYLLKISLSIFSSGNDGNQQCSGSSPAALAGQATSNTSVTKKERWANKEAKSLGVEEIAQSGEHCSSGQDRWKVFLLLYEMLQEFGTHLVEAAWTHQVALLFESTPQSDHMGHIYRAFHAQMESVEGIFHWMTVLWERGFTHDNPQVRCLVMQSFLVITWEHYKGYAQMVPRGFILGPLIRGLNDVVHHKDFGVGGVYKSETIKGAERFFSNYARKLMTRDRLHLVWNLASAAKQDSFGRAGLMTLAFCVASCACQSDTHDLPCASAVSDLAKCNGDTYIAVNTADLLEALWILSERSKHHFNPKYRLKVCEQVIKAAVSLISAAEIPLNRLLYFISTIPREFTDYTGPLRATVQKWFLQKKECSEMNNLLDELIDFPTTFIKHTWVEGSYLYDDEDVGAWEAEAQRWARTLVLVTLEEQHFKQIFSFLEKCGDKLSENSPAREYLYIKFFVIIISLIEELEVKKKKLVHQNNTIASGGSDMTDGLEHRAINEKLAKSLLLVLENMVAFSKQSCSVFWLKNKDNMDLPCSVKGKLGGPSQRRLATSITSSVLQSIWSIRCVSSVVTWCNHYTSDVSLHSAFSFLWEFCWEVIQHCTYATETGAELHLAAYEALVYVLSALSTPAIYHFLVFVEPKQLNGDVKLPLDFLATTFLGNINSLLTNGVLTRSRRAVLMCWKWLCVDSLLSISRCCDENKSQLKSLGPLFSDSTLRTIFLDITESLENAGENSVVSILRCVRSVLGLLQFNMSHRNLSSLGISYEMMMQLVKSSWILHLSCNKRRIAPIAALLSAILHPSIFPNLELHEANEKGPGPLKWFVENLLNEGSKSPRTIRLAALHLSGLWLMYPDTLRFYMEELKLLALYGSVAFDEDFEAELSENHEARFEVSMLAQSPDREFTEVFINTELYARVSVAALFHQLKSLGTEEALQSGKLFLLKLLDSAVNDNDLSKELYKKYSSVHRRKVRVWQMICVLSHYVEEDIVEEVTSSAHICLYRNNLPAVRQFLETFAILIYLKFPTLAEKQLVPIFHDNEMRQQALSSYVFIAANVMLHSRELSAQRNHLNQLLPPIIPFLTSHHHSLRSFTQLLVHCVFSKLWPILQLESSEEPFIERRCFQDFRQYLAENTDCARLRVSIEGFLDVFDPDTSGTPSGIFTARPESSGFECVPVSVLERVNNFLNDVREELRDCMIKDAAAIKNEDLAAGKHDQEDFEKTITTKVSQDFQKKIIPQRDSEQASSAIMGNNDISRLLFEIEEDDGTFNLTVESRKEAAETVRQSQQELIVMASLVDRIPNLAGLTRTCEIFKAGLLAVSDMGVVQDKQFRLISVTAEKWVPMVEVPVESVRTFLGRKRAEGYTVVGLEQTAHSVALDEFVFPEKTVVVLGREKEGIPVDVIQQVVDVCVEIPQLGVVRSLNVHVSAAIAIWDYTRQHRARRASSQSQLPPQ >cds.KYUSt_contig_2200.20 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000278.1:175217:175435:-1 gene:KYUSg_contig_2200.20 transcript:KYUSt_contig_2200.20 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLQPIRALGVPRPPRRLGTAPHRQRLLNFSIHAPLFATPRSRRYETMKEGGRLDVVAAAAASGLHGKGN >cds.KYUSt_chr5.1255 pep primary_assembly:MPB_Lper_Kyuss_1697:5:8657475:8666220:-1 gene:KYUSg_chr5.1255 transcript:KYUSt_chr5.1255 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDARMATESDSDSDAATAASVTVQVGGSGSGAETPSVSPPPEPAGAPAAATAGPRPAPGYTVVDAAMDKKEDGPGCRCGHTLTAVPAVGEEGSPGYIGQRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWTRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDTNSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGEASGELTRRCRHAAAAVGDMIFIYGGLRGGVLLDDLLAAEDLAAAETTSAANHAAAAAANMQAGGAPGRFAYNDEQTGQTTAETTADGAVVLGTPVAPPVNGDVYTDISPENAVIQGQRRSSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEHSPDREQSPDATPSGKQHSSLIKPDVALANNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVIKLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRVNRLFNWLPLAALIEKKIICMHGGIGRSINHIEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPALTSPETSPEHIEDTWMQELNANRPPTPTRGRPQAANNDRGSLAWI >cds.KYUSt_chr6.10520 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65119181:65120672:1 gene:KYUSg_chr6.10520 transcript:KYUSt_chr6.10520 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSQLLLFLLCSYHALIASAVDVQSYKILATSSQKPQAVCSEPTRTLGLPLSSGATVPLNHRQGPCSPVVSSKKKPTLEELLRRDQLRAGYVQRKFSNNNHRANSGLQSSEVTVPTTLGSSLDTLEYVITVELGSPAVKQTMIIDSGSDVSWVHSSSGSPFDPAKSSTYVPLSCGAPACTQLGGEGNGCSKNGQCQYMVMYGDGSNTTGGYGSDKLALTASETVDSFQFGSSQVEEGFRDKTDGLMGLGGDTQSLVSQTAATYGKAFSYCLPPTTSSSGFLTLGAPSGGTSGFATTGMLRSPQARTFYGALLQGISVGGKMVGVAPSVFSAGSVMDSGTIITRLPPTAYKAFSTAFKEGMKQYQTVPARSILDTCFDFSGQDNVTIPSVSLVFDGGAVVDLDANGIIYGSCLAFTATDDDGTTGIIGNVQQRTLEVLYDVGKSVLGFRANAC >cds.KYUSt_chr5.42322 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266841860:266843707:-1 gene:KYUSg_chr5.42322 transcript:KYUSt_chr5.42322 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTYTPTYYSGLQDTIASVCKSIFSRPGRRLTADQAAARRHADALKWQQDSFHRILHLSALHREGIVPAADVQAFRASVLATLAATPSPQNPDHPPILRDKLLFLQELLRAKCISPAEFNAAKRPLAQRLAALGVAVDCPDADTAQGRSSAEEWAEIDLRDPPAPPAASDNKPKHKAFVSPWKSRGGGKKDQDPSSRPPLAPVDQNHHASVLMAEISPAEASGKPEKGKRRHLTAMFGGENKEPAADGAEEEKGSVKSKKKSSWGFDGLKKWKKAGGGGGCVNEDAVAAGECAPPRSSYSECRLEASPAPDAKRAKKKKLPAGDVSASDLANDKVLVEETKKELSRIQAELSSTNRNINFSDQQIETISTKLPMDKSDLNTFFPKAWCDKHGDGVIDAAKKEFKGHVEEMEKQREVDSFNPRAFSAFEEDSFNPRAFSQPQTAANGKKVQESLDTEHFTNPFYDEKNPFLNQSYNN >cds.KYUSt_chr1.4463 pep primary_assembly:MPB_Lper_Kyuss_1697:1:27434596:27436793:1 gene:KYUSg_chr1.4463 transcript:KYUSt_chr1.4463 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQPSNSTSVDSLSCTADKVASDNLGVNIKPNQDGCPQHQSVPDPIHSDISDGNCISRHHGPRITSKGNNSTTIMSTDNAQTESFSEGLPQNMDHLGSVQIPSSVVLKVCPYDSDDEYATVSNEVSDTQTPDNTQETDTDKDLTTPDGNKAPFAEIPSPTFSEQYGSFTPTCTFGEYIDITDSPYTPAPEDVMHIAQLTSPIPGGKSFTDPGSINTARKCRPQRYSKNSEFMKPYHRCDHNSMAKRLKKYELHLIEQLFLHICDNNHWILLLVNLAQQAVEIYDSLRTIKKGEHPYKDLWKTVGTNLQDSYNVAMMSKIPIFDDFKPIYPKMHTQTNNDDGGFSIIGLLECHNGKELVGNGKISMSVYRTKVCDTLVHHRLNDLNPDRTELVSSSAVF >cds.KYUSt_chr6.27412 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174061274:174062398:-1 gene:KYUSg_chr6.27412 transcript:KYUSt_chr6.27412 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLLQPYREEELQILRGDHPKQKHDRAYQDHDRVYRYDLYNDLGDPDNKSPRPTLSGPDSPYPCPRRGRTGRKPMKSDPTCESRNVVPVLQQFYVPRDECFNHVKKADFTSYLLKAISAGILPLARELFDTAVSREFDDFEDMYKLYEGGIKIPDVPVMDLMFKVFPPLKSICPSGGSYLLKMPMPEVIKNDKLAWRTDEEFAREMLAGLNPHIITRLQEFPPRSKLDGYGDQTSTIKVEHIQPYLGKLTVDKAITDGRLFILDHHDNFIPQLHKINSLENTFVYATRTLLILQDDDTLKPIAIELSRPRLDEIGTKVVGADSKVYTPPSSAGSESDNKVQDTIWQLAKAYAAVNDSTWHGLISHWLHILHFP >cds.KYUSt_chr4.47265 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292466854:292469400:-1 gene:KYUSg_chr4.47265 transcript:KYUSt_chr4.47265 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFIGRVLFASVFLLSAYQEFNEFGTDGGPAAKALKPKFNSFTKNISAQLGVVVPHVELKHVIAATIGLKGLGGLLFIVSSQFGAYLLALYLAFITPVVYDFYNYDMEKAEFVQLFIKFTQNVALFGALLFFLGMKNSIPKRQPKKKTAKSKTN >cds.KYUSt_chr1.41418 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254045661:254052283:-1 gene:KYUSg_chr1.41418 transcript:KYUSt_chr1.41418 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRHGSHGVHELPEEASETNARVTEEQNMLPPATTQMLMLLSEKAEIAAKNPSYVFLIMVTFKAGCLSSLAAGQRTSDLVEASPLEQQKPGAKITRKMPSIPAASSSVKPEVQQGETKRISYRSVYSDFNKYRGWSWPKVVGLKVDKAKRIIRKGKPELHFEVLSKDAMQTCDGRSMRVRLIVDKYNRVVKTRHVG >cds.KYUSt_chr4.7018 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41560771:41562528:1 gene:KYUSg_chr4.7018 transcript:KYUSt_chr4.7018 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDQSVESSHILESRGELLRVSVLIQWRLGQPMAYEVSAPLAVSVHALEEQVDAHGRHMVRWVRRDGQSFADRVMFLGFPTSLAMEASRFRGGVDDVSGGWVYFLHPRYNLIDGKAKFMERVPLDLFDSENEVFMWQMPQPAITPIQVIREKLESPETGDSSLKINITRMERPSTHSKEHFLSFNVQNLPLGVDSSRLHRFFNKHGKVSNAEVTSLGKGSVTMAMETSTKPFEARDALDGLVLDGYTLEVSEAMVATEESRVTSSCSNLATV >cds.KYUSt_chr4.25986 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163406988:163408456:-1 gene:KYUSg_chr4.25986 transcript:KYUSt_chr4.25986 gene_biotype:protein_coding transcript_biotype:protein_coding MMIELLRENKRMLDKSIREIERERQGLQTQEKKLIAEIKKVAKQGQMGAVKVMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMSQMNRQMNLPALQKIMRDFEMQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVSQVLDEIGIDINSELIKAPATAVAKPVAAGKAPVQAEAAGGMDGGIDDDLQARLDNLRKM >cds.KYUSt_chr4.25942 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163168261:163168758:-1 gene:KYUSg_chr4.25942 transcript:KYUSt_chr4.25942 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARGNDTRSSTATLLQLLLTLSSPAAASRAIAKNKRVRMPAEHGGEFKCRTCGRRFATFQALGGHRTSHKRPRVRAHGLDLLLGARPGKARGPVEHRCGTCGQTFPTGQALGGHMRRHRPLSSVGAAAAASSKWTEADTSSSSTSDLSFQPDEDAFPTFFEFI >cds.KYUSt_chr6.30457 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192903478:192904968:-1 gene:KYUSg_chr6.30457 transcript:KYUSt_chr6.30457 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSAYHQILSLAADFEHHCNKLKKLVVPAGEIKWKPPEQDLLKINTDGSMYLVSRSGGWGFVVRDALGEMAGAGYMAHVQDALHTEAEACLHALLLSQTWGISRVHIETDSQQFVQAIEGTILLGLPVNGIHDSNPIPAPDAGSPDPGKMSPSQTRHSLLALSDDDIVSVFLRIPPDDPALLVRASLVCKAWRRILTGPDFCASTASSTARHPCSASSSTSGPGTAANSPASSQPQPFASHQSQRSVMVDSYIVWDPITDGRWGVPFPRMGRHSCSGAVLCAKQHCDHLECHGPPFLEAMVGSCDGTTSACVYSSESGVWSGIITLKQEDYIDWSGRSILVGNTLYFPFRDSFRVLQYKLGEQKLSVIDAPSQLGKERLVLVSVEDGVCVFAGLRETRLSLSGQPR >cds.KYUSt_chr7.18077 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112145713:112147551:1 gene:KYUSg_chr7.18077 transcript:KYUSt_chr7.18077 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDGVAPAAAAAPGLVVSFGEMLIDFVPDVAGVSLAESGGFVKAPGGAPANVACAVSKLGGSSAFVGKFGDDEFGHMLVEILKQNGVNAEGCLFDQHARTALAFVTLKSNGEREFMFYRNPSADMLLTEAELNLDLISSARVFHYGSISLITEPCRSAHVAAMRAAKAGGILCSYDPNVRIPLWPSEQAARDGIMSIWKEADFIKLSDEEVAFLTQGDPNDEANVLSLWFEGLKLLIVTDGERGCRYFTKDFKGSVPGYAVKTIDTTGAGDAFVGSFLVNVAKDDSIFYNEEKLREVLQFCNACGAICTTKKGAIPALPTTADAMELISKGSN >cds.KYUSt_chr4.16450 pep primary_assembly:MPB_Lper_Kyuss_1697:4:102257531:102259095:-1 gene:KYUSg_chr4.16450 transcript:KYUSt_chr4.16450 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFVKDLLATVGGSYGLAIDHAAVVACRHAWLHDPGRLPSAVDVTIIACRHAWLHDPGRLPSVVEVIVVDCQHTWHHDPSAIEAAVTACRHAWLHDPDRLPSEEVKDDSDDYVGLVFHEWQQAMAEGRNFEFPDNMTDDEMAKLGLLVSEYDAPVQPPLPRYATAVMPPGLSADEALRQALLDSAAPPPPPPQPWAPPPPPPQPYGWAPHPPPQPQPWAPPPPPQPQPRQPRAPRSRPAYAPPDGNWPWDILEVIVLDSDEEQHGHDSDQ >cds.KYUSt_chr5.35634 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225172191:225175766:1 gene:KYUSg_chr5.35634 transcript:KYUSt_chr5.35634 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSNFAEKLRRQGIMMKDAATVLLCHDMTLRRKNRVVLKLSLSKPGGHVLSPGFDQIRRWAVREMGLEDYTWKISEAALHEEFGLDCPCICNIVDRILGSGLAPLPAYPRPAAVFLHPPSLPRSVRLDHFIPADGAELDLGTGAGELLAATIEYLEREPAATADNKVPLADLSPRELQLVLVYFAQEGRDAYCALEGFDGLCRPDRIHGETLELMTAIACGWIERIVGTGGDVSALLGEMDCVGLRPGFSLVEKNVTLYWDRSERARAVEFVRDVHRRGSVIAGADYDDETGGPVGYLAWEMVLSFYGPGFLHHLYWCGQIWSMTMYLTRSPPNLLMCMGSEVSFFGCVNGPARPRIL >cds.KYUSt_chr4.11056 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67088289:67088666:-1 gene:KYUSg_chr4.11056 transcript:KYUSt_chr4.11056 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARFPLAFVFLLSGLVVLGTMERTEAVCTYACVQGTYMTCCNKNGNLTGCACPCAPLDGNGCAVHLANGTTIKCPKPKS >cds.KYUSt_chr1.27346 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164824468:164824965:-1 gene:KYUSg_chr1.27346 transcript:KYUSt_chr1.27346 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYSNLGSSSPPVAVVAATNGPRARRSLELTNTKETNAWEGLALGAVTLARTFSTGSQRLCRSGEKARGLPGAMRRAFSMRRHPAAPGKGDGYYWRIHDMDGDSDHGDVVGNAVVEERDEEEEKGEKKKAQREEGGDKEEAKGRTTKKKRGNNVIRACKKLLRL >cds.KYUSt_chr6.3175 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18605136:18606835:-1 gene:KYUSg_chr6.3175 transcript:KYUSt_chr6.3175 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRSREDRLSALPGDILVSIIDRLDVRDAARTCILSRRWSGLSAELSRLVISAKDFVPEGVSTANISGGDDLVRTNAAVVEATKSMLARRDPGGRHTVRLLSTEFYLSDDVPISIGHAIGNAMATHKIEKAEFTVLTVKESKQCRLEDRLNYGAWFVSFFYACLDVFTGLTRLSLENLIFSESDFLSNILGTCKQLKYLGFLRCGTMRMITHQVEHAQLCELSMVRCLFGKVELKWLPRLTRTDFAFWRSFEEIPLSFGHVPLLEVVSLENAALSWHKMIKLSTLLCETSVRDLMLGFRCEKIWVQPESVTKRLASAFNRLKIVNLGHIPEGYDLTWTMFILEAAPSLEEFYMTVIDHPCEMQMDKDKRREGSYIEEKGVEWESPTSNFKHHRLTKLIIFCFESYMASHVRRVMNAAVNLKYVYLYRRLSCDKCKHMKPLKPIMFPRSKKHRCSMRKLMTQCIESGARIHFLDFSVMSDDHKARLP >cds.KYUSt_chr4.38727 pep primary_assembly:MPB_Lper_Kyuss_1697:4:239093242:239096116:-1 gene:KYUSg_chr4.38727 transcript:KYUSt_chr4.38727 gene_biotype:protein_coding transcript_biotype:protein_coding MKTARPGPTSVVVGAHNFSGADPAPHLSGRPPEAAVVNSIAHGPTMCAARSTGFCLPVRRHGTELLPVAPRMRWPASASLHTLAVKTTSFATYTQHLATATTAMPALDIMPEKAHQPPMSPSHRGALGWDATGAPTPMHKRLDGKVAIVTGGARGIGEAIVRLFVKHGAKVVIADIDEAAGEALAASLGPHSVAFVRCDVSVEEDVERAVDRAVSRHGRLDVFCNNAGILGRQTRAAKSILSFDAAEFDRVLRVNALGTALGLKHAGRAMVPRRQGSIVSVASVAGVLGGLGPHAYTASKHAIVGLTKNAACELGAHGVRVNCVSPFGVATPMLINAWRQGHDADAADDADAGIGLDGAAAVPSDREVEKMEEVVRGLATLKGSTLRPRDIAEAVLFLASDDSRYVSGHNLVVDGGVTTSRNMIGL >cds.KYUSt_chr2.18264 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115081747:115083317:1 gene:KYUSg_chr2.18264 transcript:KYUSt_chr2.18264 gene_biotype:protein_coding transcript_biotype:protein_coding MACSASSQPGATGGYEAPGGNGGPDGDTNDQLPLIPCPYCDSRISTSVARKGTRPDTRPEREGYAQLVGANVGQVMSAASEGRMDNAVSEASKGESEHRDRQFTSRISVKHVVDAIEGFITHPNTRIVGTDNRIIWTPVPKRLSRPQSGTFITNMLSRIVFLTAGLLCIQNFHLLTSMTTYAQK >cds.KYUSt_chr3.1685 pep primary_assembly:MPB_Lper_Kyuss_1697:3:9623091:9625356:1 gene:KYUSg_chr3.1685 transcript:KYUSt_chr3.1685 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASTGASGAPDGLDPRDVCVVGVARTPIGALLGSLSSLPATKLGSVAIQAALRRASVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPNTVPCTTINKVCSSGMKAVMLAAQSIQLGINDVVVAGGMESMSNAPKYLAETRRGSRFGHDVVIDGMLKDGLWDVYNDFHMGMCAELCADQHSISREEQDAYAVQSNEHGIAARDSGAFDWEITPVEVPSGRGRPPVIVDKDESLAKYDPVKLRKLGPAFKKTGSVTAGNSSSISDGAAAIVLVSGEKAKNLGLQVLARIRGYADAAQDLLLYTSS >cds.KYUSt_chr2.27618 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169698064:169699410:-1 gene:KYUSg_chr2.27618 transcript:KYUSt_chr2.27618 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRSAAEERHEEEALELTLRLRTGSSSGSSAAAAEKEAAATRRRSMTIFYNGRVCAVDVTELQARTIITMAKHQILTEQQQRVDSNRHLEDSSSSSDSAATHCGGRQDTKPAPAPAPAPQRSAPSLAPPAGLTGAVAAPAISQAAAAGLLMKRSLQQFLQKRKTRIAAAGSPYAGGGPAARHSAMHS >cds.KYUSt_chr4.8406 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50270901:50275684:1 gene:KYUSg_chr4.8406 transcript:KYUSt_chr4.8406 gene_biotype:protein_coding transcript_biotype:protein_coding MPINHDLVVFLSWDLTMKFAKEYQKHMTGMEEDLPALGLERLKEMIKKCQATPCSPQGLGDERDVAVPGVMLAKVNCSTADFLLASDLANSCSNYAWLLPFWLTDSYGGFFFVCCRNWFQKIDKIKDSNRQSKQLEELTGKMRECKRLIADLAGVGDALARPRPRPFIQEHHARSVEWRPVGEAERAARELLDAGAGRPAGGGARLCSMEAPPPLLLVLEAPPPLVLERSRMESVPGTHGVDFLDLNEVPFDINEEPGILPPNYFTQLLDEAIDESPQPPVNTHAPVVNVEPAATHTYTGPLVATTDTTTIAQDGNDDEASSQPNDPHVGMRYDTLEGAKEHYNAHAARKGFSVKVNSSRRSTITGEKQKQQFTCNKFRRPRKDDGGAELQVDVGPIPDSVSEDEADIENAELASVVADLAAQGRKEKAPKKRKRENIVHTFCKAQMVVKLIDGRWENFMVQSCLCHTQPKQSPTICATRLEKKQKMGISAKVVSYFVELKEEKDPDFYFRLKLDEEDRVENIFWVDGAARKAYAEAYHDCVSFDATYLTNKYSMPFAPFIGINKHGQSIMLGCGFVKQELATSYDWLFESFLIAMNGLAPDNIITDQDGAMAVSIGRLFPSSVHRNCRWHIMRTHG >cds.KYUSt_contig_2784.47 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000499.1:428432:428728:-1 gene:KYUSg_contig_2784.47 transcript:KYUSt_contig_2784.47 gene_biotype:protein_coding transcript_biotype:protein_coding MENINQAQLARCLAGYLAPLARLAHTTKATVTVTPTSARPAMLQNTPAVMAKDQRANEDGDVTAQWQGLQPRGARTWQFVDNDAPKQSAARCKQVDGK >cds.KYUSt_chr7.13499 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83191549:83191950:-1 gene:KYUSg_chr7.13499 transcript:KYUSt_chr7.13499 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPERLGSAMIKNQSVHLPIHLPESAAQPDPSSSSTGMRELIEKALQAKLRPAAEFAMETERRRTDARRKLDEVVATVEFNDPFIDPADVCLSREQLWEAREAAAAVQARITGMTRRHQVEALPSDENPGIG >cds.KYUSt_chr5.22406 pep primary_assembly:MPB_Lper_Kyuss_1697:5:146182749:146186601:1 gene:KYUSg_chr5.22406 transcript:KYUSt_chr5.22406 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVEPPPAPARTPIGARLAARGVRPRKVSAKRSWPPGCGRFAPAPEAGDGEKGAAGGVIDGRVEEAAGHAGVSSAASNSDLPLAQPQPETEGLVDEKKDCGGADIATASVSPAACNGDLTPAPPQPETKGLVEVKEEGGGADIATASVSSAACNGNLPSASPQPETKGLIEVKEGGEQDIATAAVSSPACNSSLPQALPLPETDGVEERKEGGEEQLPDNGNVQSSNGLSESMAIEVMPLAFAAPHNFTVAAVNGFVDNGGHGAEPLPMEAKGGLGSDQLVREEDLSGNGGGGVMENRVDSGELEREDDGHDAVRKKRWLMSVVNPPPKRRAVSAIRKFPPGCGRSAVTATGSRDDKVLVLDATPISYATGAASVADALRTAPASGLGASPALQQDASNKEVKGKREGVAVAETNKAKGKNQESHVVDGVVSSDFVGSQPDSGCPQNAVTKASPRHGFGENVNGKRPLHEGKHVALVARDREVRRKVEGSLQEGTTKSHGRGLVDAKTYVKRPKSSVTMRDTLPDDIEVSRDSMPRNNNSDTRRGNERSNSDMKQGIAKLKSNAIGKGSLNRKSKESKCGNHDAADQIEENDNLNFVADKVIVQALMAPDKCPWTKGRKSIGSASSSLPPRSKSLPRRNNKKKDDTPRKELPPKPTPSIETANDTIEDQEQSCSEDDDASMALVVDERKKELCVTLPPCAPSGDQSVDARSKAKKLLKLFQLICRKLMQAEEQGTRKVGRIDIEAVNAIKKNYEGYTKPGPIVGNVPGVDVGDEFHFRVELSIVGLHRPYQGGIDTAKVNGIPVAISIVASGGYPDELSSSDELIYTGSGGKAIGKKEAEDQELKRGNLALKNCIEAKTPVRVTHGFKGQSKGEAGNSKSKQVSTYTYDGLYMVVDCWQEGEKGSMVFKYKLKRIPGQPELALHIVRETRKSKVRAGLCCPDISQGKERIPICVINTIDDMQPAPFKYTTKVIYPPSYAKETPKGCDCTHGCSDSNGCACAVKNGGEIPFNFNGAIVHAKPLIYECGPSCRCPPTCHNRVSQHGVKIQLEIFKTGETGWGVRSLSSISSGSFICEYGGQLLQDTEAEKTENDEYLFDIGHNYDDEELWKGLPSMIPGLESSTPETMEEAVGFTIDAAKCGNVGRFINHSCTPNLYAQNVLWDHDDKRMPHIMFFAAENIPPLQELTYHYNYTIGQVRDKNGEEKIKECLCGSFECCHRLY >cds.KYUSt_chr5.36661 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231770339:231774766:1 gene:KYUSg_chr5.36661 transcript:KYUSt_chr5.36661 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQHVYAADRLVQPELLPLASSGGHAIEVLLPATTLSRYCIVRRSTRLFANNQNNQHTVDKSGNILPGTIIESKLCHPTEFDFYLCSHAGIQFSLSSNVLCTNCKGKGFKSGASMRCPGPPPGCSTSCGGVSVPYPFGIGDGRHWPGFNLTCDRARGRLLIGGGGTLEVVDISLANSTVRVKDSVGAVNITYRDP >cds.KYUSt_chr2.11465 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72881771:72883696:1 gene:KYUSg_chr2.11465 transcript:KYUSt_chr2.11465 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAGDSPTSAPLLQATKIAIPAAVSSVGGAAEAAVLGKGRYKVWALAAIALLALWSMSAASVSLRWSAGDLAAFGSGDLDAPLRDDLDSLEMEEREKLVGRMWDMYTRTSDEVRLPRFWQEAFEAAYEELAGDDMQVRDAAISEIARMSAHRLELEQPVNANEVDGKDNVRNNDHGGVKL >cds.KYUSt_chr4.51758 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321236480:321240228:-1 gene:KYUSg_chr4.51758 transcript:KYUSt_chr4.51758 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHQHPPSPSAADPNSKPPTPSSSTPAARLPAGAQASWPPSSPSGAQQRPSSSAASSAPSTPASRTVYSDRFIPSRAGSNLALFDLPPAGAGAGGSAAPSPYCTLLRAALFGPDTPDRLASSAAASSPSSSSPASANIFRFKAEVPRNAKRALFAGGTDDDDLRFPGVFSAKGAGPRKITRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGAEDTVCSVGWAQRGTHLAVGTNQGKVQIWDASRCKRMRTMESHRMRVGALAWNSSLLSSGSRDKNILHHDLRAPDDYISKLTGHKSEVCGLKWSYDNRQLASGGNDNRLFVWNQHSTQPVLKYTEHTAAVKAIAWSPHLNGLLASGGGTADRCIRFWNTTTNTHLSSMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTFRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSADSLSSIGGTSFVRSYIR >cds.KYUSt_chr7.20612 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127770887:127774937:-1 gene:KYUSg_chr7.20612 transcript:KYUSt_chr7.20612 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPCDLRFLLLAAAVAFIYIQVRLFASQSHHAERLAEAEKSGSRCTSQLRSMIDQVSMQQEKIAALEEMKIRQDEEHVQLKILIQDLEKRSLQTLVNKNVVPVAAVVIMACNRPDYLQRTVESILKYQKTVASKFPLFISQDGTNGEVKKKALSYTQITFMQHVDLEPVRTERPGELTAYYKIAKHYKWALDALFIKHNFDRVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVHDPKALYRSDFFPGLGWMLTKPTWMELSPKLKEVHGDRQFIRPEVCRTYNFGEHGSSMGQFFQQYLEPIKLNDVHIDWNSEDLSYLKEDKFLIQFGEEVASATPLHRSDALLKAHNMNVDVRIQYDDQGDFERIARHFGIFEEWKDGVPRAAYKGVVVFRYKSSPRRIYLVGPDSLHQLGANKG >cds.KYUSt_chr7.36120 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225763189:225763931:1 gene:KYUSg_chr7.36120 transcript:KYUSt_chr7.36120 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGLVGEGRRRCRLASPRKCGVDGAALHAGSLVKVEVVLAMADGAGLYAGSPDVIVGGMVLVADVVVTPRGCGVDGAMIRAGSLVADAVAALHGCGVDGAMLHADSLVVDVVVAPRGCGVDGAMLRAGSLVADVVVAPSGCGVDGAMLRTGSLIADVVVAPTGCGVDGAMLRAYSPVADVVVAPRVCHGVDGAALPAGSLVADVVVSRVWC >cds.KYUSt_chr4.7963 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47466550:47467740:1 gene:KYUSg_chr4.7963 transcript:KYUSt_chr4.7963 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTAAATAGAAPSEDAIREILARLEEPSTLVRCAATCRRWRALAAEPAVLRRLWPEPGRSFVGVFTNEWRRGEGVSFVPGPGSALGAGRRLLGSFIPDAPGVSDWVQPVASHHGLLLVRLFPREPGTMQLAVCDPLAGTWDVLPALRVRVGRYFLGYAIIGATGGADRSSSLSSPSSFRVLVFRTAHEVAGKYILHAFSSGQPSWSAPTKFPGRVEYSLDEATIAHADATVCHGGVAAHWLFKNSSEFIYILSINSESGHVAAVLLRILGMKHHKQIRLTTTGDGTLLSLCTYDGDRRFEIWEQQHRGEDGTVCWIRAKVLKLRLPLEGARLVCLGARSRRLLMMDCHLRVHVLHLQGGAMEEMEDEFYDPNLIAVPMEIDWPSFLMSRLGRLV >cds.KYUSt_chr1.28960 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175232259:175233349:-1 gene:KYUSg_chr1.28960 transcript:KYUSt_chr1.28960 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQREEPPAWFVSAAILGAFYMLPFLFRLLARLALCLRRPKDLRRRYGAWAVVTGPTSGIGRSMALELARRGLNLVLVDLNAANLREISETIGSLHGVQTRTVVFDLSLVSTPQGDEAMARLREAVAGLDVGVLVNNAGVATPGSRYLHEADAEAWVSMIRVNLWAVTEVTAAVLPGMVARGRGAVVNMGSASSEAIPSFPLYTIYASTKRYVAQLSRSLYVEYRSKGIDVQCQAPFYVATQMASKLKETSRVTLLLIAPTPDAYARAAVRWIGHGPPLCVPNVGHQLFWCLVAAVPDPVHDWLRLRDHLHHRQLFYSPHSVAGGTSRR >cds.KYUSt_chr3.27721 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173143562:173153230:-1 gene:KYUSg_chr3.27721 transcript:KYUSt_chr3.27721 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQVFYALREVFPQVDLRILKAVASQYSSDVDAAVGFVFSDVLPAIEDKVVINETKNPTTEMNSLLQEHYFQVGSSQTSAIEPHMIDYEQSASGGCSDHCASGKDIVSPEAENIGNPKHSLQELLTSLYESTIMRMKEVELQEENSRLAKQNANKAHQNYLAMVEHFTQLIENSKESNDKDLQSRLAKLSAQRDEALATVQEIKCELDARLATSMEEEAIALEDIMQTEKVALLVRKDKESTVGSIMEESRKLQKESEENILLRGLLLDQGRLIDIMQGEISSIHANVVAMKERISGSKLKSALVLTGCSAHSYQKDDCKSASVDTDWPMGNVDNRNDLPQEEITRSLAKDHAMSSDDEEGWELGFTMRCAHPGASIPSSRTVFPGRTDRIVMEAWVRAAVEAIHSSRAQAVIYLAGGASQALGWLLSVPGASGSVLEVVVPYSRASMVQLLGKSPLQFTSKQAAEDMALAAFNRALKISGPGLQVMGVGFTGSLASSRPKQGEHRFYVSTRTQNCLRTSHVTLSKGLRNREEEDKVSSYFVLKAIADACRVSATIQTDLQEPEIPKESVEQFDEDQELQQVIDGQVCMKVYHFSDPAEKNFDRKLILPGSFNPLHDGHLRLLEVASSMCDDGLPCFEISAINADKPPLSIAEIKRRVEQFRKAGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDFNRMLEILLECKNTGTTFLVGGREIEGVFKVLEDLDIPRELKAMFISIPEEKFRMDISSTELRKSQGL >cds.KYUSt_chr3.8141 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46926746:46931823:-1 gene:KYUSg_chr3.8141 transcript:KYUSt_chr3.8141 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTGTAYPCQCSTNCRTAGTSGRTTDASTREGTVERDDAGGEELAGPSSGILKMSTPSRSRGRFNSIGRRAGWYGRDVATTLRQYSYRQRAAVWSARDHGANFVDLDWPSELPTPKEEKADEEEADEDDSWSFGASSDDGDDLDFRSLTPNYDYEVMENLHMGMLLIYPGGKNKVDQITSIRIIDILCLDDPWWTGSFRSPKHPSELACTLFLPRSRVYYLTAEEMHFKITVHVHASRVERWIRAVKRDFLDAAPI >cds.KYUSt_chr5.21081 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137286993:137289504:-1 gene:KYUSg_chr5.21081 transcript:KYUSt_chr5.21081 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTAAACRPYLPSLHRRLSRRNSSRTPPPPFSPQKSLPFAVKGPEDAATTAACCLLSSMVLALTLASTAARYLLPSMVPDLTPASAAPVSALSLSASAWDQTMRRPGDEPIRGPSTEVRRDRGYGRLLWMRWG >cds.KYUSt_chr7.25374 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158322219:158322767:-1 gene:KYUSg_chr7.25374 transcript:KYUSt_chr7.25374 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGDDTSEFRQQNATSAVSTAFPSCVPFFSTELSQSKCHQQPLRRRSPAAPPGPNFGMSFLHIRLSSPTASPHPNSREPLSPMPPTHPQPIHLPFPSISSVPTTPTQPRRPALPPELFLPSTGPSTPPPAPPPLHRSFTPFAVSAISEATSMTTPSCCSCSTTTTGHQIILVAYELQLSG >cds.KYUSt_chr3.19246 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118495079:118499049:1 gene:KYUSg_chr3.19246 transcript:KYUSt_chr3.19246 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRFNHCGSVCFIHGVNRTDEVEVKKEPEINDNVQTRRAAKRCSLAMPARAQAQAPPQMASPSAPPPSSSWVILGSIARISTPADGADASVALTAPPRVSIVTVTPRVFPDPPTPHFFPFVLAADPSGLLLLQANLHCTPTREVIDLPGETGFTWKYYTSRYFVLDVTTGSAFHLPDPKTDILHQALLGLVVSPAGGGHYMVAELQPFIGTNTATLLCFSTEVGEWVAKPVHYPLEPRPRPWAAIGVLSLHGRLWWVDLSWGVITCDPFADDPVLGFIPFPPERVLKCWEGRRVIDKYRCVGVSAGKLRFVDTYARGGGARKIAVWTLPDADSMEWTLEHEARFTEIWAHRSYKATGLPKKIPTLALIHPKNPDVVYFFLEEHLFGVDVRARRVVECEVYGLVAPPSNRIASRFVRAWELPPSLSSGDEIRARSHRRSPLAGRSSPLLLRLGSPPAAMDDGSSVPWRWRVTYHSLISQRAISQQVHGFLYLRPQALRLILLDADGVTVDARFLMKDEVIIRLMH >cds.KYUSt_chr2.54005 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337128028:337128300:1 gene:KYUSg_chr2.54005 transcript:KYUSt_chr2.54005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKAKASTRSAVSQGRKQLPASAAAVAEQRRAQKAEEGLRTAMYLSCWGPN >cds.KYUSt_chr2.49288 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308426335:308426670:1 gene:KYUSg_chr2.49288 transcript:KYUSt_chr2.49288 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVGATTSRALEPQSDPSPKFLSEASSSSSSRTAEAEARQVWCHDGADADVLDLDSPWVAAAEAELRLEEAAMDGAAAGLRLHARDEADEDEIRNNQERQDDEVWYAD >cds.KYUSt_contig_1467.39 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:309180:312834:-1 gene:KYUSg_contig_1467.39 transcript:KYUSt_contig_1467.39 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAWRRGAVRLVLVAAVAWGVLVLLALAFHLWSCTSSVSFLSALCKNDSKVLYAVDPTGVSSKPLHRCSIPVADDPDAVVIPKRTPNAIVKKLSYLTVDKRDKDSPPLFGGRQNWKQREDSFKLNATMKVHCGFMKNSGADMDDVDMEYIQKCKFVVASGIFDGYDIPHQPSNISLRSQKLFCFLMVVDDVSLDFIEKNVTVRVDSAGGKWVGIWRLVTLHRPPFDEPRRNGKVPKILTHRLFPRAWYSIWIDGKMELMVDPLLILERYLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYHEGMEPWEANKRMPSELADVPEGAVLIREHTTIADLFSCLWFNEVNLFTPRDQLSFGYVLHRLGDALKLFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESRGGLGLWTPYPADLSSVELPAVKRTSQAS >cds.KYUSt_chr1.21054 pep primary_assembly:MPB_Lper_Kyuss_1697:1:124373316:124373522:-1 gene:KYUSg_chr1.21054 transcript:KYUSt_chr1.21054 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAATLTYDSSAPARCIAAVGLTGMMEAAHLTYDILLLALFLLPPTSKALRLFPTPSPISFASTTSV >cds.KYUSt_chr7.16468 pep primary_assembly:MPB_Lper_Kyuss_1697:7:102035409:102035759:1 gene:KYUSg_chr7.16468 transcript:KYUSt_chr7.16468 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEKATTPSPSPPPPRPPPQPPAPEGAPRIRGFGGGGGYPNPPDGAPPDAATLREQWRFAVRQYSRMYSHAWGTAILAGAAFFALGWLVKGSNPIPSRGDTHALKDANANEDKK >cds.KYUSt_chr3.37737 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237433036:237440130:-1 gene:KYUSg_chr3.37737 transcript:KYUSt_chr3.37737 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKAVCPIIVVVVLNLLTIVQPSVARGERMERRGPSELAAEKETTVSFNKVLVVYCIKVLDILDVELLLAGRGGEEEGRWVEDSGSLELVLDGRGGEGEKLYWVTSSASTVWRFVLEAERIHAMALETKQVAACIKKVLRSSPGFFAFLLSSSPVIICTTLLLGVLLSYGETNQPEAGEAAKSTPEISDFKLGNFSTDVQFESDQRISVPSFRSTTENLNERETKRVPSVRQRSGEHGDDVPLLRRVDEEDEKLEHHDIPKALTPFPSMVNFRQQVEAGDGWLFNQEREYKDSFFMPEKAERNTSLFEGLNDKGASFGMFSSSENENVIKHAEMVENMNQAASKLSDILEERSSNEVAGTSRPTYAVSLNQNTEPDELKVETSKAVEDNLLDSSLGSPWAAVGSDDGSSGFDSDGDESSSPDASMTDIAPVLDEIDPLLGAGFTRPDPIPNDDSDTDSHVSSQDHQSDDDSNDEDANDDAKDSGEVNKKDEGQEAAFIWTADDAKNLMDLGYSEMERNRRLEILMVRRRSKKNIIFELDNNLTDVSSNDTGIHDLSRFHAQVSHISVPRRNPFDLPYDSDEAAIPGSAPSILHARKNPFDFLDNLSESAVPAHDNLSAGESPATSHRDIFRRHESFNFGSTNATQARRSSRLKPYFVPETVEGGTSHFERQFSDKSESKLSSVTESDIASSVADQEDHNELDEKDVHKEHVSPALATQDSDLADAGSECSDGINSVDVELDHSDIDEREIALHHFVFERSEEREAYLTSAKGKAHDGDCMVKSDGNSTMPLNPVADLLSWEDGDGENFLGAKSSMASDTTVELSEWLSSPRPAEENELRSGELSLGATNIAEEDGNIISRSYPNNEIPPEILIHGSMDLLTDFEKETLPAISMDLHPIPEERVVENFNIQEKHETATFTVSGTALSDLHVIEEHFDVDTEVSPSSAAASLCPPEVSDSIQSPVEPKDILNPFFSVASEPDRVDMVDMKEELTSAYLLDSDDDADKIYPEPLEDNVIDESFLSELDAVGDFRVEPMRLDQQVPDHSFHTDNPAGGVTEDSLISPEISDDNTMSDASALDSRERSPSVDYLSGSDPEFSWSLRTSHDDPEQTVYNPRRKILEASSFEAVNTELKLPEVTEVSSVNTPSEAYLVVGASELGVTEHEPVTGRIDAEMTPVDAKSLDDIETAFKQANDGADEPNLDTATSHVSDLDVDSEPFEGSEQLHVIEAKSVNDIFSALEEHSRLDVNSCLEQNEDKDGCGETAEFAAQDNLPEGTHAQSLHLATEDTKPELMKTASNMSCTESNTLDDDVNAVFKKLSDGHEESTVKTMQSEDASVKSEGSEHQ >cds.KYUSt_chr3.14371 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87296275:87297135:1 gene:KYUSg_chr3.14371 transcript:KYUSt_chr3.14371 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTLGHHREVTEAGCVRAVLAEAVLTFLFVFSGVGSAMAAGRLSGGTDTIMGLTAVALAHTMAVAVMVSAGLHVSGGHINPAVTLGLAAGGHITLFRSALYVPAQLLGSSLACLLLSFLTGGGAALPVHALAAGVGAAQGVLWEAVLTFSLLFTVYATVVDPRRSVGNLGPLLVGLVVGANVLAGGPFSGASMNPARSFGPALASGVWAGHWVYWVGPMIGGLLAGLVYEGLFMVRAGHLPLPRDDAGF >cds.KYUSt_chr5.12063 pep primary_assembly:MPB_Lper_Kyuss_1697:5:78444477:78444796:1 gene:KYUSg_chr5.12063 transcript:KYUSt_chr5.12063 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPNRNSVWGELTESTPLLLGSLVDKKRGDAVEGGGWESLADRRCAKPTAVLRDPNRGEPAASSVDRRRRSLTA >cds.KYUSt_chr4.39724 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245356382:245360105:-1 gene:KYUSg_chr4.39724 transcript:KYUSt_chr4.39724 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYKCCIFFTRRFAPGEASTPEDVRTLFSRFSGGTPYMGVDELRRYLAATGEPDAGGDAEMDAAERIVDRILQGRSRTPRFGKPALTVDDFHSFLFSEELNPPIRQAKVHHNMNAPLSHYFIYTGHNSYLTGNQLSSDCSDAPIIKALQIGVRVIELDMWPNSSKDDIDILHGRTLTAPVSLLKCLTSIKEYAFVASPYPVIITLEDHLTSDLQAKVAKMVLEVFGDILYYPESKHLQEFPSPEALKGRVLLSTKPPKEYLEAKGGTMKDRGTVPKFSKGENEESAWGREVPDIQDELQDANKDDLLYRERDVDEDEKKARKNAPLEYKHLITIKAGKPKGSVVDALKSDPDKVRRLSLSEQELAKVAARHGPNIVSFTQRNLLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMNGFYKANGGCGYVKKPDFLMQTCPDGKVFDPTADLPVKTTLKVKVYMGDGWQQDFKQTHFDSYSPPDFYVKVGIAGVPSDSTMKKTRVVEDSWVPVWEEQFTFQLTVPEIALVRVEVHEYDVNEDDFGGQTVLPVSELWPGVRTVPLFDHQGFKFKSVKLLMSFEFV >cds.KYUSt_chr4.6545 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38517982:38519259:-1 gene:KYUSg_chr4.6545 transcript:KYUSt_chr4.6545 gene_biotype:protein_coding transcript_biotype:protein_coding METRIRRRKRASWADLPEDMLGDIIRRLPSFADRSRLRAACRSWRAAWRRQPHPPAPWLAVPGHCVSLPDGAIHCVAPLPEDARNARCRGSFGDWLALVPLESSGAAAPFLLNPFSGARIPLPPWTEKEHICKIVMSSAPDSTCLVAAMVDCTVDCGDNRRRIAACRPGEGREGAWWPVSLAFDLQDIAFYEGRLHALPSCDGLMVFDDGELDLLRREPWRLHEEQLPPPPAAYSYDGKLYFSSRRYLVECNGRLLTVIRYVHFNETVMIEVHALEPDDSWARVKGIVGRAIFVGDTCSGSFPAAASASDYMIGGNQVCFVDHEMSISEELDNRSPSADAYGPRDGGVYRSVFRNVERDLGRRPLRTVEAYVMSDRCVNVYRPSESSAARTGRWKLARAQDFPRSIPFGQRLGGAKLNEQDSVVI >cds.KYUSt_chr4.54347 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335922096:335924397:1 gene:KYUSg_chr4.54347 transcript:KYUSt_chr4.54347 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPKLPRPPAPAAAAARRGAPTFTGKLRRHSAWLLLLWFALSVYLFLSAAPPAASPLRPAFLLPRSLAVTSTKPPVRIYVYDLPSRFNRDWAAADPRCARHLFAAEVALHEALLSYPPARPEDADLFFVPVYVSCNFSTPNGFPSLSHARGMLADAVDLVRRDAPFWNRSGGADHVFVASHDFGACFHPMEDVAIADGIPKFLKRSILIQTFGVHGPHVCQEAEHVVIPPHVPPEVALELPEPEKARRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQRYGRNSKFYLKRKRYDNYRSEMARSVFCLCPLGWAPWSPRLVESVLLGCVPVIIADNIRLPFPSILRWPDISLQVAEKDVASLETVLDHVVATNLTAIQRNLWDPAKRKALVFNRPLEVGDATWQVLRELEILLDRSQRSYVGSWR >cds.KYUSt_chr5.26961 pep primary_assembly:MPB_Lper_Kyuss_1697:5:170578958:170580244:-1 gene:KYUSg_chr5.26961 transcript:KYUSt_chr5.26961 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTAAAAGASPAVPPVVLSAAEDTLAATECVGDHLAELLAAAAEDPDAIAELPPLQRARAFLAVPFRGFAVRLRCSGIDPDEHPIRKEFERLTLWQEKLNRLEDWDKAPLRPSTTVNTQAAARFIGRSLSHLTADQKKSMHAISKEEGVGWSGKKRKSQPLPERKSVRAAAEEFLAKASQELIGYNGSGLKGPVRLVPDEDEE >cds.KYUSt_contig_662.197 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1220225:1228860:-1 gene:KYUSg_contig_662.197 transcript:KYUSt_contig_662.197 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTAPKKMAKKAAKKPPGNGTKGATAPFARPRKAPALKKKPEGWTDDEWQQDCLRRKLSTAERKGRRAVELEKKALAARQQQHILAGCIAATNASPYSTNVPVYVPGVFSPSSSAFYNDGPSGTPGCVTPNLSPHYQDALPHGGFNPNNLYSPAYEQREPGPGPDGTPFTGRRGPLEYDGAGAEEDGGVEEEDDEEEDDEEDDDEDEEGGEEEDDEGAGDDDLVEVDADGVRKKKKKASGTRGPKWTPLEDLCLCESWATVSHDSIIGANQKGGKYWARIKAEFDERKLINSDYNKVTMKRSQKAMSTRWAIIQASVNSFHGYHLNLETRGDSGADVAQLFDRAMELYSRHSEGHKPFALMHCYSKLKVNEKWRLTRSSSSKGKDAIDLDAPLATSTGRPTGNKAAKAALADAASSEKTQASIMKCLADVSSTFLSRAEKNDERWAELLKRQEEKLELKKRRDDMSLLRTSIEGMSPRTRAAHNFFKGQILDDIEAKMAAAVAAAGAAASAAAAAQQEQADASSTATPASASASATEQTHHARQRAGSRRGRRARRACVDSGYDAVAQPLPLLLICMHQRPTNGSDTDLAALLAFKAQLADPLRILATNWTPGTSFCHWVGVSCSRRRQRVTALSLPELPLVGSIVPHVGNLSFLSILNFTYANLTGSIPTQLGRLHRLRYLSLAGNSLSNDIPAALGNLTRLEFLHLALNQLSGQIPPEMLMHMHNLRKISLKKIKNGEDKAYVDPTDVLGHQRVSYHELVRATNSFSEENILGSGSFGKVFKGQISSGLLVAIKVIDMQLEHAIQSFDAECRVLRMARHRNLIRILNTCSNLDFRALVLQYMPNGSLEMLLHGSESTRMRLGFLERLGIMLDVSMAMDYLHHEHYELVLHCDLKPSNVLFDEEMTAHVADFGIARLLLDDNSMTNSSMPGTIGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGRRPTDSMFGAQLTLRQWVQWAFPTNLVQIVGGIQLLQGSSLSSCSLDDGFLVSVFELGLLCSSESPDERITMRDVVVTLKKIKAEYIKRITTTTSRSTHTD >cds.KYUSt_contig_534.166 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:1111577:1112107:-1 gene:KYUSg_contig_534.166 transcript:KYUSt_contig_534.166 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAASLLTATPSLLSSASRRRRPFLAALTPSLRLRVLASSPLARESRAACTVALARGGGEATEEERDQAPDWRAPRRGWCWARSSAPRRCSAAARPSAAAAEDSIRASGFGLRVGASLRRLGWPDDAVVFTLTTLPVLELRGAIPAGYWMRLQPVRLTVLAVLGFVFASLIASFG >cds.KYUSt_chr5.10530 pep primary_assembly:MPB_Lper_Kyuss_1697:5:68009750:68010508:1 gene:KYUSg_chr5.10530 transcript:KYUSt_chr5.10530 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATGELGLPGLENTSWPPFTPTHPTHPHVLSLLLSIPFLSPPQNPQNPATCAAAGGINPQNPAAANPPPSPAHRRPSQHRPTPHLRRHARPGHALPRLPRPCARRHRARAPGPHLGRESDYALLLDNRLGKRRFDGGYVHNGPLRATGWVLDKDCDLLRDLLGRYPDYTLTFTGHSLGAGAGVAAMLTMVVVLYLDKLGVVQMGRIRRRQQLGRPTGDKNFVILSEQHTCSTAERFLLFSDPVKKLSELC >cds.KYUSt_chr2.45680 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284900600:284907058:-1 gene:KYUSg_chr2.45680 transcript:KYUSt_chr2.45680 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLHASVREFFGRAHVLLQAAALLLHAGAAHRQRPHLRADVLDKPSPTFWLISRTATVASQLIFVRDLAGLRRVSPPSTSGRSVRPPRSPPSPLGVLCIKLLNGSSAHFLSSTSSTCSCPSTTTSSTLQTSLPCASVSPCELSVLILYSRLPGCFQPEPDAVALVSLPGQRCDRASSSIHPGRSLGRLSLQAVCSVACWRASVFCLGSRRRLRCSVVRSKDSPTSNQPESQTNQQSLVLPECSNTAAFCFLSAQRLVAPGLAAASQQQEPSMASCQLVRSSSSSRMELEDEAFFDTHQELLASPASNPAPAPALPWSSSNALDSVQERKERFLRSMGLECSPSPSPKHADPVCPASEVDDDEEAVPDTGRFSSQSEENDCSMSSWSTEETTSYGDGASDDNSVSGSSKDDGSKVSRSSSSFSFIRRLMSRNGKASGAPRTVERRRSGWLERLGVAACVVDLTGDEPSTSSSDSEQSRGGRYERIKVRSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQFLATGGEDGVVRVWGVSQSDDCKIPTDDPSCVYLKSRRKHGLAPVSADTEKLCKVKSMKKTGDSACIVIPASVFHISEEPLHEFFGHSGDVLDLSWSNNKHLLSASTDKTVRLWEIGSANCISVFPHSNFVTCVQFDPTNDNCFITGSIDGKVRVWDITRGSVADWVDVRDIVTAVCYRPDGKGAVVGTITGNCRFYDASDNNLLRLETQIALNGKKSSLKRITSFQFCPINPSKLMVTSADSKIRILDGTNVIQNYSGLRSGSCQMSASFTPDGQHIVSASEDSNVYVWNRENQDESVWRQAKTTYSSERFQSNDAAVAIPWIGIKPRSHVSLASQMQFKYTSSCSGDCSSTNSSTPRSSAPGIFSLNQELFTEPSCKGPAATWPEEMLPSCSIGANLDESQYKLLRNCSQSTSNSWGRVIVTAGWDGRIRSFQNYGLPVHQ >cds.KYUSt_contig_2008.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:14937:15290:1 gene:KYUSg_contig_2008.2 transcript:KYUSt_contig_2008.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQVAARFVTEVAPPQLVSIMRRRKVPRSLDTIAEDDERELQQLACSDPRHQAATAAASLKRASPAGTRTGGFMRELSSCFSSNVVNGQAAGGSWEGRHKGHGRRDVYAHQLHGN >cds.KYUSt_chr4.8840 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53072812:53074655:-1 gene:KYUSg_chr4.8840 transcript:KYUSt_chr4.8840 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMPSYLAMKTDPAGTASEAAQALIQSDLRELGAAARKLANHAILLGGGLGFGSSLFKWLAFIAAVYLLILDKTNWKTNILTGLLVPYIFFTLPGVLFNLIRGEVGCWIAFVAVVLRLFFPKHFPDWLELPGSLILLAVVAPSLFADKFRNDIIGVGVCLVIGCYLLQEHINASGGFRAAFSKAKGVSNTIGIILLFVYPVWAFVLFYL >cds.KYUSt_chr3.41758 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263512675:263518131:1 gene:KYUSg_chr3.41758 transcript:KYUSt_chr3.41758 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGGDGGDDDEDDDDGDGDDVQLDDGDDGVDFPLREGISPADLSLPESSFLSGVLCPAEAAVSLREELVSSSSSTVLAGCAFAFAFASVFARAAAAALLLLLLIIIILLLLLLSLFLLLLLLPLPLLLGIPFLAAVRWREIIAARRRSFVPPVAPSRRLILAVGVLWQLREVAHCSSIPFLLAAALRKCVNVETSTINMVGSLSLSKQHNGVSHSAAFLLGALLPTLLLFFLASDRLGEKVAIISSLGNGSAHQQMSHANISGDGTAGEMEDRFPGLAELLPKVATDEGTVIITSVNEAWAAPGSLLDLFREGFKNGEGTAHLLNHTLIVAVDAGALARCEAVHPHCYLLEVKSANVTSANRFMTKSYLELVWAKLSLQQRVLELGYNYLFTDVDIMWLRNPFRHINLCADMAVSTDRFNGKAEDLTNAPNTGFYYVKSTNRTVEMLRRWRAARSRFPPTHDQAVFNEIKGELAAGELQIKFVFLEATLFDGFCQFHSEMDRVCTMHANCCIGLENKVHDLRNVAAVWKNYTSQPPSEKMSGNFRWPVPAKCQASMRS >cds.KYUSt_chr4.43342 pep primary_assembly:MPB_Lper_Kyuss_1697:4:268715892:268716182:1 gene:KYUSg_chr4.43342 transcript:KYUSt_chr4.43342 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAATAGCGLSILGEGGEGAGVPTSLKTEAHAARAGIPMLALADAAEIHLSIDGADEVDPDLNLVKGRGGSLLREKMIEGAGAARALSLSRPP >cds.KYUSt_chr5.27999 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177188988:177189621:1 gene:KYUSg_chr5.27999 transcript:KYUSt_chr5.27999 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTSLTEFAPPSVLAVVLEDEYEDEQVEAAVEQADGGQDWLAAFGAGGGGGGSSGAAVGPPSRDWIAAYRARAAPARAGLRRNSADYSKVETAAFLRHCGLCRRLLGPGRDTFMYKGEAAFCSLECRQQHITHEEWKDKCTTRSSAAPPTSRGGRRSSKTDNGGTVAAA >cds.KYUSt_chr2.11554 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73460361:73462628:1 gene:KYUSg_chr2.11554 transcript:KYUSt_chr2.11554 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAALTDGDASSSSCDGDDEYQKFIQKMNPPRITIDNTSSANATVVHVDSANKYGILLEVVQVLTDLNLMVKKAYISSDGGWFMDAEFTIVFLQCLGADSFFSSSKARYVGVEPSSDYTLIELTGTDRPGLISEVSAVLTDLECNVVNAEVWTHNKRAAAVMQVTDTKTGLAILDTERLRRIKERLRYVFKGSNRSQDAKTTLMMGITNTERRLHQMMLEDRDYERYDKDRANVNPTPMVSVVNWLEKGYSVVTVRCKDRPKLLFDTVCTLTDMQYVVFHGSVDTEGPEAYQEYYIRHIDGSPVNSEAERQRVIQCLEAAIERRVSEGLQLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRENKAINTFYVRDAAGRSDVDLKTLEAIREEIGQTVLQVKGNPDHLKQPPQESPTRFLFNSLFRPRSLYSLGAIRS >cds.KYUSt_scaffold_6468.451 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2152773:2155302:-1 gene:KYUSg_scaffold_6468.451 transcript:KYUSt_scaffold_6468.451 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCTSNNEIQVEQYNMDLFQLIGREKEKTDILQLITEHDSAQQPQVIAVWGSPGLGKTALVKDVCKSQGVFRTKNADLAKEYPELLEPAKMILKKCDGLPLAIETIGGYLAEQPRKTAMEWRKVIDQISVELEMNPKFEPIRTALAVPYNGLPYYLKSCFLYMSIFRQERNVSRIRLVRRWVAEGYSPDSSVAHRYFMELAQRSMISPMGSVFSRWDRINSCQVHDLIYDIIVAESMGENLVFRLEEGCSSNTHGTVRHLVVNSNWVGDERELENTVELSRIRSLTVFGKWRKFYISHKMKFLRVLDLEGTEGLTGHHLEHIGEHLHLRYLSLRGCNGVTYLPGSVGNLGQLETLDIKFTRILDLPRTITKLGKLRLLQAGSAYSVQRRTLQWPLGACPCYKKSTVHLFGVNVPRGIGKLKTLHTLRSVHLAWGNATIKEIKALTGLHKVGVFGIDANNGPEFCSAISNLGSLVSLSVRSEEGDLYDCLYSMPSPPKKLESLKLYGCLKKLPEWIKGLQNLVKLKLDTAELSGNAETMQVLGSLPNLSILRLQVKSFQSEGQPITFQGGSFRSLVALHLYFIEVENESLEFEPSSMPELKVLSLKLLNSKTGFFSGLEFLPSIKEVRLSVMLSVIFLKQTENMNDEEGEKESKHRTDRVTENMAKQLAGNQNRPILKVL >cds.KYUSt_chr7.28155 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175867081:175869585:1 gene:KYUSg_chr7.28155 transcript:KYUSt_chr7.28155 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPCLRGLLLLLLALAAAQTQAQAQQMSSFSANATTPWLPTEASRILVSPNLGMAAGFVRSSSAGRYRFAVWVANVSDPGTGNKTIIWYAHNNSTYDALEADGSSTLRVNAAGVLAWTTAANTTVWSNTANTTTAAPKLTLNNTGSLLYGSWSSFTEPTDTLMPAQALPQGSNSSDVITLQSASGRYKLVNSLALNYYESPTVQTGFTYTNMTNINSLLILTDDGKLLLSGGQQLIASDMGARNRLRRLTLDNDGNLRLYSLIPATRVWRVVWELVQERCRIQGTCPGNNTICVPVGANGVSCVCPPGFRNSTVGCDAKKRLGNGDKFVRMDFVSFSGGSTKTASDPDQYMTKEPPTNLAECESKCRSDPSCPAFGYKFGGDRTCLLYSKRLVEGYWSPGSEMSTYIRVAATDNDTNPFTGMTTMIDTVCPVQLALPVPPKQKATTIRNIAIITTLFAVELLAGVLSFWAFLRKYSQYREMARTLGLEYLPAGGPRRFSYAELKTATKDFTDVVGRGAYGTVFRGELPDRRAVAVKQLHGVGGGEAEFWAEVTIIARMHHLNLVRMWGFCADKDQRMLVYEYVPNGSLDKYLFSSSSAPPSAGDGGGAEGEESSSVAASEGKPILDLHTRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLTSKKEKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEIVSGRRNYGFRQDSVGSEDWYFPKWAYEKVYVERRIEDIMDPRILQHVDDDAESVATVERMVKTAMWCLQDRAEMRPSMGKVAKMLEGTVEITEPVKPTIFCVQDD >cds.KYUSt_chr5.20213 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131373927:131380684:-1 gene:KYUSg_chr5.20213 transcript:KYUSt_chr5.20213 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLQKPSPPSVPSIASGTAPDIAFVLSDFIMSHPILTPLRHAVETRRHRRGRCTLLHLMPPPSNNYSKNDASKMESDTQSAAIGLSEEPRFGVYPGEARINKRGESVDEKIRKLDEELSRYKEQIRRTRPGPSQEAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVGFAAEGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEVQESLGRSYNIPDDVDEEELMGELDGLEADMEFESAAVPSYLQPDEEADLNLPAAPTYPTAVPVNRHQANRQTEADQDQTICKKMQAAIGLPAVLPVAARVFPMEACDLVGGEACDAAEMYPETKLGDSASAAAASRVPEEVEREYLSYDEAKTVFPGEACDDLGGEFCEAPYQTGVSKE >cds.KYUSt_chr1.31164 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189047298:189049637:-1 gene:KYUSg_chr1.31164 transcript:KYUSt_chr1.31164 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHHQHAAAAPQPANRGAAVLTGKQKAAAAGGRPEERNARRRALGDIGNLVHAQVQLPEGINRPITRSFGAQLLKKAQANGAGASKNAEAAPPAPAPKPVARRPALKAAPRAEQAAKAAENKKQPEAAAHKLPRKKVVNTLTAVMSHRSKEACGLKSKPKSPVEDIDKLDGDNELAVVDYIEDIYSYYKSAQHECRPIDYIGRQPEIDTNMRAILVDWLVEVAHKFELMPESFYLAIYIIDRFLSMQAVPRRELQLVGVAAMLIACKYEEIWAPEVNDLISIADNAYSRQRILGMEKSILNRMSWNLTVPTPYVFLVRFVKAAGSDKELEHMVFFFAEMALMEYGLVSLCPSLLAASAVYAARCTLKKTPLWTETLKHHTGFSELQLMEPTKVLVASHVRAPQSKLRAVYRKYAGEQFARVSMQPPAVAAQGLA >cds.KYUSt_chr4.49153 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304402411:304403040:1 gene:KYUSg_chr4.49153 transcript:KYUSt_chr4.49153 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAGNSSSSPRSPCCSFPNFLLFLLNNALLALAAAALGPVLLLRPRPTPLGWALVSVHATTLLSALVSLYVLLARRHLCCCLPAHAALAVAALCGQALASYALFRCHDRSIALLGSARDRREQFVLVLLEEALLLGMFLVQAVALAVACAVGRRWAMEHEVTEAEKATAARKLTAPVQAEAGASAVDEKVNTSAGGKRVRWGNTDNC >cds.KYUSt_chr3.36212 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227661794:227663134:1 gene:KYUSg_chr3.36212 transcript:KYUSt_chr3.36212 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAARLLLLLAVAGVLLRPAAAEIKQELFKDDSRQSILFEKFGFSPRGSVSIALTGAKAASKLAKPDPTQLGFFLLSDEALFEAIYQQPPTTDLNPNPDPNTACVLSSPYVIPLFTFADLDADGNYNRTFPISHPDEYSLFFTNCAPETAVTMEVRTDMYNTNLDGTKDYLSVGEAPVPSIYAFFALGYVLFLAAWLYLTLYHSRLSAHRIHHLMSFLLLARMLYCISAAEDQHYIRVAGSSHGWDVMFYLFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMVVIPLQVAANIAAAVVGETGPFLQEWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVVVIGYLYFTRIIVYALKTITNYKYRWVSVAAEEVATMAFYIFMFYMFKPAERNQYFALDDDEEEAAEMALREEEFEL >cds.KYUSt_chr6.4386 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25387930:25390738:-1 gene:KYUSg_chr6.4386 transcript:KYUSt_chr6.4386 gene_biotype:protein_coding transcript_biotype:protein_coding MLEATSEGTASTATYGHRPDALTGSHRAHLAWLSLSGLVKFRSPPCSIRVADIATPNSGRPSIRHKEHRRAGSRLARTHMEPKGPRARQAPPRATASYGAKEPRYRLVGTRRCAAWEDALPPEPRRPRNTAACPLAPPREESRLVEKGYIDKFSQSLSTEYKQYEVDLQCQIPLYVATKMSRVDSASLFVPSPEEYARAGVRCIGYEARCVPHWRHSIQCFFASLLPDLALNTWHLRVGIRKIREMKSPVGENESG >cds.KYUSt_chr2.52938 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330296712:330298703:-1 gene:KYUSg_chr2.52938 transcript:KYUSt_chr2.52938 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLLQVVLVAAAAMAPAAEAWGKEGHYMTCKIADNFLTEEAATAVKGLLPAWANGELAEACSWADSQRFRYKWSSPLHFADTPGDCEFSYARDCHDTKGEKDRCVVGAINNYTAALKDSESPYDPTESLMFLAHFVGDVHQPLHCGHADDLGGNTIIVHWYTRKGNLHHVWDVSVIETAMKDFYDNDQATMIDAIQRNITDVWSNEEKQWEACRLRTKTCAETYAKESALLACDAYEGVEQDITLGDDYFFKALPVVQKRIAQGGVRLAAILNRIFSEKNGRVQSS >cds.KYUSt_chr1.38004 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232076966:232078735:1 gene:KYUSg_chr1.38004 transcript:KYUSt_chr1.38004 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSVGAVLSLLAVAAAVAAAAAEGPAPAPSVEESSGYQNYRHQILKRGGLKAKNILLYDDIAKAHQNPRPGVIISPEGNTEPPLHGSDPVAAPSAEEEVTRWAVLVAGSSGYRLQADVCHAYHILKRGGPEEIVMVCLYDDIAKAHQSTRRGVIVREQGNTEPPLIVLVTQDEHDAAPAPAPSVEGSSGNEKYRHQVRFRWWLWILESRCILTVGCSKLVLICSNILDSNYKISPVQVHYLV >cds.KYUSt_chr7.30463 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189655294:189655803:-1 gene:KYUSg_chr7.30463 transcript:KYUSt_chr7.30463 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWSRRSSPEHDRKARRSGGAWRGGRGAGAEWLVGAGWGEGACHGGSGGPGVRPWRSSWRNGQLLCDAGALRSLQAVPTMEREEEARAPIWVLPGLDLGSPRAQSGSILGLSRVPWASCVALSSARSGLSVGALQPGTCTEWFGGCDPPGLEEGDERVFLGVLGVGGP >cds.KYUSt_chr4.28569 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179510045:179510329:-1 gene:KYUSg_chr4.28569 transcript:KYUSt_chr4.28569 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSVVLPDALADSLIANLFVKAAYRELQLVQFLYDGEAIQPADAAVVAELTRLFDTKRRLLKDLSSAARDAAAAETPPSPRTRRRSGICSRCT >cds.KYUSt_chr5.31960 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202668989:202669735:-1 gene:KYUSg_chr5.31960 transcript:KYUSt_chr5.31960 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSVSICLVITLLAFYFLVPSNAIPLSRVQRLVPLQHAGEVPWAEESTPKPKIDTGRVIPEDGAEVISARMALETQDYAPSGPNNHHKPPGWN >cds.KYUSt_chr5.5332 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33430608:33431720:-1 gene:KYUSg_chr5.5332 transcript:KYUSt_chr5.5332 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLHRVWTPNTGAFPYSVARHARTGGGWMVGSAQVRPRCRGSQAPPTAAPEQTHYLTRRTGASKVVSKLAYTDQFTCLDKAAMLTPEEDALCADGKTPTDPWRLCTMQQVEEVKCLARIIPVWSSGIVYFVVVTQLSTYVVLQAAQTDRRITASSSFQIPQGSFVVFVMLALTLWIPVYDRLLVPLLRRITKREGGITLLQRIGIGMVLSVVTMLVAAAVERRRRRIGPGSPMMSCFWLVPQQVLAGLSEAFAAIGQTEFYYRQFPENMRSVAGALYFLGWALASYASGLMVTILHRTTGWLAQDLDEGRVDLFYLVTGVIAAVNLVYFVACARWYRFKKSDDDHTGSGAGDAGLDESPKKAANAAPV >cds.KYUSt_chr6.22897 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144733124:144733612:-1 gene:KYUSg_chr6.22897 transcript:KYUSt_chr6.22897 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSELAGADGGYAPFTTGMLRRQRSVQAAMAAAFAPCVGGREARRKLGSARLGGKVGHRDGHDGDEEDQFSGRVPAARTGGAGLVRALWMRVVGKAMSRSRSSSKKQYGHEEYTENFDDGAAAGEPDNLPRSFSARYARGRPAGLALPDVAHRRHLHPACG >cds.KYUSt_chr6.5069 pep primary_assembly:MPB_Lper_Kyuss_1697:6:29977875:29978714:-1 gene:KYUSg_chr6.5069 transcript:KYUSt_chr6.5069 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTPFDYLPCFSSRLARHGGSSDDGDDLARVALKSIGFIFATTKAGSVLDYKPSIVAAAAAAPRPARHPLQQGSSAHAPLAALNLADFDRVMAANTRSTVAGIKQAARVMVPRRSRCILCTGSTTGTLGGVPALPYSLSKATVVGVVRLVPEELARPGMRMNAISPHTIATPLLVRSLARANPDVGDETLKRMVERGMSKLQGAVMEPEDMARAAVYLASDEAKYVTGHNLVVDGGFAVGKLINVRPAS >cds.KYUSt_chr3.27808 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173601512:173606395:-1 gene:KYUSg_chr3.27808 transcript:KYUSt_chr3.27808 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKPAPSRLRDCAPPEPSTRSARKSSSARASPQRGTAAVVGITVGQEARVPASIWTRAKLRIDSPLTTDAFPWPRSAVPAGDFSLVWYHFIETVSSTYELRQGSGEEELTWCKELEEMKKEEEMRREEEGRKKKEEKEKEDVGPGGAHAGRARPGTTGRPWRAQTWPRRPCGAGGLRYPGWSLWPGLAGTTGRGTARGTARGTKYPGHLLPQIRHFPEREREEQAARVEKNSWPVPPATPGRYLRPSHQRYYRSPSKPASTAPPSEPAPQPPPGHHRYLRPSLESALGRWSNSSVKTIDSAVYYTLDCRVPSALGRIQRDRQDNTLRPVVGCPCVVASCDCEALLQLLLPPLHDPCTLLGPSSPYGMRMTSLEMILFSEPAWERSSACELISRTRV >cds.KYUSt_chr6.23693 pep primary_assembly:MPB_Lper_Kyuss_1697:6:149792754:149793839:-1 gene:KYUSg_chr6.23693 transcript:KYUSt_chr6.23693 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAADENDAEDVRPSNRTTPPSPPPPPIAPPQPTSLGRRLLGSIRALASRPAPPPAAPAPKVGLGLGLLLHLTPDQPRIPPTPSLSQEDEDGAAAAARPHAAAGAALHLPLPVSNQCSADPDQRRAQAVGGIPRRQICGRGNKEKAPGEQQRAVHRQGAAFLTSAAAAAAGDDQQEMVCTADQLRADSDGGQDAIHPRDGTTCRSTAAVGDQEEKAVQQCGNRELGAAEDDGDDAQDNDQEPAAERGATDTSMDGDDAVKDHDFVLVGQSAIAGTEIKMHAATIHVQDQHRGVGATVPSSEAVSATVNGSDMGTGKKEKVRLPYPQRPGKLNCPSYMSKGTCSLGFSCQKHHPLVKSNIV >cds.KYUSt_chr2.2722 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16277455:16281077:1 gene:KYUSg_chr2.2722 transcript:KYUSt_chr2.2722 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLQDHPRPPPRRTSTVRGRRPDFHHPRQQGNRAQLPRIYHTTRNTDSKSGAFKKVTAQSVAAARSEEQRFSPGERKNSRQRETKLPDEALNRENAAAIKHYTNHSRQDQSTMASSSSAALRIPTPTHHPAAGTRPPPTRAAFVSVRARSRRPVVSVSASLPKQTPPGTDDDADGSTGVPAPLPPSRDTAIALPRPLTSADLMGEASGHGLKVAYQGCPGAYSEAAARKAYLGCETVPCEYFETAFQAVENCVADRAVLPLENSLGGSIHRNYDLLLRHNKLHIVGEVRLAVRHCLLANRGVKIENLRSAMSHPQALAQCEQTLTKLGIEHREAVDDTAGAAKYIAEQKLQDTGAVASSLAAQLYGLDILAENIQDDADNVTRFMMLAREPIIARTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKRPLRVADDNCSTPLKHFDYLFYVDFEASMADPNAQNALSNLKQEFATFLRVLGSYPTDVSET >cds.KYUSt_chr5.17043 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109850164:109854198:-1 gene:KYUSg_chr5.17043 transcript:KYUSt_chr5.17043 gene_biotype:protein_coding transcript_biotype:protein_coding MELIDPTSCSSSRDQRAINRNIKRGHDSPSVIANGITTCPTKRRRETFEEGWQRRIKREVELWLAEATDEEGDCHDLPDRVVLPYQEKPFGQFFMGDDGELALFAAGAAQSVPDSVVSPSLFDGDVMLFACSGVALPEESRAPARNLTRFLTSARLVREFIDKRNEDDKLRVEVRLPDNRATDGFLGLYDDHIAIVTSFDNLIIIDPIDLDHQSPDQPSTTTLTGQMIAFGRAFNSGKLMVQELTPVISIVSDDLDQPREVLFPDFRGFMRKINMLKSLGHPMPPPLMLEANGQLRNTFEDDFGEVCAWEGYEYSLMWRSAGNYIEVFLPPNQRMDGTLELYHSNYNIAIISVEKHFISARPENIFSRSAHKLPKEVVAVGREAAEGLLLASMGKVIDMPRWMSTELHCQDLKMSTCKIKKVGIGGPLITSHDGRLVGMNFYDDTHRTPFLPRSKIVDVLKGIDLPSERGLNCPVNMMDTTTTTEKNRWPVPEAYWYHPLFDDDLDPFRPYVGRVLQ >cds.KYUSt_chr5.4487 pep primary_assembly:MPB_Lper_Kyuss_1697:5:28654047:28655805:1 gene:KYUSg_chr5.4487 transcript:KYUSt_chr5.4487 gene_biotype:protein_coding transcript_biotype:protein_coding MILALRAYGPSCPSPCYGPLTCVFIRLLKQSVMPKGKGGRNRVPFARAKAAADANPRGRGRKRVQPAVEESGDESVADPDVANDAAPPPQAEWRELMQELHTLRQQAQAPVHAPANVQGIMNEPFGPRQVNPLLTWSMVHSDTFNGTGSPVVAADWLRIMERRLEVMQVQPTQKLMFAAIQLKGNADIWWENVRSSLPPEHGTPTWEFFRT >cds.KYUSt_chr1.37928 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231613772:231615768:1 gene:KYUSg_chr1.37928 transcript:KYUSt_chr1.37928 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGHVVVLSLLAHCIVVPTSAAVDFIYNGFQHAADLSLDGGGGHGLAFVVAASKVLPEASAEQYLGLLGKGNLGNSSNHVFAVEFDTVQASGLLNETNGNHVGVDLNSLVSALSEPAGYFTDDGGRNVSVPLESAQPIQAWVEYDGRARVLNVTIAPASVPTRPHRPLISHAIDLTAIFKQDMYVGFSAATGKLASSHYILAWSFRTDGVAQSIDLSRLPKVPKTPAPPPSMSTVIMIVALSCAATLVMVVVAIGAALWLWRRAALAETLEEWELDHPHRLPYKELYRATKGFKDSELLGAGGFGQVYRGVLRRSGDTVAIKRISSNGSQGMREFVAEIASLGRMRHRNLVELRGWCKRGQDLLLVYDFMPNGSLDAHLFGAGVSPSPAMLSWEQRVRILRGVASGLVYLHEGWEQVVVHRDVKASNVLLGADMSARLGDFGLARLYEHGADPATTRVVGTLGYMAPELTVTGKATTASDVFAFGGLLLEAVSGRRPIDPATGVNLVRWVRDHGVKGDLVRAVDERLDGWYDKEEARLVLWLGLVCSQWQPGARPSMRQVCQYLDGEEEMQDDAVLLISDVDSIDFGSLKSLTWSSCATMSAGSLRGGR >cds.KYUSt_contig_2402.46 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000325.1:263915:267826:1 gene:KYUSg_contig_2402.46 transcript:KYUSt_contig_2402.46 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLLLLPASLPCPSPPPPRRGIHRGLTPIRASLPRLGLAASRGVGGETRRGRAPAAVGVSAEGDDGGGGVRTGITAAAAATVVLAVMNRVLYKLALVPMKDYPFFLAQVLTFGYVIVYFSILFIRYRAGIVSKEMLALPKSRFMLIGLLEAMGVASGMAAAAMLPGPSIPVLSQSFLVWQLILSVVILGRKYRANQILGCLLVTTGVILSVVSGGTGGPFLSDVNFFWPAVLMASSACQAGASVIKEFVFIDGAKRLEGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLKGIPLAELPAYVNRGAVCFLNIGGNLNGCPGAPLLPLLFITMNMAFNISVLNLVKMSTALVASLTATLAVPLSIYVLSLPLPYMPGGTSLSTSFLVGVGVLVLGLLLYNLPQNSADQVKND >cds.KYUSt_chr1.1488 pep primary_assembly:MPB_Lper_Kyuss_1697:1:8223827:8224453:1 gene:KYUSg_chr1.1488 transcript:KYUSt_chr1.1488 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVILSFLVLPFSAMALTQDFCVADLPRGDTPAGYPCKEHVSADDFYYSGLAAPGNTNNIFRTAITPASVSQFPGVNGLGISAARVDIAVGGVAPLHTHPAATELIFVTQGTIVAAFISSDSNTVYSKTLYKGDIMVFPQGLLHYQYNIGVTPAVLLVAFSGPNPGLQITLLALFANNIPSDVLPKLTFLDAVQIMKYKSMLNGTA >cds.KYUSt_chr7.30800 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191741388:191742836:1 gene:KYUSg_chr7.30800 transcript:KYUSt_chr7.30800 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGTAAAPHVLVVPYPAQGHTIPLLDLVALLAARGLRLTVVVTPATAPLLSPLLAAHPEGAVRALTLPFPSHPAFPAGVESAKGCPPALFGALIVAFSGLRGPLLSWARARSDTPDRVVAVLSDFFCGWTQPLAAELGVPRIAFSSSAAYGTAVLHSLLRRLPQREDEGDDECSIAFPDLPGAPAFPWRQLTMLYRTYEEGDEVSEAVRSNFLWNLDCSAFVTNTFLRLEAPYLEAPLADLGFRPVRAVGPLAPEADAGGSRGGDTALAAADLCAWLDRFEAEEGSVLYISFGSMAVLQPAHAAALAAALERTGAAFVWAVGPTVVLPEGFEGRAAAGGRGKVIRGWAPQVAALRHRAVGRFVTHCGWNSVLEAAAAGVTILAWPMTADQFVNARLLVDDLSVAVPVCWGGLAVAPSADELVRVLEDTMIVGKKEWGGVEARARELAEQAAAAVGEGGSSWKEVEELARELRQLGNPSR >cds.KYUSt_chr6.20829 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131354869:131362392:1 gene:KYUSg_chr6.20829 transcript:KYUSt_chr6.20829 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSIECVSFSHGLDDHDGDDEAVSRLPRPLLKPSAAAVNLVVVSAGGAGGGGGAAAGPLITPSTSVHELLECPVCTNSMYPPIHQCQNGHTICSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYFSLGCPEIFPYYSKLKHESLCNLRPYNCPYAGSECSVVGDIPFLVTHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARSYTYSLEVGGNGRKMIWEGNPRSIRDSHRKVRDSHDGLVIQRNMALFFSGGERKELKLRVPFFIAFCEKTVANYKKRVGFVKSCELVSMGCASSKQFKRAPPHEDAAVLAKETTFSLNEVEALYELFKKISYSIFKDGLIHKEEFQLALFRNSNRKNLFADRIFDLFDLKRNGVIEFEEFVRSLHIFHPDTPTAEKIAFAFRLYDLRGTGSIEREELKEMVLAILNESDLLLSDDAVEQIVDQTFKQADLNSDGKIDPEEWKSFASKYPALLKNMTLPYLKDITLSFPSFVLYSGTGDEEL >cds.KYUSt_chr1.22696 pep primary_assembly:MPB_Lper_Kyuss_1697:1:134231739:134235466:-1 gene:KYUSg_chr1.22696 transcript:KYUSt_chr1.22696 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPLRRFPTGPRRPPPSPFSQRYARLRPRHSRRRSPLLLAATAAAPLTAADTEGRKHELLRAVQETQRGSAASSDQRAAIEEAIVCVEELGAGEGAPLDLAALDGTWRLCYTSASDVLMLFEAADKLPLLQVGQIYQKFECKDRSDGGVIRNVVRWSIENLLEEQEGATLMVSAKFDVLSKRNIFLQFEEVAVENIKISEQLQALIAPAILPRSFLSLQSICVENVIAHPRYCSSFELFELKFLSVDLKGPCVVAGPPAVLV >cds.KYUSt_chr3.7044 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40641043:40641411:-1 gene:KYUSg_chr3.7044 transcript:KYUSt_chr3.7044 gene_biotype:protein_coding transcript_biotype:protein_coding MENYYYDSACASVEMKRGQEPSAVKLDAAVLEKPYRCGSSEHPSWKHVAEYVPLAGTKRAYEQPEDGTEDNLVDKRYKGNYWEDPACARSLKLCGGTIAKHAAGINESYRFMKDFAASLYTQ >cds.KYUSt_chr2.48923 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306136014:306140572:-1 gene:KYUSg_chr2.48923 transcript:KYUSt_chr2.48923 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVFDQTVREIKREVNLKVLKVPELEQKALTIIEYLIANGSERAVDDILDHYSKISVLSSFEFVEPNGKDAGINVRKKVETLVGIINDKDRIKAVRDKAASNRDKYVGLSSTGSSYRSSSASVGSNYSSGERYGSFSGTREADSFSNSYRDKESAKTSTGNTGSKKTGSKIRKDAKHDRSSSKPPSSTKSNEENFDDFDPRGSSSNDAANTAKTSEADLFGPNLMDDFIDEPAATPAAKGVVEPQVDLFGDADFQSATTSAETAAHQDVQDNVDLFAGNATFAPAFPPQTGFIPPPSSGTSSVANSSVSKKTVPEPFDPFGDIPLSSFGGSDPFGDFSSNVGSSSAPPPIHSSAGNISASSQNLDAASDFGAFESNTKAAAKDPFDFSSSGNFGVATPLAAPKTDASDFGAFVANTEEAAKDPFDFSSSINNGRAGQTPLAVPKSNTKKENVQVKSSIWADSLSRGLIDLNITGPKKVNLADVGIVGGLGDGFDDKAQPSWNMGAGGSGLGMSGNPSTAQRGGGIESLANYNKYQFGYK >cds.KYUSt_chr7.8597 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52131902:52132615:1 gene:KYUSg_chr7.8597 transcript:KYUSt_chr7.8597 gene_biotype:protein_coding transcript_biotype:protein_coding MESDAIIIHRIVMTRGVDWNGSTGNQSLALDHIHGYYKKALDRLPPELIPSLLDAGFCFGFLDPVSNIIANTVSHHLANTVFHELGKGRENKKRSRAGICKNGEKSESRRAAISKIFTESSKDVHLIPRPSDRTNAMLLTSSVAARSLRGLVAFLTSYFRYLTTWDALRYLVLSGADLLVAVHLIQEVRRDARTIHDDPTARIALRCAAISALHPAVDALVSRSFLLASRAASAIPM >cds.KYUSt_chr3.42708 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270009615:270010778:-1 gene:KYUSg_chr3.42708 transcript:KYUSt_chr3.42708 gene_biotype:protein_coding transcript_biotype:protein_coding MERALMSDPMSKLTDDILVDIISRVPYKSTCCCKCVSTRWRDFLSHPDHRKKLPQPLAGFFYQGYNRDRFPKIARYFTNASGEGDPLVDPSLSFLPRYSSLEILDCCNGLLLCRCWKPTDPKTLDYVVCNPATEKWVVVPATEWSNKVSVARLGFEPAVSSHFHVFEFIDEKAWVTDESELNEWTGSLEAVATYSSKSGVWTHPVVVSTILSIPTHSKGVFFNSIMHLAAFDDMVATFDVQGNLQTIIDTPDLPYDSPVNDVFVSRGQLYFTGITRSEFGPSMSVWVLEDYNIGEWTLKHTVSHFALFGTNYSGCNYKVISFHPERDMIFVVYGEENTLMSYDMDCRKRHIICQLGRDCQLEDISDEEKTPFIPYGPLYTESLADGT >cds.KYUSt_chr2.14152 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89527406:89529070:-1 gene:KYUSg_chr2.14152 transcript:KYUSt_chr2.14152 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTLSFSSLAYAFAPYYPRSRPTHPPLRLLLGGLHLSSGHLLRASSLSTAAAAPDADADADPYEGVDTVEHLLAPKPTSPGGGGGGGRMSRLMKLQRRADADGPAPGRGRWFPYLDAFRGAGGVEVTSQEVVEVLEPYILEPRRDRIRHAVKSRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCEANKRYRDNRHVSMGAEKWLDIELWNSAAECFHALKKRGYRIASTCLGTDSVSVYDMDWSHPTAIVVGNETM >cds.KYUSt_contig_1658.321 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:2045398:2048861:-1 gene:KYUSg_contig_1658.321 transcript:KYUSt_contig_1658.321 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGVKGEDLPKPLSAKDFIISLGMENVKDVDTTKVITRYTYVPPADSVEEKTKPSSVDKESLAAPEPDYVMEIFPNSSHRDGSIYSGTDDWKIDYRIVDRNETRDNMNPLLNYIFHFSRDDPIIVKQGSLIHMAGPKRAIELVGTILIEYDMKIKASEHEKEDLQVIDGISCLDNIDTWDRTPFTFRIQGDCGAIDVGVSRLSFAYEATVEVVVSQVQSSFSMCIGCFTSGLDEEIRLFDGAIGESRALKRSVVAVASDDEMELKLKVAADSGIPAEYCCCFQSKQHGRATQEIYTGFALIAVKVTWSTLNKPRKGKEAKAV >cds.KYUSt_chr7.6802 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41036406:41040252:-1 gene:KYUSg_chr7.6802 transcript:KYUSt_chr7.6802 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMGGGGGGRKLGGDACPPSQRQARLTAGSGEASASVSASCSADGSSNGGGMREVLRRGGMRRYRSQLEQEVRNLQRQLQEEIDMHLALTDAFAHNAELILKSSTKLPNKAQELIISISCLEITISKLEKDLDDLRYQLCHVRNERLLVENSPECVLPTSSTSKCTQDEHVSTLRDGKLGEYESIQSVEEYLFPELQEQQDVEKERENWEMVSPSGQLQEHQVAHLNTLLEEHQDEEMQEPGSLKKDVKEYQGIDVLSFSQSDPKKGRMNGNMWKNPNQLSGEMVRCMRDIFLHLSRSSKISPKMSSDNSSSSAGCLSGSTFTSVSDSSLMASVLQSPSVDSDRYDDIIHEVGNFDPYNVNGKEAQRDIGKYCSVAEVSWMNICKEQLEYASDALQKFRSLVEQLSNVDPTLMNCDERLAFWINLYNALIMHAFLAYGVPGNDIKLFSLMQKACYMVGGQSFSAAEIEFVILKMKNPAHRPQISLMLALHKFRVTEEHKKYAVDDTEPMVLFALSSGMFSSPAVRIFSAKNVREELQESMRDYIRASVGINDKGKLTVPALLQSYAKGTVEDSLLADWICRQLTPAQVSAIQDTTSSRKQRLLGFRSFTATPFDSKFRYLFLPDRTETR >cds.KYUSt_chr5.12290 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80164603:80165931:-1 gene:KYUSg_chr5.12290 transcript:KYUSt_chr5.12290 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVVGGTGKEDSARETQLYILALPTAALPAVLISRLDAAVPRKARTCLPRAVPSAWWSGRISFPPPPPAAQDPANEEEGRRFPRPQRVRVAPSLDLDAAEVGGGGEKPAKRLRKCLHCGKKEMRRGTLCSACSHPGALPECRPAASPILDSPLVNPIWEPEVPGAIYLVRKSAAERRPHTHRAEAAPAPAPRPGTRCLHCGSSELPLWIEGPTGRREVCAACGMRYKKGRLLPDCPPAAARPIINSPPESRIWEPEVPPPSVHLPKKSAAPSWRLPKKISKKKKKKHKGPAPWPKDKGKTCLHCGTSETPQWREGPKGRGTLCNACGVRYKQGGLLPEYRPAASPTFLPSKHANMRRKVLQLHRNRQDLSSPVHVDSFMNLPPIRDDRPADTAGHAVEDPASAPGCTDKPIDVPSSLDSLLLDGPSAPLIVESDDFLIS >cds.KYUSt_chr4.33990 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208609904:208610682:-1 gene:KYUSg_chr4.33990 transcript:KYUSt_chr4.33990 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQSLSDPVSGAEMASQLVESHRAGAEVVKGDEACKKRSIEFLEELGLPKGLFPLQDMEEFGYNRESGFAWILQKKKKEHTFKKIKQTVSYATEVTAFVEKGKIKKVTGIKTKELFLWLSLVEVYLDESCADKVTFKTGTGLSDTFDAAALEIGE >cds.KYUSt_contig_1307.88 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000126.1:619797:622731:1 gene:KYUSg_contig_1307.88 transcript:KYUSt_contig_1307.88 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPLLNQEASSSVEEISTKSLFTDAGWFSIITFSWMGPLLDLGRRKTLDLDDVPFVDDNDSVHGVLPKFKAKIVSNSVTGQFTDVTTVKLAKVLVLTTWKLILITAVYALLSTVAAYVGPYLIEYFVDYLNKSSRSTKEGYVLVLIFVIAQFIEGFSTRHLQFRSKQVGVRACSSLVATIYQKCLALSNQSRQSNSTGEMINVVSLDAECVGNFSRSMHDVWILPVQIVLGMLILYSALGLAAFAALAATVLTMVANIPLGTIEQNYQEKTMTAKDARMRAMSEILRNMRTLKLQGWEMFFLSKIKELRKVEMNWLKKNVYTSAMLLSVFFCAPAFVAMITFGTCVLLGIPLETGKVLSALATFRQLQTPIHGLPDAVSMIIQTKVSLDRISSFLCLEELPNDAVTKLPRGTTDVSIEVRNGQFSWNPSSQVPTLQDLNFRIHEGMRVAICGTVGSAPISKDVNPPVSTSTMINVYVALALVTSVFVFIRSGLLVMAG >cds.KYUSt_chr5.10013 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63941230:63944899:1 gene:KYUSg_chr5.10013 transcript:KYUSt_chr5.10013 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPKRCYYEILGLSRDCSPTEIKLAFRRLALSLHPDKQPLGSDVAAATAAFQELQHAHSVLSDPQERAHYDSHRSQILFADHASSSSASASPVPDIFSVFVPSAFSGFSDSGRGFYKVYGDVFDKVYKQEVAYARRMGIPTDSIPTPPVIGNLDSYYTQVTAFYNYWLGFASVMDFGWAAEWDVSRGESRRDRRIMEEDNKKTMRKARREYNDNVRALAAFCKKRDKRVVDMALKKKAEEAKKKVEEMERKKAEERKKKERAMAYQEPEWARVDEDELAFEEEDDEEKKKEELYCVACNKKFKSEKQWKNHEQSKKHKDKVAELRVAFKEEEEALKEANDEGEEDDVGFDFKPAEESEESDWSDAVEELAEELEEGLEMGAEENGDNAKQEVGSFDETSVLEAMLSSHKNKESAYVVPQEEAPPVVAEDDSVDDTSSAVNSVKKKPRRRRAAKKGQDEGNNADSGEGMRHGESGHDNGGNDADDKMEDPFSSNDEGTSSSKGDQLKGKNENPKKNKKNKKGAEKKPTVPAEKKSTSKGKKQKEVSKTRSNDCETCGDTFESRSKLFSHLEETGHAVIKTRQKNR >cds.KYUSt_chr1.8402 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51702910:51703320:-1 gene:KYUSg_chr1.8402 transcript:KYUSt_chr1.8402 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr2.5462 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33799279:33801192:-1 gene:KYUSg_chr2.5462 transcript:KYUSt_chr2.5462 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAWLEQRSVYYLVCLAAVALALLSASSQWLPGRAELRQPARMRLFMHEVLTGPGATAVGVVNGTGPVILVGEPPLRFGQVVMIDDALTEGASPASRSLGRAQGFYAFASMHGPAVLLCMNVVLTAGPYSGSTFTVFGRDNIVEPLRELSVVAPKKEYHEVWIDELAKGGLSLRDAEGLAWRSGPAAVGFYAFASMHGPAVLLCMNVVLTAGPYSGSTFTVIGRDNIVEPLRELSVVGGTGRFRMATGYVLWRTSSWELKKNAVLELDVFVYIHAHA >cds.KYUSt_contig_319.1365 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:9091152:9100347:-1 gene:KYUSg_contig_319.1365 transcript:KYUSt_contig_319.1365 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASDGDGASPASPDQPQAPAPANEDHEPPEPPEDAPTPRKTRLPRACNSKPRPPPPPPKERPRRRAAAGAAADETPQCRVVTPLVSEPDAPADLPRWRLRCMWELGSVLNFLHVFRPLLNITDDLTAEDLEAALITPNGTLYDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLRDWWHWVAEGDLPIVASHGAEIEMYKELEPATRLVILKAICDIRVEQEDIRNHIDSSVKRGYDLSTFRKERIGGDSLGISYWYEDDPILGHRLYREIRRVEQVKKELGKRSKGKRASTPPIVSYQWETVASSFDEFDDVAEKLFSSRNRTEVSLGKKLKIEYLPEIEKIHKKKERSLKKQQREALLLDSYLTSDVTTGRSLRDRKPVTYTFDDYDRSINEAIKITKKRENSAEPVTNLNRRMLTPRPESSSNGKLNGPSPLANELYDGNSSKSDDYRDSDAEEENETLDRRYMSHSTFELRKHQKTSSASEEDEEFRLEEDVEDDDEEEEEFSAGTSEDIEEPQRHTKLRSQNGRGAKRRSVGETQTGLRRSKRSSRPRINYQQYDFSDTDAEAGKAGKSDASDPDANSDGENNMELSTSSQEQQEEEDDDTEELKGSNGNSKIAEDHTVAANKEEQEEEQPQLQLQSVEKTNVPSRESESVGRTFLDLNELAPGAGFDDGPSLAMKDEDMDNS >cds.KYUSt_chr4.9209 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55614121:55622432:1 gene:KYUSg_chr4.9209 transcript:KYUSt_chr4.9209 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADKSPEELLCAAASAGNKDDIAELISSGADPTYFDASGMTPLMHAATGGHAAATRLLLDAGAPWNALSPSGLSAGDLTSDPPTYDLLLDHALRSELILGTVARRQANSSQGVPAESYLDSRVSFSEDRVMDADSKAVMMEWERPLMEAHARAVCSGGGGKVLNVGFGMGLVDQAIQRYEPEEHTIIEAHPEVYARMLKLGWGDKKNVRILFGRWQDVLPQLGSYDGIFFDTYGEYYEDMREFHDHLPKLLKPDGVYSYFNGLCGDNAFFHVVYCQLVALELASLGYSTQFIPLPVKDCLSEHVWEGVRQKYWQLDTYHLPVCQAESEPE >cds.KYUSt_chr3.10273 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61000944:61001318:1 gene:KYUSg_chr3.10273 transcript:KYUSt_chr3.10273 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPLQLKFTGELETEAMAFLEVALMEANMGCEKILKGYRRCRRPGVHPSSSSDYDTIDNVEKDSLEVCSIEVQDFGHQMCAACMLALCCHSKPIRLDAHLNLIFSPLWLNFEFSVHFLIHLGI >cds.KYUSt_chr4.22759 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143137935:143141224:-1 gene:KYUSg_chr4.22759 transcript:KYUSt_chr4.22759 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPPPAPSSAGVGGRGHRRAHSETFIRFPDTDLLLDPDSDFSFSDIDFPSLSDDSPAVSSNPASHPPPLPPHGAAATAPSPAPRPPGAGGAHMRSLSLDAAFFDGLTLQGGGGGGAGHKRSGSMDGASSPSEGESAFSAGLPDYAKKAIPAERLAELALLDPKRAKRILANRVSAARSKERKIKYTGELERKVQTLQTEATTLSAQLTLLQRDTSGLTVENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQVPSMNGNPFNGGLQHQQQMSNYFSQQQQQQQMQFLGQQVRQQHHQQNHLQNSSNGGQSLSGQSLSDSMDYI >cds.KYUSt_chr4.21065 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132737712:132738254:1 gene:KYUSg_chr4.21065 transcript:KYUSt_chr4.21065 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLLCCAFLLGAAAYSNTHMGAAASTTTHLHFYMDDFYTGPNPTALRVVSGRSLSPDNGTAATSPRQFGDIVVLNDPLTEGPDRGSARVGKAQGFAVRASEGGIVSDLHLHLFLEAGEYNGSSVAVNGRIDMDTEMRESVIVGGTGRFRFARGYMLSRNYQYDLTNGGVVELNVYVQH >cds.KYUSt_chr1.28034 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169073268:169074165:1 gene:KYUSg_chr1.28034 transcript:KYUSt_chr1.28034 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSLPGSVITVASSAAALGAASSGAAVTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELNPYQREDAVNSLAYEADMRLRDPVYGCVGVISVLQHQLRQLQQDLTRARYELSKYQAAAAAAVSACNGAPAMADFIGNAVPNCTQNFINISHSTAIGAGVGGFGHDQFAAVQMLARYEGEGAIARLSVNGGYDFGYSSAMGAVGPVSGLGPLGGGPFLKPGTAGGDERHAAGQ >cds.KYUSt_chr7.7590 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45786900:45789576:-1 gene:KYUSg_chr7.7590 transcript:KYUSt_chr7.7590 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVGTLKDLYAEKEMSTDKREERKRWEKEEAMKNYYDVQKRKLDNYEATRAREVDLKEKELELIASSRAKEVELKKKEVKLKRLAEDHINMTANLTAMNEVKRGCPPPNLLPPSVGVEEGEKEEEGESEDCGLQGVIPSQTMRNSLLFAAAIAAMYATAVLGARSPIDANKPDVQWLGRWAVAVHVHEAHDWIRFNKVLRAEIDEDSPLGKTLYLIIDAINRDGKDGKYEAVLNHRAAWRRSLVSFKPVN >cds.KYUSt_chr1.33653 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204442800:204444666:1 gene:KYUSg_chr1.33653 transcript:KYUSt_chr1.33653 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLVLNTGAKIPSVGLGTWQAAPGVVGDAVYAAVKAGYRHIDCAQIYGNEKEIGLTLKKVFDEGIVKREDLFITSKLWCTNHAPEDVPVALDSTLQDLQIDYVDLYLVHWAVRMKKGSTGVSPENVVPSDIPATWAAMESLYDSSNGKARAIGVSNFSTKKLEDLLVIARVTPAVNQVECHPVWQQAKLRELCVSKGIHLSAYSPLGSPGLFKAGNVLQHPVVVSTAEKLGKTPAQVALRWGIQTGYSVLPKSTNEERIRANLDVYDWSIPSDLFAGFSEIEQVKLIRGTFWTHPEGVIKSVEEVWDGEIV >cds.KYUSt_chr2.50314 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314610660:314610959:-1 gene:KYUSg_chr2.50314 transcript:KYUSt_chr2.50314 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACAFFFDAEPVGEPGRHALDACALCAKPLARDRDVFMYRGDTPFCSEDCRHEQMRLDDVRDRHAARRQQRYSSGTAESRRGQREVRKVSMSIAS >cds.KYUSt_chr4.39213 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242027279:242030765:-1 gene:KYUSg_chr4.39213 transcript:KYUSt_chr4.39213 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFLTTIRSLKLIEGYKAAQIYPFSSGASTSGSSGDTGGAGGGAKQPPPPPPRSVSLMSASMCYPHAPSTSGSDSTLPCGLPSAAALDPALDACLRPVDHVSALAASYRRMSSAEAAGDDLCDVYLEQHALFRATGDARLLRRALRAARVQACDPHRRVVLAAWLRYERREDEFDPMPPPLDPCTPTTPLLECPRSAVFARESSGVDPVCPCRRPPPPPPTPRPPRLMRTPSNAFGAAAAAADDDDDDEEEEAETNDLWFIIGQEEVACERSCIAALAKPLNTLLYGGFAEARRDHIDFSRDGISPRGMRAVAAYSRNGRLDDFPPDTMLELLAFANKFCCEGLKVACDNKLASMVRGVDEALSLIDLGLEEAAHLLVATCLQAFLRELPKSLANPEVARLLCSPEGRELLDAAGNASFALYYFLSYVAMEEDMRSNTTVMLLERLWECAEQPWHKQLALHQLGCVMLERGEFKDAQGWFEDAVAEGHVYSLAGVARAKYKCGHKYMAYKLMNRVVGDYDPAGWMYQERSVYCVGKEKMADLRTATELDPTLTYPYKYRAAALLEEDKYEAALEEIDKVLSFRMVPDCLELRAWFYLAAGDFEAALQDVRAILTLDPTYMMFHGKMHGEQLIELLRGHVQHWDMADCWMQLYDRWSGVDDIGSLAVVQQMLAREPGNSSLRFRQSLLLLRLNCQKAAMRSLRLARNSSIHEHERLVYEGWILYDTGHREEALEKAEQSIRLQRSFEAFFLKAYALGDSSLDVESALSVVQLLEQANSCASDNLRKGQAYNNMGSIYVDCDLLDEATECYSIALSIKHTRAHQGLARVHYLKNRKKAAFDEMSSLLKIAKNSASAYEKRSEYAERDVAKSDLNMATLLDPTRTYPYRYRAAVLMDENKEDEAIGELSQALAFKPDLQLLHLRAAFFDSMGDNTSTLRDCEAALCMDPTHGDTLDLYSRASTKADQSQS >cds.KYUSt_chr4.19502 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122622494:122623294:-1 gene:KYUSg_chr4.19502 transcript:KYUSt_chr4.19502 gene_biotype:protein_coding transcript_biotype:protein_coding MANASLQSFLLQHHHSFLNSTVHDGSPTAALRLTTNASTSISFKLFTSSSSSVTTASTAAPTPVASAATTTSPPTPSLELLGQQLAEGDYRQADETTRALLIYLAGEPARRRGYVFFSEVQFISVEDLRAIDTLWMEHSNGKFGYSVQRRIWEKSVRDYTRLFIKIGWMKKLDSEVEQFNYRAFPDEFIWELNDDTPEGHLPLTNALRGTRLMENIFTHPAFDCPEEEAAEEENNDTGSNAGQNKDDSKVRVRPKIMTDFKPDYSF >cds.KYUSt_chr4.40438 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249501224:249508666:1 gene:KYUSg_chr4.40438 transcript:KYUSt_chr4.40438 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPSGDAGVGEGAPGGGEDDDAEEDEAEPPPAAVSFWRLFDFADGLDWALMAAGALAAAAHGAALVVYLHFFGRALNLLDSERVQSALHGDSKELLDLFMQHTLYIIYIAGGVFVAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYVHNMATFVGGLIVGLINCWQIALLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQATSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIARGKADGGQVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTASTNLEGTTIPQVQGNIEFRNVYFSYLSRPEIPILSGFFLSVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQEPALLSLSIRENIAYGRIATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGKSLTDEQKIKISIARAVLSSPSILLLDEVTGGLDFEAEKTVQDALDVLMLGRSTIIIARRLSLIKHADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRCEEATKLPKRMPTKNSRERKSLQFEDPPVSQSFQESSSPKMAKSPSLQRTHGMLQFWRSDTNRNSHDSPKDQSPPSEQTIDNGIPLLPTERAPSIKSLDSFEMEMPDLPKVGIHPIQRQSSKNSGPDSPISPLLTSDPKNERSHSQTFSRPQSERDDTSSEQSEPDELQDHKPPSFWRLAALSVAEWPYALLGTIGAAIFGSFNPLLAYTIALTVSAYYKIEVSDTHHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAMLRNEAGWFDKEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWKVALVALATLPVLVVSAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGDKIMELYKLHLIKILKQSLVQGLAIGFGFGLSQFLLFACNALLLWYISTSVEQQRLTIATGLKQYILFSFASFALVEPFGLAPYILKRRKSLTSVFEIIDREPKIDPDDNTGLKPPNVYGSIEFKNVDFSYPVRPEVLVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVSGQVLLDGRDLKAFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQRQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGAHDSLMDLNGLYVRLMQPHFGKGLRQHRLM >cds.KYUSt_chr1.21948 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129632271:129633145:-1 gene:KYUSg_chr1.21948 transcript:KYUSt_chr1.21948 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSGASAAAAFTPVSQQQQQQQMPAENLSSVMQLPAPVQEETPSDAEQLCYVHCHICDTVLVVSVPSSSLFKTVTVRCGHCSSLLTVDMRGLLMPTTATATPTTTAAMSANSAVTTSTRTSPPPAAAADHRQFHYPNSLTILPPRHSLLDEISSPNPSLQLLEQHGLGALIAAAGGRNAAAPVPLPPPASVGKGPGKEPSPRTNTVVNRRE >cds.KYUSt_chr5.40000 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252790073:252794398:1 gene:KYUSg_chr5.40000 transcript:KYUSt_chr5.40000 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLTYMQNSYFEATDQHGACFARIYRPCARALRCGVLYYFSEAAHGIPAEYSPAQQRGCSDLNTTAFLYRVIRAEDPDLVVFTGDNIFGADSTDAASSMDAAIAPAIAMKLPWAAILGNHDQEGTLSREGVMRHLVGMKNTLSRFNPEGVEIDGYGNYNLEVSGVEGTLLANKSVLNLYFLDSGDYSTVWWIPGYGWIKDSQQAWFRQTSANLQKKYTSEQPTQKEPAPSLAYFHIPLPEFNSFTASSFTGVKQEGISSPWINSGFFTTMVEAGDVKAAFIGHDHVNDFCGKLTGIQLCYSGGFGYHAYGKAGWSRRARVVSVQLEKTESGEWQGVKSIKTWKRLDDQNLTTIDSEVLWNRGSNEPELIRELPIDREAKEES >cds.KYUSt_chr4.6374 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37500379:37501194:-1 gene:KYUSg_chr4.6374 transcript:KYUSt_chr4.6374 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRRCYVRPLAASGRGVCYVPPVALLPKAGGSATTDGGACSVRPPAAHATSRPAGLLQPAVSLATSGRSLSCKAVAAAAHVKSGRRVSLQIGGRRTIRLAARFACKWRWRHCYVRLVAACYIRPVALLCNRRRRLLRPAACSAASGDVVVGASPAALLQVAVALAVPRQRRCCKWRWHLLRTASAVATSGQRCCCKRPCRRLLRLRRRCYHERMRGCERIAYGKRERMLPGEDGGAAWAGVASQRGSSEDLAGGFCRGGGDIFRAAEVR >cds.KYUSt_chr3.5581 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31544088:31547348:-1 gene:KYUSg_chr3.5581 transcript:KYUSt_chr3.5581 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYWIFCVWCLPLTLVVTAVEAQMDGCSSSSKRCGNLTFSYPFGLSDMETGRSCASLDFEVDCLNRTTPVLRGPRFAILTMSYEERSLRVVDLYELTQLRDYNSCHVPRWNTSVKLRPPFKISPANLNLVFYNCTKKAAGVARQDGTLVETRCVNKSDVFVSAGGFYDETSGYTGFEGCDSTVIPVLGSSGKANARDYEQLVSDGFLLTWDPPHLGSGKLNVLNNPGRCHVLNRNTSAELSQVNASDYQELIRDGFLLTWKPPSATGTSSGTTESLASLPILNPTMPVQHLLLLIASFPRLPPTSNAACSPKKCGSLTIGCPFCPEEPCQPCIVLVLSF >cds.KYUSt_chr7.15293 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94883346:94887480:1 gene:KYUSg_chr7.15293 transcript:KYUSt_chr7.15293 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIKQLRERTSAPIKDVKASLVSCNWDIEEAQKDLRKRGVILAAKKSSRTAAEGLLAMAQDEKRAAVVELNCETDFVARNEVFQYLASSLAKMVLSVQEPGKLVFPFAPAYLENMSINLDHPKLSGETTVRDAVTEVAAMVGENVKLRRGFMFSTAGHGVVSSYLHNCPQPGLGRMAGLVTLEAEDSSAPLDALKRVGSSIAMHIVAQKPLFLSKDLVSAAALENERDVLRTQAEKSGKPPMAMEKMVEGRLRKYFEEVVLLEQKYIVNDSTNIKTLLNDLSKEVGSKVTIGNFVRMEVGEGIERPEEADVPQATARAA >cds.KYUSt_chr6.19580 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123235157:123235588:-1 gene:KYUSg_chr6.19580 transcript:KYUSt_chr6.19580 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIHNRPPPWAKTGHRRRPRAEQAEDVDDDGSAGSRVDRWLQLLDPTAMKKPTGGVVPEEQAVIHGVEPNARRGGRPWLVQPAAIACCSKVGQPTLSFSTQGDHQRGRKEHRRMRGGGGTNAEEELGQGEVAAGCGGREGGW >cds.KYUSt_chr5.39647 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250845828:250846163:-1 gene:KYUSg_chr5.39647 transcript:KYUSt_chr5.39647 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVLRVPAASPIPPLFPAPARPLIHLSRRLPAAPMADAKKTDAPPAPAPEPPEKPLPGDCCGSGCVRCVWDIYYDDLQDYQKALAAHEAAGEPSADKASAALDEEKAKS >cds.KYUSt_chr2.5404 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33475526:33476881:1 gene:KYUSg_chr2.5404 transcript:KYUSt_chr2.5404 gene_biotype:protein_coding transcript_biotype:protein_coding MCERGELEPEQAYELFKEFEDKMVSECTELMEAEPPTVDELSEQDKKSVELNDPPGAGPVLRWESTIVFAPGGDAWHPKNRKVKLSVTVKELGLSRHAFRRLREVVGKRYNSGKDELTITSERFDHREENRKDCLRTLYALVEDAMKADVLADDARNAYVKGRLKANSQFMDRLKMKTHKLRQAA >cds.KYUSt_chr3.44914 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283059137:283060259:1 gene:KYUSg_chr3.44914 transcript:KYUSt_chr3.44914 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVKLFGCFGSPVVHRAELALRLKGVPYELITEDLNNKSELLLKHNPVHQKVPVLLHGDRPAICESLVIVEYVDEAFDGPPLMPADPLARAAVRFWASFMDKELRESMWMALWTDGEEQAGAISAAKANRTLIEGQLPEGMRFFGGDTIGFLDIAVSGIAHWMEIFEEIAGVRLLTEEEHPTLYRWAREYTANQTVWLCLSDRDRLLAALAPSREIFVAIAKTMSAQK >cds.KYUSt_chr4.30307 pep primary_assembly:MPB_Lper_Kyuss_1697:4:190071204:190073955:-1 gene:KYUSg_chr4.30307 transcript:KYUSt_chr4.30307 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGLFSLSKHEELSNSMDGAGDDARSSPQVGGESSPGRDAGGGQADVVAMKTKGKKRERRPRYAFQTRSQADILDDGYRWRKYGQKAVKDNNFPSNQGGGRDGTEDDSTIPALIPASLSGGGNGPVPANTGYRIPAVFQVRFSGAGTLDHLVELANDRQLPWAGQDVRYDPFQSMNWR >cds.KYUSt_chr7.37082 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231445769:231451403:-1 gene:KYUSg_chr7.37082 transcript:KYUSt_chr7.37082 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTTFSCVLLLVTLLPLSANASSEIYIVYMGEKKHDDPSMVTASHHDILTSVFGSKNEALNSVVYSYKHGFSGFAARLTESQAEALAKFPEVFSVKPNTYHEAHTTQSWDFLGLDYDVQQSQQQGLLQKANYGEDVIIGVIDSGIWPESLSFDDSGYSPVPARWKGICQAGQAWDATSCNKKIIGARWYSSGVSDEVLKANYMSPRDLSGHGTHVASTIAGGQVWNVSYKGSGLGAGVARGGAPRSRLGVYKVCWVGGSCPVSDILAAIDDAIEDGVDILSLSLGAGAGDEIFGTLHAVLRGISVVFSGGNDGPLPSTVSNALPWVTTVAASTIDRSFPTLMTLGNNENLVGQSLHYNATVISGDFKALVYVRRCDAQTLASRNVTGKVVLCYAPRAAPVTPPRVGLRDAINFTVEAGAEGLIFAQNAANSLTGLAVCEGIMPCVVVDFEIAHRIASYWDITENPVVKVSPTVSVVGEGVLAPRVAQFSSRGPNTPFPSILKPDVAAPGVSILAAVGGSYVLFSGTSMACPHVSAVTALLKAVHSDWSPAMITSAIVTTASVTDRFGMLIRAEGIPRKQADAFDFGGGHINPDRAIDPGLVYDVDVREYTKFLNCTMGLLGDCESYQLNLNLPSIAVPDLKDSVTVMRTVTNVGPAEATYQAVVDAPEGVTMSVEPSVITFTEGGSSKSATFSIILTAKQRVQGGYTFGSLTWSDGSTHLVRIPIAVRIVTQDFVADTS >cds.KYUSt_chr3.44895 pep primary_assembly:MPB_Lper_Kyuss_1697:3:282989541:282990227:1 gene:KYUSg_chr3.44895 transcript:KYUSt_chr3.44895 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVKVIGTRFSAFSHRAEVALRLKGVPYELIAEDLDNKSELLLRSNPVHGGKVPVLLHGDRAIPESLVIVEYVDEAFEGPPILPTDPRDRATARFWAGFLDPKHCWRQLWLALWAADADARSRFGEEAKASLALLEAELGDRRFFAGDGVGYVDVAASGLAYWLAAMEEVAGVSIMEADEFPGLCRWAKEYTSSDAVQGCLPNWDELVAGYAASTEKFKLVAQQGL >cds.KYUSt_chr6.517 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3210462:3211187:-1 gene:KYUSg_chr6.517 transcript:KYUSt_chr6.517 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRQRQDKRQELSQTQQGGAQSQKDASKEEHGASGVVVHKLSPGCAPTPKHRDRLTSPAPQEPRRRVDTGHQRRGSGRSAEGPRPRWESSGKTRREEGHPLRGSSQRRNAGRTQRAEPRAGPGINGRTPGASTRRRPNWPDHAAARGSGLTRGDLKPSRPEPQGSPTMRADAAQGSKERGPLDGEQPRRGAPEAGRRGRRAQPHAGERGPRSQEAGAGATHAEERPAEKGHAPPAEMVW >cds.KYUSt_chr4.10207 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61741780:61743146:-1 gene:KYUSg_chr4.10207 transcript:KYUSt_chr4.10207 gene_biotype:protein_coding transcript_biotype:protein_coding MDETEKAMPAARRPDTASTTPTTVSPASTASSCSSNPDPAAARTPPPTFAVPWARADAGGGYYPGCRKDANCACEICLASINATRDLLPPEAASARRSFAAAARDTRPGSRPLFLARGGSEVTEPWTPPPQLRSTAKSKRPWQWQAAREGQAATPKKGGGSSSPPDWAIYALTVLGFLLLLWVDTGLVPEAAARGYGPKLSPEAVARVGLEARLAPAGLAHKLRAVERGVGQLVGAGRIHNCSSKDSVWRLEQVLSSPFSLFLRVRCLASGCCRGKLFIAKNFLLQNDQHVFHWRCAVYKSAAEEVTVWGSPLRTSGLLPSTLSARHITLLSGKITEWSDGKVWPTVRASNGSSWSYRRRSAGAVQLEPETWVLEYQRSVLFEGTRLLPATVELLASRCSTVAKRARRKLAKKRFYGGAGGIQANPT >cds.KYUSt_chr3.11971 pep primary_assembly:MPB_Lper_Kyuss_1697:3:71402418:71403881:1 gene:KYUSg_chr3.11971 transcript:KYUSt_chr3.11971 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWPSSCLLGSVEKGLMACNECPHQPLAGVISATLRPPFTTRSLTPHQFPWRVGLVASAPRYLYAPLFASVHHFTSLHHSTLHSTTPAHRRKKKKQQQLAVMGAKKRAVLASLLLAALATQAFVAVSARSGPTDKATQDDVKKPDCVPAVDPRSWPGGHPGTTVPLPSHGGSSGSSSPPYHGGSGTTPSHGGSSGSSPPYHGGSGSIPDPSHGGYGTPPSHGSSGSIPDPSHGGGGYGTTPAAPWHASPTPSTGSGGYGSSPTTPSHDGGAYGGSTPSHSGGTATPTPFVPVDPNSAGTCDYWRSHPMQIWSALGSWPSSMSHFFGAAGGAAAGGTSSNVSIQDALANTRTDGAGALLREGAAALLNSMTRSGFPYTTDQVRSAFVAAASGGSDSAAATQAAAFKKANEGSKA >cds.KYUSt_chr3.9784 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57516144:57517681:-1 gene:KYUSg_chr3.9784 transcript:KYUSt_chr3.9784 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATAAAAAASSRRAHRNAAARAESSVHALRRHQQRAAPRPSPPPQPPRPATARARAWRPPPRRHPTRPAPQTAQLGQGPARPGWGHWAILALAFLLLSGSFAWGVYQSRHRPRNLAFVIVTYYLIALLYCCLAKLDLLRRDDPAPAAAAERWRVRMAVWCVSVALANTVAARVADAMPSAGFKVAVWVFTSACIAVGFYFFFVRAGAGRRTQTDGTDLREVSPEQRV >cds.KYUSt_chr3.36776 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231274384:231276170:1 gene:KYUSg_chr3.36776 transcript:KYUSt_chr3.36776 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAHWLSLVGSIWLQTINGPNADFPVYSSQLKELKGISQVQLNFLAFASDAGKLFGWFSGVAALHVPLWLVAFVGAAFGLVGYGVQYLFLDSVGLRFWHLFLLTALAGNGICWINTVCYLLCIGNFASRSRVAVSLATSYLGLSAKVYTSLAETMPRLADSKAKAYLLLNAVVPMIVTVAVAPTLRLFDLKGESMSTTDTALLVMFAITLATGACAVVGSIGSTASGLSSSEHMVSLSVLLAIPVLIPVALRVRESMNKIWEAKRENRIHDLGSDDAVVVIQMTDVITTKEEDTAAAEKPQEEVGGLQLLKKPDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGLEQTSTLVSLSSSFGFFGRLLPSFMDYYSAKYSVFVKATRHVSRQQVLTEFVRLCRSGHSISRTASMASLMAPMAGAFFLLLNPGNFFLYASTAIIGTCTGAITSVAVSATSELFGSKNFGVNHNILVSNIPVGSLCFGYFAAFLYQREAAARGGATCSGASCYRETFTIWGATCVVGTLLCVVLYLRSRSFAGRLPVRLANLLGARQKA >cds.KYUSt_chr1.36631 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223563388:223573633:-1 gene:KYUSg_chr1.36631 transcript:KYUSt_chr1.36631 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCSRFADFVYVGPLLSIDFCGLFPRLWPRFVDSVYVGLPLYIDFRGLFTCLAVRVSALVLIAPTVARLATLPPPAGRGIPVPGSLFLVGRCWFCDWLFWHCATTTFYTVRIVAHRPWLELALVAGMALLLAPACSRGTWLGEGFGRSSRSVWGGVPARSPGGSIKKGGPSPSSNTALAAILEKVRELDVPKEVVERNIKRASEKGQDTYIEKVYEVYGFGGVSMVVEVLTDKITRSIADIRNVVKDCGAKLADPGSVTFRFRQARVVNIKVTDADKDQLLSVALDAGADDVIEPNFDGDDEDSEEDVIERFYKIVTTSENYPVVLSKLQEEGIKFETDNGYELLPLNPVEVDDEAMDLNKDLVLKLLELDDVDAVYTDQK >cds.KYUSt_chr7.32516 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202493969:202502766:1 gene:KYUSg_chr7.32516 transcript:KYUSt_chr7.32516 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFADKTDVALMAVGTVSAVANGMAQPVMTFIFGDVINAFSSAGSSPEVLHRVSKVGKYIQLLSTFFGGFIIAFVRGWLLALVLLSSIPPVVLTGVIVSRRMANISTRMRAKYVDAGDIVEQTIGTIRTVVSFSGEKQAITTYNKFIRKAYESALREGVVTGIGLGSVMSTLFCCYGLAVWYGAKLIINRGYNGGIVISVMMAVMIGGLSLSHATPSITAFAGGQGAAYRMFKTIERKPDIDVYDTKGVILEDIRGDVELKDVYFSYPTRPDHLVFNGFSLRVPNGTTMALVGQSGSGKSTVGLETMVGDHGTQLSGGQKQRIAIARAIIKNPRILLLDEATSALDMESERVVQEALDRVMLERTIIIVAHRLSTVKNADVISVLQHGKIVEQGSHVQLVKKPEGAYSQLILLQETLQESEAPNVDPDVMMENSFDSRSIGRKPRSGSSSFRRSTSKGSSFGHSGRRPCPVPFDLPDPMEFKNGQGLEDTAEKISSGRKKAPIGRLFYLNRPEAFVLALGSIIAAVHGFIFPVYGILISSAVKTFYEPPAELLKGSRFWAGMFAMLGASTLVLIPIENFLFGLAGGKLVERIRSLTFQSVMHQDINWFDKPQHSSGAIGARLSTDTLNVKRLVGDNLALNIQLLSTIIASFTVAMVANWKLALIITVVVPLVGFQGYAQMKFLKGLNKSAKVKYEEASQIATDAVGGIRTVASFCGERKVMDAYEKKCISPTRQGMREGVVSGLGLGFSFLVFYLTYALCFYVGAKFVQEGTATFPEVFRVFFVLVLASGSISRTSALGVDSIKANESAISIFEILDSKSKIDSSSEEGMVVTSVRGDIEFQNVSFSYPLRPNVQIFNNLSLSIPSGKMAALVGESGSGKSTAIALLERFYDPNSGKVLFDGLELQTLKVSWLRMQIGLVAQEPVLFNDTIRANIAYGKQGEASEEEIVAAAEAANAHNFISGLPNGYDTVVGERGIQLSGGQKQRVAIARAVVKDPKVLLLDEATSALDVESERVVQEALDRVMVGRTTVVVAHRLSTVKGADIICIFQSGAIVEKGRHDELMQIRGGAYASLVELSSTSK >cds.KYUSt_chr2.38263 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236935994:236937286:-1 gene:KYUSg_chr2.38263 transcript:KYUSt_chr2.38263 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLSRSPLPLLALLLCLASRAAASSSFPLPTIAIAAVGTNTTSPHHLACGLVSTGTGYQLSCASVSNRSASPRNYGYGGGSSTPFSAIVAGDGYLCSVGPTSSPPMSMRWWDLNGSDDLSKRVYRGAGLSAVAGGGEYVCALVDNKIKCWRWAWGALPEDVGFSAMAVGGRFVCGLVVGTGEVKCYGDGDAVGREPRGRHMLISAGERHACAVNHAGMLGCWGETAAIAAAAPPKLIRAVSTVAVGDALTCVLWGNWTASCWPEEVPPELAQQQFVALEAKGKVVCGVLMSDYALVCWGGGVATGVSKVFDKVLPGPCAPSKSCPCGVWSGSAPLCGVGGGAAICYPCGYTPPLMARTPTSNSSEWTPHVRKKRRPSDLVIAMISVGIGSGLVALFAAFLVAYCLRRRSLQPQQRLSRIPGASTRSRR >cds.KYUSt_chr7.3601 pep primary_assembly:MPB_Lper_Kyuss_1697:7:21442725:21443198:-1 gene:KYUSg_chr7.3601 transcript:KYUSt_chr7.3601 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTKPTPTTNNSHKQATTMGLEQARERAGRNNYSIIISKKKKQSCAAPSASSPPWPARPKETTQPTSLPTSLATMAGQGGKGPSSHQLTTPRHLIQGRSRRRAPDEDPPWIWLEVVGDGPPGAAPEHQRGSQPLPPSDLASAQELIKRRQEARWI >cds.KYUSt_chr3.22720 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140479424:140480959:1 gene:KYUSg_chr3.22720 transcript:KYUSt_chr3.22720 gene_biotype:protein_coding transcript_biotype:protein_coding MESYKKAVTTAASLAASAMLVRGVVNELVPYEVRDLLFSGMGYLRSHMSSQHTIIIEETEGWTNNQLYDAARAYLATRINTDMQRLRVSRVDETKSMMFSMEEGEEMADVHEGTEFKWRLVCRDNSGASSSNGNGRGGNGNFKLEVRSFEMSFHRKHKDKALTSYLPHILAMAKKIKDQNRTLKIYMNEGESWFAIDLHHPSTFTTLAMDHKLKQSVMDDLERFVKRKEYYKKIGKAWKRGYLLYGPPGTGKSSMIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCTVELQQRDEGQEGTKSNPSEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHSIDHHATYPEIEELIKEVMVTPAEVAEVLMRNEETDIALEGLIQFLKRKKDGAKDGKAENVDQALNEQEQKKETTMKSDAPDNQIHQDDSKE >cds.KYUSt_chr6.26128 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165516173:165520611:1 gene:KYUSg_chr6.26128 transcript:KYUSt_chr6.26128 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREKSKQRGGGGGCSPRSRKGEFPINAEDYELLEPVGDGATAVVRRARCLPLGGEVVAIKIMNMTLRSEADVNNATEEVKTMILTDHPNLLGAYCTFLVDANLWIVMPYMAGGSCFHLLKSSFPKGFEEERFIAFVLRETLRGLEYLHAKGHIHRDVKAGNILLDQYKGVKLGDFGISASVYDSMINRNGKRHTLVGTPCWMAPEVMEQKEYDFKADIWSFGITALELANGHAPFSSQPPAKVFLMTLQHAPPSLHNTKDKKFSNAFKRMIGACLIKDPSKRPTAQMLLELPFFKRVKSEDNYVKCMLNKVPSLVARMQTIKENEAKLQAEKKQNDKIKEKTSNDEYWRGVSHWDFDIEDLKAQAKLYSEENDSDEEEYLRFLFELDTVDETVPLQDVHPQNHSNGDEKVMKWKKNQPQQLQSRYLKGVDEYLGKSSIQKGRFKVTTEETQEASTPTVKDLLQQIATLERQLHLSQEEIARLKEKGN >cds.KYUSt_chr4.395 pep primary_assembly:MPB_Lper_Kyuss_1697:4:2094534:2098998:1 gene:KYUSg_chr4.395 transcript:KYUSt_chr4.395 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSELGAANAVKWPGLMRSSKVCCINDAAMGQNKWLNGNRLTGLIPRELTGISSLKVVNDSRPDWGFLWVWLKRKKGIKLGEEQHVVIEELGKEKEKQGDVVLGKKKAYSPRRIEEEEEKNGITPIAGISPSVATAGQELRTFRPPRCPTLTSTEAKLLVEKEASIGPH >cds.KYUSt_chr6.17294 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108918272:108926923:1 gene:KYUSg_chr6.17294 transcript:KYUSt_chr6.17294 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASLRFLARRRHHLRLTGVPGARAAFLSDAAEDLPRAGPTPPPPGRKVLESFREEFEIGGRLIAFETGKVARFANGSVVISMEDTNVLATVAAAKSSDPVRDFLPLTVDYQEKQFAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPRGFYHDVQITVNVLSSDGKQDPDVMAANASSAALMLSDVPWNGPIGVIRVGRIDGNFVLNPTVDELGLSDLNLVYACSRDKTLMIDVQAREITERDLQAGMKLAHSEAVKCIDPQIRLAKRAGKEKKEYKLSMISDESYEKIRTLSEAPIEEVFTDKTYGKFERGEALQNITESVKAKLEEECDEESLKFLPKAVDTVRKQVIRNRIIKEGLRVDGRQLDEVRPLFCESSTYPILHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPEDDFPYTVRINSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSESDPATGDISNYRILTDILGLEDHLGDMDFKIAGTRRGITAIQLDIKPAGIPLDIVCESLEPARKARNQILDRMDQEISSARAINDGSGPRLATLSFSSDSLRKLLFHRKKIEKDTGARVSVSDGTVTIVAKTQPIMDKALEKVEFLVGREIEVGRTYKGIVSSIKEYGAFVEFNGGQQGLLHISELSHEPVSKVSDIVSVGQVLSLTCIGQDVRGNIKLSLKATLPHRHKKELASQDTAPLANQDLVGWAAVENMASKDSDIEQSNSKDEDSTTEETPAFSSPAVIIRSAADCDAQDVADAPTKKQSKAASSKAAKSSPRVYKAAKERQEAKPASPKKASSTSTAKKNKKVKADDSGSNGLDAIPEQDISNTLKCSTSTNFRSGSMKLGDVVTVKVYQIRAYGLVLELSDGVRGMHKFVFHHLLSGTEVRVNVLQENGRKDFEVGEEVLAKCSSFNAKGVPVFSLLD >cds.KYUSt_chr6.10516 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65076262:65083002:-1 gene:KYUSg_chr6.10516 transcript:KYUSt_chr6.10516 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSWIRPCLDRSRRLRVSTMKVEGKVGISNKDESRLKVVVGGGKHKKNARDAWNAFMFEFRTVYRTQHPNASSADVMSAGREEWRNMAEDVMRDTQEEWRNVTEEGSKKAVNKGPEKTRATFREDGGAVWCYGDIYGGPGMVNALISLGDGFEIDGGGELCVVGNGAPTGFCFLDDVCWCTVREYGLVHGLPFIIDTIREYESVSIDYHASADSIYPKPQTEGIAEEYPRQLAPSVGTLLAQDPSSEDPAMSSAASSTPSSSSSLGSPIRFGYYEFTPHIDSSRSTFSGLQGNMDMTFGSVHCNVNAEGVLRLLEPFASRSARKSPPPAAGSIMSSSIDLSAGLTDSMNSSSPSTPRSTSSMSVGSDNPVLLEMTSYYCLNCDTRHGLGSSDTPFI >cds.KYUSt_chr4.34886 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214238444:214238842:1 gene:KYUSg_chr4.34886 transcript:KYUSt_chr4.34886 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSFSGMGNGGGAGAGGHHQQVVDGKLIQTFHKSFVQVQSILDQNRMLISEINQNHESRAPDSLTRNVGLIRELNNNIRRVVGLYADLSASFAHRGGMDGGSSEGDSSGTLRSPDAAARAAAGQKRVRPG >cds.KYUSt_chr1.28333 pep primary_assembly:MPB_Lper_Kyuss_1697:1:171201965:171205805:1 gene:KYUSg_chr1.28333 transcript:KYUSt_chr1.28333 gene_biotype:protein_coding transcript_biotype:protein_coding MMKERPSSKLVRGARQESRRFRLLVIVVGFFLVSLTFVVVSKPEAILFGLSGKLPTDEAPASILIQQPVDKQAPVVASKKIPTGALGGDPKVVDDEADVKPKEIRDGKEEENNVLSEPDPASGMTDPTHNRDGSGHKSDSEMLGEERKDKEKKVTLPTVSNYTIHDADDPSNAKQEGASNTQQQGSKPLCDFSNFRANVCEMRGDVRIHPKATSVLYMEPEGSQRDEVWKIKPYPRKGDEFCLSHITELTVKSSKVAAECTKYHEVPAVIFSLTGYTGNLFHDFTDVMVPLFTTASEFNGEVQFLITDMALWWTIKYHTVLQKLSKYPIIDFSKDDQVHCFKHAIVGLHSYMEFTIDATKSPHGVTMVDFNRFMRGAYSLGKDTAVMLGENPKVKPRLLIIKRHRTRMFLNLDEIIAMAEGLGFEVVIDEANVSSDISGFAKLVNSVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLDWISRIDFGDPAEMMGLRYKQYAIGVDESSLTDQYPRDHEIFKNPISFHKRGFEFIRHTFMDKQNVKLDCKRFRPILLEALDNLNP >cds.KYUSt_chr1.437 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2318736:2322019:-1 gene:KYUSg_chr1.437 transcript:KYUSt_chr1.437 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLGIFVLCLHLRFGSDYSVAVEERTRNLYRSPAGGNHFVLKWERWCFQVDSSSSSGCKEEGGRIQWLLTNLSGWWRRIWDLRCSGGCPGRRATRRRAMAFVARPLHRFTKRLVRDEVLQVQGLLVARLHLRWVLPLLRSLVMADGELSTEDPRGFSVSILSSCEVLCAVGLVRVSYLVTSGEFLRVTSYGVDVEEDEMHAPLKMNTVELRAMADGSGRRRGHRFRVYWDDQDGAGDAPWRGGPATGGEGTGHHRSDNGDENLRRMAAVTVGEAIERGRESVERPGRTRRVPGA >cds.KYUSt_chr5.29617 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187843389:187844582:-1 gene:KYUSg_chr5.29617 transcript:KYUSt_chr5.29617 gene_biotype:protein_coding transcript_biotype:protein_coding METTASWRLLPPGSSRLPALLQHNRQAPSPSFLQRPVNSNSRILCLLHDKPAPAAQSSVQLQKLVTVLQCGAVWAAVEAPAALATVSGEEDLDLLGILPPIAAIAFVYLFIAPPIIMNWMRQRWFKRKFLEMYLQFMFTYLFFPGLMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLYKDRYPQIETFRERFYKE >cds.KYUSt_chr2.8239 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51815239:51817782:-1 gene:KYUSg_chr2.8239 transcript:KYUSt_chr2.8239 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRSISTLLHTYYSSSTAAGRGARRLGFAPRLAGGFRAPSKASSVSVLDEAARAAGSVRRRASTRAASWDSEKSPYETLELERDAEDDTIKTAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDDERRKAYDKEHYVNPMKASQAWMEWVMKKRKAFDKRGDMAVAAWAEQQQRELTLRARRLSRSKVDPEEERRLIAKERKTSMEFYNTTLKRHTLVLRKRDLMRKREEEDKNNEISRLLAAEGLELDTDEDDNKTFLK >cds.KYUSt_chr7.39727 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246976644:246977306:-1 gene:KYUSg_chr7.39727 transcript:KYUSt_chr7.39727 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNCYSLVLVVVALVSAPLAAVAGDPDILTDFIVPASMVGMPPMNITGDFFTYTGFRAAMNMTMPMPSPALQNFTVTKATMMEFPALNGQSVSYAMLKFPSESVNPPHTHPRAAELLLVLNGTLCVGFIDTTGKLYTQDLAEGDMFVFPKGLVHYQYNPGQSPAVALSAFGSAAAGTVSVPVTVFGTGIDDAVLAKSFKTDVPTVQKLKAALTPPPKK >cds.KYUSt_chr2.53334 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332794561:332797338:1 gene:KYUSg_chr2.53334 transcript:KYUSt_chr2.53334 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIESVKICMSETLGKAAASGLNVDAGLKAIGITNQRETTVMWSKSTGLPLYNAIVWMDVRTSSICRRLESELSGGRTHFVETCGLPISTYFSALKLLWLMENVDAVKDAVKAGDALFGTIDTWLIWNLTGGIGGKDTDGKELLGQHVTDCSNASRTMLMNLKALDWDKPTLEALGIPAGILPKIISNSEKIGVVASGFPLAGVSISGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEVTQSSHGLLSTIAYKLGPHVPTNYALEGSIAIAGAAVQWLRDSLGIISSAAEIEGLAESVQDSGGIYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAAGVWTKEEIFAGLHKDNTTVFRPRLDDAHRKKRGDSWYKAVSRSFDLADLSL >cds.KYUSt_chr7.31518 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196335258:196338653:1 gene:KYUSg_chr7.31518 transcript:KYUSt_chr7.31518 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFDSWDEFVDRSVQLFRADPITTRYMMKYRHCDGKLVLKVTDDRQCLKFKTDQAQDAKKMEKLNNIFFALMTHGPDADISEVSGKEQAEQQQSKKGRGGRRQ >cds.KYUSt_contig_2701.36 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000455.1:244732:245880:-1 gene:KYUSg_contig_2701.36 transcript:KYUSt_contig_2701.36 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTCCCLRNGAPSQSYFKNGAPSQSYFKPSLPYTIARSTSPPLQPCRCSISIFRCILTNSKRATGNSVVDVTAGRNTRPRGGFSFDLEQYMSANGKAVHEALDRALPVCQPERLSESMRYSVLAGGKRVRPVLAIAACELVGGSAAAATPVACAVEMVHTMSLIHDDMPCMDGDTLRRGRPANHVAFGEYTALLSGDALLALAFEHLARGCADLGVVPADRALRAVAELGNAAGAGGVAAGQVADKASEGKPVSLAMLEFIHLHKTSRLIEAAAVCGAIVGGGTDGQIESVRRYARSVGLLFQVVDDVLDVTRTSEQLGKTAGKDQAADKATYPKLLGVEQAQAYMTELLAMAEAELQGFAAERAAPLQHLARFIAYRQN >cds.KYUSt_chr2.6646 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41469356:41470429:-1 gene:KYUSg_chr2.6646 transcript:KYUSt_chr2.6646 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYWTWLPAAVLLLATTHAVAAASGTQTTTEASVIRPPAAGARYTPGAFREAPAFRNGDACASPSSSSRVHVAMTLDATYLRGTVAAVFSILRHAACPEDITFHFLAAHRRDADAVRHTFPYLDYRVHRFDPARVSARISRSVRTALDQPLNYARIYLADMLPRAVARVIYLDSDLVVVDDVRKLWSVDLARDGHVVAAPEYCHTNFTKYFTDAFWSDANLSATFQNRRRRPCYFNTGVMVMDVARWRKGGYSKRVEEWMAVQKQEKRIYSLGSLPPYLLVLAGEIMPVDHRWNQHGLGGDNVEGRCRSLHPGPISLLHWSGKGKPWLRLDSKKPCAVDHLWAPYDLYNAATALED >cds.KYUSt_chr4.36780 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226130774:226132642:-1 gene:KYUSg_chr4.36780 transcript:KYUSt_chr4.36780 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVHNFVDLLQQNGADKNLGFGSLMPQTSSGDQCVMGEGDLVDPPSDNFPDAGDDDSDDDVDDIEELERRMWRDRMKLKRLKELQQTRGKEQAAGGGGVGDGLKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRAWWKEKVRFDRNGPAAIAKYQADNAVPGSESELASGTASPHSLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGISPPWWPSGEEEWWPELGIPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEISTWLAVVKQEEELFMRLHPGARPPASAGGIASAISFNASSSEYDVDLGDDCKGDEAGTHKMAMADPTAFNLGAAIMNDKFLMPTPKEETADVEYLQKRSAEPELMLNNRVYTCNNLQCPHNDYSYGFLDRNARNSHQYTCKYNDPLPPSAENKPAPPPMPQVFPAAYNQPNQALNNLDFSVPMDAQRSIAELMNMYDNNCASKNMGNDDVTIIERPNALTPRMQMDEGFFGQGNGIGGNGDGMFNDVSNMIQQQQQAPAQQQFFIRDDTQFGSQMGNITAASEFRFGSGFTMPGAVDYPQKNNDGSNWYY >cds.KYUSt_chr2.27792 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170673150:170674747:-1 gene:KYUSg_chr2.27792 transcript:KYUSt_chr2.27792 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAAFRSLLRPTAIANSNPLPPSHFSFKSFQQHRVGLRLFSSHRLNRPILLPASAASGEEFSSDGEYYSEEEEEGEEYGEKEGEEAEPEAQAVRGYYPPRTRPALGQEPGRIYVGNLPYTFTASELTAAFSEAGSVDNVQIIYDKITDRSRGFAFVTMATAEEATKAVQMFNGALLGGRTVRVNFPEVPRGGERTVAAEAVARTSLRVVDDGTYKVYAGNLGWGVRADALKAAFEGQPGLVGSRVIFERDTGRSRGFGFLSFQTLEDANAAIQAMDGVELDGRPLRLSLASQNPPAGSTPSTVQSRQEETASDGSEAEADMEESNLQTTASY >cds.KYUSt_chr4.49256 pep primary_assembly:MPB_Lper_Kyuss_1697:4:305024940:305031751:-1 gene:KYUSg_chr4.49256 transcript:KYUSt_chr4.49256 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYTTLNPVVSKFFCSSLQAVLLVRRRPPTVTGGGFVVTDREQRVVFSVDGCGIIGASGQLVVRDGDGTAILFIYKKGGVVQALSVNNRWRGYLMDYGEPSKPVFTLQDPKVLLSCMPGDVRVTVEPKGRKRQWDYEVTGSFPERACAVKSRAGHVVAQIGMKGMMAGRDFYHVVVQPGYDQAFVIGVIAILDNTNGESTRCMLGNVRVTVLPKGMKRQWNYKIRVKGMMAFVDFYHGWCKRAGDEPSWGAAGQGRPAEQRSGDWSRRWGRAEALSRGRRLETKAAAGRWNGDENTDLLDLVRDAEALAEAEKEAEEERAAHAAVDAEMEQRRVAAAAAAEDSDSNISWSSDDPDAPTPEEKAAEQRAIVESFETLKDDAVNTRLRQCLLENAAAYRALAAAREAAEKQTREQRNDGAGPSGSK >cds.KYUSt_chr3.29481 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184542083:184546357:1 gene:KYUSg_chr3.29481 transcript:KYUSt_chr3.29481 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPCLSRAASSLPPDARSWWRHVGPVKRRRSVTGGIHTLVFRRCPPARPRLQILISFPLSALGAFAACVDGVWETAAGGAGFRRHPDVIRPWGRPTAPMDGSRAAAAAAPPGGGGRTWGDGEGGGRAAEEDEGGEVSLREWLDRPGRAVEAPECLHVFRQVAEAVSVAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDASGSDASEEDGADHHDADDDAQPPRRPNNNNGAAQARDGHHKGFPLKTVLAMELNWYTSPEEADDGPGGATFASDVYRLGVLLFELFCSFETLEEKMRAMANLRYRVLPPQLLLRWPKEASFCQLMMHPVPDTRPKMSEVLQSEFLNQSRNSLEEREAALRLREEIEEQELLLDFLLQLQKRKQDIADNLQDTVAFLSSDINEAVHQQSALGQCGNFSIELDKEVSSGTVEDQSDCGSRKRFRPELLAVDMEEHNRSMEECSRTVPSSVVIQESVLSKSSRLMKNFKRLETAYFATRSKFSSQVGNPVSSRHQVVKRTTGSAVGTEGSSIDDFALEGHSGRRQRGWMNSFLEGLCRYLSFSQLKVRAELKQCDLLNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMPNRSKLSCICWNSYMKSHIASSDFDGLVQVWDVTRSQVFVEMREHERRVWSVDFSLADPTKLVSGSDDGSVKLWSMNQAILFLHLLLGVLALSEQEQMSALYNFNLIPLAPLQLAQQITRFTAMIFATYEPLTVH >cds.KYUSt_chr5.40485 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255599897:255604994:-1 gene:KYUSg_chr5.40485 transcript:KYUSt_chr5.40485 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVEEVGSRMEAQLRLHAGPAESGDLPLPALFDRASHLHALASSSSLDQVPFLPPSLPDRRPSICAIPPPPDALGFAWQEGIRRGVDLLRRCDDMVSNLGLFSSNETKDDVSTANLKYLLVPYYLGEMTEQVEQEDRIPVLKAAQDHLKEFISTCEALELVPENELELSRQGRPETAANRREQKVARFRRQKAAETKLQEIRERKERRGRSLRAAALSAPIEAGEEDDFEDGGEEEREAWLATISLALCKAFDLVDMLKKEEEMLLAVKERKEKDGGAFAREMLDERTQKAEAWHHNAASRAEYSKPANPITCATFAQDVIEGRASVSQAHDHKHQPMIFGPASLVGGGLTSERERMAAQVFQPSYRMPTMSIEEAGLTEMKIMEEWQENTAKIIKEATSSWHKDDTSRAEDDEDAEEEKARAWDDWKDENPRGAGNKKLTPCG >cds.KYUSt_chr3.45589 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287229653:287232097:-1 gene:KYUSg_chr3.45589 transcript:KYUSt_chr3.45589 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGLLYRALHFSSMAISHRRSVAPKPLARSLALGRTRFAQRINGRHLPTRFLSRSIARVFGTASLHNPFPSPLPSLLRPTRAKTPTAPPRPPSDMAAAAAAGSSAASLAAPIPHGDKAAASGGGEGAADCGVCAICLDNIALQDTALVKGCDHAYCVTCILRWASYKQSPSCPQCKHPFEFLSVHRSLDGCIHDYLFDESVCLLLRATWFEPLVVAAHEEVLEEEEIYRSYQYQYDDGDEDDLYDDNYYMSRSPSIRIGNRRWGDNGYVRGGRREARPVVVTAPDAAAVPARTPKKKEASASGSGSVSKDVAGRRARRAQKREAADKAAAEKHLKHLQRMGRGKIPEPLPEAAALPEALAEAPLEAKALPEALEVGPQAVE >cds.KYUSt_chr2.33173 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204761670:204766424:1 gene:KYUSg_chr2.33173 transcript:KYUSt_chr2.33173 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGGVEEAVRIRKRCALSSSGASNPAGSRRPAVLLRRRRRRQGGAGAEKANMSESSSRSRHSRRLVPDGVPRSSASARKLVAAFWQTDKDRLFGEEEVAEKHAAASRSLVPRSYASTEVSRSSRSRCRVFEEAGGRKGSYRNGHGMSADVMSSCSAMEIGTRSQDDLSRCPEEKTIQLKDLYNSLIASKELAKVLAHIWGELNPSTVSLISALRSELDLARAHVRRLIKEQKSGRSEIDYLKKELTEEMESWKAKQKEKATTSLQYIVTELDHEKKSRKRAEKTNKKLGAALADTESSLRAAMKELERERKSKGRVEKICSELVRGIGEDKAEVEALKRETEMAQEDLQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAVNQLHEELQAYLDTKKDQEPAKIDPIQSPHETGAIVDNASSGGCSDGSEECDSEGGDMHSIELNVDDNKFYTWSYTPSSRDNQRGASMNGSFSDRGMDGADSRSVGRKTFEEMDDALEGDWAEGCSNGMLSFDHDDERYQAIKNLREQMIAGSGLIKSQGNENAEREFCTF >cds.KYUSt_chr3.2364 pep primary_assembly:MPB_Lper_Kyuss_1697:3:13486758:13487580:1 gene:KYUSg_chr3.2364 transcript:KYUSt_chr3.2364 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAAEEAVPLELSGLRQRIHSGAAASCCPQRIPTHLQPSQADYTPSLTIDDCAGLTDDISSTNQDNLHLIFARG >cds.KYUSt_chr3.34421 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215929579:215931135:-1 gene:KYUSg_chr3.34421 transcript:KYUSt_chr3.34421 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIQVASPSSSPASPSSSALLGGGRCTRLSRAQSSSSSSSLASWSVGIARRRPTVTRALSASIDSVGSHGGDDEEFLRRIQELAVGLHPGAGGCGWPASVERTASSVGLPLSLRMLKRKKQQRGGRWDERLVDRAGESGRGAVGRAFSSMVLIIRELQSFALQMRQAVFYEDMQSVLARVHAEMDASFVWLFQHIFAGTPALMVSVMLLLANFTVHSMGGHIAMAGSLPPPLPAVAAVAMLDAQHMQHESIPDQLFDGTVSLNTLSIGRTTPSVDGNSGGGGKARPVAGATGDDRSDESAYRQSGAVLPEEQEVSQATPLGATSAEETEDELAIWKRIADEATKMQASVRVEALMDPDILGQLVAPVEARLDTEDVAEYARTEQRYEMAVSEEPGNALLLANFAQFLYLVQRDHDRWVAQPVRQNDIVEISFFTAADLTDACRAEHYFKRAVRAEPADAETLGWYAAFLWKARNDLAAAEETYQEAIAAEPSNGHHAAAYAHFLWNTGGEDTCYPLD >cds.KYUSt_chr3.7806 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44803002:44803910:1 gene:KYUSg_chr3.7806 transcript:KYUSt_chr3.7806 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLLACHLLLALALIAASLSHLLAAAVAHLSPAHTTTTTTNRLRLLRHPLLRLLPVLAALPFPFLPVAPTSRLLPFLLLPPLLLPLPLPFLHLPPLTPLFLSLPLLLLARAAGLLAAAFPASDLQAHALSVAALLLAAAGAASLLAALSPPRTAHHFLAQTAFAFAGTVGGLWALQTGLTLYVDACVPVGCHRLIDAALAPATRCDVEDARLQGVAVMDLMLSVHCLVAAAAVSGLYLGVAKCCGVDAGGAAVGMGMGTGRRQNGSYEALPMVTSSGAIQEMEHLPMMKGVVGKAVAQE >cds.KYUSt_chr3.5788 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32782746:32789554:-1 gene:KYUSg_chr3.5788 transcript:KYUSt_chr3.5788 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFIYCPSDDSSNDEKAAVRAAMDGNLGLLKGIVKSLPKGNGDLSAIFSFNTDGANVLHIAAYNAHLKVCKYLVEELGGDVNAPAYGALALGSTPFMMSAQSGDFPTLEYFLHRGGDLMKADDKGRTVLHHAAGSGSCKITEFLLSKGVPVDLDCGRGTPLYMAATNDQDKTLKILLDHHANAGADVNGKGSMVTPLVFATMQGGYTNFIQLLLMAGADPNIPDDEQRHIERRNALFKSQADMAFRQKEYKMASQFHDLAIDIGGSAMLYANSSLCKLLMGDGDCALSDALGCRMLRPKWAEACFRQAAAHMVLKEYKQACDALEDAQKMDPGNAEIEIELRKARELMKNPPGDGAEQ >cds.KYUSt_chr6.6822 pep primary_assembly:MPB_Lper_Kyuss_1697:6:41142651:41146688:-1 gene:KYUSg_chr6.6822 transcript:KYUSt_chr6.6822 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNKCKIEASIAEAVLNVEVANFTTKHYDPNIPTKHNPVLRLNAANNEEVPKLSIFVGLGGKSSGSKPYRTDLPERTLIHSYVLNTMVEVKPYIEKFKAIHWKNTHREPTPEESKQIFDKGGGKNRQRDEVELRKIARGFDHSVEAFNSYDVNGYRFQTHQYTTSRPNAKTINSGVTMNKKTRAWMETMRKMKPRMSCAPEDLSLLEAFKAGIDLDADGPPPGFIDDYCSFQFEDPTQRPPRGYSNILGGLLRWYFPGIVNFPTGGCDVAWRWAHYSLAEDPLGRGTAADMVVAKFWKYFKRAEGKENACDDVLHQLARKRVTGMHYEARIQCVRDWHADRFVHMSKEDARDTLMQPWRYLQNPPQYVGNDDRCFRAMVMWWTCPRYLKKHEEGKAKRAEMRGGSHIQGSIPISLHLRRRTGAKPNVFAVLKKMKQRKTPDPETGSLWVNPQSETQCTAYVSKFKQKHGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEQRAQMEQQILQYQQQQNTDDAARCNRQQQMMQQTASTDELAHEPDGSVFSTGESSSSTLLHVDAATAHSDPGTPITVNNMNIIRSMNLGESSCAQPATCTCSSVQYANANVHSINLLIDFMSQGNDNEAGGSGGGQG >cds.KYUSt_chr2.36109 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223063141:223063548:1 gene:KYUSg_chr2.36109 transcript:KYUSt_chr2.36109 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPCPHRRPRQQLRDLHAPCSFLLSCPPPPLLRMALPTAPMQECGGRCCRISRQRVVRRKEHAIGGIGMSSAEPWAGAESSGPLARWRAPAAARGERKDSVSWPCLNAEEDGGLLKVTAAEDFRARVVRKIGPV >cds.KYUSt_contig_2742.24 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000474.1:106253:107068:-1 gene:KYUSg_contig_2742.24 transcript:KYUSt_contig_2742.24 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNHKMDLDLPLSSSVSDEDAGGDTQTLTGRAVCHAGCGRPSRVCLCPHLPPSPLHTSATVVVLHHPHALHRNPLSTLPLLARCLANLHLLPGRRLRPSSTPLLPSAPSPNPVLLLFPSPAAADLASWCRSTPPSARANPTLLLLDGTWQLAKEMHAASLPFLSSFVVPVSLPVDSGVDGDSMFESELVVKKEPHKGCMSTMEAAARALRLLEPEGRGAEIEETMVRVLRAMVAFQAEHLQHRNIKPRPKMRKKKDIKREEEMKKNAGLV >cds.KYUSt_chr7.7647 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46191436:46196935:-1 gene:KYUSg_chr7.7647 transcript:KYUSt_chr7.7647 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTRRCLLQRLNYPHRVASLLRFREKRKERNFDKKIRYSVRKEVALRDVIVHRSARSESNTGMQRKRGQFTSSKPRPDEAMSESATADGSPNWASLEGRPPSAAECHHCGTNAKSTPMMRRGPDGPRTLCNACGLMWANKNGNAAVVPYAEQENPSAPAENGHQS >cds.KYUSt_chr2.5098 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31615163:31616842:-1 gene:KYUSg_chr2.5098 transcript:KYUSt_chr2.5098 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLLSAKDLKNVNLITRMEVYAVATISGDPITRQCTPPDPHGGRNPTWNATLRFSVPPTVDATTGGGCLHILLRVQRMFGTDRDVGEVIVPLAEILAGVGDHGGDDLSGPTLPQFASYQIHKVHRTETCGELYLTYRLGPVVATQQQVPLWVRGDELPVVAYPVPEKLMQPLAPPQAFWPGQVAAHPPTKPAGYVAVPLSTAKPTGHVLSPPPTKPAGYVDVPSSTVKPPGHVDVGMPSPKHPGYAAVPSSPKPVGHAAVPPSPKQSGQAVSMPPSLKPSGQAVSIPPSPKQVERVASMPPPQTPTRHVVSTPPSPKPSGQAVSMPPSPKQVKRVVSMPPPQTPARPVVSMPPSPQPAGQAVSMPPSPKQVERAVSIPPPQTPARPVVSMPPSTNPVGRVVSMPPQKPAGQHVSMPPSPKPAGHVTVPSSTSHPSGHAMPSSPKPAGGHVSVPPSPEPTTGHVSFPPSPVLYSGHLSMPPSPKPPVYEAVSPPPKSNGLVAANLPATANNSSNMEFGWGLGAGLVSGAISGMLAGGKSRNDRVFTGSRSVRP >cds.KYUSt_chr1.770 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4125692:4131212:-1 gene:KYUSg_chr1.770 transcript:KYUSt_chr1.770 gene_biotype:protein_coding transcript_biotype:protein_coding MMYTKGPSSDVLRASISSAPSTSSHGSAQDDCDSLGDVYVWGEVVCDSSARTSSDTVIRSTGKTDFLLPKPLESRLVLDVYHVDCGVRHASLVTRNGEVFTWGEDSGGRLGHGTREDSVHPRLVESLAACNIDFVACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGTDVGHWIPRRISGALEGLQIAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGDVKGISYPREVESLSGLKTIAVACGVWHTAAIVEVIVTQSSATVSAGKLFTWGDGDKSRLGHGDKDARLKPTCVASLIDYDFYRVACGHSLTVGLTTSGKVWSMGNSVYGQLGNPNSDGRPCLVEDKIASEHVLQVACGSYHVAVLTSRSEVFTWGKGANGRLGHGDIEDRKVPTQVEALRDRAVRHIACGANFTSAICLHKWVSGADQSQCSSCRQPFGFTRKRHNCYNCGLVHCNACTSRKALRAALAPNPKKLQRVCDSCFLKLKNASDTSNGESNGEARVGKSILSSNMDMIRSLDNKAAKQGKRTDALSFLRNPQVSSLLQLRDIAFSGGVDLNKPVPRAVRTSARSVATSRAVSPFSRKSSPPRSTTPVPTTHGLSFSKSATDNLVKTNELLNQEVERLRAQVDSLRQRCDHHELELHKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERLPPDNGAYDCNEAKQLHAPNGAEPHVAIYSSMNGKIHPSRNELLNASNAHSPNSGWSPNSNGVSSQHKLLSNISENSEGSIHSLRITSPHEADRPHRRAHSNSDEMMSASSRADDNVSIDARSLQNNEDGYKSRGTVSISSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENRDKVYERYN >cds.KYUSt_scaffold_1259.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:107380:107625:1 gene:KYUSg_scaffold_1259.21 transcript:KYUSt_scaffold_1259.21 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSPLSSPAQDYGGNDEVHGDGAMVRRGCRKRRNWAWEEEGAEGHCADAEEEAAARAAAAAEQERLGEDRNGDGRGLEA >cds.KYUSt_chr6.29892 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189344205:189345337:1 gene:KYUSg_chr6.29892 transcript:KYUSt_chr6.29892 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLASIVLAVVFLVLPAASPSAAAAVDVTATVPFQKVYTPLFGFDNILRSSDDRTVSLLLDRSTGSGFISSSMYHHGFFSASIKLPSDYTAGVVVAFYTSNGDVFHKTHDELDFEFLGNIRGKPWRIQTNVYGNGSVSRGREERYVLPFDPTTEFHRYSILWTRDAVAFYVDDVPIRHVSRSRAGGDFPSKPMSIYGTVWDGSNWATSGGRYRVNYQHGPFVASFTDLALAGCPVDQMLPAHGCAEAVAASDPAVMTLAKLQAMRRFRERNMVYSYCYDKRRYPVPFAECDIVESESKRFKDSGHLRLALRRRRTGRRPGRAGGAKADM >cds.KYUSt_chr5.27295 pep primary_assembly:MPB_Lper_Kyuss_1697:5:172839486:172845992:-1 gene:KYUSg_chr5.27295 transcript:KYUSt_chr5.27295 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVSQILEQHVLSAAKAVEDKLDEQIAALERLDPDDIEALRERRMLQMRRAAERRAKWRALGHGEYTEVPEKEFFSAAKASERLVCHFYRDNWPCKVMDKHMSILAKQHVETRFIKVHAEKSPFLTEKLRIVVLPTLALVKNAKVEDYVVGFDQLGGKDDFSTDDLEERIAKAQVIFLDGEGSGNPSKQTATSKRSVRQSDTGNSSDSE >cds.KYUSt_chr2.25949 pep primary_assembly:MPB_Lper_Kyuss_1697:2:158799903:158810746:1 gene:KYUSg_chr2.25949 transcript:KYUSt_chr2.25949 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRWAAELGVSDSPDPPDLSTTSPSCLGRSLVVADFPDAGGRGFAAARHLRRGELVLRVPHAALLTSDRVMADDPNIASCVAAHRPRLSNIQRLIVCLLAEVGKGKSSSWYLYLSQLPSYYTILATFNNFEIEALQVHDAIWVAQKAHAAIRSEWEEATPLMQELDFKPKLLMFKTWLWAFATFFLFEILLVGEISEVSFVHLKVSSRTLHVAWDDAGCLCPIGDLFNYAAPDDDISSEEQDTEETMKCQEKRKESSVIIIFHCSPPVSPYLILHNALRVLGRQSPHASLANADVLKEQVEMICSEKRRRIQVQHGSDQRLLEPLSLQDRWRATLSGNCHHEFIPVQARPQQRCGSGVAAVDEELRCGSSGGSPPSRTTGALYGRSTVCALYLRLSVVPNAAADSSSSRAQSEALPRQMRRCPVPPLIGRFRVPGCLGKTPMKYLTSCQTHLVVVKAAAAIPSAAAAERPLPPRAAMTSSLQLSTAVGSTSSSSMASASAGIVPVPPFRLEAGNFSLWKGIVLPNLAGAGLHRHLDATAVVPAKTVTEGDGDKAVTIPNPQYDNWWTQDQRLIGLLLGSMEPNIANQLIGCQTAAAVWTGVHDLYGAQSRANVRYIRR >cds.KYUSt_contig_195.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:75093:76972:1 gene:KYUSg_contig_195.6 transcript:KYUSt_contig_195.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAELDFRPTVPSLLQIVMNDIAHASQQQAGIFRSRWGSLKTPLVRRLQTPASSASGTGENEDLRHMRLP >cds.KYUSt_chr6.31929 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201733424:201748540:1 gene:KYUSg_chr6.31929 transcript:KYUSt_chr6.31929 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRGTARGAVGGSSETGREDGVAGTPRASGTRGTAARSGANEARPPSQGGPGELGPRSPGPERGSPGISHGTKTFVNKGNGNAGSTGREDTGTQRAPGGPVIPAPAARSGATGAAPRLPLRGGLGRESGSTGPGNVVSPSSDQQQSGATSDTVKNSASGSLSRDSDRSAGSRNGAETPRSEDARDGSASSLLKKRKRLGNSRNYVNLFKTVKRANDADVAVASPDRVGKENTSSGHVADSNISKLRKGSRLTENNKRHSSDDACKVSKSLGFGLHETSETRVNQDSVPVSEVQPRKPTDVPLQNITDESSLPGKARNRSTVPARQSCLSSLQSVPVSLVHCEEIKNTLGDGAISIQKEVSASGHSKVIPSDQTDGNSNICVACGTSGTLSCTNKRFQFGLHSVVDVIESVWDVKEAEGMQNSKLYFVKYKNLAHVHNRWVPEVDINITPGGPDLLSLFNKRNHTEKAIWKEEWTKPHRLLRKRLLMPPKLADDFFSSSGVKYSYCTLEWLVKWRGLGYDHATWELETSPCLCTPEADQLKKNYKNRREAAKQSSIPTQSKVKQSSFQKLESLPDGCHSDFDNDHLFSINHLREFWYKSRGAVLVDDKDDLPEYMKLLGFLNSGEQENGSCLDTADALVMSKLEMYCSILLSNSSILQSKMKSDGSLCGIIMSLSKCCDHPYLVDEFLQNSPVNNHDRSDTLDTRVQACGKLLLLENMLKEIRNKRLRVIILFQKSGAAGGKSMGDILEGVVCDRFGRESYERIESGAPLSRKHAAVNMFNDTTKGRFVILIESRACLPSVKLSSIDAVIIYNSDCNPLNDLKAIQRIKIESQKYPGIFRLYTPFTMEEKQLVLAKEGVLIGNYKDMPHSLCHSVISWGALFLFTRFDELQHGNYASKSFERDTVILEFLKLAINVEDNTEINCTSISKANMSGEFYSRSIVLIGENVAMPASDGDPSNFWLNLLDGKSPCWSNISEPQQASHRLVQNREEPARVPAEEADEGRRKRRKVDGITVPSSKRSSYNSHDDILPKNCYTVSPHLQQFHDTHQKEGMKKPTTPKNLHVQLKQELSKLIKVLQLPDNARLQAEQFFEYYLNNHLVPPEPVDILHAFNIALCWRAASHVNYKVDRRESVALAEKSFNCETSIKGQPVSAEDTQLSWQETSTNLENDNMFQNKEIDLHGNFTNGAPQEVSSVAEETRKECHVPNDEPPNMIVEKNIDLIDSVFSLRKNNILCKQQLEISGLVTHRQNNVMRLKEVCTLVLEHIRRSHIDEMTRTEKIKLTAQWFVMLMYAFLGHMKLQHDKLEGLQSDTWSLERQLKEKLHQVAKSGQLDKDFDRHIALPDSNFVMEEFIHLKEQNDEYHIAESSVSDCQQSSNDALSMESTLVRSEALSEPISIHAMENEPVETSVGSGGGPASEATDFQENNIQFSSDGIIVQRAGCSSSTIPANDDSTGQESSTSECRNTEHIEIDNIAKPIILPGGATSLVRGGHANNDGILDTDGVHLESPNLTSSQSLAALVGTQAVLSCMPPQQSTDPSAQQTVAPSQCPPAEAEQTGLSGTQAVQVLQPEMQPSILLSDAPPQKKHPDDRSQAGCQLDRATGLSKGGAATSHHLGDVRMQVQEKDDGNVVADPVLPEPPTYPADSTVTLQVSKEVESHICEQSMAAKQCLAILRHPPAEAEPSSIGTEAAWNLQPEVQSSTSMQDEPAEGEDEPGAEDEPEVEDKPVGMVTAHDLQSEIQPGAEDEPEVEDKPVGMVTAHDLQFEIQPSASVPAERSTCLPAQQSLATSRRPPAEAKQADILGTEAVCDLQPEVQPSTSDEPAEAEDEPEVLEEEDEPPEAERAGTLGAIATQDLQPEMQSSTTHVEPSLDPHAMVDSAGMVTAHDPQSEILPSASVPTEQSTSLPAQQSLATSGHSPAEAEQAEGTCDLQPSQSEVQVSTTMQDQPTEGEACILGAIAARSLQPGTQPSTSAQSAPSERTYLAGMPVLQSYSVESSLNPHARAESTHTLGSLIAHDLHTEVRPSGSMQDRPAEGEGAAMLGSTAAQDLQPELQSSTTVHVPPERMHTEERTQIGFQPHRVPALEQPTQQHPVTRLVFNNPILSDEPLKNELDRLMHCNNVLSKDHEHKKSLLVIEYNQEIEKIKKKYDFLLQKEDSAYLHTQRELTDLFRKVFVNKSLADNFQGMFTPSSAAQGRSTNPVMERQFESSSAAQTAALPVISSSAIRPPGSYVRPPFVAEASSSSSSSSSYSSYGSAGAQPTAVQPLSALASNLYGRTMSHVPPPHLPHGSYRSATDQLRPSSPHLQQLRIPSQHAMHTDQQQLPAASTAVASLGQYAAATMGSYVSAVPQGGAVLNSMDPSSAHQAMLPPASSSRPPRRASSLPPGRHPESMVNLQPSSSNPIFMPAHQQSPYPSMALGCASVRAVKIDMQRCGMARVESTQLHMADAYVNLPREGRTLIQV >cds.KYUSt_chr2.43775 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272446091:272449164:-1 gene:KYUSg_chr2.43775 transcript:KYUSt_chr2.43775 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRNPMAQADLVGLAALSAGLARSLAEENAENNLVFSPLSIYAALALVAAGARGSTLDEILGVVGARSRGQLEEIVARVTADALKDQSDSGGPRVAFACGIWSELTRPLKPAFLEVVAGTYKAEASTVDFINNPEEARSQINAWVAHATSNLIGSIFGPRSITPLTRVVLGNAIYFKGKWERPFDKKRTTNKLFYRLDGGTVDDVPFMKSRSSQFIAVHDGFKVLKLRYQMAQAQGYAKESSDRNKRTKVSSDKPTQFSMCIFLPDARDGLPNLVDMIASQPDFLHEHLPKKKVEVDEFRVPKFKLSFENSVVTILKKLGLQLPFSDQADLSDMVEPDESGLPLVLSDVFHKAIIEVNEEGTEAAAVTMMSIRIGCSMRPRPPPPQVDFVADHPFAYFIVEETTGAVVFAGHVLDPSREN >cds.KYUSt_chr3.12603 pep primary_assembly:MPB_Lper_Kyuss_1697:3:75489974:75490450:1 gene:KYUSg_chr3.12603 transcript:KYUSt_chr3.12603 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFKKSSGGLMSSGKVVTDAAMSAFETKSIENIDKEKVAGASAEILDSTSKYAKLEDKPAGQYLEKAEVYLKQYSSGGAEKEKTDVPAAADAPKPAAEEAPKEPAPAPAPAAEEGKSSDGFGLDDVTKGAESLMEKKSGGEESGGGGFMKMAQGFMK >cds.KYUSt_contig_6118.63 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001233.1:304789:311539:1 gene:KYUSg_contig_6118.63 transcript:KYUSt_contig_6118.63 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRLYAIDIDQELNARGMQLCRMRIHLLRLQVKIMFYNGDPRTGVLWCLWCLRMANSKYEYVKREFEFNRQLPASNWIVVRIDGCHFHRFSELHAFEKPNDESALGLMNACATSMLKKYNDIVFAYGVSDEYRFSLIHSFDKPNDETALRLMNASASLMMEQFPDIIFGYGFNNEYSFVFQENTELYKREERWENLQRWKPMEMLQESGGMWRWPT >cds.KYUSt_chr7.40509 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251502085:251503014:1 gene:KYUSg_chr7.40509 transcript:KYUSt_chr7.40509 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQDSLVLCRSPPLEALLFDIDGTMCVSDPFHHRAFSELLQGVGYNGGVPITPEFGMKHMAGRSNEQIGRFLFPEWPPAQLDAFFAEKEALFARYAREGLREVAGLRALCRWARGRGLKLAAVTNAPRANAEVMVGILGLAEFFPVVVTGEECGEGRAKPAPDAYLRALELLGASADRSVVFEDSVIGIQAGVAAGMPVVAIAGESREARVVAAGASLVVRDYLDAKLWAALDGVDAAAANGAPPTVVDGERSVEPAAVPVEN >cds.KYUSt_contig_2278.105 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:857010:859516:1 gene:KYUSg_contig_2278.105 transcript:KYUSt_contig_2278.105 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARNLQLLRDAHATPFLRDVAAGVDAVTAQQPPHSHHMAQEPINQDPPKNQPPPQQQVHQDQEERRGEAPVALPPHPAGTSGSSSGGSSSNGGGGGAGDWLRLGLGPASPGPSGAAPEINLFGPDPSGTARPMLRGMAAPPGAFLRTAMPGIPQPSIQMTLARAGQPWLPPWSPAAQHPPPLHLPFAPRGFYAPGSPAAAASGFDTIRVVLPPPALTAAAGVWFFLQAAPHQDGRVTVRLLIKYLVNKLGLEDESEA >cds.KYUSt_chr3.10002 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58927297:58935371:1 gene:KYUSg_chr3.10002 transcript:KYUSt_chr3.10002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orthologue of the Arabidopsis GIGANTEA, Regulation of circadian rhythm and flowering time, Photoperiodic control of flowering, Osmotic stress respons [Source: Projected from Oryza sativa (Os01g0182600)] MDACSCEFRADFGYCPISMVVLFCCPNLVSMRGFWKKSVRILTEYREQKPRKERDLKLLSMSVSNGKWIDGLQFSSLFWPPPHDAQQKQAQTLAYVEYFGQFTSDSEQFPEDVAQLIQSYYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGSLSYDRHGSPFNSFISLFTQTAEKEYSEQWALACGEILRVLTHYNRPIFKVAECNDTSDQATTSYSLHDKANSSPENEPERKPLRPLSPWITDILLNAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGAGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGMRLPKNWLHLHFLRAIGTAMSMRAGMAADTAAALLFRILSQPTLLFPPLRHAEGVVQHEPLGGYVSSYKRQLEIPASETTIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLNSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETEPSKKPRSPSKSLAVAELRTMIHSLFVESCASMNLASRLLFVVLTVSVSHQALPGGSKRPTGSENHSSEESTEDSKLTNGRNRCKKKQGPVGTFDSYVLAAVCALSCELQLFPILCKNVTKTNIKDSIKITMPGKTNGISNELHNSVNSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKRCKWDAEISTRASSLYHLIDLHGKTVSSIVNKAEPLEAHLNLTAVKKDDQHHIEESNTSSSDYGNLEKKSKKNGFSRPLMKCAEQARRNGNVASTSGKATATLQAEASDLANFLTMDRNGGYGGSQTLLRTVMSEKQELCFSVVSLLWHKLIASPETQMSAESTSAHQGWRKVADALCDVVSASPAKASTAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALIILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAIHLIVEWGDPGVAVADGLSNLLKCRLSPTIRCLSHPSAHVRALSMSVLRDILNSGPISSTKIIQGEQRNGIQSPSYRCAAASELIPQVDRKDCKDWFCLSFTRSQDSGDNGLTAIAAELCTEASKSWREAPTMGDFPQQTMI >cds.KYUSt_chr4.3604 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20461352:20462779:-1 gene:KYUSg_chr4.3604 transcript:KYUSt_chr4.3604 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFSSWGAVPSFGFAFNSEIFSDRVLQLEVVTCEDVAGESLPDSTRPPKEKGSGALAGRDLWSAVAATASATFWTPSSSLEVTLRYIPFPPSSAPG >cds.KYUSt_chr5.15560 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100495903:100498860:-1 gene:KYUSg_chr5.15560 transcript:KYUSt_chr5.15560 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDAPSFAPGNYVEDAKLVQILPTLEDATDLAFGDFVDDHHVPTFLRLVEETRRRETEKPEEWRLVAKEQRKDLFRPELACAAGHRLGSSFHPPPLPEMEASAYKFGPYTIDAKEVFHATALSYAMVNLRPVLPVKRFADLSTDETIDLWVTAKEVGVRLEQYHQASSLTFTIQHFVQDGPQSGQTVPHVHIHVIPRKKGDFQNNDEIYDAIDVREKELKEKLDLDIERKDRTMEEMSHEASEYRALFS >cds.KYUSt_chr4.50835 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315114340:315115176:-1 gene:KYUSg_chr4.50835 transcript:KYUSt_chr4.50835 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWFCRRLAALTVPKASSYLAHKELSCSCSASLPSRIHPVVAGLHDSVNALLAWTKAPAPVQAACTVWIGAGMDHLGHLLSSLTDVLHHPQVQDPERRRCQTASSWTERLLRDLVVIADAHSCFSEVLVSLKELLGESQAAVRNGDATRLAAALCTRRRSDRDLSSLAATLRAVSHRSNSPAAATSDCGEAALAEAVEAATCAVASASAAIFAGLASASAYSVLRALTSSPTASSAEAMERLQNLEECVVAAEDGCKQVHRALVNARMSLLNVLTPC >cds.KYUSt_chr5.13064 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85107946:85111348:-1 gene:KYUSg_chr5.13064 transcript:KYUSt_chr5.13064 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFQLPTPAPSSGGGGGGPPRGHHRRAHSETFLRFPDADLLLDPDGDFSFSDLDFPSLSDDSPAASDPTPPPLPPMASSASPSPAPAPRPPGGSHNRSLSLDAAFFEGLALQGGGHKRSGSMDGVNSPFEGESALSGGLPDYAKKAMPAERIAELALLDPKRAKRILANRQSAARSKERKIKYTGELERKVQTLQTEATTLSAQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQAPNMNGNPFNGGLQQIPSYFSQPQQRQHQQQQQMAYLGGHQAQRHHPNHQQSPSNGGQSLSGQSLNDSMDFI >cds.KYUSt_chr5.39403 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249357304:249365211:-1 gene:KYUSg_chr5.39403 transcript:KYUSt_chr5.39403 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGAGSGVFSYDAGGGGAGMHNPAGRLLPSPNLPRPGGGGGFSSSTGLSLGLTNMEGGQLGDPNRLGGLLGSAGSVGDGGDSLVRGREDENDSRSGSDNLDGASGDELDPDNGNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSRRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRTENMTIREAMRSPMCGNCGGAAVLGDVSLEEQHLRIENSRLKDELDRVCALAGKFLGRPVSAISSPLSLPSSLSGLDLAVGSANGGFMGGGSVLQSIPDLMGGGTAGMRLPAGMIGGGLDDGVGGAGDAMDRGVLLELGLAAMEELVKVAQVDEPLWLGGFQTMNYEEYRRAFARVLGPSPAGFVSEATRDVGVAIVSSVDLVSSLMDAGRWSEMFPCVVARASTMEMISSGMGGTLSGSIQLMRAELQVLSPLVPIREVTFLRFCKQHAEGLWAVVDVSVDGVLRPNDGAAAAGYMGCRLLPSGCVVEDMRNGYSKVTWVVHAEYDEAAAHELYRPLLRSGQALGARRWLASLRRQCEYLAILCSNPLPSRGDGHHEAISPVGRRCMLKLAQRMADNFCAGVCATAAQKWRRLDEWRVEGGDQQPRGAGGEDKVRMMARQSVGAPGEPPGVVLSATTSVRLPGTSPQRVFDYLRDEQRRGEWDILANGEAMQEMDHIAKGQHHGNAVSLLRPNATSGNQNNMLILQETCTDPSGSLVVYAPVDVQSMHVVMGGGDSAYVSLLPSGFAILPDGYSNAVTPDPTSQLGSSPDAQGGGNNINTGSLVTVAFQILVNNLPTAKLTVESVDTVSNLLSCTIQKIKSALQANIISP >cds.KYUSt_chr3.33419 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209824433:209831889:1 gene:KYUSg_chr3.33419 transcript:KYUSt_chr3.33419 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIPLVRDYIDRMLHDVSGMKVLILDPQTVEMVSVVYSQSDLLRKEVFLVETVDDASSSRASMAHLKAVFFFRPSSDNIQKLRRILAAPRFAECHLFFSNILKIPQIQVLADSDEQEVVQQVQEFYADFCAIDPFHFTLNIRNNHIYMLPTVVDPPGMQSFCDRSVDGIASLFLALKRRPVIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIDNNKVDLTEFATVPKDQQEVVLSAVQDNFFRANMFENFGDLGMNVKRMVDDFQHLSKSSQNFQSIGDMAKFVTNYPEYRKTHGNVTKHVALVSEMSRMVEERKLMQVSQTEQELACTSGQAAAFEAVTSLLNNQSVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMEGIVKGRLRDADYPLVGNHFQQGRPQDVVIFIVGGTTYEEARSVALYNAANPGVRFFLGGSSVLNSKRYALFTISLNA >cds.KYUSt_chr7.1386 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7608654:7614176:1 gene:KYUSg_chr7.1386 transcript:KYUSt_chr7.1386 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFSTRTRERKEPRAARSVQQQQQAQQALGAAYDARRSRYGPGDYDSGELAIRPPHKPHPSNKVSDTGTFFLEKAVDVLDTLGSGIASLNHGSGFLYGGTNRGNKVDILSFEVANTIAKASSLWRSCSDESIKELKEEILHSDGVRILISSNSAELLHIAAIDKREELAIFSREVIRFGDLCKDPIWHNLGRYFDKLTKEVTPQDHSKEHIEITVKQLISLAQNTSELYHELHALDRFEQDFKRKFQEEESVPAARRESVMILHSELKRQRNLVKKLKKKSLWSRPLEDIVEKLVEIAIFLDRQLRDAFGEVGTEFVSYEQVQNKRLGPCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPITVKSALRSRLQSVNTEEERSVSQIKAEMQKTLRWILPIAENTTRAHQGFGWVGEWANFGSDMDEKSGSRHAVSRVQTLHHADKAATEHHMLELVVLLHQLVVQVKSRGYGHNKSGRRDRSRSSRRGSSELARSGDTKHTTSPMHDGGTCPSPLSDSERETLDHLAFRRTTSYGRSKSCEPRPGKASRANRSWDACRSHGSSPAREFGRDSAPGCDQMARDLDVIDGLGRLTLSSFS >cds.KYUSt_chr5.14048 pep primary_assembly:MPB_Lper_Kyuss_1697:5:91221441:91222964:-1 gene:KYUSg_chr5.14048 transcript:KYUSt_chr5.14048 gene_biotype:protein_coding transcript_biotype:protein_coding MRREFECRRDPATNVVVVAALFFVACAALLVEEACGGEEPVTELSNGFTATHSADAPGWFEPFLYATNGVFALGFLRVGASSLDLAVVHLPSSFPLWRATPARLADWSSPATLSFDGRLVLATGHGAGTVLWSTLNPIGDNVKLLNSSELIILLFDERGTPWRSFHSPSDTLVLDQNFTLSTPPLISENRRFALRLGKTYMALHMEFYGGRTRPMYWQHTALMAQPENGTEPPVYGRLDGRGFFGLYLQGGSGNESVDRIAFDTFPRNLTGAFRRMTLDDDGNLRAYYWTDGSKDWTSDYQAISGQCELPTSCGAYGLCVPGQAACQCLVNSTTDGTTSPQCAAVETTDLCGAGQMFEVVRRSRVSLAYKERVPFETYKTVAECEESCAEDCTCWGALYNGASGYCYLIGFPVETVVYEADDRKVGYFKVRKAQQSAAQARTMSPGATAATVVLSLVLAVAGAYVGYWLWERRRRRRAGGVGMEQELTPRPYRDLKSMDSSNNSFKS >cds.KYUSt_chr3.39402 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248195644:248196912:-1 gene:KYUSg_chr3.39402 transcript:KYUSt_chr3.39402 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQEPDPGAMAAALVNKKPVPALGLGTEGWSKWSSLQDDLVRRIADSFLASNDLDHYMCLRAVCPSWRSATDDPKDNPSDPVFHPLRWIILDEVFQGDDDMWILLNTNTGRFLHKKLPLLREYYVVATTPSGFFVLADRAPPHRARVFNPLTGCLTCFPWPVPLEAGVAQVGRDDGLFCLYFLGGSSRKFYTAVPEIESVFSRDCQQKVYNTFRDVVLAGAYPQYISAPALAVAFVALSDLLSSHSDFVKFLSSALPEYDVNNIRFRCYVVGLAAQVFLHVEMEGRMPIVFKMNTQIDKIEPVESVGTFAIFIGYHRCVTVDADKFPGIEANCVYYTQHSGSSAHICKYNLRDKKVQRLSEVAEFMKQEKQFVLVAARPFTIIQLLCSYTLNRRDSELALQQMVQGAEQSCSNFVDGDLDG >cds.KYUSt_chr6.23242 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146789384:146792374:-1 gene:KYUSg_chr6.23242 transcript:KYUSt_chr6.23242 gene_biotype:protein_coding transcript_biotype:protein_coding MWFFGHQFPETIMVFLSRQIHFLCRQKDSDVLNSLKMAVSEAVGVDIMLHILRKGDDGSALMDEIICAVCAHSESNHVVVGHLAREKPEGKVLEAWSGKLHRSRLKLFDVSSGISELLAVKDFTEIIYVKKAAYLAASVMRKYVVPKVEKIIVDERKVTHSKLMVLTEKILLSPINIDVKLKAENVDICYPPIFQSGGKYDLRPSAFSNDDVLYYDSGSVIICALGAKYSGYCSNVARTFLIDCTTEKCYAYKVLCQAHDAAIAALTPGSMVSSSYQAAVAVVRDKAPELLPFLTKSAGAGIGIEFRETWLSLTEKNDRTLKDGMIFNVSLGFQNLVDKTNSDKTNKDKTKEFSLWLADTVLICKKNPEVLTAFISKADGDVFYSFDEDNAGLPSMKPALKADVTVPVKPVPKPELMLPLRENLRSRSRTPKEDLRKQLQSEILKNKTNEAAMRGDAVDHKLLEGPSSSRAMDELVAYRSAGDMPGSNRLEIQVDKQNEAILLPIYGITVPFHVCTVKKVEIRGDCNRGVYISITFNVPGTTASSLHATHLQNLIFLKAATFLSKDRSHAVEVIKSVKILQKGVTERARRASLVSQERLQLCDGMRRDRIQFSDLWIRPSFAGRGRKFPGTLVAHVNGFQYSASKSEKVDIMFSNIKHAFFQPAERDMITLLHFHLYDEIMVGNKKTRDVQFYNEVMDVVDAVGLKRRSAWDPDEIEEEQRERARRKEINGHFELFVKRVVSIWSQPRLNQLGLHVESPSQKLGFNGVHGRTTCFIVPTPSCLVQLVESPFLVTSLREVEIVCLERVALGQKSFDMVFVFQDLKRDVVRIEVIPMTSIDKIKDWLNDINIKYYESKLNLNWRKVLKTLDHPDSDKNDRWEFLNPDASDSDLENTETEDEKYEPSDSESGSDSDDEDSDSESVVDSGEDEVLLAGSNDDDDGAESWDEMERKARDADMEMGSESDSEDERQRRREKAKRHLNLQHSSGLPQKRQRAN >cds.KYUSt_contig_7536.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001584.1:59560:60690:1 gene:KYUSg_contig_7536.9 transcript:KYUSt_contig_7536.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLWCWWSERNKENHKEKRLSTDEFQFLVKRNTDEWIQFFKPKSNATTVLNFHWSLPPQNFIKLNTDGALVECSRSGGIVFADAGKMIDWAPLVVGVVLFVLLSPGLLLELPGTRRCVDFCSHRTTGKAITIHTLVFFTLFAVITLGFKLRIYTGA >cds.KYUSt_chr3.30744 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192913404:192913718:-1 gene:KYUSg_chr3.30744 transcript:KYUSt_chr3.30744 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNRNRWIEEWGADRENLELKFRFTRRSLAIIGIFGLAVPILVYKGIVREFHMQDEDAGRPYRKFL >cds.KYUSt_chr2.3457 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20682822:20686488:1 gene:KYUSg_chr2.3457 transcript:KYUSt_chr2.3457 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFLFGSSTANEGNGSGTPGEDGKSKHKNRKTVEEGEGNANSSSRSDDRGARISRSQSRRHGKLSNEEPSNPRQLRRCMSFSSAATNSCLKERSFSFSGDVPGSFYNESDAPRHAEDVNHYAWSPERHPVSREHSIKVPKAHSVLETDSPRSRCHSCSTGHSPPTSPVALRCRSTRLGNLLNKNEVLDRYIEGEQEAAIQSEKRRQSSPTRSVGSSSRRPPRPHYAVPSFQKSVKESLETYPNVNGNDAYLHQLAQERSSDSYKNTTLSNASKNHASVLDDFGRFPHVEDYKSESFPSVEDIYEDFQDVQLSNVIQDAPQNYHDNDMDFALEEQETDEKLLQRAKEVEERFIALSGDSYELNISRYKRLSSNEMFQLLQCLTEDRRQLADELSSQIKARLTERFNAKEQYKRSMKESDIRARKLEKEKTEIQSALEREIDRRSNDWSARLSRFQSEEERLRERVRELAEKNVSFQRELTSIEANRVDASEKVASLEMQNRKLTDELEKVRKEHNNLQKSSVELHAQFTKVAEEKGHIRGFLKDKDGDNKALHQVVARLQTICNEQEKTIAGLRQGFSAELDKESAGCSSERKSRIQIELIRLTGVEQKLRGEVQSRRLEVEALRQENIALLNRLQSTENGSSFSSIRLAQELQARVDNLQIQGLSLLDKTSQLCTKLLDLVKCRRHENEHDTDIDALDYTLEFQSIKGGIENMKRSLRATNAVLAEKQNLIEKSGEAAAGGTSPRAQKDELYLVDSEFKLKEEALLSRVLKEALLSKELDIEQLQSDVASLLRLQDVMRNEVQRVQDELSCITHKAKHMELQGSKKDESINQIQQDFQESSKELSSLRGQLKIVTDERDLSWQEAKQLRRTTTVMQNEIGSLKKKIESLEEDILVKEGQISILQDNIYKPPLDFICSPTTMKQFGME >cds.KYUSt_chr2.51416 pep primary_assembly:MPB_Lper_Kyuss_1697:2:321372519:321373100:1 gene:KYUSg_chr2.51416 transcript:KYUSt_chr2.51416 gene_biotype:protein_coding transcript_biotype:protein_coding MHPARDASLRGPCSASLAAAPSTGHVDGLGTTELFDRLLPTMPWNTLIDTVMGELHARGKTLHDVAEVLRAAPIDPHVVAAIKATYGLGCDLRVLSDANRFFIETILDHHGLPGYFSEINTNPSRVDADGHLRIAALHDFHAGPHGCGLGTCPPNMCKGQVLERTRVGISSNTLSVVATKTLSVAAGLGVGVC >cds.KYUSt_chr7.32240 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200787302:200793902:-1 gene:KYUSg_chr7.32240 transcript:KYUSt_chr7.32240 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPARRLASSATIPAVYPHICPAPRSLGLRRQHGRGVPARRTSATPVVRCSGSLPVANPATVSGTDSSHEWSGDTIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKDAIKWAWELTTKEYGLPPERLWISVFEDDDEAFSIWLSEVGVPKERIKRMGKDDNFWTSGATGPCGPCSEMYYDFHPERGSLNADLNDDSRFIEFYNLVFMQYNKNDDGSLEPLKQKNIDTGMGLERMARILQNVPNNYETDLIFPIIEKAASMALVSYSTADDAMTTNLKIIGDHMRAVVYLISDGVILSNIGRGYVVRRLIRRVVRTGRLIGMRGDGHENSDDTFLPSLAETVISLSSEIDPDVKSRRKSILGELQREELRFVQTLGRGEKLLDELLDEALVSAADNGDKPCLSGKNVFLLYDTYGFPVEITAEIASERGVSVDMKGFDVEMENQRKQSQAAHNVIKLSVGNENEIVKSIPDTEFLGYESLFATAVVKGLLINGNPVENVSEGSDVEILLDRTPFYAESGGQIGDNGFLYAYEEEGGEQNAVIEIKDVQKSLGNIFVHKATIKQGSVEVGKKIDAAVDGKLRQGAKVHHTATHLLQSALKSVIGSETSQAGSLVAFDRLRFDFSFHRPLSEEELLEIESLVNQWISNATHLETNVMALQDAKNAGATAMFGEKYGEQVRVVEVPGVSMELCGGTHVNNTAEIRGFRIISEQGIASGIRRIEAVAGDAFVEYVCSRDNYMRHLCSSLKVKAEDVNSRVETVLEELRTTRNEVSSLRSKIAVLKAATLASKAAIIEPQNVWILVENMGDVDADGLKSAAEHLVGALQDPAAVILGSCPGEGKVSLVAAFSPGVVKMGIQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPDALEKARAEIVSSISSKSS >cds.KYUSt_chr7.39997 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248435004:248438072:1 gene:KYUSg_chr7.39997 transcript:KYUSt_chr7.39997 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQLLPQCQRRPDSEALLLLLMASMMCPSATSSAAPTTTTLAQPPELTLLASAAKEGADFYNWNIVFVRYCDGASFSGDAEGNDQDGSKLFFRGLRIFEAVIDDLMKKGLANAKQALLSGCSSGGLATLLHCDDFSARFPRTVSVKCFSDAGFFLDKKDIYGEKFIWSIYNRVVHLQNVSKVLPRDCLAKQEPTDVRC >cds.KYUSt_chr5.5372 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33703305:33710416:-1 gene:KYUSg_chr5.5372 transcript:KYUSt_chr5.5372 gene_biotype:protein_coding transcript_biotype:protein_coding MIERAARAQGASVEYEDYYPTAQVVNTYRYGSDLVKPGELARLGTQMRWLHDWYLKVCRRGDAYLTVYLRDEHYFRGEDEINLELEELFQLFNQDALDKVCHRLLLLVSDLFVMKKLEYKRGKLLPLGFIDPNTVHEVTVRDFAKDTEDNIVMFLEKQADKEDIFFPYNFNFHFILLIIDLHLGVVKVMDSKRKEYAEWADMAAILQRAWKRFINTVPGKWKPELTFEDYPVSCIPQLAESMGLLLLFLAFLLPVACAATSSCHPDDLRALRGFAKNLGGGGVLLRTAWSGTSCCVWEGVGCNGASGRVTTLWLPRRGLAGTITGASLAGLARLESLNLANNRLVGTIPSWIGELDHLLYLDLSHNSLVGELPNLKGLTTTGHLLGMAFTNMPLDVKHNRRTLAIQPNTISGTNNLVLSGRNNVVSGNDNTVISGNNNTVSGSFNTVVTGSDNVLTGSNHVVSGRSHIVTDNNNSVSGDDNNVSGSFHKVSGSHNTVSGSNNTVSGRNHVVSGSNKVVTGG >cds.KYUSt_chr2.45236 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282012339:282013190:-1 gene:KYUSg_chr2.45236 transcript:KYUSt_chr2.45236 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADASHAPTTSSSSVSSSTLSSSASFSSLASSGQELPKNSSSKPKQAKKRKRAASPADQEPEVAVASNGALQLGEESSCCTTEDDQDAPGGKAAPVSRSGFKHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDAAALAIKGRNAHLNFPDSAHELPRPDSTSPADIQAAAAKAALTASVQCEQAETPPCSPVAAESLPSESESAACPAEAAPALSGSGDDNALFDLPDLLLDLRDGLLWSPMWPLALAAEEYDGGCCVGLSEPLLWSE >cds.KYUSt_contig_1253.844 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5249292:5251655:-1 gene:KYUSg_contig_1253.844 transcript:KYUSt_contig_1253.844 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDASPSGRHVPHLRLAVPPRLAAHPSFRFPTTPLPTPSKTRLPAAAGAGASPYAAALLRLLALHSLFLLASAARALPSLPHLFLLPPLLAILSAAAVLVMPTATKTQPHPFPALRRLLRPALFLALSLLLRFASLHLIPSPGLLVLADSAGALLARALTNRPSRRRVISVAAASLSLAAISPSHSVLLALPFASGLLSSAEHSASGRHVTRSRHARAAVFALAAAFLSVPALVGLFFLGGNDTSDGAGAVPIAQLWWLLLNAAVFGMALGRRQAYDSSGSRPSMNFAMTFVCTVVMELVYYPKLFLPGFLICGFLLWVASRELAPSGYVELGSTDNVSESVYEAVMGPVRHILSERKSRKIAAFLLINTAYMFVEFASGFMSDSLGLLSDACHMLFDCAALAIGLYASYIARLPANGMYNYGRGRFEVLSGYVNAVFLVLVGALIVLESFERILEPREISTSSLLTVSVGGLVVNIIGLVFFHEEHHHAHGGSCSHSHSHSHSHGHGHEDHHHDHVHHKENACSGHHGDTNKSHHHNHQHHSNNVESHHSTSMENTSTQHSHHGCSHEHHHHGHMEHHQQGGGHAHQDCSSVSSEQGLLEIPLRNMHSHHSESQSCNGELESPETGNNHGKPANRRHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLIADPICSVFISIMIVASVLPLLRNSAEILLQRVPRSHEKDLKVALDDVMRIEGVIGVHDVHLWNLTNTDIVGTFHLRISAEADKSVIRESASRIFHEAGIQDLTIQIECVKR >cds.KYUSt_chr5.36682 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231976427:231977062:1 gene:KYUSg_chr5.36682 transcript:KYUSt_chr5.36682 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSRSPASGSSTASGRAVATAKVVGLDGSMTQYAAPVTARDALGDKSRQGASVFLCSSDELRFDAPPRALADEEALQPGWLYFVLPVSMLRLALSGHEMAALAVRASSALAIVSGVASPPRRKNMTGTNGKQRKTARVAPLIVATNNDEDAELADSGSSLHAYGKYGAAQKTAGKTRKRAGYRSRGARHRRRAADVSRLSAILEDDDF >cds.KYUSt_chr6.13980 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87455429:87457261:-1 gene:KYUSg_chr6.13980 transcript:KYUSt_chr6.13980 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMENQQQPAVVVAPQMQLIPRPPPPPPLPPPPAPAYKHHCRVCKKGFMCGRALGGHMRAHGIADDGISAEDDDGTLELDDEDDDGVSAPCGEPSSEDHQAGSPSTTAKRMYGLRANPGRLRSCRVCENCGKEFTSWKSLLDHGRCGFDDDDQDLDDAAGSLRSSSPPRDPAEDGAEEDDEDLALASGWSKGKRSRRAKVAAGTLSELQQSAPSSEEEDLANCLVMLSSSRVVAQPQPAAAVVDADQESCASASKDDERNRLLLPQPISIVAPLAAQMRFPAPQVVVAQHVPAVPRGLFECKACKKVFTSHQALGGHRASHKKVKGCFAAKLESSSRTEPQSTATPPPYPPDNSKLSLTAILGETSTTEPKNPYLDDSHINHIGKTVNVGTSEVAAATPAPEMPVADAATGPSAFSPFKKKGKVHECSICHRVFTSGQALGGHKRCHWLTSSSADPAKLQPVVPDHLMAAMCHHLTLGRPVFDATTDQRILDLNVPTNPSAEAIATRQAAELNEIPLCLNAPASMYVHSWTGHSNASHVNKTRTSSRNDAAAGGGGGAATEDEADSTSAKKAKISDLKDMKVAGESLPWLQVGIGISSSENNEKSTQE >cds.KYUSt_contig_686-1.732 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4387346:4392355:1 gene:KYUSg_contig_686-1.732 transcript:KYUSt_contig_686-1.732 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHITGDDGEGCNSCESGWTMYLASPMQGDDDDGAKGSGSDGSNVDDGYGYRYIVHGRKGGKEYHDDGDDNDSLASDASTGPAKDKVQPSSPDGKEAPGHRKYGAAADDDKDDEKPEEEDDTRTRFSTSSRKKAASKTEKGGEGKSSKRGHGKKGSSSRTSFFWMSCAPSLRASPLVSPTWPPSAVFLIRTANFHGPVAPPIPRFPPDLGQNTSGEPTPSPVPRGALGDSGRSESARNAEISLPRFCFGFRARDGPGRSVTDASSSARSKCCRRSARRGRVEPVFLFLFLFLFPFLTSTRSMAYNDEDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGSGASPHRTSGRWGDAGQGSSQAAPPQFDDDGSDDNGGDYTVFYRNLGM >cds.KYUSt_chr4.51451 pep primary_assembly:MPB_Lper_Kyuss_1697:4:319239507:319240265:1 gene:KYUSg_chr4.51451 transcript:KYUSt_chr4.51451 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTRQGAGAAGGLPLPAVKTKKKRKDGGGFFWGCGGSKSVCVAAGNLSSAAMKPLTKSSKPASEAKTTTAEAQVSFQDTEGAPSVDALLRQLRELERGVRALGIRDREDSAYRSSQACWCSGRLPPPPRRHRTGTSEVFGGSASAAAGRGRLEEESVAVVTETEDPLGEFRRSMAEMVAENGITGGAELRQLLQRFLSLNSKRHHHLILLAFADVWEELFAGSRTGDGRPHASSKQKHLPSSSRSGELAS >cds.KYUSt_chr2.47725 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298515449:298516314:-1 gene:KYUSg_chr2.47725 transcript:KYUSt_chr2.47725 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEFMIHAAEGKLSTGPEGTLVSPDCPFVIKRLADPLNKSFEQVQRWIMEIFQLNEEAHEITLQHILYVRNNLLAPPSTVLIDIVGNDSWRAFLNVAWRHVGAFILFVTWSAKKTTYVSKAIDATTIADEDPAVTDESDDGSWPTCKHDKPCTIETLWDSQDQARRFYCCPLFGDFKEDCGFTQWLDKELPGKATEHMGYLSDSVDSLQQQVDILKCELEELRRCHQKRSLGEVVISLGDEKTRPSQIRRLAKGN >cds.KYUSt_chr5.34561 pep primary_assembly:MPB_Lper_Kyuss_1697:5:219163200:219165626:1 gene:KYUSg_chr5.34561 transcript:KYUSt_chr5.34561 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSTSSPPPRRSPKVRLRRQRLESLLQELRRTLDGLGDADLGASLSSVAADTEASEYGDSEHADDGDSAASLASDSDHATDQMFDLVKSRFESPGFLQEFEEIQKSVCQNGAAEMDTSWDMIKAVDVWEDDDDNGYVLVKPEDAAEGIAFFVATYLLTLKKTKELSPDRLQKALKKTFSAEKRKGKLRKAWDGTKVAYNVASWGATAVGIYNNQAILKVASTAVRMSWRVVAKLL >cds.KYUSt_chr2.35786 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221225615:221226128:1 gene:KYUSg_chr2.35786 transcript:KYUSt_chr2.35786 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVLALTKTSLIHWLLASPFTGAQLSGHNEPLIFRVLHLAIRFQYSARVAKPMYECLSSSPRSDQKDIVSLPSEGFDGMVPWHLRVSQLALMFVTSELAVQELDA >cds.KYUSt_chr3.14591 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88708688:88713065:-1 gene:KYUSg_chr3.14591 transcript:KYUSt_chr3.14591 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKLLQLFGVAKKKKDSKKKGKSIDPLWQGSVCVVPQSTLNVLASKSILDPCSSGAGTVLSLQKHEPECSSIISTMINTIHGSENDDYKLFSQFDVVQDFSDHHYAKTSPGKATKDWTKAIQNEWKLLQRDLPGSIYVRVYEDRTDLLRAAIAGPSGTPYHDGLFFFDVRFPPDYPRCPPKVYYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSKSTMLQVLISIQGLVLNDKPYFNEPGNKSTANTPPGEKHSVAYNQTAFVLSCKTMLYSLRKPPKHFENLVVRHFHERERAILDACGAYSSGMVVGSLATDGAKYACDKWFVSFKKSLDAHTELLAKELAANRTRALELDRDAPAADEIVSTS >cds.KYUSt_chr7.13552 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83520745:83528136:-1 gene:KYUSg_chr7.13552 transcript:KYUSt_chr7.13552 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKEEDEEEEEAIGECCKEKRRRERGEGEEVMKEKREEGWLEYIVLLTAGAPSMVVRRGYIHLATSNIARREDKFELQGNKSRFETRPESKTQDKNFFGYFKNLELSTDERRLNIVGEPQEEGMMSTTSSFHSMSDADDYRCFVGSLSWNTTDVDLKDAFGKFGRVTETKVVLDKFSGRSRGFGFVTFDDKKSMEEAVEGMNGIDLDGRNITVERAQPQGSGRDRDGDRDRYGGGGRDFGGGRGGGRGGGGDCYKCGKPGHFARECPSGDGGDRYGGRDDRYSSRDDRYGGRDGGRDDRYGGRDDRYGGSNGSSRYGPDRGGDRYSGSRDGGSRDGGSRSGGGGGDRYSRDRSGPYDRRSRDEY >cds.KYUSt_chr3.15056 pep primary_assembly:MPB_Lper_Kyuss_1697:3:91710607:91712721:1 gene:KYUSg_chr3.15056 transcript:KYUSt_chr3.15056 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAAQGGEKPALRKPIFIKVDGLKPGTNGHNLLVKVVSANPVPGRVRPGAPTSSSARMPRIAECVVGDETGSIIFTARNEQVDLLKPGATAIMRNAKIDMFKSSMRLAVDKWGRVESAEPASFTVNEENNLSQVEYELVNVAE >cds.KYUSt_contig_2649.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000435.1:32240:32984:-1 gene:KYUSg_contig_2649.8 transcript:KYUSt_contig_2649.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPHPDSPPCPPPSPRTWTPSSPRSRRPPPRLPTGSLRRVPVPRQRRPLLPLLRGHAPLLLGHILAGARASALVPDVALASMVIPVAKELRLPCYVIFTASAAMLSLCVHFPAYLDAASAGNGATRMLARSDGLLVNFFDAIETEAIAALQDGSDVAGFPLVLSVATGFPLVLSVKPLGPSAHLPCTTRTDKSHLSHTGTASQRPSLPDPL >cds.KYUSt_chr7.7890 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47714708:47722539:-1 gene:KYUSg_chr7.7890 transcript:KYUSt_chr7.7890 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTATTTKPLGPTALALRLLGELAETGAHGSNLIFSPLSIYAALALTAAGARGATLQEFLAVLGASSRDELADTVRGLAEQALADRSLKGGPRVSFACGVWHDQTRQLKPAFRRAAAQAYKADTRAVDFRQQPAKAVKQINAWAAAATNNLIDSLLTDGQVSRQTDVIVANAVYFKGRWQDPFLKEYTVDGKFHRLDGSTFDVPFMRSWGREYIACHDGFKVLQLPYKQGMHDRFSPPPRFSMCIFLPDARNGLAGLINTIASSSSSDFMREHLPTSLVKVGKFRLPRFKLTFSGDMSTVLQSLGLQAAFDEEEADLHDMAEGERPLALQSIVHKAVIEVNEDGTEAAAVTGSMMCGASFVTHAPVDFVADHPFAFFVIEERSGAIVFAGTVLEPSPTVPGEKRLRRTRVHANNVDVRPVQWPTTNENANQGQPSHRDPEVEAINDGAPEGSYELVYEEPDLTGGVEGVDYGIVYGPDDTEVEE >cds.KYUSt_chr4.33596 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206052345:206055242:-1 gene:KYUSg_chr4.33596 transcript:KYUSt_chr4.33596 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQSNQDGSKFGAFTDRSAALGNADDIGIHYMGGASSEAGRASLKMRRPLQPSPQFMMYDGAMNNGSNQSDVGFSHSDALPDEQSLASAFGDMSFNNHTAHSPASPRDFVPVNGYHPLVPASVQDDFRSLEFTAQHVNHKPDGLTVEHQERAHRFSPRFRDFSNDYGMHNLDTFSSAPYQQSVMSASPVEQQFYMDGQSQMHASQGHQFFGSNIMWQQYGVEAPRYPVMQPHYVYPHVQQVAGSDVRRNRRHLQATARAPTNATSHTEAPNVRRLGIGIEDPYSNASAFHKRNHQLQSTYWNSFPGTLYADSSCGSSNFHQQVDKFSHPYDLNSLSNGFSYHQISDNLSTASYQEKVLMRSDWSNDTSHLDMLNSQFLSLVMKPRELNYNSVDEVAGRIYMLAKDQNGCRFLQQVFAQGTEEDIEKVFSEIIDHIGELMVDPFGNYLVQKLLERCSDDQRMRILCEVTKMPGKLIAVSCNMHGTRAVQKIIETINNPDQVSKVVSALCPGAIHLMLDPNGSHVANRCLQKLLPDSKAFLLDAATLHYLKLATHQQGCCIIQKCIEHSDDEQKYKLLSNITSSALALSDDQFGNYVIQSILNHDIGWATSKIVDELKGHYGYLSMQKCGSHVVENILRRAPQHKRDQIIDELMNDPNLLHIMVDQFGNFVIQTALEHCEGVRYNAFVETIRPHAAAMQSNMYGKRVLSKTYLKNKQHRVGVL >cds.KYUSt_chr3.43658 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275462435:275479023:1 gene:KYUSg_chr3.43658 transcript:KYUSt_chr3.43658 gene_biotype:protein_coding transcript_biotype:protein_coding MIFALIMISQKAKAKSKVKKPAEDKGKSPAKTSRNMYNAALKDPERGLQGIVNLEGNLPLLQFWFWEKFRLDRLDASIDYTGREAPLLQYWDEKKARKVCAILNEHGRDAGEYVFDLKEAWEPPRPSSPLPSFDDLPPTDSKLVLKLHESILNMRRAVMHDNLLTRIKIEEHGDYLYRFEEKLDAFCQQRANVMDTIITWMQHMRPIPEIRAGRTVYMERASRVDLLVRDGRSDAYNRDVRNKNHGTNMGKVCISHDMICLPVNYENIHWYVVNVNPEERLIQVLDSMRKQTKNFKKAHPELQNMLKGMEAHLDVTSRHDCEKSDSWPDYAVNSWPVQVVKNVPQQKDGISCGLYALKNMANWIGHELSQNFTQVSQGYLNRQAYERIQLAAANAALVDDKNKKILRDLQLLNKRNKDLQNGRNWSTAILPEALVSIDTGQTLSKQSKSEKKSRKAMQKLGMKATTGVSCVTVNKSETVMFVVSKPYAICCYAYIIFGETKIKDLSNQLQPQATEQLKAPTGGRGVPSKGEHNRGDDPGRQGGRQDGRGQEDIELLMTQASAVGLRAKIQGCEGAQGFQWRHRMYNATEAEEIRVLDLLDGSDAAPVTHLEVEDAEKRKQKVPNPAYAAWVSRDSTLLSWILNSLSPEILAHTIGVESTASVWAIINNLCGSRSRSRINRLLLLMTCDSCPKVVRTPTLVLLLMLLLTEAKMDVAAVDLPIVVTVSMDSAGKIDAVLTVTGVAMTVTYVRMMVLAGKIAAAMMVEDKATMMVDNAGKTDAHNSVMTMVAMSAAVMMEDISVILVDMAKFLLPLLMSHVRYV >cds.KYUSt_chr7.19968 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123707962:123710132:1 gene:KYUSg_chr7.19968 transcript:KYUSt_chr7.19968 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGVPPAAPPGGGGSGDGPRRCSQCGNHGHNTRTCTARPPVKLFGVRIGDKPPIRKSASMGNLAQLAEGSGGARAEGYGSEGDDDKPHHRKRGESWSEEEHKNFLLGLKNLGKGDWRGISRNYVGSRTPTQVASHAQKYFIRQTNNNRRKRRSSLFDMVIDDSGDLPLSRSSSQEIPLSRSSSQDVEEYVDDLRPANAPVIPPAPVPVLTSVSVPPPVAVMAPPAPVPALTYTSAPPPVPAMAHHPEGSQWVGSSSNAGEAGMVMPQVISPYGYPMMFPPPHYAQAFYPVPYYGYAPMFYGPPGIMQASSPGTVQASHEPVRPVAVHSRPPVNVEDLYSMSELNLKGDSSTNGVNPKSPLPPKPNGRPERQSAFHGTGPGNGSSNGLIPAK >cds.KYUSt_chr4.3688 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20979816:20983447:1 gene:KYUSg_chr4.3688 transcript:KYUSt_chr4.3688 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFFLSLLPASGGRLGVELRWSEQAARFSSSRIFMNKGPFRCLSLQWAVVALLLLSDHGGEGKRSGNLNNNNNNNNKQFPGRLWWRGEKTLFCFFFFIYCDKLELCWHLVVNNLEVASHPELRASAALPAMAAAAQRLLLASTKIVGVGRNYVAHAKELGNPVPKEPVLFLKPTSSFLHAGVATAAVEVPEPLESLHHEVELAVVISRRARDVPEASAMDFVGGYALALDMTARDLQSVAKSAGLPWTLAKAQDTFTPISAVVPKSAIPNPDDLELWLKVDDELKQKGPTSDMIFKVPFLISYISSIMTLMEGDVILTGTPEGVGPVRIGQKIKAGITGIIEAEFDVQRRNRTFSA >cds.KYUSt_chr6.6116 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36737003:36751853:1 gene:KYUSg_chr6.6116 transcript:KYUSt_chr6.6116 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVEVKRGHDKFKRATEGERSAAARTDSTFQPMRRKEAKVALDSKMKRAMDDERFKAARTDPRFRPMQRKELKVALDSRFTPILTDPMFDYSEAPVDKRGRCRKKSAMKNPMLRYYLNQEEGNEKDKEKTTEQEKLIREEEDHELEEEDELDEEESSSSDKEDDHDQYSVGSDLAHYLMARHDDTPMIDKGTHRLAVVNMDWDHIKAVDLYMVMTSCMPKGGRLLSVSIYPTEFGLKCMDIETTQGPSALVGPDGDDDGGGGGEDDSNDDEEDDDDVGEEDSYIDSETENSKLRTYELNRLRYYYAVVVCDSSATADHLYTTLDGTEFLKTANVFDLRFICDSSEFKYPARDVATEAPLSYKEPVFETCALQHTKVKPTWDDDEPERKILRQKFKDKFDDLGKYIASGESASEDDSDDEYLPNGVAKRKLTNVERLALLQGNKSDEEQIGDQDMEITFNMKLEDLSKRILERKSIETKTVWEMNQEKMKEKRKARKRWSKDDDDYSDQDSADDFLDDEKSDEEVKPMKKPKLKAKGKGKDKSRDVATEEKHKARKRSSKNVLGVKPTKKEKVNAKGKGKDTLREEHYEAQATKEELELLVAASEDVANGAKGYNIKHKSKKGKKGKDSVEDKLSQIDLSKDERFSPMFTSHLYALDPTDPRYKRSATSLMLKQAGKKGAHVSTLDSEPPLEESSPPDGASPRKANQKHTENLQMLSTVNSLKRNLAAFRKASKPEGVNHRGIPKLLRLPLHPFALFRPSAPKKPPISRSHVLLFFLSASSVFSDSSSSREPTPEWGSLAAHDILAPTTWDKEEHDSFVWSEDDKSLTDGEGDLQFLVEGEEEAESEDDRFSWDDFTSSEEEAEEDDDDSLEGYPPAKRLRTWWDDDSDDDDEEDEAPVEGYGSSDEEPIGQCCAAAATTRAATALRLGTSSSSWLLSSSSLSNRLFLCKKSLY >cds.KYUSt_chr6.11318 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70092721:70095190:1 gene:KYUSg_chr6.11318 transcript:KYUSt_chr6.11318 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSVRGRITNPSPAVSQFSGEGRSRQASRVSFRAMSSAVPVEEPAAAAEAKPRPSGDSFIRHHLRSLSAYQPILPFEVLSARLGRKPEDIIKLDANENPYGPPPEVATALGNLKFPYVYPDPESRHLRAALAEDSGLGLEHILVGCGADELIDLIMRCVLEPGDKIVDCPPTFTMYEFDASVNAALVIKVPRLPDFSLDIASIVKVVEQEKPKCIFLTSPNNPDGSVINDEDLLKMLDLPVLVVLDEAYVEFSSLQSRMTWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALQNPTYLESVKNLLLQERERLFNLLKGIPYLKPFPSHANFILCEVTSGKDAKKIKEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMEGFKALQL >cds.KYUSt_chr2.28014 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171883597:171886646:-1 gene:KYUSg_chr2.28014 transcript:KYUSt_chr2.28014 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCAGPSATADRHGFFNTVSVAMLWRPGAARAEPAVPPPDSCPSMSSTTSSSPPSPVTIADADLSPTSRANKHKVKRVQSAGLLAESVLKRDSARIKDLYTLGKKLGQGQFGTTYQCVDKATGKDFACKSIAKRKLVTEEDVEDVRREIQIMHHLAGHPNVISIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNQKEDSLLKAIDFGLSIFFKPGEIYSDVVGSPYYVAPEVLMKNYGCEVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPSISKSAKDLVRKMLNRDPGKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMLDTDNSGQITLEELKNGLRRVGANLKESEITTLMEAADIDNSGSIDYGEFLAATLHLNKVDREDNLFAAFSYFDKDGSGYITQDELQKACEEFGIADARLEEIIQDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQGQMSFGLREALKIR >cds.KYUSt_chr3.27149 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169464383:169469317:-1 gene:KYUSg_chr3.27149 transcript:KYUSt_chr3.27149 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLLVVVLAAVSVFLVPAVTASCDDELPAELAGNYSGMACSPVWNNFVLRYAQDGNNVLRVVLSAMYSSGWVGMGFSRDGLMVGSSAMVGWVGKTGIPHVKQFALNGKTPSLVVADRGFLISNNGDHTVVVKQAKIYLAFKINFPSPLKQQQVLFAFGSAIPVNDRLAEHQDKTSMNFDFTTGHSSGSSFPEGLKRTHGALNLFAWGVLLPIGAIVARYCRGWDPLWFYLHAGIQFVGFILGLAGVVAGVSLYGKIKADVPAHRGLGIFVLVLGILQILAFFLRPNKDSKYRKYWNWYHHWVGRLVLFLAAINIVVGINAGRAGNSWKIGYGFNLAILLITIITLEVLSYECPLDRDSEAGVSKIEEFLGILGVEPCIELIQVVPCEPEGWEKTRMAGIAGINKVELTLWDFQEISSLQGVSQNRSIVG >cds.KYUSt_chr7.25060 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156378100:156381120:1 gene:KYUSg_chr7.25060 transcript:KYUSt_chr7.25060 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLPLFSPSPKQPPPPPWLHGPNIPASVTPPNHIEAPSKPQNDPQRKTSSSSNPLTAGVPGGRTRRAVLGIIRRVRSLELSDPPNTKPSTSTIPFNLPIDRPEGGQEEERGRRNVKERPTSRAVPWSAARDEHLKVALRREKKVPEPTPAERVLEAAELQRLRRAARGMDKWVRAKKAGVTDEVLEDVRREWSSGQELAGVRVVEPLRRCMDRAREILEHLTGGTIILRNKAFIILYRGKDFLPGGVKRSVIEQEARVDAQHVKEEEARLTVIDSLQIYAGLPSEESSVGTFREYQDFQVNHVHEITENNQAMIELEAEKHRLEKDLKDQERRLFILTKKIERSNQALAKLHNSWNPSEPSSDKELLTEEEMMIFRKIGLKMDEHVLLGDQEKPFLLL >cds.KYUSt_scaffold_2697.536 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:3492864:3494984:1 gene:KYUSg_scaffold_2697.536 transcript:KYUSt_scaffold_2697.536 gene_biotype:protein_coding transcript_biotype:protein_coding MECDNGALCTAAIQHADPLNWGKAAEALTGSHLDEVKRMVAEYRQPIVTIEGASLSIAKVAAVAADGDAKVQLDESARARVKASSDWVMDSMANGVDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLSAGATRAAMLVRINTLLQGYSGIRFEILEAVAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRQNSVAVAPDGRKVNAAEAFKIAGINGGFFELQPKEGLAMVNGTAIGSGLASTVLFEANILSVMAEVLSAVFCEVMNGKPEYTEHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGDLDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGSRNPSLDYGLKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISARKTEEAIEILKLMSSTFLVALCQAIDLRHIEENVRSAVKSCVMTVAKKTLSTNSTGGLHVARFCEKDMLQEIDREAVFAYADDPCSQNYPLMKKLRGVLVERALANGVAELDMETSVFAKVTKFEEELRTALPMAVEAARAAVERGTATTPNRITECRSYPLYRFVREELGTVFLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >cds.KYUSt_chr6.10819 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67108425:67111665:-1 gene:KYUSg_chr6.10819 transcript:KYUSt_chr6.10819 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLPGFVEVSSGLEVALVPVVDAVVVFFLKLCSSPSPEKKLRACTGGFINEESSLKMVMAPNSIDSKTISPITEDGSMDRRGNPAVKAKTGKWKSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPSGCGDPETQCNPPSTAGVALFYLSTYMIAFGNGGYQPSIATLGSDQFDETDPDEARSKVAFFSYFYLALNVGSLFSNTVLVYYEDAGQWVMGFWVSTGAATLALVLFLLGTPNYRYFKPSGNPLTRIAQVLVAACRKWRTDAPARGELLHELDGDEAYREAGIRKILHSDQLRYLDKAATVTEEDYCQQENLKNPWRVCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTSIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMARLSGNPQGLTELQRMGVGLVIGMAAMVVAGVVEVERLKRVPASMPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSMVTTLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAMWYKGIKLDSNGERGKVAVHV >cds.KYUSt_chr4.41970 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259545024:259559812:1 gene:KYUSg_chr4.41970 transcript:KYUSt_chr4.41970 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSADPAPTPTPSPSPAKPPLSPSPSSSAKRTKRCVQSRLSFGAGKSAGAASGAGNGGGGAVPPAPAAEEAAAGKSPEKGRRRGRSRKSEAAGKKPSSNKETNGLDQGSTDEGISIDESPQKKQRKGRNQDAARKVPNRKRCKALESTDGHGSYQQLNSSQTEASPVSIDIDLNSVPSEAQEDANDVLENEDKSQVIVDLRSEAKIAAQEIRMLSSGKKIHPFFASRKVNKGADQDVLNIEDADSLCATERDPPFWPVHVCQLETSIPIHWSRLLIVEGSFLNTRAADTLENSVSFCEGFVKPLTIESNCKKMCPNQLVKQNAADHTASGMDFSSFSNEQSGSKFPSLNVSLLTSDSSCHASLSYTENPERILQGLPEVGQKGCQPSYYLWIDKYRPETAAQVCGNVEHVKFLSEWLKGWDERGHKKKQVGAANESINVSSCQDESDTDTDCSEDASDYENVLLITGPVGCGKSAAVFACAREEGFNVIEVNTSDMRNGAYVKQKFEEATKSHGLEKWSQEEDTTSPRIDSLDPDRAECKQSVSCSTGKASNSNGHKSPAKCYLTSKLSDEAPKQVINKTLILFEDVDTVFDEDRGFISTILKIAETTKWPIILTSNKKDPSLPNLLDQLILDFKYPSAGELLSHVGMICKSEGVDVAAPQLKYIINACLGDIRRTTMLLQFWYQGRQQYTERSNKCLSSPFSLDLDAVHSTVPRMLPWDFPCKLSETVFMEIEKTILLAEEKKRQMEVSEFEALELQIIAPLTKGRSAVKTRKIKKSKLKHGHSTECNNISPCKNDLDDFDDDPDISLLSDQQRIRKKKGVLLLSESDDDQADAHIAEDAKITVPEGGVFLEPLEVPLHGQGISNQFCFPSESRETFEITNSFQNQFESNLVGSISQICDTFMSQGVSCVPESSLIAGGVSASVSSDDLLSSMVSNGLSTFNNDSVCTSPKTAVEDTVNARNLMAETHKCMEDVVGETCEAYAESFGRNEQASCSTAGYQLMDECSQAGSIWPLSGKKTNDCYKVECVQDTWNRLRRCSVLPRETNHNRTVPGTLKLASCVSDFISETDLLLARCYPLINDILDPSSTPCAEPGDLSWYDKQLEMGSAYSQHALCVFSRDSQDKEDGLIDLSHELLFASTSATSLGKLISSGISSSDGFGNISHMKDPTSCISKGREQLGHLTETLIPVVPSKLSLSLRGPAFVDYLSSTCQISQLENLRLSENKAGNNQRRCRRSRHYLSSAALSLSPEGVELLAQSGCFGDRRKNLEETNA >cds.KYUSt_chr7.38033 pep primary_assembly:MPB_Lper_Kyuss_1697:7:237083557:237090311:-1 gene:KYUSg_chr7.38033 transcript:KYUSt_chr7.38033 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSVKPKKGAAKFFKSLFAMCKQSYDVNSKALRETHRIKDDFYSSEVQLVLVNKAEIEKEMSQPTPDGERKNETQVNPRSLTCMMDAAQFGPWSDLPPELLSLVLKRLPSLADRVRLRAVCHPWRSNSKLHTLPLPFPWLTLPDGTFLSIPDGEVHSLTVPDDASCRGSIDNWLFIMSSDDACTLMNPFSKTTIELPNLVTVWQRMVRYESDPKQLLYKLVAPSPLDLSVDSIIASLIMDDGNIGTLCISQPPVATYSVRWNTQPLQHLKDVAFFDGKLYAVSVFGKLFIVEFCMNLGSNPNIKSVIESYGSERPECIPRDETCMYRMYLVECGGRLLMVKRFIRRLGPSSGDNVFGNIHTAGFHVLEADLLSNPCQWRRVSNLGGHALFVGQHGSKSLPATECSGSQEDCIYFMGDYLMPKYSENPLRDAGVFNMRNRTITPLCSGTAPAVLPRHADQWRPTWFFPPETV >cds.KYUSt_chr6.21719 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137065439:137073085:-1 gene:KYUSg_chr6.21719 transcript:KYUSt_chr6.21719 gene_biotype:protein_coding transcript_biotype:protein_coding MVDETLDPPPATPPPPAASSPLLRPRRVAFEYGLLPIPKLIFPESALAQTLSQLKEKLAPAAAASGDGRVGAAALAEALQIPPEQAALALGVLAAVLPSEDPALGAGGSGGADLRDVLLFLYIQSYKRLVPRPHKDSPAVADVWPSTSAFDGYLSALSPIQLVRSNSRRFIPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLVHFSEGTSLSQAATFFANSDPDMPAAPVSAAQVHDWILQNIASSLEFYTEKSTAKEGSQQIASDLDVTMADANTSHTRNNTPTVVNPAFHRNSTFVEGFSKTSVVKHASDVKGHSVKVLNCHDSVIYILAPVKYATVYGCSDTTVVLGAVGKVVKVEHCERVHIIAAAKRICIANCRECIFYLGVNHQPLIVGDNHKLHIAPFNTYYPRLGEHMTQVGVDPSTNKWDQPFVLGVVDPHDSLSHPAGVSDVQAESAICVDPDLFTNFLIPNLFDDAVQDPTECNPFPLPEIYQASQSKKDSNLEDVRTFVKYIPIVLSFQIGSTTGNIRQLYCLQGD >cds.KYUSt_chr1.39170 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239496836:239497816:-1 gene:KYUSg_chr1.39170 transcript:KYUSt_chr1.39170 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKERVKRGPWAPEEDEQLRSYVRAHGIGGNWIALPQKAGLNRCGKSCRLRWLNYLRPDIRHGGYTDHEDRVICSLYASIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMRQQYHGGEGATPGAFPALDATALSSWSQAQCTPPHSSLSPSASASSSVDTSSSSGDMCFPAAAAAMYQPPQQPMQGMVRLDTPPPPHTELAPVPNAATATLLDDAFLADLGAYGDLLFGGLMQDDKAAVAGLELSSAACYFPNMAEMMWAADHAHAKPPQLGALCNTFT >cds.KYUSt_chr5.43096 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271857543:271864777:1 gene:KYUSg_chr5.43096 transcript:KYUSt_chr5.43096 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPERDSTEIEIFGMQGIPSNILAAHYGEEEEPSSKVAKVEVPTIRPPIMPNHPLGMAFPPRPYGVPRPMYNPAMMVRPPLWPPQPPQAWFTQQPAMPVPQMVPGQAPQQPLFPIQNMPNPMTSAPANLLQTSFAMTATGVPSPVTPQVSQPLFPVNTTGNGAAHSPFSLSVSPATIPASSPASVVNAGFGYVANNQGTGGPAVGLPPAPATNSKASGTQPATSEVYLMWDDEAMSMEERRLSLPKYLVVHDETSQPQLHLLLKPPPMEEGKMHRLLSIAIVVFAFFATQCGARVLTVDELLDQYSESDTYSLAHHEDASPPPGSPGCGPVAPPVIPAPAFAYLSPPPPSTPLYSPPPPPMEFYSPPPPEVVPSPPEIAPLPPIVYPGPPEVVPSPPEIVPSPPEIAPLPPIVYPGPPEVVPSPPEIAPSPPEIAPFPGPPEVAPSPPEIAPLPGPPEVAPSPPEIAPLPPIVYPSPPEVIPSPPEVTPFPGPPEVEPSPPEVTPIPGPPEVVPSPPEVTPMPGPPYVAPSPPEVTPFPGPPNVAPSPPEVTPFPGPPYVAPSPPEVTPFPGPPEGTPSPPEVTPSPPDYAPYPPPDSSTGSPQTPPGGSFQPPVVLPPSSGPPSPSSGHAEWCVAKPSVPGPIVQQAMDYACASGADCDSLKADGACFKPDTMTAHASYAFNSYWQHAKSTGATCDFGGTAMLITKDPSKLASHHYVPHPLPYNKS >cds.KYUSt_chr3.40930 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258358215:258361773:1 gene:KYUSg_chr3.40930 transcript:KYUSt_chr3.40930 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLDIEAAQAHKDGGDGGYTTAATAHAVDTDSWQQVGLLLVTGFNCGYVLSFSNLMMVPLGWWWGAACLLLVAGAAWYANWLLAGLHLVDGHRFIRYRDLMGSVFGRKMYYITWFLQFATLLLVSMGFILLGGRALKAISAEFMGDSTPRLQWFIAAAGAVYLVFAYFVPTLSAMRNWLATSAALTVTFDVALLAVLVKDAKSELIEFATIIVCTYCTCVGRSSKHTDYSTHGTQLEKVFSALGAVAATLVCNSSGLLPEIQSTLRKPSVSNMRRALALQYTVGAAAYYGITVAGYWAYGAAASEYLPNQIGGPRWTSVLINAAAFLQSIVSQHMFAAPIHEAMDTRLQRLDEGMFSRHNMARRLVARGVLFSVNVFVTALFPFMGDFVNLFGSFALFPLTFIFPSMMVLKIKGRCDGRWHRLWHWGIIVVASVLGIATSAAAVRLIAHNISVYRFFADV >cds.KYUSt_chr6.25648 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162509847:162511222:1 gene:KYUSg_chr6.25648 transcript:KYUSt_chr6.25648 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSLEPAAEQKASSSFANLLSIFLGVSSSEPKPKRSFDAEGVVGLGIVAAMSTARLTAKPIAIGPAARRRAREEDQMSESYTCVITHVAGAGEGGGSVRRRVYFGFGEGGGGWFVEACEEEPAREADFLSRCCLCGKRLDGLDIYMYRGEKAFCSSECRCHQMLMDDHAENCGSKAFRANNYSASPCSAPMSFSPSVAAA >cds.KYUSt_chr2.391 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2378310:2379957:-1 gene:KYUSg_chr2.391 transcript:KYUSt_chr2.391 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLIFLLLIIRQQSERRRRLPPGPGTLPIIGNMHQMIWNKPAVFRWIHRLLEDMNTDIMCLRLGGTHVIVVTCPKIAREVLRKNDEVLASRPTTFASGSFSFGYKGSIFSPYGKQWKKMRQVLTCEILTSSMEQRLHHLRSEECNHLVRYIKNMARPNNFVDVRYVAQHFCCNVIRRLVFGKRYFSDDIPASLTSGPGHDEVAHVAALFTALNHLYSFCVSDYFPALVGLDLEGHEMVSKNVMRVLNRFHDPIIEERIRERSTTLGNGGEKKEARDFLDVLVYLEDADGQPLLTLEEIRAQTMEMMFATIDNPSNAVEWALAEMMNKPEVLQKAIDELDIVVGKDRLVEESDIPHLNYLKSCIREAFRLHPYHALNLPHVATADTTIAGYTVPKDSHVILSRIGLGRNPKIWNEPLEFWPERHLNTGNVLLSEPGLRFVSFSSGRRGCPGISLGTSVTMMLFARMLQGFTWTKPPGIERINLQEGNASLALAEPLVLHAKPRLAAHLYI >cds.KYUSt_chr4.28588 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179613890:179614255:-1 gene:KYUSg_chr4.28588 transcript:KYUSt_chr4.28588 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAPSRRDGLGALPVCLRHHIVSHLRARQLVHTSLLSQRWRHVWRNTPRLDVDQREFQHSTAAAPLTVDHGREKLKAPRAGREVREVRAQPRHRGDHGPNLSLDAFRFFIATPHCHRSS >cds.KYUSt_contig_815.29 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:152310:154673:-1 gene:KYUSg_contig_815.29 transcript:KYUSt_contig_815.29 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPVLASRAAHATAYASQHLTASTSKEPPPRVRPKRAGPGPGSTTKSLVLSHAAAGRLDDALDALASSGSRDAFLHNVLIRAFADAGLPAGALAAYRAMLRAGARPDRFTFPVVFKCCARLGDLEHGRAAHSAAIRLGAAGADAHTGNSLLAFYARLGAVGDAERVFDGMPARDLVTWNSMVDGYVSNGLGALALDCFRDMPDALQHDGVGIIAALAACCLETALAQGREVHAYAIRHGLLERDVKVGTSLLDMYCKCGAVACAESVFASMPSRTVVTWNCMIGGYALNGHPGEAFDCFVRMKAEGHQVEVVTAINLLAACAQTEKNPLYGRSVHGFVTRRQFLPHVVLETALLEMYSKVGRVKSSEAVFGRMANKTLVSWNNMIAAYMYKEMYREAIALFLDLLNQPLHPDYFTMSAVVPAFVLLGLLRQCRQMHSYIIRLGYGESTLIMNAVMHMYARCGDVVSSREIFDKMAGKDVISWNTMIMGYAIHGQGRTALEMFSEMKCNGLQPNESTFVSVLTACSVSGLADEGWTQFNSMQREYGMVPQIEHYGCMADLLGRAGDLRELLKFIEGMPIAPTFRIWGSLLTASRNRNDIDLAEYAAERIFQLELEHDNTGCYILLSSMYADAGRWRDVERIKLLMTEKGLQRTDARSIVELHGVTCSFVNGDMAHPQSKTIHEVSDVLSRKIGELDDSRNQSDPISLAPRRTTTPNKHSVRLAVVFGLISSEAGTPVLVKKNVRICNDCHHALKLISKYSGRRIVVGDTNIYHEFSDGSCCCGDYW >cds.KYUSt_chr2.8941 pep primary_assembly:MPB_Lper_Kyuss_1697:2:56390667:56390996:-1 gene:KYUSg_chr2.8941 transcript:KYUSt_chr2.8941 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRATCVLLLLLLWADVRPPPVAGQAPAEPDAADAATSKADAAAIEADSDARGNGTRGGSGARGKVLSTIDCQICEATCRVKCLINNLFQWGTCYQRCKADNCNEWCR >cds.KYUSt_chr2.9377 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59048647:59050296:-1 gene:KYUSg_chr2.9377 transcript:KYUSt_chr2.9377 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRRSARHISSSAGAAADPAPVPAAVSDAASRAAAAVLRAPRSEARLLSLVPRALLLDPTCIRLTLSRLLPSQDPSLRFLHFLSSHLPAPPETPAPPPPLPGVDAFLLRLPPHLAADAAALLATHLGLHPSIHALNAASRAALRAARPDLVFRLFSAFSSSPASPGNAATLTCLERACAADGRPLDGLRLLRDAARRGTPPSPAAAADLVAAFAAAGNFAKVSETLHLMISAGRPPGTAVYQRVIHGLFARRMGDEALRVFNEIKLRGYAMDVVTYNIAIHGLCRMCRIADARQMRDEMVRRGTQPNEYTYCSFLHYYCEAGDFGMAYKVYDEMLGKGFKESTVSCNIIVKGFCVNGMVEEAARVFEGMVAKGIQHNVSSYNTLIQGLCKAGRLAEAIRMYQRLLSSALEPNVRTFTPLIDSMCEEGQVDDAVELLRSMQTMGLEPLVRSNDCVINAFCKAKRADDGMAWLAGMLKDNLKPREHTFNSLLELLSNSERMDDALLVLSTMFKTGYGLGSLACTILVDKLCTGSVSYSHKLEDIVVSS >cds.KYUSt_chr4.40580 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250479377:250481872:-1 gene:KYUSg_chr4.40580 transcript:KYUSt_chr4.40580 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSDPPACPCAGEDDDFYWDDAAEAELQAIEAAYAAESAKRRRLPDWSSTAARPAPPFRPRHIPVLAAASPSSVLPPPTCGGIVKARHQQIAFSGKIVYCRTRSEVEKATAEIARNIERMKASGPVSLGFDLEWRPFPRRGEPPCKVALMQLCMDKTHCYLMHIIHSGVPPILKSLLEDSSSVKVGVCIDNDARKMFNDYDVRVQPLTDLSIIANVKLAGPQRRWSLAALTEMITCKELPKPSNIRMGNWESCVLSKQQLQYAATDAYISWYLYEVLQSLPDYDVDAEKEIV >cds.KYUSt_chr5.36721 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232182687:232185831:-1 gene:KYUSg_chr5.36721 transcript:KYUSt_chr5.36721 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSFEKDTKIMNAASFTIEREDHTVGNILRMQLHRDPNVLFAGYKLPHPLQYKVIVRIHTASQSSPTQAYTQAINDLDKELENLKQAFEDEKNRHEERMKQGY >cds.KYUSt_chr5.39970 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252606598:252607783:-1 gene:KYUSg_chr5.39970 transcript:KYUSt_chr5.39970 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPKRDANYVPLSPVTFLPRAAAVYADRTSLVCGRTVFTWRQTYDRCLRLSAALQALAVSRNDVVSVLAPNTPALYEMHFAVPMAGAIINAINTRLDAAGVAAILKHAAPKLLFVDYQHMRVATEALKTITAASLLPLLVPVYLWSLPMFHCNGWTFTWGVAARGGANVCVRAPTADAMYSAIADHGVTHMCAAPVLFNVLLDAHREPLSRAVEVLTGGAPPPAALLERVERLGFHVTHAYGMTEATGPAMVCEWRERWDVLPAPERAVLKARQGVSALSLAGADVKDLKTMESVPRDGVTLGEIVLRGSSVMKGYLNNPEANEEAFKGGWFLTGDVGVVHPDGYVEIKDRSKDVIISGGENISSVDVESAL >cds.KYUSt_chr5.32119 pep primary_assembly:MPB_Lper_Kyuss_1697:5:203768616:203775520:1 gene:KYUSg_chr5.32119 transcript:KYUSt_chr5.32119 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGAAIVAGQRRGGGLAAATVSMSPPSPVPACRQRLYVSSKSIPAGRRRISSRSWWRRPLEIALWPHNAHVEPTGSHLWSHSQAQNKFGDLAVEVGRFGASDLDEPGGIVCYPMKLIQAEVLSLGISPQPIWMRFATFRINMVHLKQHHIWITACSVAMRDWRPAAKGGDTECAEPMSSEESSTKILLLQALPLLAVSRLHGQVQWRCAWCSGGKRRGRRVTSGGVKVEQEMKGLPCATPCSVYLGLIIKDDGSSQA >cds.KYUSt_chr2.4679 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28972386:28977956:-1 gene:KYUSg_chr2.4679 transcript:KYUSt_chr2.4679 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLSLRLPTPSPAPAPSPFFSPTPLVRSARCGAPSSALVARVYGFGSAAGAPSPLFNPKGDPFLSTLAAASPERLEAAAGGEHRGEDHLPFLEIFQNAKLMSSPAQVERSSSSYSMHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELIVAQLMYLDWMDSNEPAYIYINSTGTARDDGEPVGMETEGFAIYDAMMRMKTEVHTLCLGAAAGHACLVLAAGKKGKRYMFPHAKALIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVKLLSRHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMSDMLSPEDWDKVAGVRGPGGMMRGGAGSAVRSLGPPLTDYPQCAELAMDAYGAPAAEIPPCDHNQCYCYYFRCYLLFSFWYH >cds.KYUSt_chr3.42167 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266443908:266444681:1 gene:KYUSg_chr3.42167 transcript:KYUSt_chr3.42167 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPADVRSEGATGGGVACRVPAGPAGHGGLPIGFRFRPTDEELVLHYLRRKAFACPLPADIIPVVDLARIHPSDLPGDADGERFFFHLPATRCWRKGGGGGRAGGAGGVWRASGKEALVLAPRCGRPVGAKRTLVFCARGGARTAWAMHEYRLLPAALGAWAAAAAAKPKDWVVCRVFKKATPARRGAAQRRRNDAHMAAPSPASSCVTEARGEEEVEGDEEIASF >cds.KYUSt_chr4.21752 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136974459:136974809:-1 gene:KYUSg_chr4.21752 transcript:KYUSt_chr4.21752 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRFATRYPSVATYPAPPHADPPFLFSSVLRLAVAPHCRLWKLGGEFAGLVKNPRCPDCLQQRLERREEELPFYLHLLPPSLLLGLICVPTTTALKPGAAACSTTKAKKARIDD >cds.KYUSt_chr1.32403 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196746638:196749745:1 gene:KYUSg_chr1.32403 transcript:KYUSt_chr1.32403 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRLSAAVSGGLRRSFTTAASHPPWSMMTRLAPADPGAQSAYVRLAQPPRASDLCVPMHLVKTAPLPGPSSNLAQHVVGEVCASSGDGLLFLLFSDLRFVKEGAREHWAEAFARRRIGRYDLTRFPDITRFVLNPLTRQLSRLPDIACNPAEKMVFGRFMGLLTQADRGHGPPDRFAVAVPEEGNLNLMLRFLSERDEWENVVVSPSPSPCQPPSSARQMVVNQETLSFGGRLWFVDVTWGVASADPFCDPPELSFTELPRGSVLPDGAQHSKDVPWNQAPGRFRRVGVSEGRLRYVEVSRKEPFVLSSFVLDDDDEECTGWTLEHRLALTRLWADRGYPWLPLKEGRAPQVGLLDPLNSTVVYLTVDQHLIVLDMNMKEVIGPSLYTGNSDSIPCVLPPWLGSSRIPSAGLSKLTDAGL >cds.KYUSt_chr1.38418 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234719289:234720176:1 gene:KYUSg_chr1.38418 transcript:KYUSt_chr1.38418 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTLEMKRLRVGSGCSIGAVADDWNGRGRCIGARIRGVNVGILDEQVLVLVFRALNWDPQALCSVARVSRRLRAVAERVLWRELCGSRAPRMVSALTAGSSSAPGSGRVGGGWPALAKLLLFCCGAAGAGVPGHFAPVSRFSKTSGRSFLSRRCGGDLLYVSDPCEHAVPGTAGDEDVGAYRGVFRGFMRSRTRACLVGGRAPLEPRVRCPYCGARVWSVTAAGLAPRSACRRLGAHEGRLEYFVCVSGHLHGSCWLARLSDSDGERDGSGSLSDDGDAFGASEEEDDRRMAL >cds.KYUSt_chr2.26777 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163931039:163932181:1 gene:KYUSg_chr2.26777 transcript:KYUSt_chr2.26777 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLQFISLLGTSSPHPAPSCSSSNTTSNEKQQCRSVHLPRQQGRGRRLRAARAVETDAPSANPETAVEPVEPPSVDFAFPRLLPDGTPDVVYRTACGGQKLRDIMLEGYIDLYGPYVVDGGEMLSPKNEVEKEKLRRKPKSWRLACQATVGKPDSTGQMVIQQLPEWKIHEWDK >cds.KYUSt_contig_319.20 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:156371:166482:1 gene:KYUSg_contig_319.20 transcript:KYUSt_contig_319.20 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVEYVSNNLAEVFNNWVRDCKMLALVEFLDKLRDMIMQMRVKRRLVGEGMQGYILPSVIKELDQKSKGLHYLEARASPTLAEISAAALNAVFAKLGQKALSTWNISGDPCTGAATDNTNIDNNPPFNPAIKCELCTATGNTSVCRITRLKIYAMDAAGPIPEELWNLTALTNLDLSQNYLTGPLSSLIGGLTAMQYMSLGINALSGPVPKELGNLTNLVSLSISSNNFSGSLPSELGNLPKLEQLYIDSCGLTGPLPSSLSRLVNMKTLWASDNDFTGVIPDYIGSWTNLTNLRFQGNSFQGPLPATLSNLGQMTNLRIGDIVNGSSSLAFLTNMTSLTTLVLRNCRISDRLVSIDFSNFASLSLLNLVSNNFTVDSSSNSVLPTGWTCLQRDTPCFLGSPQSSSFAVDSGSVRSIAGSDGPTYEPDDASLGPASYSVTGAPTWGVSNVGSFMDASNGSYIIYNSQQFQNTLDTELFRNARMSPSSLRYFGIGLENGNYTVTLRFAEFEFPDTQSWKSRGRRVFDIYVQGERKEQNFDIKKAAGGKSYTAVRKQYTVPVTKNFLEIHLFWAGKGTCCIPSQGYYGPAISALSATPNFTPTVRSAAAKKKGSKTGVIVGVVVGVAVLGLAALAGIFFWIQKRRKLSLEQEELYSIVGRPNVLSYSELRSATENFSSNNLLGQGGYGSVYKGKLTDGRFVAVKQLSETSHQGKKEFATEIETISRVQHRNLVKLYGCCLEGNKPLLVYEYLENGSLDRALFGKGSAHLDWPTRFEICLGIARGLAYLHEESAIRVVHRDIKASNILLDANLKPKISDFGLAKLYDDQETHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVVLETLAGRPNYYTKDEDKVYIFEWVWELYEDNCPLEMLDPRLEEFNSEEVLRAIKVALVCTQGSPHQRPPMSRVVAMLTGDVEAPDVVAKPSYITEWQIKGGGDTSYMSSDVRGQSSSASRAPDSARGSKVMSSAIYEGR >cds.KYUSt_chr3.9788 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57553340:57554095:-1 gene:KYUSg_chr3.9788 transcript:KYUSt_chr3.9788 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAATPFLVPREPAPPKTVQLGQPGWAVWAILSLVTGGFAWGLYRARHDAHDLVYVVGDYCITYYGLWLLYVCLRKHQLLRGDDDDPAAATELRRVRLFPWRASLFLGCSMAFRVPSAVPNLRPKFGMWVLAVLAIGLGLYFAVTARRSDVARVGDDDPAAATELRRARLVPWAISLYLGWWMWLLVLNAVPSLAPPFGMWVLGLLVMALGLYFLGAACRSDARVDDDDGRRPEPEEVLHDHEVSPEHRV >cds.KYUSt_chr1.26797 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161659324:161660688:1 gene:KYUSg_chr1.26797 transcript:KYUSt_chr1.26797 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWADSGSSRGRGGGGGGGFANRGGANNMNTRWRARTPPQHRQQQNEQQQHQQQHQQHQHQQQQQHQQHQQHQQQQQQQRQYRPVNDNDQSSQHRHHLAAGGPYLGHHRRQRSFSTSTSHPQPTHPPQTHPTPTDHKSPAPATNASANAIASEPDDKARRNAANFECNVCFDMADDPVVTKCGHLFCWECLYQWLHVHSHHRECPVCKGEVADDAIIPIYGRGGSAASVHTAPPRPTGARVESSRQQQQQAALDWHMAHDDEDGNPMDDLQRLFGVSFLRDAMMSLMPGDDADMEDYTNPYHHPDLFDDPYNVTDFQSFVAAGAGSSRGHGRHDVSADDIIGTFFDYTTPQEPGFVYRGGRRQRGRARGAASADHSGFAEMMGGSWSMGGSSSSASYRDTGASGPHVNNTAGGSSRPNGGWTERRGRSNRNSYSGGGGRGTQNNGRRQGANYN >cds.KYUSt_chr2.23299 pep primary_assembly:MPB_Lper_Kyuss_1697:2:142378720:142379196:1 gene:KYUSg_chr2.23299 transcript:KYUSt_chr2.23299 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGQLRDEAGVGAMLLDGHGVVLGVEKASSTSREGRSVMLRQQGDGGAMPLKPTTVAAMNSMRCGGQRQEAKVVGGVMPLAASCGVMPRGKDGGKMLLREGDGVMPFRGDCSVIPLRRCMAARGRHEGRHDAGQKWRDVIHDEDDKALLVDEPALL >cds.KYUSt_chr7.7100 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42720356:42727818:-1 gene:KYUSg_chr7.7100 transcript:KYUSt_chr7.7100 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVAPRGDGRLCPRIGIAYRHNVYEKRLEKQTTLLSCHLGRPTVAAAERQGTRQAAGLGGPVVAVEDDIESKRWMQLSGKVPDKLLDMVALSWLMKMTLRGVSVKISVGRIGIKTSSYSMLKKALLMRSETNMMLMSPGDMGAPIPTPPTMSTSTPGRLSLSGDSRRMDLTSRCETLPTISMVSTDLNRVVMDDTLHHGSIVHLLDAWTSCASTSRAEEFGLEIAELKSSILARYWGSKQLDLSDLLLLGHQGVAAAGAGDLRCWELVGCAARNRQRASRRDAAGSWQDTFVGAGEMRFWLLSLGLGVHQAPGGRPLCTALQLTRSSRPASSRLSCRASNEKDASPSLAGNLELKKLGKLAMVALAAGVLVLSPVDDAMAGKSGGRVGGKAFRSAAPRPSGPRINNSRTNIYVNPGVAPPLVGGYGYGGYGGYGLSPFGFYGGPSVALGVGGGFDTLVLFIVGGAVVGAVRRFLNRRDNDDYDD >cds.KYUSt_chr4.24365 pep primary_assembly:MPB_Lper_Kyuss_1697:4:153390305:153396984:1 gene:KYUSg_chr4.24365 transcript:KYUSt_chr4.24365 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPPLHPLNAFDSFRGLPPGVGEPSRFSSNPTFDLAFLMAAFKGDLRRVKQAARAMGRGAEGRRLAEKMGSVMDGSGMRLIHIAALGGSLPVCRYLLEDLGLDVDVDGPSGETAIEAAIARENVELVRLFLDQGADTEKLDKKECTLLHFATKVGNYEIVKLLLSKGARVNAINLGGTALHVAASDGRDDIVKILLDHGAYHNIALSGTDYTALVLATIERSMKCVKLLLEAGADVDGIGKETPLMIAATAGSTDILKCLVLAGADANVTDTYGRTPIEIVALSGRREDVEILFPFTSRLSNVRDWSVDGVIGHVISAPPVKVEINSILFTEHVGARDYVFDSIFQEAMLASAKSKAHKAFKNGNYLVATKIYDEAMVLDPGNASLLSNRSLCWLRFGDARKALSDAQLCRMMRPGWPKAYYREGTALMLLKDYEKACGAFLEGVKLEPWNTEIEEGLREALESLKTSGSSTGKDLLDQHQLVGTVN >cds.KYUSt_chr6.6985 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42385722:42387924:1 gene:KYUSg_chr6.6985 transcript:KYUSt_chr6.6985 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKRKREEIDLERRDDVDGSGSGGHHRAEDGLAAEKKGQVKPGEAPSEEVVEVVVDRGEDGSKEIKYGTPQGRVMEKDKQSAADHDGGDEESDDAVGTRAEEKHMVEAAPGDGDGDHNHTAMAHDELSTMQEEMEKMKEENKMLRLVVDQTVRDYNDLQTKLAAYQKQPADEPKEPEVFLTLGGTTPATGGLTAEAKSKAEQAARRRPSAGSDETDDRGEGLGLSLTLGGASSYEEDARHDGGAALDIVSDGRERSYALLESSKMHSPAPPVSEDLAAAGIGSQGGVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPACPVRKQVQRCQDDMSILITTYEGTHNHPLPVGATAMASTATSASAATFMLLSSTSSDAAVSGGPPPSSSSSYLSPYMQHNSASHSHYHSSAAMAGSGAQHLNLFGHSSALAVQQGPHLKYPWPPNPSHGSSAVGLGGGKRPFWSTGGVNDARPAAFPENAGTVASDQNRFSAAVAAAINNLTGKDGQVTGGNKEGESSNKWGVIESLPPHD >cds.KYUSt_contig_2792.46 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000505.1:187702:190674:1 gene:KYUSg_contig_2792.46 transcript:KYUSt_contig_2792.46 gene_biotype:protein_coding transcript_biotype:protein_coding EENIMKAGFGGLANGGSSLCAVEGFAWCSGLRNNDHRLHGRFELHYYNGHAFNRALAGMSPNAAASRSKPKTTGDNWWKMTDSSMMNTPPQPPSMPIQPPPAPQSGDTGAGRTRESGSSIFIAGTTG >cds.KYUSt_chr5.40773 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257425514:257425993:1 gene:KYUSg_chr5.40773 transcript:KYUSt_chr5.40773 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLKSLSSSSTATNSASLTMARSPFPQPPLLPLPAHLHQASPPPPQQQRQSRPAPARSPRKPAPASAPIVVVPSPSKKRATGQADVPGFTSMEYPMCSVSPPPSSVPMPTSLLLPASAGRKKAPPTACAVQVASAGAGAVGGVDVGATDELRRLLRL >cds.KYUSt_chr1.23258 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138066987:138068761:1 gene:KYUSg_chr1.23258 transcript:KYUSt_chr1.23258 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSGLFFGTGGDATASGATGMGDLPELCAAEVLLRLDAPEICALARLNRAFRGAAGADFVWEAKLPENYRHLIGYVEGGGEEGRRRRRRAGKKEIYARLSRPVTFDDGTKEFWLEKSKGRVCMALSSKALVITGIDDRRYWTHMPTTESRFQSVAYLQQIWWFEVVGELDFSFPVGTYSLYFRIHLGKFSKRFGRRVCSSEHIHGWDKKPVRFQLSTSDGQNALSQCYLDEPGSWVLYHAGDFVASKPGEALKLKFSMAQIDCTHTKGGLCIDSVLVYPKGFRPEKVVTGRVSEMRS >cds.KYUSt_chr5.27370 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173282550:173288207:-1 gene:KYUSg_chr5.27370 transcript:KYUSt_chr5.27370 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHSILEEDELKGAVVLVYANKQDLPGALDDAAITESLELHKIKSRQWSIFKTSAIKGEGLFEGLDWLSNTLKSGSS >cds.KYUSt_chr2.35850 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221569553:221577448:1 gene:KYUSg_chr2.35850 transcript:KYUSt_chr2.35850 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVYSKSNDGALEEIGRTEVILNSLNPSWTAKINLQYQFEVLQPLVFQIFDIDPQFHDVSEKMLKLEEQQFLGEAICNLSEVITKQNRLLTLKLGVSEHNLPNPSKSGELTVEAEESAGSKALMEMVFHCSDLEIKDLLSKSDPFLLISRISENGTPVPICKTEVRKNDLNPKWKPVILNLQQIGSKENPLIIECFNFSSNGKHDLVGKIVKSVAELENMYHSQDGENFFVPASTAHDCHSKEVLKSQVFVEKYLENNRHTFLDYISAGCQMNLMVAIDYTASNGNPRLPDSLHYIDPSGRPNAYQRVILEIGDVLQYYDPAKRFPSWGYGARPIDGPVSHCFNLNGSTYQPEVEGIQGIMSAYISALRNVSLAGPTLFGPLISTATEIASQSLTNNQQKYFILLIVTDGVVTDFQETIDAIIKASDFPLSIVVVGVGGADFKEMENFQFFTMSSSRSNLGGEDSKEMGVVNRYLNSVVAHLKTLTFEEGVLLMEEVKAPKTEGTMDERLKIPEDTIFRYGTVIERSLDAHHLMNIEMEKKVEAYEARIEDTEEKIFHILTQLDRFQALMWDVENRNCEYEDRFNKIAEGASTKFNDPPTSFYNRRPYPWKIKEWDAHYEEESAKKDDTTSTN >cds.KYUSt_chr4.6093 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35716883:35719442:1 gene:KYUSg_chr4.6093 transcript:KYUSt_chr4.6093 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETAAAAGGAGLTAWQAAALSGVAGWVWAASYFDLTRRTRALVQPWVTRRVHAETPSILRFQRMQHKLLDNFFSVLSCVVSVPFYTGFLPLLFWSGHGKLARQMTLLMAFSDYLGNVVKDVVSAPRPCSPPVRRVTATQDEKEHAMEYGLPSSHALMTVCLMGYMLHYVLTYGACDGFMIVIGLSLALLQVILIGIARVYLGMHSLTDVIAGICLGIVILAFWLVVDDHVDAFVVSGQNVTFFWASLSLLLCFAYPVPEFPTPSFEFHTAFTGVAFGIVYGVQQTYLRFHGPDAPLILSEQLPLLAYVGRVLVGITTILIVKSCSKALSKWLLPVMCSTLGIPIVSSCYVPTLKAPSSSSGKSDPKQAGYLQKVFLLFPRKAYDVDTGIRFVQYAGLAWSVVDLVPVIFTYLNL >cds.KYUSt_chr4.2842 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16190827:16194034:1 gene:KYUSg_chr4.2842 transcript:KYUSt_chr4.2842 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAHRKPSHRLLRQPPSNPPRTPPPPHRRSPPAEAPPPPCHRRVPPLNPAAYAGLLRAASRARSLQLGRLTHSHMLRAGYHPGLFLRNNLLAVYCRCGDMRGARLLLDGMPRRDAVSWNTLIAGYSSLGSTRLALAAFREARGSGIQADRFTYAAVLAACGGAGDGRSGRAAHGLAVASGLARDAFLTNSLIDMYAKCGMIDEVRLVFDRAEERDEASWNLLLSAYVRMGWPEVAVHVLVWMHRSGVKLDSFALGGILKACSELEGSEDLRRMLHGCVVKVGLDLDMFVGSAMVDMYAKNGGLEEAIKVFDCMPNQNVVVYGAMIAGFARLGNDPCPEVRIEAVRLFSNLLRMRIKPSKFTFKSVLEVCNLTDAVRCGRQIHAHVIFSGFQDDEFIANALINLYSKARSVNDSLRCFHMTPKQDVVTWTSMITAFAHDENFEKALDFFIEFLSIGKEPDQFALSSAMNACAALSLPATCDVKASKKTFDQITILDTYSWSTMVLTYAVHGHENEALELLQKMKECGVMIDNTAFLAALIACSQQGLMDEGFRHYESMISDFGCSPSAKHKACIVDLLGRVGKMAEAEDFIMGSGSENDPILWRALLRACRIHGDKERGIRTGEKLMDLEPFAATSYVVLYNLYMDAGKVSLAMRTRGLMRERGVSKKTGISWTESGGSIHHFADGDSSCQQNNAVQARLEDLLVRVKQRTECGGTDVWELGFQSRKAGESSLGRHSELLAVAFGLSTLPPAAPVTVMKNQRISLESHETLKLLSGQENRGIIVRDPTHFHRFDQGSCSCRDYW >cds.KYUSt_chr4.38232 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235764492:235765223:-1 gene:KYUSg_chr4.38232 transcript:KYUSt_chr4.38232 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSDPRTRKAAAAARAPPRDFLAHLEAYLARRDGVDKLLKISRYAARLALAAGPPLPPAASARLKSFESSVGLSRKAFRLGKFVQDVNAFRAAAAAPPLVLLAYGGEGVYYFLEQFVWLAKAGLLPAHLLPRLQRLSAWAELLGYVGSITIKLKEMAKMESSIKTLRLNKEVRGEEEDEALRTLQGKLLLKRLSVVQDVADAFMALGDVTDGKGLLGSSTLTASAGLLSALISTHKNWNSC >cds.KYUSt_chr3.2255 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12933759:12937836:1 gene:KYUSg_chr3.2255 transcript:KYUSt_chr3.2255 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRMIWHAHQLFTCGGRSRLTQPIQRKSSLASNLVAGVLPPPFVGIRSDATVLNMPENKFTKGLNPVVAKRTIFLDCSKWKNRRAVQRAIIRELELDHSVMAILDKQDEEDDFKGVDESSRDEIYSVSQVIDQTLSATKFVMFFLNGSDNEIDVGPFGIPWFARYGKNIMLWTFTRSSLTTYQHNKKDRELRYTHVHLDFTSVFGVADFRGLLDEQAATIADHGLRMQDINPTMAAECLLYGLFMCYNFHIATKLDWLSHTSNYWICDGIIKGDRARDISNTLHGEISWDCDASLIDWVLNEYMQYTTMEPRFLVVKDADVYKEGPYRWISVTSRNMEISGFQTIPATTSSLLLAFERFNHSSILPNGMFENASNLGVLVLCSCAFNFASPPFRMCQSLRFLGLDHCTTSKIGEEENHNHTEWAWLYNLLVLDLRYTDWDEILSVEKMSLMTNITELNIEGVRCWQYTAQLQGRLPNLQRLRITRLTCPWVTSNDFDDSFIDKTCMEILDLSGNSDMKNLPASLSKASSLQMLVLDGCDGLESVGVPSRLPASLRCFSFDGYGPTSQRTLTTELPLKHFRPSTAEDEKDIMTSKISLEGCTELGNLFVRGLNNLVELDLSGTAIKILDFKTMVVQVPRLKRLFLIGCEHLRAINSLHVTSLELICIDTRARISRPRPSIDADKCFGLQLHAIALDARISYSLKKLLLPSPDLVRVPTEDIYFNIHITSSPRVYDGVVKFEETNNEKFDHYDQGSLHQLIPAGKYIDVLSMVENTPMQAFPQPPATKLDRHMEIAEGSCYVESGLERELGLLMEIVESLHLHDVSIHATIRGAICRWSYIRWCRVERCPKLDTVFPSRCYGFSTLQTLWASDLLMARQIWSKGSPFGSLKNLQHLHLQSCPRLQFMIPVCGSSFPCLETLHIIRCGDLVHVFELNRWYPEDILSAMGFGLSFETIPLYPKEIISAGVLFPKLTTIHLHDLPKLKQISKVKMVAPALESIRIRGCWSLRGLPSVAARTQGEKKPAVEIEKDVWDALEWDAGQCPDHFEAPVHSRYYKKKLPRVSFLRYDTTESFFALLVLHCSCSYSVSD >cds.KYUSt_chr6.1498 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9111907:9113415:-1 gene:KYUSg_chr6.1498 transcript:KYUSt_chr6.1498 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSAPLLVKKPSTVPVVGDLKDVGAPRSHAEAWEAFVRESERLWLIAAPITFNILCLYGVNSATQLFAGRLGNLQLSAAAVGLSVVSNFSFGFLLGMGSALETLCGQAYGAGHVGALGVYMQRSWIILAVSALLLSPLYVFATPILRALGQDDAIAAAAGEFTLRILPQMFSLALTFPTQKFLQAQSKVMVLAWISLAALAAHVAMLYLFVSVLGWGLAGAAAAYDVTSWGIAVAQTVYVVRWCDGWGGLSWKAFEGLWAFAKLSLASAVMLCLEIWYMMVLVVLTGHLEDAEIAVGSVSICMNLNGWEAMLFIGLNAAISVRVSNELGSGRPRAAKHAVAAVILQSLVIGLLAMAVVLAYRNSFAVLFTADRGMQAAVGKVAYLLAVTMVLNSVQPVISGVAIGGGWQALVAYINLGCYYVFGLPLGFCLGYLLRLGPQGIWAGMLCGTALQTAILLVVIWKTDWEDEAAQANERISAWAGEKIHGGSGGDGDLKEAFRV >cds.KYUSt_chr2.14977 pep primary_assembly:MPB_Lper_Kyuss_1697:2:94430952:94431353:-1 gene:KYUSg_chr2.14977 transcript:KYUSt_chr2.14977 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARCGGRRLAAAGWGKQRQAEANGGLLRPAPPQHALLPEVPPKRQRLGDAILCMMGDMTKSFDEALKTTEPLPMPKVTPPTEVLAEVKKVDGLGDSEIITAYAKLTANERSFECFMALPEDMKKAYLLALP >cds.KYUSt_chr2.6583 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41120642:41122318:-1 gene:KYUSg_chr2.6583 transcript:KYUSt_chr2.6583 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYGPSKTTVPLTGQRKGPTDRTPPHPVGLDPFFSPIPPLVQVRRLPPNPQPPDPPAAAGHHRRFPSPPARMTTEAPFYPREKIVQQQQYFQKLSKHTHLKGRYDVITSVAIPLALAGTSLFMIGRGIYNMSHGIGKKE >cds.KYUSt_contig_402.412 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2545233:2547967:-1 gene:KYUSg_contig_402.412 transcript:KYUSt_contig_402.412 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRRLRHYTVVLLLLAAVASVAGGEFITWEDLTMPALAAVPRGTPVVEAGVKSSASAPRRGGMVALSTIVVSQDGTGHSRTVQGAVDMVPAGNTRRVKILVKPGVYREKVTVPITKPFVSLIGMGTGRTVITWNARASDIDPSGHQVGTFLSASVAVEADYFCASHITFENSAPAAPPGAVGQQAVALRLSGDKTMLYRCRILGTQDTLFDNIGRHYLFNCDIQGSIDFIFGNARSLYQGCRLHAVATSYGAIAASQRSSPSEESGFSFVGCRLTGSGMLYLGRAWGKYARVVYSYCDLSGIVVPQGWSDWGDHTRTKTVLFGEYNCKGPGASSRQRVPWSRALTYDEARPFLGRDFINGEQWLRL >cds.KYUSt_chr1.25856 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155552597:155554831:1 gene:KYUSg_chr1.25856 transcript:KYUSt_chr1.25856 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRALLSSLLRLRACSSLAYHPPRPPPPSPRRHQFVADPNAAAAATTARTGLGLGVGSNPLDPAQLLRDDPVSITASLWVSSFRAAAPGGGDAAPPPPPPPSLAPFLTRLELWVLAYQKAYADETGSYLPRSSIPASTLASILTLRNAVIDARFRFGNRLTPYLQSPRAAASPDPSTLSKRKLRALLTTPGPAPFQDRVVQELLLLLLEPVYEARFSPKSFAFRPGRSPHAALRSIRRTWAPYLWYIRGDLSPLLDSPDPALVVGALIRDVRDKKVVDLVRSALLTPVVTARPGDDDASKKKKKRKYQKKKVLPEGEPKPDPYWLQTFFGFAPEEALTQPDWGHCGVLSPLLANVCLDEFDKWMDEKIKEFYKPSKSDVVGGDDGIEQGNTSWPEFVPTSGPDKTRKVDYIRFGGHFLIGVRGPRADAAVIRKQLIEFCDQRFRIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIRQFRKLEFLKGDREPDPQPCFRMFHATQAHTNSQMNKLLMTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYTNLLRMGLVDSVDGLQYTRMSMVPDPDYTPLPSGWRPDHEKFLMEYIQLTDPQTLEEQRSCIREEGLITPQDQISMLVWSYKKNAALLPSSKESDVQGSIEDPGSDTDELNNSELGHGSNQGFAQPAEMS >cds.KYUSt_chr4.54511 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336793045:336795325:1 gene:KYUSg_chr4.54511 transcript:KYUSt_chr4.54511 gene_biotype:protein_coding transcript_biotype:protein_coding MVLATLTSAPVTIVDIRADGTPGLREHEVSLLRLIDKISDHSDIEINETGTFSSAPSLALARTKLRYKPGVIIGGKDLEHDCGLQRGIGYFLEPLILLGLFGRSPLSIRLKGITNDTKDPSVDTFRMVTLHMLKHFGVPLEGLELKIESRGASPLGGGEVLLRVSNINSTLTAVNWVDEGMVKRIRGVTFSTRVSPQIENRILYAARGLFNKFIPDVHIFTDHRSGASGGRSAGYGVSVVAETTTGCLISADATVSHTNVDELSTESEKPELMSPEDLGEQVASMLLEEVAQGGVVDSTHQGLLFMLCALCPPDVSKVRVGQLTPYAIETLRNIRDFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKIS >cds.KYUSt_chr2.53102 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331301365:331303603:1 gene:KYUSg_chr2.53102 transcript:KYUSt_chr2.53102 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRSLLASAAAVLLLLLLSLAAAADMSIVSYGERSEEETRRVYAEWMAQHGRTYNALGEEDRRFQVFRDNLRYIDQHNTAADAGLHSFRLGLNRFADITNEEYRARYLGVRSKPGRQRKLSARYQANDSEELPESFDWREKGAVVEVKDQGDCGSSWAFSAIAAVEGINQIVTGQLISLSEQELVDCDTLYNAGCDGGLMDDAFVFIMNNNGIGTTQDYPYIAKGNHYCAANKNKTAVTIDDCNDVPMYSEKSLQKAVSNQPISVAIDGRGREFQHYESGILSTCGSDVDRAATVVGYGSENGKDYWIVKESLGTGWGESGYVRMERNVKTISGTCGITFWPCYPIKNQTKPLNTGPKHTLAKPHRAFSASAAMGKKSSV >cds.KYUSt_chr7.37664 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234946220:234947730:-1 gene:KYUSg_chr7.37664 transcript:KYUSt_chr7.37664 gene_biotype:protein_coding transcript_biotype:protein_coding MRAENPSEFVPRSVFFARDGGSGIDRRNSLWVKAAVVYESITGLHVNDGAKPVATSLLLRLADDCRSRIHAGQTPQTKISTAVSDALKEMLEATSGASSNVVTAQNVGVNQLGKPADLPDEEGSDDNKRVIDAIFLVVGFLRRLSKAAAGISRDAVDEGFKSTHMQDIVTDVIKLENQLPLKLLLDVVDHVEDAIRGIAAAPSSEFEDLKKFLEGYKLGFTRATFFDDVVRPFCWYYSPFFSKQLPAAAAPPKVADGQQLDNVADNPETAARTLLDFLHDSVVPVVPRAASEKGTGGGKTSRMPTARELNRSGVRIAPGEDGRAAVEFDETSARLRLPALVYDFKLATVARNLLAREYEEQSKPVTRYFQMMNELVEDAADTRILRRAGAVRGGGTSGAEVHQLVKKIDGYATYPSVFMAMDVQIEKVRQFHDKRMQNFLVRYRPGVIWASSVAAVSVVAIVAARRNRG >cds.KYUSt_chr5.26885 pep primary_assembly:MPB_Lper_Kyuss_1697:5:170045546:170047414:-1 gene:KYUSg_chr5.26885 transcript:KYUSt_chr5.26885 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLLQPLPPPTPPPPPPLPAKNHRHVLLAVTTAAATAALLLLLLLLLLLHLRRRRRRLPTLPFSPPQAPARPLRRYSRRALRRATGGFHPSRLLGRGAASPVYLATFPDASLAAVKTCSSPHELHVLSSLPADSPRLVSLLGYSGSGTDQPLLLVFEYLPQGSLQGALFSEGRFLDWTQRLAVVRDVACALAFLHAECQPPVVHGDLKPSNVLLDDDFRAKVADFGLARFKTPDAIAASGPAGDDFMSQELGEAGDLSTTASAVGLVKADAREDSSGPARAWGKEWWWKQDGSGELDSRDYVAEWIGSQICPERNPDWADDNDGDTNDHNKNSPSVTDDNAVPASPEDKKNADNADYSANKGNAGDKKEATQMREWWKEEFFEEMSKKGGSFDKRRRGTAKPWLRSISMNTSNVVRNVSGDGSVESSALDMSFRRSRKRSRRRGQSAGSGDMHSGDLFSRDLSTTTSMRGTVCYVAPECGGGPCEHGSDLLEKADVYSFGVLVLVILSGRRPLHILSSPMKLEKANLVSWCRQLARTGNVLELLDERLEGAYDKDQATLCVQLALLCLQRQPEHRPDSTDIVKILAGEMELPPVPVEFSPSPRIRPFPRSSRRAQPDATE >cds.KYUSt_chr3.32631 pep primary_assembly:MPB_Lper_Kyuss_1697:3:205152864:205154876:-1 gene:KYUSg_chr3.32631 transcript:KYUSt_chr3.32631 gene_biotype:protein_coding transcript_biotype:protein_coding MITGSAVYHVVEAMAPLYTAAALGFASVRWLKAFSAEQCAGINHFVAIYAVPVLIFQMVSTNNPYAMNGRLVAADTLQKAVMLIGLMAWAAWESRSSRRRGSKVSASAASPLQWVVTAFSVSALPNTIIMGVPLLGGMYGPVSKELMKQIVVMQFCVWYNVVIFIYEYMAARRAATAVDGVSAKISPGSPGAETPPEKIAPSANGSAAERAHEVTVNIEITEIVPASTAQKGVTDSTTTALAEEGSTGEDAKAAAAKEEAAPPAPKTAPSVGHIALKAGKKVLKIPNTYASFLGLIWSLIAFKCGIKMPKIIDDSLFTIQTTAVGLSMFASGTFIARQSRFVPCGYTIASMSMVLKFLIGPVVMLFASLAIGMHGTLLHIAVVQAALPLAVTSFVYAEEYKVHADIMSTGVILGIFISLPVTIVYYILLGL >cds.KYUSt_chr2.17551 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110564517:110565119:-1 gene:KYUSg_chr2.17551 transcript:KYUSt_chr2.17551 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTCTDLPDPSTWALVFPSLHSFSSCLPCKAALCIDDFLYLDASFLVFPFSVLALVLFIIIVLLSILARRLLFRARRHSVKRGTGASLEFEGTPAHSEGNIVAMSFVTPLVMHLGEHGIRVEPTVRRDVSVNRGVALPGWLKKPGIDGETIPGMYTGPLFEPFHGLAVVAAVREASATTCFRLAALVFFAHADLFAPIF >cds.KYUSt_chr4.6894 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40766773:40769959:1 gene:KYUSg_chr4.6894 transcript:KYUSt_chr4.6894 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNRSVAETFYRLREQGKARAPYALTFASRYDLEGAKPWFLIVRYTAFIPFITAGDPDLATTAKALKILDSCGSDVIELGVPYSDPLADGPVIQAAAYRALKGGTTLSSVLVMLKEVIPELSCPIVLFTYCNPILKLGVRNFMTSIKQAGVNGLVVPDLPLEETTLLRSEATMHNIELVLLTTPTTPTERMKEITKVSEGFIYLVSAVGVTGARSNVNLRVEHLLQEIKQVTDKPVAVGFGISTPEQAKQIAGWGADGVIIGSAIVRQLGEAASPEEGLKRIEEYAKSIKAAIP >cds.KYUSt_chr2.44793 pep primary_assembly:MPB_Lper_Kyuss_1697:2:278947035:278949459:1 gene:KYUSg_chr2.44793 transcript:KYUSt_chr2.44793 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGEDGKRRGATAPADDEDAEAGATAAALNDLCATAGDSRAPVPAPFPRAAALAVAALLAVGIGLGALVLAVVRSPVLLLLALLLSAAVSAFLLWNAASGRALRRFVDGLQPSSLRVAADGQLVKITGLVSCGDISLISSYEKVENCVYTSTLLRKCGRWGSELANPVNNCSRWKLTHAELLPVGLGGEGRRRSDVATPKSARWEFLVCPGGWLGRGADAGGSSWFARADGPAAVLDRSVDSSSLPSGRDTLFAVLKAKDGDGYCRRVGLVSMPSRRWRQGWRKQRGGNCTVVEDDDLLALGCSSFGCRGLLSSLWDDDTGLR >cds.KYUSt_chr2.35752 pep primary_assembly:MPB_Lper_Kyuss_1697:2:220998242:220999675:1 gene:KYUSg_chr2.35752 transcript:KYUSt_chr2.35752 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGMGYTSGKPGGGGGGGGGALPMSAARARGASPLNAHHRSRKITRTFNNIKITVLCGLVTILVLRGTIGLNLSLPSHPSDADALAGAKAVEDIDRILREIRTDSDPADTDLDAAATTPINATALTATEAAAAYAAAVSNYALGPKIHDWDDQRRRWLDQNKGFPSTAPDGKPKILLVTGSQPGPCDNALGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDTQLAGYWAKLPLLRRLMLSHPEVEWIWWMDSDALFTDMAFDLPLHRYDHHNLIIHGYQDLLFDKRSWIALNTGSFLLRNTQWSLDLLDAWAPMGPKGFIREEAGKILTAYLKGRPAFEADDQSALIYLLLSQKDKWMDKVFIENSYYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLKSMERAFNFADNQVLQLYGFGHKGLESPKIKRIRSQTTRPINDKENLDVKAKMMS >cds.KYUSt_chr2.16403 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103154289:103164568:1 gene:KYUSg_chr2.16403 transcript:KYUSt_chr2.16403 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVGGSGGGGGNPNKPPKQKKGAKPNPFSIDYNRAAPPGTATTNRLVVLREPTGRDIAERYELGGELGRGEFGVTYLCTDRASREQLACKSISKKKLRTPVDVEDVRREVEIMRHLPPHPNIVALRDTYEDGNAVHLVMELCEGGELFDRIVARGHYTERAAAVVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGQEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDNAKDLVRGMLNPDPKRRLTAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEVAGIKDMFEKMDLNKDSMINFDELKLGLHKLGHQMPDADVQILMDAADADGNGCLDYGEFVTLSVHLKKIGNDEHLHKAFGYFDRNQSGYIEIDELRESLADDLGQNHEEVINAIIRDVDTDKDGKISFDEFVAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQEGSIKGIPSLLTQHNQRQRSEVGCRASSLASFSYPELTSKPRWWWRTLACVPYLLPLHNMWSYADVIYQLHTYLQGFSLVYTFIDTMTLLPGWLLLVIFMTVYFFVVRRKWSPHFMRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMSIAFIQIFTVLECMRCALSGMYPNVPFISHTAFIHSDLNLFR >cds.KYUSt_chr7.10829 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66553122:66555797:-1 gene:KYUSg_chr7.10829 transcript:KYUSt_chr7.10829 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPPPKNPNYFSTYLCFLVLVSTTTLSAAVSTNSYYSVCSSVAPASNRFTDSDDALLLARSFQIYSSYFSGNADNLFSADDQLQSIYRSFSLVPDHVIRTSDPTLIHLTATLTLTGPRSNKYLTLGRRLNHTFAQSISFVLDGYYSSASLQLCMVGTGTEPAANGSLKQYTNVTLRLHVPSPSTLTDPFMTGTLDGSSDFGAINLLAYDEGEDYKYGERATCSSLVQPSMGSLRTLGSDSVCGQLMLTSYMLLDHHDGAPAKLRRMHINRIVCTAEGAVRAYMLFSNDTRSGRRGYSSYYNLMVNEEAVVADGHWDSDRKMLCLRACRVVRSVPSTVAVRECKTGMSFWFPAMWTVLERSVVAGVLWNSDQKRMIDGDARPISGVISAFSFDFDDNRMNLSDVKYTYNDTMLEVAKKHYLKMNKEKIKGLLPVPEYYTDFCLRFYMANAGSGDAYPRTIGSVVLNDEGEAADGSTRPAVVDDLLSISYDIHHYAPPHEKRVNVSSPYTLEEGQISAEGVYDPKRGIMSMVGCQDHKGSMDCQILITVQFASLGDRAQGLGNRGAINSLRDRADRLFFEKMDITMYGAYPMWLSEAISRMDLESIMLVASTTLSCLFTVLQILHTKRNPETAPAMPVTMLVILSLGHLAPLVLSFEVLFLSRRSQYFLYSTMEGWIELNQAMVRVPALIALLLQLRLLQLALFGRLRSARHQSEPTSTPSTAISERVVLQVCLPLYLLGGILTTIVHAINAPAAAAASEGSLAASVGGLLDTPWGDLVAYAGLVLDGFLLPQVILMRSGSGVRAISPWFYMGGTVIRVAPHVYDAIRGRIYGELGARLSRVYANPRGDLFGVAWDIVVPCGAALLHAPVLASAAWRRLVAAFGEEDIRWL >cds.KYUSt_chr3.27080 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169019949:169020275:1 gene:KYUSg_chr3.27080 transcript:KYUSt_chr3.27080 gene_biotype:protein_coding transcript_biotype:protein_coding PKDEKPEEFKKQAQILSTGELDKAKKNEEQSNNVTTDLDAAERSSEQSDDVAAAIDTTKKSEEEANKVAADIDTPERSEEQSDKVVTELGLGTARRSAEQTNSVARAG >cds.KYUSt_chr7.25146 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156879672:156881703:1 gene:KYUSg_chr7.25146 transcript:KYUSt_chr7.25146 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAYASSRKLHGRLGNLSFVLPVEQDAANNPAATDNSCKTGTNNPDAGATEEEELVTKTTAAEFARRYVLGKELGRGEFGVTRRCRDAATGESLACKTIRRHRRGGRGRGAQRKTAGGAEAAAAARAAAAAAAAAHAADVRREVAIMRRMSSRGGAAVVRLREAREDPDGAVHLIMDLCEGGELFDRIVARGHYSERAAANIFRTIVDVIQLCHSNGVIHRDLKPENFLFANKSEDSPLKVIDFGLSVFFSPGDRFTEVVGSAYYMAPEVLKRSYGQEVDVWSAGVILYILLCGVPPFWGDNDEKIAQAILRGGIDFSREPWPRVSASAKDLIRRMLDPDPTTRLTAPQVLEHPWLKNADTAPNVSLGEAVRSRLQQFSAMNKFKKKALGVVARNMPVEELDKYVQMFHLMDKDKNGNLSLEELMEGLHINGQPVPESEIRMLLEAADTDGNGTLDCDEFVTVSLHLKKMTNDEYLTAAFRYFDKDGSGFIELDELRQELGPNEQAILEIIRDVDTDKDGRISYQEFELMMKSGTDWRNGSRQYSRANFSSLSRKLCKEASSSS >cds.KYUSt_chr5.11015 pep primary_assembly:MPB_Lper_Kyuss_1697:5:71418351:71421658:1 gene:KYUSg_chr5.11015 transcript:KYUSt_chr5.11015 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGGGGIMRAAEPGAVHRTPEDVFHDFRARQKGLLKAFTTEKENLCLYGLPNGSWEVNLPAEEVPPELPEPALGINFARDGMDDKDWLSLVAAHTDSWLLSVAFYFGARFGFDQDSRKQLFTMINSVPTIFEVVTGTDKRQPKEKTPKTGSKNNKSASKLEPNPRSSKIPFPGDNEESDWDGEREQEAQCGACGENYGQDDFWICCDLCEKWFHGKCVKVTPAKAEHIKQYKCPNCSSSSKRGRA >cds.KYUSt_chr3.15451 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94612758:94615880:1 gene:KYUSg_chr3.15451 transcript:KYUSt_chr3.15451 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRCRSGGATFPTPLPKVYDGPNCTARHRALLLDLIHGFYEAALARLPLEEMPELAPRLLEAGLCFGFMDPVSNIVVNTVSYRQASAGGEKKRRKGSAKELRKVSLSRIVTDISNVSSSDPDPDLLPTMSVAVRSLQCLVSFLVCSFRYLFTATALEYLLLAKADLLTAVQLIQQDRNSDAFRVASPVIKAALSCAALAGCHPKSEILVAGWQMIYLHLDLVSSALVAESIIPCTKIREMYALLKNLPQEPTNQMDPLRLATDRIDREVTVKKPRVIGPRIMRSILLDRIHGFYIDALARLPTDGLQRRLHRSLLKAGHCYGPMEDPISNIILNTIWYDTVFPVEEEFKADAILSSSLIRMACRSLYGLVAFISTHFDNLSDLDVLWYLLLANANLGHAVTILQQDGHTLIGDRRKAYKAAAKAGWHPIPDAQVEFAVSTVLPKEQSLLLQADGTLSSGDVELISQFLSVQPSPSVGSLKPVPALSKRAFRMLLGMKGELEDEESSIRRKVKATMKKYALLRAEAEYELHVICGVNMNVVHTGSFKMHYGWLRKCPVLYQYCHVNFLARRKGSRSAVEVPTLFFMECTVDEDENDELACWPVVSTDAGRCVYCETYGAKIVHPASENYHGRDADFKKMVLGEHPTWWTSDDIVMDGIRAKDSVGILQEDCIYFDHLRDAKYAKHLNSEAKDGGGRPRLCC >cds.KYUSt_chr1.40113 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245652491:245655112:-1 gene:KYUSg_chr1.40113 transcript:KYUSt_chr1.40113 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSVGLLQVQSFAAAKCRPVAVSRRPVFAVRASAASAATKDAVLRPFRENRALKIISGLQNFDRNNVASVVTAADKGGATHVDIACDQDLVKLALELTNLPICVSSVNPSAFQSAVEAGAQMIEIGNYDSFYEDGIKFSSEQILKLTRETRKMFPDITLSVTVPHTLSLPDQMRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVSIPVMCASGLSSVTAPMAVTAGAAGVGVGSAINKLNDVVAMIAEVRSIAEALGTSSRNMSEDLRTVHH >cds.KYUSt_chr2.3297 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19614063:19615210:1 gene:KYUSg_chr2.3297 transcript:KYUSt_chr2.3297 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKRRAMPPLSELAKPDKILAGIRIDPSSNARSRMSFYTGISVHLLMDDGSLGPEKVVHGYPDGAKINFITWSPDGQHMAFSVHYGDEVSKGSNLALWVADAECGQARPLFKSTDIRLNAIFELFVWVDNSTLLVCTVPSSRVDSPKKPLIPFGPRIRSNEKKNVIRMRATKEILKDLHEEELFNYYATSQLVLISLDGIVMPVASPAIYVSLNPSPDEKYLIREVLDPVIKYFSSGEGLRETYLAGSGPEGLTTFGM >cds.KYUSt_chr1.29705 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179834891:179839682:-1 gene:KYUSg_chr1.29705 transcript:KYUSt_chr1.29705 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDALVPYVKKMITSMAEEEVRMLMGVSGEIKKLEVNLVYLQGYLADAERRRITDKSVKLWVGRLKDAMYEATDILELCQLEAMDQRTEERSRDASNSSRFCSLVGQLKKKLQGFLEPFLFCLQNPAFAHEIGGRIKKLNGDLDSIRKDAAAFNFVNLGFYGEQRRPTDSVNRSRISKTTPGFDESAIVGDKIEMDTEELVQKLISPGHDSGTTKVKVVSIVGAGGMGKSTLAKKIFAQEAIKEEFITRIWLSVTQHFNKAELLRAAITHAGSKHGEEKDKSTLEKTLTDALSANKFLLVLDDLWSDGAWKEVFRVPVVNAGRRQPGSRVLVTTRNEDVVLKMGAPSSEQLHVSKLDDGDAWRLLKKQLPQPKDGSESDFDQLKDVGMKIIKQCDGLPLAIKVMGGLLSTRRPSEREWEIVLNKNLEWKEYGSQKELNYSVHLSYDDLSPELKQCFLYYSLFPKGSDFIEDIAISMWISEGFVQPDERSESSQLDLEEIGIEYHRELVARNLLEPDESSESGWVYVMHDVVRSFAQFVAREEALVVLKEQTDIRGLLSHNEKIRRLSMKLTDSVLEWNILEKLESLRTLVIACNFKPGGGYSLASFASLRALDISFADCDWLVGYLCQLRHLRYLSFTATDISRLPHDIHEMKFLEHIGLFNCTKLNKLPDSITKLGRLRYISLYGSNVDVVPKGFGGLTNLRSVYGFPANMIGDWCSLEELEPLSHLRTLKIQGLENVPDGSVAARAMISNKKHLTFLDLVCHKNEEEEEEKEEEGGGQIKEKDLEQIEAVFDELCPPPYLEDLFLSGFFGRRLPNWMWTPSATAFKSLLGITLTRMEYCTQLPNGLCGILSLEKLVIDYAPSIELVGPDFQALASGIGSGAIVTRPFPKLRILELHGMFRWMKWDWEEEEGKVMAMPALDNLSITGCMLTHLPSGLATSDRYNLRTLYLSELSILASLENFPSVVELDVLYCPKLKKITGLSMLRKVTIIGCPELEVLEGVMVLRSMLLDDEPWEIVGTPARCTPKGYQAGSQGQLPHHLTIIR >cds.KYUSt_chr4.39935 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246612071:246612280:-1 gene:KYUSg_chr4.39935 transcript:KYUSt_chr4.39935 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQDGGQRKKPHGNPHPKRGSIFKTIITDLTGAGACEDSKKSPGTTTSPGGGAAAKDNTGNGGGGSYY >cds.KYUSt_chr4.17692 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110797482:110799344:1 gene:KYUSg_chr4.17692 transcript:KYUSt_chr4.17692 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEANRIAVESCHRVLGLLSQSQDPAQLRSIALGTDDACAKFRKVVSLLSNEGGGGAVSHPRAKVASRKQTPAFLSQKGFLDNNTPVVVLNSSAHPSTSSAQAYPRNSILESQNAHPIGGPPKLVQPLSAHFQFGNVSRYQFQHQHQQQKMQAEMFKRSNSISGINLKFDSPSAATGTMSSARSFMSSLSMDGSVASLDAKSSSFHLIGGPAMSDPVNAQQAPRRRCTGRGEDGNGKCAVNGRKLRVKRTIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDASMLIVTYEGEHNHTRMLAQSAQA >cds.KYUSt_chr2.43836 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272885518:272886150:1 gene:KYUSg_chr2.43836 transcript:KYUSt_chr2.43836 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRDAATFQLYRPMAMPTPMPQSMAMPFSSEPADAVVPAAPAPRKAGSAAKGAKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTVEWLLRQAEPSILAATGSGTTPAVFSCSSAPSTSAVSLLGIGSKRPREDHDQQAPSFWEALQARPVPVPWGISPSQEAQAYASSVVVAQGHHHHHLNLLSALTGAARRPEEESR >cds.KYUSt_chr4.48473 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300177623:300178872:-1 gene:KYUSg_chr4.48473 transcript:KYUSt_chr4.48473 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSRSNRLALLVLLALAAGVAAKKTQDDVAAEAAAGKEDETWTGWAKEKISEGLGLKHLDEEEAARKAGETAKSTRETAQHAASETGRQVSGKAGDAKEAAGQAATGAANKAGQAKDTAKEAVKGTTGEASRKAEQAKHKTKEAADAAAKTGAETHERSKQGKAKVEETAKEKAGQGYETLKQTTDAAAEKAGTAKDVAADKAAAAKDTAAEKAAAAKDTAAGKAKAAKDTASQKAGSAKDATWQAQEKLKKYNDEAASKGKATKDTAAAAKDTAAEKAAAAKDAAVKNAEAAKRTAAEKAAAAKDATLEKTESAKDAAWETAEAAKHKAGEGYEKAKEKAWETAEATKEKLGEVKDKVTGAASEAAGRDKKQRRDDEL >cds.KYUSt_chr2.20568 pep primary_assembly:MPB_Lper_Kyuss_1697:2:129291974:129294193:1 gene:KYUSg_chr2.20568 transcript:KYUSt_chr2.20568 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGGERGGDRGGFGRGFGRGDRGGRGDRGRGGRRGGRRGGRPEEEAWVPVTKLGRLVKEGRFQKMEEIYLHSLPVKEHQIVDTLCPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDSNGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWMETRFVKAPYQEFTDLLASKPKLMIEAPMEKIEA >cds.KYUSt_chr3.23466 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145042825:145044646:1 gene:KYUSg_chr3.23466 transcript:KYUSt_chr3.23466 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLTRPAALPAGRFVRMRPLLHSPSLLQPSLDPLPHEAMTVCETAGASQAEAVSLQKISSVSYKVLQE >cds.KYUSt_chr5.17236 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111369209:111377077:-1 gene:KYUSg_chr5.17236 transcript:KYUSt_chr5.17236 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEADGDRFIEMVFAGALYRGGAQWERKYWSCSRRLLRQLLVDSTGFGIDMSSQNISGAASQSTDKAATDVSDEYEEQHACLAKTDGTAKRFMNPVQEEAIAKKSHYQDMLTSVDNCNVELDKSAHQSSKKRLPFVARDAIHRQIFGATLPTSIGEGNTNGSQTHVSGKHLCETVKDSTASGEQIAKLVDTKAVVADSFEDDGQIGGDNTSKPMAAHHHESDDACSREPNEDSKLLRSKDIPDVVYDHAEFSKCQVEVHNSKDIPDVVYDHEKGQYILSDSLLACLEEEFGMDDGSYPTQCNHGKGDVKPTQAQQQLKDSKEAISNSSSISTDGSGHKNMGNGHNVFGHHAGHALADINHVNGLLSKHSETPTKGSDHLELMGCYLHPMPVLSIMLNTKNNSSLHVYVLCGFSESCERFLYVYNITPKDHHEEPPYFTGYTSLLLPSLEQVSTGNPRRVPPGSREPTRLSWEHCCSGLSPNPGTPSAQRLPPSNLNRRASVQSSAARHQAQRPAHHCSSRGPLALSEEDPRRASHRDTPQEAGAPPASQDTSHAANTENPEEEPLPELKFPRRRLQEGPWTREVPELLDDATQETAPSGVAVTGLKRQGFRPERYLTTASSVRPSPRAHALTFPLKALALLGQPAGSTSSAPDQGQPCPQREGKPPERGTTKLQAAQVLPREGSPAGLRASTSEKHRRPPSTAHLAASIARTPRATTPRWRWAQLNLVRAAASLRLEPRRTDEEEVGGHTQAPVDRAAGARPPRSSPKGPDLGRQTRAATAPTPAAQHRRRHPSAAAVFPGAVAIFPSAVTVAGQPRGSRRRHQVRPRFPVDGQEVEMPAAGGTARALPGSSGRRRRGRGRRGDISKRTLLSTFAAPGNIVFQILPLGLCSLQEDVVHASVDDIERRLQEITVSDMPGKDDKESILMLSENDIAVWIMVSSASVAEYQHDLRAKEHNARWRLALLANKKVSMGNIFDPRYPHFSMFSYRVLRFDCCTQSCQGLNNYHLMFDGFPSIAVPGVSKHGY >cds.KYUSt_chr6.31793 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200876969:200887545:-1 gene:KYUSg_chr6.31793 transcript:KYUSt_chr6.31793 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGGGPSGSSPASSPRPDQQPPTPSQQQQQQAQHLGFTRNQAMMHHHEQQQQQQQQSYQPGAPHGMMGGGGSGGGGFPQSSGPMLPFQGQRNMPQPGGPQGQQHNQSTMQQQQQAYMQFLMQQQKSHGMHLQQQQQQQQAKLNMAGPSAARDQDAAANPAKMQELMALQSQAQAQMFKRQQSENLQQAEKQMEHGQPGSGEQRSSDMRPPMPPQAVPGQQMSSAGMVRPMQGQAAAGSIGGIPFQAIHAWAKEMNIDLSNPANANLVSQILPVWQARMAAMQKQQSETSMAAQQQQQQQQNQHMLPRQVSSDAPVNGNVPGQPPLKPRQPLPPSSSVSVGAETKVMNPSNLQMQQQFSAHSRETSNERAVRPPMTMGNTGQMMQMTQSSGPVNKISEQPNPKNAITTSEAMQMQYAARQMQQGNRAATPTATPADTAGPQAPTQGARPHSSFTKHQLHVLKAQILAFRRLKRGDRTLPPEVLELIMSPPPPPPDSKPQLVAGPPVTLNRENSATVAADEHGRPMESGDKAPEKPPLLKGPSLPKVEVSPSEDKTGSVSGPVQVMKVSPKEPLRIGPVSAPEQNNAAPVKSEQEPDKGIQRTPVRSDNSGERGKSVPAESGSADAEQAKRAASTSSAPSPRDVPRKYHGPLFDFPSFTRKHDSLPPANYNGSLALGYDVKDLLAQEGMIVLGKKREDSLKKISGLLSFNLERKRIRPDLVLRLQIEEKKLKLLERQARMRDEVEEVQQEIMAMPDRIYRKFVKQCERQRIELIRQVQQMQKASREKQLKSVFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRSKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLSQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNQFSEMNAPKENTSVNKYYTLAHAVSERVTKQPSLLRLGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELLNWLPSASCIFYVGAKDQRQKLFSQEVMAVKFNILVTTYEFVMFDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYKCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDAPTPSEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKDSIVLRCKMSAVQGAIYDWIKSTGTIRVDPEDEKIRIQRNPMYQPKSYKNLQNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEDYLQWRQLAYRRIDGTTSLEDRESAIVDFNRPGSECFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTREVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRSNIQQFKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETLHDVPSLQEVNRMIARNEAEVELFDQMDEEFDWTGDMMKHNQVPKWLRVSSTELDCVVASVTKKPSRNASGSSAPDTKLEKRRGRPTEAGKYSIYREYDDDEDDEESDEDDEERNTSPPPEEGETGEYEDDEENDDSAPDDNKEDQSDPEEEEPINDDGYGFQRGTGSGKAHKSEEAGSTGSSSGSRRLPPPAPFSSLKKLRSLSALDARPGTFSKITTDDLEEGEIALSGESNMDLQQSGSWNHERDDGEDEQVLQPKIKRKRSIRSRPRPSAEKQEDRSGVDGAFHQRGARLLFSGDGDYDSHLKSEQDAHALAGPTSRQQDAVHPIVKQKRNMPSRKAPPASRAGKSSHLSGSAKVTDSKMSDSMQRKCKNVISKLWRRIDKEGHQIIPNIASWWRRNENPSSKGVAGNTLDLQKIELRVDGFEYGGVAEFIADMQQMLKSVVQHFSYRHEVRVEAETLHNLFFNIMKIAFPDSDFQEVKDSMSFANPGGGVNSSAALSAKHSGPGHKQRRSTATDAEQHGSGSGKHSQHTSVGEAPSRVKPERHSGPGSRDPNLGTAGLLPHPGDLFIVKKKRQERMRGSIGSPSSSGRGPLSPPSNPGRMGPSPSPRGARTPFQRDPHPSQQGMPGWGAHSDRGGSSSPGIGDIHWAKPAKRQRTDSGKRRPSQM >cds.KYUSt_chr2.53947 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336759840:336761592:-1 gene:KYUSg_chr2.53947 transcript:KYUSt_chr2.53947 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEEDGTAQPPSKRLRRDARGRGRAYEDAAPAGAGVERRRRRHHSDGLYVSDPDGRYRSATAGARDDDELPEAEATTLARFEGAPQLRPISPLVPAWYPRAMERRRMRRRVIHHEADAATRARLPQTRPIPLPIAVIRVGEMEWAVTGGAEECDYDEAQASSSTSSVMRGQPVPVPVHGVMDIFLVQPRDRGVPPPRFQTIRSVPWGYWVDELRLWLALKQRTGAYLPDSWSWESVIGEPAYGGQGACIIYALATCIHAQNCIAFERRYGAGTFPYKLSDVRMKYLIDACLAAGVLKPGGGSDAFKVLKLMRDQDLWVRTREVEGWERCNLRCSNLALLNLEACINPPPPLVVALFIRVHGPLMGTLDACDPDYLLTEYNEDYVYRGNSLGKSRVHHAVVCAGHRRRAHGEIHIRILDNMKRQGPWRWIFFDAFSSFYVMEVEPLDRAVLQHQHNNT >cds.KYUSt_chr2.39483 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244826942:244828222:-1 gene:KYUSg_chr2.39483 transcript:KYUSt_chr2.39483 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWSMRRRMWCRKRPRGRHGAEGRASTDEPIRDLELEEDEEVDQMGVVGGDSSAYLVACNSDWAFSSQPCRVYKMVDSGSDAPSPGKRPNRLGRVKRLKPLGHVKTTVAAGKVFIPVRSRSWIVGVGGDKADTVIFDTKTEVVIQGPNPKSAKWCPVLTTVGDKVYAMSKNPSWISDPDFPPWFEVLDLSNASVVATDAGNAHLELKGCSWKELPHPPCFPWELTPFRYTTMLPLVITVSYVVVGLYILLSFNQPDWGTWAFDTSSDKWHKVDDKRLPFVGRATHHAGSIFLGVSSHKKNGPITAYRIHVEKDHAHLKLSITVLPVKYLEDEVVDDAGSCFSSLDSAHFCSVSPSVDSHGYTHEPQYSGLNVILKTYQIEDPSLLETSEETVKWIAISNQSKQVLKISSSNHGFTPLAFALLSV >cds.KYUSt_chr1.35562 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216803482:216804837:-1 gene:KYUSg_chr1.35562 transcript:KYUSt_chr1.35562 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVITGLVAASGLISTIIQAADTAQRNKRACKNLASRVKMIAELLPQVQDPEAMRPLAGLGDALTEAHELVQSCQGKGRPYRLFAAGRQADRFREVQGRIDSLLFLFPVISYIGLARRLDGMGSVSVLNRASSSSVHSAVSHEVSESDSDGAEVFTMAEITAATKNFGVVLGKGDSGTVYKGKLHDGREVAVKRFRHGLRRAEATFGTELAILHPVSHEHIVRLLGSCAEDQERMLVYENVDNGTLRDQLNNNASPLTTSWKARVGMLLGAARAIYHLHCRAIPLLIHCNVTSSNILLDRSWTPRLAGFGASVWRAPDVESQTVDIAQTYGYEDPEYCRTGGLKPATDLYSLGVVMLELLTGNQPVVAVWEGTKTLVSWALPPIEAGQLGDVLDRRPATEPTPRQREALQLVAITASSCLRLQGDNRPAIPDVVANLEKAFATMCLVD >cds.KYUSt_chr3.413 pep primary_assembly:MPB_Lper_Kyuss_1697:3:2233850:2237113:1 gene:KYUSg_chr3.413 transcript:KYUSt_chr3.413 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVSGDARGDPDVAAPPAPPTSGNPPSSPRTSLYNLPPPPSTAGESLALALGAATPRQGFLSARTPGELALDTNALPPPFNTPGDAFLQYVLQSFGTLVCTPVNPGSPLFAPSSVHIAARQVSAQPASLSLVSRSLQFWLGSRFEKLDVREIGCNMFRLTVASSRLANFIVSLDALRHGQLMLLFSLPMALDPLLHAGPPAGLICASLSHMHLCSASVSSGPAAFLARSGEGADLSSPSALTAPADSSDLAPPLLPPTRAARRRRPPLCLDLLSPGILPRPAGPLPWPTHCARDPTSPPSVAAAAPSPTSSSTPLPFPLLFSPLRTGLLSFSGALGPCANGDGPSPPSYSQVAASPSNPSPRRPPSTPPPLNPATKRCHRCLSPRHLVAACRDPVCCRRCRCSGHRERNCKLPPRSFSAPVSPVRASPSQSPLLFDARFAMAAPGSDAAGAGESLFCSLCFADDHRRAACRLVSPAPAVPAAQVAVEGPPDAGLAAPAADGVGDAVEAPGGVPALPEDVFIPLADPSSDEDAVPLADPSSDEDADEAGSGGDGFLPVLDGSDSGPESEEPQEAERPGYIDAYMPAVNMRQFDHLAFAFLHPNLLNADSFISEAADLACGPDRVSLFPSSFGTRLAVFSAPADRENAVNNGPFIAREASVFFRRHDETDNRFLFGHEAMAALAVAKYPMEFWLRSHITQSSVPYANPHAIDPICLAGVDFHSVLITVKAETLSSIPFHLTVKNHCGEGSIGDISIIDFEDLEAAPVGPDGPAPDPAVDGADLVGEDADILLEGGAGYPDMMHALGIPAPAVPHGAPHGAAPAATIERALANAPPLPVVRGGPIYTKPSKVAIKLRLGFFEVWVTGQNGERALYCLPLRRAGADPGSKGLMVANFAAASVGLIDCIAEVGPLRRPTLLVDVLARGEPMGCSAPLAVANALVMGLAPESDGASEPPLLGSPASAAPDSAMGRRPAVANIASEVSPVRPTVWHASPVAPPVRRCSRLATAAYVSIVDKALLRQKEKNEGLGGAARRRGELPVEDLIAVALEEGHPLLAEDAQVLARACDVDPDSLDLAPASSSALTASP >cds.KYUSt_chr2.6123 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38150319:38154030:1 gene:KYUSg_chr2.6123 transcript:KYUSt_chr2.6123 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPADGAAYWLRWEVFVCGALIAVPTALAAALLPRLRRPVPPLRATDLWVPCWSRLHPAWLLGYRAFALAAAAALLGRNVASHGLTVFFFYTQWTFLLVTIYFALATAISAHGCWVYSKKASRKADESHGFLNVDVENRGLSGQSKRDEIDNVKSYYEEIANEKRAAFWGRCMQIIYQASAGATMLTDVTFWGLLVPFFYRDKFGLALVTDGMHSLNFIFLLIDTLLNNMPFPWYRIAFFVFWSFSYVTFQWVLHASQALSWWPYPFLDLASPGAPLWYLGMAIAHVPCFTLYWLVVKGKQAYFPRMFPRAYVRTSN >cds.KYUSt_chr7.23919 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149101698:149103169:-1 gene:KYUSg_chr7.23919 transcript:KYUSt_chr7.23919 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGINGNKGRCYDFWLDFSSCMSRCRQPSDCVLLREDYLECLHHSKEFQRRNRIYKEEQRQIRAAARKAKEEAEGAPAVAAHH >cds.KYUSt_contig_1790.392 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:2001749:2005212:-1 gene:KYUSg_contig_1790.392 transcript:KYUSt_contig_1790.392 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTEVGFGCLDDSLTASSHHLCSWTAWLLQSNRNQLKELCNSSVSSSILIPHLENIVQQTTLQQDLIVNLLSSMQHNEKADGAQSEMSSQVQTMESETMFQTANTEKERSLLVKISELQSR >cds.KYUSt_chr3.44288 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279547680:279555775:1 gene:KYUSg_chr3.44288 transcript:KYUSt_chr3.44288 gene_biotype:protein_coding transcript_biotype:protein_coding MPAESRVFAKTMLFCLAAEFRAAVDDSIWTCIIRSLLPNEVYNHIRKWRTKCTVISKIKYDRTLEWSEDGYCFYLADAEMMHEYMKVRYMKTVFTPQFVCKLQLFQPNLLVRAINSIADNEEEYTEYRHLQPSEKATWFRT >cds.KYUSt_chr4.42217 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261113641:261119577:-1 gene:KYUSg_chr4.42217 transcript:KYUSt_chr4.42217 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALHRRLLLLAVVTFAALLPRAAAVRPFVLVLSGDDFLKDPSGAHPSLPSADGDADDWDDFADDSPATDPLLSPSSWAPLLDPTTPSPSDDSPSDALFVAGTRAMISAASSGDEAAFSTASAQIEAAAAGGHPGAQSALAFLTGAGMTRPVSRSRAFLLHKFAADAGDLQSKMALAYSYFRQEMYEEAVTLYAELAEAALTSSLISKEPPVIEPVRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNAAAMYKLGLLYYYGLRGLRRDYSKSYHWFSKAVEKGDTRAMELLGEIYARGAGVERNYTEAYKWLVLAANQQQYSAYNGLGYLYVKGYGVEQKNLTKAKEYFEIAAENKEHGGYYNLGVLHLKGIGVKRDVMTACNYFLRAVNAGQPKAIYQVAKLFQKGIGLKRNLHMATVLYKSVAERGPWSSLSRWALESYLKGDIGKSLLLYSRMADLGYEVAQSNAAWILDRYGEEHICMGESGLCTDTERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGVGRDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHLAKRYYDQAVEVDSAAKFPVMLALTSLWIRKNYAGSFVVHFIDSLPEIYPVVEEWVEDVLMDEGNATILTLFACLVTVLYLRERQRRQVVAENPQQPDGAPN >cds.KYUSt_chr4.4160 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23871475:23874367:1 gene:KYUSg_chr4.4160 transcript:KYUSt_chr4.4160 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRAWAKISGPTVGPGRAWAKIFCIGPWSARPEKCSCVIGHDIQIGYFLTFKVLKGDVYKVTVFNYIMTEYQARVHDSAVQSKPYKNLPAAMSAQVAAPVPAAEKATMAAAAAWPYVEYMARWERQVERRQLFLRSYHFSRDADHHLSPRARTRRVVWAGARRLRRAAATGLRRLRARIRLCFGWAAPALRRRSSSSRRAGHRFRYGRLPRSTAASVCFW >cds.KYUSt_chr1.18420 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107807136:107807522:-1 gene:KYUSg_chr1.18420 transcript:KYUSt_chr1.18420 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGLIYGPSGEGARGQVIGGCSVSIVSISSSHAAAPDVATVPDVTTAGRLAVYLAPHRIAWPLRRGSPLSPRPLCRGIPLWPRPILHGEEDQRLESSTVPCPHRRCQPRGGTSQIILVQAINNAGGE >cds.KYUSt_contig_2549.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000380.1:127630:135574:1 gene:KYUSg_contig_2549.17 transcript:KYUSt_contig_2549.17 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVVLAMLMVCLCTAMAMGSQWGGDGVRREVTYDSRALVLNGARSSGGARKCRLAKARRGGLDVIQTYVFWNVHEPVQGQYNFEGRYDIVKFIREIQAQGLYVSLRIGPFIEAEWKYGGFPFWLHDVPNITFRTDNEPFKQHMQRFVTLVVNMMKHEGLYYPQGGPIIISQIENEYQMVEPAFGPSGQSYVRWAAQMAVGLQTGVPWMMCKQDDAPDPIINTCNGLICGETFVGPNSPSKPALWTENWTTRYPIYGNDTKLRSTEDIAFAVALFIARKRGSFVSYYMYHGGTNFGRSASSYVTTSYYDGAPLDEYGLIWRPTWGHLRELHAAVKQSSEPLLFGRYSNFSLGQEQEAHVFETELKCVAFLVNFDKHQTPTVVFRNISFQLAPKSISIVSDCRTTVFEIAKVNAQRGSRTAEVVQSLSDIHTWKAFKEPIPQDISKAAYTGDQLFEHLSTTKDETDYLWYIVSYEYRQSDDSQLVLLNVESRAHILHAFVNREFVGHVHGSHEARGNIILNANISLKEGQNTIALLSVMVGSQDSGAHMENRSFGIRKVSIQQGQQPLHFLDNELWGYQVGLFGEGNRIYTQEGSYSVEWRDIYNLAYLPLTWYQTTFATPMSDDAVTLNLTSMGKGEVWVNGESIGRYWVSFKTPSGQPSQSLYHIPQHFLKPRDNLLVLVEEMGGDPLHITVNTVSITTVCGNVNELSAPGLHTQGRHPEVCLRCQRGKHISAIEFASYGNPAGDCTAFSIGSCHAESSEAVVEQRSSVKTNPRLRDVASLSCPANLTRFGQARARSKMAAAISVTASSS >cds.KYUSt_chr4.2608 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14620727:14626092:1 gene:KYUSg_chr4.2608 transcript:KYUSt_chr4.2608 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRCPAAASPSPARAPFPNSSTHSQPATRLPRRSAGGGGGCRCHYYHGDGASGGYDRVPKQFREENLKDGLMDNYKNVPQFLYGLSPSQMEMFMTDDNPYNRQSTKVTEETVAASRSYDEFGMYSLSGTHEGPASYSMGMGMPSMSMGRAGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAYAIADFINRSKAKVYTINLSMAYGQAAMLLSLGEKGKRGVLPNSITKLHLPKVHKSGGAAIDMWIKAKELDTNTDYYLELVSKGVGKPKEELAEFLRGPRYFRAQEAIDYGIADTILHSLDGPDCAACQSTEDAAIREACACWSWTMVDSDRT >cds.KYUSt_chr2.53722 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335127387:335129507:1 gene:KYUSg_chr2.53722 transcript:KYUSt_chr2.53722 gene_biotype:protein_coding transcript_biotype:protein_coding MWEATTKHARQCEAGDRVYAYTAGQTGGVGGATVYVNSICQLVKIELAGVECVPQHLNRAQKAYVHQLLLEAFEQRASLQEADILLHASHRSARSVATVVVIVAVIIVAGIGVAVLSGRRVSTRGLGLRVAQAGATVGHDALESPAAPPQPTAGLVEVRRRRTALLMLGKRPLTPIDEEAFPSRAVVGMPPGIPPPLGVSSE >cds.KYUSt_chr2.38211 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236558671:236560428:-1 gene:KYUSg_chr2.38211 transcript:KYUSt_chr2.38211 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGFGPGSPKSFRYPRADYDLESGIPRKPRKPKNSHLDAPAPLSSALMKIRYFYEAHPVAVALILLSFGLSVLILLSVYETRFRTMRAGGGEVGAYPFPALRNLVMVAGHSVYTSASCGKLDREDSWFLEPYQRHPGQAATFLEHIKEGVEIAARDQDALLLFSGGETRKDAGPRSEAQSYWAIAESKGWYGNDDSVRSRALTEEHARDSFENLLFSVCRFRELTGTYPQNITVVSYDFKEERFAQLHRSALGFPEGRFFFSGTPATPAAKEAALKGEASVRSQFQEDPYGCLGSLRVKKLKRDPFHRTIPYPNGCPELKGLFSYCGMVPYTGNLPWTN >cds.KYUSt_chr3.19680 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121151422:121153261:-1 gene:KYUSg_chr3.19680 transcript:KYUSt_chr3.19680 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGSNRDLGFDFAFSGSGSSQFSDDEMRMMDAPFQALDMFPGSMHRLLSYENMLSGVLTDSQDEEANPDHNEMDTMDTCGFPLFSHELQDDPSNADGSLGTLVNPSNNKSEVSTMKRSMSVADIQSSSNGEVAVLEELEDIMFQLTKKTRVCLRDAFYRLAESSEAQCTAANGGTITTSDEQSFQQSEGIGSSSSTLDQAERETNAIDRTVAILAFNQPSSAQWE >cds.KYUSt_chr4.45062 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279088179:279093190:-1 gene:KYUSg_chr4.45062 transcript:KYUSt_chr4.45062 gene_biotype:protein_coding transcript_biotype:protein_coding MECLAAGKPVLAWPIMAEQHLNAYHVTDIIGAGVRMMVVKVVGGAVVERAAVERKVRRLMDAGEEGRKMREKASWAQQAAKAADSFRRSQRSQGRGQRMTQDDLHSPPETCEDASQFLAGKGAEDDPGRPPLSARDVRGCITVMSSVTRSTLEISLPRRETLAPAKTRRIPTENGQTERPWNVHTVPAELERLDLEDEGRKKWKGGIDRWEFNISRGWVACVREEESGPDWSPGG >cds.KYUSt_contig_402.27 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:83398:91068:1 gene:KYUSg_contig_402.27 transcript:KYUSt_contig_402.27 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHDIRLRLRLELEELLRPPLPLLRGSDIPLPLLELRRSPRFLLSTALCSADDPTSHDGEESQSLLEVCQQPELKSRSSDWKAPAIILGLECLESMAFNGIAMNLVVYIRSVLHGSVASSASTVSLWFGTSFFVPILGAVIADTYWGNYKTVLISFTIYLLTTFIQQGTVMNTKLGSFTIPPASLCSFEVRIGIGRVLMFFTMALAAILETKRLESVQHGELLSIVWQLPQYIFIAGAECFGFITQLEFFHGQAPDSMKSILTAFALLTIALGNYLNSAMITIVSRVTMAWHSPGWIPDDLNEGHLDYFYWCLAAISFVNLAVYIYFANASTEDYQEEEVFYQEEEENFDHYTNQGKLYYCKLLPSCPNAKLY >cds.KYUSt_chr6.28034 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177746202:177747508:1 gene:KYUSg_chr6.28034 transcript:KYUSt_chr6.28034 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAASFRTSAALHATLSSAAEAPNYVVLVICVPPRSNPPSICRRVSKTKVRISATSASSNIDAFLRSQYCGCLIFQPSHLRRGFGSIKDFGTFCLFLLEKAQVELVPGDAFGDDKGVRITYVAALSTLQDAMEKIKEATTLLKPRVAV >cds.KYUSt_chr4.14328 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88316227:88316928:1 gene:KYUSg_chr4.14328 transcript:KYUSt_chr4.14328 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASPSPIVIQMPPLTNAGTATSKPKDAAGDFATAPTPSRPKARATDKVMSSAANLAQLLPTGTVLAYQALAPSFTNHGKCEESPANQWLTAALVIVLAALSLAFSFTDSVVGRDQKLYYGVATPCGFNVFNFSSEEEKQEWDPSEFRRLRIRPLDYMHAVFTALVFLTVAFSDVGLQNCFFPNASRNTEELLKNLPLGMAFFSSFVFMIFPTKRKGIGYTDTAPPKKVVT >cds.KYUSt_chr6.1786 pep primary_assembly:MPB_Lper_Kyuss_1697:6:10795439:10796188:-1 gene:KYUSg_chr6.1786 transcript:KYUSt_chr6.1786 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRCPSPPFPHPVLICPLPYQCRAAAGGTAPPPPLAIVPRCCKQPPPLLQRAGPSATSVRLLCYNLWSALLRTFVGSATISGRLCYHLRPALPQSSVGFATSDCWFCYNLPSALLQSLISDRLCYNLQSPSGSATISGRVCYNPPPALLPAAAGAAESFPPLSLTDAGPRWQCYDRRRALLQTVTKLLLAGGGATVRSGTTTGASLHGGERGSGAICGAGVLQAGLNIAGVAPRQICRCCRDGEILSL >cds.KYUSt_chr7.33558 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209331637:209332608:1 gene:KYUSg_chr7.33558 transcript:KYUSt_chr7.33558 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGKRHRTNSSMRRTTSMSGFDVPAEEAGRQPTRVARGASAAPTMGWSAASAAMQRRHSGDFNAVMETAAFLKACGLCCRRLGPGRDTFIYMGEVAFCSLECRQHQMNLDDLKDKKCFPAAGTGGSDGTSGTVAAA >cds.KYUSt_chr2.3440 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20579516:20582899:-1 gene:KYUSg_chr2.3440 transcript:KYUSt_chr2.3440 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPQPAAASLSGFLDAHFTSAEDLPAAPALSELLRRECEGLEASVRRLEAQLASSSASWLARSAEARRRIRSRGDDMAAGDEGEAAAAPGVGLPAIVREIQRIDTIRLYAEATLQLEALVGNLEDATFSIVRQASKLNLSSVLRRASNGMEQKQEKLLRAVDAVREIEQELVKISLSKPQWTNLIVAVDSRVDKTLAILRPQALTDYRALLAALGWPPSLSSPDMEKDKYSQVPNPLVLMNEANKEKYSESFLALCALQHVQANREVRQCKMPVATPSLSDSKYFDKTACFDNGLWAIDELVHPIVSRMEYHFSKWSEQPEFIFALVYKIAKDFMDGVDDILQPLIDQARLVGLSAKESWVTGMVKILLGYLETQIFPALVTSYHRSDDKLEVHSSWLHLNDQMIAFDKRMQLLADSGIQKIAFLSEGLSRSLSVFSIYTGHSDWLQIWADIEVNSAQDKLKSEMENEASWFYSINPQDELGDQESTTKFLLSTREDYKAPPVSEFVVKSASTMIDRSQALPNKRMKIQYTRSTSVQFLNDFFVVLHERCEALQLSNTALEDESLLRASYAINAARYCECVLREWDEDTTFLDMAGHRDENKEQIHKRSSQHRCSFFADEIAFLVKLGTNFLEQIMSSILIEFEDLSWDYVQNIGSSNEQNQSDDQVLDEENLEVSPGFVASLDVLRERITKLKIYLNSKDFLDIWRSIAEGLDYFIYSSIRWGEVKFSGPGVIQLRLDTKALLRIFRPYCSRPEAFFPFVTDSLKLLSMRETDVQYFLEALKNGKDNDNCMGRRGLHHVDASQAVKVLRSKKIGR >cds.KYUSt_chr3.37578 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236385247:236393101:-1 gene:KYUSg_chr3.37578 transcript:KYUSt_chr3.37578 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASRLLSSLLLTSSPLRLRPSAAAFSLFISTPTAASRRQLLLSSLSPLRTLSTSAAASPLPYSSSSASSTPQPHTPFPEWSCLFDRLAAAGYAARVRSAADELATASGFGLSAEAESTVSSCLAFARDRPDLLRSLPRKDVEVVVANAAPALFKDGEASAQRLQQYLAQGDISAMESVKAETVDIVRYLLSYAYSSSDSYVEDKELTDSAVRNILAELVNSSGLSSTSSFVESTVGQNTLGQPERFSRPLGQNVEMKRGDWICTRCSFMNFARNARCLECNEHRPKKMLTGGEWECPQCDFYNYGRNLSCLRCECKRPASLASNPASAGLGGVAQLLDVPNAGRSEIERKLAENDEKAERWLSKVSQLDDSADLSSLAADEDFPEIMPMRKGVNKFVVSTRKTPLERRLESAQYSSNNSPQAPASDSKISQTLDRILGRSTSISSPNNQSDNGGVNASAPKRLTGHLSDIDPVPFVPLSADLFAKPQNTKSNEQADMDGQINKETGSSSDVTLASTERRDVDKSLDAAEKWSKKVAELDNVNNLSSAISDEDFPEIMPMRKGENRFVISKKKDRSLASPQYKRRSVLEQADNSSFTPFVPFPPDYFAKKDAPAESTPDAGVVSEGSPSADKLPETNASSGNLGNSLNTSQVMGSQASSNINNENWSRNYSQQNLSTGGNTQGGSSNQQHQQQPHELGDRLSGTSSTSAWNPNYSQGRFNDGRGVSGNYQHPQQPHGVGNGSGGTSNTDAWNTNYSQGRFNDGRGGSSNYQHQQQPHEVGDRSSGTLNTSAWNTNYSQERFNGSNKYQHQMQPHEAGGRFSGTSNTHALNTNYSQGGSFNEGQGVSTHNGGGYSAQPSYMPGYSNNNSNNNTWSSSNNHNWSGSHPDNSTATSGSASANPNQATGYSSYGGRGYTGKSLEGSAVRDPDPLDMSEEAKAERWFRRAAQITNVEDLVNIPDEDFPAIMPMRKGVNRFVVSKRKTPLERRLTSPQSLCKFSKAAKMVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYHHNKLKPAKLTWTAVYRKQHKKDIHAEAAKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKSQGKGAVQKGSKGPKLGGGGGKR >cds.KYUSt_chr7.24213 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150986412:150987032:-1 gene:KYUSg_chr7.24213 transcript:KYUSt_chr7.24213 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEASISSSTNITMMCDGLRRLGDIYNGVQEILGLPRNQVAKMLAGEMECSLELLDLCSTMQEIFVEMKAIIQELQVALRKGDGAAAQAKIQSYSHLAKKAKKHFLKTTKKATSAGCRMVTLLTKAREISVSLLESSVHLLSKEIDMPKQSLLSKAFHKKKAVFCEEEQLQALEYSIGDLENGTGHLFRKLVQIRVSLLNILSS >cds.KYUSt_chr7.7172 pep primary_assembly:MPB_Lper_Kyuss_1697:7:43187055:43188257:1 gene:KYUSg_chr7.7172 transcript:KYUSt_chr7.7172 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTGVSVVTDGKHCTTSDVVGTTDCGYHLLMVKEYSRTVQEIPIGEDISSGPFMLGGHKWHIDYFPNSEGPSCADFISLYLRRDDDIMEEAVGAKFEFSFVDEVEYQKPVRFRATETCNFSSETPSRGFCEFVRRDELEQSANLKDDCFTIRCDIMIFKDTTQYAGGTMPDISHHFNNLLQSNVGADVTFEVSGETFLAHRCVLAARSTVFMAQLFGPMAEKTTSSAIEIKDMEAKVFAALLRFIYTDSFPKVEEEEGQDEEESVEGKMSEVVEEGQEEDAIEQVVFLRCVQWVQHLFVAADRYDIQRLKFLCEDQLSNNIGVSCVLSTLALAEQHHCPGLKEACLKFIQVQSPKCLDKIMASDDWEHITTTYPSVLKELISKLASNQKEKKRKHESM >cds.KYUSt_chr4.35346 pep primary_assembly:MPB_Lper_Kyuss_1697:4:217020923:217021372:-1 gene:KYUSg_chr4.35346 transcript:KYUSt_chr4.35346 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRSLIPLGQRHASTTISPCRRVGGLQRRSNWFPPRTSSSEESPGRWVSAATPFASPSAAGLQVPARPSATARRRAGNREAKRPRGGACRLCAAKAETGFGDGRRGGATASTGVARKELLTPKPKAKAEAPVGAETKARALLSRTCT >cds.KYUSt_chr6.4893 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28814028:28814345:1 gene:KYUSg_chr6.4893 transcript:KYUSt_chr6.4893 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQSLAAALLLALVVSLAAIEGAHGICGLSNDEFKLCQPAAAVNNPTDGPSSECCAALGKANLSCICRYKGVAGVWLRMYHIDAARAMALPGKCGLAMPSNCS >cds.KYUSt_chr6.15312 pep primary_assembly:MPB_Lper_Kyuss_1697:6:96038684:96041414:1 gene:KYUSg_chr6.15312 transcript:KYUSt_chr6.15312 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRCPGAHHQMPHSHMHKSSNCLLPPPFAIQLLCAHILCFGADSKSLSMARSKKTTRHGASAFAAPSSCAAHSSSEEQSHGGLEEVFWGRSKFNAADHRKIKRQGLLLDKKAFIFPSDEVVPMQKDGWRVVFSAYLQRGLAFPVHDFLRGLLFYYGIQLHHLTPNSLLHISIFITLCESFLGIHPHSGLWKCYYQLCRSTTRDANADVGSVFLRLRNGTNYFTLKLADSVQGWKNKWLYVVEKKSKNQKNLLPPFKAGASVPKRRSWDEENTKDEEAEIKYFVDKIQ >cds.KYUSt_chr1.21690 pep primary_assembly:MPB_Lper_Kyuss_1697:1:128078556:128081002:-1 gene:KYUSg_chr1.21690 transcript:KYUSt_chr1.21690 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAGTGVEGSSGTGCRDPVAGLQLRGGADEVVARIEERIAAWTFLPSENGESIQILHYENGEKYEPHYDYFHDKNNQALGGHRIATVLMYLSNVEKGGETIFPNAEGKLTQHKDEMTSECAKNGYAVKPTKGDALLFFSLHPDATTDPDSLHGSCPVIEGQKWSATKWIHVRSFEKPDKHGGSGDGCVDENVLCAQWAAVGECAKNPNYMVGTKEAPGFCRKSCNLGAAITHHYYVELTPGQRMDPCWDPDNAATWDTFANRWEMELARYEGGGPPPVDNNEAG >cds.KYUSt_chr2.19967 pep primary_assembly:MPB_Lper_Kyuss_1697:2:125619488:125628331:1 gene:KYUSg_chr2.19967 transcript:KYUSt_chr2.19967 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAATEARFSNRDLIGRGSFGDVYRGFDKELSKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQAGPPLDEISIACILRDLLHAVEYLHSEGKIHRDIKAANILLTEGGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDDHFSKPMKEFVSLCLRKNPAERPNAKELLKHRFIKNARKTPKLLERIRERPKFSVKGSIDATQNGHPQIEEDDYGGTIKVERNTKQAASPSSQATVRKSAGWDFPERSEGTGTVRGGLRPPQITSTKDARFDTSHNPNTIKRTADRENQWRTSGTGFEESPSVNMSKEAQTDHGRLESSTEENDQSVSGSGTVVLRSPRASQVHSAAPNHNSKPPSRFSSYEDMSISGTVVRNQSEEPETPRSSRSRLGIQEKPSNASLEDSAINLAEAKAALQAGFRKGNARERPVINKHEKESHEPRFSGVNSHEVRSENVDSQKGRKSRQPADGQSASRASVASPALSSLISPSLKETTGDKFEDPVVHAVLSSLMDLEHEMPGSCEALVGRLLHRLGSSKDPSLQSLHETAVSIFTKKPEPPSEPVSNRKPASMPPLAAPTVSPLARFLLT >cds.KYUSt_contig_1181.175 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1085358:1086835:1 gene:KYUSg_contig_1181.175 transcript:KYUSt_contig_1181.175 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNRTSARRKLDKGRARSKPCRRESPPSRPSVSLALWVPRRCLQEEHDTGVPPPPDPRILGFHPDEDSSVLPLCSPCRGIYVLNRALLEVDLWVKTEGDVSDDKQLLSAYAEVDIRGNFDAGMHYARIIGDVCNLDIEYNAFADSVETVIQVYAKLDHPHHVRFTALSTGYDGYEIVLFDDKLFGNAKFFKYIVAVKANEKLDVLLKVDNSLFRWSFQDEHVGTVHSPDDSIFEYGQFLVRVLFAPKNSE >cds.KYUSt_chr4.18072 pep primary_assembly:MPB_Lper_Kyuss_1697:4:113376594:113383625:1 gene:KYUSg_chr4.18072 transcript:KYUSt_chr4.18072 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSTWWSWICEDAPKKKLSHGGLWGSNPQDFVKQAQSRKAFHLVEVKIVIIELKWNAQAVVEQHMFILKSWTIGAVEPGHILGEPENKGDAICKIIPEELLRRFKGEIPAEIKLETRKEEFFSSEDEYGVHDVHGSNYIVKKKSKLSSFQKEQLKGGYITTHKTKLTLVQKEAVKQKVESIHSEIPIVVAVMRKSSIESSFFLTFPSHYAKEYLAGGLHVYLQYHDVTWDCRFGDTRGDKKLSIGWKKFAQDNDLKMGDICLFELLSNQKRTMEVYIIRLNDDN >cds.KYUSt_chr1.41569 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254882977:254883738:-1 gene:KYUSg_chr1.41569 transcript:KYUSt_chr1.41569 gene_biotype:protein_coding transcript_biotype:protein_coding MPELFSRCSLQCASVRQVLTHGLDAVLAPLLSAVAAGQRETLLLLLSSKRLSDTVDVRTLPLCGKAGGKLSTSALYKLCSYGGIIDDRHDFVWNNFAPSKVRFFGWLAVKDRIQSRAYLLRKKILTADESGFPVCATTLETGSHILFGCHFARQLWSSFGSQPEEHRLIESAATCSLPPSTPPGSASTLRLLCLWHLCRNDVVFNGHAPSIELVRKRCRDDAILWRSRLPLEKRADVDIWLEFFLPRRRYATA >cds.KYUSt_chr2.5178 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32160067:32160393:1 gene:KYUSg_chr2.5178 transcript:KYUSt_chr2.5178 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDVDFGLFARANCKAIYITLRPIKTSPPDHPASNNGSASFFGKGSVAPGSSPNLSKALLNVKVGKKREMEEMEIDEEDKTTKKSKVAVVLNNDLLAGLSEQPCEEQ >cds.KYUSt_chr4.52717 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327128495:327133364:-1 gene:KYUSg_chr4.52717 transcript:KYUSt_chr4.52717 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSEIALHAAYQGDLSLLKKMAMEVDLQGVKDTEGRNTLHLAASKGCLQSCIFLLETCAVDVNSLSKSGETPIYYAALNGDIQLVKYLLDHGGDPAMPNEKGCTPLHAAAQEGHCEAIKLLLSRGLPVDTVDQQDGTPLHAALGKDKAEAVKVLLEHGADAGADLNAHCSFGPTALTHAIFHDSLDCLKLLIEAGADPNIPDRNAASVDERIAAWKSQGKEAYAKEDYRTAISFFGKVLDIHPTDAAMYANQSICWLRMRHGDKALEVARKCRKMQPRWPKAWYREGVALSFMKDYEGAADAFREALQLDPNNEEIKEELRKAEKAVEDPQRVGKISKG >cds.KYUSt_chr4.27754 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174353509:174360189:1 gene:KYUSg_chr4.27754 transcript:KYUSt_chr4.27754 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQRAGHLLIPRSPVVKLLPDTLVYQDKLALWTGKERHGKFSFAQLAKSTSNFASEEILGAGAFGTVYKGKLNSMDVAVKKIIMPDNNEEQGQVRKDFDNEINVMRPLHHCNIISLVGCCKDKKNLILVYELMENGNLEDQLYPKAGAMDSDLHGVTVPGTNLMLDWPKRNSILIGVATGLVYLHCECKETLLHGDIKPSNVMLGKSFNAKLCDFGLVKQISNSKTSRSTDSIRGTKGYVDPAYANTGRACEKNDIYSFGIVMLEVVCCERPSVTQHGDRIMNNLVEKVRACHQRNAILDAADGRLRGQSDEQLKRVLIIGLFCVHPDPDQRPHTRKVLEYLTSRAVPLPFLPTSTNYTFPMMNESRSYPSTSHNRSVSEDEFSTSATVPPRHARRDEDAGTAAFLTSQS >cds.KYUSt_chr4.16305 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101235146:101236369:-1 gene:KYUSg_chr4.16305 transcript:KYUSt_chr4.16305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-pore K+ channel family protein, K+ homeostasis, Osmotic and drought toleranc [Source: Projected from Oryza sativa (Os07g0108800)] MCAAVLLTRERETESVEQSISSFSALDISLAAAALSAKTCTIGMAANGIQQPLLHGVGDPAAAGDIQQKQRNGVKRFRRCRTAPSSDPAASEEPRPPAPPSPDNADKTASAKKVFESGRPSFRLVGLLLLAYLLAGTAAFYLAMDHMSGHRSGSRTLDALYFCVVTMTTVGYGDLVPSSDAAKLLASAFAFAGVAVVGTFLSKSADYLVEKQESLLFRAVHANAAYPARARLRATEAANRTRYKLYASSVLLVVSVASGTLFLWKVEGMRPVDAFYCVCSTVTTLGYGDRSFTSRPGRAFAVVWVTVSTVVVALFFLYVAELYAERRQRQLARWVVTRRTTSADLEAADMDGDQRVGAADFVLYKLKELGKISQEDIAEFLEEFHRLDADHSGTLSPSDLAAAQPTA >cds.KYUSt_chr2.4024 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24388811:24390109:-1 gene:KYUSg_chr2.4024 transcript:KYUSt_chr2.4024 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAACAVPSAAAPGDDSTAKVLLGRYELGGLLGRGASAKVYLARDLHTGRDVAIKSFPNPRGATGAGGPAPIEREAAILRRLRHRHVVRLHEILGTRSRVHFVLDLAAGGELFSLVDASGRMTEDLARHYFRQLVSAVRYCHSRGVFHRDIKPENLLLDAAGDLKVADFGLGALSADGALHHTMCGTPAYVSPEILSKQGYDPAKVDIWSCGVVLFVLAAGYLPFNDGSLINMYRKIYAGRFRCPNWFSPELRHLLRCILDPNPATRIGTDGIMDHPWFRHGADDADAELASLMRGHDEDAWFKTEFKEDVGREMSAFDILAFSPGSDLSGLFGAGPGTERVFVGESAAAVLARVEDAGKKGGHIVRREGKNRAGPLYIEAEGGIVAKVTVFKIADAVSVVEVVKGHGPEASAFWKDWLQPAVKPPAAAAV >cds.KYUSt_chr2.5217 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32404165:32406018:1 gene:KYUSg_chr2.5217 transcript:KYUSt_chr2.5217 gene_biotype:protein_coding transcript_biotype:protein_coding MENGQVIAVKQLDRNGFQGNREFLVEVLMLSLLHHPNLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDRPPDKEPLDWNSRMKIAVGAAKGLEYLHDKANPPVIYRDFKPSNILLSEDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELVTGRRAIDHTQPDGETNLVAWARPMFRDRRKFCQMADPLLKGRYPKRGLYQSLAIAAMCLQEKAASRPLIGDVVTALSYLASQQYDPKSCRTCPSTPRAKAHRRTTSVPDAQHAADSVNWNVVDLGRKETIRSGEHEQDYSEGCGSGSSSGRNDGLDVPELLSWHNGKSYGEADSDRKSAVIVDAHEKPRADSGKYHRQF >cds.KYUSt_chr2.40785 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253382476:253382877:1 gene:KYUSg_chr2.40785 transcript:KYUSt_chr2.40785 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQAIIPTVGGKKNKAAQELEEDKPGTVKRGRRTLVGCLRQANFRCHLMRKVSVNPYGLLKVLKAVIFNGRSCSATWLKMHGVRDLEWRRCVFIEHGWDEFAEATTSKKVMVFVYLHYGFLSEGGHNREQWL >cds.KYUSt_chr3.47818 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299635897:299642245:-1 gene:KYUSg_chr3.47818 transcript:KYUSt_chr3.47818 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAARWVVAESAAAADAGSENTRTTSAIVSRRAASAVVADGLEEARARFTTTEPYGSSRVLGSSLPGREEVRACRCSPDTASMAIEKEFELLHTSESSCIFFSGYYNFFQPVDGDEMDFDYEE >cds.KYUSt_chr1.7902 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48518231:48520813:-1 gene:KYUSg_chr1.7902 transcript:KYUSt_chr1.7902 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDNAKCSADVGEGAYYMAGVVAINAIMATVLLLSGLFHSLLRRLGQPSIISHILAGVVVGPTFLGRVIDLRAMGMEDAGTALGDTIYYLRIIFMFFIGLEMDLRYLRHNLRRSLLLACGGSAISLLLAAVAGPFFYGLLHPVGQGESFHPAKLYASTVLFMLVLASTASPVLIRIVTELKLTASETGQLAIGAAFATDIACLTTISMMVVNPTTFAKDGTPKPPRVPGASTPGVQLLVFLWMALVVWVAVAVAVRAARLLNRVKRGRRYISKYELCAMLLLIVGLSLLVQYLRYSASMAAFLIGLAMPRDGPMARTLIDRLTYPVHQIVMPLCFGAIGARLDFADAGRFTATQLTAAIVFTTVLSAAGKVGGTVLVGRWLGITAREALVLGFLLNVKGYSDILAINFGDKSGVWGDTAQVVLLVSSILNTFMAGPASAAIVRQQRRAFQYRSHCLQDLRVDHELRVLVCVHGAGGVNAMLTVAELSKGSGAPVAVYLLHLVELMAARKYAITHLYKDVGARLKLNDDDQWGYAWEMDQVAAAVHSFATYEAAVPVRQMTAISNLASMDGDVRNGVEDARASLVIVPYHKEQRYDGRMVSRRDGRRQLNQRILQRAPCTVGVLVERHLPSISVASSTAPAEADRHDEEQKSTASGTSLAEEQADQEAAVVHHVVAVFLGGPDDREAVAYATRLSTHPSVSVSVSRFRLNKPDDNEMQTAVDVTGDEQDQEDEEFMAEVYAGFVAPGRVSYTETYVSNGVETLNALSGMAGMCSLFVVGRGGGGSGGAAWTTMTSGMGGLDDEECPELGPVGELLASDDFLGCSSSVLVLQQHKLHQKMRTWKKHSHDGCMPDHDILHY >cds.KYUSt_chr2.1975 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11899437:11900544:1 gene:KYUSg_chr2.1975 transcript:KYUSt_chr2.1975 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGDVFDHGRHGTSLAQVQEAKTSRSPPKPLLVAAPCDAGEYPVVVFLHGYLANNYFYSQLLQHVASHGFIVVAPQLYTFSGHDTTGEIHSAAAVIDWLADGGLSSSLPPNVRPNLTAVSISGHSRGGKVAFALALGHGRTSLPLAALIAVDPVDGMAPGRQTPPPILTYREASLRVPAPVMVIGTGLGALRPPCAPLGVSHAEFYRECAAPACHLVARDYGHTDMMDDVTGGAKGLCKSGGARAPMRRFVGGAMVAFLEKWVEGRPEWLDGIRERPEVAPVVLSAVEFRDAGTRIHGVMLPA >cds.KYUSt_chr3.18814 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115645204:115648890:1 gene:KYUSg_chr3.18814 transcript:KYUSt_chr3.18814 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPARKGAAGGGRGPVPALLLVAAGVLAFLISYSVLATVLRGGGGAGGGGGGIVAGGRDPVVRMPGWMRKAGGGRGRRRPFHVALTATDAAYSRWQCRVMYYWYKRMQARPEGADMGGFTRVLHSGKPDGLMDEIPTFVVDPLPAGKDRGYVVLNRPWAFVQWLEKAKIEEEYILMAEPDHIFVKPLPNLAYDNDPAAFPFFYITPSEHEKIIRKYYPKERGPITNVDPIGNSPVIIKKTLLEKIAPTWMNVSLQMKEDQETDKAFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDKKLGNTFIIHFTYGCDYSLKGVLTYGIIGEWRFDKRSYQDRPPPRNLTLPPPGVPESVVTLVKMVNEATANLPRWDEGL >cds.KYUSt_chr3.13088 pep primary_assembly:MPB_Lper_Kyuss_1697:3:78786280:78787416:-1 gene:KYUSg_chr3.13088 transcript:KYUSt_chr3.13088 gene_biotype:protein_coding transcript_biotype:protein_coding MEENIRNTRRRLSPASLLTDDLVFHILSRVPYISLCRFKCVSKSWLALCSDPEIRKKSPQTLSGFFYRSITTGSHHCEFRRQFTNVSGRGQPMVDPSLSFMPSYYKILLIDSSNGLLLCRCATSFPKREYFYVVCNPATKNWIRLPETEKMARSPIVRLAFDPAASSHFRVFLLVSHRPGLNTDVAGVAVYSSKTGAWTYRQSGWGSDCRVDSASRSVLYNGIMHFATVASSVVTVDMELNRWGEIPAPQEIHSNCLIGLSQGHLYLVQLHKSENRHLSVWALEDYHTQHWILKHSVCTAPLPEWRHVHFRGYREVIAIHPDRHLIFFHAGWHGNSDGIVSYDMDSGVVKAICAPGPSCAFTYIPYVPCFSKWLSDEN >cds.KYUSt_chr6.91 pep primary_assembly:MPB_Lper_Kyuss_1697:6:579630:579959:-1 gene:KYUSg_chr6.91 transcript:KYUSt_chr6.91 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNRSFTAQLRQGGHEAKSSAVLRGIRRRYIEKAPWCGELIGGWCCSPGTARSAGGFDSGRLDGQADLPGERKKESKVKRGRIQRRNQQRLDMALGEGLWIAGHGGFW >cds.KYUSt_chr3.23954 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148618550:148628904:1 gene:KYUSg_chr3.23954 transcript:KYUSt_chr3.23954 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARDLADGGLQRRRDRVLVSSLPIPHVSLSSSSLLLATLAMALKSDACICDGQAAHFLLGCGPVSSGIADLTKDLHHQDNSMFQILMVVLGVCNGEVEHIDLYNAQTANNGVVVTDALTQFPEEVVLLQGSELQHVDAQMALEAATEGVDFDVSMLQRMSRMFVHIFYANLYLRWHVRASLLLGEISSFLFGPASGGCSIRYVALHKLVGVSVRCCFARSMLATFSAVRIKGNTMEVCVPANRTGQFKELLKKGYVCTSQLYRLLPEWCYFFELKHARAIWASAIFCRQGGETTSSTAEAFFDSAVGAWRLLFIKWCVPGELKVTGGFDSSFSELKRASGQYEKT >cds.KYUSt_chr4.12702 pep primary_assembly:MPB_Lper_Kyuss_1697:4:78223587:78224908:1 gene:KYUSg_chr4.12702 transcript:KYUSt_chr4.12702 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLASPTPFGTATAAARRRLPPGAPLLSRRAALHVALARRAGVSSRTQRRLEERGGKKRRGGVETPDAEEDDSASAWEGVEWEGEPLGFEVSTEPMPYLPDPKQVDFWEGSQWDLLGFFVQYMWAFGVFFSLVACGFAVATYNDGATDFRETPSFKESLTQEFPEDAESSGSDVFEGNPTEVAPSLE >cds.KYUSt_chr1.34113 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207421759:207427607:1 gene:KYUSg_chr1.34113 transcript:KYUSt_chr1.34113 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGIIMNFRFMHNQGGRLYIQRVTRPGAMAAALLSKRIHAICIETKPFAVYPCGYWLDARVEVVAVESGLLHAAGGGAAAARGAPVVGARGGGTTASSSPIKAFFESPDLGEIVVDPPLSSHRGGGDWERISDDPAFGRSAGSFSGADRRAPLDWLWRRHLCICYCDDLSCSWIHGDLQNPIQLASWVARLLLWLLQASPDLFLAPSFNLRREALFTLPFDGKDAAGDGGKCIAGEVQVEHVAEPTDAPQLDGRNVLPTSPE >cds.KYUSt_chr3.46297 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291305406:291307462:-1 gene:KYUSg_chr3.46297 transcript:KYUSt_chr3.46297 gene_biotype:protein_coding transcript_biotype:protein_coding MELMTAACAAAPSSPDVALDAKNAYNDTVYTFSCRQKITYDTSSKTPACWEGAAETALEHYNRLNEEDEHELVKAVESVTFFFSGPWMHLNFLARLKGGSTCVELVPKYFFAELRWNPNKKGFSCVSCVKLDPADSETAPVRGCEICGSRIFHPAAGGHRGALTPRSADGDNEQENPAPKTAKALFDAWICKMQQ >cds.KYUSt_chr7.20616 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127798982:127800303:1 gene:KYUSg_chr7.20616 transcript:KYUSt_chr7.20616 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGAIQMSAKAFSLSFCIPAAFLLIFAHPNNPTTSFLGALLDMSTPSSPFNLLKVDYLFNLYRRRGAYNPPCDDFPPYFPPLCDDFPPYFPPPDTEATSIFCVDPNGCCDFTTVQSAVDAVPRYSGKRNVLWINKGIYFPATKPNITFQGQGFDLTAIMWNDTANSSHGTFYSASVSVFATGFVAKNISFMVTTNTADHVSVKSHARTPSSYVNPLIIHPHKNVAPIPRPGDVGAQAVAIRIGGDQAAFWGCGFLGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARLISIADPVSAGVRTITGSVTAQARESNQDNTGYSFVNCSIGGTGRIWLGRAWRPYSRVVFAYTSMSDIIASDGWNDWNDPSRDQ >cds.KYUSt_chr5.14251 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92449856:92453646:-1 gene:KYUSg_chr5.14251 transcript:KYUSt_chr5.14251 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPPPFLDDDFDFGDFTFAPAAPPPPADFAAFDDDWGDFVVGSDPDAATAPKDKPAAGAWEKPRGPLPLSLFGADDKEEEEEEDAGPSMPPPASAHQRTPSFDSKGLRAADLKDLIVGLYGSQPAPAPATDAPEASAPEVAEEDDDGFGDDGWEFKAAPPSVAGQEGGGLARGDGIKGMPKSLDNDQEDWSLFTSVNNKQNHVQTTDHVGNHESTGQTLGTIIDSGHSEASVVKALLETIISINELEVANLQNGLPNSKLTCQLTLLPTGLVPELKVIVWNGNHYFVKVANLWANRVSSNPPRFSLTHVSTMNGTTNS >cds.KYUSt_chr1.2844 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16894596:16902688:1 gene:KYUSg_chr1.2844 transcript:KYUSt_chr1.2844 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTRNTSCLTTEYYIAVYVKDPPMPNLLSWIRLPSWAFTDLTPGIDLQGSGYLSRPVGIRLLVPGLDIIHLDLQQLGRPMGHMPPSPSMGHPGLPDLGHVVDTPINVHYLKTRQEFCNLHKHYLDEISKSIDEQLYKEAESQVEYMDLETVQVRLNAVLTRGSFHNSRNSWASSVALPTYNAEQYGMEVTDSNLQYGRAVPGSISPSLRARDISNDVFYPQGFAPNGHHKFPANFARSSAECLANTTVAPCLSGLPKCSPSLAGDFSGGVHTFHSKDHFRGDAHQVDSPQPSTSGSSSSFSAMCDRSANSTNDNRYSTGQVPLSLQYRECDEEVYTHSHPIEQPDQSNITPGAHDLSYIYDQSKMCQNIKGECELDECMQVNECSQVLDSQGFSTQKCSNLNTKLSHNQCRYMADSGNCGSIRERVGRAEPTSNSTVSKPTSPTSDESSGKHHPAKRLKINFPSPVHATKVEFPNQQLPAANEIASSETLQSETTELPTKSPSGCSLGDSNVVLGLTNEDMHSMDIVRFPETAVQAEEESCDVNGDAEMKDSKLSSVDQTAVGAGLSAMKKRGASILYALTADELRDHLRSLNQHICPSKVTTEELQSGLPDQNTCNLCGMERLLFEPPPRFCALCFKIINSTGSYYVHVENGNDKGSICAKCHHLSTAKSKYQKRFSYAETDAEPEWWVACDKCKAWQHQICALFNPKVVEEDAEYTCAKCLLKEKDSGYISLLESSTVLGALELPRTKLSDHIERRLLGRLEHERLQRASASGKSLEEVPGVDGLTVRVVSSAARVLQVQPRFRDFFKEGKYPGEFPYKSKAILLFQKNEGVDVCLFAMYVQEYGSASPSPNRRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKQGFVSCSIWACPSTKRDDYVLYCHPTSQKMPKSDKLRSWYQNLVKKAVKEGVVVERNTLYDFFLQPISECKANISAACLPYCENDFWPGEAERLLEKKDDKTSQKKETQVGRLLRVAKRDDRKGNLEDILLVHKLGEKMRTMKEDFIMLCLQHFCKHCHEPIVSGRMSHYSFWIILNMNFGTATTLRVWYMHQNASMIRIIALSNFVLR >cds.KYUSt_chr2.3154 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18517774:18521145:-1 gene:KYUSg_chr2.3154 transcript:KYUSt_chr2.3154 gene_biotype:protein_coding transcript_biotype:protein_coding MTICRHILFPQLYSNTPSDFDFKLESFRFHFYSLAQEFSSLSDFADHLSANFDIVFPVIHGKFGEDGGIQELLEKKNIPFVGTPSNECRRAFDKHNASLELEAQGLYKAEDAEKIRGGAKKLITMAAELARKKQETPEPGLLMIEDRDRRAAQI >cds.KYUSt_chr4.28429 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178563651:178564025:1 gene:KYUSg_chr4.28429 transcript:KYUSt_chr4.28429 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQAGLHMGRAASGGTPVTALRAQRGDGAEPPVEARSERNVIPEVVQEHEDEGLAPEQLELLEDEAMGGEDEGRSTTDYDRRAHIFEESSRVFRDLKHRRDGEGEGEGDGGVKVGAAAGSHG >cds.KYUSt_chr2.52414 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327035855:327036226:-1 gene:KYUSg_chr2.52414 transcript:KYUSt_chr2.52414 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAGDSKQQLLPLATPTRKNKKPVAAPLATKSARNGLIYGDLRLPPPPHLRLRQPELNEAEKENKPVPDPVDEICHFPPGISAICHVGPETKPKSAPNYSGAIFPPTPAGYSGREEEGEAQ >cds.KYUSt_chr7.36878 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230387403:230388558:-1 gene:KYUSg_chr7.36878 transcript:KYUSt_chr7.36878 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAADATATVPKEQTTTNGAASGAEQVTRHSEVGHKSLLQSDALYQYILETTVYPREHECMKQLREDTANHPWNLMTTSADEGQFLNLLIKLIGAKKTMEIGVYTGYSLLATALAIPEDGTILAMDINRENYETIGKPCIEKAGVAHKIDFREGPALPVLDALLDDEANHGSFDFVFVDADKDNYLNYHQRLMKLVRVGGLIGYDNTLWNGSVVLPDDAPMRKYIRYYRDFVLVLNKELAADDRVEICQLPVGDGITLCRRAK >cds.KYUSt_chr7.2353 pep primary_assembly:MPB_Lper_Kyuss_1697:7:13610748:13611221:1 gene:KYUSg_chr7.2353 transcript:KYUSt_chr7.2353 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPPKSAQERRVNGGVEPDQRRRRPRRSAADGVHSAPEAQALSGGRHRLQMAHRGLPNHLGDTSKKENDTAVPPPPDPKTGSRFPPMFDAGKSEQHHGDASNEVTAPVGVAVASLRMDFSPVLPPNPSTSEKRRKEPPFGHTTIEKQRYRHRRGRC >cds.KYUSt_chr3.975 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5118769:5120426:1 gene:KYUSg_chr3.975 transcript:KYUSt_chr3.975 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSVVSFCNLFTLETSEQTEMDKQVKDMLKLIEDDGDSFAKKAEMYYQRRPLLVTHVENFYRMYRALAERYDNVTGELRKNMPSTLESQGSGISESDSEAQSTSLSPQSSFEKKSSKKKNKKGSDGSSSSSSSESDSDVDEGKQKNGNVLSHALNGRINELEDELKEANEKLEALEEKNLRCQCENLEKKLLASQSEINSLQKDLEEKVRSFESIKEISSGREDFEAAALENKNTISELEGEMASAAKHYEAQLSSRDLELRSASRSLSKYLREAQLKQLQAEKGVVSAESEKLISELNQDIANLKTQLELLSSEKAAVDNKVSSMLNDITTRDEKMKEMGSHLDQLHLEHVKLIAEADAATKSVSDLSARVRELRGRG >cds.KYUSt_chr2.1145 pep primary_assembly:MPB_Lper_Kyuss_1697:2:6651853:6652083:1 gene:KYUSg_chr2.1145 transcript:KYUSt_chr2.1145 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALTAAAVGGVGPPPGRIGGGWLALTICSPSAAAPMPGHLAKVSRFSKMTGRSFLPRHCRGNLLYVSDTPPLVC >cds.KYUSt_chr6.25447 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161353420:161354190:1 gene:KYUSg_chr6.25447 transcript:KYUSt_chr6.25447 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPEPVIGVATAGSQSYPPAGAYPPPTMVAGAPGAIPPGSQSTMPFPTNPAQLSAQHQLVYQQAQQFHQQLQQQQQQQLREFWASQMEEIEQAADFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDDMKEEGLGLPRVGLPPAVGAPADTYPPYYYMPAQQVPGVGMMYGGQQGHPATYAWQQPQGQQVETPEEPQQSQ >cds.KYUSt_contig_990.435 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000093.1:2197654:2198512:1 gene:KYUSg_contig_990.435 transcript:KYUSt_contig_990.435 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLAHLVPELPATSRSDLLHFRRQLQREGLVLDEGAMVGSCPRRGMQWQDGAAQEVEKWFTALVRFRGTSTKTQATENTPRKRELEMMKIDLQRPCWNFRPKDRNFRPDAFQMPSSAQEKGSSRNFGPEGPELPPKFRPSSEIAPKQHWIVPQGNWPFPELGRKLAETSGPQDRNFRPDAANMLSEENPAGTSARPELPPPEAGTSAHTGFSTKTGRLSL >cds.KYUSt_contig_3853.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000751.1:125378:126692:-1 gene:KYUSg_contig_3853.26 transcript:KYUSt_contig_3853.26 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWNDGESSDDDCCSISTTATASTLASRSCLPVPSLRYRKQFRTPSSPGYDDCDLRCNHDMPMYRLVCFEGENTGRRFLACGCKDEEMCDKVEWVDGPWPPPLQRSLVKFWAMHDEERDSRIHGNVEYATKNYQLTLQKKELEKKNMELHKQVGNALEYVSEITSHDLELEVAKREKAEQEVISLREEKKRLEHELAKRPKTDNECSTLKEEKKRLEYYIAELLKQSHAQKDKMKKIIEICGE >cds.KYUSt_chr4.25406 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159574508:159577427:1 gene:KYUSg_chr4.25406 transcript:KYUSt_chr4.25406 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIQCVLNDAEERSTQESAVKNWLGELRDAMYYADDIIDMARSEGGKLLAEHPSSSTESTKCGGILFFTCIPNVLNRRKIAVQIRDFNAELEKISKLSEFLKLQKLQPKAEVSTVKKSINLVEPNLVGKETLHACKRLVGLVLANKEKKAYKVGIVGTGGIGKTTLAQKIYNDQKIKGVFSNQAWICVSHKYSEVAILKEVLRNFGVHQEQGETVGELSSKLAAAVTDTSFFLVLDDVWEPEVWTNLLRIPLHAAAAGVILATTRHDTVAHAIGMENLHRVDLMSADVGWEMLWKSMNVNEEKDVEKLQDMGYDIVRKCGGLPLAIKVTSSVLATKEKTENEWRKFIDRRAWSVGNLPTELRGALYLSYDDLPSHLKQCFLYLALYPEDHYILRDDLIRFWVAEGFIEEQQGQLLEDIAEEYYYELIYRNILQPDPVCVDHSWVKMHDLLRQLAQHISGEESFCGDPQSLGAKTLSKLRRVSIVTDKDLIVLPNMEKEMIRLPNLKYLRIDGAAAVTKIGPEFVGCRGDNRRSTDVVVAFPKLESLFISDMPNWEEWSFVEEGDADAAERGEDGSAEIQKGEAPSPGIQLLPRLKVLGLGGCPKLGSLPRQLGQAATSLKGLQLRRASSLKVVEDLPFLSKTLLIVECESLERVSNLPKVGELRVTSCPDLRCVEELGSLQQLWLDEDMRDISKLWVPGIQEQHRKLHGEDVDVYTWPRS >cds.KYUSt_chr1.35022 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213371151:213372257:-1 gene:KYUSg_chr1.35022 transcript:KYUSt_chr1.35022 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGWSTQPAPAAPSDDALLAAFLGANTFEELHCDDPAGDCTVSSDAYGLGLPPSQHDLGILRCQSDLTLAGHGDTSSNAFLDSVGLLPAMAGAHDGFAFPNVHEEPAHAAGSNAAFSGYSTTTGGGGGNISSGESNTYGSGDTEVASPCAMSRPVLPQPQTTLPLTKRDKQPDKYPAARATATPTSFTFGQSAGRRGYEPDTEAIAQVKEMIYRAAAMRPVTLGPGEPPASTPPEPSSSKPRRRKNVRISSDPQTVAARLRREKVSERLRALQKLVPGGSKMDTASMLEEAASYLRFLKSQVAALETLGGGGADDGRYSSPLQRYVGRNFGLPSRGGGGGGGGGTVLAFGSNGVAGYVKSNRNMQL >cds.KYUSt_chr4.48219 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298540915:298544655:-1 gene:KYUSg_chr4.48219 transcript:KYUSt_chr4.48219 gene_biotype:protein_coding transcript_biotype:protein_coding MDRELFRTVKQATRNHARVLYHRLVCRLPHLLAVTLLLVVLPPLVSTLSLDALWADARTNAPLLAAVCAGCFAAAYAYAMSRPRPVFLVDLAGYKPGPEHEATRARAIRQFGLAGGFDDESMSFQKRMMERSGLGEATHFPASLMSIPVDMCLRTARDESETVVFGVVDELLAKTGVPAGDIGIVIANSSLYSPTPSFVSLIVNRYRLREDVVSHNLSGMGCSAGIIAIDLAKHLLQVHPDTYALVVSTENITLNAYLGNNRPMLVTNTLFRVGGAAVLLSNRRADRARAKYQLIHTVRTHRGASDRSYGCVTQEEDDTGARVGVSLSKELMSVAGDALRTNITTLGPLVLPLSEQLRFLATVVLKRVFLRADVKPHIPDFTLALEHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLYRFGNTSSSSLWYELSYCEAKGRIKKGDRVWQIAFGSGFKCNSAVWKALRAVDAAESLGPWAQDVDVLPVHVPKVVPIDDDEASYKPTN >cds.KYUSt_chr3.47852 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299819362:299820644:1 gene:KYUSg_chr3.47852 transcript:KYUSt_chr3.47852 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSRHHSPSELVQTHYSIRSPPITIAQKLIPFLVTLALVSAMASTDRAGAFPAARDDDDLPQRQDAMSDAVKVFSGYNPASTNPEDLKRAVSTVNEAMAPLRPIFMAISEMPESTAAEARAKEEARAAAKEQLTRQLGQLLPGGSVKIINEL >cds.KYUSt_chr3.12600 pep primary_assembly:MPB_Lper_Kyuss_1697:3:75451686:75451967:-1 gene:KYUSg_chr3.12600 transcript:KYUSt_chr3.12600 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRDGCDYDDDCCNYSWTEIAIWDAVDIVLTVVLILAFVVIKPPKATAADDLLMRFSLYPSTNASTSQLQLLSYNATVTISLRNPNMYYDMS >cds.KYUSt_chr5.37396 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236455708:236456415:-1 gene:KYUSg_chr5.37396 transcript:KYUSt_chr5.37396 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQILIHLRCARAAAHTSSLSRRWHGLWRHLFELSFCDMPLDAVDAALQQVACPALSRLEIEIPERHMILDPARVSALLNAAAGLAPADLIIDVWGHCKDRDFPIQTPSFERAASIKLRVVNLYLTLPAGDNIEFPALERVSVAGCRVDNIAELIRRCPNLRVLEVCGCWGLDTVKIHSSSIEELVLDNSGVLGNLDIVAPVLERARVASHHGQGLQCAVLRADGTISLVVVLV >cds.KYUSt_chr1.30697 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185854379:185860041:-1 gene:KYUSg_chr1.30697 transcript:KYUSt_chr1.30697 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVELLLVLLLSVEPWRGGGGPAAARALSYTRADFPRDFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGKMPDKSTGDLAADGYHRYKEDVELMSETGLEAYRFSISWSRLIPRGRGPVNPKGLEYYNNLINELTKRDGYAVQPYAGIQIHVTLYHLDFPQILEDEYHGWLSPRVVDDFTAFADTCFREFGDRVQHWTTMDEPNVISVAAYDSGAFPPCRCSAPFGVNCTAGNSTVEPYTVAHNSILAHASAVRLYKEKYQATQKGVVGMNVYSFWNYPFSSSPADITATQRSLDFMIGWILDPLVYGDYPEIMKKKVGSRLPSFTKEQSELIRGAIDFVGINHYTSVYVSDRKSSADTSLRDYNADMSATFRKSRNDSGTGQFIPINMPNDPEGLQCMLKYLTDRYPSIPIYVQENGYGQLLVDSVNDHNRVEYLSGYIGSTLDALRNGANVKGYFVWSFMDVFELLAGNYLRYGLHYIDFQDPGLARQPKLSAKWYSKFLRSEIGINLENMVSPNARSHAQE >cds.KYUSt_chr1.35003 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213277490:213277708:1 gene:KYUSg_chr1.35003 transcript:KYUSt_chr1.35003 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEDKSHESEEAGINNSKEIECDPTCTRLAAAGCRGVAEDGRPAGEQYKHLTLGGGAVEHGRRRRHVRRYL >cds.KYUSt_chr6.13869 pep primary_assembly:MPB_Lper_Kyuss_1697:6:86821045:86821437:-1 gene:KYUSg_chr6.13869 transcript:KYUSt_chr6.13869 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTFLLVALSLVLVFAVASACGTSCPTPVTPTPATPTTPTPSYSKCPKNALKLAACANVLGLVSAEVGQPPAEPCCSILGGLADLEAAVCLCTAIKANVLGISLDIPVKLSLLVNYCGKSLPSGFICA >cds.KYUSt_contig_686-1.1313 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8247022:8249378:1 gene:KYUSg_contig_686-1.1313 transcript:KYUSt_contig_686-1.1313 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTARRGKFLLNADDAVYDELVKLADKGKDCEGKALPVDEDLPGMGQFYCLHCDRYFADETVKEDHYRSKRHKKRVKTLSGPAPHTQLDADLAAGMGMPDNGLKLMST >cds.KYUSt_chr6.20565 pep primary_assembly:MPB_Lper_Kyuss_1697:6:129672451:129673020:1 gene:KYUSg_chr6.20565 transcript:KYUSt_chr6.20565 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSASTASSSCAFVSAVFYSDQHRFPLPRSRPPFPCARSSPSTPRSSMHVFVPAHARTPSGLRCSFPSLLAAARRQGRLRHTRTRRQELRPRPCPPPPRALLWPPPRLAVSDVQQPRRTARAAAHGRHMHACADLLFVLVFFTEQAAPRPARQDLHLHVVDYPGHLSSPTPAAPLPPFPRRRAPPG >cds.KYUSt_chr5.41613 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262569573:262570890:1 gene:KYUSg_chr5.41613 transcript:KYUSt_chr5.41613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase-like protein, Response to abiotic stresse [Source: Projected from Oryza sativa (Os09g0547500)] MQSGGGATMEDTAAAAKGGGEGGVEVEVEEGPRFRRVCVFCGSSSGKRSSYRDAAVELGKELVARKMDLVYGGGSLGLMGEVSEAVHNAGGHVIGVIPTTLMGKEITGETVGEVVAVSGMHQRKAEMARNADAFIALPGGYGTLDELLEVIAWAQLGIHTKPVGLLNVEGYYDFLLAFIDKAVDDGFIRPAQRHIFVSAPHARDLVHKLEEYAAVEEDDPATPKLRWEMEQVGYSKTLLAEVAL >cds.KYUSt_chr7.17870 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110689428:110693466:-1 gene:KYUSg_chr7.17870 transcript:KYUSt_chr7.17870 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSVEELASNLTTYKDQLREVRKLIKEKKDDAGISEYVDMEKELQEVITLTEELLATANQSESAQNDVGLSSRSAVRDSFALDNLSQSHEKFAVGTKVQAVYSDDGEWYNATIEGLTPIGYYVTYDNWGNKEEVDPANVRPLEAADALGQAEKEAEATKMALKRKIEQAATSDYQIKTLPTKLKIDPNDPEDVKTAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRQKESIFKSPEDHRGKVGVTGSGKGLTDFQRREKHLHLKDGSGDTLDDEE >cds.KYUSt_chr2.13147 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83352678:83352962:1 gene:KYUSg_chr2.13147 transcript:KYUSt_chr2.13147 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLSTLPRPPLLQSLAEPHLPPHPLVELHQPLHPPPHPPVESHQPLHPPPLPHPAAEPHPPLPPNPAPPPPPPVLLHRVDCDMVLLQLRDGGG >cds.KYUSt_chr5.42308 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266691253:266696246:-1 gene:KYUSg_chr5.42308 transcript:KYUSt_chr5.42308 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARRAATGLFPLLLSSPSRARPRYRESLTLPALLRPRRLPPHPSRPFFCSAALSSPAGAASNGAAADSPPDLHLYNTKSRKREPFRPRAPGEVGMYVCGVTPYDDSHIGHARAYVAFDVLFRYLHYLDYKVRYVRNFTDIDDKIIARANQLGEDPFSLSKRYSDDFLSDMANLQCLPPSVEPRVSDHIDQIVNMIKQIIDNGCAYVVNGDVYFSVDNFPEYGKLSGRKLDDNRAGERVAVDERKKNPADFALWKAAKDGEPWWDSPWGPGRPGWHIECSAMSAQYLGNSFDIHGGGEDLIFPHHENEIAQSCAACCDSSINYWVHNGFVNVNGQKMSKSLGNFITIRKVIELYHPLALRMFLLGTHYRAPINYTVEQLNVASDRLYYTYQTLRDCEVSTQQDQSNSGDSLPYTTTQCIEKLHREFETSMSDDLHTTVALAAISEPLKVMNDLLHTRKGKKQEKRLESLSALEEKIRMVLSGLGLMPSSYYEALQQLREKALRRASITEEQVLQKIEERTSARKAKQYARSDEIRDELAALGIALMDGPDGTAWRPSVPSSEQAVVASST >cds.KYUSt_chr1.29282 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177248443:177252936:1 gene:KYUSg_chr1.29282 transcript:KYUSt_chr1.29282 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAPPEDAAAGAAPSEDAAAEDAAALTVAVAEDATTSTVAAIDAAPKVGPGNIGAEEHVAESMGAGGVELAAGVVQLEDDDSSSDAGYQGIDEGDDEVRRRWLRSDFRHRCAPPMAPALSPQNFPYITHTSTDEPATVAVHGAVRAERRAAEGKGQRGSASSGAVAARDLRQEFDSSG >cds.KYUSt_chr7.29315 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182467878:182469121:-1 gene:KYUSg_chr7.29315 transcript:KYUSt_chr7.29315 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVDPLVVGRVIGDVVDMFVPTMPLSVRFGTKDLTNGCEIKPSLAAAAPMVQIAGRLGDLFTLVMTDPDAPSPSEPTMKEWLHWLVVNIPGGSDPSQGQEVVPYMAPQPPLGIHRYVLVLYQQKARVAAPAQGPGPDAEMRARFSTRAFAGRHDLGLPVAAMYFNAQREPASRRRR >cds.KYUSt_chr3.23708 pep primary_assembly:MPB_Lper_Kyuss_1697:3:146553514:146555940:1 gene:KYUSg_chr3.23708 transcript:KYUSt_chr3.23708 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVPATVGLVSGLGKGVRASSVGVILKACGLGITSIKIDPYLNASAGTTSPFKHGESFVLDDSGGQVDLDVGNCERFLDIELTRNNSGKIFQSLIDKERKGEYLGKTVQDCIEPVAMIAVDGMDGPADVIKLDRTIGGHEFNTEDVSAPLLNGRHQSLIRQHSNEKGSHFPLSKGSLPAQLLQARKVLPCSPLRFVSQHGGAAVLHRALRGLCTGEAPFKAPPEPISLFELDTISSLIPRLLSEGHVPAAGRLLSAVLLLPGSPERLLFSPLAEHLASLPTLTPAFALLTTLRHHPVRPSALPLATPLLVNLLSMRRARDAASVLRWLCRPDSSCRPDDATYDIAVAGFCRIEDTKSVLVTLREMALDGVRPSQKLQEAVRDAMLQDSRIEEAWALEETMQLPESTKTVELVDKFLGAWEE >cds.KYUSt_chr2.27431 pep primary_assembly:MPB_Lper_Kyuss_1697:2:168313370:168313600:-1 gene:KYUSg_chr2.27431 transcript:KYUSt_chr2.27431 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSRTWILRTTSSWFLHGDAADAEVLEVGEEAAQSLAAPPNFEPPMRWVRVEMVNFLLGLRLHDQIRQAATVVQ >cds.KYUSt_chr6.17079 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107618264:107621731:-1 gene:KYUSg_chr6.17079 transcript:KYUSt_chr6.17079 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEGVELTPKSDLLSHDELIRVASLFVTSGVDKIRLTGGEPTVRKDLEDICLHLSGLKGLKTLAITTNGIVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSKVMESIDTAIELGYNPVKINCVVMRGMNDDEICDFVELTRHKPINVRFIEFMPFDGNVWNVKKLVPYAEIMDKVSIFVLAAIDCDYWRMATSKYAYLGPQRLLRVDLTNPSDRCKGTRMIIVGSGAWFYTVELTFSDNSDAEIRSSPYTTRTAGPRSIRLSRERLPGSPEAHS >cds.KYUSt_chr6.21037 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132771425:132776099:-1 gene:KYUSg_chr6.21037 transcript:KYUSt_chr6.21037 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLRILLLILVISLPSFSASDRQGDALYDMKQKLNASGSQLSDWNPNQVNPCTWNSVICDSNNNVVTVTLAARGFAGVLSPRIGELEYLTVLSLAGNRITGGIPQQFGNLSSLTSLDLEGNLLGGEIPASLGQLSKLQLLILSENNFNGPIPESLAKISSLTDMKKRHLREVFVDVPGEDDRRIAFGQLKRFAWRELQIATDSFSEKNVLGQGGFGKVYKGVLPDGTKIAVKRLTDYERPGGEDAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRVREFKAGEPILDWSTRKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEYFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEEEVLLLDHVKKLEREGQLGSIVDRNLSQDYEGEEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQRRFDWGEDSMHNQEAIELSGAR >cds.KYUSt_chr5.9851 pep primary_assembly:MPB_Lper_Kyuss_1697:5:62986164:62992306:-1 gene:KYUSg_chr5.9851 transcript:KYUSt_chr5.9851 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDLRNIPPPLLRLLKQCLLHLANHYAATPSPTASASAAPLPDRLALCYVHHDAARSSPELKISYRPGEKFNIRDFHHAVGNLPLDGFLHEQHAGDVPLQNLFSNRAIYSWATDDISKKVIAICFSAQNTDALRRSLMDASEQCITVEFVMLETEADAYMYDDVSGNSNNFIHRIGDLENCVVRRYSPEGCSDMRRASTSISFDVIERIELASLNEGVIMGKSHVVIPSSNDEVALTDESLDRNTKIFYGLCETLFKLDQGLVCSSTCNTETMKIGTLACYYLLQPSEKGAMLLRRLAGSEEILPLANVSRSCNYTVGNNMKTTESIYEVLEKSLELECIQFGKVVKTSERRIHFGNMPKPLFRRFNLVKFIPDPQGMWVPNVNYLGSCLGHAFAECITSRRRYASFLSNNEPKEDVALPLLVEEMLEAGVITMGGANVQIAIDHLQKEGAPLDGHGRVQIKGIRKVNMTMLQDTSVFDLSDEAFGLELKKMHQERTELCAELVENGPVVGLFRAGSDWLRANGEIYHDGSEENVMAVSKKDRPKYVGHAVLLYAFDGQDDYNMDMMVTVQDCLGPEAHSGGRANMHITPCMRIEQMVLLAVCEAGRLLRRHLCVRSCRSAMVSTDFLLFVLPPLPMPPPHVTPGLAHVPSPSSSAPRRWHGLDELFPRLSEVQAGHLVVSMEDRFVLLLLRLHAAPVTSNMMLCRCCQPCLAFGTCMLSATSIVPTLPTGAVQSSAAVPGSTSDSSVRAAVSSGSVVDPSAFPFASRCCVF >cds.KYUSt_chr4.40878 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252560147:252560467:1 gene:KYUSg_chr4.40878 transcript:KYUSt_chr4.40878 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGTSRCASAVAHRHAVWPPGRQLAADILDGPAHVPVVSPQTHDGHRDACVRDGHGPSGLVRVALECEHAMVGAIGMRLLEKRRRDPEKPPSLGLGRHDGGETT >cds.KYUSt_chr2.33458 pep primary_assembly:MPB_Lper_Kyuss_1697:2:206549497:206549772:-1 gene:KYUSg_chr2.33458 transcript:KYUSt_chr2.33458 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLYKRARAFRQKTTAPTPAQAAGNFKAKPTVAAPWPLTPAPPPGVVTVQVMAPGNGKFCDGDADVDRRAALYISRVQERLRRERMHER >cds.KYUSt_chr3.45426 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286213346:286217472:-1 gene:KYUSg_chr3.45426 transcript:KYUSt_chr3.45426 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQHQLFDDPFASSISTLEADIFSGAGGHQQWPELDVDLDLDLDGDYSIPATAPSANKAGTSSGGSGSHRKISHNAYERDRRKQINGLYSSLRSLLPDTDHTKKLSVPITVTKVLEYIPELQKQVDTLEKKKEKLTRASCNPGVLTMKENTAPIVSATCLDDRDIMVQVSLLSNMVGALPMSKCIKVLENEGLRLIKFATAIYLEPNSKRDQRINRGRPAVDVTITSNSSTILPASSNPRPSSIPRSSTPLRIIDYNVVDEHHSTKKHLHPAAAPKTMPQEVTRCRKCRHRPILAGSKVPPEQHECVPADCDDDAFKREETLNAAIARQS >cds.KYUSt_chr5.4677 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29792392:29792610:1 gene:KYUSg_chr5.4677 transcript:KYUSt_chr5.4677 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAIRAAVWALCKALAPVTNDLLKNWAASDGLGPNISALKTELLRAQGILYTAQDRVIPNPALKELMHMLR >cds.KYUSt_chr7.25101 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156639177:156641527:-1 gene:KYUSg_chr7.25101 transcript:KYUSt_chr7.25101 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWSRWRGLSGRISSVLRVGVAVVLLGWCEWEAPHLSSWISNNKAWGHLLCYWVAVLLHPLLAGRGGEGEGRNGSAFISSEGWLGVLFIQSLWRGVGWMLLQILGLLSWWKGPRHAPATDARNKRRFRPCSAPGATVLFPLLSTGRGGEGEGGSFAVAAGVNNAAIGGTERPPVFGSIPVDRKVQQFDGMDRNQRLEWLLKNCRETYQATKQCLETNYYGTKQVTEALLPLLQCSPDSRIVNVSSSVGLLRQFRNEELTQELNDIDQLTEKRLDELLDMFLKDFEAGRVQVRGWPAEFPAYKVAKAAMNAYSRILARRHPALCVNCADPGFTSTDMTLNTGLLTPEEGARNIVKVALLPDGELTGAYFQEGQQASFL >cds.KYUSt_chr3.32183 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202233727:202236980:1 gene:KYUSg_chr3.32183 transcript:KYUSt_chr3.32183 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHTGWDKFARDLDLEPGCQLTFLYEGDSEMIVKVFDDTACRRHYHTDDSGSDTDNPFAEANAEDSGAGAGSKNYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDSELGQVIQLQGDQRKNVATFLVQAGLAKKESIKIHGF >cds.KYUSt_scaffold_1700.158 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1095161:1100263:1 gene:KYUSg_scaffold_1700.158 transcript:KYUSt_scaffold_1700.158 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDEARVGVYSGSGGIPREAAAVVGRGGGSSSTLRILVATDCHLGYLEKDELRRPDSFDTFEEIFSLAEQHKVDFVLLGGNLFHENNPSRSTLVKTIEILRRCCLGDRPVQFQVVSDQATSLQNLFGRVNHEDPNINISLPVFTIHGDHDDPTGVDNMSAIDVLASAGFVNYFGKVDLGSSDVDEISLHPILIKKAATSVALYGLGNVRDTRLREMFQTPGAIKWINHESPEDMPLSNWFNIMILHQNRRTASPDNGITEYLLPHSLDLVIWGHEHESIADPQGMKYRPTKIPLKTVRPFEYAEVENLIEKNNRKTGSGSEPKLPLVRIKVDYSGFSTINPHRFGQKYVGKVANPQDVIVFSRSAKRRQNTQVNTDGSEQIYPTDLDQCTVEDLVAESNVKTQILQVNDLQTALHVFVKKDDNMAFHSCLQKNTEDAKIVL >cds.KYUSt_chr3.25530 pep primary_assembly:MPB_Lper_Kyuss_1697:3:158452572:158460007:-1 gene:KYUSg_chr3.25530 transcript:KYUSt_chr3.25530 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRSQRPEILSLKRCNAGRGEERPRKKQRGDEFFPVELLGHVPDAAIPYAAAGFRWCEQPEVASPAVAEPAGAAATRPPVVRTSRGRALVMPSRFSDSVLMDPCKREKPAAAKPPAPPKPVPKAKVKGAVLGLPLQEVLHRNKGPISSSALSEEDDDEETDRYRACQSFVASRKYSMSLSTLTSLHDDDDEPYSNGYCRKELTRYDEDEEEQEEEKQKESLHWSKAFLYGDIVWARLGKRQPVWPGVVVDPARQADAEAMPPQPRGGSVLCVMLFGWCPEFDDEKKYVWARQGGIFPFVDYIDQFQGQTDLSSCKPGDFQRALEEAFLADHGFSQVPMDDRSAGQPVVCQSFPADLEEVTGSNELECQTQIQRCKRELQCESCGNCFPDKESNKLVYVMEQLVCNLCARILRSKAYCGICMKSWEHKFGRRWVCCHGCESWVHAECDKKCSNLKDLRDKSYFCLYCRIKRNSDLSGKKTNSHDDRKDNSTQKGKPDRVAVVCFDMEGNYIPSLELICCNCAPCKGQKFLFNEWERHAGCRSKNWKSSIKMKDTLMPFGKWIDQHQPSVNSAYPSKRSSQKLKKQQLLDLLNEPYDPVHAKWTTERCAVCRWVEDWDYNKIVICNRCQIGVHQECYGVSGNEDFTSWVCRACETPEQKRECCLCPVKGGALKPTNVDNLWVHVSCAWFQPQVAFADELMEPAIGILSITPLLFMKMCVICRQIHGSCTQCYRCSTYYHATCASRAGYRMELHCLEKNGKQTTKKISYCAHHRRPNPDNVLIIQTPAGTFSSKRLVQNSVTVAASRLIRKDLPKDSATKLETAENLCSSRCRVYVKKELKRSREAAMAHHVRGSCQHPWNEIYSLNPPREERDPESFSTFKERLRYLQKTEHSRVCFGHSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREAQYRRQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNFYARIMSVGHDQSRIVLIAKRNVCAGEELTYDYLFDPDEADDCKVPCLCQTADCRKYMN >cds.KYUSt_chr2.1557 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9208409:9209632:-1 gene:KYUSg_chr2.1557 transcript:KYUSt_chr2.1557 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFRCGFSGEFLPPTPLTTSTTCAVASRDAACTASPASSRSPFSQQPPKVAPFQGLKSTAGLPVSRRSAASVGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSAEALLKQIDFLIRSKWVPCLEFSKVGFIFREFGSTPGYYDGRYWTMWKLPMFGCTDATQVLKEVDEVKKEYPDAYVRIIGFDNIRQVQCVSFIAFKPPGCEESGKA >cds.KYUSt_chr6.18841 pep primary_assembly:MPB_Lper_Kyuss_1697:6:118676730:118682618:1 gene:KYUSg_chr6.18841 transcript:KYUSt_chr6.18841 gene_biotype:protein_coding transcript_biotype:protein_coding MFARRPAVHPVEAPPPPDLVGQPTGVLMKDLPGMPGTAGGLGLRIAQFAFAGVALAVMASTNDSPSVSAFWYLPNPSIVYNLVPRSVFRVAQRVSQRRCGAAVRKNKKHIVRGFIRYANRVRMDDAQFATLKQLVDKHMPRKPPYVCTIKKSNVVKNKAKMYFSRGFTLNHIARHTQLPAEIEVYSSNTKIATVKMVMSKSQVNGKGKGGPRIKCEREFEVFVEYANRVAMDDGEFRYLRDNVDYLRSKCQETNLKTPIYVCTIKKSHVREGKAKMYFSKRFTMSHIIPNVELPSEVEVLFLGKARLKVKMVMDKSKVKGKAKGGAMITSNWKQLVKLHDMRVSDIFIFAFGRSVVDGEFKMVVDRL >cds.KYUSt_chr7.34032 pep primary_assembly:MPB_Lper_Kyuss_1697:7:212549322:212553157:1 gene:KYUSg_chr7.34032 transcript:KYUSt_chr7.34032 gene_biotype:protein_coding transcript_biotype:protein_coding MEECSEYRCWEELLPDALGLIFRNLSLQEMLTVVPRVCKSWSRVVAGPYCWQEIDIQEWSQQHSKPDQLIRMVHTLIARSGGSFRRISLSGLPNDSLFTFIADHCTKIGARALEAFGKNCKSLVGLRRVMHPIDVAGKLCQHDEARAIACSMPKLRHLEIGYMLIATKAVLEIASQCHDLKFLDLRGCWGVDNEFLQEKYPGLEVLGPCVDDCYENSFWEECSDDSDDDSIYSWEFMDDDYYAIGSDDEGIWDDGQGLEGLEVSSVSVAWLCDFSFGNACQPGPRGSPWPVLVAGEAVEAAALGAPGAEERGRRGGAATAPRSPSPAPSSSSQDDRCFEFLLRIDDDPLGTKRLPDKFAEFVDGVEPAHLQLREASCNFCR >cds.KYUSt_chr6.16453 pep primary_assembly:MPB_Lper_Kyuss_1697:6:103479632:103482976:-1 gene:KYUSg_chr6.16453 transcript:KYUSt_chr6.16453 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAPEGGEFSAKDYSDPPPAPIVDFEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQSDPTVNTTDAACSGVGILGIAWAFGGMIFVLVYCTAGVSGGHINPAVTFGLFLARKVSLIRALLYIIAQCLGAICGVGLVKGFQSSYYVRYGGGANELSAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNTDKAWDDQWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSYRSN >cds.KYUSt_contig_6503.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001320.1:67180:69417:1 gene:KYUSg_contig_6503.12 transcript:KYUSt_contig_6503.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVEYNMDEAVKARTIAESKFHVRDLRGARKYAIKAQNLCPSLEGISQMVSTLEVHLAADSKIDGECDWYRILSLGAFADEEDVKKQYRKLALQLHPDKNKTVGAEEAFKLISEAWSVLSDTSRKAIYDQKRSDHSVVNVTNGMYTYDKKATKRARKNAAAAAAAAAAAAAAAAEATTRPAGVETFWTSCNRCRMQYEYLRMYLNHNLLCPNCHHAFMAVETGFPCNGSSSSFSWSTKQQPQNHNNNSTKNSYGSTSRTSSIPGTGHGGYQQDSTYDAYNTQSFQWNQYAKTTPAAGTNAYSAQPSEKPRRTNEESYTYNYPTSGNICDPEITTSRRGRFAKRRRHSNDYSAVDYGGDNKDTVVANTETIAFADVGRVNGTTVEKLRSAVSVKRANVLREISQIDTRSLLIEKAKEAVRGKLHELSVASCSRHAEKRKSEGKVYPSDNNIKANGVLSGKPGKGLKLCNSISVDTQVPATAVDEKNAEQKRVPVSIDVPDPDFHDFDKDRTERAFYSDQVWATYDSEDGMPRLYAMVQKVLSMRPFRIRMSFLNSKSNIELAPINWVASGFQKTCGDFRVGRYQITETVNIFSHKVSWTKGPRGIIRIIPQKGDTWALYRDWSPDWNELTPDDVIYKYEIVEVIDEFTEEEGLTVIPLLKVAGFKAVFHRHMDPKEVRRIPKCELFRFSHQVPSRLLTGEEGNNAPEGCHELDPAATPVDLLKVITEVNEDATTQTAKEGNSEH >cds.KYUSt_chr6.25924 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164328785:164331772:1 gene:KYUSg_chr6.25924 transcript:KYUSt_chr6.25924 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVDHGKTTLADHLVAYGSGGGLLTPKWAGQARVMDHLPEERARAITMKSAAVALRHGAHRVHLIDSPGHLDFCSEVSAAARLADSALILVDVVDGVHVQTHAALRKAFAERLRPCLVLNKLDRLITEMRLEPEEAYHRLRRIVAEANSVYSDLRSGSYFSSLLDGPAHAQDVDDGDGDEDAFVPQKGNVIFACARDGWGFRVHDFAALYAQAHPDMASKLLGGLWGPYCWDKKNRKVVGKEAMASMTNQQPMFVELVLKPLWKAYKEGLKEDGASWLRDQVVSRYNLKVSQRELQNKEPDKVLQAVLKAWLPLAETVMTMLVECTQDPIAAQRFRVPKLMPERELAPGDAAEHAGIVAEADKVRRCVTACSTSARAPLVVFVSKMFGLAYKDLPPSGVDGELLNHTTSASDDECFLAFARVFSGVLRAGQKVFVLSPMYDPLKGDTTGKHLKEVELQHLYEMQGQDLRAVTSVGAGNLVAIQGLGDHIMKTATLSSTRNCWPFASMLFQVSPLLKVAIEPSNLADLGAFVNGLRLLNQADPLAECTQENGQYLLAAAGKVHLELCIKNLNERFAKNVKLNVSDPLVSFKETIQGEGVGNMESRKGPQDFVDRTSPDGKFAVRVKVIRLPNALTKVLEENEKMLSQTIQGQTARSDGATGLQCSQDDGGSMAKLRQHMLSAIDRELEVISMQVDKLKVERHRKTLLGYLQRIWALGPSLVGPNLLLSPDVRSSSGAITSQDGREGILVCGTCHVSEKLGFVGVSDAETSNGIANSEPSTGAPDHETLRNIVVSGFQEATNAGPLCDEPMWGLAFIVEPYIFTHSPDNANRSYEHKAAVREACRAAVLQSKPRLVQPMYFCELTTPTVYLGAVYAVLGDCRAKVLKEEMQEGTSLFTVRAYLPVAESSEFSEKLRSATSGAASARLALSHWEAIPQDPFFVPKTQEEIEEFGDGSNMGPNLAKTLINSVRRRKGLHVEDKVVEHGTKQRTRAKKV >cds.KYUSt_chr4.49386 pep primary_assembly:MPB_Lper_Kyuss_1697:4:305867442:305867763:-1 gene:KYUSg_chr4.49386 transcript:KYUSt_chr4.49386 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGRGKGKHPAMDEEAEVDVDRREQRRLAGQRWEEGEDAESEKETSRRGACQEALELGCSMKRQVSFGL >cds.KYUSt_chr7.37858 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236087535:236088107:-1 gene:KYUSg_chr7.37858 transcript:KYUSt_chr7.37858 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRTHASDETSTPKALHPSSSGSDGDRSTTAPPRSQPIVTNYYYEISKQTMAFRGGGLTRSRTSLVLLLLVLLSPLLATRPCAHARELLGSERTAGDAKDGEREAATGWTMVRGPPAFGGAGRRTTSGLSTAAVAVAARVLGSVPSPGVGH >cds.KYUSt_chr7.25422 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158637343:158639160:1 gene:KYUSg_chr7.25422 transcript:KYUSt_chr7.25422 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPSARVEEEDNLVRLAARCVSTHQLDQLHARAVLLGYARNSFVAAKLVRAFADLGHLRRARAVAAALGASANAFVWTALVRAHSQSDAAARDAVALYAQMHRGCPGIKPLTFTVSSVLKAAARRKMLPEGEQVHVHVFKNGFQADERIATTLVDLYAKCGRLDDARRVFDRLLVKDAQLYNTMIAAYIEAGDVESAEKLFETMPEKNAYTLVEMVSGYSARGDMDSAKRVFEIALASGAANMVVCTAMISGYAKTGKADDARAVFDGMRERDVATWNVMIGVYSGAGRAAEAVDLFKIMLESRASPKVEPNHTTISVVAAACAQCGLPTQASWIQDYVDSCRTMLLNNHTVAALIDMHSKCGNIEKALDLFCRWTQRDLICYSSMISALGMHGRGKDAISVFNELLEDGFDPDGICFVSVLNACSHTGLLDEGRQYFQMMNDEYHIIPTVEHYLCVVDLLGRAGCIDEAYQMIDHEMPTDFQQHAGIWGALLSACRTYSNVEIGEVAASHLFKLEPNNMGNYVLLSNIYAKAEKWDGVQKVRTLMRRQGIQKPPGWSQVDAEGSLREFMTSELHDNVLEPVMEILNWELKDHGYTPIIEVE >cds.KYUSt_chr7.40046 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248715872:248719504:-1 gene:KYUSg_chr7.40046 transcript:KYUSt_chr7.40046 gene_biotype:protein_coding transcript_biotype:protein_coding MREALQKEDKEKAAAVPTKEKAAVPPPPVAAPPMAKNGGKNGGNGAPPAAEETTREIQVVREAYRQPAAPAYVMPEEPPAMVELVGWYLYGFCSYFITHLLLPVLFPAIVTQVAFPNSDFTPETKYMLKGASCSIHEMSMYQRLTRHSIAISDSNMSPLGWSALSWAIGILIVAPLLTQVAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIAAAIIIAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLSLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPTTAFEPNFFTKLSYSMTLARYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLVLWILYFLFPLISLPLLHPIQIIIRADAVRMQLLGFIIALFVSGAGFYFKNHRWRAAHIIVIALVQSTANGILHSFGRILLLDASPPGKEGAFAIWYAYVRCIGAMIGFAAASAGPGRAGGSFAAAFLGCFLGIIVLIFGNVSNVGALKAAGHLKGMEDEKRMGEKGEGMSAVADSVTLVNPVLCPFDA >cds.KYUSt_chr3.29967 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187654179:187655051:1 gene:KYUSg_chr3.29967 transcript:KYUSt_chr3.29967 gene_biotype:protein_coding transcript_biotype:protein_coding MELTQLVAMRVEEAARPLREEMASLKLLLARVGVSLEPAAEACSSGGQELATVQALLPPSESSVVEEEQLYSCFSPRGSPCQSPQPIVSADSESEDIDEFLAPVLQITEELHELHGDSPVVFQSALCSFETLEVATTPSPPQSESCQSSQPVVSVDPKCEDITEFLAPVLQITGELHELSGDSPVLLPSVLCSFGNFEVATTPSPPQSEPCQSLASLDHAAVLVPSSDALFAKELCGLLASLEAASPGYGKEIACVLAGKASEDMIKKVEKSLKKVFIRRIRRRTKTREV >cds.KYUSt_chr7.7727 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46591972:46592614:1 gene:KYUSg_chr7.7727 transcript:KYUSt_chr7.7727 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPAAAAVVAPPAAAGGAAAAGRPAGRWWQPYVCRGALARAQLVIAAAAAAFAIFASTLRPADFPYVPSKCVTTAAETMDLRYYAGLLLRSAIAQAGAAAAALVITPPSTLRCWLAGFANLLCLFTLAHFLEVVPRVLAATGGSCVAGDFRDMQYMFHVITGDGFLFVIPLLWIFGRPVAA >cds.KYUSt_chr1.24537 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146656865:146662341:-1 gene:KYUSg_chr1.24537 transcript:KYUSt_chr1.24537 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGTGPGGGPPLPAEEAPEEEEPGRPHEALELGLSLGVGAAARELPVLRVVQVSSASSGEEEEEEEAGGDEEAEGEGPSLPSCPVCMNPWTAEGEHRVSCIPCGHVYGRSCLEKWLLQCGKKTATCPQCGKVYRQKNIINLYAPEIVVPNDDLEKQVLSLRQKNDTLENQNEYFLDGARVMGIDASSQIILASGRAAGVSIEHVLTKISMFSGHQTKVQLPPNTKALKDICIIPGGLAVFASLGKKLSLLRLLAGPVQGFKTVQITYMPDYRPSLLTGTENQGVCISLACAAPSSDLIVATFRPKVELSVDGTSSQVAISQSPTLSSSGKLGCHALMRRASGTSFVKEQTCNGNVSELRMSKSAIIPSIRNNQHLFAYGDESLSGIRTWQLPSFQAFSDLKPHRRPILDLRFAQSSTGEKYLGCLSEEKLQVFRVNDH >cds.KYUSt_contig_2008.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:150832:152638:-1 gene:KYUSg_contig_2008.18 transcript:KYUSt_contig_2008.18 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSTPSSPAAASASGGVAGGGGAGGGAGGAGSPSADKLAAAPSPRVRFRAEAGLMPSPIVSRQPKLEHIARAMMPRRFYVQLARTTSTCWSCRHGSTTRCGSGSRSHCHAVCPCLTAGFCNEWVRVSYYGGHVVFSRNWLQTVYKYDIHHGDAWSSNSRPSS >cds.KYUSt_chr2.18463 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116265967:116270524:-1 gene:KYUSg_chr2.18463 transcript:KYUSt_chr2.18463 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPHRRLSRYLAGISATFLLAVLHAPLTAAEDEPPPWPTCGPYPPTGNYTQNSTYHANIDLLAASLPGNASSSPSLYATGSVGDVPDIVYGQALCRGDVANASACESCIATAFRGAQQACPLYKDVMIFYDLCQLRFSNRNFLLDDDYIVTTYTLQRSRLVGAPAFDAAVGLLVNATADHAVGDSSRRFGTGEEGFDDKGNPKIYALSQCAPETTVDVCRTCLRRIIGQLRNSFSGRTGGGIFGVWCNFRYEVYPFFSGQPLLQLPQFVERPPVTGGGVYYLLAEKMRNRTVKVLAIVMPTIAVILAIPVVYFFCWRKRRRPEADAFLPSTSEDIQHIDSLLLDLATLRVATDDFDERKMLGKGGFGMVYKGVLPDSQEIAVKRLGQTSRQGIGELKSELVLVAKLHHRNLVRLVGVCLEEDEKILVYEYMPNRSLDTIIFDFERKKELDWGKRFKIINGVARGLQYLHEDSQLKIVHRDLKASNVLLDLDYNPKISDFGLAKIFGGDQSQDVTRRIAGTYGYMAPEYAMRGHYSIKSDVFSFGVLVLEIVTGRRNSGPYNTEQDVDLLNLVWEHWTRGNVIELIDPSLSSHPPVDQILKCIHVGLLCVQRKPASRPAMSSVNIMFSSHTVRLPSLSRPAFCIQEPFFVAVCGLFTRLCPRFTDSVYVGSPLYTDFRGLFPRLWPRFADFVSLYIDFCGLFMRLCPRFADFVYVSPPLYIDFCGLFMRLCPRFADFVYVGPPLYIDFCGLFARLWPRFVDSVYVGLSLYIDFRGLFTWL >cds.KYUSt_chr3.37097 pep primary_assembly:MPB_Lper_Kyuss_1697:3:233324770:233325775:-1 gene:KYUSg_chr3.37097 transcript:KYUSt_chr3.37097 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTPTAVVLELMTMGQQSALHLGELLMAASPPKEAERGQALTAEILRCCDRVIAAIRGDAAGRKRKATMEHGVLMPSKRRARGAEASREVRSGTTADGFLWRKYGQKDINGSTHPRFYYRCAYSAEGCGATRRVQQSQEDPAAFVIAYYGDHTCGSGAGDACQRGVPPLPPAVIDSNACAMVGFFDQYQNVESPQPLLAAEQSWRHHGEAPGQTSRGRWSSSSSSSSSSWSEAERGTSPVLEFLEGSLGVGWESVVNYLGFTDLPQTAMLQ >cds.KYUSt_chr2.47869 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299291863:299293791:-1 gene:KYUSg_chr2.47869 transcript:KYUSt_chr2.47869 gene_biotype:protein_coding transcript_biotype:protein_coding MARIARLVLVALLSLAVQLAPSAACPTCPTPKPPPPPPPRKLTPPPSSVPCPPPPHSPKPTPPHTPTPAPPHTPTPTPTPPHTPTPTPTPPHTPMPTPTPPHTPTPTPTPPHTPTPTPAPPHTPTPTPTPPHTPTPTPAPPHTPTPTPAPPHTPTPTPTPAPPHTPTPTPAPPYTPTPAPPGPVTPTPTPPTPAIPTPTPVTPPPSPPSGKCPVDTLKLLACVDALNGLVHAVLGSNPSETCCPLLSGVADLDAALCLCTTIKAKALDISLVLPVAISVLVNQCGKNVPEDFQCPATPTPSPVTPTPTPVTPTPTPVTPTPTPVTPTPTPVTPTPTPVTPTPTPVTPTPSPVTPTPSPVTPTPSPVTPTPSPVTPTPTPVTPTPVTPTPSSPTGQCPVDTLKLLSCVDALNGLVHAVIGSSASDTCCPLLSGVADLDAALCLCTTIKAQALDISLVLPIAIEVLVNQCGKNVPSNFQCPGTTTPTPTPTPVTPTPTPVTPTPTPVTPTPTPVTPTPTPTPVTPTPTPVTPTPTPVTPTPTPVTPTPVTPTPSSPTGQCPVDTVKLLSCVDVLNGLVHAVLGSSASDTCCPLLSGVADLDAALCLCTTIKIKALNISLVLPIAIEVLVNQCGKNVPDDFQCPS >cds.KYUSt_chr6.6569 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39642371:39644504:1 gene:KYUSg_chr6.6569 transcript:KYUSt_chr6.6569 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAGTSGNRMVMAQQYRVCTRYSDGKNPNFRGEESVTVVSGKSARDEEVIIPETEESVTEAEESVKKEAQEGALLLGPDSMADESLLQKETETESEKRYRIIVDGKLYPAITCQEAEKLMNEIHERREALKRDLQAKQDAKGKETKAKAKVEEAGKAEVSVRPEKSATEAEEGEEGALLVGMDSTGGVSSMRKEAEEESGKRSERQLYADIQRASLDIQEKLTEKNWKTDKRTLQLLKDMSNKLDMLDQGSGDNETYEIDDRGELDIDQTCLDTNEAFFKPGKLFLDPLAREMADEEESFASYSTLWEYKWGKTRGFFRDPTVISSMQFTHYTPGREPYSMECNTLETLQIISIKLTELSDGLELPLSVYGVVAVRDMVDRKRNMLFSRDMSDPQELKQNDPFLHLTGPSRAIVFKDKVCIEIELRVKSGAYSQDKALISCVRRYTGENGPGVSTICFKNSLCTVEVCLQPVKQTVQATILGVQVASEDGSWPLEHGGIVACSPQLGERVLSDSGYTRRIIPSSSQIVLIESGDEAMPKGESGHVLLRRQVVSVQLDGRLDILIKAYSKSGAISAETLVSFYPKVCNVSQKKCYLGDAGVTITVAWSLVAADKTGLYFELRGGSTF >cds.KYUSt_chr3.6737 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38680980:38685044:1 gene:KYUSg_chr3.6737 transcript:KYUSt_chr3.6737 gene_biotype:protein_coding transcript_biotype:protein_coding MANPCCRPSSPLCRLVQCSLAPARRSANYPPSSWDYDSLLQSLNNIGHDRVGDPRNFDKLKAGVRERLVRASRGDDQTAKLRLVDTMQRLGVAYHFDNDIASILTSVYISKPPRCSWDDDGDVASAALRFRLLRKNGFAVSLTGNYLAPAFQNDNAEGLLSLYEASYLSFRDEETLDEARTFCANGLRELLPSMEPHLRSSVVHALDLPLHWRSPRLEARWFIHQYARDASNSDPLLLQFAMMDFESVQTVHQQELVRLLRWRKETGLGEKFGFERDRLMECFHCANGIVWEPNHGSCREMLAKLISLLVNLDDVYDVYGTLDELILFTDTIGRWEECPMEILPEYMRALYSVMYNTSNEVANNVLKKHGFDVRSLFQEAAEQERGDAPSSIAIHMLENNSSEHESRKALKDLTIDAWRVYADGQISRPSACGLAGAPLKDDRRRTHVVGVEEATLRAAVGVYLALGEASASPPSNTRRHRALTASAKTPRATLGIGHAPPMPTATLGIF >cds.KYUSt_chr7.8798 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53327458:53328195:-1 gene:KYUSg_chr7.8798 transcript:KYUSt_chr7.8798 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGDSSRMERPPVQPDYQPRHHHHGRTSAEADDRRRHRKTPRIRDDNEQRSSGWVWLAVILCTLLMIGVIVAGATVFAVYLIYKPKMPYMVVTDARLGRLVYTPADGVIRDIQVNIGVLARNTNSKADATFSNFDITVGFHGADLALLRARQFTVARDRSVPLPYDVVSGGARLNAAGMQAMEGAIRAGVLPLDVFGKARTRWKMGIFLRVQFWTRISCRLYFNYPGNGTAWPIDRHTCRSRSP >cds.KYUSt_chr6.30584 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193872066:193876712:-1 gene:KYUSg_chr6.30584 transcript:KYUSt_chr6.30584 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMEDEEKRKPLVVGLTRRHVIRSSPSSPAADSPAALDSNRNESEGGDHIAEFRRKKGKKPKAPLKWRSTNSDMNNRNGGETERSDRDRGQDDTILSSLAAAGSSFSGLISSRKTVRTLGKVAEGCDAADPPVPRKLRSAINKRTGRNASSSPRHAKKRRHLSAISAQIFLMDHETRTSETTTSNSFTQEEEVLADTLLALSQNPHVCEATAAEMRTGEDISSVNIASTSCSEGAMKEDKKLIVSPTDDDEAIIRPAPVDQQVEENGSVPQKNPDLNAPHNSIILHFSKDGQIQDLSLGLDTILSSPSTVSSNNSARKQPKLQFNGSLSLANPTKSEAPHWLVKCSKPGFAVHDGTKDDNNSVQGALSKPATETSKAIASENNPKQMQQYMCNPGYAPHPGQQAIPSTMKLQQFAPTPQQEQQMWQFHFAQYHQPRPAEGGVPVSWQNSRLQDISSSSQRPMLAPRPPPAMMPPPQVELLCAPYQGGGGGRRPPQLRLI >cds.KYUSt_chr2.10369 pep primary_assembly:MPB_Lper_Kyuss_1697:2:65667048:65667463:-1 gene:KYUSg_chr2.10369 transcript:KYUSt_chr2.10369 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPKECLPSMMGLMPCKDFITNNTAPVPPYPGKCCDGFKSLLENAPICLCHLDTGDFDKLFGLIDGENFFGLLSICQTDGPGEYGTCDGPVPPMRVTPTPEAAPRLG >cds.KYUSt_chr2.32885 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202780436:202795886:-1 gene:KYUSg_chr2.32885 transcript:KYUSt_chr2.32885 gene_biotype:protein_coding transcript_biotype:protein_coding NNNWLFGILLIGVRTHVAAVEQVVVQATAELEGPVVVVTGASRGIGKAVALALGKAGCKVLVNYARSSKEAEEVSKEIEASGGQAITFGGDVSKEDDVDAMMKAAIDKWGTIDILVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKVMMKKKKGRVINIASVVGITGNAGQANYSAAKAGVIGFTKTVAREYASRNINVNAIAPGFIASDMTAELGPEIEKKILSTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVCTIIAGAYEASEEYDYLGNPLANPKDIAMNRGVVGAGFGLLSRHRARLGALRAAATYAANLSIVAGCYGGARELARDARATTTDDLMNSVVGGLASGAVLGRIQGGHFGAVKYAVTLAVAGTAMDYAAMKLSPQWHDWKEHLSASMKEWFTVPDWSPIQVLDEEALAKKREREEMVFARIKVHELRGKNKADLQGQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVHTVISQKQKSALREAYKNKRLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >cds.KYUSt_chr1.1023 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5398223:5398435:1 gene:KYUSg_chr1.1023 transcript:KYUSt_chr1.1023 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAARGIRSTDHTGQIVGMEDQENALAEKVKAGVYENGAERDMKLKVFSIVGFGGLGKTTLAMEVCR >cds.KYUSt_chr1.9699 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59264894:59265175:-1 gene:KYUSg_chr1.9699 transcript:KYUSt_chr1.9699 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGPAAAHHQPLPEYCGKPTRRGRPQRRPSHPR >cds.KYUSt_chr4.23475 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147751999:147760833:1 gene:KYUSg_chr4.23475 transcript:KYUSt_chr4.23475 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAYAAATLALLAAALVLSAAPAEGAVSSCGQVIGYIAPCLTYAMGNAPKPSGNCCSGVQSLNSAAASSADRQTTCNCLKQRAGGVGGLRPDLIAGIPGKCNVKIPYAISPNTDCSNVRGHACTVSAMHRIQSSTDILDPSSVSLNVNKEARDRKKNSSCKKSRMCPGNPTWKWEAVAHGVNAFLIAIPTAEDLSRIDGMQMSVPKKNAQALVTSWAHQYVTPEFVMEPVWVHIDGVPDPVRHFLGLWAVGTLIGSTLDVDLYSLWSQGIVRVLVAMRDLAALEKDKDGDRPPCLEVIARLHLIGYKFRFRREAVGYKTDPRFRPFFWKDDGDDDASHGFEEERFDDSEANIAPYTSHMDVDGHPPTHTSGATAAPVTQVALTPFNHLPMTARGREIVARARSHPPYVFGLFVDHAAEYAAGCTDSVFNISTDGVGAQYRRATYDDGAVGVVDTTTTQLQGRTGAGTAAGRLVSTTAEVAVAGDSVFTADSAQEWRRVGGRAIGPGGVITHNCTWKSTSKRGDVKVAVIWEQ >cds.KYUSt_chr1.19429 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114081362:114081670:1 gene:KYUSg_chr1.19429 transcript:KYUSt_chr1.19429 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVATARWTSVIERQDVKLDLIKASVATKTREEDVAMLLVETSGMDDNVTAWCADPGREESSTAVPGQQRCTTGDIHSIGDNREPTGDKGFTGGGAFYARG >cds.KYUSt_chr4.10127 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61290663:61292080:-1 gene:KYUSg_chr4.10127 transcript:KYUSt_chr4.10127 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPASNEEQSKPISFLEKGVAAEEVQIIKNYLLAALIKHAFNFFSLVTGGSYIFLFWGTLKLYPLFYAVLLILLMTPYFWLMLKALPILKRFYTEKYAMVSVDAHDIPYDAPLVDKM >cds.KYUSt_chr4.39035 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240981787:240990539:-1 gene:KYUSg_chr4.39035 transcript:KYUSt_chr4.39035 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGFDHRGGTNASSPFPPPKWRHPLQISPLPPHYSSLASSSLSAPAPLKTLTLAAAPPATMAADYRTPDRLLPSAAAAATEEPSQDPPKPVLAAAGPAAAATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQAASPPCRPTLSLGAALRAAVSGEGGALALYRGLPAMALGAGPAHAVYFSVYEFAKSRLSDRFGPNNPAAHAASGVLATVASDAVFTPMDTVKQRLQLTSSPYSGVSHCVRTVFRDEGLRAFFVSYRTTVLMNAPYTAVHFSTYEAAKRVLGDMAADEDSLAVHATAGAAAGALAAALTTPLDVVKTQLQCQKKEADEIKAAMYATKPLSLFKSHPDTASQPPPEGPNSGYIVVKGDDDGEDGETCCWGQCGGTRVRDLPFPQDRVLTLRYTEHHGESSSTYTDSVVFVPVPDAPLASNRYYAVIATGKHKGLVRTCSSEEDMAACCFCRCISDVEPRPFDPADVYQQIEIVQRRRGRFTARAVAADGFPHFLYRKKYWRVYASKAKNHFDLGDAPGINAALRSRQLADASLPTAMPTVVGKWYCPFYLIKEDCMSRSEQMDRGVFYEVVLEQRWETVGDEIHGGSRLHSTRVLYGGDVEARGAHLHTDGYVFFRVGPALSVIVHASLWDRMRWEAYRGGWVDEEEEAGKLAGRSVLVERFVVKRMDGSVVANFDFLHFNKVRSRKAEVTSLTAEV >cds.KYUSt_chr4.53871 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333294134:333302787:-1 gene:KYUSg_chr4.53871 transcript:KYUSt_chr4.53871 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSHFLGSMMGDTVLAQPAADPAPPPATAPPPSQIAPPPKKRRGRPPRNQAAAAAPAAAAPTAAAARKKKEEDDEEVVCFICFDGGDLVVCDRRGCPKVYHPACVKRDDAFFQGRSKWNCGWHICSSCEKAAVHYMCYTCTFSVCKVCIKHGKFFSVRGNKGFCDTCYGTIVLVEAKDEGGDTTKVKVDFDDRLSWEYLFKLYWLDLKGKLSLTMEELTDAKSRWNAPITYASKEKDESSDDLYDANYDEGAGSDCSSGKRKRANASRKKGQRRRKAHSVCNVKVENAEIATGNAESLPKKEPSEGVSLDTKWASPELLEFVGHMRDGDQSFISQFDVQAILLEYIKKNDLRNPRRKSQIICDSRLHRLFRKTHVAHFEMLRLLEMHFLASDASTVNNGNHETINVNAAQIDDSGYGEITDKPCPGRKKRMHRKIEREPLANLKDYAAIDMHNINLIYMRRSLMEDLLDDPTFPDKISGGFVRIKITDVGQKQHMYRLVKVVGTHKVSEKYSTGKKTTNFALEILNLSKKEIITMDTISNQDFTEEECRRLRQSMKYNLISRLKVGDIQEKATIFQSVRFNDYVEKLELLNTSEERTRIMNELLEVHVDSHMDPEYDSAEEMEDKPAVEQNENGKRSKGFSPIKVQNFAKKMADAIRHPKNLSKQSTSQKLGAVRNSKNFHSTNGMDIPKSGTNISSRISEAAPLSSSPVKLSSDIEPEKVWHYKDPSGNVQGPFTLLQLSKWTAYFPRDLRVWLIFESEERSLLLTEVLSKQPKDFGQAALVRSCKTTLAGSAQHRNSSNVDMNSTLSPVGYNMSSIPERGSAHASDGRFSLKTSSVPPKDADNDNCRIQQHAKYSGFVSSSGSLHAQPDLKHDGVHGRCSAEWNNGHSRGDLWSPSTTQASCSGQNSVESHNNHHASRSLVQHDPKSSLQEGSLKDLDLRQEHSRTIPTQLARRDIPSPVLALSPSESRTASSQYESSCLSSTNPSLHDELHSSITSEKAKSCAPASSVEDRGSSSPSGMLTHSERVPAISETCKVGEIKNEQKICEADASNASVNQSPQSKMFPDSSPDNQDIEREYPSATQKSESKKSMDQSGPTSLPLEILDAKIPDHLPVDIVSQKSDLPIDEKRATDSVSNQQKADLIGEDSHTQKECYREPILAPMEKSVADPTSCAEAIDAPDVPELVSGPPTEEMGVTDSVPNIEKADLNGEDSNTQKERYSEATLLTREKLIADPVSCAETLNVSDVPESVSNLEKRDSKGQDSSIQKELYKESGLVASEKLIADPVPCAETINVFDVPESVSNLEKRDSKGQDSSIQKENIQEELYSKAALVTTEKMVAEPTSCSKSIDVSNVLQSVSNLERRDLKDEDSVIQKELFSESTPVTSEKMVVEPVSWSESIDVSDVLQSVSNLERRNLKDKDSVIQKELFSESTLVTSEKLVVDPAPCAESIDVSDVLDSVFNLERAGLKGEHSNIHKELREESTTVTRENIVIEPPSCESVHVSGVVGSVFNLNKTYLEGEGSDVQKELHEGSTLVTRENVVPDALESLIERNRGTLCMDAIAAIEEFMTTSPGEEPQCSSPIALSPWGESGYYQGDAVDSGLWGVQDDPINDMWSLLSPTPAPQHLSGAKSDGSSIHGINAVAATQGEIDFFQRGPTPGEYWGLTEQEKPKATAAPVSSSVDLNTGLFGWQPSASERPIMEAAWSTSQNPNFYYNYQTAASVRTSQEASVKREVFTEFDAANSGGALGNNTKSWNASTGNANRGSQRRDRYSQISESWLLSSNNSRSRSDALGGGTSRTAPRGACKFHENGYCRKGSSCNYLHR >cds.KYUSt_chr3.42146 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266335049:266336470:1 gene:KYUSg_chr3.42146 transcript:KYUSt_chr3.42146 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGSSSPAPLRIVIVPWLAFGHLLPYLELAERLASRGHRVSYVSTPRNLARLPLPLRSDVDLVALPLPRVEGLPDGAESTNDVPDDKRELHWKAFDGLAAPFAEFLAAACADAATRPHWIVADSFHHWAAASAAEHGVPLAMLLPTAAMVAAVPRPPPSPGSAAYSFELQAAAAARAVPRYEREGMALVFANDGASSGMSSRQRSVLTAQGCTVAAIRSCVEWEPESFSLVAPLLGKPVLALGLLPPPPDGARLAAAANMEHATVRWLDAQPPGSVLYVALGSEVPLRANQVHELALGLELAGTRFLWALRKPSGAADDDDMLPPGFQDRNDGHGLVTTGWVPQMSILAHAAVGGFLTHCGRNSLIEGLLFGHPLVMLPIFGDQGPNARQMEAKKVGLQVARDEEDGSFDRHGVASAVRAVMLEEESRRGFVVGAARMQEVVADAERHERYIDEFVEQLRSISYTDATSSS >cds.KYUSt_chr2.50922 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318536736:318537419:-1 gene:KYUSg_chr2.50922 transcript:KYUSt_chr2.50922 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLTVASLFFTANVDAAPGLSSPPSMSCASSGTATSWQWPSCTQARTMSFGRDDMGSHSAEVPSTRQDYNTRVIMNPAYRDDSAAVEHSFLSATVSSASTTAPEPACEVDEVIIRGIRSSSRLFFEPEATSSILTKPSAEGCGEVEFGGARAVAIDSADPYGDFRRSMEEMVLSHGGGGGDDWAWLEEMLGWYLRANGKKTHGFIVGAFVDLLVALTSGKQNDKC >cds.KYUSt_chr7.25774 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160851751:160854892:1 gene:KYUSg_chr7.25774 transcript:KYUSt_chr7.25774 gene_biotype:protein_coding transcript_biotype:protein_coding MERDRKRLRKVTSLAFFCKVSSLRESRVLTASSSAKKGESPEPNASCEFSDRRQQAEGLCYRLLLFANITMEGLSWRRVCVLVLCIIPFFRSAAGIRFVIDREECFSHNVDYEGDTLHVSFVVIKADTPWHYSEDGVDLVVKDSSGAQVRDSRDKTSDKFDFIVQKRGVHRFCFTNKSPYHETIDFDVHVGHYSYFDQHAKDEHFAPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAIHKALFESAALIAASVIQVYLLRRLFERKLGSSRV >cds.KYUSt_chr6.22442 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141779656:141781854:1 gene:KYUSg_chr6.22442 transcript:KYUSt_chr6.22442 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCGEERRRIGSIARLTERDGFLASRPSSNKAVFGEQQHLMKFHKGVKVEVLQKAEAPFGSWRPATIVSGNGHTYLVSYDERPFDGGVDVERIPRKALRPSPPPSDGQVCWVPGDILEVFDSYSWKVAEVVRLLGQEFYLVRLLGSSQEMRVHASNLRTRQLWQDSDWVALPKDSARCAGGSLRSRTKGGNSGGSHLLLKDKVLLEGNMSRGIKRKSSAASAFPMQRSEVTKKFQTSHRDGRRQYLGPGDSLHLMDKVDAVDSPCLMLGEKCMHDSLNNRANGFPKTNLAAVNTYVDYQYPAVTTQDRDTDSAASSVGSCSPYGSPYRPAHPQEYDSEDICSRNDDDDVEASTSGRESPLPMEGGLKEETHLLELHAYRATMMALYAYGSISWEQEALMTNLRLTLNISTDEHLSELRNLASSSVCSR >cds.KYUSt_chr6.11986 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74625632:74626053:-1 gene:KYUSg_chr6.11986 transcript:KYUSt_chr6.11986 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQLNLSPAPKQQQQQHDGGGAGDGSDATEAIPLWVPEASAEGKTEKAAGGRAERSIHLIPLLTFLCFLLLFLCSHIPSASDMSSFGGGASSGGGGGGKAGNRRLKML >cds.KYUSt_chr6.6522 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39349931:39351380:-1 gene:KYUSg_chr6.6522 transcript:KYUSt_chr6.6522 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHLSLSLFLVLLGLSSSLASGQVLFQGFNWESWKHNGGWYNLLMTKVDDIAAAGITHVWLPPASQSVGEQGYMPGRLYDLDASKYGNEAQLKSLIQALHGKGVKAIADIVINHRTAERKDGRGIYCIFEGGTPDARLDWGPHMICRDDRPYADGTGNPDTGADFGGAPDVDHLNPTVQKELIQWLNWLKTDIGFDAWRLDFAKGYSADVAKVYIDGTEPNFAVAEIWTSLAYGGDGKPNLNQDEHRQELVNWVNRVGASGPATTFDFTTKGILNVAVEGELWRLRGTDGKAPGMIGRLPGKAVTFVDNHDTGSTQHMWPFPSDRVMQGYAYILTHPGTPCIVSHLDQLFSSELPFVHNRTDENNVMFFQFYDHFFDWGHKEEIEHLVSVRTRHGIHNESELRIMEADADLYLAEIDGKVIVKIGTRYDVGNLIPGGFQVVAHGDGYCVWEKK >cds.KYUSt_contig_605.580 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:2660748:2661998:1 gene:KYUSg_contig_605.580 transcript:KYUSt_contig_605.580 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKIDLEEAVCRCRDRKRLMADAVQARNAFAAAHSAYSVLLKSTGGALSDFAHGEAPDPSMIASRSYQAAVAAAAAAASVSALPPPITTAVLIPPSPPPPPFMDFSHGSLQRSSSTPNIPMPDPRVASKDHPLAEAPIREEEEGEEQDGHIITDSDDDDDGNDDGDDDDDDDDDEEEDDDDHHEHDDFSIDDLVHGQPLKRGVMDSVGSSPVTPPPPPRLNPSALTPASATPPPPMPEAQMATWDYFFGPTPTPPPTLEQQAEETWMDRREKESVPAVKARVTNADINEPAASLQTALEKAKAINELAANLPPSKPIIRKPPKAPGPPTEVHHQHAMSMGAVETQKGKILMVSASLLQIVAQLDDNFLRSSESAHEVSKKLEATRMHYHSNHADSRGKCGYSIYFVIRSFES >cds.KYUSt_chr2.54846 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342122495:342125988:-1 gene:KYUSg_chr2.54846 transcript:KYUSt_chr2.54846 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMSPDAVVAAVLFLLVAVRVAGVTFPLDSDDAGAGGCSDGQGSSSKDGGNVEKDAASAELTLRIRIVDLSLRLKLLASEDLHLKLLASLDLRRRLQDPVFAIDTMNGWLLTDCRHRAEMASVEYFNLVDLWEKYHKWSVCFGEDYSMDFWSDDEDKEKMSRSHVFFEVNELSQGFLGLISLKSVDLSR >cds.KYUSt_chr7.4227 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25256790:25257626:1 gene:KYUSg_chr7.4227 transcript:KYUSt_chr7.4227 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSTELEAAERVVMRWDSSPASTAAAGRDDEPMLFDGAGDRAEAERFLRAVDDIRRLAPPSPAAVGSPRRLSSGSGAAAASGLGGSGAVQVAMARLEIEALADLTSLSTISSDRSSSLSSVDLPRRRRGRPRRLPRRPPQQLPLAAQHPRDRPPPRRRRRRPSAPSPPRMAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGCVAGMVDAGRTPSRHPHERRVWGDDWKSVAPHAKNRSNPDQFLSGLCPGGLQRVGMLLYPLHYRSSSYSEY >cds.KYUSt_chr7.21189 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131293718:131294707:-1 gene:KYUSg_chr7.21189 transcript:KYUSt_chr7.21189 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMRPAGGGDGAALRTGSPVKDVVTAPRRCRGDGAALRAGSPVKDVVTAPRRCRGVDGAAQRPAASSRTSRLAGAMALTPRCTPAAASRSSSSRPRRCHADDGAALHAGSLVADVVVAPRGCHGVDAALHAGSLVKVVVVAPRRCHGDDGAALHAGSLVADVVVVPRGCHGVDAALHAGGLVKVVVVAPRGCHGVGAALHVGSLVKVIVVTPRGCVDGAALHAGSLLADVIVAVVELGTKPGCARRQPQRRRLHSPMTAGCTPTPCHGMGCMRACMLERGRGRKRERSTDSTVHLTIGKCLLRLHGLLAPGRCISVCMAFWVGAGACV >cds.KYUSt_chr3.43522 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274640981:274642270:1 gene:KYUSg_chr3.43522 transcript:KYUSt_chr3.43522 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLKPLLLLLAVVLGAMACTVAGDGGMPLVIPITKDAAATLLYSVSAPVAENDQITNHLVLDLSGPIIWSTCPDGYAALGCTSLPCMQAHRFHPPNCPQTGYGKPDADNPRRCKCTAHPHNPVSGDTASDDMTEFTTTVNTTDGKNPLKEVSFVVATSCAPESLLAGLPENDVGVVGLGRSELALPAQIAVKQKVVNKFALCLPSGGVDGVAIFGGGPLFLLPPGRPDVVATLAGDTPLVKHGKSPGYFISADKGIAVNQAQVQLDGNGLVVGLSSTIPYTELRSDVYWAFITAFNTSTAERARVTPAVAPFELCYNSTQLGSTRLGYAVPQIDLMLQGGKNWTVFGANSMAQVNDQTACLAFVEMKGEHKQYGYGGGATEAPAVMIGGFQMENNLLVFDEENQRLGFSSLLFGRQTTCSNFNFTMAA >cds.KYUSt_chr4.12771 pep primary_assembly:MPB_Lper_Kyuss_1697:4:78658615:78659538:-1 gene:KYUSg_chr4.12771 transcript:KYUSt_chr4.12771 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLDVLLGRTTKQTARLKSLLGLAAKRIAVVRAHRQVRLAQARGDVEQLLRLGHPDRALQRADQVLREQNTLDVLDALDSYCTLLADRSALVDAQRECPEELREAAAGLVYAAARLGDLPELQEVRALLAAKFGRGFVADAAELRSGCGIDTKVVQKLSTKQPSLESRQMVLLEIGAEKEIPVRLHNHNASYHQDPVISAKVSAPRANDMEDQRRRPTPRADDHDDVSAHALLTFKDVEAAAQAAFESAATAAAAAKAAIELSRAGSGSPDDDRHRRTHADDEVPHRGEDLPRSRPASVRTNRGL >cds.KYUSt_chr2.3905 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23555623:23557295:1 gene:KYUSg_chr2.3905 transcript:KYUSt_chr2.3905 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTGRVEAEDAAVALKAKTPSSRMEAGTRRSGLHFAHAVSILTASAVVGGEGHCGRAVAMRNYASHGWHCFDFYPEFQTWTALLAGSRFLRMQSRCLSPVRSVYGAELVMSIRKFEIALEQQAGCVNLS >cds.KYUSt_scaffold_6468.857 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4168153:4173779:1 gene:KYUSg_scaffold_6468.857 transcript:KYUSt_scaffold_6468.857 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPSPAHHHTPPPQQTRQNRKGVAPASSTTAATKSKVATKSSAVKKAEDLLPYERTDEDNALIMAKDLKCQLAPKWPPPKQYIASGTVKRFDETRAKLADLASEMKIIECKRGQIYDIGFIDPNTVHEVTVQQYPNDTEDNLLIHNNGLHEQLDPRVGVKGVRSTHVEGDGGVGSVRHYNCGSAIAFNVMKKKVEFLDVDKCECRYTLECDGVETSTWSIKMKPTTNGGSVAKVECTSKGVQDNDMMLKAKDSAAEMLKNVEAYLIANPDAYNTSRQQTFCPAPLGAIVPV >cds.KYUSt_chr3.33570 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210784576:210787335:-1 gene:KYUSg_chr3.33570 transcript:KYUSt_chr3.33570 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCSRRRGSSPSPVRAPPLEVDDLLGEILLRLPAQPPHLLHASLVSKRWRRLATDRRFVRDFRIRHGKPPLLGLLRSYSNLHNRVYLTPTPGSPYRISPERFYLTSDGREGWRLVDCRHGRLLFEDRKQHQAIVWDPITEDHCFLAVPPQFHNPKIAALHWAVLCAAGEQGHVHGFCHWSPFRVVLVAIYRPENEIQAVAMASVYSSETGTWGHLLSTPVPHVYLMSSLPSTLVGNTLHWSFIRRNTCVIQFDLDTQGLAMVKIEKPPGFHYNVQMIHSEDGGVAFATLSILCDEPYLQVWEKKADSYGVPKWVLLKSVELQAFLGLAFRISKEESSIVRYAEDARAIFLRVHSCVHMVKLETMQFKILFLEKDPSGTYHPFTSFSAQGL >cds.KYUSt_chr4.54409 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336193301:336197855:1 gene:KYUSg_chr4.54409 transcript:KYUSt_chr4.54409 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSRGRRASPPTPVQEDEDVDFEVSYSEDADGPDEEDGSEEGGSGSSSSEESTDVEDGERSDEEGQSEEEESVAEGCGPSVPTRVPGGAAAAAADRATNAPNCPVCMEPWTSEGAHRIREKVESLAEVVLKQGKLLEEIIAEKNQRSTDVGVAKRQNDLFFDGARVMGIDAANQIILASRKAPGVFGEHVLTKINMSSNYEARNIQLPPDTKVVKDICILPGGSALYASLGKRLSLLRLLLGPAQHTTLLHTIFMLACRFSRCPGLKRIKFTDSSGSRKVISASAIGPCMWDVDGNQSKPKLLLGEDNQRVCFSLACRPPSTDLLVASFRPKSDLSGDVAPSQAYLSQTPTPSGSGKLGYHTVITRTGSASFAQGSACYSNVSEIRMCKSSIIPYGNSRHLFAFGDEALCGVQTWELPLFGMPTALPTHREPIFDLRYAESPSGDGYLGCLSDQKLQVFGVRR >cds.KYUSt_chr1.10769 pep primary_assembly:MPB_Lper_Kyuss_1697:1:65895703:65896272:-1 gene:KYUSg_chr1.10769 transcript:KYUSt_chr1.10769 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWLASALEGARTWPECGSPAPSMPELRGLNTEPANIQDPSSQGRAPTDLHRVTPRRRPLRQPPGSPPLVFACPIGSVLVTSTRLSNSIWGRDVDAEGHLAHGWTSATSLRTRERPCMAVNAFSRGLQCRSGAGRPARLELVVEGGGNFGGEEGRSRGRRGGAGGRPAVESGAAGVEKGEERKEEGG >cds.KYUSt_chr7.39790 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247275651:247280906:1 gene:KYUSg_chr7.39790 transcript:KYUSt_chr7.39790 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPGGARLALLLARRSLSSAAAASSSSSARPSLYASRAHRARWGDAARAAPSWRPPFSSASSTHARFFHGTRPVTVRDYYDVLGVSKDAGQSEIKKAYYGLAKRLHPDTNKGDTDAEKKFQEVQKAYETLKDEQKRTLYDQVGPDQYEKAAAGGGGPGGFDDGIRNPFEDIFNAGAGGGMNDIVMQTGLFRMQTTCTQCGGSGKTIKDFCKSCNGKKVVPGRKTVTLDIVPGTDDEETFKVLRSGQADPDGLRVGDLYVTVKVRGDPVFRRDKGDIHVDAVLNVTQAILGGTVQVPTLAGDVVLKVKPGTQPGQKVVLRGKGIKTRNSYSYGDQYVHFSVKIPANLTQRQRTLLEEFAKEEQGEDEKEAKKEAGASG >cds.KYUSt_chr7.40094 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248966920:248968198:1 gene:KYUSg_chr7.40094 transcript:KYUSt_chr7.40094 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGNLTASPAGVATVLRQMRHAQRADGPASVLAVGTANPPNCVRQDEYADYYFRVTHTEHLTKLKSKLNRICHKSAIKKRYFYHDEELLQQHPEFLDRALPSLDARMDIAATAVPELAAAAARKAMDEWGRPAADITHLVVSTYSGAHMPGADLRLASLLGLDPSVRRTMIYFNGCSSGSAALRAAKDIAENNRGARVLVVCAELALIHFRAPDEAHIDTIILQALFGDGAGAVIVGAEPDSSDERPVFEMVAASQTVIPESNHAAVGRLTEHGLVFNPSFEMPALILENIEQTMADALGPLGLSGNWNDLFWAVHPGGKAILDSVEAGLRLEPTKLAASRHVLSEYGNMSGATVIFVLDEIRRQQSLGDHGMGVLLGLGPGVTVETMVLHATGG >cds.KYUSt_chr5.36192 pep primary_assembly:MPB_Lper_Kyuss_1697:5:228771755:228774247:1 gene:KYUSg_chr5.36192 transcript:KYUSt_chr5.36192 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGTLLSKDLNLPLQQQAVAGVRTPPQMSSPGLLRYRSAPSTLLGDVCGDFLPPSTGAGAGHSPGSPDHHAADAVFTRFLAAPPRSAAPAASHFSTDTAASLASQQQHQQQLMYQSQQQLAAMEGLFRTGGTDPAAAGNNGLVRQSSSPAGFLNHLNMDNGGYGNMLRAGMGGGGGYGSGNGDARLRGQLSFSSRQGSVMSQISEMASEELGGGSDGEEAGSNGAAARGYGGIPGYPTMGASPGGGGGWDDPSPSPSLSPGAMKRPRDAAKNGAPRQQLKQQYSLPAGSKPTPEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISDRIRKLQELVPNMEKQTNTSDMLDLAVDYIKELQMQVKVMNDGRTSCTCSASRQKRFGS >cds.KYUSt_chr2.49982 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312613912:312614433:-1 gene:KYUSg_chr2.49982 transcript:KYUSt_chr2.49982 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDRLLYGSRVSSPELTWERRYKLICGVASTMDYLHHGSSKRILHRDIKANNVMLDEECNACLGDFGLARIIQHDGVSHHSTQAVVSTRAYMAYESFFTGRASFDTDVYTFGVFIMEVISGKSPSSSVMYLYHNDDNGESMERGQHPMPIYIVDWTWNLYSQGKASANTIL >cds.KYUSt_chr4.27558 pep primary_assembly:MPB_Lper_Kyuss_1697:4:173196630:173203614:1 gene:KYUSg_chr4.27558 transcript:KYUSt_chr4.27558 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWESDPEAPNLLPISDDDDEDVVEEVTSEQGTAAALYGFHGVISVLFETPSGFAILGYDAAKLPEPEAWKIVWLRAFQPFEDKLHAINAAHVCEELDSMLKKHVVKGQLLAVGKQVYKTAIEEHVGINCLYSLPVRELMWGLEIQMRRFVPEEKSELANEGFQMSKGMGDLLNRYNFEVNLNMVLFPKQLLKTLKKDAHRLEAEEVLDRLITQVKKAHEAEQASKAASDHQIGHGINPAKIAELTECHTMLSANRKERQISQTLAPVDVLERYTQISSYPLNMTISPGILSMDIHPLKLKLLGVSAELMSSYRFSPVPLSLNLSSAKSRIPRTQCLSMTCALFVAQQCQGSLPFDFYASTYGATPDPRCRSGSVWPPCGFLFSARISTRHVAAHEVVISQV >cds.KYUSt_chr4.12239 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74539577:74543469:-1 gene:KYUSg_chr4.12239 transcript:KYUSt_chr4.12239 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGEGMNKDCYISLQSAGRGVTFTELLTMVATEAEQSKFTVARQAEVNKQMVVGSAEENQTEKCIDSSANRSSANFYRDGSFGSKLPDESIGTSCFVDSSVNFGGSNCSDGISKSSFSRTISNYQLEEDCGAMDVTSQVREFNGGLIEESMRKYCEDKKSVMFEPEVGMQFSSTEEAFQFYNMYSWVLGFSIRLDQSLAMTIAIKENLLSTKHRWCKWHILRKAQEALGHVHKLHSTFSDEFNKVVNHMLTPEEFECGWDYLTKKYDLGGNPFMTRAFEVMTQTGVMKIPECHIMKRWTVDARKGSKVKSGKSVAVLDDEANRTLRHKNLYMHVLDLVSAGEYDKTTSDLAMKYVELAKKKIEEYKMTISRTCQVGYNLPTSINGDKEVTMEGIGETGDTSSCGLQLYDRAQNCGIEVSSIKAPIVKMKIGRPTNRRFLTRFDANITRTKGVVHGKKKVNVPGGRTGVQQTRFCSCCKSPDHDIRTCPVKNDYNVPPKKKSKHSSTAHFKF >cds.KYUSt_chr3.9743 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57303421:57303900:1 gene:KYUSg_chr3.9743 transcript:KYUSt_chr3.9743 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVFGLETPLMTALQHLLDIPDNEAGNAGGEKQGPTRAYVRDARAMAATPADVKELPGAYAFVVDMPGLGSGDINVQVEDERVLVISGERQREEKEDAKYLRMERRMGKLMRKFVLPDNADMEKISAVCRDGVLTVSVEKLPPPEPKKPKTIQVQVA >cds.KYUSt_chr1.33978 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206595977:206597021:-1 gene:KYUSg_chr1.33978 transcript:KYUSt_chr1.33978 gene_biotype:protein_coding transcript_biotype:protein_coding MMKESWMEVLPRPPAPYFPGQAGGWFLQDTQHGAGAWSQEENKLFEEALARVDGDAPDRWERVASLLPGKSVADVVAHYDDLENDVCFIEAGLVPFPRYDANGGSPASGFTLDWDGGGGDDALAFKRSCYLVGGKRGRGSDNERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVTTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNIPDDDHNPSPSPPSSVLTHASASAAVPDQFGGALVDSKPTPLAPAGGHHNHHQFVPHHLYGGVKLEASGGGYHGGCIDDSVLMQMQCGQLQPLG >cds.KYUSt_chr7.11873 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72892947:72898915:-1 gene:KYUSg_chr7.11873 transcript:KYUSt_chr7.11873 gene_biotype:protein_coding transcript_biotype:protein_coding MWTYLANRYSSRAASSSPTRSTTTTTTSSSRSSPSPTDPDPRSPSGGFSMGLVKDGVDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKGKHAEGGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDAFYSRDATQ >cds.KYUSt_chr6.7805 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47977560:47978464:1 gene:KYUSg_chr6.7805 transcript:KYUSt_chr6.7805 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFWGGAKADEVADFDEYDPTPYGGGYDIALTFGRALPPSDETCYAISTATTSSSSYESHGAADQHRRRPQEQESHGSAGYGRRPHAQEEETHGSAAVYGHGRRAHDDDDDAGGYRKPKPAYGHDDDEGGYRKPKPAYDDDERPSYGRKKNDDDDEDERKPRYKKHDDDDDDDERKPRYKKRDDDDDDDDRKPRYKKRDDDDDDDDRKPRYKKHDDDNDDDDDEKKQRYGKNNRRRNDYDD >cds.KYUSt_chr3.8180 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47108587:47111740:-1 gene:KYUSg_chr3.8180 transcript:KYUSt_chr3.8180 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSPSSSPQDDADGSGGGGSSDNLVFQAPQAVREDYIQNAVKFLAHPKVKGSPVSYRYSFLEKKGLTKDEIEEAFRRVPPNSTDATQVVSQQGDENADNELKSKLYDEIKKSMEASSSAFSAIAKTNQELLASKDEDKKILVKLTEALDYQAEVLKSLSETLNQTRENRSNHQFMESFPGRQSFLPLPAESTSGSFPRSYVERVPRPGYGFQPQMGNDRSNPGMREGYYGSPPYYSGGSNPVEAPAPVPTPIAAPTPVPTPVVEESPFQRRWVPPQPPGVAMPEAAAAIRQPRSLPRQESQPEAGAADASRPSASTGSEQMDGGTSGAADVGLPSSSAAAAGTMDGGTNGVNGEGAEAT >cds.KYUSt_contig_686-1.1425 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:9022524:9025256:1 gene:KYUSg_contig_686-1.1425 transcript:KYUSt_contig_686-1.1425 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDFRSHRSALFDGIEEGGIRGSVYSSREINEHENDQAVDSLHDRVSILKRVCDIHEEVENHNRMLDRMGNDMDTSRGFLSGTVDKFKMVSISMSLSADQPTPFTICYPQQELYVIGFRDKIKSQNGYHGGLLHCRLLASILPDQIDA >cds.KYUSt_chr5.8232 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52062652:52065066:-1 gene:KYUSg_chr5.8232 transcript:KYUSt_chr5.8232 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASSSSSYSDSTDSSGSSSSSSGSDRRVRRRHSRRKDAAPSSSSSALKVRKDRKSRHKRRRRERRRSRSDDDSYSSASSYDSDRETSGRSRKRKKSSRSRKSRERERSKDRHHKRDKSKHREKKESERSSGPVQLSKFLGREKEESGKRSVISGKKIMMKLEKSKEDKEAENKRNELLKFLNASYD >cds.KYUSt_chr4.12901 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79321921:79326301:-1 gene:KYUSg_chr4.12901 transcript:KYUSt_chr4.12901 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLTMAMSASFRRFYRLASSPLLPPASRRLPPPFLSARFCSAAAAATADTKTAPEALDVAYSAVAAVSTGHPWPEWGDFLEKLRAKGYFERPPPPGSDAAKGAAGDGEVATADAYVVRDQNRVKNACLKFARERFDLLSSLPKQDIQAIVECGCPNIFRKAVCSAKRLREFVQVDESDACSVCKLRGSCDKAYVIPNAEEAARTVDVVRVLLTYAIDPTSLSGENPVAGGVQESARKLLSDLTMLCDTTIDPSLPEPVFQTSSKKESSTKVGRGTQSHAKSRVSAGNGRETAVTEMKKGDWLCPNCNFLNFARNRQCLECKEAGPKKIEADTTEMKMGDWICPQCNFMNFSRNKMCFKCEGHRPKRQLNPGEWECPSCDFVNFRRNQECKKCSHDRPEDDTQDSKLGYDVWRNTKGADSGRSFDAHEDDDGDEEVMRTERKHVPSRRAPPAQRGYAGNSRKGDDGDDALPYERERRHVSSRREAPARKGLRKDDDDVEFDVLPFDGERKHVSSRRAGPARREFREDDGSEDDVLPYEGERKHVASKRASPSPRRFTASRGR >cds.KYUSt_chr1.27545 pep primary_assembly:MPB_Lper_Kyuss_1697:1:165948489:165951397:1 gene:KYUSg_chr1.27545 transcript:KYUSt_chr1.27545 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLNKEKNINLYVFKEKPNVDIVTPGSQNTDESVSTRKKPGYTVSGTEGQSSAPVCEDDMCPLTEWPSHARRYRQKLQDDADTPKKKGRGVLKGCKAIKKCHANGAGKLNIAFSDTLGDAVGMNYRSFKDDIVIIMKKRLPIIGVRRWTDIHPDIHRLIVSDMLEVSQKNSDNRKKLKTNHTAGSKPYCQLSFENRNKETGEEPDCIALWEITHFRNGSWTTEESKKVYKLQDERDSMDEKINFECGKMEQLEQRLEEERVDRERMMELERTSREEFEKTMMAKFQLQFEEFNKQIETEKTQTNKIATEKTQTNKNSKKPNLKENINPNQKTPLQSPANKIVATHNTLQNSRMYKALGGQANANANGNGNN >cds.KYUSt_chr4.50031 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309902698:309906561:1 gene:KYUSg_chr4.50031 transcript:KYUSt_chr4.50031 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTSTTATVLVLTLTLAHYACLAAAAGPRVIIVGAGMSGISAGKRLAEAGITDLVILEATNHIGGRMHKTNFSGLNVEMGANWVEGVNGGKMNPIWPIVNSTLKLRNFRSDFDGLAGNVYKEKGGVYDKAFVQKRMERSEEVEESGGKLSAKLKPSGQDDMSILAMQRLNNHQPNGPSSPVDMVLDYYKYDYEFAEPPRVTSLQNTVPLATFQDFGDDLFFVADQRGFEAVVYFLAGQYLKADKSGNIVDPRLQLNKVVREISYSGSGVTVKTEDDKVYKADYVMVSTSVGVLQSDLIQFKPQLPNWKVLSIYQFDMAVYTKIFVKFPKKFWPEGKGREFFLYASSRRGYYGVWQEFEAQYPDANVLLVTVTDEESRRIEQQSDNQTKAEIVQVLRNMFPAADVPDATDILVPRWWSDRFYRGSFSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSEHYNGYVHGAYLSGILKKPEHALQV >cds.KYUSt_chr6.32999 pep primary_assembly:MPB_Lper_Kyuss_1697:6:207806701:207814722:-1 gene:KYUSg_chr6.32999 transcript:KYUSt_chr6.32999 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKDGELLQANSGRFWAIAPVSDDEDGDGDTPVASPTTLSSLTYLCRTSTEEACGLTELERSAIQHQEEKKRRQREASQILRSVQSTKETVRPNHNAAVRQSFVAKVTSNLNLGQVQQDQEMEQRTLDASIVDADIDEQGRDASTGDYPEEEMEYQCESYQLGHGGNLMFEKDLTQLVEYLGRPYPEFFGIPLNNQSGGPPRWEVTADLRRKLGAPIWETIWFSVTGNTWKDGIAKAMQEAIARLCGQNVNKLKNTRFIYYPRHDPMGRPITMPPHPEMNHYVAYLDFMLYKTRKELDNALAVHQAHYP >cds.KYUSt_chr7.23152 pep primary_assembly:MPB_Lper_Kyuss_1697:7:143844677:143845501:1 gene:KYUSg_chr7.23152 transcript:KYUSt_chr7.23152 gene_biotype:protein_coding transcript_biotype:protein_coding MESTHMRHARQALAPPASPQDRSSWPARIVRHHLASARARTKTIVTDLVLGSPTVAAMARPGMASRLAKLGAVDVEYTAARMAAQGHQRRQARESLVRHFLCGRCQRGEAGVPAERQACDAIVRDLVYRHDASSARRQARDALVCDLLCGRRHRGDVGMRRQVRDLLAAGSSAGGFLAALLFARIMELLLAAVLDRRRRGMDVLLTDGEGFPSSEPQQDRRGRRHRRHERSAAMEELRRAQRGVTRASGARPEREGHRRQEELCGKASRTGRRR >cds.KYUSt_chr7.6280 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37813883:37818905:1 gene:KYUSg_chr7.6280 transcript:KYUSt_chr7.6280 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATAEPSASGVDYSLLIMEAAMAVKMAVKTAVETAPGAIPRPGRVPEQRLLSPELEFRDVAAPLESFWRIHSYASTLFWTSCDLDDITADSSKLVVYFTIELLEMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr4.43089 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266907666:266916735:1 gene:KYUSg_chr4.43089 transcript:KYUSt_chr4.43089 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNAPAAIAPEPVASEGGASKRGASVSWASLMADGPLHKIGKCLLANEEYVDAYSAMRQVCRNWRSGLPEPDVHLHKWIMVDHVLPRAAEFTFLQLGTSRYVTIDLSNVRASWVDESTPTKDIYEDWGEGRFSIENHCLHCITPFNGELYAIAVDNFESGRIVCTNVQLQQRASTVKMETLISFPQLGNDKLYLVKSDGDLLLVLLDNMLLEDQPLVYRVDTQSRSLHPVSNIGSHAFFVHYIRCISVDTRVHPTLRPGCIYYADLGYIREYIHDTKAWDEWPRYVDRMGNYGLRNDGMVSAALVESNRLQIAQAEGLVHHKVAVPMSILWQGPQYFLQGVAEVFSNIGLTEFFYDESPDAMRSLSRGGSPGWIPDNLNEGHLDRFYLMMAGLSLLNILVFAFFATRYKWAGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFSINIGSLISGTILIWVQEKYGYGIGFAIPTLFIALAIGSFFLGSEVYRFQIPGGSPLTRACQVVVAAIRKRNVDLPVDSSLLYEHHGKTSAIEGSRKLEHISEFSFLDKSAVIMQSEHGGCHNPWRLCTVTQIEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMILNNQVGSFKIPPATLSSLDVISIVVWVPIYERFIVPIARRLTGKERGFSELQRMGIGLFVSTIAVAVAALVEIKRLENARSEGLIHQKVPVPMSILWQAPQYLLIGVGEVFTSIGQAEFFYNQSPDSMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTQGGQMGWIPDNLNEGHLDRFFWLIAGLSSLNFLAFIYFAQQYKCKKASVL >cds.KYUSt_chr2.42467 pep primary_assembly:MPB_Lper_Kyuss_1697:2:264552049:264559421:-1 gene:KYUSg_chr2.42467 transcript:KYUSt_chr2.42467 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGQHRLTTHAQIPASSRCAPPCVDYCVTPLFHALPHGATTSDLQFLVVKVLLRAPPAKSRSATTLLIEGCLADHKELLCALVGIATTKAADGAQGFSQTALMTERYQILVREKIEHPQHDLFLVQFALKRQGGVEQRMQGTRFPQYKQTGNNLEIAKKELVQLKRKSWLKDGFYIRLEAKLHFVVRISG >cds.KYUSt_chr3.14314 pep primary_assembly:MPB_Lper_Kyuss_1697:3:86884947:86891014:1 gene:KYUSg_chr3.14314 transcript:KYUSt_chr3.14314 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSAAAGGRADDDLKGTELRLGLPGCESPDRRPAAAATTLELLPSKGAKRGFSDEAVPPAPAAAGGKGKEKSGDEKDKKVAAPPQPAAKAQVVGWPPIRSYRKNTMATTTNQLKSSKEDSDAKQGQEFLYVKVSMDGAPYLRKVDLKTYKNYKELSVGLEKMFIGFSTGKDGVSENKKDGEYVLTYEDKDGDWMLVGDVPWEMFTESCRRLRVMKGSDAVGLGDEERRADVPRRSARPGCLREAVSILGDPKRRLDCVVATFGQRSHSEHRQDGDLWCFFLRFERIFFDLHAGILAGFAPSGLVPGGAAGACVWRSCLSATVKT >cds.KYUSt_chr3.4742 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26937124:26940589:1 gene:KYUSg_chr3.4742 transcript:KYUSt_chr3.4742 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSLAIVEKKPPPPLNAGGCAGGVLFHLLDWHRRLARKRRLFSPRRLLPSAARSSTRRLLPAPPPSPAPPPPPPRPALGMAAGPGVVARLMGLESWPADAALTTAAPPRPQKQRKVDAAPPPPAAVQEDAVSSVVVMLPPSRRPTAPAHSHARSHHSADLPARSPRLVHARLLDRAGPSRGRHALAYACSSPQHRGHAGGTLQDFLSRSDSLAAPPADAERVGSSRWQRMQHSVDTAMGGDAGAGLVMGGTDTIVVPRTDFGDADTSGVDAVRKDCRSGTAGMSSCARVRPSSAGAGARAGEQRLLRKRGTFSRPDVPRSAGSRNLASSTRSIGNAPDLAPGGGSCVTSEKEEFSRLLKAKIDELGLSDKVAPSDAHSANLTVSLLQELIAALTDDANTSASQCSNYSDSSAPLNNADTNASASQCSNYSDSSAPLNNADTGDQETDFTGTNDEPNQPSPTSVLEACFSNDASSLGSPVEKNEDKEFFVSMENKMEDLFNLDSDMVDLAMSIHTTKTDAHGCEEIPHVQSFAAHDFNFLEGRLHIIGEAIANAELLLDSSLFCGTPSTLSLNSFIVEMLETVEGCFCDGSESLGFEEENKYQRTNFLFDCIVESLDSRFRNFGKCGYKAWLRLPLTLNKDLLKRDVSEEISSWMDTGEVPPNRAAEKELDQVAAASRDACQVEAFNISVAIENDILEALVGEFASDQC >cds.KYUSt_chr4.1741 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9300023:9302032:1 gene:KYUSg_chr4.1741 transcript:KYUSt_chr4.1741 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKERLMKMAGAVRTGGKGTVRRKKKAVHKTATTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFANPKVQASIAANTWVVSGTPQTKKLQDVLPGIINQLGPDNMEHLKRIAEEMQKQVAAQGAVQAKEENDDDVPQLVPGETFEEVAA >cds.KYUSt_chr3.48319 pep primary_assembly:MPB_Lper_Kyuss_1697:3:302312522:302318574:1 gene:KYUSg_chr3.48319 transcript:KYUSt_chr3.48319 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLLFVFVALLALPRGAVEAYPWPFCGAGGFLADSNYKASLSLLAAAMPKNASTSPGLFTTAQAGVAPEQVWALALCRGDASVSYCASCLDQAFQDLIASCDYKDATIYYDSCLLTYSNIHFRATDEIRYSPYYPIRNLVNATAEPARFQRVVAALVNATASSAAFNSDTRLYASGQADFDKELPQVYSWAQCTPDFSPDRCWRCLVRIMRELPTFFTDAIGARVLGIRCSIRYETQPFFNGTVTVRLSATSASGPAPAVVPNVVTSVGAAGDDPSYSPEGEDVEGVDSMMIDISTLRAATGDFAESNKLGEGGFGAVYKGTLHDGEEIAVKRMSKTSTQGVEELKNELALVAKLSHKNLVRLIGVCLEQKERLLVYEFVPNQSLDLILFDATKREQLYWGKRYKIIDGIARGLQYLHEDSQLKVVHRDLKASNILLDMNMRPKISDFGLAKIFGRDQTQGVTNRVVGT >cds.KYUSt_chr2.310 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1888167:1888991:-1 gene:KYUSg_chr2.310 transcript:KYUSt_chr2.310 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHGAGCHPALLHGGQAAFAYGVWDATPLAAFDADGRGDQQPLDECFATASELREALLRALNELDAVRGAHQAELRRVESEAARLVASAAAERDELRRHCHSLLVLLHHHQTSPPLQSLPGPPLLHAVGVQGGGEGVCAMDEHVTVVSSNDQQEEDAELEMALARRLPEKGRLVEAVVSAGPLLQTLLLAGPLPRWRHPPPPAPADLVIPPFNPGRQADATNYSFSSASSSSPESNCSGGGVGPAPPVPAVQQQHHALPVPACFHMMGSSFCM >cds.KYUSt_chr6.23974 pep primary_assembly:MPB_Lper_Kyuss_1697:6:151543473:151543760:-1 gene:KYUSg_chr6.23974 transcript:KYUSt_chr6.23974 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCPFAQLFWGTMSARNVATPLVSDAAGCALLPCAPPFTASTLCNGVVFKGLPPLLSLIRKNCNDDAILWRVWLPKEHRCDVDLWLTYFLPRRP >cds.KYUSt_contig_686-1.273 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1736006:1739679:-1 gene:KYUSg_contig_686-1.273 transcript:KYUSt_contig_686-1.273 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSLMESCRYTEAHPRVSTHPRRPAMAAVRVAPRLRSIPLLLPAAAVHRSYSCRSSAAAVDSARAMSSSSSTSSAPSPYTTLVGRVRCEREIKRSKFIAIAASVPNERAAMSFLNEVKDPRATHNCWAYKVGEQLRFNDDGEPSSTAGKPIYSAIVSSGLDMVMVVVIRYFGGIKLGTGGLVRAYGGTASECLKDAPTCLVKPKAHVGMEVPFDLLGTVYHQLQHFQAEDIKQDYDTGKDGTVVVMFKVEYEKIESLGSAVNSACSRKIELLLLTCYDCLHGLVVCGGGDMDGIGGIWNPGMVGNVVGTVSGMDGIVVGTEGIDGMVPGKVGMVGMVAGTGRDGTAPGAIGAMATLGMGMDGTGGIANFGTAGMEGIGGSVVGTAGMEGIGGSVVGTAGTEDAGGSAVGTFGTEG >cds.KYUSt_chr2.22597 pep primary_assembly:MPB_Lper_Kyuss_1697:2:138595898:138596593:-1 gene:KYUSg_chr2.22597 transcript:KYUSt_chr2.22597 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGREQSLSFSSYAFFSRDEISYVASLHSDKFFTSKQSPSATDLSSPSASLLSSPSATASLRQYNACAYSDRDAATADDDLCTVRDLCAASVKAPALPDPSSLDQRHASLLAYARTLALVEPRFPVSADRAHVHSLSFTWNDAFKTSKKANLPSLHLERAAVFFNLAAVHSQIALAADRVTDVRIRTACGAFQSSAGAFTLLRESGIAAKGKGGGRRCGKGGERSSGRR >cds.KYUSt_chr4.6028 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35385730:35388406:-1 gene:KYUSg_chr4.6028 transcript:KYUSt_chr4.6028 gene_biotype:protein_coding transcript_biotype:protein_coding MATARVGACLLVAVMCLSCGAAAAARSPEARMHRHLKRLNKPAVKSIESPDGDIIDCVHISHQPAFDHPYLKNHTIQMRPSYHPEGLYDESKTSSADGEKPMVQLWHQNGRCSPGTVPVRRTKKDDLLRASSFRRYGRKRHTVANPMSVDPAMLNEGGHQHAISYVQGEKYYGAKATINVWDPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFVQINSEVAMGASIFPLSGYSGSQYDISILIWKDPKEGHWWMQFGKEYVLGYWPSFLFSYLADSASMIEWGGEVVNSQPDGVHTSTQMGSGHFPEEGFSKASYFKNIQVVDSTNNLKAPRGLGTFTEQSNCYDVQNGNNGDWGSYFYYGGPGRSSNCQ >cds.KYUSt_chr4.50089 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310307543:310315837:1 gene:KYUSg_chr4.50089 transcript:KYUSt_chr4.50089 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVRQRQRSMFVIVGDKSRDQIVNLNYMLAKSRVKSRPSVLWCYRSRLEISSHRKKRAKQLKKLMQRGLMDPDKADPFSLFLETSDITYCQYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLVILLLSSLSSLTSLYTMVMDVHERFRTESHTTAATRFNERFLLSIASCKACIVMDDELNILPISSHMKFIQPVTNNEDSEGLSERERELKDLKDQFREDFPVGPLIGKCCTMDQGKAVINFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLNTLFDFVCKGMNALEYKEHLHYDVVKSADPNLRKATIQINVHKQHRQTIQYMKPHDHGKLSQVELLVIDEAAAIPLPIVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSQPSASSDKPNSSRLFKKIDLNESIRYASGDPIETWLNELLCLDLANSIPNISRLPAPKECELYYVNRDTLFSYHKESEVFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGKVDESENQLPDILCVIQVCLEGQISRKSAIRSLNEGHAPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPGALRLGYGSAAVQLLASYYQGKMSVFKDEEEDEEPGIKISEAAEKASLLEECIKPRANLPPLLVNVEDRRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIPSAVTGEHTCMAMSPLNSDDIKVGGDSIELGFLEPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDFSHHEPSDYDISLTSKLLRDVLSPHDMKRLEAYSNNLVDYHLILDLVPILAHEYFSEKLPVTLHGAQASVLFCMGLQDKDISATKEELGIEREQVLSNFIKTMKKLYGYLHNTAGKEIEATLPRLKEIEMAPLSRSMDEDLDEAAEEVKEKRRAADEAAVDPKLLQKYAIDSDDFEIEKALQDGKLSASGVISVKSSKTNADKKEKHRDTEKSKRKGTDGSRSSKKKRT >cds.KYUSt_contig_915.74 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:469421:470775:1 gene:KYUSg_contig_915.74 transcript:KYUSt_contig_915.74 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDAWMEVMPATDHYASTRGGWFMPARAWTADENKQFEQALAGLDLGSPDWERVARETGKTVGEVVTHFKDLEVDVLQIESGMMPFPVYAAGGAAAAAAAFTLQWDGGHGGAAGDFRHGYRFGAGCGGGKRHGGRTAEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLSSGGGKDKRRSSIHDITTVHLTDDRPPSPPSSLINQSSTTPAPTSAAPGQFSLPAGDTKQQQHSGPFSSPGRTLGMPNYAMGLQDQALQCGPLHDQLAANRSMLY >cds.KYUSt_chr4.32520 pep primary_assembly:MPB_Lper_Kyuss_1697:4:199552762:199553793:-1 gene:KYUSg_chr4.32520 transcript:KYUSt_chr4.32520 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKMTSASARVVLAGAHGGFHDELTTTMAEARHCATDYPADFATGSVRVVLAGAHGGFHDELTTTMSEASQPLHRFTNRRNDASESDPMPLFRMCLPILVAFLDS >cds.KYUSt_chr3.43827 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276655368:276660421:1 gene:KYUSg_chr3.43827 transcript:KYUSt_chr3.43827 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPPPAAALEQLSKTKMFGGHNLRFRHHSATLGCPMTFSLFLPAAPPSKLPASGLNVEGEADSWDFGVGAGFYLNATNDKWKNWRMYDYVVKELPEVLSGNFEQLNMSCASIFGHSMGGHGALTIYLKNTDKYKSVSAFAPIANPINCPWGQKAFSNYLGSAKSEWEEYDATCLIKKSNGVSTSILIDQGEDDKFLAEQLLAGNFEEACKAAGVPLNLRMQPGYDHSFFFIATFIDDHIAHHAQFLKRA >cds.KYUSt_chr3.13881 pep primary_assembly:MPB_Lper_Kyuss_1697:3:83950183:83953080:1 gene:KYUSg_chr3.13881 transcript:KYUSt_chr3.13881 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRMLLKVIILGDSGVGKTSLMNQYVNNKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFEKLNNWREEFLIQASPSDPENFPFVLLGNKIDVDGGNSRTVSEKKAKAWCASKGNMPYFETSAKEGFNVEAAFECIARNAIKNEPEEDVYVYFLK >cds.KYUSt_chr1.3251 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19658678:19661128:1 gene:KYUSg_chr1.3251 transcript:KYUSt_chr1.3251 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTLKPSSELHQLIDQKSMNMLGAQGNPCAAAPSLVFLVQLLLLCLLSLFFTGSPQSNPSNCPGSSVAIPYPFTISHNSSVVRTPGFDILCERAGPMLSLGGKMYTVMEISLDHGYLRVTGDTVYSQCHQQNNGPVTTNFLDLAGTPFTFSHTLNKFTVVGCDSMAMIRSRGFRAGTIMSSYVYRGGCVSFCASEVSITSGACSGVGCCQASVPEELDVLDLEITSIRSQLMQSSSGSSPNISRNNTSAWCTKAFIADQGSYVFSRGDLDRNLTNLPMVLDWSINRRGSCSEARSAPQTYMCRENTECYTVANNTGYRCNCSQGFAGNPYLKGLDGCQDIDECKYDDKNPCSHKCINRVGGVDCLCPMGMTGDGKKKSTGCSRDTKLLIAAGGGLPLMIFLLMLGFWTHWLVKKRKLAKTRQGYFLQNGGMLLKQHMFSQRAPLRIFTSGELEKATDRFSDDNIAGRGGFGTVYKGVLCDQMVVAIKKAQRVDESQVEQFVNEMLILSQVNHKNVVKLIGVCLESEVPLLVYEFITNGALFHHLHNTSVPMPWEDRLRIAFETATALAYLHMATKPPIIHRDVKSSNILLDESFTAKVSDFGASRPMPLNQTHVTTLVQGTMGYMDPEYFQTSQLTERSDVYSFGVVLIELLTREKPIFCDKMDEVRSLALHFSILFHENRLMEIVDHEVADEAGARHVKTVAQLALRCLRQKGEERPRMIEVAVELEALRRLMKQHSVVDPEE >cds.KYUSt_chr7.9238 pep primary_assembly:MPB_Lper_Kyuss_1697:7:56171054:56171449:-1 gene:KYUSg_chr7.9238 transcript:KYUSt_chr7.9238 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAREAAAALVGVGSNEQATAAAAGHVGHAALWRTPTPYLFIGFTLMMGLIAVALLILVCTRRKPSAGSSRRGSATEEASARGTMAPLDREPKVVVIMAGDHMPSFIASARPFAFATAAQAGEQRKVDAA >cds.KYUSt_chr5.30059 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190591771:190593840:-1 gene:KYUSg_chr5.30059 transcript:KYUSt_chr5.30059 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEDRVAAACSSSSLGKDSDEGPSPAGKEDGEEEVQSAYGGAGLAGLGALEEALPIRHGISQFYNGKSRSFTILKDAIGTSGSAKVIAKADNAYTRKRKILLAHSIMYDKSQTTEAETYENGIPKRIASLSRLRPVDSISPNSSCSSLSSDENELPQQSIFVQSPDHTSHFASPTMTAPWLGFCVPKPLPVPVPMRSFSMMNLHRLHRRCSSVRLKEERKAD >cds.KYUSt_contig_319.1330 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8852873:8854507:-1 gene:KYUSg_contig_319.1330 transcript:KYUSt_contig_319.1330 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSKSKKLMAGVLDHLQRPSTWYYLTPVAVACAPVLKTYFNQNLRRPMRQLLPFLDPFVTIDILAKPEQNSNPYMVASVKSSDAYAEVLAYLSAVCSLEARELRAEGAAEGHGLVLSLREGQEVADEFKGVTMWWSAVAEGVTVQGSPGRCCRLTFHERHRRLVVEEYLPYVRRTGQEVTFGNRPRRLYSNNKDVSYISSREEVWRYIDFHHPTTFDTLAMDPAKKKKIMDDLDNFRNNKDYYRRIGKAWKRGYLLYGPPGTGKSTMIAAMANYLNYDIYDIELTTLQTNSDLRKLFIETTGKSIIVIEDIDCSLDLTGSRLATKLPQAQGNAGAQQSYRSANANILTLSGLLNFIDGLWSAHSGERIIVFTTNYIDNLDPALIRRGRMDIHIEMSYCEFGAFKTLAKNYLGVEDHQLFHTIEELLKEVEITPADVAECLMASNRTDRDADACLERLIDELNAKKKDQTEAESAVTPIGSEATANGATNDDGGESSEDDSYILSKVLREVC >cds.KYUSt_chr1.32501 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197367056:197367511:-1 gene:KYUSg_chr1.32501 transcript:KYUSt_chr1.32501 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCSLKPEQVWFDRTAGSGKVRCEAGLPRQIWRRCLWLAAGSRSVRRVPHDRFERSAENPPTGAEQGRTSSATATDNNDGSAPSTSDRRGHPDPDQVTRPPTGDSGLRADADIDSLALACGRGPACQPLLTVRVVGLDPVRPLLLRPLLC >cds.KYUSt_chr3.46602 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293080805:293085332:-1 gene:KYUSg_chr3.46602 transcript:KYUSt_chr3.46602 gene_biotype:protein_coding transcript_biotype:protein_coding MIEREARGQGADVQNEDYYPMAEVVNKYRYGYDLVKPGQLVRLGTQMRRLHEWYLQACRKSERYLTVAVRDEHYFRGKEEINLEFEELFQLFNQDALDKARHRCYCLVSDLFIMKMLECKRGKLHDIGFIDPNTVHEVTVRQYSKDTDDNMLLFLQKQANKEDIYFPYNFNFHFILLIIELKKRVVLVMDSKRKEHAEWANMAAMLQRAWKRFINTVPGKWKPELTFKDYPPFGLMPGFQDGDLVLFESRAIAKYVIRKYGTADLDLLGENSGIEASAMVDVWTEVEAQQYYPAIAPAVFECIINPSIMRTAPTNQTVVDESLERLRGVLGIYEARLEKSRYLAGDNVSFADLNHIPFTFYFMTTPYASLFDEYPKVKAWWESLMARPAVQRVCKNMPTKF >cds.KYUSt_chr4.51203 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317534948:317538003:-1 gene:KYUSg_chr4.51203 transcript:KYUSt_chr4.51203 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEATQMSAATAAAAHAICLAGLAAAHSLAGPNALVSDPALTLRLLVVCEAPLVIAVFSLLRRDPKRCSFLKAAARGLLGLPVGALLNAFGAVVLGAPVGIKFWTATIYWSLLMSLFTVSCLCSGIPIQESPLVHNKVTNCKTGTCAGLVLFVPAACVFGASKLDWQNVLSYSTYFTSSDVGNYMISAPCHGAVIGAWLGAWPMPLDWERPWQEWPICVTYGAIAGHLIGMVLSLVLIVAHRRRVRVKAD >cds.KYUSt_chr4.21026 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132485323:132485914:1 gene:KYUSg_chr4.21026 transcript:KYUSt_chr4.21026 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHMRSLIGVVVTMLLLLACCEASSSQQLQQRQHYPRKMLDVGGAPSPSDIVIVHGCSDPEELMHLSQSRAGSTGGGMPEYTVEITNTCLDCNVCNVHLSCGDFASTELVDPATFRRLAVNDCLVNNGGPIGPGELITFHYANSFIYDMKVKSASCKCA >cds.KYUSt_chr4.13529 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83330388:83330879:-1 gene:KYUSg_chr4.13529 transcript:KYUSt_chr4.13529 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDKKITLKSSDGEQFEVDEAVAMESQTIRHMIEDDCADNGIPLPNVTAIILSKVIEYCSKHVQAADGAAAADGAPAPAPAEDLKNWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTAEEEEEIRRENQWAFE >cds.KYUSt_contig_402.204 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1137295:1137522:1 gene:KYUSg_contig_402.204 transcript:KYUSt_contig_402.204 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTRDGALGAAALVAGAVGAYFFWPAAVAVGATMKAPGAAGFLISKAAFLANPQLYFQILRTAGAAAAAAAFAL >cds.KYUSt_chr1.25579 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153560289:153561703:1 gene:KYUSg_chr1.25579 transcript:KYUSt_chr1.25579 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAGGEDQEAVPRVVSILSALLERVAERNDVVAAVERRIREEDEHDVDDDPEEDVKKTKKKKAVSAFQGLTKPAISVGGYLERIFRFAGCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLMEMNYLEVDFLFGVAFDLNVTPATFASYCSVLQTEMAYLEHPPPSPIDAVSPRLMQHYLPEQDQVDATAATSCHRHKQQLQLTV >cds.KYUSt_chr5.30227 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191645016:191649255:-1 gene:KYUSg_chr5.30227 transcript:KYUSt_chr5.30227 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSAGVSSPRASAASAAGPAVLSSPRAAPRAAQQFHHRRWAPAPYRAYLLALWLAGFALVFLWQNTSAGRLRLYTRPPTPTSTPRRAPSAMGQWVASPPEYDLREFGGVGDGRTLNTAAFEAAVAAIAERGGGRLTVPAGRWLTAPFNLTSHMTLFLASGAEILGIQDERYWPLMSPLPSYGYGREHKGPRYGSLIHGQDLKDVIITGHNGTINGQGQSWWIKFRKKLLNHTRGPLVQLMRSSNILISNITLRDSPFWTLHLYDCKHVTISETTVLAPVVGAPNTDGINPDSCTDVMIENCYISVGDDGIAIKSGWDQYGIAYGRPSTNITIRRVTIRSMVSAGVSIGSEMSGGVSNVLVENVHIWSSRRGVRIKTAPGRGAYVNNIAYRNITLENVRVGIVIKTDYNEHPDEAFDPKAVPIVGNISYTSIHGQRVRVPVRIQGSAEIPVTNVTFHDMSVGLLDKKYHVFQCSFVQGQVIGYVFPVPCKNLDLYNERRELVKESTLQNISDIDYSF >cds.KYUSt_scaffold_1259.433 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:2930289:2937794:1 gene:KYUSg_scaffold_1259.433 transcript:KYUSt_scaffold_1259.433 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGDDGGGVSSAAIAGGRRGRGRGRGRGRGRLQPEDRAFRSVALRPQDDGLGVAAGERLTRKRKHAPNAYCDLDSGDDHEDDEPNGYSEAQLVNHISKTQNKTKVRGRPAKKTKGQEDGEAQLMSGKSQDESDEDDDLNGYKEEPLINHISKTQDKPKDNVDTIEAVTKKKTRYSEKEPPRKDISRENQIRYAFRIVRLLLPWLRELRQEQMEEKSVEAKRQGASMKEVKLERAKPHPDERTYWCRVCPYDLCIACCREIRQGKIPGGEDIEIAQYEDRGKEYVFGRIPNSRNRDKRVPFKRHKDTDKTVVPWKANSDGSIPCPPKEKGGCGGSHLDLKFLQTETILSELEDRADKLVRSGTFNEGVANASNRCPCSDHSDKRTQTANRDGSGDNYLYSPIAEDIQDDDLVHFQNHWAEGEPVIVSDVLRLTAGLSWEPSVMVRALRERKTANLAVEALDCLDLCEVEIKICDFFKGYTRGRTHPSSRWPQILKLKDWPPSSSFDERLARHGAEFISALPFPEYTDPQYGPLNLAVKLPARSLKPDLGPKAYIAYGIRQELGRGDSVTKLHCDMSDAVNILTHTAEVDYKIGDLHDIEEIRSKMAKQDYQEFYEDLNEANKSGELHNGGRSTLGKQGNRGLRNKVTGGPSKRVPKSSVEQNTGGALWDIFRREDSQKLQEYLTKHASEFRHIYCNPVKQVIHPIHDQTFYLTEEHKRNLKKEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCVKVAMDFVSPENVNECIKLTKEFRCLPSGHRAKEDKLEINKIAFHALKAAVNLLDPSSGVLDSEDDQLSDEAVDEKRKRPGRRPRGGMKNKRQAGRKSEDQSRDEGVDKKHKNGRGLPSGDKSSDEALVEKRGPGRPGRNLQSEGQSGHEAADEKPRRRGRPSRNQKNDGQSSDEAVAKKPRRGRGRPSRNLKNEVQSIDEAVDEEHQREPRTRGDLKRLCMDEAVYERKPKRGRGRPKGS >cds.KYUSt_contig_60.380 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2231884:2232289:1 gene:KYUSg_contig_60.380 transcript:KYUSt_contig_60.380 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLDRRLLAWSDSDTEDDVGGGAATPPDYASYDRCGAVALVDDVLIPGTDGQCRHGGARSRPASGRRKACCAAAGLVAVGCLCAYLSWRCHTGKH >cds.KYUSt_chr6.5927 pep primary_assembly:MPB_Lper_Kyuss_1697:6:35543392:35543820:-1 gene:KYUSg_chr6.5927 transcript:KYUSt_chr6.5927 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPLRAFLVAMVLSFLLGAATCSRTTTTLSSFQNLAEDKSRLGSTPPSCHNRCNACNPCKPIQVATTLPSGSSRPSTSRSAADEAAAYAQYSNYKPLGWKCRCAGRLYNP >cds.KYUSt_chr4.18770 pep primary_assembly:MPB_Lper_Kyuss_1697:4:117761967:117762881:1 gene:KYUSg_chr4.18770 transcript:KYUSt_chr4.18770 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWSGVWEAEPQQGPMLGLAQSGWEQAAMVNQAERLFGPGVQDLPPTIGTPITERTVYEINEFTALLEPASTGWQLQDIFATPAAAPALQMTAGQPPHSPSPPELASDSDDDRLFEVTLKSNALRALRDAELCGSARHADELNSPAKPRRQLPEPPSMEDVTSRVADMHVDPKTGIMSKLMGMLSPSLLGFPTNAARKKKAESKKSAMPAAPSRRSERPTTRSSSLLATHKAQASACKQLGLIQQESDFNDEILAQYLHLYRQPLSSANVQGLASLAEISSRPGFVLQDKDMAALLKESPYAA >cds.KYUSt_chr7.5716 pep primary_assembly:MPB_Lper_Kyuss_1697:7:34267366:34268755:-1 gene:KYUSg_chr7.5716 transcript:KYUSt_chr7.5716 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALALLDQTLPFGSDRLSKEIFSILESNFLFGAQTPELGAGRVRVLSIDGGADGGALAAAALVRLERQLQELSGNKAARVADYFDLAAGSGVGGFLAAALLARGMTAEQARDVVAKNRKVFSGRAGRGGLFSSRPEAVFKKVFGDLTVRDAAKPLLIPCYDMATAAPFVFSRADAVEAEAFDFPLWQVCAAACGVAPAEVASLDGRTRLRTAGAGGAGVANPTSVAVTHVLHNKQEFPFAAGAGDLIVLSLGGSAASVARSSSSSSILRIASACQADMVDQAVSMAFGENRATNYIRIQGNGIAAGATAEAAMTERGVESVLFRGKKLLPQTNGERLDGVAEQLVREHRRRMDSKTPTVLIKLSATPRTSSSSASTLITVSTNSSSESP >cds.KYUSt_chr4.6780 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39949135:39952184:1 gene:KYUSg_chr4.6780 transcript:KYUSt_chr4.6780 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYCMLYADYFADSPLHDGRGKVNDITDGGNSRSDVLRCSSESPKKRLYAWEIVSMLKELESTNEHIKNADESPAVQISPCPWEFLEFDKLELNFSFEINKQTACSLQLTNVRDDCVAFDIETTNILHCSMEPSRGIVPPRSKCDVMVTLQARECATVCRDEFVVRCTAVNEGLTAGDITKQMFGKKTAKMVDEVTLMVVICPISIT >cds.KYUSt_contig_2097.285 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:1495244:1501573:-1 gene:KYUSg_contig_2097.285 transcript:KYUSt_contig_2097.285 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKNIKEEGEVIVEEESPEVHRNIVVSDLNPPTRDVPIQGRGEVREIGPPFSALLGAMRPLVAKLNMLLLMDAAQELSSERVKDGMCLLKHDVEKISSYLGDLSEVEDLPATANCWMNEARDMSYDMDDYMDNLLFVWPNPSFVANNIKSTGSLCKLSSHVKTPNTMVNIAAALSEFRMYVQEAIARHQRYDLHSCSTLRRRFVPLGPMLLPTPYEETADIVIDSRMSDFINSLANDGDQQLKVLSVLGSACLGKTTLARVLYNRFGKQYHCRAFIRVSKKMDIKRTFHDILSQLQRHNLAQDSEEIDFIENIKKYLQNKRYLIILDDVWAASLWDIISHAFPNGSHGSRIITTTQIEEVALACCCYQAEHVFEMKPLDDDHSRKLFFNRLFGSESYCPEQFKEVSNEIIEMCGGLPLAIISIASLLASQPFVLVDLLACIHHSLCFLSPNSTLERTRQVLNVSFSYLPHYLKTCLLYLSMYQEGYKFCKDDLVKQWVVEGFIDTTGGPDIKKVAESYLDELICRRFIQPIHINYNNEVLSYAVHDIVHDLIVHKSEEKKFILAVDYSRKNVSLSHKVRRLSLLFGDARYAKTPPNITMSQVRSLRYFGSFECLPCLTDFKLLRVLNLQLLSLHGNNDPVDLTGISELFQLRYLKIACHVCIKLPNHGLQLLETLDIMDARVTSVPWDIHLPHLLHLSLPIEGNLLDWSVSKGSLGKLNFLEDLSLGPSTPSYVVERSMEAIGSLIEGHASLKTIQVVAHGSGVRGTSKVTILWDCMPPPPLLQKFECSLHSCIVFYRIPKWFTEHGNLCILKISVRELTINCVDILRGLPALTALSLYVQRAPIQRIIFDKAGFSVLKYFKLRFMTGVAWLAFEAFAMPNLWKLKLVFNAIPPMDQHLLYYCNQGTFKRHQRGTAVIGIEHMPGLKEITVEFGGADANVEYASRTFVSNHQRNPKINMQIVGYNSYGDESKKQKKQPVSEILEEPDEYDNALERAADKRSQESSSRLHVFTMEELGSATSNFSKENFLHGNRDINRLGVYKGSFGGNFRPGLGPQEVAVIRYPNHSNRNDCRCLSELEYLRTVHHPHLAKLVGFCVKGNCMMLIYEYTASISLNKFLIGKDSYDYILSIPLLPRLEIAVGAAKGLAFLHEADKPLVHGHFRASCVLIYPNYLAKLLGFRLVKESKRRYEKAMMKDVYNFGVVLLALLAGRPPIDTRYLSRKRYLLKWSRPYLRREDKLYRIMDPGLKGQYSARAAWGAATIAHRCLKRVPKERPCMRDVVDALEPLLALKDGYPPRTLASGMEQQSKTTKWSHVFVKRAKAVSTIACLR >cds.KYUSt_chr5.14064 pep primary_assembly:MPB_Lper_Kyuss_1697:5:91318745:91319293:-1 gene:KYUSg_chr5.14064 transcript:KYUSt_chr5.14064 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDNERGSSTSTVAFPLPHADLDFVPIDPPSRETSSREEAEEQALLLYALRHAAGVDMEMEPGDDDDERFALPIPHLSLAGGNPFPSRTARVASPAVAVKKLKLETRTYGGPELEGRSADDSTGCVICIQDYEVGDEISVVPCSGRHQFHRRCIDVWFTRKRLCPLCRHALSAELQRGLN >cds.KYUSt_chr1.11488 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70491435:70493994:-1 gene:KYUSg_chr1.11488 transcript:KYUSt_chr1.11488 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPQLRKVMDQIHGRLPEAMLIALRIHFGTLPASAAAGREDVACSLRQLQSRARPDATPTTTAPPAPLRLISGSCYVPQHDEDSHFCHDVFGVVAVADGVGGCRAQGVDAAAFSRGLMENAFSEVATSELGKPVCPYEILERAYQRTAASGTPAASTAVVVSLAGRVLRWAYVGDSGFAVFRGGKMLRRFQPQQWYFNCPFQLCAGNGITKVGDAAVGEMAVEDGDVLVVGSDGLFDNVSDSSLESLVRSTMLLGFTPEEVAGIMAFKAYVVSKDPTTDSPFSIASREQGQPRTGGKEDDITVVVAFIESQDSGFVQDLDWAKPEAPADMAFDTVRVKVELTKNFEIGVSNKTAEFVMMIPLRKRNAIGCYGKHCATPFDLNLPSFSLIKLCVFIPEVARSKGNSCTQGLVSNTKIFYEVAVKRQLLWFCNYKYHKENTLSYVALNKIGRFLLWMDLCWKNAFGKMLVIGSVAPFWVKGHPHGDQT >cds.KYUSt_contig_1467.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:186682:188916:-1 gene:KYUSg_contig_1467.25 transcript:KYUSt_contig_1467.25 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGCPSRWRSTGSRGGDLDRWWRVRLGTPSATRRGEDQAKVALLRRSGGFGLDDCMVLRRLGGGGSPPLVVARFGNGWCAGLPRCYAAVGGGLIWVVCPAGGGGRDGGGLQGETLGPLLLASRQPAVEAYVEELEKEVRRLVDDNLKLKKQCKQLKQEVAALVLPTKSLLRRTSSTQF >cds.KYUSt_contig_1798.53 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000208.1:429471:436429:-1 gene:KYUSg_contig_1798.53 transcript:KYUSt_contig_1798.53 gene_biotype:protein_coding transcript_biotype:protein_coding MDRADPARGRLAVLSSHLLGAGAEGADRAAVLERSPVSAAAPPATRPGVLAVVDTRTGKRYEVKVSEDGTVRATDFKKITTGKDDKGLKTYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEEVAESSSFVEVAYLLMYGSLPTQSQLAGWEFAISQHSAVPQGLLDIIQSMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQVRDKQIVRVLGKAPVIAAAAYLRLAGRPFVLPSNNLSYSENFLYMLDSMGDKDYKPNPRLARVLDVLFILHAEHEMNCSTAAVRHLASSGVDVFTALSGAVGALYGPLHGGANEAVLKMLNEIGSVENIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFTIVGRDPLIEVAVALEKAALSDEYFIKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAVPRMAGWLAHWKESLDDPDNKIMRPQQVYTGTWLRHYTPVRERVPSSDSEQLGQITTSNATRRRRAGSAL >cds.KYUSt_chr3.45926 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289143567:289150570:-1 gene:KYUSg_chr3.45926 transcript:KYUSt_chr3.45926 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEAGRDASTSCGKVVELLAHRAHRGYLFDAAIQEFIDIGIPRYKIRKYKNDWLEQGSHLQDSTVSKNNFELDARTARLSGASYASATVGHTPDVHAFPLPGPRAERHPCPDLAARRPELGCSCDLLLVGSGGHDVVLVPVLCCGLLF >cds.KYUSt_chr5.42460 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267608119:267609180:1 gene:KYUSg_chr5.42460 transcript:KYUSt_chr5.42460 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHDGAAAPPPPQQKRDEWSEGGISRLLEAYEAKWLLRNRAKLKWSDWVDIANDVSAHCSSTSSEAAGKPGGGSAKTPNQCKNKVESMKKRYRAESAAAARAGSGAAGTGTASASATSWRFFGRMDGLLKGPAAATSCSGQLHTEPTTSISAVHAAKAEPEIDAHAEADVATLRQPPPPDAGLAAGTFSDLLNADANGSASAAEKVEQVIQKQSSRAADSDGANLSSPRSKEEANGVGADEACDDDALPSSRKRKGSGSELDVARSIELLASSFVKIERARMEMYRETERMRAEAEVKKGEMELKRTEIMAKTQLQIARLFAKRLREFSGNGRNGGSSSEVDGIAKKGENG >cds.KYUSt_chr4.43099 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266992961:266994847:-1 gene:KYUSg_chr4.43099 transcript:KYUSt_chr4.43099 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSPSFSITTASQLHDAIDRLLPLLRADAAHLPAARSLASAAAALPPSTLLSNRLLHLLSSHPASLPDALSLLSSIPNPDPCSYNTLIAALSRSPRHLASARALFDRMPHRDHFSWSAIVSAYSRHGQPLDALALYRRMQQEPGNAAADNEFTASSALAAATAARCARAGRELHCHVARRGIGAGDAVMWSALADMYAKCGRVDDARRVFDGMPVRDVVSWTAMVERYFDARRGGEGFRLFLDMLRTGGVRPNGFTYAGVLRACAELAVESLGRQVHGRMSKSSIGNSCFAESALLHMYSKCGDMESVVRVFEGLTEADMVSWTAMISGYAQNGQPEEALRYFDKFLRSGIRPDHVMFVGVLSACAHAGLVDKGLEVFHLIKDEYCIAHTADHYACVIDLLSRSGQFDRAEEMINKMTIKPNKFLWASLLGGCRIHKNVHLARRAAEALFEIEPENPATYVTVANIYASVGLFDEVEGVRKIMKSKGITKMPASSWIEVGRRVHVFLVGDKSHPRAEEIYALLKKLYAKMREEGYVADTGFVLHDVEDEQKEHDIGYHSERLAVAFGLIASPEGAAVKVFKNLRICGDCHSAIKLISQIAQREIIVRDSNRFHHFKNGSCSCKDYW >cds.KYUSt_chr4.40827 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252185123:252185464:1 gene:KYUSg_chr4.40827 transcript:KYUSt_chr4.40827 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPVVTALLSFLADVGFPQRLAESWAWNDPCKDWLGVSCYQGKVTLLNLTRYGLNSSVSASLGNLSALSDVRLNDDNLTGLESGPVQLNCVMFGEMPSLLEQNYLVSFYRHV >cds.KYUSt_chr3.24245 pep primary_assembly:MPB_Lper_Kyuss_1697:3:150463930:150464575:1 gene:KYUSg_chr3.24245 transcript:KYUSt_chr3.24245 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGEEEETQKSGDATTADEGGQDQEAREEEEGGLMAGLVGKAREFVSEKIAQIPKPEAALERVSFKSISSEGVTLHSHVDVSNPYSYRIPICELTYTFKSDGKVIASGTMPDPGWIGASGLTKLELPVNVPYDFVMSLMKDLSGDWDIDYVLDVGITIDLPVIGTFTIPLTTQGEMKLPTFRDLF >cds.KYUSt_chr5.36246 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229083774:229084271:-1 gene:KYUSg_chr5.36246 transcript:KYUSt_chr5.36246 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPEAATVAPEAGAGDDADGYRIRPLELGDISKGFCDLLAQLSPSAPLTEDAYRARFAELARLGADHLVLVAEHAATGRLAAAGAVLVERKFIRRCGTVGHVEDVVVDAAARGRGLGERVVRRLVEHARGRGCYKAILNCTPELKGFYAKIGFEEKNVQMGLYF >cds.KYUSt_chr1.23116 pep primary_assembly:MPB_Lper_Kyuss_1697:1:137144563:137145763:-1 gene:KYUSg_chr1.23116 transcript:KYUSt_chr1.23116 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRYYYSAAPVGTGMGPLAGQLGEWLCRAVQPPAPTPCGSPGGPPVTARRVRLRDGRHLAYEESGVPRDTARFKVIFSHGFTGSRLDSLRASPEVAEELGVYMVGFDRAGYGESDPNPARSVESAALDMADLADALGLGDKFHVVGFSLGCHAVWGALRYIPDRIAGAAMLAPVVNYWWPGFPAELAAREYGRQQRGDQWALRVAHHAPGVLHWWMEQSWLPLPTSTVVANTTYLPNKRDAEIRRTLTADGTLRNKREMATQQGIQESYYRDMAVMFGKWEFDPMALPEPAKGCPVHLWQGDEDGLVPVALQRHVAGSLGWVNYHELPGTGHFLSAVPGLGDTVLRTLFG >cds.KYUSt_chr5.29642 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187950222:187952441:-1 gene:KYUSg_chr5.29642 transcript:KYUSt_chr5.29642 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHLLLPPHILSGHGPPPLLRLHKKPSPLLHLLPRAAVAATGASAAGSALSAPSDAALQNFRRWLSTHGADTGAAAPAAVPEGLGLVAARGLPRGEVVAEVPKKLWMDADAVAASDIGRVCGGAGDLRPWVSVSLLILREAARGGDSLWAPYLAILPRHTDSTIFWSEEELLEIQGTQLLSTTMGVKEYVQSEFDNVEAQIIAPNKDLFPGTITCDDFLWAFGVLRSRVFPELRGDKLALIPFADLINHSGDITSKEPCWEIKGKGFLGRDVVFSLRTPLEVKSGEQVYVQYDLDKSNAELALDYGFTEWNSSRDSYTLTLEISESDPFYGDKLDIAELNGMGETAYFDIVLGESLPPQMIPYLRLLCLGGTDAFLLEALFRNKVWEHLELPVSRDNEESICQVIQKACKSALAAYHTTIEEDEELLEREDLQSRHLIAIEVRIGEKKVLQQINDIFKEREQELDDLEYYQERRLKDLGFLGDNGEIIFWES >cds.KYUSt_chr2.47182 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295152899:295155185:1 gene:KYUSg_chr2.47182 transcript:KYUSt_chr2.47182 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHQLMHAAPAMYNGGGVAVSHGMWWNSNATAAVPAAACSTELAGFNTWPSALHAAHGYDVVADGGKAKSCTTTASSESPGGNNSSITFQETASINDPAAGSATVAGFTDWNNPYMSSDAGNMHGFLQVGHHDMSSRTDQSLMNTSPNNLDLALQGHHHQQQQQLLSSLGAPELLLSPNSPYGFQSSLLRSLMEPMAKPAAGIQQYQYQQMGQTAGAREPLQFTNDAAYWNASSAGFGMALAAPPATTDQGRARAAVKPAPGPRGANLALKNVLEGVGDSGSITTKKAKGEPAFKKPRMETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGVLSAPYLKHGHHQHQVPQYLKSSSASPDKSSKDGEVSLKGRGLCLVPISSTFAVASEVPVDFWTPFGAQFR >cds.KYUSt_chr3.40323 pep primary_assembly:MPB_Lper_Kyuss_1697:3:253955358:253955921:-1 gene:KYUSg_chr3.40323 transcript:KYUSt_chr3.40323 gene_biotype:protein_coding transcript_biotype:protein_coding MTMESQAEALGQLGADDLEGKFAMLETTSVDDDLAQMRKELSGSSMKGELPPGRTMASKPGSPFRDREIENELNELRKKAKEY >cds.KYUSt_chr5.16928 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108992505:108996302:1 gene:KYUSg_chr5.16928 transcript:KYUSt_chr5.16928 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIRSFHPRPARFSPWPLDAAKLSSLVQLRKYSMTDGTSYVRDPIVRGRQVRSFGRFLLLSTDQRYLIKVSIQEHVPEEAGGTSDEGMLAGHKVAAEKVGVLFRSWEVALDQKTYELIGTDYPGNMTLKDSGSSPNLDGSGGVDVADGSEALEQMAPSSPVK >cds.KYUSt_scaffold_1854.261 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1404591:1408372:1 gene:KYUSg_scaffold_1854.261 transcript:KYUSt_scaffold_1854.261 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEVDSVPAADLTGQGRTVCVTGAGGFIASWLVKRLLQKGYTVRGTVRNPVDPKNDHLRAFDGAADRLVLHRADLMEPETLVAAFTGCEGIFHAASPLTDDPEKMLEPAIRGTNYVLTAAADLGIKRVVFTSTIGTVYMNPNRDPEKPVDDNCWSDIEYCKETANWYCYAKTIAEQQAFELARQRGVDLIVINPVVVLGPLLQTTVNASAAHVLKYLTGSAKTYVNAAQAYVDVRDVAEAHVRVYEAPSACGRYICAEGTTLHRGELCRVLGKLFPEYPVPSKCKDEVNPPAKGYKFTNQRLKDLGMEFVPVLQSIYEIVKSMQEKGMLPVLPTQDDHVLWKVLEGSRKVRKKPPRKVESTWDSTSMAGQP >cds.KYUSt_chr5.35753 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225826614:225833192:1 gene:KYUSg_chr5.35753 transcript:KYUSt_chr5.35753 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYGDRDLPEFHNLTTLILDECDFTYDEPTLLEYFLQHAPNLEKLTLKNCEPTLRGSTTKPVRKTWRGQSSTAQRCGKDQHQDGTCPDHPVQILAETLDRIEAAQSTTAQRASAVHHPFLVTDPVEALRPRPHPAAPPMALRRRPPTPLNSGRENHLPCPPPHRTGRRRHHRQRRWQRRPGEGGEVMEDYGDRDLPEFHNLTTLILDECDFTYDEPTLLEYFLQHAPNLEKLTLKNCELVSSSENMAERAMSMEISGKHSNLKFVEIKHPENDDDVCQLIEYLMRVSENLQKADIVVLEGLEKPWYYAALAAQGC >cds.KYUSt_chr2.27601 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169594862:169598269:1 gene:KYUSg_chr2.27601 transcript:KYUSt_chr2.27601 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRRPAAASAPASDLEVGFAKLQGEDFEYYMQTYSIILGRHSRKKDQHGDDTPDDVDVDLGILGGGMNVSRRHARIFYDFVRRRFALEVLGKNGCLVEGVLHEPGSAPVKLDSQDLLQMGDAQFYFLLPSRSVFDTDVARRAAVPRLIPPPPSDSDEEEDDEEDAMEGQEEAAAAAKRSRNGDAGGPFARRSEPGSKGYSYREADNLQLLQLEEKDVISSAATIIHDLCGPQEGVPMDKLHEVMFEKYGNLWHHNRVRKYLTSEDWPESETEGRPWHGLSVLLRKYPEQFVINMGKVGRQSTEFVSLL >cds.KYUSt_chr2.35264 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217620932:217621921:1 gene:KYUSg_chr2.35264 transcript:KYUSt_chr2.35264 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNKEVAALVEKITGLQAAIAKLPSLSPCPEVDALFTDLVTACVPPSPVDVTKLGPEAQAMREALIRLCSSAEGKLEAHYADMLAAFDNPLDHLHRFPYYSNYINLSKLEYELLARYVPGCIAPARVAFVGSGPLPFTSLVLAARHLPNTLFDNYDLCDAANERAKKLVRADKDVGARMSFHTADVADLTQELRAYDVVFLAALVGMAAEEKADVIAHLGRHMTDGAALVVRSAHGARGFLYPIVDPEDVARGGFEVLAVYHPDDEVVNSVIVARKVDAQATGLQNGRARAPGAAPVVSPPCKCCKMEANALEKREVFATTATELSV >cds.KYUSt_chr4.47577 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294550795:294551767:1 gene:KYUSg_chr4.47577 transcript:KYUSt_chr4.47577 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLALFDVVLVAACFAPARAGWSQGSATFYGGADASGTMGGACGYGNLYETGYGTSTAALSSVLFNDGASCGECYQIACDASKSTSCEPGAGAVTITATNVCPPNWALASNNGGWCNPPRAHFDMSQPAWLNIGVYKAGIIPILYQKVRCSKQGGIRFTIGGFNNFELVMISNVGGPGSIQSVSIKGEKTEWIQLTRNWGANWQCNAALVGQPLSFAVTSTNGQTIYINDIVPSWWKFTMSFTSNQQFTY >cds.KYUSt_chr2.46394 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289885666:289885980:1 gene:KYUSg_chr2.46394 transcript:KYUSt_chr2.46394 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPAGRLPATEECEDEEADVNTGKMASCWGRFGIAALWGRLRQLSLARRRARHGRSILGAGGLNYDPLSYAQNFEDSSLELEHHEPDFSARFAPARSARRAF >cds.KYUSt_chr4.45339 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280660719:280668082:-1 gene:KYUSg_chr4.45339 transcript:KYUSt_chr4.45339 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAMPPEPKLPNPCAAFRPPPPPPPLARPGAPARPKDAPCATPAGDKGRPGQCNKAPDNVVQKPSGGTYSRPEARVKLIPAEEITYVRHGKTYARNVGSDKLEKRHRRRSVTPPPSSRIVSLARLTPLPHKATAPLPPHKPTSPLSHKPTSPLAHKPTSPFAHKPTSPLAHKPTSPLAHKPTSPLAHKPMSPLSHKPTSPLAHKPTSPLAHKPTSPLAHNPTSPFAHKPTSPLAHKPTSPLAHKPTAPPPPVASCISVKRSETAENNRQVVSLKRTSPFCKVVQRGAVPPAVLRKESEMNPISPSSVHVLPAHSTKVALATKAEPSPKSVSTVDNLYSTSNQATLECQRPKRSADPIVEKELNVKLSLLSPTSVLSISSNEVCPDARSRPSSRPSLFDGKCKLASLQPETSMPRAKCPDYTTQATCVEQSNDFEAAPSTKGHIRDEPQTNKEAYITCNMSSDAPEVLHNKLYKKPDQSKSTTQAPRVGLSNDFEAGPSSKIHIKNEPEINNEESLSCNLSTGAQAMVHTELYNKPYQPESTTAGPYVELSNDLDAVPSTKCHIRNERQTNQEGSNSCDMPSAKDGKLYFCGIFRKHFRKKQHPANNDTEKVELTQLPLFLSSLGLAVLSRQVDVQVDDDSEERQFPKIKRLSDILGSSSASSSNNDSILSRPSNCSEVCRSAAAVPRASKFQEAGPPEVQKHCRKRGQLELFEPSGAEATKRLRVNGRIALNGSVDRRVLNGGKR >cds.KYUSt_chr5.30414 pep primary_assembly:MPB_Lper_Kyuss_1697:5:192886721:192892857:1 gene:KYUSg_chr5.30414 transcript:KYUSt_chr5.30414 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLACSSWAASADLLAAALFTLSALALVSTSRRFSSAAHSAFDMFGGFVFFARIFLAGAFGGIVVDEKTRRRVVVDEKTPPGTGAGRRGDWGISAGENGDMQANWREWGYASKLEGKSTGFGFPVADYADGVDKEISQLLVEQDLYWCIQSSFPVNTSVSQKRLSVELSKIGYLTCDSFDNRSQACTCVPPCVLVKLLLSVPMAELVVSMAIGPLMSMLKDKVSSYLLDQYKVMEGMEEQHKILKRKLPAILDVITDAEEQATAHREGAKAWLQELKTVAYEAIEVFDEFNYEALRREAKKKGHYTELGVDVIKLFPTHNRVVFRHRMASKLSRVLQDIEVLIAEMHAFRFKYRPQPSVSKQWRQTDYAIIDPHEIARRSRDKDKRIIVGTLLRQANNANLTVVPIVGMGGLGKTTLAQLIYNELEIQKHFQLLLWVCVSDTFDVNSLAKCIVEASPKKNDDTEKSPVDRLQKLLSGQRYLLVLDDVWNRETHKWDMLKMCLQHGGTGSAILTTTRDKQVAEIMGANTTHNLNVLDDSFIKEIIVARAFTPEKEKPTELVELVGEIVKRCSGSPLAATALGSVLRAKTSVEEWKAVSCSSSICTEETGILPILKLSYNDLPSYMKQCFAFCAVFPKDYKIDMEKLIHLWIANGFVPEHNETNGRHIFNELASRSFFIDIENFNDDEEDYSRTRCKIHDLMHDIAISVMEKECVFASEKPNQTEWLSDTVRHLFLSCNDTEGILNGSMEKRSPAIQTLLCDSFIWSPLQHLSKYSSLHALKVRTYVESFVLKPKYLHKLRYLDLSFSRMEALPEDISILYNLQVLDLSCCGFLTRLPRQMKYMTSLRHLYTHGCRRLKSMPPELGNLTKLQTLTYFIAAVTGPDCSDVAELEHLNLGGQLELRQVENVREAEAKLANLGKKKNLKELRLRWTSISDSKVLNNFEPHDGLQVLKIYSYGGKCMGILQNMVEIHLFQCGRLQVLFRCGTSFTFPKLKELRLEHLLDLERWWEINERQEGQIVFPVLEKLFVRNCGKLIALPEAPMVHEPCSGGHGSVWSPLPLLEKLFLRYCRKLIALPEAPLLQESCGGFRSAFPALQVLKLEDLESFQRWDVAVRGEQILFPQLEELSIKNCPKLMDLPEAPKLSVLEIEDGKQEIFHLVDRYISSLTKLILNLENTETTSEVECTIIAPVDINEKWFQKSALTFMELGCCNSLFGSSALEPWDYFTHLEELKIDRCDVLVHWPEKVFQSLISLKRLQIARCKNLTGYAQAPLEPSASERSQHLPGLVALNVNNCESLVEMCNVPASLKELIIYICDKLESIFSKQQQGMSELVQGSSCIDSITRTAVSELSSSPMNHFCPCLELLYLRVCGNLRAVLNLPPSLKTIIIRGCRSIQVLSCQLDGPPRPQVSASINAPEPSAETSEYSLPPYLGYLSIWSCDMLGGILCLPTSLKHLSITNNSGFTSLESMAGEPPSLEYLELFGCSTLASLPNEPHAYRSLERLYITDCHALKKLPRCLQQQLGSIESKQLDAQYEVKK >cds.KYUSt_chr2.39366 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244120380:244121002:1 gene:KYUSg_chr2.39366 transcript:KYUSt_chr2.39366 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAVVAHDGLQATDYGGRLTLSVLTTCLVAASGGLIFGYDIGISGGVSQMEPFLERFFPHVLARMAAAKRDDYCLYDSQALTAFTSSLYVAGLVSSLVASRVTKAVGRQRIMLMGGALFFAGGAITGAAVNIAMLIIGRMLLGFGVGFTNQVSTVHLHMSPSITGHYLISACDSE >cds.KYUSt_chr3.31574 pep primary_assembly:MPB_Lper_Kyuss_1697:3:198410079:198414779:1 gene:KYUSg_chr3.31574 transcript:KYUSt_chr3.31574 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPELALAAAFVALAVALLAGAAAAAPFPAALTLERALPHKGVPAEHLRERDWARHAGRGLLGAAPAVAGVVDFPVEGSANPYMVGWVSCFASLSFFSSGGSGSIQLEFFNPDSSSTSARIPCSDDRCTAALQTGEAVCQTSDSPSSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASVVFGCSNKQSGDLMKSDRAVDGIFGFGQHQLSVISQLYSLGVSPKTFSHCLKGSDNGGGILVLGEIVEPGLVFTPLVPQQPHYNLNLESIAVSGQKLPIDSSLFATSNTQGTIVDSGTTLVYLVDGAYDPFVNAIAAAVSPSVQSVVNKGSQCFVTTSSVDSSFPTATLIFEGGVAMNVKPENYLLQQGSSGDNVLWCIGWQRSQGITILGDLVLKDKIFVYDLANMRMGWADYDCSLSVNVTSSSGKNQYVNTGQFDVNGSPRPSSYRIIGLVPTGIVITLVHMLIFGVLGSR >cds.KYUSt_chr2.14055 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88922874:88926910:-1 gene:KYUSg_chr2.14055 transcript:KYUSt_chr2.14055 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSLLLRRHRRAPAALPLLFRAAICSTRPLPQQPELSPPASDPAPLPPNPTSGSPFYADNWRNPAASIPVPSASSHLPALISPAPLSENHLLAATLGAADLKERFAEWTAEQKWDDLRQVFEFWVRSLDAATGKPNRPDADLFNHYLRAGLMAGAAPHEMLDLADQMREFNITPNTASFNLVLKSMVKAREVEGGERLVDRMLQTGIVPDDETYNLVVDLLIKGSRVDSAFKYLDIMLKSGYAISSPVYAEYVGVCVRSGRLDTLAYIIEKCKGTDKNKILCPQWAWCVDIAEAAFEANNSKLAMFGLEFLARWMARGERATPPDHLSVDEGLVLSALCAAGRTCSTDLLNAAWSILRKSLRQKRAPMPETYLAKIYAHSSIGQLQRAFGTLREFENAYGNSANIDTELFSPFTSLKPLVVACCKDGFTTLDTVYVQLENLSSADPPYKSVAALNCVILGCANIWDIERAYETFEAMKEKFGLTPDIHSYNALLHAFGKLKKTEEAVNVFQHLTSLDVKPNATTYSLLVDAHLVNRDPKAALAVIDEMVDAGFTPTKETVKKVRRRCSRESDFDSDEKLQAGCKQLNYRLGGEGRRELLFKIEYSAEY >cds.KYUSt_chr2.48338 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302231262:302232868:-1 gene:KYUSg_chr2.48338 transcript:KYUSt_chr2.48338 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYLETTGAKCDGSDEIEVTATFARTVAPALPLQEHSLPLSNLDLILPPIDVGVFFCYAAAGDGAAAASTLKAALAKVLVTYYPLAGEIVANAAGEPELLCSGRGVDFAEATADGVELRDVRLGLPDESVEKLVPKKKAGVMSVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARGAPAPPSPSFNRSLLAPRSVSCTAGTLADRLFIPVSCVPPPPPTAEPPTAVNRIYHVAAADVTALQASAGPGRTKLESFTAHLWRLYARAFPADSCSMGVVVDGRARVSPDGAMKPYFGNVLTIPYGVIGAAQLKDMPLADVAEDVHWWVAEAATGEHFEELVDWVEAQRPEPTVARAYLGVGDGEDAAVACVVSSGMRLPVGEVDFGWGMPAFASYHFPWPGGAGYVMPMPSARGGGDWVVYVHAAPELVRVMEEEPTVFRAPEM >cds.KYUSt_chr7.1331 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7206971:7207740:-1 gene:KYUSg_chr7.1331 transcript:KYUSt_chr7.1331 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTAGEHRQLEPESRERKEIVQLSLGKLQAVVVDRVGVSPHQISASLARPRRPRRSTTPPTSPPSSRARGLAATRARCAPPLPPRPQGRRRDPPLALPAAAAAAAQEDPFLVPLPRHCPRGRRRSPAPPTCRLPKKTPSSPPPHDDLDACGCEMQLASRPRVLQMAWTTVTSTPAADLLLRLRPRQDEDGKGVRRNSIKNIRRLPVGSTTGDEQV >cds.KYUSt_chr4.37736 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232619336:232619867:-1 gene:KYUSg_chr4.37736 transcript:KYUSt_chr4.37736 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRIPSTTGGEKAKALVESVDTEAACALLASGQYGYIDVRMWEDFDAGHVAGARNVPYYLSVAPRGKPERNPHFVEQVAALHAKGDRLLVGCRQGVRSSLAAADLVHAGFKNVKNLQGGYLSLLKSANPQPTAYYNQY >cds.KYUSt_scaffold_6468.536 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2576869:2577296:-1 gene:KYUSg_scaffold_6468.536 transcript:KYUSt_scaffold_6468.536 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIKRLLAVSDREQIKTAVSETSGSQLVNLLFWLMIVGYSMRNIEVRFDMEKVLGAGRCPHDQQRSCTTGRMWSTAMCVGSPRRRRHSSAVSRTSWRPGYRREPQVVYYRR >cds.KYUSt_chr2.44878 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279499345:279504600:-1 gene:KYUSg_chr2.44878 transcript:KYUSt_chr2.44878 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGPRSGPAGLGLGLIWAPSGGRPRCVWSTSGEGDGFVSPDVLQRASWWVPRSGALDSSGSAVAAGGVCGVVLRFTSVFRAGVSGSDLTWEMPPKSARRGGAAAARKGPAARGRAAKAQPAAEEAAPAVEEVKPAAEEAPKVEEQKRQPSPPPPQPQQQQQPAAEEKAKPDAVENGAAHDDATVKETYEEEDKGERLEFEDEQEYEEEAVVDYDEKDSEQYEEQYEDGDEGLEYTEDVVEEETDMVEEELDDGGDDGEGEEYENADEEQNVDVEDDDHHEMVKAHRKRKEFEVFVGGLDKDATESDLRKVFSEVGEITEVRLMMNPVTKKNKGFAFLRFATVDQAKRAVSDLKNPLVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKDKLKTYEVENFDDLILAEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRSAKVSFADSYPEVDDEMMSQVRAVFLDGLPPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACVDGITNSEIGEGDNKAKVRARLSRPMQRPTRMKHGLRGNFRIGQSAPRGGRFPYTRPPPRRPLPRLVRPDVSRLPPIRNRPLKRPVDIRDRRPVMSIPERVRRLPPPERSYDRRPPAPVYPKRSPRREYGRRDDLPPPRSRATFADYAPRVPVDRRHSYRDDYSSRGSAYSDLGPRSAPRLSDRRAYADDDYVEKIDRPLPPYREGRGRDYDTISGSKRSYAEMDDVPPRYHDISVRSSKARLDYDVGGSSARYADAYTERLGRSHAGYSSSRPVAGHDTVYSSSRHGMSYGGSANTGDAGGMYSSTYSADYMPRGSDVSYLENVQCI >cds.KYUSt_contig_915.140 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:838870:840090:-1 gene:KYUSg_contig_915.140 transcript:KYUSt_contig_915.140 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKVCRRRKATKVPPPAELLDELLTEILLRLPVKSLLRFKSVSKAWRATISDPFFVRSHLRQSASRWRQNPSLLVTPHTLFHVIDGEPWPSTFSTDISFYQWQPPSSEEEESEARFVMHSDDFLEEFNSVCYFAHCDGLVVAPTNTNVYLFNPATRDAMRLPINDRNKMYQYVACLPVGLGRDPRTGRHKVVRAFYRSRDPLTGVHDMGMEVFTVGVDSDSWREITPNPLYPVTVWITPVFAKGALFWIIDKPGLDPSPRGILRLSLDDESFSVTHLPDSLDPALDEYFILDEVHGELFLTAFSSSKPLNIWTLMEQDTRWEHRYTLDISGMAHPVALLPGGGAMILRASHYISRYDLQTHQIDTICELDRLRYQHDGTTEEDAGSGQEIFYFNVIPYTESLVRI >cds.KYUSt_chr7.18818 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116564094:116564678:-1 gene:KYUSg_chr7.18818 transcript:KYUSt_chr7.18818 gene_biotype:protein_coding transcript_biotype:protein_coding MATIATMAMLKPAAKMQAPSSSAARASPTGISLRTLHKKGALAVSPAAAAMAGAFFSSLATSEPAMAAQRIADVAAASPTDDNRGLLLLFVVSPALGWVLFNILQPALNQLNKMRSEKALVAGLGIGAAAAAGLAAAPEPASAAVQELAALAAATPTDDNRGLLLLFVVAPAIGWVLFNILQPALNQLNKMRSN >cds.KYUSt_chr6.18091 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113899431:113901419:1 gene:KYUSg_chr6.18091 transcript:KYUSt_chr6.18091 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPPYPLVRITQPPDPLLPNKATAGCAPPRQGRRRMPPPRQPPSSYPSLPGLLLRPEQRHQQDLFLGLNPKSLVASFTGYLILVSMVELEQGKQYVQVKIRWYSARPTTTSFSPRFQAQADNPSVSAPGMTYLKAQKYPHIFVNAGFNGAVLGI >cds.KYUSt_chr4.14629 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90299987:90302124:1 gene:KYUSg_chr4.14629 transcript:KYUSt_chr4.14629 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPPSLRLDEDETLVRDFNTAPSRNTAPARSGLSLCDKSPFSDLDEDEDDIFPSKKVELEAAKSEIQKWYSAFQNIPAVPARTNPEPISVVTYLSNLKSSEVSLKEQLEKAKKREAAFIVTFAKREQEIAELKGFENTVEATVNAGIMLPMIFVLPF >cds.KYUSt_chr6.21597 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136248075:136253324:-1 gene:KYUSg_chr6.21597 transcript:KYUSt_chr6.21597 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLTAVSRLRSRMGHDATTLGGVRWLQMQSASDLDLKSQLQEMIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDAEEGIRFRGLSIPECQKVLPTAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKDLASRSTVPGHVYKAIDALPVTAHPMTQFTTGVMALQVESEFAKAYEKGIHKSKFWEPTYEDSLNLIARLPQVASYVYRRIFKDGKTIAADNTLDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHAGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVMEETGSNITTDQLKEYVWKTLKSGKVVPGYGHGVLRNTDPRYSCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLVEARYYTVLFGVSRSMGIGSQLIWDRALGLPLERPKSVTMEWLENHCKKAAA >cds.KYUSt_chr3.30732 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192832782:192833624:-1 gene:KYUSg_chr3.30732 transcript:KYUSt_chr3.30732 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSGRRRDASRDSTSSRRIASGDPTSSGRRLDASGVSTSSGRRRHASGDSISSSPRRDASGDPSSGDAISSASGDPISSSSGSGAPGDAAYAGIFSPTTCTSTKAGANASAGNTVPVATSTNPNANARNTVPVATPTKPNAHANTNTGDAVTSSAAQTAAIGIPASPGERARLISPAAWRQPLAATTQQRAGFAVASAVSSNAVSASAAGFFSGRGADDVGQVVV >cds.KYUSt_chr2.9265 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58325024:58327283:1 gene:KYUSg_chr2.9265 transcript:KYUSt_chr2.9265 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIEFSLPEDGVNEDSSLAGGAEEEMASPAVLLQVEDFLFGGGVRCSSAADLPPRPCWLLCGAAVCGFPFAGCCGMADLASTVVQEVGEVRFDDDGVRWCRSSVAGARRLPVRSGELSIQGVKESRSGGAPPTAPWTTTWSGCGRRKVGAHGRTCDRGRNLHGCDRAEVRRSSFEGGGRASEKTTRDAEHQEDEGT >cds.KYUSt_chr5.29727 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188484050:188487744:-1 gene:KYUSg_chr5.29727 transcript:KYUSt_chr5.29727 gene_biotype:protein_coding transcript_biotype:protein_coding MFIWRPGDLLRTAAFHGGRDLVPGGMAVLEDLIRAIELWLRIAKEQVPLIDLNLDPVLLVPAIGGSILEAVDQAWNKELVWVRILAADHECREKLWAKFDAATGGPPVPRRRGAPTSDDDLFPWEAVLSSDEEEEEAEESEDDSSSAGYPPAKRFRGWAWSDDDDDDDDEEDEAPAGGFISSDEEPAGSSADGSYDDDDEGSDGP >cds.KYUSt_chr4.23248 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146424062:146425817:-1 gene:KYUSg_chr4.23248 transcript:KYUSt_chr4.23248 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGFAADLRRRGSDPKKAERVFARVMSYTHHALPDPPASADANLCALLPHDAVDRLSRLPDLLLANIVSRVPIKEAARTAALSRRWRGVWRSTPLVLVDSQVLPAGTEVTRAHARRVTSAVSAVFAAHPGPFRCVHLTSSCMEDFHGLLARWLQILADKGIQELVLVNRPWPLDLVLPATFLGMTTLTRLYLGLWKFPDTAAVPRATCFPNLRELGLCVVVIESRDLDFILDRSPVLEKLCVQGNLFKLSLRLVSQSLRCLQFIGCFVDEIAVVHAPSLERLIHSLGWTRDGVCTKVKIGHAPKLHSFGYLDPAIHVLEVGNIVIKAGTNATPSTMVPSVKILALEFRCGARNDVKMIPAILRCFPNVETLHIMSRETDQSSSKPNPKFWNECGTIECIRSRIKMLVFYAYRGDRSELAFIKFFLGSALVLKKIAIVLANNVFSSKEEADSKMMPLRTMKRANDDSKMLVTGRNPDGGNIWSFKRGSDFSLVDPFEGC >cds.KYUSt_chr1.18935 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111194877:111197832:1 gene:KYUSg_chr1.18935 transcript:KYUSt_chr1.18935 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAPFRLFLPLHPPLAPRRPPASALPSLPRHGAMPRPRVRLRLRLAARSSETPPQASDELDFVDTGTEAELDPVAEEAALAATKEWWEWALLVSPFFFWGTAMVAMKGVIPKTGPFFVAALRLIPAGALVVAFAAARGRKQPSGWAAWGAIAAFGLVDAACFQGFLTEGLQKTSAGLGSVIIDSQPLTVAILAALFFGESIGAIGAGGLVLGVVGLLLLEVPALSVEETGATVWGSGEWWMFLSAQSMAIGTIMVRWVSKYSDPIMATGWHMVIGGIPLLVISVLNHDPALNGHIQELTSSDILALGYTSIFGSAISYGVYFYNATRGSLTTLSSLTFLTPMFASIFGFIYLGETFSPEQIGGALLTLVAIYMVNYKSIVGEK >cds.KYUSt_chr2.11383 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72426600:72429428:-1 gene:KYUSg_chr2.11383 transcript:KYUSt_chr2.11383 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPLFARPSSAPTAPQPAHHPLLRPSASKRTKPNRPLLSPVKRRPALLAVVRSKGKDDASFTDRILDYIEGGPKLRKWYGAPDLLPKDGGTEGEEDEPSDIEDPRDAVLVTNGDSEIGQMVILSLILKRARIKALVKDKRSTEEAFGTYVECMVGNIEDKSFTKKALRGVRSVICPTDDGFFSDLIDLKGVQHIVLLSQLSVYRNSGGLQAIMNSKLRKLAERDEEVVLASGIPSTIIRSASLQTTPGGERGFSFTEGVAAKGRISKEDAATICVEALDAIPQTTLIFEVANGDEKVGDWKAWLAEQMAKG >cds.KYUSt_chr4.30850 pep primary_assembly:MPB_Lper_Kyuss_1697:4:193202950:193207222:-1 gene:KYUSg_chr4.30850 transcript:KYUSt_chr4.30850 gene_biotype:protein_coding transcript_biotype:protein_coding MHNAGDIVEAAGGGPHERIVPHSGPLGKRSAMRKSARFAESVSAPLAAPHGAPAPRGARSDHDDDDDNDYVEITLDVRDDSVAVHSVKPASGGEDSDVTLLARTLEKRSASYGHGVLRNASTRIKQVSHELRRLASVNRRGAGPSRIDKSKSAAAHALKGLKFISKTDGSAGWTAVEKRFDELSENGLLNRSKFGKCIGMKELAFAGELFDALARRRNITGDSISKAELLEFWDQISDTSFDSRLQTFFDMVDKDADGRITEEEVKEIITLSASANNLTKVTEQSEEYARLIMEELDPNNLGYIELYNLEMLLLQAPSQSMRIGTTNSRNLSQMLSQHLRPTLEPNPLRRWYRSGSYFLEDNWRRCWVLLVWFSICAGLFAWKFVQYRRRAVFEVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWLRNRTAAGRFVPFDDNINFHKVVAVGISIGAGLHIISHLTCDFPRLLHATEEEYEPMKQFFGEAKPPNYWWFVKGTEGWTGLVMLVLMAVAFTLATPWFRRGRLRLPKPLHRLTGFNAFWYSHHLFIIVYALLIVHGHFLYLTHKWQKKSTWMYLAVPMVLYACERLTRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFRYKSGQYIFVNCAAVSPFQWHPFSITSAPKDDYVSVHIRTLGDWTRELKNVFSKVCRPPTEGKSGLLRAEYDRDGGAMSNLSFPRVLIDGPYGAPAQDYKQYDVVLLVGLGIGATPMISILKDIINNMKRLDGGDVEIGNPGGDASASASFRTTRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHREQRVGQ >cds.KYUSt_chr2.45321 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282679066:282680127:1 gene:KYUSg_chr2.45321 transcript:KYUSt_chr2.45321 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGTLLFAALAVILSLLVKPISCWSSGGATWYGSPYGAGSDGGACGYQGAVSQRPFKSMIAAGGPSFFKNGKGCGACYQVKCTGNSACSGRPVTVAITDSCPDGICASGNHFDMSGTAFGAMAYRGKADRLRSAGQLKISYKRVPCNYNGMKISFKVDAGSNPYYLAVMVMYQAGDGDLSAVDVMQRSGKPWTRMQQSWGATYILNSNDGKPLRAPFSFRLTSGSGKVLIATNAIPAGWSAGMSYRSSVNYRA >cds.KYUSt_chr5.28991 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183509679:183510011:-1 gene:KYUSg_chr5.28991 transcript:KYUSt_chr5.28991 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAGGAATSDGDGGYVRPAVLLHKAGGAATSDGGFCYQRLAVLLLVTVASATSGRRRCYKRQRLLPKADGAATSDNGVRYVWTALLQAAAAATTVSVCVISQVMGLQK >cds.KYUSt_chr4.27400 pep primary_assembly:MPB_Lper_Kyuss_1697:4:172074457:172078741:1 gene:KYUSg_chr4.27400 transcript:KYUSt_chr4.27400 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKASSTPDSRLKWRKRKRNPDASPTPSAAGDHSDDSDSAAANDEDDTAVPSAAADDEILAGAAARDLREAEVLSPAEAISAFPAATRRKVNRPHPSVLAVVAAERSACAGDVSALVPPALENISHGQLQVLSGALPDHPSLSTDPDMPSSYVCTPPPLMEGHGVPKQFQGRLHVVPKHSDWFSPGTVHRLERQVVPHFFTGKSPGNTPGKFITLRNKVIAKYLENPGKRLAFADCQGFVVNTGNLPQSVQHGNQLPFISSSNPVMSLVAFLASAIGPRIAASCASAALSALTREDDPSSLDMFCYSLVLTCLLLKGDF >cds.KYUSt_chr2.39142 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242661223:242663723:1 gene:KYUSg_chr2.39142 transcript:KYUSt_chr2.39142 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLLRAARASRSLALPRYVGASPSPLSSSSSAAPTAETPSPAAATPGIAADVDEAVIVGGEGDDLRSRVFRLRLAKRSATEALEKWAGEGRAAPAPELRRIARDLSRARRFKHALEVAEWMKTHHESDLSENDYGMRIDLITKVFGATAAEDFFEKLPPGAKSLEAYTALLHSYARSKMTDKAERLFERMKAANLSIDVLVYNEMMTLYISVGELDKVPTIAEELKMANVSPDLFTYNLRVSAAAASMDLEVFKGILDEMLRDPNSNEGWALYRNLASIYVDATQLVGSGNSLVEAEAKISQREWITYDFLVILHAGLGNLERVKDIWKSMQMTSQRMTSRNYVCVLSSYLMCGQLKDAAEVVDEWQRSKAPEFDISACNKLFDAFLGAGFTETADSFRELMLQKSCILTSRASESP >cds.KYUSt_chr3.32246 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202534970:202537283:-1 gene:KYUSg_chr3.32246 transcript:KYUSt_chr3.32246 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAASWPRALGQRFNAGGVAAVVTVAASEPRLALPHVAVQDIRWLDWAELRRAGFRGVVFDKDNTLTAPYAPALWPLLAASFDQCRAAFPGAIALYSNSAGLKQYDPDGVDASTVEAAIDGVHVIRHDAKKPAGAAKEIESYFGCSASDLVLVGDRYFTDIVYGNRNGFLTVLTEPLSFAGESYIVKRVRKLEGYITNYWYKKGHRPIKHQLLPDVRRIVKFDPYEDSISPRT >cds.KYUSt_chr5.40054 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253062518:253064749:1 gene:KYUSg_chr5.40054 transcript:KYUSt_chr5.40054 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLYSTAPTSLLRRRSSSTSRPSRQAPHVALSAATERARSGTLSPKDAHDMFDELLLQATPVPERALTGFLVAVARAQACRDGLSLLVALFNRMPRCDGTPVAPPTVRTYGILLDSCCRARQPDLALAFFGRFLRAGLKANNLIVNTLLKVLCHAKRTDEAVDVLLHRMPHLGCMPDAISYNTVIKGLCDDSRSQHALDLLRMMAKQEAACSPDVVSYNTVIHGFLKEGKFSTTSNLFNEMVQQGVVPDAVTYSSIIGALCKRGRSREARQILDCGILKGLKPDIVAYTTMLHGYATEGRLVDMNNLYNLMIGEGIVPNQYVFSILINAHAKCGSVDEALLIFENMHKQGVQPDVVTYSTMIDAFCRNGRMNDAIEQFNQMINMGVPPNIRTYSCLVRGYCTHGDLVRAKELVHEMKEKGILYPGIMFFQSIINSLCNEGRVTDAQDIFDFMIHIGEKPDVIMFTSLIGGYCLVGKMQKACRVHDDMVSVGIQPNTITYSTLIDGYFKAGMVDAALTLFREMLGMAAKPDTLTYNIIMDGLFKAGKTVAAKEKFDEMVKSGLRLSIDTYNVILSGLSKNGCADEAVMLFDKLQAMNLKFDIITLTTVIDAMFNVGRIEQAKNLFVAIPAKGLVPNVITYTTMISNLIEKGLVEEAHSIFSSMETSGCPPNSHLLNVIIRKLLKKGEIVRAISYMSILDGKSMLLEASTTSQLISLFSRQGIYHKHKDLLPARYQFFEGDIHS >cds.KYUSt_chr4.24288 pep primary_assembly:MPB_Lper_Kyuss_1697:4:152980536:152984607:1 gene:KYUSg_chr4.24288 transcript:KYUSt_chr4.24288 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQREKERLLDLAVDYGFDRVIAADCLARIVNLYGEGGQGFITVENCGDDFIAALADATQVTDDWDDLNAIETEACGNLNEMMMKDATNEKGGVVGNAPLFKRADSSSQQTRNALKPSSFSSDDSDFDILDEKDTHCDNSFGIQKKAQSSNSKAQTRSSTKSTVTKGTKRHESATPTSNRERQPNAYHQKRETLSYEQLSSLDDINFANAVIFGNKSFRPLQYEACSAALDNRDCFVLMPTGGGKSLCYQLPATLHPGVTLVVCPLLSLIQDQVVALTFRFGIQAAFLNSQQTSSQASAVIQQLRNGTPSFKLLYVTPERLAGNYSFMEMLRSLHQRGLLARFVIDEAHCVSQWGHDFRPDYRGLGCLKQHFPRVPIMALTATATEAVCKDVLSSLRIPNALVLTRSFDRLNLNYTVIGKTRTPQVQLGELLKDRFMNMSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRTSVQEKWHKGEVKVICATIAFGMGIDKPDVRFVVHNTLSKSIESYYQESGRAGRDDLPAHCVVLYQKKDFSRIVCMLRSGGNARSETFKAAMVQAKKMQAYCELKTECRRQTLLGHFGEQYNRQRCKDGPSPCDNCLKEAS >cds.KYUSt_chr1.39547 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241929978:241931375:-1 gene:KYUSg_chr1.39547 transcript:KYUSt_chr1.39547 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAQKKKDQAMTTAKPKPGVPRETVASAVSALTKWMRERASEAPPNLLADERDDLVVLQLTLRRVPAKPATKPHLLPLPHPVVAHSAASVCAISDDRAGSGSPAAAAILDAARALGLPVSEVVPFSAVRTDYRPYESRRRFAASHDLFLADRALLPMLPRLLGKAFYASKKAPLAVDFTRAGWPEQVRKVVNSTFLYLRTGTCSGIKVGRLDMGEEEIVENVMAAVETAVEKIPKNWANVRALHLKAVDSVALPIYQAVPELGLKIEVPVERLEGEVIDASEGETGKKQKMKALKNAEGAKGESVKYKRKRNKKDQTEDVVMEEVQEASEKRMKREDAPAVEVSADDGLKVSKKGKGKKRALASAVEDASPAEKKGRKSERASKDAGKQAPEEVEDVGSKKSKGKKAEVKEGKKKKSMKGSPDDGEILVDGESIPDTKEEKKSNSKKLSGDKMKKRTRARGSCC >cds.KYUSt_contig_3131.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000601.1:12068:16842:-1 gene:KYUSg_contig_3131.1 transcript:KYUSt_contig_3131.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAKDPQQQLHPCDSLLLELNVIWDEVGEPDAVRDKTLLELEQECLDVYRRKVDQANRCRAQLRQSIAEAEAELAGICSAIGQPPVHVRQPNQKLHGLREELNAIIPYLEDMRTRKVERWNQFVHVLEEIKKISSEIRPSDFVPFKTPVDQSDLSLRKLEELTKELESLQKEKADRVKQVMEHLNTLHSLCEVLGIDFKQTVHEVHPSLDEAEGSKNLSNTTIDRLASAVNRLREMKIQRMQKLQDFASTMLELWNLMDTPIEEQQVFQNVTCNIAASEHEITEPNTLSIDFLSYVEAEVLRLEQLKGTKMKDLVLKKKSELEEHRRRAHLVGEEGYSDEFNIEAIESGAIDPALVLEQIEAHIATVKDEAFSRKDILEKVERFLNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARILVNKIPGMVDVLTTKIIAWENERGKEFTYDGVRLLSMLDEYMIVRQEKEHEKKRQRDQKKLQDQLKAEQEALYGSKPSPSKPQSTKMGGVNRRMSMGGGATNRRMSMGGGATMQVPKTDILPSKNVRAAKRTEDIAHLSPGSRGLDIGDLPIKKLSFNASALREMETPRKPFAQIMPPPSSVSSTPVRPDTNFTDTENRTPNPKTFGALNPKTPMTVAAPMQLAMTPAVANQVTAAPVSLVYEKPEPTLPEEIEYSFEERRLAFYLSREIA >cds.KYUSt_chr3.29688 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185726956:185747985:-1 gene:KYUSg_chr3.29688 transcript:KYUSt_chr3.29688 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAGARGRSWRAATLRWWRSTYTLARPCTARPQPRRALLTKADARRDAEKRRLLAKEVAEAVRLINGKVLEPLVGMDPQIDQAIMDLDKAQHKVGDLVGGSQREEHVDVFQPRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWTNIDPNNVPLASLVAQEEHVDINFIKNNNFNNNAYRNNSSNNYRPYPYNSGNGYAREEKLEREASIPKKLEDGWEPIIKMKVKDFDCNALRDLGASISVMPKKIYDMRDLPPLENCYLDVNLADHSTKKLLGKVDNVRITVNNNLVPVDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEVKPLIEKFILDLDYCAETDFFAVTNLGCFPLFHVGAGIPGVAPHYIPPPSTFNVLLGSSWRDAEKRRLLAKAVAEAVRLINGKVLEPLVGMDPQIDQAIMDLDKAQHKVGDLVGGSQREEHVDVFQRRHNRSNIFVVTQYSVSSIPSLRILQFSPLGHPMAPVIRMATHWTREWSYLLPEAQRAPMDSGCIRLEMVARAIYNQGGWRLFKRLHDA >cds.KYUSt_chr4.2003 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10960368:10961102:1 gene:KYUSg_chr4.2003 transcript:KYUSt_chr4.2003 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHPPEPETNGGAAAPAAAAPTVALPAADPAAEAAAAAEPPAPPYSKRRRRPSVRLGDIGVQQPTATASDARRPRKPSHSRPPRRAHPDDILDPAAAAAQRRGPPKLGQRRPRTAWVPAPPSGYEDDEGHYYDDAYQSDSAAAARARVSEASVDESDGVADWGLPNGRLPGAATSYGGVRAWLDGLGLARYAPVFEIHEVDDEVLPLLTLEDLKDMGIGAVGSRRKLFDAILKLRTGSDTVS >cds.KYUSt_contig_662.284 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1613572:1615860:-1 gene:KYUSg_contig_662.284 transcript:KYUSt_contig_662.284 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTKILRDAQDLDDSIRYAAEGDLSELQQLDFPNFLLSLSAELASNESPPECRRLAGIILKNSVEAKYSEDNIKQWINLDPLIKSQIKESLLATLGSLVPDAWHASSQVIAKLAYIDIPSRDWQDLIGRLLSNMAHQGASPPLQQATLEALEYMFEEFLGLEQDKIDDVLDAVIRAMNQAEQSSQVRLAAVKALQNVVMFANFANDDCRNCIMTAICDADKSDGAVIKHAAFGCLIAIASKYYRMLEPYTETILSLTTEALKGGVESGALQCIKFWITICEKVIEFRKQNKHDAHAISTVDCSFIEKPLSLLVPVLLKTLLKKERDDDAQAIFISAMTCLDLVAITIGDAIVPIAMQFVEVNIKASDWQSRWAATFAIAGILQGPSIEKLSPVVRLLLDRMEDRNVEVRGTAVCTLRRLFDLLHSPACANRIFTYENLPRIVAVLGLRSKDVPEVSEEACRAIYFLAKGYESISSELVHSSELSPFLSDVFEVLCSTSALAKETPFRLPPSASAYEALCEVVRVSNIQDYKASASIGVLMPRIMRRLNAVLGAKANSSGDKRNKYDLLVLLCGLLHVLIQKLGNTFPAWRTPYVLLLFCRVLTFDSSAARDKAALAIGALAHVVGPNFVDHMPILLQHFNVKLLFPIYLQVIGDIFLVLGDEILPHCDYIMDVLYRGLSKPMLKPAILECFGEIALAIGKNFEKYLQAVMRRLKDAADPEYYDDVLEEDEVDYSNQLRQGIMGYKGPEIWVESSGGSNRFQ >cds.KYUSt_chr3.30858 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193553381:193555231:-1 gene:KYUSg_chr3.30858 transcript:KYUSt_chr3.30858 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQFIIGRNVSDLQARIERDGEDPRTLDVGINLMLYFVYHYIPPPPVSPAAAFSPYGALWIHQGVDRISRLPDNLLRNIVSRLPVKDAARTAALSRRWRPVWLSTPLTLVDSHLLPDCGEDGPYAIGGPSPRAVTAAVSRVLAAHPGPFRSVHLTCTTMNEHRGEMARWLDVLAAKGVKELIFVNRPWPLDLRLPTTLFSCTSLTRLYLGVWRLPDTTAVPRRAAFPNLLELGICLCVMEDRDLAFILERSPVLEILVITGSQTGVRLRLVSHSLRCLLLGFTYLEDIEVVNAPRLERLFQSTTFREPGGKGKFGRSMIKIGHAPNLRMLGYFEPGNNEIEITKTVTVAGIKEKIVPSVKSLAIVLQFGVRRALKKVPDYLRNFPNLETLHVQSYKAEDSTGKVNLKFLQEGEPIKCVLQTMKNVFFYEFQGSKSEVAFLKFIAERARVLEKMVVVVDSECFSSGANVNVKLKPLISAKWISQACKLQLFKSPRTEGGDPMFCHQLAFDFSFADPFDLVYYDESL >cds.KYUSt_chr5.7735 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48680559:48680948:1 gene:KYUSg_chr5.7735 transcript:KYUSt_chr5.7735 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVATLHASSLVKDIVATSRGVVKMAPHCTPAASARTRRRRASRVVEMAPCCMPAALARNHPHRASWAVKMSLGYATAAPGGGDGAPLHADSLVASVVVAAADVVDGAAQQPIASSRASLSLRRMAPG >cds.KYUSt_chr4.50040 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309957876:309959105:-1 gene:KYUSg_chr4.50040 transcript:KYUSt_chr4.50040 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMRILLGVLLLLGLSCGAVSAAAAGAPGRCTTSTPVKSYAKCIALPTQGATLAWTYDARNATLDAAFTGSFISPSGWVAWGVNQDAPAMAGARVIAAFSDPSTGALLALPFVLSPDVKLQAKPLVSRPLDIPLLASSATLIAPARTVRDGASVTIAATIRLSPNRTSLHFVWNRGLYVQGYSPTIHPTDASDLASHATVDILTTATESSPIASAKLQWAHGSLNAVSWGLLLPIGAALARYLRPCASAGPAAWFYAHAATQAAGYLLGAAGFALGIAMGAASPRVTYKLHRGLGIAAATAGSLQTLAVFFRPKTTNRYRKYWKSYHHLLGYGCVVIGVVNVFQGFEVMGLGASYWKLGYCMALATLIGGCVALEVNAWVVFCRRQQEEKLMRREVEDVVVKDRAAAF >cds.KYUSt_chr1.25928 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156025334:156028414:-1 gene:KYUSg_chr1.25928 transcript:KYUSt_chr1.25928 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDHRIMVNPPGRLTRFISRSRPSFLGLHRFGVAPIVPPFESAAAMAHRGGGQNRGRGPPGGRGGGRWEGGNGGRGNNFFQGGPSGTAGDGDNSHDGADHQADVFSDGVFRAGQGRPNFNGNGNRYAYGNTRGDGRRQFGGGGNFNNRRYGGYGDNNRYFGDGRNNVAQGTANALTPMQQQLVKEAAVVLARQLAEQQGPSAVGSGASAAPLPQVEAARQGAANVRPPPAGLRQTVLPSRPAIVATVQQPAAGTSVEVGAVGAEDVSLAAAGVTAASAMTADNQQSKKKGPNCFRCKQPGHCLNDCITPLCDYCQSAEHLSKDCALLRAPRPRLAHFGLGHEDLSFWELPLSASVRPRIENTRMGRVTISGGVLTVPEIIAQLQWIVPDESYQWDVQLVEDNTFRVTFPSKVDLVRVQHFGRYNLPNSQISMSFDFWKREVEPAWTAEDIWVRVHDLPPRALDDFLALWAIGDIFGKTKEIDMAFTRSNNVLRILIVCLDPSLIPASLDLRIHNAFYRLRFEVEGVHPLPMADAVMDDVPKDDDGFDGNGSGGADGNDPDREVKRMKNGDQNDTNGNDEQKTPAPSQGNTLSMSPIKLGSLDGSLLLQDELNHTWVDMTAQENIVTRYDNVLLLNNNSFSSPKKLDFSFCSSSPGTGEFVPSSSAEVSSDDDINLTHVSPTADVLEGVRPAADAPLPTVHDEETTPSLPAVTLGCSPSIQLQVRPVVHGTNSSPAQLQPSMHGAAELATSITWSSPPITVAPPAVATLAAQPWSYPPAPYVAAPLMPNTCFPQSQCAPLVGAPWGFNAQTSHVMKSTGVFFSPLNTAILASNIDMPSNKRLSYEEIVAFGGIKNTDASGVRSSERIRAQPNSDATQMERAMLMAQRRDDNAYHGMSTPIKDTVFSMSDAQILEKARALGVSLGGSSEEQFKSLKLLKDNELQRTLTMLDNKKSIIETGEFAPHCLVVSRASNLSEDLDDEESFINEDVPVALVPKNKAKVKRKNKSYDKTNVRRSSRIIIKKSKS >cds.KYUSt_chr3.18528 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113916023:113919137:-1 gene:KYUSg_chr3.18528 transcript:KYUSt_chr3.18528 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLSGAAAAAPVTPLALDPSSTPNPIASRPAAASAPRKRPVLLLDQRPHPSTPTSRAATPAAPVSQPRRKRAPSSSGRPRWLTLLSVAAKNAALLAVLIYLGDQAWRWAHPAPLAPLDQAALAGYNARVDDVEASLVRAFRGLQLQLEAVDRKIDGEIGAARGDLAALLEDKRLALEGHLNLLDARTDDLNHALGGIKGMEFLRKDEFDAFLTEIKETLGSDSRTEVDLDQVRALAREIVLREIEKHAADGIARVDYALGSAGGRVVRYSEPYDAGKRAGGLLSAFPFGAGGSAGDQSQKMIQPSFGEPGQCFPLKGSSGFVEIHLRKGIIPDAITLEHVSKDVAYDMSTAPKDCQISGWYQAAPTETPPSPASKVYALTEFTYDLAKSNVQTFEITAPDVGVVNMVRLDFTSNHGSSSLTCIYRIRVHGHEAVTPRIASSLP >cds.KYUSt_chr3.38359 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241535006:241539743:-1 gene:KYUSg_chr3.38359 transcript:KYUSt_chr3.38359 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSSCRPPSYGTLPYSGSTSHSHLPESHSCCNKTYPPGYYSFRPPFPQEFPPSHLYYHGPFPHHLNTCPSYFVPPPPYPVDQMPYDYGKFKGHCCGCPNHVCHGGEKSNLKIEEEMPEVKPDTEQKGANNSSIIGHPSYQYPAMRLPFGNMKDDVKGRCFEVPPHLFSKWVPQSGESTADGKARSNDNHNTRQLQWPVIWMPKGYDEPKQETKEFKEVDQIRKVSKEAPHSPDVKIIPLSWFEDGHNDQKPDPRDGSGEHNGRSSMMNRSAGAEHQHDTTVDRNCKTIPVLPGGVKNGNKPAEENCKAVSVVPNKEGDEKKVHTCRTIPVMDHQKKNDEKASKVEKEGENKKSNHVGTSKAKPSKLPPVCLRVDPLPKKKSRNMSSGSLNPATNKVCEKENDTKEAQRKNQETKLSGANKESNMPVKEKPSEMEKGIGSRNVIVQDACGKPAQEEDISTKVDQKVQPSVSVKAQENTSDGSLQECDKRTEEDEMKFQGEVSKSAREVNLSELDAAVRIQSAYRGYDVRRWRPLEKLRKVKKVHDQMQDLKKQLQGLEASSKQLTVKEQVAINETIMNLLLSLDSIQGLHPSAREARKSVARELVSLQEELDSFCKQLPSEPNHFRSENEEPDRTDNAIQTTAHVSTAEVSEEAKFAGAVEEQGTCSINSSEMMNGGASSGISEQLRQDAGSTEQKHEIKESSTIHDEGKAALPGECQGTSSMSALGDAALLGHSTDQKHHMEESNTMSMEELPEKEKAAAKDEGHEVSSSNCAVSLLDKSVSEESRVSSPGATEDGTAATATLSMQSGALVDKDCVAEGPESSALKPAAAATEDDQYKETSAQFDQHPVHLKDAVLHEHDLRLSSVAFSQGDQPEEAMDASTQSQVDTMQDSSTGGPDGTPELTADDNSTMDCVSTVVSENPVQSSLLEETPQCDSALQESGLQESEAVKQCEVSHEDDPALVDQTNESHLERPIGGSCTEEKGEVLSSEKEAKTVESTLHVAGAPSNMCAEPVLPESVSDESPWHQDGTMSHENPDSKMSLESQGHPQNDDLSGDKTSECNEALEEASVCETGTPGGEPQSGDPKEDAAVQMASEEAAAAVSHEAVKNDEKNLADENLKLKEMLQKLLSSGNDQMGIITELSEKVRALEGKLARKRRPKVRVRRPARNATDNLH >cds.KYUSt_chr4.3632 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20576726:20579994:-1 gene:KYUSg_chr4.3632 transcript:KYUSt_chr4.3632 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAEGPTAAGSETGLELSCGGFDFAFNSQNFSDRVLRLEIVAGGSSGDVVAGGSLAGSERGSNEEEFYRILGVTFMNTSLIELHACIDPWMQLILRVKTMYINSAILAARSPFFLKLFSNGMKESDQTCPTLRIADSDENALIELLSFMYSGKLTTTEPTFLLDILMAADKFEVVSCMRHCCQLLRSLPLTTESALLYLDHPYSLSMAAEVQLLKGAAKEFLANKYKDYNKFRLDMMNISLAGMEAILSSSDLHVEYEDQLFYLMLKWARVRYPELEERREILSSHLLPLVRFSHMTYRAFQRILTCTDNDIDHDQVTKLITGVLLCKAYPAHKPGALAACATSCLPVAERAYKYRHLKVVVFDKPRPQVIAYMDLKREECAQLLLSQHIFSQPLHVAGQCFVLMARCNNHEQSGSYRFGLFLWFNPVLKGSRRVTVDYEFASRTMPSRQFVSKLNASHTFTDDVAVGHSDLFSVPWSTLMADDGFFIDGVLHLRLDLTVVGQMEPQT >cds.KYUSt_chr5.36748 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232340692:232344688:-1 gene:KYUSg_chr5.36748 transcript:KYUSt_chr5.36748 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVIPSATEAEIKKAYYVKARKVHPDKNPNDPLAAHKFQELGEAYQVLSDPAQRQTYDSYGKSGISTETMIDPAAIFAMLFGSEIFEDYIGELAMASMASLDIFGEDEQIDERKLQDKMRTVQREREEKLAEKLKNRLNIYVQGNKHEFIQIAEAEVSRLSDAAYGVDMLSTIGYMYSRQAAKELGKKAILLGVPFIAEWFRNKGHFIKSQVTAATGAIALMQLQEDLKKHLSAECNYTEEQLEAYMQSHKSVMVDSLWKLNVADIEATLSRVCQMVLQDSSVRREELKARAKGLKTLGKIFQRVKLNVEGETPTVPNTINNLDDNVGISPDSSPEIPRGQPFDANPLYSQSPYVEAPQFGGSYRSFNFPMPTAPPGAQRDPIT >cds.KYUSt_chr3.28123 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175474805:175475776:1 gene:KYUSg_chr3.28123 transcript:KYUSt_chr3.28123 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLVLKADSEATVEMGIAKGIRKGKFTCIQLILKNILSKTAWCLSRHSPRSGTGRPLGSATHRSPDLATRRSPDLATRHARGLGPLQTAPLAGRLSLPSATLGDSARSGQRRSPVARVRHPLCSGTRGAPDSAARRSPELAIRHARGLGALPAASVARAHHPPRSGSRCAPDRAARRSPELAIRYARGLGALGQRCSPVARARHPPRSGTRRTPDSAARRSPELAIRHARGLGTLETTTLADRPSSPLAMLGDSARFR >cds.KYUSt_chr7.39035 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242925699:242927309:1 gene:KYUSg_chr7.39035 transcript:KYUSt_chr7.39035 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEQQGLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDPSLPNPGTLPPGVAAAVNGVAFCGTLSGQLFFGWLGDKLGRKSVYGMTLILMVICSLGSGLSLAHTPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIISAAFRAAYPKPAYQDNATGSIGSEADFVWRIILMLGAVPALLTYYWRMKMPETARYTALVAKDAKLAAADMSKVLNVQLEDESKKMEEMVSRGNNEFGLFSPQFARRHGLHLVGTATTWFLLDIAFYSQNLFQKDIFTAINWIHKAKTMSALDEVFRISRAQTLLALCGTVPGYWFTVFLIDVIGRFAIQLMGFFMMTVFMLGLAIPYHHWTTPGNQVGFVIMYGFTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAMIGAFGFLYAAQDPHKPDAGYKPGIGIRNSLFVLAGINILGFAFTFLVPEANGKSLEEMSGEAEDNEDEAREPKVQPSMA >cds.KYUSt_chr1.23066 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136863023:136872859:1 gene:KYUSg_chr1.23066 transcript:KYUSt_chr1.23066 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAAARRNLASGGLSDLLTRRLHPSLTHLLPSDSTGDPRKPSPLPPPPAPRSLHSALAPCGCGTSQTLNFLPFGLHLLPGPPRRSFSSSSSRDPDFTDVLTDAAHAGAPAAAPASFPGEVAWAAEDSSPAVAAAQHLIDAVHSFTGFNWWISIALSTVLLRCVVSTVWMFYLKSGHVLRQEVEHFGKLVKNAKDHASKEEAAEAGRYILKKLGLLTILPPIATTYTFITLYTAISNMVEKVPSLNAGGAFWFTDLTTPDALCIFPMITSLFIMLRVEPSLDGGELLPSCGPAKKEASSFSSSKDTGSPAGDIACKRRKAGSPDYDGQAGRQSSRVRRPRISRSWPEEGAREAERGVDGASTVAAAAIEDLKWGILEREIFEGEVGNGYRGGDRVNSVEGRWAMTTAVVALVCSETESPCLPE >cds.KYUSt_chr2.21018 pep primary_assembly:MPB_Lper_Kyuss_1697:2:132095875:132097113:-1 gene:KYUSg_chr2.21018 transcript:KYUSt_chr2.21018 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYDLTALMAAQIDCHLVFPMLEFLQERQLYADEEILQGKICLLSGTNMVDYAMDIHKSLHGTDDVPADMVARRSEVVSRLRTLDEAAAPIVAFLSNQQLVQELRLDKQYNIHMLQERYQIGPDQIEALYQYAKFQFECGNYSGAADFLYQYHALCTNSERSLSALWGKLAAEILMQNWDVAQEELNRLKEIIDSKVCLLKELLITYKSTPQ >cds.KYUSt_chr4.8189 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48975143:48980461:-1 gene:KYUSg_chr4.8189 transcript:KYUSt_chr4.8189 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRTLHDVAPEQEAAPSPRKRLRQAVFLVMRMVIPQGLPEAGGSSGVVRLRFVGADRPEDPLFTHCPVEWQNGENPKRKVENWKISAHKKFDEQENSGGLAADYFMSNDTCPVREKPLNNEAGPSVQARPTWQYPNGMAAQQESGEQHHFRQPNGFSLAEVLANNDADPSNQEAPLNSQHTVGQDLGQHGPSMPQNGTLRCHLNQGNILNGQGSLPAQPTVPSYNFPPVPGDDWTTGESLIGQQNGFLSSSMTDAPGTSCPVTDGFSQGTSSINHDEDGNFSELLEKFLEEEEAHGAD >cds.KYUSt_chr5.13744 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89335270:89337346:-1 gene:KYUSg_chr5.13744 transcript:KYUSt_chr5.13744 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSVVLARASCLARAIAAAEFLSSLQLVRAAELVARAAERCGYPDRGMELQEAADRISLADRQKMANAAAMLSSAAARAGQAADTSGGGPGEFRQACRDLETAARELSIAVASAESDSKLRDRSAQSCNWIFWRKKEQPEQHLDGGSLREALLHHQPWPASTSTASGALDLAPGGHARPAPQDGRLSLQENLGEYLGYALFGSLTLLPYLDPEGRVMKLLSPNQRYAFQFFFSVWWSAVSLGVPCKNSQTPALLQCASAANCIGMVGITALVIWIAANGSEVMERRV >cds.KYUSt_chr1.4996 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30743802:30744128:1 gene:KYUSg_chr1.4996 transcript:KYUSt_chr1.4996 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWKRTITSPFRKACTILSPQHAGGSGSGRSDDHGSGSEGGRKTPRARHQLKRSGAAAAVARASDVVESPSTAQLYGDVMACAYEDVQVMWSMLDNKQARVLDAAAS >cds.KYUSt_scaffold_1700.673 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:4254401:4261654:1 gene:KYUSg_scaffold_1700.673 transcript:KYUSt_scaffold_1700.673 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPQTDDQPLPNGPDTELDVTDFTVLGSDVEVKFHVKEDAKGGQQPSPDSDADSGQCPMDVDLKVIPPVEDEGEIKSSTVPRAQVPIDMSVESLEKFCKEAARSFFSERGLISHQINSYNDFVSHGLQELFDSLGETTVEPDYDPSKKMGAWRHATIKFGRVKLEKPEFWADNSDLDEQTLKLKPVHARLQNMTYSSKINVEMTVQVYSLGPSEKSKTGKDPYIQKKVILPPETKWVTIGKLPVMVKSKLCWLHELKETECQYDSGGYFLIKGTEKAFIAEEQKCLSRIWVSNQRGWDACYLSQLKRERIYVKLVDSKEGDDFRKVVNLSFLGATIPIWIMFFALGVSSDKEAFEMIDIQDCDSSLVNIISATIKESREQCKGFCGGDRARQLVDEFIRKTKFPPEDSFDGYVAKYLFPGVGNNRCKALFLGYMMKCLLMSYSGQRKCDNKDDFRNKRLDLACQLLRRELWVHLRHAERRMVKIMQRHLCGEGDLQVLDHYIDATIVTNGLNRAFSTGSWRHPYLYARCSGIVATLRRANPLQMMSDLRKTRQLSSYWGGAGDARYPNPSYWGKLCFMSTPDGEKCGFVKNLAVSAVVSSVMRESLLDLFVSCGMKKLDEVLLQELGGKDKIFLNGNLVGMSAYPGEFVTHLRNMRRSKQIDPQVEIKRDKQHKEVRVFSDAGRLLRPLLIVENLKNITKPKGGSYSFGELMDQNIIELIGVEEEEDIRCACGVRDLLSGDKKEGLLYYTHCELDPSFVLGLSCGIIPFANHNAARRVLMQAEKLSQQAIGYSSTNSQYRADTLFHQLYYPQRPLFKTVVSDCLGKKNHNRPEFFNGQNAIVSVSIHQGFNQEDSLVLNRASLERGMFRTFHFKSYKAQIENKEVTRRLKHREKVEFGKTQSKKGRVDSLDIDGLPYVGASLQSGDIVIGKVSESGEDHSMKLMHTEKGMVEKVVLSANDDGKNSAVVTLRQARSPCVGDKFASMHGQKGVVGLLDSQENFPFTCQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIALGGMTKYATPFTTPSVDVITEQLHKAGFSRWGGESVLNGHNGERMQSLVFIGPTFYQRLTHMSEDKVKFRNTGPVHPLTRQPVVDKKRFGGVKFGEMERDCLLAHGATANLHERLFTLSDLSQMHICQGCERVANVIMRPVEGGKTVRGPYCGFCKSVENILQIKVPYGAKLLYQELFSMGICLKFETEAS >cds.KYUSt_chr3.30354 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190193774:190199791:1 gene:KYUSg_chr3.30354 transcript:KYUSt_chr3.30354 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHVGDDTSTRTPLIAAPPYLPRRAAAWLHPLPLLVAAALATYYHLLAAPAPSYYHALFLTLGSNDTAAAHLRALTARPHLAGSEANAAAAEHVVSMLASLSFPTRVTPYSVLLSYPAHRSLSLSAPGHHTTAFALVQDTYPDDPNAAVSAEVVPTFLAYSASGSAAAEAVYANYGRAEDYAFLAARGVNVTGKVAVARYGKVYRGDKVINARLAGAAAAVIYTDFKDYAPGKAFPDGPWMPPTGVQVGSTFKGVGDPTTPMWPSSEGCERVSIAEAMASDDMPGIPALPVSGRDGEEILQLIGGDVAPEDWQGGEGAPVYRLGPGPAVLNLTYTGNETMATIQNVIAVIEGKEEPDRYVILGNHRDAWTFGAADPNSGTAALLELAQRLSKLQNKGWRPRRTIILCNWDAEEYGLIGSTEWVEENRAMLTSKTVAYLNVDIGVCNSGFDASATPQLDELLKQASKQVPNPDNGTESLYDMWMASDSSLIGRLGGGSSDYSAFVQHIGIPSVDMSIGSDYAVYHSLYDDFTWMEKYGDPMFRRHVAVASMWGLLALRLSDEEILPFNYSSYVAELEKGAVGINERVLGMPVSLSPLHKSIKEFDRAVLKVDSELEIYGPSLYNDYGAEVYPGVDDAIQTAKKTNTSESWQSVQHEIHRIARIISQAALVLSGQLT >cds.KYUSt_chr1.38658 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236301571:236303828:1 gene:KYUSg_chr1.38658 transcript:KYUSt_chr1.38658 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTWSFYFLSEVGLHYPMDENGHQTGAPVSARPLPSTSLAKRRSSALIACTASEGWGACSLRRLVGDAAVLADRIHGHHLGAAERDVAGNGEAELNTLLLRFVRHRAIVVGAHVCLQFHIPRQRRKPRHASVANRAGIRRSPPRGGGRSSCRRRTLLGCYNRQEGLLQATTGERGQRAPTSNRRQRCGGDCYKGGEFVQAAPTVAANAGGGCYKQGVVVLQGERRGLRSAARPAAMLQAQDGGTVVVGVAAGADGDGAGAGGAAGEDGVAARAGGGAAGAAVLLPAGLDIVCVLQVVRVGGGGDSFFFDGCVSTTIFFSVRER >cds.KYUSt_chr6.7960 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48891120:48892730:1 gene:KYUSg_chr6.7960 transcript:KYUSt_chr6.7960 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPAAGDASRPVAVVDVAVGAAPNAGVVSAMISATIPSKRKRIPKVFFEGPAAAAAAAPAAASPAEAPPAAKKGSRLKTKAAGPRGVAPAKVRTKAINRIGLAPPPPSKATPSPPSVPSDAPPAPPPSTIDVDKVFDVESTTSYMDMLNDSAVNLDAGIDAFDGECNVEEIDEEEEDEDDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDTILVCAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYVSFPCVDDVKHHELSILCVDDVETSPHTIVVQPKYAKQRYKDMAGSKNKDFQFQHCFAILQHLPKWKLRDNEPKCKKEALLTMDDESEDMSGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEAMTMKTLETKLIITDKKSVVKLAKVQARKELDMKMIAAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWKETKADIIARKKAARQARDQGESPASGGAGGDGSVDG >cds.KYUSt_chr4.26569 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166810554:166812444:1 gene:KYUSg_chr4.26569 transcript:KYUSt_chr4.26569 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPESTTAPNAGGITSGLPKCYAFKSWLQEYAEKADLPAPEYQTVKEGPAHGPVFRSTVAIDGAKYDSLPGFFNRKAAEQSAAEAALMEILESIPTTKRIPADQQTGLWNNLLQEYAQEMNQSIPSYTWTRQASGKSPYTCTIEIGGTQYIGHAGRSKKDAEIKAAWTALLAIKGQLEGRASSATKYIVVPGKRQSSEAEKNAIETLKQLEVKKGGFKRKWNRRKFLNRKHRAVDLEKNETRAAGDVSSVMMKHDNDASKSVQEPSGDTVMLQSGKEPRRAELDSDTAKPDKQARRAELEPDIAMLNPYQEDRRVEQVQGSGTAMLQPDNGARRVEQVPGSDTVMLQHGKEARRVEREPPRDSGMVQPNEEVGCINQEQLGETAMARHFRAARTKKHDSQSRCNLM >cds.KYUSt_chr5.20817 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135180754:135182473:-1 gene:KYUSg_chr5.20817 transcript:KYUSt_chr5.20817 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRAAAVAATAAVVMGMLASPAAAQGAPSPSPDPSYRNHTVGGADGWFFNATSNSTSGNYSSWAATETFYLGDYLKVMPKPNSTDHLPASSYLPVFQTNDNSSVVLTTNATTYTLCDPSEDDGLETYIYSGGGGGGGGTEETKAIAAPLLYEGTNYFFSEADGGAQCQQGMRFEIKVAYGRGLPPDLAHPPPPPMERVLAPPPAGSVLSQGLDGAGAGDDTDVKNAGCRAIAAGTRFLEAVAAVTLAFFVAL >cds.KYUSt_chr4.54791 pep primary_assembly:MPB_Lper_Kyuss_1697:4:338451149:338451446:1 gene:KYUSg_chr4.54791 transcript:KYUSt_chr4.54791 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAETLSLTSPRSPTGGTTSAPLQQYDQQQQERRAAAANSRAGGGGAPVRQYCGGIPGRPSSLTKSLACSIRK >cds.KYUSt_chr6.31872 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201377655:201378426:1 gene:KYUSg_chr6.31872 transcript:KYUSt_chr6.31872 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLKEALNMMLYEFMLNGSLWEVLHDGAPETRTMLVDWVSRYDVAAGVAQGLAYLHHDCHPPVLHRDIKSNNILLDADMQARVADFGLARALARSGESVYGVVLMELITGRRAVEAAFGEGQDIVGWVRDKIRSNTVEEHLDPLVGGGCAHVREEMLLVLRIAVLCTAKLPRDRPSMRDVLTMLGEAKPRRKSGSSATASNAAVVAAPTTVVDKDKPVFSTTPNSV >cds.KYUSt_chr3.29412 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184120799:184125696:1 gene:KYUSg_chr3.29412 transcript:KYUSt_chr3.29412 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMKGIRGGGAPCVLDMDDAATVGGGVEDTYGEDRATEEQLVTPWTVSVASGYNLLRDPRYNKGLAFTEKERETHYLRGLLPPTVISQELQERKIMLNIRQYQLPLQRYMAMMDLQEGNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITLDVGTNNEQLLNDEFYIGLRQRRATGQEYADFLHEFMSAVKQNYGEKVLIQFEDFANHNAFDLLARYGTTHLVFNDDIQGTASVVLAGLVAALRLVGGTLAEHTYLFLGAGEAGTGIAELIALEMSRQTETPIEECRKKIWLVDSKGLIVNKRKESLQHFKKPWAHEHEHVDNLLDAVNTIKPTVLIGTSGKGQTFTQEVVEAISSFNEMPIILALSNPTSQVECTAEQAYTWSKGRAVFATGSPFDPVEYNGKIHVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTQENFEKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPIYRNYR >cds.KYUSt_chr4.10915 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66276067:66277983:-1 gene:KYUSg_chr4.10915 transcript:KYUSt_chr4.10915 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQPRTWVVFLLLALLSSSVAEASHRVFPELQSLDAVADSAVAVDDPLRTGFHFQPPSHWINDPNGVMYYKGVYHLFYQYNPKAAVWGNIVWAHAVSTDLVNWVTLQPAIYPTAPFDVNGCWSGSATVLPNGTPAIMYTGIDGDGRQVQNVAYPKDLSDPYLREWVKPDYNPVIAPDARVNATAFRDPTTAWRGPDGLWRLVIGTKDNHRGLAVLYRSRDFQRWAPARRALHHGNTGMWECPDFYPVATTPGAVLGDVKHVLKVSLDLTRFEYYTFGAYDHATETYVPDAALPDGNDGLRYDYGNFYASKTFLDPAKQRRILWGWANESDSTADDVRKGWAGVQAIPRKIWLAPDGRQLMQWPVAEVESLRGNHVNITDKFVEGGSYFEVQGLMSPAQADVEVSFAAMDLDKAEPFDPAWRGADAQTVCAARGADVKGGVGPFGLWVLASDELKERTAVFFRVFKAEDKHVVLMCNDPSRSSYADHLYKPTFAGFIDVDITKTGGKIPLRTLIDHSMVESFGGHGRMSILSRVYPTRAVGDKARLYVFNHGESDVKVTHLNAYDMRSAKISNEIDQLIR >cds.KYUSt_chr2.15701 pep primary_assembly:MPB_Lper_Kyuss_1697:2:98863518:98866267:-1 gene:KYUSg_chr2.15701 transcript:KYUSt_chr2.15701 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPHHLVILLLVAAGLCLAASGSVGDEGMQFTYNGFAGVNLTLDGAVVMPNGLLMLTNGTIQTKGQAFHPWPLPFRTAPNATRSFSTTFVFAIFGQYSDLSSHGVAFFVSASKEVLSTALPSQFLGLLNSTDVGNQSAHIFAVELDTIFNAEFRDINSNHVGIDVNSLVSLDSTDAGYYDDGTGRFQNLNLISRKAMQVWVDYDGTATEITVTMAPLGMARPKKPLLQTTVDLSGVVQSTAYVGFSSATGILTTRHFVVGWSFALGGPAPALDIPALPALPRAWPKPRSKVLEIVLPIASAALVLANKRERRRWLFGRSSSPSPSPAAPPAPEQQQQSAPVRSAPGPSVTDEQHHAIALAVATAATAEAAVATAQAAAEVVRLTRPSSSFVREHYARRRRADRLPRLPGEARPARSQGTGEAVRGHNVQKQANMTLALHAERPVRVQVRVRDQRMCLSQDSLSATGAARCGSSLVLIQRRHIHLLGLQVHPRIRRATLRDPSPSGRGMARSRDGSSFAADDWDDRSRTIEEIQAMLQTRKDAALRRERALSYAFSNQEPCPSAEEEMDVNGQPRWAERWMASRASFDANRSSSRTAAAAAPERASTDHRD >cds.KYUSt_chr7.25329 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157940356:157945096:1 gene:KYUSg_chr7.25329 transcript:KYUSt_chr7.25329 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPSPDWSGLPADILHSILELLECPDNLRVAAVCTAWHRTSSEAVCRISQTPCLLYCTEAAGPSAVGMYSLLEQRAYTISLPDPPIAGRYLIGSSHGWLITADEKSDLMLLNPITGEQMRLPPVTTMHHIKPVMNGDGVLEGYEMSYYHGKLFPRIEEPACTYQLDEYRETVYFKAVLSSDPSSGDCTVMLIHQPYWQLSFAKVGGETWNWIGIGTDYTDGIHHDGWFYAVNIAGTIDSFYFNGTSIIHKRIAYQMLTRPTKMLPIMFGNSLASLIDNSCLVSSIVEFLKYSSPNSAFTARQNRYMDSRQVLSLIRRLAKRPFEPRYTDICLAWCSWRMVAAATISYDVSSNSSSEEVSTGAEPGFDYRGGEKITTME >cds.KYUSt_contig_2197.83 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:487055:488624:-1 gene:KYUSg_contig_2197.83 transcript:KYUSt_contig_2197.83 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKRAVDWPPTGDERKTKKPCCAFNPPTTATVPEISSLLSSLSVAKRHRSSVADDDHHALKRPRHHHHHDALAQLLHARMRGLEICSDETDMEDTSNSIRRPRRDWSSFTPDMLRLICGRLPLADVPRFAAVCRHWSSCAFPVYPADTAPVLLSTVVTGNGSSVRCYHPCLHKMFVLATPPQTRLPQGSRVFSAAADGCLMLRTPRKTVLFAPLLDDGSSVFESPQREDDEGFMCCAPPQHDDEGSYCNDPKVFAVYPELDAIKIQSWDGQSWRSFHNSGKFFKTSFSCNPVMHRGKLYCLGQAGNLGVYDPGKVKWRVLPKPASFGSEFQYKNCYLVESQGELLAALAGGNSGMPAAIHVLRLNEKKMKWERVESLGGRSLFTGTTSSLSMARPPQSMANKVFLPRFYGRPQVIHAELASSGGRLFFVAKEAMPKKDEDGGGSAWCYDLDLDSHRPFAGASCKNLLQHVWIHLGRVASPRNDLMVIG >cds.KYUSt_chr5.29540 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187278764:187283924:-1 gene:KYUSg_chr5.29540 transcript:KYUSt_chr5.29540 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAVSLEGFPYRGSRKLQTPSLFLPLLSPHSLHAEVASDPTAPARSTGAALPIGGIATPPLLAPSALERRHPPPRPARSNAAAHPTGGIAAAALPTGGIAIVALTHGVLLRTRVNAAGRTQNCLLRNREIAAALLKTDMETKRNEAEREDEQPVSSTHIVAQVLKEHNSSSTFLSTMGYQSRSGRSRTSASEELVRELEEKVEQQKREALDANTMYQQQLIERGETQEAALEEMQRKQQEELAAMKKSQEEKNKAYEKKQEEQDSLISFLLRKHATQN >cds.KYUSt_chr4.23042 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145056402:145059100:-1 gene:KYUSg_chr4.23042 transcript:KYUSt_chr4.23042 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDREVAPRRLDPGRGTEHALPKIAKRSHLRPTTFGEQLVMDSKTMSSRRSRHRSAATARSEDLGFSPGDCRKTERSPMTPSGRGRRPQTSPSWPKRARVSPRHGLSFFYTGLGRRMTTEPPPQQAARRDHVAHTTMANDQHQNLWLARQPARFPPTKAAALRPNSSRRRTATEHREPPPMQNNRSRTDDNRPHWPLPHAEHQRTGHRMQALLRNVYRSGTRGTSLKFLDCTPPGAAQSFIEPLRPCSWIRQIVSPVIPHEGVQAYGFCTKVLSVRGFSTVGAAEVSAEDEDSSSPMVEHPPHIKFKRPDKTARHIMNILNKEAVDKVRTEREIPDVQPGCIVQMRVQVPENKRRESTLKGIVIARRNAGIATTFRLRRLVAGVGVESVFPL >cds.KYUSt_chr5.20166 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131099164:131099703:1 gene:KYUSg_chr5.20166 transcript:KYUSt_chr5.20166 gene_biotype:protein_coding transcript_biotype:protein_coding MQIWVCLAFDLPGSPTATSSRPVPPNVSGAGAKSGIVRRWGHTWELGIENLVCACPELQKIHSAPAGETWPEQGGWDGFAAAAVGFVVPLIERGYMLSERTIQHGKKEEFGATSKVIPRFGALDLANALIRESKSYNAKSCLPVLDKRSSLVEGKARAFLRYLSPPRLQMSYVELLREG >cds.KYUSt_chr4.17979 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112693960:112706091:1 gene:KYUSg_chr4.17979 transcript:KYUSt_chr4.17979 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACAAAVAASGARGEEVTFSIRVAKGRGIFEKLGRLAKPRPAKLYKLKHLTKVEVISSDPSGCTFVLGFDNLRSQTVAPPQWTMRNIDDRNRLLFCILNMCKEILSYLPKVVGIDIVELALWAKENALTLDNQVSNQDGQETSVVTQTERKVTVTVTVENDLVSQAKDEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPLVEEVLQGLDSASAAIDDMDEWLRIFNLKLRHMREDISSIESRNNGLEMQSVNNKGLVEELEKLLERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPSYVNMRAVREKRAELEKLKLTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCVSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNSGGQNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGAPNVNKNRSGANDPDDDDLNLMDPDGNDIKPDNTSVELGTLNDALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISVQFGRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFTTLEKIAQGDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACTRHISSLIYLQFERLFQFSRKVDELTYTIAAEEIPFQLGLSKTDLRRVLKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVTRIYGNEPIMPVAEMRDVLATF >cds.KYUSt_chr3.14246 pep primary_assembly:MPB_Lper_Kyuss_1697:3:86511651:86513683:-1 gene:KYUSg_chr3.14246 transcript:KYUSt_chr3.14246 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPAPPAWMAVAARKWLEDAGAIGKDAAGGGDGRRAFNALPLSGVRVALAERGRALCSLRVPAHLTDAEGNWHAGAIAAAVDDVCAAAIMSVEGIIKVSLHYDISYFAPAKHHDEVDMDGRVVVRKGRMTAVTMKVRKKETREMEAIDRQSMTTSDQKELKKASDDKLLVLYSVVFITGFFTPS >cds.KYUSt_chr2.16685 pep primary_assembly:MPB_Lper_Kyuss_1697:2:104861469:104862455:1 gene:KYUSg_chr2.16685 transcript:KYUSt_chr2.16685 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEDVPATVNFWGDHPATEAEYYAAHGAEGESSYFTAPDDGAGARRLFTRSWRPAGGTRPRALVFMVHGYGNDISWTFQSTAVFLARSGFACFAADLPGHGRSHGLRAFVPKLNPAVADLLAFFRSVRRREEHAGLPCFLFGESMGGAICLLIHLRTPPEEWAGAVLVAPMCRISDRIRPPWPVPEILTFVARFAPTLPIVPTADLIEKSVKVPAKRLVSARNPMRYNGRPRLGTVVELLRATDELAARLGEVTVPFLVVHGSADEVTDPAVSQALYEAAASKDKTINMYDGMLHSMLFGEPEENINRVRGDIIAWLNDRCTPPATT >cds.KYUSt_chr5.35392 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223616588:223618576:1 gene:KYUSg_chr5.35392 transcript:KYUSt_chr5.35392 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSVPLSLIGLSFLKQVVDGEGNRWSGVESSALTPTCLGGMVQWGFGDGHTMMDSHMVTALSGIMHGGVNGRMLVAIAREKRKSERRSSDQNIDVEMAAEAKQKKKRPITQLTGDEHEEEADGNKRRPRTQLAELEGNKCSCAALAAAEKTSRCQDQEKQTTETTSTRYPQEHVDYILSWNPDFKIPELPIDDDMTEEQRGMRLYALDFFRELRRRKRALQEWVKAQVADRGYAEMPHEKAQPPKEYDEDGEELAYLGFGMYDD >cds.KYUSt_chr3.7296 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42270944:42276027:1 gene:KYUSg_chr3.7296 transcript:KYUSt_chr3.7296 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYAAAAAAASSSRKPVRTTTAAPTAPTPAPRPAPAPSPPNPSPVSDSDPSSYSSSGDETDLSACDPATKSILSSYLSAAGNGADLSKVGIFLNSAARRRSPPCLICLDPIRPSDPVWSCSASCFALLHLHCIQSWAHQSATAAPSPTWGCPKCRVAYPKSQTPTSYHCFCSKTVDPPADPWILPHSCGDVCGRPLNSNADSGCEHTCLLLCHPGPCPPCPAVVPNARCFCGAHREPRRCAHQRYSCAGKCNRRLSCELHRCPVECHDGPCPPCAVMGDHKCECGETMLKRLCSERVFQCKSECGGMLECGKHMCERGCHGGKCGECPLRGRRTCPCGKKDYPKLECDVEAATCGSTCEKVLGCGRHKCPERCHRGPCDVICRLVIKKSCRCGGLKKELPCHQDLTCERKCQRLRACGRHACKRRCCVGDCPPCAETCDRRLRCGNHKCLSPCHSGACSPCPLMKTISCFCGKTYFEVPCGTEKNQKPPKCSKRCNIDRLCRHKLDCRPHKCHYGACPPCKLICGEEFSCGHKCKERCHGSIPPPNPEYTLKPMKKKMEKHIECTPGTPCPPCQEIVLVPCFGQHLSQERAMPCSRSRQFPCQNLCGNILHCGNHYCTKTCHVLEVPSNQPKADTILSFSRENTFAEPCERCNLRCQVVRDPPCSHPCPLPCHLSDCPPCKVLVKRSCHCGAMVHAFECVHYNNLKAKEQVKARACGGPCHRKLSNCPHLCSEICHPGQCPSVDQCMKKVNVRCACSTLKKEWVCQDVLKEYRRSGRDPKEVPKGQFGVGLIPCGGDCAKKVKVSDPELHLRKVQEIKNPAAEAANVPKRRKKRDRGVQEPVGVSTWQKIKRYLLIFLAVLIAIAVLYVLGKVVYQVSDWMNEMEAQKARQRLLRAGRL >cds.KYUSt_chr2.7962 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49885626:49889968:-1 gene:KYUSg_chr2.7962 transcript:KYUSt_chr2.7962 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEIGGALLFVLAAAAALATAVSTGAVDFSRPLTVGGRLDFQDTISWFIGVFDGSSSESAGGVSLADVYELWVRVRGGVIAPVLQVAVWVCMVMSVMLAVEAVYNSLVSLGVKVIGWRPEWRFKWEPIAGDDEEKGADAHYPMVLVQIPMYNELEVYKLSIGAACELEWPKDRIIVQVLDDSTDPYIKNLVELECEDWASKGMNIKYTTRSGRKGFKAGALKKGMEYDYAKQCEYVAIFDADFQPEQDFLLRTVPFFVHNPKVALVQARWTFVNDTASLLTRVQKMFFDYHFKVEQEAGSATFSFFSFNGTAGVWRAAAIKEAGGWKDRTTVEDMDLAVRATLKGWKFIYVGDIRVKSELPSTYKDYCRQQFRWSCGGAHLFRQVAKDILAAKVGFSSPSLANL >cds.KYUSt_chr7.19775 pep primary_assembly:MPB_Lper_Kyuss_1697:7:122650140:122650625:-1 gene:KYUSg_chr7.19775 transcript:KYUSt_chr7.19775 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRPQRVRVRVRWDSSFFRLPEVQPAPPLCPSRHLPRCRGTRRWAATPQPPSSPSPWRISASPSPSPSPRLRCGRRPGRPRHGRGRLLLHHVLDGPGVGGALPHGLRCPLTNPSDVLPSPVGGGGVATRVDPRALASSSSLAKANPTTRFRERWRWCRRW >cds.KYUSt_chr3.32312 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202999640:203004505:1 gene:KYUSg_chr3.32312 transcript:KYUSt_chr3.32312 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPPCHPPLLAPARHRLASHHLLAVPETPSSLLSLPHYHHSLLLPSAANAWPPRRHRRRGVAASVGQEEPGFTDTSITSVEDGATVPPISFDAEAGAVAVSDEPADASPEDLESIAEIKRVLDLLQKNRDMTFGEVKLTIMIEDPRDVERKRLLGIEDPDELTRDDLADALVESTDGLAGHDSSLIASACIINSVNVANLDSQQCNYHAEDSQTVNEGRIPENRDALMLLAKEMSEWPDVDIKIETKKSKGLFGRSSYAKATDTGIDPVAAAKRLNIDFDSAADIDEEGDDDNEEEVPSAVEDQWSIFIDMLVLEPAITGFSGRNLESLQGAREILSKVRLLLNV >cds.KYUSt_chr1.5216 pep primary_assembly:MPB_Lper_Kyuss_1697:1:32009707:32010738:1 gene:KYUSg_chr1.5216 transcript:KYUSt_chr1.5216 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPAQRPKLSDGGDRGSEDRLSDLPEDLLLRILANIRDVAVAARTSVLSSRWRRLWRQLPALNFPFPSDPHHIRLALQSHEAPALRLLEAGVLDGTPDSVAPWLLNVAPRLFGRLSLTSRAAQDGSEEDMADERGAFELPCFQNAASIRLELGPLGISMPPLGVFTRLNDLSLVCVQLHGPCMLGDLVSSPRCPVLRKLVVKEASGLGNLAIHSDSLIAISLKDVHLHPADALGLGHVTIESKSLLQIELTSVHSLQQLTVTAPALERIYVDSCFANYRARASRHNQPVANIYAPRLKSLYWHDAYDPSSTQFGNIENLETLGVHTFFMYMEEITMLQITTF >cds.KYUSt_chr6.2867 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16901982:16903212:1 gene:KYUSg_chr6.2867 transcript:KYUSt_chr6.2867 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDDEDDFEVSGPNHMRTRSRIGRRWKIVIDWQNPEHVRCVVACLVKGTGVMERDRIKCRTDTEALAPPWWESFGFIRHKVFKTESIINDHFTYGAIFQPREPSRCPYAPKYVVAFRGTMLFHPKVLQDLMQDALVLFNALADNRRFKRTHVHVEELIGSNPVGSVWLAGHSLGASLALEIGRNIMLKKGVSVPTFLFNPPHVSPAPVINNLPEEHKTVLHTGSYAVKFVLSNVVPGHRKRTKKLFRQLAPWVPELYVNPDDAICLGYIDYFVQRERVYEKHPRFASTATRTSYRDILFFRTLRSHLLPSARLWTNSRHKTGAHGLRQWWKPDSELALSNTRYVCPLN >cds.KYUSt_chr2.47646 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297997173:298003062:1 gene:KYUSg_chr2.47646 transcript:KYUSt_chr2.47646 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGSPFIEVKSLNAKPLLEVTPKSNGATTIDKVINRLQNPLAGTIPEKKMEFISLGPNDEEEGNRQTETLAATAVASEPPSSTTKASANDIIDLEEGQFASEPDAKDGQTKAVDANGLEPLASEHQPQDRPSTVDSAKASVEITDLEEGGQVACKAGAEDTQGGGDLQAEPLTVNGVDATKASDEIIDLEEGQVEDMDLSDDGDVIVKRQTSDAPVQAQASVPALLQSLHGVVSVGLDKGNGPGIVAQVHASSSAFIDESRILAMNGLSVLNFYLLVMYSCKHVHVIWKSICFGIFMDPDSVEEVLECGEETYYPALHVGSERSAVKSFWVDSQARGNVAVDDGAVPLYNREFTLGSTPLGDPSNTESKQDKDDSRCFNCGSYSHALKDCPKPRDHVAISNARKQHNSKRNLSNVSRGQNRYYQKTPGKFDDLKAGVLGSETRECLGLRENDPPPWLHRMRELGYPPGYLDEVEDEDKPSGITIFGDGEAKAEHEEGELPEQGEPSPPLKKMTVEFPGINAPVPENGDPWLWGSTPPQSSSGRHHYPSSDSRDRGPPGAEHYSSSRYHPYDHGPATPGLVRSHSDRGRRSSSGYYDNPPPPPGEEGAWTPHAYSSRQHSSGSEMSRHSRERERERERDWHDRHYYSSGRR >cds.KYUSt_chr3.1453 pep primary_assembly:MPB_Lper_Kyuss_1697:3:8112260:8115460:-1 gene:KYUSg_chr3.1453 transcript:KYUSt_chr3.1453 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAEQVAADVAVLVADVSSSGTGAPPCGRAADWSAGGKPPGDVTVCGRSRKERMMILLLLLESRWSGCFPVVKVLLGLIMAVVAVKTQPNAEEEKPCR >cds.KYUSt_chr2.40654 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252608042:252609459:1 gene:KYUSg_chr2.40654 transcript:KYUSt_chr2.40654 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKPSGPSNRQQGSTITSTIAGERAGWMSHRRQGDTEAVGRSPAPSSWSREVDPREKKGWAQGAADSGGIALHEESLAIARGGASRCGSPRRRAGVEAPWLARAAAFLAAGVLGIAPAFRPLADARGGASLRGSRRRRAGVQTPWPSRVRRDCGLDLGSGLPGCDFGEGRNPSKEIFSELNEINAQDLNFPRSFQNTGGDTKWGDEATPHQGDEATPHQGGAAQALAAPARGVGPSWRPRPTLPPT >cds.KYUSt_chr2.20842 pep primary_assembly:MPB_Lper_Kyuss_1697:2:131137207:131137923:-1 gene:KYUSg_chr2.20842 transcript:KYUSt_chr2.20842 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPPRELEKRMVSGVSPSMPSVSAVKAPAAAAEAGAAPPLVDEAGNLERFLSSTTPSVPVQYLPKLIPSLDTLL >cds.KYUSt_chr4.21200 pep primary_assembly:MPB_Lper_Kyuss_1697:4:133361303:133372773:1 gene:KYUSg_chr4.21200 transcript:KYUSt_chr4.21200 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQRSFAVKDLGTLLYFLGIEVQPQYDGISLTQTKYAGDLLRKVNMHGCKEINTPMLPSDKLSKTVGVQLTDNEAFVYRSTVGPLQYLCLTRPDISFAVNKACQFLAAPTDVHWSAVKRILRYVKGTLHVGLSICRSNSTELSVYTDADWAGCPDDRRSTGGYAIAEWEQKVQHASSGGPGAKEWKPSQDGALVETKALSWIAEPSEDYRRKQSLSSSSYLELAIYTPMATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELVPGDHVLPVFTGECKECAHCKSEESNLCDLLRINVDRGVMIGDGQSRFTINGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGLGATLNVAKPKKGSTVAIFGLGAVGLAAMEGAKMAGASRIIGVDLNPAKYEQAKKFGCTDFVNPKDHTKPVQEVLVDMTNGGVDSAVECTGNINAMISAFECVHDGWGVAVLVGVPHKEAVFKTHPMNFLNERTLKGTFFGNYKPRTDLPEVVEMYMRKELELEKFITHSVPFSQINTAFDLMLKGEGLRCVMRMDE >cds.KYUSt_chr4.11055 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67087486:67087841:-1 gene:KYUSg_chr4.11055 transcript:KYUSt_chr4.11055 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYMKLSVTFLLLLSGLVVFGEMEGATAACDVVCFQGGHITCDNYPGQELDGCDCECKPADGKGCVLHLDDGVTHSNCS >cds.KYUSt_contig_1181.1360 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:8865327:8868825:-1 gene:KYUSg_contig_1181.1360 transcript:KYUSt_contig_1181.1360 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQRQNSASIAGEAGATATARLKPKRTRKSVPRECPSQRTSVYRGVTRHRWTGRFEAHLWDKNTWSEPQRKKKGRQVYLGAYGGEEAAARAYDLAALKYWGRDTILNFPLSNYDQEWKEMEEQSREEYIGSLRRKSTGFSRGVSKYRGVARHHHNGKWEARIGRVFGNKYLYLATQEEAAMAYDIAAIEHRGLNAVTNFDVSSYIKWHHLCRAGDAEGLQGPGQLPVDMAPHPTVDLDEAMAAAALHKHEDGQLLPTHVSPPSHAALGLLLQSPGFKEMIERSVASEGSTRSPSSSSCSPSPPSPPALTQQQQQEPEYSGGASSGRCSFPDDVQTYFGCEDHDHDGGVGYAEVDTFLFGDLGAYAAPMFQFELDV >cds.KYUSt_chr4.4310 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24700422:24702423:-1 gene:KYUSg_chr4.4310 transcript:KYUSt_chr4.4310 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPEAVALGSTVEVALFFAGEENAKKERVDKCNCSHPRSEDCVLVHVQKARSWIKEQLGEEAFKNCGLDVMGDQVEELWTAVDKKKLEDVYKSIPQNEHQTFMKIALKEHSDKEKERLARYYYNVFLPRRLASFTRAGHKHEDVDTGDEKSSESDDNNERRPRKKSKSSGSSSSKRCRKWNIQKNR >cds.KYUSt_chr7.37523 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234130726:234134970:-1 gene:KYUSg_chr7.37523 transcript:KYUSt_chr7.37523 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGAGPVCPSQGGSGADFPSHGWSGLGRWWGFHDPVQVDLGEVRRWAADPVEVGLGEPADAVSKRWERGSRWRARIVVGVCGKGAVGGTVVAMGAGRSPRRFPLLLAAGGLQLSSIGVGAVVLACLVEWRGSGRGGCLVERVLVGVGTAAGYSAAILEYLTAEVLELAGNASKDLKVKRITHHHLQLAIHGDEELDCGTPGIRVRQIPDLRLI >cds.KYUSt_chr4.52783 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327418793:327420912:1 gene:KYUSg_chr4.52783 transcript:KYUSt_chr4.52783 gene_biotype:protein_coding transcript_biotype:protein_coding MADLISALPDDLLLQVLGRLPLTAAAARTSLLSRRWRGLWTRLPNITFREVALDRLGSALASLRDAAVGPGVPFLDIAFDSAQAAAGVDDGFTRDGLQKRVLAGLPSLLHAAAGLSPVEFHLALPRRLDVGADVELPRFHRATSIHLYALNLRLTLPPSTGGFPKLETLSLSGYRVDLAALVRHCPCLRVLRVTQATLGADINIRSESLQKLFLRTNETRRWTDSINIEAPKLKRLTLLFRTDTGARLSVSLVAPLLEKVSWCCSYATKGAGLAPWGLSMARLYAAESLGQRVTTCAGEDSRSSQLSNVNGPVHAQLNFQQQIEKHLVTDFAVLDLTLVYDDPWRSHRHGFGPFVLHLLEMHRIRAATRRLQIQFIRHQVKEKCPVNCPCDEPKNWRSKDISLINLEEVEIKGFVGEDHEFDFLRVIFRCAPMLKKMCLWVSGEATTGSMHISESYVLT >cds.KYUSt_chr7.17977 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111530481:111530993:1 gene:KYUSg_chr7.17977 transcript:KYUSt_chr7.17977 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEGSQVVGRWYIMPARKEAAEIEEAAAALLDLAWVEKQQLFAPRSPLDMQRLGVECRRRGTELVTRWDSDLKVRCLMEMQASTMAYLRDGAAKATYCCESMSSPDHLSDMIEMTKMAGVAGVGVKFLSKMEVAQLLLKSLQYPAAMLGVPKAWSPVVQEQLPGCKGK >cds.KYUSt_chr2.12980 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82256146:82258198:1 gene:KYUSg_chr2.12980 transcript:KYUSt_chr2.12980 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLLYAFTASIAAAALVPAAPQFWAANRKQGFGPQRDAEEEEGPVYSPTPPRGSVKESETLDKQRRVPPPGSRRAVPFFRSGLQPFSIGVPQPPPPPGGPVEEADTLANQRRIPPPGARLRHRLVPLQLPSRRPEGRWRLARSWLVAA >cds.KYUSt_chr1.1113 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6063412:6067596:-1 gene:KYUSg_chr1.1113 transcript:KYUSt_chr1.1113 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTGHCLTGYRSWKPSAGLCQYGWSALPHWLLRHVPLQACSGSSYKGMAEAILAAVTKIGMIGASKAATAAGDLLAKKVNGLMELPGKIKMIDKELRMLNGVIQDLGSSHLSNNVIKEWITGVRNLAYHVEDVVDKYLYEAVKVNEEDFLSRYVFNMGGARNAIVFSKIVVEVAEIENELKQVKENQAYWTNTVVPVNNDRAEIDRQRSGGGFQGLFCDEDLVGIDENRSKLTEWLSTDEKDSTVITISGMGGLGKTTLVRNVYDREKGNFPGGQAWIVVSQKYDVVDLLTKLLSKIGQSQPVSAKPDVDDLTDAIQKTLQYKKCLIVLDDVWNEEAYNQMRNAFKGSQGSRVMITTRKEKVAAIAHNGRRMIVQPLGSTESYKLFCSRAFHNTSPDPDRKCPPELRCPPELETVAAAIADRCHGLPLAIVACGSLLSKKEPTEHAWDQMYNQLRSKLQENNHVQAILILSYHDLPGNLRNCFLYCSLFPEDYPMPRESLVRMWVAEGFAIRKDQSTAEEVAEDNLMELISRNMLEVVERDELSRVTTCKMHDIVRSLALDIAKEERFGSANDEGEMINTDTEVRRFSTCGWKGDGSRPAAAGVKFPRLRTVMSIASSTSMISSILSGSNYLTVLELQDSGISQLPETIGNLFNLRYIGLRRTNIESLPDSIEKLSNLETLDIKQTKIVKLPPGIVKVEKLRHLFADRFADERQTEFRYFVGVEAPKMISNCHDLQTLETVCASKDLSQQLRKMTKLQTVWIDNINASNCEDLFEALSYMPLLSSVLLSASDERETLSFEKLKPISTKLTRLIVRGGWAHGTLNCPIFQGSGRYLRYLALSWCNLGEEDPLKLLASQVPALTYLSLNRVSSAAILVLSAGCFPKLKTLVLKNMPNVNQLVIEDNAIPAIHGIYIVSLREMNMAPHGIDCLGSLKKLWLLGLHRDFKADWDLKQMHNKLKHVPELRS >cds.KYUSt_chr5.5577 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34847429:34847731:-1 gene:KYUSg_chr5.5577 transcript:KYUSt_chr5.5577 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAPVTFTVEKGSDEKNLALSIKYNKEGDSMAEVELKEHGSNEWLALKKNGDGVWEIKSDKPLKGPFNFRFVSEKGMRNVFDDVVPAEFKVGTTYKPEE >cds.KYUSt_chr3.32320 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203080381:203081318:1 gene:KYUSg_chr3.32320 transcript:KYUSt_chr3.32320 gene_biotype:protein_coding transcript_biotype:protein_coding MCIPAHPEEQQTTVVYPADLVRMDRGQSTSYLTNTGQHDRETTHDHDVLATIPQASHASFKRGARVADHEEEEKIPVDDRVSAGRAGAFGPRSRVGSSVTDISSSNSSMNYGKSPRQDRIGSDSFWCGAFCMHLPGLSSRRRPIMQQQQQQSMSLSEPDAPASMAGPAAEAARNSAVSKAASMERFGNNSSPTASGVVFDGRVDEEEVDDQEMSAYFDLPLELLRSSSVDMESPVTAAFLFDSSRGPGRKKSMLPDHLDFSFPAPPAFSSPPSPPS >cds.KYUSt_chr3.2077 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12089028:12089849:1 gene:KYUSg_chr3.2077 transcript:KYUSt_chr3.2077 gene_biotype:protein_coding transcript_biotype:protein_coding METQRVAVLVEDAPASRAALQWAVRNFIRGGDSIALLHVCPPSRSRRRRRGLRLRGFQLALAFKDLCNGIAEAKVEIVVREGELGETVAAAVGQLKATTLVLGIHDKSFIYSAPSPSAGVSSLGCRVLAIRQNATARHGFMEAELIQIETIRLHVPAPKIPFPIFTLPLGVIWRRSSSKRRK >cds.KYUSt_chr7.29913 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186240417:186251140:1 gene:KYUSg_chr7.29913 transcript:KYUSt_chr7.29913 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHAQLRGSPAAASRRWPAPSRAALVRPAPLAASTRLLRSGGLFPASLATKPLTAMCMKSKCTGTPVEHATAPEHTGDEIPEPTTVVAATEEVDIDLGDAPQQKSAIIHDFCLGIPFAVAAAVAWKHCQAYTVTKKLLPWGFYAALRNRGNVSLDVQFNAICRANSRRAAEMIRLRTYAAFSLLATTAAVYHAFSTRGQFYPAMAHLSASKPCLVLLLNAGLVAMCAAWQLVRRAFLGPLREAEAERLNEQAWREAVEVLFAVTIFRQEFSLAFLVMVAALLLVKALHWLAHKRVEYIETTPSVPALSHARIVSFMAFLLVVDCIFLWNSLGSLIHKREASVAIFFSFEYMILATSTISTFLKYVFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRISDYVRYRKITSNMNERFPDATTDELTASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDNGRAASSAQQHGAQPVRKTVAGTGTSTSGGAPSENVNMRQAKLEAAASAASVYGRSFAYPPASTLNRKGLQHQRQLTMRVLNMPEISEAALSSYLYISSLRVDIRCLFVLAGTNLVKDPKEASRSRGGEEVSLDVSPLFDDTTPRPRREGHPMVKRRRGAAGREDGHGAGPDVILSARREEGSRPAQDPVQPAPRPGHPVPTGSQAGCNRTQFWGSGSPTRSPPGPAPGLDRMVRPQARSNRPPDRIARVRLDRTLLGRSLLYLFDPSRPVILYKCLDRPPLLSRQDLGLNMTLSFVSLGLDPLCIKATLVGCMDLDV >cds.KYUSt_chr5.15333 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99163540:99169793:1 gene:KYUSg_chr5.15333 transcript:KYUSt_chr5.15333 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIVPTCLAKMSKKKRNMGKIDDLVTPVAEDMMVELLRMDAEFFVKGSYAEHSTRPSNERITIDHVLATEEIGLHLRQLRPHSGDVSDSSEDKTSLGSESEMPPRRGGRVGGRGHRREDDLPPPPPNLAEVMANQTRLLEEMIRSNAAHRAPQDQEISLMDFQKCDPPNFTSASEPLVVDDWLRDMEFNPLVGRCLGLISRTLFRRAYVPESTIGLMKQKFRALKQERRSVDEYLGEFEHLSRYAPRDVEDEKEKIQAFLNGLCEDLHEKLVTHDFPDFRTLVDKARLAERASISSEIARKRKREAFQASKAGSSSSRQEKSVPNSSSGKRFHNRQPYTHPRHQRQ >cds.KYUSt_chr4.2968 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17047592:17047834:-1 gene:KYUSg_chr4.2968 transcript:KYUSt_chr4.2968 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPDGDGRDGGPEERSWRRTPSTRRVAAARRYELEKIVHSRPGREGCNGGGVDIGGGGGLLLPQFFRLPKAVVEARRHA >cds.KYUSt_chr4.28039 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176120347:176122538:-1 gene:KYUSg_chr4.28039 transcript:KYUSt_chr4.28039 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQATVSGKRRPVPVASGGNKIDALPDEVLQHVLSFLPAAEAVRTCVLARRWRGLWKSMPILRITSEGRTLNRQGVRKLNKFVNHLLLLRDRSASLHMCEIQLSTFRSQDVPEVNLWIRHALLCQAQTLTVHLSHDNNIFELEDLPLVSCHLTRLDLCNVVLNDHILNFSSCPALKELRIEGCYTHADMIMSQSLKQLTILDCIFYLTTRARISAPGLVALELTELWGRTPLLESMPSLVTGSIKLADCDDYCHKEDDGSCFSDNDTCEICGANNDGSGDCVILNGLSEAEHLELTAEPSVHTPVLEKLTIQLNESPSILTGTEGMYKLTGPSNTPKEPGAGPSQAWTRRVLPLKNNQLKSMFEHVAVRQEQILEQHQQIPSQQQHIQVQQHQIISGQQQQHLVTQLLANFL >cds.KYUSt_chr7.22001 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136302178:136303926:-1 gene:KYUSg_chr7.22001 transcript:KYUSt_chr7.22001 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSTARASAATLVKNTGVAEADVVPGGWSRRGAAASGGGLVLTVADEQEHGLGGEIVPDLNVQPMAEDPLLGETSSVRKRKFEEFDDSEDSGHSYISSEVESGDAVSYNSDASSDNEVSKYNVLSYEKKVEEKIWAEGISAYMKPDGRYYRKFHPSKQVPRDGMREGLVSHVKEVHPKDLRDKANHAAPRKVLEYYGQD >cds.KYUSt_chr5.8771 pep primary_assembly:MPB_Lper_Kyuss_1697:5:55587231:55587989:1 gene:KYUSg_chr5.8771 transcript:KYUSt_chr5.8771 gene_biotype:protein_coding transcript_biotype:protein_coding MTFILVMKRASSTGCLPFLVRFRENQFRSTYKEEDVEKLARKLADVQVEVDKLKAENKMLHTNHIEDMRNRDNKEMAFLCVVGSCVML >cds.KYUSt_chr4.2019 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11031678:11037204:-1 gene:KYUSg_chr4.2019 transcript:KYUSt_chr4.2019 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAASLNATSGALVSASGTAPSGTAPRPIAPLVLSFSSGNHSKWSIYMRAALGRAGLIGHVDGTVAANPTDAARAADDYSVLNILHSGIDEDIADMVLARDQTARQLWLAILELFSTNKANKAIYLDNEFRQLVQGALSITEYCRRQKFVADALADNDSPVSARALVLNTLRGLSPRFASATTIISMTEPLPSFLRVRGMLLMEEMQQTNAASNAASTAFVAQARPRHHQQPAPGPAAKAPPSPSKPGSRSSPRLGQWRAPYAMPNVPGILGPRPQAYNTVSAPLYQSTPPISTAPSWDNAGLIAALNNLGLQQGSWVMDTGATSHMTNSDGNLMSTTPLSTPHFVTVGKGSAVPISSFGHTLFRSSSGQIFKLNHVLLVPHLIRNLLSIRQFTRDNYCSVEFDAFGFSVKDLKTRRVILRCKSDGDLYTFPGSFTRRVPPTAMLATIATDLWHQRLDGYTTGCNSIAILDFLFTNTLESRPPRAAPASPPAAAGAQIRSVGAGLWRQPLLRPGPSSPYFSAPLLVAAAGDICYGVTILPRSFAAGSVLRNLVQQRYPSSFAGQRADYRGISNAAKEEGLSTKTAPYFIVEKDLLKKELLRTSGGLIVTAAAYYAVNQLMKNLEVPKSEALNQLMKNLEVPKSEDLEEVPKPEDSKDDLQPEYLEEDLDPEDWEEDPEPEDSEEDPESEEWKVPTVSSTKFSDVKGVDEAKSELEDIVQYLRDPNSFTSLGGKLPKGVLLAGPPGTGKTMLARAVAGEAGVPFFTCSGSEFEEIYVGVGAKRVRELFSAAKKRSPCIIFIDEIDAIAGHRNAGDTKTERQTLNQLLVEMDGFEQNEGIIVLAATNLMQSLDKAAIRPGRFDCHVQVPNPDIEGRRQILEACMSKVKSRGVDLIAIARGTPGFSGADLTNLVNVAALKAAKDGAEAVMMDHVEYAKDKIMMGGERKSAVIPDKCRKMTAYHEGGRALVALHTDGSRPIHKATIVPRGNTLGMVMQLPEEEDAYKVSRKKMLAKLDILMGGKVAEELIFGDNEVTSGALSDLSEATKLATDMVTKYCMSQRIGLVSYGNGDGEKMAALSGYTTALVDEEVKELLDKAYKNAKKIVTAHSKELHVLADALLEHGTLTGDQIKKLVPPSK >cds.KYUSt_chr7.12488 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76908326:76909346:-1 gene:KYUSg_chr7.12488 transcript:KYUSt_chr7.12488 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSTSSFKKYADAPQTAGGDMEAGGETVANLDKFFQDVEAVKEDIQGFEALYKRLQATNEETKTAHEARAVKALRSRMDGDVEKVLKRAKAVKTKLEALDRDNANSRKVPGCGPGSSTDRTRSSVVSGLGKKLKETMDEFQGLRTRMAAEYKETVARRYHMVTGEHAEDSTIESLISSGESESFMQKAIQDQGRGQVMDTISEIQERHDAVKDIERSLMDLHQVFLDMAALVEAQGAQINDIESHVAHASSFVRRGTVELEQAHEIQKDTRKWMCFAVLGGIALVVVLITPVLINLHILTLR >cds.KYUSt_chr7.27624 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172468846:172469789:-1 gene:KYUSg_chr7.27624 transcript:KYUSt_chr7.27624 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPADEASAPPTSPSKPGAAPPSSPTRPTSPPTGRAPTSAPTTASTSCAPHPADGAVLVVAGLDLNHADIAGFLPATLPASLPDLALLHLNSNRFCGVLPDTFLHLRLLHELDISNNRFVGAFPAVVLALPSLKYLDLRFNDFEGPIPPALFDRPLDAIFLNSNRLTHISSNTLRECRWSGGRRGDDGGGSVRGDGGGYCEGDGYGDGGGYGVGDGELSIGLSLARGGGVE >cds.KYUSt_chr4.22387 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140670590:140671834:-1 gene:KYUSg_chr4.22387 transcript:KYUSt_chr4.22387 gene_biotype:protein_coding transcript_biotype:protein_coding MWMEGGYMLQNCRWKPSPHYTPPSTMFASSFCRRRLLLRIPGEATNLLQLQSIHLARSSYSSTAVSRAPSSDLCPATVSYLISCGLSPAAAATTATTQRIRIVSPDKADAVCALLRAYGFADADIVRTVRSAPSILITDPERILRPKLDFFASLRFEPRKIATAPFLLVCSLDKNIVPSIRFLRGVIGGDDQLRRGFSRVPRALMADVDRNMRPAVEALRRCGLDDAAISKLLVIQMGVLLTSPDRICEIFQELKAIGMSISDSRFLYCFRAMCSLKRGAWRQKLALLRSFGLSEGEVVKAFKTQPMLFLYADDNIKRKLRFLLDEVKLGVSDVIAHPVILSYSMENCILPRCAVLSLLMKEGKIQREIKLLPALLGCSTVFSTRYVLRHADDVPDVVKAYEGKIKFQGFTHDT >cds.KYUSt_chr4.35900 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220657545:220659315:1 gene:KYUSg_chr4.35900 transcript:KYUSt_chr4.35900 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKHVGYSVDAATRKEQYRFYMDALTKPSALRSHVDPMLQEVEVRLLSSSPHLEHAVELLRHQTDTGAGDEPLGTSSWQERLCFPTDFLVSGNSREEETPPPVHGSSNTMAVLSLAAMADREEQLWCATPTPEAAGIRSPSGRCGDDDVVGLFLASTAGQEPFHSVGRRRKSAFPLASKAGQEKSPPAASSLQQEIHRLAFI >cds.KYUSt_chr7.40503 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251458296:251458661:1 gene:KYUSg_chr7.40503 transcript:KYUSt_chr7.40503 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEKQGDRDASAEVVDDVVPPEPSVGGGTGSFDDGGVHIVDATQGNAGEQPEQEVAELAPGVNSTEEEYAGLDFLEPNAAEAEGTCCGCCEHQAKRWIDVGSFDVVVVQRDRWRQWSHKW >cds.KYUSt_chr3.181 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1114638:1117835:1 gene:KYUSg_chr3.181 transcript:KYUSt_chr3.181 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCRWSFLQFFGLRRRPRSMKILSDKKHRQEKNIGGSRLRGCYVPLKDDDSGVTEDHENTQVKYKQKDSKKNSGKAGLRSFISRKLYGKEGQKQKMLPVAPRLLRTLSIHYLESNVYVFDGESAANNGNGPSHGAKSSLQNATGTNLQHNTLDGTGSDMSFSQLVSTGEEHMKRKSHRSISMDGILHKVPYGQKVSGETIIEELPRSASATYDRDCLKPYTGITSRRHVNQGFRRSRSLSESLESYSHLLDSISSSESKRVLTSSRSTRNHSLESKGLARLAEYLVIPEDASALHALDKFDVDGDVKSAVDESSCSEVAAGGSENTEVPEELSSEEKRGVEASTEADLCIAPLPLEVVDVSEEHAAATCDDDLVMSSTEANMCTDPSASGADIPEQHAISCDDEDGIHSSTEADSCTLLGLLQSEDIDIAEQHTTVYDDQIKSCTTQPSEGTNVAEEHPMISNDNHIQSFEGTCCVPDPNQDSQDELNLGCEQETESPTSVLDVAFSDHTMMDDSSSLEEDIVNSSEADNSVGNDDLNVQEDNFSDLNDLQLQVTDPKDEAVLNYVKDIFSRSSFATEPLFDAWHSHNMAALQKDDCQHSDLSFSATAASNLAMADMSADEFLLFDLTNEALLDMYRKYDAANRGSNCARPKPVGERAMKELWGKVSYQLDEELDVDVDGILSSDLVRADRWVEFRRDGDEVGDKVADFVLDRLITELALQLAKF >cds.KYUSt_chr2.46665 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291810444:291811704:-1 gene:KYUSg_chr2.46665 transcript:KYUSt_chr2.46665 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCDKATTVSDTYSKEVSGHGSIAPHYFKFHGIRNGIDSDIWDPYNDNFIPVYYTSENVVEGKSSAKRALQERLGLHQTDAPLVGIISRLTAQKGIHLIKHAIYRTLERNGQVVLLGSAPDPRIQNDFINLAKKLHGEFDGRVKLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDVDDDKDRAHEQGLEPNGFSFEGADSNGVDYALDRQV >cds.KYUSt_chr3.5569 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31441949:31444137:1 gene:KYUSg_chr3.5569 transcript:KYUSt_chr3.5569 gene_biotype:protein_coding transcript_biotype:protein_coding MITLSVGAQDQPPQGCSSSATRCGNLPISHPFWIVDSKTGTPCGGPSFKVFCNNNTPSLLSAGPFGFTILNITYEERSLHAIDRDKLRLVQASNICSMLPSWNTSARSNSPIRISPANLELTLYNCTGAAAAVARRDGALEETRLRHLERDCKARRPDGHGRSAPMPPCCASNTPAGHAAIPCSGSRSRPPRSWAFVVTRTSDFVAVPGKPAAEVWLLQSLLAAQAEAGWTDQVSTLWELMKALGGSLALTNSSKSAEHDEADSPVAAGDVEEQGKGTIDGPKSLEVSEVDALLGGSWVPIGMSSSSLLDGYLRSFNCTSPLSLLDAPILVQIEGDSTCAGRRSGRLDVKNKHCSIPIAS >cds.KYUSt_chr1.36326 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221518149:221518943:1 gene:KYUSg_chr1.36326 transcript:KYUSt_chr1.36326 gene_biotype:protein_coding transcript_biotype:protein_coding MASHALEHGLYLDPAALAYSWAEPDNIPPQLLVALGEYLSSSSCSSPSAADADPESEADDDFMMYEFKVRRCARARSHDWTACPYAHPGEAARRRDPRRVAYSGDPCPDFRRRPGGAACPRGTACPLAHGTFELWLHPSRYRTRPCRAGPACRRRVCFFAHAAGELRGCKGGAAGEYSSPLALSPKSTLTSLWESPPVSPVEGRVVRWVDEDDADAEVEELMLAMRHLSFAKAKPFSSTGAQQVLPPVTDDDGPDLGWVSELVM >cds.KYUSt_chr7.37987 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236803983:236805426:-1 gene:KYUSg_chr7.37987 transcript:KYUSt_chr7.37987 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRFVLLALSLLLISWGGSVQAQVVPAVISFGDSTIDVGNNNYLPGAVFKANYAPYGKNFRRHKATGRFSDGKIVTDVTSETLGFEGYAPPYLSPLASGKNLLAGANFGSAASSYDDDTAALYDAITLSQQLKYYKEYQAKLAAVAGRRGARSILADALYVVSTGTGDFLQNYYHNASLSARYDVNRYCDHLVRIFSGFTDELYKLGARRIGVTSMPPLGCLPAAIRLYGKGRSGCVRRLNGDAETFNRKLNATVEALVKRHDGLKVAVFDIYTPLRELSEKPAAQGFSEARKTCCRTGKAGTRVYLCDPATAVGMCRNASSYVYFDGVHPSEAANLVIADSMVSAGIDLLS >cds.KYUSt_chr6.4732 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27794204:27798044:1 gene:KYUSg_chr6.4732 transcript:KYUSt_chr6.4732 gene_biotype:protein_coding transcript_biotype:protein_coding MNREEGKMPPASRRRGTRSLVASPLDDDDLLCEILVRLSPQPSSLPRASAVCKRWRRLVSDAGFFRRFRLHHCRNPPLLGFFEGSKGLTFVPTLEAPNHVHPGRFSLPSGVGECFKCLGCRHGLVLISLGNRLQALVWDPVTGDQHRFTIPPGVATYGDSTLINGAVLRAAGHFQFQVVVVVADGDNQHRRALACVYSSQTGLWGDLISTPLPYKATGCIFPTFVNTNDAVLAGDSLYWVLAGNSDRILEFDLVKQSLAVIQVPVDMHGQDNCFTVMRAEGGGLGVFFLSGSDSSCTAQLWERKTDCGGVASWLLARTIELDKLLSLKSQEKGAMYVLGFAEENSAVFMWTFVGLVMIHLESLKFNKVFETKPLTFSQCHPFESVYDAEICATTELTLCAVLKMTVRWDMLFDLLVEQVKTSSNGEAYKQQKLVKESGGSSRRRRDRPARAAAVGRGADPHPGEEPWSSRRQQRRRSGGTGGGAMGLYRRPAGSRQRWQLRERTGSGLDLVSREKTGQLDIGLQN >cds.KYUSt_chr5.41692 pep primary_assembly:MPB_Lper_Kyuss_1697:5:263002529:263006309:-1 gene:KYUSg_chr5.41692 transcript:KYUSt_chr5.41692 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFRGAQMAGYVSRGPPNGSIYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGQPKIWIYRDKATNEPKGDATVTYEDQHAASAAVEWFNNKDFHGSIIQVHIAVSKNKDTSSDNSMNLSVAADLVEQDELDNGSGRGSGRGDGPAKAWQQDGDWMCPNASCGNVNFAFRGVCNRCGASRPAGVSGSGGGGGRGRGRGSDDARGNSRAPAVGGPPGLFGPNDWPCTMCGNVNWAKRTKCNVCNTSKPGHNEGGVRGGRGGGYKELDEEELEEVRRRRKEAEEDDGEIYDEFGNLKKKFRIKSQQTESAPALPQSGRAGWEVEHRGSTERESRERSRDRGRDSYDERESRNRDRGGHGRERRRSRSRSRDREKERGRDRGRDHGSDRSWERGSERGHDRYR >cds.KYUSt_chr1.17220 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100006725:100008577:1 gene:KYUSg_chr1.17220 transcript:KYUSt_chr1.17220 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGLGLQEEYPVKLHIYDLSQGMARQLSTTGLGKPIDAIWHTGVVVYGKEYYFGGGIQQDNPRRTPYGTPVRVEDFGVTHVAKEAFEDFLLEIGPRYTPETYSILNNNCNHFSNEAVKFLVSSTVPAYILDQPKEAMNTPIGALILPMIQGLETTLRAGVAPQPSQFVPAPAAAMQTQPSSDKIQIQSKSVDADKTGDGKKTGDGNEIIPPTAQPTPEAEKQEQPSMNSVKSQSRSISADKTSIAKAMDDDSGIIPPTAQPDPTTAKQTEPTSDSIQIQTTEDESGVIIPPAVQPVAEAATVAQDPLVVAKNQVQEEIKLEFAAIMATGATKAGEAAALAMRRVMERHGLRRTAIVQ >cds.KYUSt_contig_7589.24 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001601.1:215365:215853:-1 gene:KYUSg_contig_7589.24 transcript:KYUSt_contig_7589.24 gene_biotype:protein_coding transcript_biotype:protein_coding MASGESVASPADVEAARCDVVRTAAWRRCTAVWRLRRPWRSGLIPALEPSWEMSAPPPLQQRRASATPPPANERRRSPSRGRSRVRHGGGEVVVQREVIREMTSGGGGGTSLVFPMLKRGDYTNWAMVMEVNLQAASLWDAIEDAAVSRREDKHALAALLRV >cds.KYUSt_chr3.8919 pep primary_assembly:MPB_Lper_Kyuss_1697:3:52032989:52035773:1 gene:KYUSg_chr3.8919 transcript:KYUSt_chr3.8919 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRFRSTTVRDAGGADVMQVKHTMFSYLEQSVLHDTASRRPVLNVQQSPLKDTSGTRWEAFRGESTDRREHLLFRAVMASPSLRFSATKVHVFLAGNRREQAPDFVVGGSFFGGECTVSRGNSPVAIAMISRDSGDEWDAGRGKYTYTAEINRGVDHAFILALIVILDKMHNYSPPSRASEAVKLDTIDQIYNHVRHCRTRWIHAMRVKILEDVCWVEKTTTIVMGKDAYFTQIEVALMHQQLTSILLVDLPKGH >cds.KYUSt_chr7.28756 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179223955:179231089:1 gene:KYUSg_chr7.28756 transcript:KYUSt_chr7.28756 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDWIDLSSDDDDDEPMVEEEEDAQAPGQAPQIKEETVDLTMEQEDDVNEGDNNAHFVLPTQEFLQGVQQAMQPANQLLVTPAAQEAMQPGNHQVPNAAVDRMEETMQSETAAGDAPSSFIAADNRAEEATQSKHACEAAASSTMTEQDASSCLSMSEQAATPSSSSTQHQNHQADALLCSPPVSTAAHFPRQFWKAGEYKVAAQASINNGQNCLRINPKFLHSNATSHKWAFGAIAELLDNVVDEVQNGATYVKIDKLKYSPGEYSLVIQDDGGGMSPEYLRHCLSFGFSNKCTNSSIGQYGNGFKTSTMRLGADAIIFSCRQDKTRLTQSVGLLSYTFLTRTGCNDILVPVVDYEFDPSSCTSKRIMDRGENHFSSNLSTLLRWSPFSTEEELLDQFRDMGCHGTKVFVFNLWFNDAWEMELDFTTDDEDIMISGAQDERMKVGRLNHMHIANRFRYSLRVYASILYLRLPEHFKVILCGRVVEPHHIVNDLIYRECVEYRPRVGVSAQADVITTIGFLKGAPKLNIYGFNIYHRNRLILPFWSTCSERGKGKGIVGVLEANFIRPTHDKQDFEKTELFQRLETRLKDMTTEYWRFHAHLIGQQQVTKARPPAHYASFAVINDDSSATRATTKTYAGNSRKTSVVLDPCFSGEPCKRRNSDSVNETRAQKRQNTNDDANYPGSVGAVEVGKERSRVLIYQNKTLKDECSVLEAAGQQLLSKANELSNELREFQRLLRSLTDELQFHDGLSALQHTTPIASSSYMGVGWI >cds.KYUSt_chr5.2980 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19428281:19430510:1 gene:KYUSg_chr5.2980 transcript:KYUSt_chr5.2980 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLRVQGYMPYRRISSWEATLQRHSYRSLTIFQRGNVADPANPDQAVENQEPGAAVGDENQQGAEGEANRRSWLGGILKEIQLVVVGFVASLLPGFQHND >cds.KYUSt_chr3.13882 pep primary_assembly:MPB_Lper_Kyuss_1697:3:83954549:83955019:1 gene:KYUSg_chr3.13882 transcript:KYUSt_chr3.13882 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSIADLCNLLAATVEANKATMEAGQTKVTRLSEINEALNKLTISHQDLARNTNKVDVIEANSTLDRSIAGVEAVDGDDGFMSQQQRERRVHALDPRARRSTLGLASAQRAPSPSTSPGCLSLSSLTSMEIIQSGGRKVVRNTSNYTLANITCG >cds.KYUSt_chr7.3757 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22378661:22389049:1 gene:KYUSg_chr7.3757 transcript:KYUSt_chr7.3757 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATGTAPSLDGELLPPHGSSSGDEDGGGDGSGVDGEAFRGHFPAPAACRNKDSCPPDLGLAMAAALEEDDDPASSMDLQLGADGPVLARFGFPSLGEEDISPHHINRTADVEEIERHTVNALKHFLGGAIPAGLTPVPAIRIRTDGWTDTNPTFEPPPTPDFNNTEEDYTPRSALHKLGATVGEPYSEAVLVVLKCLHERTADVAEIERHTVNALKYFLGGAIPVGLTPAPAIRIRTDGWTDTDPTVEPPPTPYFNNTEEDYTPGSTLHKLGATLGEPYSEAVLVVLKCLHERTADVEEIERHTVNALKHFLGGAIPAGATVGEPYSEAVLVVLKCLHERVQPFLEPYSEAVLVVLKCLDERSNGMEGVHIEELANNLHVVSAFLRPYQYDLMFAGLSPLEDYNVYDNFAFTPEDDDPASSMDLQLGADGPVLARFGFPSLGEEDISPNHINRVQPFLEPYSEAVLVVLKCLHERSNGMEGVHIEELANNLHVVSAFLRPYQYDLMFAGDKYQTFL >cds.KYUSt_chr6.6747 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40642710:40645737:1 gene:KYUSg_chr6.6747 transcript:KYUSt_chr6.6747 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVTASATAATRFTRLAGVGLRHSSCRLRTAVRFQRPGLTTTALLRPTELKPKDLGQPETLDYRVFLVDGAGRKFSPWHDVPLRAGDGVFHFIVEIPKESSAKMEVATDEAFTPIKQDTKKGNLRYYPYNINWNYGLLPQTWEDPTAANADVEGALGDNDPVDVVEIGERRANIGDVLRVKPLAALAMIDEGELDWKIVAISLDDPKAALVNDVDDVEQHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPTSKAYALKVIEETNESWEKLVKRKIPAGELSLA >cds.KYUSt_chr7.29566 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184033470:184034412:-1 gene:KYUSg_chr7.29566 transcript:KYUSt_chr7.29566 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLALVAARGFPNLPSRPRRRHCATAAVLPWTLTRASASLPRIVPVGERPGPTSPSFQPMPLRRPGCFSRPRRATPPLNRWSAVEQPPDSGTPGCFPALLLWPARCGPCSASEAAKPRPDRLASSLPTCLGPLVGTYAWTARTPCPLCQDDGHARFDFMAGDHRVAGGAQQRMRMYMFSLSQNRPGSVPLLGTSACMSPPPPHRPMSVGSTAAFGYFMDLCTRRWSPSIGARWCSGLFLEVAATEADAVRTCSCHVLKQTTVSPLASLTSLEAWFQGSQILD >cds.KYUSt_chr7.12664 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77926996:77928902:-1 gene:KYUSg_chr7.12664 transcript:KYUSt_chr7.12664 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQDKASEWSGVAAGDAFAIDDANVFEALGGTTQPFVDLSTNFYTRVYEDEEEWFREIFSGSKKEDAIQNQYEFLVQRMGGPPLFSQRRGHPALIGRHRPFPVTHRAAERWLHHMQQALDSTESINSDTKAKMMYFFRHTAYFLVAGNEMTRQAQGAPPCKHATSKPAE >cds.KYUSt_chr7.4583 pep primary_assembly:MPB_Lper_Kyuss_1697:7:27735685:27735957:1 gene:KYUSg_chr7.4583 transcript:KYUSt_chr7.4583 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRAAGFRGAGGGRRDGPRRVGRVALGVALAAVLWAAVMAGLVAQVVVYFVCTSYGGRRRESPDDTVAKSLTDLGRKGASSRNGKRRH >cds.KYUSt_chr6.32856 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206966833:206971263:-1 gene:KYUSg_chr6.32856 transcript:KYUSt_chr6.32856 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLDSRLEREKRKQLPSSDNRKINRYPPLVVHSYDDPEMAGMIKRTARELGAVVQYEGYFPMAEVKYTYTYGEPPVRPGHLGTLGTQMRKLHEWYMQACRDSEIILTVGIRDEHYFRGKEELNIDFEELFQLFNQDALDKALISCYCLMKMLECKRGGLYDIRFIDPSTVHEVTVNRFPKDTEDNMLRAWKRFINTAPGKWKPELTFKDRPEPGNNLCEYYVCEFIREMTYHRDPEEAIHATRIMEPAAAWDRPCEFQLANWTVEEPVADLPLPVEYASIDIPGDCGIQAYEYDVQQLLVEYYSAASRRCSTSEKAKISNDSHARSVVNQVLGEFEADMNMMKEKMHRYPTCLGVVDKSYTMPRIVAIGPYHHGLEHLKQAEKVKHVAACHCIGDVQLLEDMYQKFVPIADEARGFYDKDVMEGISYDDFRHMVFFDACFLVQYMAMQGTTRRDRIDGSLQRFLRPNRHDIFHDVMLLENQLPWTVVETVMSFVADASIPMKFVYRLRYCMQPDDHREPPEEEESFHWDDKYRPPHLLGLLRYYIVG >cds.KYUSt_chr6.6588 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39711441:39715822:-1 gene:KYUSg_chr6.6588 transcript:KYUSt_chr6.6588 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Regulation of ABA signaling and biosynthesis, Drought resistanc [Source: Projected from Oryza sativa (Os02g0766700)] MDFPGGSGRQPPQPLPPMTPLPLTRQGSSVYSLTFDEFQSALGGPGKDFGSMNMDELLRSIWTAEESHAIAGATNPASSSLAAPPDQLQQQPIQRQGSLTLPRTLSQKTVDEVWRDMIYFGGNPSSASAAAAPPSPAQRQQTLGEVTLEEFLVRAGVVREDMPPGPPPISAPPPPPQPTARPPQPQPQMLFPQTNIFAPMVNPLSLSMAGPFGGQGGVNGGAAVSPRPVMSNGYGGMDGLNLSSLSPPPMPYVFNGGLRGRKPPAMEKVVERRQRRMIKNRESAARSRQRKQSYMMELETEVAKLKERNEELQRKQMEMLERQKNEVFEKITRQVGPTSKRICLRRTLTGP >cds.KYUSt_chr4.37549 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231381180:231392929:-1 gene:KYUSg_chr4.37549 transcript:KYUSt_chr4.37549 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRLLLRILFLLMVAAAASAAARREAFRRDPGHAQWHHGAFHDVEDSIRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYPLDGHKLEQFLKMSFPLHRPSCFETGEPVDIEHHIVYNVIAAGQPELISLEKSLKEAMVSSGTARESEYGREFPLFEVEATAVEHIFERLYSFIFDIEPGRSTTEMDRPVPVAIFVVNFDKVRMDPRNKEADLDSLMYSAIGGLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSMPRLSNIIFPRGLAAPSATNTQNIFMGQLGGLISTTIEHVIAPDIRFETVDMTLRLLVPIIVLQNHNRYNILQAGHNNSIDVQAIEREVKKMVHAGQEVIVISGSHALHDHEKLAVAVSKAMRSHSLHETKSDGRFHVRTKTYLDGAILKEEMERSADVLSAGLLEVADPSLSSRYFLKQHWMEEQDNVQDSIKHKPIWESYMPRNKKEKRGGKKKHGSMYRTYGTRVIPVFVLSLAEVDAELMMEEESLVWTSKDVVIVLEHNNKMIPLSYVSETNRQFAYPSLAQRHILAGLASAVGGLSAPYERASRIHERPIVNWLWSAGCHPFGPFSNSSQISQILQDVALRTTIYARVDAALRKIRDTSESVQSFASEHLKTPLGEPVKGNKNKSNTDLWVEKFYKKVTTLPEPFPHDLVERLEEYLDRLEGQLVDLSSLLYDHRLVDASQNSSDILQSTIFTEQYVERVLSAERDKMKCCRIEYSHPKQSSQAFVYGGIFLAGFLVYSLVIFFSSPVR >cds.KYUSt_chr1.34704 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211356082:211358346:1 gene:KYUSg_chr1.34704 transcript:KYUSt_chr1.34704 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWLALVLVALCVPAATAETPAASYIVHMDKSAMPAGFASHLSWYESTLAAAAPGADMFYVYDHAMHGFAARLPAAELETLRRSRGFVSCYPDDARVVRDTTHTPEFLGLSAAGGLWEASEYGEDVIVGVVDTGVWPESASYRDDGLPPVPARWKGFCESGTLFDAAKACNRKLVGARKFNKGVFANSNVTIAVNSPRDTDGHGTHTSSTAAGSPVSGASFFGYARGTARGMAPRARVAVYKALWEEGTYTSDILAAIDQAIADGVDVLSLSLGINFRAFYEDPIAIGGFAAMQRGVFVSTSAGNDGPDLGYLHNGTPWVLTVASGTVDRKFSGIVRLGDGTTVIGESLYPGTPTSIGNAGLVFLDACDNSTALSMNRGKVILCDTTSTDSLSSAIYGAQQAKVRAGLFLTNDPFRELSEHFEFPGVILSPQDKPALLHYIQRSSRGPPKASIKFQVTVVDTKPAPVVATYSSRGPSASCPTVLKPDVLAPGSLILASWAENVTIQNVGEQALFGKFNIISGTSMSCPHASGVAALLRAVHPEWSPAAVRSAMMTTASASDNTLAPIKDMNGQGNVAATPLAIGSGHIDPNRAVDPGLVYDAGPEDYVRLMCAMNYTKAQIRTVAQSPGAAVDCAGASLDLNYPSFIAFFDPAGAAGERKFVREVTNVGNGPASYSAKVKGLRGLTVSVVPSRLVFGGKQEKQRYTVVVRGQLKNKAPDADVIMHGSLTWVDDAGKYTVRSPIVATTVSSAGL >cds.KYUSt_chr3.3792 pep primary_assembly:MPB_Lper_Kyuss_1697:3:21580594:21581839:-1 gene:KYUSg_chr3.3792 transcript:KYUSt_chr3.3792 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLQTPPPGSGLDPVRQEADDDETDTALPVVPLLLVSLLAASAPRRADAWGKEGHIMTCKIAERYLSEDAKAAVQELLPASAGGELSTMCPWADTMRFRYHWASPLHYANTPNVCNFNNSRQYPPPPLYALHLSSAFQHNA >cds.KYUSt_chr1.12554 pep primary_assembly:MPB_Lper_Kyuss_1697:1:77300852:77301154:-1 gene:KYUSg_chr1.12554 transcript:KYUSt_chr1.12554 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLGREGGRGAGSSRAGTAALHRPALPPGWTCALRWGGRTWLGNSRLDLSHFIVDLFVAIISLFVAIIINLIDMNLFIERIDASVQVHRGTVRPSNPH >cds.KYUSt_chr6.23439 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148067454:148068925:1 gene:KYUSg_chr6.23439 transcript:KYUSt_chr6.23439 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERTWKGPKRKNMKVTKAAGTAPKFERSHERFTWKGVLYSDGQKLFKILPPPRHRRGITVAVSGLDKDNIKAAIDNALSKSAVICFFPISDNYYTDFNAGVGNIYQYDETQTVFMDDGRVATHTAMVTGFGFEEDIPYFQIQDCNGKGFGKKGFCRILPSSIISIFAFDILQD >cds.KYUSt_chr4.2838 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16144692:16147097:1 gene:KYUSg_chr4.2838 transcript:KYUSt_chr4.2838 gene_biotype:protein_coding transcript_biotype:protein_coding MEANNDNNSSQSQSQQLEDEDRLSMLTDDILLSILGRVSTRLAATTCVLSTRWRHLPWLLPEVMIDVKDFLSVTRPDPVEANDMEKAMVSLTKAARSFLADHQRGCTISSLHLKLYLINTFLCEVGPLICDAVDSRLLKELDLSVLDETDILDCSDEDMLQRAQEIYSFFGAYPSVPHCLTKLTLYNVGFDKFDMHHVLFDCCKQLKHLILVHCDSGICSLFKIDAPNSNLCVLNIYKCRFEIIEVVCLPKLEKFLWNTWVSDYAPVTFGFVPSLGELELSCSSSCGQREFKLSELLHGVTGLHTLTLDFQGENLWLQPEIEELFTAFNKLRKLSIRGIFVEFDILWTMAFLVAAPSIEILHIEVWEHSCDVEARVDSFLDRRTPQWEMRLNGSENRLLKHLEFTGFKSLQQQFAFIRSVLERSPNMQKIVLRRDEQCKYCDALGESPCPSKFPKKKVEQEMVAKQIRHGTFSPEIIFEE >cds.KYUSt_chr3.3092 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17708522:17709124:1 gene:KYUSg_chr3.3092 transcript:KYUSt_chr3.3092 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNPSCIPLPPSPGSSSASSSSTSCKVIHADGRVTRLPRPVRASELMLDHPGKFVCDACRLAVGCRVPGVAADELLQPRRSYFLLPIDMLYSVLTDEEMAALSAASHGALAAASSAWKRIVTSATAARRRGGHGQSNGAGSDGSAASRVFPVVGLLQLQGDHGGSGAPASGVKSSGAGAPGLRRHRSWKPVLDTIDEAP >cds.KYUSt_chr1.9907 pep primary_assembly:MPB_Lper_Kyuss_1697:1:60612185:60613079:-1 gene:KYUSg_chr1.9907 transcript:KYUSt_chr1.9907 gene_biotype:protein_coding transcript_biotype:protein_coding MNARIFGNGGETLVLAHGYGGTRFVWDDVVPSLAEKFRVVVFDWSFSGAAADNGGRSERPCSYHGFADELVVLMDELELKGAVYVGHSMAGMIGCIASVARPDLFSHLVLVGASPRYINEDGYEGGFDSSEVDAMLAAVEADFAAWVPLFSQAVVGADHPAAVARFAKQLATMRPATALRVLRAVLTCDVRGVLPDVTAPCSIVHCGGDSVAPLAVARYMQRAMVACAGARGADTVVIESSGHFPQLAAPKEFVQAIEAVLIDH >cds.KYUSt_chr7.28307 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176739350:176740460:-1 gene:KYUSg_chr7.28307 transcript:KYUSt_chr7.28307 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVKSAALRAATDLHIPDAIHRRGGVATLSVIATETGIHPTKYSHLRRLMQALTVFGIFSVEGCDGDARYKLTLVSRLLVEDGENLCTQSPIVRVLVDPLSVTALCSIGEWFTDERASAMTLFEVAHGCTRSEMTAMNGTGGLFQTGMIADRRLVMEVVLKEHSSVFQGVSSLVDAGGGHGAAAASIAKVLPHVKCTVLDLPHVVAGAPTSNNVQFVAGDVFEYIPPADAVLLKWVMCLWQDKDAVKVLRRCKEAIPAGGKVIIFDAVVESGMSQNILLRETQVLFDVQMMRVDGGERDEQQWRKIFTEAGFRDLRSLQCWDFDRSLKCTHECLP >cds.KYUSt_scaffold_2697.854 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:5399144:5403142:1 gene:KYUSg_scaffold_2697.854 transcript:KYUSt_scaffold_2697.854 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKALFLLAVLLASAVLLAAAATEQTHDKEEKVSTNSAGVQDDWHGGGGYPGRGGGGGYPGRGGGGGYPGRGGYGPCGRWGCCRSGYRGGCMRCCGSANEVPEHMDRPEQAGEIDNLTVTLGQSILVVLCRFHVGAGIPGVAPHYTPPPSTFNVLLGSY >cds.KYUSt_chr6.27944 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177257086:177261863:1 gene:KYUSg_chr6.27944 transcript:KYUSt_chr6.27944 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVVVFPIRRGAWCFVRPRAPAPATSASASAAAHGALPPPPTIRDLWRGISAGGRTAPENAEAVADFVADKMNTAWNGFGSAPAGSVKGRIHSFGLKLLSRVRPSEMLLKSVTKDVSKLDIVYPASINPRLVRRRLRHIAVRGASVHKKWLYASICLLPVTSVFMVLPLPNIPFFWMLFRSYSHWRALQGSERLQLLVSDSPGKWKALADKKKGISEGKDGNKEVTPWNLQPSKRLAGFLERRGLDEGLDCDTISSICQEYNLDKIDVLKNLLLTVTFDLTNSRDDYGAFIAGIRTRPSNPRHVSHGCPVLLPDEPGILPRRWLHVVLKTKEFLSIHLIPSIFG >cds.KYUSt_chr3.30746 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192917354:192919027:-1 gene:KYUSg_chr3.30746 transcript:KYUSt_chr3.30746 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEGEKESKRRRGRSSKRSPSPSSTSSTSHSPEPRSRSSSSKRSRRHRRHHKSSSRGDDRRRHRRRHQSRSDSDDSDRVEEAQQIVRDILCEFPAIASELRQLLQMVDSGEGIDISGISDKPLVKRLKKLFRSLRLKESANGAYLLPPKSVPTLDVVGPALPGSAKLGGDQNESVASQGRQVLQPNFDVQNRDANPPEDERQVDRVEEAPVKRVIGPAMPSRELLAAAAEMTEALRCRDAELEADDGFLIGPPPPAMVAEAASANEAERFEEVTRILGADADALYDVLGINWKMSPENIKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPQKRGAIDEKIKKKEEMEQFEVELKAMREAAEWRRLQGISLEGDEELLAVPKQPEAPPTRDEWMTTLPPERKAGVPMHSTTSFSMNGKEGRGDTSAWTDTPLDRAQKAQQNYLEAYDKAKAIADGYEEQVKASDASLVDKYNSSKRSVSLVQKHRESKKEKKKHKQREKEEWEENHPWKPWDREKDIAAGRQNVALDPENMTQGLSSRFSSGAVQRNFL >cds.KYUSt_chr2.53034 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330914261:330915637:1 gene:KYUSg_chr2.53034 transcript:KYUSt_chr2.53034 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPHVLVVPFPAQGHALPLLDLSALLASRGIRLTVVTTPANAPLLSPLLAAHPATVQPLVLPFPAHPSLPPGLENAKNCPPSYFAIFIHALAALRHPILAWARSNHPVAAVLSDFFCGWTQPLAAELGVPRLVFSPSGVLGTAVPHSLFRRLVERPSDADDGYSVAFPSIPGELSYQWREISGTYRSYVEGRMDAQVGEAVRQNFLWNLESSGFVSNTLRALEGRYLDSPLEDLGFKRVWAAGPLAPETDTSGELGGEVGAWLDGFSEGAVVYVCFGSQAVLTPAVAAALADALDRSAVPFVWAVGGGGSLVLPEGFEARALAARRGMVVRGWAPQVAILRHAAVGWFMTHCGWNSALEAVAAGVPMLAWPMAADQFVNARLLVDETRVAVRACAGGIGVAPDAGELAAVLADAVGEGGAGARARAKELAAEAAGATKEGGSSWEDLELLVEWIHKL >cds.KYUSt_chr1.8928 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54830698:54841284:-1 gene:KYUSg_chr1.8928 transcript:KYUSt_chr1.8928 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKPVSATDTPRGQKRRREKAKRPALDATLPDTSAGIVEEEAARREKQSNGTSQGLYQSDEDHLTDDCSVPSEDEAHDVTSNTNDGTDETESSSCSFQRHVTHVLTNDEVTALLKQKNKYKWEMPAADIPKSKWVGTGENMQGAPDDPFVDVKGKLRDHWQNTLSDNLNSRLKFFSLCNSYRDIMHCNKKPFYLKGSKVDSSTMDAYIMHALSHVHRTRDVVIKNDAKLRNDANTDILDDNSYRDQGFTRPKVLFLLPLKSIARRVVKRLIQLSPLTQKDNAMGLFKKEFDESDDEEETENSSKPADFNLLFAGDVEDHFLFGIKYTKKSVKLYSNFYASDIIVASPLALKLKIDGGEDIKKKGRAKENDSDFLSSIEIVVVDHADVISMQNWSHLHSVLEQLNQLPSKEHVANVMRIRPWYLDQHARYYRQTIILSSYLTPEMNALFNGSCSNYEGKVKLVTEFAGVLPKIQLEVRQVYERFDASSVGESDDARFDYFCTRVYPKIQESDEGGVLLFVSSYFEYIRMSNFLKSQDASFCRIGEATSQPDISRARLWFFEGQKKILLYSERSHFFHRYKIRGGHHLVVYSLPGRKDFYPELVNMLGESPNPKCNVLFSPLDILKLERIVGTSSARKLISSDKSMFVFC >cds.KYUSt_chr3.27401 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171049031:171050545:1 gene:KYUSg_chr3.27401 transcript:KYUSt_chr3.27401 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALANNLWLGVSLGICLCETSIMTAVIQWLWFALFLAYIRPNKKVKVVLLQLFWLTVALGLPLVGPSMKVTMLQWLWFFLGFALSPPSEKAAMEARLGQMLEYTAGRLGQMLEYTAARLGQMLEYTPPRKASPWPDLPPELLGLVLLRMTSRADRVRICAVCRTWRSGARLQMLPPLLPWVAQRDGTFLSLPDGAIHRTPVPDYDRVLYRVSTGSMLFLVDRQGGCVLMNPSSGKATPLQINLDYLELRYEWDIRKVVVSDSLHLVAVLTIGKTNGKNVTIYVCGPQGTTAMEWGPPVNSRTFDIAIFHGKLYILTRENYGTPEVCNAYGLPELHVLEVGNMSIKSVKCIRSTHRDYDATHFVFYLVPSRDQLLMVGRIVDPNPMNTPMPSRCFKVFQAAGLSSGHGWWSKVHTLMGHALFLSRGCSRSLAVGGQSVSGGVQEDCMYFMSELGGVTFVEVDLLNSCVYDMSNQTVAYLPLETQPLSHQTGGWFPTWLFPAEV >cds.KYUSt_chr1.2627 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15769150:15774329:1 gene:KYUSg_chr1.2627 transcript:KYUSt_chr1.2627 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQFLLHVLLLVAAAATTKTVKSLTVAPGCQASCGGVDIPYPFGIGSGCSRKGFEIDCINNGPVLADTSFEVVRLSVDPAELLVRLPVGFKCYNASDPSNYEDSSYGETLINKEGVYRISNTHNMVVVLGCNTFAQAASTQTDGTDYPYAYYTGCMSYCNNSASAQDGQCAGVGCCHVDIPPGLTDNYFKFTTYDHSGMMDYSPCDYGFLVDRTSYTFKRSDLLRDPNRTSLVWLDWAIRDNVTVSGSGDILSCTEAAKTTTPKYACVSEHSKCVDTINGPGYNCSCSDGYEGNAYVPGGCTSTIGGILVIVFMAFVVIIRKERRKTKEFYEKNGGLTLEKAKVIKLFKKEDLKKILKSGNIIGKGGFGEVYKGLVDNELVAVKKPIRSNVLESTQFANEVIIQSQVIHKNIVKLIGCCLEVDTPMLVYEFIPKGSLDDILHKGENKVPLSLDVRLSIIKESAHGLAYMHSQAHTKILHGDVKPANILLNGNFVPKLSDFGISRLIAMDKEHTANVIGDMTYMDPVYLQTGRLTEKSDVYSFGVVILEVISRKKATHRDNNSLVASFLECHKEGKKATELFDQEIAAAEDLELLEALAGIAVDCLNIDVDQRPSMTDVVAHLATLNMSRMLHQLVIQAMSSPLFLLPALLLAVAAASTKTAESLTVAPNCQASCGGMDIPYPFGIGSGCSRKGFEIDCIKNGPVLAGTSFEVVRLSVDPAESLVRLPAGSSATTPLIQATMRTLAMAKH >cds.KYUSt_chr1.22357 pep primary_assembly:MPB_Lper_Kyuss_1697:1:132232896:132236555:1 gene:KYUSg_chr1.22357 transcript:KYUSt_chr1.22357 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKLREQVARQQQAVLKQFGGGYADSVFADEGEAQQHTKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCEDGKKYGVENTCTSGSTLSRAALSFAKARSLIEKERGNLLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKIRETSGNGDMISRLEAAESKLQELKSNMGTLGKEAVAAMTAVEGQQQRLTLQRLIALVESERSYHQRVLQILDQLEREMVSERQRIEGAPPPVIESSMPPPPAYEEVNGIFMRAPTVAELVETVEHFLAEAIQSYRAESETELNLSSGDYIVVRQVSNNGWAEGECRGKAGWFPYEFIEKRDRVLASKVSQVF >cds.KYUSt_chr4.22265 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139889234:139890349:1 gene:KYUSg_chr4.22265 transcript:KYUSt_chr4.22265 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPAPALPAEIVEEIFLRLPPGEPACLVRASLASKLWLGLLSGCSFRGRYREFHGAPPMLGLCFSWVPPFVPTTQFGVRFPGDWGSRPYIAWDSRHGRVLLQHEVDVPPSKLVVWDPMTGCTKELHEPEAPSTAILRSTAVATVLCAVTGCDHRSCHDGPFRVVFAAKYLQTGGGSVVHASLSSPETGDWSEPCSSLRLANCEATIESVPPVLLQDALYFMMGCNYDERVEILKYDLSSNCLSLHDAPLEKTNYMSDVPILMGMQDGSLGIAQLDGLTVDLWSTQMGSNGVAAWTQRAVVNLKELLLPFTAPRQTVRLVGSMEGTDIIFVIMDFVIYEINLGSLRWKKIWKQREEVVSLIPYTSFYNR >cds.KYUSt_chr1.7332 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44910359:44911477:1 gene:KYUSg_chr1.7332 transcript:KYUSt_chr1.7332 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKSYVDHNAAAKRPEKEEQQFLYLVLDDWENGYSVRKLDVDALQSDDHGPEPFTEPPLARFDVVHGYSHTIVAHGSKIVAMKPHESSPGIPAFDTATSAVGILPWPEFHMDFGMPLVVSMAGKLFLFVYSSYYLGDPPPPPPVDYPPPEKKPWAWTTISSPVPFNFNCVLGYAVHPDGRTLFVSAAKFTGSTEKKGTYSFDADRLEWTRQGDWLLPFSGQAHYVAELDAWVGLCRHKGGHLCSSDVVPLDAGRRTTLPRWRILGGHRLFNRESSVNLGARLVYMGGTRFCLVETMWHMNDGEARRKAKETVGYVAPPRVVICITSFAVEHDEGGELRLKSYELPQHRKVFKRAHHICDISDGAPLAFWI >cds.KYUSt_chr6.32243 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203457741:203459297:-1 gene:KYUSg_chr6.32243 transcript:KYUSt_chr6.32243 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATAAPTAKTRESAVRSLWKWVLSSSCNGDIISGLPDAILGTIISLLPTKDGARTQAISLRWRPLWRSAPLNLDLDAALPSDSARASLVSRILSDHSGPARRFRCHSIRLADDHDRALLDGWFRALANLQELDVSFLRPSPRPETDDAVASSLLRLASSTLVMARIGGCNFSKQIVPSSSIFPRLKDLELHYVSLSEEFLHGLLSGCRVLESLLLWNVFAATGRISIASPTLRSIGFRLCAGRAELVVDNTPRLERLLTVFVGSGGDTLRVADAPRLEILGPLSPTVQVLQGMIPVSSANSVHTVKILALTSAPQLNVVLDVLKCFPCLEKLYVILQKDLKMDVKHVFHHDPLDQVKCLETNLTEMVFVNYLGCEQDVGFAKFFVLNAAVLKKIKFGVPRNYNNEWVAIQRRLLEVNNRASRDAEFEFECGLDDFINYLGIHDLSLTDPFECFCSE >cds.KYUSt_chr3.47656 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298747039:298749930:1 gene:KYUSg_chr3.47656 transcript:KYUSt_chr3.47656 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSPRGSPWPARRSRPRPWAPPWPGQGPPWWSSYGPTLAVARALLVLAGGAPLEFLLRIDDDPLAIKQLPDKFAEFIDGVEPAQLQLREPAATSAVGPWRLVIYEVADTTVEWPVFMKYLNEYFKRNAGSLFNMSAAARHSALRLTHYQGSEPDSIAAALLGLVKETISVRNLMNRGNTNISVDELECGFIREATVPLLEKLENHFAREISCTVDEHASSLIRDNTVGGFKADKSEKPTRDSTVVELKPNANEKQKNTNDRGGKNNLGNGKRKRSDKVYL >cds.KYUSt_chr7.30775 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191556889:191558262:-1 gene:KYUSg_chr7.30775 transcript:KYUSt_chr7.30775 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLFLILLPVAPALVSSAPYAYEVRSVIRESAKDYMEQKARQGVTNLSSQRKDGQQMGHAAADGSGALVFDISVGTSPQTVSLIMDITGELVWAQCDHCTSCVRFTPPGTRTFLPKNSDSFREVGCASQTCQRAIPGDHHCAGDRALCTYIDTFIGGGNTSGFLATDTFSFGTTPVPGVVFGCSGDIMVDGLAGASGFAGFSRGGLSLVSQLNMSRFTYFIAPLNDAAGKSFVSWSSGDADNLDIIALQAMMRGRRSSTPLLAATEKQDPDLYYVKLTGVQLDGKLLTAIPAGTFDVRSDGSGGAILSTTLPVTYLEEAAYRVLRRELVSRVQSEGVNATGDVNQLCFLAHELAKAKVPVLSLVFDGADRAMEFRFENYFFDVSGGLTCFTILPSTGGTVLGSLLQAGRTMTYDIHGGQLTFQTAAASALAPERVALMVMVTLLAWVLAPQSLV >cds.KYUSt_chr4.12426 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75879586:75880020:-1 gene:KYUSg_chr4.12426 transcript:KYUSt_chr4.12426 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSRALLPLFLLACALVQNSYGSRSPPGEPQKPEEVLLSPVVHGAAEPLHGDDGTPSTEQGVTGHRGADADGAVAPSALGGDGAGPKQMGGRPMVVQRVQITTASSKLARRVLQGGATADSTAGSSCRSHDTRVTCSPPALH >cds.KYUSt_chr5.18585 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120177224:120177595:-1 gene:KYUSg_chr5.18585 transcript:KYUSt_chr5.18585 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPARDMADDDQDQHAAGAEGTATIASRVATICAMLDEHDAAETPMSSRQVEEISAMIDDVAAAAASESERTTTGDSKRTSNKTPGCMRSTCCYEKLDKIGEGSAAVVTEARHLTTGQTSP >cds.KYUSt_chr7.11941 pep primary_assembly:MPB_Lper_Kyuss_1697:7:73381651:73382935:1 gene:KYUSg_chr7.11941 transcript:KYUSt_chr7.11941 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLSTAFPLMEEDHHQDHHQGHFQAFTMPKDPPILFPFVINNNNSPSDNSSFSYGGSDHHFRQNTATLEPQHMIGGSSSVFSTPFPTVESIRDDMIERSSSYDPYDMEKLQAAANGSLKAGKWTAPAPAAKMRITRKTSDPAGVKKPRRRAQAYEDHGHFGGMNQALGIIRTCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASTMAPGTDVGAKAASAPGDATVIAHPKVKKEKRALDVDRSLPFKKRCKVIQDHAATNTVVTGATTAVEVSAEPAVTFTAAAAATPARDLVDTIGANWSMSPAPTASAACFRPSAPAPFAVPVQDEITDAAMLLMTLSCELVRS >cds.KYUSt_chr6.20426 pep primary_assembly:MPB_Lper_Kyuss_1697:6:128650866:128654296:1 gene:KYUSg_chr6.20426 transcript:KYUSt_chr6.20426 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRPRRRRVARMQKGGFIAPYRICNQVASVWSKEQRHWAARSDLVRYRQHPSHVDDAEKLGHAGERRGVLLTLGCSTTRKGRQRRSLASRTSRWPGRRRCRRGRSRAAAGVGVLDVVQVGEERMEVRFHGSGELVDEVKQNMVVILLVMEVEHREEDDERRHARAKVEAGHGPTRLGEDGEGPDGLEVELGVQEEMASVGQRSGGDPSHVDDAEKLGHAGERRGVLLTLGCSTTRKGRQRRSLASRTSRWPGRRRCGRGRSRAAAGVGVLDVVRGWRGEDGGRFHGSGELVDEVKQNMVVILLVMEVEHREEDDERRHARAKVEAGHGPTRLGEDGEGPDGLEVELGVQEEMASVGQRSGGGRGKREVRS >cds.KYUSt_chr5.16060 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103489126:103502428:1 gene:KYUSg_chr5.16060 transcript:KYUSt_chr5.16060 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFRRLAALLLAAVVLLGPGGAAHGVYIPYNTSVGVVKGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQAACVQNVLDSLVPALLKDKNRKFIYVEQAFFQRWWRQQSNSTKDTVKGLVSSGRLEFINGGMCMHDEATVHYVDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGAQVGFDALYFSRIDYQDREVRKGTKKLEVVWRSSKTFGSSDDIFSGIFPINYEPPPGEFYFEVDDESPLVQDDPQLFDYNVERRVNDFVAAALAQANITRTNHIMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKFAANVAWPLKTDDFFPYADKPNSYWTGYFTSRPALKQYVRLMSGYYLAARQLEFFKGRSNSGVTTDSLADALALAQHHDAVTGTEKQHVANDYAKRLSIGYQKAEELVSSSLACLSESGSKSLCSSKTKNFGQCPLLNITYCPPSEMNFSQGRSLVVLVYNSLGWKREDVLRIPVMSDSVVVHDSEGRVIESQLLPIANSSLNIRDKYTKAYLGTSPAAKPKFWLAFPASVPPLGFNTYFVSSGKRSESVSSTSTLYSQGNKSSNCQVGQGHLKLQYNAAGALSVYSDSKTRVEANFEQKYKYYIGDDGSGGDTQNSGAYIFRPKDTVPIKTGVQVPLTILRGPILDEVHQQINSWIYQITRVYKGKDYVETEFIIGPIPVDDGNGKELATEIVTNMATNRTFYTDSSGRDFIKRVRDYRSDWKLEVHQPVAGNYYPVNLGIYVEDGGKELSILVDRSVGGSSIKDGQIELMLHRRLVYDDGRGVAEALDEPVCVNDQCEGLVIQGKYYMKFDPRGEGARWRRTFGQEIYSPLLVAFSEQDRGDWVSSHVPKFSAMDPTYSLPDNVALITLEELEDASVLLRLAHLYEAGEHKDLSALSSVDLRRVFRDRKIGKIIETNLSANQERAAMEKKRLKWKVEGPLPDQNVVRGGPVDPSKLIVQLGPMEIRTFIISFNHRIRRVEILCWALLPPDLLADVTYSLDSVMWDTCHNVESDPQRRVGFLDDDEYDNSVSLPVDVKMGRRGGTLLRRACPERGGMGQHGQLSRLPRYKQSNEEGMEDQLPRMPPRLDKEEAFKLVVKTSELQEVAEGKGLGTQVHDSALAQRRATAPQPGSSSAYTTEHNRGGFRGHARWRRPPWPCTSGERAAAA >cds.KYUSt_chr4.53439 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330727321:330728970:-1 gene:KYUSg_chr4.53439 transcript:KYUSt_chr4.53439 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDDANGICFPYDVLVDILRRLPGRALAESRCVCRSWRAIVDAHSLLLPHFFPREFPGVYTTYYGFSSPSAFFARPTSRSGSRRPFVWGDWSPAVQQHCNGLLLIRDRYNFADAIAKDVYVCNPATMRCALLPSPPTPWPCDVQGMFLAFDPAVSQHHEVFLLPAKKMPPRRLDLQQIDPSGSASEFVELEGDMLKNRTVPLLVFSSRIGDWENQKFAPGRCAPGHLYDVVMAPRGVQKRAWSGEYWRGSLYVHCHSGVLMILRCSQRMYDMLQLPGISPPYDDEKYRAYTWSLPTRSVLASYETGVRYVTLQKCHLLVWALVESTNGKHEWLLAHEADLKPYDYLITSFNWKAKPEMEMEWEVVESEDELISLLEHSSSDESDAADEEDAIEKDDKNDESEELQIMHGNESSASFVDVDESVGDNLGHPNKEQEEQVDDEVENRDGSEYSWNSDEHNFIDLDGTVVNDQEVAGWVCRIVGFHPHKDVLLLHYSNTVLAYYLSTSRMQYLGYIYPEVPVQNAGDIRGAFPYRPCYVDALPDRKSRSS >cds.KYUSt_chr2.48420 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302760507:302763376:1 gene:KYUSg_chr2.48420 transcript:KYUSt_chr2.48420 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHNKLGELLHHTSRRTAAVLDKAISILCSLTKSYAHHHVRERVARWRRALGGGPFWPRLGSLLVHVAYFLAVSWLGYLLLAQLRFRGGRPRGIDLFFTAVSAATVSSMSTVEMEVFSNGQLLVLTALMLVGGEVFLSLLGLASKWSKLRRRQAANKSRRVESHDDKGTEFETRVPVAAAAAAEDIDNPTSTITDGTSIGIPMDAKRLRRDAVRSLFFVVLAILLAVHVLGAVAVAAYIYRSPGARRTLRGKALNVWTFAVFTTVSTFSSCGYMPNNENMVVFKRDTGLQLLLMPQALVGNTLFPALLSACVRAAAAATRRPELVEMTKKGRELTGYYHFLPARRCVMLAATVVGLVGVQVALLCGMEWGGALQGMGPWEKVSNALFLAVNSRHTGESTIDISTLATAILVLFVLMMYLPPYTTWFPFEESSSTKGRLEERQGIRLLKSTVLSQLSYLTIAVITICITERAKLKEDPLNFNLLSIVVEVVRQVSLLANIPET >cds.KYUSt_chr1.9432 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57511981:57514488:-1 gene:KYUSg_chr1.9432 transcript:KYUSt_chr1.9432 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLARVPASSSSSSFLRSLSAVAPPSGDPSSAPPSNLTHHLLDEFARPRASRDADRLRRLAAHLTAPAAESVLVRLPSWRHALDFFSWADQQPGFRHSCYSLNAMASRLPRHQSAHLERLATRALAAGCSMTPGALGFLLRCLGAAGLPDTASLVFDGARTHLGCAPNSYSFNCLLDALAKAGRADDAEARLREMVATCGEGSVDKYTLTSLLQCYCNAGRPDGADGVFQRMSQRGWVDERVLTMLAVAFSKWGKVDGAVELLGRMEALGMRPSEKTLSVLVHGFVKQRRVDKAIEMFDKMAVYGFVADLSMYSVLIEGLCKDKEIGKAVKLFEDMKGSEVAPDVRLLKKMVEAFCHEGDFAIVGPFINENAVQLKPSGVIALYNVLLEGLVNHGQVDAAYQLLTSMICGVREISEGLTDGAHAVDTGQDVKPNSDSFNIVVCGLCKVKKLDDALALIKDMVSFGCKGKLLMFNDLIYELCNLDRLDEGYELLNQMKDLGVIPSEFTYNSLFYGICRRKDPNGALDLLREMRTNGHKPWIKNCTEMVQQLCFSGRITEALEFLEQMLKMGFLPDIVTYSAAMNGLCKAGEVDNALGIFRDISAKYYLPDVVAHNILINGFRISGKLNEAQEIMEEMLEKGLFPSIVTYNLMIDVWCKSGNIEKAVDCLNKMVDEEESPTVVTYTSLIDGLCTAGRPDEAIGFWHKMSDKGCAPNKIAYSAFVNGLCKCGRVETALTYYDEMMTKGFELDTFSCLYFINVLISNGNATKGCELLKEILQKDMTHSDDLKMVGLVNKAVEELSKDGRTSPDISILVENGLISRAQVLHKKDGNN >cds.KYUSt_chr6.5418 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32183004:32183834:1 gene:KYUSg_chr6.5418 transcript:KYUSt_chr6.5418 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQEVRTVDAFSQLPFTRPASAHPQRQAPVDTTIRLFGREFSNTDGPQHPPQRKQDGSGSPDAANGSTVTSEANGGAGAATAGEASRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQASLAMHRYVPGHMYGALLGYHHHLAGRYDHHHQLPPPHYPMWSTAAAGVPGPYGGPGSVSQPIDGSPVVQGPWRAPQPANTQSFGAATPVTAMRPAVEVVTSRKDDQQTVAMSLLPSSPSFSSCSSTSPEKLGRCELGQQQEALSLDLHL >cds.KYUSt_chr2.5838 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36284173:36287213:-1 gene:KYUSg_chr2.5838 transcript:KYUSt_chr2.5838 gene_biotype:protein_coding transcript_biotype:protein_coding MPGARVAAAGRRPPARVLGLAMASAAYLDDTDAEVIDPPKNEMLDVAELVGDLINHSPKPNMIVSSNVRELLECPVCLVAMYPPIHQCSNGHTICSGCKPRVHNRCPTCRSELGNIRCLALEKVAASLEVPCKFQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIPYLVNHLKDDHKVDMHSGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYTYSLEVGGINRKMTWQGIPRSIRDSHRKVRDSYDGLIIQRNMALCFSGGDRKELKLRVTGRIWKEQ >cds.KYUSt_chr6.26956 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170926196:170929056:-1 gene:KYUSg_chr6.26956 transcript:KYUSt_chr6.26956 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQVGVREVPTLLSDASMEVPPPDIYNRGQPGFSRSREQTIPSQTRRPNRWLLPVLSCQRANSSHPSPPPPRRLDPISPPSPCSMAAKWAQKTVVIPAQRRGCHLITPKILKEIEGDLSGFKCGLAHLFLQHTSASLTINENYDSDVQADTENFLNKIVPEGRSAPWKHTLEGSFCKAMSHIVSDIQAQLQVYGSANTVTMLAHAKL >cds.KYUSt_chr3.44197 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279052675:279055667:-1 gene:KYUSg_chr3.44197 transcript:KYUSt_chr3.44197 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPSVAVAVAGDTADADQARLHQLGYKQELKRGLSVVSNFAFSFSIISVLTGVTSTYNTGLRYGGPASMTLGWLVVASFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKKWAPLASWVTGWFNIVGQWATTTSVDFSLAQLIQVIILLATGGANGGGYVASKYVILAIYGVILLLHGLINSLPIHWLSWFGQLGVFWNVTGVFVLVILIPSVAKERASAKFIFTNFNTDNGVGIHSKPYILAVGLLMSQYSMIGYDTSAHMTEETKNADKSGPIGLIAAVALSSIFGWIYLVALTSIVTDIPYLLSTDNDAGGYAIAQALYSTFHSRYGSGIGGLVCLGVVAVAIFLCGVACITSNSRMGYAFSRDGAMPYSHVWYRVSKHEVPLNVVWLSVFVAFAMALTSLGSQVAFQAMVSIATLGLYISYALPIFFRVTTARKAFVPGPFHLGRYGVVVGWAAVLWVAFVTVLFSLPVAYPIVKDNFNYTPVAVGGVLLLTILAWVFHARFWFRGPIININVDT >cds.KYUSt_chr2.4909 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30386335:30387096:1 gene:KYUSg_chr2.4909 transcript:KYUSt_chr2.4909 gene_biotype:protein_coding transcript_biotype:protein_coding MARVHSSPLVCSSSPAAVCRSEKRKVFTLWLKSLVLNGRGCTVYDSDGLIVYRVDNYDSRCRDNICLMDLRGNIVVNILKKKLAFGKWEGFKWSGRKQDQRAWFKVARPCFQRSRRPSSSPCEFESDAGRAMRYRIDDDGGCRAGKRACCRIVDAGTGLVVAEVKRKVTAGGVALGEDVLALVVEPDVDHSLIMGLVLVYGLMNHTM >cds.KYUSt_scaffold_1700.367 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2451337:2453033:-1 gene:KYUSg_scaffold_1700.367 transcript:KYUSt_scaffold_1700.367 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRAHPQRPCHRHLSSLLDRYGFVPPASLTPTQQQDTPHGGAPADADKKRRTKKPPYRPPSSLDRGGRPASHSDLPFDFRFSYTESRPDLKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDTTLRSVDAEDPAPAAERDLQEARRRERERVLGEPLTPAERTFFVDKCQKNRTKRQINLGRDGLTHNMLNDIHNHWKHGEAVRVKCLGVPTVDMQNVCHELEDKTGGLIIHRQGGLLILYRGRHYHPKKRPVIPLMLWKPAEPIYPRLIKTTIEGLTVEETKAMRKKGLHVPVLTKLAKNGYYASLVLMVQDAFLADELVRIDCKGLPKSDYRKIGVKLRDLVPCILVSFDKEQIIVWRGKDYDESIQDNMQKALPSVLESESAGAVKNENGEQEETSSESAAAKNGKDEQEEKSSDWSSNEWSEGSSSDEVPDDK >cds.KYUSt_chr4.26854 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168634801:168635704:-1 gene:KYUSg_chr4.26854 transcript:KYUSt_chr4.26854 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVTGIAATALLAASLALRKAGARTAATAPVLATSCVAYVVTVASHTAVNAPWILGKTASGRFPLWSALLFGPFLVLARNYAKVKRFLRKENVFDEIAQGLYLGGWPFLPTHLPPGDPSVVDCTCELPRSGFVKVDEYICLATWDTRAPSLSQIEFAARWACEKRAQGKPVYVHCAFGHGRSACVMCAILVAMGIAETWKDAENIIRGRRKIKMNALHRKTLEDWSKTRVVQKKEN >cds.KYUSt_chr3.4946 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28145010:28145328:-1 gene:KYUSg_chr3.4946 transcript:KYUSt_chr3.4946 gene_biotype:protein_coding transcript_biotype:protein_coding MANYMKQAVYALVLFFVLLGCFASQAKSQGHGPDIFSCERQILRPCGDLCYCCLYGANKDVCYATDIDCRLHCPPPKSFLP >cds.KYUSt_chr5.3312 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21384897:21389486:1 gene:KYUSg_chr5.3312 transcript:KYUSt_chr5.3312 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATSGPRFPSQEPSSERSERKRKRRRRSIKAGEETSTEDAVTRISEDEEKETKKKREDEDEEVVSSAPSSPLYEPCLPGDDPDPPPELIEALERAEHDYETKMDRYGDLITVGYCRPSHMFFNPELLPVAEPATKAVLLAARFVIGLSSSFEGQLTRKRCSGFWIDWNEENKTGIVLTTAHLFSSKGCSIEQKGEGVEKEYAAYGEVKYYPHAKVTVHLPDGSAAVAHLIYHQEQCIPRPQLGLAFSGIKLLDIVQVDKIWRKYKIDDGLIVNEVLKGSQAEEIGIRRGDIIECFNGERISTTVQLENLLLSLCKSAGNGRDSKIHVSVEVFHVRKLRRKIRKFSIGVSEHREFIDRALVKQVMKLKPMDAAKIPKVHEQTKLNV >cds.KYUSt_chr4.7209 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42652108:42652710:1 gene:KYUSg_chr4.7209 transcript:KYUSt_chr4.7209 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAARSLVLAAAVAALLVASASAQSGCTAALVGLYPCMNYISGNDTAPTKSCCSQLSSVVQSQPQCLCSALGGDSVGGMTINKTRALEMPNACNVQTPPASKCNGAGGGSAPVAGDTPAVQTPGLGSKTTPSGYLQESGGSSLHSPASLVFALAAAAVYAMSAV >cds.KYUSt_chr1.6944 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42654289:42655074:1 gene:KYUSg_chr1.6944 transcript:KYUSt_chr1.6944 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSRPVGKGSKKGPVPSHGPGPRDVPASLGNSSRPGTDALAGRPSSSPPERPRAPTSGTLLSSSNLAAGGAAAAATRRSRCRRSPEIEPRPLGVAADAPPRPPRVSPASPSLGNRGALPAEKREPLPPGAKACRRRWRREPPSSSARCMKSSSVTEVTGRRRPSAEDESPDPSSAASSPARHRTSSASSSSDAASAQNLPKLRPMPSPGEGTEQQAPGEADAGGGEQVAVAPPSPFRAPSTIACLFDSCVFNSGQASHS >cds.KYUSt_chr7.23494 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146333332:146334223:-1 gene:KYUSg_chr7.23494 transcript:KYUSt_chr7.23494 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSLLASASTTPDMATKKLHSAVTVGRRDAVVPGLQPSAVESPALLILKLGQSSSSAPPSRIAGCLSPSSSLSSIPDQGNRSTTIASKDRSSKQPPWPAEQEHKKHQAVDRTIRPLSSVMHARHMLAARF >cds.KYUSt_contig_319.1224 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8104156:8110977:-1 gene:KYUSg_contig_319.1224 transcript:KYUSt_contig_319.1224 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGEDPPGTLSGEQPPLPLMILLYLRCDTLVALAALAVVPSGIAFGFVKGAKVLDWDLWRFWILGLYYSRKIKAPDPVSGLSLPTANTGRSLGCGSVTKDSGSSYARKVQVMNIISTLCDPTPSYLASAETKLINGSIEQTEQKKEVIIILDSDDEDESTAGYQQLTPENEEKVTPEKKQQLTPEKSKQLIQSEWTGTLTTCAATKGIDEAASHAERIAETKKNLSLPKEREQRKLDLSSHVDGNAETVPRKRKNEANPAVLDFPSETHSPVERKQQKSDLSSQVDGDAETVPRKRRNEANAAVLDLPSETYSPVERKLQKLHLSSQVQVDGDAEILPRKNEANPAVLDLPSETYSSVEEDEPMEEEDKPERESDGLEDFWNDFSLAIESSKLDTLEEVASEKEVTKQEVDTDCNHDIRIHEDLGHDADEIAIGDVRVADELTVLDIAIHPRHAKHMRPHQLAGFHFLVKNLVSEKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVILPKGILGTWKREFQRWQVEDIPLYDFYSVKADKRADQLEILNSWQAKMSILFLGYTQFSQIICSDGGGTVAAACRDMLLMVPNLLIMDEGHTPRNRETNVLESLSRVQTPRKVILSGTLFQNHVREVFNILNLVRPQFLKMERSRPIVRRIMCQVAMSGSRISKAVAGNVFTESVEETLLNDDNFVRKAHVIRSLRELTKDVLHYYKGDILEELPGLVDFSVFLKLSPKQKQIVHKLEAYENFKGSAVGAALYMHPCLSEISEVAAADRAINSTDATIDSLVQYINVTDGVKARFFTNILVLANSAGEKVLAFGQYILPMKFLERLLVKTKGWHVGKEIFVISGDTSPEERDLAMEQFNNSADAKVLFGSIKACGEGISLVGASRVVILDVHLNPSVTRQAIGRAFRPGQHKKVFVYRLVAADSLEENFHETAFKKEVIPKLWFEWSEQHCTSEEGFKLNNVDIDNCEDELLDNKTMRQDIKALYRR >cds.KYUSt_contig_528.19 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:113365:118676:-1 gene:KYUSg_contig_528.19 transcript:KYUSt_contig_528.19 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSGRSNQEIVPYSGDLEVPVQPVDVQRQDSLYLDATRPAHGGHHAGRDSWLRTLRLGFQCVGILYADLGTSPLYVYSNTFKYGIGHEDDVLGVLSLIIYSFLLFALIKIIFIALYANDDGEGGTFALYSLISRYARVALIPNQQAEDELVSSSSRHRKPSAPFRRAQWMKNLLEASKPAKLTLFFLTIFATALAISDSILTPSISVLSAVNGLKLRSPNLTTDQVVWITVGILVAFFAVQHLGTVKIGYTFAPIVVIWLLLISGIGIYDLIKYDVGTLKAFNPKYIIDYFRRNKKKGWVSLGEILLCFTGTEALYADLGYFSIKSIQLSFSFGLLPSVLLSYIGQAAYLRKHMDIQYVPNAFFNSIPSTLFWPTFVLALTTSVIGSQAMVSCAFATMSHLQTLSCFPRVKILHTSSRYSGHLYIPEVNFFLCVASVIVTISFRTTGFIAKAHEICVALVMVITTLLMTIVMLLVWKVNILWIALFFAVFMSTETIYLSAVLYKFTQGPYFPLAMSAVLMVIMMVWHYVHVKRYKYELQHTVSPDEVKTLLERHDLKRVPGLGLFYTELVQGIPPIFPHLIEKIPTVHSVIVFISVKHLPIPHVDVSERFLFRQVEPKESMVFRCVARYGYRDSLEAANDFVATLVEYLQYYVRDLSLYCTAEPLRTSYPSIRIDSFSWDKKHSGHGSGIHAEEMLTPIQSFSELTMHQVGMSSRLGIFQPAKMNLEEMLRIEEDQKVIQREVDNGVVYILGETEVVAKPHSNLIKKIAVNYIFNFLRKNSRKGEKMFSIPRGKLLKVCIAYEI >cds.KYUSt_chr7.3680 pep primary_assembly:MPB_Lper_Kyuss_1697:7:21945301:21946323:-1 gene:KYUSg_chr7.3680 transcript:KYUSt_chr7.3680 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSLRCPPRSAVVAVSLPPDVVFDILSWLPLKSLCRFRCVSREWRALISNPAFVAAHKARSEPLIAANSFSEPTTLRLIDMDGNVVKVIKTKDHIFSFVCASSDNIICVLGHSLLQARVINLATGEILVTSIRGTFIGFGRATPSGVYKMVCIRTRCCRILTIGDGAGWRQKQSPPPKGISYHSNPVTVNGVLYFASQIHGDSVLCFDLESEEWKRGIRGPPNVEPERCYITLGELNSTLCMMQPEVDNGFTIIWLLTNADKGTWFKLYTIPLDPSTYDRMIPLRMLLDGGKLLFYVTDDSMKLPVLQIFDPQHRTCSDAPKILAGDHGGSISLCSLR >cds.KYUSt_chr1.6309 pep primary_assembly:MPB_Lper_Kyuss_1697:1:38859693:38862983:-1 gene:KYUSg_chr1.6309 transcript:KYUSt_chr1.6309 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGLRPQFISMGKHLRARHSVPPCGWRSMRQLSWRGTVRTTSDHHSAKSPSAVGRPEVDWIHDRTSNQTVLEKEHPVRRCLMVSTDWSLDETGIYKNLLQETAHRAGLKLPMYTTIRSGPGHTPMFTCTVELAGRIFTGNPGKTKKQAQKNAAMAAWSELKELPRVGEVASSSSPSDHDNEEQEQVTVARTLESLNQKNEGKAPHQKEKQQRNNRPQPRRSYPKPSASFYGSRLQNQTYPNVAQEQAMYHMWHQVQPTQQKPHFRMVPTMGNTRFPPPPTILSMYPPPRGQFAVPASQDALALIPCFPEAAPALPRYFSPYPASYVPTSPLPAAVNMMHGRRQGCAETVELPDAPVFARYTAPDYSSALENVCPSEVQQWPKNGKEAYTESSAATEEKNKAPQTSSSSTTHHPSQKLEPNEDRESKKAAEQPLLGPYVVQRPVQRQSYPSPVQHSEPIHRNNLPFRTATSPGPWSSDMQTPPRFGTATLANSASFLYQQRPPWLAAPVTVRTSIPVCSARPNAAVNSSPGAATRVRPTVQMLSRNNSEAQRNTRDMSDASTASSELSKLHI >cds.KYUSt_chr3.26784 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167026963:167028953:1 gene:KYUSg_chr3.26784 transcript:KYUSt_chr3.26784 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEQPHPHKLTNVEVIRTGDGTGDGPRGATESGSSSTRTADRTVIRMGAAPPLPVAPSRKRNLGGGSSPVEDVTFKTLMLDMKHRDGSILNESSYLKKLYRTCITDEIQLYGYLAARDLLNPRRNYLFNRSRDDPFIVVGDDDRLIQMSGPKRGIEMTSPVLIEFDMKIKTGESEEEDLQLIDGAVLFSDMDPPIDMAFTERLGGEGGAVDINLAHLYEAAEATIQVRISEVCCGGMSLCLIASGSGLFEKARLFDGIVAEAPCDLDRFVFSVKKRTKLIVVLKIGRSDGSDSVHRFHVFNVQKHGDSIVSFRLSFATIQVTVTWSTLDIPDSALGEDNHGQWSPDDTSEDDYEYDWNDY >cds.KYUSt_chr3.31059 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194859298:194864661:1 gene:KYUSg_chr3.31059 transcript:KYUSt_chr3.31059 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRNFMGQDFVQLKLEEDKNQLSKGLDPWSNPTAATTTSTLHYLLQEKERAQAQEQLQIYHQQAAFGGYLHQHHRRQQQLQQAARDGGSSGESTPVDALVTAFGSGRIVRSAAGRKDRHSKVCTARGLRDRRVRLAAHTAIRFYDVQDRLGYDRPSKAVDWLIRNAKAAIEELPDRSEEAPPAADPEPTSEQVTSTSSYGFGNPAGGGTAMTNSFLQHSLGSDQVSDNVKSLFPSSSTATATAGGHEEYRGSPPDLLSRTTSSQPQELCLTLQSNQHNIFTHLSPNHQGVISSAGVPAGWPDHGQRMPSSWHANAEEGRGGGNGDGFIFAGIPPRHGLDQSQLFSSHGEPLQSSGGGWPASARAWLDPLAAMHHPSQMSGQIGFSHLVGGGGGGFMGFLAPAAQRLQLQGEEEEGSEVMRRD >cds.KYUSt_chr1.36767 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224414349:224415621:1 gene:KYUSg_chr1.36767 transcript:KYUSt_chr1.36767 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAWRSLAVVLAVLGAAATMAGAAPQVPCYFVFGDSLVDNGNNNGIVSMARANYPPYGIDFAGGPTGRFSNGLTTVDVISRLLGFDDFIPPFAGASSDQLLTGVNFASAAAGIREETGQQLGGRITFRGQVQNYQSAVEQLVSILGDEDSAAARLSQCIFTVGMGSNDYLNNYFQPAFYDTANRYTPTQYADDLAARFVPLLQALYSYGARKVALMGVGQVGCSPNELATQSPNGVTCVDHINVAVRMFNQRLLRVVDQFNRLPGAHFTFINIDGIFSDILRAPGSHGLRVTNRGCCGVGRNNGQVTCLPFQTPCANRHEYLFWDAFHPTEAANVLVGQRAYSARLSSDVYPVDLRTLTRL >cds.KYUSt_chr7.16350 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101259858:101260976:-1 gene:KYUSg_chr7.16350 transcript:KYUSt_chr7.16350 gene_biotype:protein_coding transcript_biotype:protein_coding MANWDELPEDGWSRIFGLVRSYLDRARCLAVCREWHRIGKQAGHTMLPSAVIPAAMEQGMTKAFDVVLREHRLLRYAPSFAGMRIIGSFSGGRLAVVLAVGSTTSYAILDVLTGAPPVHLPERLRLPDSVEDQGVVITTITEGIDVYAAITLAAPYLVFWRLGMEAWCPSLAAPGDEEDPRLSPDCPVDDVVYRYASDTFCILSMRRDLWIYSAERLHDGGVSFHRTLVVRGPDFLGAPLGRYIVAAGGEDLMIVWPRRSLTRRGNASFQLYILQRDSPTSWSWRLQSDPTGFIVFVGRGCSTAYRAAAGKPTVLHFIDGLGSPSRIYRRHDSRQRPFVSYADQVIERMLPAASIVQSPDVWLLCPGYGDSE >cds.KYUSt_chr1.21627 pep primary_assembly:MPB_Lper_Kyuss_1697:1:127757931:127758377:-1 gene:KYUSg_chr1.21627 transcript:KYUSt_chr1.21627 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAIIAIKCPSIEVVVVDISKPRIDAWNSDTLPIYEPGLDDVVKACRGKNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAACMIADVSKSDKIVVEKSTVPVKTAEAIASGVRCASTHP >cds.KYUSt_chr2.39903 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247739282:247739689:1 gene:KYUSg_chr2.39903 transcript:KYUSt_chr2.39903 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRILKQFFEAAAAAADSAGEAPPAAKKTGRVKTKAAGPRGVAPAKVRTKAISRIGLAPPPASKVTTPPPSVPAVALPAPPAPPPTTIDVD >cds.KYUSt_chr7.1375 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7494753:7495374:-1 gene:KYUSg_chr7.1375 transcript:KYUSt_chr7.1375 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDNTVGLALAVASSAFVGGSFILKKLGLMRAGKLGVSAGDGAPEISKCSSAALLAAKVKLRALPGERRQFRGCRFPLWTPAKLVLIGSTWLAWQRRAELAASQSSHLVARFCYNGSSQVQNWEGLESIGSTLNLEKWDGIRGCHDEN >cds.KYUSt_chr4.13392 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82588815:82594265:-1 gene:KYUSg_chr4.13392 transcript:KYUSt_chr4.13392 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSNSRIPANSSGHLEHRFGSLELFWGDTLPNGDVYVGNFDGLVPHGMGKYMWTDGALYDGEWDKSKMTGQGIIQWPSGASYEGDFRGGFIDGTGTFKGVDGSVYKGSWRMNKKQGMGTMVYSNSDTYEGLWNEGLPDGYGKYTWAAGNIYIGNWKSGNMNGRGVMQWINGDTLDCNWLNGFAHGKGYCKYASGACYIGTWDRGVKDGHGIFYQPGSKIPCNLEVSECATNNDCTSASSSSNVKAKIGLLFILQNMCNKRGLRRFFHRPRRISNGTTPIFYDDSRNHLSQDLCNKSLSSNERLRDCDVHKDLVYEREYVQGVLILEQPKGKDSGMLDSGETQENTWQKQERGPMETIYKGHRSYFLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIRMYFPCEGSQYTPPHCSVNFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKSELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKAGKKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQKINENTTLKDLDLSHAFHVDKPWREALFRQIALDSMFLESQSIIDYSMLLGIHFRAPQHLKAVMPHQNTLESSGISSATDCNIPPHSEDTSSSKGFLLVAHEPGTTVGGSHIRGSMVRASEGGYEEVDLVLPGTGRFRVQLGVNMPAQARKLLESTDPIEEYDVVLYLGIIDILQEYNMSKRVEHAVKSLKFDPLSISSVDPNLYSKRFISFLERVFPEHD >cds.KYUSt_chr4.17143 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106951522:106954573:1 gene:KYUSg_chr4.17143 transcript:KYUSt_chr4.17143 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAMAPDGDAVEAAAPASSLIFLGTGCSGALPDVRCLIQPSKPPCATCSQALTLPPEKNPNYRCNTSLLIDYCDNDVTHKYILIDAGKTFREQVLRWFVHHKVPSVDSIILTHEHADAVLGLDGVWLVHPSNNKNEIDHLPIFLTQFTMDSVGARFPYLVKQKMEEGNEMATVSQLAWKIIECDVEKPFLSSGLEFVPLPVMHGEDYICLGFLFGRKARVAYLSDVSRILLRTEHAISKSGAGQLDLLILETNRLHGVSLDAIKRICPKKALLIGMNHEFEHQRENHILAEWSGRFPLAL >cds.KYUSt_chr2.34264 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211679243:211684601:1 gene:KYUSg_chr2.34264 transcript:KYUSt_chr2.34264 gene_biotype:protein_coding transcript_biotype:protein_coding MNLALWRPIVAISGYLNLFPIELNGVTSISMASYRGAWDGEDEVDRNISVRILSGEVGSMAAEVGQPFSGWSHSDFPYNDHCTQDDSVQQMVLDHGSVSFGRFAGESLSWENRSVFEHNRRHEEINKLTMPGLVAQKKAFFEEYYKKKARKAMHLTEATSEEMSDDNTLDHSRQDDKTHAVVSEDPMTTAPSSISQPSIGVSSSDEKKCHKPHGLGYVTFNPLFSRISGSQGIQHEETSSTGQQQYVDGEFQCATRTSSNHGLKHETLERKVLAPKHVISTDYGESNVAASRIVLPIASLHSKGLKVGLEKQEPRKIIAPVVTNRLTKEAKDPSSSLIQILRVDSKRNSENRNSEGLKDPFYKRVEMKLRALSDRMSADRAAASSKSASCQPATRPSSYPNADRAATSSRSALCQNTDRVPALSAQASPNSLKERASTVPRTNFYNKQLHVSHVASSNHISTGRKQLSTPAASDESKRNRGLMRISAPTSARSSYDNIRPAKAAKAPRISNGQNTMKVISSRVGTPSSSTKNKLTCLGTITGGFGFPEARHRQGCPRAFLKLGIGKAVQGLS >cds.KYUSt_chr7.34584 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215865167:215869694:1 gene:KYUSg_chr7.34584 transcript:KYUSt_chr7.34584 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAVRASDMIDHNKKTDDHPVAEVAWPVRAAAAARRREKKEKMGRAGVVLMVCVMALLFLVLFFGGRTGTPAAWHNAAKLTAMCGGTANVSSPRPSGTGADELFGGLLAPGYDRRACRSRYESPRYYKHSPFAASPHLLQKLRDYEARHKKCGPGTPQYAKSVDNLRSGSSNTEAAECRYLVWLPYNGLGNRMLSLLSTFLYALLTDRVLLVRNTDDFTDLFCEPFPDTTWSLPPDFPVANMSQLGVQSDDSYGNLLRHGRISNHLDRAASQPVPPYVYAHLAHGLRVTDQLFYCNDDQIVLAKVTWLLLQNDLYFVPLLYGIAEFEDELRRMFPAKESVSHFLARYLFHPSNSVWGMVTRYHRSYLAHAEEMIGVQVRMFPFATIPADDMYMQILACSRQEGILPEIDDEHEPEPGNTTSAVDSEGAASSNKAILVVSLHADYYERIRWTYYKHGAKGGGGVGVFQPSHEERQERAQRSHNQKALAEIYLLSFSGVLLTTGMSTFGYMSSSLAGLRSTMLMVANNQTVPETPCVRAVSMEPCSHLMNFKVKCKGKTVDKEELARHIKVCEDLPRGIKLVD >cds.KYUSt_chr2.25777 pep primary_assembly:MPB_Lper_Kyuss_1697:2:157680612:157682408:1 gene:KYUSg_chr2.25777 transcript:KYUSt_chr2.25777 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEDKKDTNPVGGVGGGGGNTLEAAEEAMLQAGGQVTGQELEGEAEESADREGNGGDAGKEDSGCKDLVIVEEDSVLVEDPEEAAATAALQEEMRLLVASVPEGAGASFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQNYPTLMGLATLCLDFGKTPEPEPGRCRRTDGKKWRCWRKTIPNEKYCERHMHRGRKRPVQLVVEDDEPDSGSKTASGKIAEGGKKTDDKGSSSKKLAVTAPAAVESA >cds.KYUSt_chr4.24153 pep primary_assembly:MPB_Lper_Kyuss_1697:4:152019891:152021660:1 gene:KYUSg_chr4.24153 transcript:KYUSt_chr4.24153 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDAAPSRHSLAADPLPALRRLRAAAPRVFGQLHALLLTTGLALHSPNFALLLRLASSSSVSHRLQLLLCSPLQPTAFLANSLLLAHLPSALPLYCVLFLGGAALLRPNEFTYPALLRASPPRAALALATHSIKFLGAGAASRDRVLGAALLDAFARCGRIVPCRRVFDRIVEPDLPAWNALLTVYARRRAADEAAEILDLFGRMVVSSTVRPNEITLVAVISACGELGALGHGLWAHTYLLRRRLAVNCFVATALVEMYTRCGRMDLAEQVFASVDDDMDTRCYNAMLQGLAFHGHGRAALTLFERMCAQGFLVDSVTVLAVMCACAHAGLVDEGQWLFDKMEVQFGVAPRIEHYGCMVDILGRAGRLDDAEKLIRGMDIPPNAAMYRSLIRACGIHGKLELGERMIGELVRLEPEQSGNYVLMSNFYARMRLWEDAKKARKEMKDMGIDKSPGSSLLDIDGVLHEFLMGDKTHPASREIYAMVQEIEARLNECGHRPSTTAVLFNVEEEDKADALSYHSERLAIAFALIASPQGSPIRIIKNLRVCSDCHDSTKLVSRLYGREIIMRDRTRFHHFRDGRCSCGDFW >cds.KYUSt_chr3.34163 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214271396:214274518:-1 gene:KYUSg_chr3.34163 transcript:KYUSt_chr3.34163 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKALVLLSAAYFVHGLGMKLLALPLVYACMIALLVSIASHPSIDLPLLLGKTSSGSFPLWSWIMFSPFLLFIHLFMLFRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGEPAVIDCTCELPRSSTVTETSYLCVATWDTRAPQPSQIESAVRWAVRKRSQNKPVYVHCAYVELSARFLEQPCIPGFCFALLCIKIGCANSSISSIAIGTAGGAYLAAQVLWG >cds.KYUSt_chr4.19501 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122610860:122618480:1 gene:KYUSg_chr4.19501 transcript:KYUSt_chr4.19501 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHTPDEIKKYEDSNPLGLRGMEAATYLHPSKQIYNFLRVGGITSLDRAEAVIEMTEWVEVPKKNLTLENNTTDQTGSAKDSAKDSKEDLSSGSDADNSSNTMMKVIEMTAGAGSILSIELYADAKSRLEDMSYAEALVGKCMCKIGCICMVKRLKQRNFKSAFISLRPWATHFFSDWSGITALATFIPVACHSVSAFSETTRRTRAHPYTGDADSDRMASPRLLFLRRILYAAAAFCACLPAPVSAIRGCNLDLQCCNSYEYCVSCCLDPSRTNEGDVQKLKVAKPVTAGTYTDIFDFCMGRCRHSSASVVHENAYVSDFHHCFMMQQNSSVLLDVKLANF >cds.KYUSt_chr2.49651 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310660732:310665273:-1 gene:KYUSg_chr2.49651 transcript:KYUSt_chr2.49651 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIPKWLPPPEGYVKINVEAATSKTGGGGVVAVVCRSGIGEFMGASALTISDIGSTVALEALACREALALGQDLDVQSVCIATDCLEVANNIQRPYFGEYGEAAARETPARRTARAGTWMASGGIARGRLAEERKSWRKNHPHGFVAKPETLPDGTVNLMVWKCVIPGKQGTDWEGGYFPLTLEFNDNYPSNPPTCKFPAGFFHVNVYNTGEVCLSILSDVLVGIQELLDDPNPSSAAQDASYRLYSKNMPEYKNRVRQQAKQYPSRV >cds.KYUSt_chr5.17955 pep primary_assembly:MPB_Lper_Kyuss_1697:5:116099330:116099827:-1 gene:KYUSg_chr5.17955 transcript:KYUSt_chr5.17955 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQKSTTTNRRPTLSSEEEATSSVKPKAAAPGALVSQKMPEIASTHQREVGVDGMAQTEGLAAAHHQPLPECCGKPTRRGRPQRRPSHPRRPVRQGTADGRRAAAAVAHPTRVLRQASRRWGSVRRSPRGDEEGPPPPPRPEGLCPGGATGGGGGGGGGLGAEG >cds.KYUSt_chr1.33094 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200881130:200883674:-1 gene:KYUSg_chr1.33094 transcript:KYUSt_chr1.33094 gene_biotype:protein_coding transcript_biotype:protein_coding MGASITSLGSFLWKMFLKEHEEYKLFIGLSFAIGLATFLLYLAVWYACRTRSRSQRAEDPEAGAASPGPERRGMGDAAIAALPTFKYEIPTIVPGADDTQAAADCAVCLGQVEAGETVRRLPKCAHLFHAECVDAWLRARTTCPMCRAAVGPAAAAASKKGATPADAAATVEALPPA >cds.KYUSt_chr7.34301 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214368514:214369731:-1 gene:KYUSg_chr7.34301 transcript:KYUSt_chr7.34301 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMMRPASPPLPRQDFRLDSAATSPYATAPSSPHGRLAAAGSDSPFGSVEAAAAGSPFLTAPPSPTPFDQLPPVTPRLTGANPFDLFQHFSSAPASPRRAAAIYAHFADRNRDGDEDEDDDDDEGFQPRSSYSTTGASAVPFDWEERPGTPKAGLGGGAAAWDDADFEFGTVADKTAPAESLTTADKLFEKGRIRPLKPLPLLKTGSDLSDKGKIRPLKPPPGLLDGGSVGSSPRSPMTMRSPRRRSRVGSGTDFDPFAAALLEATKAPSPLGGKHKSSSVASGSPPHQADPFTTRPASKSAGWRKWRLSDLLLFRSSSEGGRVNKDPLFKCSPTEKVSPPPAMIKVGTMDKLSKKQGGDKSAAAAAEGIVGCARLSPLQRLARGLGGHSWHHGRGVASPGIKG >cds.KYUSt_chr6.1887 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11298277:11299995:1 gene:KYUSg_chr6.1887 transcript:KYUSt_chr6.1887 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIGGGAGPTAAAEPPPSAAEEVVEENSGGEEEEELELGLCLGSKKQQQQQSPPPAPCRILTARDLQPAAALSPDSSVSSSSPAAASRRAKPEEGSNPGASPGTVASGHPQSFGVVGWPPIRTFRMNSLFGQAKDTAPDADTKKTAADGSELQKDKEESEKKGRTPGWVKVNMDGEVIGRKVDLNAHRSYKTLALALEIMFSKPSAGLCAPSTTKSPRLLDNSSEYQLTYEDRDGDWMLVGDVPWEMFVGSVKRLRIMRTSDASGLG >cds.KYUSt_chr1.34994 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213229869:213230532:-1 gene:KYUSg_chr1.34994 transcript:KYUSt_chr1.34994 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQEQASYRVGEAKGHAQEKTGQVMGAAKDKACEARDRAAGLAGNASGQGQGATNAAKKMAVEAQDMTSEMAQAAKDKTVESKDNTGSFLGEKTEAAKQKASETAQYAQDRSSDAAQYAKESAVAGKDKTGGVLQQATETVKGAVVGAKDAVVNTLGMGGDNTTGKDTSSTTDKITGRGH >cds.KYUSt_chr1.4033 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24514232:24518952:-1 gene:KYUSg_chr1.4033 transcript:KYUSt_chr1.4033 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKKQVFTIQVEDGKPGKDGQPAVGPVFRNILAKDGYPPLEPDMRTSWDVFRTAAGKYPNNRMLGWRPFKDGVPGPYLWKSYKEVYEEVLQIGSALRHLGVQPGSTVGIYGANCPQWVVAMQACNGYSLICVPLYDTLGAGAVDYIIDHAEIDIVFIQDKKIKEILSPNCKSATRLKALVAFTSANNEQIKDAEQIGMNVYSWNDFLKMGKDKPAKPCPPQPNDTCTIMYTSGTSGQPKGVMLSHESHGMYVKGVDLFMDQFDDKMSTEDVFLSFLPLAHILDRMIEEYFFHKGASVGYYHGDLNALRDDLMELKPTLLVGVPRVYEKIYEGILKALADLRPLRRVIFYALYNRKLAGMKAGYTHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSTEIEEFLRVTSCAYFIQGYGLTETLGPSTVGYPDDMSLVGTVGVAATYTDLRLEEVPEMGYDPLGVPSRGEILIRGNTVFTGYYKNPELTNEVMVDGWFHTGDIGEMTPDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYVFPPIIEDIWVYGDSYRSTLVAVVNPHEENTMKWAASKGYKGSFDEICKLESLKEYILTELATAAQKNKVWSFIHFQIED >cds.KYUSt_chr2.47686 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298314500:298315806:-1 gene:KYUSg_chr2.47686 transcript:KYUSt_chr2.47686 gene_biotype:protein_coding transcript_biotype:protein_coding MVASGVIVAVDRLWRTSVSMKMRPLHVSGGLLRRLLHLGLAGLRGNLHRLELHPRAELCRVRIIRVASNETPPPPLHSHSDDMAPKAEKKPAAKKPVEEEPAAEKTPAGKKPKAEKRLPAAKTAAKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_chr6.15097 pep primary_assembly:MPB_Lper_Kyuss_1697:6:94606064:94614328:1 gene:KYUSg_chr6.15097 transcript:KYUSt_chr6.15097 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKPRHGFGSLVTGLQEVCESGITKDLAWRRSQLKGIIRLLTEKEEEMFDVLRDDLGKHRAESYRDEIGALVKSVNHTLRNLERWAAPEKVQAPLVSFPATALVVPEPLGVVLVFSCWNLPLGLALEPLSGAIAAGNAVVVKPSEFAPATAAFLASNLPKYLDPRAVKVVLGGPEVGEQLMEHRWDKVLFTGSARTGRIILTKAAKHLTPVALELGSKCPCIIDRLDSKRDTQIAVNRIFGGKWSLCAGQACLAIDYILVEEEFAPALIEVLKSTVKRFTATPDCMARILNEKHFKRLSNYLKDRKVAASVVHGGSVNPKTLTIEPTILLNPPLDSDIMTEEIFGPILPIITVKKIEDSMKFVRSKPKPLAIYAFTRNEKLKSRIINETSSGSVTFNDAVVQYVVEGLPFGGVGQSGSGQYHGKYSFELFSNKKAVFKRSFLIEFMFRYPPWDNGKIGMLRHVFNTNYVLLLLGLIGLRR >cds.KYUSt_chr6.6243 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37729655:37731014:-1 gene:KYUSg_chr6.6243 transcript:KYUSt_chr6.6243 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRAKISQSAAAADRITALPLDLRARIVSYLPFREVVQLSTLSQSWRHIHHQVPVVDLDLREFVAFQEHIIDEELAIPGIVDDDTLLGIRVALAHRAREGIGSKVETMRLAYSAGDPRVKRHADRLIALADAPKIRLNVSFAAVDRLPKRWNWKVDLPPAARHLKIRSLDFLKPTPTIAGPGAAGLRELYLHNVTLREWPRLPSLRALRLSTVTATRPFTPGASCPLLEHLDIWGSTIKHPRVDIRLPHLKNLDMDDVNIEQSSDFLVPYGDVTVDAPELEELMVNCRTGWTVEYKSFTLHAPAMRCLRWFEQFAEIVRIDVGKPGSVSRGTIQFTSNGELEEMRCREMKYYRAQMIEMLHGLLPHLPPWIVADIARPYMTSETRTVMDEALEEMVPEETLTCDLGRLMSRDV >cds.KYUSt_chr2.49487 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309715766:309720065:-1 gene:KYUSg_chr2.49487 transcript:KYUSt_chr2.49487 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVVTTTTTSRPLHLPAAIRSTPGTPSPPIVARAGGRRRRAVLRCAAVSELTPAVSAAYGALLVGGGAFAYARSGSKGSIYGGLSGSALMGLAYYLMQSPETKALGDAVGFGSAFLFASVF >cds.KYUSt_chr1.31643 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191894975:191896216:1 gene:KYUSg_chr1.31643 transcript:KYUSt_chr1.31643 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSRDGEKKSRKEVDVDELLKNLKLHGEELNEVVLGKEEVRRWPAVKWLAAGKVLTRKTYSIQSLKNSLMAAWSTAHDVIFHEVEANLFVLQAFCLGDWKRIMEDGPWLFRGCALMVEPFDGATSIPSVIPKGVQAWVQIHKIPILFRNKEVLNLLAQRVGEIIAVEMSAVQTSGGVFHRVRVMLDSTKPLTRFVPLAMEGSERIFLQIKYEKVPKHCEFCGYMGHTYLECGSGEHEEADLQFGPWMLADETTWKPGTPGARPGFFREPGGGRGRGQTQARGRGTARFNAGTREQRKWVPRPASAQRKRNSAEAGLEEDGKEMEDTATSPLKPPGSSEGGVHTGVKKSLDMNVVADIGGSMDVPPPPPAYITPSEKKKKLKRAEVGVSGEQLADASNLKSTAAPEGGRQEQ >cds.KYUSt_chr3.25333 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157262742:157266052:1 gene:KYUSg_chr3.25333 transcript:KYUSt_chr3.25333 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASGRGGGFPSPAAVAALVVLAAAVVQARGSSAAAPCVPRVFSFGDSLADTGNFPFLYGNDSREPALRPPYGETFFRRATGRFSDGRLIVDFIADTMGLPFVRPYLSGRAAGDFASGANFAVGGAMALGPDFFRGRGVPMGDRMHLGIEMKWFRDLLDLLCPGNRTDCMGMINQSLFLVGEIGGNDYNIPLLSRVPFEKIRTFTPSVVNKISSTITELIGLGAKTLLVPGNLPIGCVPNYLMIFKSDKKEDYEPETGCLRWMNEFSEYHNRLLVDELEKLRKLHSGVSIIYADYYGAAMEVYHTPKQFGIEHPLEACCGGGGPYGVSMIARCGYGEYKVCDEPQKYGSWDGFHPSEAAYKGIAIGLLRGTYTQPSIATTISSCPQLIELGSSIEYKVLYDL >cds.KYUSt_chr1.30307 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183491334:183493832:1 gene:KYUSg_chr1.30307 transcript:KYUSt_chr1.30307 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAGRLSDTSLCSRTLGPSAFRPEPFLSLHERALYQAKSRLDRSTLDTHRVPFSPSEAEHQTIAIFFFSPVTCVSSLPAKRSSNELATQGTMPSFAHLLLLTLTLTLTFLHRPTPALCVAEHPHATATQPQTFILVLNSPAEAGTSEDDHRWWHETFMPSPLAGSDEPRLLHTYTEVFTGFAARLTDAELDMVSKKPGFLRAFPDQIWHPATTHTPEFLGLKRGSGLWRDASYGKGVIIGVLDTGIYAQHPSFDDAGIPPPPSKWKGSCHGAARCNNKLIGAKFINRYANDSSDDTGHGTHTSSTAAGNFVSGASAHGLGSGTAAGIAPDAHLAMYRVCTIHGCAVADIVAGIEEAVKDGVDILSLSLGPFYNVNFSGDPVAIGAFSAVAKGIVVVAAAGNNGPKSFVANSAPWLLTVAAGSVDRSFETVVQLGNGDHVNGEAFNQISNSSAKLFPLYWNKHCKSLLAARNVSGKIVICHDTGSMNNTGSINNTDISAIMSAGAAGIVLINRKDAGFSTLLEDYGNVVQVTVSDGMKITEYVKTTIKASAAVIYKNTVLGVRPSPMVAAFSSRGPSRFSPGVLKPDVLAPGLNIIAAWPPLTLFGSGPFNIRSGTSMSTPHVSGIAALVKCSHPEWSAAAIKSAILTTSDITDSTGDPILDEQHQRATAYAMGAGHVNPTRATDPGLVYDIGITEYAGYICALLGDQALAVIVRNPRLSCKMLPKIPESQLNYPTITVPLRTRPFTLNRTVTNVGSANSVYTLKIEVPESLIVSVYPETLVFSKAGQKISYTITVSSHGNAGEKIMEGSLSWVSGHYVVRSPIVAVAGLL >cds.KYUSt_chr2.46122 pep primary_assembly:MPB_Lper_Kyuss_1697:2:287872599:287873234:1 gene:KYUSg_chr2.46122 transcript:KYUSt_chr2.46122 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIAAGDVATWGDEAAMAEDHGHQPDAMLPPFRLQRREELDWLPFSQKKRSPVDGGRNHLAETRTVASPQGRPLMSSTVMRTPVAGLARGCPSPAFGDLDWREGIEEGGEEPVKKGGPGGGKGVEGEGKGPRRRSRNGGHGGAAVEQPKEKYLWRLGRKGPWSVAVRRYAASAGRPAYAVFIFFISVGGCICIQLGLVCGAADGPLPLAA >cds.KYUSt_chr5.19491 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126444023:126445734:-1 gene:KYUSg_chr5.19491 transcript:KYUSt_chr5.19491 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSGRPKASPLSSQRSSAPSSDSGPIKTPSVPNSPTLTLALPLSLRSTPRRKKLHPASSSTSRMQLLVKCPTGRTICLRVRPSDTLSTVKAKIQEQHRLFFDGVQLEDNLTLADYGIKHKSTLDLQESMQIYVMETLAGRTFTLEVDSLDTIEEVKSKIRANEGFPKGRQCRIFANKQLEDDRTLADLNICKESTLLLVLHPFNAGGRMIIFVKTLDGKTFRLQVESSATIEDIKMKIYELESTCPSKQRIIYDGKQLEDGRTLADYDVKEENTLHLCYCLCGC >cds.KYUSt_chr3.23734 pep primary_assembly:MPB_Lper_Kyuss_1697:3:146755315:146766282:1 gene:KYUSg_chr3.23734 transcript:KYUSt_chr3.23734 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTFVVDDVVMLVTGVTGGIMVVFGDVTDDMDGAMVMSGFVIADVAMLVMGEVSNPLQNVDFSKLSSIEDPDEYFSTLDQLEEADKEMKKLRGEVPTETADHHRPMEPPKKRPGMARRKSVYSYNFSAGVDTPDVIEAPSQTETITESQSTQDDMSPSVPERTKLPVPSSSSQCDIPDVPVREDSFPEKGKGALDELLSAFKNLDEDEEENLIRKTLNIKEISIGKVSLPDLFNSPDDRPVSSTAKRKHLTSGHAPEISVSGSHLARISKWEKQILGKDTLNNKADLSEDDESDNSPGTVTGNQSSRHSPYDSVVSAGGEASTGREIPTSSTKSPDHVLEPVTNIPNGVSKDESEPRSSSFGLCVDSEVAKEKDASSGHNVSLEENDLPMDHSVIERANYEPTISSPHLLEGDTTEVLGSEQTISSPHHLEGDATEVLGSEPGRNASALHGEDDNIEHQGGVGGDGRVQDESSSLALKLVFFTDKPIQTPEIPPEDIDPQNESHIHEGNIEKPAVPVSNQLKVGKQKQAQDGKRKQPLRRGKRGAEEASDPLGIPPENCDTDTQPHMQDTNIEVANIRKPYVLSTSTTRSTSSRDRIIHQRLQVRPFVQAQLVDMAAVNEHVLLSQPDKLVLLAEIPAADSQAAVITFRLLVEQCSDYGGGTMDVDTVEDVACRVPLRELGRQGAAYRAFGDLVARLDNPMLRPEVATETRRAAARFQARCDELGLGGVELRLRVMFIDAFGTEEEEEDDDESGSDMEFGEFDLSGARSLQDYQQQTGAGHEDDDDDEDGCGAQFSVRAYRGGGALAGQEGNLLLSGFEARSDGPELTEQDELTSHDMRRLVHLALEGGGSMEDDEAYRRAMAGGTTLSRASRAAMVDRALQSGNQRRQQFRSPSQVFPMRTGF >cds.KYUSt_chr1.31467 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190788307:190788600:1 gene:KYUSg_chr1.31467 transcript:KYUSt_chr1.31467 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDWVDSCRFWTSRRLLQSRGAPTLVAILARSTHDLAGVITGLLERAGEVELLGQLGVEDGVRAEARLPDQQLRSSKDINSAKHSLFLIIPSTKAG >cds.KYUSt_chr2.37113 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229678400:229682131:1 gene:KYUSg_chr2.37113 transcript:KYUSt_chr2.37113 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKNKNPQPTTGAGRDGGAFAFISKGWRDARDTATADLSLMRARADHLIASAAPFAEELDLVRKRIQPKITELRNHCSLEGWPPRAGASLRVDLAAIRNAVVADGGEWPWKGGGKGRAEWGVATFVRGGLKECELAATIRSGFKELERRSLASEMFGGFRGRGEFLEKLKLSLKLLNKESREQKEVPPLDLMEILAYLVRQSGPFLDQLGVRRDQCEKLVETLYSKRDGRLMYPSLSADSSLTGNENTTDDLDLRIARVLESTGYHTDEGFRKDPTNLRISDNRRHVAVFTTASLPWMTGTAINPLFRAAYLARSTMQKVTLVVPWLCKSDQQLVYPNNITFNSPEEQETYIRDWLQERLGFEANFKISFYPGKFSEERRSIIPIGDTSQFISSKEADIAILEEPEHLNWYHHGKRWTDKFNHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSGATQDLPRSIICNVHGVNPKFLNVGEKVAADMERGQKAFTKGAYFLGKMVWAKGYKELIDLLSEHKNCLEGFKLDVYGNGEDSQAVQAAARKLDLGINFFKGKDHAHDSLHGYKVFINPSVSDVLCTATAEALAMGKFVVCPDHPSNEFFKSFPNCLTYKAPEEFVAKVKEAMASEPQPLTPEQRYSLSWEAATKRFMEYSELDKVLKERNGHCGQGVKRNRVRKIPLLPKFSDIMDGGLAFAHYCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPQNQHLVYGL >cds.KYUSt_chr2.45939 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286727415:286739481:1 gene:KYUSg_chr2.45939 transcript:KYUSt_chr2.45939 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPGANNQHMATNTVIAMRSFLNFEADELSAQHLGLRNMLWILFFDAAFLTAPGGAATSDAGAAAAVTAASAPDAASLAATVEAAMSSAPASNGRAPAHAAPAGSAAPPPRRICPRRIRPRRRCTRPRRPLHPPTPPLHPPTPPLHSPTPLHSPTPQPPPPPPNPASAPPPLVLLRKVDCDMLLLSMEESVGDLLSSGLFVGRQFGDLVRRPFLIYLVAQTSLFHHEMPAALLQGFLLKGELYDGVVDGLVESFKSSLLRALEGIEEDPLPRSGGGTKRVCNVSFGFEGAVRSAHGYKVVGRREWERWVEREFAFSPTAFREVPLPVGSPRILPTDWRGRPVYREGQLVGPWRCILAFDSVAGVAPPPTLPPLLSPSGNARLICCVPSLYNDLLKLFPFQKLQKVPEPIRCDSDKPKTPADTKRALIPKKVLIQRDSDKQKTPLDAKDSIISNKKVQAEVIQCDSCEQKPPLDTNSHEQGAPLDTKGDIIPKKVRTELIQCDSDGQKTPLDTKDNIISNKVVHTELIQCDSDGPKTPLDRKDTISKMVHSELIQCDADEQKAPLDSKGWIPTIKVQPPGSDSDVRPRIGQELPTPVQKQRRAQREYIASLTLGDIAQYFHLPIREASRTLRIGLSILKKKCRQYGIPRWPHRKIKSLDSLIQDLEYVLNDTEKDGGEQEEHTEKEEEKDDAMRSLAKRKRQLETEMATIHQKPTLDLMNETKQFRQFVFKRRYKAKHLAEEE >cds.KYUSt_chr7.14182 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87725986:87727237:1 gene:KYUSg_chr7.14182 transcript:KYUSt_chr7.14182 gene_biotype:protein_coding transcript_biotype:protein_coding MESNISTCAICNGDMRRGVGGSSFTADCSHQFHFRCVLASSTSQACPLCSARCRELPSFRSSKSTPPPPASRVPAQPFFRPKEPRVFDDDDPLRWLSTRTASTRLSRGTNIGAALRKAAKVLDDRLHRNAVASVILLSDGQDTYTVPRRGQVADYDALVPPSFAYTGDGYRSAPVHTFGFGTDHDAAAMHTIAEATGGTFSFIENEADIQDAFAQCIGGLLSVAVQELRVHVACANTGVRVRSVDIGGGRGGHGVGIPSSTSCAASTGGVRRRCTDGDGLQQGNGGMARSGGATDSRGGGGSAAGNGAGEGEGGDGGSALHTCAGDGDGATGRARRGSGAWTKAMVTAMDCCGVARITATAWRGSYGDGVARLRASRVCEKQRIWGKFCPRG >cds.KYUSt_chr4.49764 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308219660:308220070:1 gene:KYUSg_chr4.49764 transcript:KYUSt_chr4.49764 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAATAPATAKKLTEEAYTAELAGAPAVGAPAGAAETAEGVIAEGASVGAEPAVGGDGGEAAIGVGAAVATGDLAGGAGRVAILGAGMGLCAAAVMARRATMAATTAKRAIWLVVNGDSGKRRAAATSYGLWLCV >cds.KYUSt_chr7.1486 pep primary_assembly:MPB_Lper_Kyuss_1697:7:8148755:8150804:1 gene:KYUSg_chr7.1486 transcript:KYUSt_chr7.1486 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRASPASLPDDDDILREILLRLPPTPSSLARASAVCTRWRGLLADPKFHRQLCARHRNPPLLGSFVPNDDDGQRIVFAPMLDPPDRIPPPRFDLGRCGHNTDVLGCRHGRVLVKTRVWDADGGLPSRVIVCDPITGDQRTVAFPPDLGRVSVNGAVLCAAAESDPGHMHGSCHSSPFKLVLVTMYRRHPNRLLACVYSSNTGLWGDLISSESPSDIHGKPAVLIGNRLYWLSVINGSILEFDLDENRIAVMMGPPVTHDERCINHQIIKAENGAVGYAMLVYPSLIMWKRDVDAHGVTTWVPWKIIGMDTTPGHPPRTERRDRIQGYDEDTDVVLLHVNGTVYMLDLKSLQSTMLSGPLIHIGAYHPLRCFYTPGKKTFWILFVRFRMFDSFLFWGKLCGRQLGACTLKLLSSDNGDSHM >cds.KYUSt_chr2.19479 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122557137:122557916:-1 gene:KYUSg_chr2.19479 transcript:KYUSt_chr2.19479 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKPAPSRLRDCAPPEPSTRSARKSSSSARTSPQRGTAAVVGITVGQEARVPASIWTRAKLRIDSPLTTDTFPWPRSRLRQRDSSQPPQVKKICCCSTKQQELKINSARTEALPVLRGQGQAEAAADTSCVYGRSFPRRSWRQRSICPESARIDLVWPAMVIPTEICQNRWILGPAAVNLGSGGTGAARALELRCGRRDHGLGRCGMAWHGRGRNRARAAKCGFERHDTGAAKIELERHGTATGATEIELESQALRNR >cds.KYUSt_chr3.34127 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214056252:214057148:-1 gene:KYUSg_chr3.34127 transcript:KYUSt_chr3.34127 gene_biotype:protein_coding transcript_biotype:protein_coding MASRARAPFQLIATLFVALLATCHAGSIAVYWGQNDGEASLAETCASGNYEFVIVAFLRKFGKGQNPQLDLASHCHLSSGGCRGQSKDINACQRRGVKVLLSIGGGDGSYGLTSPGDASQVAMYLWNNYLGGTSSSRPLGDAVFDGIDFDIELGSTRFWNNLAMDLKNLGRNGGKTVLLSAAPQCPFPDEWDGDAINTGLFDYVWVQFYNNKNCQFSAGREAFMDAWKKWESVPAGKIFLGLPASSKGAADTGFIPAGELTASVLPLIKGSPKYGGVMLWSKFYDDRSGYSSAIKSHV >cds.KYUSt_chr2.28182 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172974540:172975331:-1 gene:KYUSg_chr2.28182 transcript:KYUSt_chr2.28182 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATISSSAPAASPPLAGRVAIVTGASRGIGRAIAIHLSSLGASLVLGYASSSSSELADALAAELPSAVAVRADVSDEAGVRSLFDAAESAFGGAAHILVACAGLAIQTYPSLATTATADFDAVFAVNTRGAFLCLREAANRLRRGGGGRIVAVSSSLAATLLPGYAAYAASKAAVEAMVRVTAKELGPARVTVNCVAPGPVATELFFQGKSEEAVERFRAGHPMGRLGEVDDIVPAVGFLCTDAAEWVNGQVIRVNGGIA >cds.KYUSt_contig_2197.60 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:355408:357628:-1 gene:KYUSg_contig_2197.60 transcript:KYUSt_contig_2197.60 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSCRIHGVGSLLSLLLLLWSDSSWDWSDMVSLNKSVDAGLLPVQDSSKVVVLLSGHGGEGDYHGGAVRSASFRLLTGLGGEGEHRRSTAHLALDWSSCFVCRCRLQRRSKVLRRGGAGRGCGGDAAFCGCLHRILPKWCYEAALFRFPRAEHMASKFDAVTFGRDGGPNSTSMAEALSESSRWSSTLHRFQVVRPRWRCGSWRLRFFVGNEIYSSFFFSDLGGGYALRLIPELRKCPFQFREKELLFIF >cds.KYUSt_chr2.40410 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251043998:251045960:-1 gene:KYUSg_chr2.40410 transcript:KYUSt_chr2.40410 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKAAVLVSTLLCLALSHGALSQRPRAPIVETPAPAPAPAYVDLAELLSLAGPYGTFLDYLTKTDVIKTFQSQANDTKQGISVFAPLDSAFAAVDSGALSNLTNDQLRSLMLHHAMPRYYPLSAFSKLAAASPVPMFAYKVNVTDHAGIIGVASGWATAKLVSSVYSTSPVAVYALDTVLLPKEVFPTAPELAAVGLITTALSLSLPLAGAYRPLAGDAGNRANLTQILTPDRPFQTFLRYLNQTNLLEVFQNQAYRTHQGITIFVPADRAFAAVQPSVLAGLKKHQLKNLMMYHALARFYALKEFDGLRRANPVTTFAGGLYTVNVTYDAGGIRLLSRWAEAKIVGTVYGTAPMAVYEVDRVLLPEAIFRVQPPVDATPTEPAPAASAPDAKGVDAKTTPGNKAGGKNSACRTGNRSARYAAAMALCAATLVAL >cds.KYUSt_chr1.35491 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216435133:216439422:1 gene:KYUSg_chr1.35491 transcript:KYUSt_chr1.35491 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSSSSPSSSEAAPLFLARQDQEDEAAMAAAPSGRGEQKQEVMRRWAETMLCCCCGADCGRLWRRVVLRKWLNVGAGSGDSDFSADEDDDEPDHQGIQMKDVPYADRICKAATPLAQYIDATELRIFVGTWNVGGRVPPTDLNIQEWLAMEEPADIYVLGFQEIVPLNAGNIFGAEDDYPVAVWENIIRETLNKKCHGDPPSPSKFNPSDYLVMEDDLLSEADNESEGELHPLIEQDNNLATNDSGVHLLQDGASVISLTHDNSNGFPEGDLDHNVSTDHRVVKRKRPYFPFQNFRRIIWFGDLNYRLNLSFESTHELISREDWDGLFENDQLRSELMKGRTFDGWIEEVINFPPTYKYEFNSEKYASDEPKSARRTPAWCDRILSHGKGIRLASYKMAELNLSDHRPVSAIYIAEVEVLAQRKLQEANIH >cds.KYUSt_chr7.36132 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225832796:225835505:-1 gene:KYUSg_chr7.36132 transcript:KYUSt_chr7.36132 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSDPEGSGSGHRRGTPLVFDELRWVVQIRDSLTEDADDEDDNGIPVSVFNVPKQLQVHKPEAYVPQFIALGPYHHWRPELYEMERYKLAAARRAQRRLCPAGLKLRCRDTAVRKCRFPVSPYPYPRIRIPVLCNIGLKLDALVTQFARLERKVRAYYHRYLDFSGETLSWMMVVDGAFLLEFLQIYAAADGGQRGGGGKPALGRVSSRMVHLLDFAGRKSAHGLILRDMFMLENQIPLFLLRKILEPQCASAEEASELLTSMVTGLMKELCPFKMMDGDFPAVDVAKHAHLLELLYFLLVPKPPAEDTTWEAHDHDESYDIEEQPSAGGEEKASGGGSDYVAQLFAAMWGMASKLGSGPLDYVMKPIAFAVKAPWKMLTVVPGISGIKHPVESYFVSGTDGRYSMSSSTAGHLSRPPLIEEIMVPSVSELVNAGIEIAATTGDLSTICFDCKTATLHLPAVTVDSNTEVMLRNLVAYEASAASGPLVLTRYTELMNGIIDADEDVALLRQRGVLLNRMKSDGEVTKLWNGMSRSVRLTKVPAMDKAVEEVNRYYNGRWRVKTKRFMRKYVFSSWQLLTFLAAIMMLMLTTLQAFCSVYTCSRWFGAVTVTAATGR >cds.KYUSt_chr1.31826 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193108271:193113599:-1 gene:KYUSg_chr1.31826 transcript:KYUSt_chr1.31826 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFINLVQDTLKNGSFTMHRIRSSSLFHPRKHKGNPSPPTSPVEAKLPKPVCTLNLSIRKENGGEFMFMPFGSGRDKIVSADQDGIVLVHNIRQKRLSSMPRLKSWNGNSVAVTLGNDLYLIDRCPYELCRFQSYQSCFKALIHGKPPADVHGLRGWYWHSLPPPPYVEASGYEPSCDSKIDSSAVVRDSIWFSARAGIGTYSFDTGSREWRKVGSWELPFRGNAQYVPELGRWLGFSRGRENQFLCASDLSAAATDGAAPTLCRVWQEDMATYPENWVLLTSDLVRVDARRFCIARQFEVCDDEYHFTDENFAVFTGVELKRSDTAEDGIQVVKHKSIRYNFDRELLQLSQHTMIRTSFSWDAICPLNCGGLRNHRTDSEAESPYGYHIGQLERVEASKAAGTREQMDNRTAPSQAYHVLDPENAGHGCPTNVRVSHPCAEKEDIYDRGGLHYSPARQQQYTALLW >cds.KYUSt_contig_2297.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000293.1:43001:46197:-1 gene:KYUSg_contig_2297.13 transcript:KYUSt_contig_2297.13 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRSAPPATASAAAAAIALGVGGIEPAVTLDQVPRWSDPDQRLYAPNSSSAAAEAAGGGSEPAASSFLSFSDPLTGDDAGGARGGSSRFPVDHEINSRIYLWRGHPWNLEVDAVVNSTNESLDEGHSSPGLHAAAGSGLTEECATLGGCRTGMAKMTTAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQIGKIAGVVFCTTSSYDTEIYKRLLPLYFPRDKQEEEIAVSKLPADVGDENGETVIDERKIRIRPLPAGATDRTVATASLDLPLDSGLASKRGTFKLDSYLDPSFMSLIKDPDLRRKEQWEKSSQAQKGFNYSRLLGYGDIGFPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIISDEPYKLQLSRWS >cds.KYUSt_chr3.5139 pep primary_assembly:MPB_Lper_Kyuss_1697:3:29180858:29181583:1 gene:KYUSg_chr3.5139 transcript:KYUSt_chr3.5139 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGKRKEPMPTEEEPPKPMRLLSPEEIEDHRQFVEDEEPGVGSEAYLRFLPAADAEQIRSITRGIDVRKLKQKSKELLEKYDAQGYVEVPDVVVPPAALRPPTTPPGQVARKIDFESVRLKIREFKEKYDAKGFAEDAVVVVRPAMAGPPRRVSEERVKRLRRFMEQSFWPVPERVIRSRFFSESQGEVIRNFNRVCLKAEAELKAHTAKALQEYDTKGYLECTIDGKIKVSEPSCGSST >cds.KYUSt_chr5.28409 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179917153:179917527:-1 gene:KYUSg_chr5.28409 transcript:KYUSt_chr5.28409 gene_biotype:protein_coding transcript_biotype:protein_coding MERFAAMVAGRRAAPAPKPAGEEEEGDKEYLRIQLEEIVIVKDDAYDALAAATAAAAAHSRPTAAPNAHAHFSSSATPTPTGTASTSMENCARAAAAAAYGGPPTRGAAAQGAWTTAARGVGFD >cds.KYUSt_chr2.936 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5477860:5483096:-1 gene:KYUSg_chr2.936 transcript:KYUSt_chr2.936 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGISYAAAKKKKGMTVGFVTFENIEQLTNAVEVLKENRSGGKEMKIVDANRRSHQKLRTEGPASGNGAAAENGVAADGTSAPEAAVVASIRTVRDAVTPLAHMTYKDQLEHKKNSVAQLLKKLTRNAKKACPYGVSLPEWISEAKQIGGLSCKLEGILESPVIDGYRNKCEFSVGHSLAGKKTVGFMLGNFREGVTAVEEPVDCPNVSGISSKYAFMFQDFLQSSTLPVWNRVDNSGFWRQFTVREGRSPAQAVVAQDAEIQISEVMIIVQVCSTGIDELLMKDEFAKLSMTLIQGAATCSPPLPLTTIVVQDHTGISNVAAADCPLIPLLVRKVGQSEEGAVDQTRIHDHISNLRFSLSPTAFFQVNTLAAERLYTLAGDWANLNSDTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNEAAVLDAERNALINGIKNCRFVCGKAENVMGSLLTEYLGSPEQDLVVSESNSEVGATGKNEDIVDGTKNNGETMDSSTEKNDNGKRQQMGDKSDDRPSSSGHDQSNVANGNQNSEEASLLISEESIATKSADCLEHTKTLGDGSSVSNNDTLAATACQFKSFVAIVDPPRAGLHPTVLKVLRTHPRIRRLVYISCNPDTLVANAIELCTPTSENREKNKGHRAWRNMSSAGLARQRTKSMPSSEPFVPKRAMAVDLFPHTSHCEMVMLFER >cds.KYUSt_chr3.33497 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210280713:210283297:-1 gene:KYUSg_chr3.33497 transcript:KYUSt_chr3.33497 gene_biotype:protein_coding transcript_biotype:protein_coding MDTILEFDLDTQMLAVTKRPPGAPPRYENVQIIQSEDCGVGFAALSGSRYQPYLQMWDRKVDSHGAVTWVLQKTLELQKILGLESRIDKDKSSILHYLDDVQAIFLRVQSSLYMVDLESMQSKELSKGIGNFIYRPFTSFFTEGILQ >cds.KYUSt_chr1.41778 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256149548:256151059:-1 gene:KYUSg_chr1.41778 transcript:KYUSt_chr1.41778 gene_biotype:protein_coding transcript_biotype:protein_coding MACTSNRKKLRVLFIPFFATSHIEPFTHFAIRLAAAAIPDVAVEATVAVTPANVSIVQSVLDRHYGAYNAVANEGAVPVRIATYPFPAVEGLPRGVENLGQVAPADSWRIDVAAFSDALMRPAQEALVRAQSPDALVTDVHFAWNVGIAGDLGVPCVTFKVTGAFSSIAMRHLALLADVANADPDVAVVPRFPGPPVRIPRTELPEFLRKKQEVDYSKTNTFYAAQAACFGVAANTLPDLERPYCDVHISEGHVKRAYFIGPVSLQPPSSSPADTGSGEPSQRCIDWLDLKPDRSVVYLCFGSFAPVSDAQLQELALGLEASGESFVWVVRSKTWTAPVGWEERVADRGMLVTAWAPQTAILGHRAVGAFVTHCGWNSVLETVAAGVPVLTWPMVFEQFITERLLTDVLGIGERLWPHGAGVRSTRHTEHELVPAGDVARALTAFMLPGGSGDAARNRVMELAARVHAATAEGGSSHRDLRRLLDDLVEATCAAAGVTKSVQD >cds.KYUSt_chr4.33649 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206486751:206496419:-1 gene:KYUSg_chr4.33649 transcript:KYUSt_chr4.33649 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAANGPECPATQVVGADGEMDGAAMERFAAAAGLASRGLSYVVVSIFGPQGSGKSTLLNHLFGTSFTEMDALKGRNQTTKGMWVAKAVGIEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINLWCHDIGREQAANRPLLKTIFEVLMRLFSPRKTTLLLVIRDKTKTPVEYLAQALTEDIQKIWDSVPKPEVFKEAALSDFFNVDVTALSSYEEKEELFKEQVGKLRNRFINSIAPSGLAADRRGVIPASAFCISAMQIWKVIQENKDLNLPAHKVMVATVRCEEIADERLQSFMSDEGWLELEAAAKSGPVTGFGMKLSAIVDSYLSEYDMEAMYFDESVRTVKRQQLESDILHHAYPAFETVVKHLHCTVLDKFKSDLEQSLRTGGRFAATARYCAQSSLVEFDVGWRDAVVKHVDWDGTSIRNKLQQHVEVHTESVRSAKLDELKATYEKKLLDALSGPVQSILETGNRNSWASIRRLYRRETENAILRFLNSLSEYELDQTASAQMVLELREYARCTVEKKAREEAGNVLMRMKERFSTVLSRDKDSMPRTWTANEDIRSITREARLAALRLMSVMAAVRLDEKQDKIDRALMISLLDGGPLSQKRSIEFTSDPLASSTWQEVSPKDTLITPVQCKSIWRQFKAETEYPLAQAISMQEAHRRSSNWLPPAWTILLLAILGFNEFVFFLRNPVYILGLFLAFVLSYAVWLQYDITAYFRNGNVSGLLTISSSLLPTIMEIVTAIVNMTHNKKHSSHMSRAGPHHAQSFSNQTRKHAQVHYHASPDSASSSSSVDSNSDNES >cds.KYUSt_chr1.18043 pep primary_assembly:MPB_Lper_Kyuss_1697:1:105215326:105216907:1 gene:KYUSg_chr1.18043 transcript:KYUSt_chr1.18043 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRVADASEYLAITGWGIHDVKLAKKAWVFAGQQCKRFSISPVNYEFEVHAMSAEKLPFILPAVFTIGPRITATGAEESDRKDLEAQLLLYAKLIAPLHSSRSHVHELVKGIIEGETRVLAAELTMEEIFKGTKSFKEKVFTQVQLELNQFGLIIYNANVKQLVDVPGHEYFSYLGQKTQQDAANQAKVDVAEARMKGEVGAKEREGLTRQNAAKVDAETKVLSVRQVGQGLKEEAKVKAEVQVFENAREADIAAAKAELAMKKAGWDKQAKVAEVEASKAVAIRDAELQMEVERKNALRLTEKLKAEQLSKATVQYETQVQDSNAQLYSRQKAAEAALFEQMRTAEARKAQADAHFFEQKMSEDAKLYAKQKESESVALLGRAKTEYVASMLQALGGNYYALRDYLMIDGGMYPEMARINAGAVNGMQPKISIWTNAGGADGAANAGAEAAAGSALQQVAGVYKMLPPLLSTVHEQTGMLPPAWMGALPKDGAAK >cds.KYUSt_chr7.13752 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84903825:84904247:1 gene:KYUSg_chr7.13752 transcript:KYUSt_chr7.13752 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGRPARAPPHPMRHGLPPPDLPMADTPDLPHLPPRQGCGAMADPPDLPPSPAAPDLPPSPAALGPPRPTSTSRRARAAPPDFPHVPSRHGHGLQLFFTRGHDGLHLESHGMNTGTSCFCWPEFQRLTGASSSELPRRS >cds.KYUSt_chr3.34268 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214918123:214921873:1 gene:KYUSg_chr3.34268 transcript:KYUSt_chr3.34268 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVEYPEGWALIEPTIRELDAKMREAENDTHDGKRKCEALWPIFRISHQRSRYIYDLFYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCKGCASGD >cds.KYUSt_chr3.27685 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172914853:172915452:1 gene:KYUSg_chr3.27685 transcript:KYUSt_chr3.27685 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPLLPVLLLFVVVDGYPSICSNATCGDLTIAYPFWLNSSTSSSCGYSGLGLACEGNTTLILLDQSHHRYRVSHIDYDTQTVSLVGDAETFSTTSCPLLHFNLTIDTSSPLHLTSSGSNITFFYNCTKNASWPSAVELSGCPDYNKTSYVLMDDGYPGEASKYGCEAAVVAPVLDTHKEGSPGPKILRALGETKILA >cds.KYUSt_chr7.20816 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129034799:129038582:1 gene:KYUSg_chr7.20816 transcript:KYUSt_chr7.20816 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVASTETRDWAELPWDALTTVYGKLGLAGTLVGAVHVCSSWRRAAAHEPTLIRAGDDGLLLSLAQRLSSLRILRLVSCYSISNEGFIEAIQKLTLLEELEISLCKNILPEAIEVVGKACSCLKRFRLSNDRFYCFEDEYSNNQEARGISAMLELRSLQLFGNNLNNQGLISILDNCPHLEFLDIRNCFNINMDTALQVKCARIETLRLPDDSTADYEFPVKSPIRYCSNFAPDYICEEHWAISIFEEDEDDYLSREFYPPEEDLLEIDDTSRLEEDGEIPEDEESMMLDYYATLHETGLV >cds.KYUSt_chr4.49442 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306157983:306158987:-1 gene:KYUSg_chr4.49442 transcript:KYUSt_chr4.49442 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPTAPSRRVTAGHLWPQPKKQQQHHHRRVAAADDFEAAFREFEDDSDDEQHDEDDVVVIDEEVAESKPFLFRAPSKQQQAPPARRRKPAQYRGVRRRPWGKWAAEIRDPVKGVRVWLGTFPSAEAAALAYDQAARAIRGSRAKLNFPSAAAPAAAAPAPCARKRARAEAAPAPAPAAKAATAPVVESAAHAPSLVKHEAESTQGSQSSNALPDFSWQGMSAFDDAAARPIPAMDVDQVAKRQRTEPKEDTDEGMSAPAPASDSDSDALFDALFFSDQFPFFDGAAAYDSLDSLFSADAVQSDDPALGLWSFDDGCLVDECSLSF >cds.KYUSt_chr3.848 pep primary_assembly:MPB_Lper_Kyuss_1697:3:4368124:4370169:1 gene:KYUSg_chr3.848 transcript:KYUSt_chr3.848 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAHGAAQEPWHLAPGLFAGAVRAVPPNSPVRITLTAEAVWSVLFTPARGKLVTLVSHYHHPLYHGSDGTTERLWPVISFTPRTTHTNIKFSSSCSKAVGSVKEMGLILPCDGVDVVGAGLVVPIAEGILQLGACRRIVPAGLKS >cds.KYUSt_chr2.27445 pep primary_assembly:MPB_Lper_Kyuss_1697:2:168501173:168505231:1 gene:KYUSg_chr2.27445 transcript:KYUSt_chr2.27445 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIPRDGKSVIEKAQDLKKEKNLEIPKGNKTLGFSNSFAALDNHLLLGRAKNAGISLGIKTKNADSVIDKIKEGEIKRLEDFHLTNPASFLPKDISLSMEELRAGLEDENEMVDEQDDHLSDVPDENEPWTLVHRRKRDDFWDPEDMVSQEHNAMLNADFSEKEVKDAIFGSYAEGAPGPDGFSFLFYQHFWELIRADFMAMVKDWNEGKLDLINDCNSQFFLTSRGVRQGDPISPILFNFMADVFTKVLSKVVGDCQIAGLMQNLGGGGIISMQYADDTLLFLENKLQTAINLKWILACFEHMSGMRMNFHKCDLVPMNVDDDDAQLIAQSLSCKLGNFPMNYLGVPLHHSKLRKEDIQPVVDKILNRAGGWRGKLLSHAAKLELVKSVLASIPLYLLSVIKFPKWAITLINSQMAHCLWDNYVGHHKYHLANWGLVTRKKEFGGLGIPDLAEMNLCLLASWIKRYHLSEDKLWKQIVENKYNLDNPNLFSCTLNGASPFWKGVMWAARAAKMGYQWKVGNGRSIKFWEDHWFGSCSLAIQYWELYFLVNEQNRSIADLWDELWKEVCSVLEIGLVISNMHVVSSLWEDKKKNNHVNMIFAAVLRTIWITRNDIVFNRSPWLGMQGLWRHLLYNVAQWKILLKEEGRGVLAAMLSKMGG >cds.KYUSt_chr7.2125 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12249713:12250279:1 gene:KYUSg_chr7.2125 transcript:KYUSt_chr7.2125 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSPPLAAGVLYFSRFAESVILRCPPWCDKNGDFPPDLSQWWRHGGDQVAVVAGGDPIAVVLLLEARQSSNCVMWLDPPEVMVKMPICSGYPAVAVVAARRSSSGAGGGTAERKRRLTDEQVVALEQSFEEEKRNLEPERKIELPRRLGMAPRKVAVWFQNSRARWKENQLAGRDVLLADNGRLRS >cds.KYUSt_chr4.14367 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88584542:88584787:1 gene:KYUSg_chr4.14367 transcript:KYUSt_chr4.14367 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERWVGPEEAAHGWLPLHVEGPWMDVADHAFLSPVFSWWGRRQAVQKTGMASQEEQEAGVLALRARWWSCAVLCLQKRG >cds.KYUSt_chr3.10135 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60069433:60076646:1 gene:KYUSg_chr3.10135 transcript:KYUSt_chr3.10135 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDRFIYPDVKAVAGEAADEGIPLNQERLILTEIQIFVKTLTGKTITIMVKISDSIEILKSKIQDKEGTPPDFQRLIFWGKQLEDGRTLADYNIQKESTLQLALRLRGGQTSVSDLSSSSCKGSSSSSTSSDERRSVRKKRRNSEGKYFHLTLQKGEKSEAQPLVEMERSKIMSKLDVPGQKVVAKHKYQEFTKKGIQIMNGLKNLIFTMKHKKVSLKGEFSLKNLVWVPGSKQIKFRKIDDEDFVKFRTERGEKDCEAIADCVERMLDGYALPSELKHWLSLLRDVPYSREYLVKNHMTLMSSNDKLHHVIFLFGLREQVKSHRTVILERMKSLEIEYKTGTEEYKELAAKEAELRATLEAIRKGVRCGNTDTNDDWRPKVLDNEYLYKIFAHRRDDYEGEDAFFLEQTEEWMCRTSSRSTTDLSSTVFIKPPTGYATITAISLLCKLYSFSEKSPVQCSQLHLCSSARAVVLAVAPVQYVLQPHDGRYHMSTLIKFLGTWTNKLKSIITDVEEKKTRSAFLEDVSFLFRWREAEIWKNHGDLLFCRARKKVLC >cds.KYUSt_contig_3538.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000685.1:8078:10099:1 gene:KYUSg_contig_3538.2 transcript:KYUSt_contig_3538.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFGRSISFPLTPGRASSKPRHSRSISLPARTTSSHPLLAELNTRIAAVRSLTHSSLTASLTQIHALHSALADLLLLQDPQDALHRATNVGDRLLDAFLLLADAHQGFQECLLVLKHAVAESSAALRRVTPPGPPPPRGPSAEPRRSSPASPCPSPPTLGHTSSTGGCVRLRPWIVDVIYDARGKMYLHIGLGEVRSTTPQAGFVLIFSYFGLVDMSVKVLDESV >cds.KYUSt_contig_7536.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001584.1:17168:17377:-1 gene:KYUSg_contig_7536.3 transcript:KYUSt_contig_7536.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVIVATVLFVLLTPGLLCTLPGRGRVAEFGSLHTSGLSILVHAVVYFALVTIFLIAIGVHVYVG >cds.KYUSt_chr2.41861 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260619705:260619959:1 gene:KYUSg_chr2.41861 transcript:KYUSt_chr2.41861 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDSDRSVLVLRGSAPNIPAITSATCRIHPRSSSWISIQIPASSSSAPNAPAITSATCRIHPRVSLKPVELNSSDERASNQQN >cds.KYUSt_contig_2742.35 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000474.1:153642:155165:-1 gene:KYUSg_contig_2742.35 transcript:KYUSt_contig_2742.35 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPERLPNGHGDGVRRHFLIVTYGIQSHINPCRVLARRLAQLQDEDGSGPVLATLSVPLFTHRRMFPSSGDGVKSEEEEEEAADGAVSYAPYSDGVDDGTRATDPDERARRRRASSESLAAVVARLAARGRPVTCVVCSLILPCALDVAREHAIPMAVFWIQPATVLAAYYHYFHGYGELIASHAADPAFEVTLPGLRRPLRIRDFPSFLVDTTGAEMGKIVNDAVRELFQFMDQQGPSTQVLVNTFEGLEPAALAAMRQHLDVLAVGPVLDSSSEARIHLFDHAGADEYMQWLGAQPETSVVYISFGSVWTYSKRQMEEIAHGLRQCGRPYLLVVRKDGRQEDVSRCLDGVVREGQGLVVEWCDQPAVLSHPSVGCFVTHCGWNSTLEAVALGVPVVAVPSMFDQPTNAFLIEEEWAGGVRGERNGEGVFSGAELARCVETVMDGGARAVEIRERVEALKGTAREAMASAGPAERSLRSFVMATKVTDKSCTKDTTTPPTMLESI >cds.KYUSt_chr2.37528 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232366778:232368076:1 gene:KYUSg_chr2.37528 transcript:KYUSt_chr2.37528 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSPWTPDSAGTGRTRLLLLARGCPFSLPKLFLVGPPQRGQADARGRQAAVYPGGRRGAGPALRLQRLGSVRFMPSRAYTGYCEILVEEEGRTSRCGDCNDNSLIMASSSSMKGLYVGDALAYHIMQSPAKVGEPILCQLTLGSKLC >cds.KYUSt_chr2.44259 pep primary_assembly:MPB_Lper_Kyuss_1697:2:275274512:275277319:1 gene:KYUSg_chr2.44259 transcript:KYUSt_chr2.44259 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLVLAALGSFGRASLVRANARQPHESFHLTVALSVDVALASSVHELLLLAVVRKLFKMEKSATSERRIAVVTGGNKGIGLEVCRQLASKEVVVVLTARDEKRGTEAARALHASGFSDVVYHNLDVSDPSSAACLAGFIENKFGKLDILINNAGAIGATAEIDTTTPLQDVLAGKNATERLQWLLQHSTETYEEAEECLRINYFGTKYVTEALLPLLQASSDGRLVNVSSNYGLLRYFSGEDVKLELNNVENLTIQRLDEMSRLFLNDYKNGQLKSHGWPADAEYLAYKVSKALINGYTRIMAKNFPALRINSVHPGYCMTDINYDTGELTAEEGAGSIVMVSLLPVGGPTGVFFYHDEVAPFV >cds.KYUSt_chr1.9660 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58969519:58972101:1 gene:KYUSg_chr1.9660 transcript:KYUSt_chr1.9660 gene_biotype:protein_coding transcript_biotype:protein_coding MMSANRGEPLEATRGRFWALSDEEATVSSDEEADSVSSSSAITGESPYLVREPASRICTLVEENISALRRREDKKRRQRQDAIVLRSGQCLDSVDYDISSNLYRSRPKRSDRSLSVLSPMTFLLDSFDAAEWFANSRNPPAPNALNAIQQQLVRDTAEALAHQMAEVSSHKQGANADSVMPNATQSNVSRMVSGQRNEVNQQPTNTTNATYALLAVADPSLIPTTWDLKIKNDFYCLRFEVEGQQPNISPDVTMTAASGEDDDPSGNGSGQLEDNVADREVKRSKGETNKEAQNDINRKSNESKDKGLSEARYLDSICVDSYPSPKCLEPIFTAVSSFGSGQQMPVVRFPNDLSTAQVI >cds.KYUSt_scaffold_869.1797 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:11458866:11464656:-1 gene:KYUSg_scaffold_869.1797 transcript:KYUSt_scaffold_869.1797 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, Small RNA biogenesis, Regulation of shoot apical meristem (SAM) initiation, Spikelet developmen [Source: Projected from Oryza sativa (Os01g0527600)] MGSLSDGASVPPPAPRDLVTTQVSLGGFDGSVTAPQLAAFLEGEAGLVWRCRVKSSWTPPDSYPDFLHPTATAASASATPPYDRVPPHAFVHFARPEAARRATNAVGKSELILAGKPLRIATALDSSLRAFRRHTTDPFRFPDARLEIGALPAHDTFLAAWRGPPQGLDFMVDPFDACCRLVFSRHIAFAFPGYREVAVMRCDVKLDFSLRDISEVRVYRNDCSLLLRLAAAPMVYYRTADDDIHVSVPFDLLDDDDPWIRTGDITPSGAIGRCAVYRIKISPRKLTKMDKALEYLKGRRVPVMDCTDWSGPRRGLKVRDEPEFEEPMQDLFFCLQHAHGLNFPVLFLVNTLVHKGIISEHQLTPEFLDLLRARDDQVNVAALKDFWGDRFPMFDACRRLSKAHDRVVRNPKLLRSAVGRAGDVVNVEVRRLVITPTRAYCLPPEVELSNRVIRHYYHVADRFLRVTFMDEGMQPLNNNVLNFYAAPIVRDLMSNSFQQKTTVYKRVKTFLTEGFHLCGRKYSFLAFSSNQLRDRSAWFFADDSKTTVDSIRKWMGRFTSKNVAKHAARMGQCFSSTYATVMVKPHEVNENLEDVERNSYTFSDGIGKITPDLAMEVAERLQLTDTPPSAYQIRYAGFKGVIAVWQGHGDDGIRLSLRPSMRKFESNHSVLEVVGWTRFQPGFLNRQIILLLSSLEVSDDIFSQMQESMLCNLNKILSDSDVAFEVVTTSCAENGNTAALMLSAGFGPGTEPHLRAMLLAIRSSQLMDLLEKTRIFVPKGRWLMGCLDELAVLEQGQCFIQASAPSLDQCFMKHGSRFSAANKSTVVGTVVVAKNPCLHPGDIRILEAVDVPELHHLVDCLVFPQNGERPHPNEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYSPPEAKQLPRQVSQKDIVDFFLKNMVSENLGLICNAHVVHADLSEYGAKDEKCIRLAELAATAVDFPKTGKLVVMPSELRPKIYPDFMLKEDSKSYKSEKILGRLYRSIRDTSGGDFVSEEACTSNDVPYDTDLEVPGASDFLVDAWQCKCSYEAQLNALLNQYRVRTEAELVTGHMWSLTKTNSRKQGEIKEKLKNAYNAFKKEYRSIFESITSDECEISDDEKKHVYEMKASAWYQVTYHPKWIEKSRAMLDPDGEEMPVKLSFAWVAVDYLVRIKLRCHGEVKIEGQRPAERLAAYISERI >cds.KYUSt_chr4.8522 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51150651:51151384:-1 gene:KYUSg_chr4.8522 transcript:KYUSt_chr4.8522 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCTPAAPAWTSSSRPIRGGDGAALHADSPVNAVVAAPRRCRGDGDALHAGSPVKDIVIAPRGRRGDGATLPAGSLVTDAVVVAPPTWTSSPRLTGSGDGAALHAGSLGTDVVAALHGCGVDVAALHAGSLVKVVVAPRGCHGDGAVPHGGSHITDVVVVSRGSGVDGAMLRAGSLVADVVVAPPVCHGVDGAALHTDSLASRVPWC >cds.KYUSt_chr1.1621 pep primary_assembly:MPB_Lper_Kyuss_1697:1:9062820:9070144:1 gene:KYUSg_chr1.1621 transcript:KYUSt_chr1.1621 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCKAAIGCVDARVPVRASYVSLYKWPESDAEFVRSVAMARRHHKQPESLAAPCYSGGSASMRRSGGVGVEGYGGESPRVVDSYSCRQMYLRSYTFSTKKETVPERTMACLGRVRDRAAVFPSFLPNRSGGGGSDAGSSFGSSSGGGRYSGRDQDGGRRGTAGGGSKGRRKRRRKSKGCAVVRRLQEASCGAMRAIFRRLLACTTTVDVEVAEPPPYSSRCPPNGRDSVGEDSNCSGGVGGGGSCCCCCSVPVGKKAAGYWRRGTPGAEVGLGPEMVDSGSGDCSSLASGGGDDSSSVGCNGPAIAAEAAWFYTVPNRLHRVLRRYANGADLSLRRTPLGRWRYLSCPGRRRLASSSAEAAPLRFVAASPSPASRPLRRWLLLTSQPRCPEPPPNVVPSPSPESRPLRRWLLLPSQPRCPEPPPNGVPSPPSAIAADL >cds.KYUSt_chr5.9857 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63044647:63046969:-1 gene:KYUSg_chr5.9857 transcript:KYUSt_chr5.9857 gene_biotype:protein_coding transcript_biotype:protein_coding MCQNRAIFKPMGTQEKEMFKQRCGGTWKLVLRFITLGEACCRREKSQAIAGPGHSVAVTASGAAYSFGSNNSGQLGHDRLEEEWRPRPIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYGNQGSRVVTTPQLVESLKDIYIVQAAIGNFFTAVLSREGCVYTFSWGGDMKLGHQTEPNDVQPHLLAGPLEDIPVVQIAAGYCYLLLLACQPSGMSVYSVGCGLGGKLGHGSRSDEKYPRLIEQFQTLNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESVPKVVETLSSVKAVHVATGDYTTFVVSHKGDVYSFGCGESSSLGHNTAIEGNNRHSNVLSPELVTSLQRIDERVVHVSLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGTELVEHRSERGTPEQVHIDLN >cds.KYUSt_chr4.26469 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166244061:166247760:-1 gene:KYUSg_chr4.26469 transcript:KYUSt_chr4.26469 gene_biotype:protein_coding transcript_biotype:protein_coding METYYQVHLNIKFFSFLTMPSLKIDFRDFRKVVGQVEMDYLQNVFYIIALVTFPARLGRKTPLLAHTNMWLSDLGKGSHMVPESCGIRVRGKSGQDIGMNMIKLVHSVFYVLCLGCSNEAVDLKKEELELLIVQLILDRVLKEEFQHTAYTTNAYVALGPLWKQALQGNRQVKLTTAIQSQDSDGRVKSTKRNQMSSLEAKLDDLRRTISSANGGIFPHAVLSTQQISLLNIHKPTTIAELEKLIGKVKTDKYGNDIIEVMRSEAEGGKDGGAGGAKRQRKDKDVVSIESSEEEA >cds.KYUSt_chr1.941 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4973916:4974407:1 gene:KYUSg_chr1.941 transcript:KYUSt_chr1.941 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLADAPPPEPDPGTHPLTQPQRTSLAVPMDAAPSSGYRRGVAEGPQTFFTMERPKEKAKERRDDDGMDRRRDDDGMDRRRDDDGLDRRRDDDGLGRRRAQSEVVEREEEAAGVPPGGRRANGVHPGARGNLIDGQWSAARSEASSSGGGGEVRSCVLSERR >cds.KYUSt_chr2.1686 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10030785:10034312:-1 gene:KYUSg_chr2.1686 transcript:KYUSt_chr2.1686 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAAISPSPPGASTATRGPNTLLLRHHLAGSFIPPQPSRRRLTGAVAASAGRRAVAPCCKAAKDPSSSATDHVGDVGEQTWDEDVLRCETTVLVEFWAPWCGPCRLMHPIIADLAKAYAGRLRCLRVNTDENQDVATRYGIRSIPTTLIFKNGERKETVIGAIADTVLAATVDRFFKILFAQRNTEGKRAADKAVLRLPSTSPSSQQYPVGMVYALNLEELCSWVGAFDSPNRKGAQLRMAKPYKEHHMIEWCFCS >cds.KYUSt_chr6.28471 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180408427:180410956:1 gene:KYUSg_chr6.28471 transcript:KYUSt_chr6.28471 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCSDVSPDPTRQHETVREELPLCGPTRCHRQRRDPLFRIPILQLTDPDEIPHLMDLAEILAQRADRREEPCADGEAEPQPPLPVSVSKVIDDDNLLPLIIVRVGFPTSLLRAALVCKRWLGVAADPAFLRGFRKLNPPGLLGFCVDTFTLGVPYQAIRTRFVPMLPLPPELAAVARHLEAYQKQERDTQRSADAFISGFWNGISTERGMASFLLPAAPTDQDQFDGSFLSSFFICLHSNGQVHGLSHVYSSVEANRRKRRFCTMNMYTLQDGSWQMRTSAATQLSYTRLDVEPLLVDGKVYMRRVDEGDVLVLDLKGSSFSTVPLPEGVEYLNENTMLSRGDDDSKVYLMHLKNLQLHIWLNNGDNWLLVDTICLRQLCDIPSMSDATDEDGHSNAIIRVSQLGDSDEFVFLKIGQCALYLDIKRRVLRKVYEATEENQRLCYIHPFRMIWPPVFPALKDDDPARFVFVL >cds.KYUSt_chr4.22386 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140668921:140669382:-1 gene:KYUSg_chr4.22386 transcript:KYUSt_chr4.22386 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSCHLQPPSCTFGPPAGQLPVKSSATARGPNPSASLRRPVFATPKHCVDRIKCYDIEAEGAEARSEWQGGRLQEVSISNICVGDDHARSPKNEEEDGRRAWKKPLGCHSPFRCPYPPPPPACRGGAGVLARAVGNFVDEMTTNVTAAPTP >cds.KYUSt_chr2.50197 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313954706:313956133:1 gene:KYUSg_chr2.50197 transcript:KYUSt_chr2.50197 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLMNEVWGSAETEQQDLATLEDNLRVFFAKHKADLRTLVLEQKAEIERLNKREAEDRQAIILLETCLKNNEEQLAKHPSIDAISAKLEVLEFEHASLQQSLKESHERETKTKKELEEKHAQEMTEVANKLKTSNNRVKTLASKIKAYKAEASDVDELIFHKGFMTEAYKEARNSIDDLIEACHGIAQKLSLKNTRTTVIDMMTKLMKLVPNLIGDWQESSARGAASIALAMCKAYFPTMDFAMIACGVPKDTNVNQALAETQGFDTLFVQRVDHSSWYKKNDIPTGFSDNEEDDEAEGSGSSVHQSDDDSGNASGKDITYQESEDKPESSE >cds.KYUSt_chr4.34251 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210225529:210225993:-1 gene:KYUSg_chr4.34251 transcript:KYUSt_chr4.34251 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIMEQEGTLQRQRASVPAFGEWDQMKAAGVLPDYSMDFTKIRAARMQRKSMPSLWSSAGSAPEVVGGGDDDDRNHVKQHSEHGDDDRRHCHHRRQHSDGTDLRRPLRPEAPKVSLV >cds.KYUSt_chr3.30512 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191241457:191247646:1 gene:KYUSg_chr3.30512 transcript:KYUSt_chr3.30512 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKDLLVLDTRRMEFSIADLPSKGWGTLGVAILEAREGNLGLFGIRDQPAGGKPDLCYTVRQNKGERSGQWQMLRTIALGSGCLHYIKTSTESYFLLLSADAARWVGSSFKMPDLEYFSMDVKELQALGPRSYPQPGLILDLGKQALHEGFAPVLPDHPGVNKVMVASPLRIGFRRSLCASIHQSVRLTSPLGGWHGSLSQDRTSCQTCREEGQDMQAAHTISSTDNAELAEGSSRAKGSVTSAMAEDRRAPTASPIESPTTRNGTSS >cds.KYUSt_chr3.21609 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132726635:132729000:1 gene:KYUSg_chr3.21609 transcript:KYUSt_chr3.21609 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVPSDAGGCLSGSESDDSDWSIGWLEPQAPEMQTDGDPETGFAVLVPCYRRGHAEQPRAPEGRFLGAGALADGRLSDKASETFLLVMAAMGLGRFTHWLWPGTAARVASHELPATTLTSASLPDFPSGFREPDAVTFSSAEAGGRRGRQRRARSQRRGRGECRVDKEYDMVIVPSDAGGCLSGSESDDSDWSIGWLEPQAPEMQTDGDPETGFAVLVPSYRRGHAEQPRAPEGRFLGAGALADGRLSERMMLPPRHVVRGPQQVVRTLLAIVLRLWGVLS >cds.KYUSt_chr4.26669 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167457805:167458257:1 gene:KYUSg_chr4.26669 transcript:KYUSt_chr4.26669 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDHNITAAFDKDGDGKLFASELRRGMAATLGEDVSEEEAEAILTAADTDCDGLLSQEEFSRLAAGAHKDDDDVIIRQRCLKEAFGMYACSTKKDTATTTITPASLRRTLSRLGSHELGVEDCRAMICRFDLDGDGVLSFDEFRVMMMA >cds.KYUSt_chr4.11944 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72541008:72542261:-1 gene:KYUSg_chr4.11944 transcript:KYUSt_chr4.11944 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPPFFLLARRVATYPPGHGIPQGHPLTSESKIAFGFEDQDEADSMVRTMELRSHLPDTDLASVTLHGGHNYSSVNAADNDLILIASACPDVYPPDILLIYDAIDSSLRVIPSSPYSHPPISTNLILVARRDDDEDDRSYALVSPGTVRQQHVLFLFLSTSNSASPWHPKKASFPDHWLPDDGVFEAREVFSFRGRGYWVDLLCGVLYCDCSQVLSDDIDCVDIRSLDLPPGCKMCSGGDRDEIARVKAFRAMGPVGDSIKFVSIDGYLEHADLNDCKVRLWTLMADTTSWALEYELKFVSLSEFKGDYVPPEMAPMYPFLSTHEDNVIYFALGNVKPGRKTFSPDKPCCMLRVDLHRKIVKVKKIPVLQSMTVASYLAVSGNVAHKLLAGSCQLSHVEDLNPCPADYTASCY >cds.KYUSt_chr1.24231 pep primary_assembly:MPB_Lper_Kyuss_1697:1:144646220:144648594:1 gene:KYUSg_chr1.24231 transcript:KYUSt_chr1.24231 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSAAVDGIVALFSLIMAVAPPLFDSQIVLPRRLYLAPLVGIHQWFIAEFDHYLVADPPPFFIGLVWLDLAFLWPVCVANLYGIIARRPWSATTSLMAGVYMITYLKLLIESFLIVPSTLSVCDTWGNAYLRKSNAEAASAVCSIRRVCCNGSFAWPVFMLSAVNCCYVTGTVSSEEDGLDSVLHLSYFRKKVF >cds.KYUSt_chr2.20078 pep primary_assembly:MPB_Lper_Kyuss_1697:2:126258031:126260304:1 gene:KYUSg_chr2.20078 transcript:KYUSt_chr2.20078 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMRSIATRSHGNRRRAKKHMERDWMKLKDSSKPTSRLCWARPRVSKEVLHAEHYVGGTEREPEINATEEQHQNNEGQGKP >cds.KYUSt_chr4.39630 pep primary_assembly:MPB_Lper_Kyuss_1697:4:244752233:244753806:-1 gene:KYUSg_chr4.39630 transcript:KYUSt_chr4.39630 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLVCKNSVLALLLLHVFLLPSSAAAAGLARGHRRDVLPGPKGCDVFSGSWVRDDGSTTATAAYTGFRCPVIDPQFNCQLYGRPDSDYLRYRWKPASCELPRFDGLDFLTRMKGKTVMFVGDSLGRDQWESLVCLLHAAAPQSPSQLVSADPLYTYKFMEYELVVSFHRVPYLVDIDVMQGKRVLMLDDIAENAQAWRGADVLSFNSGHWWTHTGTLQGWDYMGEGGQYSEDMDRMMAFQRGMTTWASWVDLNVDPAKTRVFFQSMSPTHYSSKEWPNPVSKNCYGETVPTTGLNSTAPPTGQEQVIKSVLQGMKSPVRLLDITALSAMRKDAHPSVYSGDYTSAQRANPAGSADCSHWCLPGLPDTWNQLFYTLLFYQ >cds.KYUSt_chr2.37573 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232609401:232612235:-1 gene:KYUSg_chr2.37573 transcript:KYUSt_chr2.37573 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKAPRPMPPRPATRRLLGYCGLSCAAAAYVGIDYLRYLSPTWHERLQPVLWAALALAAAGRAPFYRHWDAELRAALPFLGAIVFMLSAFLCEMISVRFVSAVMGLQWHGSAAPLPDTGQWLLLALNEKLPGSVVDLLRAHVITLHHYLMLFMMLGFSVLFDCIKAPGLGIATRYMVTMAIGRLLRTATFITTILPSARPWCAAGRYQIPGHPHPWAQKYYVPYASDSHAIRGVIDNDMAYADVQSYPGEHRPDWGRLSFLVDILRPTPGEGPQWYHLLKKASGGCNDLMYSGHMLVSVLTAMAWTEAYGGWISVAIWLLVLHSAQREIRERHHYTVDCVVAIYVGILLWRMTRFIWSARDASRARRLAKLEEVQGRLIHAAKDSDIDEIRDLLKQVELAGHEKKGFSQRAILAFAAATIMFTLTCVVLALTLTSDG >cds.KYUSt_chr1.35765 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217967644:217967889:1 gene:KYUSg_chr1.35765 transcript:KYUSt_chr1.35765 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRARQNAIRSAIVVLGSAAFGYLSYRVGFKPYLDRAQEAMDSHHNSDDAAAAAEQHDHPGGDADLPPSRDPAVVLRD >cds.KYUSt_chr2.52010 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324587975:324589483:1 gene:KYUSg_chr2.52010 transcript:KYUSt_chr2.52010 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSHRQGGDRLSALPDNALQHVLSHLMSDEAVRTSALSRRWHRVHEAVPVVNLVDTKSGDRYGPSLSDLKVCFDHQVTSAIMGKSAATPIRTFHVTARHPPYELLDQWIVTAVNSGVEDLDVNLSYYDDSMNTLCPSIRCPYTGQRNSADFNKDERNRYTKTHPHIFGCRTLRRLSLTNWTLDLPGTVVMASLETLCLGRIMDPRGELHRLISSCPCLVNLTLEECPTITEIAVTSTRLRTFTMICCHNATSVKLHSACVQLLRYKGDLPPRGSSFITVANPATVKAVGIEICENLSSKGPRDFDAVTRLISQCAKLAYLHLSLRPSMAYYSSMFTSVLRDLGSLTHLSLRGCLPTDHAVRSVSALLVNAKNLQVLSLFPLDPEPPKKKMTRGFYGHDNSESDSDTEPEAVTVDEAVKYSNRMPQSLWRAYARCLEYNLTRINIDNYQGRPLEKMLARFLLSRAAALEEFSATLAARLQKDETAKELISWRWNRHTRVTCN >cds.KYUSt_chr2.5042 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31284546:31284854:-1 gene:KYUSg_chr2.5042 transcript:KYUSt_chr2.5042 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVWVFKNGVMELEPEVTSRKALVYVPANETIKSLQALERRLGSLGWERYYEDRAIVQLHKRDGGVDLISVPRDFSRLRSTHMYDVVVKNRDHFKVVDL >cds.KYUSt_contig_686-1.1155 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:7095002:7098520:-1 gene:KYUSg_contig_686-1.1155 transcript:KYUSt_contig_686-1.1155 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAANQVGTYFLRNYYNLLQQNPDVVHQFYNEASTMIRVDDVTGISTTANSMMDIHSLIMSLNFTQIEIKTANFTNSWGDGVIVMVSGLVQTKEYINQRKFIQTFFLAPQEKGYFVLNDYFHFVDQEQVQPAQGIPQENFETNLASTVQTSPEYIHEEESQTAQYAVPMPSEENDAVDNYAYPEPPQQVVSHSDNWGDEPLPEEPVSSFSNGMAVAPEEPVQQLPVQAPHVEEPVGEPVKKTYASILRSAKAPPPVPVAQAVPVNKPRPATESNQAAPVMTSSMAADKPKSDYYGEAHEEEESRSVYVGNVPSNVLEADLENEFKKFGQLIPEGVAIRSRKETGGYYAFVEFEELSGVHNALRASPLEINGRQIYVEERKPNSGIRGGRRGGRGGRFGGSSGGGGGARGYARGDEYNNNSSRGRSNGYQRAPHGERGILGARN >cds.KYUSt_chr1.38753 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236789336:236790454:-1 gene:KYUSg_chr1.38753 transcript:KYUSt_chr1.38753 gene_biotype:protein_coding transcript_biotype:protein_coding MQELMGQRGGANPQNAGQQKAQEEAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVVLRAAQTGGISEKVSEERLISLLEQINTHTSKQTKVTIA >cds.KYUSt_chr7.22670 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140601977:140602555:1 gene:KYUSg_chr7.22670 transcript:KYUSt_chr7.22670 gene_biotype:protein_coding transcript_biotype:protein_coding MARCCVRAGSLWASPGRGGALAARSGRPAVMPSAGVGVAAVVDRCWWSSGGGGPGQRRGVGGHGGRRWSGLGHEAGWRDKSRANALSRLLAGRQLAEAGDGGGFGRRSLLEGIAEVTLWLLVWVCSGEIPRSAVIGRWRHSCVVFPLEGIDLELLRSEGPVEVGWCWRHGLRGDDDNGERFGVAAYSSSPLP >cds.KYUSt_chr5.16236 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104542389:104542697:1 gene:KYUSg_chr5.16236 transcript:KYUSt_chr5.16236 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGQQTRDGATFAQDDEEWLSISELSAQSLIRYIERRLPEARTEQARPGSLEASGTVVARMMAAMGYVPGLTLCKNGHRIVPPPNSQSRLGVDADQAFEE >cds.KYUSt_contig_319.430 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2781294:2784102:1 gene:KYUSg_contig_319.430 transcript:KYUSt_contig_319.430 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLGENASMAGRKETASEMKTDKNPYPLHMDVDDEEDEDALAQLFLDNGDDEERCAADLFGNCGVISVLFETPSGFTPYGFAIFDYDAVKLTEPDAWQVIWAKAFKTFEYKRYAINKNSVSPDLSSMIQKHVVNGQTLAVGNEDYKNVIQDRLRIPCVYSRVVKELMWGLMIQIQHFLPVINSQMINEDRFPMSEGMIFLLEHHNFDINPHMMVTKRIIEMAGILYECDRCVKKYDTFLRLAAQHLMKISRINTSHWDLMKLATAFKMICDPEDEISDARRLFSEQLLKRLARDAPRYQDKILKDPYSEVYKEMFSARKMRLEAGRVLVSLLKRAKKAYDDEQAGKAASDHEIGPDRKKICRGFVPVMIDELTEWHTVLSEKT >cds.KYUSt_chr6.1395 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8547866:8548252:-1 gene:KYUSg_chr6.1395 transcript:KYUSt_chr6.1395 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVHHETMLRRPASGHEAALRSIKKPPSKPWRAGGLAPSPTPPKVYRVEPREFRDLVQRLTGAPAAGLQQHQHAAVAPTLPVAVRVGTGATGQETVGPMHAAAPWLSFPLVAPAAAMHPRLDGNQLM >cds.KYUSt_chr1.38889 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237640534:237647719:1 gene:KYUSg_chr1.38889 transcript:KYUSt_chr1.38889 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTHAPSLSFLLSHPHSAAPQLRLRPSPNHRLRCATDAAAPAKHRRPADENIREEAARHRAPSNDFSAWYEPFPPGPDGDPHERYSLDEIVYRSSSGGLLDVRHDMAALARHPGSYWRDLFDSRVGRTTWPYGSGVWSKKEFVLPGIHPDHIVSLFEGNSNLFWAERLGRDHLAGMKDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWIIVPGGNLGNIYAFYKGFEMCRALGLVDRLPRLVCAQAANANPLYRYYKSGWTQFQPQVAEPTFASAIQIGDPVSVDRAVVALKATNGIVAEATEEELMNAMSLADRTGMFACPHTGVALAALFKLREDRTIGPNDRTVVVSTAHGLKFSQSKIDYHDKKIEDMACKYANPPVSVKADFGAVMDVLKKRLKVCEAMVAVGPSPIYRADSWVDVSLSFLTMALGGDRSKSSVLALLRLKPHPLAWSGGSVCCPGGSRLEVEGALAATLVHQRDAGHLSGNDQISWSLESPGEYSTRSVYCGLLQGAAVTHFKEVWRTRVPPKIKVFLWQLIRGKLPSCEQVAKRRGPSNGLCALCGEVEDYNHIFFTCPMAGYMWAGVRELLHCTWNPAGVGDFIALAQGLLGPLRRLVWFTFAAQCWALWHIRNKLTIEGKLIGNAADAFFHMLLYMQSWRVLVRPRDRALLDLAVDEVRRLHARTRAT >cds.KYUSt_chr2.16867 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106193068:106196718:-1 gene:KYUSg_chr2.16867 transcript:KYUSt_chr2.16867 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHKGGDAPPAGGGRNGIMQLKDLVPAATNTVNTTFIVLDKAARANAHGREETCLSLVADETAAAHFLLWGAECDAFEPGDIVRLTSGIFSYHRGSSLVLRAGKRGRVEKVGDFTMLFVETPNMSELRWGRDPADPRKMVQEAVVSHYSQGSLINMTGPKRGIDLYGTALVEYDMRCKTTCGDLQLIDGISAIDDLWGTWNRAFTKRIYGDCGSVDITVSRIDDAVQATIEVVISEVQSSFNLCLACFIGGYNDEEIRLFNGAIHESRGLKRSVVAAVDGSTMNLKLKVASESSSTDEHCCSFKADTHGFATEEIKTNFGLISVKVNWSTLLSS >cds.KYUSt_chr2.33874 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209343400:209345049:-1 gene:KYUSg_chr2.33874 transcript:KYUSt_chr2.33874 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLTQRDVENPHRYSLALRPRPYRLGLPAASTGRFGCSSKSGRGVWSELSEPVVSRLSRSVVSIASFIGDTMLLERTGICIQTSSGEGNATTTSFLTSASLGPRTAEGKIGDNVTIKVRLPDGQIVDGRIELERLFIDYAVVNIEHVAGLHAANLDHHDTQFTPYRKVVAVWRRFTSGLLMATSGVQLGSPAVEPYKIMFSTCQINTAGIGGPLLDFDGNFLGMNSYRSQRKKTPFLQRVLILESLGSAGIVSMGTKQRMDDDTTCTPGNNSSSSSSSRAVLLPRVINSYDDVFPDDTWGALRNGVASRISRSVVSLASFDGNAAFFACTGFVIDCNACSAKIVTSASLVRVSGDVKKIKTDLRIEVCLRNRFRVIGILKSYDLTLNVACIEIMGYWNLLALRVSPHAYGGHDIDVIALGCIFDGFKIMATSGKLLRDHKSKLNCPDLSVSTCKITRAGVGGPLMDTSGNLLGMNFYHHQETPFIPQGYLFPVTDSSGDVSGIGEYTWPLPKPSLYRRTPPRELTPKRVRSFNFDFIILKRVKKPEKK >cds.KYUSt_chr4.52739 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327223708:327224316:-1 gene:KYUSg_chr4.52739 transcript:KYUSt_chr4.52739 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHIDVELPCFHRAASIQLYAENLRLTPPGRSAGFPALERLTLLSLSGCSVDLAALVLRCPCLRVLWVAQVSLDDGIDIRSESLQELHVRAGYSSTDRINVEAPVLKKLTMLFRTKDKLNVSVVAPILEKVSWECSSYSTTTMTAGFGPWGLSKVSLHTAESLGHRVITGAGEDTCLQLSNVNVLSLQMTACVCLMYLLLN >cds.KYUSt_chr2.46815 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292696250:292697094:1 gene:KYUSg_chr2.46815 transcript:KYUSt_chr2.46815 gene_biotype:protein_coding transcript_biotype:protein_coding MENNAALAAGAGMAASPEAEFARTCRILVVEEDPSYRATLTQMLQSRGYPVTAKASLEEGLRALRDNPEGFDLVMAVADTQGPGIDGFELLKHTKENYPVILFSDCASKEKVIRALVEGACDFLEKPMLDSEISRIWQHVLRRNANIDFGPTDDSDEGDSGGSQQHKQGRANFNSPPGHHALLVKAPQQLSGTEGNCIHPPYDVHACLISGC >cds.KYUSt_chr5.29018 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183684635:183689038:1 gene:KYUSg_chr5.29018 transcript:KYUSt_chr5.29018 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRGLWALLLLLALTAGLPRSDGAMGLKLPFSPGDVLPILPRQVAWPVMNTLHSAVDLLPSFVAAVAPGDPAPAAWNGSCFAVNEAAIQLTPGDRNGTQIGGAVLRLKTASAQSWTCMDLYVFATPYRITWDYYFAARDHTLEITSWEEEAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTGWGQNSNLAFLEKHMGATFEKRSQPWVANIRKEDIQSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDEKGELWVAESGFENEKGEEIIAIVPWDEWWEMALKDGSNPQIALLPLHPDIRSRFNESAAWDFARSMAGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPLYAANMWNEALNKRLGTEGLDLKGIIVETESRGMSFDQLLTIPEQDEWVYSDGKSTTCVSFILAVYKEAGVFAPFTESIQVTEFTIRDAYMLKIFEDNHVNLPSWCHGEADKPPFCQILGEYKMELPEYNSIEPYAKMNENCPSLPPTYKRPSRC >cds.KYUSt_chr7.24975 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155964807:155965223:-1 gene:KYUSg_chr7.24975 transcript:KYUSt_chr7.24975 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSLGGTSDLLHQILDVLGMLLVLLLLLRSERGLVSSVAAFSNKKVDLRSNLPSYEVEGLLTGHGGKEEYCAGVARLVVNLFRPAVVVRWRRRLVSRFSLWSSDLSLGIEAAVSASVHHSSPWPAVEARRERPWWTC >cds.KYUSt_chr2.38472 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238522445:238526418:1 gene:KYUSg_chr2.38472 transcript:KYUSt_chr2.38472 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALGDNLFVVIEDGGGEIIGAARRVEIGSVLLEMRCWPCWALPRPHHLLPGCPSKMVPYLWKGRRRLGVSVFRTLLEQCSVKAELQGWNQTEGLLAQNRKSKRNQCTYPALNARPWAGTDDYMEGEKATQKRSTFTRAPYRRLTIVAGRRRRTACVWIEGWPAVWPYWSDAEMELEKRQPLVERRSATPGGAKIGNARWSQERHAVEPSSAAARPSGEVQAAGEANGGLAYGLRRWRL >cds.KYUSt_chr7.26799 pep primary_assembly:MPB_Lper_Kyuss_1697:7:167360025:167363515:1 gene:KYUSg_chr7.26799 transcript:KYUSt_chr7.26799 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRLEDEEAVKMCRDRRDFIKQALEQRNRFASSHIAYIESMKCVSMALQRFVATDDHLELMFDPFISPVKHKPEILGLPYGSYEKRTVHVAKYLRSGPNPSVSVEEAPRPVETIRVESHYPMDSYGGTDRFFAENSSSMRPSSYNAPYDRPNYVTPSPQEPVRNSSYYMPPYDRPSYAAPQEPVRNSSYYTPPYDRPSYATPQEPVRNSSYYTPPYDRPNYSTPQEPVRNSYYTPPYGRPSYAPASPQEPMRTSYYASHDRPNYPPPSPQEPESSQWDSFWNPFSSLDSYPYPRPRSGYDNVVTDDELARLQRVREEEGIPELEEEDDECQERARMHNKKGEEEEEEEDDESDEDDDDDEEDECEHSDDQRCMASNEGARPGNSEVNVKQDLKAFQSKGVQCADLSEPRNAVDLEIKTHKKELMRNKVANAEETPGFTVYLNRRPASLVEAMKDIDSQFLGICSAAQEVSRMLEASRAQYSTSNDLSVKMLNPVALLRSASSRSSSSRFLLASSSSIDDLFDNDTSSCYSEESCSTMSGSHQSTLDRLYTWEKKLYKEVKAGERLRLEYEKRMAHLRSQDEKGEEPTSVDKTRAALRSLHTRMKVSIHTVQSISRRIEVLRDEELHPQLMELVQGLSRMWRAMGERHKAQKRTIDDAKLLFLHHRASAATAVALGHPEPNTPPPAAVALECEVRAWRGAMEAWLSAQRAYARALAAWARRCLGIGTGAAAPRAMPPAFLVCMEWGLAVDAASEARVMDGLDFFVAGVGSVCTGAATGMEGMAGRVLCAGMAAVTGAMAEFAAASADGYDAAVSAAIARPREHGEKENAAQPPPH >cds.KYUSt_contig_1467.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:67690:68106:-1 gene:KYUSg_contig_1467.13 transcript:KYUSt_contig_1467.13 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNDHFHLLLLSGSILLSVLAVSAAVDYKCRPGVAFPHNPLATCRPYVLNRACGRGLGLPMLVKEWCCRELAVVSGRCRCEALRVFMDGVRAEGGRVVEGQLGGLRGCPTEVQRGFAATLVTPAECNLRTISGGTWC >cds.KYUSt_chr1.5137 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31687845:31688978:1 gene:KYUSg_chr1.5137 transcript:KYUSt_chr1.5137 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEIPAKRPKPSDGGDSGSEDRLSDLPEDLLLRILDNIRDVPVAVRTSVLSSRWRRLWRLLPVLNFPFPTDPQHIRLALQSHEAPVIWILQAVVLDGNPDSMAPWLLIAAPRLFGRLSLTSRASQNGSEEDMADERGAFELPCFQNAASIRLELGPLGVSMPPLGVFARLNDLSLVCVQLHGPCMLGDLVSSPRCPVLRKLIIKEASGLGNLAIHSDSLIAISLKDVHLHPADALGPGQVTIESNSLLQMELMSVHSLQQLTITAAALKCIYVDSCFANYRARASRHNQPVANIYAPRLKSLYWHDAYDPSSTQFGNIENLETLGAYLFQVYGRDNYAPNNYILRLLRRFQLILSLRFLLLYQPVSSFFMSVMPSL >cds.KYUSt_chr6.5581 pep primary_assembly:MPB_Lper_Kyuss_1697:6:33479462:33480095:1 gene:KYUSg_chr6.5581 transcript:KYUSt_chr6.5581 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAALLLVVAVAASAVALAHGRGLQTPIKLTRVAAGDSYECVYTVYIRTGSIWKAGTDSNITLELTTADNSGIQITGLPSWGGLMGEGHSYFERSNLDIFSGRGPCMASAPCRMKLASDGTGDHHGWYCNYVEVTVTGPHKGCAQQLFTVEQWLATDVSPYKLESVVDQCSAVDGTASA >cds.KYUSt_chr2.30262 pep primary_assembly:MPB_Lper_Kyuss_1697:2:186242677:186243807:-1 gene:KYUSg_chr2.30262 transcript:KYUSt_chr2.30262 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPPQPGQDSLPALVDDLVSDILLRLPPDEPECLFRASLVCKTWNRLLSDRAFRRRYCEFHRAPPVLGFLHNRSGLAPFVPTTAFRPPDTEHCYAIGCHHGRALLRERRSPDFLTLWDPVTNEKQYVRMPDIPMASANGLVLCATPGCDHLDCHGGPFLVAFVGFDAGGEGASACLYTSETGAWSTPSYLQLDSYLNPQPPVVVGDALYLVCEDGETIMRDKFAGKRGLSLIEPPDVYEEGVVLMTVEDGGLGIAGLDMSTLYLWSLKTDPGGVSGWKTRGVIKLEMLPIDDLRSWRHLVGFAKGCTSDIVFVTTDAGVFKIDLKSEEATKVCEHGSSLVIFPYTSFCTPGTILEPTKFYMFDVCEYSVRYNL >cds.KYUSt_chr7.541 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3007539:3009507:-1 gene:KYUSg_chr7.541 transcript:KYUSt_chr7.541 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPTAGVVSAMIASTIPSKRKRIPKQFFEAPAAAADSPGEAPPAAKKVGRMKTKAAGPRGAALAKVRTKEISRIGLAPPPPSKVTTPPPSVPTVAPPAPPAPPPPTIDVDKVFDVESTTSYLDMLNESAVNLDAGIGAFDGECNVEDFDDEEEDEGDEEEAVEVDPAAAGSSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPCTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQQRYKDMAGSKNKEFQFQHCFSILQHLPKWKLRDNEPKCKKEALLTMDDEAEDMSGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKMIAAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWNATKADIIARKKTARQARAQGNSDELAEPVSPPNSVLRGGDTGSASSSEFSAGENRIQGPLLAFYGAKNYGPVRHALSGGSRTA >cds.KYUSt_chr5.22192 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144823801:144824549:-1 gene:KYUSg_chr5.22192 transcript:KYUSt_chr5.22192 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVLFAAFLLAATAVHVAGQEGFLSIDCGLDAALSGRLNTDTQITYVSDGPYVDGGENHEIGDVRSAGDYTDLRTLRSFPSGLRNCYTLPTVSGAKYLVRTQVFYGNYDGKNDSSSVQFELYLGTNFWDKVENVSYWPSEAVFVAWASWVPVCLINTGNGAPFVNTVELRQLGPSLYPDVNTDQFMCLCTYDRRNLGATSFTRYVSSLY >cds.KYUSt_contig_1253.217 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1470094:1474492:-1 gene:KYUSg_contig_1253.217 transcript:KYUSt_contig_1253.217 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTAGGTLMGLIDPLLEKLASLLAEEYGKLTYVQPGIASLRRELTSIKAALEDLSHLEESSSLVKEWKAQLRELSHDIEDCIDDFVQRLSQDHVHDGLIDKITGWLKTMRLYYDTAEQIAKLKEHAVEVNDRRKRLKLDITPTCSSTVAIDPRLSALFEEADRLEGTKGPEDELVKLLTEGVDSAKQRRVISIVGFGGLGKTTLANLIYKKIKSKFHCTAFVSVSRNPDINKILKDILLGVLETSNPASDDQRKYMEKVDERPLETHRLIGMIQEYLNKRRIRHLSLQGNCEDHNEWLSRREFSHVRSLNVFGDCKNQPLFRGLKFLRVLDINDLSQKGDNVQLTEVIGSLRFLRYLRFTKVPREIGNLKLLQTLDLSSSDVEELPATIVQLHQLVRLFVHRDVKFPNGISNLTSLQELGHFSCLGNSVGIVLELGNLIKLKNLDIYWDDDGTNGHLEQYKEALVWSLRAMPKLQQLKIDLPAIAPDIGIRHLAFLKHLQIRINCWAASAREVEATEATVRSAASLNPKLRTLEISRHGEAAMTVDEEQVEETDVAEEEHAADQQASTSTR >cds.KYUSt_chr5.39508 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250043411:250045841:1 gene:KYUSg_chr5.39508 transcript:KYUSt_chr5.39508 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMTTTEASLSAINESLVQLSMKLGRIAVRQENMLVDLKKMTATIARTAIASPMSAPSRLMERLEVKPSLPMVFSAVQVAGAGATHADDILAPSMPTRCSTFGPTIETMLLSMQGRVPEATNSSSPPIVSVNRDVSAAALHTDEFLSYATPTSCSTVGSAVSVDSNQGVVALPTSDITHLPTMTTSTGVGNTTSEGVGGNDPAARTLGIDADMSFEVLTCVGGFSLFHEFEQNSADEAFNDSHPKDVLWHEELADCVITHIGNSTLFLEQIMDIHHELKWGIDKPTRLGLGRGGFQVVFLMVLSECNLYPLLLKEMQYGSNIASFSLSWDPGGYLLRLIFHASGADLEINHGTDNSVGLDERQDVGVWLVLGKFPPWRLSCFRLEKHEHQHDWVSSNNGKSVQQLYCIIRMCDPGISQAKTIFVHPEIFLAAGDRLVLDMILPWDSSAFSPGNEEMERVHSVFVNSPTWSGRYINVDTSELKCLKLLTETMAILKCLYTEGSKSRILATELCFQWDPRIVLNAIMSGKCTIVLMYPMSTFTIYLGICLAGQPLLPWDQGKQEVLLQDLGRQLSYTGRGRMPTLPWDPGACYPLQIAARKLMYMWVLIRNINVVLECTSLGPTISELDSVSIHAGHVSIFLRQLLKSLLKVVLAEVLAEAIDTLLVISLSCTCVGFRLFIWSTSSLEALAEWGRMFSMKNSQDSGYAFYFNQQSNIYCSKSELFRSSESFLSADHGILVLLKCMGGLCRRDQTTLLQLGDVSTYTMSKPLLGGKQCFLGVVMS >cds.KYUSt_chr1.24627 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147404017:147404814:-1 gene:KYUSg_chr1.24627 transcript:KYUSt_chr1.24627 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTAGGGITLPSMGQPPPPLHPTPTSPTARPHHHYYLFSIKQLNTLGAAAVLAFSTTVPLPDIAFAVLLVPYLVLLAALAFPQRPGKPDPAAPVFAGAGRVLLGAHTAAGFLVGAALPALYILDGLRSGDTTGVASAAPHAFLLAAQVFTEGLTAAWPWRFSLPVRAAVPVMYSARRMFAAGEWLRQEMEEKRGGPGVDPRRLVAGRVLAVANLAFWGANLFAFLLPLYLPRALQRYYCGSADDGTRGSSDGNGEEKKDS >cds.KYUSt_chr3.42129 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266139927:266140415:-1 gene:KYUSg_chr3.42129 transcript:KYUSt_chr3.42129 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKGANRKSLVSRTLERCRSGLGGGGKVSAGTAPGCFSVYVGPERERFVVRADRANHPLFRRLLDDAESEYGYAAQGPLALPCSVDAFLDVLWHMDHDHDHDGGDNAVAPLSSPICGMQRGGSKSRSAGYRVLSPAKSSPASFFLSPKASPITTTGGKRR >cds.KYUSt_chr7.25912 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161844528:161847063:-1 gene:KYUSg_chr7.25912 transcript:KYUSt_chr7.25912 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVTVEPSGQDFWKLDPVVDLINGGAVGVIPTDTVYDMSILSLHFSLCSVSRDCEPLSILCRSLRDIDTYTTGFPLGTNQGQANIFRAVKRILPGPYTFILPATKELPKQCIKHGSSTRYAKRRQVGVRMPDDPICQAILQNLEEPLICTSVKYLSEDEWILDPVYIADIYEPLGLDFIVDGGARIADPSTVVDMTGSYPTIIRQGKGPKLDWMVTGTDQDAQSTLKAV >cds.KYUSt_chr2.9791 pep primary_assembly:MPB_Lper_Kyuss_1697:2:61926341:61928058:-1 gene:KYUSg_chr2.9791 transcript:KYUSt_chr2.9791 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGSTTSPSRVRLGLLCLSGINCQHGQHRLLDLGFRLPRLKRRRRPSAGLERGTGTGGEIFKLVAAGTEITAAAVDDDELIRQLERDGFYYDEDLDSYGPVDNFHDLDDDIDRSIPPPSFSVPYYDEDGDNTRMAKCNSRRLVELLAVRPHFPFEGTFIAFNDYSEYCCSSRRGLICRNLDSKDNLILHSEGVAIQDQFSIKICIPKNENRRDDDIGAFSYILDPYMCGIAVTQPISTGRGRRIDVTFLPMHTAVQANVYVTLDLISSGSIYFVYGEITACHQLYGRESVTLFSHGKEDKAEVIDGKLPLSRNWAVVPIYLKPLLTIKLNLCVQTNQNQDDHGRTISFQGDITFYRDDYEKIICTQDHDKVKVKIAYT >cds.KYUSt_chr5.40587 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256198674:256199970:-1 gene:KYUSg_chr5.40587 transcript:KYUSt_chr5.40587 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLECSAAAAPTDEISETNDEPRWHSTTTNSIKRSKISLDRSALIPFKFLDPSLETFRSLFRQRFVRESESSSAVWLGLVLASWHFLFDDNVQRETRKNTLVLPYVTKALFCLLVLTLTRLVKTLLLKVLASSFHVSAYFDRIQEALFNEYVTETLSGPQMLGKDYVLAKVRELRREGSNRLSKEKKQRAIEEGITIDKLHRLNQKNVPAWNMKRLMKIVRFGTLATMAEQIQQAIGEGRESATQIRGECEAQVAAKKIFHNVAKPGSK >cds.KYUSt_chr4.27779 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174519153:174522345:1 gene:KYUSg_chr4.27779 transcript:KYUSt_chr4.27779 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFRFPGTPPMPQSTTTMDSLKDDNVEDILLRLPSWAALGHAVCASHRFRHIASTPDFLRRFRARHASSSPRSLLGVFAHRTAPVSLPVFSLVSPARSDPGLVAVARSGDFLLAGLEDDPTWRIHDCRNGRLLLSKGGSTGGSLTVYDPMSLRRIDISRPRERPPFLNSYISGTNCLIDDGDAPFRIFTLQVGDGHRFRAVEYDSRVQKWQFHPWAPDTITPPPAYLTMYAAGLIFWNHDDSTGTLSLMLDTRTKEFSMLPLPPTIATGSWRVSRYSIGETEDGNCCLVCVTNHLLQVWLLKENLDGGCKWEFEKEKPLIELLAGDSHNLHHVGKVVAGLVIVWSPGQITCHYYHYAIDLKNLSLKAKLSHLDVTTVYPFQLPWQHAGLTPAKRHMLQIGSPKAHAVASTPRPRYRFHAVASTHSPAALLPQFHVAGWSQADLRLSMPDTVATIGYKRLLLVLQAAAGLATVDAGDCYKPQWWLLQVAAALATVGVGDCYKPRQWLLQAAAALAT >cds.KYUSt_chr2.32178 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198478566:198484235:1 gene:KYUSg_chr2.32178 transcript:KYUSt_chr2.32178 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLDLPKQLGVCLCECLHFQTLLRNKLITDLYRSDAGKLLVGFIIHAPTVTAPILTRYLDDDRTWSILQSISDELAGHLLDAGNGGDDTALPMALVVNSFGKVWQVEVGRDGGGAFLGPGWPEFVAANGFGVGWFLVLRHEGRGVLTVKAFDLTCCLNESRDLQPSTAFVQRYVSEAQQSSKMAIIASQFGKFWPIEVNNDGSGMFLAGGWSQFLAFHGISEGDVMLLRYEGNMVFKIKVFGLNGCQKDLKGRATGTQQNTERQKEAHLCREHERSSGKEDTRPKDVELIHMLRLQSLATTFCDLIGLVGECTITLKTSMKSTKCWQVGARRYKNYGYLGGKRWKSFCMENKIREGDVCTFHIVEAALWHVVITHNSDRIG >cds.KYUSt_contig_1253.504 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3118604:3127392:-1 gene:KYUSg_contig_1253.504 transcript:KYUSt_contig_1253.504 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTPSTSGGGKSKPSADAAAASTRDHRPRHRPQMKSKAAGKKKAAQAAHNKKPKSGGFESLGLCEDVYRGVRHKGYRVPTPIQRKAMPLIIAGLDVAAMARTGSGKTAAFLVPMLQRLRRRDPGAGIRALVLSPTRDLATQTLKFTHQLGKFTDLKTGLIVGGGSMDSQFEVLADNPDIIIATPGRFVHILDMVDDLSLRSVEYVVFDEADSLFSLGFAEQLHKILHKLSDTRQTLLFSATMPQALAEFAKAGLRDPQVIRLDLDKKISPDLKLVFFTLRQEEKLAALLYLVRERISSQEQTMIFVSTKYHVEFLSILFREEGLATSLSYGAMDQEARTEHIAKFRARKTMVLIVTDVAARGLDIPLLDNVVNWDFPAKPKLFVHRVGRVARQGRTGTAYTFVTSEDMPFMLDLHLFLSKPLRPAPTEEELLKDMDGINLKIDQSLANGETVYGRFPQTIIDLCSDGVKEVMNSCTELIALEKPCANAFRLYLKTRAMPSKESIRRAKDFPREGLHPIFRDVLRSDEILALAFSERLKSFRPKQTILEAEGEGAKSRSFKANQWLDVMKKKREVHEGIINLVHEQKSGNLATKEEEAENISNWERKEVSGTKRKSQSFRDEDHYISSVPQNQHSEAGLSVTGNEGFVQDRLDAAVLDLVDDETSGMQAQKTRYHWMKNKFVKLNNGDRVTASGKIKTETSAKLKASKDIYKKWQQRSHRAISSGGKDGNFEEGGTSTPGGHQRGDRRHPAAGRGRWSIPNANVPSEIRNPQQMQKGRQQKAMQNMRMKEKSAKDGKFPNKFQKNRRPGGSARDGKFENSKFQKNRRPEGKGSGKGNGKGFGKAKGKGKPKGKGAR >cds.KYUSt_chr2.2179 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13221778:13228237:-1 gene:KYUSg_chr2.2179 transcript:KYUSt_chr2.2179 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSDSYYSRGGGGADHRSLRQITRDRLLNEMLKSTRSSSRSTWKVLIMDRLTVKIVSNSCTMTDITEQGVSLVEDLYKRRQPLPSLDAIYFMQPTQENVRIFISDMSGKHPLYKKAYIFFSSPVQKELVDQVKNNSKVLTRVGALSEMNLEYFAIDSQGFVTDHDKALEELFTESVEGSMKYNSCINTMATRIATVFASMREFPRVHYRVARTIDASTLTTVRDLVPTKLAASVWNCLARYKSAIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQEVPSKNGSATEKKEVLLEDHDPLWLELRHLHVADANERLHEKLTNFISKNKAAQLHKARFGGELSAKEMQKMVQALPQYSDQMDKLSLHVEIADKLFEIIKQQHLKDVGQLEQDLVFGDAGTKELIDFFRTRRDISRENKLRLLMVYAAINPEKIQSDKGGKLMQAVPSLQCLLFLRILFLLEEYRHGSVYYAKC >cds.KYUSt_chr1.41401 pep primary_assembly:MPB_Lper_Kyuss_1697:1:253924049:253927759:1 gene:KYUSg_chr1.41401 transcript:KYUSt_chr1.41401 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSSPRVHMSRGVVDPVQLASCRCRWACRAAARAVPPPPPRRRTPCVCFVAAPSKTGLAAIDVARLPERVSVSSLLEVVSDDLLKLNNNLKALIGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLPELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRIAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQQSTLFDCDVTLDDYLLKSYYKTASLLASSTRSAAIFSGVSTTICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQENPALREIIDSEFCDTGSLATAMELVHSSGGIRRAQELAREKGDLALRNLECLPRSGFRSALENMVKYNLERID >cds.KYUSt_chr4.19046 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119625622:119629382:1 gene:KYUSg_chr4.19046 transcript:KYUSt_chr4.19046 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTALPGLYRRLAIPDRIGCGAATLHVVASIVWHFIYQDGDDGRNDDAPFGAYLDVGQSGKDDDDGWNDDALFGAYLDVGQSGKDEDISLP >cds.KYUSt_chr4.21142 pep primary_assembly:MPB_Lper_Kyuss_1697:4:133085019:133086521:-1 gene:KYUSg_chr4.21142 transcript:KYUSt_chr4.21142 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTAGLLSGLLRRCAAAKALPTGAQLHAQALVGGHLPQATLETDLVLLYCRCAALPCARKVFDAMPSPSMHAYNILLAASPPRLALELLSGLLASGFRPDCYAVPAALRACAELQDQHLGAALHGFAIHIGFLANVVVSSALLDMYAKSGLLANAARVFHEMPERDSVVWNCMVTAYARAGMAAETLELFRRAQVEEVNMVRDLRAVPSVLSICGKEGELMKGREVHGRMVRCLAFDSDVPIGNTLIDMYAKCGHVDAARAVFAGMQQRNVVSWSTLISCFGVHGKGKEALGVYKKMLSERVKPNCITFTSVLSSCSHSGLVTDGRMIFDSMSRFHGVEPTAEHYACMVDLLGRAGAIEEAIRFIKKMPMEPCASVWGALLSACAMHNNVDVGEIVAYRLFELEQGNASNYITLCGIYDAVGQLDGVAGLRSRMKELGMVKTPGCSWVDVKGRAHAFYQGSIPRYLRRRILWILDRLLKDMGNSESEYGHAYQYYESS >cds.KYUSt_chr2.28188 pep primary_assembly:MPB_Lper_Kyuss_1697:2:173008678:173010720:-1 gene:KYUSg_chr2.28188 transcript:KYUSt_chr2.28188 gene_biotype:protein_coding transcript_biotype:protein_coding MKSINQPIERTRRPFASLKKKNFEVPRRKKPLRYARHPVVGAAVSMQFEQPPSARRAPTFYARRDADAWQLLGALLPRRAATARHVQQAHARLAVLGLATARFLPHLLAALPRLPHPPPIEDASSYALSLFRRSNSSSAFASNHLLRVLPHPLPLRLFPGLPRRNPHSFTFLLASLSDHLDAGHATGPAPSFLGSHVHALAVKAGAAGDLYVRNALTHFYGVCGDVGAMRLMLDELPRVRDVVTWNAVLAGYVRAGMLRAAREVFEEMPVRDGVSWSTVMGGYVKEGELDVALQVFKDMVEKGLRVNEAAVVTALSASAQLGLLELGRFVHEVVRREGMPVSVNVGAALVDMYSKCGCVAVAREVFDAMPGKDVFAWNAMICGLAAHGFARDAVELFERFLGEGLCPTNITFVGVLNACSRSGLVAEGRRYFKLMADKYSVEPEMEHYGCMVDLLGRAGLVSEAIELIEGMPIAPDPVLWGTVLSACKTHGLVNLGVEVGNKLIELEPAHDGHYVLLASIYAKAKKWDEVREVRKLMSSRGTSKLAGWSLMEAQGNVHKFLVGDMDHKDSVRIYNMLDMINRRLADAGYVPDVSSVLHDIGDEEKVHAIKVHSERLAIAYGFIVTKVGNPIRIVKNLQVCGDCHEFSKMVTKVFNREIIVRDGSRFHHMKEGRCSCLDYW >cds.KYUSt_chr4.34352 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210837237:210837635:-1 gene:KYUSg_chr4.34352 transcript:KYUSt_chr4.34352 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEENPSIGSIEAEEAVAGVAMVENERQAISDTGVAAASQKWTPGACFSEDEDAGHEYSGWSTDEEEEDDRDDDAILSKAEFQLALDRINAKYNRFIERLRARNKTLRTKDYTAADFLDSDDEEVVRDNA >cds.KYUSt_chr2.7113 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44544081:44547579:1 gene:KYUSg_chr2.7113 transcript:KYUSt_chr2.7113 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSIVTVHITGFKKFHGVAENPTEKIVSSLRSFIEERGLPKNLVLGSCEILETAGQGALGALYKVLESATGDRENGSSAQGQIIWVHFGVNSGASRFALENQAVNEATFRCPDELGWKPQRVPIVPSDGSISRIRETTLPVKELTKSLRKTGYDVMLSDDAGRFVHANGSSGCSLQAAEIVQVRLPLSSLISMYRPPECTVALTLLWYLAAAPCRTISSGRSSSASRRSHPLSHAPPLSASAGDGSSLTPSSPLSSVLTTGSRHGRVLVLGRTGSQLAVCDPITNQRHHSNILPEVDRGYVNGVVLCAAGDQGHVHGGCHWSPFKVVLVCMYGHDKRSIACVYSSESATWGNHISIDAPYQLRGDPENPATLVGNTLYWLSISDGILAFDLDEQRLTVIEGPPITNDYYIYNRKIIHADDGTVGCAILSYPHFQMWQRNANGHGVAT >cds.KYUSt_chr6.22593 pep primary_assembly:MPB_Lper_Kyuss_1697:6:142749704:142750383:1 gene:KYUSg_chr6.22593 transcript:KYUSt_chr6.22593 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQADKKRTERHFAEQDWVYLKLIPYVQKSVADQGLPDALATWENKEDMQHRFPEFPAWGQAGSQGEGNVMDLTNDVAFSATGKQRRRLRRAEGSQLGSVGPNGPNESQSEQGIDARPVPRGVVFRGVLVGASP >cds.KYUSt_chr2.35012 pep primary_assembly:MPB_Lper_Kyuss_1697:2:215877040:215878275:-1 gene:KYUSg_chr2.35012 transcript:KYUSt_chr2.35012 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVPLPLGLDTVGLQVPWYFRCPISLELMQDPVTVATGQTYDRASIESWVATGNTSCPVTRAPLADFTLIPNHTLRRLIQEWCVAHRSLGVERIPTPKQPADPDLIRSLVVQGPALTALRRLRALARESDKNRLVMATRETRAALVEMAFGAGGGGEEAQAEAMAVLALIGMGEAEAAEVVGREERVARLGKVLGSQGTTALEARVNAGAVVEAAAAVSGADARVVLGAAEGVVEGLVALVEDKANNARAVRVGIRGLFALCLAKENRPRAVSAGAASALARRVAEGGCSGEPERALAAVERLCRTEGGRDAVVCGAGGGEAAVVALVRAMSGRAAEHAAGALVAVVGGSEALQVEAVRAGAMSQLLMMVQGGCSERAKRKAQHLLKLLRSAWPTTDSMANSDDFLQPY >cds.KYUSt_chr5.7098 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44127733:44128479:1 gene:KYUSg_chr5.7098 transcript:KYUSt_chr5.7098 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKTGKSKSSKSKKHQANDEASAKKAAKAKADATAAPAAPSLDAHFKPCADVTGLRFGAQLVTRALTVRRAAPLELPHLLRVAVPDAEQKQQHKSKPQPQLSFAPTTTAYIPTNFAILAHHAWHTLTLGLGTKNSKAAVFVFESAAMKAAADAAWPQVLPLGDVGKRLLRAAPGAPEMARFKFRKGCVTFYVYAVRTAGARGFARAEELRAVIEAVAKLKDFLDHTAMLALPGQRSIDAAPVGAVH >cds.KYUSt_chr5.13195 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86070397:86070873:1 gene:KYUSg_chr5.13195 transcript:KYUSt_chr5.13195 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVLVHPRNYQEPTSRSQEAYHPDPASPPGSAGSIAAPGTPSAQRLPPSNLNGRASVQSSAARHQAQRPAHHCSSRGPLALSEEDPRRASHRDTPQEAGAPPTSQDTSQAANTENSEEEPLPELKFPRRRLQEGYDVKDAVVARFGMPNLRFSPET >cds.KYUSt_chr4.25329 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159149264:159150811:-1 gene:KYUSg_chr4.25329 transcript:KYUSt_chr4.25329 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAAAGEASQSFSSAVTTPDGWHPRTSERRLLHLLHHSSRARRRPLQLLAFAVRHCLHSSPPSPHHHFLTALLLLSSPPPPAIPLLSLLPPDPPPPLPLLNAALKSLSASSPPLAFRLLSSLRRLHAPDRLSFLPLLGSTSSLPLLSSLHALLLRLGFLSHHAISLALLKPYPLPHTRTLFDEMPQQSKCAVAYNTLITAYLKAKDLFTARHLFDEMQRFKRSRRSVVSWNAMIAGCAWCGSDDVAVRYFEDMVREGQVAPDDGTLAAALPACGRTGNAGAGRWAHEYASTTGILDRSVHVTNAVVDMHCKCGDLSSAKEVFQGMRQRSVVSWNTMISGFSLNGQGIKGIELFQEMMSSGEAPNAVTFLGVLSCCAHAGAVDVGQGIFQSMQSDHGIEAEIEHYGCMVDLLGRSGLLEKAHALIQEMPMRSKAAIWGSLLSACRSHAGLGIAEVALKELISLEPWNSGNYVLLANLYAQTGRWDEAGDVRKLMRRMSAHKEPGQSLIEEPSSS >cds.KYUSt_chr4.5825 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34081401:34084029:-1 gene:KYUSg_chr4.5825 transcript:KYUSt_chr4.5825 gene_biotype:protein_coding transcript_biotype:protein_coding EIRPVDLGLDNEAQIARTWNSSVRQQNGRRGRGGSNQNASPIKYLHIHESDSFSMGIFCMPPSSVIPLHNHPAMTVLSKLLYGKLQAESYDWIDVADPTDPLKPRPARCVRDREMTAPETTILYPDRGGNIHTFRAITPCALFDVLTPPYSAEKGRDCSYFRKSSVKEPP >cds.KYUSt_chr2.40962 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254533780:254534225:-1 gene:KYUSg_chr2.40962 transcript:KYUSt_chr2.40962 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASTSIVYHKPRLSVVCRKKDRPDRELEREKKEHKYPFKVVEITPPPRCLGVRCFPTVTTRCPCSFSEFRCLCSLPNPEAAASACGVHQANRTC >cds.KYUSt_contig_1108.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000103.1:3091:4258:-1 gene:KYUSg_contig_1108.3 transcript:KYUSt_contig_1108.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTASDSGLAGGSRCPMDRARVVARLGELGTVVFLHGFPEIWYSWRHQMQAVAAAGYRAIAPDSRGYGLSDQPEDEETPGKTL >cds.KYUSt_chr7.1877 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10822254:10823132:1 gene:KYUSg_chr7.1877 transcript:KYUSt_chr7.1877 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGADVECDRIRGPWSPEEDEALRRLVERHGARNWTAIGRGVPGRSGKSCRLRWCNQLSPQVERRPFTPDEDAAIAAAHARIGNRWAAIARLLHGRTDNAVKNHWNCSLKRRLASAEHERPCKRASVSPESSPSGSGSDRSDLSHGGVFHGQQVYRPVARAGGFEPADCAMSRRHEVVEDEEPEDPLTSLSLSLPGMDAAIQGGFHHDNSYSHFHQPPSSSPSPPPTAAPATSPYNFSPAFAAAMQEMIRDEVRRYMAGVGCGADLSMPQVVEGVMRAAVQRAGGVARMQ >cds.KYUSt_chr7.10473 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64267934:64271346:-1 gene:KYUSg_chr7.10473 transcript:KYUSt_chr7.10473 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRAARDRRAGGEEEEPEPEETVTPASAAAEGAPQALEDRRLLRSRYLAVKSQINDDKDEMARADSAKFSAIFTEVETLHKLVQKPREQIADAEALLDIATSLGASVRSQSALGITPSDLVAGLLKKFGTRADANGEGASLRWGRVGLAASHVFMAVPGCCTMVGPMKAEVKARRKRITRKRTPRPRRNDRPEQLVDPSETGKSDTDRNMAVLFDVLRKYKRARLENLILNRTSFAQTVENIFALSFLVKDGRVEINVNDDGHHIVCPRNAPAASSIAKGKVVYNHFVFRFDFQDWKLMKGIVAEGEELMQHRPSSLSTSGGNNHQEMPARSTPRGNQSSVSPANSMSGGSNEPEMPTHTTPIRKLCRNRGLVMQAQQDEMASPGTLDVMVMEDKHISPQDKSSVTGRLEVMVIKEEMVKDRKEVFQTYKRKRRRHLVQDLSQEFNRVG >cds.KYUSt_chr6.22603 pep primary_assembly:MPB_Lper_Kyuss_1697:6:142811211:142811564:1 gene:KYUSg_chr6.22603 transcript:KYUSt_chr6.22603 gene_biotype:protein_coding transcript_biotype:protein_coding MPELHYPAGVLMESTLHVWAQSRWRGPVKLVEAFLTAGFAHLPPGSPVIFRLDEVHDGITLKFLTVTFTNPFDAFDLLGQVFWCGCESIFFTAYNIFTNYEYIFPTVNQMHPLPYQH >cds.KYUSt_chr3.19593 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120601493:120603511:-1 gene:KYUSg_chr3.19593 transcript:KYUSt_chr3.19593 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKKCKCSILCQLLFLGLNFASFTIADDQFVYSGFAQANLSLDGAATIRSDGLLELTNGTFNIKGHALYPTPLQFRRLPSGNVQSFSVTFVFSIISAYPEKGADGMAFFVAKNKSFSSALPAQYLGLLDDQNNGNTNNHIFAVELDTIQNSEFQDISDNHVGININSLHSVQSRDAGFYENKNGMFKNLTLISREVMQVWVQYDEGATQIDVTLAPIKMAKPLRPLVSVIYNLSTVLTHTAYVGFSSATGVINSRYFMLGWSFSMGKSAPAIDISKLPKLPRVGPRHRSKVLKIALPIVIAAFIFVAGTIVTIFARRKFAYSELREDWEIEFGPHRFSYKDLVLSTDGFKNKNLLGAGGFGKVYKGMLPKSKLEVAVKRLSHESKQGTKEFITEIVSIGHLRHRNLVQLLGYCRVEGELLLVYSYMENGSLDKYLYCGQDEPSLNWAKRFHVIKGAACGLLYLHERWDKVVLHRDIKASNVLLDSEMNGRLGDFGLAKSYDHGTDPHTTRVVGTMGYLAPELVHMGKVSPLTDVFAFGIFLLEVTCGQKPVKQNAHGDRFMLVDWVLENWQKGLLVETIDQRLQGECDLDEACLVLKLGLLCSQPFASARPSMHQVMQYLNREMPLPEFTPTDISFNMLALMENRGFDPSGVSYPQLITSMGTMSSLSGGR >cds.KYUSt_chr1.26835 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161883371:161884540:-1 gene:KYUSg_chr1.26835 transcript:KYUSt_chr1.26835 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCCSSAAGLARRLLSPSSTTVIHARDLSHARPPLPSLLFRRHHSHAPLPVMGSLFHSAAAASIVRPPLMAMQVRQYAVKGRSRAPITPTISKVKKYKMKAPSSMKFRFRTMNDGQIRRWHAGKRHNAHQKSKSAKRRLRKPALVHLAYAKVIKKLNFCG >cds.KYUSt_chr2.8455 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53190916:53194548:-1 gene:KYUSg_chr2.8455 transcript:KYUSt_chr2.8455 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSAASTPDRPPPQPNPDQPAPQEADKAAQPGPAPAAAAPVPEKPSRKERRSRSSRSVAEARLGGSFANRARGEQVAAGWPAWLSAVAGEAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDTLSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPSVIKIDGLVTSRMSCSLYLVFEYMEHDLAGLVASPDIKFTEPQVKCYMNQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGMLKIADFGLASFFDPSRKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRITDTFKDFPQSALRLIETLLAIDPADRLTASSALRSDFFTTEPYACEPSSLPTYPPTKEMDAKRRDEEARRSRAAGGRANGDGTNKARTRDRPRGVPAPEANAELQVNID >cds.KYUSt_chr2.9843 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62339654:62339998:-1 gene:KYUSg_chr2.9843 transcript:KYUSt_chr2.9843 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMNWTIHAKCGDGRGADPVRRPPPDLQEKRRRSVACPAGHTEQQRHRPNEDRKERQPGKNIAGWDDPATYHGNYTEQQQINSKSIKANQRRAKEGRTADQAAGNEQPWSRL >cds.KYUSt_chr4.33904 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208156794:208157375:-1 gene:KYUSg_chr4.33904 transcript:KYUSt_chr4.33904 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCSSCIPPVSGLINDVGMNSVERRSRVLKNPAIALFPDCPPRNPAVFRIFLQSLMVTEQEARRCVALAKDGKPGENPAFERRLATPDNVLERPAHRTFELYGISRDGRVLAVAGQTPDHGVRDANL >cds.KYUSt_chr3.7045 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40642461:40642679:-1 gene:KYUSg_chr3.7045 transcript:KYUSt_chr3.7045 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAGTGSKAGAEPVPATRQQPLQFTPPTSLLQNCWRRRDLRPGGSQLREEMASSTARSRAGRFDSGDGSA >cds.KYUSt_chr7.29060 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180778113:180779146:1 gene:KYUSg_chr7.29060 transcript:KYUSt_chr7.29060 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTTNTVALVALLSMLVTSVRSGTTYSSTWLPAKATWYGKPNGAGPDNGGGACNFKNSQKAPFFSMTSCGNQALFKDGAGCGACYQIKCNKNNYPECSNVAKTVVITDINDGPMAKYHFDLSGTAFGAMALPGRNAQLRRAGKISIQFRRVPCNWPGVKITFYILKGANPYYFPVMPEHLNGDGTVVKMEVMRSKDGRPTKIWEPMYRSVGAVWRRDSGNPLKGPLSLRITSDSGKKLIANNVIPAGWKGGNGYTSKVQFY >cds.KYUSt_chr5.40205 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253927683:253928702:-1 gene:KYUSg_chr5.40205 transcript:KYUSt_chr5.40205 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPNSKGTDSKKNPLDAMGAFFSAQVDRRKLVTTQKQALATRCSSSGDCAFPGSDHRPADRKTWMAELGPERLRVHQVVWPGSHDSATNKIGIPFITRPFAQCQSLSVYEQLATGCRLIDVRVQEERRVCHGVLATYSVDVVLADVKRFLAETVSELVVLEIRTEFGHEDPPEFAKYLVEQLGEENLIRQDDGVFWKTVAELLPRRVICVWKPRKSPAPGHGEPLWSAGYLRDNWIDTDLPETKFESNLKFLGQQPSVADRRYFYRVENTVTPQADNPVLCVKPVTRRIHCYARLFLAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVDGAA >cds.KYUSt_chr7.21732 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134780467:134782451:-1 gene:KYUSg_chr7.21732 transcript:KYUSt_chr7.21732 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQLRAVLRCRRLPIHSAAATFFTSSGSEPLAPPPLPAESADGSEQDEGSLAQRLERAASVSTAIRGWMAAGRAVHRGHVFHAINRLRRRRLHRTGLQVMEWVIRERPYKLSELDYSYLLEFTAKVHGIAEAESLFLRIPQEYRNELLYNNLVMACLELGLIKLSYGYMRKMRELSLPISPYVYNRLIILHSSEGRRKTISKILAQMKASRVTPHTSTYNILLKIQANEHNIDGVARVFSDMKRAKIEPNEITYGILAISHAVARLYTVSQTYIEAIKNSMTGTNWSTQEILLILYGYLGKEKELKMTWNLMQGLPHIRSKSFTLAIEAFGKVGSVEQAEAIWGEIKSTRKLRLTEQFNSMLSVYCRHGLVDKASAVFKEMRASGCQPNAITYRHLALGCLKAGLMKQALNTMDMGKKEVVTRKVRSSTPWLETTHLLLENFAEIGDLENAKRVFAELNESKYCRNSFVYNTLLKAYVKAKVYDPDFVRTMILRGAMPDAETHSLLRLIEQYKT >cds.KYUSt_chr5.15097 pep primary_assembly:MPB_Lper_Kyuss_1697:5:97517572:97525381:1 gene:KYUSg_chr5.15097 transcript:KYUSt_chr5.15097 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGPSSASADPSPPEEEEEDSSRWVVVPGSEVLGADAPKVVGWEELQQELARLWSLSAALAAARDRKAALAARLESALEARKTFLQQDNELAEMRQRVQSRADFLGELRMQTKELSANVEDRREQLCVKIRTLTVADKTAGTAQSKLQEPGALLSGDCGHGRLKSLERMLRMRQQYMIGQVAQIYPVRHLKEHSPIVKPGLNSSVVRPGDADAISPNGSQNGQTALAILGLQLSKLSIKKTSYFSDKTEIQKSATLLGYVAHVWKRCPGLPFAADQSIFRLGKQGIQPQEKEVAHRTAASFLFALASFLYLFPSSFLVQFHANAISPLHLGETPGLCIMNKFKQMAKDLGTNDLVLHTKRMVDSSVEVGYQSACDYPLVLGAGILLLLLHRICPPLLAFLVSSSPLLLLTGLLLGALLSYGEPSCPSVIGEEASQTLSVKSEVSVADCSVEGDENVTVETHSEKSSAGFYISERTPTTNTHDIHWEETNVTFLAANTVHSTESAQTSVIAGREMHVEKISEKAELQEFESSNTDSGNYEAHNNYQFGESMSQCWKSADRQDPCYDSESDLTDESSSPDASITDIIPMLEELHPLIDMGTGHPALASRDNLNSSSDDDEYDLEEDDDDTSDDEDEGEEEEKDDGRNQEDVMGNSSRVDGLMELQRAKNILKFELDHRLMDLQTADATEKLKEASRFYVQVPSISTPRGKPFDPSCVSDEVIELPQIPDSAPSVLLPSQNLFDDHDSRLQETWTPRLYSPARQLKHGNLHGRHSTKPHHNGMKVEKGDISGEDAHRSSSGIDAAELGKGGKLSQEERAGKEIKMLSAASSENGSGTSEAKDSVIAGSEQSTLCCLSKANNSEKHVVQANSMDEVNSLFRCRMEEVLVQSVSEPIIGQPLAVKLEDEMSDPVLTSDSGAIQEKTGEEVFPAAGAHSPELTIGDGSRELLTVENQQVADNSGLHVMEVSSDEQMKILFKQLEHVPHDSSGHTLAQEETGGSASNMLPLATKPAEDAGSALEELNSGHSKMETSQDGEVDLKPFELNSPLHVKETQTLDQDSDCDTLETGTKVTKLGDSAEKPKSIVDEGWHEEDV >cds.KYUSt_chr2.18487 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116379532:116382731:-1 gene:KYUSg_chr2.18487 transcript:KYUSt_chr2.18487 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMATRRRLALSYYLAAALLLLVIAFRNAPLTAAQPLPWQLCGNNTGNYTEGSAYQANIRVLASVFPKNASASPVLFTKGSAGTAPDVVYALALCRGDTNASSCAACVAAAFRNAQQLCAFNRVATMFDNPCILRYSDQDFLANVTDNRGTRVALNGNNVSAGLAPAFDAASSRLVNATADYAAKDPSRRFGTGEEGFDETYPKIYSLAQCTPDMTAADCQSCLRRIIGWATPKYFVSQPGGRVFGVRCNFRFETYSFFSGRPLLQLPAATAEGSTNQDDIQSIDSLLLDLSTLRAATDNFAESNKLGEGGFGSVYKGVLSEGQEIAVKRMSQTSTQGIEELKTELVLVAKLQHKNLVRIVGVCLEGQEKLLVYEYMPNRSLDTVLFDSEKSRDLDWGKRLKIVNGVARGLQYLHEDSQLRIVHRDLKASNVLLDSDCNAKISDFGLAKLFGWDQSQAVTSHIAGTYGYMAPEYAMRGQYSVKSDAFSFGVLLLEIVTGRKNSSFADSEQSIDLLSLVWEHWTTGTVEELADPSLGMGSRSPGGQMLKLVHIGLLCVQDNPADRPTMSTVNVMLSSNTVSLQAPSRPTFCVGEMEGYSDVYTEQPYPGASHSQYAGDSKPTAMSPNEVSITELEPR >cds.KYUSt_chr5.16632 pep primary_assembly:MPB_Lper_Kyuss_1697:5:106975119:106985519:-1 gene:KYUSg_chr5.16632 transcript:KYUSt_chr5.16632 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESERYICVRETSPQNSVVIIDMAMPSQPLRRPITADSALMNPNTRILALKAQIAGTTQDHLQIFNIEAKTKVKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPVKMFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKVPGNENPSTLICFASKASNAGTITSKLHIIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLVYVITKLGLLFVYDLETASAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPLDYNTITDLFLQRNMIREATAFLLDVLKPNLEEHAFLQTKVLEINLVTYPNVADAILANGMFTHYDRPRIAQLCEKAGLYLRALQHYAELPDIKRVIVNTHAIEPQALVEFFGTLSKEWALECMKDLLLVNLRGNLQIVVQAAKEYAEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPEIHFKYIESAARTGQIKEVERVTRESNFYDAEKTKNFLMETKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDEELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQFIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADSSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDVTHFLDVIRAAEEANVYHDLVKYLLMVRQKAREPKVDGELIFAYAKTDRLSDIEEFILMPNVANLQNVGDRLFDEELYEAAKIIYAFISNWAKLAVTLVRLKQFQSAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWEHMQFKDVCVKIANVEIYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWTNNMLDFAFPYLLQFIREYTSKVDDLVKDRIESQKEEKAKESEEKELVAQQNMYAQLLPLALPAPPGMMGGPPPMGGMGMPPMGGMGMPPMGPGPMPAYGMPPMGSY >cds.KYUSt_chr7.32597 pep primary_assembly:MPB_Lper_Kyuss_1697:7:203061480:203064911:1 gene:KYUSg_chr7.32597 transcript:KYUSt_chr7.32597 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFAFATLISRCQGRVVTMIQNLQAVTMHQDLATVLRGSPTSTPGPPSVNPCSIALLASNKEIIQDVNGGLEIAVVTKDGKMREDGALQFLVDKAIIF >cds.KYUSt_chr7.24341 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151750346:151753395:1 gene:KYUSg_chr7.24341 transcript:KYUSt_chr7.24341 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWFSLCLIALLTLLAISFLKLLSARNNRPKKLLPPGPWTLPIIGSLHHVVSVLPHRTMADLSRRHGPLMHLRLGEVPTLVVSNAEVAALVMKTNDLAFAGRPRSATQEIFGSRGRDIAFAPYGEPWRQMRKVCVMELLGSKQARRAEAIKAEEVGNLVRSIAAAASAGATVNVSQMVTALSNDVVCRAVFGGKFTRQKEFIRELHQAMELVAGFCLVDLFPSWRLARWLSNGERRLRRSHGRIERIIADTVQERKAARAARGGDCCTDEEDLLGVLLRLQREDSLEFPLTTEIIGAVLFDVFAGGTITTATILEWAMSELVNNPKAMAKAQLEIRVVLGEDRAVITNGDIAELQYLRMVIKEAFRLHPPFPLVPRRAREDCTVMGYDMLKDTNVLVNIFAISRDPRSWKNPEEFEPERFENNIMDYYGAYFELIPFGAGRRQCPGMLFSTSTVHITLANLLYHFDWMLPDGDSLASFDMSEKFGLTVNRRNDLQLRAIPHAGFKATSSK >cds.KYUSt_chr4.13787 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84915579:84917724:-1 gene:KYUSg_chr4.13787 transcript:KYUSt_chr4.13787 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPGETNLVQPRGQGALPAGMAMQPWWTGSGLGAVSPAVVAPGSAAGISLSSNPLGGGGGATKGAHGKAVDDARAESSEDSRKSGEPRDGSFDEEKQHATSQMPALASDYLGPYSQLELNQPIASAPYHYPEAYYPGMVGPYGAQAVTHFQLPGLTQPRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELERKAIKDRKPYLHESRHQHAMRRARGTGGRFLNTKKSENGASNGERTEPNKGEQNSEYLRVPPDLHLRQA >cds.KYUSt_chr1.8797 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54114100:54118129:-1 gene:KYUSg_chr1.8797 transcript:KYUSt_chr1.8797 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPMPPKVEPSRFRPPDAAGPTVGANCRCLFDGTPEEGSSWRGEEVGAIGRRVLGTWAPGIRLIKAHGSRVYMESPSRITGCLTMVQYLAVYVKDPPSIYVVLDPGPHGPSRIRLHPKVAANFQVLDFAKMGDAKSSWPELLGAPSDAAKEKILSDRPDVSVIVLPVGSIVTTEFNPKRVRVFVDSSSVVAEVPKIG >cds.KYUSt_contig_1130.19 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000106.1:157752:157985:-1 gene:KYUSg_contig_1130.19 transcript:KYUSt_contig_1130.19 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPSLLQRVRIRDEDNGYWAWVVELVESPGLKPSESSGAMVAGGAVDIARDGAGDADGRPLQDAFRPLPWRGRIAT >cds.KYUSt_chr5.29765 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188761350:188764157:1 gene:KYUSg_chr5.29765 transcript:KYUSt_chr5.29765 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGARVAAVQQLMAAKAKSGKSFSEIGAETGLTNVYVAQLLRRQAQLKPDTAAKLRAAIPALTDDLVELMMQPPFRSYNPDMVHEPAIYRLNEAIMHFGESIKAIINEDFGDGIMSAIDFYCTVDKVKGADGKDRAVITFDGKYLPHTEQASRWISLWAELQKTNAQQCQPGVWQKWLLNSSTGNQAVALCSNDKEDRRWLNLPDVGEFLA >cds.KYUSt_chr3.9512 pep primary_assembly:MPB_Lper_Kyuss_1697:3:55896909:55899188:-1 gene:KYUSg_chr3.9512 transcript:KYUSt_chr3.9512 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETACKRIKTEKELGARPDGAAAAEEGRSDGEISVKINSEALRCRICVEPLKPPIFKDCRNQREEAVPDLTTKLSRMIKRMMKRPLGYYSGVVQMTYLMPASVSVESLSVVDLTMEEENLKLGLCGLASLTLPDMLIWATLKKMMQKSVVDCAEKI >cds.KYUSt_chr7.8699 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52721988:52724498:-1 gene:KYUSg_chr7.8699 transcript:KYUSt_chr7.8699 gene_biotype:protein_coding transcript_biotype:protein_coding MFLARACPAPKVAVPWPLPLCSENAAIGTHVAWAILGFNEGKGGAVVYALPYAKASAFGDGAIRTTWSRCARAPTPSAYSMLLMPFQATGH >cds.KYUSt_chr3.39245 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247250362:247250979:1 gene:KYUSg_chr3.39245 transcript:KYUSt_chr3.39245 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNDTKLADAENPTAAMKCDSADDVDLAGRANWLRAAVLGANDGLVSTASLMLGVSAVKHDVRAMVVSGFAGLLAGACSMAIGEYVSVCSQRDVELAQLEHDGRRGGDEERDLPSPVQAAAASALAFSVGALLPLLAAGFIVGYRMRVAVVVAVATMALAAFGCVGAVLGRAPMARSCARVMVGGLAAMGVTFGLMRLFRASGI >cds.KYUSt_contig_1181.895 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:5909469:5909870:-1 gene:KYUSg_contig_1181.895 transcript:KYUSt_contig_1181.895 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGMSKLRCMIRRWHSSSRIIARSPSPTADDDGHRHAGGGGASFHGADEVPKGLHPVYVGKSRRRYLIAEELVGHPLFQNLVHRTGGGGADGGGCTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >cds.KYUSt_chr7.19093 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118294116:118301746:-1 gene:KYUSg_chr7.19093 transcript:KYUSt_chr7.19093 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKTQKFSKGHPLGFVPDYRYGVETVGLSKPPANHPVAQSEAKRKCVNLNTDDAPGFNVPRVFFELPKMSASDRKELETRLRDELEQVRALQSRLFSRGASAAAASMNGLTSSAGGDFNANKKDGNLRRSNSVQSGRGEPPSVARPVVSSVNYAASFKKCQDLLKNLMKHRSAGPFVIPVDPVKLCIPDYFDIVKHPMDLGTIQKKLNAGMYHTPWEFAADVRLTFSNAILYNPVGNAVNIMAHTMSSVFEPRWKPIEKKLPRPEEESSVVEHSRNVVVEKNIFDNKDPSEKKASSNKGSYKKSTFQKEDAVAKPVLQPKKRKASPLIQDAPVASVVQMPQAAEDAPVVQTAAMEMMTDEQKVELSVRLQSYGGFIPEHVVDFIKRHVNDDNDADEDELTIDMNALSDDTLFELRKLLDDYDRVNQSGNPTKDEPREVEFESEYDGLVNPSMHHDGNELIEEDIDIGGNDLPPLAYPPVVFESETADRSSKHSSSSTSSSESGSSSSGSDSSSSSGSDLGAKVPPPKSGVKENTQPVVSLDQENDSHNSLNTREGSTDPVPISADDEGENLSEKQVSPGSYRAAILKSRFADTIFKAREKALDQVAKKDPEKVRREREELERLQREEKARLQAEAKAAEEARKRAEAAAVAEAAAEAKRQRELEREAARKALQQMEKTVEINEGSLFLKDFEMLGTVTSEQHHNLVGEMSPSHTPEALGFNLGGNPLEQLGLYMKNDDEEDEEVGSDEQTIDVEEGEID >cds.KYUSt_chr4.25784 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162153056:162159854:1 gene:KYUSg_chr4.25784 transcript:KYUSt_chr4.25784 gene_biotype:protein_coding transcript_biotype:protein_coding MINNSPASRHSFTMADLVKKPVLETPPRAWFLFFFLPFVLVLVHYYWFIAKKRQQQENRLPPSPPALPIIGHLHLVGSLPHVSLSRLARKHGPDVMLLRLGAVPTLVVSSPRAAEAVLRTHDHVFASRPRSVVSDIILYGSSDVAFAPYGENWRQARKLLTTHVLSVKRVQSFRRLAIEEEQEAPLNHFDGKHPPLEKTKAERIAFWSRLGCTAKKDTEDARQVSMVMAKINEAARAGGTVDMSELLNSFVHDIVVRIVSGKFFLKEGQSKILGDLVNDSSRLLGGFNLEEYFPALARVKVLKKAVCAKAEGVRNRWADLLDKVIDDRLSNDKSKLDHTDTDFVDILLSLQLEYNLTRENLKALLMDVFFGATSSSSNTLEFTLAELMRRPHLLEKLQDEVRTIVPQGQEIVGEIDMNNMTYLRATIKESLRLHPVAPLLAPHLAMDDCYIDGYMVPAGTRVIVNAWAIGRDSTSWGDAEDFIPERFVKGGNDVHVNFKGSDFHFLTFGAGRRMCPGINLATTNIELMLANLIYHFDWELPPGLKRKHIDMTEVFGLTVRRKEKLLLVPKIRI >cds.KYUSt_chr2.46686 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291962869:291965711:1 gene:KYUSg_chr2.46686 transcript:KYUSt_chr2.46686 gene_biotype:protein_coding transcript_biotype:protein_coding MILQESREGYSSHLRNCQSSSSWYLLASMAQPAAKKAPSVYLYIPNLIGYLRIIMNFIAFAVCYSNRTLFAILFFCDGLDGWFARKFNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFILLLGLDISSHWFQMHSSFLSGKASHKDVKHTENGLLKLYYGYRPFMAFCCVASEVLYIILFLFADEKNTSLLSVCRGILKESPLIVFVFISTLIGWALKQVINVIQMKTAADACVVYDLKRGK >cds.KYUSt_chr5.38200 pep primary_assembly:MPB_Lper_Kyuss_1697:5:241477479:241488525:1 gene:KYUSg_chr5.38200 transcript:KYUSt_chr5.38200 gene_biotype:protein_coding transcript_biotype:protein_coding MILTSSTGIRSASAPTPRPALVGAAAAEPPPLPQSGLDRRVPVPSTQAFTPLFPWRKKLSNACGSLGKDEAYICSTRSRDDAGPRTRWKLDLIHREQREQRFRQIQIRDGSTSSLAIRKESPCLRDEDFHDGKRRGYSGTELSEDIWCHIHSLMTPRDAARAACVSRAFLCSWRCYPNLIFNTKIMGMLQDRGFTHRVDDILKKHSGIGVKTFELDFSRCGKPEVYEYLHGWLQIAVTPGIEKLTLVMPEDEAVSFPCPVLSDENGSSIRYLHLVHCAFRPTDNLGCLRNLTELHFDWVRITGDELGCLLSSCVALERLKLTRCPEITHMKIPSWLQRLSYLQVLECQRLRMLRNEAPNIYSFHFKGDRVEVSLGESLRLKNLHMICYRFLHHVREELPFSVPNLETLNICSHSELVDTTMAFSPSKFLHLKHVGIYIIGAYDYFSLVSFLDAAPLLETFDLRVVAFQHTIGELLSDNPSQLQQMAGYHHDKLQRVKISRFYSWKSLVELTCHILKNSSSLERLTLDTTDDRFDAATRASGGRNREGSTVGSFNDSITVGRVLYADNLPIVPQHECWILTRTDPVKLSIVPIGGIHIFNGETADSDGNTLELPKEDSALDLENSKPTQSAPEQERMPIEELIQVAREKGEHVQQPDVPTTPITPDTVDPVALEAARVKILEEADGIIKISASVLQDKVDTKSLVDRARKNWDESNKALLNARKSVEE >cds.KYUSt_chr1.9708 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59355290:59356720:-1 gene:KYUSg_chr1.9708 transcript:KYUSt_chr1.9708 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTMDLARLIAGRDGACVTVVLTPVTAARNRAVLEHARRAGLAVDVAELEFPGPALGLPEGCESHDMVSGGDFTLFNEAVRLLAGPFEAYLRTLPRRPDCIVADTCNPWTADVARRFGIPRFVFHCPSAFFLLAVLNMAKHGVHDRVAGDFEPFEIPDFPVRAVANRATSLGFFQWPGLEKDRRDTLEAEATADGFIVNTCAAFESAFVRGYVEALGRKVWAVGPLCLLDSDAETTAGRGNLAAVDAGRLVSWLDEKLLQSVLYVSFGSMARLFPPQVAELAAGLESSNRPFIWVAKEADDLDDGFDERVAGRGLVIRGWAPQMTILSHPSVGGFLSHCGWNSTLESLSHGVPLLTWPDFADQFLNETLVVDVLGAGVRVGVTVPITHKLLNPDAPAILVGRDEIKRALTELMDEGALIRARAKELATMAKKAITTGKSGAAVQPIFAVSCCTAKISLPCAARRPHGNVKTHGKV >cds.KYUSt_chr7.35730 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223220816:223222093:-1 gene:KYUSg_chr7.35730 transcript:KYUSt_chr7.35730 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSSTAAAPPPTHSVLDTIQTKLSPGVLLIVAILAMVFFIFGLLNLLVQNILRLRRARQRRLRIAAGDVDGSSPTALQGQLQQLFHLHDAGVDQAFIDALPVFVYRAILGAGKRKDLDPFDCAVCLCEFGMEDSLRLLPTCGHAFHVPCIDAWLLSHSTCPLCRGSVLADNPSPASSPIVLVLESDDSHPDTTTDHDAPGGVDSERSQKVEEEVVEVKLGKLRCLNEGNADSGELAVEATSRNDLGRRRCLSMGSYEYVMDDHAALRVAVKTPKKQRPKSRRRHLSECDFKKGAWETAVKEAAAPVDAGTRRVDDGGAVVTARLSKDSFSASKIWMVPPAKKQDGRTLASSRRSVSFRWPAMAEMSRHDGVNGEPRDVESQSGNVGSNAVPSVAERRPPSPRTTPLWVAGGWQPSGSGGSNY >cds.KYUSt_chr4.6546 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38523805:38525019:-1 gene:KYUSg_chr4.6546 transcript:KYUSt_chr4.6546 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRNCRRRRASWGDLPEDVLGDVLGRLPSFADRARLRAVCRAWRAAWRRQPHPPLPWLVVPGHCVSLPDGAIHHVAPLPDDARAAPCRGSLGNWLAFVPLASSDDPFLLNPFSAERVPLPPWPDQKHEPIRKIVMSSAASDSCVVAAMVDCGENRRRIAVCRPGDGHDQGAWWPVSLPFDLQDIAFYKGRLHALPSCHGLLVFDDGELDLLRREPWRLHEKQLPPPPAANIDYDDDEDITSRQYLLECNGRLHTVTRSVRREIHRTVRIKVHALEPDGSWVRVEFIGGHALFVGDACSGAYPAAAGAAVSTSDDLIRENQVCYVDDEMAISAELDKRSRSALTRTTVEVSSRRCDLYGSVLRRLRTVEAYITSGKQCRNDYRAGHLSARTGRWHPTRLRSFW >cds.KYUSt_chr3.3518 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19933687:19938588:1 gene:KYUSg_chr3.3518 transcript:KYUSt_chr3.3518 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAQQPGIVLTDLEQRIFDRLLGAVDRYCPGTQLRVAGGWVRDKLLGEASDDIDIALDNNMTGQDFCRQVNKYLEWIGEEQKTVCVSQRNPDKSKHLETAKMKICEVSIDFVNLQSETYAENSRIPMLAVFEFPEKLDPPILDKHDWLCVSHIEAAWKLAQLIGCSVFRGGSDSTSQVSIVSYIIGNSLKFEADAKTILNMHTASEKFAELILVLGSNGNVETFREKLSDEYLEIPTDMVNRVLAGLEGVWNKLKKPLLDGDDIQRVLQIPEGRLVGNWIHRELKWQLAHPQGTKEECEQWMKQYLLPKRQKVKK >cds.KYUSt_chr4.35928 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220829399:220842907:-1 gene:KYUSg_chr4.35928 transcript:KYUSt_chr4.35928 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAAGEGQRFKRIPRQSCARNLELDPLLNENLEQWPHLNELVKCYKADFVKDDCKYGHYESVAPPSFQNQIFEGPDTDMETELQLCNARHSKPEETTEDDMPSTSGRQTYETELSASTSKIHCTISPLPAYEPAFDWDNERSLIFGQRVPESLPATHSSGLKITVKVLSLSFQAGLVEPFSGTVCLYNRDRREKLSEDFYFNILPTEMQDAHISLDRRGVFSLDAPSPSICLLIQLEKAATDEGGVTPSVYSRKEPVHLTEKEKQKLQVWSRIMPYREPFAWAMIPLFENNHSAGAGDTASPSSPLAPSMPGSSSQDSIVEPISKFTLDGKINNYSSGTSVIIEIPNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHNDRNDVDTISEGGSMNSELHDAGELNNGRHSRNSVDGIHSSLNSSTIVKKDTRPNGQNSQAENGDNFQAFDFRMLARSEPFSQLFHCLYVYPLTVSLSRKRNLFVRVELRNDDSDMSKLPVEAVHPRDHNTALQKCAHTQISVGTRMSCYHDEVKISLPALLTPQHHLLFTFFHVDLQMKLEAPKPVIVGYAALPLSTHIQLLSDISLPILRELVPHYLQESGKERMNYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVEYTALLHFLQPILNMLLHLIGDGGETLQVAAFRAMVNILTRVQQESSDGAERNRFLVNYVDFAFDDFGDRQTHVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLNLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNVIEKREVLVVILQIIRNLDDTTLVKAWQQSIARTRLFFKLLEECITHFEHNRAGDSLLVGSSSRSSDAERPASPKYSDRLSPSVNTYLSEASRHEIRPQGTPENGFMWNRISPELGSPNQPYSLREALAQAQSSRIGATTRALRESLHPILRQKLELWEENLSSAVSLEVLGIIEKFSVAAASRSISTDYAKLDCVTSILMGLLSRSQPLTFWKAFLPVLYNIFSLHGATLMSRENDRFLKQIAFHLLRLAVFRNDSVRKRAVLGLQILVRNSFNYFKSTTRLRVMLTITLSELLSDVQVTQMKSDGSLEESGEARRLRKSLEEMADVRSKDQLIDCGLPITALEVAAEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVMTVDRCAAAEGFYKLALAYAPVPDLHIMWLLHLCDAHQEMQSCAEAAQCAVAVAGVIMQALVGRNDAVWNKEHVASLCRICPVVGTDVGTEVAAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRSYGQLAKCHTSLANIYESILEQEASPIPFVDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMVKLNRTYEAKMDGNQTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLESRRERILSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLVVIKSESLEFSPVENAIGMIETRTSALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGLLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDEEFHTQLVDGFQSLTAELSHYIPAILSEL >cds.KYUSt_chr5.20051 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130271720:130274478:-1 gene:KYUSg_chr5.20051 transcript:KYUSt_chr5.20051 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVLRTYSVPYTAGAICRRRRKRPIRSVSVRASWQELAGVLVFSAVPFTAVKALAGSPLGARLRRRLEARKASAAAEADALRAAARQARRSRYALFPPGQKPSRYLSLVSSPLVSANQSADTPDSFVEFFSDEAFGLGRDPVAFANYFNFEILHCRWAMLAALGVVVPELLDIFGIVHFVESVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGIIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDLNYPGGALFDPLGLSKDPVAFEELKVKEIKNGRLAMVAWIGFYVQAAVTGKGPVQNLIEHLLDPLHNNILSGFL >cds.KYUSt_chr4.48552 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300699727:300700431:1 gene:KYUSg_chr4.48552 transcript:KYUSt_chr4.48552 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPQGPPIVGGAPPSAAVVDVPVGGPPNAGDVSAMISATIPSKRKRLPKQFFEAPAAAAAPPAAAPPAAKKGCRMKTKAAGPRGAPQSKVKTKAVSRISLAPPPSSKATAPPPSVPSAATPAPPPPSMDVDKVFDVESTTSYMDMLNESAVDLDAGIDAFDGESNVEEIDDEEEDEDDEEVVEVDPAAAGSSSTPKPHMANYSEIEDAILVRAWSKVGMDECTGVDPMSSTSS >cds.KYUSt_scaffold_3611.90 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:463822:465428:-1 gene:KYUSg_scaffold_3611.90 transcript:KYUSt_scaffold_3611.90 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTFHLYCVPVGLALVSLLLVFARYKRSAAHGLRLPPGPWKLPVIGSLHHLVGKKLLHRALRDLALRHGPVMLLRLGEVPTLVVSSREAAREVMKSLDTTFATRPLTSPTLRVLSSDGRDIVFAPYGEYWRQLRKIAVTELLSVRRVLSFRAIREEEVAAMLRSVEAAAADGRPVQMRARLSALMADITVRAVIGDTCKDRDVLLREVDHVVELATGLNPADLWPSSWLVGRLFGGSVRRTQEVHDTMFRVIDGIIQEHLLERKGEEEAQDLLDVLLKIHKDDGGLDMLAVKAVIFDIFSAGMETSSTALEWAVAELIKNPRVMAKATAEVRRAFEAGGTVMEQALGDQLPYLSLVIRETLRLHPPFSLLLPRECREACQVLGYDVPRGTQVLVNAWALGRDKRYWPNAPEEFRPERFEGEEGSAAGRDFRGTDFELLPFGAGRRMCPGISFGLANVELPLASLLLHFQWNVVSGSAEQFDMTEAFGATTRLKANLLLRPVLRVPLPRL >cds.KYUSt_chr3.3407 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19408870:19413401:-1 gene:KYUSg_chr3.3407 transcript:KYUSt_chr3.3407 gene_biotype:protein_coding transcript_biotype:protein_coding MESHIIHMNLKPRKILLDGHKMVPKIGGFNLARLVGTEMTQVTMVTRGTLGYMPPEFIHRAIVTKGFDIYSLGVTILQLMTGREMYGKLYDMSSEKFIAMAHAKWRERLQKTVDGTSVEGYCQQVQKCLEIGVKCVEYDRHKRPAIGDIIHVLNETEISIAQSQNGLDSEDSELLLEVYPMELCFQAAMSSEVARNKKASMMSSSCSLQLKNKANDRVAFMLVANSPKRYLTKEPLCGVVPPRCAYTLTHTMRNNKLSSDSGSVDFFTLYSAAVDEYDLVNVDKDSVFKKYDTFFKKAKEEVQEVTLKVICDRPAADRGTSSSEDYDDESRGKPSYLELPYNVHVAKFITREKWLVIGDRDGGILVYNYEEEEDVKSFDAHDSCITTLAVHPTSPFVLSSSEDDDHMIKLWDWGNGWKCTEFGGHTDRVMQVTVNLENNDSFASASLDGTIKIWSICSDDPNSIITLKLAEHGLCADYFTRYNRQHLIAGCDDRNAQIWELRMKERVHELEGHDDRVTAVGLHPELQILITGSLDGAVRIWNSTTYKLENIIGFNLGAVYAFGCIKGTRRSQPNPLKSPHIRWSAAPICLSASRRRQIEPPLLPPASNRMRASRSSHLSSRRRVWCRGAAPAGAGDGQVGRGGSSTERGGSLNPPPASEKMEAEKIRSDAARIRGSYRFRSYGVAALPALAKRKSKHYRRRASSSSAASSSSSSCQHPSMALVVKMEEDDVQPPSFKPGDYLDDVELERLLPQLGVDAGLAPGDFVDERHLDTVVGLVALEPA >cds.KYUSt_chr3.45943 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289254667:289255439:1 gene:KYUSg_chr3.45943 transcript:KYUSt_chr3.45943 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTGLLRSSTNSPPPTTQPALAASAALTVSLHPPKSVDRHEDEEGGGGRGGNGRARLAATALVGVVRRTLVPEPGFFFVGDLTTDRGVLGRHGAAEASFFFFFLLKLLLGSSTTTSLSLQVRTLRYPADEAEEADEVVAVEMKELAAENEEKEELVDGVGAGELEASGSGCTATVLRLWLRVGEPPHKEMESSPLPARGVEGEDEEQGLHLLTQVPMVETNLSSLSNVHAIYIYMCS >cds.KYUSt_chr5.6726 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41680709:41683521:1 gene:KYUSg_chr5.6726 transcript:KYUSt_chr5.6726 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGGDESAPSPGLVRGKKRPPSPSNSPGDGEGSPTSDEDDNPWAVTDDEEEDEYQGKYRPFTVDDFPRVGTYEQQYALYDNPEISLRGPSLLWSLHAFKPENDRHPCGTQYRLSDESEISVNNGGTIDCSNECRCRPMNLLQFIDLKMAGYQHPQPGSAKIFGFFATRDRVEPLRNYVYRREINNYEAVTVKPKTGMARLSLCSPARGCAEFSNLMESKSFIGNRRIYGEKCGLDVKFLVLINAVQAFVDVEILCAPASGLNLNLYAKTSGFSDVILLYQGVAEAGCRMSSVVAVEIHSYLDLRIKGTPKDDGGVSQKLLSCVWEDSFDSCYHGMVDKVVNLDESTTVSVKITWRTVD >cds.KYUSt_chr3.15022 pep primary_assembly:MPB_Lper_Kyuss_1697:3:91538281:91540278:-1 gene:KYUSg_chr3.15022 transcript:KYUSt_chr3.15022 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLENNGHGQAAVATQLLQRGGGEESKWYEEEIDDDLKLCYSLNSVLHRGTSKYQEIALIDTKHFGKALIIDGKMQSTEVDEFIYHESLIHPSLLFHPNSTAYCPDLRKHAAMLSSSENHCSPKTVFIMGGGEGSAARETLKHKTVQRVVMCDIDEEVVDFCRTYLSANWDAFANDKLRLVINDARAELEKSTEKFDVIVGDLADPVEGGPCYQLYTKCFYQNVLKPKLDDHGIFVTQAIFFLDRRASDRSVDLARSEFVVRAGWASRRSDAQGGLLLHLQHPEARLQASICLVLLITDVKAYTAHVPSFADTWGWVMASDQPFTLTAQQINQRIVDRIDGELLYLSGEFLISSTILNKSVCVSLLNETHVYTEDDARFIYGHGMGHCA >cds.KYUSt_chr4.52042 pep primary_assembly:MPB_Lper_Kyuss_1697:4:323020393:323022374:-1 gene:KYUSg_chr4.52042 transcript:KYUSt_chr4.52042 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPSIKITLAVDRSRNRVLFADTGSDFVDVLLSFLTLPLSAVQFSAAGASSPGCLSNLCDSVDRLRGSKLLKVDACHGMLLTPSHRHEFGCWIIMSRLVHVYCNAISGSESFVRCKERFLITDDWKIKPASTSTIRSLIHKFSSDAVFHGFEEVEVCVSWKDVVSMLKASLLTNTTFTDVFLSKGTDDHAAHPTVKPASVDQKIKVTSGSLSESKIKLFYDRQENKVMYAECKHDFIDLLLGFLTYPVGCLIKNMRDGDVTSHFGSNRFDNLYNSVIDLDATGFLTGGYRKEALLDPPLSPLRKHPECFTLKEGDHEPENYMGLLSYTSCNGCCHDLVEDRKYVVDDDLLIHQASVMSVAKHWRRDEANVVEMDITMGKHEVVVLLQAMLTSKTVLTDVFIDRLEAVLPPEEPQGARP >cds.KYUSt_chr7.41222 pep primary_assembly:MPB_Lper_Kyuss_1697:7:255446622:255448511:1 gene:KYUSg_chr7.41222 transcript:KYUSt_chr7.41222 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPTPTPTPTQAFFFFFPLNSARHLSSPRTAGARSSCSCSATTTPLCHTSRRRRPTPLLRVRALPHAVSLPLIAASDHWGNWTVLLATAALGIWSEKNTRAGKALSGALVTVLLGLAASTAGLVAADAPAYRVVYDYLLPLAVPLLLFTADLRRVLRSTGALLLAFLLGSVATTIGTVVAFLLVPMRSLGNDNWKIAAALMSRHIGGGQSSLHSLVSPSVLAAGLAADNVICALYFTTLFALAAKIPAETMQSIGDSEPATAAGDKLPVLQSATALAVSFAICKAGKYMTSLLGIQGGSLPCITAIVVSLATLFPSHIGKLAPSGEALAVFFAVVGANGSISNVINTTPGIFAFAFVQITVHLLLIMGAGKLLGFEDKLLLIASNANVGGPTTACGMATTKGWASLMVPGILAGIFGIAIATFLGIAFGVFVLQYM >cds.KYUSt_chr6.5247 pep primary_assembly:MPB_Lper_Kyuss_1697:6:31179511:31183123:1 gene:KYUSg_chr6.5247 transcript:KYUSt_chr6.5247 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPGPGPLWPEACTRGEGRRKRRVPSGTRTIISLTAPLSFLSLATALSPLSNCHITRRFPSRRPIHRTDVRRSTATGGGDIGAQHCDIGRNLLRSLHRHLDFARDLELVLGWNNGGNDLSLSPSYSFWQNMPFSGISDDMHIRSSRVSIRIAVGLTFARWFGEIPSNIIITTNTGCYWRMTMVRKGDDAYIDQGWAAFAIAHQLQIGQFLVFKKGGIRRVVLMVRIPCAAEPIQIVADPNDPDVQDPYWASKGQVRILIRIVRITSAAVSIYIVAYPNDADSPDPDCIRLHYRVSPRFRIIGRQGYGFSVV >cds.KYUSt_chr7.30640 pep primary_assembly:MPB_Lper_Kyuss_1697:7:190665067:190665495:-1 gene:KYUSg_chr7.30640 transcript:KYUSt_chr7.30640 gene_biotype:protein_coding transcript_biotype:protein_coding MARATVAILFFILMVATVSATQAPVESPKASKVTDANAPAKAPEAAKKAKSLEAAAAPASRKSGPAAAPPSKSSKANSSPDSSVSVSAGDLPSPPAPLAAISPSADGPAEDPADAENSGTAALGNGATIAIVAGAVASVIFA >cds.KYUSt_chr1.40183 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246181548:246181850:1 gene:KYUSg_chr1.40183 transcript:KYUSt_chr1.40183 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATAVLHINMDRSPYLNLRLNDDVTRHNLECYLHGLAGDQGDAKDFEMVVDRDVALVNKSADALKDDYPVPANWWAINHKHKVKGVVGRWTFDNINDL >cds.KYUSt_chr5.28322 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179413738:179414064:1 gene:KYUSg_chr5.28322 transcript:KYUSt_chr5.28322 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGKTKQGRTAAAEEDSMGMPSIEALAMAGFRSHGHDVDDSMPPEHLRAFEAYLEKVVPVDMIMASRRVEDARLRRGGKPRSHDDDMKEKLKLWVKAVVKKTMERR >cds.KYUSt_chr7.1016 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5486858:5493871:-1 gene:KYUSg_chr7.1016 transcript:KYUSt_chr7.1016 gene_biotype:protein_coding transcript_biotype:protein_coding WLTLNRNTNDHGNILKSRGHLASPQVEGVRLRPPPLDKSGDCRDVHVRRPPAYKRVEREKTGSLDVLRVPLVIRHVTHSTVDGWMCGDGIWISITTVEMPLALIRSDLYLHLLSWSALVVGYWGGGWCPPLHCIAFACIKPRLKQPFPSPGVPFLPCFRLETDGRTDRPVKVEKPACPPAMADLQEPLVRGKRKKSLVDYLVQFRWIFVIFFVLPVSSLIYLNIYIGDMWSAMKSEKKRQKEHEENVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDADRMVAKVEPLVNMGQISRATCPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVALEIVLADGRVVRATKDNEHSDLFYGVPWSQGTLGFLVSAEIKLIPVKEYMRLTYTPVKGSLKDIAQGYADSFAPRDGDPSKVPDFIEGMVYTETEGVMMTGVYASKEEAKKKGNTINSVGWWFKPWFYQHAQTALDKGEFVEYIPTRQYYHRHTRCLYWEGKLILPFGDQFWFRYLFGWLMPPKVSLLKATQGEAIRNYYHDNHVIQDMLVPLYKVGEALEFVHREMEVYPLWLCPHRLYKLPVKTMVYPEPGFELHQRQGDTSYAQMFTDVGVYYTPAFIFRGEEFNGVEAVRRLEQWLIENHSYQPQYAVTELNEKDFWRMFDASHYELCRQKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAMLEPAYAEEA >cds.KYUSt_chr7.4987 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29883067:29885404:1 gene:KYUSg_chr7.4987 transcript:KYUSt_chr7.4987 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTEELHRQFIEAVDCLGGADEATPKRILQLMGLKGVSISHIKSHLQMYRSSSSSSNNNSPPNGPLDRREDHCADGNSTAALVPDQINACYAVPRHGVHHSSSPYQIPPSIEEILSTWEESKGRLPCKSSNNILITAQKEIVWPSQCSNTVQIKNWTATGCDLTLSIGSQWEEEVVTTSSNDKDRTTTIEESAPPARDRAGLNLDLNLNFNLNLSVSSSSWLT >cds.KYUSt_chr2.18350 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115628378:115629013:-1 gene:KYUSg_chr2.18350 transcript:KYUSt_chr2.18350 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSAAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGMVTIANGGVMPNIHNLLLPKKSGGSKAAPADDE >cds.KYUSt_chr7.21205 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131348477:131349244:1 gene:KYUSg_chr7.21205 transcript:KYUSt_chr7.21205 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRRSRSDLKARITRDKSQAKPGELVSSGIAAALVAVTALGPNLQKLNHKTHQPNESTPNHNNRPTREAIPTDPGMNWKFEHGKEKNIVPPRSRGRAGGGRVRRVRPRAHHHCRDCAGSQMVRLLLAMESTETLAAEADVDVCARVRTIMGKCWFRAGDQALVGARVSWARKYLRGRAPWCRRHVRGGGGGSLRWRWTWLGRRRRRELAWGMDVARDDERNKTDEREEMEEIGGKLPVWLITRWNWTALTTSIR >cds.KYUSt_contig_1790.279 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1408366:1411819:1 gene:KYUSg_contig_1790.279 transcript:KYUSt_contig_1790.279 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKVPAVISESTNDPGSSVYQASSSVSKLVDEVQSMAVSAAPSHSQLQQIMSVPKGPGETSTEPFKDRLLKDESNVASNVGNLSMANAQSGPVTPQSQGTEYSLNLYMHQTIHGPNHNQINIADPKQPMLFGYTNVHDYPIHDGLGPSAKIVARAQGLHAETSMNDDDWFHWSSIVFIDERFRGSSFKAIGNQNKIEGQWAIVGGTGVFTFAQGTISIYRIQDNGPSNIKEIRINAFCYTPPQTTASETKVMITF >cds.KYUSt_chr3.17747 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108904647:108907951:-1 gene:KYUSg_chr3.17747 transcript:KYUSt_chr3.17747 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAERADNVLKCLEVEYKPESISDPTFHGLTDNDAERCRIHDRFPKKAIAFEGTNIGMQFPKKKSHEEQVVIEFANMENRRNLHIEEKKRHEGENKELNEEANKKLEYMLYDLLKINDQNKEKMNNIMQVDAV >cds.KYUSt_chr3.15816 pep primary_assembly:MPB_Lper_Kyuss_1697:3:97134612:97137987:1 gene:KYUSg_chr3.15816 transcript:KYUSt_chr3.15816 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRCRRAAHPGVLDEVEVATGSQKGLDLVQVDAGVEPPPRSGKSRAASRKCALLGRSIRENACLLKLAEEHPENLSYLTAPLRDPIREEANAGTHNGCKSYYYGKRIGMIMI >cds.KYUSt_chr4.45624 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282316858:282318150:1 gene:KYUSg_chr4.45624 transcript:KYUSt_chr4.45624 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKRPAAAVLNAGHVMEQGSDDYNSKRRRVRVGSMEEYEPTDVLGEGAFGIVKKARHCFTGKTVAIKFLRPDTDASELQEEGRFLEACAGNPYVVGSYGLVREPNTTKLSLVMEYVGPSLHASLSKRPPLPEAIVRRYMWQLLTGAQKMHERGIVHRDIKPANILVGEDGKILKFCDLGLAMSLATEKTPYYDAGTPPYMAPEMLLGKPDYDARVDTWSLGCVMAEMLAGGKMLFSDKGGSRHAVKISQLWDIFSLLGLPDERAWPELASLPLAGTFLRWFPARQHNTLGERFHEEMLSHDGFQVLKGLLECNPEKRLTAAAALRLPWFLPEIDNLPVPGKMVVRIKLSLPATLKKKNLQRIKTIPPGPATQKMKKVKPIKNIVAPATPKKKVPRIKFITRATPKTENVLRIPLAMWEKARLMDPCKC >cds.KYUSt_chr6.7900 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48458383:48461250:-1 gene:KYUSg_chr6.7900 transcript:KYUSt_chr6.7900 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPLCLFTGSIMAGEVPLYKDASAPVEARVCDLLGRMTLREKVGQMAQIERTVVSSRALTELGAGSVLSSGGSAPHDRASPSDWASMVDDAQRLALSSRLAIPILYGTDAVHGHDNVLAATVFPHNAGLGASRDAELVRKIGEATALEVRATGIHWAFAPCVAVCRDPRWGRCYESYSEDPEIVRSLTTLITGLQGVATVMASYSQWNGQPLHASRYLLTEVLKGKLGFQGFVVSDLEGIDRLSEPRGSDYRYCIAQAVNAGIDMVMIPFRFEKFLEDLLFLVESGEIPVSRIDDAVERILRVKFISGVFDQPFSDPSLLEVIGCKKHRQLAREAVRKSLVLLKNGKNQNEPFLPLAKNAKRILVAGTHADNIGYQCGGWTMSWNGDSGKITRGTTILEAIQESVGVETEVVYEESPTEATIKTREFSYAIVVVGEVPYAEGSGDRTDLSIPFNGSDLITRVSCKVPTIMIVISGRPLVIEPQVLEKVGALVAAWLPGSEGMGITDCLFGDHDFVGKLPFTWSRSIDQLPVHVGDANYDPLFHVGYGLKCSELTEI >cds.KYUSt_chr2.3301 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19646119:19647129:1 gene:KYUSg_chr2.3301 transcript:KYUSt_chr2.3301 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCNKVMEEEVLVKKITELAAAIGELPSLVPSPEVNALFSELVVACIPPSTVDVDLLGPEVQDMRARLIRLCSAAEGHLEAHYSDLLATHDNPLDHLSSFPYFNNYIKLSQLEHSLLARHIPGPPPSRVAFLGSGPLPLSSLVLAARHLPAASFDNYDISFDANERARRLVRADADAGARMAFVTADVADVTDELAGYDVVFLAALVGMAVEEKAALVAHLGRHMAPGAALVVRSAHGARGFLYPVVDPEEIRRGGFEVLTVHHPQDEVINSVIIARKEAAPPALAADADAPVINGSLKAQCAVAVSRPCLGCTCEMEARAHQKMKEMAMEEMEA >cds.KYUSt_chr5.14454 pep primary_assembly:MPB_Lper_Kyuss_1697:5:93824595:93828547:1 gene:KYUSg_chr5.14454 transcript:KYUSt_chr5.14454 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLVTALVVWLVSHGTYMATASAAWDDQDFFRNCPPYRCSKDGPEIRFPLRLDSSNTSSSSSCGTTCVKLACSGQDTIMLHPFLGPCNVTAINYTSAALSITPLTSACTLIQKFISASSPPADADHPCTPHYSRTGTLVGCSREFTPSGITQFPVYDDEDIYYASVSAADNIAGPLSCLSNTTHFSYLVDDYAYMYDLPLDCKVVSDAAFPMFSTGYYGSTSKQVGEEETHRFYHIEASWSEPESSSVPYQCQKCEQNGQCCAFSSQRNITFCLSQPHKATSSAAAFVVLVLVVATVLYLSLKTRYSEEIHLKVEMFLKTHGTSKPTRYSFSEVKKITRRFKHKLGHGGFRNVYKGELPNGVPVAIKMLENSNGEGQEFINEVVTIGRIHHANIVRLLGFCSEGTRRALIYEFMPKDSLEKYIFSDNSSISREHLELHKMLDIALGIARGMEYLHQGCNQRILHFDINPHNILLDYNFSPKISDFGLSKLCARDQSIITLTTARGTMGYIAPEIYSRNFGGISYKSDVYSFGMLVLEMVSGRRNSDPSIESQNQVYLPEWIYEKIIIGDEMVVTLEMTPEEKEKMRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLHNLQMPPKPFVPSENHLMP >cds.KYUSt_chr2.47391 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296468668:296469654:-1 gene:KYUSg_chr2.47391 transcript:KYUSt_chr2.47391 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVAVSAPDLFSFHPSIAPLPPPPADADGSDFEFRIPAAAAALSAADELFSGGKLVPLLPPPPAPLPSLSCSPPPCQEDPLPEPASPRAPRCAGRRWRDLLLLVTKKPKPAGEGACRTEASLGRRPLLSRDSSSSSSASSCDSGGRTARRPPPPSRSPLRTRSAPVASLLHLISNKHPADCRNGAPPRRQHQPQPLLTRVSSSSSASSSDSGRAPWHPRAPARHRPAVAAESPRVSASGRVVFRGLERCSSTPAGAGIGGGARRPRPRGMERSYSTNVRVDPVINVFGFGHLFPSSPAKEKKTDVAAAGRRNRPEKLAMVLRDPQD >cds.KYUSt_chr4.15494 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95732487:95733442:1 gene:KYUSg_chr4.15494 transcript:KYUSt_chr4.15494 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLLKDGNGCECRWSCEHKQEAGGVAGRPITSPSGEGKELTNQLLDKHSGYLRRLWRKVSGKKKNGRLPRDARQQLLRWWQLHHIWPYPSELEKHALAESTGLDTKQISNWFINQRKRHWRPTPLNVGVQGRLQHINGASTL >cds.KYUSt_chr1.8586 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52599781:52600894:-1 gene:KYUSg_chr1.8586 transcript:KYUSt_chr1.8586 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHLLLVLMALLAFAFKLSVAQWTPATATFYGGSDASGTMSGACGYGNLYNAGYGTNSAALSTALFNNGAWCGACFTITCDSSKTQSCKQGTSITITATNFCPPNYALANDDGGWCNPPRQHFDMSQPAWTTIADYQAGIVPVNYRRVPCQRNGGMRFTINGHNYFELVIVDNVGGSGVVVQMWIKGSNTDWMVMSRNWGALWQSGAYLNGQSLSIMVKADDGRVVTENNVAPSNWWFGATYTSWVQF >cds.KYUSt_chr3.18229 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111968353:111968796:1 gene:KYUSg_chr3.18229 transcript:KYUSt_chr3.18229 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFRSASPGLRDQVRRRLAVALFLVLLLWKEMKMERCCAAGISVNNKVQVRVIGDSVFSASDVRPLPLGRHGGGTRLWPDVDGGESEELQGICGATYLWRSTSVALDWLPTEDVVGRLLQFLMRRHQDFNLLRRPSVDSRRHFTSF >cds.KYUSt_chr3.4550 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25825757:25826155:1 gene:KYUSg_chr3.4550 transcript:KYUSt_chr3.4550 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAPMLPLATKAGQEPKRSTSHSAAMVIPLTSMAGQEYHNLAAILHRKKTCIHRFIEGDHVAPIPATLRAEEQQQREERPYTMDSAGEIHGTAPSYRADAQTRQNAKTEKNLQDLLPSYSGAAPEPAPAS >cds.KYUSt_chr1.26333 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158677443:158681751:1 gene:KYUSg_chr1.26333 transcript:KYUSt_chr1.26333 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVPYSLLWRIVPGEGVHAVGGGEDAVEIGEDVVGCEGNELTSEQEDSPRRSKASKGLVKRARMAMRVPPRSLTSSTRYVNGLPSGRSSKNEVGSTSTSWEETADVTLTCVVWKWNNICSVAAANGTNGAAGSSVIAASATARGANAANSTISTASTAGTSTTSSSSSPACSGGTPDDAGRNTAGASPSRPHSNSTAGPADNASASTSSSGGPSHAVRHTTSSPASNSSTGTSTTASGAAHAVRHTTTSSTSGIAGTTSTASGTTSSSSGTSGSSWAIAVAAGHLEDGLDALHQAGVVADEVAEDAVHAAHHHRHMAGARRAAHDRLRTRRRHARAGAGAGVDAHRLQAPVEHLTRNVGSSSEKIITATCTA >cds.KYUSt_chr6.22888 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144651991:144662440:-1 gene:KYUSg_chr6.22888 transcript:KYUSt_chr6.22888 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPLRLDIKRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTDLPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWACTHIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSIGSPDPNFTLDGHSKGVNCVDYFTGGDRPFLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRIVIGYDEGTIMIKIGREVPVASMDNSGKIIWAKHNEIQTVNIKTVGAGNEIADGERLPLAVKELGSCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSTDGEYAVRESTSRIKIFSKNFQERKSIRPTFSTERVFGGVLLAMCTNDFICFYDWADCRLIRRIDVNVKNVYWADSGDLVTVASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSQSRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVLRGDFDRANDILPSIPKEQYDSVAHFLESRGMLEEALEIATDSNYRFDLAVQLGRVDDAKAIALEVQSESKWKQLGELAISTGKLEMAEECLLHAMDLSGLLLLYSSIGDAEGITKLASLAKEQGKNNVAFLCLFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNSKAAESLADPDEYPNLFEDWQIALNVEAAVAPKRGIYPPAEEYTIHAERSNESLVEAFKNMHVHEEEDAHEEDVHDEEELTNENDTVHEGQDSALLIPTVAATSVLSVPLPHPSRSSFLSGPPLPFISSTSFSFLGPPWSSFAIHLLQSTAPKSLVPKVFEDDGVEDSQEDAVEVDADGSTDGTIHVNGNDSEEQWVLTPDQ >cds.KYUSt_chr6.19844 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125134135:125135649:-1 gene:KYUSg_chr6.19844 transcript:KYUSt_chr6.19844 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQDVVGLVNKVTDVFPPSASTPLLLCGGEQADLSDDDGLMEASNEEPGLLAFKSTSVTTWYVNMPIPENAAVRDRSKHLPWRIELHSGNQGRTEPKVSTIAEIATFESNYIMVLSTPYTQSSLAFSP >cds.KYUSt_chr4.51854 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321958628:321959395:1 gene:KYUSg_chr4.51854 transcript:KYUSt_chr4.51854 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNSSIPRLVIDPRSWITPTTPSSEPPSIGATSIRPSFVSLIIMHLKFVALRLARSVALRDGPDLRCMDSAAAPRFSTRQAYSLLSPVHPPDPSSGISWSLRLPSKVKLFAYLADIDCLSTRANLFAKSCAPSVVCAACPAVETARHLFFDCPASARIWRRLDVPIPAGQFSVWDLPAPLPITSDFWRAGVAVTLWSIWKARNDLVFNAVSSSLTVILRRVCDDLLLWRWRYRAADRPSFDLLRSFILSRLWDS >cds.KYUSt_chr1.32620 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198125366:198129594:-1 gene:KYUSg_chr1.32620 transcript:KYUSt_chr1.32620 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSAGYVDVPLGNEPEHQQHQPPPATGPVMRKQPSRLASGMKRLASRVTSIRVPDSVMGLKRSHSSAQPALRGLRFLDKASAGKDGWKSVEKRFDDMSGEDGRLHQENFAKCIGMADSKEFAGEVFVAMARRRKIDPDQGLSKEQLKEFWEEMSDNNFDARLRIFFDMCDKNGDGKLTEDEVKEIIVLSASANKLSKLKKHAATYASLIMEELDPDGRGYIEIWQLEKLLRGMVMAEGTQDQMDQASTSLAKTMVPSSYRSPMQRRMTKTVDFIHENWKRIWVIALWGIVNIALFIFKFVQYRRREVFDVMGYCVCIAKGAAETTKLNMALILLPVCRNTLTALRSTALSNVIPFDDNINFHKVIALAIAIGAGTHTLAHLTCDFPRLVSCPSDKFQEKLGPFFNYVQPTWGSLFASTPGWTGILLILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVFAYILLVMHSYFIFLTKEWYKRTGWMYLAVPVLFYASERATRRIREKNYGVSVIKAAIYPGNVLSLYMKKPASFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNVFGKACEAEVSSKKATLSRLETTVIAEGRGEDTRFPKIFIDGPFGAPAQNYKKYDILFLIGLGIGATPFISILKDLLHNLKSSQEMQSMQDEESGGTFKSNGPSRAYFYWVTREQGSFEWFKGVMNEVAESDRDNAIEMHNYLTSVYEEGDARSALIAMVQSLQHAKDGVDIVSGSKIRTHFARPNWRKVYSDLANTHKNARIGVFYCGSPTLTKTLKDLAIEFSHTTTTRFHFHKENF >cds.KYUSt_chr1.39028 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238607621:238608466:-1 gene:KYUSg_chr1.39028 transcript:KYUSt_chr1.39028 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIQVAELLSEEEEIRSMAADDKVDPYVEESLRAEQSDMQRMLADADEFPTVAMLDWVDAREGYAVALRDAADEDLADLEHGLAVFAGRAGEGALVSELRRQAAWCAAMRADADALAADARRLRDGWLRHAAAAEDGAAEDALVATAAETLLEFVAREMDVGGVPEADAARADAINAAATARPGVAARFAAEFVGRLVDRFRRGAETYAGQQEKAVADGLRRRAVEVEMLCADPELLVPRLQASGWWMFWMLMNRHAATPAATAQKPTPPPPRHIRILD >cds.KYUSt_chr4.18984 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119229284:119232992:-1 gene:KYUSg_chr4.18984 transcript:KYUSt_chr4.18984 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQEFLPSANNHEAGSSWRIAPAALDKGPPAPLSRDRIYVSIAVAQMFWDAGVPMPWGDVHLPHGWHLSPDRVPVPPIPDSGRARNAEIRRRRTQLSADIQEHPAYGDTSPNWDLWFEGGEISEKIFGTFALSVGAGVSNPDARTSKICGDGSRRSGWSCECGRAVGAPRAVARLAVAHAESQYRPRDGPFQLIDVFAIVNTVPAISLLAFVFFNSGIPMAHG >cds.KYUSt_chr3.28677 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179046121:179046876:1 gene:KYUSg_chr3.28677 transcript:KYUSt_chr3.28677 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEVSASLFRKCTAASALDGEKKKQRLSEIQSGVQEAESLIRKMDLEARSLQPSTKAGLLAKLREYKSDLNNLKSEVKRISAPNARQATREELLESGMADTLAVSALTYSGSIAA >cds.KYUSt_contig_988.398 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2371542:2374111:1 gene:KYUSg_contig_988.398 transcript:KYUSt_contig_988.398 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSLLMGDSSGDEDVGGVDGGAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFSVSRKQELALRHLVNRPTKLKPQDLPMESYYINPPKASRFASSPFSPNETPKMAARLLIPILLVLLVVSHVALASIVEETCAKVENISLRKELEAVCVTTLQAAPGSATADTHGLAVIATNLTLVNYTAAVATIKDLQRHGGWTVGQQAALATCRERYTEGINAMHRAIQALATMQKQAYEDNMIAAVRASTDCAAASVAADKEESPLRKVNADAEHLTVVAMVIFFLLYV >cds.KYUSt_chr6.17997 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113263365:113263949:-1 gene:KYUSg_chr6.17997 transcript:KYUSt_chr6.17997 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPCALFAAAIQPALEASLQRHGKRAAPLSLSPAAAAAAKNSAKRPRLSGYDADCNLREMEWNVEPQQQTSPGRLKAAQADPIQEGFFTRDSLVAWMDDLARHHRLAPDTLHRAVSYVDRVLSARSPSATTTAQGDDYELRLLGAAAVFTAARFEGRRKLNAADVAWYCGFATSKEVIHMQREMLATLRYELS >cds.KYUSt_chr4.40060 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247396990:247399386:1 gene:KYUSg_chr4.40060 transcript:KYUSt_chr4.40060 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDKDILTKLLLDAQDQDDSIRSVAAGKLKQRQEQDFPDFLLSLSAELLKDTSPPDCRRLAGIIFKNSVEGKYSEDDNRNIKRWINLDELIKSKIKESLLITLGSSAAEARHASSQIIGKLAYIEIPSRDWQDLIDILLGNMAHQGASPPLKQATLEALEYVFEEFLGLKQDAIDGVLDSVIRAMNRTEQSSEVCLAAVKALHNVLKFANFANEDCRKRIMTAICNAAKSDEGAIKEGAFGCLTAIVPEYYMVLEPYMETILSLTTEALKGGAEKVALQCIEFWSTICEQVIKLREQKKHFAHVSSTADCRFIEKPLCSLVPVLLGTLLNQEGDVDALNIFTSATTCLGLVARTIGNAIVPLAMQFVEGNIQMAESRSRKAATYALGVILEGPSIDKLAPVVGLLVDRMEDPNIEVRGAAVCTLGRVFELLHSPALAKRFFTDEDFRRIMAVLSKSGKDVPEVSKEVCRAIYFLARGYQTISSEVDHSKKEISSELSPFLSGVINALLSASELDKKTPFGTRASASAYEALTEVVRVSNIHDYKASIAIRVLMPRIMRRLNTALDAEAITSSDKGNKYNLQALLCDLLLVIIQKLGQSCEADMVKEYAQFVLVLFCRVLTCDCSTARDKAALAIGALARAVGPKFVGLMSIFLQYYNVNLFSPIYLEVIGNIFHVLGDEILPYCDYMMDVLFEGLSERALKPQILSCFGEIALAIGKDFEEYLQAVIQKLREADNPRYYANIFDEDKVDYGSQLRQGIFKAYSGILRGIKDPKSGLKVAADLFEFIEAVCKDENRCT >cds.KYUSt_chr6.24519 pep primary_assembly:MPB_Lper_Kyuss_1697:6:154851039:154853430:-1 gene:KYUSg_chr6.24519 transcript:KYUSt_chr6.24519 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCWKTYCRRSCTCAGGIWLCRRSLDGAPAVADISPAHCLVRRQWPFITGAFAPAGSWCAGNGPFRFAGGNEVVKDSSTHEGRQVIDEEDETNCPGKHYPKSDADEIERKWVRRYIKQLGEYSDIYNEIMAREDDDDTPFPPHPLKVFPHATAKCILGDSNCYHRVYKTHDTSASNFIGSWILHTERDASVLFGVLVKLPGILSH >cds.KYUSt_chr2.14405 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91116139:91116675:-1 gene:KYUSg_chr2.14405 transcript:KYUSt_chr2.14405 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPEAEGQKWAKQDDEAGYAEDNTSTPWLKLGSDALTSEEANPPEAKPAATPQWTFSCNYCMRKFFSSQALGGHQNAHKRERCAARKSHSFQQLMMGFPPTASFIPPMRVYPHSTVLTAQDERPAVVARFHEGQMRSWMPFAVEEAGGLVWPGSFKGNLQEPKKQLEQNIDLSLHL >cds.KYUSt_chr6.26717 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169357392:169359000:-1 gene:KYUSg_chr6.26717 transcript:KYUSt_chr6.26717 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQAYNLCLFLALLLPLLLLKLLTKRSGGNGAVRLPPGPWRLPLIGSLHHLAGGPLAHRVMADLARRHDAPLMYLKLGEVPVVVATSPEAAREIMRTHDAVFATRPWSPTIKIYNADGQGIIFARYGALWRQLRKICILELLSPRRVQSFRGIREDEAARLVEAVAAAPPREPVNVSERIAVLLADSTVRALIGDRFKRRDEFLQTIDEGVKLVAGFNLCDLFPSSWLARFVSGTARLAQENHRKCFELMEYAIKQHEEHRAATAANGAVENGEDLVDVLLRLRKEGGLEVPLTMGMIKAVILDLFSAGSETSATTLQWAMSELMRNPNVMRKAQAEVRDKLQGKPKIGEDDLANLKYLRLVIKETMRLHPAAPLILPREAMESCIILGYDIPKGTTVLVNAWAIARDPKHWEDPEEFKPERFESGTIDFKGTDFEYIPFGAGRRMCPGITFAQASMEIVLAALLYHFDWELPDGVKPGELDMEEEMGLAVRRKNNLYLHAMVRVPHV >cds.KYUSt_chr5.30907 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195691632:195693158:-1 gene:KYUSg_chr5.30907 transcript:KYUSt_chr5.30907 gene_biotype:protein_coding transcript_biotype:protein_coding METEVGARGTNAAPDMFSLPVDSEHKAKSFRLFSFAGPHMRAFHLSWMAFFMAFVSTFAAAPLVPIIRDNLGLTKADISNASVASVSGSIFSRVAMGVVCDLLGPRYGCAFLVMLSAPAVFCMSLVDDASGYIMVRFLIGFSLATLISCQYWMSTMFSGNIIGAVNGLAAGWGNVGGGVTQLLMPLVFEAIQKCGATRFTAWRVAYFAPGTLHIVVGIMVLTLGQDLPDGNLSSLQKKGQVAKDSFVKVVWGAITNYRTWIFVLLYGYSAGVELCTDNVIAEYYYDRFHLNLRTAGTIAASFGLANIFVRSMGGYFSDVGARYFGMRARLWNIWILQTAGGAFCFWLGRASSLPSSVTAMVFFSICAQAAEGAIFAVIPFVSRRSLGIISGMTGAGGTFGAAFTQLLFFTSSSYGTGQGLQYMGIMTMACTLPVTLVYFPQWGSMFFPPSAGADEEKYYGSEWSEEEKSKGLNARSVKFAENSRSERGRRNAIIANAATRPNDTNQNV >cds.KYUSt_chr5.18918 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122372258:122372815:-1 gene:KYUSg_chr5.18918 transcript:KYUSt_chr5.18918 gene_biotype:protein_coding transcript_biotype:protein_coding MARKTGKKRGGSKPKPKPQTETPPSSCSPNSVPPPPSVEPPEEAALDVLPLDVLPNIFRRLSLVDLLRAALACHRWCRVAARCRPRAAPLLGYFFHPVKTATPPHIHKRDPTRYDAVFAPLDASSPPRLSLDFAPDASRFNLHDCHQGLLPASRAQHTDSKVDAPPPSRPRPGHPPPGSPPAATA >cds.KYUSt_chr4.51888 pep primary_assembly:MPB_Lper_Kyuss_1697:4:322179654:322179917:-1 gene:KYUSg_chr4.51888 transcript:KYUSt_chr4.51888 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKDPPPPRRVVGDYELHEMVGKGTFAEVFRAAHRPTGARVSVKEIDRRHVDDYVRRGILQEMAILGGLSHPNILRLVEIKSDLA >cds.KYUSt_chr7.14601 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90183245:90188827:-1 gene:KYUSg_chr7.14601 transcript:KYUSt_chr7.14601 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSKTWRFASPNPAVAAAGEKSIQRYLLQLHACLDERGPRPVIPLSHGDPSSSPSFRTAPEAEEAVVAAVRSGEYNGAVAEYLSRDLPYKLSHDDIFLTCGGTQAIETVMSVFGQPGVNILLPRPGYPKHEAHAVFHRMEIRHYDLLPGKGWEIDLEAVEALADQNTVAIMITNPNNPCGSVYTHGHLAKIADIASKLGILVISDEVYGHLVYGSTAFVPMGVFGETVPVITLGAISKRWAVPGWRLGWIATCDPKGILRKTKVVDSLRSFINLISDPATFLQGAIPHIMKNTNDDFFSNIVRLLKETAEICYDEIDEIKCITCPYKPEGSFFMMVKLDASQLPDISDDVDFCSKLVKEESVVVLPGKALGMENWLRITFATEPPTLKQGLERLKSFCRRHQSQAN >cds.KYUSt_chr5.3905 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25296610:25298322:1 gene:KYUSg_chr5.3905 transcript:KYUSt_chr5.3905 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCLCTPAYLPPLRPFRGHPLLDQAYHCALVSYVDSTHLVTLMVRPTWDTSPPARKKPIEAHPPHQSSSTSGMAAAAGADGCSFPEEEIDGGCFRGFREPLEGQAVKRRGYCHLTAGEYENAGGSPLPPEACGILYGATSVPALRFLRGYTAARAWDRARPLLALTGQAGIGCEAALGGAPEARGRMAEANREFDQLSTMATALLNKVDTLG >cds.KYUSt_scaffold_1700.425 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2728530:2729255:1 gene:KYUSg_scaffold_1700.425 transcript:KYUSt_scaffold_1700.425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative HAP3 subunit of the CCAAT box-binding transcription factor, Flowering time, Short-day promotion, Long-day repressio [Source: Projected from Oryza sativa (Os08g0174500)] MKNRKSYGQQQQSHLLSPVGSPPSDNESGLAATPGGGGGDSPSKEQDRFLPIANVSRIMKRSLPANAKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEAYVAPLKAYLNRYREVEGEKAAVVGGSSLHHGDEDAHSSFSAAAMHGSGDRGGASGVGQDCDVGLMMGVNVGFSAGTGTTFYAAAHERRAYGGGEGAFGGDQEANGAGGERGFAGHLHGVQW >cds.KYUSt_chr4.28095 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176536211:176539586:-1 gene:KYUSg_chr4.28095 transcript:KYUSt_chr4.28095 gene_biotype:protein_coding transcript_biotype:protein_coding MRWESTRKKRVVLRIGYVGSEYRGLQKQRELSADSTIESVLESAIFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWDRDPDGIALANIINSNLPNSIKVFSILPAQRSFDVRRECLYREYFYLLPAEIIGIKNGSTAEEIQEHLIEFNNILKGFKGNHPFHNYTARAKYRKVLAGAQRRAKETSTTVNSISEMIVDQSTSGEGATSNPDEEYSDFPSILDSSAPEDNCKTDNPEVPESSVQIQARWLHEPNESDRLSASHFRDILNFSCGDLQSSSGTQFVELTICGVSFMLHQIRKMVGTAVAVKRGLLPKDIIELSLAKFSRIVLPIAPSEVLILRDNSFCTRNKQGSIVRPGIQSITESKEMKKGVTEFYRAALLPELAKYLDPSQPPWQEWVENLDHFTGIPDPQLDEVRTAYRVWRDDYDRVKMARKSAGSG >cds.KYUSt_chr1.27814 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167643720:167650693:-1 gene:KYUSg_chr1.27814 transcript:KYUSt_chr1.27814 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSLCPSTDWSWKSVPAPFTKDERIQSYALHPDGHTIFVSAYINRVRGGVTFSFDTKNREWRRHGDWMLPFNLEGYFDAELDAWVGLHRDGYICSCQVPFLNSNSSSTSPTGRWPRSTRCGAHHITDGLEFRDAFGDSDGCVLNMTTFRLRHMILAERKKGEDDADLHAAKNTIHSKHYNVSRIIEVEYEFGDTPRRKSECVHEKKERSLHSQSSAAPVTLPCWWAAPAMWAHVLTSPGLAQIHHAHLAPTSVTSCPGPLPLSWPLTYSTKSAQLKWILACSCRPQKTARIVTTGGMLLLHKAGLNGSPQYSQFYKVTSVCVIRITSSSAGNPTQTELFGDQKEGWGTVSTALAAGAQYMTVRGGVTDLAPQGFEFNKIGRYVTECIAQQLPSQVLLVPELVLQQILTDTVNCIGNIRSRARTWVAPGGAWQEVMSGREKEGVMSAPLGHPRYKGDVAGAADEAKIVIMQSGTTSSAMWATRGRAALLVPKSEVKEEENEVTTLLHQQCLVASSDDPEDIPG >cds.KYUSt_chr2.15030 pep primary_assembly:MPB_Lper_Kyuss_1697:2:94690612:94693457:-1 gene:KYUSg_chr2.15030 transcript:KYUSt_chr2.15030 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLFLEYLPGPKVFKCKYCRVDSASPEDIVSKEFRGRHGRAYLFDSVVNVSLGPNEDRHLLTGLHTVNDIYCSCCQRLLGWKYAKAYSEDQKYKEGKFILEKNMMLKEGR >cds.KYUSt_chr7.38418 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239393299:239402298:-1 gene:KYUSg_chr7.38418 transcript:KYUSt_chr7.38418 gene_biotype:protein_coding transcript_biotype:protein_coding RKFAQRSERVKSVDLHPTEPWILASLYSGSVCIWDYQSQTMVKSFETSELPVRSAKFVSRKQWVVAGADDMHIRVYNYNTMDKVKVIDAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDSSGKVIWAKHNEIQTVNIKSVGANFEATDGERLPLAVKELGSCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSEGEYAIRESTSRIKLFNKSFQEKKTIRPAFSAERIFGGVLLAMCSSDFICFYDWADCRLIRRIDVNVKNVYWADSGDLVAIASDTSFYILKYNRDVVAAYLEGGKPVDEEGAEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKQFNVIGYTLLLSLIEYKTLVMRGDLESANEILPSIPKAQYNSVAHFLESRGMLEEALEIATDADYKFDLAVQLGKLEIAKDIAVEAQSESKWKQLGELAMSTGKLEAAEECLLQAKDLSGLLLLYSSLGDAGGIEKLASLAKEHGKNNVAFLCLFMLGKLENCIQLLVDSNRIPEAALMARSYLPSKVSEIVALWRKDLIKVNPKAADSLADPAEYPNLFEDWQVALTVEQNVAAQRGHYPSADEYLNYAEKSDSTLVEAFKRMQVIEDEEEVDALDESGEPDEEVMEENETEENTDEAVQVDTDEPEETVLVNGTEGEEQWVLTQHDE >cds.KYUSt_chr2.5772 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35815657:35822296:1 gene:KYUSg_chr2.5772 transcript:KYUSt_chr2.5772 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPAAAAAIPEAAAGAEAEGDLVLRPPNLRVAFAAMALFLAPFSYLAFAHYPLDADLRRSILICGAISLVGFFVVLRLVPVAARYLLRRGMFGKDINKKGLLMGEIKVPESLGIVVGIVYLVIAILFQHFNFAPDSIWLVEYNAALASVCFMILLGFIDDVLDIPWRVKLVLPAIAALPLLMAYAGGTSIIIPKPLASYVGVEVLELGWMYMLFMLLLAVFCTNSINIHAGLNGLEVGQTVVISAAVLIHNVMRIGSSKDPETQQAHAFSIYLVLPFLTTSLALLGFNWYPSSVFVGDTYTYFAGMTLAVVGILGHFSETLLLFFLPQVLNFLCSVPQLFHFVYCPRHRLPSFEKRTGLLTGTKDGNLVNIFLRLFGKCSEKSLCIRLLIFQVLLPTLLARVAAEVLLTQPSFFLASRPAQVLLAQQIAGRQPQLQQRHLVGSRSGTSSAPTAVPCRRPQQRHLACPTTSHLHCPSRVAGQRNQTIRGCGVDLGLGGRRRGPGTPVSTQSIDWGRKGGGPARVEGGGATHSPGLARRPTRRISHAAATVTGSN >cds.KYUSt_chr6.31449 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198877687:198881434:-1 gene:KYUSg_chr6.31449 transcript:KYUSt_chr6.31449 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHILSFLPAQEAVQTCVLARRWRHLWRSTTGLRLVGRDGPGPARDLRKFVGNLLRRHDKRTDLHTVEIKIKFDAYSDGDNLPYVVLWIRSAVRRRVRSLTLHHFGPFLDLDTSQQLGPSLYLDGAHLDSPHLTTLDLASVEVEYTLLDFASCPALEYLKLHECYISLSKIYSTSLKHLSITGCFYDPPCRLHVSAPGLVSLRLDNFWGVTPFFENMGQLETASVNLGRHCQDTCCSYLQYGIYCGADNACLSCEDYTDELAILGGVSSARHLELISEFQNITLSRDLEHRSIFSNLKTLLVNEYWCMPPASCDRLACILKTSPVLQKLTLQLFSKGPNHEVEMKGSYRAMEGPSAAISEHLKIVEVKCNAVDDRILEVLKFLRAFNIRKLTNDTFDALFVLKLAFTCVKDVHNTITSPRDSSLLVV >cds.KYUSt_chr2.9080 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57112187:57118039:1 gene:KYUSg_chr2.9080 transcript:KYUSt_chr2.9080 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVSCSTLSSSGHSRVGGSQAGVLHEEGAAKEPELEICSRTAQPSWPLSRLVAAAKSVTKSSRKIERRLEHRYRTGSARKSAFPGRAQLGSKWNGRAANGVGGSSGWGHHVRHCGGGRGGDEAEPEVTVLGRRRGTGRTRTGRRATTVRVEDAGEARGLPPPSPATGPHAGGRSRAPRRQGETIAKGHKNYELMLNLQLGIRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKAEVKIFLKMLRAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHFIHRRFDLKGSSLGRTTDKPQTEIDEYTILKDLDLNFIFRLQKHWYQEFQRQVDKDCDFLEQENIMDYSLLVGVHFRDKRVIMTEGSFDSDSSRGSSPHLSSHLSRGDTDPNRMCTIRLGSNMPTKAELTVRRTDCEPQLIGEPTGEFYDVILYFGIIDILQDYDISKKLEHAYKSFQCRHRQGPREKELPWCKEERRRRRRKEEKKEEEEEAGGRGPAWPVQDPVRPDQQPDAPVTGPVNRAQTGEAPSYRTTTGNFADSRFAPGQPDPRPDRPVTGPVRPDPNRI >cds.KYUSt_chr6.10759 pep primary_assembly:MPB_Lper_Kyuss_1697:6:66655933:66656430:-1 gene:KYUSg_chr6.10759 transcript:KYUSt_chr6.10759 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPEPAAAAAETDGSVLIRRLEIADRERGFLPLLAQLSSCPDLTASEFAACFADLAALGDDHVILVAEDPAAATERRILSTGCLFVERKFLRGGGKVGHVEDVVVDAAARGRGLGLRVVRRLVEIAKEAGCYKVILDCTPELRAYYAKCGFVEKGVQMAVYF >cds.KYUSt_chr2.27884 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171110129:171111422:-1 gene:KYUSg_chr2.27884 transcript:KYUSt_chr2.27884 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFPHKVLDDQFHVGFKESPEFVIEDRKHDNNNVHQSISFGGFVVDDNMAYPTIKPTFPQGAADKVASGFAIPEEVTVKNTSRTGSKEAPILVPESSPKAENILDYKNEA >cds.KYUSt_chr2.4695 pep primary_assembly:MPB_Lper_Kyuss_1697:2:29075250:29076557:-1 gene:KYUSg_chr2.4695 transcript:KYUSt_chr2.4695 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPSVKMAMSSSSHIYTPLLLLLLLACSCKASSEHAVDPTCPPAKTSAVWATAETSSCSAPQCQPSAPHIPVPVFPYDVDPLQFALNLEYTEAEYFLHAAYGVGLDQIAPNLTLGGPPPVGAMKANLDEVTWRVVAEFGLQEVGHLRAIQRTVGGFPRPKIDLSAKNFARVMDAAFGYKLNPPFDPYVNSLNFLLASYMIPYLGINGYTGTNPIIDGYDSKKLLAGLLGTEAGQDAVFRALLFDRQRETVPPYKGITVAEFTDRISATRNQLGKCGVKDEGLTVPPELGAEGKICTNVLSADKDSLSYPRTPAQLLRILYLTGDEHVPGGFFPEGANGKIAREFLGKPFAAGDN >cds.KYUSt_chr2.23357 pep primary_assembly:MPB_Lper_Kyuss_1697:2:142707147:142707464:1 gene:KYUSg_chr2.23357 transcript:KYUSt_chr2.23357 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCCRPPRATSAATRFSVGSSRVRSPRAASALPCVAPPIAAIGSGPRASTMCVAAPPRLGLACVALSVAAISSGLHASAMRAAVLPRRHRVQLRCVHCAGRLL >cds.KYUSt_contig_786.87 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:541766:545516:1 gene:KYUSg_contig_786.87 transcript:KYUSt_contig_786.87 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGGEGESAGAQGGGGGDGTRSCPSLGRRFFVAVHVGAGFHAPANEKVYRRAMKRACLAAAAVLREGSGSSLDAVAAAIRVLEDDPITNAGRGSSLTESGRVECDASIMDGSTGTYGTVGAVQGIKNPIEVALHLAKEQMMGPSLLGRIPPMFLVGDGAYKWAKDKGIDLVGSTSEENNWLVTKNAKAQWVKYSSLLASAKESVNRATASASESSSVQLEASGAEAEILNNVKEAKIFTRPIMEDDQDCVMDTVGVVCVDDYGNVASGASSGGITLKVTIRTRFFLYQSSALGGSKQQRDVSRHRCWVVTGSG >cds.KYUSt_chr3.25634 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159131362:159132675:1 gene:KYUSg_chr3.25634 transcript:KYUSt_chr3.25634 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLHYLVKVLFGPVPVYFSALAILILLTNAQYFGLVGVGVPRAAKLASSTPVVSVMKYCDIFRGEWVPDAEAPYYNHKTCGMIQEHQNCLKYGRPDLGFLKWRWRPSGCELPRFDPVQFLQFVRHKKLAFVGDSLARNHMQSLLCLLAQAAYPKDISPNPTDQNKVYFYRAYNFTINMFWSPFLVRAREPAHDDAAHTGHYSLYLDEPDGWVSEVPRFDYVLVSAANWFSRPSLFYEKRRLIGCSFCNRQYGVPDLSLYYSQRKAWRVSLQAINALGGEGGVRGRVIVRMLSPMSHFENGTWDQGGNCKRTEPLRGNQTAAMDGRDLRFYTAQMEEYREAEREAGSRGVRMMLMDATAAMLMRPDGHPSRYGHWPDEKVQLYNDCIHWCLPGPIDVWNDLLFQMILA >cds.KYUSt_chr1.35607 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217059830:217066247:1 gene:KYUSg_chr1.35607 transcript:KYUSt_chr1.35607 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEEKPEPETEEQREQEEQEEEYESDLDDLPLPAVRRRAEASDEEEEEEDDEEVPLPRRKAGSDADSDGQGAAEVYDEEYEEVYEDFEQGRGGAVAAAPREAGAVNGAGEAGEEAAEGEGEEGKKEAEPFAVPTAGAFYMHDDRFQEARGRGRGRRILSDRNLWNPKEEQAWVHDRYDEMHPRGYHNGNIRNPRGRSRGRGNLPGGRTRGGSRGNFRGNRSRAHDDNQNYSYVPKGTHVASDNMKNPRPDPHANGKNRASKPSHSHNDDVDSLNMVPKESRTYNDNSRSNKDTPRVSRGRGSKRYQPRSRSTTEISSQNPENALSNAKLGRHQPQNSNSRPEQVMQFGGQHPGGPGVPSIGMALPGFVSQQQLGLNNSEMACLSFIMAVIAMVNLRKFVRLPILAGSAGGLGPTYGSPYIAMDGSYYSRPSEQASSPVSPREPSASNAPSQLKSSEITGLTVEGFRILTVITCSEYSDGLLLRWCHFFIRWYLHGVDLDDVLLLSIPLIGIHE >cds.KYUSt_chr6.2588 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15028883:15033144:-1 gene:KYUSg_chr6.2588 transcript:KYUSt_chr6.2588 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYEASIIPEWKGAFVDYKCLKKLIKKIKVARRDEDDSTTAPSDADALVAGAAGGFSVLDPVRALAARFSPRVQAPSEDEENSDSSGELLRPSAKHEREFLEKADEELHKVNSFYAAQEAELLGRGEALIDQLRILADVKRILADHAASRRARGNLGRSRSMPATAPLSPQLSGSGRYLLSGLASPQSMSDGSAELQQAQITEGAAVADEVMAALERNGVSFVGLPGKKDAKKDGSKGRGAGALQMPATVRIDIPATNPGRTALKVWEELVNVLRKDGADPAAAFVHRKKIQHAEKNIRDAFMALHRGLELLKKFSSLNVKAFTKILKKFVKVSEQQRATDLFSQKVKRSSFSTSDKVLQLADEVEALFMKHFAGNDRMVAMKYLKPQQPRSTHMITFLVGLFTGTFVSLFIIYAILAHVSGIFASAGNTTYMEVVYHVFSMFALISLHCFLYGCNLFMWKSTRINQNFIFDFAPNTALTHRDAFLMSASIMCTVVTALVINLCLRNAGASHANAVPGALIVLSTGLLVCPFNVFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFAACYFMAGSFRANPYETCTNSQNYKHLAYVISFLPYYWRAMQCLRRYLEENDTNQLANAGKYISAMVAAAVRFKYHATPTPFWVWMVLISSSGATVYQLYWDFVKDWGFFTPKSKNLWLRDDLIIKNKSIYYVSMVINLALRLAWTESVMKIRFSKNETRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >cds.KYUSt_chr5.20579 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133739205:133744916:1 gene:KYUSg_chr5.20579 transcript:KYUSt_chr5.20579 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMFLSLLVLSYSVASFHCSTVPENTTDMFSLLGFKQAITSDPTGILGYWNSSTTFCQWEGITCSRTHPGRVVALHLTGLRLSGQISSSLGNLTFLKELNLSSNSFSGQLPPLNHLHKLQILDLGRNLFHDTIPDALTNCSNLQTLYLHHNSLVGKIPATLGLLSNLKDLWLSYNNLTGTIPSYFSNSSNIQRLSLTDNQLEGSIPDQLGKLSRMQRLALGANNLTGRFPQGLLNLSKSLQVLGLEMNKLGSTLPPNIGDDLPYLQRIFLNRNIFQGNIPASLGNVSGLERLDLENNKFTGQIPTSFGKLKTLYELNLERNNLEATDVQSWEFLNALTNCSGLEVLALDGNQLQGALPHSIGNFTSSLQMLLLGENELSGIVPPSVGNLQSLIQLTLEHNNLSGKIVEWIGKLTNLQQLVLEMNKFTGPIPPSIEYAHNGQASTSGDVFSFGIILLEILTGKRPTDSMFQNELSIVSFAERHFPDQILQIVDVHLQEECEGKPEECKSAKEESIGYQSPRAYKMESLEKLTALLDLSADSQFPTEKTPSTSAASTRISDRQVLPISSSTPTSCSSTGSGIHLFFYWWTEIEIREDHIDVMNQQIVVRDKGDHPSGKASLKSRNFSRLGLSMKSKTGDELSCGTTSDMQREC >cds.KYUSt_chr1.28202 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170144715:170146502:1 gene:KYUSg_chr1.28202 transcript:KYUSt_chr1.28202 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTNPPVDEYEKEADEDEEVCAKWTPVGRRTKITDTRGAAATTAATPLRGSSALATGAMAGRNSHPPLTPSASRLRGPDSGLRHSASAAPLRSSSPAAFIPPLEWFGEICGEQPAFGEVLGDPHASGEVGGEPHASGEVHGELQGGSELQRKKSRKKVTVTQSEKISPTAGSKRPLSEASGSLRASKSAKVMNAKVVMTQLENSSKTVGRKLAPIVSRSPMTMARKRAHSHASSPNLAGTSKTPSLHDLSAAATSGTPTSMHDLSHDATNGTPTSMHDLSLAATSGTPTSMHDLSPDATNGKF >cds.KYUSt_chr6.25446 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161348105:161348734:1 gene:KYUSg_chr6.25446 transcript:KYUSt_chr6.25446 gene_biotype:protein_coding transcript_biotype:protein_coding MARFRRYSLSWTGWRCAALTVGFLLYKSRPRPRSSRTRLPTNNPHAPGTSPRAEPHCTPVLRARRHTTGSKQAAMVEAGSGAGAASCRGGGVVGPAARRCCGGGCGLGRLVRRLRRQGRQALCAAARPPSASAEALRGCQYDPLSYARNFDHTGFGDPDASSLYYSYTFSSRFVLAPGAAITTSSSVAGAVAAPAGIIVTAARPTAASH >cds.KYUSt_chr4.47720 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295411327:295413806:-1 gene:KYUSg_chr4.47720 transcript:KYUSt_chr4.47720 gene_biotype:protein_coding transcript_biotype:protein_coding MPREHRAKDDAGTSMDPEESNSDEAAVHATTEPDKDVQEAELLIQELNALSIGEAFSEQEFLHYFDRLACQPPWIDLKVRLKGEELVKLYDRHALCRLRYYKHKLKQASKEDLRDDKLKRILDISEDDCNKEFLKKEGFFTHFEQDRRFDWCFHPDYLACSFLDDYQRLVPKNHGYSKWSEYHTYLHSYELEKEYVEYCQELSKQLKWMEPYVDMDRSSVKECKESMAYDIFWFMEYDGVYFEIWRRVTQGMSFEKALGEVYNLNMFPLRQHMMKAALEHEEAMMMVEDEFLTCTATITPEVKEDKAKELIADAVNKRIQKPKSYEEYIIKKIHIARVIGILDADED >cds.KYUSt_chr1.4275 pep primary_assembly:MPB_Lper_Kyuss_1697:1:26076108:26079567:1 gene:KYUSg_chr1.4275 transcript:KYUSt_chr1.4275 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNVVTLMEALPNNDCRPTRAASGETLVWSSRPSDGGTVVSFSLLGASFVEQRRWTEAGGGDVKSRLADKCYAESSLFGSRKLNPRRVSTGGRVRSIGGDLGTEEIMAHIVTESKHSLYEYDGQRLVPLPVYQIGPTCVLHAISYGAQMAYRLKMFKLFRKSNLVFNVLHFLYLYEREMMVRMLYDRSRRIKGAFRVIQEFGVEVQDLGVELPGRPHLKLPHFKPFPPTMYTSAGLPIPPLKFDDICRMIQKHEVVIGSFATERIHFNKLREDEIYDFQPQPGSNFDTHCVLFVDYGYHESRPYLKFLNSQGPEFGDAGCGRVYFDRIFQTDDEFCFHTMSFHDDQASCSTSYSDVPPCGLPPATSDSAASQHLESRNVVDSDPSTNRILKMTEEDQILGVVRFVAELIWEDTEPTVSDAEINKVCDDAHRHVLAGQWLDIASLLLKSTDFFSLGVAEEDVEGVLAVTCSLVTKAASEAESMNIAKLICDMLDQQTGYSPSLGLKILFSLHSRIAYSQDKAFIHTKVLQYAAACKASKHIIKDLQNKDGWCTNNAEQRKLFLDVSGVLYDQKE >cds.KYUSt_chr3.23059 pep primary_assembly:MPB_Lper_Kyuss_1697:3:142725099:142727494:1 gene:KYUSg_chr3.23059 transcript:KYUSt_chr3.23059 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPRRGDAAAEAGAVMCRVGGLQFGKSRRGLRVTAMAFHSRSRERARVARQREELRGGVTWPSSRPERIRRGQEHAGPAGVEREEPPQHQREEASNHLSTIRCADFMSRNLGKLIMVLLSASLISQLWSTNGHMLTCQIAQIKEYGIQIHCKMFTCIAKWQLKWVVDPVAESRVCWSLLPHTP >cds.KYUSt_chr7.8225 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49786758:49787587:-1 gene:KYUSg_chr7.8225 transcript:KYUSt_chr7.8225 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAARGSGGAQPGKGPAATRVGESAVELSLVRARLQETEEGGLDRNCSAAFGSPLAIGMRAQLAVVVSARQQGFIAAAAGIVSADTLALPNGRHGLAAVAGMVSAEDPEQPNLDATCLKESC >cds.KYUSt_chr3.7630 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43968495:43968779:-1 gene:KYUSg_chr3.7630 transcript:KYUSt_chr3.7630 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAVVRATSSCAVSGSIGRFRMTVYAAFALRYCREIEADGLRGLRHCREIGEDGRPAQRSGLLISIHITRTRTCSLTLTPSSEVLLPDMAQHC >cds.KYUSt_chr3.32454 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203907231:203908378:-1 gene:KYUSg_chr3.32454 transcript:KYUSt_chr3.32454 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATAKPSASGVNYSLLIMGAAMAVKMAVKTAVEMAPGAIPRPAGCRAAEFCPPELEFHDGGGALESFRSFVNWCRGFRWKLAKRRKCTLRSCQEPSSRPHKDHIIELPDGSYTTRETERIEEQAMICVEALERENLAKRQRRKGNSMYTMTIVDYHHLLNKNVYKHARIATNDCFWTNEQEQIMTDVYEAHRTKVYPMRALNISKLVTKA >cds.KYUSt_chr6.26025 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164882359:164882990:-1 gene:KYUSg_chr6.26025 transcript:KYUSt_chr6.26025 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVRVNGLACKDAKEVVAEDFYFSGLHVAGNTANKQGAVVTPVNVAQIGGLNTLGISLVRIDYAPSGLNPPHTHPRSTEILTVLEGCLEVGFVTSNPENKLFTKVLNKGDVFVFPKGLVHYQFNKGAANAVAIAALSSQNPGVITVANAVFGAEPSISGDIIAKAFQVEKNTVESIQAHF >cds.KYUSt_chr4.5533 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32047376:32048740:1 gene:KYUSg_chr4.5533 transcript:KYUSt_chr4.5533 gene_biotype:protein_coding transcript_biotype:protein_coding MWACRSFLRRPSVNLITSRLRSVSRHHPTHTAVPCPNLVSASRRHVSDATNVDRHQPAAAAATKHLYVVLDDHKKDYGIYKLDADADLRDSEFNSDSTTTAPRRLPHPAHIRMEVSEEEDSSAQFAAAGSCIVATGCSPFTSLVHSDDCGVVTIQYDIRTAALSVSTHPTDTLHWGYAVAVPVGNRLYVLDLRTSTVDSFHCMTTSRDGDAAAVGEVKQRDWSWYDGSGSSRIGWSYGGKARSWSDLLPFDSDDIQGYAVHPRDCTIFLSAPVLDDEERFPPVNTFAYDTTTAGCWEWTHRGNWKLPFKGHARHDRQLNKWVGLQVGEDGDGTTGYICACDVPANNHDEDRSPQCVRSMEKIVLDDPDQRRLDLKLVYMDERAEYCLFERLRRQGANEKDCPVVDGEECVLRLTTFRLKYERGEPGYLTIIDRRARSYKVVRYRDDFEAQAFWL >cds.KYUSt_chr3.9523 pep primary_assembly:MPB_Lper_Kyuss_1697:3:55940251:55946437:1 gene:KYUSg_chr3.9523 transcript:KYUSt_chr3.9523 gene_biotype:protein_coding transcript_biotype:protein_coding METSAAAATAEEEERGLAASLTARYSDWVAEELDELGGSFLLTDPAMPGHPIVYASRGLPALTGYPRRAVLGRNARLFQGAATDRAAVAGLREAVRAQRAHHVAILNYRRDGSPHWVLLHVAPVFHAADGTVLHFLAVQVPIAAAPRRAACRGPALFAACREEARVEEELPCAATHAGEVFVDIDKRGLETTRWLEAEEPRVASDCDKEKALSTASSIFSALNRYSKLTGLVVCGKRCDSVGIPALSSSLNLSLGRIKQSFVLTDRHLPDMPIVYASDAFLSLTGYSREEILGCNCRFLSGPDTSVDVLEEISRHICCEQACTVRLLNYRKDGSTFRDLLQVSPIRNASGKVAFHVWVHLDESAKCDFNGLTPEIWQLGAVGAVRVAVRSLSASGDILVHGELKIGEYHECRIGKLTMEVQVSALLRYLLGVMGFATSVCK >cds.KYUSt_scaffold_1259.568 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3816228:3817334:1 gene:KYUSg_scaffold_1259.568 transcript:KYUSt_scaffold_1259.568 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSPKKLQTDPPASLTDDLIVEILSRLPVRLVHRCRCVSKTWRDLISHPDHRKKLPQTLAGFFYGSYHSGHVPRISRHFADVSAAGPHLPVDASLPFLPKYSNVDQLDTCNGLVLCRCYKTPSENEFDYIVCNPVTQRWLELPPHPTPDEPDCISIVRLAFNPAVSSHFHVFQFEETDKEMHITGVNIYSSQRGAWNHRDSVWDARTVLPFTTRSVFLNGMLHLITAEPALVTVDTEGKSWGTIHMPHSLYFGFIGLSRGFLHYVTMTPDSKISILCLEDYDSKEWVLKLTVRTEELFGGTEQADSMIAIHPYGDIIFLSSRDTGTLASYDIRRQEFRRICNLEEGFTGPYLPYVPLFTKSVVDVD >cds.KYUSt_chr5.29039 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183845559:183851125:1 gene:KYUSg_chr5.29039 transcript:KYUSt_chr5.29039 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHNGRRRFTRSHDIEDEHFVVFKYNGHDDFGVKSPRIWIRPDRAPDSRLPSLAPRRAALCPSAASAAGAMGAMGVHRIDPALRWPYGFEAEDDEEEGRDAGALHRPGADEDWGVHQEKRSGRKRKRPPHPAPPCKRPRAATPSPSPDSASSPPRPLASWDPVPKRPRSSAAKQADAPRPGAVVVLPAETEAVAAEKEGAASSGGAAPKKAGRSCHLCHKAKRTVVKCGRCRQKIYCTFCLNKLYCCPLLSLSPRLSCPLISIPSHHQGLSISRYRELSEAEVRAECPCCRGICSCIRCIAKVKQKHKAIRPGFICEAPTAKVKQSEPKSPPLSKCKIGRSAAKKKKADPAGVESTSVCNGVAPTEANDHSSLISSNGINSPSVKSDNVDTLGVAAQEEGGAESKVKYARYLLHYLMPCLKELNKDQMAEIETEAKILGLKLSQMNVEQADCRNDERVFCYDSFLFEPVTIAELQSLICIEAVQTAHTNYAFHAVRSFAKRIYRAALVGKSWCLILIEDSTICMVVEICTQDFFNGYYEGRMYENHWPEMLKLKDWPTSNHFEELLPSHGAKYIDSLPFQPYTNLKSGSLSVSALLPDDILKIDMGPKSYIAYGCTQELGRGDSVTKLHCDISDAIFNPVHDETFYLTEEHKRKLKEEHGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIAIDFVSPENVKECLKLTQEFRKLPKNHRAKEDKLEVSETF >cds.KYUSt_chr3.4735 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26890189:26895988:-1 gene:KYUSg_chr3.4735 transcript:KYUSt_chr3.4735 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKFEYPETMTDDEIARLDVLVSEVDRPVQPPLPWYATGIMPPGLTEEEALRWALQDSATPPVQPPPPPPSYNPWGPPPPPPAWAAQPPPPEWAAPPPPPAWAAPPPPPPAAPAYVPPIPNWPWPVPELVVIDSDDENHPGILPARVKPVPRPEIFSISLPPETEHSKTSPQIQFPLCPIRLSVSVPTVLPAGHAARHGHSHRRRPPRLTAPPSTFSTTPRRRHLAAPSRGVRLASVPVPDPGAGPLEYTPWLIAGLGNPGAKYHGTRHNVGFEMVDRIAREEGIAMNTIQSKSLLGVGSVGDVPVLLVKPQSYMNYCGESIGPLAAYYQVPLRHILVIYDETSLPNGVLRVQRKGGHGRHNGLQNVIENLDGCREFPRLSIGIGSPPGKMDARAFLLQKFSSEERVQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFNRV >cds.KYUSt_chr4.34451 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211534098:211537851:1 gene:KYUSg_chr4.34451 transcript:KYUSt_chr4.34451 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPLRDAQETSFSSSAPPSPSKVLGVGKNSAPSLPSSRARTLLEQDTVSDVAGTSESVPGVPATDETNSGQKPVANTRSEFAAAIQEAAAADAHGEAAIAETEQAMTGLTDKKVEPPILPRDFASKSPSSDADQLHGVIDDPATLSSGYIKSLKDNYLSKLVELDQQYTVPELTEGDNTEEFNNSVRTKKSIRKILKFLQIEKNHVHDGLMNKVPKYEALIVSLMPLLLEMRSPLSGDKRPQQQQGQPADASPSFPINSPEGQVQREGAETLVAKSPFDRLRYAVRSSSPEAFRSSVCSMSYVFGESNGVPFEVRASDSESSRVRSPKRQKMQDAIYALSEEIKSINRTLIDTEITISGDSGTSCDAGTTIKLSYTAVALSGDLKSLFASSEMYDIMPAKLSVPSDYPRSSLMLITDERDDQLSRVPSQTQHAAFSLALKNLPESHSIKDIAKAWDTCVRTAVTKLAQQFGGGTFSSRFGSWERCMR >cds.KYUSt_chr2.31211 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192640933:192642396:1 gene:KYUSg_chr2.31211 transcript:KYUSt_chr2.31211 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDPSVVAAVAAVILVSVLLVLSRLRKQSSPPDGPRRKQKLPSGPFGLPVLGQTLGLLGALRANTAEAWLRRQVSKYGPVSRLSLFGCPTVFVVGPSANKFLFSSNALTAMSNSSFNSMVGWRNIRELAGADHRRVRAMMVQFLKLEVVRGYVASMDDEVQHHLRTHWSGRATVSVMPSMKSLTFDIMCTVIFGLGREDHAAVRGELLAGFEPLVRGIWSIPVNLPFTTFGKCLAASQRGRRAVAGVLKEKRTKLERGDSSPSDDLMTRMLSEGTSEGEIIDNVMFVMVAAHDTTATLLTFLMKHLDANRDAYARVVAEQEEVARSKAPGDALSWEDLGRMKYTWSAAMETLRLVPPVFANFKRAVDDVEFDGHLIPKGWQVLSASNMTQWDDAIFPEPGRFDPARFDNPILPYSFVAFGGGARVCPGNEFARVETLVAMHYIVTGFRWKLAAGCDGSFSRHPLPFPAHGLLVNIEPIEAKTTTTS >cds.KYUSt_chr3.33459 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210002670:210003146:1 gene:KYUSg_chr3.33459 transcript:KYUSt_chr3.33459 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLDWKVCRNPIATQVNMSRRGMATTAIRQICGCEPEDTFHVFGRSPLARALREAMTLMTIWRVWDQLNELTHDKPCPPVEGSRRFLVSYLNSGLMIKQRPNLDVVKEKMVVSVNEGFSRQCDQEDG >cds.KYUSt_chr3.35986 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226186304:226194093:-1 gene:KYUSg_chr3.35986 transcript:KYUSt_chr3.35986 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSAPSDDDDDDHSEANSGDKTPDHAADADADTEEGEQPDTPSRGVKGDISELTESLTRRFWGVATFLAPPPAEAEAEAAEAEDEEEDGAQSPRVAGIRSDLAEIGGRVRSGISMLSNANAVAEISKIASSFLPFVPEEEEEEGEVGAVGVTEEVVVFVRHISTRPETWRDFPLFVDDDRHADDFELSDTQYEHALAIERIVPSLSYLRTELCSSNMSEACFWKIYFVLLHSKLHKQDAELLSTTQILEAREELLQSSPRMKKLGSQGPRGSSENKDTPSTQAEDTEFSASSIQYKSGLSEATTFQEPTPDPLPGVEAIKHPISTSELEIVDKSVIEEVLVVKNEMMNISEKPKLNTPEDDDDKEVEEWLEDMDHVSSKSGNITFAGHDEDEDVSFSDLEDDDDD >cds.KYUSt_chr1.34530 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210199308:210199562:1 gene:KYUSg_chr1.34530 transcript:KYUSt_chr1.34530 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSCKVVAETAAPTEADAEQGRRMEAGRAVVMERCAARELCEGIYLMVWIFSWKISLFVILNAQMYLRKLSRDALDMLRKKR >cds.KYUSt_chr6.33642 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211280765:211281373:-1 gene:KYUSg_chr6.33642 transcript:KYUSt_chr6.33642 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPGSDRLSNLSDDVLIYVLSFLPSKEAAKTTILSRRWRCPLWLDTAVVNLDSRSYAGTGSPACDALHAFALQRSHGRVSTKISIVMHDGSMHGDVLRAACHDEAAVEELRLDCFKEFCKGCPDSDMYSLSPATLPFSALRVLDLSGCLLMDMKQPRRRVAFPCLAGLRLRLCAMDRAMLQDMVSGSPLRLCSPTSASSP >cds.KYUSt_chr1.26261 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158214994:158216508:1 gene:KYUSg_chr1.26261 transcript:KYUSt_chr1.26261 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATLPVAKKRPNLEEIDLISSLPGEILSIIISLLPIDDAVRTTSLSRRWRPLWRSSPLNLDILHIDGCGRDRVAAVSKIFSDHPGPARRFLTNCVQIPDPDGWLRSPALRNLQEIDFCLSHWDAPMPLSILRFAPTLRVATFGSCRFFEEDAPPSFNFPCLEKLTMTFLSVREDTLDVLLAGCPVLESLMLESCGGFCHLLINSATLRRIGIRDPPKPYQLVIENAPRLERLIRVHLHPESTIRLIKAPKLEILGSMTDDFAKLKLGSTISQGMAAANLTALTRSVKVLHLASRGPNLDAAILFIKQFPCLEKLCITSCPGNDMENVHHLNPQDPIECLNHLREVELNFYVGTRSDVNFAKFFLLNGKVLKLMRFGVKDQCTEEWIADQHSQLQVDSRPSPNAQLEFEAAHDPDYFVLD >cds.KYUSt_chr4.51253 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317900219:317901475:1 gene:KYUSg_chr4.51253 transcript:KYUSt_chr4.51253 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQAGKEAAIPLLTSYQMGQFDLSHRVVLAPMTRCRSYGGVPQPQAAVYYSQRASRGGLLISEGTVISADSMGYPEIPGIWTQEQVDAWKPIVDAVHRKGALFFCQIAHVGRVYTTGMSVPQPYDECLPLRVHKLYHESECILLEFTNRVIKTEIKVDEPAPISSTDKAVTPDDEAGIVYPKPRGLRIDEIPRVIEDFRRAARNAIQAGFDGVEIHGAHGFLLEQFMKDSANDRTDMYGGSLENRCRFVVELVDSLVHEVGAHRLGLRLSPFVDYMDCVDSDPEGLAAYLVEQLNKHQGFLYCHMVEPRMAIVDGRRQIPHGLLPFKKAFKGTFIAAGGYDQEEGNKVVSDGYADLVAYGRIFLANPDLPRRFELGAPLNEYERSTFYTQDPVIGYTDYPFLDDNNNSFSAPNVSIE >cds.KYUSt_chr2.35302 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217922903:217924177:1 gene:KYUSg_chr2.35302 transcript:KYUSt_chr2.35302 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSVEVPPYFLCPISLEIMRDPVTLATGITYDRASIERWLFDAAHHVTCPVTQRKLAPEDRDATPNHTLRRLIQAWCALHEVERFPTPRAPVDACRVATLVDEARGAGRRQELAALREIKAIAAESDRNKRCVEATPGAVDFLVSVVRHHCAASRSVEDLLELSLDSPTSTSPPEEDALSVIYSLKPSKKSLLQILERNNGAFLDTVLHVLRRPSYRSRTYAVLLLKAMVSVMEPARLMAVRPDVVQEVVRVVSDRVSAKAVKAALHVLCRLCPWGRNRVKAVEAGAMTVLVELLLDEASRHSAELAVVAIDHLCGCAEGRSELVAHPAGLAVVSKKAMRVSPATTESAVRALHTVAKHSPTPAVLQEMLAVGVVAKLLLLLQVDSGERARAKAKELLTTHARVWKNSPCLQPHLKAHYPS >cds.KYUSt_chr4.50234 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311213523:311216649:-1 gene:KYUSg_chr4.50234 transcript:KYUSt_chr4.50234 gene_biotype:protein_coding transcript_biotype:protein_coding MTLECISGSDGKEGIAKAANLLCSTYLNGDRSGHENVKSESVFTETDMASALKSVGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHPVNRTWGRTVRRRARSNRARDRTGRSKPEWGPDHDRMGSRAYWTSLGWHKHGAGWCRGARSCTRSDRAWDRTGRSKPGLGPDLDRTTSLGLLDIVRLAPFLGPVCVGLAGARAGLTGLLTGRG >cds.KYUSt_chr4.24316 pep primary_assembly:MPB_Lper_Kyuss_1697:4:153143084:153143540:-1 gene:KYUSg_chr4.24316 transcript:KYUSt_chr4.24316 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPPLFPPAAFDRAGGLPPCEPSRFSSNPTFDLAFLKAAFDGDLRFVKRGARVVGRGAEGRRLAEMLGAVRDGYGNGLLHSAVLGGSLPVCRYLVEHLRMDVDDVGPFGAIPSTNPSPFPA >cds.KYUSt_chr3.4453 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25262685:25264852:1 gene:KYUSg_chr3.4453 transcript:KYUSt_chr3.4453 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTREEDREHHGGGEDQEEEWCEPEDYQYVPALERLRPRDRKPYRRGITQLPSLKSWRYRHVVLVPYGRSSFQFEDPTQRPPRGYSNILGGLLRFYFPGIVNLPTGGCDVAWRWAHYSLAEDPLGRGTAADLVVAKFWKFFKRAEGKENACDDVLHQLARKRVTGMHYEARVQCVRDWHADRFVHMSKEDARDTLMQPWQYLQNPPQYVGNDDRCFRAMVMWWTCPQYLKKHEEGKKKRAEMRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQCTSYVSKFKQKYGEGGQSERPRTLTRGRGACGRRLEAWPPMEEMAAKEQAAQEHARNMERTILEYQQQQTQMMQQMQQQQQMMQQQQAQMSWLMSHTALSTPPGSLPPPPYSMWMPPPPTQTPGTPITVNNMNIIRSMNRGESSCAQPATCSSVQYANANVHSINMLIDYMSQGNDDEAGGSGGGQG >cds.KYUSt_chr1.7051 pep primary_assembly:MPB_Lper_Kyuss_1697:1:43331210:43331761:1 gene:KYUSg_chr1.7051 transcript:KYUSt_chr1.7051 gene_biotype:protein_coding transcript_biotype:protein_coding MASGESAANPADVEAARCDVVRTAAWRRCTAVWRLRRPWRSGLIPALEHRVGRRQAIVEKPRHHHLPSSGVRPQPLLRQTSDGDRQVGDGAGCDTAGVEVVVQREVIREMTNGGGGGTSLVFPMLKRGDYTNWAMVMEVNLQAASLWDAIEDAAVSARGQAGLGGTAPHLGCTWPWRVFRVEA >cds.KYUSt_chr4.20712 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130398454:130401394:-1 gene:KYUSg_chr4.20712 transcript:KYUSt_chr4.20712 gene_biotype:protein_coding transcript_biotype:protein_coding MESTATTNPLACGGLTALSLRLLSELAETSTRSNLVFSPISIYAALALTAAGARGATLQEFLAVLGARSRDELAEIVRGFAEQVLADRPLTGGPHVSFACGLWHDETRKLKPAFRDAAAHSYKAVTRAVDFREEPKEAVNLINAWVAAATNNLIDSILADGQVSDQTDIVVANAVYFKGMWAWPFNKEYTEDDKFHRLDGSTFDVPFMQSGAKQYIACHQGFKVLRLQYKDGHQRCSPPSPFSMCVFLPDARDGLSGLISRIASSSSDFVREHLPTSLVTVGEFRLPRFKLTFSSDMSSVLKRLGLEVAFDLKEADVCNMVEDDGTGMPLALESIIHKAVIEVNEDGTESAAATISRMKGMAAPNKNAPVPVDFVADHPFVFFLIEEESGAILFAGTVLEPSPSVPGEKLLRRGSDCSVDDDPFFVAVCGLFTRLCPRFTDFCGLFPRFADFVYVGPLLYIDFCGLFPRFADFVYVGPPLYIDFCGLLPRLWPRFVDSVYVGLPLYIDFRGLFTWL >cds.KYUSt_chr2.41358 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257228823:257231132:-1 gene:KYUSg_chr2.41358 transcript:KYUSt_chr2.41358 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARASPSFLPSTSSSPTPSTPTLPPCASFGRNQRAGGVGLTAASPHCAVRRPVMAAAGAVPAAKLEDAEALIDSVETFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFESLGLSVNEEEIFASSFAAAAYLESIDFPKDKKVYVIGEEGILIELELAGFQHLGGPTDGDKKIELKPGFYMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAVLGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSVETLQSPNNTIQPDFYTNQISDFLTLKAATM >cds.KYUSt_chr4.22673 pep primary_assembly:MPB_Lper_Kyuss_1697:4:142631712:142632902:1 gene:KYUSg_chr4.22673 transcript:KYUSt_chr4.22673 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDSNSGELSARAFSIQGCVGMLHQNKRVAREEGLKDLIEALEGFACADDHRYERAVDRCFYFLHSGPAKERKDAYRAIGLYALTVGPKAEQTLLLDRLFDLDRLAGMLPSSPSDAARAVAAIDCLAATTLACAKLSWEAKRTLKATWEVIQQPAAAAIPEVLAAAVSAWTVTLPAALFIEDDMTPFVLIANLLRASDADLRMAGGHALAVCIELDILPRQPNIPWQYYHYRNYKLPEPEPDERPALESRVAELAFGVNPARTKKAHDWETVLFRQIDDFLKKNEQQREGLLTKKKQRPEESILHAGCVIKVSNWGKQVQLKFLKHYIAQGFDHHVRLNLPLFRDSLGLTRAAGRGAHREDLPLPAHEEKQLRKDRKSKALKRDLRDKMQHYDD >cds.KYUSt_scaffold_719.465 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:3166408:3167943:1 gene:KYUSg_scaffold_719.465 transcript:KYUSt_scaffold_719.465 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYWLVEVVSLLCCFVFYYRHLQSKKISKAEPTEWPILGHLPGLVANMHHFHDWASGILAGTLYNFEARAGLTGVRFFVTCDPSNVRHIFTSNFINYPKGDEFAEIFDVLGNGIFNADGESWRRQRAKSQLLMAGPRFRAFSARYSRDKVGRSLLPFLEHAADAGASCDLHDAFLRLTFDMTCNLVFGVDPGCLQIGLPLVPFARAMDDVLETLFLRHLISPACWKLMYRLEVGTERKMAAAKRTIDLFAAETIAKRRADHKLREGISSSSDMLSSFICNDDASEFDDEFLRDTTVNLLLAGRDTTGAALSWFFYLLTKNPRVEQKILDELAPVAYRKKLAGDDDMVVFDVSELSGMLYLHAALCECLRLYPSVPFEHKAAVAGDVLPSGHEMKAGDKILIFSYCMGRMEGVWGKDCAEFRPERWITQDGKLRYEPSYKFISFNAGPRTCLGKEMAFVQMKTAAAAVLWNFAVEAVPGHVVEPKLSIILHMKNGLAVTVKRRNVAAVHG >cds.KYUSt_chr6.14116 pep primary_assembly:MPB_Lper_Kyuss_1697:6:88173190:88173488:1 gene:KYUSg_chr6.14116 transcript:KYUSt_chr6.14116 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSGVSSSHRKEETVLSLAADVVQPMEVLCGADDEGLTIFKNDKTKNPYNPISNQMFNQFVSIRKLITDFH >cds.KYUSt_chr1.19406 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113936909:113937746:-1 gene:KYUSg_chr1.19406 transcript:KYUSt_chr1.19406 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQHVGVWGMDSFKSKAHDFLESKLLDDSTLGLWGSSGVGKTRFLTLMIECYANGDSLFRHILPIQDGSVTDIQHCLAVILKLDWDTMSLFEEHHRAEIISEYLKHDSFLVLLDDVQDKPLDLASFGLPMPLGGRRKLIVTSRSQVGCSRAGCTVANTIEMKGLDDEDAWNLFKYNAGVEITEADVEVHKIAKEMVSACEGMPRAISAIGLGMAGATLCGTNLDDWWYAYELFKAKNLPPERMEEIWAVLV >cds.KYUSt_chr5.14636 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94838320:94855333:1 gene:KYUSg_chr5.14636 transcript:KYUSt_chr5.14636 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSCDAPAPAAMPDLVRDHLYFGGINDAIAALTGPLPDGTDITHVLSVVSSASISFLTDYRPGLSLPAEEVRRVVAGEDGAPSSVAPGRLMRVVERTGEGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDQGRKEGSVLVHCFAGVSRSATIITAYLMRTEQKSLEEALESLKEINESVCPNDGFLDQLKLFEEMGFKVDTSSPLYRRFRLKLLGQSYKIGEKIGNHVFEDDPGVAQQPNPSQELSNKETRKTGYRCKKCRRIIAAEDNVISHTPGEGNSSFEWQDKRKGGRTYNKEQDCSSLYVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTI >cds.KYUSt_chr4.5625 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32614752:32615609:1 gene:KYUSg_chr4.5625 transcript:KYUSt_chr4.5625 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPVHASLPEGATALEIPSSRPAYVKSFKRSGIFAAATAARLVTPPSERRVPTPESATVYARSSSPDPDLEHDAQRTAYAYITPDTSPCRAEPGPFVRLVFRTLALDLPQTFELLRPRFGADAAVRFRTHDEREAAMRRQPFQLDAATVELVPFRLIPLDAGNDYMVHVALRDYPVEQRTVAEIEDNCCRFGFVREVNPACFTAPDRSTVCVVLQLQHPREIPRELHIVYYNGFTSVVPVEIVSVWDCSKSFDARGRYLPLLQPPRRSGFMAGRILGWLGGSSS >cds.KYUSt_chr5.20710 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134543817:134546448:1 gene:KYUSg_chr5.20710 transcript:KYUSt_chr5.20710 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAGGDAGAGRAAQYEGRITSYFVLACIVGSFGGSLFGYDLGVSSGVTSMDDFLIKFFPDVYNRKHAHLHETDYCKYDNQVLTLFTSSLYFAGLVSTFGASFVTKRHGRRASIMVGAVSFFLGGAMNAAAMNIAMLIVGRVLLGAGIGFGNQAVPLYLSEIAPYKIRGAVNQLFQLTTCLGILVADVVNYFTDRIHPWGWRLSLGLAMVPATAIFVGAIFLPETPNSLVERGKLEEARRVLEKVRGTHKVDAEFEDLKEASEAARAVRGTFRNLLAVRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGSSASLYSSIITGSMLVVGALISMVVVDRFGRRFLFIEAGIQMILSMVVVATILALKFGHGEELSKGVGTVLVVAICMFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAAMCHLRWGVFVLFASLIVVMSIFIILLLPETKQVPIEEIWLLFDKHWYWKRIVTKDPKYQGHHQRQEMAAAAAGAVKPVVSSESEA >cds.KYUSt_chr3.6959 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40130814:40134858:1 gene:KYUSg_chr3.6959 transcript:KYUSt_chr3.6959 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDAENLLKDKCDSYSLLSPKVLEGKFPSMMKVPLETLPLAPWGRGASLPSVHFSYVKNIKPGMPLFLFNYSDRKMHGIFEAATPGQIAIDQFAWSRDGRTKTQYPAQVRVSTKTQCLPLPETKYKSVISGNYYNFRHIYFELDNAQTRDLVSLFVPAHAHAIPRAQNLSGFPASVHAVPKEIDSGLKDGNQFGVSSHSNDMVPYKSVDRNANYGIASASRTSESNFSEKASERDGLNDSVTEKEIESVNDDHPRINPVHDEQHGTMAVLQKLQELSLTKDSSNATLEGDAIVKDNTSLEQRCENDELLQIINELAKKTEAIWEKQTESDQERLVLRETVKIMETKIQQLQYQYEKLQLEYSAALLGEKHNNVEGPSIFLIGGHNGYTCLPSLDSFYPTIDRLVPLRPMSSARAYAAVAAFNEHLFVFGGGDGDSCGKLQQGEQCVDNMPMLETEQRRSCWSYVE >cds.KYUSt_chr3.22799 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141003074:141005184:1 gene:KYUSg_chr3.22799 transcript:KYUSt_chr3.22799 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADAARNIVGIIGNVISFGLFLSPVPTFWKIYKKKDVEEFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGVGLVVEAIYLMIFFIYAPTKKRLRVLYVLAGEAVFMVIVVLSVLLAAHTHERRSMIVGILCIIFGTMMYASPLTVMGKVIKTKSTEYMPFLLSLVNFCNGCCWTAYALIKFDLYITIPNGLGALFGLAQLVLYACYCGSTPKKNNGKNVEMPTVGNTNTVGGGNVTVSVER >cds.KYUSt_contig_2097.105 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:459676:461492:-1 gene:KYUSg_contig_2097.105 transcript:KYUSt_contig_2097.105 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVKHTVQTHGARLARKHTYDWVVLVLLAAVVLVLHYAPSFTRFVGKDMMADISYPVKQSTVPAWSVPIISIVCPVAVFISLYIARRDVYDLHHATLGVAFAVLITAAFTDVIKNAVGRPRPDFFWRCFPDGRQLYDQVTGAVICHGEKSFLTDGRRSFPSGHASWSFAGLGFLSLYLSGKIKAFDRKGHLAKLCIVILPLLLASLVGISRVDNYRHHWEDVFVGGLIGYIMAVLCYLHFFPPPYHHQGWAPYAYFHMLEELDAGNSNNAQNQQSAGEHHHIGLAGQHHNGRSRNDLESGSV >cds.KYUSt_contig_7257.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001457.1:24768:25271:1 gene:KYUSg_contig_7257.2 transcript:KYUSt_contig_7257.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTRSVQSTPTARLAADFFRSSAAAHDDTHANQRCLQLPHTALPNTRSARAGPSTWYRGGVVAASGRNGAGAELRGETVGSRLGPWESTAPLRGVHLPSLARSSDPHRASLRAPYHECHDLACPCISNTLPDAAALACEFPEAIDLASELLHQHTEIDPEKDLET >cds.KYUSt_contig_319.1628 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:10717083:10742918:-1 gene:KYUSg_contig_319.1628 transcript:KYUSt_contig_319.1628 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSASGDGSSAADAATATAAAAPSSSSGPSTSAPAGVAGPSATHYLAKRVLHGSAVQHVARGRFRSEHLWEIVLCKETSLELVVVGEDGVLQSICEQSTFGIIKDVGVLDWRFKQFGIWPEIEGKEILVLLSDSGKLSLLYFSCQMHRFIAIGNIELSKPGNMRDQLGRILAIDHDSAWIAISAYEDAFAFVHVGKSPHVYGPNREIVERANIMHVVYKTSDIRGTVWSMCFMRTRCSMDEYYPVVAMIINRKGSDVNELSVYALAANDGGIQHLSSFSEPGPLALDISEIPQLDGFALLFRAGDILFVDLREPKDICCTIRISITGSLIGGQISVEDSCRGLDVDDDVAACALLELRDSGNNIMMDDNYMAIDGVDNAGSMKSRLICSWSWEPDAVRGRPRLIFCLDDGEFHTLEFNWDVEGVKVLPERVHRGLPCRPLLWMNRGMIAGFVEMGDGMILQLEHGRLVHKSAVQNVGPILDLAIADYHGEKQDQMFSCCGMCPEGSLRVIRNGINVEKLLRTEPIYQGVTGLWTLRMKRTDTYHSFLILAFVEETRVLSVGLSFNDISDAVGFQPDVCTLACGLIADGLLVQIHSKGVKLCLPTTYAHPEGAPLTSPVSFVWYPDVTISVGAVGQNMVAVATSNPCCLYILRVRSLSSFRYELYETQHVQLQYEVSCISIPEEDSKLRTVSSAVGGGDVRKRKGNNLAAKVDVRMFAVIGTHKPSVEVVSLEPEEAFRLLSIGSISVNNAFGAPISGCIPENVRFVASERFYILAGLRNGMLLRFESDTSKDHYFPGSFYKDSFTPCVNTPLQLIAIRHIGITPVGLVALRDSANADIIVLSDRSWLLHASRHSLAYSSISFLPASHVTPVSSVDCPSGLLFVAENCLHLVEMVHGKRLNAQKFSIGGTPRKVLYHSDSRTLLVMRTGLTGASCSSDIVQIDPNNGTLLSRFKCEPGETARCIQIAKIGSEQVLIVGTSKSNDHPMMPNGEAESIKGRLIVLSLDTLGSPRESSSFIPTSNLSSSSHTGSFPEIVGYATEELSSNSMCSSPDDVCYNQIQLEQMTGHLRSLTHVTFAGAVLAVYPYLDRYVLAAAGNTLCVFGFVNENPHRMKKYAVSRTRFTITCLKTYASRIAVGDCRDGLLFYSYNESLRKLELIYADPAQRLVGDVALLNCETAVVSDRCGSISVLSCPRLEVSESPEKNLAVHCSFFMGEIAMSIQKAAFRYRLPIDNETDPVLESAYNCVVASTMLGSVFVLIPLTSEEHHLLQDVQERLSVHQLTAPLLGNDHAEFRRRGIPSGVPSILDGDMLVQFLELTSEQQQTILDAAPSGKGPPRSISIFQFTDGVKGDDRQGEVKGTDTCHTRSDGEEERREASREGHEEWDRFVVGEDMQLLAMRGGDEMLGREERENVRIIEFGSHRVYLGTVPERQYLSPVLVAPDPPRSSVARGLRTSCAAGSVEVLMASVGDAGKEAAVEGAGQTKSARPAKPPTEREEVIGGTSAAPPETPLQAAMSVLAMPIAQNIDPAEVQAELEAQRQKLHSGGADIIKAQRELNLILREYNAAHGFASISAQPARVAGNRLRGRNLDQDLRREVLAGKSTSVSLSMVEKPKYRSPDKTIKAARAAVEMCESLSGDALAKQQDRVRELLDMLEAQNAELARINKAAITSKLVHSAKNAGSKSHGQASSPHPDRRREKDANAQQMTVYDPVLAGKQQGGQYDAGRKSQGAGRGYAGNAYAGKDETGQNYRAARAACADEMPPPRYQRGKAAILEYYDEADSGMERIGAYRNPLGERVGERCLPDRDARHRLDRVHLSKMIEAEGTPGPQCFGPRIMREEPPVRNFQLPRDTKTYDGTTKPEDWLADYVTTVYVAGGGGLLERDIFDLFLPEFDKPWVIHLRENLLLFYKPMLLEAQHCLQEKKRE >cds.KYUSt_chr1.34714 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211388335:211389575:-1 gene:KYUSg_chr1.34714 transcript:KYUSt_chr1.34714 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKRIIVLAVVASLWVLSAILGFSAEGTKLTSSDVLWYYGQCYYPAKPARGLGICATIFLIIAQIIFAVVGGCCGFCHSRAIPSEKNRIIGVVCAVASWIAAVIAFALLAAGAAANAQGWRDGHSYGLCDVPRGGIFASGAVLTLVATALGITSYLMLGIQTVAATAPNTGAGEQVPAAAAPNKIGDEPRPAAAAGIAMGKPQVPQQPRPQDYGQAPPPKFTQQPRPQGFGQAPPPKFMQKPRPPKYQQSSAPPQDLSIRYKKTKERPVAAAHQKAAHGIGGVLWGMDKTSTEVRISFW >cds.KYUSt_chr1.36811 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224614996:224618643:-1 gene:KYUSg_chr1.36811 transcript:KYUSt_chr1.36811 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAAGNFAALGPAGGGRRRSGSFGARRMPRVMTVPGTLSELDDEDDDRAATSSVASDVPSSAICERLIVVANQLPVVARRRADGRGWVFSWDDDSLLLRLRDGVPDDMEVLFIGTLRADVPAAEQDDVSQALIDGFRCAPVFLPPDLYDRFYQTFCKGYLWPLFHYMLPFASGPPPKDAPSRGGRFDRASWEAYVLANKHFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRLGFFLHSPFPSSEIYRSLPVREEILRTMLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGIHMGQLQSVLRLPEMQSKVAELRQQFEGKTVLLGMDDMDIFKGINLKLLAFEYMLKMHPKWQGRAVLVQIVNPARGKGKDIDFIRAEIQDSCDRINREFGNSGGYSPIVFIDQNVPSAVKLAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGLPSSESAPEISGPRKSMLVVSEFIGCSPSLSGAIRVNPWNTEATAESLNEAISMSEREKQLRHEKHYRYVSTHDVAYWSRSFIQDLERACKDHFRKPCWGIGLGFGFRVVALDPNFAKLSFDSILMSYGRSKSRAIFLDYDGTLVPQASINQRPSEELVSIINTLCSDRNNIVFIVSGRSKASLASMFSSCPMLGIAAEHGYFLRWNRDEEWQISAQSPDVGWMQMAEPVMNLYTEATDGSYIETKETALVWHHRDADQGFASSQAKEMLDHLESVLVSEAVVVKSGQFIVEVKPQGVSKGLVAEKILTSMKEKGQQADFVLCIGDDRSDEDMFENIADAMKRSIVAPKTPLFACTVGQKPSKAKFYLDDTYEVVSMLSALAEASEPDPTDLADDLATSVSSLDIGDEQIQFGNSIEGS >cds.KYUSt_chr3.47409 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297410942:297416424:-1 gene:KYUSg_chr3.47409 transcript:KYUSt_chr3.47409 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGSAAAREEDPGPAPEPKVDVRCQIWVAATAEIVSCEDPASIMPLSPAPTRSENAAAANQFHVLNSSSGGSAGQASRAPAYLQLPSPRGNTPAAYRQLPSPRGDAAAAAAAAAYRQLPSPRGDAAPAYRQLPSPRANAATLGRSTPVRAMTTYSPPVTGGASAMALALRPQYGAVSPTQPTPPPHLLQQLMILARWGGSSSPRTPWMQSYAHARQPPSPFSPSGRARGMPPPSASPRIPAASTGAGRGTVTSLQNDAGGSHTKPRGRGTVAAVPSDAGGSHSNPRGSGVGRGVISTVQSDGGGSQSNPRGTSAGRGRLAGARSLQITPVNTTSVPPAEVNGDTAALQVLGPVLAMPTTGAAAKRKAAVRSPNGRLRKPRAPKGSSATPAGPKKVVNKKALVVSCETVGTHPGAKGIDHPKAVSLAPPPGKGRKRKSAASGAAPMPALTAPTSAATRCSLIARRNSSSSTVVAARAKKHTILTWLIDVGVLKEKEKVFYVPGPELAATGNNSNGKVVTGTVTRAGIQCSCCDTAMPLPAFTSHAGAETLQPVWERLLLMSGKPLLRCVQEAWEKEHVRTFRAEQKAKAALEQDRQRSAQAKKKLLAANGNGSANSRQNRKGPLALKGTNGGGGDRSDDACGICADGGQLLCCDSCPSTFHPECLGVQVPKGSWVCHYCRCFVCLATDGDLATCQQCTRKHHQHCRPSLFAGHEIGPYCSETCKKMAAKLSEMVGASNTAGGDGFSWSLLKIHKDSSATDSIAVLECNAKLVVALGVLDECFNPVKDRRTGIDMLRQAVFSLGSEFKRLSYDGFYTMVLEKDAEIISVALLRFHGSKLAEMPFAGTLPHYQRQGMMRRLVNAVEQVLSTLQVENLLIPAVAEVVDTWKRSFGFMPVEPRLREEANRLSTVVVTGTTLLQKHIIPNTAALAASSTSSKQQAEAEKQAQAAPAAPPMSEDELAFLEMSWPVCSFTDLVAGIAYSPRPLGVDPLSAAVRGLVGTGAIPGRSSAGGGASGRQSCGGEAAGGSGGGCSGKVFQMSSYSAAARSSSLRLGVNK >cds.KYUSt_chr3.6382 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36610516:36613997:1 gene:KYUSg_chr3.6382 transcript:KYUSt_chr3.6382 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDMGGGRWRRGHVVLCCALVMACMGGALALDAQGAALLAWKRTLGGDGARALGNWDASDASPCRWTGVSCNAGGGVTELNLQFVDLLGGVPDDLSAAMGATLERLLLTGTNLSGPIPPQLGYLPALKQLDLSNNALTGSIPASLCRPGSKLESLVVNSNHLEGAIPDAIGNLTSLRELIFYDNQLEGAIPASIGQMASLEVLRGGGNKNIQGALPTEIGNCANLTMLGLAETSISGPLPASLGRLKNLDTLAIYTAMLSGPIPPELGKCGALQNIYLYENALSGSIPAQLGALGNLKNLLLWQNNLVGVIPPELGACTALNVVDLSMNGITGHIPATLGNLSSLQELQLSANKVSGPIPVELARCTNLTDLELDNNQMSGGIPAEIGKLASLRMLYLWANQLTGTIPPEIGGCVSLESLDLSQNALTGPIPPSMFRLPRLSKLLLIDNVLSGEIPQEIGNCTSLVRFRASGNHLAGAIPPQIGKLGHLSFLDLSSNRLSGAIPAEIAGCQNLTFVDLHGNAITGVLPQGLFHGMLWLQYLDLSYNAIGGTLPSEVGMLGSLTKLVLGGNRLIGHIPPEIGSCTRLQLLDLGGNSLSGPIPASIGKIPGLEIALNLSCNGLSGAIPKEFAGLTRLGVLDVSHNQLSGDLQLMSALQNLVTLNVSFNNFSGRAPETAFFAKLPMSDVEGNPALCLSLCPGDASDRARRAARVATAVLVSALVVLLVAAALVLFSRRRERSIFGGARPEDDGKDAEMLPPWDVTLYQKLEISVGDVTRSLTPANVIGQGWSGAVYRASVPSTGASIAVKKFRSCDDASAEAFACEIGVLPRVRHRNIVRLLGWASNRRARLLFYDYLPNGTLGGLLHGGAAGMVVEWEVRLSIAVGVAEGLAYLHHDCVPAILHRDVKADNILLGERYEACVADFGLARVADDGANSSPPPFAGSYGYIAPEYGCMIKITTKSDVYSFGVVLLEMITGRRPVEAAFGEGQSVVQWVREHLHRKRDPAELVDARLQGRPDTQVQEMLQALGIALLCASARPEDRPTMKDVAALLRGLRHDDGADSRKTSGAAKWAEPRTPGKLPPTALPRPAETQTRPHSSTSSSLAYSTTGSV >cds.KYUSt_chr2.13046 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82763646:82769786:-1 gene:KYUSg_chr2.13046 transcript:KYUSt_chr2.13046 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEKPSGGTSPLRQRAGTETPVPQILASRWRRLWKRFALTRVISGVTGKALADFPHITYPHPMDFSAGGGRGEPARWLDIAGKLLAARDLVGCKRLAERAVDADPLLPGADELLAVADVHLASQRLLPSGRPDPLAVLQLQPDPDTADVKRSFRRLANLLASPRNPHPGADTALRAVEEAYAHLSETTASTGTPSAPAAPGGASAAADTFWTACPHCCHVHQYERALAGRKLRCPSAGCRQAFVATEIPSAPPIVPGTNMYYCAWGFVPMGFPKPADVSTNWKPFRPMPPRDSSAPQPAPQPSSVSKQTVLNNSGHTDTNPPPSNAHPAATSSVGGVVAGPPRGKMKKTTARKKVGSAPKKHASSGLESGIEPSLLGSDWNGNMEGGIAVNSKGININEVAKPTDGGSTMLHFGGDADIGFDLDVDAADDIMGNLHSLPFLREDDSSRRLF >cds.KYUSt_chr4.3415 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19472551:19474501:1 gene:KYUSg_chr4.3415 transcript:KYUSt_chr4.3415 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKPSDVSDNVHVGQVFVPWRESRTKPGHVVEAKDGTIAVASAFPGHQEAVQDRDHKFLSKAVEEAYRGVDCGHGGPFGAVVVCNDEVIVSCHNMVLNYTDPTAHAEVTAIREACKKLGKLELSDCEMYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANMEIKRADGNGALLAEQVFENTKEKFRMY >cds.KYUSt_scaffold_1259.113 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:819895:821115:1 gene:KYUSg_scaffold_1259.113 transcript:KYUSt_scaffold_1259.113 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCRRAWRAECKKRSRFDDGADPSGGEANIEEEARHIDGSAKPWTPSKEEALRTDGFANRRRRARKRQCARTDLPTAAVWRRHGRASAGRLMPPSTTSGGPTAWRWMLDRRNPPPRSTRLGGASLGLGASCLSPPGEVLEVLLAEGFVKRLQRPNLGGLLIERW >cds.KYUSt_chr2.14284 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90405474:90405972:1 gene:KYUSg_chr2.14284 transcript:KYUSt_chr2.14284 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEDEFRHVLSSCAFDLEIEALADLTSLSTISGDRSSSLSSVDLPAVDEDDLVAYRVYASVRKPAVDASLRRLGVERLSIGDVQRLECHVHHHRVHGRHGRCWSNTFQASSRAPCVGR >cds.KYUSt_chr7.26324 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164325639:164327526:1 gene:KYUSg_chr7.26324 transcript:KYUSt_chr7.26324 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRAGGGNGGDYISSLLNSSPMLDFGVLGGAPALDGAGDCLERFCGDPGFAERAARLSSFNGQRFAAGLIGMPPPAPGTEFGSGSREASSVSDPASAMKDANAKKRKAPAAKGKAKEPSVSTSGQAGEQGESDAKRCKTGEAEKKVTNTVKPKAEQTGSDSSVEDGEQKKGKGKNGKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKFLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLHKDMFQACGPSASSVFSLESSSSAFPFSDQGDVFQSFVPNSMESQCTLNQLDLALSQTTNAQYGFQDGMASTNLQQQVRNYWEDDLQSVFHVDNGQSHDNGASAESFHGDLQAGQMKMEF >cds.KYUSt_scaffold_869.989 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6314000:6315911:-1 gene:KYUSg_scaffold_869.989 transcript:KYUSt_scaffold_869.989 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGELGDREDVGAGELVSGCSDLRTGDAKMKFGSMISTSNIVDSEKVTVQSDADLLWTISLRNLT >cds.KYUSt_chr5.22277 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145404890:145406711:1 gene:KYUSg_chr5.22277 transcript:KYUSt_chr5.22277 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDSIAALKPKIQDKEGVPSEQQRLIFAGKQLEDGHTLADYNIQKESTLHLVLRLRGGGKGGTYPVIEPNLLQLALKYMQHKLICRQCYARLPPRASNCRKKKCGHNDELRKKKKLASKTPK >cds.KYUSt_chr3.33286 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208956033:208960712:-1 gene:KYUSg_chr3.33286 transcript:KYUSt_chr3.33286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os01g0869900)] MDKYETVRDIGSGNFGVARLMRNRDTRELVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKEVVLTPTNLMIVMEFAAGGELFERICDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSAAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDPDDPKNIRKTIQKIMSVSYTIPDHVHISMECKQLMSRIFHQSPARRITMREIKSHPWFLKNLPRELTETAQAMYYRRDNGVPSFSEQTSEEIMKIVQEARTMPKSSRPSYGWGDECSDDEEDKQEEDRPEEKEEEEEDEYDKRVKEVHASGELRMSSLRI >cds.KYUSt_chr3.46480 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292413887:292414543:-1 gene:KYUSg_chr3.46480 transcript:KYUSt_chr3.46480 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGCVLPARASGVWWLAVEISMVVAAWCRRLLGRSSMAHPLNKLVVEPLDSVLEASGCAGMVETTVLSLFFNHRGDGGGERTEVALQHPAWRGYMAASSGGVLQLQLIDAVVIHGQRNHSALRCCSCNSFINLLAGVLFWRPFSYSVMALIIKLSPSGLVPGGGADGQDVECIFFFGGEGPDCFCKSFRRVLLVISEDLAIILLSSKVLDVTCNPTF >cds.KYUSt_chr7.4926 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29567373:29568218:1 gene:KYUSg_chr7.4926 transcript:KYUSt_chr7.4926 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAGANLTEVVRSLRLLKIEGYSMIQDMSSDDCFNYKWIFDGYEWEIRVYPSVLNSTGLEWFVAVRLIFLSEPRGCAVRTTLRCSLVGPRRVLCDEHRETIIFRKSQDSSEKIALTGEGYIDDDSFSVQCILEVFKELPDIPTVSFKELHLPSSNLHQHFAQLLQSETGSDVTFLVSGESFAAQPDIPTVFMAEFFGDMSEKCSQNVEIEDMEAAVFKALLQFIYTDTVAEFGRHDEADEEAIYTDTVPEFGQKEEVVTVMAQHLLAAADRYGLDRLKLI >cds.KYUSt_chr3.30695 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192601267:192606156:-1 gene:KYUSg_chr3.30695 transcript:KYUSt_chr3.30695 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYYKARPDAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKVSSAHVYLRLKKGDSIDTISEGLLEDCAQLVKAHSIQGNKMNNVEVVYTSWSNLKKAPSMDVGQVGFHNPRLVHILTVEKRVNEILNRLQKTRVERRPDLKAEKDASNAAEKAEKKMQLKDKACMHNSQPSKLKDIMEEIERLVKERQAEIRSYKGLMVAEKMTSNRQIASAGKSMQEVEDDFV >cds.KYUSt_chr3.12878 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77240161:77244768:-1 gene:KYUSg_chr3.12878 transcript:KYUSt_chr3.12878 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDIMLMLKPMVTRESAAELVARVARRAYQRNGVVTDLKSFGKVHLGYGIRKLDGRHFQVRPVWPFAVRMFVDIDLGLLDGMLCQGQLMQMTMMVPPSFTKELHYLNKEDRLLRWLVVKHREAVYGLEFINEDDGKYEFNSFRRHSISSIKDEDVDEYDDDDDDDEYEVDQE >cds.KYUSt_chr2.19969 pep primary_assembly:MPB_Lper_Kyuss_1697:2:125636948:125637718:1 gene:KYUSg_chr2.19969 transcript:KYUSt_chr2.19969 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARYTDEDIRSMVDDGVVDPRVEECLRAMQSDVSTALQGRAEFPTIAQLDWVDSIEDTAVRRASEMKEDGEDLRHGADVFASRAGEEALVSELRSQAAWCDARRAQAHALAADARRLRDRDLRTASGVTVEDKDGGELVRAAATEFLEHVAKEMDGGGVPETDAARAEAMDAAARAGQGIGARFAEKFVGLAERLRRRALDYGAGDEVLKEALRRRAAEVEELCADPEALVARVLASATWRAWRCVNKHAIPL >cds.KYUSt_chr6.22103 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139626137:139627072:1 gene:KYUSg_chr6.22103 transcript:KYUSt_chr6.22103 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKRSKSCEPSRQMDEQRAGINGVTASDDSITGKAASSSSATAQCSTVTVYLTKINGVQRLVTVVWHKSIINQSFTISIDRPGDGSDDETLSLKVELKPWPFWSKKGLKSLFLDGHRLDVFWDLRSAKFATSSPEPACGYYVALVSQDEVVLTLGDLKKDAYKRTKCRPTLEEAMPVCRREVVLGRRSFTARAWLDAGKPNHDIVIESMLAGPREPEMSIAVDGRVLVQVKNLQWKFRGNETVLVEEAPVQVLWDVHDWIFAGPGAQAVFIFKPGAPPGVDDSTTGWEHGSGIHGDPADYSFFLHAWKTE >cds.KYUSt_chr4.19302 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121267030:121273556:-1 gene:KYUSg_chr4.19302 transcript:KYUSt_chr4.19302 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLHSSLGILLLTLLLVLASSPPATPLSADGLALLAFKSAVTDDPSWALSAWSANDTDPCRWPGVACVNTSSSPEPRVAGLAVAGKNLTGYLPSELGSLAFLRRLNLHGNRLSGTVPPALANATALRSIFLYDNNLTGAFPAELCDLPRLQNLDLSKNSLTGALPAAGLARCTQLERLIISNNDFTGAIPAAALPKMASLQLLDLSSNSLTGAIPPELGRLPALAGTLNISRNRLSGGVPPELGHLPATVSLDLRFNNLSGEIPQSGSLASQGPTAFLNNPGLCGFPLQVPCRAAPPSPSSPTAPIAIGPGATVGGPRQGIKTSLIVLISLADAAGVALIGVIVVYVYWKLRDRRGVHGEDDDDEEGRGLFGCPCMRASSCGDSSDGSEAGGGGEKKKCGGEDGELVAIDRGFRMELDELLRSSAYVLGKGGKGIVYKVVVGNGTTPVAVRRIGGGTKAPERYKEFAAEAGAVGRVRHANVVRLRAYYWSPDEKLVVTDFINNGNLATALRGRSGQPSLSWSLRLRIAKGAARGLAHLHECSPRRYVHGEVKPSNVLLDSDYNALIADFGLARLLTIAGCADPAAAGAGGIMGGALPYVKPAPERPNAYRAPEARVPGARPSQKSDVYSFGVLLLELLTGRSPEHASPSGSSASFSGPGAADGQQPQAPEIVRWVRQGFEDARPLSELADEAVLRDAGARKEVVAAFHVALGCVEADLERRPRMKAVSDRLEKIAS >cds.KYUSt_chr3.18813 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115637775:115639757:1 gene:KYUSg_chr3.18813 transcript:KYUSt_chr3.18813 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKQTMFKGQTKKKSAPPSRHGKAPHIRKGKRVVKPTKFTKDMDADKDLTKFINHANEIKAANKASKDGGDLSIVKGDADTSNSKQ >cds.KYUSt_chr4.15159 pep primary_assembly:MPB_Lper_Kyuss_1697:4:93605084:93605860:-1 gene:KYUSg_chr4.15159 transcript:KYUSt_chr4.15159 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNEEEIHEMITSGSMAAAASPGFFTQEEARATAAVAARNEYVEDVADGSQAVEEEDEEEEQPTQAAANLSKGKKKRKKDSPPAEPRIKWTPKEEECLAEAWMAVSMNGITGANQSFDTYWLRVKQAYDERKLVDPYFNKTNMNVYRGDKAMATHWGLMQTACRKWHGIQEEVEERPINSHDLEQKLRRALDMYTDDTGMQFKFLNVYARLENCEKWKEIRTTLSKSKTEQ >cds.KYUSt_contig_1358.30 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:188834:189947:1 gene:KYUSg_contig_1358.30 transcript:KYUSt_contig_1358.30 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSVQVLASLLDTLPPEFVQPEHKQPGATTTFSGAAAATELPLIDMSMWNAGCCIAEAAREWGIFQVVNHGVPSEAVAELQRVGREFFALPQEEKERYALDPASERTEGYGSTLGREPDDKKVWGDFLFNIVAPPAAVNLEMWPKDPHGYREANEAYCGHMQRLTRTLFESLSVELGLEQGAMAEALGGDDVMFLQKINFYPPCPQPELALGVTPHTDLCALTVLVPNEVQGLQVSKDGHCNGRYKAALHRAMVSKEKTRMSWPVFLEPPLEQVVGPHHQLVTDETPAKYNANTFKHYKYCKFNKLPL >cds.KYUSt_chr6.4346 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25166314:25169221:-1 gene:KYUSg_chr6.4346 transcript:KYUSt_chr6.4346 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTAAVTMALGLTKPNAVEPLQVTFAAIDVQPSDWKGDVLAVLVTEKDLLRSPGSSTFENAVLQRLDGQLGGLLSEATAEEDFAGKSGQSLVLRLQGQGFKRLGLIGLGRVAPSTAEACRSIGESVASIAKSARAASAAVVLAFPGGIQEEFKLNATAAIASGIVLGLHEDNRYRSESKKMHLKQVDLIGLGYGPEVDQRLKLAHHISSGVIFGKDLVNSPANVLTPVVLAEEASNIASTYSDVFTATILDEERCGELKMGSYLGVAAASANPPRFIHLCYKPPGGNIKRKLAIVGKGLTFDSGGYNIKVGPICSIELMKWDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACKQGVDKIVDLATLTGACRVALGPSIAGILTQSDELHEEVTAASEVSGEKFWRLPFEESYWESMKSGVADMLNTGAPQGGAITAALFLKQFVDVKVQWMHIDIAGPVWSHKKRSATGFGVATMVEWVLKNSS >cds.KYUSt_chr3.32228 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202461708:202466661:1 gene:KYUSg_chr3.32228 transcript:KYUSt_chr3.32228 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSWLLLYIVYLGEKKHEDAALVTASHHDMLSAILGSKQEALASITYSYKHGFSGFAAMLTDDQAQDLADLPEVISVIPNQHHELMTTRSWDFLGMNLNHKPASKLLQRSKYGEDVIIGIVDTGIWPESRSFSDNGYGPIPSRWKGVCQLGQAWEGTNCNRKIIGARYYAAGVDKAYQEKNYMSARDINGHGTHTASIAAGTVVEGVSLHGLGVGVARGGAPQARLAVYKVGWDTTDPTITVQASSAAVLAAFDDAIHDGVDILSLSLGVDENSFGALHAVQKGITVVYAAGNSGPRPQVIFNTAPWVITVAASKIDRSFPTAITLGNNQTLVGQSLYYMLKNESTSRFKPLVHGGSCSPEALNSTIINGKVVLCIAEKFGPAADMISDAITNIKSGGASGFIFALYTIDVLLSTDDCKGMACIIVDNAIGFQATTYIGSESLPIVKIEPARSITGKQVPGPKVAFFSSRGPSTKYPTVLKPDIAAPGVNILAAKGDAYVFNSGTSMSAPHVAGVVALLKAVHPHWSHAALKSAIVTTEALPRKVADPFDYGGGNINPDAAADPGLVYDIDPMDYNKFFACKIQKYGICNITTTLPAYHLNLPSISIPELRHPIKVRRAVTNVGKVDAVYQSDIQSPLGVKIKVEPPTLVFSVAKKVHTFKVSITPLWKVQGDYTFGSLTWHNEHHSVRIPIAVRITIQDFYADVA >cds.KYUSt_chr2.43365 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269893350:269893736:1 gene:KYUSg_chr2.43365 transcript:KYUSt_chr2.43365 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRRSSSSNRRLLASFVNACRKLGAAPTAGEWAQLHAGDDEAAIPVDVPRGYTVVYVGAELRRHVVRVSSLGHPLFRELLDDRAREGYAFAADDRLCLPCDEDIFLAVLCHVDSKHDYCRRLVLCS >cds.KYUSt_chr2.50893 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318308355:318309961:1 gene:KYUSg_chr2.50893 transcript:KYUSt_chr2.50893 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIAVLMRVLVLVAALLLVMDAVAVEGRKMLGGRGDATTYARMSYTGRGQEPPPPLPPPPVDAFEFHYTAAADDIEEDTELTTMNAQFMADAEREKTEGGGACGLRRGAAGRRRTRWEEADGDSTSTSWSDGPDPDEQAAEQEAILASYESMKKTEDNPRSRKEANKEAVAPRRRAFIQRARDRGVDPSSLREGAISGSSRTRKDAALFSRGSGRSGGAEWWRQRSREGREATTGWSSRTPRRMACRLG >cds.KYUSt_chr1.41517 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254645303:254646007:-1 gene:KYUSg_chr1.41517 transcript:KYUSt_chr1.41517 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRLLASRRAASTIIASPVSVHRNDEEDFFDLDFSSSSVRPSSSSSGSLSFSDSDDECTVLDFIMSLQRSSSSSPLIGGGATAAPLKSCPSDPPPKMKAGRKRGINSLRTLSFDARKDVPVYGRRSSRSARSLRLLMDSTSRTMEDEHAVVDAAQTSRRSSSRGVVRTCLAKISRRIRTASSARGIRGVRKCRSASSGSAPACGDDDLAAEKQDGIAGAIAHCKDSLQRDST >cds.KYUSt_chr6.4045 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23415611:23417816:1 gene:KYUSg_chr6.4045 transcript:KYUSt_chr6.4045 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSRKEEEDEMALEDGDIDESPRDSFRDDDDDDSEDGDMGDEGEDEDGDGVGSFESRQWPQSYRETTDTYTIAASPIFGYLGPSTSKYSIDGGRSSLASDSKLPLISDKLESVKSLRRHLLGSARDDKLSFQYTGEIYVGQGCSVTQTVFNGINVLAGVGLLSTPFTIHEAGWAGLAVLSLFAIICCYTGVLLKYCFESKDGISTYPDIGEAAFGRIGRLIISVSPGFCIIA >cds.KYUSt_chr2.5182 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32184437:32191294:1 gene:KYUSg_chr2.5182 transcript:KYUSt_chr2.5182 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLHDRFPFAQPDSLRALAPACPILAGDTGPWPMCPLTALPSSLPMVDPVRFIRHQVLTPLRFTHQGLKSQEIMVSSLIVASLLPATRGPICRKIFQYEGRKAPSLAGGVISVHLRCGKRLIAAQETCPADLEAKCGGGAADDWEGEFFPGISKIKYEGPTSKKPLAYKWYNAEEVILGKKMKDWLRFSVAFWHTFRGTGGDPFGAATKAWPWEDGTNSLAMAKRRMKAHFEFMEKLGVDRWCFHDRDIAPDGKTLAETNANLDEIVELAKQLQDETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYAAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELEHLANFLQAAVDHKKKIGFNGILLIEPKPQEPTKHQYDWDVATTFSFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINDLLGNIDANTGDPQVGWDTDEFLTDISEATLVMSSVVKNGGLAPGGFNFDAKLRRESTDVEDMFIAHISGMDTMARGLRNVAKLIEDGSLDELVRKRYQSFDSEIGAMIEAGKGDFETLEKKVLEWGEPTVPSGKQELAEMLFQSAL >cds.KYUSt_chr5.42599 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268336763:268338061:1 gene:KYUSg_chr5.42599 transcript:KYUSt_chr5.42599 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAAQAHKPRSQPRDNSCLPVGASHRRARGGGGGQGHHRASERFAGRGERNLQRAHQDARRRRRAAPKSRRARGQSRETLQAKIQNQRSRRSASTLERRGEPRRDRTLRGSGTSGEVAHCTPAKLQALSTKPSRVIRSGSDLGEAGEELDDGFSWSERQLSLELSSASTENRDPASPAGKGAGREKLRRRRWPRGACADASEGGRKRAMEGKTTLWETMLDIRSTDKLRRISRGWKQFSRENALELGDVCLFKLEDTDTSILKMTAYVIRKSLTEL >cds.KYUSt_chr3.31165 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195610510:195615803:-1 gene:KYUSg_chr3.31165 transcript:KYUSt_chr3.31165 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPMPHKNKRRRRRTSPSLQQQLSRPRLTQEEDGASDSLVDSDSSSSRVDGGSPPPHLPDEPVMSSPEPRYSYHEMIAMRLARLRLPDGSDLPGFPSSEEILLALTRTSFHTDESRAAWLEYQCQIFLSGPDGESSDDSPPLVDMGSTCTSTIPDIGITDEEYMADCETLAARIPEIDTYHELEQDETNKLHLKHALYRIKACLLLKGKPLDELDDVELEHKYPPEFIVDNNYFFHYVRDGLFGWYFDTDLCYKKYLTDYQRLVLFNDGGDEYTSWRRYVEYYSTPEADRDYLQYWETIVKELKWFEHHVLIKESSYEAMLQALRIAVGFPNMTMELAAIGFHEYIWKTRINLMFVKDLDGIFFEIWKRTHEDHQLRFRDALDQVYRENLFSAHDRSMKYELTYGDSQMERKFRNCTEGISHSVPKYKARELIAHTIRWTGGDEYTSWRRYVAYYSTPEADRDYLQYWETIVKELKWFEHYVLIKESSYEEYIWKTRIYLMFVKDLDGILMENVQLRFTDALDQVYGEKLFPAHDRSMNISLTMQIDLVFFLCSFVPKYKARELIAHTIRWTRGSSGTYEQYARKKLRIAELLGLIPKAKIAAA >cds.KYUSt_chr2.5381 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33357250:33358416:-1 gene:KYUSg_chr2.5381 transcript:KYUSt_chr2.5381 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSGATVFDDLPEWLVVEEILVRLPSKDVLRCRAVRKSWRSRTSTDKFILDHHRRQPSLPIIKHRKGICRPAVFNDAGPGAGAPNDQKIRPILHHYTPPVGTIFTTIYNAACDGLLIMSQQLDFYICNPATRRCASLPHPPLRPAGYSAVAVVGFYRHHASGEHRVLWVVFSIAAGSAVELPDYFVLTVGSDQPRPIQRPQGGVPAARSSRGPPVHHRGSLHWAVSLKITVFDTVAETFRQMSRPAQLGHMVSLLDMGGALALCGTSDDFVTLDVWVLQDYDAQTWGFQYRINLLEMVAVPPLNLRERYAPTMDVINERELLIEHRPDRLLHCDIDGVFLGNVEHEERLIGLALTRHRLQESLISLPLFERQEEDAGKEPPFAMVL >cds.KYUSt_chr2.23584 pep primary_assembly:MPB_Lper_Kyuss_1697:2:143930246:143931216:1 gene:KYUSg_chr2.23584 transcript:KYUSt_chr2.23584 gene_biotype:protein_coding transcript_biotype:protein_coding MMATNPRIFLEKFQQQRCRSRSWLCNGAKISRRDLTRCKVAEACRVRGGGVLTLVIAEGEEEAAETDGDEEEEGWPGQMVRRRADLGAPASVMQTSRKTSSPWSHSHAQMLFEKKKEGKEYEEIPPYHI >cds.KYUSt_chr7.38028 pep primary_assembly:MPB_Lper_Kyuss_1697:7:237062533:237063931:1 gene:KYUSg_chr7.38028 transcript:KYUSt_chr7.38028 gene_biotype:protein_coding transcript_biotype:protein_coding MGANFASGGSGYYDPTARIAQLYDMGGRRIGVTSLPPLGCLPLAITVFGHGSNMCVLRLNRDAQMFNRKLDTVIDSLSTLYHDLRIMALDIYTPLYSLATSPGSQGEKHIQSSRLSAHANAHATEVATAIFLDSIFKRDHRINLARPAVDATMTPDGSTILHAYIIAHPSSIPCSTMPPRLSANNVVDEYHSINNRQPSSCSKNDAPRGRTTQVAPPSSDPRDPDLEFPPEQHE >cds.KYUSt_chr7.36287 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226808451:226809089:-1 gene:KYUSg_chr7.36287 transcript:KYUSt_chr7.36287 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLELDDDYFIDQLGEKADTHARFSYYPECPKPDLVFGLKPHSDGTVLSILMVDQSIGGLQVLKDGTWFDVPIVPRTLLVNIGDQTEIISNGIFRSPVHRVITNADRERLSVALFYSVDPEREIEPAAQLVDEKKPALYKKVKVRDYLAGFYGRFSQGAMVIDTVKI >cds.KYUSt_scaffold_2697.915 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:5798173:5798588:-1 gene:KYUSg_scaffold_2697.915 transcript:KYUSt_scaffold_2697.915 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSALVTTYRFFSFLFATRVALAAPAGLGPTAPTMALELILEDEVRWGGFDGALGMAMGSWGQPVRRRSGLSAAEEATSRCPIFFGAAKNCPSSSS >cds.KYUSt_chr7.41143 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254907678:254909691:-1 gene:KYUSg_chr7.41143 transcript:KYUSt_chr7.41143 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVAAEIAALPEPRGPLRRLCGDLARRVRLLAPLLDDPSSAPASSTPLADALRAARDLLHSVHHGSKIYQATRGRDGLLREFAAVNRQIQEALDQLPYHAFDMPEEVQEQVALVHSQFKRAAARATADPPDAQLARDLDWALPGDKPCFPALLGRVSEKLQLETMADMKKESVALHEMVISSGGEPDGCVDEMSSLLKRLKDCVITQEPASEALGGGRSSSIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKMQLPLTHTSLTPNFVLKSLIAQWCEANGIELPKNKANSHDKKVVKSSDYDNAGLVSLMSRLRSVNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSNAIPKIVEVLKTGSMEARENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIIVHLMNFLVDPTGGMIDEALTLLAILAGNPDGKAVITQSEPMPPLVEVIRTGSPRNRENAAAILWSLCSADAEQIMAAKAAGGEDALKELSETGTDRAKRKASSILEFIRQKEEA >cds.KYUSt_chr1.6572 pep primary_assembly:MPB_Lper_Kyuss_1697:1:40475986:40476935:1 gene:KYUSg_chr1.6572 transcript:KYUSt_chr1.6572 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASKSSIENVTAVDSTALDCGVCECPLKPPIFQCEVGHMVCSECKEKMAAAKTCHVCRRTLAGGYKRCYGAEHIVECLKVPCPNTANGCPAKLARYAESAHLQVCKYRPFQCPVEDCTFADRYLSALKHHLKYTHKWPSTNVYDGTSNLALVDGFNVIIPCPGSRPQPTETLWPYFGIPVYHMLILKVTRESYGRVVTPVHIRARDAKECRLKLAYETPCGTHRLEYAFNVPSTDVSASGGGGLSSPDDRFDFVVPKSVQPLDMDTIKVAFSCWYLDNVES >cds.KYUSt_contig_2500.44 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000359.1:202114:203495:-1 gene:KYUSg_contig_2500.44 transcript:KYUSt_contig_2500.44 gene_biotype:protein_coding transcript_biotype:protein_coding MHYEARVQCVRDWHADRFVHMTKEDARDTLMQPWQYMQNPPPYVGTDDRCFLAMDMWWTCPQYLKKHEEGKKKRAEMRGGSHIQGSIPISLHLQNEEVMTGAKPNVFAVLKKMKQRKTPHPETGSVWVNPQFETQCTSYVSKFKQKYGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGDGVVNPAMVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLRADMAEKEQAAQEHARNMERQILEYQQQQTQMMLQMQWQQQMMQQQQAQMSWLMSQMALSSPPGSIPAPPPYSMPWMPPPPTQSPGTPLTMNNLNIIRSMNRGESSCAQPATCTCSSVQYANANANVHSINLIDYMSQGNDDEAGGSGGGQG >cds.KYUSt_chr5.14952 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96627263:96628328:-1 gene:KYUSg_chr5.14952 transcript:KYUSt_chr5.14952 gene_biotype:protein_coding transcript_biotype:protein_coding MATISCLSPAARCSLLLTIAALVLVLSHGAHANGGYRAGLSSSFYDSSCPSARDIVRRVIQDARVTDARIPASLIRLHFHDCFANGCDGSLLLDDNVSSGIVSEKKAPGNYKSARGFNVVDNIKRSLEKACPDIVSCADILTLAAEISVELAGGPSWSVPLGRRDGTKTNIESANDLPSPFDPLDILQDKFKNMGLNDIDLVALQGAHTFGRTKCQFTQRNCTARQGEGTLVNLDAVTPDVFDNKYYGNLLRGRAPLSSDQVMMSDPFAATTTAPIVRRFSDNQKDFFRNFATSMIKMGNISPLTGRDGEIRKNCRRVNKKYY >cds.KYUSt_chr5.12313 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80331269:80335271:1 gene:KYUSg_chr5.12313 transcript:KYUSt_chr5.12313 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTLTTPGTLADLDEELAGSVASDVQSSLASDRIIVVANTLPVRCERRPDGRGWAFSWDEDSLLLHLRDGLPDDMEVLYVGSLRADVPPSDQDDVAQALLDRFRCVPAFLPRDVCDRFYHGFCKQTLWPLFHYMLPFTSDHGGRFDRSNWEAYVLANKLFSQRVIEVLNPEDDYIWIHDYHLLALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLDYFGRTVGIKIMPVGINMVQLKSQLQLPDLEWRVAELRKQFAGKTVLLGVDDLDIFKGINLKILAFEQMLKIHPKWQGRAVLVQIANPRAGNGKDLEGLKAEIEQSCARINGQLGRPGYNPVELVNRTLTSVERMAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGIPGLDGSGSDTQKRKSMLVVSEFIGCSPSLSGAIRVNPWNIDTTAEAMNESIALSDNEKQLRHEKHYRYVSTHDVAYWSKSYIHDLERSCRDHFRRRCWGIGLGFGFRVVALDRNFKKLTVDSIVADYKKSNSRVILLDYDGTLVPQTTINRTPNETVVNIMNALCADKKNVVFIVSGRGRVSLEKWFSSCPELGIAAEHGYFMRRTRDEQWQINNQCSEFGWMQMAEPVMNLYTEATDGSYIETKESALVWHHQDADPGFGSAQAKEMLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGFVAEKILSMLTENKRQADFVLCIGDDRSDEDMFEGIADIMKRSIVDPETSLYACTVGQKPSKAKYYLDDTNDVLNMLEALADASEEVSSPEESEILSPSEDA >cds.KYUSt_chr5.6313 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39055325:39060983:1 gene:KYUSg_chr5.6313 transcript:KYUSt_chr5.6313 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDAGSGGCAGPLRRAALASKAAGRASASGDEREEAEDDEGAARGAAGDAGNEGCVGPLAESGSSWHGHARLVFVCSGEAGPWLSDAAFLDAALAGGGQGSRHTFLRVKQDQIILSQLVLVEYKICCQGNAMVTLQRWPPRPSRNNP >cds.KYUSt_chr6.4001 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23132841:23133633:1 gene:KYUSg_chr6.4001 transcript:KYUSt_chr6.4001 gene_biotype:protein_coding transcript_biotype:protein_coding MANPYGDDTALKKQQIEAVEAEAAFMLLHYSDPIRVQLTCHSRPTADSSAPRRGAVAEDRGAVGQLPPVHQRRDDARENILQAQLQTPLNGSSASARQRAPIGRHMTALSQASRDAALISYPVKAD >cds.KYUSt_chr2.30513 pep primary_assembly:MPB_Lper_Kyuss_1697:2:188023378:188023950:-1 gene:KYUSg_chr2.30513 transcript:KYUSt_chr2.30513 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFFVLLASAVSLFVDGAGSVAKEACAKTPQPSNCEELLSSSPAADVTALAQAAVAAAAKTATEAAAAARAERDKLPNGKTQWRCMDSCAAGFEEAATKFKPGAGGPAAGAGAKLLEVLDFVVLDEEKEKSKDWEWKWSCNECKADPTAPAGLVVKNKEFDKIMEFLPAILKQTPAVVANSTKPAATKA >cds.KYUSt_chr7.15840 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98388007:98394349:-1 gene:KYUSg_chr7.15840 transcript:KYUSt_chr7.15840 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPKLRGWHIPVFTDVLGLCSALLAVVSSARPARRRHKLLLSVSGWGGTPLLLLFLGLEDGRRCSAMAWGAGSGRRLGLACQLLLVVRMVAPARWWRRRRVRRCLDLWGCKSVAMRVFPTSLGRFYPSLGWAKALVGGHAAGEWLRRRPARRTVHTRSRVSSWSFVASGSRAPDLAGQIWCILRSVFFIVLRWWRAQLSTEKIIPGISDNKAFEPSCCIFHMLPMWKDEMQSLDASALVRRHHHPLRLSPPQIRGRFLGIYGRLFSFKWCCRASSLLLQLLSLFCFDFLGTVVVIQIEEATAVVVTGGICRFGAISSWSFFSATKFWKLKSLATKSHIKPDMFSADISTSDRRSFWSSGAEDLVRLETSGSVPVSSHGCSELSSQLRREEEKGPDCFSNVFTSLQFALQLRSAPMARLLRDRAPAAFAWPPEDPSVPSLPQPRPPPSPPSENDQPPSQGGVVAGLVIGFLALLLLGAVVYSLCKGRRQSRALAHAQARAAAWAAARLRQQAEPRTREDDQRRQHLRRASGPGLTTARLPAFSYNQSLKQNVAGTGEDAATCSVCLGAFQNGESVRLLPACLHLFHIECIDPWLDAHSTCPICRSDTDPTVDVAARLSTV >cds.KYUSt_chr3.15452 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94624293:94625561:1 gene:KYUSg_chr3.15452 transcript:KYUSt_chr3.15452 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTSHIGALTNWIGLGSISFLAAMAAVAETTVEGSDLRSFLAKRGVDTAEVDDQALKELEEKYIIARDLDADTVLSKIQDLLGLRHKEDSIVAYEGLTYQLPSRGLEHQEYEPLLRQLCLRRGKVDCCAVGDTKTSRWAKIITAVLSLVQELLLRGSIISSDFMRCRDELFKQDKKTVDDALLDICCLLECTRTSLGVYELTPGSVVGPLKFTMKNGKEMHCMTSAGAWIAEEMHKVTAKPGAEIKFILVVQRNAVFEDFARGLGDRFLREFSCVIVTGGDGQPNVTTRAFLRKLKDSLSVPVYALVDPDPKGLSIFCTYKFGSSEKPFDNAGLTVPDINLIGVHLDEAISIEKGQPLTKEDKSILKGLCSQKHVMGDELLRKNIHSMMHRGLKSKIEALYRRQYPPSDYIRKAINNQDDI >cds.KYUSt_contig_1181.123 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:827159:829833:-1 gene:KYUSg_contig_1181.123 transcript:KYUSt_contig_1181.123 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSAHLEPPAKKRNIDPIAPTNHPWNLPAKVDLVHRCDRPEDAVLLIPREANLRIGAEAKRVVARVSQAVVAVASIDVHGHQLWRASGFIVEFDEYSMTGTIFSSATVAQKIHMFPDIEKIKVYLFDGASYEATIKACDNHWNLLVLSVSFDRYVKTMNMVEISENRTAADVHLGGFMQQPHPACESLCSGDTIIGLGRQSEEPFGLQANCGVYRSVFAAELIAVEEALELAAVLGAIHIEVKTDAQLVMLALNNRSADCGFPPV >cds.KYUSt_chr2.27709 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170253905:170257572:1 gene:KYUSg_chr2.27709 transcript:KYUSt_chr2.27709 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHGVFLSSERNNQTLILPKCHFARSIWFVIQVASTLYPPTSVANVFGNWLHGIDSRSSTDVHVFSVHGYLYKTSADDIKSLRLITALKTPYLPDGRFDLEAYDSLINMQIDSGADGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTKIKVIGNTGSNSTGEAVHATEQGFAVGMHAALHINPYYGKTSTEGLISHFNDVLPMGPTIIYNVPSRTGQDITPPVIEVVSRHANLAGMKECVGHERLNCYTEKGITVWSGNDDECHDSRWKYGATGVISVASNLVPGLMRNLMYEGENAVLNEKLLPLMRLLFCQPNPIGLNTALAQLGVARPVFRLPYVPLPREKRVEFVRIVEAIGREHFVGQKEVRILDDDDFVLISRY >cds.KYUSt_contig_786.369 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1988998:1992719:1 gene:KYUSg_contig_786.369 transcript:KYUSt_contig_786.369 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHHRLISCHHFIHSHNSIAIWNRTGMPILRPNKSPAHSIDAARPGSGDHPPGSGWSWAAAVPGLTRHPWATNQQQQQQQPRLNPAGAADGDHIDLTRHGKLRIPSPLRVQTSINFVMRMVLPRTCRSLYPLYGAHDYSHQMSQSAPQAEPYGDNLPHIDPWGLPKLRRKRWRHGGSWRLLVTLAGLEA >cds.KYUSt_chr3.12770 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76593454:76596190:-1 gene:KYUSg_chr3.12770 transcript:KYUSt_chr3.12770 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSIRIDIRLPSKIVEEESVRKHEGLSPRKWLRVVWDFARQDTNRVTFALKVGLACLLVSLLILFRAPYDIFGTNIIWSILTVAIMFEYTVENLIVLAIAGATFNRGFNRALGSVLAGVFAIVVIQVAMSSGHIAEPYIIGFSIFLIGEQLHKELVNNFNSLADSLEVMNDFPDEPAFKKCRATLNSSAKIDSLANSAKWEPPHGRFKHFFYPWAEYVKVGNVLRHCAYEVMALHGCLHSEIQAPYNLRCAFHSEILDATNQAAELLRSLAKDVNNMKWSLQSSLLNHVHVSTERLQQSIDLHSYLFTSCHEDNSAKSQLKTSRAVTFNLNTKQSDDQESKIEENTATQVAVPLQPESYHEMMKRQQRRLHSWPSREVDDFDDDDNVVSDMIPRMRALESTASLSLATFTSLLIEFVARLDHLVEAAEKLSQLARFKQQIGT >cds.KYUSt_contig_605.201 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:1024107:1024953:-1 gene:KYUSg_contig_605.201 transcript:KYUSt_contig_605.201 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYTPDVDLITGLPGPATRGTTSGAVCQDLSRDVRLMLGRKATHLVVLDDQGGGGFAELGEVEVAGGELRMKGAGCAVLDDLKESRGMERLARCLTLLRW >cds.KYUSt_chr3.26133 pep primary_assembly:MPB_Lper_Kyuss_1697:3:162451025:162456173:-1 gene:KYUSg_chr3.26133 transcript:KYUSt_chr3.26133 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRVALHRNLFLLPVVLLICTPTEGLVRVSLKQLLVDGNRLVVGEDARPFTKVVPFDEVKALAHAIRTPLQIFLANENSDAREISCGRFPCTTFCGRVHWILCASGINAGSGFFWICTPFCTQTSYASSKSAIMGTGRVALHHHLFLLSALLLVGTPAEGLVRVTLKKLPVGENRLVTGEDAQSLLAQRHGLVFNEEPQPPPKRDIVILKNYLNAQYYGEVGIGMPRQNFTVIFDTGSSNLWVPSSECYFSVRAFISCCLVCCGSRLYTV >cds.KYUSt_chr3.2496 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14266121:14266902:1 gene:KYUSg_chr3.2496 transcript:KYUSt_chr3.2496 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSPSCFILFAAIFALISWQAVASDPSPLLDFCVADNSSSVLVNGFVCKDPKVVTADDFFLAAKLDMPRDTKMSKVGSNVTLINVMKLPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNTDNKLFSKVLNKGDVFVFPHGLIHFQLNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPMISNDVLSKAFQVDKKTVDWLQAQFWADNHN >cds.KYUSt_chr2.2510 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15135872:15140998:1 gene:KYUSg_chr2.2510 transcript:KYUSt_chr2.2510 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAAVTGHRAGVEDVVAADGGVEDVVAADVCEHDVPRHLVLEQGSTSVLGHTFGVDDDVLLPVPAGSGSSRSSSEFRQHVGVVAVVVENFGRASPMRCGSYCDNEVEATDGAPEGDYELVYEEPDLSGGMEGVDYGIVYGADNNEADE >cds.KYUSt_chr1.8791 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54088645:54093358:1 gene:KYUSg_chr1.8791 transcript:KYUSt_chr1.8791 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSAVYISVIEDVVANVREDFITYGVGDAVLNELQALWEMKMLHCGAISGAIDRTRPPAAPAGGTPAAGATPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPGTEAGMYNIPTGPSDYAPSPISDIRNGMSMNGADPKTGRPNPFMQSPSPWMNQRPLGVDVNVAYEESREDPDRMVQPQPLTQDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQVLEFVVSKDNAAQVWNSIMNRQESAANVSLIKRTTMSPVLPQRDGMQDDYNDQFFFPGVPTEDYNTPGESSEYRTPTPAVVTPQPRNDTGDEDDDEPPLNEDDDDDDETDDFTEDNDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKVGLIIFCSNL >cds.KYUSt_chr5.16323 pep primary_assembly:MPB_Lper_Kyuss_1697:5:105017403:105017813:1 gene:KYUSg_chr5.16323 transcript:KYUSt_chr5.16323 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPPALARSPPPLPQPPPSLPQPPPPLHQPPQLQMVLADVPECTAAAPSTSLVEPSPAIPLLLATLPPLSATAGPLTLLSSESSTASAHTTSPSKHVAQKPRVGNSGEVNHVDAAPLLEISRPIEEDDMDEDST >cds.KYUSt_chr7.24038 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149696971:149705056:-1 gene:KYUSg_chr7.24038 transcript:KYUSt_chr7.24038 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASRPPTATPPAASSSRIDSPSLKAALAMALMHYNRLPSRAAAAAGTSTPPLIHWKRKAKERKREILRLREELKLLQDGVRGEETEPPVASCRCHFFDGCGDLQPQPPPGGGEHWVDEVLRRRFLRLEFNREDEIQQLSLSTDFLVELSDGISAKRDSMSSFATFSHQAVDFILGSVDCSDAQFSVQHLFRKLGQEEFIGQRIILVVSQKISNLSEMLLLVDPFDDSFPDMHGNMFIMMLTYSKTLQQQAAVLFLIASMHVVLEGSRRYTFALKRVHDRRGQARSRSCMAMRRQKRTMTSWSPSLTYVLSHLAVHRVEEEVCSYQLRMYQLRLAYLPSEILHVLELTDCNYRRPQPARPLLASLRPLSILRDAVRSAACHRTSQVAVT >cds.KYUSt_chr4.26702 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167706554:167707792:-1 gene:KYUSg_chr4.26702 transcript:KYUSt_chr4.26702 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWERSERCGAVKKTKTPPAPPVAALTDHLLGEILRRLPDMASLLSAALSCKRWGRVASNPAVFRRFLSLRRPPLVGFILTDRGAKPVPFHDPDVCFITASPRHPKLASAASNGDFQFQNHPEIDHGNPRHDDGWRLRGCDGGLLLLSRGRYGDDLAVYDPLERTVIFFGKPQLPTPAHRWCNVRHAIVADEVDASFQVIAIQHGEEETAAVFSSRTREWAMISWGTVRYRFAWPYSDGITAGQFVYWRPNTKYEKKEEILVLDMKTMSWSVITAPFPPGESYCVADMVEHGGLCIVSSKEQCVKLWVRDNDGGWSVKKEVSLLNQFGYLKKLRRDEWMKRVRILAMKAGYVYMEFWSIRKPNSYLLVLNLNTIKLQIIHNKPGPGDDKNYRGAAFPVFLRLAPIPVPGDD >cds.KYUSt_chr4.26075 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163937996:163941587:1 gene:KYUSg_chr4.26075 transcript:KYUSt_chr4.26075 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLSPPFLAADSPGRRFGGGSVALDPGGAILSFLPRLGIAGRVVMGADLVLLLLKVWITAQRAAALDGGDGVDLVAGGGRQVNFDVKEHNMMLNYKVLHEMYTKLYQARYRLSALLFLTYAYDVPASVDDLESIRVYEKQDKKIKRCGR >cds.KYUSt_chr2.37520 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232342844:232343140:-1 gene:KYUSg_chr2.37520 transcript:KYUSt_chr2.37520 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRRVLLPLVLLSGLAFRGVRFDDAAAALAPLLLPSPLPPLPLVLPAGGGREDESDSTEIVAVPPPLPPRELLVRPPRRQSVPTNMVTEETEPAVR >cds.KYUSt_chr5.42745 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269294779:269295846:-1 gene:KYUSg_chr5.42745 transcript:KYUSt_chr5.42745 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQVGIRVEQIRAAGPSTPVNLSVMFHSITNSIVARATFGKKRKNAAEFMAAIKSGVGLASGFNIPDLFPTLTTVLATITGMKRSLNGIHTTVDTILEEIINERNVARAEKIKAGATENVDENLTDVLIGLQGKGGFGFHLDNSKIKAIILDMFAGGTGTSASAMEWGMMRDPAVMEKLQGKIREAFKGKTVVTEANLQASELRYLKLVIKEALRLHPPAPLLVPRESIDVCELEGYTIPAKSRVVINAWAIGRDPRYWDDAEEFQPERFEDGTVDFTGSNYEFLPFGAGRRMCPGFNYGLASMELALVTLLYHFDWSLPEGVAEVDMEEAPGLGVRRRTPLMLLATPFVPVVA >cds.KYUSt_chr3.39644 pep primary_assembly:MPB_Lper_Kyuss_1697:3:249873448:249878921:1 gene:KYUSg_chr3.39644 transcript:KYUSt_chr3.39644 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTVEPKAAAPGALVSRKNPDIASTVMARRDARVRIVEERSYLEDWFGLATTRTRDEVTVRKIFFHHDSSEVVLALKLPARSTDDFVAWNCEDNGMFTVRSAYRLGLAPSVESFVPGTLVLIIYWIAKMPRMGTPVFFPAFVPALFLVIGLVALQGAVLHAGAADMLTIDRPLSGTQRSLVSKRGQFALGFFQPGNTAPWYIGIWYNRMPEKVSVWVANRDSPVSNPESSRLTIAIDGNMVLLDDSGSAVWSTNLTTITSSTVGVILDTGNLVLADASNTSNILWQSFDHCGNTWLPGGKLGRGKLSGGCTRLVSWKTSTDPASGLFSLVLDPNGTSQFFFIWNSTQQYQTSGNWTGHSFSSMPEMNPTIGYPNSMYTFDYVDGANESYAVYGVKSGGLVTRFIMDVTGVINVIRWSESAKDWMVTLSKPHTQCDVYSLCGSFSVCTENAFSSCSCLRGFKEQYQGQWSKGDHTQGCRRNVALQANSNGSRSDKFYTMVDVELPSNAHNIVAASSNQNCELACLSSRDCTAYSFNGSCSLWYKDLINLQDLSSAATGTKGVSIQIRLAASEFSNRNNAKKLAIIITIATISVTLIVVASVFLARKMFKEVAHVDGSLIAFRYRDVQTLTKNFSNKLGGGAFGSVFKGLLPEGTLVAVKKLEGFRQGEKQFRAEVSTLGTIRHVNLIRLLGFCSERTRKLLIYEYMPNTSLDRFLFGSSHQPVLSWSTRYQIALGVARGLHYLHERCRDCIIHCDIKPENILLSDTFVPKVADFGLAKLMGHDFSRVLTTMRGTVGYLAPEWIAGTAITTKADVYSYGMMLFEIVSGRRNVIKRQDGTLDFFPLLAATKVTEGELEGLVDTLLDCNVNPAEVERACKVACWCVQDDEGARPSMATVVQALEGLVEVNVPPVPRSLKLLANQTTYVEFYSKLPSE >cds.KYUSt_chr6.15680 pep primary_assembly:MPB_Lper_Kyuss_1697:6:98519440:98519697:1 gene:KYUSg_chr6.15680 transcript:KYUSt_chr6.15680 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSWDEAALEEAVEVLEADPQLAEYEAWEEAALAATVDAYDAGERQRQEAERRERRERGEARRRLEEENLLALEEAEQLEFQEA >cds.KYUSt_chr2.35846 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221540831:221541103:-1 gene:KYUSg_chr2.35846 transcript:KYUSt_chr2.35846 gene_biotype:protein_coding transcript_biotype:protein_coding METLTPEGKAIFDTLSSAAAAQQEQQRKELHDLIAQAVTSVVDSAVRSSIDKAVVNMQLYADGVENTLQQHITELREQVGLAAHSDDPDP >cds.KYUSt_chr1.32587 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197938682:197954517:-1 gene:KYUSg_chr1.32587 transcript:KYUSt_chr1.32587 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWQYVDHIAMKGIFVAILHFIATKDIYWNLYSERIWRTAKTLPCNFCDAHGKDVVAVIVTVRRRTTKSLPCKLSHDNVLLFRSEQRQSVGFDVHHMSASIADMLALDLWTLVVLYDSVQLSTSMSPTMSRLHINGRLYVHDMAPCTGDAELRRHFGRYGDVADIFIPTCRLTGEPRCCAFVQFSSPRDAGRALADPRHVINGREVCIARARPRRLQELSVVQPNTSIPPAMSKLHINGRLYLDNMAPCTGDVDLRSYFGRYGDVADIFIPTYHLTGQPRCCAFIQFFSPDDAGRVLTDGPRHVINGREVYIARARPGHLEESSVYQYKPLCERQVRYGPWRGYRVGDIGKTSFGRLVYDSVIIYISEDGVRFWWRPVEMHTESKSTGKVIENTQIGMSKGELACGLRDIAVQCSGWNLDLGFYRHLSGSLGESPSAIDHLRRAGVLVVVVSACLGQNWRGCCARSACAVVPGCCAVRGVRRRNVGVFPGFAAVMAARALPRHAIAPASRPCVSAIPYELYTEIMHEIDSYELEDIDVVIQLANRETISPIGIVRDVEVLCDCKKEKILTKFAGESYEFNFSKFTKTPYKADLPSDDFKMEQCASIVLVPNNPLQQHLEDSESEVFRKERDELEEIFLRQPILKHDLPVEDLGTTPPPKEDPVFELKPLPDNLKYAHIDDKKIYPVIISSKLSEIEEERLLEILKKHRGAIGYTLDDLRGISPSICQHAINMEDDAKPVVEPQRRLIPKMKEVVRNEVLRLLEAGIIYPIV >cds.KYUSt_scaffold_869.23 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:100110:110892:1 gene:KYUSg_scaffold_869.23 transcript:KYUSt_scaffold_869.23 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSVFLYTSIVDTMGRRNSCTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYTFDGYRLRVELAHGGRDLHVENHTVMVDGLPSSASWQDLKDHMRRAGDVCFSDVYREAGEIVGIVDYTNYDDMKYAIRKLDDTEFRNAFSRAYIRVREYNARSRSRSLSVSYSRSRSYSRYCMGTPIGQVDMRLGDCREANESGMRGPVGDTVLPSALVVPVVPSVTQASPGKSVSRSPSRSRNFSGSASPVIPGTHLPISVKSLMINFANTVSISWQVAITLVSEVREHLRGKKRRRKKRFAGPDGKERKQMDFPLDPIAGLRVGKAPLERAPCPGRMGALEHTVRDFAENPSENVIKVTVGSGFDVMLNLVTRVTCFRGKPEKDHTRSCRCECPALIGEFIKRMMTFEHLAYKLQWMKKLMLPFYHQDSYSLYVLDTDQKHVLIMDPTESSSPLIDLNRKHEVLAVRIVKNLRKCLNAVFND >cds.KYUSt_chr3.39313 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247637262:247637567:1 gene:KYUSg_chr3.39313 transcript:KYUSt_chr3.39313 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDYDVNGVWKVTEIALKCTAQASTQRPAMADVVAQLQECIDLEAGRAHGLHTGGNSGEDSSWNYNAYTSAQSADMSNDTTFETELRMPTVVTGPGPAAR >cds.KYUSt_chr2.49308 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308525939:308526841:-1 gene:KYUSg_chr2.49308 transcript:KYUSt_chr2.49308 gene_biotype:protein_coding transcript_biotype:protein_coding MYPARRRFRCGSGGGNREAVLIHCARRAQARAAAARPRRTASHRSVRLAFLRDHIPVHMSVPAPRVLYSYSHAATGFAARLTGRQAARLASQRSVLAVVPDATLQLHTTLTPSFLGLSASSGLLPASNGATDVVIGVMDSGVYPIDRDSFAADPSLPPLPPGKFRGSCVSAPSFNASAYCNGKLVGAKAFYEGYELELGRPINETEESRSPLDTNGHGTHTASTAAGSAVADAALYGYAKGKAVGMAPGARIASYKVCWKYGCMTSDVLAAFDEAIADGVDVISISLGSTGSAESFDMDR >cds.KYUSt_chr3.43206 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273092678:273093811:1 gene:KYUSg_chr3.43206 transcript:KYUSt_chr3.43206 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPTMATASWSSLPDDLVRGIADSFLTTNDVDCYVDLRAVCRSWRAATDDPKANASDPRFCPRTWIVLDEVFQSDDRRILLNTDTGRFLRKKIPLLLDYYVAATTNGFFVLADRSPPHAARVFNPLTGHTVRFTVPMPPDVRIAFVGSWRKASPFGLVLLGDLCCKIYTACPGSEGLVSNDCQYGYNIFRKAVVGGAYPHTAGQEFLHAFSKLFNLLRYLHGDVVKVFSTDLPQDANDVRIFLVGSATHIVVVIKTQGTLFVFKMDIELGKLEPLQSISNFAIFIGHHRCLRVDATKFPGIETNCVYYTEHLGSSAHICKYNIKEKKVERISEAPEFVKQDQQFVLVTDRPFTIIHLLCSYTINIPDSQLALQQMS >cds.KYUSt_chr2.41886 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260745354:260747959:1 gene:KYUSg_chr2.41886 transcript:KYUSt_chr2.41886 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVWEQNFAVSAISREPVVSKTTQRGENDRLEYAVSSMQGYRVNMEDAHATIGDLDVSTATSFFGVYDGHGGPAVSMFCARHLHVEVRNHPGFKDNLPTAVASAFVRMDQMMITEEGLRELSRYGFPSRKLTVKDKLMSCACLKVKKHTGPIDVGSTACVALIRGDQIIVGNAGDCRCVLSRNGQAIILTTDHKPSVPAEKQRIENAGRSVTVTGGASRIDNGIAVSRSIGDMRYKTNSRLTPAQQALTSSPEIRLEKITDDTEFLVMACDGVWDVVLNQGLIEIIRGNMKSGKDLGKICEAILDMCVEPPQPSVDNMTILLVRFKHTAQAPARSKAKEQSGNDDDLHGKRKGKAAAAPHRRSF >cds.KYUSt_chr3.38057 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239479135:239481924:-1 gene:KYUSg_chr3.38057 transcript:KYUSt_chr3.38057 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSASAAAAGNLVSPSRRPSLALKKIAPSLRVAAAPAGLLRLKAAVGRAATSSCGADPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELEQHLDRFLKSAHMAKIPLPFDRSTILSVLIQTVCASKCTQGSLRYWLSVGPGDFQLSSSGCTKSALYAIVIDSPSLPVPSGCKVITSSIPVKSPQFAVMKSVNYLPNALTKVEGEENGGFTGIWLDDEGFVAEGSNMNVGFVTRNKELLMPRFDKILSGCTAKRVLALAEQLVENGGLSGISSRNVSVQEGKAADEMMLIGSGILVKPVVQWDDQMIGSGKEGPIAQTLFNLILEDMRSGPPSVRIRVPY >cds.KYUSt_chr4.48929 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303140004:303140204:-1 gene:KYUSg_chr4.48929 transcript:KYUSt_chr4.48929 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLMAMAFSAAPLTLYVPPVRSLSLFVETMETLCRECAPYSHGAVARLRLGLSRIFAGLARALR >cds.KYUSt_chr4.12305 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75057215:75059205:-1 gene:KYUSg_chr4.12305 transcript:KYUSt_chr4.12305 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAAAALRSPTAAAAPSRRVAGARSLPFERRRSFALGSVKGLGRQQLTSRRRSSVIRASSSPSESLPSSSPIAPLQMESPIGQFLSQILVTHPHLLPAAAEQQLEQLQTVHDAAGKEGGGDKPAPPPGGDIVLYRRIAEVKEKERRRTTEEILYALVVQKFVEAGVSLVPALSHTIDTSGRVDQWGEHVEGKLERLHSQEAYEMIENHLNLILGQRQADATVAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKNLPWGSEDDALNQVMTTDSRPSAQTYTSHPEVEESWTSSDELSAQGLGQSVKPCRLKSYVMSFDPDTLQTYATIRSKVAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHVRISFSGLRRLILEAVTFGSFLWDVESYVDSRYHFVTN >cds.KYUSt_chr3.44815 pep primary_assembly:MPB_Lper_Kyuss_1697:3:282548800:282549927:1 gene:KYUSg_chr3.44815 transcript:KYUSt_chr3.44815 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGTRSCSNSNLPEKRKQHLYLVFDDWNSGYSIRKVSLSRRSGKRSEQSSDSGEDSEQSSGLKPLPVFMRIRALRGFPKMFTSAFGTKIMAMQPSRDMMAGVPTIDVQDRTISSEPPPNFPDVPLYIPVSDDRLYALDIGSFEILRKPEPSGLWMWRRLSCLPFSISAVSSYAVQPDGCILVSTDTGATFIFDTKEEVWKLCGHWVFPFTGQGHYDTSLDGFVGLSNSKDPEKLGYLCCCTMASTTTSQGLQHSPDFKCTKTKVYNKDLAEGQQHVSATLVHMRQGKFCLVECVCTDNTPTDQDEPVVPLWADMDDFIGGGPQAGRFMYRLKTFSLSYDRNRDLKLRHCKVRCYSLPHEARIGSIRQVPVAFWL >cds.KYUSt_chr7.1238 pep primary_assembly:MPB_Lper_Kyuss_1697:7:6744098:6748136:-1 gene:KYUSg_chr7.1238 transcript:KYUSt_chr7.1238 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTWPARGDAVAAVGGFRPPELGEEGVGGGGGGSTSHLLDCEFSRIPRGYYELPGETITCHQSGVDGDDGSNDEAPFGACLDVDPGFSNRSMITSYVNKLVSPPRASHAGTSARSENSSHNFDDASAVLDNDGSLGSFLDTTIARSRQIENTEAPNEDAATPVNSPESVEYSSDDLDEDYVELYDDFIDK >cds.KYUSt_chr4.40497 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249937050:249937634:-1 gene:KYUSg_chr4.40497 transcript:KYUSt_chr4.40497 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGKRSRQQAEATTSVSLLDLDGSDMARILLLFSGHHHHSHGHAGVAGPPSPERVFECKTCNRQFPSFQALGGHRASHKKPRLAEGAEPPKPKVHGCSICGLEFAVGQALGGHMRRHRAVAAAGEGLGLGLDLGLGLGLGPKDVGQKKTATAAAELVLDLNVPAALEEEPAADRTNKQLGLAVDFPVVVDFRR >cds.KYUSt_chr1.5107 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31536038:31538236:-1 gene:KYUSg_chr1.5107 transcript:KYUSt_chr1.5107 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPFPKWYRGDQVPKDFPKSKLIASVHDTWKVGDSVEWLCEDCYWTAKVVRLISEDVAEVVLFEPPIGEGGPPHAANHKDLRPALDWSIIEGWTVPLSAVNGKSWHAARLIHPTDIEESNTDEEEAQENLRLTSTHKSSDHSQQGTHSSSKRQLPLSEVVELQPPDTVKGTTAEPSRPSNETRARRYPLRARKLVKNAQVQQK >cds.KYUSt_chr3.12876 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77223694:77224248:1 gene:KYUSg_chr3.12876 transcript:KYUSt_chr3.12876 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLQEVYFVFMNLDPVYERLRADRSKQGSATLDAYLSQKHDKLLAKLLPPDTYCKKSSLAIVDGFAVEITDAQATVLRSAKEVRVVEKNQELA >cds.KYUSt_chr2.15936 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100314128:100314574:-1 gene:KYUSg_chr2.15936 transcript:KYUSt_chr2.15936 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNGKGMSSSVLPYKREAPAWVKATGPDVEELIIRAAKKGQLPSQIGALLRDGHGVPLSSAVTGAKIVRLLKARGLAPAMPEDLYFLVKKAVAIRKHLERNRSDVDAKFRLILVESRVHRLSRYYRVNKKIAPSWKYDSTTASTLVA >cds.KYUSt_chr5.14764 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95570576:95572563:1 gene:KYUSg_chr5.14764 transcript:KYUSt_chr5.14764 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFWNSTEDRDGLAWLAEQISEQRLLLTRAMTSQSSVEFRKDSTLDAGGRHEKAELSGWMSQLFEKLDLEVDEEDLCSTDEMTNNGAHSLKRDENSQKQGLFRPSIGACYPASDDEAKEYYNTKRRLTYGGRPLNEMDRMTDECLLAFKNYAQNSNLEDTEYKFGELRRRCYKVQAHGKIYQHFNFTMQTKLGNSGIWTSKLYFAEVKELSSVKHYFCCPLEATDDGDCFECERQNIGILKHPCKGGYEEGYSDKFNLYMVD >cds.KYUSt_chr5.34598 pep primary_assembly:MPB_Lper_Kyuss_1697:5:219373436:219374326:1 gene:KYUSg_chr5.34598 transcript:KYUSt_chr5.34598 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHGPRRLALPAPSYARRPVPRWLKGRCCRCLAPGHRVVVCCDPFRCSRCLENGHRARDCRNAWRPLSWLACPVGSLPRQENAPRRPQIEVSLPSNVPRRRSWASVVSAPVGSLASEDMQSSLEKQAKFFEEAVRPLHEAIDSLHSWMLAIGGFLERAEAVLGRLSRTPADPVVLPDDGKVGASGAGLHGCFSPRARASSVITAPVMQIMPELVELCGGVLTPPSVKEVRPVLHESSDVYSPPCQALGVEKCGVDGKVSLSPEFGRQLVPGAVVTREVCDFLATLVVAFPESAIG >cds.KYUSt_chr7.35838 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223843586:223844875:1 gene:KYUSg_chr7.35838 transcript:KYUSt_chr7.35838 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVDVMSSEMVVPADETPRGSIWLSNLDLAGRRGYTPTVYFFHPNGDPSFFSAESMKDSLARALVAFYPLAGRLGLSRAGRVQVDCTAEGVVFVTAKSEHYTLEELMNEFVPCGEMRDLLVPPTPAPNPPCALLFVQVTRLRCGGVVLGQAMHHSIVDARGAALFFETWASISRGAGMPPVPPCFDHTLLAARPVGARAVLYDHVEYKQEPEPVDPVSAATYASAMFRMSKAQVGALKARCAGTSTFRAVVALAWQCVCRARALPASAETRLYSMVDMRARLEPALPAGYFGNAVIRTSVTATVGEVVSSPVVHAARLVRAVTSQGNEHARSLVDYLEGVDTMNLPRCGISRTHLRAISWMGMSMSDSDFGWGAPAFMGPALMYYSGFVYVMNAPGKDGALALVLSLEPESMPEFRKVFADELARLQL >cds.KYUSt_chr2.54512 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340106692:340107570:-1 gene:KYUSg_chr2.54512 transcript:KYUSt_chr2.54512 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPQELNSPRSGVAKPKNPDQILRDFHAAHPEDAFSTSFGGGAALACVAAQPRTLSGYQRMFCGLDDIYCVFMGSLDNLSSLMRQYGLTGRSTNEALLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDSKSDGAVFAAQSTDGGVPLHWGVAADGSVVICDDRAVVKAGCGKSYAPFPAGCMFHSEGGLKSFEHPMNRLKAMPRVDSEGVMCGANFKVDAFTKINSMPRVGSATNWAATWDDAAI >cds.KYUSt_chr2.25745 pep primary_assembly:MPB_Lper_Kyuss_1697:2:157472520:157473115:1 gene:KYUSg_chr2.25745 transcript:KYUSt_chr2.25745 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPEERRKPTPAESREWTLRFLQALGVDATLPASAERPDAYPALVRALLSSATVSSSPTPRVSCTLFVSSSATNAYNTLHGGAVAAVAEAVGMACARAAAGDKEMFLGELSTAYLSAARLDVRSASLSSFILTNRGLSCYLDLLKRFLTIIRGSQFGNY >cds.KYUSt_contig_1181.723 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:5014156:5015261:-1 gene:KYUSg_contig_1181.723 transcript:KYUSt_contig_1181.723 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNALEELIRRLLDGKKNKGPGKKVQLSEAEIRHLCVAAKEIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFDYGGLPPSANYLFLGDYVDRGKQSIETICLLLAYKIKFPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAALVDDKILCMHGGLSPDLDSLDRIREIQRPVDVPDQGLLCDLLWSDPDRDSSGWGENDRGVSFTFGADKVAEFLNKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPLRSKTQQTE >cds.KYUSt_contig_1658.37 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:172344:183669:-1 gene:KYUSg_contig_1658.37 transcript:KYUSt_contig_1658.37 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDARLDSAAFQLTPTRTRCDLVVIANGKKEKIASGLLNPFVAHLKAAQEQIAKGGYTILLEPGPEAGEAPWFTRGTVERFVRFVSTPEVLERVTTVEAEMLQLEDAIAVQSSENLGLRSAEGRNGKPADCAMEGSKTSHDPDVDNALILYKPDMHPAPPVQNGAGAHEENSKVQLLRVLETRKTVLRKEQAMAFARAVAAGFDIDNLLYLISFAERFGASRLMKACTQFIDLWRQKHETGQWIDVEPEAMSARSEFPPFNASGIMFMGDKETMSVSNGDANGEDATRTEYRTAQHPHGSYQSAYPPWAMHRPYPMQGMPYYPGVNPYYPPPYPPMDDPRYNHSERRPSRKHSSDSKDFENSEDESDQSGSERESSHARKSSKKGKRSSKKKSNVIVIRNVNVRSKKHGSSESESHTSEDSDDSQTKSSKRNHKRSSSKKKGGKKIFLESEEEYKDDVSRGKDGDQGNWNAFQNFLLRDEEKTRDNDTDLFEGENEPPRRKDNRSSANVKIKANDDDPILLSERGSADVDERRNAISFNSANARIRTRPGDELMMSGEGRSFVDGDIKEIEAGGGGYRRGAGDDFMVYGQDNWIDRGSCLDPLAEAHYKRPAPEENNVCNVADESFMIPVRSSSQDILGAENRTAIDIDVDFPMTVQNTSDAKAGGQLFYEPDELMPEREVEDVSFGYDPAMDYDSHMQIQPDTAVENADVDDSSLCVEVEEKVPGKDKKLRSSQEGKRRMDASARRLSSSSKGPLTDAQKRAQNLRAYKADLQKEKKEQEAEQIKRLERLKQERQKRIAARSGTSNPVSTPQQTKEKPSPKISPSTYKSSKFSDAEPGSSSPLRKLPARSTPGSDPQKTAKASKLGDSNSSAVSKSTSSLADTIKKEKSRRTESSSERLKKLAEPKINALADHPPSSKSASVDHPRRRSMPEDVQTKKISAIIQLDQSKSAALPELKVKSPRAPAAVVKNKTAAKETKEGARGAKAHPASESSAGKKANSKVSRASDSDDNVVVEKTVVMLENEDVSTAPVILSPGGIAENKTSSDDRMVNPSLELDYTGIRAPPSSVVLPGDASPTMHTSDNQLNSYEVDVPGYHKNELEKPTLALTEKPYEAPFARVTSLEDATPVYHHPLPAHEAEAPVHVQSVRARVPEPGYAVSAEETHEDNQKPRSKEPKGFRKLLKFGRKSHTSTMDSDASSVDEAPAGDGSMLKNLISQDESAGSSSKGSRSFSLLSPFRKNKALAVIVVSLSSDATMPPAHFPRHGFVASLAILTLATLAVELTMDGFASALRRFRTRGGCTRDWVPDVELLNGEEGTGVHHHFQPSFPDVLRELSTSEVPRSGKSGWPTNGDVVCGPSGGPVAVDHEG >cds.KYUSt_chr7.39897 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247848486:247856098:1 gene:KYUSg_chr7.39897 transcript:KYUSt_chr7.39897 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAAVFFPGDPAGGTGGVGAAGLALFLVAVLGFLASEDEDLPEKKEYHEGRIGGLTKGGSSQRDVEGSAWRSGLAAVLANLLYDYVFRFSCIQGGRGSGGTIHAGSTFLGPLSVARTGTTTACAGDTATTTAACAGDTTTAACAGDTTGPRTCAWAGTADETEVVEMSDDEDDSGAAAELWALDGKVLSPHTTHIQSVRAAMKPAWGNPRGLRIRPAGDNVFVAVFATCDDRDRVLEGTPWMVGRHAVLLQPHDPRLRPSDVRFDSMTIWVRILNLPFEWMNNKKGLKIAKLIDKNCSVDVDEFGVASGTFLRAKVAIPFDQPLRRWVIIRRDGRDESFNLQYEKLPFFCFGCGLIGHGELECKSPADRDALGKLPFDRNLRAPEERRRRMQSFEQAAASASWNSGSKDRGGGSRKSGPSSATSRTSADGDPLKLGEHIVNSPPAKVGASTEKALASEVARQLFPNNGSLVQPTLKRKPSACSVGAGVSSPEGDLIDQIDKSSALVVVPVRSSCVTGAGTCGAGFDTGQGSVKKLRSGQEQKIERSVYVRNSSGKGVNSDAGLPIQPCKAPDVLFLSETKLDKEGMKRIQVLLNMPNMEVKSCDGRSGGLALLWKKDVNLAVNPGMSRYHIDAVITGDDGFTWRLTGIDTNPSNEIFSELDEINAQSRRHREAKIWGTGVSVPAPCRNGEVPPEGFSIDTAAISTAIFITAAAPMRRE >cds.KYUSt_chr5.22894 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149526513:149529833:1 gene:KYUSg_chr5.22894 transcript:KYUSt_chr5.22894 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNPGTNIEELIQRLRLHQPPPSPYSADSSTAATPGAAQLFQPRRAAVLVCLFQDAAGELRVLLTKRASSLSTHSGEVALPGGKAEEGDADDAATALREAKEEIGLDSALVTVVSSLEHFLSQHLLVVVPIVGILSDIHAFKPALNVDEVDDIFDVPLEMFLKDEGRRSEEREWMGQAFTLHHFDYEKGNKKYVIWGLTAGILIQAASVVYQRPPDFAERRVQFNLPKYSKEYSSMP >cds.KYUSt_chr5.12850 pep primary_assembly:MPB_Lper_Kyuss_1697:5:83825301:83827310:1 gene:KYUSg_chr5.12850 transcript:KYUSt_chr5.12850 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSQAPLKSSSFSSATSNKATREVDRNLSLGALRHGDHDRRGAPAGAATWEQINEEGEEEDDDGSGEPGPGVSALSGEIDAFIAGHDAGVSIPEATLERFAAAVEQEIAQSEKARDKWAADGNGELLLAAIARVAALASVLAKNTEASAKYASGAHRVTAVLHHAMSFLEDEFHALLEPKAADTCKLARRPASFEQGGHEADRCVLRPPDNAAHSAHAESTQTYPPETVDRLRSIADTMVGAGYVTECTQMFLVARRNAFDASLRALGYDKASIDDVVRMTWEALETEIATWIKAFRHTIRKGFTTEHDLCAHVFTGRHASVGRAIFADLARCVMLNLLNFTEAVAMTKRSAEKLFKVLDMYESIRDASPVIDAFLSVSANDEPAAGCNSDALTDLKAEIAAARSRIGESAASIFCELESSIRADAGKQPVPGGAVHPLTRYVMNYLKYTCEYNSTLEQVFREHRRRDDGGDNPFAAQLTDVMELLNTNLEGKSRLYKDPALSNIFLMNNGRYMLQKIRGSPETNAMLGETWARKQSTNLRQYHKNYQRETWNRVLTLLRDDGVLTVKGHVQKPQVKDRFKQFNSAMDEIHKTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFAQTFSAGRQTEKYIKLSGEDVEGIIDELFDGNPSSMTRRR >cds.KYUSt_chr3.24212 pep primary_assembly:MPB_Lper_Kyuss_1697:3:150283850:150288535:1 gene:KYUSg_chr3.24212 transcript:KYUSt_chr3.24212 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSLFASAIGVGVGVGIGLVSARLTADPISGAGGDGRAAGAEVEAELRRLVIEGRESGVTFDDFPYYLSEETKLALTSAAFAYLSKTNLPKHIRVLSAASRTILLCGPSEPFLQSLTKALAHHFEARLLLLDIAEFSLRIHHKYGSASSALVHKKSLTESALDKVSGLVGSFNFFRKKDEPTESLNHEKNIFDMRTSNCCAGNTPSVRVHVSLLPSPLYNELEDPEDGEFPIITSISESSPVIIYIRDVNLLLGVSDKAHSMFKKMLSKLSGKVLIVGSHYLESDEDSYYVDDDVSDLFPFILETKPPTDNTQLVKWKTQMEKDIRKTLSQVLRNVVAEGLSANSLECDDLDSLDPDDDFKAIANYLEEIMAPAVSYHLMNNKDPEYRSGKLIISSESLSHGLRVFQESSLGKDTVEPKDDAKKDTPDNEFEKLIRPTVIPASKIGVTFDDIGALTDIKESLQELVMLPLKRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGATFLNISMSTVLSKWYGEAEKMIRALFSLAAKLAPAIVFIDEVDSMLGRRDQANENELPRRVKNEFMTHWDGLLSKTNERILVLAATNRPFDLDEAIVRRFEHRVMVGLPTSESRELILKKLLAKEKVEGIDFKELATITEGYSGSDLKNLCVTAAYRPVRELLQKEKQKEKDKKDNAVAVKEEPVTNDISQESEKENSETKKDMPETKQGEKDKSEKSVEGETVALRSLTMDDLRQAKDQVGASLASESATMNAIKQWNELYGKGGSRQKEQLTYFL >cds.KYUSt_scaffold_1854.501 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2645164:2650702:-1 gene:KYUSg_scaffold_1854.501 transcript:KYUSt_scaffold_1854.501 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPQSKPKPKPKPPAMTLVPQPFDFPPDAARTRMAVPAYDLMFGKLSLRRLFDDYYPRQPGMNVDAQMSNNNGEALLRWQRDLDDPHSFVDLLMSSSKSMLQLRSCAYYPKYGIGAFGTFPLLMENRACSKDYGVMGLRYASENLSVGASFVPFPLAGRRQYMPYTDLKNWNCAISYGTGSTSPLSHSFMCTLELIGSAQLVASAYQRHILQRRENDHLGDDHIIGALGYVDFGLELATRVDKDKPADSADKSLFQLAASFQPNSYLLVKGKLGMSKYSAALVCKLPPYFRLSVTDLKVKIRYPIDTLPCTTSIPKLNA >cds.KYUSt_chr2.47982 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300053722:300059703:1 gene:KYUSg_chr2.47982 transcript:KYUSt_chr2.47982 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRHIIRSSRLCVKNLPKGADEKRLREVFSRKGEVTDAKVIRTKDGKSRHLAFIGFRTNEEAAEALKYFNNTYIDTSKITCEVARKIGDPDAPRPWSRHSLKKPEYNSKDKKDTVDANTPLKSSKGKGTSVDAGGSKGSLANDPKFEEFLQVMQPRSKAKMWANDTTGTLDTADKDNTLVAKKPLKSTAAVSENDSSSENDSSSEDDFEEKIINDLPSKGASENLQSGSKKDKNMSDVDFLKSKIRKNWSDSESDDEDSGDQLGSSSDDEEPSNELLDANERGQVVDQKGNLNQKNNVDNEAPMEVSDMEEVEDPDNQDGEHIDTQQKDEKHANQETEDVEAASATDEKKLALETGRLYICNLSYATTEDDLVELCSQYGDVEQAHIVVDKTTKLSTGRGYVLFNLPDSAVRALGELDNFSFQGRLLRVKAAKPLNNKPFDHFAVDEKMNLKQQKLEQKKASEIGGDTRAWNSFYMRQDTVVENIARKNGISKSELLHREADDLAVRIALGETHVIAETKKHLARSGVNVAALEENTSKRNEKLKRSNHVILVKNLPFNSSEEDLAAMFQKHGSLDKIILPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPDNILSPTSTHVEEEEMNTIGERIITKAIVDQTVEGVSAEEIDPDRVESRSVFVKNLNFKTTDESLKQHFSTKLKTGSLKSATVKKHIKKGKNVSMGFGFVEFDSVETATSVCKDLQGTVLDGHALILQLCHGRKDGQSAKKNEKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQLKSLRLPMKFGSHRGFAFVEFVTKQEAQNALQALASTHLYGRHLVIERAKEGETLEELRARTAAQFVDEQSGFQRMSKKRKQTSLMDEGSVKFSRIVE >cds.KYUSt_chr7.17135 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106178708:106179502:-1 gene:KYUSg_chr7.17135 transcript:KYUSt_chr7.17135 gene_biotype:protein_coding transcript_biotype:protein_coding MERPQPAVAAAEEAIALYDTYWFHRLVLHSYSPAPAPLPPTPPPPALEQQQQPGQAPAESNRELQRAPTGLRHRRTRSDEAKTATFDTLEPLKIPHAHRARLETILSGKDGLAAPAPQLQPERRRRAGGRRRKQQARGRSLSELEFEEVKGLRDLGFTFSEADVDAELASIVPGLRRLRAAEEKEAKKAEAAAAAACAEEEACRTRAASASAPRRRPYLSEAWEDEEAEVRRVLSTFRIPAADGGADLKEHLRLWAHNVASAVR >cds.KYUSt_chr7.24113 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150257264:150259735:1 gene:KYUSg_chr7.24113 transcript:KYUSt_chr7.24113 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDSGSGFVHLRTEDHDYGLVSEAFARAHMAALERDRRHRILFPEASSSARATGSRQKGFRVRHSAMYSDRPGRSRRQVQQPPSSWVQEEDSSKASSSELGPKISDASKFETLSLNDSPPALDSSDRSEEPSIADLLLNQPTFDSVDDCMDYFCNSDRAARAARDKALPFSQQPTLDKFEAEQVLVTPNQAQPVPAQEQASAVAAQGRYEGSKAKIAENGNKWMTEELRVALQKYLQKEDALKVWL >cds.KYUSt_chr7.36994 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230995916:230997578:1 gene:KYUSg_chr7.36994 transcript:KYUSt_chr7.36994 gene_biotype:protein_coding transcript_biotype:protein_coding MFSILTASLLTAGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPELKNMDQIRNIARPVDIPDHGLLCDLLWSDPDKDVDGWGENDRGVSYTFGADKVAEFLEKHDLDLVCRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSDKKGKAGGNMSKPGTPPRKIKINII >cds.KYUSt_contig_686-1.440 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:2759435:2760941:-1 gene:KYUSg_contig_686-1.440 transcript:KYUSt_contig_686-1.440 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSHGHFPNHPIEDSGECAGASSRDGIPVIDLDILLNGDAQEQSRAIRDLGRACEDWGFFMVINHGVPEALQEAVMEACKELYSLPREEKAEYIAAGPKDPIRIGTGLFYSDVDDAVCRRDYLKMVAHPEFHCPTKPANLREITMEYSIRTRELLLELAQAISKSLELDGGRVSEALNLESCFQILVGNNYPPYAGSDRVMGISAHSDHGLLTLLFQNGVNGLEVNHNGQWLLAKPLPGSLFIITGDQLEIVSNGRYKAVVHRALVRGEQTRMSFVSMIGPCLDAIVEPVPELARSAPQGMEFRGIKYRDYMEHQQSSRINKKAALNIVRVQHNILTCEGTPNN >cds.KYUSt_chr4.6268 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36818859:36822013:-1 gene:KYUSg_chr4.6268 transcript:KYUSt_chr4.6268 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRPSILLTCAATLPPPSLPTPTPWSAVMTESEDGQYSNSIGTKEMKLHSLPTTAEVPPPLSMDGKNLSCAFIYQ >cds.KYUSt_chr4.6094 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35722257:35724989:-1 gene:KYUSg_chr4.6094 transcript:KYUSt_chr4.6094 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHGGLDEQIERLMQCKPLPEPEVKALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVSLKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNATVWKTFTDLFDYFPLTALVCNPLLQHLHL >cds.KYUSt_chr5.26936 pep primary_assembly:MPB_Lper_Kyuss_1697:5:170410647:170416956:1 gene:KYUSg_chr5.26936 transcript:KYUSt_chr5.26936 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQYRAGDARARSPSRSTGAAATSANSESAGEPSSLDSGYLFLGSSFLSLLIFSFPWCEATRVRDDYGGDRSGYDGESSEALAPRPASDPDELRRQAAKERIRERILREEAETLALEAEVRRELIEELRSQLARSAGASAKGSEAKATPAAHPPSLKTQIPREVGSKPDMPAALPAKRKIHHVPAASNVSAATSSKKLKPDLTCTVCSITATSETAMQEHLKGKSHGRKTAKLALPLTGAGQHEVSSKINGSAAWPAEGENPNMAVAPTVFAATSSNEQKSDLTCTVCGITSTSQKAMQDHLEGKLHRKKAAMLPQPMPKDDVASSKPNASAAALNGIMATGEKGMQDHFKGKAHMSKAAALAQPPREESAEHGCQEEAEEEGAYMPKIYSIGTGSGNSCEVVQMSGFLLCEVCNVKVANLVTMACHVRGRKHISKAKQKEEQGRAMEVNGVRRVDGFLLCELCDVKTESETVMRTHLSGKKHTSKQKAAVDAGACGKTVLAQEITNEDVALGASVDITVTPGQQPNQAAGTAAAVVGDSSKMEVVPSATPREDGAAPVCASFSVAPMEVDEYTEAGDRTAKAEEKLDAEEEEAVETNGIAAVSANEFKIQVEGKLCIVLQQADGSFSCGLCNLHGCRKYDMVDHLYTPEHLHRARLSEQKEEQAKKAAPAVVSNDSDGVVIPFADGAAQVSITDVEPAPLFIPFRLGPSFLINMKACGLEAKSSKQKGGQKLALAKLRQKRSMLSGKDGNPEVDEGLKAALARDSPGAV >cds.KYUSt_chr1.3600 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21879521:21882901:1 gene:KYUSg_chr1.3600 transcript:KYUSt_chr1.3600 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKLKNSGDEWMYPKPVTDLRSSVNPKKVDILEGMHVTSVGCGVGMSLIVVDRANVGDKIDQHGFGSCDVKVEFGTVTVMALSSETPDKATKKATPAANSRSNKRKSTVDHPKPEDGTDGSGEETKEVQRSHGTSTRRGRDRGAKTAATPEPMPSGRGRGRGRPKKGTGSPDPALLESGSSGRGRKKSGKRGRPRK >cds.KYUSt_chr2.13748 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87137769:87141866:1 gene:KYUSg_chr2.13748 transcript:KYUSt_chr2.13748 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGFRLVLVLFGGDLHLSSRPEVSTPLTSLRRLAEGYWLKQASMSPYSGSMYHGSPLLLSVLGPLTSKRSDGHHAHIYCSLVFVAVDFIAAMLIRLTGRTLQIARGKSLKSLDLAKSVNDPVNASAGDTASLIYLWNPWTIITCVGSCTSPIENLMVVVMIYGACSRLAPLAAFGYVMATHLSLYPAILILPVTLLLGYGPDTPPAKVFLQKGSSASKVDISDIGKSTSQRGFGQISWKPVLHFMLWVFIWSCYVLVLNSIILNKAGGLQEMFEKTYGFILTVKDLSPNIGVLWYFFAEVFDFFRSFFLIVFNMNIIFMVLPLAIRLRHRPCFLAFVYTAIVGMLKSYPSAGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQKFNNTDAFLAGSLRCEVADGSLFWDFG >cds.KYUSt_chr4.20891 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131448965:131449407:-1 gene:KYUSg_chr4.20891 transcript:KYUSt_chr4.20891 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTSAYRNRKRPLSRGPRSKAKRRLFPADVTRPAAKVHRGSLYRRRLLLVQAAALAVTTAVTAYCLMAKRRGCSDQELAPLIESLRSMASEIRASAAVGDPVEDEGDSGRVEA >cds.KYUSt_chr2.12724 pep primary_assembly:MPB_Lper_Kyuss_1697:2:80679890:80682567:1 gene:KYUSg_chr2.12724 transcript:KYUSt_chr2.12724 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHAAFAAEDAVTAVPPPAQAGRHFSSFLPRRARDCRKAALGRMDLAASGVLRGGSLLDSMKASSPRHAKFAAGADHEDWMEKHPSSLERFEAVLAAAEGKEIVMFLDYDGTLSPIVEDPDSAVMTEDMRDAVRSVAQHFPTAIVSGRGRDKVLNFVKLEELYYAGSHGMDIKGPTTVSNHKAKADEVLCQPATEFLPVIQEVYETLTAKMESIPGAMVENNKFCLSVHFRCVHEEEWDDLGEQVRAVLEGYPDLRLTKGRKVLEIRPSIKWDKGNALEFLLESLGYAGRDDVFPIYIGDDRTDEDAFKVLRNMGQGIGILVTKFPKETTASYSLREPAEVKDFLRKLVKSNGTKG >cds.KYUSt_chr3.40770 pep primary_assembly:MPB_Lper_Kyuss_1697:3:257385097:257388801:1 gene:KYUSg_chr3.40770 transcript:KYUSt_chr3.40770 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAGDETPPLPSSSGAGESSLYSSPPSPTAPAGDKSPPPRTTKPGTKRLVLTASVFLSFLVGLPFLLKSTEIHRSPLPSDAIADLSRRLHSHPPSFPRGLHAVFLRSGPGSPASTLANQLERAISTQPHGRAAGNISVSVTVQSGGSCSSSSSTVASPWRCGAVTTADSVRGDEVFDELLHSALGGGGGGDWMKVYTVVFIESDDEKGTAVVIGKHRHAWAVGKVDEAEAVSLVGKVFIKYFLNGGVEEGEAGIGKGEFMPVGSDGNVVLSFSLLNADPNDWVYDWDFENIGERMLNPVVEALRPIADINVESQVLYHTPKSSFSHSDDKLGGNVLSMGDIPFFVNSNEWHLDTSLSATGRSKVLQFVVYVPSARECPLYLQLPDGELSKTNAFISPMWGGVVIWNPPGCSLGSKKTSGTQTKMSSQELMETLEIFIGQLRQLFGLKANYHAQGMDVTTKFLVSEKGFAQWELDLLYRHHACSNLLSCLATLESLSSLVQSLPRMIVMDEIGRQVELSLEAASLAQRNASLGISDSSAGKLRTHFIFSKLTRDSKDFVNIVMLCSVCNKSKGIS >cds.KYUSt_chr2.13293 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84206544:84209400:-1 gene:KYUSg_chr2.13293 transcript:KYUSt_chr2.13293 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPFQESANCDVCGCTFSTFRRRHHCRCCGRTLCHEHSSYHMALPQYGIYTDARVCYECFNKSSSRRGGVDKASSPESVSSAADSFSGLNLDNDDASPRKNSAVQSAAAVIECKCGMPLCICEAPKPEPAPVKQNISDASSTAQPNPKPKKPESSTKKASATSSNNSSSFLNLGLMSNDISDKTPSDYEVTGEGLREAIKGGDIKAVKKLLSQGVDSNYCDKQGFTLLHLAALFNQTEIALILMDSGANIQRKNGQGETALDCAPPMLQYKMRQRMEELAASQRAE >cds.KYUSt_chr5.43019 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271220657:271220977:1 gene:KYUSg_chr5.43019 transcript:KYUSt_chr5.43019 gene_biotype:protein_coding transcript_biotype:protein_coding MIAASVNPGELINEAIPGSSPSFNRPPWTSFQKQRLHRLVAAPTQPDQMTRGEESGLISGDATPSLRRLPLLLPPEKHSNEEEDLDGPDLAKKTPPPTPILHKGSR >cds.KYUSt_chr2.19352 pep primary_assembly:MPB_Lper_Kyuss_1697:2:121738385:121739911:1 gene:KYUSg_chr2.19352 transcript:KYUSt_chr2.19352 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPLAIVPSSPEGKRVVDAYKKAVTTVATATAYVMLARGMARELLPDELRAAVRWGVELVRARLGRTRDKEHRETNTVVIRRNVEFNTENHLFSAALTYLASTIDPRTMRRLCVARSKDKETDGSSSWSTSLRMEPGGSTTDTFDGVVFTWTSAGSDDNKDGGGHWGPRETTLELSFFAEHTDTALERYVPFVMSTAEQLQRQDRSLKIFLNEGGWNGINHHHPATFDTLAMDLSLKQAIIDDIDRFLKRKEYYLRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSKVYDNSNLHRLLMDMPNKSILVIEDIDCCFDAKSREKRKTPKPPADGDNNSIAADDDNDDDEMHDMGAYRQHNITLSGLLNFIDGLWSTSGEERIIIFTTNYKDRLDPALLRPGRMDMHIHMGYCGWEAFQTLAHNYFDVDDHALFPEIQALLTKVEATPAEVSEMLLRSEDVETAMPGLVKFLQDKRRGGIRKVTEIKNDQAAAQKEMK >cds.KYUSt_chr5.33428 pep primary_assembly:MPB_Lper_Kyuss_1697:5:212014889:212018274:1 gene:KYUSg_chr5.33428 transcript:KYUSt_chr5.33428 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTMQWLLYISAASCVLYKVFVSCRNSSNASSSNARRPPGPVPIPLFGNIFDLQGEPHHALAKLAAVHGPVMSLKFGTTTAIVASSAAGARDVLQKYDHLLAARSITDAGRALGNHERSIVWLPCTSPLWKRLRAVCTNHLFSARSLDATRAVREEKVRELVGCLHGHAGETVEVGRVVFSGVLNLVSNVLFSEDVADMSSEGAQELEMLIRGMVEEFTRPNLSDLFPVLSALDLQGCRRRSAQHLRRFSDFFDRIVGRRMKGAAAGERNDDFLDVLLQLHSENQLSLESLNCFLQDLFISGAETNSITVEWTMAELLRQPAVMTKVRDELREALGSKKHPDESDIGKMPYLRAVVMETMRLHPASPLMMPHEAMANGAEVGGFAVSKGTKVIVNLWAIMRDPASWTQPEEFVPERFLGADLDFRSKDQAEFMPFGAGRRACPGTPMATRVVTLILASLLHAFEWRLPEGMQPCDVDVRDRFATSLKMLTPLKAVPVPLFQ >cds.KYUSt_chr1.24407 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145648389:145648616:-1 gene:KYUSg_chr1.24407 transcript:KYUSt_chr1.24407 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNPAPSILHPRRPPLFPLPTLAAITGHDVLRPRLMLCHRLTAITFYDAPHSAPRNASARDVDRERSPEDSRLP >cds.KYUSt_chr1.17123 pep primary_assembly:MPB_Lper_Kyuss_1697:1:99380734:99382971:1 gene:KYUSg_chr1.17123 transcript:KYUSt_chr1.17123 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLHPLGLLLHSFTCLLLCATIAPAKANIRREAKALVNWKTSLASADGSLSSWLLANSTSPCHWMHITCNADGSAIRKLNISDASLNGTLDEFDFSAFPHLKKLILFQNDLYGTIPAGIGDLASLVQLEIIKNKYLMGTIPRSIGRLKQLTTLQLEGLGLDGALPEEIGNLTSLRKLSLHSINLTGSIPSTIGALTKLRLLHLRNTKLTGCIPLEIGNMTELLSMDLSQNYLQGQIPDTVSRLVKLRILELSKNQLGGHIIPELGNSSIISYINIARNSFSGAFPPSICMGGALTVVNAEYNKFTSLDDLTFQNCTTLEHVEFTENNIVADLRGCFGKSLENLRSLILGKNQLHGTLLTDQGEIFLCNSTYLELLVLSSNNLHGHLSKCLWDLPYLQFVDLSSNSFSGVVPFSATPKLVLKSLHLANNNFRGNFPSVLKKSKRLVTLDMGGNNFSGAIPSWISKSLPRLRFLLLSSNMFDGIIPPQILQFRQLQLLDLSKNKLTGPIPNDFANFTGMTQEQVGNMTYRFLQAEKLQIVWKNGGYVYTRTIAFMAGIDLSCNLLSQEIPKGLTTLLGVRYLNLSRNHLSGGIPRDIGNLALLESLDLSQNQLSGEIPPSIADLKDLGTLNLSSNSLSGRIPTGSQLQTFLDPSIYSNNHGLCGSPLQDCVNPSASKQNETDQDEDRETLLLYCFVAAGVIFGFWLYWSMLLFCNKPWICEFYQQIDNIQDKVITKIAAYRTCGLE >cds.KYUSt_contig_1253.98 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:683516:685973:-1 gene:KYUSg_contig_1253.98 transcript:KYUSt_contig_1253.98 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWWTCPQYLKKHEEGKKKRAEMRGGSHIQGSIPISLHLQNEEVRTGAKPNVFAVLKRMKQRKMPHPETGSVWVNPQSETQCTSYVFKFKQMYDEDANPEAEDFDPEVAVLVGEGLKHGRLWLGDGVINPAKVPSLRQIRRGHKSGQPEVESRPRASDLAVERLRAEMEAKEQAAQEHARNMERQILEYQQQQTQMMLQMQHQQQMMQQQQAQMSWLMSQTALSSPSGSIPAPPPYSMPWMPPPPTQSPGTPITVNNLNIIRSMNRGTGQITLGRSLLKLVGAIIDVGKGTLNFTSTPGCGHVFHKPKSRNKSKKGKRNNPGKNVNASSLDNT >cds.KYUSt_chr5.9121 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57826831:57831942:-1 gene:KYUSg_chr5.9121 transcript:KYUSt_chr5.9121 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNRVIFETVSMVLKQAMTSEEYNRALLGALFQEIKFQLKKIVFGAEMVKKLHGARQTKLDITKVFNTMDWAFIVEVMSKKIRTCPSTNPGCVCTNPTVGASSSVASPLIIPDATSADAAAQSLRQAISKTQKNVVFKVDQQTPHGHYIQAEVDGGFGRDVMEYLVRKDAGVVAYRCIATKVTFIYPFTTAIGDSRGQAQRVDAVAQELGWYAPDISSSIDFDDVST >cds.KYUSt_chr1.32951 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200034221:200044649:-1 gene:KYUSg_chr1.32951 transcript:KYUSt_chr1.32951 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVRVRRPPLLQSKLLCVSLLYLLTTLPLALYVSFSDPSSRCLPLLLLPSTRSSSAATTLFHYPRDYGEHKHALPTSRRLCSDPAVFSDYKTVLEEINGLCRNLSATARAFPPLRYQNGRRDTFAGNLSTEERRSFFTPTADSAVEIPCGFFKDFPIAQADRLAMESCRGVVVASAILNDHDKIRQPKGLGSQTLKTAACFFMFVDDRTHSVLASHGILKDDDGHGATASTAVGAWRVVRLRQQQLPYESPAMNGVVAKHLLHRLFPNARFSVWVDGKMQLTVDPLLLVHSLLIGEGADMAVARHPFNLHAMEEAIATARWHKWGDVDGVRAQMETYCSNGLQPWSSSKLPYPSDVPDTAIIIRRHGSASDLFSCLLFNELEAFNPRDQLAFAYVRDQMSPKLSINMFEVEVLEHIAVEYRHNLKRDNAGSGRGGGTKQGVTRMASSRDIAGSSCEKYLMKMWGEPSE >cds.KYUSt_chr2.20397 pep primary_assembly:MPB_Lper_Kyuss_1697:2:127999766:128001584:1 gene:KYUSg_chr2.20397 transcript:KYUSt_chr2.20397 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVRRWGLDLIGQIELRLGFLFSSWIFQWPEVLARKRSTIFVNKAVYFLPCHLVWLCWSNNQTPDLRLLRASPWWKGEGRRGPEDGFVNKLLRVCCSRHHLRLPHRVRGRFIGFDGRPISSIWLWYARATPSTRSPLLLSLVPSVMVATESRACKVVVKRRWRRSSSEAIFLSNTSAAFLPFVIHAGEVRPFLNLHWRPYSRSTVAFIAGFEASGVVPALESDGDFADLQLIGGEREGPDCFCIFLETKRGISITFLTRYRYKNANVNLD >cds.KYUSt_chr1.11532 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70846965:70853163:-1 gene:KYUSg_chr1.11532 transcript:KYUSt_chr1.11532 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEFQSSLVQQMIMSSLKPCHEEQEASPNMPSLSSPSMLFSQQFPHSSSGMLLMNGTASIPSLHDGNAGSQESHMPESWSQLILGGLVGDQERYSTTTALLSKGLENWGDHQAAAAASACMVGMKEEGSMPNSGTSGAPAPYNFYGSHLVAGDGHEIQAKSQLSQMLLASSPRSCVTTSLGSNMLDFSNSVAPPPPELRSHHQSDNSSECNSTATGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYVRFLLGQIEALSYPYMGQGGNGTSMQNGPTGERNPGLFPEYPGQLLNHNNNTGAVQQQAAGQPEQQGAKNEEETSKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILR >cds.KYUSt_chr2.34879 pep primary_assembly:MPB_Lper_Kyuss_1697:2:215089862:215092501:-1 gene:KYUSg_chr2.34879 transcript:KYUSt_chr2.34879 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCRPTPITSRSADDNNFLSLFPQTPITSCSTCSNAMHLLKQDSRASPTTEVAFHQRGLSTFGCVLSGFPHGKCSNHAVVNNKPLPFSRIAQIAAMFKIGNSKELPPIPDHLSEQGKDFIRMFLQLAMSSILFEYATMNYLGRRMPQLRLPLWLQL >cds.KYUSt_chr7.13432 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82881368:82885431:-1 gene:KYUSg_chr7.13432 transcript:KYUSt_chr7.13432 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAAPAEAVGEGSVGEEVFIDDHDIINEISLDEEDLPDQDDDDDQGEDMMDEVFAAACSPVDASLVVSGGKDDRGFLWSIGSAENVQELPGHKDTVSTVAFSADGKLVACGSMDGQINVWNTATRTLQGTLEGSESGLEWLKWHPRLHFIIAGSEDFNIWMWNADLSSFGNTFAGHSNTVTCGDFTPDGKLICSGSDDATLRIWDLKTAQCRHVVRGHGYHTQGLTCLAITWDSQSIVSGAQDSSVHIVSINSGKVVGSLVGHTNSVECIAISPRYNWVATGSIDQTLIIWDLTHQAIRSICEHDDGVTCLAWIGSSRYVASGCMDGIVRIWDSLSGELACMLSGHRDVVQSLAVSADGNSIVSVSSDKSARVFDISMFK >cds.KYUSt_scaffold_869.654 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:4148200:4151459:1 gene:KYUSg_scaffold_869.654 transcript:KYUSt_scaffold_869.654 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAVDLARRQGESRFYDAARARRAHHHHHGLPKSSRCTASHEKPPDQPSPSPSPSPAGNLERFVAAVTLSVPARRRSKGGGGGWRGFGADGQREKLYFALGDLWEAYKEWSAYGAGVPLLLDGCDGVVQYYVPYLSAIQLYGDPAVLHSSSHNARHMMDDSDGDCHDSSSDGSSDYEHVRVKHLSQEGFSSDDGESLDNHGRLLFQYLEFDSPFCREPLSDKISSLSDRFPGLRTLRSCDLSPRSWMSIAWYPIYRIPTGPTLKDLDACFLTFHQLSNCPQGDHLWAHDSMPNIPLPVFGLASYKFSNSVWSSTDGDWQLASCLRQAAADWLRDSRASHPDYQFFVSRGEYHR >cds.KYUSt_chr5.42224 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266052295:266053607:-1 gene:KYUSg_chr5.42224 transcript:KYUSt_chr5.42224 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFDRCGAVCKENAETFYLVWCRRTDELVDGPNAAHTSALALDRWESRLEDVFAGRPYDMLDAALADAVAAFPAVDARPFRDMIEGMRMDLARSRYATFDDLYLYCYRVAGTVGLMTVPIMGVSPDSEADLGTVYAGALALGVANQLTNILRDVGEDARRGRIYLPQDELAMAGISEADIFAGRVTDEWRSFMKGQIARARTYFQQAEQGAAELNQESRWPVWASLLLYRQILDEIEANDYDNFTKRAYVPKAKKLAALPKAYFESLRPPPSQRHS >cds.KYUSt_chr5.31615 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200372459:200380748:1 gene:KYUSg_chr5.31615 transcript:KYUSt_chr5.31615 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPDGASAAPGLRRGKVGGRRAWGGWGQEATRDYYNSKSWLMIDCDFELLQLVDGVFEQFRPDGHLQMPPLPCSKIRKMQLDACACPKIWWRSQVEVGPSSHERKEMTLEHQLNWMQKLKLTLRSIGQVEEELADMSSVSSHFVAPNRQRPLDFVIRAPLFTTITSRRSEMTKLGGRPDVVAAAATS >cds.KYUSt_chr3.19805 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121931382:121933438:1 gene:KYUSg_chr3.19805 transcript:KYUSt_chr3.19805 gene_biotype:protein_coding transcript_biotype:protein_coding MAECKGRSQHSPSSSMDSSNHPALSTTASPPCRPDRQDLSTDLRLGLSLSTSPSSSSSSLHEAESIISNPRNQVLFNWPPIKPFLRSALAASASRHRLQRTLFVKVYMEGLPIGRKLDLLLLVGYDSLLVKLCHMFKTPITYAAVYHQQVPGVKAAHILTYEDQDGDWMMVGDVPWELFLTSVKKLKITRVG >cds.KYUSt_chr4.39732 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245377870:245380289:-1 gene:KYUSg_chr4.39732 transcript:KYUSt_chr4.39732 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMDGRRHREIEEAAADSSDKTTSTSPSGGVPDDRAAPHETPSSSEEKKDDAAPAPQRNPRALRLRLLNLIRTFYLEALSRLPAARLWSTHARGVLVAGHCYGPFSPVDNILVNAIWYDTAFPRRPTTEFHFGDVLEISPQCMARAAHRSLEGLVAFLLQLCPSLSRDDALWHLHLSKADLLQAVASASDSALSPTHAAFLAAAEAAHHPVPRAMAHFASSVLPTVEHNAHKLLRVNQVLSAPDLDRLSAMLVPSPIPGDLCPPPPLLTTWVSAIIKSRMDGCRDSQETSRQLAETVLRKYVQQTGQIYELHMFCGLHVFFGPKPFWHINFLARPKDAAGELPIYFFAEATVPMGDDNEFLEDDIVLCCPIKPSRIGGCEACSAQYIKIDHPVDTEHNGGLEEYDDEEIGNGDDLWDYNFPLVDFVMFDAERDSPTVRAIEESFPQSSDGDESIEDFWICEM >cds.KYUSt_chr4.42278 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261553013:261556871:1 gene:KYUSg_chr4.42278 transcript:KYUSt_chr4.42278 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEKPVRYGPPGCDEDDMNMEFKSDKRRRKHLTQTSSSDAPIATAHGYQAPVSSALCRSDSGEAAKDHEGPISDMSIVQAQDHALLPIMDSGEEEEEPQELFGPHVILSEEDQASYTEKLLPNIRPPISVTDTMSWMDNFKLQVRQGRIRLAYYKMPDRMQAEYLDVDRYRELYHTYEMDAVYVQYYGEISKKIKWIEDFLHMDTSSAEEYILELRKDASIKDLDLVYFEIWRLVIKDKIYWITNEHGIDFNTEDNEARDLFRKGVARSKELMHVFIMHLAGLRFQDLEGNTGASEVSLCGKISQEFELEDPDNDPLLDALPFLEFHGTEARDGIDQVKEGLSRLYPYLFPKKKEPATFLALAKDFNPPEDLGLKLR >cds.KYUSt_chr2.3970 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23991504:23995410:-1 gene:KYUSg_chr2.3970 transcript:KYUSt_chr2.3970 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSRPAAAFLFLALLVLLSRPAAARRAARIAPLPTAALRRLYDTSNYGRLQLNNGLALAPQMGWNSWNFFACDINETVIRETADALVSTGLADLGYDYVNIDDCWSYVKRGDKDQLLPDPKTFPSGIKSIADYVHGKGLKLGIYSDAGAFTCQVRPGSLYHENDDAALFASWGVDYLKYDNCYNLGIKPKERYPPMRDALNSTGRKIFYSLCEWGQDDPALWAGKVGNSWRTTDDIEDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTFAEYRAHFSIWALMKAPLLIGCDVRNMTSETKEILSNKEVIQVNQDPLGVQGRRILSQGEGGCGEVWAGPLSGKRLAVTLWNRCSETVNITMTLADVGLDGSSAYSVRDLWKVSYIVY >cds.KYUSt_chr5.4826 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30619201:30624685:-1 gene:KYUSg_chr5.4826 transcript:KYUSt_chr5.4826 gene_biotype:protein_coding transcript_biotype:protein_coding MELHGFTCSEARSWLSPALTAGRGAGMATGGTEEDPVVACSATGDHLPELLPPVATYQTCSSSRPQPAPARQKFVVPYSHLAMASGAQLLHGWVVVGDGEVEREEMLSSPAGGDQGRALAGAAATACTLLLGPKGGGEQPCTCVAAGRFMPGATAVCLHRHGSAFGRDGVLCIIGSTHSHTWNSKRDSHSYSGNSSYRPTVKLLELGQGSSAASSRLEFHSSIRIGLHEIIQGTRIVVDARPLCIGFGVFCLVVCYHSRREALSAGGLNVVRSQDKISCQTSRENGHAMMRWCIVSDTWSHKRHRG >cds.KYUSt_chr1.39103 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238996965:238997741:-1 gene:KYUSg_chr1.39103 transcript:KYUSt_chr1.39103 gene_biotype:protein_coding transcript_biotype:protein_coding MALSELPLHHSFRLASRPHLHLLPLRLLSSSRPSSASPTAASASSSGANRAPPAPSRGGGAPWMQKWAPADPSAPPPAPSAGPSPTTSIDRIVHRLRNLGLGTDDDDPSSASATAPLDGRERLGDLLDRSWARPDSQFAAAGLDEAVLPWERDQESDGGRGEDADGVRRKRVKAPSLAELTMDDAELRRLRGLGMKLRDRITVPKAGVTQAVTEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVEVLHLLSNNVAVF >cds.KYUSt_chr4.15962 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98813859:98814536:-1 gene:KYUSg_chr4.15962 transcript:KYUSt_chr4.15962 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEGDARHQGRQVEGSGAQPAPAVSLRPLGLEDVDAFMAWASDDRVMRHLKRPLCATREEAVAQIRDTVLGHPWFRAICVDGGRPVGQVNVWPYADEGGHRANLGYALAHDHWGRGIATATIKMVVGRVFEELPGLERLEAVTDVGNARSQRALEKAGFQREGVLRSYIVRRGPGGVDARDAAVYSFLSSDRPRLG >cds.KYUSt_chr4.7819 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46529109:46532047:1 gene:KYUSg_chr4.7819 transcript:KYUSt_chr4.7819 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLNGSTIPDGWSVTSEDSLTPRLLSFKSNSSTESYFGGSGRALSQSNRHVSAPNAENNYMEYLDLMKLEVDSQLDKLKGDVTGLENYALPDSVYIIGTHLGMSLDVMLIEIDERFNALKLLLGVVFRQAREMVDLSNPSVSDLQWEHELQLEIISITIGECITGLQEELERKLYEQISVTNTMSKNWQEAVAQFAAMREDLSALSKLLFPSVPESHISHSKSEGSGRSSRWKYNIFGKKTKEDHASSRTEGSKSFRKQKSMVISEKSDFRHLNAMTKEETISYFKSEISKLKRVHESALLEKTEEIFKLKREKGSVALQNDVEFEPLRKKIPQIIARMDQIISKNIKAPGFCTTHHELDEKSRFSSRIDSLYYDNQHLRGLLADNMKDVKELSSQLSEASRDMSLQLSSEEELLRQIEKYKEEWEDLRIESDVRDGLYCTVTRSLLDDSMNNMHDAALSFDAKLSSLEAVISENEKALRSSNDENWKLREKITELEEEKECLFQEHQDDSEVIKQESAVSFDAKLSSLEAVISEKENALRLSKEENRKLNEKLAGLEKDCLFQEHQEDPEIIKQESAEMILRDIEVEPQTSPGRSHETPKQDLQYDELLKLNGSNMDYDGALTKNGQEKQLECILVSIMKLSKEFVQIEQKISTERTENRSEDLSDHCSHMVKQAVVLTKVGLWYKQMLEERRSELQKAEAKVVILGDKVNSHLSLLEKIYVTLDHYSPTLQKHPGLLDAFLKTCKLVAGLRSRHDEDETT >cds.KYUSt_chr7.21316 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132034017:132036465:-1 gene:KYUSg_chr7.21316 transcript:KYUSt_chr7.21316 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVTWGVHHRRPRKEMEVQPDAVRDWSELPLDVLALVFAKLGAVEVLMGAGLVCHSWLEAAKVPSLWRYVDMEHHEVLRGKKKKTRDVLCAMAKAAVDRSNGELEVFAGSEFVTDDLLKYIAERSSSLKSLSLDYCNVSNEAFTELIIKLPLLEELLISLCPFVDGDAYEITSRACAQLKRLMLRQGSYGGEREGPLGIEFMQELRYLTLVGSDITTEELVAIIDGCPHLERLCVRNCCNIVVDGALRAKCSRIKTLILPTLQCRRIHFHPDDGIFTDKFDDWRANVLATSGRAPATTLMALAGLLHRRSGPLTPGHARGFYVRRHPKKRASMNSALSTLGSRGEELKCT >cds.KYUSt_contig_1539.92 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:665454:670699:-1 gene:KYUSg_contig_1539.92 transcript:KYUSt_contig_1539.92 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASVSPAAAASVSGTHHRGLLPCFLRRLPRTRSRPRSCSRLHLAACHADTLLCPPGAQSPLAPAACPSASSAGGFSDWLLTHGLPPGKVSILERPVPCSRGGKDRPLHYVAAGQDLEAGDVAFEVPMSLVVTLERVLGDESIAELLTTNKLSELACLALYLMYEKKQGKDSLWYPYIKELDRQRGRGQLAVESPLLWTESELDYLNGSPMRDEVVVRDEGIRREYNELDTLWFMAGSLFKQYPFDVPTEAFPFEIFKQAFVAVQSCVVHLQVCVGKEKETVSEMLPYLRLGYISDPDEMQCILSSEGDTCPVSPCSERAVLDQLVVYLKSRLAGYPTTLDEDEAMLADGNLEPKKEVATRLVRLEKRMLHGCLQAANEFISGLPDHTVSPCPALYAPELK >cds.KYUSt_chr7.14073 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87042657:87044366:1 gene:KYUSg_chr7.14073 transcript:KYUSt_chr7.14073 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIQKKRHGGGGGGGGARLQGGIPFEKSKGQHILRNPALVDSIIAKAGLKPTDTVLEIGPGTGNLTKKLLEAGVKAVVAVELDPRMVLELNRRFQGNPLASRLKIIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAKPGDTLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRICFNRKNKTLGSLFKQKRVLELLEKNFKTMQSLQLAQESGMAEEKMSPDDFALLANMVEDLSMETSDEKDDDDMEMDDGDVADDRASFKEKIMGILQQGDFAEKRSSKLSQIDFLYLLSLFNKAGIHFS >cds.KYUSt_chr7.13017 pep primary_assembly:MPB_Lper_Kyuss_1697:7:80254450:80261040:-1 gene:KYUSg_chr7.13017 transcript:KYUSt_chr7.13017 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVAVSKVCHGHSRPVVDLFYSPVTPDGYFLISASKDSNPMLRNGDTGDWIGTFEGHKGAVWSSCLDTNALRAASSSADFSAKIWDALTGNELHSFEHKHIVRACAFSEDTHMLLTGGMEKILRVYDMNRPDAAPRELDKAPASVRTVAWLHSDQSILSSCTDMGGVRLWDVRSGKIVQTLETKAPVTSAEVSQDGRFITTADGSSVKFWDANHFGLVKSYDMPCNVESASLEPKSGSKFITGGEDMWVHVYDFFTGEEIACNKGHHGPVHCVRFAPVGESYASGSEDGTIRIWPLNPANADDSEAPNANGKPKAAMVNEVARKIEGFHISKEGQAEA >cds.KYUSt_chr2.18811 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118340391:118346085:-1 gene:KYUSg_chr2.18811 transcript:KYUSt_chr2.18811 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPPTPPHETKQRPKKNKRGRSKKPKRAAAAADAPSSTSATVVEDPFLVLAGGREGGFLELEEIDGADYGIFGTIVEDVGAGARKVGSDQKRKTKRGKRKRGDNAKRLDADVGGDDDGDCADDLVAQSKEEEGKKGEKKGKKKRNRKKRKVNDEDKDSESKEDVADDIMEDPQDVDENMEQDNNGELKLGEDELYAWLELRLHPLLIKAMHRLGFKEPTPIQKACIPAGAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKAERVHVEDGKLTEESSSGGPLRALILTPTRELAKQVCDHLKDAAKFLGIHVVPIVGGLSMDKQERLLKKKPEIVVGTPGRLWELMSSGNQHLVELHSLSFFVLDEADRMIERGHFKEVQSIIEMLPLSNSSDEPTVKATSSCETVLNLQVKKRQTFVFSATLALSANFRKKLKRGLSTSKASTADDLSSIEALSKQAGMKPNAEIIDLTNASILPAKLEESFIECSDDDKDANLYYILSVHGQGRTIIFCTSIAALRHISSLLRILGINVLTNHAQMQQRARMKAVDRFRESENSILAATDGFARGMDFDNVRTVIHYQLPHSSDVYIHRSGRTARKSLAGCSIALISPDDKAKFYSLCKSFSKENLQQFPVDQAYMPQVMNRLSLARQIDKISRKNSQENANKSWLQRNAESMGLILDASDSEEERVQGHKQRKATSAKLQKLQQDLNELLQHPLQPKTFSRRYLAGAGISPLLQKQLEDLAKRNVNGNTSNNENKGSQFVIGQDRVEPLQALQDSGQEICVNMDKQREKRRLAENWRRKKHEEKKSNFLSSLFSNSLRLHSCITSGHGAAIIHF >cds.KYUSt_chr5.17577 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113440886:113443422:1 gene:KYUSg_chr5.17577 transcript:KYUSt_chr5.17577 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAIAAPRHQHHLTTSMAAAARHPLLLRIPLLLLTLLLGIQLAAALNQDGVQLLSFKSSLLADPLGSLAGWGYADATPCAWNGVVCLAFPATATAADQMRVVSVILPNAQLVGPISPELGRLEHLRHLDLSGNALNATLPVDLFRAPELRILSLASNGLTGRLPEEVGLLRSLRALNLAGNALSGPIPGNLTLLPNLTAVSLASNYFSGALPTGGFPALQVLDASDNLLNGTLPADFGGAALRFINLSSNRIAGAIPIEMASRLPANVSIDLSKNNLTGAIPAVAPFVGQRATAFAGNDRLCGKPLDSLCSDAATSAVDPPNVTTAAKSPPALAAIPNDPTEAMPGDAGSGASSSGGGQGRMKLATILAIAVGDVAGIAILFVVFCYVYQVRKRRQRQEVEKQRMGATVFKKPEPLSDESPDAVGRSLSCCLRKKAGDDSDYTEDELTDTSATFAAKEANYNADKEAMAAKRKAKEGSSVLVTVDGEAELELETLLKASAYILGAAGDSIVYKAVLADGSALAVRRIGSEDAGVRRFSEFDAQMRAIAKLRHGNILRLRGFYWGPDEMLLIHDLAANGSLANVSIKRKAGSSPINLGWSARLRIARGVARGLAYLHDKKCVHGNVRPSNILLDANMEPLLADLGIHRLVRGAGDSRLKPAGRFGSKRSAKSLPDLTPPPQMPGIAGSASPFAGPSSSADAAAHYQAPEAAKNPAKPSSKWDVYSFGMVLLELVAGRALSTVELYQWTGGEEQGQPAFLLADAAVRGEMEGREETLASCLRLGFGCCAMAPNKRPAMKDVLQAIDRIPSPSSSSSAQ >cds.KYUSt_chr4.23727 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149508387:149515202:-1 gene:KYUSg_chr4.23727 transcript:KYUSt_chr4.23727 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDVEAREAFDSLVHICVGNGQNTLFWRDRWIHGRAATDYAPGVTLHLKTRIKNSRTIVEAMFENRWVGDINGNLATRGEREFLALWVAIQGVQRDAMVPDAFFCPWSGSGKYTAKSTYDMLVQGSKRFLLAGAIWKAKATPKSKLFMWLEVQHRIWTSDRRFRHGLQAQSAGCFACLLEEDTTEHILVQCVVAREELTALAVPPYMEHHLTRKCKLKNNGPLVKVALNMGQSCEWRVQLQWRAERVGFIKSWSEFATRADLRVDDTIVFTLRTMTSRSTSLGRTLPAPASSVVSCCAGLGRLYVKHLLLDLGSLPPGLSRRFTTLTLGLGPLHSLSSLRPTAALSPDLGRRRSRSGTRLGYSSPSAACPPCSINLAASPSEPTKAIASLLPEFDPADAGAGRGLVERLTADAAALQQDVLTEILTRNAHTEYLRRFLDGLPPGASAADLREAFKERVPVVRYEDIKPYVYRIVSGEPSSVLCSERITDLVRSSGTSGGHQKLYPSTTEKLHQRMFYNALEAAVRKMHLHADQEKCGKGMYLMFTFPGNPTMSGLPVHSSGTAYCYSSHFRDHDIHRNTSPIEAVYCPDVKQSMYCQLLCGLIDRRIVDHVGGAFAHGFVKGVRFLEDNWEEMCSNIRTGHLSDWIAHVPLRDAISQRYLRQPDPALADEIVSQCAGRPWDGILRRLWPGARYILTIVTGSMSQYIPILESYGAGLPIVSHMYVSTECAAGINLRPLDPPSRASYALLPNIAYYEFAEVKRGDDDMVQRTTDNFGGLKLVDLMNVKIGRCYELVITTSAGLYRYRVGDLLTVSGFYNATPLFQFSGRHNVALNIDFECITEEDLLKAISQAYELHLRPLGYMFGGTTAYADISTLPGHYILFWELVRAAESNHIASDIDRTVMEKCCLTVEGCFDQMYRKSRHRGSIRALEIRVVKPGAFDALMDFFVSRCTSPSQYKTPTAIRSEEALMVLEERVSGRFFNPETPSGPVYEYERK >cds.KYUSt_chr7.35207 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219955995:219961511:-1 gene:KYUSg_chr7.35207 transcript:KYUSt_chr7.35207 gene_biotype:protein_coding transcript_biotype:protein_coding MCGEAHLEEDEQLVTYASQAEQLDLEAAQAEVAEAAERAQGRGRLAAARAEIADARAELAEARAALAEARVAMAASPATVVIHDTTDDDDIVPSRFECAGDQQVLLASFETLAGDACAVRLGQWRRKPTAMPSLWLGGHLFRPGFAPAEGSFSRAVEQKNRELADAIAAGTKRWRRRLGTGPTTTPIWRRLMRQQQCMVVEELVIRFGFDIKLVDHVNTWVDFAVSSRTKSLALDLAPAKFRADQYRFPVELLDDASLYRLRHLQLSFASFELPCQFSGFPNLRTLDLHMLRVTRKDLQDTLLNCVNLEWFSMVRCHLNDELTVARPLSKLLYLRIVHCKITKIVLNAVKLETFIFYGRLYPVDLGGAPELKHADLDFYSSVPLEHALTVLPKVLSSVQDLTLRALIPLKMPLLMETPYKFSQLKHLDLWLILEHKEAGNILSLASFLRAAPYLEKLEMHFSVFDFAHRVSHPIKILPRCPHNYLKSLHITGFSGTTSQLELLVHTVENAHALEFLTIKGADIVGRDLDREGKIRFVSQFQKLERRYLHGIISPNVKLCII >cds.KYUSt_chr6.33979 pep primary_assembly:MPB_Lper_Kyuss_1697:6:213028702:213034611:-1 gene:KYUSg_chr6.33979 transcript:KYUSt_chr6.33979 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDIDDDEDQNIPYAYYSPETLEQDTVQNVLLVPHTATNTAPLQVNRQQVMLELIDASSTGERLGLDLVAVLDVSESMDFRLGKMKTAMQFVIKKLSPMDRLSIVTFSDVAKRLCPLRSVTQVSQAHLMDLVDGLTVTASGGRTSYIQAGLETGRKVLNDRCIAGGRVASIFLLSGGDQNKGDATIVDVSDVAVYTFGFGADYDPKVLHEIARKSKGGTFSFVDDGQSISEPLSQIVGGLLSIVVQDLKLTVSPLPGDSAIEKVNAGLYLQTRDANTGSVTVSFGDLFATEVRRIIVDVLLPTVRKGKKVTAIIAYCTYSIKGKPFFSHQVTVTIRRTGSAGGPNGAMINSEAVRTEQVRERYIENLQQALELTEAYQLDKLVEARNDLQLEQSNSMIDILRAQLDKLLELISLGMGFNALRACLLSMTMLHGRQRVAETGHVKGDRLYVTQFTDMSRKQAGDHEKDPIKVPPPASQDVEQAKIVRDQQGIKRPPPPAVVEMRTWRTWCGHWESRHESSRRAWAMVILCTLLAVGVLVTGVALFAVYLVYKPKMPYLVVSDAQLGALQYAQLDGTIQYLQLPIAILAENKNSKAGVTFSRVDFALQFHGVDVALLRTPAPFLVAPESSLPLQYNVVSAGRTLDSAGMRSMDESLTAGVVSFDLHGKARTRWKVGIFLKVHFWTRISCRLHFFFPGNGTVMPADVRRCRSRSP >cds.KYUSt_chr7.33016 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205901662:205919074:-1 gene:KYUSg_chr7.33016 transcript:KYUSt_chr7.33016 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGGAFRGHFPVPAACRNRDSCPQILASRWRRLWKVFSGFVERVEVFRFHVGAGIPGVAPHYTPPPSTFNVLLDSYWTAVCFELINKKEDSSQVSSIVSGNKSGYVEKPPFKPLPPKEGNEEKEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRGNKKKEVTAYPRVYEITIGVAHRRAFAAAAATAASPADELLRQHNRSLAALLRRGRFDAARRLFDAIPARNVVTWNSFLAALARGRDVSAARAFFASMPVRDAVSWNTLLAAYSRSPHPDHLADARRLFDEMPQRDAVTWNTLLGAYVRRGLMGEAEKLFGEMPQRNIASFNTMVTGFFAAGQVNKALDMFDAMPVKDSASLSTMVCGLTKNGRLDEAEELLTKRLRVTDMDKAVDAYNTLISAYGQVGRVNDARRLFDMIPRGQYQPKMGNMRVFERNVVSWNSMMMCYTKTGDVCSARTLFDEMPIKDLVSWNTMIAGYAKVSDMQEAEKLFWEMPDPDVVSWNLIIRGFTHIGEVENARRFFDKMPERGTISWNTMISGYEQNGDYDGTVKLFTRMLEVGGMPDRHTLSSVLAACASLPMLRLGTQLHQLIEKSFLPDTATSNALITMYSRGGALTEAEAIFKQMQTQKDFMSWNALIGGYEHHGRATEALQLFEEMTSARVMPTPITFITLLSACGNAGLVSEGWRVFRTMVHEYGIAARIEHYAALVNLIGRHGELDDALEVINSMPIAPERSVWGSFLGACTAKKNEQLAHMAAKALSKIDPESSAPYVLIHNLHAHEGKWGSASVVREEMERQGVHKHPGYSWIDLHDKVHVFISGDTSHPLIQEIFSVLKVLERMDCNEEQSLWPYWPSDWLDNFLLEEEALLSNLPFPSFCCEPLCSTGSAVRQSSTLQEFDTNFEDDVQRYWDDDSRKESEKGLPQLCYSEENGAASNTMTAVPVRPEKVLTFELVSQHFYLPITQAARELNVGLTVLKKRCRELGIPRWPHRKMKSLRALINNVEALQEAGKANDEEQLRAMVEMLEQERRLLEQKPCVELKDKTKRLRQACFKANYKRRRVLALGAGEASK >cds.KYUSt_chr4.3524 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20068716:20075352:1 gene:KYUSg_chr4.3524 transcript:KYUSt_chr4.3524 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCMPCEKLSEASPSPSRPCIAENAEHLQGQELLSNLLRNLGTVAKSLDPKELCKLLEACQSMQNGSNTGTSGAANALVNTAAAEAAGPSNSKVPFANGGQCGQTPSAVVPVQSKATMVASPETPACKLKNFDLNDTCNDVEGFEDGLNCPSWIRQDSTQSPPQTSGNSDSTSAQSLSSSNGDAQCRTDKIVFKLFEKVPSDLPPILRSQLSENMSLYLDKLLSSSTDNFWASGLVFVMVRHQLAFMHNGQVMLDRPLAPNSHHYCKVLCVSPVAAPYSATVNFRVEGYNLVSTSSRLICSVVVEDTAVVADDTDHEDNEYLSFSCSLPGSRGRGFIEVEDSGFSNGFFPFIIAEQDVCSEVCGLGSIFKSSSHEQADDDNARSQAFEFVNELGWLLHRVNMISKHDKAELPAATFNLLRFRNLSIFAMEQEWCAVTKMLLDLLFDGFVDTRLQSPKEVVLSENLLHSAVRGKSARMVRFLLRYKPNKNLQETAETCLFRPDARGPSTFTPLHIAAGTSDADDVLDALTDDPGLVGLNAWRNARDETGFTPEDYARQRGNDAYMNLVQNKIDKHLGKGHVVLGVPSSMCPVITDVGKPGDISLEIYQSRTMSPSSAPREKRELRGRRVDPLAGPDGVLDPMTRGKARPFPAARNGYEGREVCSAWWRWASSRRRAMETGPAGSGTFASARHGDGPSSFVWWRRPSRLPLSSSPPYPEKRRGFGRD >cds.KYUSt_contig_2403.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000326.1:23868:34829:1 gene:KYUSg_contig_2403.5 transcript:KYUSt_contig_2403.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEVNGKSVEGVDLLRRRHWTARLDFWPFLALYALWLLLAVPALDFTDALVILGVLSASHILAFLFTAWSVDFRAFVKDIHAANACKVIPAKFLGSKEIVPLHIQKTVASSSASGETEEIYFDFRKQRFFYSAEKDNFFKLRYPTKDLFGHYIKGTGYGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVLTYRCGKWVKITGTELLPGDIVSIGRSPSGEDRSVPADMLLMAGSAIVNEAILTGESTPQWKVSVAGRGPDEMLSIKRDKNHILFGGTKILQHTPDKSVNLRAPDGGCVAFVMRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAIIASGYVLMKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALVRRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVSLEGDEELISDANKLPLRIQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAMSRRPGGQPVQIVHRYHFASHLKRMSVIVRIQEKFYAFIKGAPETIQERLVDLPAAYVETYKKYTRQGSRVLSLAYKLLPEMPVSEARGLERDQVESDLIFAGFAVFNCPIRSDSAAVLLELDQSSHDLVMITGDQSLTACHVASQVNICSKPVLILTRLKTSGFEWVSPDETDRAPYRAEEVKELSESHDLCISGDCFEMLQRTEAVLQVIPHVKVFARVAPEQKELVLTTFKTVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPAQKADSKSQSSKHDSKSGKLKKPKPANESSSQLVPPASSSAKASSSRPLTAAEKQREKLQKMLDEMKEEGDGRAPVVQLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQALSAERPHPNIFCAYVFLSILGQFAMHLFFLMSAVNEAAKYMPEECIEPDSEFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFKYALYAAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAMLMFCGCYGWERFLRWAFPGKMPAWERRQKQAVANQDKKQA >cds.KYUSt_scaffold_1700.356 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2371178:2374224:-1 gene:KYUSg_scaffold_1700.356 transcript:KYUSt_scaffold_1700.356 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSAAARRSSEDEAAAFFRAAPPLRERDAVAATLAGFVARHSRSAGKSPQPPPPPPLFCQPIHRRTPTIRVGRTGFFWCLYQSAVLCAAGTGGGPAGVVCVTSGGTTVPLEQRCVRYIDNFSSGQRGAASTEYFLKAGYAVIFIHRRGSKQPYCRFLPEDSFLDLFELGEESEIQVPESNTTVVKTAISSYRKAIDEGLLLKLPFTTIFEYLQLLQLVSTSMNCLGHHGMFYLAAAVSDFYVPWESMAKHKIESAGGPLNMQLNQVPKMLFILRNHWAPSAFCVSFKLETDPDILLQKAEMALRKYGMNVVVANELANYKDVVVMVTSSGKTTVSRRSKADDLEEQLIVLLVKMHSDHITQPNPDQET >cds.KYUSt_chr4.37626 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231964910:231966091:-1 gene:KYUSg_chr4.37626 transcript:KYUSt_chr4.37626 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVGHIDLQPPRRSTLHSSAPAARPSAVTITTTQVAADVDAIGWTECPIGSVAAFAGFGEAAPERLEPMPPPAHHVLELVVRRARSKRTRGSAYPRGVAAVKAEVMEEDMELEDAGIELEEADMEEAMELEEAGMEEDMELEEADIAQPPPSPPPRWMRSPTPPPPSPSPPRPQTAAVLPPPSSPCAVEPILSSPPGFSSPPPPGFGSPPPPGFSSPPPPGFSSPPPPGFGSHPPPRWHQPTLAPLPIPPPGFGSPQVTPPIPQPSWGLPAGPPPFCQQPVPPSYPAPCWGAPSVLPPQHAAWGWPHPPPRWAPPHMLEQQRPPPPWGFMEPSVPYPMPLQHQPYFEGHQWPQPPWGYMEPSVPPPMPLQHQPHFEGHQWPQPPGVSWPVF >cds.KYUSt_chr3.14069 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85128033:85128497:-1 gene:KYUSg_chr3.14069 transcript:KYUSt_chr3.14069 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVVLLLYPVNAYLEQELDRRFSLLRLWEHSPPDSLFRAHGLAIRAVVGYPGHKVDAALLDALPSLEIFSSFSVGIDHVDLAECRQRGVRVTHTPGVLTDDVADLAVGLAVAALRRIPQADRYVRAGSWTAKGDYTLTTRVGLSLSLFSHYK >cds.KYUSt_chr4.20616 pep primary_assembly:MPB_Lper_Kyuss_1697:4:129748363:129749819:-1 gene:KYUSg_chr4.20616 transcript:KYUSt_chr4.20616 gene_biotype:protein_coding transcript_biotype:protein_coding MDARIGSVDRPSPAAVNGAVGCPASAPGCPIMSSHPAPLAAGAASLGRHLARRLVQVGVGDVFAVPGDFNLMLLDHLVAEPGLRLVGCCNEVNAGRNLPVICITGGPNFNDYGTNRILHHTIGVPDFSQELRCFQTITCHQAVVTNLDDAHEQINTAIATAIRESKPVYLSISCNLPGIPHPTFTRDPVPFFLGPRIALRPREKACAGVSAGGVEAISEAGRLLHNFCSFYLRCMLSMA >cds.KYUSt_chr3.41400 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261162123:261165221:1 gene:KYUSg_chr3.41400 transcript:KYUSt_chr3.41400 gene_biotype:protein_coding transcript_biotype:protein_coding METVQQIISAGANIVEAADLSSNLLRLRASFPKAHILIDRAEWGRFRDVNLAVLLSQLKDATYDAEDLLFELDDHLLQHKRDDTNRSSTGQLLAFLFNSIRVLISRSKARVEDAQSNLDKVVGEMEGALNFMGLNVEPMQLGKAPRMPETSSVLSDPLVFGREEEQGRVISLMGVPPTILRSSAKRLRGESSRAEHPVMVEQVVTPTVLPIVGIGGVGKTTLAQLVYNNPRVKAHFDLRMWVCVSDLFDIKRVTKEILEHTSAEALDSLASLNTLQVELSKQLTEEKFLLVLDDVWPSANEEWPRFSAPLRHGRQGSMVLVTTRSLTVADLVATTEPASAVELQGLPTDIYWDFFIKCSFGKDCPQLYPQLQEIGRSIVSRLCGSPLAAKTLGRLLNAELSERHWRSIQNSELWELAHQDNEILPALRLSYLYLPQKLKRCFALCCMFPKDYSFERDEIIDLWASHGFVAPAGSMRLEDVGSRYLDDLSSWFLLQADHRFPGLSRYVMHDLIHDMAQSVSVGECFLLQGLRRMPQTVRHMSVDVGDATLSKMPTSHENLNKLRSLRFGTKFEVEISWFNQLSNILFLSLKGCKLVKLPESLCVLNRLRYLDISHSSIQEFPEKFWCLYNLQVVDASRTRLQTIHEGVTKLVNLRRLALPVKSSHELSKISGIGSLSCLRNLSYFRVGKVSGRKIGELKGMNQLSGMLSIRNIGRVQSMAEATEASLVDKQYLEELVLEWRVQTCGWRMVENEVFEGLHPPSRIERLRVECFGGDVAPSWFKPESLPNVRNLHLSRCRSLRYLLIRFPSLDQLVLWEVGIEELTALADGVATEASSNGGHSPLPSLASLRLFSCRKLTNLDHFLRPQYLPFIKSIEIVWCTSLLSMPVSSFEGFVCLQDLKIHSCWKLVCPSEAIVLPPSLQRLSICYCGELDKSFRPACLENLASLILVQLEGCHNVEVVALNCISTSVRCLVLRHCSELASIGGSQAALSSIQHVDISDCPKLVEVQQPLLNQGLSMPRDKELHTFLQYTW >cds.KYUSt_chr2.47124 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294780162:294783323:1 gene:KYUSg_chr2.47124 transcript:KYUSt_chr2.47124 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFLLTALIIDCFGRKPLAIGTLLLSGSFCIAGSFMAGDGAIRFMRMACGVVGIFGMAVTYNLFYIYSTELFPTAMRNAALGCVAQATQLGAILAPMVVVLGEQVPFAVLGVSGTISRLLVFYPPETMSKPMYDTMAGLEEVLLLIFSRSALQKTLRRTMSSSSSTSSELSLGSSSSRETPPDIRAPEEWDLEDHASSIWSEDDKSLTSGESDLRFLADGESEEESDDDRFSWDDFTTSEEVEEEEEDDDDSPSDEPPAKRHCPWPGNLSGYVSDEDDDDEEDEDNEGPAGGRHSSDDEPAGSSTNSSDEGDDEDSDGP >cds.KYUSt_chr4.11295 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68606444:68608868:1 gene:KYUSg_chr4.11295 transcript:KYUSt_chr4.11295 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVEGSRGAGASLAPSQILAFSVGRWSLFGRKPCPTMSVPVTSTLLSAEALLGGVIEDGAPPPLAPGENPQSDEGILFRWCGVLLLRFASSTLCDPYFDQDGCQHPGCEPAYSTPKCEKKCKVQNQVWKQEKHFSVNAYKVKSNPHDIMAEVYTNGPVEVAFTVYEDFAHYKSGVYKHITGGVMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEGEVVAGMPSTKNMDITYDGGSGTATL >cds.KYUSt_chr6.28602 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181118229:181119645:-1 gene:KYUSg_chr6.28602 transcript:KYUSt_chr6.28602 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGPPRPPGPRQHQNPNPNPAAFQRHVPYAFFAASLFRAPGSPYHPLSPSPPPPYHGFPPPYHRFPPPYHGFPPPYHGFPPPQPHQGFRPPQPHQGFWPPQQHQVFPPPQQHHDFPPLRGYVALPPRGYAAPPRRAYAPLVRLPALPQPQAPDFFQAAPPRQQPPRLQPPRLAPPQPRAPPQPRAPPQPRAPPQPRPPPQPQQQPPRQQGPPSSAAAASRPSSSAAAASRPSSSAAAASRPSSSAAAASRPSSSAAALPDFDHAAPPPQGSATSNAAEAVRRRSPPPTHQAKRPRPSLDMVRIDTYRPPTAAFAGGPRQASSRGPAALKASDHATLVPSAPRGPPAVQRMDFSDQNPPTRPRLRLPLPREREQPLPQPAEAAPVAVPDLGPLQGGALEDAPGAAQELGVVNAANPEVAQKEADDGQYQLFGLMEDADDDH >cds.KYUSt_chr1.28587 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172797438:172803702:-1 gene:KYUSg_chr1.28587 transcript:KYUSt_chr1.28587 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPSRTVASATPPTSSNPRTELELVAAVAAAAIAKRKLKVATKHSHSIPKLSCPGGRHGTIISTARLNQMVHIDTANRLITVESGMILRDLIEAAAAAGLALPNSPYWYGLSIGGLLATGAHGSSLWGKGGAVHEYVVGLRIVTPAPASKGFAVVRELGADHPDLDDAAKVSLGVLGVISQKFVPIDYTGMGMVLLYPAHTLPIAILSSDGAVRSINPSTSEVRPFFFSLLDRPFPAASSLTGAQTRRDMACAAMDSDDEMVALQLEDEQAFDDDLWEHLLIIASLQDMLDAEAEKRKRPRRGGSRPGRRMQACVIMHNMIIEDDRKNHVRSHVGLYECQGPLAKVDHELPADFADFLAMHAEIRDSNVHEQLQADLVEHLWRIKGNTVAP >cds.KYUSt_chr3.32818 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206257137:206257739:1 gene:KYUSg_chr3.32818 transcript:KYUSt_chr3.32818 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMAAPFHEHARALPSRGRRVVVAAPFASIPATHAMAVASSSSPPPRRLRARRARPPRRHPPRRPSRALPPREHVRHTGAPATAVGGALLPRSASLCLREVLLVLQRFKAVVVDCATRSRMRLLLQSDEVAARVRELQHDLATVLDIIPVAEIGLNDDVDDLLGLTGRWTPSRRILTKSTTPPSLPRRRRISAAPSPST >cds.KYUSt_chr5.30975 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196092838:196098915:-1 gene:KYUSg_chr5.30975 transcript:KYUSt_chr5.30975 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLSTTLGSGADEDEIERIRREFEDAKRNYLSIPAAMKDMPKMDPQGIYVNKNVKLDDLQVYGFDYDYTLSHYSDHLQCLIYDLAKKHLVDEFKYPESCLQYEYDRRFPVRGLYYDKLKGCLVKLDFFGSIEPDGCFFGRRKLSPTEIKELYGTRHIGRDQVRQLVGLMDVFCFSEACLIADIVQHFVDAKLEFDAPYIYEDVNKAIQHVHRSGLVHRKVLAEPQKFLIKNSQVFRFLKTLREKGKKLFLLTNSPFYFVDGGMSYLLEDQHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTEKDTLAFTAVDKFLPSEVYYHGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPSKAGWRTAAVIRELEDEIGIQNSDNYRFQQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNAERHQCRSGMRSLFNSSFGATFLTDTGKESSFAYHIHQYADIYTSKLENFLSHAPESWLHPPHDIKIMPHNAKVAQILTCGI >cds.KYUSt_chr4.48925 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303104256:303107667:-1 gene:KYUSg_chr4.48925 transcript:KYUSt_chr4.48925 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLNHPAAAAAAATGRRGSSLSPAVPAPPSVRLSRRRLPAPVAASALAVEADAAADRVSALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFGEIDGLQSNGVSCDGRILVSDRAHLLFDLHQTVDGLREAELANSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFEDAAARFEGFKYSKSMLNEEVERYKRFAERLEPFIADTVHVLNESIRQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTSRVGSGPFPTELLGEDGDALRKAGMEFGTTTGRPRRCGWLDIVALKYCCDINGFSSLNLTKLDVLSGLPEIKLGVSYNQIDGQKLQSFPGDLDTLEQVQVNYEVLPGWDSDISSVRSYSELPQAARRYVERIEELVGVPVHYIGVGPGRDALIYK >cds.KYUSt_chr7.29272 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182229810:182231895:1 gene:KYUSg_chr7.29272 transcript:KYUSt_chr7.29272 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPAGHRRLMATGTSSSLSPAPSGTDESGAGGRGSSQDAMKIMVSVLVVVIFCTLFYCIYCWRWRKRNAVRKSLLQSLRPRSSSDLPLMDLASIHAATNNFSKANKLGEGGFGPVYRGELAGGSEIAVKRLSERSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEYLPNRSLDAFLFDASKSAQLDWKTRHSIILGVARGLLYLHEDSLLKVVHRDLKASNVLLDNKMNPKISDFGMAKIFEDECNEVNTGRVVGTYGYMAPEFVMDGVFSVKSDVFSFGVLLIEILGGKRNGALYLEEHEQTLIQDAWKLWTEDKAPEFMDPALGRSYPKEEAWRCYHVGLLCVQDDPDLRPTMSSVLLMLISDHMNLPAPARPPMFTRLRTFPASMIPFSTRTESTYSPQSINDVSITVIEPR >cds.KYUSt_chr3.2091 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12158597:12158944:1 gene:KYUSg_chr3.2091 transcript:KYUSt_chr3.2091 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRPAVLLVVAAVCLLSVAGALADNGTTTACLCTGPQCFPPCPTTPQFPFCPPQPPPSLVPFPWQSSSSPKTGEFIPQEPGFLAAAATWRGQTAAAWVTVVVVCSALLLLVDQ >cds.KYUSt_chr4.8668 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52039764:52040348:-1 gene:KYUSg_chr4.8668 transcript:KYUSt_chr4.8668 gene_biotype:protein_coding transcript_biotype:protein_coding MYKIEGCKKWNAVWFTLNNGKSSVDGDGPLPSSAISTSRPVVNKKAKPGINGVSLLARIHASIEKMTDPFSSLNNKERDERTLKECYVEGHVREARCEVMARERNKVEATKFEAQDGMIKSMNEEPYVALANMKEEAKILMADLSIIDRLARVWHEMHRDRINKKVLVAQASVALATPPVTEESCVMEEPTPFI >cds.KYUSt_chr6.29568 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187354619:187358171:1 gene:KYUSg_chr6.29568 transcript:KYUSt_chr6.29568 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGLRPCPPDPAGSGAPPPPQTAEELSARAAGSGGACGSAAAPVVELGDLRVGILESSDQIKGCLHPGNTKDIPQFTVEGARNCEYEAPSLPRAGPLLQLEPACLTMGRSSDAVVAENLHETDPLARGKENIRTDLQPKPDAKHNDNRMSDTPLGLDLNTLDPSDPAELNPFFPYKKLGQSKVSDPSECGSTTGATGESESHRKWREMKQNGFLSSSHGTAVAPKPRGRPPKRKRDDELKRSTFTQNEQTKFTKVAAPSGLLSGLNPGIINHVRNSKQVHSIIKAMVRSEELENACQPGFASQQCERGKEVSDSLMKCHFMMEGNNTMFHQGLPTTSKFLPEVGDNLKLQLSSTVTMASDGTCSTLADDESKHDYMTVLSVKAASVASQWLELLQQDIRGRLAALKRSRKRVRNALQTELPHLMSTEFSSNQENEPSIAGGGSTGKTVSEAHIARWRSLFVQMERTLQEEGRQLENRMKEVQAMLLNCDKGLTQVTREAPLLGPMAELWKLKSPEISESEWAVQAAAASIYSTCNLVMKAENVSCF >cds.KYUSt_chr4.3330 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18946808:18949955:1 gene:KYUSg_chr4.3330 transcript:KYUSt_chr4.3330 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPPAMPELADDTTSIPSSRGEGDQSHHPRTLIRAIVGAIDAHDKKRVVVGGWVRTGRRSSSLLAFLELNDGSCQQNLQAVVKGQVYGDLRRLTPTGTSVLLEGVLEKLDGAKHKQLIELQVERVIDVGEVDARVYPLPKTKHTLEGLRDIPHLRPRTDTIAAVARIRSELAFATHSFFRGEGFLHVHTPIISTSDCEGAGEMFQVTTLFSQADKVEKELKENGAAPSEADIEAAKLVVREKGDAIARLKAAKVAGKQEISAAVLELEKAKEIVSSLEAERLRLRPGIQRKDDGTISFENDFFKRPAYLTVSGQLQAETYACALGKVYTFGPTFRAEKSHTSRHLAEFWMVEPEMAFADLQYDMDCAERYVKHLCRWILDHCREDMEFMVNHHDRTAIERLELVSETPFERISYTKAIKILEDADKEFENKVEWGIDLASEHERYLTDVVFEKPVIVYDYPKEIKAFYMRLNDDQKTVAAMDVLVPKVGELIGGSQREERLDILKQRLIDGGLALGPYEWYLDLRRFATVKHSGFGMGFERMLLFATGLDNIRDIIPFPRCPGKADL >cds.KYUSt_chr1.34543 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210276848:210277177:-1 gene:KYUSg_chr1.34543 transcript:KYUSt_chr1.34543 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDSASTAEGARARRGGKRRSELVPVTVPAPAEPSRATATAPSHATMSPWRRGGRAPGGAVGELLQGCRRALAKEMAVRGRWGKLPEVDVDSDAEAEERTLRRGTE >cds.KYUSt_chr1.27659 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166663852:166666452:-1 gene:KYUSg_chr1.27659 transcript:KYUSt_chr1.27659 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSGWKDLPMELLMRIISVAGDDRIVVVASGVCTGWRDTLGWGVTNLSLSWCQQNMNNLTISFAHKFTKLQVLTLRQIKPQLEDSAVEAVANYCYDLRELDLSRSFRLSDRSLYALANGCPRLTKLNISGCSSFSDSALIYLSCHCKNLKCLNLCGCVKAATDESLQAIARNCAHMQSLNLGWCDNVTDEGVTSLASGCPDLRALDLCGCVLITDESVIALASGCPHLRSLGMYYCQNITDRAMYSLANSCVKSKRGRWGAVRSSSSKDIDGLANLNISQCTALTPPAVQAVCDSFPSLHTCPERHSLIISGCLSLTSVHCACGLQRHRAEGTLQPTSHAY >cds.KYUSt_chr1.36940 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225326943:225329156:1 gene:KYUSg_chr1.36940 transcript:KYUSt_chr1.36940 gene_biotype:protein_coding transcript_biotype:protein_coding MADWMMLDRFVFRRDDDPSFHDDEAALCARGTTSQGDTFRVAFRIVDPPCVSRLYLQWPGVPKEGSSCDLVAAHRNLLLLRLTSGPMDKDGSLVHPQDYFLCEGRRPSSLPDQPPLNLHRIPVCTIPLVFHLDHGKERTAPRPFDLHAVGILSHGEDFAIAQLSVTKPHRPGRVAADLCVLRSNVNSSDHSWKVEEHLPITYDRVELYQLERWRTDIVVPFEKLLCWVNYSLGAILFCEILEQRPAITYLALPARGKPGESDPHHTHDQVKCRCCCVCTTQDGHELMYINIGHEGTNLVGPLTATTGFTGHILRKEESGRMEWDKIFAIRSNQLWFADDFPREPLIFPLANVVKPDVIYFLMSEEAMCGVNKVYVVSFDINANAVLILPYITDDLEGKDADFVQQKSYLLDPFIPSELPSVGDSGSRSSVRGGGWLSGSGGSVAAGFGAGGAAGGGLGGGPGRRSGGSGWWQRCRLLRGERWPVALAVWAAGWR >cds.KYUSt_chr3.17400 pep primary_assembly:MPB_Lper_Kyuss_1697:3:106558463:106562311:-1 gene:KYUSg_chr3.17400 transcript:KYUSt_chr3.17400 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEPEPAKAKPAPSAKRLRSPEHKRKISPLANPPQTDKKRNKTISPLADANKNPLPLEHVWTPSDEVRILEAMAAHRQAEQGKLPRTRELFDSLDGRLDSKGFGRKELRRKVRSLKRQHDDGAVKGVVPAEEHLCRLYHLSQNVWGTNPEPKVYKTFDEMRQIYPCLAHEVVHIIPDPPVLEKLLMGIDDVKAHTLNAKISCLREELTQAITESAMMQKIQVPKGWQCPYNKHPPAKLRAQNHSLLYLDRLDKALGARDVAQKQLAVAQEQWARMDHELGKLKQAIIASGPPKLSGKVILAQKRMHLARKEKMDAESQILHHADDRKVAGRGHQDLSHHMNVEGGERVILISCVRPHRPVAKATVQTCLPSTMVGGKALGPGCCQVLVNEVLDGKALLIRPFGTMNTMADALGHSIAWIRAKPAEFDAEPSIWR >cds.KYUSt_chr2.19635 pep primary_assembly:MPB_Lper_Kyuss_1697:2:123549000:123551223:-1 gene:KYUSg_chr2.19635 transcript:KYUSt_chr2.19635 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMPTTVLLLIVLHSLVAVASAQPQPALAREGCRESCGNITVPYPFGIGSGCYRVDGLGSRGFELVCNDTGPSAPRLTFFSYNYSLADFSLATSQVTVYVKATTACFDFTGGLIGGDAGAYTSVDTSVYRLSSEGDIDVPVVLDWAVRDVGDCSAARNARDFACRSAHSTCFDVANDGGYGCNCSTGYDGNPYLDNGCRDIDECERKDLCYGICKNTPGNYTCQCPRGTSGNPRVKDGCRPDSPQDKFTLALKIVTGVSVGVILLGSMCCCLCLARQKSKLVRTKQKFFEHNGGVILQQQMQSYGSTTGGFKIFSAEELKKATNNFAADQILGRGGHGIVYRGVLADDSVVAIKKSKLMEETETKEFTREMFILSQINHRNVVKLLGCCLEVEVPMLVYEYVSNGTLYQYIHGGKGLDANTPLDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDSSLTAKVTDFGASKLAPNDEAEIATLVQGTCGYLDPEYLMTCRLTDKSDVYSFAVVLLELLTRKKVLCFDGPEENRSLVSRFIMAVKAGRHEELMDDSVRKEMGHEALQEVSHLLMRCVSMNGEERPGMKEIAERLEALRRYQRHPWGQAAGGDSEEEDRSLLGRNQHRDVDYKFRPHDVLDLEGSSTRYFSV >cds.KYUSt_chr2.34630 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213844720:213847513:1 gene:KYUSg_chr2.34630 transcript:KYUSt_chr2.34630 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSAASLRHLLRRPLRPTLLRPPLLRPTRRLLCVSSSSTLTHGGDSSTSTSSDGALGVVDLNPPRGTRDFPPEEMRLRTWLFDNFREVSRTMAFEEVDFPVLESEALFVRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGMPKVRAEAELIQAIVLLFERLGITSSDVGIRLSSRKVLQAVLNMYSIPEHLFTQVCVIVDKLGKLSREEIEKELISTGLSSEAVQGIIEVLSLKSLSKLEEVLGSDVEAVADLKKLFSFAEQYGYADWICFDASVVRGLAYYTGVVFEAFDREGELRAICGGGRYDRLLSTFGSEDVPACGFGFGDAVIVELLKEKGLLPDLARQIDDIVFPLDEELEGPASSVASSLRKKGRSVDLVEDKRLKWVFKHAERINASRLILVGKSEWERGMVRVKILSTREEFEVKAVELE >cds.KYUSt_chr5.39012 pep primary_assembly:MPB_Lper_Kyuss_1697:5:247116459:247117112:-1 gene:KYUSg_chr5.39012 transcript:KYUSt_chr5.39012 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSTSGQESRHRTVTSEPPKRPAGRTKFHETRHPLYRGVRRRGRVGQWVCEMRVQGARGSRLWLGTFATAEMAARAHDAAALALSGPDACLNFADSAWRMLPVLAAGSFGFGSAREVKAAVALAVVAFTVRDTAVASALSAAAEKVAVVIGSPTPSAALFYMSSGDLLELDDEHWFGGMVAGPYYESLAQGMLVEPPDAGAWREDGGAVETPLWS >cds.KYUSt_chr3.33128 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207943145:207945694:-1 gene:KYUSg_chr3.33128 transcript:KYUSt_chr3.33128 gene_biotype:protein_coding transcript_biotype:protein_coding MREEISSQPVVPYVGMIFDDLEVAKEVYNQYAFKMGFGDSVFNFVQQYELCQSLMLDREDNSGFVMETTTASLWGSWRIERQGLQFYTRDVFDRFQKILQRSTGFYAVRAEVDGLCFDLVPNPGLDVKTYRVEVEPDNQTYTCGCNLFEMCGLICPHIVRVMVLLNVQQIPDRYMLPRWSAAATTPAPDPGTSGVRFGVPTTNTLKYNSLCRKMNDLASDACISDDTYAMVSDMIAEAKKVVATMNRARIGKQQVDTENVDTPIQNQEQLDVAPQAFCDMQTRTIDINSLRNPARVKPKGRPSEKEKRKKPLIELRDEANKKRRKKAEEPKKKKEPKPKRKARVKKCPFCNEEGHAVNQCELMVLAKELKATRDAAVELKL >cds.KYUSt_chr4.8278 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49529604:49530589:-1 gene:KYUSg_chr4.8278 transcript:KYUSt_chr4.8278 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIEDLVRVDLGSYAIGAAEDCSKRLGDYIRMDVLHAVERTAPKGLVYTEPFDKDTCLLDFDVLIVEPRNLKRNLVDSITFWAKAVNLASHRLAITLAFYNDYLNLPTNWKRANDNSDILYYDGPKSVCSKGGHLHQEKGSGKAWQLYLSQKSDAALST >cds.KYUSt_chr2.53349 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332863969:332867807:1 gene:KYUSg_chr2.53349 transcript:KYUSt_chr2.53349 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSAPPPCPHLAAHRLTSRPLRFLRRCLRVRPLGRPEIRRDAREVPRCSPCASTSPPPSRLYACLSCASVFCPSHAASHAASPGHQIAVDVDRAELFCAACGDQVYDPDFDHAVVLAQSTALSPPSTSTPTPAPRKRRRVDYRAWAPDPAESALVTAAADPTTSASTTDPAGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRFLCPRRTPMRHRATDADAKAACLACDLDEIYSATFSGERTPYSPAKFLYSWWQHATNLASYEQQDAHEFFISILDHIHENIKDDEHKSHEQGHGDCCIAHRVFSGILRSDVICTNCGFSSTTFEPCMDFSLDLDAGCNGSRGVANPKARNGERNLVGMNPKVSSTLMRCLERFTRAERLDADQKFFCERCKERQESLKQMSIRRLPLVSCFHIKRFEHSTVKKMSRKVDHSLQFPFSLDMAPYLSSSILRSRYGNRIFPSESIDSEAVSELSSEFEIFAVITHSGKLDAGHYVTYLRLNNQWYRCDDAWVTRVDEHTVRTSQAYMLFYVQKTLYYKACEKPAAV >cds.KYUSt_chr2.36452 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225010290:225011558:-1 gene:KYUSg_chr2.36452 transcript:KYUSt_chr2.36452 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSLVKAPISQGFRPAGHNPRRGSAVVRCSLQGAVVASRTEWLASCAVLSSKVAALVSHSTNGHVAMAAAAAPNGAMLDLVSVSTTNGGARNLPAPLRIADLCPAPMHGSELRVAYQGVPGAYSEKAAGKAYQGCDAVPCDQFDVAFQAVENWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEDITRVISHPQALAQCEHTLTRMGLNAAREAFDDTAGAAEYIAANGLRDTAAIASSRAAELYGMEVLADGIQDDCGNVTRFVMLAREPIVPRMDRPFKTSIVFAHDKEGTSMLFKVLSAFAFRDISLTKIESRPYRPARLVDDASSGTATKTFDYMFYVDFQASLADPRVQKALAEVQEFTSFLRVLGSYPMDMTPMTAGSSSTITSSNSSPTSSR >cds.KYUSt_chr2.42724 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266085443:266101121:-1 gene:KYUSg_chr2.42724 transcript:KYUSt_chr2.42724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MGKAIPVDVAKVGGSNKLNYTKCLNSLEELLHAKVCSVDNTELEGVIAFPDMEVYTYSPLTQCNLTVTYNKELDRSKLQSEGILRESLNDFKNSQKKLKSLLRLHENLVFCLQELGSFGALQAARTFLSIDGDDLVQKEVDTTDSSTRFKNQYLNKAISILSSNILNGTHDDSFDLETLEEPFFSNKFVALINVLSGYRIEENMKCIVFVKRITVARAIARVLQYLKCLDFWKCEFLVGCHSGLKNMSRHKMDAIVEKFSSGEVNLLIATSVGEEGLDIQTCCLVVRFDLPETVASFIQSRGRARMTKSKYVVLLERGNHSQEKLLNDYIDGEIIMNGEIDFRTSNDMFEYLEENTYQVNNTGASISTACSVSLLHRYCYNLPKDMYFNPSPAFIYIDDTEGIICRIILPPNAAFRQVDGQSFQSKDEAKRDACLKACMKLHELGALTDFLLPGSGSRKNKVSTTNGSTNNKHEDDLREELHEMLTPTVLKPSKCKLDCLLNLHFYYVQFIPIPADRNYRIFGLFVINPLPTEAEKLEVDLHLARGRIVKAGMRHLGTISFNEEQMMLARNFQEMFLKILLDRSEFTVSHVMLGNDETLQINSSFYLLLPIKQELYGDIFMIDWPTIERCLSSPIFKNPTGASMNGAYLPDESLRLLDNIYNKTDVVGSLIFAPHNKTFFVIDDILDELNARSEYSSATYEEHYKERFHINLSHPEQPLLHAKQLFNLHNLLHDRLRETTGRELMEHFVELPPELCTMKIIGFSKDMCSSLSLLPSLMCRLENLLVAIELKEAMLSSFSEASQISASGILEALTTERCLERISLERFEVLGDAFLKYIVGRHNFISYEGLDEGQLTSRRSAVVNNSNLYDLSIRRNLQVYIRDQHFEPTQFIALGRPCKVVCNADTEVSIHPKNMNPDRQENCNLRCTKSHHWLHRKTIADVVESLVGAFLVEGGFKAAFAFLHWVGIDVDFEDSSFYRVLDESSINLSLMDHTNVAELEELIGYNFKFKGLILEAFVHPSFNKHSGGCYQKLEFLGDAVLEYLITSYLYSSYPDLTPGQITDLKSLAVNNYSLAYVAVQKCIHKYLIKDSNYLSAAVNKFENYIKLPNSEKDFVEEPACPKVLGDIVESCVAAVLLDSGFNLTYVWKLVLILLKPVLSFSDMHMNPMREIRELCQCHELKLGLPKPMKADGEYHVKVEVVINSEVISCAAANQNSKVARKLAAQEALCKLKKYGYTHKNKSLEEILHDARKKEPELLGYNEEPLKVEADICEEIKSLQISRERDANISFQNTEVPIGEILKPSNQRTAGDTKFFKDDINNGGNNQLKVAMHNDCRPKGTQKTSKKEYHGDMVHKTSRSFLFELCAANYWNPPEFELCKEEGPSHLPKFTYKVTVQIKGPSETLLECYSDAKLQKKAAQEHAAQGALWYLKQDGYLPKDEIRV >cds.KYUSt_chr4.15417 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95282125:95282598:1 gene:KYUSg_chr4.15417 transcript:KYUSt_chr4.15417 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGCTSAFETFELQTNGFGTNNEAVVQQPIPAQEPAAAASPASADDDIGERRLRRKISNRESARRSRARKQSHLDDLRALAARLQGDRGELAERARAARGRVALVQHANAELRAEAAALSRRLEVAASRALALNQLYAAAAGVGTFEQTLASLMV >cds.KYUSt_contig_786.284 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1561683:1562099:1 gene:KYUSg_contig_786.284 transcript:KYUSt_contig_786.284 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLAARRRSASAPSTTFPSRIQSRPHAEPLPAAGDATRPGSGDHPPGSGWSWGAAARRRCAPHPPHPRLDAPAAATAEAESGAADGDHVDLTRHGKLRISASTPRFRHAHAPAPAPCEILLLQGQGEAGRLSHLPP >cds.KYUSt_chr1.32334 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196282486:196284069:1 gene:KYUSg_chr1.32334 transcript:KYUSt_chr1.32334 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTVWTKLTDEWALGSCLPDFLWNSRTALLVLTHSLAFVMRTRQHHVSAPAQPFPNPQLHNQPASAMSLRRLLGLSAAAAAAAPGRLRRRSLATAVTHPSWSIIKRNSLVAYDETAPVLLYDPPLVSDVHPPMHLIQTSGTPDPESGVIQLFPGSVCAASGDGLLLLSYFEVRMSPILPKYDKRPAMADPDYVPDNRFVCNPVTGEMSRLPDIVPHHVREAICGSHTGLLTRAGRGHDGPPDEFAVAELQGNQMVRFLSETQEWETVPVSPCQLPHARQMVLDWEVVAFRGRLWWLDVTCGAISADPFTDAPELRFVELPKNSVLPAAAQERCGCGRPHGCGRSTHRRLCVSQGRLWYIEFSPAEPFLLSAFALDDEGTGWTLENQLHLSGYQGMARHLPSLQVGFLDPLRADRMHLSARVAAPKDAKGTSVILVVDMNRHQILSYPCIWADPSFVPSVFPPWLGSTRIPSAGLVPLHLSPIVGLLLLSFTFSFNSDVQRMISTTISRLSSIEFAFCISGRPMVLI >cds.KYUSt_chr7.1529 pep primary_assembly:MPB_Lper_Kyuss_1697:7:8452746:8453168:1 gene:KYUSg_chr7.1529 transcript:KYUSt_chr7.1529 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDRRRRKTRGCCFSLGMQEEESSDENKRGVAALCGRSETRVREVVADGFYMEERCMGAVPSVDSDLRAKGCAAVRDDEGETGYGVFGGSLGLGSDGEKNEYGLLVFGGEKRKEVGCTLNATKWAQRRNMTRRYKRSD >cds.KYUSt_chr3.45128 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284293792:284297731:-1 gene:KYUSg_chr3.45128 transcript:KYUSt_chr3.45128 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAKRTVLTADDVDSALTLRNVEPVYGFASGDPLRFKRAVGHKDLFYIDDREVDFKEIIKAPLPKAPLDTAVVAHWLAIEGVQPAIPENPPIDAISAPTENKRTEHVKDDGLPVDIKLPVKHILSRELQMYFDKIAELTMSRSNTPIFREALVSLSKDSGLHPLVPYFLYFIADEVTRSLADLPVLFALMRVVQSLLRNPHIHIEPYLHQLMPSMITCIVAKRLGHRLSDNHWELRDFSANLVASVCRKYGHVYHNLQIRLTKTLIHAFLDPHKALTQHYGAVQGISALGASAIRLLLLPNLETYMQLLDPELQLEKQKNEMKRKEAWRVYGALLCAAGKCLYERLKLFPGLLSASTQPALKSNKRVATNNPNKRKSIADISASQPPLKKMASDASMSSMGSAAPVAGTMPGTMDGFSAQLPNPIMMQASSSGQMADGIAAAGAIRRDQEGNHHAQRVSTVLRQAWKEDQDAGHLLASLHDVFGEAIFSFIQPPEISFFL >cds.KYUSt_chr1.3031 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18198888:18200813:-1 gene:KYUSg_chr1.3031 transcript:KYUSt_chr1.3031 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIWRALQWWDDWQLRILVLGSLGLQWFLLLAAPMRKFSIPRIFRTCIWLAIISSDALAIYALATLFNRHSRASGNCGNQQQQHSSSILEVLWAPVLLIHLGGQKEMAVSVMEDNELWIRHTVTLVSQVSVALYTFCISWHSSSDWKLLVAAVLLFVIGVASLSEKPFALNRATINRKAALSFQRQGTKESRKKRVALSETDKVWMLLSDMSLLAAANDLVARGRALSVEDVLPPLIITEKALPRWLGYAFDFIYGNIYAPATVVVTPLYLLYHLLVVPILHMAALLLFAVSDKNPYKRADVKITYIILCLTAALDVLQVFVRQLLSRLMYMTTVPALCQTVASYNLIDTALWEANNGWIFKFASRMRSCFCMPQHYGNVGALVMADLVDARGRDLASYRVFDAAGFISNNWILSKELQEHCGVQVRNSLCNVAFDRSVLLWHIATDLCRRCSIGNADFVDDGGEQGAPADEEGVEDSMLRLRRECVVAISDYMAHLLHVSPEMLMTGSRHHLISEAVEEVKYFFFKKKKKKNTLSQQDIEHFLAMEHKPVVNEEDDDNRVFHTEEASKLAKELMAMPDGTRWRLMYRVWLGMLFYSASMCRGNLHAKSLAEGGEFLSFIWLMLGLKGAKFLADKLQMAP >cds.KYUSt_chr6.22763 pep primary_assembly:MPB_Lper_Kyuss_1697:6:143664231:143668599:1 gene:KYUSg_chr6.22763 transcript:KYUSt_chr6.22763 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNEETSVYVGGLPYDADEEMLRLYFEPCGTIVSVKVINDQRVRGKCYGFVTYTHPKAAQRAIMQMDGKQIGNRAVRVNEVRTRVDNREFGRDSFRRDPIRDGRDGYWDRRDRERSYDRHRDRDPYHDRDSDRPRDHGRDRYDERGGFDQDMDYSMDRDHEGDERRARDHYRGDHERPVETRNVDSDNDREKENSKGYDSERDKDKEQPPRKRFSRPKARDSREISSSSDDLHNDVKHQLDKAIQMHEDLENEVSQIKDKVTSKEHHIADLQKKSLKLEEDLAAARKVSSERQLVVTKLYKCFLQLQDFNERAKNAENDLKALVDSAMAEIDMAEDATTKDGSGYENGVA >cds.KYUSt_chr3.38466 pep primary_assembly:MPB_Lper_Kyuss_1697:3:242251482:242254124:1 gene:KYUSg_chr3.38466 transcript:KYUSt_chr3.38466 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGLCKLFADDCESWQAASVDADDLVGILETWEECINGLGGGRDSVVPGAAAAFSRPSSVAVVGRDGAVASAMCARPTLGARRREADDEKSKGAPVRKKTKGSTSAAMVATAVDDTVDEGAAKMCHITVERNRRKQMNENLAVLRTLMPCFYVKRGDQASVIGGVVDYIKELQQVLHSLEAKKHRKVYAEQVLSPRPATSRAPSPRPPPLTLSPRPFMIKSMQRPLSPRLAVPISPGTPTPGSPYKPCLPHLNAYISPAMTPTTSSSSSSHAYDIVPVPRPYLPTLDSIVTELTAARPTGILLPDVKVEFAGPNLVLKTMSRRAPGQVLKIIAVLERLSLEIIHVSISAVHDATVHSFTIKV >cds.KYUSt_chr2.11891 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75606706:75608596:-1 gene:KYUSg_chr2.11891 transcript:KYUSt_chr2.11891 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVLVAAVLLSSSALVASDFCVCRSDQSTAALQKTIDYSCGQGADCTEIQQTGACYNPNDVASHCSWAANSYFQKNRATGATCDFTGVATLSSTDPSFSGCTFPSSASAAGTTTATGTTTGTGTSTGTGTGTGTGTSTGTFSPGMGTGFNGTGTSAGTFSPGMGTGSMDGTAAAADLLPSIKLAASIAALLLSFLALS >cds.KYUSt_chr1.30241 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183050421:183064076:-1 gene:KYUSg_chr1.30241 transcript:KYUSt_chr1.30241 gene_biotype:protein_coding transcript_biotype:protein_coding LFGIDYIKSAYRDKKEAHKSSRKKRPSRLKQHAQLHQVPQEACTDRQTSDLTGDYSPMDCSPYQPTVEQVSRESSVSCDPSIHILDSSVSNQQTSCAEDDLVSATEHLVIGAELPTCQDEGRDPTVDASESNFGSNFSSFDGEINFCDVSQPLFTNMSVDPTGEPKMYTTEAWVDGFECNISGQTCEENSSRIQHESCEPVNTQSSSADLSGLNFTFGASLYPGSSSSAQKRTSKRKLRTKGSQVLKSSSTQASVQPKSPQDTKMQFSPETSETKNSVKEQFSRDASILSGLETCETWRTSGNQAYANGHFATAEGCYTRGINSISQYGTSGRCSRALAMCYSNRAATRMSLGRMSEALQDCSIATSIDPTFLKAKVRAANCQLALGDLEGASSNYTACLKSSNTADFDIKMSAEASNGLERRVTDWVSQSRELLKKRTLPEAKTAFEFISSALEISSHSDILMEMKAEALLTLRRYEEVIELCQTVDLAERSAVLINSNGEPNSSNVSGKAECSVTLWRPYLICKSYFLLGKLDEALDLLKKHELVTPEESDGSASRKCFSSLSTSIRQLLSFKAAGNEAFQARRYSEAVEQYSSALACNSESRPFSAVCFCNRAAAYQALGQLTDAIADCSLAMVLDANYPKAISRRATLYEIIRDYGQSANDLRKLISLLQKQANKPGASPKVVNKHSDLKQARARLLGVEPSCSPPDIKKAYRKAALRHHPDKATQLLVRNENTDDGFWRDIAKEVYSDADHLFKTIGEAYNILSDPGKREEYDIEENLRNAARRAFKGRNTPRSPEQHYRKQYDRGFSPRQWQSAGQSNNGVPRSRWSGYEYADDYCNLLRTAPLSRNSSAKSNTGITGITKEGKIFRIEVMPAIPKYMLWSDQEISWSFKDHPKVMPNPGGYALVLDPIMQGPNARVKFSKKTCFSQRAQRSMESFRDSSRAPVGKVRVDVSFGGRDNCRVENLEFEVVDLDSPYHGPALAAFMASTHTAYLKMKMPTPRGPLTVVGNYKVSLETASAGSNLAESLVIAEEKRRMQTAVALAQSSQLSLAAMSANLGTPAFKPTKETKDIMPDPDLPERTVQYRCRELAEHSLNVRKDAKPVRQPLRRFAEDRRKIIGEEVTKLLVAGFIVEVLHTEWLANPVLVEKKKEENMEAKAPKVWRMCIDYTNLNKACPKDPFPLPRIDQVIDSTAGCELLSFLDAYSGFHQIPLKKEDQIKTAFITPHGAYCYVTMPFGLRNSGATYQRCMQKCLFDQIGKNVQVYVDDVVVKTKVKETLIDDLRQTFDNLRRFRMKLNPAKCTFGVPASKLLGFRIKPGH >cds.KYUSt_chr7.15330 pep primary_assembly:MPB_Lper_Kyuss_1697:7:95047663:95048512:-1 gene:KYUSg_chr7.15330 transcript:KYUSt_chr7.15330 gene_biotype:protein_coding transcript_biotype:protein_coding MNGWLRSVTEATTGVGVKVEMFSGSVPVCFEEAMVFRRNLVRMSTERLLAMFDFMRCNGRLGAAVCQAVKRVFHKSACASLVASTTTQYSNLTFRGQVQLLSKTNVLISAHGAQMTNLVFMDRSSSTMEFCPMGWRQRAAGGQFVFRWMASCVGMQHRGSWWDPAGDLCPSGNPDKCSCYKNRQIGMDQAYFSKFAAKVFNATKEHKMGITGEESVKP >cds.KYUSt_chr6.28966 pep primary_assembly:MPB_Lper_Kyuss_1697:6:183476601:183478782:-1 gene:KYUSg_chr6.28966 transcript:KYUSt_chr6.28966 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLVPFHLRPAAAQPLAGVAAAPHRRKHDAVSCKSAGKTQARTKASMWRPQRRELEEHLKRRTRSEGAFDPDLYRRHSHSQHVPVLLGEVLAAFRRPLPLRSFVDCTLGAAGHSLAVRNPIPFPFAYPWSCAQAMMEAHPEMELHVGMDVDPSALEIGQRHIEAFLASRTRDEDGEDASQEKLRAYTHIKNFKYIKQVLGGVDESLADGSCGVDGILIDLGMSSMQVNRSDRGFSVMHDGPLDMRMDPKATLTAEDILNSWPELEVGRILRDYGEESNWQSLQRRIVKERKTGGLHSTGELVKLIQRTCTISGGRQGWIKTATRVFQALRIAVNDELQILEDTIHSCFDCLATDGRLAVISFHSLEDRIVKQTFLELIHGGEADEDVEDLAYADIDDEDEPWFKQRVQGTNGTVLTKRPITPSQEEEKLNQRCRSAKLRVIQKA >cds.KYUSt_chr4.42992 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266304716:266308201:1 gene:KYUSg_chr4.42992 transcript:KYUSt_chr4.42992 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGRGHVDLEFLIDHRRRALAVELRHADEEQEAVALRSRTNCSRPARACDDEQEVCPGRADLLAHAARHRDAPCRRGRGRGQPSVPNAAASRAGRAVAVVLRRRARRVKRCVRMRIDGSGEKIDEPHTGFRYTKKLRTWEMQEREIPPMQGPCDSSDGGTQEHHIEVGRGSNFFNTARYAINEQCGPNVCHIAAVTSSREEEVEKADDSP >cds.KYUSt_contig_988.38 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:269517:272204:-1 gene:KYUSg_contig_988.38 transcript:KYUSt_contig_988.38 gene_biotype:protein_coding transcript_biotype:protein_coding MSTACTWIVDLKQQDGNVLMDAGWPEFVKAHDLKVGYLLTFKKLDTKSLQVLIFGYNCCEKQLRGLDLLDGGDGNFSTTATGTIGGMIFWLQCGTSRTSRIETLSVRFHYRGRMEHDGKEWQYIGGRTGCSTVPVLGLSLRELKRHLSDHMKITVEELEKVNLSWRLIEKKKNLKFMCSIDDNTPVNSMARYVIREADGFVEIFAKMPEKSMFDSSEEEDAIEEQEAQEFQQEQQEEQVIEQEFQQEQQVEHDQQEGRLIEQQDASFQQEDYHHDQQGQQLQVV >cds.KYUSt_chr7.39561 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245894804:245898796:-1 gene:KYUSg_chr7.39561 transcript:KYUSt_chr7.39561 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGRCHVPVSASIPPRLTGEACLCRFIPQMEAAVGQLRRSGLLAHQRCCIAHVSGWRTFLACSLAYALFVAHRQCIKAVARSAAFLHLAKKAPDNGVTSVPPSFSPSLAEQKGEKAHGRTEFTSHPSTARRQGRPPVARFLPDIQLHHLFKRGITQHPHDLVEIPSAFQQHILNRMEGHDLHRLWVV >cds.KYUSt_chr5.2982 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19437496:19439604:-1 gene:KYUSg_chr5.2982 transcript:KYUSt_chr5.2982 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRVLLLLAVVASLCATVVGQGVLPFAPSCSTADNYTAGSQYKKNLDQLLAGLPAAAGSNGWFYNATAGAPGTADQVFGLIMCYADRNASQCQECLAGARAGIMTVCPGSRTVRAAYDACVLQYKPAPPFAGTANLDVAFYVTFTVPFPINPDTMAGAWLRLTSDLTGRAAGTPSRIANGSTAYDASWQVYGLGQCTRDLNASECSRCLSSVVGKLPELFQNQSAGAVKAYSCYLRYQIGAFDITLPPEPLQPPPPPSSSSQPETPPPPPPSSRTGLVIGLSVGSVAFMILLGSLTCISLRRRRRKQARILEGEREQQLEEGSFFDGDDPTMEDDFEKGTGPKRFRYGELAIATDNFSDEKKLGEGGFGSVYRGHLKESNLEVAIKRVSKGSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDTHLYGGNNAPVLPWPARHEIVLGLGSALLYLHQEWEQCVLHRDIKPSNIMLDSSFAAKLGDFGLARLVDHGRVSHTTVLAGTMGYMDPECMMTGKTNAESDVYGFGVVLLEIACGKPPLVKAQHKDDDTIHLAQWVWDWYGRGKILEVADERLEGEFMGKEMECVLIVGLWCAHPDRSLRPSIRQAINVLRFEAPLPSLPERMPVATFMPQVGAFTYTSSAVTGGSSTTGTSSAATGVSSSSAGTNVSASSTETSSLLK >cds.KYUSt_chr4.51307 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318203721:318210056:1 gene:KYUSg_chr4.51307 transcript:KYUSt_chr4.51307 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRRFTAALLAFAFAAALALAPGARLAAGRPDKETREKFYGTLVANGTHNATGADNSIAGMFDRVLEKEFSDSDTQEVPDKNSFNNSISDHQAVLETVAVITHDKKNDSQQANYSRPFQLGDMFGGQNENADDTETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATGGGIIFSCLGQPVIVGYLLAGSLIGPGGLNLISEMVQVETFAQFGVVFLLFALGLEFSLPKLKAVGHVAVLGGLLQIALFMFLCGLTAALCGAKLSEGVFVGTFLSMSSTAVVSKFLVEKGSTNALHGQVTIGTLILQDCAVGLLFALIPVLGGSSGIFGGMVSMGRLLLVLSIFVTVAYMMTWSIVPRFLKLMVQLSSQTNELYQLAAVAFCLLLAWCSDYLGLSLELGSFLAGVMISTTDFAQHTMEQVEAIRNLFAALFLASIGMLIHFKFLWHHVDILLAAVILVIIVKSIVITAVIKAFGYSIRTAFVVGLSLAQIGEFAFVLLSRASHLHLIGGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPSESGVQNE >cds.KYUSt_chr2.47696 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298363426:298363731:-1 gene:KYUSg_chr2.47696 transcript:KYUSt_chr2.47696 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQNELADLKAQGALVAESLKALNESIQGLGAWMPKVDTSITTIQQTIVEMGARVTALEAARSAGADHTPRPDGHRPDNNIQGLGSDALRAPNHAKGPR >cds.KYUSt_chr2.39270 pep primary_assembly:MPB_Lper_Kyuss_1697:2:243440198:243440446:1 gene:KYUSg_chr2.39270 transcript:KYUSt_chr2.39270 gene_biotype:protein_coding transcript_biotype:protein_coding MALDRRTSQPPVTACVPAADYDEATRPGVSSTARGDMLMPGSGAAAMDDVPLLWNDERMMKRELVAWAKAVASMAIRESMRC >cds.KYUSt_chr2.897 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5306395:5307456:-1 gene:KYUSg_chr2.897 transcript:KYUSt_chr2.897 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHRLLALKAFDGTKAGVKGLVDAGVTAVPSIFHHQPDHRHRHFTVPVIDLSSMSSRRPALVAQVKAAAETVGFFQVVNHGVPEEAMSAMLAAVKSFNDQPVEAKAPYYTRDRGRRVRYQSNVDLFTSPAAQWRDTLFMEMPAEPQELPAACRGVAPEYAGLVQQRLGRTLLELFSEALGLRRGYMEEEQGCLEGVSVAGHYYPACPEPHLTLGTISHSDTTFFTVLLQDAIGGLQVLVEDDEDRKQSAWADVPPAAGALVVNVGDFLQLMSNDRFKSVEHRVVANTVGPRVSVACFFRTPGAASSTRVLAPIVPDGDGARYRSTTMEELIRQHYRVKGLHGTSPLDHFRL >cds.KYUSt_chr4.12476 pep primary_assembly:MPB_Lper_Kyuss_1697:4:76299306:76310028:-1 gene:KYUSg_chr4.12476 transcript:KYUSt_chr4.12476 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAPKLSCTAAFRNRRDGAHRAGCWPRSRVAANAATVVSSPEAAPFRKADLAPAAPAPAPFMRVVVPESLQRASGSLVGVRHRQEQEEDTVDGPGAMEYLTAVLTSKVYDVADETALELAKKLSTRLGVNLYFKREDKQPQRNHLSFPPPTMAAAATSAPRLAIRWDGAHRAGPGSRPSPSRVAATTATSPEAAAPLKADLAPAAPLPLMRVLPESLQGATGSLLAAARARQGEEGGAAVGPGAMEYLTAVLTSRVYDVADETPLELATKLSERLGIDLRIKREDLQPVFSFKLRGAYNMMAKLSQQQLDNGVICSSAGNHAQGVALSARKLGCDAVIVMPVTTPEIKWRSVERLGATVVLKGDSYDEAQSYAKLRCEQEGRTFIPPFDHPDIIAGQGTVGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLWHDQRVMLEHVGGFADGVAVKVVGEETFRLCRELVDGIVLVSRDAICASIKDMFEENRNILEPAGALALAGAEAYCKYYGLKGETVVAISSGANMNFDRLRLVTELADVGRKREAVLATFLPEEQGSFKKFAELVGRMNITEFKYRYDSNGKEALVLYSVGIYTDHELTAMVERMESSDLKTVNLTNNDLAKDHLRYFIGGRSEVKDELVYRFIFPERPGTLMQFLDALSPRWNISLFHYRAQGETGANVLVGIQVPPEDLDEFRSRADNLGYEYMSEMNNEIYHLLLRNPKV >cds.KYUSt_chr5.41141 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259677282:259678938:1 gene:KYUSg_chr5.41141 transcript:KYUSt_chr5.41141 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSGGDGTAGGAVGAGRTELSQWLSEWRAEVLSVELAPLPYPQRQRRESGEPARKAAKFALPRPADSTSPRPAAARRSRTPNQSRRILHSSELLLELASGGGRKRRRRGVREPGERKSGGEDKGKSSELPLHPCELCVLVMRLLFTAGHNDRNLDFILTLRLFPLQPPQCKLLPPLLSSRLPPALARRLPPPPRLQELQPLRLLERHPVLLLMAPPLRLLPLPLRLPALPPLRLLALPLALVVGIYGCR >cds.KYUSt_chr7.34153 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213378812:213380457:1 gene:KYUSg_chr7.34153 transcript:KYUSt_chr7.34153 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLRIDAAGRFPVPRGRTAKYNVALTAPVSARRRGSFPSRGLRCAGAEVGAAEKPDAAAVAIKEAVDVPLPPFEQSLVAVGSASDSAAANKLGFKETFTYVMYGTGAFVAGWILSAFISAIESIPLENRDELFAKAYDLKLKIIGSDDA >cds.KYUSt_chr7.33844 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211371973:211377739:1 gene:KYUSg_chr7.33844 transcript:KYUSt_chr7.33844 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLYGNLRQSCSDRCGGYTPVVEVLPAPPWAAELEFYGEWRRDERECLPRTGHAFSSYLPRSRSLMASRGHGSFSLVFARRRAGDMAPSHWSSCVVDVLHGQVKRTGLPRLRNCPTMVRFPMSIDKFSKEYQKHMTGMEEDLPALGLERLKKMIKKCQATPCSPQGLGDERDVAVPGVVLAKVNCSTADFLLASDLANSNGFQKIDKIKDSNRQSKQMEELTGKMRECKRSGLVCPRCHVRPATAKAVEVVPSRLVATSQVLVTPLPGLGCGRSFRNTTHFLLSGGRWGEAERAARELLDAGAGGGARLCSMEALPPLLLVLEAPPPLVLERSRSWSGRGRPAWAWCGLTVDVERAIPAPARRRTGTELRRGDVDVGIKIDFDLRTTAEKN >cds.KYUSt_chr6.30678 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194481590:194487465:1 gene:KYUSg_chr6.30678 transcript:KYUSt_chr6.30678 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVGDDEPLVLLHGDLDLTIHEARGLPNMDVLSTLLRRLCIRRSRRRLATRSVPGDVETDADSPLHRRQPHGHRILPTSDPYAVVAVSPPDTTLARTHVFRNSEAPEWSARIRVALAHVASRLVFQVRDSDPFGSDLMGAAHIPAADLLDGEPIVGEWLELLRPDGRGPPKPGSAIRVSATFTPATMYSRRHHGVPAYFPERRGCEVKLYQDAHVSAVDVPSSYERARCWEDVCMAVLGAQKLVYVAGWAVCAKVRLLREDMSPAMAEKAAEVRALAGVTVDAMTLGELLKYKSQEGVRVCLLVSEHHSAPHHSLFLSTGSLMQTSGEDTKKFFKHSSVICILSHRYPKGKLNMAKHKVVGTPYAHNQKCILVDTPASEATRRITAFLGGLDLAAGCYDTPSHRLFGDLDTVFRGDLHNPTLGDTDANDGPRQPWHDMHCRIDGAAAYDVLENFEQRWRKATAKHNHWKDDTLIKLKRIPWILSPAGGAAADELRVLPEDDHRCWHAQVFRSVDSGSVKGFPRSWETEDMAARHLLCDKSLAVEQSIHTAYVAAIRAADRFVYLETERFVGSSYAWPPSCRHPGAGNLVPMEIALKAASKISAGEAFAAYVVLPMWPAAEGPPGSAPAQEALFWQAQTMRMMYEVVEEAVTAAGLGGTAHPQDYLNFYCLGNREPAPPPLHGWTSETAAALARRHGRFMVYVHSKGMIVDDEYVLLGSANVNQRSLSGSRDTEIAVGAHQPHHTGAGGGGQRPHGQVHSYRMSLWEEHLGGLALPEMETPESPECVRLVNRVARQNWERYVYEGEEVEKMQMRGYLMRYPVEVSADGKVGSLPGHEFFPDVGGKVLGSTNKLPDHLTM >cds.KYUSt_chr2.51699 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322979183:322981006:-1 gene:KYUSg_chr2.51699 transcript:KYUSt_chr2.51699 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATTLAPASPPLLPAVRGSRDGRVRLTARRAAACRCRATAQTFQGGPAASYAREMERLSAKESLLLAFRDAGGFESLVSGKTTEMQKIDVNERIVGLERLNPTPRPTTSPYLEGRWNFEWFGDNSPGAFAARILFERSPTSVAHFTGLDVVIRDGYSKLSSNIKLFNTIQNRFVLTTQLSVEGPIRMKEEYVEGFLETPKISEETLPEQLKGFLGQTAGALQQLPAPIRDAVSEGVKLPLSKYMRPKL >cds.KYUSt_chr5.29137 pep primary_assembly:MPB_Lper_Kyuss_1697:5:184527815:184533289:1 gene:KYUSg_chr5.29137 transcript:KYUSt_chr5.29137 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSAASPDLSLHISLPSTGPPTGSAPGVGGRGPVGSGGGDPWRRLNGSTASTELSLSPPLLAAEQEGAALPWRHRPSVAAASSAATTSPGAPLMTMPMLQPLDAGVGGVSASPPIRGIPIYNGAGGFPFLPPAPGGGDGHHLPKVGFYSSYHHHHPATWPSSLGSTSPSSLVPGAPPPATSFDPTMPFLSPAAHHRMLSASGRLNGMLSDTLRGYGGPGGGIGMGIAGHHHHLHGAQPFGLASRFMPKLPSKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPTDGGSGDDDFPSTGQAGSGDNNRRQFPEHRSISEGATSSVGGGGGGGDMDQTSAGNTSTRWSNSSRDPWLSSNSCNMDAHRSIGLSSPMENMEPCRSSGSQVSNHELSSPSLEFTLGRPDWHGADHD >cds.KYUSt_contig_319.1690 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:11148852:11149877:1 gene:KYUSg_contig_319.1690 transcript:KYUSt_contig_319.1690 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGVSSMDASVGQDVWDWEVLPDGHRSFYAESRAAPPSSGHGVGQVLLAVPDQKLEEPLLPPPSEADECKDIGVVPAETQEEHTPMPPVSQLLLSDSDDGEQSSDNAKEAVDDGKPVEVPEATAADPPPHEIEVAEGEEGEEETKKGKRARPECVVFSVGKLRVNGIGALCSFGVAAATVCVFLVGGKLQHQQKQQHQQNIQLQFLGDDKRIQQVVQQTSRLNQAVSSMMGAGASTRAKISFGGFYDGF >cds.KYUSt_chr7.2865 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16991599:16999381:-1 gene:KYUSg_chr7.2865 transcript:KYUSt_chr7.2865 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVAPTARRWLGYAEGVAVGVWRSTVNGDGRPWPNAEGSYAEGKSHRRGPELEPDAELEFELDPEPDMEFDPLPEAPNASELESAPELAPDTVPELELELDPEPDMEFDPLLDAPIASVPEFAPDIEPELELEPLPEAPNAPAPKAQKAWDCIGPIDDTHVTAKVPRSIYAAFHGRKHYTNQNMLAAVDFDMRFTYVHVGWEGSAHDASILADSLSRPDGLQTLRVRSTLEMLDMHANLVEARMSSSKTGPGVDACDNDVWKRRGKSGQMQYGKSEATSPSEKKK >cds.KYUSt_chr6.16358 pep primary_assembly:MPB_Lper_Kyuss_1697:6:102927028:102931170:1 gene:KYUSg_chr6.16358 transcript:KYUSt_chr6.16358 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSTSIARAALALTVLVLSAVCSFPGASCTKVSYDDRALVIDGERRIILSGSIHYPRSTPEMWPDLIQKAKDGGLNTIETYVFWNGHEPRPREYNFAGNYDIIRFFSEVQKAGMYAILRIGPYICGEWNYGGLPAWLRDIPDMQFRLHNQPFEREMETFTKLIVNKMKDANMFAGQGGPIILAQIENEYGNIQSGLPDQQSATKYIHWCAEMANNQNVGVPWIMCQQSNDLPPNVLETCNGFYCHNFKPKENMPKIWTENWTGWFKAWDKPDYHRPAEDLAYSVAMFFQNRGSVQNYYMYHGGTNFGRTTGGPYITTSYDYDAPLDEYGNIRQPKYGHLKQLHAMLTSMEKHLVYGQQNETSFDDKVKATKYTLDDGSSACFISNSHDNEDLNVTFEGSTYQVPAWSVSVLPDCKTVAYNTAKVKTQTSVMVKKESEATQGLKWFWLPELLKPFCTDRSGSFRRNELLEQIVTGADKSDYLWYKTSLTSGAEEEFTLYVNTTGHELYAFVNGELAGYKHSVNGPYIFQFQAPVTMRAGKNYISLLSATVGLKNYGASFELMPAGIVGGPVKLVRPDNSAIDLSHSTWTYETGLYGESIQIHLDKPDLEWSCHPAVPVNRPFSWYKAFFHAPDGEDAVVVDLAGLNKGVVYVNGRNLGRYWPSYIAGNMDGCHRCDYRGAYKTWDNQEKCLTGCHEIGQQFYHVPRSFLNARGLNTIVLFEEAGGDPTKVNFRTVVVGSVCINAEKGDSITLACANGGTISSIDVTSFGVAKGQCGAYEGGYESKPAMEALSAACIGKESCTVHYTDELDSAGSEGSGVLTVQATC >cds.KYUSt_chr7.24671 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153768361:153770714:1 gene:KYUSg_chr7.24671 transcript:KYUSt_chr7.24671 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSHRVERSELKPGDHIYTWRAAYSYSHHGIYVGGSKVVHFTTKKEAGTAGLDSAVAMSSLISGGSPECPTFPDCGFQLPESGVILTCLDCFLRDGSLHGFEYGVPPAVFLAKLRGGTCTTAGSDPPDAVVHRAMYLLQNGFGSYDVFENNCEDFALYCKTGLILPSEAGIGRSGQAASAVGVPLAALFSTPFRLMSGGPLGMFAVTAGMYCAGRYITDIGVRKDVVKIEVEKLSAHLGWRRAKAEEESVKKQQQPGKVVVTRLLPLKRKRGCDLQLQPVK >cds.KYUSt_chr6.3074 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17986397:17986993:-1 gene:KYUSg_chr6.3074 transcript:KYUSt_chr6.3074 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKYAITVVAKLIITVTDTTCDPFCKALPLAMEYSPKLALLFVLASAMAAAVVTAQNSAQDFVDLHNAARTDVGVEAVIWNSTVAAWAQDYADTHRSDCMLEHSPAGRPYGENLYGGAGGGASWTAADAVNSWVSEKAGYDHGSNTCLTEPCGHYTQVVWRKSTDIGCARVVCDSGDGVFIICSYYPPGNFNGESPY >cds.KYUSt_chr2.2832 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16861224:16862245:-1 gene:KYUSg_chr2.2832 transcript:KYUSt_chr2.2832 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEEEVALIGVAAEEESEAAGQAVGTARVRLSRNRKRGRDYTGDNQQMHLFVKSPTGRIICLRVQLSDTLYTVKAKIQEHQRLFFDGLQLDDNLTLADYGIEHESTLDLQDSMQIHVMETLAGRTITLEVDSLDTIGEVKSKIQDNQGFPKGQQCLIFADKQLEDDSTLADLNIWKESTLLLVLRPASSRRGMQIFVKMLVGKIIPIEVESLDTIDNVILKIYEVEGCPPIRQRLIFAGKQLQNDRTLAYYNIQKDSTLHMVYCLCGC >cds.KYUSt_chr2.9243 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58218330:58220177:1 gene:KYUSg_chr2.9243 transcript:KYUSt_chr2.9243 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNEYWGIPSDESDDSDVEMEEAPEVQPAKLLDVDKAIDFMRFVFKEGLAFLDNGSGRCLADRMFVDLGGFMVDTILEQPQREGPCRMRMLKPSFEYDLAHAFAKEARKGIAKELQGDFFGIFVDMCSPPDTYKYYMVLFARYVNCKGEVVERLLGIVPDSDISDSAPYLKATVLSMLSEAGLSLQSVRGQGYGLAGYSDKFFNQLTSMVDGKYTSAYYGHPHVCPLQSHLVAACYDQSELFELIRTFGVLSNLIQKSPQFTEKLCTLIQERGVNLDHDLQKPGETNWGSYYETIEKFALYTTPICDALDSVEEVSRDDVKFMVYKINQGLTYDFFFGLLLMQDVLGVTNELSLALDRKGWDAENFVALLHDARKQLQVMRDEGWTPFLNKVGMFCNDIDMPMVTMGEKFVPRRRSKDAEGTTKTYLDYYHVDFFQKVINKQLKELDRRFTKESSELCLLASCLNPRNSFQSFDKDKLVKFARFYPSEFSDSDTTTLELQLEAFIRDVRSDTRFLEMDMLSSLSARMVETGKNIAYPLVYLLLKLALILPGTPATAKTTSTAMKLIENAITREPCNQWISDCLLLFLEPDIFESITNDAVIPYLEAAGHTEPAA >cds.KYUSt_chr4.6730 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39608430:39616623:-1 gene:KYUSg_chr4.6730 transcript:KYUSt_chr4.6730 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVRYMSDHGGKHHHEGPWGACEGFTYGSFDTIQLGPSEFLTEVSGTVGFSTKYSSDVVTSIMFLTTVRRYGPFGGGGGTPFHSPVMSNGCIIGFFAHVDRVVDAIGLYMIPEREPIKEQDSITKIGPWGGYSGKPNDVDVLPRRLISVVLHSGNVINSLMFTYSDYDEQHHTVGPWGASEPLDGSFHEIILGRSDFLIEVSGTIGGNSEYSDVITSLFFLTNTDSYGPYGNGGGTHFRSPLQSNGSIVGFFVNAGDVIDAIGVYFSPERETIKNEVEESSRISDASRERVDIKAAFDVLENIIVEESAEPVNLPLALLQHITDDFADKRQIGHGGFGVVYKGDLQNGSVAIKRLLNSHTIEEEPFYREATSLISVKHPNIVRLLGYCANTEEEAMKHPDPGETLKYIFVEIRERLLCFEYIKNGSLDQYLTDELRGLEWHERFQLIRGICNGLAYLHRKGIVHRDMKPANILIDDLMIPKITDFGISKLLDGTHAVTSNTTGTFGYCAPETIMDGVVSIKSDIYSLGVIITELVTGCKDDPDIKQVLRKWRYRWNRVKKYPALGYQQITECTQIAVRCLSVSPKERPYIWEIVSMLNATEGNDADESVVGLISPYPWELLEIEPLELNFPFEINKQIPCSLQLTNVTDEYVAFDTEMTSMLQYCTEPEIGVVPPRSKCTVTVTLQARERAPHDTASNDEFVVRCAIVDEGLAAEDITEDMFDNKSGKVVDELTMPAHMVATGDERESMRVRAGPKAATVWQECGDLREIELDNNGCVYLA >cds.KYUSt_chr2.2948 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17389746:17390879:1 gene:KYUSg_chr2.2948 transcript:KYUSt_chr2.2948 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAVAPGSPVFSPSRLLLPGAPDHHHGASSSPFLPASPLRFFALRARIRPDPPCAKPQTQPQPPAPTTPTAAPALKRRRPAPLLVPVAVPAPPPVLAAANGATADEVAEQGEGFAAYCRKGKGRKRVEMEDRHVAAVALGGDRAQALFGVFDGHGGKGAAEFAAGNMARIMAEELKRGGGVEEAARRAYLRTDEEFSADAGAEGPSGGACCVTALLREGDNGRRRQLVVSGAGDCRAVLSRGGRAEALTEDHRASRRDERDRIEALRGGLVLNCRGVWRVQGSLAVTRGIGDKHLKPWVVAEPETATVDVDERCDLLILASDGLWDKVGNQEAVDVAAACSGGLPAACRRLVEMAAARGSTDDISVLVIQLQRPLR >cds.KYUSt_chr4.46803 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289373661:289373864:-1 gene:KYUSg_chr4.46803 transcript:KYUSt_chr4.46803 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARRQNNYGSGSTAARSGRSCFGSTAARSGSNSVLSGSATACSGTIAARSGSNAYYGSTAVLGL >cds.KYUSt_chr3.45749 pep primary_assembly:MPB_Lper_Kyuss_1697:3:288098570:288099632:1 gene:KYUSg_chr3.45749 transcript:KYUSt_chr3.45749 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEGYNGTKWKLPHVHPATHGFLFADKVATGFTCDACKVAGLPGELRFHCKTCAFKVHIACAALNELRPSAVHRGDHLLKRIREPATGCPKPVCVVCRYPTKGMHYYCPHPDAAVVGVEEEEAAAEEEEEVVVVVEVVVVVEVVVKGQPLLQLRQLLQLQPLEEVEVVAGVEVAVGVEVVAAGLEVVVAEVSSVVAAAFVVVVAGAAPRRRHHHHLLHHRLHHRHHHLHHRLLHLHHRLLRTTHRTARRIAHHSVFILPSSTPAVDVAKDRVTHFED >cds.KYUSt_chr5.11800 pep primary_assembly:MPB_Lper_Kyuss_1697:5:76542313:76547199:1 gene:KYUSg_chr5.11800 transcript:KYUSt_chr5.11800 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSSLKMIRAPPPVGVPARGWGGGRTLLVAVRRDAAGRELLAWALAKAAAAGDRVVALHVTTADVFGMEERSTAAADSLASVLAAYDGFCNLNQINLELRVCHGSSVKKALVKEAISYGAAQLILGVMKNSPLGLCATAVAKYCAKRVPGSCTVLAVSKGAIVYHGNAAQEQMNHYCCTMSPRRTYSVVAETPRMIYRKILDAAATVGEKARDDSVIGHGRSLERNVSMPMSARISRKVAAVAPATPAARSQRRELPEVAAGWPLLRKDIMPASPECSEVSVVEWAMRLPSRCSPLTPVGSAAPVTEEVSEEVQEELASIREKYSSTYTMFSYRDLARITSNFSSDSLVGKGGTSWVYSGRCDDGRELAVKVLKSSDEVLKEFVAEIDIISSIDHRNAMALVGFCAEHGKLMLVYNYMSRGSLEEILHGEKQGKGSRLSWPERFKVAVGIARALDYLHGGGGGGNERPVVIHRDVKSSNILVSEDCEPKLCDFGLALWAADAAAQITGDDVAGTFGYLAPEYFMHGKVSDKMDVYAFGVVLLELLSGRKPVSSGGPKGQESLVMWANSVVQGGKLTELVDPSLPTEDGDAGEVERMTMAAALCITRAPQHRPSMANIIKLLDGDSDAIHWARSQLGMSDTGDDYSEEYSAVSSEKIDIQSYIKLALLDIDVDDDDSASVCSVDFIPANMSLEEYMKGSFDVSSRTHDVCVPKEQGGLGVLSIQAQNSALLGKFLTKLHSDTDAPWACWFRRSYGWNGSRDLGDNHHLDTPIWKDIRAGLKTFRLFSRVDIGNGASTSFWFDLWTGDATLHERFPNLFSHATRAHINVHTAMTSDFRGTLGPRLSQAAEADLRVLANELTMVVLRNDAPDARFSRLANTKLSNKGFYSISFRHLQIDDVADKVWRTAAPLKCKIFCWLARKKRLPTNERRFQHHLAPSAACMSCNHDEDTDHLLLLCPWALEVWTFFYSDYATRGVSRMSDLWTSMCRSFEEATITTAILWNVWKRRNARTFNGVDEDLAFVSRRSTGMRMIPLQHD >cds.KYUSt_chr7.22055 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136638572:136639459:1 gene:KYUSg_chr7.22055 transcript:KYUSt_chr7.22055 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTTHLLLLLCIISIFASGGLQLQDAHGGSCIPAERASLLSFKKGITSDRTNLLASWHGQDCCRWRGIRCSNRTGHVVRLHLRTPNLHVYKDPCSFDTLFGEISSSLRSLEYLEHIDLSMNCLSVPNSTFPEFLGSMENLRYLNLTGLAFSGRLPPQLGNLSKMRYLAIGQDRRSEMYSDNITWLTNLHSLKHLSICGVNLSGIDDWPHTLNMIPSLRVVHLQGCSLDNANQSLPYLNLTKLEKLDLSWNELDHSIEHSWFWKVTSLKHLNLQYTRLFGQFPDALANMTSLQVA >cds.KYUSt_chr3.35437 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222504861:222505436:-1 gene:KYUSg_chr3.35437 transcript:KYUSt_chr3.35437 gene_biotype:protein_coding transcript_biotype:protein_coding MEENFAQRLAGVLIVSVGLLAATVIIVVSLTMDSTTPEFSATVSGYDGLVRSAGAGATPPSFRVALRVKNGNVWRHCCFEARTTVEYDGVPLAFADLDGFCVPAKSVVEVPVVATGEGLGMPDQLYMSLQSRRERQERVPLEVRLMLKEKDTVKDLRFMLLRCTAMLDGMPDLPSRCLLFFLVEPGRIDGE >cds.KYUSt_contig_1253.1041 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:6585274:6589101:1 gene:KYUSg_contig_1253.1041 transcript:KYUSt_contig_1253.1041 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNPVNIILSDKPAYLAYPCVSLIQDDKLWPFASGNNDAGSSSGYHLLVVEGYSRTKDVLNGDYIKSRTFRVGGYLWLLRYYPNGSWGKADFISASLILAQNVAMPVKAKYQFSFIDQADKQEPSHIRKLNTYDFSVKDNGRRTSEFIKKDVLENSEHLKDDSFTIRCDVVVIKDVDKGTASSFVTVPPPDMQRHFGDLLMAKEGTDVTFNVGGETFTAHRCVLAARSRVFKAELFGPMKEGSMVTAGVVHVEDMEARAFRAMLKFIYSDSEPEVDKEDYEDVMWQHLLAAADRYDLQRLKLMCEDKLCGLINVNTTTTILALAECHRCDGLKKACYGFLGAPGNLKAVAATDGFDHLIRSCPSVLKELIAMLAP >cds.KYUSt_chr3.45123 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284259847:284265817:-1 gene:KYUSg_chr3.45123 transcript:KYUSt_chr3.45123 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGSMLASAVIKVFCQELSSTIGSNIKMQKNFKKDLEEMRSTLESVEAVLKDAEKRSINDAAVHLWLERLKKAMYDISDMVNEFEINTEEPAGQKIPVISYCLQFVPKIVMANKMRIGWLHEKDVTLFTMHDLVHDLARSVMVDEILDASKQKNKEGGSCRYALLTDCSECSIKKFPDSVEQLKQLSSLAELEHANPDGLRITMLEKLRTVSEVKGIQLIEKQRMKELTFEWSGHAKRFMDDVYVLQELVPPTTLKHFMVRGYDSVSFPIWLMIIRYHLPNLVSITMWNLPKCNNLPPLDQLPNLQTLVLIGMESLEEWNMTSSSRGDGANKLIFPNLQILKISDCPKLKMRLHLPRVVSWFISNSDNVMSSWGEHLTHNSSSSSSSAVTYMQVQHCNVPLHQWRLLHHQPVLTGLTIANCSDLTSSPDIMQVLSSLESVTFGVNGHSELPEWLGELTSLQQLEIIDPTLTELHVNIMKLTQLQSLRLFSCSSMTSLPPWLGKLTHLKELEIWECLHLRSSLEKMEQLTSVEYLTIQHCPGLLHSLGLQVERDEFIWSRPHESDPWRNQMRSKYWELRALSRLDTVKERLYTARLEAAEERR >cds.KYUSt_chr7.8863 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53836859:53847540:-1 gene:KYUSg_chr7.8863 transcript:KYUSt_chr7.8863 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHASLRLFMNRNAVFLCERLCAQFPAETNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAISCFRMNLLREAEETLCPVNEPNVEVPSGATGHYLLGLIYRYTGRVAPAAEQFTQALTLDPLLWAAYEELCILGVAEDANECFSEATALRLQQEHTSTSNLEKSNFVNENRVLSSNVSASLVDISPKQIKQLHANNTAEVPGYPHLRATALHVQNSVPSNVGQFDSPSPTVTQTSGIVPPPLFRNVHAYQNTIIGDAPAKPKMNAVNQPLRRKYLDEARLKKVTGRLFNQSSESIPRRSARLSRDTPINSNSNISQFGGNGTDHSSGSRYEAVDEMWTDNIAATSSSVSIAEGRCFEQDKPERILSQDSKLVTGIRELLGLLRTLGEGYRLSCLFKCQEALEVYRKLPEPQFNTGWVLCQVGKAYFELVDYLEANHFFELAHRLSPCTLEGMDIYSTVLYHLNEEMRISYLAQELISVDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQIDPRVAYAHTLCGHEYSALEDYENSVKFYRCALQVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPRSSVLMCYLGMALHALKRNEDALEMMDKAIFSDKKNPLPKYQKALILVGLKKYPEALDELERLREIAPRESSMYALMGKIYKQLNILDKAVFCFGTALDLKPPAADLAIIKAAMEKVHLPDDLIEDDL >cds.KYUSt_chr6.34061 pep primary_assembly:MPB_Lper_Kyuss_1697:6:213443133:213443999:1 gene:KYUSg_chr6.34061 transcript:KYUSt_chr6.34061 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDVSRCARHPAQPPFTGFCSACLLERLSVAALPAATPPALPSPPPPPPPPLEGRTTLLRLFQLHDQQDRPPSQQQQEAPPQLQRKRSLRQSCEWMVCCEHGTGADSSWLPSRQSWDANDPASASTSAAAAPSTSTAASASHLVLHSNSKDMPWWERTRRAAHPIRGLLRRSLSSHSWRDTDAPARSRMQGVAARINGGGSHSVSSSAGGVDSEVSPADSLHAQHLHSGARHGSGSLARRFYWLGRSRSVHYSEEEEPRRSPGTGMLRFKSHGRRLNLFATQRHHQQ >cds.KYUSt_chr2.26355 pep primary_assembly:MPB_Lper_Kyuss_1697:2:161383522:161384886:1 gene:KYUSg_chr2.26355 transcript:KYUSt_chr2.26355 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPALALLVLAMAASTSDAQPSPGFYPSSRFRPVAFNRPYSNKWGPQHQTLSGDHSALTIWLDRTSGSGFKSKHAYRNGYFSTRIKLPAGYTAGTNTAFYLSNNEAHPGFHDEIDMEFLGTIPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTAGFHTYAILWNPDAITFFVDDVPVRRYERKTELTFPDRPMWVYGSIWDASDWATDDGRHRADYRYQPFVAHFDRFVVAGCGAAAPASCRPVKASPAGAGLTRQQYTAMRWAQQRHMVYYYCQDFRRDRSLTPEC >cds.KYUSt_contig_662.228 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1336606:1337205:1 gene:KYUSg_contig_662.228 transcript:KYUSt_contig_662.228 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSSAASQVDFYGFLDRMRRPAAAGLFRSIKRSLSRLPLARRAQDDGARVQAFYAAMEAAFREHPLWANATHQEIDHALEGLEKYVMTKLFDRTFAASPEDAAADAEVSERIGLLQRFVRPQHLDIPKALHNEAF >cds.KYUSt_chr4.14420 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88940668:88941177:-1 gene:KYUSg_chr4.14420 transcript:KYUSt_chr4.14420 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLSRRLLPAFSHHTLPPRRDLAANAALQWLEDELTSLALPGLGPGVDSHACGRLLQACIACGDARGGRAVHGHVVRSGGLARLDLFCANVLLNMYAKVGPFASAHTVFDALPERNMVSFVTLVQGYALRGEFEEAVALFRRLRLEGHEVNQFVLTTVLRRWRWLLS >cds.KYUSt_chr1.37779 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230602416:230610385:-1 gene:KYUSg_chr1.37779 transcript:KYUSt_chr1.37779 gene_biotype:protein_coding transcript_biotype:protein_coding MASQWLCLLQLLLLMLSSASHARDIISPGQPLRGNDTLVSAGSGSFVLGFFTPPGSNNTYVGVWYARVSVRTVVWVANRADPVPGAVEANAAATLSVSADGTLAVADANSTVVWSVSPAPGAGAGQYTARIRDDGNLVVSDASGRVSWQGFDHPTDTLLPGMRVGMDFVTGANMTLTAWTSPSDPSPGPVVAAMDTSGDPEVFIWNGGEKVWRSGPWDGLQFTGVPDTVTYTGFSFRFVNTPKEVSYSFQVPNSSFLSRLALNSTGAAGGLLQRWTWVWAASAWNMYWYAPKDQCDAVNPCGANGVCDTNGFPVCSCLRGFAPRSPEAWALRDNRAGCARATPLDCGNGTDGFAVVAHAKVPDTTAAVVDLDASLAGCRERCLGNCSCTAYASANLSAPFGRRGCVMWSGALADLRVYPSFGQDLYVRLAAADLDSVSKSKKKVKVIIAAAVSICVLAVILALVGFFFWKRKRTKARSSETPSKWSGVLHSRTLQSEGTSHGADLDLPIYDLETIAAATEGFSAENKLGEGGYGPVYKGMLEDGQEIAVKTLSKASAQGPDEFKNEVMLIAKLQHRNLVRLIGCCICGQEKILIYEYMANKSLDFFLFDKSKSMLLDWRTRYRIIEGITRGLLYLHQDSRYRIVHRDLKTGNILLDRDMTPKISDFGMARIFGGDDSEINTLRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIITGIRNRGVYSYSNHLNLLAHAWSLLSEGKSLDLVDLTLQGTFDPEEVLKCHKVGLLCVQENPEDRPLMSQALTMLAAADAASLPAPKQPGFAARRAAAAATTEDTSSSRADGSFVDSMTITIIEGR >cds.KYUSt_chr6.20775 pep primary_assembly:MPB_Lper_Kyuss_1697:6:130997179:130997550:-1 gene:KYUSg_chr6.20775 transcript:KYUSt_chr6.20775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secreted protein with a CLE domain, Maintenance of the floral meristem (FM) and the vegetative shoot apical meristem (SAM [Source: Projected from Oryza sativa (Os02g0324400)] MRRPRAATAAFVVLLLWLAALTFASRGCPGCESLLGDRTSVSLPRKMLLAVETLDVSSASTSARPQDRQRHHHHHHQHHHHQHHPQGKWNWQGIPPSAAANGGDARFGAEKRLVPTGPNPLHH >cds.KYUSt_chr4.21477 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135258475:135259602:-1 gene:KYUSg_chr4.21477 transcript:KYUSt_chr4.21477 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRHAYLNDNDFTAILADFFTGLTDLEEICLVPPALTSLPLLRDLRLDDNSLVGPAPALHARGANGSFSHNGFCGVACVPEVTALPSFLAGVGLPQRLAESWAGNDRCKDWLCVSCYQGKVTLLNLPRYGLNVSVSASLGNLFALSDVRLNDNNLTGSVPESRTASPASSPSGSSISPPTTSQGRSLPSATMSVSMSTAIPASTGRRLPIGRLCLLARRASLPQEEQQRFRPAAGVLRCCPPRDGSEPDNLVKIVMASNDSFGGTSSATSSRDSDIHMIEARNFVIAVQYLRSATKNFAQDNVLGRDGFGVVYKGVLHDGTMIAVKRMESSVISNKALDEFQAEITILTKVRHRNLVSILGYSIEGNERLLLE >cds.KYUSt_scaffold_6468.196 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:894123:895458:-1 gene:KYUSg_scaffold_6468.196 transcript:KYUSt_scaffold_6468.196 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPAAKRLARAGSFSGVWWKLGDAAVDPSVAERRLRSIADEEADLRARIAARQAGARGVRRRIAFASIAVEALAFVHAYWTARRRRHTVGWSKKLLLLLLPPLLAIPASAAIVLAAFAKFHKIFDGRDQRRLTTLLAERKAKIGQFRGSHHNMQKLLEKYDPDAAAAEADAGSDQPFTAAVSRIKRSHSRLSIYKKPDHPVVAAETASADLPLAAAVAASTRIKRSHSRLTFHIGDE >cds.KYUSt_chr7.25194 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157178601:157181023:1 gene:KYUSg_chr7.25194 transcript:KYUSt_chr7.25194 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSKRKLAARTDAEEASVVELDCSNSGDEQNTADGADEEEESAENGTATAAKPLLDEVILLDAQAFAGMERDGVDMHIMMFLCANGIPFNVLRSPQYPEMVAAIQKAPKGYKPPAYEKARTTLLDACKRKVETDLDPVRQTWYSHGVSIVSDGWTNMKNQPLINVMASNSCGSMFLYAEDFSGQEKTGEAIAEFLLQAIEEIGPANVLQVVTDNASNCKVAGREIQKRLMDVRETLTTTVVTSKWKELVRDADVQTRAAANAIAQNIMDEAFWDEINIILDITRPLYMVIKFSDGEGPKSGDIYEKMDNMLGEIQDVMTNEDNPHKDDWPEVNNIILDRWGKMNWNFHCLAFALSPKYYDQAYLATLAPGGGKRKAPNDDKEVMQGVMQALNRIAEDQKEYALLREEFNTFIMKKGLYALSAVQADAAAMNAIDWWFTYGSETPNLSEVAKKVLSQPISSSSAERNWSTYSFIHSVKRNKLNTKTADKLVYIHANERLRRRFTEGYNSGPHYKWDIDPDNSLLEESSLKLEQLRWSSLEDNRTH >cds.KYUSt_chr2.2502 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15089077:15091292:-1 gene:KYUSg_chr2.2502 transcript:KYUSt_chr2.2502 gene_biotype:protein_coding transcript_biotype:protein_coding MIETSGTRMSSLSLCKCTQRVSNLCWYIGLKNNVKTSMLGAWSSILIIALMLHIRRYPVSGVKDEELLLLPLQASLASGAPRAGAFRRSPRPPSPSQVAPALLKAMTGVLTYVILGDGCQMEGVANEAASLAGHWGLGKLIAFYDDNHISIDGSTDIAFTEDVLARYEALGWHTIWVKNGNTGYDDIRAAIKEAKEVKDKPTLIKVTTTIGYGSPNKASTHSVHGSALGSKEVEATRKNLLWSHEPFHVPDEVKRYIVVQGEPNL >cds.KYUSt_chr7.22177 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137330816:137333270:-1 gene:KYUSg_chr7.22177 transcript:KYUSt_chr7.22177 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAVVVPHPSSGNINPALQLAKLLHSHGLYITFVNTEDKHRRVQVTEGAAALLGRDGFRFEVIPDGLVEADRGKRKYDLALSMATTIHCAEPLRELVLRLNATPGVPPVTCLLPTALMSFALDVARELGIPTMVLWTCSAAALAAQMRLRELQGRGYLPLKVGPHFGLVVWVSLRLDSLACTIAFADESCLTNGHLERTVIDWIPGLPPISLGDISSVVRTTDPDDFGLRFSAVEAEGCTKAGALILNTFDDLEADVLDALRAEYPRMYTIGPLGNQFGDDNSAAEDNSSSSNSGLSLWEQDTKCLAWLDTQEKSTVVYANFGSLTVVTAEQLAEFAWGLAATGHPFLWSIRDNLVSDASDGLAVLPPEFVASTAGRCCLTTWCPQEQVLRHPAVGCFLTHSGWNSTCESMAAGVPMVCWPGFADQYTNCKYACEVWGVGLRLDDEVRREQVAGHVRQAMESKEMRRNAAGWKAKAKDGAAPGGSSYENLQSMVTALRSLSS >cds.KYUSt_scaffold_869.1016 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6497708:6498208:1 gene:KYUSg_scaffold_869.1016 transcript:KYUSt_scaffold_869.1016 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCRSTEAENLLRRSSICKPTNALHRPAQHFRGPPTSYAADPASVGSKRGGSASCATNAGEEDPPPARSDALFAMPMKSPNTASGVNLFGGRGPFHPLILMGWRGSLSPGGELELALALASTGGREGDAERALVPIDVTGVLRSHVLASAPGKPIFSQKSSNTAA >cds.KYUSt_chr4.38419 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236975830:236977038:-1 gene:KYUSg_chr4.38419 transcript:KYUSt_chr4.38419 gene_biotype:protein_coding transcript_biotype:protein_coding METPPALSAAGGAETLAVAAAEEKAEKRARKRSRYLSSDYDTAILIDDDDAADHEDDIAASPRKGENEDAAALLLRLEKANVATDDLLAALLRSGSSPCDFVSPGPDAGVFTRFFALHRASVFGTNCEDTTATDHHHSKVSAAAPAVHRHGLPNTCGAMAVADGSAGKKQDDAIAMGHAPAAHHTAPANAAAPAPKEAGRKRKKKNKVTFTLDGAAVAGSSAQAQPATSIADGTNLVPNKANKKKARRSSVQGHHLGKPVAVLLDFVPCGNIDPPSKEDLISTFRGFGSLIESESDIGTRHARLVFQSSAAAEAAYSCAHTLGRVTARRLQYLRPPPPAPKIPLTDVKKNLEKMISSLTGASVLGREGALDLAGQMLCLMAKVDKTLSHAGSGPSTAAAHGH >cds.KYUSt_chr6.24705 pep primary_assembly:MPB_Lper_Kyuss_1697:6:156213219:156213534:1 gene:KYUSg_chr6.24705 transcript:KYUSt_chr6.24705 gene_biotype:protein_coding transcript_biotype:protein_coding AARRDELWEFLHRFVSLKKPHHHHHLTLHAFVEIWKEVFSGYDWSSTPPPARLELRPNLGSSDRALQLQIRPPEEEKRILKSTSMQLERAAMRAMERVGRDVHD >cds.KYUSt_chr6.32186 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203166105:203181187:-1 gene:KYUSg_chr6.32186 transcript:KYUSt_chr6.32186 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKGRGRGRGGGGAGGRGGGDNSRTDLLAAGRKTLQQFRKKKEKKGPGKKAEPDADEGASSVAGANGEEPAPEPKSPVGLKFLAGEAPFEEAARAQEEQCNGQGPAAVEPSAAENADAVPVLEDAGSGSVENTSSVSEQGNAEHGGPGPGDGEDSTVQATSSDSGADPVGAQPGEVDGEELPDSVSKESTEPQVSSQGDVAEDASNEIGEHQEVQVDPVETASSSDFREIGEVQIPSQDSGAGNTDIDEGAREMEVGVSGRPSDGSIQEDAGPTVSAEIGVEAGHEEALAIVASCEIPGRGDTDGEADGMGKDPVQEDVGTSKTNAVEEAVTAELDLSVEKVDPVLFADALSQGFMPYHHEYIQSYVYTATISRDFLRLQLDEVARLDSDEILKLQGLLKESEESKVAVCDEIQQCRHELSDMNTVKGELELIVAYQKEEISTGNSKCEQLEIELQTSKENAQQILGELADCQSLLEALQKENMELTTNLALEKEARKEVEEQGEHLTGENKKLLSKLSDLELSLASVKEVMNAGSSRCESLEVELCSSKENMEHTLTELANCRALLETSQKDYFELSAKFSIETEENKKLKEYNACLHNEKEKLSSDLSELNDRLHVSYAKHKQLESHVRDTETHMEQLKEQLIEESMHATNSFDIYQSVIKELDAKCSVVLGQAQTAVCQKIEHHPNSSEITVENVERAVTSPVFVSDSNDQHSHPLFNEKDSCKSASLRSLKGHLEVAKGELYELQKLVERISSRSDGRVLVSKLIQSFEVKGNQEEPGMSEGEHDDLKKLTQEMISCLVEKFKLMTSDLTKTEKYVAELCDRIELSSKSEVEQEAERQRTAAFELKMDGLAEKLSNYKKTIDQLDIQLANVQQDADNRSGKLTDQAELLHNDMTERISILEKEKASLSDLLSEVTNKLSCLRGTSPNDLGESEDLSFCILNSVDLAAKSIQSLQDRLEAGQTDNAKLNTSLSEIMKAHSDVQERNEHACRMVKTMYGSLQEFLRGSLGYSDEAGAGYNTEEPIEALLSHLGGTIEHLKNLLHDHHSLQSDNVNLESRLLSKCEELEELSLRCSSLMENMNDICLQNEELKLVSSSKSAALDELHGRCLSIAEKMVHHSASPTSVLLSISSSEAQTMSKEHHILNTLLPCIEEGVASYNEKLENAVEETHLSKICLQNAHIFYQISVDMWSLPLPVLIEEEILPKVCDLQAKIDQLSALNIQLETEAPVLRDGLKKLDEALEISRAELQKRSSELEQSEQKLSSVKEKLGIAVAKGKGLIVQRDGLKQSLSEKSGELEKLSQELQLKDALVKELEAKLKSYTEADRIEALESELSYIRNSATALRDSFILKDSVLQRIEEVLEDLDLPDRFHSRDIVEKIELLSKMAVGASFTMPDGDKRSSVDGHSESGAAMDSISDEQITNSNPGSDELKNKYDELHRRFYELAEHNNMLEQSLVERNSIVQKWEEVLGQVSIPPQFRMLEPEDRIAWLGNRLLEVEQERDALHLKIEHLEDSSEMLITDLEESHKRISELSAEVVAVKAEKEFFSQSLEKLRFEFLGLSEKAVQDEFVRDNLRKDLAELQEKLAEKANECKRFHDLETEILKLLDMVRNVLQDGSNTEIPSGDSSAVLCLGELLRKVLDHYETLLSESTLGNFAEKEIHLEEAKLSSDASTSEIGRDDKESALNAPSNELEHARRSLTLAEQQCDEAVEKAQSLTLEVEVLRGQINQLQEVGAEQTQKKQSLVLELESVGKQRDDLQEKLNQSNELEHARSSLVLAEQQRDEAVEKSHSLRLEVETAHAQINRLQEGGAEQSKKYESLVLELELAGKQRDDLQEKLNQEEQKCASLREKLNVAVRKGKGLVQQRDSLKKTIEEMNALIENLKNERKQHIESLESEKSSLMGRLSENEKSLHDTTQYLSRLLNALSMVDIARKFDTDPITKIGKVAQLYLDLQATSTSSQNEVKKSKRATELLLAELNEAHERADNLQEELVMAEAALSESSKQNNVLESARADAVRHLEHITYMQAQAARKQIDHLKELNSTSGQLREVCFELSQRLVSAFSKDVDLICYMESFMKSYGKWMDGTNMVDIPITSNRLLSTSISMDDNDGSQTLHHLAIACHAVSDCVKDCNDLKRNIDEHGFSIDQKATELSGIMSNLQSRFTSQNNELESLKENIVELQSEIKEKEEENLSMRRNMSLLYEACTNSVSEIEGMTGMGSGNRSYSVGKNHLSSDDHIKSVVEQLGAAVKTTRYSNEGNTKELKATVLELQQELQGKDVQISTISSELAIQIREAESYAKQLSVELEDARMEIHNLEKHVEVLLNQKKALETQVSDLKDLETVASEQHGRIKELTDELSRKDQEIEGLMQALDEEEKELEILENKSNGLEQMLQEKEFALKSLEVSRTKALTKLATTVDKFDELHSLSESLLVEVESLQSQLQEKDSEISFLRQEVTRSTNELLTTEDSNKLYSSKINDFVKWLETALLQFGVHCEFTDDHDGTQVLVYMDMLDKKIGSLISESDDLRIAVQSKDSSLQIERTKMEELSRKSEALEASLSQKDSQIGLLRRDRISGQPSRSLNLPGTSEIEQMNDKVSPTAVGTQIRGARKVNNEQVAIDIEMHKDKPLDDEDDDKAHGFKSLTMSRIVPKFTRPISDRVDGMCLRRKMRVVVVEDKNPAGEEDKNPAGQIASSASTLDAQELEQLGGVEKTQEPVDAEEPAKAEKTHLSVDGEEPLWNEPPEQRCNMIRTAEACQYWATYAPPPRGPTSLQEIHRRRVDLPPELRADPLYGVNSPEWDRILETEHEIRHHSFFAASPPASWFDSDDELLFDDDDLDMAKTDADLYVRQPGEVGYVPPPVDVPMEDVATS >cds.KYUSt_chr4.23564 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148336492:148336851:1 gene:KYUSg_chr4.23564 transcript:KYUSt_chr4.23564 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKATAFLALGAFVVVLMAAGEASAAVTCGQVGSSLAPCIPYATGKSATLSQGCCSGMKSLNAMARTSADRQAVCRCLKSLAGSVKSVDLGVVAGAPAKCGVSVPFPINMSTDCNK >cds.KYUSt_chr4.39313 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242571476:242576390:-1 gene:KYUSg_chr4.39313 transcript:KYUSt_chr4.39313 gene_biotype:protein_coding transcript_biotype:protein_coding MENVAVLIVGAGPAGLATAACLTQFSIPYVIVEREDCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKALFVKYLDEYIMRFNIQPKYLISVESSTYDNDNKCWSIVARDMVESTTMNFSAKFLVVASGENSAENISVIPGLDKFPGEVIHSSRYKSGKSFSGKSVLVIGSGNSGMEIAYDLATHRANTSIVIRSPIHVMTKELIRLGMTLAHHLPLNLVDNILVMAAKFIFGDLSRNGITMPKMGPMMLKSKTGRSAVIDVGTVGLIKKGIIQVQGSISKIMGNIVKFQSGDEISFDAIVFATGYKSTANVWLKTGESMLNDNGLPTKDYPNHWKGENQLYCAGLARRGLAGEKKMAATSLSSPPSKVATAKRGGIPKPSSSYTQLAFCSRHAFQKIGTATALQRPQNEVKHARPCATTDNDRAAPAEAVQETTISAGQVTSGSSNGQQPQQIEPPKRVPLTARERLRAARVLGKYAAEPADKKKGSPEFKSGLLDALRETEGGGGKKGGRKRSGLPEAPSNLLDDKKRGMPKPGWTFDWMAALPVGTDVLIVAASFSIITTVMFGTTYLVWKLGAIHFNEY >cds.KYUSt_chr5.31237 pep primary_assembly:MPB_Lper_Kyuss_1697:5:197913712:197915022:-1 gene:KYUSg_chr5.31237 transcript:KYUSt_chr5.31237 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATGTAHDPSIPIYISSDEDDEVTVLGSSRSREELQIQQAILLSIDSSRDPTAIPSSPSASPFPSGTAGAGISKDSIPNRKDNRELRLGLPRFPVASSRSLSKPKTPQVIDLDDDDSLRRVIDLDGDEDSLIFSKEIGNGGRRKKPRNGAHFEIGECSHSAKDFDCAICMETVPGVERFAVPGCGHAFCAGCVRQYIAARVDENLLAVGCPDPGCRDGVLHPEECRHVLPSPLFHRWGAALCDMALEEVKFYCPFKDCSALLVDDEPGPGTGQAKAECPHCKRAFCATCKVPWHDGVDCAEFQRLGDDERGREDLLLRKVAQQSKWQRCPKCKMYVDRIDGCTFIACRYYLNTLPGSPSFPSCTPTVGYFPPINLQVRALLLLPVRQHHGQEQPFLQTLQPCTEMIGEWSGDANVNAVLAITMSEKYYSSSNT >cds.KYUSt_chr3.35870 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225394239:225395590:1 gene:KYUSg_chr3.35870 transcript:KYUSt_chr3.35870 gene_biotype:protein_coding transcript_biotype:protein_coding MVILTEIGQNRWILGPEAVNPGSGGTGTAGAVERRCGRRGHDTGLGGAAPHGRGRNRARAAKCGLERHGMGAAEIELERHGTGAAEIELESQTLRRKRGMCSCGVCWYHLKLSSLTRDTAECATRRRRIVVVFKAIDDTRS >cds.KYUSt_chr1.10662 pep primary_assembly:MPB_Lper_Kyuss_1697:1:65253103:65258269:1 gene:KYUSg_chr1.10662 transcript:KYUSt_chr1.10662 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHPKHRHHHDEDVLPYHRSDDEAKPRRPYAPSSFPSPASPASPHRLLLLFAVVCLLLAVASLSFAVSVSLRPAPLQPPPASVAFRCGRVEDSLRSFLASSRNYSAADREKVLAVVGVHTELGSADRRAALRATWFPPNPEGIVSLEHGFGLSFRFVIGRTKDKEKMADLQKEVDLYHDFLFIDAEESTKPPQKMLAYFKAAYEMFDAEFYVKADDTIYLRPDRLAALLAKDRPHHRTYVGCMKKGPVVNDPNMKWYESSWGLLGNEYFSHASGALYALSSQVVGALATAKNDSLRMFDYEDVTIGSWMLAMNVNHEDNRAMCDSACTPTSIAVWDSKPCSDASAVLLQ >cds.KYUSt_chr7.34939 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218389254:218389637:1 gene:KYUSg_chr7.34939 transcript:KYUSt_chr7.34939 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDGADASNGAMDSSDGEYVYSIPDAEVVEGEEEQQQSRRVARMKKASGTLRPVRRRATLLPAGGVGKRRREFLMWRKKCKDERRLAREAARLSNVRAPPDLLVPEVYLELDLENNEIVVRLQS >cds.KYUSt_chr4.10342 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62589766:62594589:-1 gene:KYUSg_chr4.10342 transcript:KYUSt_chr4.10342 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRDFQLPETMTDDEIARLGIFVLELPQAPPQMPWFNGIVKEEEFENLSGAAADGYSPSSWAGAAADDYSPSSWGGAGALPEMPRPMDSLGEAGPTPFLNKTYEVVDDHSTDTVISWGFAGNSFMAWDAQAFSTILLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFAAEGFLRGKKELLKTIRRRRPQSSTPPTQQQQQQEEDGQLGFLPRWTLPHRTAPYLVEPHRTAPYLVEPQWSRTLPPLALTSSPLSFPAFFLQSPAATVVHLVGESATASSIRGRRWPIRPRRPAIRRCISPAALSNSSLQQPGGTSAADSSPQQAGGYRLLRFVATAAAGTSSPSSPQQPSPSSASSPQQPAPSSPSSPQQPVGLYDSIAVMEVVRRLYLQPSSCTKEGQEEEEAAAALMDMAGVEQQEVFAPRSMADMKRLGVACRRKGMELLIRWDSEFKVRHLVEMPLHIIAAYRAGAAKAGGEPEHTSEIIEVCKYADVPEVGLRYISKIEMARLLMKSMEHGAAPLGVPIAWNPPASGS >cds.KYUSt_chr7.28727 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179095885:179096735:1 gene:KYUSg_chr7.28727 transcript:KYUSt_chr7.28727 gene_biotype:protein_coding transcript_biotype:protein_coding MELNKVIVDHKVAMQLNKAIADHELKKQFFSFEDLRSYWFSQRPHSEGLSCAQVHFVTSTATQVNLLELQGLIYTKKLIVEDAAGALQRLR >cds.KYUSt_chr4.39725 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245362090:245364970:-1 gene:KYUSg_chr4.39725 transcript:KYUSt_chr4.39725 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAHRSLEGLVAFLLQLCPSLSRADALWHLHLSRADLLQAVASASDSALSPTHASMPPFSPPPRPRTIPCRAPWRTSPPRSFPKLSILPTCFFASTRCSSLLTSIASPPCWFPPRFQEISAPPPPLVTTWVSAIIKSRIDGCRYSQEFSRQLTEAALCKYAQQTDQHYELHFILGSHMFIRGEAFWHINFLARLKDAAGELPVCFFAEATAPMGEDDEFLEEDIVLCCPIKPSTIGGCRSCTCGHKKIYHPIDKEHHGGLENSKNDEIGNGDDHWDYKFPQLVDFITFNAERDCATVWDVEKHFPRRSDGDESVENIWIVEM >cds.KYUSt_chr3.31083 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195026196:195029039:-1 gene:KYUSg_chr3.31083 transcript:KYUSt_chr3.31083 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWRLHPWRYGMELGSVRCGAKKKGQIVKSFLGQVILCVVTRTRWMREKWREKRDGLSCKSSYSDVLPKRLFYTYMECIAELQHEVPEVYGTDLLVELPDASLAAEQEEEEEVAGGGKHLRRVGFPVDDVDDGWGDLESVHPHQEEAGCEDCGLDGIILSGFDWCGCSPAPHVVDDGGGGCNPVDYWTDHEIESDAFGPFTGDSVGEWYMDGMAMEWDGERSYYSFHPSYSGGEACMEQPCGSPLWE >cds.KYUSt_chr1.41803 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256322232:256323714:-1 gene:KYUSg_chr1.41803 transcript:KYUSt_chr1.41803 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKTNNGSIQKAGRASHGQGEGASWVLVAGGVLLSTLSVRLGCKLKQMFDMKKQNSSTSKAKRRSGACGLHSNLYRFNDQTNCHCCVSGIADGGVEFKHARASSLSKPDESSLPLAKIPGPESSKENSGVMWTSSPDRLEDPRRPFQYSNSSGSPCVSESGSDIYSKREVIQKLRQHLKRRDEMIMEMQAQIADLKNSLSIQETQTANLQSQLDGANRDLFESEREIQQLRKIIADHCVAEALSHDKPLQAGHWQSDATNGHANGYADSSIDDPELHCIGIEKRNGEVERMEMLKREVGELKEVIEGKDFLLQSYKEQKVELCSKMRELQERLSAQVPNIL >cds.KYUSt_chr2.39683 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246223285:246224035:-1 gene:KYUSg_chr2.39683 transcript:KYUSt_chr2.39683 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNNASWTTGLCGCCDDAGSCCLTFFCPCVAFGRIAEIVDQGATSCCVSGTLYMALASVTGMGCLYSCCYRSRLRGQYALKEKPCADCCVHWFCEPCALCQEYRELKNRGYDMSIGWQANMEKMGKTAATVAPQMNPGMTR >cds.KYUSt_chr7.28973 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180254941:180257303:-1 gene:KYUSg_chr7.28973 transcript:KYUSt_chr7.28973 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRYTAPPLTPGLTMEIPARLRRLLLLINGDLQFFIVDLEPQLEFLLYCYYVLLLLYIYLDVGGMVGKVEQEYQD >cds.KYUSt_chr3.15440 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94469502:94478015:-1 gene:KYUSg_chr3.15440 transcript:KYUSt_chr3.15440 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDTYEEIGVHGTALPECRTLAADRSSAANGESWDVPLGDINGSATTQGERSLGEPLSHSNGSVATQDEQSLGEPHSDSDGYAIIQGEPSSDGDELIIVDEMDSLWDDVNTMVDFSTFVTYSVVKGFVKDVEKEVVQQIASKDEQIRLLRKRMLYLGNGSLSLYEGRDRKYDEVYSIRQQLDDISKSLLNSEWGLPGSQYNSQGAEDVSKHRGKEQFSRNGPTKNETPEAPSKEVFVDPSCLTHMDRNALIAHFNKEMTNMKRQHDKEVEGKTEEIFALKRKLLNKEGSNPLHLRHNKEFEQVRKKIAEVVMRLDGLLLENNKRTTSGIKAEILAVASQQDKSNAIDSEIQQLQGTATNNQEAACGFPTQASQFASIEADHAKKIGMLESDIEDARIAAIIREGIEVIVLREFVNDIKIGLHGNEMENNMKQDICSVIQNEAVAEAVLNLNSSLLKYNEEKSCAKAASALQKQEIENLKITVNSFSKVVREKEAFVCQIELGAMKGHVDLLFHQLDLLTDKVEKQDSCIAEKNKDFDIIVGRLEQALQHVHQNENNLRELHDRFCLLKDQCKHLTKEVNLLKKKALWYKEISETKGSNLQKAELEVDLLGDEVETLTDLLAKIYIALDHYSPVLQHYTGVMETLNMIRKHISIANVVKDPLSAAKADADEVLAARMDKAVHIVSEEVNNRCWSSVVNEQDAEVPLVVSAVFGFVNPSKEIFSELDEINAQGPILPRSFRKTEEETKWGHEVARLQGGAAQALAAPPCSVGPSCRLPDLPFRLLKASVAKPQHREPRYGKPSGDAAAADPISGDPEIASGTLPERGIISRRTLRRHGRLRSDV >cds.KYUSt_chr3.14551 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88565583:88566639:-1 gene:KYUSg_chr3.14551 transcript:KYUSt_chr3.14551 gene_biotype:protein_coding transcript_biotype:protein_coding MISLHSAPRFTLLIKLEPGWRRRLALPQYAVLRRPATRSVRAAAVAESVGAELRRLARDIVAGDDNDRLLNYETLLVDRFLDILQELHGSNFRRVAEECLRVSGEYQRDGGDQAARLAQLGALFTSLDVGDAITLTSSFSHMLNLANLAEEVQMVRQKKMEASCRGSFADEGSAPTESDIDETIQRLVHGLGKTPREVFDALRTQTTDLVFTAHPTQSVRRSLLEKHARSVNAYFRYGDYIKLGLGDKNEYVM >cds.KYUSt_chr6.7356 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44710956:44711873:-1 gene:KYUSg_chr6.7356 transcript:KYUSt_chr6.7356 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLRFAPTPTSSPFFSPSPLRLRLRSSLHLPPRPRHAHLRLCSTPGGDSNAAQDSKTNAIKNDDDLWTVAGKVLHAYRTSDDDLRTFLQNLIDAGLADESDLFGKDDDDLLRAFLDADLTADLGALWKLPALAAFSFAAVPALLGYLGDAAGVERVPAWMPLAYSLAAFILGSLFVADASVGDEELEDRLGDRAGGGDLAFLDSEEVLERMTWRVPAAALAFTAAGEAALRAAGEATGVHDLQPPVWVAFLLFGLLFGVPYGVLSASFDPAVQGSWLGWDEFVTNIRDAALRADDRDRRRNRK >cds.KYUSt_chr4.27868 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175138941:175139225:-1 gene:KYUSg_chr4.27868 transcript:KYUSt_chr4.27868 gene_biotype:protein_coding transcript_biotype:protein_coding MACFCSSGEAAADRDRGSTISTRRWGVRGSTEKRRERIRGGGGGSWRWSGEGDDWGRKRRWGLERADVSGDWLPGAHASHNPTTPTDPYARSHE >cds.KYUSt_chr4.8082 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48202969:48209858:1 gene:KYUSg_chr4.8082 transcript:KYUSt_chr4.8082 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLEGGAVVVNVAVVGLAAEGRCSEIGPFRFSGAGLLCPPLPRFQSIGSFASLGGRPHQLLTISSSGFVPHIAGSDGQKSLFNDGIKFVVHSSCLLNTSTASVAKGPLPPSGLVETFQRARIWRKRSIHGPAANQFKSSSLPPSGVRCPSFDWVSSWNSSFDGRASLAYLLDNPGDSHKIHVVT >cds.KYUSt_chr3.10992 pep primary_assembly:MPB_Lper_Kyuss_1697:3:65485979:65486677:-1 gene:KYUSg_chr3.10992 transcript:KYUSt_chr3.10992 gene_biotype:protein_coding transcript_biotype:protein_coding MKILRRQVIGELLLRRAESVPRFTFSPAIRLLPFRPTSIATCNVVSPAAPPDARMVKTGFDPAIYRLNLHLHSLISSGRLAQARALFDQMAPHRRSAFSLNRMLSGYSRSGQIAAAHNLFLSSPLRLRDAFTWTVMMGALAAAPGRAADALSLFRDMLREDVAPDGVALSTVLNVPASASSTTASLHVFAVKLGLLRSSVVVCNTLLDAYCKHGLLAAGRRVFDETPSPIRP >cds.KYUSt_chr7.12076 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74240104:74241595:1 gene:KYUSg_chr7.12076 transcript:KYUSt_chr7.12076 gene_biotype:protein_coding transcript_biotype:protein_coding MMAATACPEELIDEAVLRSSSPFALLDPIQVRSLASRVLSASPGRYQFWVRQGARHLLDGLPDKDAPDVPWEFIEEFHEPPPWLKEEAARARPVLPWLPLDCRNVMPSGVSTVADGLDGVRLESLELEQDEFPAIQVDSGGPGHGKGRECTDLDELLNMHLACCYWTYKASDSCNSFRSSEKNFR >cds.KYUSt_chr7.7088 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42655356:42657320:1 gene:KYUSg_chr7.7088 transcript:KYUSt_chr7.7088 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVRPRPPPALLLHAPPPPPPLPSTLPLPLLPPPALASLLLSAIDSSASLRRLRSLHALLVRLPLQPRSQPYLLSRLLRRLAALPPPPAPLPYALSVFSAHSPPDPFLAAALLRFALLTQPPLTPFRVFSRLLGITHGGSLPFLPFAFSPLAKSAAAARSLPAAEAAHAVAILVGGFDKHRYVENSLIGAYVACGDVTAARKVFDEMVVKDAISWTNIVVAYSRSGDMGSAEEVFAQCPEKDLVAWTAMVTGYAQNSMPVKALEVFERMAALGVGIDEVSLTGAISACAQLGAVRRAAWVKEIAERGGFGSNVVVGSGLVDMFAKCGLIDEARSVFHGMQEKNVYTYSSMIVGLAAHGRATEAIALFKDMVRRADVVPNHVTFIGLLTACSHAGMVRDGRYYFAQMKDKYGISPSPDHYTCMVDLLGRAGLVDEAFGLVRSMSVEPHGGVWGALLGACRIHGNTEVAKVAAEHLFKLEPEGIGNYVLLSNTLASAGKWDEVSKVRKLMRSRRLKKDPAVSWFEGRDGLVHQFFAGDNSHPRTNEIKKALIELVAKLKQAGYVPILSSIVYDVSNGEKERILMGHSEKLALLFGLLTLGSRCTIRIVKNLRICEDCHLFMRLVSRVEHVDIIVRDNMRFHHFKDGECSCGGFW >cds.KYUSt_chr5.7866 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49597119:49597709:-1 gene:KYUSg_chr5.7866 transcript:KYUSt_chr5.7866 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRWLRGRSLLLLLRRAFLLAAVSAAALFLLHHQAPTPFKPPSPSLPLAISEELSVEPPPLSAFSEEPSFDPPPVSAFDVEAPRETVGSGKPAAGEAGRATCATVERMGEVAAGGASTEAASLRVRELIRRHFLLHGAKLTQCLTFACPFIVVLFHSLSTACSPTLLDGHVWIPFLKTKHCPCLDLNAALISFLL >cds.KYUSt_chr5.30451 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193135345:193137546:1 gene:KYUSg_chr5.30451 transcript:KYUSt_chr5.30451 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLAIEARRAAASKLKLVGSAGAGRWLSTAVDGRLEGKIALITGGASGLGKATAHEFIQEGASVVLADINSQLGLQTAEQLGPQAHFIHCDVAVEDSVARAVDAAVARHGRLDVMFNSAGVAGSLSGTSEMASLDLGQFDSVMRVNVRGTLAGIKHATRVMAPACSGSILCMGSISGLMGGLGSYPYSASKLAIAGVVRTAAAELARHGVRVNCISPHAIATPMVVRQFAEMLGGADEATVASIVAGLGQLKGATCEAVDVARAAVYLASDDAKYVSGHNLVVDGGFTTYKYMDLPLPTPQE >cds.KYUSt_chr3.24600 pep primary_assembly:MPB_Lper_Kyuss_1697:3:152694973:152696970:-1 gene:KYUSg_chr3.24600 transcript:KYUSt_chr3.24600 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKAKKSTENINNKLQLVMKSGKYTLGYRTVLKTLRSSKGKLIILANNCPPLRKSEIEYYAMLAKISVHHFHGNNVDLGTACGKYYRVGCLSIIDPGDSDIINTTTTTAQ >cds.KYUSt_contig_2071.92 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000249.1:676550:677655:1 gene:KYUSg_contig_2071.92 transcript:KYUSt_contig_2071.92 gene_biotype:protein_coding transcript_biotype:protein_coding MDISRIRCEELEKKCYRFLVKAEELYNATKITLSGWEGNIQKIDACCGKLSGKVVQGIRDRQKEICGEIMLDAADRLFKAKKETDSKFGDFLVELEELKQLLADVKYRVNSHFFTPLIDTIDRQQSVVPADINLSMKIILHEMMNSIGWPEPCFTVTYEGNGTYICDTYLSPEENYIEEEGSKLPLS >cds.KYUSt_chr6.29608 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187656526:187670019:1 gene:KYUSg_chr6.29608 transcript:KYUSt_chr6.29608 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEEEAREAADGEEVQVEVADLGTTHLQVNLDSKLSSHGDIKEKGKIMDSTEGILRVTEDQVFEKAPSVAEIPLEHTPNGTASSLNGHMKEAKISNEKPQENGQKDNEQVEASSDGINTDQSNKSNGKETVDSFSHIESTTEDTSLLKSENEEPKEHYEQDVEGAAVEDKMVQKDTLQADEPTVPQQGQNLELAKVTEDTQHASTSCNPHDEVVADAPAGVQTSHDPNADDSAPLPDATDGNTETEPAKVDKESFPEDEDIAEHTNEIIKLEDQPSKQADDVDADLVQEEVPKSKKTDMPEDMTTTEQAHESTNGDQEVLNQESQEDTSDPVHEKTEETSHESNVSASEETTPEHDATAREPALDVQEVQSQGLAEEIADAKEVDTGKTVQESGVAFEEATSKDNIPTIEPSSDIQHPNSVESEEIKGLEDAKAEETSNQSNVTIAEDTKDKEATEAIQPVQGLEEEPKNSGTAEMDEASNEPLDVLNNLAEEDGVPACQPQVIELEDVKNTGVTETQEITQQSHVAASEELETEDNSREIESSNDDIQLILEQGSVEVKDTESSETQEICNERSISASEDHVEDDVTAEGPTSDAQKVKNVESAEEIKDNTADDIVEASNVATVEEADQQNNVLTSEDVSEQKMRGLEPEEIQNTEPVETEEASDQRHPAQEDIIPSDMLKTESTAEVTGTEATKTKALPQESNVSISDEPASEENATASEITCDAQKVNNLEQAEDVEGNKDTRAKETSDQSSESNVASLEEIATEDSTTILTDVSIQQLEEQEPVEFRGTETTEPQGISSSHIVSTTEDSASEDKVKAEPSLDTAENLESAPVTKETKDVKSTDAIAEEETPEDHVQELEAPVQTPPVEEPELEETKNTDNQMDATVLEDPTHEDDPTTSKSLVIESAEGSSSGATEGQEMPQVEVARSEDHEAEENMIASEPEILEPEPADEMRDSEATEPQSISQQSIVSSSEESVPEEDVTAREPVSDIKEVQTEEPELIDENNDVKAGEISNQSSGTIVGDAAQENLESATGTEETREVKSTDVIAEEKTAEELVQEFEGPVDIPPVDEPELEETKNTEPAEVHDNVTTNELPTEEVNDTEATEIAEIQNECADLTEDVKSNVPLADQAAPDEHAKAIEATADIPQVQEPELEDIKNSEHVEVEDNIIASDLPKEEIKDIEAMETEMIPDETTGANISELTNAVKSNVALAEEAAPEEHGTETEATIDIPQAQEPELEEVKNTEPDEMEENISATDQSAEEIKASEAMETEAVHESIDANNSKLAEDLEDDAAHEEHVIAAETTVQIPRAQEPELEEIKNSEPVEVKENMSASDLPAEEVNETEATENKVINKNTDANTSEPTEDANRNVAVADEAAPEEHVIATEATVDIPRAQEAELEETKNAEHVEVEENITATDLPAEEVEETEDTETEVISESTDANISEPTEDANRNAVADEATPEELVIATEATADIPPAQEPELEEIENAEHVEVEESITASNLPAEEGKETQDTETEVISKSTDANISEPTDDVKKNGAVADEAAPEEHLIATEETVDISRAQEPGIEEIENSEHVEVEENISASDLPAEEVKETEVISESTDANITEPTEDAKINVALADEAAPEQHVIATEATVDIPRAQEPELEETENAEHFEVEVNISANDLPAEEVKGTETMETGAISNSTDANITELTEDVALANEAVPDIHVDIPPAQEPALEEINNTEPVEAEDSITADDVPAEEINETKFMETEEIPHESTDDSLTASAPLADIQQAPEQEAVKDKCTDTTQNQTEPQQSIVSTAAEPTRTEEKPTVTELASDVQQVLERDSTEETTGIEDVHTEDDQQHGVSNLEKPVSENDVSESEPNVADQEVQEDKTAEVEDTAAMEAEEISTQDNIPTTENAAQESSEPGNDPDLLVQSEDIKEELLKAEETGQSNGATLEEQTAEDNAANETDPLADTKQVHGLESVKEYSSVDATEGEEASHPTSQDAGLEEIVSESNVATTEPTSDIQQVDDLDEAGEMMATEAINDEEISYPKTEAAPLEDPSPTDNGMSPKQNSVELDQETLGTEINNAEPIEQKDESSDIGEKTAFTTQKGESPTEEDDVQSSGDDTVGISNNIEQIKEQSKAVTEDDAIKSGEHTIDQDDEQLHSVELQLQVCERSVDVSAIEQPDDDVQKVNLGQQQKEDEVIKEQAEEIQRDEQKHDDSSTELTTETLLEHQSNEIGTTNWNEDTDMFEAEKTETVATEMLMNEQTPHIQQGSTPAIADAKVENSTEIKETPEQENAPNNTSTLYTDADAENHNEDEKENLETDAAVVKPSTDEQDGTTDETENPTVVPRNVEPKVHSEEKACINKANDGMQAIQASQEEIVDEVENKQEMQNEDTCVNNDEIQTKPLNEDASKLHSNDNTDIKMDDTISPFAEMTHGRIDAQPTEIEEVEENKGFRSISEYAVQCSNQNDVEHNLSIHPKVGIDTLNKFMRPNFQVVDDNLATTEQSDAEVETNSNEEMATSCTAVTEAVKFDEAINNKANGADGALSDENLETSEDNRRNLEASSAVTSLGESMNEGNEHHNLALPAHSAVDGNTAEQASGLEVTERGLLFPEKPLPAESEEHEESQTTKEQNEEDIHEQETSDTEKEEKEDEQSDLPVSNFLMNLIMGKESTKPDSNLETEAEMKQEETTKDDSGLINSQQEESLVPIPTEYKVDNKLIFEQGKHNLEGSEETHDFKLESDEELSRNTHELEAPVSQNNVQDEISGELVPGGPGLMTEVESRNVKLGERATNSVCQEHMEAATQIEGGLKSNIDDSTNPKASQKNTLEGTTDLRHESLPEERSSDAVARQALLATEPDTGDEEKLPNDTDDLKSPLSTKREESTESSIIEAESTIKAEQENEVEKEEEKHHTINASGSTGGEIENLHDDSQKRTDAISDEKMAEITELDIGTEINLVDEKEISAGSKCEDKKQSSYELPNSELDSSEKALDIQSDGSSLHINQDKQDEFAGDQIVMEKNSLLDKPGKSNLHEEQEAEFGQESPKESDGGGQNCLAIREPVIEEENVNRTVESHVQTVNTKSNEEQETYKPQVQERDFDVVSAKEALEAEENFVEMAKPELSTDAERSQKEDKLNMAGEKTYDEKTKDGEEAKSFTDEATTKIEEQGALQKASHNQTRDLNVVLPTKAPGSEESFVDIKTPEFSTDEEQSPKKGESKMAEEKSSDKRTNSDEEAKNFTDETPIKIEEREAGQKASPKKHNILSGVGSKVKHQLAKVKKAIIGKPGHTKSELAKS >cds.KYUSt_chr5.35492 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224291429:224291965:1 gene:KYUSg_chr5.35492 transcript:KYUSt_chr5.35492 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGTSGRPWWRGEAKPWVTLAFSSGLWPPGEVFLNKRILLQAYLLRLPPPIRGRNGESDGGQLCIDGVGEEFPAARCFSPSSPSPLSCAEVVRDRGVDWVSRSICQQWSWEAVFLSTTSAADDSRPTSKANPWPIQKPAKSSGESTSFVRPLLRSAAAYYVCIEASGSVPPLRTTAA >cds.KYUSt_chr7.29988 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186699317:186702682:-1 gene:KYUSg_chr7.29988 transcript:KYUSt_chr7.29988 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLACCCFLVALALACSAALGATAPRKTAPSKNPPRSSLQTFKFPKSGGKRLYSISCKKERGKPLCVMTCPAKCPNECLASCSYCMSFCLCDIFPGTSCGDPRFTGGDGNTFYFHGKKDQDFCIVSDKDLHINAHFIGNHNPAVRRSFTWIQAIGVSFGGHRLFIGARKAAQWDADEDHIDITLDGETVDVETFKGALWVSKALPDLSVTRTDNLNAVTVELDGVFSINANAVPITDEDSQIHNYGKTERDSLVHLDLGFKFHALTKAVDGVLGQTYQPDYVSKVDIGAKMPIMGGAPKYLSSNLFSTDCAVSKFTGNNVASPVVTYASSLRRRLRLPHPVRRRAPTVGTVVLRNPASREPVRVRGNQVFGERTGATTGITTSSSDDEFLHTDNFFPDLSDFFDNLNMGDNDAAAKQINSNSVAAATRPPIFDGMHYKRWRTKAVLWFKNLGCFSATDARPEGPLSAEEQEKFEKVDAMLRTALFSILGDNIVDPYMAFDHGKDAWDALEIKFGVSDAGTKLYVMEQYYDYKMTDERSVVEQAHEIQSLAKELKQFKCTLLDKFMAGGIIAKLPPSWRNFTTSLKHKRQEFSVSDLIGSLHVEEKARAKDTRACSFEGGSSANVVHKKNFQSHKSKNKNNGKGKFDEKNKASKSTNFKRKTPYKKKGNYHVCGAPGHWAPDCLERHDRRGNIGKSANVVIVVDTEMKDVGYGRKDFLRADGKWLTCFCSWCWYGGSEVYFGKDHPAEE >cds.KYUSt_chr6.1863 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11146504:11146800:1 gene:KYUSg_chr6.1863 transcript:KYUSt_chr6.1863 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVGDGDYWMGVAGLAKGLRKADSAYLLVVVVQSDMPESHRSILVSKAASPARSTNCERMDHGRTVEAYPHRDPWNRPPPRQSLGSNHAADARLRS >cds.KYUSt_chr1.37741 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230386976:230394241:-1 gene:KYUSg_chr1.37741 transcript:KYUSt_chr1.37741 gene_biotype:protein_coding transcript_biotype:protein_coding ESSRGASSVGVKLRRRWQRRRQQLGLGKWRVGDTWALDPGTRWVREWNRVYLLACAAGLMVDPLFLYAVSLSGPLMCVFLDGWLAAAVTVLRCMVDAMHAWNLVTQLRVARGATAAAAAARRRRGIADEEQAAADQADADADAARSVPAYARSRKGMALDFFVILPVMQVVVWVAAPAMIRAGSTTAVMTMLLLTFLLEYLPKIYHSVSFLRRTQNQSGHIFGTIWWGIVLNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCLLSGPPGCASGPVACAAPLYYGAASSVIVGGERLAWANDTIARSVCLHSGDRYQYGAYKWTVMLVANQSRLEKMLLPIFWGLMTLSTFGNLESTTEWVEIVFNILTITGGLILVTMLIGNIKVFLNATTSKKQAMQTRLRSLEWWMKRKNLPLGFRQRVRQFERQRWAATRGVDESQIVRDLPEALRRDIKYHLCLDLVRQVPLFVHMDDLVLENMCDRVKALIYPKGETIVREGDPVQRMLFIVRGHLQCSQELRNGATSRCTLGPGNFTGDELLSWCLRRPFMERLPASSSTLVTMESTEVFGLEAADVKYVTQHFRYTFTNDKVRRSARYYSPGWRTWAAVAVQLAWRRYRHRKTLTSLSFIRPRRPLSRCSSLGEEKLRLYTAILSSPKPNQDEDF >cds.KYUSt_chr3.42148 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266344505:266345638:-1 gene:KYUSg_chr3.42148 transcript:KYUSt_chr3.42148 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVRTWGAHGSSSLPEEILVWEILVRLPHKDLVRCRAVSHAWRRAASARDLLLEHHRRQPSLPLINRRVKPGAGEPRCWLHVAALDHEKLQPVARLDSLHVCVKASCDGLLLLAEGVRGTPTGMSASICNPATRQMGRLPQLKGYVAMGLYRHRPTGEYRLLLRWWFSRSSMYVLELDRHELPLRRIQCPPDASHYRLSSSSSSTPVLLHGNLHWTLDTLAVPRRTEIQVFDTTAESFRRMSSPDVPIRGADLFEMDGVLGMYSWDDAMRVVRIWAMQEYESHVWALKCSVELPMMPEMPLTQERRRSMMVVCEEGVVRVLVACGGLLLCVDTEGKLLASSRDDDHSLSISKQFLKTSLVSHDFFSTFQGDMNDR >cds.KYUSt_chr7.22682 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140720961:140727644:-1 gene:KYUSg_chr7.22682 transcript:KYUSt_chr7.22682 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAGDEAAAGKKSRHKDKKEKKTKRKDTTEHHGGEPEDEAVHKKKKKKHTSEKGDPKRKPTVSIAVAGSIIDNAQSLELATLLAGQIARAAAVFRIDEIVVFDSSPPEENGGGAEDEEESGARFLIRILEYLETPQYLRRRLFPMHKNFKYVGLLPPLDAPHHVRKHEWSEFREGVTLGGDRSKGTQVDVGLSKNVLVEQILEPGKRVTVAMGTNRDITTDCVRKVVPPSSPSEEMGSYWGYKVRYASNLSGVIDGSPYKEGYDHIIGTSEHGETIISSELILPSFRHLLIAFGGLAGLEESIEEDINLKGKGAKDVFTSYLNTCPNQGSRTIRTEEALLISLQYFQDPIRRAG >cds.KYUSt_chr3.47806 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299565018:299566160:-1 gene:KYUSg_chr3.47806 transcript:KYUSt_chr3.47806 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNRVPDPRSRVRFAAVCRSWFATASQHPAPPALPWLLLLPRDGDRMKRLYCPEDNQILCVPPPKGFIHHWFIGCHEGGWVASYEPGPFRIVNLFSGFEVALSEKQKWIPRSGRLCKTMIWKIIFSKPPTSSDCILAAITDDDYSLALCRVSCPDGGWMTQEQRHRVRLADITFLNGELYGLTPRYSWDLVKFELGVNKDGAPVVRGVHYPVVRMDHQVPPHDWHNQVDVCYIFELNGKLAMAVRFPWSPNFRPYFKVFNLIDVGTGEGMAYRTFKWEEVKSLGDFALFLGQNCSRAVHVPEDRLGGVQRNRIYYTHRRCLGRKVVPEDELVFLAMSNDDGDPVYFREDDHYGVDMIRAVGYYARRDPYPPMWLLPPDI >cds.KYUSt_chr4.28220 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177340110:177343097:-1 gene:KYUSg_chr4.28220 transcript:KYUSt_chr4.28220 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGFEGGETFRLSAAAGAGALKLHKGDITLWSVDGATDAIVCASAPSHDPNPTLYPPRRAVFLYIKLLDHNLCKHAVKFLRAFELPVSRVIHTVGPVYDMDKRPELLLKNAYENSLKLAKENGIQYIAFPAISCGIFRYPPKEASNIAISTARQFSGDIKEVHFVLFTDELYNVWRETAQEMLAQFEK >cds.KYUSt_chr6.7308 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44442742:44447544:1 gene:KYUSg_chr6.7308 transcript:KYUSt_chr6.7308 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGSLPRPTSTTVSPPGASSESPSRRIPAAARGGQGRGGVAPERGVRREFVAEQGRQRLPVEQSGLAAAAGELSRARAAAEGGLCLHPRRRFSLLQLKDAGARFPFTPVWPAATTSYSRFPKTPAHYAAVVNCAPPNHLWPFYHPHPARGGTRKPIVAWVASADSVREPEDTASCCCCWLSSRLWLRLKRLARASTLSSCENCSSRYDHDVRRIGGDREDDDDASPLSATSPDQAASAPPGPPAPRPARR >cds.KYUSt_chr7.11338 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69660389:69662107:-1 gene:KYUSg_chr7.11338 transcript:KYUSt_chr7.11338 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTTAPPSAPAVVVPGGKGGHHVYVTLPHCSDVHVHGGDAAGAHCHQLKCQVGDGNAEHLPVTGGETVREAAALCRLACPIALTALLLYSRTALSMLFLGSLGDLPLAAGSLAVAFANITGYSVLSGLSLGMDPLCSQAFGAKQPRLLGLTLYRAVMFLLCCSLPLSALWLNMSKILIFLGQDREITELAQQYLMFSLPDLFTFSLIHPLRVYLRSQGITQPLTAAAGAAVLFHVLANYVLVGRLGLGARGVAAAASASNFVLLGVLLAYISRRDTALREAWAPTAEWLDGWGPLARLAAPSCVSVCLEWWWYEVMILLCGLLPDPKPAVASMGVLMQTTALVYVFPSSLGFGVSTRVGNELGANRPGRARAAARVAVVGAAAMGLAAMSFAAGMRHAWGRMFTADADILRLTAAALPVVGLCELGNCPQTVGCGVLRGSARPSRAAHVNLGAFYLVGMPVAVLLAFGLGVGFVGLWVGLLAAQVCCAGLMLCVVGTTDWEAQARRAQALTSSPSSSGVELPDSENGGGHASAAAAAGGARRPEKGEHQEGEDYEPLISNEEAEPGAVQVL >cds.KYUSt_chr3.33433 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209903225:209908205:-1 gene:KYUSg_chr3.33433 transcript:KYUSt_chr3.33433 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRIRSSQMCLDVRHGRVLINDDMRSRVIVWDPITDDRRVVAFPPQFSHMGIHSGAVLCAAGDRGHVHGACHSSPFKVVAIISNEHDDDLSDEDDDYEPKGIDISLRSTLVGNTLYWLLESTFMLKFDLEAQRAAVTGRFAGAPRGGNLQIIQAEDGTVGFAALCDFHYRRCLQIWDRKIDSYGFPTGVLRQTVELQKILGLESRIDGKSYILHYMEDVQAILLQVQSSVYMIQLESLQPKKLFESTDNCIYRPFTSFYAEVLALTMDLQFDLVLAPNYGLPMIQGIAVQQPRQYLPSPIATSWSDMTSHRCRPSSVVLSPPLEDDDLLHEILLRLPPQQSYLLRDSLVCKRWRRLTADSHFLQRFHLHHQRPPHLGVFSQPGWSIIFTPTLDSPCRIPPKCFSLRLNNWLGWDLAECRHGRVLLLNWRKHQVMVWDPITNNCSLVDFPPEIYIIYTVAALSANDKGHVHGSCQLWPFKVVLLRTYVGDGDQTVTGVYSSNTGLWGDLTSTVLPDKYRGICMDISSSSTLVGSALYWLSSSAIVAFDTDKQRLAVIVNPFRAKRVDTVQIIQAEDDGLGLAAFHGADYNQRCLEIWERNPNPYGVATWMLSKSVIPQKIFVNYTAMPHVVQYVESVLAIFVRV >cds.KYUSt_chr1.11668 pep primary_assembly:MPB_Lper_Kyuss_1697:1:71924832:71925056:1 gene:KYUSg_chr1.11668 transcript:KYUSt_chr1.11668 gene_biotype:protein_coding transcript_biotype:protein_coding MRHERSRALERLLAVSGGDLLAYSGGSMRLGSAAPNADSSPPPLPHMRRHGAGNASSYPVDSIAPPRLPSAPRD >cds.KYUSt_chr4.51886 pep primary_assembly:MPB_Lper_Kyuss_1697:4:322171076:322171354:-1 gene:KYUSg_chr4.51886 transcript:KYUSt_chr4.51886 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKDPPPPTPRRVVGEYELQEMVGKGTFAEVFRAKHRPTGARVAVKEIDRRRVDDYVRRGILQEMAILGSLSHPNILRLVDTIEASSSSK >cds.KYUSt_contig_2750.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000478.1:67974:68647:1 gene:KYUSg_contig_2750.3 transcript:KYUSt_contig_2750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAALAAVLLCAAAAMAAAQSATNVRATYNYYSPQKINWDLNTASAYCATWDANMSFAWRSKYGWTAFCGPAGPTGQASCGKCLRVTNPATGQQITARIVDQCSNGGLDLDYDTVFSKVDANGQGVNDGHLTVNYQFIDCGDN >cds.KYUSt_chr3.48760 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304768041:304769111:-1 gene:KYUSg_chr3.48760 transcript:KYUSt_chr3.48760 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSGKCGCGDACKCGSGCNGCGMYPDVEAAGSNTVLLVTAATHKAWVHSFTLKISICSSFVARENLLPEMDELEEEEKVEALRWHSEKQAITFGLLRTVGTTMVRVFKNIRMCNMTATMPLSSSPR >cds.KYUSt_chr2.43149 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268548306:268548662:-1 gene:KYUSg_chr2.43149 transcript:KYUSt_chr2.43149 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSDATYSASSAYNAQFFGGPARFDAMKVWGSGLPTLNPSVSCLAGLPFMKSFSPRICLPSGVVPMIPLCPLCLREPETADHLCKDCPYTAAKWNLVKSAPTIASTTTPYPSGGMT >cds.KYUSt_chr6.3854 pep primary_assembly:MPB_Lper_Kyuss_1697:6:22138088:22139023:-1 gene:KYUSg_chr6.3854 transcript:KYUSt_chr6.3854 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARCGVPGRRSPAGVLGSLLAVFLLLRLKWLAAVRTDASSNKVEAAAFFFLCALPVSFPGCGGSGRTWVWWGEEDGEGVAILVRVRGTGWWPVFLSPLIHPPLSGRGGKGMEMATARRKAASSLLRQRGYWLFEARHLLSNLLAGRGGEGEAGDGEAEFRRRRWPYPSTWLHHADDVVLVVASGRFGGLATSLLHLGAQHMDGKIAAMICGQEGQPSRRPVGASSTSMREAISRVSCRRFTPPGCEVICSPQPVVGGRRRRLAVGGDSHGLHLTSCYFSMVFFANLQDCFRGVFIVRSCVKIVPTVSDV >cds.KYUSt_chr3.5349 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30452389:30452844:1 gene:KYUSg_chr3.5349 transcript:KYUSt_chr3.5349 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRCNSVFDPFSLDLWADPFDAFRSIVPAVSGNSEAATFANARVDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKNDKWHRVERSSGKFVRRFRLPENAKVEEVKAGLENGVLTVTVPKVEIKKPEVKAVEISG >cds.KYUSt_chr1.33718 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204889891:204890691:-1 gene:KYUSg_chr1.33718 transcript:KYUSt_chr1.33718 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAMLLGETVLEIVQASQFARDIVAVADPPKTPNPVARTKKATAEQTPLRARRAKEKQSQRGGGAARAEAGTPPSRGRVRSRIQFKPASPLGRPSSVAANRVSPKNRPWAKKTVMFPNPAFCAPTSSSTAYASPSSAKKQKRFSSSRSPVLARQTPHKFLVKSPPSALGSKLKSQSKLLPNPRPVVDVSPPGKSRRCSFSPSRLATRLVSPIKARLSFVSPMKARRTSSHGGVGGGSSSSMMSGLKQRPVVSLTARTVSSRISS >cds.KYUSt_chr6.23240 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146780024:146784848:-1 gene:KYUSg_chr6.23240 transcript:KYUSt_chr6.23240 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFHQFQMMANDTNGNSRTDIRRNYQVVVAATREMGIGKDGTLPWKLPGDLKYFKELTMATSDPSKKNAVIMGRKTWESIPPKFRPLPGRLNVILTSSGSSDYAIVENVVVCGSLDASLKLLASTPYSLIIEKAFVIGGGQVLRESLNASACEAIHLTDIESTIECDTFIPPVDLSVFHLWFSSAPVLENNIRHSFVSFVRVRKSVAEVHNSNVSELPGNDTKNEKFEIQNFSFLPKSIFEKHDEYQYLNLVQDVIRSGVRKNDRTGTGTLSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKNIHIWDGNASREYLDSIGLSQREEGDLGPVYGFQWRHFGAEYTNMYADYTGKGYDQLLDVINKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYALLTCMIAQVCDLCPGEFVHVIGDAHVYSTHVRALEEQIKKQPKPFPILKINPLKKDIDSFVASDFKLVCYDPHQKIEMKMAV >cds.KYUSt_chr6.10936 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67737183:67742866:-1 gene:KYUSg_chr6.10936 transcript:KYUSt_chr6.10936 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRTPPVSLVSIVGCPEMHGPISAALSSQQPPMNTLALPDFAKTNVLSRTARNRDPLAPPQAVSGILKKDWLLKHRTRVPAVVAAMFRADQVSGDPAQWLQACSDLENLKSIIQGRHSKLVVILVQAQAGDELGEEVIVALRKRAEIDSKHLIVLVESDETERNKSLLKLRTIFAELCSTYYREEGRRIKSRIEKRNFSSVELSVRYCYKVAVYAEFRRDWAEAQKFYEEGVRVLREMIGTSTRLPPAQRLVEIKAVADLFYFKISTLLLHGGKVVEAITWFRKHIRSFERVVGSPEVAFLHWEWFSRQFLVFGELMETTSTTVPDTLSPRFGTADNALTEWEFQPAYYYQLAANYLREKRCALECPSSRANLTGDNEIPDSIMSSVYVGQYVRLFEQGDTISVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFLSLGATRMASSCSAGMAIEYYAAGEFGNAKKLFDGVAGLYRQEGWTTLLWEILGYLRECSRKLNSLMNFISYSLEMAALPVFSDSVQGYSENKSKCGPAGWPTISRREEIQQEVVNILEGKHTSEVADDEFNLELTEESTHLVIDQISPLRIVLVASVAFHDQSVKPGSPLPVSVSLLSHLPSPVTIDRLEVQFNQSECNFVMVSAQEDSSMLNSQGHGQVVHSPSLTLFSNKWMRLTHEVKSGQSGKLECLSVKAVLNKRLVVSCQAESPASMEDSPLWKFEDQVETLPTKDVVLAFSGQKLTQVEEPDAQVDLVLDSNGPALVGELFVVPVTILSKGHSVHSGELKINLVDAKGGGLLMSPTEAEESESHHVELLGVSTVTGDEVSKEEVDSIKKIQYSFGVVSVPTLATGESWSCKLEIKWHGAKSVMLYVSLGYSLDSSEDASLHRLNVHRSLQVEGKIPMIVGHQFLRPFRREPLLLSRVRSSSGDDKKDSLAMKESNMLIVSARNCTEVPLRLHSIAIESDDDGKQLCSVEQISGLSDEYAVVAPSTEYKAIFSVNPRASSPDFCLGELCLNWSRDLRLGENQDTHVVMKQRLPEVHIEEPPLVVSIECPPYAILGIPFTFYVKVHNSTSLLQEIKYSLVDSQNFVFSGAHNHAAFILPKTEHTVSHKLVPLGSGSQQLPKITVTSVRYSAALTPPTAATTVFVYPSEPKFNLEKSHSISDELVS >cds.KYUSt_chr6.9994 pep primary_assembly:MPB_Lper_Kyuss_1697:6:61556988:61557401:1 gene:KYUSg_chr6.9994 transcript:KYUSt_chr6.9994 gene_biotype:protein_coding transcript_biotype:protein_coding MERASSIVVSVVLVAVVGGVLFAVGVVLVAVTGAISWKIMACCSLHQALDSAPMVLVSIVRRAKCSSSFFVATLFLSSPIFTSLFSNILNHLHTGFLLRRGHDEGMVPSWITIMVAFAFFTFALSSLRHQSAKTTAR >cds.KYUSt_chr3.27053 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168839773:168844589:1 gene:KYUSg_chr3.27053 transcript:KYUSt_chr3.27053 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQHGILLAVNLISDHFGPLVAKVCECLLRHGALPLPEIVRRVRLPRGQTVEEALSLEKKVINTATLSDPERFSEIPYSMEDCTEDPPKPVSGAKRKHGAFEIDEELNSTIPENEVLWRANFEKFIFCLKKKFCADRKKSKLKVGTHIIWESFFEANLTDNDTNS >cds.KYUSt_chr5.35785 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226000321:226002168:1 gene:KYUSg_chr5.35785 transcript:KYUSt_chr5.35785 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVEARQEGHAPKPAAAARGYWRWSKEDFFPEPSFASWVAYRGALAATPSRLMDRLIAGRSTDAAELGDMRRRSENEMRRCLTWWDLTWLGFGCHLGAGIFVLTGLESRDHAGPAVVVSYVVAGVSAMLSVFCYAEFAVEIPVAGGSFAYLRVELGDVAAFVAAANLILESVIGTAAVARAWTSYLASLFNRPASAFRVRAPWVAEGYDELDPIASAVIVATATMAMLSTKGSSRVNWVASAVHLLVLAFIIVAGFLHAKPSNLTPFVPYGVPGVFRAAAVVYFAYGGFDSIANMAEETKNPSRDIPVGLIGSMSVITAIYCTMALVLSMMQPYTAIDRSAAFSVAFGAVGMRWMKYVVAVGALKGMTTVLLVGALGNARYATHIARSHIIPPVFALVHPRTGTPVHATTLITAASACVALISSLDVLASLLSISTLFIFVMMAVALLVRRYHARGVTSRAHGRRLVAFLLAIVGSSAGIAACWGAAPERWAGYAALVPVWAAATLGVQLLVPVARAPRRWGVPLMPWLPSLSIATNLFLMGSLGAQAFVRFGVCTAVMMLYYVLVGLHATYDVAHDGSGEEPRADHGDAAGDEKAAVAVAATDVEKSGGDGGQ >cds.KYUSt_chr2.40198 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249618974:249619514:-1 gene:KYUSg_chr2.40198 transcript:KYUSt_chr2.40198 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIRVSMSCDKSRSKAMSMAARTAGVTSMGITGDARDQLEVVGDGVDPVCLVSCLRKKLGVAHIVKVEEVKDPKPEEKKEDPKPPVPVVCPPPPPCYPGPGYYHHPYPSQMVVCDEPSNCAIM >cds.KYUSt_chr3.43528 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274673988:274677029:1 gene:KYUSg_chr3.43528 transcript:KYUSt_chr3.43528 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTAGLCSRCLAPKHRAADCKNDIRCLTCNLSGHQERDCHLRRKNCNSQKRPPVQRTAPASTVSSPLAPGARSWAEVAAPSLPSAEPSASGDPLVHAFGTTQAAAIRSELNEIVRGAMAPLLAEAAALHDWNAKATRLLMQMGNLVKTPSDPNTDVTSLRASKDICDGICNGLASGMGCDHESASMEGQLQQFVPSAAGAASLCELEHVDTSQTNPSKEIFSELDEINAQGPIFPRNFQKSEEETKWGHEGIEYEDVRRSDGKLASGSVGGD >cds.KYUSt_chr6.2558 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14858467:14864364:1 gene:KYUSg_chr6.2558 transcript:KYUSt_chr6.2558 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTGHGGSSGKNLERLISRRALQAGGSAPCKTWVLGFLCGVCTLYLLGAALLPVIQIPLIQPVYPPLRRSILRNSSLPRHDGATAAYGTILLQQNAGAAGISENSDASSVIQLYNAWSSSLNATGGDPVLHGSATPHPPHLEECRRDSDKHRVFDGYGDDGAFPHWTLWRGSLGLELFNRNRSENGEPMRFRTSAKSDRPYPPWIAGSDEDNYPLTRQVQRDLWVHQHPPNCSDPSLRFLVAGWERLPGFGIGAQLAAMSGLLAIAMKEKRILVTSYYNRADHDGCKGLSRSSWSCYFFPETSSDCRKRAFELMQRKYSRDNDTVKVNENYTSKQIWVGKIPRSSTDVQVFSVRGYLFSEWRTETCLRRMWGEPWKFIQPTTDIHGRLIKSHRKMDRRWWIAQAVRYLMRFRTEYTCRVLNVARHSAFGMQAAKLVLEIVQSDPPEEVIDRAKIYPNWNFYFTNVTRQDGNMTMAAYEASLGRETGTNNPLVNFIMATKADFFIGALGSTWCYLIDGMRNTGGKVMSGYLSVNKDRFW >cds.KYUSt_chr6.9269 pep primary_assembly:MPB_Lper_Kyuss_1697:6:57175011:57176787:-1 gene:KYUSg_chr6.9269 transcript:KYUSt_chr6.9269 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGQRRPSLRAAAPVPLLLLMIVVSFGGQAAAAGGAPTWTTELQQHVAYFDTDNDGIVTYSETEAGLRRIGLGGIAATAAATLINGVIGPKTRPDNATTSHLDIYIENIQKGIHGSDTGSYDAQGRFVQAKFDEIFIKYAKTEPNALNQTELEEMRHANRGSNDFSGWAASKAEWDMLYSLAKDKDGFLQKDTARAVYDGSLFVTLAQKNGGSSGGN >cds.KYUSt_chr3.18155 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111514005:111515684:-1 gene:KYUSg_chr3.18155 transcript:KYUSt_chr3.18155 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMGCLLVLCLVSPLLLAGAVRGNPWYGGGLFPQFYDHSCPKAKEIVQSIVAQAVARETRMAASLVRLHFHDCFVKGCDASVLLDNSTTIVSEKDSNPNKNSIRGFEVVDQIKAALEAACPGTVSCADILALAARDSTILVGGPYWDVPLGRRDSLGASIQGSNNGIPAPNNTLPTIITKFKRLGLNVVDVVALSGGHTIGLSRCTSFRQRLYNQSGNGLADNTLDVSYAAQLRQGCPRSGGDNNLFPLDVVTSTKFDNYYFKNILAGRGLLSSDEVLLTKSAETAALVKAYADDVHLFFQHFAQSMVNMGNIMPLTGSKGEIRKNCRRLNNFH >cds.KYUSt_chr1.17658 pep primary_assembly:MPB_Lper_Kyuss_1697:1:102807550:102818776:-1 gene:KYUSg_chr1.17658 transcript:KYUSt_chr1.17658 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRNSLTAAVRPSGHSNSPRRRSTTGERPSVPPSARRWRAILNRVTWRSATKARASRQEIASIIAASAKPFTTTIADASRRSRDINPVSWFVPSCAAELKESPRGQRRGFKESHITMGNSASNGCLMKVEKKKFRWRIDGFSSLLDKCADHVQSSVFEIKGLHWYLSIRTSNMDVDGSTNFLSLFLHLSDMLPKNHGILVEVSFSLKDQANGNHRKFKGRCEFSRKAKGCGRGSFILLDDLKDTSKGSNLSCHFSSFDVVIDIRVVVPGRPNKGQSKKCKGAVAPVAWPVSPSPMQQTTFKWRIDGFSSLLDKDEGWIQSSVFGISGLNWYLKLNPRDRKSGDKSEYVSLRLRLDDFSVRSDTVVEASFKFLIYDQSYGKHYEHQVSHTFQSESTSSGTSCMIPLSTLKEQSSGFLVGNSCVFVVEFIKVVTSKANDTIETLFVQKTNNTFSDPDVYTWNIEDFFALKSRDYSPNFEISGHKWYITIKPSGSGDNGNFLSLTLNMKKPHTLPRNRAILVEFSICIKDQETGKHKKQTGRCQFGENSTKWGWSKFITLENFKDPSYGYLVKTKCCIEVEIAVIGSSKMDPITGSSKME >cds.KYUSt_chr2.41903 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260880804:260882212:1 gene:KYUSg_chr2.41903 transcript:KYUSt_chr2.41903 gene_biotype:protein_coding transcript_biotype:protein_coding MADETVEAWVVDVEKTLVDSQPEAEGAKWMAQSIYLVPARMKILGAGAYKPRTLSLGPYHHGDPDLLPMEVHKRRALRHVVRRSGKTARQFVAGVEEVAEKLEGAYADLGDEWRGPGNRSRFLQVMVTDGCFLLEVMRMAAAGEHVGDYAPKDPVFSMHGLLYTVPHIRLDMVIMENQLPLLLLQKIMALENVNTNLTDEFAINRMVLEFLSTMLQPLPPGIGLALHPLDVIRRSFLSGQDQLTSITQGQGYSIPSAFELYHAGISFKAGSTISPRCISFQNGVLTMPRFVMDDSSEYFFLNMMAFERLHADAGNDVTEFVQFLGSILLSAQDVKLLCSEGVIENILHEDEAVVGMFKRLATDFVVVRGSAIGHLYQQVYMYIWNNPCRGLTYWFTQFVNTYFHTPWKAISLFAGTLLLMLSIVQTLYAVLSFYRKKD >cds.KYUSt_chr1.11403 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69884045:69888784:-1 gene:KYUSg_chr1.11403 transcript:KYUSt_chr1.11403 gene_biotype:protein_coding transcript_biotype:protein_coding MEANRSKSSTTGAEVPSLLANVEVSHLDGFDTTTPAPSPHPPLSPRTQQQLNQDDATRPTGRVRPLDRVTHRSDAAIPQFDSPFQPPGSRHAPHVSISLPSSPTGFSEHHLPPHAGGDGAELHRLSRTSAADEAERPPMHHAGRTSKVMFRSQPIPGGVPAHMGGRMNSRAGRTMNRGRYDSFKTFSGKLERQITHLAGGGVPVNTPEEEEVGDAISGERTASLAPNVDRFFAALEGPELDKLKSSEALVLPSDKKWPFLLRFPVSTFGMCLGVSSQAILYKTIATAPPTEFLHVSLKVNLVLWFIAVTLMSIITAVYACKIVFFFEAVRREYYHPVRVNFFFAPWIACMFLAMGVPPSIVAELPGWLWYALMAPMLCLELKIYGQWMSGGDRRLSKVANPSNHLSIVGNFVGALLGASMGLKEGPLFFFGVGMAHYAVLFVTLYQRLPTNATLPKDLHPVFFLFVAAPSVASMAWAKITGEFGVGAKLAYFIAMFLYASLAVRINFFRGINFSLSWWAYTFPMTGAGIASIRYATVVDNTFTRGLSLGLSLLATLTVTGLFATTMVHAFIFRNLFPNDIAIAITHKKIRPIMELEQRSNDDDSRSGSSTTTKDIEAGPTAAQLDPNNHQNIIRTTKPRPFTNFTSVIVNHRGLSLGLSLLATLTVTGLFPTTRVHAFVFCNLFPNNISIANTHKKIMPSTHSTPCCKDIHLMDPMICVSVLDGKPLDMSLLTTLTVTGLFATTMVHAFVFRNLFPNDISIAITHKKIRPIRELELATRRRQLLRHQHHQGHRDHHRSVFRLVVPLATDAAAHTAEQGAGARGIEEEGPEELIFEDDADGAAKARPTAVVDDFILMPEADEVVDDKDEDGHYLPIVDATDFLHVPEPDTFILQAADLGRGPNRVSLFPSADGARVAVFASHLDHEHAVSNGHFIGTEASVFFRRFDKTDQRFIFEHESVAAISISRFPFEHWQRQHISHSSGPYANPPSMIPFALLELIYRLSGPVTVKAETITSIPMNLAIKNY >cds.KYUSt_contig_319.190 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:1402509:1408554:1 gene:KYUSg_contig_319.190 transcript:KYUSt_contig_319.190 gene_biotype:protein_coding transcript_biotype:protein_coding MGNISQSPAMGNLQDFLCAGEMLLHWFSLQDSPLFSFIDSLSPIEPLKSAYSGNSLQAYHQSLNITSVSSIFTSPHHNAQKESKLSKSSFADFSENELCVDGTDKDKPSSSSNEVRLLACTSTITQQSQTITSSVNEGVVDLPQGPNDLPQRGRFYSGSPDHNTTPCHGVRSDLKQDKSRKLEAIQTAKNTLEKRKCLFSTDMQLPDGCQPANDNEVLGCEWDELVSVTSGELLAFDSSMHQDHRGIQLAVNNAESCGYLLSRLAGDGDIADKTHPSASSQAYYQEMVMGEDKAENAQVFPEDMKTISAEEIQDNLNEENACNPLDCKVETQQRGVRRRCLVFEASRYPHKTAQKESVANFPFSTRKGKSPAQSHTNPAKTPSPHVFRGIGLHLNALALTANDKIARQNPSTTALVPSLKIEPDLHGNVLSAGDNFIHSGAGLLDLQMNNDDCSAGGFLENDHISSQSSSPPKKRRKSDNNDDDSCKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRLSDAAQETQEDPNNTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSTNCRCETCKNTFGIRDGAVSVENEEMKQVGEQTESCGKEKENDLQNANVQSEDHKLVELAVPITPPFDVSSSLLKQPNFSNAKPPRPTKPRSGSSSRSKAPEAVHCRKISKVAESVFAEEMPDILKEASSPGIVKTSSPNGKRVSPPHNALSISPNRKGGRKLILKSIPSFPSLLGDMGSGSAAGNADSTLSASPLALVLGRPVPPASLSPKRSAPFPPPPTLSVAIAIVTVAANSIRVPCSAGHMHKYIPEIPKFGGQQASSSAAFAYMSLPFLFQLTGDG >cds.KYUSt_chr5.1341 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9261338:9263021:1 gene:KYUSg_chr5.1341 transcript:KYUSt_chr5.1341 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRSKCSSVGRTLMGSIGNNLYGGVNSSVETVAGSSRCDAISQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDMIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKKGRSDGSEVQFDDNAIVIVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >cds.KYUSt_chr1.30862 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186903191:186906684:-1 gene:KYUSg_chr1.30862 transcript:KYUSt_chr1.30862 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPTTYVVYKGRVPGVYDDWEDCRRQVHRFSGNSYKGYPTRVEAEGRYARYLAGEMRDMRRNRMKTMAFVMMDYTAKLSDFGLAKEGPKGDDTHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLTGRRSVDKNRRGREQNLVDWARPYLHRADDRLHRIMDPGMESQYSTRAARGAAAVAHSCPQSLPKARPRMRDVVEALEPCSRRVRARARGGSSERHRGRRATVVSSFLDLYRNHGEAATATMAGQRACVGDGNHAWRAAANDGGRRGSKDGERRRRRISSNTLSANAMRPAGVAGERTFCGVGEADGGVGEVVSRSRARGGGRAAAAQLAGGGGGEGRPGALTFGFRRAEEGRAAGGGMGDGDGVSAGGAEDGDTAWATATACVGDGDGVGARRFCV >cds.KYUSt_chr7.35097 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219261341:219268009:1 gene:KYUSg_chr7.35097 transcript:KYUSt_chr7.35097 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIGAHGVETLKRYRYSGQDHSVVAKDVLQPFWEPLRHPLPAMDAVSPFCNSSVYVECRGCDALACAFEALALGSTLMCGRLTFCFWIVAAFHFIWQHGNKIPLYVIVLILMILFAVIPTVGSKTPLADELLVLVLYTVYTVGLYLHLAVSVCHEIKLALGIYCFRITRKEA >cds.KYUSt_chr2.37351 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231291236:231291559:-1 gene:KYUSg_chr2.37351 transcript:KYUSt_chr2.37351 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEYMVDNGIEVAVESHEVVVCVEPEVVSRREMWAVASGLQGMATEEALLGDTEVVPGMESEVVVCVVPKVVAGTENEAVVCCKLGVVVSGDQGMGSEEVVCMEM >cds.KYUSt_chr2.28937 pep primary_assembly:MPB_Lper_Kyuss_1697:2:177904576:177907146:-1 gene:KYUSg_chr2.28937 transcript:KYUSt_chr2.28937 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLFPAPYSKAAAAAAAAAAADSFPAARGAPLSPPCLREVTPRKAPPPPKVEPRCCTTVPSTIPDSTKSFPDKLKDLKDRKKTLQDMEKDIEEHEEMISKALDDRTVANDLFRKRLDDRRKLLAKISNVPDDYPETHNLLDVLAKMNDMVDGLAKVRKMRVDYDKDWAIQEAKTRVFDEQMKMLRKKREDYLTALRRYNELARSTLEQEENQEKDEPRCCETVPSAMTDSTKSLLGKLKDLKDLKDSKKALQDMEKDIEEHVETIRKALHDRTVANDLFSKRLHDRQELLDKLRNLQDDYGKARNMRDGLAKLSKMEDDYDRDQAIERAKRILIGEQMEVLREKQQDYLSALR >cds.KYUSt_chr2.11814 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75188453:75194549:-1 gene:KYUSg_chr2.11814 transcript:KYUSt_chr2.11814 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKKNKVILAPPLPPELDEDDIVVSDDDVEFVEKNPQQARNLADLDKDAIDGFLKRIADHDDDKVELLYEERERKRRAAEALKPRNGDDIEVDPVDALPVKTLDGKVVYRPAKKARSGDEANGVKSRSEEKGDGATQGSQKEPKGRSSKKEASKLQNLQSPTEVPKENLHSVVLEEVKEELSSDELFEKKKAQLAELGMALLEDPESNIRSLNDLLILCNDMDQKVVKLGIMSLLAVFRDIIPSYRIRQLTEKELAVEVSKEVKKTRYYEYTLIRSYKAYLQKLISLEKQPYFYLVAVRCLCSLLDAAPHFNFRESLLASVVKNLSSSNDVARKLCCEAIRSLFRNEGKHRGEATIEAVRLIAAHVKLHDCQLHPDSIEVCLSLKFDEDLGKDDSKEDKLKPKKNKRYQNRDVAKPSEKKKIKKEMLSKARQEVRADLRAVSFTLDPKEKKMIQRETLAALFETYFRILKHSMNNSRYKATSVFPGGSHPLLAPCLEGLGKFSHLIDLDFMSELIACLKKLSGYTDHQGEIPPDNALSVSERLQCCIVAFKVWRNNLEALNVDLQDFFVQLYNLILEYRPDRDHGEVLADALKTLLWEGKQQDMLRAAAFIKRLATFALSFGSAEAISALITLKHLLQKNTKCRNMLENDAGGGSLSSLVAKYDPEAKDPYLSGALATVLWELSLLQKHYDPSVSGMASNVLSMANLNATQNPVQLSSANPLEAYKDLSMGLELSKPAGKVLTLKCKRKRRSKEFVALSPDVLQKADCTVGEDELREKLQSHFAVLRGISENERLRTELNHTLSSINMYKEYKKQKKQSKKSKTGRKKVTRS >cds.KYUSt_chr5.17015 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109638373:109641530:-1 gene:KYUSg_chr5.17015 transcript:KYUSt_chr5.17015 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEDEDRVAEENEDGGNPALLGSCNDRAKQLHASPSGRLLTALIGEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKNGPEGSRSAESGPMLLDVLEGYLKYENLSQTRMAGRRMVNSESDPTLNNDNRNMRRPPSSSSVGGMPPMGRQMPSSQTSGIQAMVPSSICKVGWCAVGLLGIPLGFCIHF >cds.KYUSt_chr1.39854 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243947321:243948496:-1 gene:KYUSg_chr1.39854 transcript:KYUSt_chr1.39854 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAAARRATAADNASAEAQFLVGVKRLEEECWSSWLRTTSSYGSSERLFPVWAEGNGSDTDLAALLAFKAQLADPHGIL >cds.KYUSt_chr2.54014 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337183296:337183541:1 gene:KYUSg_chr2.54014 transcript:KYUSt_chr2.54014 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKAKSSARGAASQGKKQLPVSVAAVAEKRRAEKAEEGLRTVMYLSCCGPN >cds.KYUSt_chr3.1601 pep primary_assembly:MPB_Lper_Kyuss_1697:3:9116205:9117356:1 gene:KYUSg_chr3.1601 transcript:KYUSt_chr3.1601 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSSILTHLLYSPATSLGSSVQRLLSAAAPRIPPSPGFAVDYLVENCGLTRPQALKASTKISHLKSPCKPDAVLAFLSGLGFSTADIAVLVAKDPQFLCTRVETTLGPNAVELTALGFSQTEIASLVSLIPASFRRRSIVSNVPYYLSLLGSYENLLRVLMNSSTLLFVSLEKVVKPNVAFLRECGLDHCDIAKLCISWPRLLSANLERVHAAVACAESIGVPRGSGMFRQALRAVSFLSKEKVAAQVDYLKSTMGWSDAEVGIAISKLPMLLVRSKGILHILSEFLISEVGLEPAYIAHRPALLTYSLEGRLRPRYCVFKFLKENGLLGRDRSYFSAVTVTERVFMEKYIIPHDEAAPHLAEDYAAACKGEVPARFIFA >cds.KYUSt_chr5.12862 pep primary_assembly:MPB_Lper_Kyuss_1697:5:83891640:83893369:1 gene:KYUSg_chr5.12862 transcript:KYUSt_chr5.12862 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLAVQETRPAVPGEDFDFTTTETDAAFLVLAHLPGYGKDEIDVRVGDGGREIGVVVGARKNYGGGLAVEAAAAGRRLRVAHRRTVEGFRRTFDVPPGVEVGRITVGFEEDDELLVVIMPKLRQQAPPEEDDGEARLDVESTDWEYASSDATEVDLDDASSVELEQEDWVDVESSESEPESPRDLPVETPVEIQEPEPPRDVPVETLVEVQGPDPVVTEVAVETPVEVEEPPVVDIECDVVFEVPVYTELPVETPIEVLVPDPPADDVTDPPVDIPCDVEFDQPFEAPPAAVEEPKPPPVAETPAEEPEPPSSPPVAETPAEEPEPPSPPPVAETPAEEPEPPSPPPVAETPAEEPEPPPPPPVVETPAEEPEPPTPPPVVEAPAEEPPPPPVEPPAEVLAPVDPPPVEDPPRVETPAEDPPPVDPPPVEEPPPVEPLPVQQPPPVEPPAEKPEPKLEEKPLPSPPSEPENSNDSGTGSESDNDAGGRGNGRRRGVGRGRRRGRRRGRFPLGMAVAPAVILLAFAVAVARRRRQQRGG >cds.KYUSt_chr3.48046 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300851666:300853237:1 gene:KYUSg_chr3.48046 transcript:KYUSt_chr3.48046 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGLRTATAAMAPSSRQEQQQPSSYLLELAADDDLQGFRRAVQDDNLSLVASSSWYGPSPRANNALALHLRTPAMVAALYGSTHVLSYVLSIAPSEAARASATDGATPLLLANAGRAPSAPAAARLLLAAGASPSPSTLLPHHRPTSPPKQPSSPERKKKDYSSSTTTNPATPTEDINAGVFATDEFRMYSFKVNPCSRAYTHDWTECPFAHPGENARRRDPRRRNYTCVPCPDFRRDPAACRKGDACEFAHGVFESWLHPAQYRTRLCKDEVGCPRRICFFAHGARQLRAVNPSAASMSSESANFSSDKSAFSSSPPPPAPSRRPALTASLSARDLDYDADHYATTTRRMMMARATSPPGYSPDLDFDTTTSRRMMAARANSPPGYSPDLVAAYAQALSSLQHQQQQARNSKPLNSRAAAFANRSQTFVHRSPAPAPSPAPRSFASPSSVLDNWGSPDGKLDWGVQAQAPAAELRKSTSFGVGGRPHASVRRDQDMYSWLNDGGGDVLAAARWSDLEQMVA >cds.KYUSt_chr5.7911 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49895169:49895420:1 gene:KYUSg_chr5.7911 transcript:KYUSt_chr5.7911 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGSPGHPVPLESNRGGEGQQASSCYARSTRTCALRWLLVGDEKRSRELEVESWTGQWLSGASSLLGISLASINGLAGGWS >cds.KYUSt_chr2.54148 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337962149:337969449:1 gene:KYUSg_chr2.54148 transcript:KYUSt_chr2.54148 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLFIRGGWLCPLPSFLLRCVFLQLQFDVVVALAMVVVSAMFPAVSNGFPFGSLAPSFPLCSWGNSFASRRSVVQIEHKLEEGVRLRRRRILSRRRPVEHDDFIVLCPVWLLVADAEANAVANADADTDAADLGNGSSVPSGFNPEGSHTSSSTPSSAFEFVYNATRQVTSWASCDRKRKREDEGPAHSTLLTIEVKHDISKRINRIVNHLCTIGNAVQRVLQLVIAHPITTPSESRIIARNARMTTSVPIESKVYGRDAERDMIIDMLINKGCNDLNVLPVVGIGGVGKTTLARYVYCDQRTTDHFDLQMWVCVSTDFTERRLTLEILEHVCKDRQEYENISNFNVLQEILLKYIRNKRFLLVLDDVWEDRDKSGWDELLAPLRHSQVSGCMILATTRRKSVAKLLGTMIEVELNGLDEKDFWLLFKAFAFGNEKYEGHPSLQSIGKQIAKALKGCPLAAQSVGALLNTCVSYKHWRTVQDKWKSLQEDADDILPILKLSYDYLPVHLQRCFSFCSLFPEDYQFNGEKLVCAWISQNFVQCEDPTMRLEETGQKYLDRLVDLGFFQKVGLRYVMHDLMHELAGKVSSHECATIHGLKAEAIRPRVRHLSIITTAFDKDRGSFPTEMFEKVLQKIGPLPKLRTLMLFGRSSMSLLESLRTLCKEAKCLRLLNCFVTGADISSIISLLNPCHVRYLECVCVASTQTWLYRVYKYNVSPQALTRFYHLQVLDVGVSGNFVVPTDMHNLVNLRHLVSHEKVHRAIACVGKMTSLQELRFKVQNVGSFGTRQLQSMNVLVVLEISQLQNVKTKEEASGARLLDKEHLDKLSLSWEDSSMSLQPETAKDVLEGLQPHENLKTLEITGYGGATSPTWLSGNFSVISLQILHLEKCKEWRILPTLEMPFLRKLALIRMLNVMVVAVPSLEELILTDMPKLEKCVGSYGMELTSHLKVLIIKNCPQLNECTHFQSYSSFDAEQKSWFPSLNKLSIEHCPQIIKWEMFPLREMAALKELELMGLHDSLEVLELTACPAIKYLSISELSETEGTSSLVSGVMTSSQDEHQLKLPYNLLCSLKRLWIQRSLDLEFVGGNKHFAGLTSLTELVLQDCPKLVSSLVGHMGERKDDGNMDVGLLPPSLEGLSISSFQENYQSFTPEGLLCLKRLSLANSPCLKYVQLHSCTALEELRIWGCVQLAALEGLRFLTSLRILHMEMNPDLSCTWELKLQEQENDGSQIQLLPPSLKELRIWMLTDRVQSGLLSCLPNITRLMISRSPELTSLQLRCCTTLQDLEIRNCEFLASVEGFQLIRSLTSLRLSYSPSQPSCLELVSHQHGACEIWSRLRILEASDASVLSMPFCKQLTSLTHLQFTSWDSEPKDSMVSLTEEQERALQLLTSLQDLTYWKHPKLLSLPANLHNLTSLESLSITHCSSITRLPDMGLPPSLRHLQLSCCSEELGSQCRMAATEKLKPSAMHILLRRENSSYKKPDHSSSSTVFIRCFDSALGEDQIRSSLLQHFSSGGEITRVSSPKDYETGASKGEEDHFR >cds.KYUSt_chr4.3815 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21787771:21788316:-1 gene:KYUSg_chr4.3815 transcript:KYUSt_chr4.3815 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPRRHFVSLVVVVLAVSISFRSTAAITVDEACRKYTKHPSYCTHALSAKAGPPETTLPALAEQAVTLAAESGGSAVLFVKNLEKMPGGMPLGCLERCVGKFQAAVAELTLSRVAIVEHRDVARVKAWVKAARADGETCMDGCHTEGGADPTIIHRIGDLGKLCSIALALTDAAAHNS >cds.KYUSt_scaffold_1700.427 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2734017:2736585:-1 gene:KYUSg_scaffold_1700.427 transcript:KYUSt_scaffold_1700.427 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADEERPLLHLLPHLQDGSSEYASDGSVDINKQPALKRNTGNWRACYMILGVEFCECVAFFAIAKNLVTYLTTVLHESKVTAARNVSAWVGASFLTPLIGAFLADTYLGRYWTIVVSLPVCTVGMLVLTVSASVPTSYYRAGVHHIVVYLGLYLAAFGSGGIKPCAPAFGADQFDIGDPMELAKKGSFFNWYYFLINLCSLLSSTVLVWLQDNVGWGVSFAIPTVLMVLGLAVFVSGSRVYRFRKLGKSPFISLCQVMVAAARQWRVELPDDNSLLSRDMLPWSDSFNGNGLSYGKLHWRFLDKAAIVLPPSDKTCTVLPTCSWKLCTVTQVEELKILLRMFPIWASFVIFYAVSGQLTSTFIEQGMVMDKRVGPFAIPPASLSIFGVFSVLIWVPIYETVLVPLARRYTSNEKGFSQTQRLGIGFAMSMLTMVYSALLEMKRLAIAQASSLEDQNVPVPMSILWQAPSYVLHGASEVFAGIGMTEFFYDQAPYSMKSLCAALAQLAIASGSYFNTLVFGVVAVATTRGGAPGWIPDNLNEGHLDYFFWMMAALSILNLAQFMHYSLRYREKTTS >cds.KYUSt_chr2.52395 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326931830:326937844:1 gene:KYUSg_chr2.52395 transcript:KYUSt_chr2.52395 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYHHQHRFAGSGDAPPPPPPQQQPAPPSHWHPGPAPPYHPPHPYPPQHHQWGPPPPDHQHQQHPQPPPPYAYQPPPPPPPAPGNPWPPHHAAAQPPPPGQAWPSHSWAQNHGYPGLANEDDWATKAKEWAAAKSVVTENHQIQQHAIPHHYGQNDQYQQPAGHPPIPQSSNDQLPFQMTGQQRETNYLQDRGPMAPPLKNFGPFPSTYEQEVSYNYSSAPGNGNAMHQYPSPQAQPSLTAPSVQDGFPRGPPSVPAHGVQSYRMMADPSDQPLEFDSRKAPDMAVHQTINISSTVPAAMSEHGTAATSTQSWGPSASLGFYPQAPVPPQAPQMDPSLHTGPLFGALSGSNYVPPAVFGVGSLTEAFPTDANPLFAERSKKPPVPNWLREELLKKKSTPMSASVQHSTNSDSMESEDAAEPPKIANETDSRSIGSAKSIEDDEDDEDEIEKARTAAINHEIKRVLTEVLLKVTDDLFDEIATKVMNEDDSSDKMNETTGFSSSKDPDLRESKVKTTAKVVVAAKPTSVSSTNRSDGAGLSSPKGALLGLASYDSDDEDDDGEGDGKSLISNLSSEIKVGAAHPEESEKKFDGEQPTGNTESIASVQSVSGDNHKSSDAGSRGRPNAESGKERSIHDTQNGEATTSIQPMGVIHKTSEKAHGRTEIDLKNGKPSSGHHSENNNNVESTHRHLERNSHEEDFKKEVKVGNGKDSESSRSDKFGDSDKHGVHGSIDKKGSYKEEKGSGRYTKHGSDRWDDAKEYRKDARVGKKDAADRRGEKGNDGNDDRSRQITRSSASHSSRRSRSPSGRSRTRNESSSHGRGSVSSDEPSDNAKR >cds.KYUSt_chr1.34987 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213156921:213157627:-1 gene:KYUSg_chr1.34987 transcript:KYUSt_chr1.34987 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQEQASYRVGEAKGHTQEKTGQVMEAAKDTAYEAKDRAAGLAGNASGQGQGATEATKQKAGEAKDKTAETAQAAKDKAAGTAQAAKDRTTETAQAAKDKTVESKDQTGSFLGEKTELAKQKAAETAQYAKDSAVAGKDQTGSVLQQATETVKGAVVGAKDAVVNTLGMGGDNTASNGTAKDTTSVTEKITGDH >cds.KYUSt_chr1.19328 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113473254:113476100:1 gene:KYUSg_chr1.19328 transcript:KYUSt_chr1.19328 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPAADIPPPPPPPPPPAEDTPTPIDRDVWLACATPLSRIPAVGSQVYYFRHGHSEQCPVPPPPSLLPDIFPCTVAAVRLFADPKTDEPYATVSLVPGPHRDPADAAPSQDHPHRGFCYYAKQLTQSDANNGGGFSVPRSCADLVFPPLDFAADPPVQTLRMRDLLGAGWEFRHIYRGTPRRHLLTTGWSKFVNAKLLVAGDAVVFMRRPDGDLLAGVRRAPRYPAACQGAAPGQRGRPHNARARVPPQEVDDAARLAAEGRPFTVTYYPRQGAGEFVVPKKEVEDATLPDWNPGFQVRMKFLDAEERRSEWINGVVKAVDKGIWRMLEIDWDESSSVPLRNRHVNAWQVELVGYPPILKKFKYNGTTAGLEYQNLAMLLDSPPVPAGMQGARHIGPTDQFPSSSTTEFTTQLLFPQDRQIPPSSSGGSSEVVNPEEIGGSPPNNSVNMPPSEFPEEVRSIQLFGTTITSPVQNATNGSSEEVNQVPDAAVADKTANEDASSTSPFDLNLGTDDGPSQNDSREEA >cds.KYUSt_chr6.340 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2138756:2139949:-1 gene:KYUSg_chr6.340 transcript:KYUSt_chr6.340 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMVRVRPRKTSAIALPAGGAPLPEPGRRVPLSPFDAYWVTLPPVRRVFLYRSPPSLLPFTDVVAALRSSLERVLPAFHPFAGVLTSSPDSQELSIVLPDGEGACSVALIEAETDLELGRAVSAVAWLGSGQPARLGSIPAGDDFQWCACVWCECGVELVHKFRYYNCNTDRGVSKKKTDLEFDRLVEEYDEEALRQLAPDIRRDELPAPVMAAQVTEFVGGVAVGVAVHHSAADGRGLWRFLEMWSAAAAGVMEGQVWPVLVGPEPLHDRRLVRFDGDDDLARLFLRQLAPDFPRVSVPSVSTSQLIKKRNRHDKFRSYYY >cds.KYUSt_chr2.51940 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324273253:324273831:1 gene:KYUSg_chr2.51940 transcript:KYUSt_chr2.51940 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRLRLTAAESTAVVVDDTEDLDLVDLDRAFVGKVLAPNKLHVQTISSALRPAWGNPKGLTFNPAGDNLFVAEFGSKADRDRVMEGSPWTVGRHAVLMKKYDVEVQPQMVVFDRFAIWARILALPNRLMNAQRGLEIAKPIGLVKKVECDDLGRCWGTFMRMRVEVKVEEPLLRVVTVFSSKLQAAECFAM >cds.KYUSt_chr2.54974 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342723414:342724073:1 gene:KYUSg_chr2.54974 transcript:KYUSt_chr2.54974 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEVVDGQNAAAAFRWRWFDAARYVLALAVTALMITVIAHAVHVLLRPDDLFLQVDKGFVAVKRGTPAWNLSFALDLEVINPSGRVAIFYEGVTAYLYGSANEASQPEYFVSMDVGDISLRQQSSEFEYLHTFADLNSPDYKAYFDLFKSSGTMGIPDGVVAVNGTLTVGLYSWRNQTPIVATYYCWPVAIGGDSSDVTAGSARCTDDPPRREASLT >cds.KYUSt_chr2.46243 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288833585:288835761:1 gene:KYUSg_chr2.46243 transcript:KYUSt_chr2.46243 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPSRAAIGPVLQRPMADVRSQYNLERKLGSGQFGTTYLCTERATGLKFACKSVSKRKLVRRADVEDMRREVTILQHLSGQPNIAEFRGAFEDTDSVHLVMEFCSGGELFDRITAKGNYSERQAAAVCRDVLTVVHVCHFMGVMHRDLKPENFLLASPADDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLHRNYGKEIDVWSAGVILYILLCGSPPFWGETEKGIFDAILVGQLDFSSSPWPTISESAKDLIRQMLNRDPQKRITAVQALEHPWLKGGASDRPIDSAVLLRMKQFKAMNKLKQLALKVIAENLSPEEIKGLKQMFNNMDTDKSGTITVEELKIGLTKLGSKISEAEVQKLMEAVDVDKSGSIDYTEFLTAMMNKHKLEKEEDLLLAFQHFDKDNSGYISREELEQAMTEYGMGDEANIKEVLDEVDKDKDGNIDYEEFVEMMRKGIYT >cds.KYUSt_scaffold_6468.398 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1971333:1972376:-1 gene:KYUSg_scaffold_6468.398 transcript:KYUSt_scaffold_6468.398 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGLVDWLKEVRDSVMLRDLLGVPGSASVVCKWGQQHSTMYGNRPAGVLLLLTALLVVAFAYPAFISHDAEAETRARLCTASRLDDDVGQELNLGSITYPVYNPN >cds.KYUSt_chr4.41204 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254710304:254712293:1 gene:KYUSg_chr4.41204 transcript:KYUSt_chr4.41204 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGAHGDSAGRWSLHGRTALVTGGTRGIGRAVVEELAALGAVVHTCSRKEAELGERLKEWEAKGFRVTGSVCDVSVRDQRELLLRDVADRFGGKLDILVNNVGTNRAKPTTEYSADDYSFIMATNLESAYHLCQLAHPLLKASGSASIVLISSVSGVVAVSSGSIYCMTKGAMNQLAKNLACEWAKDNIRTNSVAPWYIKTSLVEEDLAKEEFVDSVAQRTPMRRVGEPEEVSSLVAFLCMPGSSYITGQTISVDGGMTINGFYPTKD >cds.KYUSt_chr4.37526 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231241486:231241983:-1 gene:KYUSg_chr4.37526 transcript:KYUSt_chr4.37526 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGGKYAGLDHPAGAGDLRPAFDVLDADRDGRISRDDLKSFYANTGGATSDDDIAAMIAAADADRDGFVQYHEFEGLLGRATGAAAKGASGGCTSAMEDAFRLMDRDGDGKVGFEDLKAYLGWAGMPVDDDEVRAMISVAGDGDGGVGLEALARILAVDFEAAA >cds.KYUSt_chr5.18642 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120546622:120548093:-1 gene:KYUSg_chr5.18642 transcript:KYUSt_chr5.18642 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRQVRRAMPALFVLAQVVLATARRGAAAAGPGKVPAPAVIVFGDSTADTGNNNFIQTMARGNHPPYGRDYVGGVATGRFSNGRLPADFVSEALGLPPSVPAYLDPGHTIHHLARGVSFASAGAGLDNMTAQIPSAMTLSEQIDHFRRYRARLRRAKGQAAAHHIISHAIYIFSIGASDFLQNYLVFPARGYRYTLPEYEVYLVGAAEAALRAVHGLGARAFRVVGLPPLGCLPLERAMNLPRPTGECNEVYNVVARSFNSRLRGLVARLNWELPGARLAYVDQYGLMSAMIARPWEYDFENSVQGCCGTGYVETGVLCSLDSALTCDNADKYIFFDAVHPSERAYKIIAGAILNATSTRRFP >cds.KYUSt_chr5.17189 pep primary_assembly:MPB_Lper_Kyuss_1697:5:110960117:110961327:-1 gene:KYUSg_chr5.17189 transcript:KYUSt_chr5.17189 gene_biotype:protein_coding transcript_biotype:protein_coding MADALDMSLDDLITKNKSSSAQSQSRRGRRNPASAPASASGGPAPAGRRFQARAATRAAAAPYHQANFRHQAPPAYAYAAQAQQMPMVAAPAVAESGKLYISNLDYNVSNEDIKELFSEVGDVERYSINYDKSGRSKGTAEVVFARRSDALAALKRYNNVQLDGKPMKIEFIGTNIAAQAPAIFTLNTPALGNFNFPPRRITVKLTLLSK >cds.KYUSt_chr1.1353 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7336454:7337633:1 gene:KYUSg_chr1.1353 transcript:KYUSt_chr1.1353 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALLAVLFSLLGAVSCEFPIYAGYGFPPPNPSVPYPLPPACPPLSPAPGLKVGYYADKDKCPRAEDIVRKVVEKATPGEKAGLIRLFFHDCFVEGCDGSVLLSGTDTERTAVPNLSLRGFELIEEAKAKLEKHCPGIVSCADIVAFAGRDASYSLSYGRINYRVPAGRYDGKVSRANDTFQNLPPPFGDLALTTAMFAAKGLNQNEMVVLSGAHSIGRSACSSFPDRLPPAANSSTAMEPKLAGQLTATCSAGSSVNVPQDAVTPDRLDNQYYKNVMSRDVLFNSDASLTTSSQTEDLVEFYAGNRQFLSGKFLGPIVWYHDFEDAMVKMGYIGVKTSAEGEIRKTCAFINKT >cds.KYUSt_chr6.29186 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184906452:184912055:-1 gene:KYUSg_chr6.29186 transcript:KYUSt_chr6.29186 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYRRPAEDEYEDEYYSQDEYEEEGSGYAEEEEEAPPEGQQEFLQIRERLKEEIRQKALGAGASTAGRKSSSNDRRPPPPANFGSFFGPSKPVISQRVIEERKSMKEIQNTAPRERRPPGKDIPSSSSVQAKTNGFHQKKKIVNEVKKKAEALKDNRDYSFLLSDDADIPSPPKEKPSARPALTQKSDRELMHSAVKSRVPISQPTRLPNGYGPNNNKSSTQRQPESKLDPKRKEALLSRERAVDNGRRHSVDRNGSSQASGSKSASQKFPSKGHITNKPSMKEVDEQSLRKDHLARKQILSPNGRPHPSQSQRTQSASHGQRPHQSSQSQRPQQSLQSQRPQQSSQSQRPVQSSQSQRPQQSSQSQRPQQSVQRQRPPQSSQLQSSQSQRQLPQSHRPQQPLQRQRPLSSQGHYPEQRRVQANGLRPAERQPSRQVPANGIRDDRAKKKQLGKRRFDDEIDDEEDPMAMIRNMFRYDPSKYAGRDDDDSDMEADFATIEMEEKRSARIARQEDEEELRRIEEEERRAEERKRRRVGNGR >cds.KYUSt_chr3.32962 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207043654:207045177:1 gene:KYUSg_chr3.32962 transcript:KYUSt_chr3.32962 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGDAPARPSKDRVFFLDVNPICFRGSQRSLGAFARWLALFFSHVSLRDPVVAVRTPSQTLYWSGNEYRRRLMPSYKAHRTRGVGTGADSRVVDVLRECNVPIVQVDGYEADDVVATLTHQVLQEGYRAVIGSPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTVDLSLRCFMGDEADGVPGIQHLAPGFGRKTAVKLLNKHGSLENLLKTAAIRTVGKEYAQDVLVKHADYLRKNYEVLSLRRDVNVQLDERWLSTRDTCNDTSVLSNFILKFNEGKC >cds.KYUSt_chr3.1262 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7067976:7068767:1 gene:KYUSg_chr3.1262 transcript:KYUSt_chr3.1262 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVSWTVMMQAMQAKKTLRPQRDRLLQLRRQMEQLSPGDDDHASKNMELAAHLFNVCYSGLGIGSRMLASCMELAAKGGARLAINRAFAAMPDEQLHDALVAQRLPARPTTQTEAFSCVEAAFNAVKVTEEHHIPRCIEHLVGQRPVHMVHGKNGLENPSPVADTPVDLDKARDYLDRACTLADLAVKHIDLAVVVLSSFMDPKQVASLSEITDQRASILQGGTYPSA >cds.KYUSt_chr6.26122 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165465242:165470234:-1 gene:KYUSg_chr6.26122 transcript:KYUSt_chr6.26122 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLSSWPWASLRVYKYFLLAPLAWKVGQEWLEQGGAPLWSPWLHLLLLFSARGLTYQFWFSYSNMLFLTRRRRVVPDGVDFRQIDHEWDWDNFLILQTLIGASVVNGPLAPGLDHIRVWDPRGLAIALLLHVGFSEPVFYWAHRALHRAPLFGQYHAAHHSTPVTHPLTAAFGTPLEALLLTLTMGVPLAGAFLMGAGSVGLVYVYLIAFDYLRSMGYSNVEVVSHRVFEAVPPLRYILYTPTYLSLHHREKDSNFCLFMPLFDLLGGTLNTKSWELQKEIYQGKNDVVPDFVFLAHVVDIMSSMHVPFVLRSISSVPFENHLVLLPFWPVAFTGMLLMWCLSKTFVVSSYCLRGRLHQTWTVPRYGFQYFIPAAKKGINHQIELAIVRADRIGVKVLSLAALNKNEALNGGGTLFVERHPDLRVRVVHGNTLTAAVILNEIPSNTKDVFLTGATSKLGRAIALYLCRKKIKVMMLTMSSERFLKIQREAPAEFQQYLVQVTKYQPAQNCKTWLVGKWLSPREQRWAAPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGVGSCEYTMERGVVHACHAGGVVHFLEGWEHHEVGAIDVDRIDVVWKAALKHGFTPS >cds.KYUSt_chr3.7900 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45331258:45331716:1 gene:KYUSg_chr3.7900 transcript:KYUSt_chr3.7900 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVEEEPTTEKAKKTPAAKKPKAGKSLPAGKTAAKEGGEKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_contig_1181.367 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:2462003:2466148:1 gene:KYUSg_contig_1181.367 transcript:KYUSt_contig_1181.367 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTVAATAADTAEPLNSTFFATRYVRDQLPRYRMPENSIPKEAAYQIISDELMLDGNPRLNLASFVTTWMEPEVGKLIMDSVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIKEEETAIGVATVGSSEAIMLAGLAFKRKWANKRKEEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLTEGYYVMDPLKAVEMVDENTICVAAILGSTLTGEYEDVKLLNDLLVEKNKKTGFNVPIHVDAASGGFIAPFLHPELEWDFRLPLVKSINVSGHKYGLVYPGVGWVIWRSKDDLPEELIFHINYLGTDQPTFTLNFSKGASQIIAQYYQLIRLGFEGYKHIMENCQANATALREGLEATGRFDILSKEDGVPLVAIRLKDSSKFSVFDISENLRRFGWIVPAYTMPADAEHVAVLRVVIREDFNRSLSQRLLADINRVVQELDAHAVHAIKMTTAIATQTGEGAEDGVVTKKSVLDIEKEFAAACKDLVKNKKTGPC >cds.KYUSt_chr4.17022 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106174260:106180344:1 gene:KYUSg_chr4.17022 transcript:KYUSt_chr4.17022 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRPPRSTSGGVEPKIRQVGFVTPDVSGPSELPAVAALQPGPAAGSPPASDLSPGSLSPVMIPPPRHADHLSPGSPSPPASEAILASSAPQPSSMRFDAASELGEDDLRSMAPSAGELETNKGDLADTQNEGAPVSQKQKPSKAERRAIQEAQRAAKAAAKEAGLSGKSTGAPSGANPAVSKQAKSAKASQKKDVPPAASTVASEKKVTERLPERDRKKDAPHPRMQFDDVHRVEKAKKRAIVNQSEARNRVELFRHLPQYVHGTQLPDLESKFFQLEPIHPSVYKVGLQYLSGEVSGGNGRCIAMLLSFREAIKDYSTPPNKTLSRDLTAKISSYVSFFIECRPLSISMGNAIRFLKNRIAKLPLTLSESEAKASLQSDIDRFINEKIVVADQVIVSHAITKVRDGDVLLTYGSSSVVEMILDHAHELGRKFRVIVVDSRPKLEGQGLLRRLVAKGINCTYTHINAVSYIMHEVTRVFLGASSILSNGTVYSRVGTASVAMVAHALGIPVLVCCEAYKFHERVQLDSICANELGDPDVISKVPGRADLDHLKNWTDKENLQLLNLTYDATPSDYVSMIITDYGMLPPTSVPVIVREYRKEQLWV >cds.KYUSt_chr6.27205 pep primary_assembly:MPB_Lper_Kyuss_1697:6:172548221:172553393:-1 gene:KYUSg_chr6.27205 transcript:KYUSt_chr6.27205 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPAAALAGLLVALAAATAAATTESSDAAALGNLYTSWNSPSQLAGWSASGGDPCGAAWQGVTCTGSGVTGIKLPGTGLNGSLGYELSNLYSLKTLDLSNNNIRGSIPYQLPPNLTYMNLATNNFSGNLPYSISNMGSIEYLNVSHNSLAQQIGDLFGNLSSLSELDISFNQLTGDLPNSIGSLSNLSSLYMQNNQLTGSVNVLGGLGLTTLNIANNNFTGWIPQEISSIPDVTLGGNSFTNGPAPPPPPFMPPPPRRPRNRPNTGGSGNAPKGSESSTGQGDKKQGLHTGALVGIIVGSVLAALLVLLLLVFCIRNARKKKDDSSSEYKDFVGPLSVNIEEVSNREIPEQGLENTAMKPLPAEKMTPERVYGKNGSMRKAKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFSNGKVLAVKKVDSAALSLQEEDDFLEVVSSMSRLRHPNIVPLTGYCVEHGQRLLVYEYIANGTVHDMLHFSDEMSRRLTWNIRVRIALGTARALEYLHEVCLPSVVHKNFKSSNILLDEEHNAHLSDCGLAALTPNNERQVSTEVVGSFGYSAPEFSMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRDRSEQSLVRWATPQLHDIDALSKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGFSYRASEREGDARDISF >cds.KYUSt_chr6.8604 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52940394:52941932:-1 gene:KYUSg_chr6.8604 transcript:KYUSt_chr6.8604 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRETAFTLEKMALNKAKRYLEDVLAHKQAIPFHRYCRGVGCTAQAKNCQPNGHGRWPAKSAQFVLDLLKNAESNAEVESEIAPRKA >cds.KYUSt_chr7.40272 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250057906:250059264:1 gene:KYUSg_chr7.40272 transcript:KYUSt_chr7.40272 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEALAQAESELAAGRPRAAQRHARRAFRFDPDSAAASLLVAATSVLLADSDSPRATLLLSDDPSSSPDRDFPAVRRHYKSLCRSLRLDAGASSSPVISAAAEEALRRVDDAYAALKEQSEAPDPPPTFWTACAGCRLLHEFDRQYVGYRLTCPSCRRTFLASEVPPPPPPPPPPKKTKKPEMTLAEMQLQLVKRRKDHKAPQSSSSEFSENELEELEMKEEEDPHSDHSGGKMAVEDSDFYNFDADRGDKCFKRGQVWALYGDDDGMPRHYVLVEAASPGRQFSAQVRWLELQPDAVEGKPCPCGEFKVGRADTVHSVNVFSHLVASERVAREAYRIYPRKGSVWAFYGGEDADTSRPKYDFVVFLSGYSDLYGVSYGYLEKVEGFRSIFTRRDVGSHAVQYLRKGDVGMLSHQIPARKVSKGLDSALPPGDCWELDPASLPPELLRLEQ >cds.KYUSt_chr6.3124 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18289237:18290348:-1 gene:KYUSg_chr6.3124 transcript:KYUSt_chr6.3124 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGGDDKDYKEPPPAPLFEASELTSWSFYRAGIAEFLATFLFLYISVLTVMGVVGNPSGSKCGTVGIQGIAWSFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFQTTLYMGNGGGANSVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKKQSWDDHWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD >cds.KYUSt_chr5.16962 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109232675:109233025:1 gene:KYUSg_chr5.16962 transcript:KYUSt_chr5.16962 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRLALQTTEQGMVQPELPSRLNKTLPHTSPHFVALFAVPLLSFHFISTNDPYTMNLRFIAADTLQKLMMLAMLTAWSHLSAKRRSARPTRPTPCVRPWSSHTRGKQTRHTSSLP >cds.KYUSt_scaffold_869.1333 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:8481157:8488555:1 gene:KYUSg_scaffold_869.1333 transcript:KYUSt_scaffold_869.1333 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPPACHARASHPPAAVTRTSPMPLLLGVVSSLLRRLDDDSSNAPNGRLEQVMFFISDDMPSLADLELQPSHGGRRLVDVLRKPAMPPPALGDEAAQRSGLLISLVTIRALVASYIAHQKDCTLVDFVRGVILVKHNARNLLLSPSATNVSLTSGVHMPLSQGTRHHSEAGQQDGNDIVSKSPDAAHRVKDLHEPNGIVCYPMKLIQPELLRLILFTLSDASWADIFHKLSVG >cds.KYUSt_chr2.9200 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57901800:57910677:-1 gene:KYUSg_chr2.9200 transcript:KYUSt_chr2.9200 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPCERLKEKGDYCCDHVDEQDNYFFKILIGDFRERITIPDAFVKHFREKLGRTIELDSRNGCTFDAQITSYHDKLVLQSGWEAFVSAHDLKVGDLLLFKYNGISRLEILVFDPSGCEKVESCLVINNTDQEPIDISTSYHDIPINSTQSDRPNQGNEIVNISSSGSQHEASGYFSSSEDDLDVHSVPPYILPKGTLLTDVQTKKLEKRVQAIQSKTPIYGCILNTGSIYGKRPALTIPDAFVKHFRGKSARTIKLESRNGCTFDAKITNNYDELVLQSGWGSFASAHDLKVGDLLLFKYNGISQLKVLIFDPSGCEKVQSHLVINNTGQELIDISSSFDDIPINSPQSERPNQGNDNVNISSSRSPPEASEDDLEAYSDSVPLYILPRGISLTDVQTKKLDKRVQAIQSKTPIYGCIMSKSSLYAEPSNLVSQKL >cds.KYUSt_chr7.3053 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18111677:18112312:1 gene:KYUSg_chr7.3053 transcript:KYUSt_chr7.3053 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKEERFRREGHDRHEVEAHFARVLSDAHYALPDPPASVDARLSSLLPHDGADRVSSLPDELLGNIVSRLPILEAARTAALSRRWRGVWRSTPLVLVDSHILPAGTVVGRADARRVTSAVSRILLAHPGPFRCVHLTSSYMEEFQHLLTPWLHTLAAKGIQELVLFNRSVPARLPSPCHILRHDHPHPPPPRHVEVPRHGPRLACHLFP >cds.KYUSt_contig_1658.118 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:773668:775967:-1 gene:KYUSg_contig_1658.118 transcript:KYUSt_contig_1658.118 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAKGETVLVTGASGFIGSWLVRLLLARGYSVHAAVLNPDDKAETDHLLALAAAAGDEGRIRFFRCDLLDGAAMLAAVRGCSGVFHLASPCTVDLVLDPQKELVVPAVEGTLNVLRAAKEAGGVRRVVVTSSVSALVPCPGWPAGEVLDERCWTDIDYCDKNGVWYPASKALAEKAAWKFAEENGLDVVTVNPGTVLGEMIPPRLNASMAMFLRLLEGCKEEYADFFIGPVHVEDVALAHILLYENPPASGRHLCVEPICHWSDFASKVAELYPNYKVPKFPEDTQPGLVRAEAVPKKLMTLGLQFTPLEKIIRDAVESLKSRGCIA >cds.KYUSt_chr3.3619 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20517821:20518351:-1 gene:KYUSg_chr3.3619 transcript:KYUSt_chr3.3619 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRAHNPKFQDPQDPSSAAALATTTPTTKQQRKAKQPRQPKAAATGGKKTSSAAAAREAAAAATAACASAASVEPEMAPVVPDVCGGGGVHEAAAGGLPADWDEMDGANVSPWWTFGVEDEKLLGWFPFVEEDFGGGSQYHAGLGGAREPEPAGFDDDIWRIHQIYEIPSYAAK >cds.KYUSt_scaffold_869.1624 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:10447822:10450149:1 gene:KYUSg_scaffold_869.1624 transcript:KYUSt_scaffold_869.1624 gene_biotype:protein_coding transcript_biotype:protein_coding MAINGGRWGFELENRGSQTGHCRIGRESAMAETQARAMEAGRSGDRRQSHIHISTIKSKLLHFYAQSCPRAETIVRQVVQHRAAQDRSVLPALIRLQFHDCFIRGCDGSVLIDSAGGNVAEKEADPNLTLRMLDVIDDVKAALETACPGVVSCADIIGLAARDAAAMAGRVRYQLPTGRRDGTVSSAAEVHLPSPSVSFADALSAFSNIGLDVVDLTTLLGSHTMGFCHCMFVMDRLYDFKKTDTPDQTMDAGLRASLQGSCPPHTVTPQNESGDTIVPMNLLAPHVPFRLDNSFYRSVLAGKAVLQIDQELASDGMARLIAAKFAVGPRKFRKQFARSMVKLASVHVLTGEQGEVRLNCRKVNSR >cds.KYUSt_chr7.13654 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84170475:84170996:1 gene:KYUSg_chr7.13654 transcript:KYUSt_chr7.13654 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLLGGPSVGWLIDDPEIDFSDEEFEVLPPLLYYQRHGSGPCLPSPTPSGEDPAHFAPPGYDPLPEMEENDVAAAAHVAPALPDLNLPAAEETEEENEDAPPAPSLALPRPSPEARVLLRRFASAMAARPAGIRRGTWSPEALGLTTRLAELYLNEAAHHSISSSVESSSRR >cds.KYUSt_chr7.14372 pep primary_assembly:MPB_Lper_Kyuss_1697:7:88788609:88790973:-1 gene:KYUSg_chr7.14372 transcript:KYUSt_chr7.14372 gene_biotype:protein_coding transcript_biotype:protein_coding MREADGSAAEAERERARGALDGKEEDEGLRLAAVAAPAASCRAPPSRCVHSETRSRARAQAILAAAARMGVVEWTRGPTIGRGSSATVSLAVDRQTGELLAVKSVGANRAAELRREQSILSGLSSPHVVRCLGSEVSASHDVSGGYDMLMEYAPGGSLADEIRRRGGRCEESLIRWRARDILRGLVHAHAAGVTHCDVKGRNVLIGADGRAMIADFGCARRIADEQLLGGGTPAFMAPEAARGEEHGPATDIWALGCTVIEMATGAAPWQRFGSPVATLHHVAFSGEAPELPMWLSEEGKDFLGRCLLQDAAERWTAEQLLEHEFVAFAAPVSSPLISVAGITTQKKMFVSPKSVLDQALWEDEDDDTTADTATACPIDRVRGLAAGAPDWTWDASWTTVHSSGTSDGYDSPAMSPDTDADGDSPASSSSAGRAAEAGASSSQASHAGGNRYDDTSSCNGERSDDDGDHVISSDCTAILPITSNGFFSDMLLLFVPAGCPSLPLPLLLFFLLLFVSPIGATPLLDPLRPSPKLVRKR >cds.KYUSt_chr4.45414 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281111869:281112192:1 gene:KYUSg_chr4.45414 transcript:KYUSt_chr4.45414 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDEPWRAAADGRGVAPMAAPASTGVRDGPSVGVGRSVVQQRSTLYDSFELNAMVARLNRLLLHGGDGARRPAAPRSPAGGWLAVPKVLIGKIKRAFLGGPRRGGS >cds.KYUSt_chr3.15498 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94919386:94933622:-1 gene:KYUSg_chr3.15498 transcript:KYUSt_chr3.15498 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADLSIIHHIGLVLLALWAAASLGCCHSVLFILAFLYLYMASKCPLCNENAEENTAWGNEICLPTKSASIDVVVVQSKASVLELYMGRDAPLFTSMRVLPESSDDDHLVLELGMNFLSAEDMSVVLAMQLHKGVGFGMTANMHLTRMHVEGKVLLGVKFVRSWPFLGRIRLCFVEPPYFQMTVKPLVGHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMLVIDMEKFISTPSENNWFDIEERPPVAYVKLEILEGIDMKPADINGLSDPYVKGRLGPCKFQTQIQKKTLTPKWFEEFKIPITSWEALNELVMEVCDKDHLYDDSLGECTVDINELRGGQRHDKWISLKNVKKGRIHVAITVEDISEEKDATTGLEESTTNADAKLPVSTPIYSKSDAAKLPEENEIVLDEVEHIDIDGQEQTGGVYVHRPGTGVPKTWESRKGRARAPDTEIQQEVDLSKEEPPTPKSSGRGGMFSFLRRSSKKESFRGLDTSTPTSPGPQGATEVDPNLPRTPRPNLRELGEKRTSIKIVVGEGANKGDAESLTEDIAKVVEKNVGEPGRSLTSTLSRKISMKRQEDKMSDIPGQAEARGPELVANEGPVTIEVSMLTLPFCSCRKVLNMRLFPNEKTEKSWDQSVVQRNFEVLLVSQFTLYGILKGNKPDFHVAMPPAKAKPFYASLVEKFQNSYKADLVKDYEEEYRTRKKDSRTSTTTRITPDVNGLLVEQMDHDRYYYATSLRYMTYQWESYKLGSGDDKSFEKELKQLVDYLGHPYPEFFGIPLKAQLGESPQWDVSTDLRRKLDAPVWETIWFSVTGNTWKEGLDKAMQEAISRLCGQNEDKIKNTRFIYYPRRDSMGRPMTMPPPQPKMNPYEAPQDFRQYKTRRDLDNALASRQAPHP >cds.KYUSt_scaffold_6468.927 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4461432:4461902:-1 gene:KYUSg_scaffold_6468.927 transcript:KYUSt_scaffold_6468.927 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHNLAPKLAPHIVDSAHHVEGDGGIGSVRHYKCGSAVPFNSMKKKVEFLDVDKCECRYTIECDGVETSTWNIKMKPTANGGSVAKVECTSKGAEAKDMMLKAKDSAAEMFKTVEAYLIANPDAYN >cds.KYUSt_chr6.29657 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188039873:188040798:1 gene:KYUSg_chr6.29657 transcript:KYUSt_chr6.29657 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQDSGAKRARTVPQKGGNVNQPQVEESSDPYTVEAEKIRCDICFQPFGDQIFMCKNGHPACASCCLTMNRKCYCTEPIGDIRCRPLETILEAMTRPCSFKAHGCREIVSYAGMQGHEAACRFAPHHCPFDGCTYYGLRLYNHIQVDHADDPDEAAVVVRCNQRSTITLQKKMPFRVLLHRDQASMFLLVNGGDVLAGRSLSLASMGPRPTGNAEVKYKLEVKKRNDPEALVLSSSGAAPFVRCLEDFQAKGFLFVPNSYWDSSDSVSVTVHLTGGW >cds.KYUSt_contig_528.313 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1912160:1914351:1 gene:KYUSg_contig_528.313 transcript:KYUSt_contig_528.313 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIRGPALPRCAAPALTSDARIGSQLLRRVRMRRRACGGGVLQGDYYGVSPRFLGVPAQRHSRSGWPVCCSYGSSSDGDGTAAANFDASGEEFVDSSIMEAVELRTVSDGFVIKLRDGRNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVVKEMTEMMGYTVRLVRITEMVHDAYHSRLYLSKNGNEEEIISFDLKPSDAINIAFRCKVPIQVNKSIAYNNGLKVVQPKPTGSYVNSDQIQYTRLDKPGDQPCFEAQEFDLVRSMLIAAVEERYKDAGFM >cds.KYUSt_chr1.40728 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249768103:249784371:1 gene:KYUSg_chr1.40728 transcript:KYUSt_chr1.40728 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSALSRSRRSSRSWGSNMSSSIRHQAMGLQPDMDDPFRRSSASSSRRHDDDEENLRWAALEKLPTYDRMRRAILLANHDLHDLAGDGLVEIEHLASGDGGRALLERVFQDDSERFLRSLRDRVDRVGIELPSIEVRYEGLSIEVDAIVGSSALPTLWNVTANFLQSFIGRLASNNKKTINILRSVNGILKPSRMTLLLGPPSSGKSTLMRALTGKLDKSLKVSGNITYCGHTFSEFYPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGVGARYDMLTELAKRERNAGIKPDPEIDAYMKATAVQGHESNIVTDLTLKVLGLDICADTLIGDDMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYVKQLVHVMNETVMISLLQPPPETYNLFDDIILLSDGYIVYHGPRENILEFFEASGFRCPARKGVADFLQEVTSKKDQQQYWYREQEQYRPVSVPKFAELFKSFHVGQQMLKEMQIPFEKSKTHPAALTTKKYGLSNKESLKVVMSRELLLMKRNSFIYIFKVSQLIILGLMAMTVFLRTKMPSGQISDGTKFFGALTFSLITILFNGFAEVQLTIKMLPTFYKQRDFLFFPAWTWGLANIILKIPVSLMEAGVWVALTYYVMGFAPAAGRFFRQLLAFFATHQMAMALFRFLGAVLKSMVVANTFGLFVILIIFIFGGFLIPRGPNGSTNRPTQSGFVLPFQPLSLSFNHVNYYVDMPAEMKEQGFAESRLQLLTDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGSTEGSITLSGYPKKQETFARISGYCEQNDIHSPNVTIYESILYSAWLRLSSNVDDKTRKMFVEEVMTLVEVDVLRNAMVGLPGVDGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGQVIYAGDLGRHSHKLVEYFEAIPGVEKITEGYNPATWMLEVSSPLAEARLEVNFAEIYANSALYRKNQEIIKELSIPPPGYEDLSFPTKYSQNFYNQYVANFWKQYKSYWKNPPHNAMRYLMTLLNGLVFGTVFWQKGTKLDSEQDLFNLLGATYAAVFFLGAANCFTVQPVVAIERTVFYREKAAGMYSPLSYALAQTSVEIIYNIVQGSLYTLIIYPMIGYEWKADKFFYFLFFIISSFNYFTLFGMMLVALTPSAMLASILVTFALPLWNLFAGFLVVRTMIPIWWRWYYWLNPVSWTIYGVVASQFGENTGTLTVPGGKPVLVKQFLDDNLGIRHDFLGYIVLGHFAYIVAFFFMFGYSIKRDIHDLECEIGTYFVLCPAINSHVSVSSKTRVQHLRAALIETKKGDMSADKYFAKMKTIAQELAAAGKPLDDDELVCQVQSFDRMNKTDEDTSFTSSAHLARSGGGAPSRGQDRDDRWRDDRPRRDDGRGRGGGGHRGGYQGHQGRDGGGYQDRCHDNDRRRDGDRRDDDGRRRDRQPTRNVDTTCQICDIHDHPAKDCWWRYGDDRRDNGDRGHKDANFASHGVDTNWYYDTCATDHITGELNKISTHEDYNGRDQVRTAEGAGRVYISRDVVFDENVFPFEFLRPNAGPLLRKEILLLPPSTSVSQEDDENGAESDADSAALSDLEADLPDADSPALGSRDAAPTASVERTLSARHGAPSGGSSPSLHAEHAQHTPPPSPAATPGRSAGSTPDASPQLSAAPDASAGSSVGSAGGGDSVASSPPSSPDDTPPRSHRTRLQKGIRQPKQYTDGTVRYGMFSSTGEPSNLSEALDDSHWRKAMEEEYNALMENKTWHLVPPSKSNNLIDCKWVYRIKRRADGSIDRYKAILVAKGFKQRYGIDYEDTLSPVVKIEHWTAAKRILRYVKDTVKLGITFTPSSSTFLSAFSDADWAGDIDDRRSTGGFAVFVGPNLVSWTVRKQATVSRSSTEPEYKALANATAEIIWVEALLTELGVKLKQNPSIWCDNLGANYLSVNPVFHARTKHIEIDFHFVKERVARNQLAIRFVTSNDQVADGFTKPLPVKKLSEFKRNLNLSTGLD >cds.KYUSt_chr5.22409 pep primary_assembly:MPB_Lper_Kyuss_1697:5:146198972:146204408:1 gene:KYUSg_chr5.22409 transcript:KYUSt_chr5.22409 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPRRWAATYTTQLKKKRKAYHDGALLLHPDSRRLVLLDDAGVTIDAKFLRAGDSVSAGAAIEFPCHLVDVGGEAQRGHSGRPSEPAASRTASYRGGARPRQSAPAPRAFVNPPKSGGGGGKAEAAGSGGANSADSACQEWHAMYTAQMTQKAKKYHDGFVRLRPMGSHSKQVVLLDEDGEILGSRYLKSGECLEAGKKCTFPNYLIEIGEAKNLNRGGERNSSEEPTVQSRPTGVGNANNKVGTGATGSLKFISPQKFHYLDESQSEVTSSSNKPDLGKGKVEAASSDGSLMGSTDSASKEWRILYTTQLTQKAKKYHDGSLKLMQVGSHAKQIVLLDEDGVVLGTRYLKSDVEPKHTSGEALDHTGLKNGENTSEKKSDKSKSPKFVSPLFNDFTDIQRGKSGFATSYNRPEVGKSVLNISDEPLESCDLQNGISPCPTSSVGREVGISTFGRTDDSLRTAFDGPKVAMMDIPASEIPNANEQKLESPGNLHIGNSNGTDSAPVSNDTSIPGLQEEKTGIADQLGANSTAADPNCGSGPFPAPSIRRCLDPRIQDLIDDCPSFDLGF >cds.KYUSt_chr6.31403 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198625714:198627608:-1 gene:KYUSg_chr6.31403 transcript:KYUSt_chr6.31403 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRVPICSMTDLHREAYGNSMNDQVPLSSKHLLTKIKALYANTQNHHGGEGTSSRNLHLQTSHMLLQDIKGVYECQPTSRLVTLFDNLSFRERHDNPIMQQLSDVPRDERTLFVTFSNGYPLTKDELHDFFMRHYGDVEEITVEEPIGNKQPLYAHVIFYSQVTLFRVLDGNLRVKFMTRGKHLWARQYVPKKKNTKS >cds.KYUSt_chr6.22416 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141561260:141562693:-1 gene:KYUSg_chr6.22416 transcript:KYUSt_chr6.22416 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQHFLIVAFPTQGHINPARALAERLLRAMPGTRVTLSTAVSAHRLMFPSLASPDEEVHDGAISYVPYSDGYDHGFHLFANDGDDARRFCEAFSRVGRETFSSVLDRFAARGRPVTCVVYSMLMWWAAEVARERGLPRALYWNQPATMLAVYYHYFHGYERIVTEHAAEPGFTVSMPGLPRMAIRDLPSFFTNLTDGRLDAAFGNIRRTFQQLDLDVPSSTGGRKPMVLVNTVEALELGAIASVPELDVFPIGPAVLSLFADGMRSGTNAVVGDLFKHDEKGYMEWLDTKPARSVVYVSFGSMSAASKRQKAEMKRGLAASGQSYLWVVRKDSRDENDDSGDDKRSMVVEWCDQVRVLSHPAVGCFVTHCGWNSTLESVACGAPVIAVPQWSDQDTNARLVVEWGIGVRAAIDADRFLDAEELTRCVEIVMGDTEEGAAIRSSSIAWKSKVKEAITDGGSSKDNLRTFQDQFANDA >cds.KYUSt_chr1.24028 pep primary_assembly:MPB_Lper_Kyuss_1697:1:143300659:143306708:1 gene:KYUSg_chr1.24028 transcript:KYUSt_chr1.24028 gene_biotype:protein_coding transcript_biotype:protein_coding MGESEGGGEGTRALDQTPTWAVVAVCAVIVVASILLEGILHHLGQWFTKRRKKALFDALEKVKSELMTLGFISLLLTITARYISRICIPEGAADTMLPCRLSRNSEHQEPKAHGRRHLSEAPTNYTCRKGMVSLVSADGLHQLHIFVFFLAVFHVTFSAITMSLGRAKTRIWKEWENDTSSITYEFSSDPSKFRLTHQTSFVRQHASCWSKSTIMLYVASFFRQFFRSVRRTDYLTLRHGFISAHLSPGTRFNFRKYIKRSLEDDFKTVVGISPPLWASALAVMLFNVHGWHNLFWFSTIPLLVILAIGTKLQAIIARMAVEITERHTVIQGMPVVKLSDEHFWFGKPQMVLHLIHFALFQNAFEITYFFWIWYEFGLRSCFHENFEFIIARVCLGAVVQFVCSYITLPLHALVSQMGSQMKRTIFDEQTAKALKKWHKAVVKKKHQQQKESSHAPSETATTDTTEASRLSQCQFVEAAPVQRHLHRYKTIAHVGATRPLSDSECSDTECETQTRYLIPPTKQRSLDSEGDRNYCSRTGKRLRPAQKQKHLYLVLDDGEDGFTILKIDAENLETSTDLELEPCVLRLAAPGPCYSMNFTALGSNIFMSCNRYPETMVYSTETEGVAIGPRLPDSMLVGIHTLAATADMQLYALKHNFKTKEHSFAAMSTAGTENNLPWMSSSPSRAWSWKSVPSPLPFSGNERWKCHGEWALPFEGQGYFDGELDAWVGLHEDGYVCSCQVPSCGGGGGGASARQPEWKMGNEKLFRKDYRIRKSKCATLTYMGNTKFCLVECVSCKRPELQDDDDAADRDGSMLLHISIFGLKYSRDGELQTTIHRTTKSYQVSKNVSFSPVAFWM >cds.KYUSt_chr5.28735 pep primary_assembly:MPB_Lper_Kyuss_1697:5:182037002:182041589:1 gene:KYUSg_chr5.28735 transcript:KYUSt_chr5.28735 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLPEVDRSRFLASRRAVDIDVVASAATELVNGRDEEVDAFVISGENGAPPNDSIAWHEDTESNVLASKELLDTTALKESSSITKDSSLSQPTSSDSAYQYWALEEPDMFSSNELFIVMATLGKSTITDASSTRALVVTHSPTPPISARKPTGWNHQFFIRVDLDEVFHTYPKLGGPFRSLEEAETAILLHLDELHDPKMRSNDGLSRSEIAIRNALYWPDGKSKYSSTSNPDEDHMELLVQALLDKYNEENMLSGDRAYQVENVVNFQEVYEGDAGWYYHLNCTRKTEGGVNNLFFAEVSKIIRGELEEFELTCLHMLESVDNGILC >cds.KYUSt_chr1.35583 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216921221:216922555:1 gene:KYUSg_chr1.35583 transcript:KYUSt_chr1.35583 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDARVARHMRRKKSRAAGAPAVPALPDDLIPEILVRVDDGPALFRCALACKQWRDLVADPSFLCRRWPKGARHRTSLLGFVARHGHICATWMPTQPAFIPVPRSLLGHSRRLLGSFFPCATNGLLDDAVPLTMRSGLILVRLGPSSDTSPDQIWEGIRLAACNLLTGKCDVLPPLNYDAFATGTAKFVILADTDYCSKELPTSLPRYSTFFKVMVIFYEYSATSYNMCTFSSANTSWSTPRRCIYHMNSATIYGSAVVSMGKVYWLLWNTGNFYIIEVCITTGHLSLTNFHIPLDHHEDYSSNIAVHLSPTVDGKVSLVRLYTTTCLRLQTWTRQGNKDSSDDNAVQQHPKVIELKYKPQGTANAFYMHVGEKTGMLLVTDRRKFTCIVNPETGKVDEVTCMFYDMDLYNIVPFEMDWAAFFMSRLEAFYPLGLSQFRQRLQ >cds.KYUSt_chr3.9666 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56745467:56750744:-1 gene:KYUSg_chr3.9666 transcript:KYUSt_chr3.9666 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRLFDNILLGGSTGTNPGQFEASSGGLTWTRQGGGETIRIDKADITSVKWTKVPRANELEVSTNDGLFYKFIGFPEQVLWEAILNGNDRLSCNEEAVVTFDGIAILTPRGRYGAELHLSFLRLQGEANDFKIQYSTIIRLFVLPKGLVHEVFVKALRGLSGARVTRPGSFRNSQGGYAVKSSLKAEGGLLYPLERGFFFLPKPPTLIIDEEIEFVEFEHHGTGGATSSPFLLNLYVRLMGVFSCFWSISSAKNLKLMNLGDGQGTSGGVADILPETENAGGDLYMPRMKNQAGDEESDEEDEDSVLDKDDGGSPTDDSGGEESDASESGCQNEKSSKKEASSSKPPAKRKPKARDGEGSEKRKSKKKKDPKAPKRAVLPYKYFSMAARPSGE >cds.KYUSt_chr2.17826 pep primary_assembly:MPB_Lper_Kyuss_1697:2:112230244:112236295:-1 gene:KYUSg_chr2.17826 transcript:KYUSt_chr2.17826 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRFSRARHLLHVAKSLAPHIVSNLPPLLSSDPLLRPSRQVLKRDIPWESYMSTKLISSTDLQLLRRYDHKPEAQRGPLLEEDGPSYVQLFLNILRSISKEETVEYVLALIDEMLAANPKRAALFYDKSLSGEDIYDPFLRLLWKGNWFVQEKSCKILTQLISARPKLQNGMVPNGEASNSKSKLTSIHDVLKGLVDWLCSQLRNPTHPNCSIPTATHCLATLLKEIYVRTLFVQADGVKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTRVLPRLVEVVKGSTKEKVVRVVVMSFRNLLAKGAFAAQMIDLGLPHIVQNLKAQAWSDEDLLDALNQLEVGLKENLKRLSSFDKYKQQVLLGHLDWSPMHKDPNFWRENITNFEENDFQILRVLMTVIDTSTDTTALAVACYDLSQFLQYHPSGRLVVADLKAKDRVMKLMNHDNGEVRKNSLLCVQRLFLGAKYASFLQA >cds.KYUSt_chr5.21272 pep primary_assembly:MPB_Lper_Kyuss_1697:5:138781385:138782810:-1 gene:KYUSg_chr5.21272 transcript:KYUSt_chr5.21272 gene_biotype:protein_coding transcript_biotype:protein_coding MRATSSAWTVVLLLAALVPVSLASASVHAVAGTDVRVRPHRVDDGCAGADDIAIYQGRGTTLPSGVPAYTVDVMNRCSAGDCAIAGIHVRCGWFSSVTLVDPRKFRRLARDDCLINDGQPLLAGETLSFEYANSFPYPLAVAVATCVDPAAATSP >cds.KYUSt_chr1.18713 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109643290:109648466:1 gene:KYUSg_chr1.18713 transcript:KYUSt_chr1.18713 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRHIRRNRTASNTQRTNCDDSNSSGNASQRTTGENNNSSVGQRSNTNEDNCATTQSKKRGRTKRSRTSLKVPPNGRKVQLEPSGELHFKYVGTISNAYKYGTQLGVILKREYPGLVEVKDSNGVITGSRPALDWEDYYLMHDGEGVTSADRVKQEFWRLFEVTEPNRAEANRILEGCARRKVKDNMYQARVDAVKIYYDNQGEELDDKLACSRELTLEQYLASRVDWFSPTDAYCDGLKKAHPEDWQDIDLDESVLYNTSGGMPHGRLSIATGAVKKAHIISAAKATNLKPSSSVAYRNVIQENQQLRSTNEILTRKTEMHDQMFRMLFAEMGRELPEWFQQGRTQAHTQGNTDLEDAAMDDLVGHGNNGGSNDGENICGDSNDFVYNEDGDGNYASGGDPTQIVTAVTMCSGGDGGDDDGDDDGGDGDDDDGDGDDVQLDVGDDGVDFPLREGISPADFSLLESSFLSGVFRPTEAAVTLRDYPPELRILTPVRDPPIIMKHAK >cds.KYUSt_chr1.20113 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118501732:118502061:1 gene:KYUSg_chr1.20113 transcript:KYUSt_chr1.20113 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREEQLRCATSTAEAVEIHPPRSREDDDDVAELLFASTAGQELADVDDPQQAHLFPPAAEAGQEKVPPTASSLQQEEDRLTFIERSAVQLLPFPLRPPEKEKKLEEP >cds.KYUSt_chr4.35590 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218561506:218562238:-1 gene:KYUSg_chr4.35590 transcript:KYUSt_chr4.35590 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPSCSGPAPEPRRPVSAPETMEAAAAANLAARPGGIVIGDPGTGKSSLVVAIATEQFPENVPKKLKLIAECQAADTVVLTYACDRLSTLERLSTYWLPELRRILVARGGNDFCSSMFQLKAPIIVVGCIVQSFHEIETCIECSALRQII >cds.KYUSt_chr7.10597 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65110207:65113951:-1 gene:KYUSg_chr7.10597 transcript:KYUSt_chr7.10597 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSSSGVGETQGSGSVVEVQVAAAALRRSEVFHVVKELVGFVLYMHHQIPSVLQNLENEFAGLKEEMMEMAATSAELKPSDQRKYNTRKREVRCRIKKREKLMNGISALLSALQKALDEVPSIEGVALILGGSLVRPLFVYDITVTHGKFDSGSAKEQTTTKLAQSVSRKVPVQAHDKRLAMQGVIRRMMIGSSLLSDIDLPIEKCCSEVNPRGPDCVNVRKDQHSQS >cds.KYUSt_chr4.16534 pep primary_assembly:MPB_Lper_Kyuss_1697:4:102746789:102754145:1 gene:KYUSg_chr4.16534 transcript:KYUSt_chr4.16534 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSDRAASALRREAEAALRLQVEGHHEEAIALADGLAARHEGSALVLHLAGLLHHAVSRRTDQEATAQHHVRAALGYLARAKRLVPNCVSISDHLARALFMAAKADEAENEAREAVDMASPVDPADNNVAYAVGGGLRSTRDQRVLSCRLAALDTLTSIQHWRLHHLVTDVLDLHDAADDGHRHHGARAREAVKKAKDLAKRYPCSARAQLLSAHTQLLRLRALDPDMDRRPILSHIRLAVKETIADTFGGSLMLAMFHAKLCFVLGLYEASHLECARAFAIPEPADPMWEDVPPHSVQGDTFDDRVLSVDEELGRLLDKLFLVAHDFRCSMTSEKQSGFVSVRLLDLQEYYGKEYEGYQWAARTISDALSFANNNRSWRFWICPFCAGIKLPNPESLLEHMNCKHMRKLRSVFGSKLSEYVILDDSLDEITVYQDSEGHHCLRFNNTEYVFARLSVPTQEMSIAGILEKKCERGKEILEEIKHKLKRLAANKLSAEFDKARPEIQDLWHAFVRTSFLDYRIVIKTFAKPFIWVRLQLQLIVHKLCLGSCSQYSEETTSISIYRKSIEVIDKDTDGIFILNFIIQVKVNTSYYIITTILKALRMPQVFLEVNSPVERKNFETLRQPANQAKVLCNKDIICEGFGIKESTTCGDKIDADSLQIAEVKSFADDKCRQVDPLSVSTPELSTLALYILLGERENQRTFREVINRMIYEPDLVLFRRQYTTPDTVKCTLASMFNSWEDLLHGYGDHEIQSGVFFFENIKIIN >cds.KYUSt_chr3.35382 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222214560:222214967:-1 gene:KYUSg_chr3.35382 transcript:KYUSt_chr3.35382 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSNASPTGSGEPLLPEVAATKGHGRDRSAMMQRTTSHANDELQWFRSCLRWVCMDHSAPGHAALSWVLFLLLAIVVPAIAHFLLTFRPSRRPFDAVVQLSLSAASGASFLCLSSSFRRIGLHCLLYLDKLQT >cds.KYUSt_chr1.11831 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72952247:72956426:-1 gene:KYUSg_chr1.11831 transcript:KYUSt_chr1.11831 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSGQPFVLDQQSIRLLQYRAVSMGYAFPVDGDNVHELGLPGRVYRQRIPEWTPDVQYYSSAEYRRLNHAINNNVHGTVALPVFHPSVQSCVAVVELIMTSKKVNYASEVDKVCKALEAVNLKSTDIVEHPYFQSAYTGDDDYILEFFLPPDCREDNEQKALMESIMVLLRQHLRSLQVASDKSSDEAYVQVDAVTLIHTEETKNTFHTLLESDMHGGIDESDNTNDKVSTVSNKHLLSGNYSKWNDNPVAEPSGSGTCNSSLLYKKKNSERRRGKPEKTFSLEVIQQYFSGSLKSAAKSLGDPNLLHEFTRSTQHPKHNKNYIEVLGLLLPKRAVDVPLSPLPYRSRPDVVDDSREVFVHVPPRTSAPEPKLDEIHHSREAATKRIPALLNAAGEIAGDEVDWEAVCPTTLKRICRQHGISNWPSRQIGKVNRSISRLQKVIEAAQGSESAFNVIAPPVPVPVNLSDIEKATQNGTAEPYNNYGEENRGPFSQNLQQNGCNLSAPISSQTFLANNCTQIEEDKSTNSRSSSGQHSTHSHTSEGSFRGSLGNRASVCKTFIGPQQNLFNPEVPFEEQDQLLSRMLLKDSVRPTVISSGRIVTVKARYNEDILRFRFPCSGSVSALKDEVAKRIPIDVGNFVIKYLDDDHEWVNLTCDADLEECMEIYQLSGTNVMRLLVADTAVVFGSSCGSTA >cds.KYUSt_chr1.18709 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109614851:109615348:-1 gene:KYUSg_chr1.18709 transcript:KYUSt_chr1.18709 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGCVITEDCALAVSADRMWKVSCSGDALVKTCAGIFDSVDVEGDSGPGSVTTLTLSAAAAAAPGAGGSVVRSRVLVRDDATLVLRNEMLEGSKVSGQLKSQVTEVKFEPAGEGACVAKFKVEYERLDGGGALSAEEQAELIGGYLALMKIVETYLVANPAEYA >cds.KYUSt_chr7.32454 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202129914:202130810:1 gene:KYUSg_chr7.32454 transcript:KYUSt_chr7.32454 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLAYSAAGLGLLALAALEALPLRLPPLPLPRRLLPTTTPHHAHRHLLAALLSTLCLLSALLSAHHLSLPTLAAAALFLLYALAPFLPLPAPAPPHLLDLLLAAAFAQELLLFAHRRPSTAAGIENQYFDLLLVPVTVCLGATLLAAHSPDAAPPRLARAAGLALQGTWMVQMGFSFFTNAIATGCALHAESRADYTVKCRTHEDYHRARSVATLQFNGHLALLVLAGAVAYAAVVSSSPSSGYRMLGKEVQMEGMPLQFTLDSDEEKEDEAISTAPVSNGVHSHHQVELQVPDSK >cds.KYUSt_chr6.14100 pep primary_assembly:MPB_Lper_Kyuss_1697:6:88090188:88095196:1 gene:KYUSg_chr6.14100 transcript:KYUSt_chr6.14100 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPDRHLLTAAAAAGLHRDGLKGWPDLWMLPGKPPHARAAAAAPPPPPDQTLAFSDELLLRVLACLPDPHLTSAASLVCKRWARLSGRLRRRLAVRDWAFVTHRLPHRFPNLSVLDLFPASIAAPAAPSRASPVLTCGAVSLTLDPSADPPLGACRFLADDVLDRGLAVVAARFPNLRRLSATAAASESGGLMDIAGGCATLQELELHRCTDLALRPVSAFAHLQILRVAAASSPLYGTGHDGGVTDIGLTILAHGCKRLVKLELVGCEGSYDGIAAVGRCCAMLEELTIADHRMDAGWLAALAFCGNLKTLRLQGCGRIDDDPGPPEHLGACLTLESLQLHHCQLRDRRALHALFLVCEGAREFLVQNCWGLEDDMFALAGLCRRIKFLSLEGCSLLTTRGVESVVTSWNDLQSLEVVGCNKVKDEEITPALSELFSNLKELKWRPDNKSLLAASLAGTGMGKKGRVFFKRILPGHQRVKEKMLSYPAGVAA >cds.KYUSt_chr2.27027 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165421488:165422431:-1 gene:KYUSg_chr2.27027 transcript:KYUSt_chr2.27027 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLHSAESERGMRVLEVYVGALNRGLEKAIAGASLFSVGMDDEDDPSATLDQVLNDTKRVGFFKAYVGAVAEAIKDGADVRGYFAWSFLDNFEWAMGFTKRFGLVYVDYKNGLSRHPKASAMWFSRFLNGEAAYNKPDTN >cds.KYUSt_chr1.9784 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59759148:59761325:-1 gene:KYUSg_chr1.9784 transcript:KYUSt_chr1.9784 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEDTALTLRLPGSDPDRKRASTSDPDCPSPTAADSPPSPKAQVVGWPPVRAFRKNALAASASARTKFVKVAVDGAPYLRKVDLAAFAGYTTSSAAAYDQLLAALQDKFISHFTVRKLGNEEMKLVDAVTGTEYVPTYEDKDGDWMLVGDVPWRMFVETCQRIRLMKSSEAVNLAPRAAR >cds.KYUSt_chr1.42664 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261070481:261072705:1 gene:KYUSg_chr1.42664 transcript:KYUSt_chr1.42664 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCWGMQGERRWGVLTLVVLDEVENTEDAAGDEEGEGVAGVDDNEQVEILASWPSRAAAGVDVEADVVVRPEKAGDGHANQTQIATAVTRCCGGDGGDDGGDDDDGDGDDVQLDDGDDGVDFPLPEGNFPGGFPARRRALFSLVFSAPQRRL >cds.KYUSt_chr6.14482 pep primary_assembly:MPB_Lper_Kyuss_1697:6:90860341:90860565:1 gene:KYUSg_chr6.14482 transcript:KYUSt_chr6.14482 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAGVGVLDVVQDGEERMEVRFHGSGELVDEVKQNMAVILLVMEVELGVQEEMASVGQRSGGGWEGKGHKG >cds.KYUSt_chr1.42001 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257464163:257468127:1 gene:KYUSg_chr1.42001 transcript:KYUSt_chr1.42001 gene_biotype:protein_coding transcript_biotype:protein_coding MWDEKTTQSLVFLRSAPPKPPASLETTAGNLRSGMPMDAADVVSDEAMFSAEEDPREDEEEEECRICRLPAEPARPLRHPCACRGSIRFVHDDCQLQWIAARQKRRCEVCHRDISIKLLYAADTPSRLPLYELLVGLPDKLRDLLLPLLFVVFAVCVVPEFSIHLATRWAWRLALAESFGQVHYLLSIRLSTASLLALFAILVAVEHWTELFDVSPFARWVDHLETQLLDFEGFDGLQVLALYAIEAFLMILRPGVAIPSHYNIDEPFYKLYFKKRTLVSITIVPVVIFTPIQIADQLAPGLFPLDITISTIIAASRDSFAYMSCERAHLLALNRNLAKFLLVVIVPFLIGSLADLLLIPLLAGPNDDVSVFYIWYMGYVLLRIWMKLVILVRSKIGIQNNLPLFASKVHDTRTTPFLANFIDERWGPKIVRYRADYRSGAISLCWFFQDIFMPIATKLVAALCVPYVLSKGVFPRFGYSAAVNSVVYRFAWLVILGLGAFCYLAKVFCVELHASIRDDLYLIGKRVQDVADGSSDINS >cds.KYUSt_chr2.47667 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298159793:298163349:-1 gene:KYUSg_chr2.47667 transcript:KYUSt_chr2.47667 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEDLIRAIELWLRVAKEQVPLIDPNLDPVLLVPGIGGSILEAVDEAGNKERVWVRILAADHECREKLWAKFDAATGKTVSVDEKITIVVPEDRYGLYAIDTLDPDMIIGDDSVYYYHDMIVQMIKWGYQEGKTLFGFGYDFRQSNRLSETLDQFSRKLESVYTASGGKKINLITHSMGGLLVKCFMSLHGDVFEKYVKSWIAIAAPFQGAPGYINSGLLNGMSFVEGWQSNFFISKWTMQQLLIECPSIYELLASSTYHWEETPLLQIWRERLDDNGKKSALLESYGPAESIKMIAKALSEHEITYDGNQIPLPLNEDILRWAKETQDILCQAKLPKSVKFYNIYGIDYDTAHTVCYGSKKHPVSKLSQLLYTQGEFVYVDGDGSVPAESAKADGLDAVARVGVAADHRGIVCDHHVFRIVQHWLHAGEPDPFYDPLNDYVILPTIFEVEKHHEKRGDVTSVREDWEIISHTDNDHETKRLAELPAMVSTLSASREGKEGSLDEAQATVVIHPESGGRQHVEVRAVGVSHG >cds.KYUSt_chr1.34872 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212468302:212469374:1 gene:KYUSg_chr1.34872 transcript:KYUSt_chr1.34872 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQWRSEKKATTNSYPARAQAPYIQLRIHSARLSDRSDLAASALLLHARPPPCCERGSLISSGLNGMGRSPCCEKEHTNKGAWTKEEDQRLIAYIRANGEGCWRSLPKSAGLLRCGKSCRLRWMNYLRPDLKRGNFTGDEDELIIRLHALLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLSRGMDPHTHRPLTADGANANANAPSYRPAPPQPIAVPARDMLTMMTKTPPQPAQVESSDEGSSGATSTGEPRCPDLNLDLSVGPPAADTPTSHSQQPICLCNHLGFHGGEACSCRQAESAASQSQRGFRYFRPLEEGQYI >cds.KYUSt_chr7.37529 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234163058:234171432:-1 gene:KYUSg_chr7.37529 transcript:KYUSt_chr7.37529 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKRNGAKFAAVKKIISKKTIKKYKDEVLDPRKKDTEAEKLGRNVWVPQVSSALFFSYNMALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVDRITQHKCYIVATCDRDLKRRIRKVPGVPIMYITQHSLLILKVPLDCVMAELEKLGQIYRVALRIAKDPRFEILACTHKGTYADDCIVDRITQVPAIMYITQHRTLTLKKTPNSTKHLKKNENYNEILEKPFIFNLQTVSTARRRSSLSQPDIVDCGQEVAERVEKTTSVPETKK >cds.KYUSt_chr5.42541 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268030040:268031467:-1 gene:KYUSg_chr5.42541 transcript:KYUSt_chr5.42541 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTLDKLTVVRGTPSLVAAARATPRESKPLSDLDDQNCTRFYSTSIHLYRGHPNKQLIDPAAVVRCALAEVLVHYYPLAGRLREEAGRKLVVDCAGQGVAFVEADANITVDDLGDVRYPPFPRYKEFVYDNHVYMADSPPGLLLHEIIDQPLQFVQVTRLKCGGFVVGTRTCHCLGDAPGVAQYMKAFGEIACGAEEPSVPPVWAREIFNARQPPCPSFPHYEYREPDGDHDRLASTPAHELARVQFSFGPEAVAALRSRTAPGTAASRFDLVTACVWRSRTAALGYAPGDEVRLMIDCNARGRRLGTFGREIPAGFYGNAYAYAVARCTAKDLCGRDIGYAVGLIREAKQRITYEYMQSVADLMVLEGRPVYAWKRTFHVSDLGLAGFDDVEFGWGKAVYGAAADDALHEFPGGANFFQRRKNHRGEDETFVGIYLPEDCTARYQNEVEALTTFTKLSSMELAPVTALRARY >cds.KYUSt_chr2.52074 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324919920:324927819:1 gene:KYUSg_chr2.52074 transcript:KYUSt_chr2.52074 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQEKPQQTFGVLRNAAALLDEMQLMGEAQGGKKVINSELWHACAGPLVCLPQRGSLVYYFPQGHSEQVAATTRKIPNSRIPNYPNLPSQLLCQVHNITMHADKDTDEVYAQMTLQPVNSESDVFPIPSLGSYTKSKHPAEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELVVRDLHDNMWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLVGVRRATRQQTALSSSVLSTDSMHIGVLAAAAHAASSGGSFTIYYNPRTSPSPFVVPVARYNKANYIQQSVGMRMAMMFETEESSKRRYTGTIVGVSDSDPMRWPNSKWRNLQIEWDEHGYGERPDRVSIWDIETPENTLAFPSAALNSKRQCLPGYAVPGLELGAANMSSFQRAPGIPYGNLQHMPAVGSELAMMMLLNQSGQNLGTPVSYHQSSYSSIIQNVKQSYMPPSTFGNSTGSTKNESMPSNEAQQQLNTLKMQRGDLESCEALPVIDPISFSELNVAARKTRNTETYPSQSISEQHGKGEPRAKTRKSKKGSSRKAISENSELSSAPSRVCDDQQHGLEGNLLDGDTAHVKRGSNEDSSGALTQGNLSVQMQGQLVEENGLLSPPKLGSSISPDGGKSVNSFPNQGCFSQFFDGLDWMIQPSYYQESSGIQSVSASENIFSPSADIPSTINTDTLETFQNSCLSDSFPNSIQDFIGSPDLNSLTFLSPDMQNFDVHHDGSNLPSTSNSYVQMSFSEDSGTQMESIQRSMNNTSCSQPQTTDGFDLGMYSRLPSLKESQVLSLPEIHNSSRGTSVCSMDATTEYSMERSGKPMKPPVRTYTKVQKLGSVGRSIDVTRYRDYRELRSAIASMFGLQGKLEHPASSDWKLVYVDYENDVLLVGDDPWEPKLPLSSQKRNPKSKPPTDHRDAKIGTETPTAPAAAPAGDGDAAARKRPGAEDAPAAGDGKRRRAEYPSSGSRDRHHHRQKPGDKEGKKERMRASHILIKHEGSRRKASWRDPEGVAISATTRDDAADLARALRDQIVAGELKFEAAATDNSDCNSAKRGGDLGQCSSLHPAKSVGVLRPFERGKMQKPFEKAVIALKVGEMSDVVDTDSGVHIILRTG >cds.KYUSt_chr2.14028 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88743794:88744441:1 gene:KYUSg_chr2.14028 transcript:KYUSt_chr2.14028 gene_biotype:protein_coding transcript_biotype:protein_coding MACRNTLSSVAFLGVLLLSCSYMSSAARHLEEAMPKEEEHPPHPIAPELPKPELPPHPVVPELPKPELPHPVVPKEPEVPHHAVPEMPKEPEVPHPAVPELPKPELPPHPAMPELPKPELPHLVVPVVPKEHEVPHPVVPEAPKEHEPPHPAVAELPKPEIPHPTVPEVHPAVPKLPEFPHPTMPEFPKHELPPFPKAELPPKPEFHFPEPEAKP >cds.KYUSt_chr3.33599 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210976545:210977501:-1 gene:KYUSg_chr3.33599 transcript:KYUSt_chr3.33599 gene_biotype:protein_coding transcript_biotype:protein_coding MCATLSFICCNGLLHFDFVLVANELLGPLLVSGPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFSHCVHLVSWEKENVSSEALEAARIACNKYMTKHAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKANNAVHATEALRRAKFKFPGRQKIIESRKWGFTKFNRNDYLKLKSEGRILPDGVNAKLLGCHGRLSNRQPGQAFLSDDIVA >cds.KYUSt_chr4.2612 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14634939:14641883:-1 gene:KYUSg_chr4.2612 transcript:KYUSt_chr4.2612 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGCERRRQRGSRSWRRKSMLAAEAEVAEPMLSEGESPSDGEEPESDGEEPEWRRCRLCDCLCRWTYFRSCAYRRVAPGIDYVRLPADAAAVADHHLPGWSLLVGIADGASSSLRVSRLRVARSGRILGRSDDALDVFHDIALAKPPEYTFKAGAAPLASDGRALCVLHHALHQQPQALQLTLHPHPQETPLPEIEGITADHCVPISAGGHVWALSATPQVHGISTSLSLVMRRLVPESGGGRRWELVGSPFTSPRLHYPLPPWTGRLLQGYAVIPDANLILVSFLQYGLFLTFATDSGSWSRVLTDTDETRSQRYLPIFGRAIYVEHHKAVYFLHDNIIYAYKLTYQKDDQGGTPQQLKMDLPVQIEFLCPFQPDQGYGLLTLRGIWWSPPCATVAFVKVAVRVLRRVAVFPVLHRDAVVRVLLGVAVVQFTSQFCQEYLVSVLVMGESSSMEKLMESMQRMMAQLLEQAQSRSSSSSDVLKSLEANPVRLTGPGNFFSWSRNAVLILESHGLEKFLKEDEKKPLEVAQEEWDQSQKRVMVWLLSSMDNAVREQVEGFQTAAEVWTSIEKQFSGKSNKMQVTRILHELRNIKQEQKTVTEYAGEIKKLFRDLEYFRPFKAHDPKDVPLLREWFEPILVQAFLEGLNEEFNLRSQLIRDIDLAHFGPNHIKPGHMRKDCFELVGYPPGWKRRPQNRPVRGSNTERRFNQSHLSAATDETQVAAGIQALEEFKAKMMTATTHNPEVASCSGDAQGNGSKGESSNNIYGSGSSVLVPIMDTIPLDNGTEGEPNHDAEANIHEVFDDTPSQQINTDREILSMDSIVSCDEPLHQEVTDNDGAGDEIPPKEMGHSEDTGTSHSTNPSNVGSHPVVPRKSTRKREIPARLKDCVEYQHDIAKFVSYERCSPSFKSFICSLDSKSAPTDWREAIMDPKWKAAMLEEMEALEKNNTWEVVELPKGKEPVGCKWVYTIKQNPDGKVDRYKARLVAKGYTQKYGVDYEETFAPVAKMNTIRTLISCAVNLDWHLHQLDVKNAFLHGDLHEEVYMHIPPGFATAKTEGKVLKLHRSLYGLKQSPRAWFDRFRKAVLKLSYKQSNADHTLFYKKKGNMLTILIVYVDDIVITGNDIAGIEELKQQLKREFEVKDLGQLRYFLGIEVSRSSKVESSVIATLTRLSSRLMCAVWISVAWLDPCPCEHLHAIVTTFYLHDTQDLAQGGIKALHSTFRRLDMVPNPAAHQHFCFLQEYEDQGSLVLQHRGEEEEGLTSPQHVEHPSKMLSCCRSCFT >cds.KYUSt_contig_2825.58 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000528.1:349225:353244:-1 gene:KYUSg_contig_2825.58 transcript:KYUSt_contig_2825.58 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVTGDDQGYIHVYSYIKMEKLQKFRGHADCVTSLSVHPSEPLVLSASWDKLIKLWNWEAGWLCIQTFQGHSGHVLQVKFNPQTAGNTFASCSDDSTIKMWNMDSPTPVASFKCHPGYAHGLDYFCPGGALPYLVTHTKYEGSAQIWDLQSNTCIKHINGLQDERCSVAVVEGPSGRPILLTVSEDNTVAFCDSVTHRYENRVNFNLGDVRDFAYITMTKRYEPREEYATGGPTLDKEGEGEGEQLQPEATAQGQHYRPQQASTTAKPIFEPNP >cds.KYUSt_chr3.5658 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32031993:32033574:-1 gene:KYUSg_chr3.5658 transcript:KYUSt_chr3.5658 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTELHFLLVPLVAQGHIIPMVDLARILAARGPRVTIVTTPVNAARNKAAVESANRAGLAVELAELPFPGPQLGLPEGLEAMDQVAKDPISFFHALWKMTEPLEEYVRALPRRPDCLIADACNPWTAGVCELFGIPRIVMHCPSAYYLLAVHNLSAHGVYDRVGDDELATFEVPDFPVRAVGNKATFRGFFQYPGVEKEQRDVIDAEATSDGLLINTFRGVEDVFVDAYAAALGRMTWAVGPTCASLTIDDDAKAGRGNRADVDAGHIVSWLDARPYRLLAELARGLENSGRPFVWAIKEAKADAAVRTLLDDEGFEERVKDRGLLVRGWAPQVTILLHPAVGGFLTHCGWNAALEAISYGVPALTWPSFADQFSSERLLVDVLGVGVRSGVKVPAMYVPKETEGVQVASGDVEKVIAELMDDGPQGAARRHRAKKLAAEARAAMEEGGSSYSDLTDMICYVSELSRKRSLTSSMAELVSNNGDKIEADNALSVQSLAA >cds.KYUSt_chr2.6824 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42597039:42598130:1 gene:KYUSg_chr2.6824 transcript:KYUSt_chr2.6824 gene_biotype:protein_coding transcript_biotype:protein_coding MMETGSTSQEMEARASLPRPSPPVLPCAVQLQVTAFSAGIDALNRRDGTVNRGVYSVADRLLRVRANPRPDSSSVRSADFVVDASRGLWARVFSPAGAAAWARPSLPVVVYFHGGGFALFSAAQCYFDRLCRRLCRGIGAVVVSVEYRLAPEHPYPAAYDDAMDTLLFIDANGVPGLDDGVSVDLSSCFLAGESAGGNIIHHVANRWAATSTTSKSVRLAGLLSVQPYFGGEERTESELRLDGVAPIVNLRRSDFWWKAFLPAGATRDHPAAHVTDENAVLGTAFPPALVVVSGFDPLQDWQRRYTDVLRRKGKQVQVVEFPEGIHAFYLFPQLAASAEVIEDIRAFVESNRASSSTPESSTR >cds.KYUSt_chr6.10906 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67607602:67608767:1 gene:KYUSg_chr6.10906 transcript:KYUSt_chr6.10906 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRLVGRFLLLVAVAVVGDLPVGGCSLLRFCRRPAVPLPITPKSSAAAAVAPSAGLDAPLLQARRAAPEVPPLRRHRRRRQRDGGGRSGHAAHARLHHRQRAGRAHGTELRPVLFEGWFANDIAAGGQLTTATDVENFPGFPDGILGIDLMDRCRAQSVSFGTNFFSKTITSIDFSACPFRVSSDDTVVHADSVVLIAVVGGGDSAMEEANFLTKYGSRVYIIHRHDAFHASKIMQAHALSNPKIQVIWYSEVVEAYGGSNGGPLAGVKVKNLVSGEVSDFQVAGLFFAIGHEPATKFLGSQLELESEGYVATKPGSTHNSVKGVFAAGDVQDKKYRQAITVAGSGMY >cds.KYUSt_chr3.183 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1125864:1133334:-1 gene:KYUSg_chr3.183 transcript:KYUSt_chr3.183 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRKKRKGTQAPPSRKRRKPTGAAPPSLAPAPSVRTGPGNGGAHAPTERRRRKRTATAAPPCPVPPASVRMGPGDEGTPPQRKRKGSSSPREGKRGRPTDSERSSSIRSSSAGPERSDDDDSSESSAGEGDACEDLPGHGDDPNGSGAGAAGHPHGSPGAASKNVATGGKIVVAHTPNTPPRFEGLLRTITSLIPCEHKGKSCLVLSKTKITSLPKADDASSGFVLTEINTTFFLKLVHDQVNPNSSDIGPRLTQNQIPTELILSPVIMYSIPNGPMGSCLPHCADFSGETYSILLEDGPYISSLEKLDGFNTSLAEIVMKEPLLKMKHIIGSITDKTHCFARQRCKSILQSLFAELAMLFESGKCISCPADIGMHHVLVRANKVKLYGLPVTDYVEELAEENVISLVGMVKSCFPQGEIPTDLYELLADLEKDPLNEIRTAKEDCSLLKAEVRRRLLININSEYMTNVPTKCNDDSHGKIDEFSFFDGCPDAKDWDLIMKDNEYMVQICNVDKSSENVNASVVERMKKKRKTGKNTVSSRKMIKKKKVESNKGKLQFSSYVNFEMRMPEKARKDGILPFRLGMADYILTAYFPGFLRFVQKRMREVKAEREKFMFEIMVQLLVLAFGIDMLSSN >cds.KYUSt_chr2.32392 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199774916:199781851:1 gene:KYUSg_chr2.32392 transcript:KYUSt_chr2.32392 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSKHARHPTTPPPPVAEAPPRRRFPKENVDPSSPHPHPHAADHASPYRSPSSAAKPLATRNRSLPPRPPSSNPLKRKLDVSPARAAAAPAPEPAPASSDSGVQVVVRIRPPCPVEGEEAAEDGRGTEACVRKVATNSVAIQGQDFTFDAVADAASTQEDLFKLVGLPLVENCLSGFNSSIFAYGQTGSGKTYTMWGPLSALSGDSMGCERGLTPRVFEQLFSRIKEEQGKHTDKELTYNCTCSFLEIYNEQITDLLDPMQKNLQIREDVKTACVYVESLTKEFVFTMKDVTQLLVKGLANRRTGSTSANADSSRSHCVFTCVIKSESKNREDGSNSIRISRINLVDLAGSERQKLTNAVGDRLKEAGNINRSLSALGNLINILAEISQSGKQRHVPYRDSKLTFLLQESLGGNAMLAMICAVSPTERCKSETISTLRFAQRAKAIKNNAVVNEQKEDDVNALHEQIRHLKDELHRMKSNGGSEGGNGSFATGWNARRSLHLLKMSLNRPTTFQAIKEDSDEEMEIDENDVEEPSNHMAICPAGDHHSKMFQAPGVTNAGSSHIDAFDGDNNLMPTKRSCSDVNKLGDDRSKLNLAASIQRGLQVIESHQNNGAWRRASVGLNARIRDVLPCKVDVATQTDPEESQARDTTLALVPTCLVEVSANESKDPDACRDLQLVPADGAIPSDDLKQQQILKAVEKVLAGSIRREMARDEQCVKQAAQIQQLNRLVQQYKHERECNAVIAQTMEGKIARLESLMDGTLPTDEFIHEEYISLMNEHKILQKKYDNHPEVLRAEIELKVLQQELDLCRNYMEEKEVLQEEIQHLKSQLHYMLSSSASIRRLWPPMPLSQSINPLHGTKDGDGDTNLVDTADYAEAESKWITLTDELRVDLETNKSLVGRLQSELDSEKRCTEELKEALQTAMQGHARILEQYAELEERHIGLLAMHRKIRDGVEDVKMRATKAGVKGAELRFINSLGAEISVLRAENKGLQDQLRDTAEAVQAAGELLVRLKDAEEATTLAKHRALLAEQETEKAYQEIDNLKKNYDQEIVSLKQRLTDFSQYGDATPQPVASSDLEPAARYDTIGGSTSEQPWQGELDALHQRGSLEVTDLNSWFSGYDRCNI >cds.KYUSt_chr7.15388 pep primary_assembly:MPB_Lper_Kyuss_1697:7:95622554:95623723:-1 gene:KYUSg_chr7.15388 transcript:KYUSt_chr7.15388 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPIEAAINDLRSSTTSLLPAQMLIADLGCSSGPNALELVSVAAEAINRHCDKLQQPPPEVCLFLNDLPGNDFNIVVKSLHTLRQRSSSTVTTGVIPGSFYERLFTTGSMHLFCSSNSLHWLSEVPEVLWKNQIPAYDIDENVRRERLPIVIEAYAQQFRKDFKNFLNLRATELVEGGHMVLSLIGRRPNDSTSEFSDLWEIVAKILRAMASEGVIDKAKFESFYVPMYAPSDQELRDIIQEEGSFCTKDLMVHDLKIFVDKSTINASWALNQIRAVFEPIIVQHFGDVMDEFVRTAEQRWSQEGSLQDEFVRHQTVAFIMSLTKA >cds.KYUSt_chr3.38258 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240962641:240964117:-1 gene:KYUSg_chr3.38258 transcript:KYUSt_chr3.38258 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRQAARKLFLQRTQASAVECRLVPRLIHAGRPASSASVAQETATLKDLTDPNDKEAIAERIILVKGKVEELYGISSSIERHLIGRGPYVRFNRKVVSELSSLVDPKPEDPVWTQMQTSRIRTKFLKFAGFIVYSYVLLHLPQLHNKKDEKTALGPGGMGEVPIA >cds.KYUSt_contig_2278.36 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:248003:248602:1 gene:KYUSg_contig_2278.36 transcript:KYUSt_contig_2278.36 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPWSIMPSTYGKQGTPDAWRKDATREKEAEQWRDESDPEDGFMLREKIIGGRKTFGLVVGKDDDYDEVDMSIEEDDGLCLIDKLKMEDKDKQESLEKLDSRIKRIEENAPGSAEHIRLLRCYLDQMDENIIKGLEANLYICLRPLDTQKNTPEVNKNYIVVLEKLAKERSARIIYLEAFTIALQTKIRNLEGEDPSK >cds.KYUSt_contig_686-1.77 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:568427:568723:1 gene:KYUSg_contig_686-1.77 transcript:KYUSt_contig_686-1.77 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKAFCTCILIFLVISSQAEARLFQKVTAVGKGKEPYDELRGTKGDGRSVRATREMASAAGAGQDGSKTMPMATTVDSRATSPGNSPGIGNKGKTN >cds.KYUSt_chr6.13981 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87461136:87470140:1 gene:KYUSg_chr6.13981 transcript:KYUSt_chr6.13981 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVTQAVENLKKEWSHVVTQLEVCIAAIESCGKMGKGTEEANSLPRLNGSAQDALQLLNALQCRLDLLAEQLPSFEEVQSGQATLASWKDQYQKLRTSLRSANLQAKENIKKAAEEERKLLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQMMVQEVERSANTLSTFDESSSVLRQAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFLIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSISAEDIVAKVHHGPAPTNAPPSVPPVYDEL >cds.KYUSt_chr2.2598 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15599319:15601422:-1 gene:KYUSg_chr2.2598 transcript:KYUSt_chr2.2598 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKRGAGTASSGRGDKKRSVGTSPGSSSGSTSNGRDRPKVDRETKKMDKALENSHNLSRAFKQHTIEDVEKITWKLKTDEEGVIQGSYADFKGVLDARRDCFKKETYHIVNIEVDSEVVLQLLFRQSDGYLVAFRRVSTESSSVWEGWYYFKDNITLPSFCEEQVKMNIVSGYNQFYEIRFGKGIVSSIVKCLLAFTQENCALRTYGEHNRGLLFQTLMVFFGECQRSGIFLEFAELYYESDTLVEVTEELSMHRHSWINLSRVLMALYLGYLYQKHRLNGWEAEVKNGERKMTLEIGEFTDLGHLGIERFIVYEMVDDKRVPNYSSSMQSLLGSDVGQLLHLIKYDEESVQKIHLARMKAGVSEEDD >cds.KYUSt_chr2.3804 pep primary_assembly:MPB_Lper_Kyuss_1697:2:22953991:22956788:1 gene:KYUSg_chr2.3804 transcript:KYUSt_chr2.3804 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFARIQGLDPDHAAKIMGLLLIQDHGEKEMIRLAFGPEALLHAVMAKARKDLGLLPGSGPGTPTSASQAGGHSPFMLSRQNSGRGGGGTAPSPLSVSSPSSWAPPPVFSRSNSVSNGAAEEMAGVREELMSPANGPPSPFFGGDSLILDELHLQDQLAFLSGDSGMGGGGGRQLPQFDNGECRSPGAGDGGLFPYGAGWANGGPGHRRSASVSELCFGGSDGLGWKPCLYYARGYCKNGSACRFVHGGGLSDDALVGAKMDQASMEQQCQDFLLRSKSQRLAAAAAAFPYSPTGSLPGSPSAASKCLSLLLQQQQQNESQRAAAAAAAAALMLGGDDAHKFMGRPRLDRADFASLMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKFRKQPGVDFSGCGSPTGLDARDPFDLHQLGARMLQHSNSANEMLLRRKLEEQQQAVELQQAIELQSRRLMGLQLLDLKSRSSAAAAPTPIGNPFSPKHTTAASPNQSPPDSVGEQGNGCGFLFPHKAVNGADKDESSGDSTSPNTDSDQSAEHNLPDSPFASPTKAAAFARGPFAPTEAEISAAAASTGCNAAYIGIGNGARNGTNHLLPPALDIPSPKPYFFPMSRLSSDHGAIGM >cds.KYUSt_chr7.41106 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254671909:254673989:1 gene:KYUSg_chr7.41106 transcript:KYUSt_chr7.41106 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRPTSNLRAPAPASGPAPQPGSSSSTAPAPAAAAGPIKLRKPVFTTVDKLEPMTEGNNLVARVLSARTVLDKSLPNLTSFRRTQVAECLVGDHTGTVLFTARNHQIEMVKPGNTVIFRNARIDMFKDTMRLAVDKWGLIEVIEEPAGFKVNEDNNVSKVEYELVNVVPEKSKKSEKANVKSEKANVKPEKANVKSEERY >cds.KYUSt_chr2.33149 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204661453:204665381:-1 gene:KYUSg_chr2.33149 transcript:KYUSt_chr2.33149 gene_biotype:protein_coding transcript_biotype:protein_coding MERSHPRSLDSLAIWHLRRRHSARVFPPATTVESAPAVKSALSSLPAIGNVSPPTLDHWPYGITLIGVGLLSLPYALSQGGWLSLIVFLAIAAISFYTGILLQRCIDSSSLVDTYPDIGAHAFGRRGRVIVAAFMYLELYLVAIDFLILEGDNLHKLFPAASFRLGALHVRGKQAFVLAATLVVLPTTWFSSLNVLAYVAAGGSLASVVLIAGVLWVGVFDGVGFHETGRLVHWAGMPSAMSMYSFCFSGHAVFPMIYTGMKDRKRFPAVLSVCFTLSTLSYGFIGVIGYLMYGDMLQSQITLNLPSTSVAAKVAIYTTLVNPLTGYALLVAPVAEAAEGALGVSKSTPLRALVRTGLVAGTFVVALAVPFFAEVVGLTGALLSCTVTMLLPCLCYLKVRSKIGGATAMRLETAACLAIVAVGATIVGLGTYSSVKQIVRRL >cds.KYUSt_chr1.39492 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241640519:241646348:1 gene:KYUSg_chr1.39492 transcript:KYUSt_chr1.39492 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAMDTGELDALLRAADDFGSYPGVHGDDTVRQFLEQFPLPKLLGDVVALQSQADVPGTVETVAACLDKVFSSTYGASLLPSYGEFIQAGLLANSKNIKQLACKAVLHLLEKTGDSAVAVDTFVQHNLYPLLVNCLIEGDEEISAITLDAIKRLAEIPKGIDIIFPQEGQGSLQLDKVAAQSSSMARIRILSLIAKLFAVSSSTATAIRDSNLLSLFEAEIKDRKDMLKTLSALEVLYELAEHPHSNIFLLKTSLLQHITDVINDSSTDPVVRSRASLISGRLLSSADAFMTIDQSCVMNLLLAIDRIFKTEESQNTDEIESTLETLGLIGSTTQGASLLFIDSSNVAKHVVELSFDRQGRGKQLAALHAFGSICGVDRQEDQMKLDDQAEERLKRLVYVVASNSPKLTPSALLLSVLQQDPDIRIAGYRVVSGLVVREWGLREVCSKPEIIRVVTDPTMDTTKLGMEARYACCVAINKALSSSHLLHESSLSELIGKLNEAVKRGPYLLERKRVEAQPVVATMQRF >cds.KYUSt_chr7.38589 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240274987:240276525:1 gene:KYUSg_chr7.38589 transcript:KYUSt_chr7.38589 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGVSVSESQDRSYGGGGRVTAFVVLSCITAGMGGAIFGYDIGIAGGVSSMEPFLRKFFPEVYRRMKGDSHVSNYCKFDSQLLTAFTSSLYVAGLLTTFLASRVTSRRGRRPSMLLGGAAFLAGAAIGGAAVNIYMVILGRVLLGVGLGFANTAVPLYLSEMAPSRHRGAFSNGFQFSVGIGALAANVINFGTEKIKGGWGWRVSLALAAVPAGLLFVGAVFLPETPNSLVQQGKDRQDVALLLRKIRGTDDVDRELDDIVAASDSASAAGGGLQMLLTQRRYRPQLLMSVAIPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSSVVTGVVGAAATLLSMFLVDRFGRRTLFLAGGTQMLASQVLIGSILAAKLGDDGGVSKAWAGALIFLIAVYVAGFGWSWGPLGWLVPSEIFPLEVRSAGQGVTVATSFVFTVFVAQAFLAMLCHMRAGIFFFFAAWLAAMTAFVYLLLPETKGVPIEQVEKVWREHWFWRRVVSEEEVPASGKL >cds.KYUSt_chr1.20582 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121551285:121553039:-1 gene:KYUSg_chr1.20582 transcript:KYUSt_chr1.20582 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLLIDHKYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEDERAVSTEDAKEFAEKENLFFLETSAMQATNVENSFQTVLSEIFKIHSKKNIAADPKVNGAAPSLAGKKVLVPGPAQEIPKSKCCSSM >cds.KYUSt_scaffold_869.2124 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:13116018:13118371:-1 gene:KYUSg_scaffold_869.2124 transcript:KYUSt_scaffold_869.2124 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVALVASLLFSVSSAFPWGGQLDPHFYDHSCPQAQQIVASIVGKAHYREPRMAASLLRLHFHDCFVKGCDASILLDSSASVTSEKRSIPNKDSARGFEVVDEIKAALEAACPRTVSCADVLALAARDSTVVTGGPGWIVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKLQGLDIVDLVALLATPSATHGARASGSGCTTRRATASRTSPWTRRAGGDTAPGCPRSGGDQNLFFLDHVTPFKFDNQYYKNLLLRQGLLSSDEVLLTGSPATAGLVKLYASNQAIFFQHFARSMVKMGNVSPLTGGKGEIRSNCRRVNRN >cds.KYUSt_chr3.40516 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255592729:255593394:-1 gene:KYUSg_chr3.40516 transcript:KYUSt_chr3.40516 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAAGVREGEGMGSSVFCCVAVTSRSKKGKQLSYFRSTAVGEEEAEAARALATLCLNHAPEHHRWHHHTVDRRRIFAFLAGDDGRTYLAIAEPTPGSAEVVQFLERVRDTCSATPRRQRNEAVSSVVQQFVQILQAGPSSSSTTHHMLPGTGGSFSEPSSADEEAQPEEGATQRRSSRPSWRHTWWRRHAMAVIGVDVVLCLVLFSVWMAVCHGFSCVQR >cds.KYUSt_chr1.29714 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179907424:179909376:-1 gene:KYUSg_chr1.29714 transcript:KYUSt_chr1.29714 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRADSSAATCRSITAISPLPIISRRSAGARAAAALSPALPEIERFSYADLEAATSHFADAALLGRGSHGAVYKAVLPSGRAVAVKRPSPRRAEVDNEIRILSSLRGPRLVNLLGYSDPGPDDPRARPRLLVVEYMPNGTLYDLLHPSPSPSPSSSARRPPGWPRRLRLALQTARALRALHHDADPAVIHRDVKSANVLLDANLDAHLGDFGLALRVSSTTNAAATPTPAPAGTLGYLDPAYVTPDSLSTKTDVFSFGILLLEIISGRKAIDVQYSPPSVVEWAVPLLRKGKVVSLFDPRVAPPRDPVARRDLAVLAASCVRSNRDRRPSMSDIVDRLSALSKVVSAKAWKNGMSVVGNPCAIVDVQKTVSKRAAAASKQESTSAVAFDDDDKESDATLEELVPLVGARKPPRPLKNNGKVFSEAGDRERRNLLELMARIDGVAGQRFGITRARTVRGAGDLIEKDAVLILRRNQTVKVVESEALSKAERVPRFDANIKHKSAVKEQEKAGKIQDKVDEIQEKAASREEKAGKIQDKVDEIQEKAAAKEQEKAGKFQDKVDGIQEKSKKIQEKLDDIDKAESIKEKTGQILDKAEKSQSTPAKIQENERKVQVHTELVKTNAEKIQDYVEKIQDNAEKIQCKLGES >cds.KYUSt_chr4.12942 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79541832:79544006:1 gene:KYUSg_chr4.12942 transcript:KYUSt_chr4.12942 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPVFLTKEERQRLALERRQAAVADQRRSALDILQSLPRGGAQQTPPSGAPRDSSASSHRDSSDRDKDRDRDRDRDRRRDDDSRRDRDRDRDRDRDRDEPSRRDRDRDRDRERERDREHRDRERGERDKDREKDRLEKMAEREREKELEAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNALYQTPHEARLLYGRGFLAGIDRREQKKAAAVFEKETRAEQRRKFGVEDRPEDDVADKKKAAAAEMYDAFDMRVDRHWSEKGIEEMTERDWRIFREDFNISYKGSRIPRPMRNWPESKLGSELLRAIEKVGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEEEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMVKESEKMSRLQKILTDLGDKTAIVFCNTKKSADNRSKDLDKAGFRVTALHGGKSQDQREISLDGFRNRRFNVLVATDVAGRGIDIPDVAHVINYEMPSSVDTYTHRIGRTGRAGKKGLATSFLTLENTDIFYDLKQMLIQSNSPVPPELARHEASKFKPGSVPDRPPRRNDTVFASH >cds.KYUSt_chr1.24111 pep primary_assembly:MPB_Lper_Kyuss_1697:1:143794291:143795040:-1 gene:KYUSg_chr1.24111 transcript:KYUSt_chr1.24111 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSQGIWERDVTSGAYGQAALAGYYYYDYHQYMQSTEDTAGGPGHHLLQGRTWQRTPGVGGGGEQATRPGCTLAPRGLVAPRRGADDPYEERSGELVVHGRGAAPASYGTARPAAAERGELPLSLTLDSGRLGSRTSVGQCCTDASEQGSFPTSSSTSLTQLSGCCSGQRCGGAVVNLDLSLSLYN >cds.KYUSt_chr1.34168 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207835853:207842242:-1 gene:KYUSg_chr1.34168 transcript:KYUSt_chr1.34168 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKTKNARGLLLVLFLGQLVAFSLAAGNFTSSLVANLGKLAVLWSIRSCGHWTDHLLTEAVRAIFSELDEMNAKHPIFLGSIQNTEEESEESQGATRRVGRAGHTLAAPPFEEATLSTLLRRLFAYITPFDLKTQYQLTKLQKDSRGAAAIAKLQFGGQNSLFRHPAGTGKCPRKPSPSMPPPPSCSVSSSPMDYGF >cds.KYUSt_chr4.9211 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55637404:55639972:1 gene:KYUSg_chr4.9211 transcript:KYUSt_chr4.9211 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASMLAAFAPPVLLRPSLSLAPPRRTSAAVAVRAVAKTPATAAAPKKKRATGITMPRPVSPALQAFVGAAEVPRTEAIKRLWAYIKQNNLQDPADKKVIVCDEKLKVLFAGRERVGFLEVAKLLNPHFVKVP >cds.KYUSt_chr6.28205 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178736289:178737178:-1 gene:KYUSg_chr6.28205 transcript:KYUSt_chr6.28205 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLTRLLPPDVLADVLRRLESPRRLAASRSVCKAWRDIIDAYRLLRTDLLPLSLGGIFTYIHGTDLPKYFSPVSSETIAPFDYLGTHDVESLTIMQHCNGLLLLGEEENNIGRF >cds.KYUSt_chr1.37253 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227707252:227710619:-1 gene:KYUSg_chr1.37253 transcript:KYUSt_chr1.37253 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALAQMSNATVIDEKVLGECLNEISRALLQSDVQFKMVRDMQTNIRKIVNLDTLAAGTNKRRIIQQAVFSELCNMLDPGKAAFIPKKGKSSVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPSLVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGLERFRKENSDLIIIDTSGRHKQEAALFEEMRQVAEATKPDLVIFVMDGSIGQAAFDQAQAFKQSAAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDIGGLMDKIQDVMPADQQPELLAKLAEGTFTLRLLYEQFQQLLKMGPMGQVFSMLPGFNSELMPKGHEKEGQAKIKRYMTIMDSMTDAELDSTNPKLMTESRVIRIARGSGRQVRDVMDMLEEYKRLAKMWSKMKGLKMPKNGKMSDLSQNLNIQQMTKALPPQVLKQMGGMGGLQALMKQMGGKDMSKMLSGMGMDGD >cds.KYUSt_chr7.39192 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243880413:243882079:1 gene:KYUSg_chr7.39192 transcript:KYUSt_chr7.39192 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVVACTEARKFRMVGSHQAQNSPQDYVSPHNSARAAVGVAGVSWSTTLQAYAQNYANQRIGDCKLQHSGGQYGENIFWGSAGKDWTAADAVNSWVSEKQDYDYRSNTCRAGKQCGHYTQIVWRASTTIGCARVVCNNNRGVFIICSYNPPGNFVGQKPY >cds.KYUSt_chr7.6831 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41208115:41223475:-1 gene:KYUSg_chr7.6831 transcript:KYUSt_chr7.6831 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEHVIPKDMASPINFNQFLEKEKLKSNGSNFTDWFRHVRIFLSGGNLQYVLDAPLGDPPAETETDEVKNVYATRKTRGKSKGKFKKGGKKAATPPMKPKNGPKPDAECYYCKEKGHWKRNCSKYLADLKSGLVKKKKEGHIGVKRMKKLHLDGLLESLDFESLDRCEACLMGKMTKTPFSDNNDEDPATYEEAMMSPDSNKWQEAMKSEMGSMYDNKVWTLVDLPDSRKAVENKWIFKRKTDADGDQELVVTSYTDASWNTDPDDSKSRSGYVFILNGACGELGKLEAVHGGEVFNENRVHSGFGGFIEAVWMKRFIVELGVVPSALDPLIIYCDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEDGEVKICKVHTDPNVADPLTKALPRAKHDQHRNAMGVRVTHLKLDVEMVELEYGMEFEYLFGVPDEIPDITRSSGMVRRIRFIYRMSFYVNKIDAEGSMEGRPIVDEMHIQSCRLSAHANAYAIEIATAVFLNSIFKRDHHIDHVRPAIDAATAPDDSTILRASITLHPSPRHHCTMPRRLDDADTAKAHRSTSAPPPSVVCSKNDVPNRCWKKQLLIAKDARRLDVLCHRIFLSHKILISTQKYLVLHEVVDTALKKLEGELGPITGLPDKGRGIVGRLPVGTEVQRLCTRAIETLESMLNGALTADSQIQSSRTVSSDFLKVEDISHDSFTVVFDLDACPTLSQGLTGFNLWHRKASEEDYPSKLTGIIPMPARMLVVRGLTPCTSYVVKVVAFTGSKKIGSWEVRTNTIGCTKGLDDKDSLPADVGKDPNNRSTKVSSSGLSNPPTEGVESYKVSTNYVDLVSSSDSDVESNHSRDRKIDVVGLTEVDGPDRAPRVPASGLDGNEKEPGAAAQAALLKRSTEVTVCGQRALKQNMGTIGPEIASHVHTENKSVSPPEYRGSLLHAMQKETENCKLVSAMSFEAKSGDHFPQDDSSKTETDPACLPCKRTPGRTEDGGHKDGRSEPSTSAQVCSLLKSSNSVHHKQVISLENAPGSLDPRTGNGIKSGNRIIGTAGSNNDNHVPQPVPLKPGTKLWSPSSSNPSGKPNDIEQTDESAYVFCVKVIRWLECEGHVEANFRVKFLTWLSLRASRREKKIVSVFVDTFIDDPASLAGQLSDTFSEAIYTKWTPMAP >cds.KYUSt_chr1.41952 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257230681:257232064:-1 gene:KYUSg_chr1.41952 transcript:KYUSt_chr1.41952 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPENMSPSVANEEQMKANVLAIMNAWKCHVPKSLGIPLLVWSLALIPAGMGLSADGQTLRRRPKVRAVGVELGEASPLCVPSA >cds.KYUSt_chr7.26272 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164055206:164056600:-1 gene:KYUSg_chr7.26272 transcript:KYUSt_chr7.26272 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASAAVLRRLGDRLGLRRLATLPDYAAGEAERPHHPTSKDAYFAAVHHLSTIVRRDFYLERTLNRLRLPSPFPPDLALRVIRAAAPAEPLHAARFLAWLRAKPNFSPSAEHFDALLLPLARARLFTHLWTQASNMRALGLPLSPSTFSAVISSYGHSRLAEQAVEVFNRLPHFGCPQTTQVYNALLDALCANGNFVGAYKLIRRMERKGVAPDRATFSTLVDAWCASGKLREAQAFLDDMATRGFRPPVRGRDLLVDGLVRAGQLEEAKAFALRITKEGVLPDVATFNSLAQALCDSGDVEFAVNLLADASSRGLCPDISTYKVMLPAVAKAGRIEEAFRLFYAAVEDGHRPFPSLYAAIIKALCKAGRFADAFAFFGDMKSKGHPPNRPVYVMLVKMCVRGGRFLDAANYLLEMSEAGFEPRAPTFNVVVDGLRHLGKHDIARRMEQLEMSLKETKQIGN >cds.KYUSt_chr6.2429 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14184393:14185552:1 gene:KYUSg_chr6.2429 transcript:KYUSt_chr6.2429 gene_biotype:protein_coding transcript_biotype:protein_coding METAACWIERLPEELLAAVISLTSPPDACRIAAVSRAFLATADSDDVWCRFLPGDLPRFVDAYESFLMALPSCKARFLRLSDDPALLLGRVTRMWLDKTTGGKCYMLSARALNISWGDEPNYWRWIHLDVLHDGKRITFEAAQLLHVAWLEVRGNIDSRMLSPNSTYGVYLVFRLDPKTHGLGFPFQEGLISVGGSVSTRRACLHCYDEDGAGGVVPRKYVVDSTRTYWPRPSSSHTVLVEDDVTLPQRRADGWMELELADGFYNHEDDDGEVRVALNETKRLYSKGGLIVRSIEFRIKQQNI >cds.KYUSt_chr2.988 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5696585:5700140:1 gene:KYUSg_chr2.988 transcript:KYUSt_chr2.988 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSAYVAIPVVLRAGNPHLLRHKEASWSTCISWMRAPLQPYNSTGAILSADADGVLHIAARLEHVNLVHEINRTWSYAVDVAANNTRGENALHCAAATGNVALIDLLILIAGDDDKKRQLLREKNGKGETCLHEAVRRGKKDAVERLVQEDVKVNRSDGSGYVLVNIDDNEGVSPLYLATTLRQLDIVQFLTEPPRCGDVLYPTASYAGPGSKTALHAAVLLSKELSEHLANWKNEIIDKADAFGSTPFHLLASTKDIIIMKLLLKMDASSGYCPDREGSLPIHVAAANGSREIVKLLSQSRPNCSLSRNNLGQTILHVAIQNGSSDAPQHWIMYALILAGADYGTCRRDHLAPNVEKLDREKESELISKSAGPVAVCAVLILTTAFAAPFSVTKFYGRRVLTEKDLNMAFAYRIFVMSDAMAFAFSAVAISCSTLAGFSFTNNGRRRSVYLGAGVASLCSAAVCIVVVFTAGVYVAVAPVVDVPTNVFVCIFGALAVFIVVQLMPLLMMFRHTEALIVRLGLGAWFRAVICVLPRQRRDTVPVRYRGLYVRELSMAFITSVICVMFFGTALLSAKRDIKA >cds.KYUSt_chr6.19056 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119865026:119867638:-1 gene:KYUSg_chr6.19056 transcript:KYUSt_chr6.19056 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRAPRSSHQDRHQRLVARVALQCPDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDAKTLLFGEKEVAVFGCRNPEEIPWASAGADYVVESTGVFTDKDKAAAHIKGGAKKVIISAPSKDAPMFVMGVNEKEYTSDITIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHAMTATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPVLNGKLTGMAFRVPTVDVSVVDLTVRLEKAATYDQIKAAIKEESEGKLKGILGYVDEDLVSTDFQGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSTRVVDLIRHIHSTA >cds.KYUSt_chr3.35995 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226243363:226247952:-1 gene:KYUSg_chr3.35995 transcript:KYUSt_chr3.35995 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGAAAAAHRPVQEDAVSAHRPSPIAQQSSSSSSSEEEPFSDAPSPVRAVLNRPWPSPVLIPSPSGKVRTLVATSDTIGPPITPEELDALEDKEGDDMCTLHYKAAGRAGATIRNYVHQHGSLYLDENGGFMIDHSPLMEEIRCKNVPVEEMEERVFNFEVTKEQTYLSELALASYNKRRKVKFELCKMLLSRSFWEDRGYFVHLNFIAKRKDKKKLFFAEIEDCGGYYNMLVPTPPQSRKGLDFSKCYACTQSLKHPPSDGSDHSGHDGSCVFAFKQEPPEIELASFIRKLRTTPSSPLASMAGQRKRSGSAALDQVTPANPIRSALHRRQQSSSPLATVAGRGTNLAVIFVAALLSPATTASQEEQVNRRTPAKKETTTKLFIDGDAGEESTLQLLPPLELTAVDPPPEAPDPGQRSRSSTPKHRQNAKKPTSTTRIYSDASSSPSPAG >cds.KYUSt_chr2.7060 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44192024:44193182:1 gene:KYUSg_chr2.7060 transcript:KYUSt_chr2.7060 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKELLEVDPSLEQQMADEAVPEEDDYEHPYPSLEEELEIAKAALAAFPEAAALELDKLEAAAGRLVEQSRPEHYAGQVKTRLSHDDIWLILALKRDKAEDEATGREYLDSLESMLSDGASRGAFPDGWLEDRKREHMEMLPFSGKIREDFQAFQSKVRGDLIHKGFVEVDEDYWEKRATMESLFREEWEHIEEWRQVLFEQSEVQSYMVAYFRLGLSVYVFT >cds.KYUSt_chr7.31902 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198613242:198613751:1 gene:KYUSg_chr7.31902 transcript:KYUSt_chr7.31902 gene_biotype:protein_coding transcript_biotype:protein_coding MALQIRSKSSWSEQRSDPSCIGGEVAWWMDAEPEAALKLIRSDGLDHGHGAHDLSELAAIRVDRGHAQQLRHTGASAPDSPATTSSPVPTQLSAGQIMARKRMSEKGSQRVVGWALAQRCPARQPPGSSPIARGTLHRPPTTSPPSATLKAERRAGAWRMRLGSGAAGG >cds.KYUSt_chr5.38593 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244164237:244164488:-1 gene:KYUSg_chr5.38593 transcript:KYUSt_chr5.38593 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRRAALVMLVVVIMVTCTGLAEAARPAPAERSSRGEVEAAVNLAVYPPAAAAAVDKARKTVAMLMARLPAGSSRKGPGH >cds.KYUSt_chr6.23283 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147075156:147076873:1 gene:KYUSg_chr6.23283 transcript:KYUSt_chr6.23283 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGPRGRGRGRGRGRGRGIAERSPSPSTPPPSSSSEMDVEPDVLFEFVHVLKGDPRGIQRLPDSFAEYVGSVRPRTMHLREHSCGYCRWIVKAIYDARGKMYLNIGWEKFARHHSLQAGFILVFSYFGNGDMKVKVFDERRASRDYHVDGDSHDDSDRRGGRPNECCFFAANTCTEASGQWQETPHYKHHHLLQDLNQEKSPEATMCGCDDDCCGCISYRTRENIKYGCICFGIVAAIVLFAVLLAAYAFLRHITIIVEDASLTRFALLTSPTTALAYNLSLTLSVRNPNWAMTMKNTEPFEAAYKFDGQQFDRVLLADKGFKHSPGKTILYRLATSSESDYVSLGNAGVAEYKKENQTGVFELQVALTRKVSYTARYTKCKIEATCPLKLRIEQPGATTVVFEKVKCKLAKAEKNC >cds.KYUSt_chr4.33284 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203906142:203908781:-1 gene:KYUSg_chr4.33284 transcript:KYUSt_chr4.33284 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIANLALLLATVMSCVHASCWPRERDALLAFKRGIKDTHDVLASWQKRRHDCCSWTGVACSNETGHVTELDISGLGLVGQISASLLSLQHLEYLNLNNTFLLGPNGHAFPEFLCSLHSLRHLDISHTPFSGRLPAQLANLSNLEYLDLSWTSMHGRAPEFLFSYKNLRYLDLSHTTFSGKLPPQFANSSKLEHLDLSSTFLSGTILPQLGNVSNLRHLGLGFTQDIHTSDISWLNRLQFLEYIDMSGIDLSTADAFLVANKIPSLKALLLINCSLPNANLTLLHLNLTKLEQLHLARNYLGHPIETCWFWNISSIKDLGLGETYLYGPFPGALGGMTSLQRLDFSNNGNSATMAVDLKNLCDLEELSLKGSFAFGNITKFVRKLPQCSSIKLFFLSLRDNNMTGILPDMMGHLNGLRYLYLSNNSITGAIPSGLRNLTSLERIDLCLNQLTGQIPMLPRSLIGVGISMNCLSGPLPLDFGAPNLTELSLSSNHLTGHVPRAICQLQNLVTLDLSSNLLEGEFPSCSTMPNMEFLILSNNNFSGNFPSWLQNSSSLIFLDLAVNKFYGMLPTWIGELVNLRFLQLNHNMFYGDIPVSITNLILLQHFSLASNNISGSIPSPLSKLIAMTLEHPPRLGSDKYVHGDSNKDILSVVMKQQELKYGTSAVNEMVGIDLSLNHLTGGIPDEITSLNGLLNLNLSRNHLGGKIPMKIGDMKSLESLDFSRNNLSGEIPTSLSNLTYLGSLDLSYDHLAGKIPTGRQLDTLYTENPCMYSGNIGLCGPPLEKNCPGNNAPKHGNQQQGSGNGYDPVLFFYFGLAAGFVAGLWVVLCVLLFKKSWRIAYLRFYDKLYDIVYVFVVVTWGQDNQLTGALNQVRPI >cds.KYUSt_chr6.12556 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78461934:78463537:-1 gene:KYUSg_chr6.12556 transcript:KYUSt_chr6.12556 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAVGVADEKPHAVCLPYPAQGHITPMLNVAKLLHARGFHVTFVNSEYNHARLVRTRGAAALAGVPGFRFATIPDGMPSIDDNDDDVTQDIPALCKSTTETCLEPFRRLLADINGSASAEGHPPVTCVVSDVIMGFSMDAAKELGLPYVQLWTASAVSYLAYHHYRLLIHRAIFPLKDVKQLTDGYLNTPVEDVPGLRSMRLRDFPSFIRSMDPDEFMVGYAIKETARTTSASAVIINSFADLEGQEVDAMETLLGLPKVYMLGPLPLVATAPHSTAIISGLSLWKEQEECLQWLHGKDPGSVVYVNFGSIVVMTNEQLVEFAWGLANSGREFIWIIRRDLVKGDAAVLPPEFLAATAERGFMASWCPQQEVLNHPAVGAFLTHSGWNSALESICGGVPVLSWPFFADQQTNCHYQCNEWGVGMEIDSNVQRDEVSSLITELMEGEKGKAMRKRAEEWREKAVMAAKPDGSAHRNFDELVRDVLLAKH >cds.KYUSt_chr4.52193 pep primary_assembly:MPB_Lper_Kyuss_1697:4:323941363:323945665:-1 gene:KYUSg_chr4.52193 transcript:KYUSt_chr4.52193 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVSGMPLVDLTHNGDEVGPNDVVVTIFSLMAVLFIPIGLAALFASLQVVELVERYDVSCVPVDDKIAFIQNSKIDKTCNVTLQVPKYMKSPILVYYQIGNFYQNHRRYVRSRNDKQLRYKNVHLGKECEPEGHAAGGAPIVPCGLAAWSLFNDTFTVKVNGKTIEVNKKDIAWKSDKKHKFGNDIYPSNFQKGRLIGGAKLNESIPLSEQEDLIVWMRTAAFPTFRKLYGRIEKEIMAEDNITVCKACSVPPMENVKDTFTMKVILILLVILPPLHMAAAVSCASTCHMSAPGLSASTLA >cds.KYUSt_chr3.30982 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194403776:194404510:1 gene:KYUSg_chr3.30982 transcript:KYUSt_chr3.30982 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASHLLLLAMAVLLAAAAPGVEAWGGRMFFSKMTRPEVVAEADKAADTTTAGTTEAFDANSAPAAFSSRPSSGGSNRGYGLYGRPEENEKYPPAYFRRGVHHDAEKRTTTNTNVVPEAAAAVPVQEQEEESSGEKEEPAFPENGSGRGRPLSYMRHGGKGKRGDYGMSDTRLYQNGRYYYDVEADRYGYGRESNPVRTRPEPEDNGSGYGRPGGERRSGRYGNNEQNDDGFEEDQNDQYNP >cds.KYUSt_chr5.38543 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243856196:243859194:-1 gene:KYUSg_chr5.38543 transcript:KYUSt_chr5.38543 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDLGEHKETVMRRWCRSSSEASLWSNTSTTSKPFVIQAGEDRRSFNLLRRPLRSAVAFIGGFEASGVVPASEFEGDIAGLLLIGGEREGLDCFSLFVSEDSHACATPMEEMDAKDRSACYVCGKVFVSYHVLSRHKTSYLMILRKQLSL >cds.KYUSt_chr5.38838 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245863420:245868438:1 gene:KYUSg_chr5.38838 transcript:KYUSt_chr5.38838 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAASTAAATTTRMAAAEEVRQASAAATAAEAASASVPAPAGSRWARVWPSALRWIPTSTDRIIAAEKRLLSVLKTGYVQESVNIGSAPPGSKVRWFGSSSDEPRFINTVTFDSKDNAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSSETDQSSEWVTKFRATWKGMLANHLWESNFTPQRIVRGLGPWGPDLVRRYTTARFGSHSMGELLTDHESSLLTDYIYHTLAAKASGELCLKYIFSFGAFAKKPLLQSASDWKVPTTFIYGHDDWMNYKGAQQARENMKVPCEIIRVPQGGHFVFIDNPVGFHSAIFYACRKFLSEGAEEGLSLPDGLISA >cds.KYUSt_chr2.33862 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209275713:209276599:-1 gene:KYUSg_chr2.33862 transcript:KYUSt_chr2.33862 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAAAGGCMAGCLEVRDVRVYGAVSVVVVLRWRMRFSLPRVVLRLAHSAMAEARGSVEFVSVELCPSVMSAAFGVAMALGCPSTTVATFLVRGQEDVQRLSWWNSALRWCLRLSASRHDLGSGMPFDNGCDAPSSFKV >cds.KYUSt_chr1.9731 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59490449:59502800:1 gene:KYUSg_chr1.9731 transcript:KYUSt_chr1.9731 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDFIDGLPPSRQYNCILLVVDKLSKYAHFIPLRHPYTAAKVAELFVDNIYKLHGMPLSLVSDRDPVFTSNFWQAVFKATGTQLRLSTANHPETDGQTERVNQSIECYLRCFISAHPHHWSKWLSLCEFWYNNNWHSSLGKTPFQVIYGREPRYFGITATDQVASGDIQEWLRERALVLASVKQHLLRMQQRMKRQADKKRSERVFSVGDRIFLKLQPYLQSSVARRANHKLAFKFFGPFQVLERIGEVAYKLDLPASSRVHPVFHVSQLKPCLGPGQQVLPKLPSADDVFQVPIQVLQRRVRQQGLRTIVQGLVQWSGSPESMATWEDLEALHQRFPLASAWGQAEFQGRGNVSDPVPANDAALEARPRRRARQPEWLKDYQLGHNKRLPKKNELIIWLQLTDRQVLKKICDHPQILTKRAAEHILEGMDGMLKNQEMGMAEKMAMNLADMAHDNDDDDVVEVGPEVSCKLFFILALLRNLLEEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRMDGVTEVSERERIVKNFQEGLGAQIFLLTTQAGGLGLTLTKAARVIVVDPAWNPSADNQSVDRAYRIGQTKDVIVYRLITSATIEEKIYKLQVLKGALFREATEKNEQTRYFSQSYFSQSEIQDLFSLPEQGFDVSLTQKQLQEEHGHPLDMDESLREHIEFLEQQGIAGQAIEQEQQHSNTAQQHTHCLNRLEEDYDNNEGAEIIGYDEPDLSGANMASSRPGRNVKVMDSTLSEYQGGLADILRAMLLEFGCDPQIQVKKYMFYDGTVLAKCRVGLRLPESLGMNVVMPAGEARTINTAYHIAVMRAITDIREHKTKELMDSEFSHIPHNQEEEDPMLNHYKYAKRKPIAAAKYMDNSRNFISLLRRLNHHPIGAIDTMLEEFLEPKEESRAKEPMENVVHTPVYSAGDYISIDPLEREPTPVTPGNYLGSSYGGYEGGEESGNNQRSETPIENSTGWRWGSDHRNP >cds.KYUSt_contig_1861.144 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:731101:733284:-1 gene:KYUSg_contig_1861.144 transcript:KYUSt_contig_1861.144 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGMGADLSLDLKMFAAKSLGRVRDAPAAAMDECIRRLEEEKSKIEVFRRELPLCARLLADVIDVMKKEVEEKRRGGDQEKGKEDAGAGDKSNWMSTAQLWTGDSGRCDDASEKQAERGSSSELKSNSGQVLPFKAVGSGAPAFVAPSLRNDDKAYEARMLDLPFLSPAPARTSPAAATGGAEENRRQVVGFAQEAARAAAALPPAAPALNLQPQSQQTAQQQQQARKARRCWSPELHRQFVTALHQLGGPQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRRTPGSPIPNRPIVLMGGLWITQEQSSSQSAGSPPGPLHFSNSAKVYPSVMAMSIPAAASRSNLKTWDNTKISFDSSIEERLRILILCLVT >cds.KYUSt_chr3.42732 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270148156:270149361:-1 gene:KYUSg_chr3.42732 transcript:KYUSt_chr3.42732 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPMSDPMSKITDDILVDIISRVPYKSTCCCKCVSTRWRDLFSHPDHRKKLPQPLAGFFYESYNRDRFPKTARYFTNVSGKGHPLVDPSLSFLPGYDSLHILDCCNGLLLCRCWKPTDPKTLDYVVCNPATENWVVVTATDWSSKVVVARIGFEPAVSSHFHVFEFIDQEVWGIDQSELSAYRGRIAAVATYSSKAGVWTHQMVEDEEFVIPAHSKGVFFNGIMHLAAFDYMVVTFDVKGNLLRVIGTPSPSYRYEFPVNDVFLSQGQLYFSYGTDSESDNGSETSSESYSSDLLVWVLEDYSSEKWTLKHTVGHLQLFGDKFSGYPDYNVVSFHPERNMIFIVCGDENSLMSYEMDFRKPRFLRRLGCECQLEYRKVDVKTPFIPYVPLFMESLADGP >cds.KYUSt_chr6.1092 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6935118:6935501:1 gene:KYUSg_chr6.1092 transcript:KYUSt_chr6.1092 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVMITDDLLRHVLSFLQTCVLETRWRDHWRRTANLDFHVDEMSRFPRRGRFEQLAKLIVHLRGNSPLQKCEIDATFDNEMGITYTNTMLLIEYALNCHVKELQVSAGYDVEDPRRLDVPLISST >cds.KYUSt_chr2.32699 pep primary_assembly:MPB_Lper_Kyuss_1697:2:201545619:201549470:1 gene:KYUSg_chr2.32699 transcript:KYUSt_chr2.32699 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFFGGARLLALAELVLMLGEGVGRPKDIVKAARADEQMEVCRKKVSRINYSAIFLDHQAIQKLNLYDDILWHVEVPVPTAKKNEVLLKLQAATVNPVDWKIQKGDMRPLLPRRLPFIPVTDVAGEVVDVGPGVKDLAAGDQVVAMLNSLNGGGLAEYVVAPANMTVKRPSAVSAAEGAGIPIAAGSALKSLRSIGAKFDGTGKPLNVLITAASGGVGLYAVQLAKLANLHVTATCGAGNMDLVRSLGADEVMDYKTPEGASLQSPSGKKYDGVVHCTVGVSWSTFRPLLSSRGKVVDLTPNLSAILTSGLHKVTFAKKSVVPLFLRLNKADLEFLVGLLEEGKLKTVIDSRFPLSEASKAWQTSIDGHPTGKIVVEMEG >cds.KYUSt_chr5.8001 pep primary_assembly:MPB_Lper_Kyuss_1697:5:50541085:50545140:-1 gene:KYUSg_chr5.8001 transcript:KYUSt_chr5.8001 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPKRDLALTGAGAGAGREAAKRRLRLGVFTGASASASAAGWPVTPASPGKRMMRRSVLVVLFLLRMCAPPGSIPIYPLLHPSGQVYPELCFLVSDSSVPANRRNDTSMTMSQRISQSQIVRMLQKLQKDQALMMSKFESKLESKLDKMDGRLENLEEKMEGVILEVEPYQESGTASGSNARNTHLRFLNGPNLKTPIYTEKKITSESNSAIRIGIFDADNKMIREGPLSKVKVEMLVLRGDFCSDGRESWTEEEFNSHVVQGRHGQGFVLGGDCSVWLNNGEASFGGTVRFKEGSSRTRSRKFIVAARVCIDGKVADRVREAVMKPVTVLDRRNEANEKRHPPELDDEVYRLEEISKDGTYRKRLNDAQIYTVRDFLKALNKDANKLRGEILRIKRHSSSWEKMVKHARECCLTDMHDLKAYQNIEGNVVIFLNCVHDLVGAKFDGVYTAQENFDPAKKALAYELKECARDQLDSLPFNYVMNGHLPEQVPSSTRSSLGAAILAPDEALEGEGIPPLSQQQPIAFSTPPQWDGELLDWILEGPGQACIHSQMQVPMPMDGGSAMEASTSVQNSVLQQPSVTEPSTWHGDRLPGAEPSDYPFQGPGYERF >cds.KYUSt_chr4.49778 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308305392:308305925:1 gene:KYUSg_chr4.49778 transcript:KYUSt_chr4.49778 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDWEDRCRRHPEHRLSKGVCPGCLRDRLTHLSASSSATTTMTRASNDSASTSSPYSFTGSPPPNHHAASLSADVSSVHVAGGGSSFVNVSAFSQPLMPTASKKPAGGRREDAARGKEGEAKKKKKSSSKKKIGRFLSRLVGSEKRRRTGDTDGGGELFHSSTMKEKSSTKWVFF >cds.KYUSt_chr4.3644 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20678832:20684323:-1 gene:KYUSg_chr4.3644 transcript:KYUSt_chr4.3644 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAASPSASADGGAFLEFVDYAISVLTSSSGGDGDESPPGAGPAPARPPWGWTVAQVLKSCRAYSSGVTAAILLSDLFQSWTEQRKYLTAKKKVEVTSLLNTRSKRRRLPSTITIDSIHEKNFLSPKSVLEAVVIDVFVLPGTNIYMLTLGDMWSTSTIDLYLHRRYYSYIGQHCILKKGREVVLTGCCLRSAVEGSGRARILPTEYMVILLDEDQDEDAMLLAAQFCTYSFSSMRQEEGGNDVVYSFYARIEKIESLEPFRCTERKQIVLVDSDGEKINFILWGEQVSLANLFSVGSMLALDSPFVASSEESQELCLEYGSATQVYLVPIAQQEEKVLHTPTQVRSQGPRLSCVPIDNMASQVTLPRDLHGSVDFSKYPFRSYVSDLHGKMVGVSLFGTVTSVCKVSASGTTFCLEIEDVTGVALTKLNFIGHWSLGRVGVGHMVYISGLTCTLNKTKILEVSWREKETGSLFVNLSLLPALLNSTCLHNLSLLSDLPHSANRTHICRVRLDHIDCNSLKLPLFHNLCGHVVNEQPDGLYCSFCEVACQSGCTHGFQLHLTIADDSEKVFAWCLGQTAVEFLQISPDEYMELPEDDQAMYLFTLQNESFTVAIANTSKRVDKYAENDKALPAWEITRAQKCE >cds.KYUSt_chr3.10506 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62426162:62426680:1 gene:KYUSg_chr3.10506 transcript:KYUSt_chr3.10506 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVEVAILSVALEMLPVEVAMLSVAGEMLQVEVAMLRVVGEMLHVEVVILSVALEMLPVEVAMLPVVEVAMLLVAGEMLQVEVAMLPVVEVAMLPVAGEMLHVEVAILSVALEILPVEVTMLPVAGEMLQVGVAMLPVAGEMLHVEVTILSVALEMLPVEVAMLPVAVEML >cds.KYUSt_chr7.35984 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224867700:224869925:1 gene:KYUSg_chr7.35984 transcript:KYUSt_chr7.35984 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNAVWFTLAIVLITLVLRIIRGKIAVAPVSGKSLPPVVNCFALLGLWPSLYSKDLPSKINFLYNKYGSVFTISLFGNHITLLIGPQVSAHFFQGLDSDISHGKLLEFTVPMFGREVAYGVDIATRNEQARFYVDAFRQSKLRRHFDPMLQEVEGYFSKWDKEGIVDLKHEFEALLMLISSRCLLGKEVREKMLDEFYTLFRDIESGVNLMSVFFPYIPTPANRRRDKAHAKLVELLSEIVRSRKSSSRVEEDVLQKLMDSKYKDGHSTTETEVAGLIIGLIFGGKHTSSHATTWTGACLLSHTKFLTSASEEQKEIMLKYKGKIEYDALLEMDTLHSCIKEALRMNPPAPMLLRKAHKNFTVRTKEGQEYGIPKGHTIASPIVQNNNMPNVYKDPHLYDPDRYRADHAEDVEVILALYLEKWLIRMGCWWITASRRESKMHCRMVCLAPTSRMI >cds.KYUSt_contig_915.275 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:1816120:1819798:-1 gene:KYUSg_contig_915.275 transcript:KYUSt_contig_915.275 gene_biotype:protein_coding transcript_biotype:protein_coding MATRARFVYTRRRADDDEEEEQQEASSSSSEDEQEEEEAEGSDEEGVAEEEEAVAEEPPARKAPTPAATGRDGRKGPITISLKKVCKVCKKTGHEAGFKGAVYIDCPMKPCFLCKMPGHTTLTCPHRVAMEHGVIPAPRRNTNTSLDYVFQSQVKGKISMVKPRFLVPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGIWDYVKLHEKITYDSVHSCILNSMKLDTANDGVLYTASSDGTISSTDLDTGMGSPLLNLNPDGWNGPSTWRMIYGMDLNAEKGLLLVADSFGFLYLLDRRSKERIGQPILIHKKGSKVTGLHCNPAQPEVLLSSGNDHFARIWDTRKLEPKSALASLAHGRVVNSGYFSPRSGNKILTTCQDNRIRVWDYIFGNLESPSREIVHSHDFNRHLTPFKAEWDPKDYSETVAVIGRYISENHNGVALHPIDFIDTSTGKLLAEVMDPDITTISPVNKLHPQDDILATGSSRSIFIWKPKNDSDDDPTEERTSQKVKEYVYGSGSRKKANGKRGDSSDDDSDGGSDGKNKKAKKTRFTHTVKGKGKSKA >cds.KYUSt_contig_402.52 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:256716:257234:-1 gene:KYUSg_contig_402.52 transcript:KYUSt_contig_402.52 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFPERDPCPDRILDDLGGAFAMGAVGGSVFHFAKGTYNSPNGARLAGGMQALRMNAPRIGGAFAVWGGLFSVFNCTAVFVRQKEDPWNSVIAGAATHGSLNLRRGLGAAARSAVFGGCLLALIEGVGIVLNNVVDESARPQPPVDDPNLAAATATATGGGGFQASNDFQ >cds.KYUSt_chr6.26461 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167567121:167570913:-1 gene:KYUSg_chr6.26461 transcript:KYUSt_chr6.26461 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os02g0182100)] MTVDKRVSGGGGGGDQFPVGMRVLAVDDDPTCLKVLENLLRRCQYHVTTTGQAATALKMLRENKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRLEQLKTIWQHVIRRNTKPRGSDNDDAGQKAQNGDGENGGANRNKRQSRRDRDENGDDGDDSDDNSNENGDSSSQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILDLMNVENITRENVASHLQKYRLYLKRMSMDASRQANLVAALGGRNPAYSNMNSLDVFRHYNNAYGRYRPVPTSTHSQSNNFLARMNTPSAYGMHGLLSSQPLQHSHAQNTLGTSLNDLGVNNGNLIRAPHMSTMVTGTSGNCFANISNGVSLAPPNRSVQPLESSNRQHLGRINSSSTDSFSSFAGESPHFPDLGRSSNTWQSAVPSNIQELGQNGSMSQATLQVNGHRMEPVSSFTTSSNQITSLGNEMQSQTASLASSTLPMVFNQDAAPFTFTSSTNSREVLNNNLAFSNSGINTSLPNLRIDSSAVPRQALDVGNTGGVASLQDGRIDQHTSGNQLNYTNDLMGTSRLQRGLSGSLDDIVVDMFRPV >cds.KYUSt_chr7.19771 pep primary_assembly:MPB_Lper_Kyuss_1697:7:122614358:122614690:1 gene:KYUSg_chr7.19771 transcript:KYUSt_chr7.19771 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGALGRALGSRRNQRTDLIDFHVSSICVAASAWWDFPNSKLGRRGQVAEDSSITTDAATPGAGRMLAMRAGKAGTSPAVSAGRSLRGAYNRMELALSLVSSLPSGVMA >cds.KYUSt_chr4.21253 pep primary_assembly:MPB_Lper_Kyuss_1697:4:133753610:133755315:1 gene:KYUSg_chr4.21253 transcript:KYUSt_chr4.21253 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDGKSRFSIDGKPIYHFVGTSTFGEYTVLHVGCVVKINPEAPLDKVCVLSCGGLYRRHNNLCRRPVCADGDRAVPDRNYADEATPTVTVGTACADGLSCCADGWRPSADWSSPVVAESNMCDLLRINTDRGVMISDGKSRFSIDGKPIYHFVGTSTFGEYTVLHVGCVVKINPEAPLDKVCVLSCGVSTGLGASINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGIDLNTNRFEEARKFGCTEFVNPKDHSKPIQEVLVEMTNGGVDRSVECSGNINAMIQAFECVHDGWGVAVLVGVPHKNAEFKTHPMNFLNERTLKGTFFGNFKPRTDLPNVVEMYMKKELEVEKFITHSVPFSEINKAFDLMAKGEGIRCIIRMEN >cds.KYUSt_chr1.41490 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254471126:254472204:1 gene:KYUSg_chr1.41490 transcript:KYUSt_chr1.41490 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDDWGLGAVVRSCFGVVVPGLELEQPSPPPVADTRESVVVERVQAAPACSSSLYDVLEYLDLEHERLLPRAPFSITPSSSGHELARERDDVFISFPATTTSGQARKQASRKPGGRAPRRPKRSKSKKSQVKRVVREVPGGDGGVCDPDDQWAWRKYGQKPIKGSPYPRGYYKCSSLKACTARKLVERSPAKPGVLVITYIADHCHAVPTYINTPPGTARNALQSPPRSESDEAISRREDDNDSADVSSSVAAADDESELWAPVDMDMGLDDFFGAFDHDFDNFFDEGDDDVFGRSVWL >cds.KYUSt_chr3.39997 pep primary_assembly:MPB_Lper_Kyuss_1697:3:252100001:252103256:-1 gene:KYUSg_chr3.39997 transcript:KYUSt_chr3.39997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to GA C20oxidase2 [Source: Projected from Oryza sativa (Os01g0883800)] MDTSPAPPLLLRPSAPSIDPSAAKAVISKNGGAAASVYDLRREPNIPASFVWPHAEACPTTAEELGVPVVDVSVLRNGDAAGLRRAVAQVASACATHGFFQVSGHGVDEALARAALESANGFFRLPLAEKQRARRVPGTVSGYTSAHADRFASKLPWKETLSFGFQDTAAAPVVVDYFTSTLGEDFEPMGRVYQEYCEKMKELSLTIMELLELSLGVEKRGYYGEFFADSSSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGDWRPVRPVPGAMVINIGDTFMALSNGRYKSCLHRAVVNQRQERRSLAFFLCPRADRVVRPPPAIAAAASPRRYPDFTWADFMRFTQRHYRADTRTLDAFTQWLSPPTPAQATAQEAA >cds.KYUSt_chr1.11337 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69503803:69505797:-1 gene:KYUSg_chr1.11337 transcript:KYUSt_chr1.11337 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYAEVVYQLMHETPLQLQALLLLFFILLLHLATTTSSRNKAKRLPPSPPGLPVIGHLHLVGDLPHVSLCNLSRTHAPDGLMLLRLGAVPNLVVSSPPAAEAIMRTHDHMFASRPPSNIAAVLLYGPSSGIGFSPYGEHWRQARKLVTTHLFSVKKVQSYRVARLHEVKQVMARISEAAASNAAVDLGETMNAYANDMVCRAVSGKFFRAEGRNKLFRELVEANTALLGGFNLEDYFPRLAILNRFVSNKAEQAHRRWDELLETIISDHEKNSMHEENRTGEQEEADFTDVLLSVQQEFRGITRDHIKAILMDIFAAGTDTSALVLESTMAELIRNPQLMIKLQSEVRNNTPRGQELVEEENLSGMNYLKAVVKETLRLYPPVPLLLPHLAMTDCEVDGYTIPAGTRAIINSWALGRYPGSWEKPEEFVPERFLEGGARAAVDLRGKDFQFVPFGAGRRICPGLNFGLATVEIMLANLAYFFDWELPAGVKKEDVDMAEVFGLTVRRKEKLMLVPRTHIAV >cds.KYUSt_chr7.30812 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191818292:191818733:1 gene:KYUSg_chr7.30812 transcript:KYUSt_chr7.30812 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPELFKEKEGGEGWVSWGLALVGAGSAKKKPEVPLHADGNPLNWARRYPYDPQHPLQQRLGISSSTGSSAPQQHGQPSAAHQTPTSSGTGFLNQPTMSNKTGKTKMSWKDYKY >cds.KYUSt_chr2.38434 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238254182:238258913:1 gene:KYUSg_chr2.38434 transcript:KYUSt_chr2.38434 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDTGTPPAAAAAGTGTCSDALFRELWHACAGPLITVPRQGERVYYLPQGHMEQLEASTSQQLDQYLPMFNLPSKILCRVVNVELRTEADSDEVYAQIMLQPEADQSELTSSGPEPQELEKGTIHSFCKTLTASDTSTHGGFSVLRRHAEECLPPLDMSQNPPCQELVAKDLHGTEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRHMRQVNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFVVSVNKYLEAKKQNISVGMRFKMKFEGDEALERRFSGTITGIGSTATMPTSAWADSDWKSLKVQWDEPSSILRPDRVSPWELEPLDAANPQPPQLPLRNKRARPPASPAVVPELPPKFGLWKSPTEPSQTLSFSEPQCELFPTSIFSSSSNVAFNQFYWPARESREDSYAGSTNKVTAERKLEPTTGGCRLFGIEIRSAVEEKQPVVTFSGDDYNQMAASVDVDSAELSQPSNVNNSNAQAASSERALLETQSRQVRSCTKVIMKGMAVGRAVDLTKLDGYGNLHRKLEEMFDIQGELCFTLKKWQVVYADEEDDMMLVGDDPWDEFCSMVKRIYIYSYEEAKQLAPKAKSSVIADTMKLSGVSSSHESVTPRSGLDYRASFTNTDC >cds.KYUSt_contig_1658.214 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1425431:1426387:1 gene:KYUSg_contig_1658.214 transcript:KYUSt_contig_1658.214 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALIAMPVVARPASRKQEVNAYDVKGAPKALHAEEGKEAAGPSSEKVSTSKYSDAIISEAASKAHMAAAEKDMKEAQVANSPEEAQVAKKAAAKNIVAAMVNTFRGAAAVEPTVGDAMEQKEAGAISAAGAKANDVSDAPTGDVASKYSDAIIYDATSKARIAAAEKNMKEAQVADSPEEAAVAKEAASKNIVAAMVDAFRGAAAVAPTDADATEGKEASTISAEEKDASDSHTKDVASKYSNAIISNAVSKAHIRAAEKDMKEAQVASSPEEASVAKKAAAKNIVAAMVYAAQDVAAVAPSVADATTKDGVGYKA >cds.KYUSt_chr3.12647 pep primary_assembly:MPB_Lper_Kyuss_1697:3:75717175:75719444:1 gene:KYUSg_chr3.12647 transcript:KYUSt_chr3.12647 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKCSISVLFAALIPYLFLVFDGRQTVFATDTLLPGQSISGNQILVSKNGVFELGFFSPSPSGTKHFLGIQYKNLVGSRPAKFWLGRRTPITSFLNATLYLTAGELYIKELGTVLWTSGSATNESASAVAVLLDNGNFVVKDQSTHTKVIWQSFDHPADALLPGASLGLDLATGANISLTFSRPPYNCTLVIDQSRKMGFSMLMDGGDHFGTLPYWMVTQEESGSVRLNYPENPNDLEYLRLNMGQLKRATRNFSDKLGEGGFGSVFKGTFAGPTVVAVEMLKGIGHRDKQFRAEVQTLGMIQHTNLVRLLGFCAERTRRLLVYEYMANGSLDSHIFSEGTTVLSWHLRRRIAIGIAKGLAYLHEECSDCIIHCDIKPENILLDAELSPKIADFGMAKLLGRDINAALTTLRGTIGYLAPEWVYGHAITHKVDVYSFGVVLFELISGKRTTRNMRFGNHRYFPLYAAAKVNEGDVLCLLDDRLGGDGNVKELDITCRVACWCIQDDEIHRPSMGQVVRMLEGVVDIELPPFPTSFQNIMDDAEG >cds.KYUSt_chr3.40902 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258174511:258176259:1 gene:KYUSg_chr3.40902 transcript:KYUSt_chr3.40902 gene_biotype:protein_coding transcript_biotype:protein_coding MCIQVEVLLGIVVLAKDNFEGSRPPGSHGKATPEAIRSSQKKLRPRILHAAVAVGSATAAAAACLRRPLQALGHLSSRAARSRDLEGKYFWRIERLHDLAAHHAEIGCAHAARSSSLEGSRQRRREMSRPGIRRGWPSVGSHAVILVDCIKDPSQTLKQRLKVSWHLASHVCLGDWKQSKLLPHVAIKIREVGVKLLDRPLSSWRRP >cds.KYUSt_chr7.12805 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78980587:78986924:-1 gene:KYUSg_chr7.12805 transcript:KYUSt_chr7.12805 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTKRPQPPLPSAVHRSAQDPHPVSPKVQMLKPLPSPFSAGKRFAQKELSPRAHPQVQLDPFRSKFRETLAAALSMDSDSDHHSGQQSAGNVSPVISQPNPKRDRMSDVHAGVNASLQIGPESEREVTTDGAAEARNGRIHKAQSLAVTIEEELFKLFGEVNKKYKAKSRSLVFNLKNKNNPALMERVLSGDIPPKCLCTMTKEELASKELSEWRMDKTSVQEEVKGSDDSVQDGVAETCNENTSSNLDYPVNEKSDMTEEPMVDDLQDTENLPEIMTLDEFLDLFYSETHFEDQSAGALQDDPSIDKADKALKSQSSPIAKDDAGALKFQFHSDLRSPQDTFESKLESPVKKSVSMLDPVVESKGDVIFESPPEKVDAEKSDTLNGLIPESDMQCKISPDAALIHGSIWEGAIKLSLTSRSRTNIVAIFKSGEKLSTNEWPRLLGINGKTSLSELKKYLEELPKSRRRAITTIERDIANGRVGLVKVVKGVVMYLCPSHGEAAQILADHLPKEQSGSLTWTKTSIIGLVVWKRPNVSPRVPTMQDVPESQATSSSVLPMISQPPARSSNARQPRQDVETADVLPGFGPGVVKDENSADNVATSQTWHTSLSEDETKEMVLKYGDISVAAQPWNREGDESASIVHKHGNLPEVNPNSQSNLQQAQIPTPQLPYQQLRRQSMLQYDMPSESQIPLPQAYPWNQHFAMPVQQLLTYGNLMHPAVASYYGALGRGGQSHFAAPAMPVQQLLTYGHLMHPAVASYYGALGRGGQSHFAAPDRSRTSGAWKRG >cds.KYUSt_chr3.49466 pep primary_assembly:MPB_Lper_Kyuss_1697:3:309024384:309025196:-1 gene:KYUSg_chr3.49466 transcript:KYUSt_chr3.49466 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSRVVFAGPTEARSVCRPTRLKQATLIHHFRIFSSHAAAAGVDRLSDLPDDLLRRVLHFAPLREAASTTALSRRWRAPLWRSSGALNLETGNVRNCYDNPRFFTQRDCFVTTSQSGGSPCGSTPTSTKGKPAAMAILNMLRCCPTLAALRINLTLEKQAESSIKKGVPDTRTPQKEIQIAALAQCHLFQCLQSSLRRVGLQFQLEKSNCLGVKLIKFFADNAIVLEEMYIDGGDENLCEQMNPKTEKWNSKRIKSGATSFVVRPLKR >cds.KYUSt_chr2.3041 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17831012:17831800:1 gene:KYUSg_chr2.3041 transcript:KYUSt_chr2.3041 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSRSLQIVHGDGTFSYDQPVREGSLRLQKAVLCRSAGHLNFKVAALAMQRGHNHIIFCTPDSSSWMVSSSNFVTIGSDLEFYQEKLFFLAAIRKSCSSLNSVVTCASFQQVCRCGAVNPPVLDNTEQHRNLVRSPDGLLLVVRYFTITWDQLTAVRVLELDTGSFTWSEKRTLGPMSILISLNSSKCIDASGHDEIRSDHVYFVDHLCPQFLPAAEDAPQFSYRSQVYSLKTGLITEQLIGREPTSRRPGYPMWFFPTD >cds.KYUSt_chr7.12295 pep primary_assembly:MPB_Lper_Kyuss_1697:7:75584009:75596308:1 gene:KYUSg_chr7.12295 transcript:KYUSt_chr7.12295 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGGGRETERWEGYVDWRNRPAAKGRHGGMVAASFVLVAEVLENLAFLANASNLVTYLMNSMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAVCTTYVVYLASAAVEFMGLVILTIQARSSSLMPPACAKVAGTAPCEPVSGSKKAMLFVGLYMTALGIGGIKGSLPSHGAEQFDEGSPRGRKGRSTFFNYFVFCLSCGALVAVTFAVWVEDNKGWQWGFFISTIAILLSIPVFAAGSRFYRSKVPTGSPLVTIAKVLLAAALAPRRGGTPSASNGAVIDRAPSPTGSTELKEYCKPGEACGADDVATEPSQELSALNRAVHCQPRHRALACTVQEVEDVKIVLMVLPIFLATIMLNCCLAQLSTFSVEQAATMDTRVGGLKVPAASLPVFPVTFIILLAPIYDHIIIPFARRATGTEMGITHLQRIGTGLLLSIVAMAVAAVVEVKRKNVATSNGMTDSHAPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPPRMRSLATSLSWASLALGYYLSSVLVTIVNSATGRGGHRPWLEGASLNHYHLERFYWLMCALSAVNYIFFLVLAIRYKYRNAGVIKA >cds.KYUSt_chr4.19383 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121799913:121806337:1 gene:KYUSg_chr4.19383 transcript:KYUSt_chr4.19383 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVLQVLSLTFSQPDPQKSIPSVSVVGLNGAMLWNHEGYISPEDLKESIEKAWAALHLQETAATLLAASLASRMADPANTASTNMPSTESSSTSEDHSNSPSQFPESSSVRGSTNSTDLVAQPPSSITQAELLKTSESSKSDSASCNITTEEKLDSARSTPPLKGKNKVGEISNTVPSEPAASIITGRSTSSELPVEQDKATTSSAVDVTSDSANKDDIQLVIRIPNGPSLQIKLTKEDVLRKVKNFVDENKDMETSLYELGIETRQALVVVPNPQSVKVARHQSSSPSSDLDHMVDSDNSGGWGYFGILGTALSYVNPLSYLRGNPEQLGNEGSQHYRQSSPSSSRPGMGAASESQPLSSNGSQQAATHSSGNTLRRRPRQFGSNIHTLSSEEQGPSDDRNVFWNGNSTEFGGDEKK >cds.KYUSt_chr4.14395 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88748913:88751646:-1 gene:KYUSg_chr4.14395 transcript:KYUSt_chr4.14395 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMNLLLDLGTNHGQWHNLSGSFGVFFSGSLGRGVVMGAVAAVAVSGNVAVRRCSASSQSHIFAQACSSSGQVEIGYDYPCYLETVKFGVLFNDDRCANIFEALVGTLRAAKKRKFVTYEGELLLQGVHDNVEITLFPPPAVAAV >cds.KYUSt_chr4.50891 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315474173:315477298:-1 gene:KYUSg_chr4.50891 transcript:KYUSt_chr4.50891 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAAAGADPFIPPTEALARRVPATLLFPAQPFAPPSAAHDAGLRALDLLHFARLDLSVSGAPRPDLVAELIANYRCKPGSDRGWSSVRGKQIEVSSEALAKALCLPVGRATTSRSAAGVDVDPAAVASAAQEFTKVYILRRGVKDAALRVVEEGRAHCIDWTGLIWRQVKVEMKVFMANQSADDRVCYHGAHLQRLIWVQRPELFSRLPLEELGSNRSVVGDNRKPCWEPDNMQRPTLFQLRPQPQEGRGINRASVNENQKPCLEINLSSKKYDAASKNFDLASERFIVASKNFFPASMITDAKSKKIDMAAEGLDAASEKLDMASKMMDAVSRMIESTSKQLDARGKQIGEQKGKLDARAKHLGEQEAKLGARAKLLGKQESKLGARAKQLGEQEGKLDARAKQLGELEGKLDARAKQLGEQEGKLDATIKHLGGKEDELDAIAEYLDEQEGKLDARAKQLGEQEGKLDARAKQLGEQEGKLEATIKQLGGKEDELDAIAEYLDEQEGKLDGRAKQLGEQEGKLDARAKQLGEQEGKLEATIKQLGGKEDELDAIAEYLDEQEGKLDARAKQLGELEGKLDARAKQLGEQEGKLDATIKQLGGKEDELDAIAEQLDEQEGDMQAMELLNSALVTKERESNDELQCARKMLIEEILLEDDKKLRKLKEQHGEEIYCLVTKALCEINEYNPSGRYVEPVLWNYKESRKATLQEAILFVLKQWQSHKRKR >cds.KYUSt_chr3.32413 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203627991:203630402:-1 gene:KYUSg_chr3.32413 transcript:KYUSt_chr3.32413 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLMCSELDSLQRRGPSPARVEQKNRELEAAIAARDEAVEEAARDRARFIAEVAAIQAAAEANEDAAAAAQANEDAAAAARANEDAAAVQAAAEEEAAQAAAVAAATHVAFAQAEALWDSSLVEALERGRRSARGVRAKLPGGVHIHVRRGAQLRWQSEADHRVYMHLIHGLLSPYNARDCLGVSSTDNFPKEPIENTLEPVDRVEIDCLTACPAARRSDRLRPL >cds.KYUSt_chr6.7458 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45355050:45360032:-1 gene:KYUSg_chr6.7458 transcript:KYUSt_chr6.7458 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGAATPPRFKRTSPRKKLQPHSRRLAINVAAGEAEAARASVAARATPLLPATLVVPAQSLGSIPLPREFFEVDALDLAPRLLGKLLRRDQVVLRITEFGPGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPVSGLDIIQQRRGQQTEKPILLTGPGKVGQALGLSTDWSNHPLYTPGGLEVLDGPEPENILVGPRVGIDYASSEHVTAPWRKAIETATENREVAEAIGAPILRGPWYDASVVLGHRRRSVSCTFPVTGPQGSGLFQIEAIRNGGDGVLSFLRHHDWEIRSMDAHLEVASDDGELKKVTINLVSSSDDQSSGGQCEAEGLSC >cds.KYUSt_chr4.25140 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158023569:158025518:1 gene:KYUSg_chr4.25140 transcript:KYUSt_chr4.25140 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASASENASALCVVMLIIMALCAGGASAQLSTGFYSSSCPGALGAVKSAVQSAVAKEPRMGASILRLFFHDCFVQGCDGSLLLDDTSSLQGEKTAKPNNGSVRGFEVIDAVKAAVEKLCPGVVSCADVLAVAARDSVVALGGPSWDVKVGRRDSTTASFSGANNNIPPPTSGLANLTALFAAQGLSQKDMVALSGSHTIGQARCTSFRAHIYNDTNIDKGFSTTLQAGCPLTSGSGDNNLAPLDLQTPTTFENNYYKNLIGKKGLLHSDQELFNGGATDPQVQSYVSSQSTFFADFVAGMIKMGDISPLTGNNGEIRKNCRKTN >cds.KYUSt_contig_195.185 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1162368:1169177:1 gene:KYUSg_contig_195.185 transcript:KYUSt_contig_195.185 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHHRGSPVDSVEDWHRSFLPYAAPLDSEDGEAGTGTTARVICTPTSTYVFTGFTTRLTDKEADALRATEGCLWVYPEVILPLTTTCSPAFLGLHLGNEGFWTRSRFGSEVVIGILDTGILPSHPSFGDDNIDTVISSAPPVDEAGNDTHTVSIAAGIFMENADFRSNADDTAYGIVVLPFSISNGDEFNGESLFQLGNNSAATPLPLVYPGADGSNANHDCSMVRDAEVGDKVMLCENRGLNGCTEAGQTMEAYGGVGMIVMLTVGDNDEVKEKTMNPLPHQPDVRARMAEFVIRPLVSMLMGKASNYLLKHYKVMDGMEEQREILERKLLAILDIIEDAEEKGAHRPGVTAWLEALKKVAYEANDVFDEFKYEALRRDAKAKGHYRKLGFDIVSLFPVHNPIVFRYRMGKKLCRIVHLIELLVIEMNAFGFRNQLQAPPSKQWRITDSIIMDSEKDIVSRSRNEEKKKIVNILIDRASDRDLTVLPIVGMGGLGKTTFAQLLYNDPEIKEYFQLQRWCCVSDDFDVAKIASSICQTYENNREKALQDLQKEVSGKRYLIVLDDVWNEDADKWEKLKTCLKHGGKGSVVVTTTRKTKVAQIMKTCIDDSHNLGDLHKVFLKEIFENRAFCLQKPNAPELSDVVEKIINRCGGSPLAAKAFGSLLSNKTSMKEWTDVLTRSNTCNEKRGILPILKLSYDDLPPHMKQCFAFCAVFPKDYDIDVEILIQLWMAQDFIQLKEGDNLEKAGREIFDELTWRSFFQDVKQKPQREKWQLRSSRTVCNIHDLMHDIALSVMGKDCVTIGYGTNKKELLSAGPTRHLFVSYSNIRALLDDYVKKHSPALQTLLYTDYSTFGSAPHMSLRALKYELRKFPLTPRHLLHLRYLDLSNNWYMKDLPKEISILYHLQTLNLSNCKCLVRLPKDMKYMTNLCHLYTNGCRSLECMPPDLGQLTSLQTLTYFVVGSSSGCSTIGELQELNIGGELVLSRLEHVTEDHAKASSLGNKENLTHLSLEWSDDNSEELDQQRNVLDALKPHAGLQFLKIHSYRGTGFPSWVTSLTSLQHLTELHLDGSFSALKDLTLENLEILERWVAIDGEELTFPLLENVRIKKCPKLTTLPEAPKLKVMQLIEDKVVVPCIGRCSVCKHPHGGGALRMDENPRTPTASTLSLK >cds.KYUSt_chr2.4609 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28483253:28483645:1 gene:KYUSg_chr2.4609 transcript:KYUSt_chr2.4609 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAGVAEEQYYGGPRGAPHGLLLAVVVGLVVAGPLFLGDGGEAVTEAIAELLSPVGLLLLPVSLLLLIRLLSSDRGAAALSDAFAFGGSPDAVHRVGGSPIGVALMLLLILALLYYRSALFGGGGDDDE >cds.KYUSt_chr2.47680 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298271955:298273546:1 gene:KYUSg_chr2.47680 transcript:KYUSt_chr2.47680 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGARRVALLFLLLCGAAARHASAGDGALLNGNFEYPPNRSQMNGTTVTGEHAIPYWRITGLVEYIDSGKSLGPGDMVLAVPEGVHALRLGNGASVRQQLSVTRGAYYSVTFSASRTCAQAEWLNLSAVPIGGNEAATPASDIPIQTVYSASGWDSYAWAFRAERGIVTFVIHHGDEGVDDPACGPIVDAVAIKKLRAPSDTGGGGGNLLRNGDFEEGPYIIPGTACGVLVPPMDEDDVSPLPGWMVMSYSKVAKYVDAAHYAVPGGARAVELVVGSEVALVQEVDTVPGAACRMEFSVGDARDGCVACAPELPPMRVVAAATGAQGTVTVEYPSRGTGGHMRGKLEFTAQGNRTRVVLYSSGYHTMADTTGTLCGPVVDDVSLVCACKPPPTRRLLRR >cds.KYUSt_contig_2595.16 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000405.1:83258:86585:-1 gene:KYUSg_contig_2595.16 transcript:KYUSt_contig_2595.16 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASTSASTAVAAASRLLLRRAPHLLRRLPRAPPAALSSRSSPSSSFGAAAALRRPLGHRARMGHTSAAASSSVPALGLTKANAVELPQVTFTGKDTDFSAWTGDILAVAVTEKDLTKGPDSKFENAVLRKLDEQLGGLLSEASAEEDFTGKSGQSVVLRLSGQGFKRLGLIGLGQTAPSTALACRAIGESVASLAKSAQAASVAVVLAGIQEEFKLNAAASIASGTVLGLHEDSRFKAEAKKVHLKQVDLIGLGSGPEVDQKLKYANDLCSGVIFGKELVNAPANVLTPAVLAEEAAKIASTYSDVFTATILDEEKCQELKMGSYLGVAAASANPPRFIHLCYKPVGGNVKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALAQIKPPGVEVHFIVAACENMISGTGMRPGDILTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKVVDLATLTGACVVALGPSIAGIFTPSDELAKEITAASEISGEKFWRLPMEESYWEGMKSGVADMVNTGGRQGGSITAALFLKQSSETDGAHDFSLQFVDEKVQWMHIDMAGPVWSDKKKTGTGFGVSTLVEWVVKNSS >cds.KYUSt_chr3.32527 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204405582:204406745:-1 gene:KYUSg_chr3.32527 transcript:KYUSt_chr3.32527 gene_biotype:protein_coding transcript_biotype:protein_coding MATACALCSVAVLTWFVLIAVLVLSFFVDNGTVQMRVTASYALVDCAPPPPPPSSAARNSSAFRESLLPLLIALPAAAAPHGFASLHSDDHGVFVRGICLGFDHTSSCHACLVAAAENLTSSCLGASRRGGAWRSESCFVAYADTNTSSAREDAFRDVVFSGEDPGGDPNCFDTRKLVALARSMARRRAAKVLGAHVFRDAATLTRRSVAAAQDTVRVFPDVARGDTRVRVLAQCARDRAGAAECARCLGDAARQVPPCSWGLDGAHVRVADVVGYSCFLRVETLVKPQPVATWRSHFLDMATNVLVALEVFATVLAAVASGALV >cds.KYUSt_chr3.12448 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74386816:74389492:1 gene:KYUSg_chr3.12448 transcript:KYUSt_chr3.12448 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIVSEIWSHLRKRYQPSSDSFYLSVVRQKHALQQGDFIVHEFYTEISLDPRFNERGTSECKPFWTRHYKDYLTESGPLSEIQDKEEVNLKFNELYHKYKHILYHAAEFEQTPRDLKDVFDEACAIYQIAYESAVAAKKPGRCSFVWKVAGRALCHFYALETEDDKVLVPLSIAKSFLVKGRKK >cds.KYUSt_contig_2455.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000345.1:72454:75860:1 gene:KYUSg_contig_2455.12 transcript:KYUSt_contig_2455.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSEGARAAADAQEAAREITHSRTERARVVGEGENHHGDDALVEQQAAQQGPRFVGVGVTGDTVGPELAEFMDSGVEVTEQTKKLAAGKAAEVTEKTKDLVAGKAGANMDTEVRMDYSFDGYYINDGHQNVAENEANHYEYVSDDDLSQEIIRLIINSVQKKERRNSAKKQKRKRYNFARSEVNGSEADNELKAYSRCSISFLNKVLAAVRSNSNYVRLVNWMGFGEVLNMDDCCVPRAFVQWVADNVSCSDEAIQLGSKSIKLSPEAVVDTFGTPSGEILVDSDEELGKVAFLALFGLIDVPSIKFFGKKFCLRRFSLMMFFAVVSWRFV >cds.KYUSt_chr2.52758 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329174217:329178475:1 gene:KYUSg_chr2.52758 transcript:KYUSt_chr2.52758 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATAGPLRTRVCIIGSGPATHMAAVYAALPSPPPPRGSRLLRRVGGSAAEQADSVARRQSWSRRRGLASTAVEAAGEAAASLSLTPAGEARSPLLMIHRREKLKIIILNSSDPKRSLGYLHARFAEFSRTRPSKGCAARSTEKAQITPALDGAISGVLIHGGRKSSDGPGAEAQVTLPLDVSDAPLGTPPQVTGVLIQGERESSDEPDAESRAISSPEVIAPFHSRFPFDSPPVDSVNPMLEQEDTQLEKAVDRGMKSQSMLPNSQRFYAGSNHRIKKRQKIAINQDSPKSSTLPLLFQFLSLLSHRCPSPVHKAGEAAKLMMENNSVQAGGGSSNSNAAPNKMRMIGVDGREGQGATTTQASPFQRLKGKMAMMETATEKEKKILVWNISKNKPISRIRLMAVGVYLSIMAITSKTLLDGMKRIWQIRGHIDTMQLPDRRFVIEFSELGDFEHVVKGGPWNFRNDVVLIEELKEGIDAESFTFSTIPIWVQFQKIPFYLLSQQLVKDLGNEVGELVTFDKYARGDICDKILRARVRIPIDQALQRRVTFRDEYEQQNVTTCLAYERLPNFCCSCGIIGHLVTECRAPDKEKMVLYDVGIGAPPTLPEDPRRWPIPEFTGQARQLHTFPWRSNRGRDGWLTRTGNRQQLPTIAQVAKDVGKLTVNDPQESNAKEAAPTLTNTSPTTLASSPLRQEITIANTNKDGEAAPPVGDTVLEKLPPLQDEIAKNVTGKGAATTTMPPSTPCLPVSAKTVAMTTSTQVREEKTLVVYTARKPTTWKRTIKVAVQDNNTKSTALTTQGGALGAPRTRPEIEEGDAQLQPRNKRIVLQVPNMEQCLGVEGLKKLLEEEMGTRQPEIKQHSGGAETGSSSYQIILNDPEVQPKKKDKEANNEENSEDEATSTPKAGQLTGAKERARQKK >cds.KYUSt_chr6.20068 pep primary_assembly:MPB_Lper_Kyuss_1697:6:126507130:126513112:-1 gene:KYUSg_chr6.20068 transcript:KYUSt_chr6.20068 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSVAPLTVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLIDRCRIGTGPQDEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAILLGNGARRIGLRKTLVFYTGRAPHGTKTDWIMHEYRLDDDNADVPPPEDGWVVCRVFKKKSIQRGYEQPDMAATEIQSQFHGTPGIGMSPVDIDQKHDLHQLMHGGVFPTFDHSMHLPQLTSAETPPGVPTFMSLSGTQASTRAVNQIDMGCFQSKSQNMMKLTSCTGGGGTAEMLLCSGGDRFGAETDWSILDKLLESHQNLDQLFHGKLGGSSAVGALPPHHHQVQQQQLMEIGASSLQRLPFFHYLGCEAADLLRAPGIRLIKAPGSRVSTESPSLNTILPNYGTLHCHARQGSAYPYTPYWIRLPLMGQAGSDFKSRSQHRPGGTSQKRAQGKKVEEVAIKKSKARSCEGEVKGQWWPCTTTEDELRDLEAEGFLRPRSWRVVLGAPSPVREAGEWVLTKALVERGFSLPPSDFFSEILEAYKLQPHNISPNSILAIANHVTLCEGHLRNSSLANCGSITFKIRPGRIYSYTDHHEFVRYWSGGFFYAKDVADPTNPKTLPAFKDGPASKTSA >cds.KYUSt_chr3.37853 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238152282:238155077:1 gene:KYUSg_chr3.37853 transcript:KYUSt_chr3.37853 gene_biotype:protein_coding transcript_biotype:protein_coding MCIIWKLHWKERSRDNEDYAMYEEENPLHIDITRFTYAELNHITNSFQSIVGKGGFGIVYHGILANGDEVAVKVLKETSIAESTDFLPETPNILLDKNLMGIISDFGLSRTFNDAHTHISTVAAGTLGYLDPEYHATFQITVKTDVYSFGIVLLEIITGQPPVIMEPQTVHLPSWVRQNIAKGSIHDVVDKVLLDQYDVTSLQGVIDLAMNCVENAAIDRPTMTEVVSRLRMFLPAVSSKKQSVSASPQRKNSTDTEITRQFDLISGANNQTSSVQSGYTGGMSETNFLSGR >cds.KYUSt_chr2.53970 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336899903:336904686:-1 gene:KYUSg_chr2.53970 transcript:KYUSt_chr2.53970 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair exonuclease family protein [Source: Projected from Oryza sativa (Os04g0635900)] MMVVRLLPLQEEDSDTLRILVATDCHLGYMEKDEIRRFDSFQAFEEICSLAAQKKVDFVLLGGDLFHENKPSRSTLVKTIEILRRYCLNDLPVKFQVVSDQTINFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGQIAVHPVLVKKGTTTVALYGLGNIRDERLNRMFQTPHSVQWMRPETQDGMSVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFVVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKGNQYRPNKIPLRSVRPFEYAEVVLKDEADVDPNDQASVLEHLDKIVRNLIEKSSQPASSGSEPKLPLVRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKAAKKRQTGGENVDESEKLRPEELNQQTIEALVAENNLKMEILPVDDLDIALHDFVSKDDKTAFYACLQRNLDETRKKLNSEAEKFKIEEEDIIVKVGECMQERVKEISLRSKGDTPFTSISQNLDTRGKSVAGGSSLNTFSDDEDTREMLLGTRSKTSGFTRPSKDSTGAARGGASKRGRGGKGSMKQTTLSFSQSRSSAAIRSEEVDSSSEEAEDEANLVVENSEPEDSVQPTGRKRPAPRGRGRARGTTTAKRGRKTDIASIQSMVMSKDDDSDEDDKPKKPPPRVTRNYGAVRRR >cds.KYUSt_chr7.11210 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68884940:68886553:-1 gene:KYUSg_chr7.11210 transcript:KYUSt_chr7.11210 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPFIAIASNIDAGNLEKRRRTSSDKLQRTVSDVSYELHNHHGRGKEEEQAVLEAEMKQLHPVPEVEDAKCECCGMSEECTPEYIRGVRGRFSGRWVCGLCAEAVTEEAEKSGTSQEEALRTHMSVCKRFNGFGRTHPVLFQAEAMREILRKRSKLGPRSRSSIDPREIRESAAKAKANAAGGGIARSSSCMPFITDEFSDQMTTNTVESAGYANEKTKPPELKGGGFKTKPPGRGTALGSVVAIGTAKP >cds.KYUSt_chr2.39126 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242607815:242608779:1 gene:KYUSg_chr2.39126 transcript:KYUSt_chr2.39126 gene_biotype:protein_coding transcript_biotype:protein_coding MCLADAWKAVNMDPLTSSQQIWGTYWSRIFRQFNDRKIFGEYRNLVMNRNENAMPHSSKEEREWPHNGDAYALFVYKKWGTGEDFSFMHVFTKIESCPKWAKTQFTLKDGAVIDVDGLPAPPLSASVGSPIGSKKAKFERNGAAYNIKLEEAKVEAAKVVAQATLIHAMNETALAKVNKMKEDAKILTADTSFMDDDAKAWFKMARMRIMKEMLDEQAVESTIPVAEQPSATTTAPSSVVIDDSPTSSI >cds.KYUSt_chr7.40723 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252752546:252757334:1 gene:KYUSg_chr7.40723 transcript:KYUSt_chr7.40723 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKIVDTTESPEGDDNHYSDVEAGNIGGTATILRVVSLRQHRLVSLDVFRGITVTLMMIVDDIGGLVPKISHSPWDGATLADFVFPFFLFIVGVSLALAYKRVPNRVLATKKAVLRAAKLFLLGLLLQGGFFHSIHDLTYGVDIRKIRSMGVLQRTAMAYLAVALCEIWLKGGGGGSSCTIVRKNRHQLLVGLVLTVTYTVILYGLYVPDWEYDVMSPDSTVKHFVVQCGVRSSTGPGCNAVGMIDRSVLGIQHLYTRPFYLKMARCSIDSPRNGPLPSDAPPWCQAPFDPEGLLSSLMATVTCLVGLQFGHVIVHCKEHDERMVWWSIPGFSLLALGFSLDFFGLRMNKSLYSLSYTCVTAGTAGLFLAGIYLLVDVYGYKRLVFPMEWIGKHALLFFVLVACNVAPIILQGFYWREPNNSLVRHLSILFDIN >cds.KYUSt_chr4.28061 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176315914:176316983:-1 gene:KYUSg_chr4.28061 transcript:KYUSt_chr4.28061 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGASNNGFPRRSLHTWEGYPCPPDTRPPGGGWWLSAGGVPIPPPPQGHALDVAIEEARMGMTEEERADPRHHPENYTRWNSFLLRRWERELASYDGPPPPPPRNNAAGRRRWWSAPDRTLHNVLEHIEGGNSPRLTMPPLSRASNSRQRGNSWQPRRMAASSSSSGSAARLISRSAPSLAPVKKESASPPSHRTRGGGIVIREPSTAQGRRRPKRDHDTSGERKRKPAKVKVEEEESAEDAAILEAVIDAARFRRPATPPSGAVVPVIDLEASDDELYKPSPSPPRTSGRWGDAGQGSSQAASAPPQFDDDSSDDDGGDYTVFYRHFGM >cds.KYUSt_chr4.8019 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47806677:47807369:-1 gene:KYUSg_chr4.8019 transcript:KYUSt_chr4.8019 gene_biotype:protein_coding transcript_biotype:protein_coding MACAKHMTAAATGEDGPWCWGRCGSERVAVEEKVTAVEGPWCWGRFGSERLPAISKVNEAEPSEDPLAFLPPQGKEVSDESAEQEVQRILLEQPVKEEQVQGIHLEQAKCTDAPPPERRISPDLVNFLLSLKREVPTIDHLDELTGIFPPEWIEKRRQEHKERVALYNQIDDDMELYQARLRKELKDNGYIKAKEVDADSVARFTKLQAWGREQFAKSEKIVYDESEWED >cds.KYUSt_chr3.30356 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190205126:190208757:1 gene:KYUSg_chr3.30356 transcript:KYUSt_chr3.30356 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGRSGEGEGGKNGAVPPSSDAAMAGVATGGVEDAYGEDRATQDQPVTPWAVCIASGHSLLRDPRHNKGMSFTEEERDAHYLRGLLPPAVLPQELQEKRLLQNVRELETPLHRYMFLVDLQERNERLFYKLMVDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKDKGRILEVLRNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSGALPITIDVGTNNEELLNNEFYIGLRQRRATGQEYTELLDEFMAAVKQNYGSKTLVQFEDFANHNAFTLLEKYKGTHLVFNDDIQGTAAVVLAGLIAGLKFVGGTLADHKFLFFGAGEAGTGIAELVALEISMQSHLSPEEARKNIWLVDSKGLIVSSRKESIQPFKKLYAHEHEPVKDLLSAIKDIKPTALIGSAGVGQSFTKEVIEAMSSINKRPIILALSNPTSKSECTAEQAYSWSEGRAIFGSGSPFDPVKYNEKLFVPAQANNAYIFPGFGLGVVISGAIRVKDEMVLAAAEGLAEQVTSEHFDKGLIYPPFSSIRKISANIAARVAAKAYDLGLASHLPRPRDLVKYAESCMYSPIYRSYR >cds.KYUSt_chr6.30781 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195135694:195138225:1 gene:KYUSg_chr6.30781 transcript:KYUSt_chr6.30781 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNGGGGGSGGYGGAGGDGRKRRFDDHGGGDSRSDNQGRGSGGNGGRHGNSYSGGANERLRGGRSTVAGAPRVEGARMAAAAPTSTAGAMTVAGASTTTAMAGAGKVRGAAATTTATGRRAPTTTTMAAGRGGRQGEDRRGLQQEGQRGRGQRGPARGGGAQHGKPKGGVQGAPPQPKAKGKAKAAGGSATVAVGGECFRCGQEGHFQADCVNDPVCILCSKTGHVSAGCPTRGRPMLLQSYGHAITGGGFFNIEVEPLQAPAEDVQFEAVIHFTSAPLTALQLSDELKSLLDDLWDWQVTKVSDLEFCVRFPSRETLRMSTRRGKIYLPLSKCDVDIREAFVSPRPGPSFPSVWVQITGLPGSLMVKDRLMAAMTMVGRPMEVDELSIKKWKTEPVRMRFQCRYPERVKGTVQLCVNGEPFTVGIHAELGARGAGGSGGPPRPPAPRDDDDGDDLESEERSTDGEAWNRHRRRGNDKDKEKAKGTDKQGGGPGSAQLAGFGGSRSAPQLGRVADQYGSNIKTFPRLAGLGRFAILAEVEDVVDVDGPGKEGGGLPPTQPDMVLEEGSLASGETVSQVTDTVGPGLGSSPSLLPASPTWTSSVMEVDTPAATAVETGAAAGQGSGPALDLHGEVTAAVSLVQGKRTKVVPVSVKGPVKATKKAAVPPTPVRKSSRTAGAAAISMMKKAQNMTATKNLELPPVTGTDADFSILPSLPDTHLSSVLLDSAIVFAPGKGSPQEALQLIRAKELAQASLAALAARKEQASLDRLAREATDQGAPSREDAALGSGTDTTPEASDATGQESSDENLTLHDIRARARVRRPRLTVRKGRGATRGVRS >cds.KYUSt_chr6.33789 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211875152:211878427:1 gene:KYUSg_chr6.33789 transcript:KYUSt_chr6.33789 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVLSMARSMVGGALSKAASAAADEMSLLMGVRKDIWFIKDELETMQAFLVAAEAMKEKDLLLKVWAKQVRDLSYNIEDCLGEFMVHVASQSLSRQLRKLKDRHRIAMQIRDLKSRVEEVSYRNTRYNLIDKNQVLARAIEERDSCMEDIRNQSGSNIDETELVGFSKPKEELIKLLDVHARNGLAQSFVRMDLLKGMIKKLLGGQALKKLLEANVVREDSLAEYLRKELLDKRYFVVLDDLWNLDDWKWIKSIALPSSNNKVDLDYRLLIMTMLHMAWTGRLTNSQGVRVPKGISNLKELEILEVVDIERTSRKAVKELGKLIKLRKLSVTLGASQKKSKIVCASIEKLTSLRSLNISLDDYSWRSRASLKCLHSISSIPPLLRTLKLVGGLGEMPSWIDDLVHLVKIELMRTNLTEGDKSLNILGALPKLMNLHLHWESYVGEKLAFIAEKFTNLQKLVIFWLEKLSELIFEVGTSPHLEKIEISGCKLESGITGIKHLPRLKEISLGFEATVARLTLLQREVDTHPNHPIMRLKHGWTHHDQDAVVLQGSTTAVQAEEATAGESSQVPTGSDRSANFTSLQ >cds.KYUSt_chr3.11437 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68174450:68179033:1 gene:KYUSg_chr3.11437 transcript:KYUSt_chr3.11437 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQEALARTVQTYQHEMSQGSSFYAFGRRFEDGTANRTAGDRVGMDYRVIQMEDLECHIVNLEESLTAEMRKNENLRVTIEKLKRGNTELTMELCDMDDKLIARDTEITELKNAMTPKETPAVPHDQDTQNEEEDPEERIAFMPNGEELEIVSEEEDTPTGNTPPHQRRTISTRTYHALLNNTYVSLLQIKSENPMNSVEDPHKSTDEGLDARMLGRTEGSSSSAQPMEIMGTHMDSVDASKRPADSTQPNEIANRKHMDSVDVMRLPMDICPEAMLEHTENLSDVTPLKDEKRRKTNLQHNKPKKANKMVIPQDYVCTSEDIDVVKLIMSAPKNTKFVDIGDALLSNNDLRCLTQDGMFLHDGVINAYIYCISDRGHLRDRAGGRVHLESTFVSSRLKRHGEREIDPSDHRRIVERMDKYLKNDMVFLPINVTASHWYVIVVNAKKCVIQVLDSLGAVVKRNDVTLTLRGLEKHLKIASQKKDFNIGEKWHDLNVTKWPVIEQFPEPMQTDGYAEKPLKLYLSEDMNEFRPKLAAILYDSELNKIKGSPIYAQSDKEENGSDSDIVVLPNPKRPYSSDVAEDDRVKEKRPILVHMMPTDPRMLVHELCKYIMSIQDAKLLEQEWVRSSKPYPIGLNLKKIQEILRVDRPMDNDCFNLGVRIVACDEILQMVETDVHYMDLRFCDGYELRKNFLIHVLKCHENEAEENIPAIVHQYLRSIKE >cds.KYUSt_chr7.9095 pep primary_assembly:MPB_Lper_Kyuss_1697:7:55391885:55392985:1 gene:KYUSg_chr7.9095 transcript:KYUSt_chr7.9095 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRRRRLSPPPQLPDDAIRDILLRTPSDDPRRLVRASLCCKPWRQILSDPIFCRTYRELHRGTQPLLGFIHNKGSAEKPHHCVLVPTTSFRPPGGTHRRNWIVLDSRHGRVLLYSFPPKPDIQFVVWDPITDEQCRLVLPDFTHGSWSATVLCATDGCDHVDCHGGPFLVVFAGLDRHAFASVYSSVSGGWSDTVFVDYPDAAMEQYPGMEFTRFMDSGLGFTLLQGNTVYFPCNMSNRVLEYNIAEERLSVIDTQFHDYYQWHAVLVARGPRRRRACVRRLGGFHPPRACGRGRPAKTALWSGRSAGSSISTCWCPVLAAAARPIGMACRVAYWLDPQTASSSSARMLDHTQLTLLQAESAS >cds.KYUSt_chr6.13873 pep primary_assembly:MPB_Lper_Kyuss_1697:6:86843311:86858009:-1 gene:KYUSg_chr6.13873 transcript:KYUSt_chr6.13873 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASTSPPVETRPRQALAKSSLLGGVADLDAVVSLRLHALFLPVPRLLLKALEVAGDGRIWLPVPISLLLISTTTSSSRVSPLLVGLVAGLVLDIAFVGLAKLIFRRPRPAYNAADMYVAVAVDHWSFPSGHSSRAFLVAAFLAAGSGLPREALYLWAAATSASRVLLGRHYVLDVVAGAWLGVLEAWLSNLILSYLCASGSFLGSARFASADCILEMLGTEELKKTPQTTSSYTSMLIGSVAEEASDLSDEPNAVSFLLSVLMMLTWQLIAPGIAETPFLGLFLASRTGEGKRIGFLGLQSPSLFPLVGAMSNTLLRVYPSELKLPFELKKANSGCIELVNKTEERVAFKVKTTNPRKYAVRPTSGIVPPGGSCGITITMQAPKEIPQDYQCKDKFLVQSVVVQEGITNRDIVPEMFNRAPDRVVEEFKLRVVYIPANPPSPVPEEDEEEIADSEIDHEVFRQSMIHPASRQGHTSGSQPSYDEEVSMVNSEVAKYVDENKKLQQELSVPPLHDVHPIAVEPAETVDCPSVEEGIPGVETTVASKKPVNLFNRSSPMKVVRVCKGMTSKQRTLISEAEFSAILSMKCSKLIPELCIYLMEHFDPVACVLDFGDRGKIPVDVQSVVNVMAVPMGSFPVPYKQNIEATSSVLEMMGINNGKKPTVAAVELQLGETYPADAAYLRKFIIYLISSVFAPTTGIYVSPKCYPAVINTEAIPRLNWARFIIDILIQTANAKGSKNWFKACMPYLMVLYVDSLQTDAVKLKACFRNKPFLFSAEPSVVDMFIKRHAPVSPNDEQLVIYREAVSSMCNVFKDGLAEFVRSFAVNQGKESSENPHQVEEDVQIISKKRRRTRQKVTEAMNEEEVLLDHMAGTTEVEVVPEMGIATKSKKRKPDDRIIAAARPKKKMRGSEINPEEHVVIEDHQDNFGTEVHKEEATANLFEEKAFGCQNDHIVGNSELAEDEQQTRSNVQMEEPTEVNKDVTAVATQDTEVSLQQTNMGDALRNLQVYGTGSQSSTETPPAVNNMEGSKGSQEEEGSQGSGQLRTRRKKTDSCSPIGRRPVTRSMSPIKQDVSASPIGRRLTRFATAEARANASMNKVYNSPSSDQSHNHIHAAKLDRGKTKELAVQTANETDYQRKVRELVEHCPSFDLGFSQVEETLTEQKDSELAVDAQAVPERAVAEQTVGQQPVAEQALSEQALTEKTVAYQTLTEKTLAEQTIPQKTVAEQALPEKSVPNQQNDMERPEEDSEVQELVVISSNDDSGDSLDKIYASIEMPSSSGKGIVLQNARTSPRTPGSTTPIPQTRRVVKLGPHQKSPFIANAKKPSVPKSDSELYDKVCMYGGKTKDTLNDKRIIDYGDFFIYLRDLADSVKPGGWLSNSTCEIALQALSIEMADVYGSFYSCRQCWASKSRGL >cds.KYUSt_chr2.42818 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266682711:266683766:-1 gene:KYUSg_chr2.42818 transcript:KYUSt_chr2.42818 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCTPATARATLAFEIVGYSMHKGMGAGKFIQSPRFSVGGHEWCVRYCPNGIPMVDINKDYLSVSLELLSKRAEDVPALFDLRLINKVSGLSSSLNSCLESPMLFSYLDPCKDAFAMGIMQKSDLEKSALLKDDCLMIECDLTVIKEPLVDQPVEVQMPPSNLSDNLGKLLETGEEADVIFKVKGEVFIAHKIVLAMRSPVFKAQLYGPMRDKTSRKITVKDMQPAVFKALLHFIYTDSLPSMEDLDDDENEEMVKHLLVAADRYAIERLKLICEGILCKSLEVQSVATMLALADQHQCSRLKDACVEFVTSSYRMDDVVATQGYAHLKRSCPAVLVDIYERVTKSRKI >cds.KYUSt_chr3.10755 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63929766:63931928:1 gene:KYUSg_chr3.10755 transcript:KYUSt_chr3.10755 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDHGNGPIGSAGAHFVLVPMMAQGHTIPMTDMARLLAEHGAQVSFITTPVNASRLAGFAADVETAGLPVQLVELDFLTAEFGLPDGCENIDMIESKGLVVSFMEACAALGEPLMAHLRQQQRSPPSCIISDMMHWWTGDIARELGIPRLTFTGCCGFSSLVRYIISHNKVLENVTDENELITIPGFPTQLELTKAKCPGSLFIPGFEKIREKMCEEELRCDGVIINSFEELETLYIESLEQVTRKKVWTVGPMCLCHRDSNTMATRGNKASMDEAQCLQWLDSMKPGSVIFVSFGSLASTTPQQLVELGLGLEASNKPFIWVIKAGGKFPEVEEWLADGFEERVKDRGMIIRGWAPQVMILWHQAIGGFVTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKLVVDVLKTGLEVGVKGVTQWGNEQQEVMVTRDAVEKAVYTLMGEGEAAQELRMRAKDYAIKARRAFGEEGSSYNNVRLLIQEMGNKTNACG >cds.KYUSt_chr2.48531 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303563898:303565286:1 gene:KYUSg_chr2.48531 transcript:KYUSt_chr2.48531 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPFSVHLQYSSGDMPLPTATLLVRSPSATSRFLHLLTAALAVLLLFPPFSSASAHATVSAARPLCKLTKPVVLLISTDGFRFGFQYKAPNPHLRRLIANGTSAVEGLIPVFPTYTFPNHYSIVTGLYPSSHGIINNLFPDPISGDTFTTANRDPKWWLGEPLWVTAANQGLQASTFFWPGSEVKKGSWDCPGKYCRQYNGSVPFEERVDAILGYFDLPADEMPQLVTLYVEDPDAHGHQVGPDDPAITEAVMHIDEMMGRLIAGLEARGVFEDVNIIWVGDHGIVGTCDQKLVSLEDLAPWIEVKEDWVLSTTPLLAIRPTNGVSPAEVVARMNQGLGSGKVKNGKYLKVYLKEDLPSRLHYSESYRIPPIIGLVDEGYKVEIKRSEARECGGAHGYDNAFFSMRTVFVAHGPRFQRGKTVPSFENVEIYNVVASILGLRPASNNGSASFHGSVLLPTD >cds.KYUSt_chr2.42002 pep primary_assembly:MPB_Lper_Kyuss_1697:2:261565354:261566324:1 gene:KYUSg_chr2.42002 transcript:KYUSt_chr2.42002 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEVVEEGGRRDRQAQRAEDAAVEEAIARSLKDLVPADNTLPIDAALEWSRRDWERQEAEQQRRLLDLDAARQRSVRAAAPASATNAASRPVELIKLEESSEDDLYRPTPPRVGDPGQGSSRRQLERRRRRQLEDAGNSSDDDAATDHTGFYRHFGM >cds.KYUSt_chr4.3125 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17886820:17890139:-1 gene:KYUSg_chr4.3125 transcript:KYUSt_chr4.3125 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASSSPVPPEDDVCSVCHDRFRIPCQANCSHWFCGECIIRVWNHGAAVQPCKCPICRRLINLLVPANISEDQNDDPQLHRVLGEIEHYNHIFGGAPRSLTQRLQDLPFFMRRLFRELMDPQRTLPLVFRARMILMVVLSAVYVLSPVDILPESVLGLFGFFDDFLILVIVFLHLAAVYRSLLLYRHGGHLA >cds.KYUSt_chr5.39641 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250799956:250807499:1 gene:KYUSg_chr5.39641 transcript:KYUSt_chr5.39641 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEYGGGVDGGAFRGHFPVPTACRNRDSCPPDLGFAMAAALEENRNWHFGILSIDVQLQHALTRSDLNHCRLPNTSHARNQSTDASNSAQLMSTSGAAGGDGQSSGWSTPAQVANTSGQAHVAGNMDWGSALHNMGWVAPNMPWAAPAQGATCYNMGMTMPTQQNAVENMGWVTPNPGNGNMNMMWTTAQTQGTPNAAAMMGAQMQGVAMAPWAAPEQGATGYNMGVIMPTQQNAVQNMGWVTPNPGNANMNMMWTTAQGQRTPNAAAMTWAQMQGVAMAPWGSAMSQGNANSNPGWLPQVGNKNQNAGWSAPVTGQGNDIMNWNSPSGNETWNNQQDFNGGNSDGWPWRPQSGGGGSRRTPPFKKGICYAFKRNGRCYKGEQCRFVHDQPVDGSAPRNDRRFDRQPSSNNRPQYDRQKDQHFDQQPSGSERQHDRNDDREQSWDDWE >cds.KYUSt_chr4.21049 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132609668:132610278:1 gene:KYUSg_chr4.21049 transcript:KYUSt_chr4.21049 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQMRSPVVLIVTILLLLLACLQASPSSQLQKQHQNHIRKMLNASASTPATGGGGGIHSSRRVDVYGCSRPEELVTVSQNSDPILHTGVPAYTVQITNTCIDCAVCDVHLSCGDFANTELVDPATFRRLGFDDCLVKDGGPVGPGELISFQYANSFIYEMKVASAACNCA >cds.KYUSt_chr4.54343 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335901335:335902624:1 gene:KYUSg_chr4.54343 transcript:KYUSt_chr4.54343 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQLHASGPRRLLFFLICFFSGLATCRSAVDAPPTLIDSLPGFDGALPFRLETGYVTVDEENGGELFYYFIESEGDPRRDPLLLWLPGGDRCTVLQALLLQLGPLRFVLEPYNETTTVPRLHHHPYSWTKAASVLFLDSPVGAGFSFSRNPKGYDVGEVSSSLQVKTFLTKWFTEHPDYLANPFYVGGASHAGKFVPLIAQKISEDIEAGITPTVNLKGYLVGNPAISEGNFYEWKVPYLHGVAVISDQLYEVIT >cds.KYUSt_chr6.25035 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158590635:158593261:-1 gene:KYUSg_chr6.25035 transcript:KYUSt_chr6.25035 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTTTATATGAAAAVPAVTPEHAPRRFPRVVRVNPRSDRFPVLAFHHVELWCADAASAAGRFAFALGAPLAARSDLSTGNSAHASLLLRSGALAFLFTAPYSPPPDEAASASASASATASIPSFSAPAARTFAAAHGLAVRAVGLRVADAAEAFRASVAGGARPAFAPADLTHGYTLAEVELYGDVVLRFISYPDNAEPNPPFLPGFEAVNTPGAVDYGLTRFDHVVGNVPEMAPVIAYMKGFLGFHEFAEFTAEDVGTTESGLNSVVLANNSENVLLPLNEPVHGTKRRSQIQTYLDYHGGPGVQHIALASTDVLRTLREMRARTPMGGFEFMAPPQAKYYEGVRRIAGDVLSEEQIKECQELGVLVDRDDQGVLLQIFTKPVGDRPTFFLEMIQRIGCMEKDEVGQEYQKGGCGGFGKGNFSELFKSIEDYEKTLEAKQSVVAQPTFFLEMIQRIGCMEKDEVAQEYQKGCCGGFGKGNFSELLKFIEDYEKTLQAKQSVVAQ >cds.KYUSt_chr6.16728 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105154577:105156732:-1 gene:KYUSg_chr6.16728 transcript:KYUSt_chr6.16728 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMIECEDNWNCQIENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARSCFIFSYFVKRTSAFLRRAGQMLLVVVEVVLPLEEDGAVRHP >cds.KYUSt_chr2.38832 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240705417:240706889:-1 gene:KYUSg_chr2.38832 transcript:KYUSt_chr2.38832 gene_biotype:protein_coding transcript_biotype:protein_coding MELAISAVTGELVNRFISFLANKYYSSRACSEEKQLKRLQQLLLRIGMVIDEADSRYIANSCMIIQLKTLAAAMYRGHHVLDTIRNMKHKKFLEELVCDSSALSVYTPYKRSRTIDSSRATNMVINSELQSALQNLEAGVANMAEFVVLLGGCERISCRPYDAYLYIDNFMFGRHVEKQQLMGFLLEHNSPGPPAMLPVIGGNGVGKKTLVAHVCNHERVRSHFSMILHLKGDDLSRITEHEWTSGRTLVVIEFVSDVNEDEWETFHRSITNLDRGSKVVILGRSTELGKFGTVKPISLDCLAFQEYCYLFKTLAFGSANPRDHSRLAAMVEEFAMVLGESLILGNLLAYALRKNLSTQFWMYRLNWARDTVRKNMSSFGAHPHELFIRGCPLHLMGRHILYPAAPSSIVGSANGMASFPDEDLPRIMFGDLIATAGHTVFPRGDFRLISWESRLPPYTSFSHLVRSASTCVDDKPETPLSGKKRPGQFA >cds.KYUSt_chr2.18643 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117448659:117449794:-1 gene:KYUSg_chr2.18643 transcript:KYUSt_chr2.18643 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHLPSYLALLLAFLLPPLAASQWPSCGKNGNFTTNSAYQANIRSLSTTLPKNASASSTLFAVAAVGTRPDTVYALALCRGDANASTCGDCVSQGFTDAQKLCPYSKAATVYYDHCYVALSSNQTLLSASTRGDNGALILTNQQNVTAPVRAFDAAVGALINATAAYAAENSSRRFATGEAVFETVDKANPKIYGLAQCRPDMAPADCRCCLAEITTNIQFMSGKQGGRILGLRCNYRYEQYPFYTGPSLLQLPAPSIGAPPSQAPAPTPVNATPVSPTPVDAAPGSPPQVNATPGLPPPPGGGSTGHGAARILPITLPIVAAILASIVI >cds.KYUSt_chr6.31943 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201829968:201835297:1 gene:KYUSg_chr6.31943 transcript:KYUSt_chr6.31943 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWFISGTNDVIAFAGRVASTRRVNAVASVSCALVIRDQPSSPQRGRKRKTAKNQAAAAAANQLAEEEAKRAEDAAVAEAIARSLKDLVPADNSLPIDAALEWSRRDWERQEAEQQRRMLDLAAARQLAAGRRRTIVLAERRAQGGKASNNKLAINEILCPLIRLLRSKDNEWYICKHRDVHIHELSASFGERAHWPSHRHIHSYTKDLIKQLRENNVNLGKVYIIIGSFFGKMENIPFTKRALKTLCGQISSEQADDDVRKTIEVFSEMGAADPEFTYSVQVDDDRRIINLLWTTGKGRAQYHYFGDAITFDTTYRTNVYDMPFGLIVGVNSHFQSVIFGGILLREEKGGIVYKVGEPIEKHAAKIYTRTMFEKFQKSLYKSGSYYVDELVPGEVYAATHFDSESRENWCKVKYTITVSGGYYTCECGMYEPRKK >cds.KYUSt_contig_1358.49 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:325919:326704:-1 gene:KYUSg_contig_1358.49 transcript:KYUSt_contig_1358.49 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAPSTTQWTGAGGAPSMSAQQWSPFTPDRWRRLPPARSRRRNPRASPPARGDGVFYRGCTPFTPSPMASPRGFTLSPGSSPRAAASGSGSTASSSSGSRGYDDEAAAAAATEHRLRMARFALQYQDAVNRYHLCVSQLAHAAREADALRLQNASLRGANNDLAGRVVMLGGNRGPAIALAGQLRRLHLGPMQPMPGAPTMLPMPCPASPGPPMLLPMARPGFPVPLMLPIPRPASHGPPMLPMARPASPPATRLTWGR >cds.KYUSt_chr1.37366 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228288420:228290516:-1 gene:KYUSg_chr1.37366 transcript:KYUSt_chr1.37366 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTLDSLAKLIAEGERRATEMQELYSALSTIKPELESIKGSVNTFQPELEEIKSTLEAWKPAMEAKVADLGTAVRDLRRQVDSIAKGVGVGALGSPPTGTSPLTVLPPSVSSSSGAHSGQSGHGVELQNRGAAVESLDTLPPTLVTGPKQSLSMVPFTANTITVPELEAPKHPISGTNPPPQAEFPKFDGENPRLWSCACEKYFRVYAVSTEYWVEYATMHFTGNAALWFQSAEDKMGTISWQSLCDTINKRFDRGQYEHIYRLSFHIKQHTTVSEYIERFDTLMHHMLAYKPDLDPTFFTTRFIDGLRNDLRATVLIQCPQDLDTAVSLALLQEEIGEDVDIVQYSPKPSGFQRVNYKAQSPKSIEVSRDRTKTVVQAEDRRGTDAARATSTTQKLAALKAYRRAMNLCFKCGKKFSQHHQCAKTAQLHIVEELLEMIEGPDSPDSYTTAVDDVADAAQELLCLSQQAVSGTENNACFRLQGVIQGREILILIDSGSSGNFISELLAKHLEGVQPLPVPVKVKVANGGIISGSHYIPECLWSCQGAQFNTSVKVLPIQCYDLILGIEWLKTQSPMHVDWEAKWMEVKQPAGKHQIFGISADTSSCHAISADLLAQWDENEALLYLVQLCLVDEQEHGIVPEALSQLIAEFAKLFEEPTGLPPQRSCDHKIPLLPGATPMKQRPYRYNPLQKNEIEK >cds.KYUSt_scaffold_869.174 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1030662:1031849:-1 gene:KYUSg_scaffold_869.174 transcript:KYUSt_scaffold_869.174 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVLGEITTKATVDYEKIVRDTCRNIGFVSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGIMFGYATDETPELMPLSHVLATKIGARLTEVRKNGTCAWVRPDGKTQVTVEYRNEGGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPGKYLDENTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVASDLARRVIVQISYAIGVPEPLSVFVDSYGTGKIPDREILKLVKENFDFRPGMISINLDLKKGGNRFIKTAAYGHFGRDDPDFTWEVVKPLKFDKASA >cds.KYUSt_chr6.30836 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195468549:195469730:1 gene:KYUSg_chr6.30836 transcript:KYUSt_chr6.30836 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASLGRRRPHSPAAGPLDDDDLLCEILIRLPPQPSSLPRASAVCKRWRRLVSDPTFFRRFRLHHRRNPPLLGFFQGTGIGSQGMTFVPTLEAPNRVHPGRFSPPSGLGDCFQCLGCRHGLVLFFLQNSLRVLVWDPVTGDQHRLAIPPGVATYAKNALINGAVLRAAGDVQHFQVVLTVAYGDEQHRRALACLYSSQTGLWGDLVSIPLPCEASNWELSVNTDDAVLDGDYLYWVLEEFSFSYRILEFDLLKQSLAVIEVPSDMCSEDIRFGIMRAEGGGLGVLLVSDSDCTAQLWKRKTDWGGVASWSLARTIELGKLLSLKSDEKGPLYIPGFAEENNTVFMWTSAGLFMIHLESLKCNKIFEGQPGTFYQPFEGVYAAGNNMRLTWQI >cds.KYUSt_chr7.34451 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215109513:215114281:1 gene:KYUSg_chr7.34451 transcript:KYUSt_chr7.34451 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRFYWGPAPPAGARGVVVVFAWVWSDEAQLRPFVDLYASLGWRCLVCHPDLVSLYLSEKAATLACGVISALAKELKVNPLPTVLASFSGGSKGCMYKVIQLLDGKCEGDATMKDYRLVRNCISGQIYDSGPVDFMSDVGTQFLQNPVIGTSSQPSMIRSLMAKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGSVLIFCSEDDDLAPCHVICGFARRLVELGTDVKIIKWSDSPHTGHYKLHEAEYRTAVDDILKKALVTFCHRSQLNRTSVAGDQEYKIAHCVCNLHNVAANSNESLRRVANSPSDHFFLPSSKDHNESREPDSLIEEQRRQLTYPPRMEPQGVLGQIMFDVCVPKNVEGWDIKPTVSPNGRPALSSARQLGPFNPIKYLRRSRL >cds.KYUSt_chr6.20430 pep primary_assembly:MPB_Lper_Kyuss_1697:6:128694935:128696366:1 gene:KYUSg_chr6.20430 transcript:KYUSt_chr6.20430 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLRRLKSPAPAPATPLEDDNLLSEILLRLLPLPSSLPRASLVCNRWLGLVSDAGFVRRFRSHHHRNPPLLGLMFDDASRISFIPTLESPNRIPSGRFSFQFDDGDQCCIMGCRHGLVLVFNSTRLQVQVWDPVTGDHHRLAVPRELGNKGNMLIMNGAVLRAAGSVHTGHNSSPFKVVLRLATRRPVAYVAVNAGSCDRYIVYTPSTTAVLSSHLHHRHRRYSLHLKMPRHLKTTYSMLGLNGHALPPPPPPQSEPEPDADNSSNDDIDPRFVVWREAYYADAEAKAAEEAAQWGEQPQAPPTWSRQRS >cds.KYUSt_chr1.3258 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19692716:19693024:1 gene:KYUSg_chr1.3258 transcript:KYUSt_chr1.3258 gene_biotype:protein_coding transcript_biotype:protein_coding MCHMSCNGMKLSSSRLAPQPGSFITAGIPPHDLPSRRRADMLLLHLGDEPTSFASAGSCRFWIPNWKPLVVAIWFEIIRSRSSSAATVAAVVARGRRCTGFA >cds.KYUSt_chr6.34184 pep primary_assembly:MPB_Lper_Kyuss_1697:6:214157578:214158162:1 gene:KYUSg_chr6.34184 transcript:KYUSt_chr6.34184 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLRGLHVRVGGGLDASSTRGRRLVLFSGNFNASSSRGRLLALVSGSLDASSWHGTRRRRPRRQQAPRCAPGGSSHPSCLSGTAVLARGGLRADRAVGLRAEGISGGGSQRGICYFARRETRLPRHPGQRRQLDPLPHLRWLNGEVAGPRRGGGAMGMVRACSLGTSPIASPPSVWGDEVGGWTTKGMRTSG >cds.KYUSt_chr5.38716 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245035800:245038674:1 gene:KYUSg_chr5.38716 transcript:KYUSt_chr5.38716 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLLLVLLHILFLLHCSSASHHHRHHRDASHYQWYGGRRALHEPLFPLDSAPALPPPPPSPFFPFLPDAAPPQPAELTNPAPPTSAGLDPSTGTDPSSSSLPNPTAPANMSSLALPVSHTAPLRSFLSSHRLLTILLLVVTLASALLAAVLLYLLARRRRDRPEPDPPVIYKKPSLPAPATKHPATHDDEQQHGRGSTATLSSTSSPELRPMPPLPRQFQQTRMMSPMPSSDDPVLQDRARAPQVVPPPPPPPPMPPRKGNGGKQPAAAPPAPPPPLPRAANGNGWLPRRLSERPAPTVIRASAGAVHPEDSPQSEEKEADAGAAGRLKLKPLHWDKFRASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRMSKPGFKDANGASCHQENKVLAAKKSQNIAIMLRALDATKEEVCKALLDGQAESLGTELLETLLKMAPTREEELKLREYREDALSKLGTAESFLKAVLGVPFAFKRVEAMLYIANFDSEVDYLKTAFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNAHAFKLDALLKLVDVKGADGKTTLLHFVIEEITRSEGANIVGTGQTNNQATVTADDFQCKKVGLKIVASLGGELNNVKKAAGMDSDSLASCVSKLSAGVSKISEVVQLNQQLGSDDSCKRFRASIGEFLQKAEAEITGVQDQEGLALSLVRETTEFFHGNSAKEEGHPLRIFMVVRDFLAILDRVCKDVSRLNEQTATVGFSTSRRMENATVPPRFNPVQPSSSEEDSSSS >cds.KYUSt_chr3.44501 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280868268:280870765:-1 gene:KYUSg_chr3.44501 transcript:KYUSt_chr3.44501 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQPTPAAAAFRLGFVGAGNLAESIARGVAASGVLPASAIRTAPHRRPERGAAFADLGARILASNAQVVDDSDVIVISVKPQIVKQVLVELKPLLSEEKLLVSIAAGIKMQDLQDWSGQRRIIRVMPNTPSAVGQAASVMCLGETATANDENRVKSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVSQTGKHPGQLKDQVTSPAGTTIAGVHELEKGSFRGTLINAVVAATNRCRELSKN >cds.KYUSt_chr3.23089 pep primary_assembly:MPB_Lper_Kyuss_1697:3:142912167:142913252:1 gene:KYUSg_chr3.23089 transcript:KYUSt_chr3.23089 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFTYRQMNMGAGLVPNGNFEYGPPKKDLVNGTVVKGGDSIPSWRTSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASITQRLAVTRGAYYAVTFSAARTCAQAEAINISVSPESGVLPMQTIYGSNGWDSYAWAFKAKFDAVDLVIHNPGVEEDPACGPLIDAVAIRALYPPTLSKGNMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPAWMIMSSKAVKYVDAAHFKVPEGARAVELVGGKESALVQEVRTVQGWTYRLSFAVGDAADGCTGSMVAEAYAARATVKVPYASKGAGGYKRAVLEFAAIGSRTRIVFQSTFYHMKADGTLCGPVIDDAKLVPLRKKTAGRRLML >cds.KYUSt_chr3.4530 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25708801:25709958:-1 gene:KYUSg_chr3.4530 transcript:KYUSt_chr3.4530 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVASKRIKTEKDHGAGPDGAAAEGGRSDGEIFVKIQSDVLCCRICLEPLKPPVFKIALSFQSSV >cds.KYUSt_chr7.2154 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12535150:12537165:1 gene:KYUSg_chr7.2154 transcript:KYUSt_chr7.2154 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQLPPPPSPSPSSYPAPLHHHRHLRRLPPTALVLAAAAGALLAALMALALLLLWFRRRRHRRAAAAADAKEAALERLSYRKLRRATGAFAPAGKLGQGGFGPVFRGALPPPRRGAGCGRPVAVKVMDAAGSLQGEREFHNEIAVATHLLRAAPASVAPASPSILLPFAYSTPRRGEGRARGRRMMLVYDLMPNGSLQDALLGRRCPELVAEWPRRLAVARDVAAALHYLHAVLDPPVVHGDVKPSNVLLDAGLRARLADFGLARVNSVDPDPADNDKLESGAIAEAADDPTTALDDDVVSVLAESTVDAEGNVAPKSPDDDVEAGFTLPSPTDAASTSGFDQTSVDSGVNGRGSRAGRAASGSDWWWRQDNGGNSHGVKDYVVEWIRSEIKKERPKNDWIAEAAAANPGADRKKQKRRAREWWREEYTDELAKKQKRRALAKSRSEQAGLQWWERDIDDDLDGKARSKWSMVKSWSRRSSSSAGNANANANGSINWWVNGARSSRDWASGDFVPKSSGAVSSTPSMRGTVCYVAPEYGGGGPLSERCDIYSYGVLLLVLISGRRPLQMSASPMSEIEKASLISWAKHLARVSRLTDLVDPALKDVNLEEALLCITVALLCIQRSPARRPSSEELLRLLSGEGEPPHLPLEFSPSPPGGFPYKSRKKVR >cds.KYUSt_chr4.50199 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311030463:311033495:1 gene:KYUSg_chr4.50199 transcript:KYUSt_chr4.50199 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGEGSGDAAGDTGGDPTTASPVAVHSGGSASERPPDGRFWVLESSDEEVEDECAMADTSPIHDAGSFRYLCRTPEMVDDRDLNEPTHELARRTIKHLQRRQLQRKAAMDFMATKGTLISPTSTPLGRSNPKSLNLPVLAPSVFIDDGQEGWTVVHRRRWSPELGKTTSDPVLVPEISRRSKIRGLGSISVNPLSVLPDRRGPARMARYRPIPRTADRSVETHHPIQVKVGGAVAGHAFRRLLGFAWRKIEKGKQVVQQPHSGTISGDGGQGGFNPGRGAFNQGRGGFQSRGGFAAGRGGTARGRMGQGGGRGGHGFNGGRGYQGTGHTSSTRNFVQGESSGTAGSGNFTNARDENWGGQRNYNNNYYSNNRANYGNNQQRWVSQNNVGRGGTFQPRFRGNNDAVGAAARGPIDADLLHQTVQAVVAAVTAAQKTPEVINTSGSNGVEGVPDPKVVAVNPSAEVQPRNKAVEPQVVQGNVRENVGAGLAKKKKDDNEVCFRCKKPDHFIDDCTTPYCDICESIHHITSACHLLQAPKPTAILHGYANEALMFFEMPCGAFKAKVENPMLVKVSVEGEVLTIPEIIEHMKRIVPSEKFHWEVYHYKDNIYRVKLPSKQEVQRLKNFGSYVCPLKDTVLFFDSWSSVEEPLNLLPEVWVRVDGVPSDMRADYLSLWGIGSLFGKTLDVDMPFTRKNKLLRIKIGCLDRNLIPLDSDVFIRRGFYKLRFEVETVQMAQEVNMAEANDDKNGDGDPNNGLGNGNGNNDMEMDAKGVVDEEHANNNGQDENGVKNGVEGMQEQCDRVEEDSIGTLKVPLSPLGDVALDSIFAHKNTVSLPILHALNLSLNDENRVDSYADFGAAKSTSGLPRVRKQVALETVLSDDSGRLHAHHCGGAVRSSGGQQLGAWQTTAIPPSVQPLQSAALGPDVDPPRANGMQESAADSCGAVMLVGHAGDKLDIGASGGAGSDAIMQPNAKLLKPSALPQKIQPHANVDRWMTSGTGPIIWQLINQ >cds.KYUSt_chr3.30221 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189232134:189232707:-1 gene:KYUSg_chr3.30221 transcript:KYUSt_chr3.30221 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSDAPVIHQEEEDLFETSSSISGDDSDDEARLSELEGLQVAPKTVRRLNSDSVYNMSSMKSELPVKKGLSRYYDGKSQSFFCMSEVRSLEDLPKKRPSKEIRSHVDLDDSNQEACPAPGPKGKTSGSSCANLMTRNSAAANLLYRAPAIPVNKSAYHQ >cds.KYUSt_chr1.2693 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16143056:16153870:1 gene:KYUSg_chr1.2693 transcript:KYUSt_chr1.2693 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRCLTGCVSAQAGGDHREDGKGCADDAVGSRKRAVGREACLVGADQGRQRDNFQGGLGWNQRFQILTGICHGLRYLHSDEVHIIHGDIKPDNILLDENLKPKISDFGLSSFFPGSSISRFTNELRGTRGYIAPEFIDKGILSFKADIFSLGVTIMNLLIGQRWDREQSFEQVLRNLKKRLVKDGVFSLWENNYQQIRTCLEIGYNCVDMDYRKRPTAVEIIHRLEETESPDYSGSSGPPTLWQSGDEESNLSDTDTIEQEPEPDSTHWWKKGLWSWFATAISRATAEYPPSIESLADTDISGETSTQEHDKLDTISKLPTSADLSKLTFLKEITDKFSYKRIVGKDGMYRPGSHKVFVYKGDVPGQKMVAVKRLIGVEIPVNKFKMEAEQFKSLDHKNIVKVVSYCHDESRKQRLVEFKGQEKLVVFDGHEQLLCYEYMLNGSLHDYLVGQGSRKLDWQMRYKLIKGTCKGLRYLHQDHGNGPIVHLNLCPSNVLLDENNAPCITGFDFSKLIGEKENRSIAVKKDGQISYLPPDFFHFRGTDFKYLATVDVYSLGLMILEITTRQEIKGKHEMLIKSIEENWSEESQLQSLYPSLEYEHLWQVKLCIDIGLLCVKPMPKERPTTRKILTWLNEGKIPVPVARAGAGGVPIPPVRTNITQADDRIKGWWWDGLRHPLRLSPVRGDDLGLLLRYEVTRGSNLGFDGGDGLLLRRRRSAGCWGGGSRDPSTPAIKI >cds.KYUSt_chr2.5255 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32641758:32645025:-1 gene:KYUSg_chr2.5255 transcript:KYUSt_chr2.5255 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSKVEDLWKDFASSDKARKVVELKAFNKFENTSDALSAATLLIDSKPSKGLRKFLTKHCDGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRSQLTELMSGLAEHDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIHYAKAVKLMGNRVNAVNLDFSEILEEDVEAELKEAAVISMGTEVNDLDLSNIRELCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLMNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALADGDDNSMGLESRIKLETRLRVLEGKELGKSAGSTKGKPKIEAYEKDRKGAGALITPAKTYNPAADLVLSTEATPKKSEVASKKRKHEEAEPTKETAAEDGEQEKEKSKKKKKKSKDTEESPAADADGGEKKKKKKSKDSEEVPPSADADGEKKKKKKKSDTEDAAPMETDVSAKKEKKKKKKHADE >cds.KYUSt_chr1.17986 pep primary_assembly:MPB_Lper_Kyuss_1697:1:104918626:104920071:1 gene:KYUSg_chr1.17986 transcript:KYUSt_chr1.17986 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVYRIASPSEYLAITGYNIIDVKLAKKAWIAPGQRCARFDISPVNYTFEVQAMSAEKLPFVLPAVFTIGPRADDNECLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEQIFHGAKSFKQAVFESVQLELDQFGLIIYNANVKQLVDVPGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKVREGMTRQNAAKVDAETKVYTVKRQGEGSKEEARVQSEVQVFRNEREAEVAEANAELAMKKAGWERQARVAEVEAAKAVAIRDAQLQVEVERTNAERQTEKLKAEHLSKAVVDYEMKVQQANWELYNRQKAAEALLFEQEKQAEARRAVADADFFARQREAEAELYAKQKEAEGLAAMGEAQSVYLSSMLGALGGNYGALRDYLMVSKGVYQEMARINADAIRGLEPKISVWSNGAAAGEGDGGAMKEMAGVYRMLPPLLTTVNEQTGMLPPAWMGTLSGGTSTSR >cds.KYUSt_chr4.52414 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325137607:325139326:1 gene:KYUSg_chr4.52414 transcript:KYUSt_chr4.52414 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTGTQPARVQPGGVNYRMPPAAPNRRKRPPMEQPVAAGDGARPKKSSAAKKAKKGGATGNGSASASGGAWPAIKPKKDLQVNRLKGTQLLTVPDFLTSAEAKSFIDVAETMGFTHQGSLGPLKGEAFRDNDRISVTDPLLAQTLWETGISRIFTDINIAGKVATSLNPNIRLYRYVEGQRFGRHIDESVSLGDGSRTQYTLLIYLSGKGSAKDSQALVGGETVFYDHRGGIVAEVAPVQGMALLHLHGARCMLHEARVVKKNVKYVLRSDVVFA >cds.KYUSt_chr6.4293 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24827839:24828234:1 gene:KYUSg_chr6.4293 transcript:KYUSt_chr6.4293 gene_biotype:protein_coding transcript_biotype:protein_coding MKALASVLLVLAFAVVTAHGCSKTDLCANNECCSQWGFCGTGGSYCGTGCQSGPCYRTILRAVLGEPSCGREAGGRQCPGGDCCSQYGYCGTGGAYCGFKCQSGPCYGAKLPANVAGAVTEALVDQVVPAV >cds.KYUSt_chr3.39391 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248140078:248141199:1 gene:KYUSg_chr3.39391 transcript:KYUSt_chr3.39391 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLPLPFPWLTLPDGTFLSIPANEIHRVPIPDGACVQGSIDNWLFLMHKSSMDNHNVCSLMNPFSKATLELPDLVAVWKHQTSYYSHRKPFFYKLVVPSPLDSSPDSPVAALIMDDGNSHILCISQPPIATSSLRARSNKDPRLYLSDVVFFNRKLYGLHGSGKLYIIDLDNDLGISSIECIIDALGDISGIPQHLSGMVYMSREYLVECGGKLLMVIRWFNLMPKPTDDDDVFENTRTVALQVFEADLCSSPNRWRSATELGGHALFVGQRSSKSLPARECSGYHEDCIYFMFDYDWPTSSANPLRDSGVYNIRNGTIAPLMSGTSAASLHRVGQWRPTWFFPPEAVWSIQSGFCSSFILAICYCISLVV >cds.KYUSt_chr2.38994 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241777539:241777988:1 gene:KYUSg_chr2.38994 transcript:KYUSt_chr2.38994 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHTPDGMVDAPLFDCFLLDIKPDSAAAESLERSADHPSAMPPSEVVVTYEDREALRRPWMGIVDDGEGKGEMGGDRGLDTLELRWRPGSLQRRRREEEGRRTSLGRRSRQIRRWGRELSGSNRRVQTSLALIKAVCPKTTPSGSIK >cds.KYUSt_chr1.32493 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197318856:197320169:1 gene:KYUSg_chr1.32493 transcript:KYUSt_chr1.32493 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRRLAGAVSAPLRRSLCTAASRPPWAMVYSWAALDASAAPSPGARATFDLDAAPYISQISVPAHLADGMDFAAASVRATSSDGLLLLDLAETRHGPRPPPNLPYFVDTAMLHQMAAAGAATELDVTRFVCNPLSGQLFRLPVVPDLGVAKASTAFGLLTQPDGADGPPGRYAVAQLSFRARDNRAVVRRFLSETGEWDDQLPMPCFVPSRMPPLQSFQIHTSHDVLAFGGRLWWFDVTWGAVSVDPFSDRPDIRFLELPQGSLRLDIDIKDKMLLTKRRIMGVSEGKLRYIETRTEKEPFLIRSFALDDEGRCWKLTRESTITMVLPNKAKPHAGHLPWIAAIDPFDANILYFDLGHTVFAMDMAKKKAIKSRSCPERLTALGKCHSSAFYLPCVLPTWLESCYIPSAGIYMLLQHALFGTLHIEAFKVYFVL >cds.KYUSt_chr2.43195 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268830914:268840394:-1 gene:KYUSg_chr2.43195 transcript:KYUSt_chr2.43195 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPLLAALVAAALLAGALAATEEAYVTLLYGDEFVLGVRVLGKSIRDTGTSRDMVVLVSDGVSEYSRDLLQADGWIVKHITLLANPNQVRPTRFWGVYTKLKIFNMTDYKKVVYLDADTIVVKSIEDVFKCGKFCGNLKHSERMNSGVMVVEPSETLFKDMMDKVDRLPSYTGGDQGFLNSYYADFANSRVYEPDSPLTPEPETQRLSTLYNADVGLYMLANKWMVDAKELRVIHYTLGPLKPWDWWTAWLVKPVEIWQDIRQKLEESLPGTGGGRNPHDQLVVKFLFIIPFCLLLFGYYQSCFQNNKEFLSVQSLCAFARRGRHKYKSEEALPSYSAVGVSSSTFSNSNQRFANGPYLKLPSYFGVIAVLVCFMSAGVSLAFAFTIIPRQIMPWTGLLLMVEWTFVAFFLLFGSYLRFVYRWGSISANHVGYSNSDSSENRAGTGHQRNMSDCDVEVTFYWAGMAVIAIATVFSPTILGITALFTKLGLMVVGGVVLASFMTYASEHLAISAFYKDPMMLSLYATIVLLLLAGVESASRNDCNAGDIAALVAVKAAFNNASYFASWTPATKCCHWRGIKCEYFPATGQVNVIGLDIVEDDNVAGTIPGAAIVGLANLVDLTLYKVPGLYGPIPKELARISGLFALTVSGTRVSGPVPSYLGALTALQFLDLSSNRLTGGIPPSLAAQPHITSIDLSGNRLSGGIPVEVLNLDGLQFFNVSSNRLCGMVPTGSAARFGESAFQLNKCLCGDARLPPCHHTN >cds.KYUSt_chr6.27001 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171147008:171150044:-1 gene:KYUSg_chr6.27001 transcript:KYUSt_chr6.27001 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDASEKTITGWAARDATGHLSPYTYTLRRTGAEDVVLKVLYCGICHTDLHQTKNHLGASKYPMVPGHEVVGEVVEVGPEVSKYSVGDVVGVGVIVGCCRDCRPCKANVEQYCNKKIWSYNDVYTDGKPTQGGFASSMVVDQKFVVKIPAGLAPEQAAPLLCAGVTVYSPLKHFGLMTPGLRGGILGLGGVGHMGVKVAKSMGHHVTVISSSDKKRAEAMDDLGADAYLVSSDEAQMAAAMDSLDYIIDTVPVKHPLEPYLALLKMDGKLVLMGVIGEPLSFVSPMVMLGRKTITGSFIGSIEETEEVLRFCVDKGLTSQIEVVKMDYLNQALERLERNDVRYRFVVDVAGSNIDDTAA >cds.KYUSt_chr3.7563 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43582467:43589711:-1 gene:KYUSg_chr3.7563 transcript:KYUSt_chr3.7563 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPGIEDTGKESLSAGLVKDSLQSKKTRMSQANSLFLQFKMPRYDDRDRDRYGGNTRLYVGRLSSRTRTRDLEDLFGRYGRVRYVDMKHEFAFVEFSDARDADDARYNLDGRDFDGSRMIVEFAKGVPRGQGGSRDRGGDREYMGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGDSGHIERDCQNSPKDLKRGKSYSRSPSPRRGRVRDRSYSRSRSRSYSRSVSPRRDERRSRSPRDSRSPRRSPRRDSLSPRKSPRDSRSPRRSPSPAKGRSRSPTPNGSRSPAPRENSRSPMRADSRSPVDRERRDISPAANGRSPSPRDDEGNGNGHASPSGSG >cds.KYUSt_chr5.19835 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128721695:128724063:-1 gene:KYUSg_chr5.19835 transcript:KYUSt_chr5.19835 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNVNGSSVRGASPSTRKASDGEVLDRIRCDVEKMLHDPSIVFISSLRVAGSISAQILLSSNEPPLLTYVRHSCSGKVLLAWDTA >cds.KYUSt_chr2.42924 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267198232:267199477:-1 gene:KYUSg_chr2.42924 transcript:KYUSt_chr2.42924 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKAPTEAMATVAEAAPVTAERPVRADLESRLPKPYLARALAAPDVNNPEGTEEGHEHGQKSVLQQHVSFFDLDGDGIVYPWETYGGMRALGFNVIVSFILGIAINVGLGYPSLPTWIPSPLFPIHIKNIHKDKHGSDSSTYDTEGRFMPVNFESIFSKNAHTAPDKLTLGEIWRMTEGNRLQYDFFGWLASKGEWILLYVLAKDEEGFLPREAVRRCFDGSLFEFIAQQRREAHEKQQ >cds.KYUSt_chr1.35738 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217785219:217785557:-1 gene:KYUSg_chr1.35738 transcript:KYUSt_chr1.35738 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIAAAVALVVAVAACCQLLCADGGGVDGVVTLLESCDGTASTLGQCWAGDELARKPAARYISYAALRADQIPCNKRGQSYYHDCGSQGKAVNPYTRGCSAITRCARNMN >cds.KYUSt_chr2.34097 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210742937:210745856:-1 gene:KYUSg_chr2.34097 transcript:KYUSt_chr2.34097 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGGGVAAAAMNHHARSPEDVFRDFRARRAGIVKALTTDVEKFYQQCDPEKENLCLYGLPNETWDVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKEARRRLFTMVNGLPTVYEIVTGVAKKQPKPSNGSSKSSKSNSKPSRHSNSNSKPAKPAQPKDEEDNDQEDAQEEEQAYSCASCGETYVNGEFWICCDICEKWFHGKCVRITPAKAEHIKHYKCPACSSKRSRE >cds.KYUSt_chr7.27492 pep primary_assembly:MPB_Lper_Kyuss_1697:7:171671971:171674466:-1 gene:KYUSg_chr7.27492 transcript:KYUSt_chr7.27492 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAAEQHVRYIVTAEKKKDSFESLVMEHLRASGAYWGLTTLDLLHKLHAVDSAEVVDWIMSCYHPESGGFGGNVGHDPHVLYTLSAVQVLCLFDRLDVLDVEKVADYVTELQNEDGSFSGDMWGEVDTRFSYISICTLSLLHRLHKINVDKAVEYIVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHIDRDLLGWWLCERQCRDGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKEKLAKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLSKQQ >cds.KYUSt_chr3.30210 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189164241:189167945:1 gene:KYUSg_chr3.30210 transcript:KYUSt_chr3.30210 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMKVLDHTVREIKREVNLRVLKVPEIEQKVLDTTSDEPWGPHGSDLAHIARATSKLGECQIIMDVLWQRLGNTDANWRHLYKALAVVEYLLANGTERVAEELIENSSQIATLTKFEFMEPNGKDVGLNVRKKAETVLAIVDDREKLQQVREKAAATRDKYLGVSSTGMSHKSSAASFGNRSYSSGGRTGGSRETASFRDSYTGTERSKSSKDTMSSHRSTRHRSKETTKRASRSKSEIGGSKSLSNPPATSGVPSSLKGKNEDEGDDFNPRGSSTSGRHCYTLCLTCYTLIMLASLSFCLPDKIAGTANVSSNHLDLFGPNLMDDLVDTAESPSTAMLNVGSVAVPEIDLFADADFQSANVPSEAATASGSHAQDKVDLFAGRPSFGGSATSDTEFSVRGTPGKHPEQKPSSLAHPSASAFDPFQPSFATLFPSDSPSKSSQGKPPTPENASNTAFDPFAAIALRNSGASDSFGAFSSSTGSSVAEPTHDSPGIKKSSDYSPLEKLDFGAFTSHKELHTSATESMNKSLANLKQASMSASKPAVKKESFQVKSGIWADSLSRGLIDLNIAAPKKVDLSDAGAVGRLSGGSEEKGPAVPWYMEAATGTGPDLGRPDFPSSIGTAGGTSFFWQQQQQQDLGNFR >cds.KYUSt_contig_1658.120 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:786863:791968:-1 gene:KYUSg_contig_1658.120 transcript:KYUSt_contig_1658.120 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGPHVISLHDSAPALLGRAPQHQQPGAESPHGALLPIPRGGPAPHPAVAALEERIISRDVDIQELLVDNQRFAATHVALQQQLISAQHELRAVSLAGNKARCERDAEVRAIADQAARIEAEALTVAAARAEVDQVHADVRVLAGSRTELMDRLRVLREQVGRAQSEAAKAENVRIQIETMRREIQKGRAAVEFEKKAHADNLQQSKAMEKNMIAVASEIEKLRGDLVNAEKRSTAVTTAPAVANPGYAAPYGSTEATYGTAYGNPEAAYAATYGSAEATYAATYVNSDAYSTNQAHTRADGNPHYMGQPVHYAQYDGQQQQHTNVQR >cds.KYUSt_chr1.25202 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151180022:151180498:-1 gene:KYUSg_chr1.25202 transcript:KYUSt_chr1.25202 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTFASSLTSFPSPMVFGYEPLVVTKHRNYSAYDYMDLLTALGFNTDIGKRVLPTKLKVDAPMVPTTYLCGAGIQTPNQVLYWESNFDAVPEYVYGDGDLVVNLVSVLAFVKEISRQQRQSNIPFKFVKIANASHSGIVSEESSLRRVMTEILEANC >cds.KYUSt_chr1.31137 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188822428:188827041:-1 gene:KYUSg_chr1.31137 transcript:KYUSt_chr1.31137 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSGSHSASPPVISGTAAVAACAAMAVSYVAVLYAPTLLLRLPPATSLRAFFHRRFVCAAFSSAASVLATAALLRVWSLSDSSKALAVFGIRSDHLLEAVVVPLVLTSLVYAGSFVSRLWVMSSSCGRDDGEVGISCTEKLTRWMQTSLQDVMVWRNYVVAPFTEELVFRACMIPLLLCGGFKMHNIIFLSPIFFSLAHLNHLFELHQQGCNFMRSLLIVGLQLGYTVIFGWYAAFLFIRTGNLVSPIVAHIFCNMMGLPAFSSPRTR >cds.KYUSt_chr1.15524 pep primary_assembly:MPB_Lper_Kyuss_1697:1:90330038:90337254:1 gene:KYUSg_chr1.15524 transcript:KYUSt_chr1.15524 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAARWAAALLTLGVACLAAGPWACAAQPVVLSSYGQSRLSLKPYDWTYLRVDLPASFSSVTMDFATDRDIPREHLRDLPRSDLAIICLMIANPPIPDISGYYLDNLLSDFLAVGSFGNMNNQSNLAQCIPFQKNTTIVLTNDQILPGVWYIGYFNGLGSARTQSKMISRGRARSVSTSITVEGCPSSALWGPYCNQTIEMIGCSQLSRYNNSRNLLVQTIDTKRRLNTRENNRHTSFLLRSNLPIGHALDSNSTSLLKVENLITCSISNDSLCLRQGDMKFYFLDIDNLAVQFRIAAANFGLVQRASLVCYLRYNAFPQRVLHDYSGDISSGPLVIKLPNIGRWYIAIEILNKTEINNTTSPMPDTTCFSFDWQVTGCLDGKAGINCSWEAYVLQRVPKRNPSVPFESYYVPTDGRAMLEDSHFLLEQFLSNSSYEQFAWTYFLLDVPQGSAGALIHVQLKSDKELNYELYSRYGGLPSNDSWDYFASRTSSSNGSIFFSLQNSTNSDMDLSIFYAKEGTWCFGIKHPNNTSNSQTYISVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGFSLTPEKLIALGSSSPDQWVTLAIR >cds.KYUSt_chr2.3172 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18696716:18698482:-1 gene:KYUSg_chr2.3172 transcript:KYUSt_chr2.3172 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIIGASSILVVAVVAAVCVVSFKNNSGGQDDDAHLTTSVKSVKAFCQPMDYKETCEAELTKVGGNATNPADLAKAIFEVTSEKIKKAISESATLEELKSDQRTAGALENCKELLEYAIEDLKTTFDKLGGFEMTDFNKAVDDLRTWLSAALTYQETCLDGFLNTTTDAAGKMRGALNASQELTEDILAVVDQFSATLGSLSFGRRLLGEEDGSPVWMTDGKRRLMEAGPSTPDFKPNITVAADGSGDFKTIKDALAKVPAKSATMYVMYIKAGTYKEYVTVPRTVTNLVMIGDGMEKTIITGNKNFKMNLTTKDTATMEAIGNGFFMKDIRVENTAGAANHQAVALRVQSDQAVFFQCYFDGYQDTLYTHAQRQFFRECTVTGTIDFIFGNSQVVIQNCLILPRKPMDNQVNIITAQGRREKRSAGGTIMHNNTIEPHPDFKDQGKIATYLARPWKEYSRTIYIQNNIGAFIDPKGWLEWNGNFGLETLFYAEVENTGPGADTSKRAKWGGIKTVTYEEAQKEFTVEAFIQGQQFIPKYGVPYIPGLLPQSEPGRGH >cds.KYUSt_chr6.8087 pep primary_assembly:MPB_Lper_Kyuss_1697:6:49749437:49752170:-1 gene:KYUSg_chr6.8087 transcript:KYUSt_chr6.8087 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPPRKRNFKIEAFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYNGLESTLTWRLKEISKSIEAAQGGLFLAELNAKWMDHNKALQMIRDILMYMDRTYVPTSHRTPVHELGLNLWRDHIIHHSMIHGRLLDTLLDLIHRERMGEVINRGLMRSITKMLMDLGPAVYQDDFEKPYLEVSASFYSGESQEFIECCDCGNYLKKAERRLNEEMERVSHYLDAGSEAKITSVVEKEMIANHMHRLVHMENSGLVNMLIDDKYEDLGRMYTLFRRVPDGLSTIRDMMISYLRETGKQLVTDPERLKDPVEFVQCLLNEKDKHDKIISVAFGNDKTFQNALNSSFEFFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEGILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSAKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQDFYAKKSEELGDGPTLDVHILTTGSWPTQPSPPCTLPPEILVVCEKFRAYYLGTHSGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNSNDGYTYKDIEQATEIPATDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFLPNPVIIKKRIESLIEREFLERDKADRKLYRYLA >cds.KYUSt_chr3.34861 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218560725:218562039:-1 gene:KYUSg_chr3.34861 transcript:KYUSt_chr3.34861 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADFTLNTGARVPSVGLGTYKAAPGVVTDVIGAAVKAGYRHIDCAPMYKNEKEIGVALKKVLADGVVRREDLFITSKIWCSDLAPEDVAPAIDSTLDDLQLDYVDLYLIHWPFQVKKGTEISPENFVQPDIPKTWQAMEQLYDSGKARAIGVSNFSSKKLGDLLGVARVPPAVDQVECHLGWQQAKLRAFCRSSGVHLSAYAPLGRMKDVASNPVVLSIAESLGKTPAQIALRWGLQQGQSVLPKSANASRLKENIDLFDWSIPEELCAKLSEIKQASTQHLS >cds.KYUSt_chr2.44862 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279402271:279407883:1 gene:KYUSg_chr2.44862 transcript:KYUSt_chr2.44862 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQIPPQYAPNIGPPPFMLPVNGRPLHVAYQQKQPQVPVEFRGPGVQMHPIGSVSGSLPVKMAMPMGNTPHVPPFFVHGAQPGALQQPAFIHQGQDLGRAPPASPHLSQLGNMMITQELSQQKPRSCDEQKRTVRITHPDTHEELMLNRRGHPYMDASSGQMPLHNMNQPPQHVPTFQPLHKVYYQPNVYNTGHIYLPNTSTVPVSNRQMRPKMHPPSHSFEPTINQAVTSISPPMPNPWLDANSRPPTNLHSASEVSNFKGLLPFDISSRGQGALKLPTVSIVENNELSSHTSIPSCVAETPTSSRFSGEANLKLPAATSGIHCSMSPQSVLTQQTQTGPALVDATSTAGPQIISSCNLTPACVVDGTSSVEEKLVGVEESSPVPAATSPCSVKGQSYPTVSLQSETSLDTETSLDSKNSIYECDSILGKPPLIYAQEILPPKFPATSLFPEGLNTKVDPVPFLEETSEANCSVALMREEYIVNAELTCSKSKAERVDVTMSGTASGSENSTDLSKLVRFPACAEPGLLLSIGDLPSSNKNCGPSADAQIGPCQPENKLKDGIQDTATVPRITAIGSDSASSRREIEHESIDSGVSNICSAAVSTTVQTKKTVLEATKTKTTHGRRKRKKEIHAKTDEQKSSAIYNASSSLNENFASIRIKKVVQSSSTADLKEICTLDAEMGTPAGSSDDNQSKNDFSDWEGDAEISSEKLRVDSCKNSNTGAEINKDGYDQKRYSRDFLLTFAHSCINLPEGFKIGSDTYDAIMNVSSEHDPNRARIKDQVSAISQVNRHMRSTKFEENYWRKSYPSPVSGRDPLPDIAHRPAISSWDAAQRGGRGSSRSVSQNQSPSQYTGEILSRAVKEVACQRSMSRGSVDQKWQHRTNQGTSSSQVPTPLMHKAEKKYEIGKVSDEEEVKQRQLKAILNKLTPQSFEKLFEQVKHLNIDNIVTLNGVISQIFDKALMEPTFCEMYASFCYSLAGELPNFVKDDEKITFKGLLLNKCQEEFERGEREQAEADKAEEEGGIKQSKGLREEKRLRARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYQHPDEENPDEEDLEALCKLMSTIGEMIDHYRAKVHIDFYFDIIQKLSANSKLSSRIRFMLEDVIDLRNNKWRQRRKVEGPKKIEEVHRDAVKQRTSQSTRSGSSPSYNSSSTSMSSASRPGPPLDYGVRGSSASRGSSQVRAYGSQNVNLDTRYHTSNRVMSVPLHQRRSDKSIRLSPQGELGREMSLYGKPQASHNILPDVPLSSHHGQTLKSPKQSSFAGATSSQTNFHATADTPKSQSWGTADHAIPILVTPVNPVSQMHTLSADIKGICCEARIFPEEILQEKAILTIKEFYSAKDEKEVGLCMKELNAPSFYPSLVSLWINDSFERKDLERELLAKLLVNLCKSQESLLSQSALLQGFQHVVSTLEDAVTDAPKATEFLGRLFAKIILEDVLSLTEIGVLLQDGGAEPVGPALDQSLASEVLRSMLESIRVERGDSAVDEIRAKSDLQWESLRRPGLCV >cds.KYUSt_chr6.9541 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58869226:58869822:1 gene:KYUSg_chr6.9541 transcript:KYUSt_chr6.9541 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLLELSSCSQEMLVLVLLMELLMWEPEVAVGDGQGSRPNKLVGRPLDPDLGAWCCAGEVVAPIWPLFFSHQGGGEGEWWRGALSPSAWQEAAVFHGVHQLGHGDASVILGRRDLSLLRCRVFCNVFNLQASVPMWRPFSDSITSLSACPSSSGSVPDGGAGGRDAECFVFFGGEGLDCILKYFLGSFVQMWRTCL >cds.KYUSt_chr3.31354 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196950430:196951461:1 gene:KYUSg_chr3.31354 transcript:KYUSt_chr3.31354 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLAKATIALVILVMLFMPAAMAAASFDATRSQHLPLPRGTVRGPESVAFDGQGQGPYSGVSDGRVLKWNGDKLGWTTYTHGPGYDSKMCTATKFRPETATESQCGRPLGLRFDQKTGDLYIADAYKGLMRVGPGGGEATVLVNNVDGIPLSFTNGVDVDQTTGQVYFTDSSMNYNRAQHEMVTRTGDSTGRLMRYDPRTSDVTVLQTDMTYPNGVAVSTDRTHLVVASTGPCKLLRHWIKGPNTGRSEPFADLPGYPDNVRPSNKGGYWVALHREKNELPFGRDSHLLAVRVGSNGKILEEMRGPKSVRPTEIMERNNGKIYMGSVELPYVSVVKRK >cds.KYUSt_chr3.22000 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135531566:135532544:-1 gene:KYUSg_chr3.22000 transcript:KYUSt_chr3.22000 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQRSSPAKAAAADCDPVYEWVIGDGSYLLRLTLPGFKKEDFRVHVDPSGRLTIIVGHRPVDGVAGTVRLHKVFQLPHMSDLDGITGRYDGSALMLTVPKLPSSAVPPPETPMEQVKEAAADVGDKPTDKKCRVGREAERLIEAGRARLQGRQKETAATKEQTAPSSKKSPATKVDGRKEEPKPEATAKPAEKVVKQEDDHAEKAKAAEHKAKLEREAEQRIEAARARLQYAAEREAEREREQNGCWNWKERATEEGLKWAETIGNNKEVIATAVAAFTLGFFVSHRIFSRS >cds.KYUSt_chr3.745 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3835087:3835713:1 gene:KYUSg_chr3.745 transcript:KYUSt_chr3.745 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSVTWPCIVAAAGLAVASLASHEVVSPDMEHLKEVLGNIGEDPGAQDVRSLHDMLAHHIQVIGEPSLLRQVLPWRSRRGEVDSAFMQQLQQGRVWDPRQLGCHGPMVVGPIVATRKQSLEEATPE >cds.KYUSt_chr6.29794 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188857022:188859734:-1 gene:KYUSg_chr6.29794 transcript:KYUSt_chr6.29794 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTPCCSWPPSAAELRIWARRARAGLPGTEATAGDGHGSMLFMTTIGIHAPDLGREGPIWDWRFGPMAWRRVLSLVDHDDEHVELRRNMASYDEARRAAEKHGELPRSNDVSDMFAGAPHVPGGWRRCDWRLGRRSTCGCATGRGSNAHLIT >cds.KYUSt_chr7.10181 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62344758:62345453:-1 gene:KYUSg_chr7.10181 transcript:KYUSt_chr7.10181 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSPPRPLAFPTLDALAAYLRPRLPAPALASWGSAPGTKNLLNLFLELSCGDCTLLPSSATPPAALVVRAVHVATVRIRNRRGALLVETRQLLSDGTLRRRGPRPVSEKMRPGESPEAAAARAVREELGERVRVRILGAGAGADAGPRVEERESASYPGLPARYLLYAVDAELVEGLPEEGEFDTEEGGEGEGHDGGGAAITVKRHYWAWVDDQDDPSDAAPAAVAGAQ >cds.KYUSt_chr3.20040 pep primary_assembly:MPB_Lper_Kyuss_1697:3:123595672:123598517:1 gene:KYUSg_chr3.20040 transcript:KYUSt_chr3.20040 gene_biotype:protein_coding transcript_biotype:protein_coding MGKECLPTVAMVLVQLGFAGMNVLSKLALDTGMSPYVLIAYRNLIAALFLAPLAFYFERRSGMVITKKVLVQIFFSSIFGATLNQVLYFVGLKSTTPTVGCALSNTLPAITFVMAAVLKMETVRLATPSGQAKVFGTAVCVGGSMIMPFYKGPLLKVWASPMHWRYAEHTTDAAAPASGHAAIVGDVLIILSCVAWAVWFILQSKMSERFSAPYTSTTIMSLMAGVQCAGVSAVMDRSLSAWKLGLDIRLYAALYLGIVGSGMAFAAMSWCIQTRGPLFVSMFSPLMLVVVAIVGWAILEEKIYVGSAIGSALIVAGLYMVLWGKAREASGPADLSDDGGGAPETMIILELNGKDARNGDAAILPLFCATTPKHEGETDRNGST >cds.KYUSt_contig_60.343 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2024035:2033125:1 gene:KYUSg_contig_60.343 transcript:KYUSt_contig_60.343 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLRSSARLALLRSKKLEDQQQAEPPVAKPVLPAPRKRIPVPAVRGRYAAGGGGRRGPSRPAIKEPYFEDPPKAVSARTRVSEAKNPASNKVADNSQKKAKVAEGLAGKGLRMDGESAEKLVGAEDESTTSPIPERVHVGNSPVYLTERKLGKGGFGQVYVGRRLSGGTARTGPDAYEVMDILGPSLWDVWNSLGQAMPPHMAACIAVEAISILEKFHSKGFVHGDVKPENFLLGLPGSPEEKKLFLVDLGLASKWKDSSGHHVDYDQKPDIFRGTIRYASAHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQVGQKRGRLHVNHEEDEQPKKKVRLGHPASQWISVYNARRPMKQRYHYNVADNRLQQHIQKGNEDGLFISSVASSANLWALIMDAGTGFSSQVYEISPVFLHKDWIMDQWEKSFYITAVAGASNGSSLVVMSKGTAYTQQSYKVSESFPFKWISKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWEHGYRITSSAATIDQAAFILSKPKRKPVDETQETLRTTAFPSNHVKNCSVASEGSPEVGSKESSYLAVASLFRWKTRNELDFELSKPSTGYETVPSGGDVDVDAGPASKDALEARTTPLPQTLRSSSDLETSPASMDASEASTTPPPPIQRSRSGLGTSSGSKGALDAPTTPRPQTLRSSSDLETSPASMDASEASTTPPPPIQRSRSGLGTSSGSKDALEAPTTPRPQTLRSNGGLDTALAAKDAFLDHGFSSGTSTIGGAAAPQPSSPLRRGSPSTASGSPSPVSCPTATEPVLNGHEKAAADTRKEEGAVPSAPRTAGNTAASPPPSPRRGGSTPTALKSPASVPCPAVEEPVTHGHDNVDKAAADTGKEEGVVPSVMDQTTSSHALNMTLPPGSKQQNHQKKEDLDQREEEVNQWEEELDRREQEVEQREEAATKREKRQYDRWLHFAEWEMDLRHTH >cds.KYUSt_chr7.37176 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232048547:232049956:1 gene:KYUSg_chr7.37176 transcript:KYUSt_chr7.37176 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPLLTPYKMGQFELSHRVVLPPLTRQRSYGNVPQPHAAVYYAQRATAGGLLITEATGISDTAQGFRDTPGIWTPEHVEAWKPIVAAVHAKGAVIFCQIWHCGRVSCCELQPGGKAPVSSTDKGVSPQIRFDDRIEEFSPPRRLTVQEIPVIVDDFRKAARNAIDAGFDGVEIHGANGYIIEQFLKDGVNDRTDEYGGSIENRCRFALEVVDAIVKEIGGHRVGIRFSPFTDYMESHDSDPHSLALYISTKLNDHNILYIHMIEPRLAMSEGRRVVPKRLLPYREAFKGTFIANGGFEYAEGNKVVTEGYADLVAFGRLFLANPDLPKRFEAGAELNNYDRMTFYISDPVVGYTDYPFLE >cds.KYUSt_chr7.6290 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37897809:37899086:-1 gene:KYUSg_chr7.6290 transcript:KYUSt_chr7.6290 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATASGLMLGAPAGLAGAVSGLPPQSVLMSAWSSPAPMGCCPLSAAGCAASSRRLSDADLLWVGSLSSDDDDDEELAPRTPLAVAPGPDSGAVSNIVGSPRAGGGTVEERMELVAAMSCSLAVNNEVEGNVMKTCATPPAAEDDEGWVQVGRGGRPGREPTPLLRGEGLECSLAFKRWARGRCFRCLERDHQVSTCRGPFRCIRCRRLGHRERFCRARFPATMGEEVLTCVGSPVAFAPCQRGNSLSAQPRRPSSPRSWVEVVDHTAVAPRPSPTSCEQFKINATLDSLFQSQVALMRMELLQLVDVRVEEASRPLREEVAALKLLLACAGVSVEPTEACPSVSLGLAKVQASVALDSSEEKSVVEEEHLHGCFSPRGLMACISHVRWATPRGRYDAHSSKFSLRKKPRFIEPGGAKKHVEG >cds.KYUSt_chr3.1005 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5379040:5379492:-1 gene:KYUSg_chr3.1005 transcript:KYUSt_chr3.1005 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEKLEREILLRLDDVPTLFRCATACKRWRHLVAAPSFLQQRRWPRSLLVGYFTQRCRVAMFPGTSPTAGCNSQLAFVPLPGPSLLGCTSRRPLSSFIVPADGDAAAAAVVDRSVPLATRGGLLLVRLYPSDDDLETDVVRLVSDSTEL >cds.KYUSt_chr1.24504 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146428005:146429237:1 gene:KYUSg_chr1.24504 transcript:KYUSt_chr1.24504 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRSRRRSASPPPDTASPTEDENLLPELLLRLPARPSSLPRASLVCKRWRQVAADPQFLRRFCAHHREAPIIGVFLDFYRGELSFRSVLDPPDRIPTPRFSLRLDGIEGGCKSNTGTWSFRGCRHGLVVLTGGDHLGRGCRQVLVWDPVTGEQRFIAGPPPQPDHDWGNAHVQADVLCVAATRTGGDDGHVHGACHSTPFKVVLVSAQKHVARSCVYSSETGSWGSTMSTKVQHHTMSCIGSRSILVGNSLHWLIFGSGTGMLELDLDTQIPAAVELPEDAIDGHHGLYLSTLGGGVGFITVSDVYVAQLWLRTTCFDGAAEWMPAQAIELGKLLPLRPGEWTNLQTVMGVAGDDNVIFVSTNRGAFMVHLETMQFKKIFDRNPFAECTTSTIHPFSSFFAAGSSTPRH >cds.KYUSt_chr5.31941 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202571568:202576643:1 gene:KYUSg_chr5.31941 transcript:KYUSt_chr5.31941 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVVGFTAASTPLLFWLLTVALVAAIHLASAYMSPSSSSSSSPSSDDESKTTETTRPPRRRGFQAGELEEEPDRHDDRVLQMMRSFSFVHASEEDFVDGMAAYGRAFDDAPAPHETVAARPAPAPEPAAPDSPPALSFSFRNQVPEIPRVTPAVVSRAAAVQVEQPKEKESPVPFVTEAKHEAEKTEVVMEDEDEQSRQAMEAEPKIVPVTHNYRFLTERDFRGFVREPETMTVRVQESFAPPPPPPPAAPAQPEERRVVDGASRHGGGFLTPTDFQLQPAIKPEERRVVDGACRRGGFVTASDFQLQPAMIKPVARDTVASPRKRAPSLRRRPARSPSVLSRGSAVSGGRASFASGFSGFDSDSESSASDDGYSVRDLVVDSDSDWFVSEKDFPAAAAAHDAASLRSYRAKVLGAMESVAEADALERSFRESAPAVSPASLAHASPDSVKCPADMWSPSPSPDAEYKEDEEELAREAAEEDKGSCIDMSDDDDDDWDERSSGSKKMVAAAPAVYDADSAAEDGLEHSEKEIITINDHSYEAVSSDAKSSPEAATDRELVVSSHQTLHDTRRSPAPSEREVDHSSELVPVDREESDSTDDHSEASVSDGKRSPQQSDHAFVGTEGRSHELVSNNAWKEIAGGEEEAGEVAYDFKRSPQHSEHGFVGTEGHSHEPISDVWKGIAGGEEEAGEVAYDFHRSPQHSGHELVGAEGHSHELISDAWKRIAGGEEEAGEVAYDFIKRSHEPSEKEFVSRNAHSGEFDSDDRKAIVHTNHQSYAVGSDHHSIPEHSEQEFRENEHASADDHPGKSPRHVHFSVTEKAKSLDEEDDLEGKRKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSVGLPTILEESESPKAPMEDLKPWRIDAKFLREDPMDELNKFFKSYRERMRKFDILCYQKMYAIDFLQLRGPQQTANSVKTISPTVASILSHNFRSSRRRSPEDSSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQARDLPESDPYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRSLLQVPVIKEDSLKDRMEDQRKGNFVITSEELEYVMEESMHILWEFIKADRAETPATSVLKGLSSPHVELQDPLDHDLMMHIHATLQKKEKRLKDLLRTGNCLVKKFKKPKEDRSNQNLFFSQVDMRLVARVLRMPRITSEQLQWCRAKLDKIILVENRRIHREAAFLLFPC >cds.KYUSt_chr3.42249 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266999196:267002640:1 gene:KYUSg_chr3.42249 transcript:KYUSt_chr3.42249 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQTAYRTALGLRLGAVWFRGLSFRISNSSSFLPTALPFPNLRDLARSPAQIRSASPSDHLSNRSAAKGDEPSRPPARAWKGTREGGMVALELYRNTTIGVNLTATLDEMVFSGRLAPELAVRVQLQFDEGHLHTYRYCDGVWIFNLTDATFRNEEMTQNIGKVKIVACDASLMKPEVPPQQ >cds.KYUSt_chr3.424 pep primary_assembly:MPB_Lper_Kyuss_1697:3:2304951:2305533:-1 gene:KYUSg_chr3.424 transcript:KYUSt_chr3.424 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASPAVGWLQAAAQDAANSSSSSSRSGSAAFPDQVLVSRAAGRVVSLSTCTKVGAISFVVGVAVGFTLKRRLRRWAARLLKRIKDDD >cds.KYUSt_contig_1253.429 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2641912:2643856:1 gene:KYUSg_contig_1253.429 transcript:KYUSt_contig_1253.429 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLSRFSNWIWPGSRTRELPAGSTVPATGLFPDSPSGYREPDAARLPSSAGGARPRKGRTRRPGRGESGIDREHDMVIVPSDGGGGYLSDSDSDGSEWSIGWLEPQAPDMQSDGDSEGSFAVLVPCYRRGRVEQPGRSDGRFPGHGGLARGNQTGGNNFVDEWLSSLQN >cds.KYUSt_chr4.21248 pep primary_assembly:MPB_Lper_Kyuss_1697:4:133713860:133716161:1 gene:KYUSg_chr4.21248 transcript:KYUSt_chr4.21248 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCRAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESIGEGVTELVPGDHVLPVFTGECKECAHCKSEESNLCDLLRINVDRGVMIGDGQSRFTIDGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGLGATLNVAKPKKGSTVAIFGLGAVGLAAMEGAKMAGASRIIGVDLNPAKYEQAKKFGCTEFVNPNDHTKPVQEVLVEMTNGGVDSAVECTGNINAMISAFECVSDGWGVAVLVGVPHKDDVFKTQPMNFLSEKTLKGTFFGNYKPRTDLPKVVEMYMRKELDLEKFITHSVPFSQINAAFDLMLKGEGLRCVMRMEE >cds.KYUSt_chr4.50751 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314570643:314578391:1 gene:KYUSg_chr4.50751 transcript:KYUSt_chr4.50751 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMFMGVVVGVAIMAGWSRVMQQRSRKRVAKAADIKALGSLGRDDLKKLCGDNFPEWISFPQYEQVKWLNKHLSKLWPFVSQAATAVVKESVEPLLDDYRPPGIKSLKFNKFSLGNVSPKIEGIRIQNLQPGQIIMDIDFRWGGDPSIILAVDARVASLPIQLKDLQVFTVVRVVFQLSEEIPCISAVLVALLAEPEPKIQYTLKAVGGSLTAIPGLSDMIDDTVNSIVNDMLQWPHRIVVPLGVNVDTSELELKPEGRLSLTVVKATSLKNKEMIGKSDPYVTLYVRPMFKVKTKVIGNNLNPEWNETFELIVEDKETQSVILEVYDEDNLQQDKRLGVAKLAVNNIQPETPSEITLKLMQSLDSLKIKDYGDRGSLHLKVTYHPFTKEEQLEALESEKRAIEERKRLKEAGVIGSTMDALGGAASLVGSGVGFVGTGVAGGVGLVGSGLGAGAGLVGSGIGAVGSGLGKAGKFMGRTVTGHLGMSRKSGSSSTAPQPDQASA >cds.KYUSt_chr4.43022 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266579679:266581681:-1 gene:KYUSg_chr4.43022 transcript:KYUSt_chr4.43022 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRAPSDTDVSVHSTFASRYVRSSLPRHVHVPCCSCFNAHCLFVLGTSWETDRPVGLNLLIWCRYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAEGKPFDKPNIITGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEQAVEMVDENTICVAAILGSTLNGEFEDVKLINDLLDKKNKETGWETPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCVWRNKEDLPDELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKDGLEKTGRFNIVSKDEGVPLVAFSLKDHSRHDEFEISDMLRRFGWIVPAYTMPPDAQHVTVLRVVIREEFSRTLAERLVLDIDKVLYQLDALPSSKLTAPPPVALLPAATLPKNVVVANGHVKKTELETQKSVTEAWKKFVLTSKTNGVC >cds.KYUSt_chr1.37402 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228428043:228434172:-1 gene:KYUSg_chr1.37402 transcript:KYUSt_chr1.37402 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLQRLRWFDTLHTSIGSIKGLGELTNLRVLYLRTGFSEAVDMDVLNSSLGKLCNLYSLNIFSPDSWIPEALTLSPPPPNLMSLSMMRISRVPNWIGVLHNLQSLHINVDKLEKDDVGILAELPALIDLELTFNRALEERIVIYGTSFASLKRFVVYWIIMPHLTFRAGAMPKLQRLSLHLNARGWKQDESTTPTGPATTTSLKVGDALRSGRRRFTEADVAAYAAVSGDRNPVHLDDAFARGAGGFARGRVVHGMLAASLFPALIASRFPGAVYASQSLRFAAPVYVGDEAAAEVRALNIKSAGGRHIVKFTTKCFANGHEDGKDTLAIDGEAMVFLPTLQLGSEAIAE >cds.KYUSt_chr2.9271 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58378572:58379618:-1 gene:KYUSg_chr2.9271 transcript:KYUSt_chr2.9271 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPAGYVAVIALLVLLGDAATAVAGIRVDAAAMMIRQPSDSVPTFREAPAFRNGAECAGGDKVNVAMTLDANYLRGTMAGVLSILQHTACPENVVFHFLAARMDGELIAMLRATFPYLELRVYRFDPSRVRGRISRSIRHALDQPLNYARIYLADTLPPDVRRVIYLDSDVIVVDDIRTLFSVELADHVVGAPEYCHANFTTYFTDAFWNDPELSGTFNGRRPCYFNTGVMVMDVDRWRTGGYTRRVEGWMAVQKQKRIYHLGSLPPFLLVLAGDIQAVDHRWNQHGLGGDNVKGRCRGLHPGPISLLHWSGKGKPWHRLDARRPCSVDYLWAPYDLYRPTSPVLEE >cds.KYUSt_chr2.17206 pep primary_assembly:MPB_Lper_Kyuss_1697:2:108390093:108390946:1 gene:KYUSg_chr2.17206 transcript:KYUSt_chr2.17206 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRFLACFGRGSATASAPDPAEELAPGPVLVELFSSQGCAASPDADAVAARLAQDSAGGVVVLGFHVDYWDYRGWKDPFASSAWTVRQKAYVEALRLDTLFTPQVVVQGRADCVGTEHDKLAQAVRDAPKYPSPAFKVTFQRPNPTTLQASFTGPLRARVDGGGSVMVALYESGVFTDCGRGENKGKALLNDHVVRRLEKLAAVRDGASAKKTVSGSVQFPLWDGFRPTKCGVVVFVQNAALQVLGVQHLDLPDKV >cds.KYUSt_chr3.26461 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164809519:164813779:1 gene:KYUSg_chr3.26461 transcript:KYUSt_chr3.26461 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAKEEDPKGIKNHQDAVEVMEMKVVKFSRGKAANLANLRDKKLKGQLTGKEKLIGLSAKAAAQTEKWFLPIEGGYLEPEGFEKTYRYDQQSIVQEVDISSSKKPFDMILPVLGPYTLEYTSNGRYMIVGGRKGHIAMMDMLNMDLIKEFQVRETVHDVAFLHNEQLFAVAQKKYPYIYNRHGTEIHCLKEHGKSLKLQFLEKYFLLASINSFGQLHYQDMSTGEMIANYRTGLGRTDVMRVNPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHNGPVTAVAFDRGGHLMATAGVDRKIKIWDLRKYEVVHSYAARAQSLDFSQKGLLAGSNGSLVEIYRDCGGQDYKIYMKHRIVKGYQAGKVLFRPYEDICGIGHSMGLSAIIVPGSGEANFDTFVENPVETAKQRREREVQALLSKLQPETIMLNPNMIGSVRQPKKKEKKTKKEIEEEIEDAVEAAKNTRVKKKAKGRSKPSKRAKKMEEVVLRAKRPLLDQYKETEGQPDKKQRVGEKTELPKALQRFAKKGQS >cds.KYUSt_contig_1467.244 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1552364:1552961:1 gene:KYUSg_contig_1467.244 transcript:KYUSt_contig_1467.244 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLPALAVGLLGLGSCTCGFIAGATRLKRDDIILQGGECVYPTNPAFALGYVVVSLLLLTIVLITARCFCKCCSCGCNMLSVVGILSTVMAWYSALRAGLLFFLATEANRPGGRGNAPKCYDYVRVGVLFDHAAYRAFQVTFFGLLSGGALHKGAQVPAS >cds.KYUSt_chr2.23398 pep primary_assembly:MPB_Lper_Kyuss_1697:2:142924238:142925574:-1 gene:KYUSg_chr2.23398 transcript:KYUSt_chr2.23398 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRHDLLQLWRRSKFDTDNRAGPLTPHRQDLLPGVRRIVYFLNIGDFRHFLSDPTMRCHCEVVIPLGTVHLARSRNS >cds.KYUSt_chr5.1138 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7846861:7847796:1 gene:KYUSg_chr5.1138 transcript:KYUSt_chr5.1138 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEMTLDPTVCMIGEDVGDYGGSYKVSKGLSEMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLLKAAIRSENPVVVFEHVLLYNMKEKIPDEDYICCLEEAEMVRPGSQVTILTYSRMRYHVMQAVKTLVNKGYDPEVIDIRSLKPFDLHTIGNSVKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDELDAPPMCLSSQDVPTPYAAPLEDATVVQPLQIVAAIEEICR >cds.KYUSt_chr3.43132 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272642547:272643776:-1 gene:KYUSg_chr3.43132 transcript:KYUSt_chr3.43132 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGRLTPAAASVAAVLRDDDLLREIFLRLGFPNYLVRAALVSKRWLFQISDPAFLSRFRDRHPPRLLGICVGYPSLYQFVPLPQPPELTVVSRRAASSCNDSFAAVRGQCIKHCRNGRFITGFYHDARFRYSLLSPLLAEEPVTVLPPTPVSRRDWKVRPQKAFTEIFLPEDGGSDGITLVNLWSVGGKVSAELYVLRSGGWGVPATAATELELPVEHGATFLKAMLPPVHAKVFLVTTFGYTLVLDLATASFFTLELPVGAGHNYNISCADGSGLYLVNVDGFQLSVWLYQMTGGDNHAGGWLLVDTFCILDACRRVAADSWVPKNGDFFGVLAVGENAEFVFLDHVASGVLFYIHLRSRLAEKVYQRRTSGAGLAYSVLDHIRCSPFMMSWPPIFPALSGGPDQQE >cds.KYUSt_chr5.23755 pep primary_assembly:MPB_Lper_Kyuss_1697:5:154679021:154684226:-1 gene:KYUSg_chr5.23755 transcript:KYUSt_chr5.23755 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSFQARLDLAAAAGEEELKDIVMPSGDEVQWWFAAALPGSFGGRGHASTRPCGLGADANDALDA >cds.KYUSt_chr4.42592 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263658128:263660390:1 gene:KYUSg_chr4.42592 transcript:KYUSt_chr4.42592 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAQSRCTTGRSSEDGLAEGEMWTRVVGELARSGDIQDVEVVLDWRHNILVDGSRGRPVETFHTLFPKQIAAAAMEEKKLSFSIAPKPRPPKPPSSAASGNSASASATATPAQQFVTEFDPSQTLTAGAAPAVIAPLPNSGHFLNHRSRKPSSLPTPEEEAALAASTAGGPTFVLDTSTNPDNPSSHIGYGLTLRSGDADAESGKPSAEPEKKPPSPARDGSSGDLMLRRYKEDMASLPDHRGIDEFEEVPVEGFGAALLAGYGWSQGKGVGRNNKGDCKVVEYQRRAGTLGLGYDPSEADPKKTRSGEWVIGGKKGAENGNGKKATDNGSAKKRDRDREDRAGERDSSTRQKRSGDHRAEREVREKDRNARDSREGERSRDVANKVRWLQSNIRVRVVTKRLSKRLYLQKGRIVDVVGPTTCDIRMDEGSELVQGVEQDMLETVLPRTSGRVLVLCGKHKGVYGHLVEKNAEAETGLVEDADTKGMVRVNYDQIAEYVGDPELLGH >cds.KYUSt_chr5.28054 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177503365:177503850:1 gene:KYUSg_chr5.28054 transcript:KYUSt_chr5.28054 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTGNVFSGAAFGCRGSHRTVKDFKVKAAASTSAGVRRTASRSKDYYKVLSLDHSAPVGEEEVKRAYRRLALQYHPDVCPPSRRAESTELFVELRRAYETLSDPATRMRYDAELTTGYTAARPAAADGFARDVWEAQLCVLRARSERRKSASRCSGNRF >cds.KYUSt_chr1.34032 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206960028:206961547:1 gene:KYUSg_chr1.34032 transcript:KYUSt_chr1.34032 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVAAVVPSPCARSAASSAPSTSSRSPFLGGRARLASSGGGLLVRRRGSAVQPAGCALSASIDGMGGGDTEFLRRIEELAVSAGVRTAGCGWPPILERSAGGVGLPLSLRMLKRKKQQQQVPPQSRWDEHLLGSAGESVGRAFSSMVLIVRELQSFALRQMQQGDDLRLQAVLARARGETHDSFVWLFQHIFAGTPALMLSLMLLLADFTVHSMSHTLADAVPPSPPSAASVVDAEPSNTRFDAGAVKTFSVGRTASVGGGSGGGGDARPVAGAPGDDHESRYSLGRVAPQQLAGTGAEKVLLPDAAAEDEQAVWERMVAEASRLQASARAEELSDPEVLGNLVAPVVVEVETGDVAEYARTEQWYELAVAEEPGNSLILANFAQFLYLTRNDHKRAEHYFERAVRAEPADAEALSRYATFLWKARDDVAAAEETYQEAIAADPGNAHYAAAYAHFLWNTGGDETCYPLD >cds.KYUSt_chr5.35107 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221957133:221958300:-1 gene:KYUSg_chr5.35107 transcript:KYUSt_chr5.35107 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGCSRPLLSGHIFLCLTAIWVLATANVSAGQRLGCPTKCGDVDIPFPFGIGDDCSFHPGFTLSCNTTGGVAMKPFKWNLELTKISVAEGMAWVKAPTISSQCYVPSTGTMEINNGWLDLTGSPFWISEVNNTIIVVGCNTLAYMMSSSGFSFEVTASKVQPQKDPSSLVWKTLLAATIR >cds.KYUSt_chr6.20955 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132139618:132147314:1 gene:KYUSg_chr6.20955 transcript:KYUSt_chr6.20955 gene_biotype:protein_coding transcript_biotype:protein_coding MESIRFRSLDAGAYPTTLPSPRMLVGAQTDVHSPHEPSGFRKSMNPIYADGTNRSTSTAVSASLDVTGDPAIPATATDLDDSRGSHDEVEGVNGAVLVRRHTGGDGRWEAIRAADARESPLSLGHFRLLKRLGYGDIGSVYLVELRGAGAGGGALFAMKVMDKGSLVSRNKLSRAQTEREILGLLDHPFLPTLYSHFETDKFFCLLMEFCSGGNLHSLRQKQPNKHFTEHAARFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCSVSPALVRSPSGRVGGAGGLVHGCKLPRILSSSKTKAKKKAAKEKDKDNQQQELVTGDGRKKQAWTSLEFTAEPTAARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGTTPFKGSGNRATLFNVVGQPLRFPDAPGVSAAARDLIRGLLAKEPQKRLAYRRGAAEVKQHPFFEGVNWALVRSAAPPYVPDVAVEAPAVRVPDKDGGASSQGGTPRSAAAAAGGKASSPHADPSTISGYNGIAEGFDYGVIERLRYKAHQDPGEEDTPRSREGEKQRDMEMITKPNPTSLEALKGREESWPVQDPVRPAPQPGHPVPGPVDRAPNRISRIVLEGNRKTSQVPGWRPVDRTQDRTTRSQARNGDEPREGEVLRNTERLATQHNLWTQRQEFKEQLALFETRIDEQYDEVAHNFSAVNQDLALLREATDNLNGQMAANDANMERRMDSLERAITNLALPQGMKTIIDLIAHMLAMKTLAPTLGEEKSIAMLFHMSVLHKTKSYNKIVTKRIAMPTIGVTANTKTKVLKIHLGEISDNILAMTNVEEEFHHMLKMKGPTMSLVNNLDKIFNNIITVNQVKLVSTFNVNPMLWLAVEDLLSHLKPQEMKASVDKIFRIHNYSGAKKVAMASLEFEDYANTWWEQFLTLREEKGEPPIDTWEEMKKEMHARFVPTHYMTDLFNKLQKLKQGTKTVEEFFKEMELTMMRANIQESEDQTIARFFNGLNYPIKRIVEFQPYSNMVELVHQASKAERQVIEDIKYSKVKTYFSSKLAQSTPPTTSVKPDVSSTPSSTPSKKPTIQSRMKQAVSSTASSKASTGPSNVTCFKCGTQGHKSFECKNTKVMITMENGDIETLSEDEYEALVQAAIANCCA >cds.KYUSt_chr3.49467 pep primary_assembly:MPB_Lper_Kyuss_1697:3:309029250:309030853:1 gene:KYUSg_chr3.49467 transcript:KYUSt_chr3.49467 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQGGGKYGEDQRPNCDCLLFDLDDTLYPVSSGIGVDVMRNIQEYMVQKLGINKSISLELCILLYKQYGTTMAGLRAVGYQFDYDDFHSFVHGRLAYEKLKPDPVLRNMLLSLPIRKVVFTNGDRLHASRALKRLGIEDCFEGVLCFETLNPTSPATVPANEVKIFDFMKHLADPQPGVELPKSSIMCKPSIDAMLHALKLADINPLTTIFFDDSIRNIQAGKQIGMHTVLIGTSKRIEGADHALESIHNVKEALPELWEEAVKDEDVRNSSKVGIETSVIA >cds.KYUSt_chr3.34263 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214890893:214893574:-1 gene:KYUSg_chr3.34263 transcript:KYUSt_chr3.34263 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEEVRRRERGELRVRRFKALARSRRAASLALSNRKEIATPHHGAVNSLQVDLTEGRYLLSGASDGSAAVFDLNNATEYEAGFIAKHRNILLVDRQHEHGHKFVVSAAIWYPVDTGLFVTASFDTYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHHDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQLRSQQGRRPPFLDSAMEKDQKNLTSSPSSKSNSVQQRTGSRKKHSKALHKSQTLIRGHTQQRVHPGMSSSQNRTTAHYGAVTGLKTTTDGMHLLSSGSDSRLRIWDVDSGCNTLVNFEAMRLHAGKPLQLAVTDDPSLVFVPCMGSIKAYNMWSGTTFQTFRGHYDHVNCCYYNSQDQELYTGSNDRQILVWSPATPALTEMEDDDKRQEGFAADEDNWSD >cds.KYUSt_chr3.30430 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190646133:190652043:1 gene:KYUSg_chr3.30430 transcript:KYUSt_chr3.30430 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEASTTASGGDRLRKASAGVLCAFTLLLIGVLAFSIRLFSVVKYESVIHEFDPYFNYRVTQFLSKSGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTMWWLLNSVNIPLSVETVCVFTAPIFSANASWATYLLTKEAKGHGAGLMAATILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIITGRYSSRLYIAYAPFVVLGTLLAALVPVVGFNAVLTSEHFASFLVFIILHVVALVYFIKGLLTPRLFKLAMTFVLTVGLALCFAAVAILVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDISVLAFLVPAGIISCFLPLSDASSFLVLYLVTSVYFSGVMVRLMLVLAPAACILSGIALSEAFNVLTRSMKFQRPRSDDGLPAAEDITPGTSSTAATIAKNGNITKEKTENVSKGRPSKKNRKEKEWVGSSPVRPGMEEKLCVLPAEASAMGILFLIILCGLYVVHCVWAAAEAYSAPSIVLTSRSHEGLHVFDDFRESYAWLRHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLIGYPSDDINKFLWMVRIGGGEFPHIKEEDYLRDGNYRVDAQGTPTMLNCLMYKLCYYRFVETDGKGFDRARRYEIGRKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRIRGKLKLKSSSKASSMRKGAGKKNPWQ >cds.KYUSt_chr5.16175 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104172501:104179838:1 gene:KYUSg_chr5.16175 transcript:KYUSt_chr5.16175 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLWHRHGQAAQPTYLSQCFELGLLAARELSELTHADVEIIMQGATTADSRSAITLWTARLRFTMASKCRACCNSGDCRFGWQPAHDLPSDLCPSWPPWTKDRLEGAVSSAEEAISAVIDCLDVLGREALVSLASGSVPWQPRSMLTAVVPIISSRVVHGDWHQQPCVFDSHIVLPASPDSFDLQGHEAEPPTTALAFYWNIDFPGHSFVGVPRSRKLMVADTEVQRMMIGFCSTRAPEIIVSVVRPSPRQPQNQHRTAVRPPGECIKVSLNFVSPENVQERIRLTEEFSLPPKGHRMNRDKLDVLLLNFGDESKNKYGLFLRMFDALSSHEGEPQFLSKTDKFALVFKLFALSSIQFNNKTIIGAILALPVLKETAVILTSDEEDTDEDN >cds.KYUSt_chr1.23420 pep primary_assembly:MPB_Lper_Kyuss_1697:1:139155375:139159339:1 gene:KYUSg_chr1.23420 transcript:KYUSt_chr1.23420 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGKPLRLKDLLELDCDSCSAAGFRCYPRHLCVAVPLPARHEAPEAHSVFGRSHSLLSIRSLSRRIRGSFSWGRRDEEVPAPAPVPAVSSCSSSDSETSVSGSSAAESDFSSACSAESRSTGVTAAADGHEREAMERGSKEEGSGSEADDKEQLSPVAVMDFPFHDDEEDDAVEDGGTSDGGSACSSSPFGDSLAQLHQRRNIQLKHKLRRLRSIGAAPVADLGERFAAASEPDGVGNVPLQDLCPDADAAKPASRLEGHRSVDVYPDEDNLIAQLTSTFSAGNGSERLLLDFFAETRRVSSTSESCEAAVRVAQDWVQGAGARWGLREVLCGREDLLAEMDRGRRWSSRVGQEEEEREVGVLVAGLVVDELLRELVNDMLLLLGYTSIYPDV >cds.KYUSt_chr3.33319 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209141335:209144378:1 gene:KYUSg_chr3.33319 transcript:KYUSt_chr3.33319 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMEEIQRKLAVLAYPRASAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYDERVELLRLIVDLVEASCYADNPEWSIDEQLAKDVQLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDIAELELKLSEYTKKMSNLQQMVQELASKYDYNPNEDYAETELKLREHLQSFLETVKSFNMIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSLRDSYSAMAAGSLSNSNEPSSVTKIISDCESALTFLNNSLAILSTSVAREQGETL >cds.KYUSt_chr6.23568 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148911443:148914205:1 gene:KYUSg_chr6.23568 transcript:KYUSt_chr6.23568 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEITTEARLATIMASLHESRTLLASSVQRMAVKERKGKVATTATPLLVPDRVQEQPATKSSSSSSLLASQVVAAGAIYTEAALTTTTPTKCSTIGSAVNGGGNHAIVMFPTSGGKHLPSTTWINAENNGLQGAGETGMNMPAGYSTHVLTDPPEGSNAGARIVNTDLLRNTSFPRFDGTNSGLWRVQCLEYFNLFNINRCLWVIAARMHMDGKAKEWFEAYKLRQVVSDWPEFIDDVEAHFGVGDLPPSTSVLGADHLNVVVDASGGISPNVMDKAAQPTELATVTHMAEPVAASDEMVLTNVGGVSMFLEPWVEPAETVFSKTTMIELSEETSICVGGSSLFLELDIDSANKVFKDDMLSTVGRVFLFLKMDMETTDKSFDAVLVGKVGRSDVVLTHVDDSSLFLEQILDTYREHDRMPPRMRRTFSHWTSGMGAGHFVVDVAVHHAAVLNPYIGTLTDRTKSFVGTPDVRDISMLVMHWHSTALKSSVVQFIGGAPVTTYRTEHEVRWLPQATLYRPHAPILAGGQGV >cds.KYUSt_chr2.4217 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25658077:25660097:-1 gene:KYUSg_chr2.4217 transcript:KYUSt_chr2.4217 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVSVLLRDLLGVLDFAALHSALALKSLYLDALRLVIVGNAEVELRCAGKVVAGATTNINGSFTMEADLTSALAAFIGGCSLVVDTPLIKCDAQLPPAGKLVSYLKGPLTRLLGGIFHLFPAGFSFHGR >cds.KYUSt_chr4.50058 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310075670:310076104:-1 gene:KYUSg_chr4.50058 transcript:KYUSt_chr4.50058 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCGCCDGARLLRRGAAAATGASDGDGAAAGGGEPSQALLSGDQFDVEVYAVQYSGRTRVARLLLIAGKCESEQMRLDALRLAYEGSLKGEDTALHRDGMYWYSPLLIAAADDGFLASFPSVDCCCWRWIFGKLNMITVLPVI >cds.KYUSt_chr3.30559 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191584689:191584982:1 gene:KYUSg_chr3.30559 transcript:KYUSt_chr3.30559 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTSSWLPPLLLLLVAASGAQADPQVPCYFVFGDSLVDNGNNNDIASLARANYPPYGVDFPAGATGRFSNGLTTVDAICKLASPTTSSSSSSSYY >cds.KYUSt_chr4.18512 pep primary_assembly:MPB_Lper_Kyuss_1697:4:116181471:116185319:1 gene:KYUSg_chr4.18512 transcript:KYUSt_chr4.18512 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIKAQAREAVQTSKNLCRRLGEVRVRLRSLIDDKHKEPPDPGPLSKSEDPISAVKSQSDEAEELLQMAEVVDEQLLPFTGLNLRSNRQGMELCDVPISRARLEKRNSQSSTKLCIIPPSVSGMCLWVCLMASEGNKSASEESGRSIRPTERDAGFRKRAGKEIAGSSSGAKNIIVINMSGARQAMRARFLAVGLFLSVMLANSQQVIEHMKRVWKIRGQMEANPLEAGEGQRKFILEFTEEGDRHHVVCGGPWQYKGDAFLVEGLQDLGEQVGTLMKIDDSARGNICDMILRVRIQLPLYLALQKVITLMDEITDEDVDVQIRYERLPNFCLFCGYIGHMEARCDTPVAERKICFSQELRVRLVHFEDPRTWFLPEALGQTQAQTSSSNLWRAPNPAPWPAPWVGDMPAAGVPRTNKALLSVEERETEAPILDTPTPVPMVTTDRDNTEVEAATFDINDGVAAPIPNAHALDTNNGPEQIKNNAGGEGEEVVATNDKAGEETHGAESSDTNSTNMKKAKGWKRSDHLPLILQMGKLEENNCKSSFHKYESMWEREESLGEEINEAWEAAGQVDPSPMLDILQNVVSPEMNEHLMKEFTEKEISDALFQIGPLKAPGPDGFPARFFQRNCASLESDVIRVVKRFFVDGTMPEGFNETTIVLIPKSNACELKDFRPISLCNVVYKVVAKCIINRLRPMLQGLISHNQSAFITGRLITDNALVAFECSIAFRETLK >cds.KYUSt_chr2.10146 pep primary_assembly:MPB_Lper_Kyuss_1697:2:64277547:64279432:-1 gene:KYUSg_chr2.10146 transcript:KYUSt_chr2.10146 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKRFIGNVKENFAKELTVHREINHKNVVRLVGYCVDENALMVVTEYIPKGNLSNILHQDSIVITLDTRLRIAIECAEALEYMHSQMYTQVIHGDIKPANILLDDELRAKISDFGISRLVNTENTLYTLNVIGSIGYMDPLFAQTGRLTARSDVYSFGVVLLELITRKKARTEGGEFGLVGSFTGALTKGFRSVREMFDPEIATSSDMKTVDDIAKLAEDLLRGSAEVLGKSTVGSSYKTTLDSGDEVVTKRLRAVSLPREEFRLRVEVIGAIQNKYIAPLQWYYWSRDEKLVVYNIFPMGSLAHALHGNPASPAPPGWEQRAAIALAAARGVAYIHSAGPSSCHGNIKSSNVMLTGTHDACVSEHGLTTLGLFPGASGYSAPEITDASWVSQGADVYSFGVLLLELLTRKAPVKSTQLEDGMSLPEWVCSVAREEWAAEVIDVELLKRQQKDGEEECMIRFLQLAIDCCSHDAKLRPTMPDVVQRIEEMAT >cds.KYUSt_chr3.21947 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135241488:135244352:-1 gene:KYUSg_chr3.21947 transcript:KYUSt_chr3.21947 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGTKVADSSSSASSSKKSRRRQKPSASSPASVMTLSASATSRIQALYNLSKNAFVTDRPGILPSPPSEAALSRFLNAVTPQDFGLDANMPFFRGGPDGRPTVTYLHFAECPEFTMGIFCLPMSAVIPLHNHPGMTVFSKILLGSMHIKSYDWVKPQAGAGRQATRTPDGARLAKLKTDAVYDASSETVVLYPEDGGNLHRFTATAPCAVLDVMGPPYCHEEGRDCSYYRVRAVGSDSDGQHFWLKEVPDSFVMDYVELRPKIRK >cds.KYUSt_chr5.34618 pep primary_assembly:MPB_Lper_Kyuss_1697:5:219460265:219462020:1 gene:KYUSg_chr5.34618 transcript:KYUSt_chr5.34618 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYEMAASILLCAEDSSSALGFGDEEETLSAAAAGAKTSGSPYCGVGGESTVDFPLPSEECVARWVATEADHMPREDYAERLRAGGVDLRVRTDAVDWIWKVHAYYSFGPVTACLAVNYLDRFLSLYHLPEDKAWMTQLLSVACLSLAAKMEETSVPQSLDLQVWDARYVFEAKTIQRMELLVLSTLNWRMQAVTPFSYIDYFLHQLSGGNAPPSRRAVRQAAELILCISRGTWCLEFRPSEIAATIAAAVAGEDHHAVGICCNHVDKERVLSCHEAILAMAPIGSATVLPLAPPKTATLSSSLSAPRSPTGVLDAGCLSCASDGSSTATAASSESSLESSGGFDSSPVSSKRRKISR >cds.KYUSt_chr7.35483 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221696873:221700517:1 gene:KYUSg_chr7.35483 transcript:KYUSt_chr7.35483 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIINMQTICYLLTLLRLPHRKGREEDTAELPEELLAAVFGLLGSGDRKRFSLVSRRWLAAEAASRLRLALDARDPLLPAAPGSSAASPPSPNSRSSATAAPTSLRSLRAVTDDGVHALAAAGVNLRKLSVGSRAFGARGIEAALRSCTQLDELSVKRLRGLASSDPITVPGPRLQSLSMKELYNGQHFCCVTLVQFRDYQPPGLHHRMDIKPGTSAGLQGSYPVRAPLQETKAPSIAASPFQASVSKEATVPPQLNQTKGSNLQLMKRSSPPPCQT >cds.KYUSt_chr1.33309 pep primary_assembly:MPB_Lper_Kyuss_1697:1:202285020:202285667:-1 gene:KYUSg_chr1.33309 transcript:KYUSt_chr1.33309 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPPEASGNVEYDVRQQHTFDWSYLFPAGGILFALVFVFLAIRILVRALMLRFRGVGRPRSGGGLPAAMLRSLRSISSSRRGLDVSALSALPVTAYRKGVVAGAGAGAADCAVCLSELADGDKVRVLPNCGHAFHVECVDAWLRTRTTCPLCRAEVELPQGIGNGKAEAAAQSSSSAMEPLPRPALLGAGGTLIVTVQGVSDSRRDVPGSTSG >cds.KYUSt_chr6.23424 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147945829:147952383:-1 gene:KYUSg_chr6.23424 transcript:KYUSt_chr6.23424 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKLRIRGEAQVPDERISHTRGRMSACRGVRLELLLGSTGRGCTLRSLGGESKLAYTWEDYEIAPYPGFASAADAVIKKFWRNYRVAIEHKDRADVVLRNMCRKLTRQQWYNQRITCIGHFYAEQGVRYTKPEIVQGLAPAMTIDDFMSGKKLGRELGEMEAWTHMKLVTPGPNEPRPAPEMYYGKAKENKERYCEEYAKLHPEVEDPMTEPVDEVAMMLAGSGQPHGRPACLAGGFKPQRNFTQIKATLPSGSYATSSRTTCRSRVEVDTQLEEAYAVAYEEYLEKVKEHDLVKDAYVQVDEQPRWRDWENPGTTLLVPASSLAWRSLHQCGWQVANQQVFLLVTIAHMAPSSRFSLAPRGSFLLTVALLVLLLSHGTHGHGAGLSSSFYDGSCPGTRDIVRRVIQDARVTDTRIPASLIRLHFHDCFVNGCDGSLLLDDDAQAAIMTEKNVPANDNSARGFGVVDGIKRALENACPGVVSCADILALAAEISVELAGGPSWTVPLGRRDGTTTNIESANNLPSPFDPLETLQEKFRNLGLDDTDLVALQGAHTFGRAQCQFTQKNCTAGQSEGALVNLDDVTPDVFDNKYYGILLRGRAQLPSDQVMLSDPVAARTTAPIVRRFSGNQKDFFRNFAASMVKMGNISPLTGRDGEIRKNCRRVNKKPY >cds.KYUSt_chr5.30124 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191095406:191096918:1 gene:KYUSg_chr5.30124 transcript:KYUSt_chr5.30124 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFGDSYIDTGNFVIMAAPALPVWQDKPPYGMTFFGHPMGRISDGRVIVDFIAEQLGLPLLPASLQNSTDVSKGVNFAVGGATAIDVGLYERNKLVQLKLLNNSLNVQLGWFERLKPSICNTTKGCRDRFRKALFFVGEFGVNDYSFLWSAGKTEEEVRSYVPKVVQKIAMAVERLINEGAAYLVVPGNPPNGCSPTMLTFRLSLNRTDNYDYIGCLNDINRVARRHNSFLRLAIGALRVKYPHTTIIFADFYHPIISIIEKPNRFGVNGADALRACCGGGGKYNWNASAVCGMPGVTACKNPSAFVNWDGAHFTEATYRYIAEGWLHGPFADPPILSAIRH >cds.KYUSt_chr1.41548 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254773282:254774070:-1 gene:KYUSg_chr1.41548 transcript:KYUSt_chr1.41548 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRLLAARRAASIATASPASESRGDDDEGPFFDLDFSSCSVRDSSSSSSGGSLSLSDSDDDDDRTDLDFIISLQRSRSDSPLKFCASEPPARANSFQHSHQQHCSRKRGISTLRSLSFGPRKSAPLYGGRHSFARSSSGRHSFARSSTSSARSLRLFLDTPVHATDEDETAAQPTVRRAPSRDVIRRYLSSISRRLRPAASPRAESRELRRLRKCRSASSVPPSTAASRWDDSAAEKQDGIAGAIAHCKDSLHRASTGEM >cds.KYUSt_chr2.48890 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305915686:305919919:1 gene:KYUSg_chr2.48890 transcript:KYUSt_chr2.48890 gene_biotype:protein_coding transcript_biotype:protein_coding MQERPDSASLPTASPPTDPRHGRHLPAPLHQGRFGGSPPPCPPVRSQGESAAAATKALPGSASGGRGGRGGHRGEDEEELSLSTGSTGWESRYTERHAMKECSGHEGCGTMLLGAPLFGLQLGMGGPTRKFRAGPDRKKMKLTIDGDDVAGRGVADGGEERKLRVRVGRGAGVGSSPTVGQTTGKQKIKEKEAAKIRYSLLGLKIDPDQKARGKLRMPANVAAFYGPPTAEDPLVVLDVRDSSDIPCVTLMRDCLHENVLHARILMERDPYLLVLVENYTGLLSTYLRTIEAHIPDSQQMSDPHMMPSLTLQLIVTHMIDGLLALFKHGKCHGNLKLENTCYIKTRNGDIITKLTGFKDRKVSATKPVSHYQAEDIRSVGHGLTEITEIAEDFNKQGYSLDCFQIEHLAQRLKTVSP >cds.KYUSt_chr5.12336 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80485471:80488618:1 gene:KYUSg_chr5.12336 transcript:KYUSt_chr5.12336 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKRWRFAMVCSSNMNRSMEAHAVLGRAALDVESYGTGSQVKLPGPSMHEPNVYDFGTPYGGIYEDLRRKDPDLYKRNGLLPMLKRNIGVKLAPQRWQDNAGDGVFDMILTFEERVFDLVVEDMNNREPRLFKSVLIINMDVKDNHEEASVGAKLALDLCQKLEGVHDDWEEIIDDLIAAFEKQHKRKLTYYTSFY >cds.KYUSt_chr3.29791 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186395705:186400478:-1 gene:KYUSg_chr3.29791 transcript:KYUSt_chr3.29791 gene_biotype:protein_coding transcript_biotype:protein_coding MWKHSRFFTSVRGGCYELRCLGSPTIVLTATDFCPPNFGLAGVFGGRCKFPKRHLKMTEVAFLWVTKAKSQHYPDTISKLTGVVTQLAMAAKDVQHQQSPLHILFFPFLAPGHLIPMADMAVLFASRGVRCTILTTPVNAAIIRSAVDSANDALRGTDCPAIDISVVPFPDVGLPPGVENGMALASQDDRDKFYQAVTQLREPFDRFLADSRPDAAVSDSFFYWSADAAAEHGVPRLVFLGSSMFARSCSDSMLRNNPVETAPDDPDALISLPGLPHRVELRRRQMLDPAKRPDHWAMFQRINAADQRSLGEVVNSFQALEPSYVEHYHTTLGRRAWLVGPVALASNDKAGRGTSAPSPDADGCLRWLDTKQPGSVVYVSFGSMTSFSPAELHELARGLDLSGKNFMWVVGRAGVPDSSEWMPQGFAELMAHGDRGFIVRGWAPQMLILNHPALGGFVTHCGWNSTLEAVSAGVPMVTWPRYADQFYNEKLVVEVLKIGVSIGAKDYASSVEAHEVIAGEVIAESIGRLMDSTEEGDAIRKRAKDLGAEARRAVENDGSSYHDVGRLIDELMMARRSHAKVGEDISHT >cds.KYUSt_chr7.7743 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46705618:46705899:1 gene:KYUSg_chr7.7743 transcript:KYUSt_chr7.7743 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDQKSTTTNRRPTLSGEEEAISSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPEYCGKPTRRGRPQRRPSHPR >cds.KYUSt_contig_1537.410 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:2418532:2418942:1 gene:KYUSg_contig_1537.410 transcript:KYUSt_contig_1537.410 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSASPGGIGDGGGDGGAGRGRWCIEDLLDCLLGVLRALGIPWIDRPLRQPRTLPPRCVTPAAAYGRSFAAELRQIPGRIAGNGACAVASLYTMQGKKGVNQDAMIVWEVKAYTLSPLQFLVPVIHAALVLLLLE >cds.KYUSt_chr6.30022 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190214887:190220596:1 gene:KYUSg_chr6.30022 transcript:KYUSt_chr6.30022 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGLPGGGGDDPDGPWSTVGKKKKPSGGGTSGGGGANRGGGGIDRGGDDPYGGGGFNRGGDGQYGGAGFNRGGGGQYGGGGFNRGGDGHYGGGGFNRGGRSGGGARYHGGGPHYPGGDGSPSRVQGGGGRGAGRGYQQQAQGQPRHDYGRTRPPYSPRPASREMASPSSSGPVGRAVIPNEVKLLVNHFKITFEESTIFRYEIKLAEESEKLDEDSSGDSVVKLSTADLKSAKAQLFKILKDPPHPLAVAYDGKGDLFTFTRLPERLYTVKVGSRNYNASAELKQELSFSQLGHQPVPANILRCLDVIVREASSLGKIIIGPRFYLPERSAGNATRRYAITTSSLKGTKQTLKPTKQGMVQCVDYSAMEFCQPETSVLNLVKDLLNRIDVREPFADLSEKGRKYLEGQLKGLCVTLSYQKSSVGRKYKVQGLTDERAEQMTFFDFDEDKSSEIWSLADYYLKKHGKVIRHNKLPCLVLNKNPERPNYVPIELCNLHGWQKYPKDPNQKPQKPVSASKRKEEILRMVKAGPCSGNRGKQFNISLVKQMTEVTGKVLPAPMLKLGDSKFSIRSPNRQWNLFGHTISEGKNLLSWGILDFSAKESRPGKQALDVKMFTRHIVSKCCELGIAMHEKPCFELPSKMSVLSDPSKLLEELRQAEQADVKLQVLFCPMSEQHPGYKTLKLICETQLGIQTQCLLSHHANKLQDQYMSNLALKINSKLGGSNVQLCDKFPRVAGTSFMFIGADVNHPSPGDKESESIAAVVASMDDSASKYVSRIRAQKQGCEMIAELYVMCGELIEVFKNRNGAKPEKIIYFRDGVSDEQLNMVLQEEVDPMRKKFEKDGYLPTITVIVAKKRHSTRLFPFPNAQKEQQTNSGNVLPGTVVDAEVVDKPDEDFFLCSHEGLHGTSRPTHYYMLWDEHGFEPIEMQKLVYSLCFMFARCTKPVSLTTPVKYADLAAYRGRDYYMASQAQKAGPSSSASVNASVLPEMHAALRDNMFFI >cds.KYUSt_chr2.42078 pep primary_assembly:MPB_Lper_Kyuss_1697:2:261986302:261988334:1 gene:KYUSg_chr2.42078 transcript:KYUSt_chr2.42078 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGRRAAACGRWCLVILAVASALGVSGPALYWRYKKGFSSSAATLTAAVSSSSPTCPPCTCDCPPPLSLHSIAPGLMNFSISVEYAACGTNDPERNKEMEKQFVDLLNEELKLQQVVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEEAREKSEGAISKEKKLTAIWERRARELGWQDSRAATL >cds.KYUSt_chr2.821 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4818497:4819861:-1 gene:KYUSg_chr2.821 transcript:KYUSt_chr2.821 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGIASLLLLLVVLPLSTSDDSLVVGRPLSTGATIVSDGGTFELGFFSPSNSVPASQYLGIWYSGITELTVVWVANRESPAITGRPTLALTNASNLVLSDGNNSHILWATDTVAPDVGAGSAVAVLTDAGNLELRSPNNTVLWQSFDDPTDTFLPGMRVRTASEHGRGGGFDFLVSWKGPGDPSPGQFAYGVDPVTSLQLFTWNGTRPLWRSGAWTGYRVNSEYVASINTIIYLAVVDTTTESYMAFTLSPGAPRTRYVMAHSGMFELQSWTSSRWDTLGRWPPHECSRYGHCGAFGYCDNTAAAPSCHCLDGFEPASPDEWRGGRFEQGCRRKEELRCGVGEEDMFLAVPLMKAPDRFAVVENKGAAQCAAECAGNCSCVAYAHTNLSTSSRGDATRCLVWTGDLIDTEKIGTVGSAETLYLRLRVAASATAGTTQAPTYQLPFFPDEEKI >cds.KYUSt_chr6.24513 pep primary_assembly:MPB_Lper_Kyuss_1697:6:154820265:154822674:-1 gene:KYUSg_chr6.24513 transcript:KYUSt_chr6.24513 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSLPGGDDDPPVRSVTSEATAVGASFVDRGLGLEHTGEGLYVRLRGCLRRLLGGLFGMPSKLPAPTTPLGGEATRWRGGGAAGMEKRREVGVSLGRSTQWLTETEPVPKPPLAQHKANRARTMHNLLARIPQPRRPVVLVASSCALILLATALLLPRAPPAPPLTTAAAIRLDPRVERKNGNAVLWQLPPGPDRPRAAVFVAPGCTIRATDFFDASPGCPRCAGLPEERRFTREALRRGYAVLAVSSRAQCWSLDADASGELAAVDSIIKWWVNDQHPDDLRGLPLVAIGASSGGYFVSALAARVRFSSVAIMIAEGVFAAMREIPAGYPPALFVHMPKDAERAQMVAASVAQLRSKRVDVREIQCDAFAVSGEFLAARIPGLTRTVADGIVDVLRHKSFLDDKGFLKKDGRSTPWKKAAEEAKVLPAGFSLESHVTEELNVAYAYHEFTSLKNAEIFEWFESHMHRKV >cds.KYUSt_chr5.20569 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133662663:133667741:-1 gene:KYUSg_chr5.20569 transcript:KYUSt_chr5.20569 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASAGSGKIERLSSIDAQLRLLVPGKLSEDDKLIEYDALLLDRFLDVLQGLHGDDLREMVQECYEVAAEYEQKHDLQKLDELGEMITSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRVKLKKGGFAEENSAITESDIEETLKRLVVDMKKSPAEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHSRIRDCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCAQIEDLMFELSMWRCNDELRSRADELHRSSKKDAKHYIEFWKKVPPNEPYRVILSDVRDNLYNTRERSRELLSSGHSDIPEDATLTNVEQLLEPLELCYRSLCACGDRVIADGTLLDFLRQVSTFGLSLVKLDIRQESERHTDVIDAITTHLGLGSYREWSEERRQEWLLSELNGKRPLFGSDLPRSEEVADVLDTFQVIAELPADSFGAYVISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLESAPAALARLFSIDWYRARVNGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEDLVKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMRPPISPKPEWRALLDEMAVVATEEYRSIVFQEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGGAFKHILKKDIRNFHMLQEMYNEWPFFRVTIDLVEMVFAKGNPGIAALYDRLLVSEELQPLGDKLRANYEETQQLLLQVAGHKDLLEGDPYLKQRLRLRDAYITTMNVCQAYTLKRIRDPDYHVALRPHLSKEVMDTSKPASELVTLNPASEYAPGLEDTLILTMKGVAAGLQNTG >cds.KYUSt_chr5.33608 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213292178:213295186:-1 gene:KYUSg_chr5.33608 transcript:KYUSt_chr5.33608 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKNGAAVAGERRPMVSRTILLLCVCSFGLGMLFTDQFGTTPDLKSPVVVQRRPQEGELQIKSEDFVAKTKPSDDMDVMGEVTKTHEAIQYLDKSIATLQMELAARRSTQELLGGTDGVRKERKKAFVVIGINTAFSSKKRRDSVRATWMLQGEKLKKLEEEKGIVIRFMIGHSPAANNALDKAIDAEDAIHHDFLRLDHVEGYHKLTAKTKTFFSTAVASWDADFYVKVDDDVHVNLGALITTLSRHKLKPRVYIGCMKSGPVLSDKTSKYHEPEFWKFGEDGNKYFRHATGQLYAISRDLATYISVNQPLLHKFANEDVSLGAWFIGLDVEHIDDREMCCGSETDCEWKAQAGNVCVASFDWRCSGVCNPVERLKDVHSRCGEGDEAIWSTSF >cds.KYUSt_chr7.11223 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68958735:68961405:1 gene:KYUSg_chr7.11223 transcript:KYUSt_chr7.11223 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGRDEKEGGGDSLYSGDGRIGGGSVGNNASTDGHEAMREEALTTPPEKFTVTSLDQSSGSANQFEAMSDRADDEGNTDMVVAGGEDPIVALPDDVRRYLMSFLPSRDAVRTCVLAKSWRTFWKSVPALRISDPESFEGAHGLSTFVDELIRLRDPVPLNVCDISSAVHYDPDPSCDFDRRDGEFRRMEPWLKHAVSNRVQVLRVRFVCWDRGNTIESVWDILDLNLSYTSE >cds.KYUSt_scaffold_1259.545 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3691570:3692694:1 gene:KYUSg_scaffold_1259.545 transcript:KYUSt_scaffold_1259.545 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCSNPAANLTDDLIIEILSLLPAKSLCRFKCVSRHWRGLISDPAHRKRFPQTLSGFFFNRIGHSGVAPSFAGFSGNQQDQPFSDPALSFSRGYKHTVLKDHCNGLLLCNCSHEYPPEEFDRVVYNPATEKWRVVPGYMDRKVPVHLCFDPAVSSHFHLVALLQEDRVGCITGLEIYSSQTGEWSQRETGWDDETQKIASASGSVFLNGMLNLVTDDPAVVAVDMEGKTWRTIPIRSLKNVVAEIFAFGSDGFISQTQGRLCYVSYTRKRYPSNLSVWILEDYCRGEWVFKYSITPCSSQLSTKKDFIFQDYNVIAVHPECSLVFLFLKSENALVSYDKDRGEVSVIRNLEYDFCGPYLPYVPLFSESPADQN >cds.KYUSt_chr1.11728 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72334665:72342246:-1 gene:KYUSg_chr1.11728 transcript:KYUSt_chr1.11728 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRYYRSEFEFPTELVHVDTLRYYRSRYRLRYRNRVQTFIGSQAVPERYRSKTISNALRYFARYRGRYYRPQAVLPLQEAALLRDKFDQMMKSKEVIATKTLETKRVIIETKKEVSLAKLEASREEAKTKAKLEEMRINVKKAIATKLLLAEEREILMMNTKEMNEVQLEWWKETSTEITARRRAARQEASAAADVPPGGGADVTPGGTADGADGGGRQGMMNVDSTINGASVQENTLPGGDRINLVGTNMESLTRVELELAFASEKLLNLEMLVMEIARRATDFEPLTWENESVSSETAENAFELDLLYGILDAEVQELDDMISSLQIDARNVEHKVYDEQSEGKVKAKLDAAMSSLKQMQDLIADIRKESAKFEKAIEFSSDQAGITEHGVCENGHMSSDTSMQTEDQRRNVLQMLEQSIASELDLEKKLSDSRYVVEELEMKLHHRKQEIYFLEELTETNSGRLFEAENASDLLLGTSRELISRLNTTQFHLSASISREDDLNSKLEDSLMELSFLKTNQETRMQEDSKKVGAEEAAQNQALLSLQHKVEELENHIRESDSQLLLEKASSEASQEKQNVLHTELSTLETIVMNLKDDVLRAENRAQNAEVRCMQLTKDNIELSGELSSLKSQDSDKASLLERELMESNAQLEHAKASVDAIVEQKIMLTSTISDMEHMIEDLKGKLSKAETRALNAESKCTLLTDANLELSEELSFLRGRVESLENSLREANHVKISTVKDIGIRTKVITDLVTKLAMERERLHLQISMLTKKNKILAHKCKGSVKDGTKLYKNTTGKDVELQFNKLAEGIAPDFSSSQNEVEKRADSINEEETKTYTREEGDSSGDGTLEATRTIKPSLLNWKYVAVAFAAMLAAVLVYLLVEEDVKGRMAL >cds.KYUSt_chr5.43277 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272821512:272833273:-1 gene:KYUSg_chr5.43277 transcript:KYUSt_chr5.43277 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFALGLTKKAVEGTVSRVKLAIEEEAKLKVRVQNDLLFITGEFQMMQSFLKVANKERAKNEVVRTWVRQIRDLAFDVEDCIELIVSLDVKSGWSWLWRVQPGCMAPPRPLDQAVAEIQRLKARVEDVGNRNTRYNLISYSGSDSSSPKVSSMVPELIPANDVDSASLSTFQLLQEVWEAAGKMRRRKEDLRNLLGSQGSDLEVISLWGSQGPAAGLGTTSILTTAYNDPKICQDFKIRAWVKLMHPFSPDKFLIRLLTQFCASSQRANIQGPEFQAEMRAAVIRNDDLMKAQLMQQVTNKQRYLVIVEELSTSTVEEWEAIRMYLPDSKNGSRIVVATQQLGLALSCIGDPYQVFELRKFSDGQSLCAFLNKKVYSDHNRSPSISSKIVVARDWIANGLIIGRQGEQDMILERFLDGHVTSVWGLAGVGKSALVKSIYYHDMIKCVDQLTKYSWVDVPQPFNLMGFCRRLLMDFHSNDLEANETALIDMMEGQDPIQLCREFLQQEKCVTVIDGLRSSNDWDLINAAFLAKPIKGRILVITNEESIALHCADSKNYVININGLEDEAALTLFSEQSPSKMGVCQFNGFFREYIISRPMQDNLVFELDGHCSLDSQRAGKHLTISINWDRAKILFESLELSRLRSLTVFGEWRSFFISADAKMTMLRVLDLEDTSGVTDDDLKHIGKLIPQLKFLSLRGCKDITCLPSSLGCLRQLQTLDIKCTSIVTLPPAIMKLEKLQYVRAGTRGCGSATREDNNGTAVGIATAPPTDGDGASTSQPLAVASAAAATDEARTSSPTAISWLSRFRRKEIVSGAHDGVQFPAAATGGIGRLRALHTLGVLSVQGASGKVVRELKKLEQLRKLKLSGINRTNWQDFCFAISGHCYLESLSVRLSDYEQKDDPYSLDDISKPPKILKTLKLYGGSVHVSPVWMKQLDGLTKLDDQDLQLTISTQGDIDSLVKVQCQNMFRHLCVKPIQDGELLYGWWERWWEGRHFTAAKVLKIDCGSYKVEIEFGQFIPEHVEVLVVHCSTTDASLKLSGINWLSSLKEVWLKGTYSEAVKQHLQEEVAKHRKKPTFKVDDQQSSATVPSAC >cds.KYUSt_chr6.11256 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69710410:69711603:1 gene:KYUSg_chr6.11256 transcript:KYUSt_chr6.11256 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGCGEQLPWSYSFIEFRFRRLAADGFCDLLHAGAAPSSRRLELAISVCGNAMTSSPGHLQAVRVEPKKKMQTWYTPSEKNLM >cds.KYUSt_chr3.3197 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18363890:18365476:1 gene:KYUSg_chr3.3197 transcript:KYUSt_chr3.3197 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMERSGEEERSSAKKAKIESATEPAASEQVTVTLDSKLLDCSVCLHTMAPPLFQCINGHMTSLGCCEAVQHDCSVCGEPAEIRCRAVENILGGMTAQCSFREHGCTAIILFTEKLSHESSCLHSPCYCPIAGCRPYARKPLRDHLIMDHPGMLHSGVMAGNLCAMRIGDRESARFVSVLDGKGAVFLLVVDRTVPLGYTLSVIHLANEPAGQDDFKCKILLYTRKGTLCLSGETQSVGCLRSPYEPAASLFVPEAMWSPDQSPVYIELK >cds.KYUSt_contig_1861.45 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:235782:238018:1 gene:KYUSg_contig_1861.45 transcript:KYUSt_contig_1861.45 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSPDLQVMASLSPDLPDELVHEILFRLPPDEPACLFRLSVLSNPWRSLLSDPEFHHNYRKFNRSPPMLGFIYNSEVSSVSHFVPTTGPCPPCTFEPQPADFIVCDCRHGRVLLDNGEVSMELVVWDPMTGRRKDLSDPCRSLFYLGTAVLCAVYGCDHATCHDGPFHVVFVRIDAEAGSATAYKYSSETGEWSTPTSELALFEEHDIVVEPDLIDELGPVDDGAALHSVLVEDSLHFLLMSGPQGSRILKYDVGRHFLSVIVLPAAVHYRSTILMATEDGRLGVAHLDRLILHLWSREVGPDGVAAWAEYRVIGLMPFLPIGDPAIKVELIGSVEGANIIFATTSLGVYAIDLKLLRSRKLCEGQAIRPFFPFMSFYYPGDLGKLYELLVCVKVIISSACPAKDVTVCKDSGLCRILYNLTGLKVFNIQLPSLCTAIFDAAISYGVGNGERVCF >cds.KYUSt_chr7.2936 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17372818:17373099:1 gene:KYUSg_chr7.2936 transcript:KYUSt_chr7.2936 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSPALLGPTTTQRYDLAGDSGSAGGMDRGLFDGGWWPSTHFLEVAAWRKGFDVGCVLVDERIAVELSGVVVASRIGLARVLASAFILETG >cds.KYUSt_chr6.11050 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68577752:68582201:1 gene:KYUSg_chr6.11050 transcript:KYUSt_chr6.11050 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHHSARKDAVGGGGGAGAFAVPCVDIKLFVASIAFLTLFLALWQLHPYGSLLAAARQSASAPPCSLPLATTTIAATDHLQSSNSTPTGTTAKNASAAATPTAANATSSVTATKKTTPAVTSPSTTAATLPVRLARESRPTPHPSDPNKRVLRPYGSAAALFVQMGAYRGGPRTFAIVGLASKPTHVFGTPYYKCEWLPNPTPTTPTPAAIRTKAYKILPDWGYGRVYTTVVVNCTFPTNPNTHNAGGKLLLHAYHSTTSRRYERILALEEAPGAYNHSLYTPPFQYNYLYCGSSLYGDLSAARMREWVAYHARFFGARSHFVFHDAGGVSAEVRAVLEPWVRAGRVTVQDIRAQAEFDSYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYLYLPGGRTLEQVLGKLQGFTQFTIDQNPMSTKLCVKDPKNDYSREWGFEKFVFRNTFTGVRRDRKYAIQARNAYATGVHMSQNVHGRSTHKTETLIKYYHYHNSINVMGEPCQHFVRRPANGSRIKFDGVPYVYDDNMRRLAGKIKLFEKEAIGSVHT >cds.KYUSt_chr2.48912 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306071215:306076252:1 gene:KYUSg_chr2.48912 transcript:KYUSt_chr2.48912 gene_biotype:protein_coding transcript_biotype:protein_coding METLADLICMSSQMVKHCLLSHTNGKKREEEEFSAVVQVEDEVKVTPELEIPIDSFSLAAASAVVAVVAVALLLAGRRKFSLPLPHAAGFGEMQYHVKNKAATSAMPSRGKDTAATSTMRPKHAFADENLSQALLASSEHGIQRMSLNRNSSLTPQLRAKEMANTGLSCGVSQKHIGKERSPSLGTGLCGEVSQKQREKVDTGLIPKKHNSKEKMCPPGPVNMTAARVAGEKKQHTRSNNAKGKMCRPDQLHQHTRGIQGPVSMPEARVAAEKQQHKRSNNAKEKMCHPDQLRQHTQGIQGPVSMTEACVAGEKKQQTRSNTSFPTKRTTPQLKSRASSRCSNASTSRPVDPHDRGNTAAAQEQRQSAVNKTAKDMEAIIQKLNELGLGDDISFEENYGYLMQLPYTHIHTTINSGINSHYMEIRHAVYRIRSFKLSQNVSKYELCRDELLDCPMDLLEKEEFPSDFLVDMDYFKFFQQEGVLDWYFHPKLCKIAGLDDYQRLVPRNHWKHDAYEYANWSGYMEHFHTYETEHEYIEYFETLLSELKECFTYMSIEATWCDGSDDLFFEIWKRVAQEKESLRDAVKEVYKLNKFFSRQDFMKYTVEEDDLIILEKGFQTCMRGVTKDVSEDEARELIAEAVKKKRIKPKFYHEYIKKKMDIARSIGLIPMED >cds.KYUSt_chr1.39518 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241755131:241756609:1 gene:KYUSg_chr1.39518 transcript:KYUSt_chr1.39518 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSSKGKSTFSKFEGKGGGGMRSISLASSKAKITASSSGGGNKRASTATKRGKAAKKVYTLTGQKFDAPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERARKAYDRKQKRQQQIRSGTPAKPTTAAKHKPEPESWKKPATSHNADSLGKAKRKVEYSDDDDDDFIVNLKRSNSRGG >cds.KYUSt_chr6.16604 pep primary_assembly:MPB_Lper_Kyuss_1697:6:104470298:104474000:1 gene:KYUSg_chr6.16604 transcript:KYUSt_chr6.16604 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPARPADPLNWVKTAEDLTGSHLDEVKKMVAQFRDPLVTIEGATLGIAQVAAVAAGAGVARVALDESARGRVKESSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSAAVAPDGTKVTAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANIQAVLAEVLSAVFCEVMTGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKQAKIQGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGMKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKIMSSTFLVAICQAIDLRHIEENMKIAVRNCVMQVAKRTLSMNNMGGLHIARFCEKDLLTAIDREAVFAYADDPCSPNYPLMQKLRAVMIEHALANGEGERALETSIFAKVAEFEQNIRAALPKEVEAARASVENGTPLAPNRIKDCRSYPLYQFVREVCGTEYLTGEKTRSPGEELNKVLVAMNERKHIDPLLECLKEWNGEPLPLC >cds.KYUSt_contig_1467.157 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1057251:1061671:1 gene:KYUSg_contig_1467.157 transcript:KYUSt_contig_1467.157 gene_biotype:protein_coding transcript_biotype:protein_coding MCALTIPSLLCDSLVPEHGRQQALEVEVAAAVHDKQASGKGASPRSSVAGEHLRWRFAKIKLDVHVFLGNWLQVSYAPQFERFLDTKEKSEVRRNEVHGQIRSNKIMDLSYENKAEPAQLEKTQELLDKHIVRIWQCPNSALDSAYNDKLVDHDSKVKATSLRRHCEPVKEDEVVATGQQRLLQGL >cds.KYUSt_chr4.35487 pep primary_assembly:MPB_Lper_Kyuss_1697:4:217835618:217836764:1 gene:KYUSg_chr4.35487 transcript:KYUSt_chr4.35487 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCTDAACSFGLIEIHDVQVEGGGSRGSDHREEVTAGYTKEFYFHNLLLESYSFYWFRRYLTKVCIIGHMIWRKLS >cds.KYUSt_chr7.6584 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39791570:39792133:1 gene:KYUSg_chr7.6584 transcript:KYUSt_chr7.6584 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGDAPAEHPPSPPAAAKRTLVFTYGTLKRGFSNHALLQDLFLTGDASFAGAAATASPLPLVCGPYRVPFLLNLPGSAGCHRVKGELYSVTARGLARLDELEGVSRGHYERLPVEVVLAADEGAAEGAVAYYAHRGYAAEMWARSGRRGHAEYSPAVAAGYVRRVDRPQGQTFLEQIRVFVSSQS >cds.KYUSt_chr2.45470 pep primary_assembly:MPB_Lper_Kyuss_1697:2:283585811:283586506:-1 gene:KYUSg_chr2.45470 transcript:KYUSt_chr2.45470 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAYCGSDHSSSGTQSPVGGAGEQDSSSYMTASSSPPKRRTGRTKFKETRHPVYKGVRSRNPGRWVCEVREPHGKQRIWLSAPSTPPRWRRARTTSPLWRCAAAARASTYPTRRAGSGRRRRCPLPSHDEIRRADAPRWKRLSILFRPGPSVQRNAASEAAVVSPVDSWGEELVANCPYFPMVMDGLEFEMQGYLDMAQGMLIQPPPMAGPSAWVEEEYAYDCDVSLWIY >cds.KYUSt_chr6.23939 pep primary_assembly:MPB_Lper_Kyuss_1697:6:151310050:151315187:1 gene:KYUSg_chr6.23939 transcript:KYUSt_chr6.23939 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRRRARRKGPSELSVQIGIEEALPDDPLILSIAEALRTDVGRAMKLAFHNLENSEYKTRDTSIRNVGTYNRVEVSLLLCDDGFIRRLNKEWRDEDHATDVLSMSQHIPGLDIPILQLGDLVISVETACRQAEERGHTLLDEMRILTVHGLLHLLGFDHELGKEAEEEMEKEEEQILSTLEWKGKGLIRSAYHFSTDMDHSENSDEANRDVEKMSLREGHHQPKLTHIVCDIDAKLALLHKRLVLLALDNRQANEPFEGLFPIILLPIFCLEDM >cds.KYUSt_chr1.40852 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250599495:250599851:1 gene:KYUSg_chr1.40852 transcript:KYUSt_chr1.40852 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEQNQKELAAAAVFRRGWDCGSQLYDSVELASVYRVLDRHLMTPPHARGSAAVPGNGVMERTNSNSRRRTAPAKTTKGSRRSKALKRTGTAVLRSVFRSVICSRPEAVDRGNRGDR >cds.KYUSt_chr1.18999 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111566806:111567207:1 gene:KYUSg_chr1.18999 transcript:KYUSt_chr1.18999 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVQGNSQGGTWISGWGLTQELGWRWEVVVENEVELEVAVVLALLRRGEARWPGSNRMLARRVGRGRQRRTLALGLIVGVSAVAWFGRPNKGSPWIARPGLSLQSGGRSWCWWQLGIDLGVVTAALEAMDAR >cds.KYUSt_chr6.33956 pep primary_assembly:MPB_Lper_Kyuss_1697:6:212866943:212879889:1 gene:KYUSg_chr6.33956 transcript:KYUSt_chr6.33956 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPSSRRRAHKGKDPTDPTSTSAPHHHHHHVSDDDDDSDALPAPFPPSFTSASTALQGLLRRLGAGLDDLLPASAASSSGTSAQLKRILAGLQDSSNSSLQLSCLMQLCEMLSIGTEDSLAAFPVDAFVPLLVGLLGRPDHDDHAGASPDVMLLAARALANLVDVLPSACSSVVHYGAIPCFCARLLTIEYMDLAEQSLQALRKISLEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICRKLPSDASDFVMEAVPLLTNLLHHHDSKVLEHASVCLTRIAEAFAHHPDKLDELCNHGLVAQAATLVSISNSAGQTSLSTSTYTGLIRLLSTCASGSLLAAKTLLLLGISGTIKDILSGSGLVAGTSVAPALSRPADQMFEIVSLADDLLPHLPVGIITLPTHCRVFAKGSSTRKPATAKHDGAGSTENERSGHESLLREHPELLKQFGMDLLPVMTQVYGSSVNAPIRHKCLSIIGKLMCYSSAEMIQALLGTTNISSFLAGILAWKDPQVLIPALQIAEIMMEKLPETFSKLFVREGVVHAVEALICPESANTVPPQVPSQDKDGDSVMSSRPRRQRRRGVAAATESSLLDASNAASTSPCSAEAPVTSLRFEVSDRAKAFKEKYFPSDHGSSDAGVTDDLLKLRALSAKLNSATENVVTKAKGKSKASSASYFDISHDAEEQLDLIVTEMLSELSKANGVSTFEFVRSGVVAAFLNYLSCGTFGKERVSEANLPKLRQQALRRYKLFISVALSVDHGRSETPLALLVQKLQSALCSLERFPVVLSQSSRIGTGGSRLTSGLGALAQPFKLRLCRAQGEKSLRDYSSNIVLIDPFASLAAVEEFLWPRVQRSEAASKPIIVCANNSESGAPGATDGASTPASAQSVRRPTTRSKSSAASSGTSNKETLEESTSAAKGKGKAVVKPSSAEPKGPNTRNSTRRKAASEKDLDMKQTHGDSSSEDEELDTSHIELDDALMIDDDDDISEDEDDDHEVLQEGSLPICVEDGVHDVKLGDADVSNVGSASDSQAQPSSGSSARNIISRGTNAAEFRSASAFGSQGAMSFVAATMAGLTSAGGRGVRGSRDRRGLSLGGSINERNKLVFMAGGKQLSKNLTVYQAIQRQLMLDEDDEERFNGSDLPNDGNRFWGDVFTITYQKADGQPEKGPQGGSTSLHAKSESYRSTSEAHKLSLLDSILQGELPCDLEKTNSTYNILALLRVLEGLNQLSPRLRALAASDEFAEGKIATLDELYETGTKVPSEEFVNSKLTPKLARQMQDVLALCSGSLPSWCYQMAKACPFLFPFETRRQYFHSTAFGLSRALNRLQQQQGDNQNSGSEREVRFGRLQRQKVRVSRNRILDSAAKVMEMFSSQRAVLEVEYFGEVGTGLGPTLEFYTLLGHELQSARLGLWRSSSPSDSEMEIDRNGVIHLDSSDDDLPAKELSSDEGRQLIQAPLGLFPRPWPSNVDASEGSRLFKVIEYFRLVGRVVAKVLQDGRLLDLPLSTAFYKLALGQELDLFDIISFDAELGKTLQELQVLVERKRFLESTSGKNQLEAEDLRFRGARIEDLCLDFTLPGYPDYVLKEGEQNTIVNIHNLEEYVDLVVNATVKSGIMKQVEAFRSGFSQVFDISSLQIFSPQELDYLICGRQEIWEPESLVDNIKFDHGYTAKSPAILNLLEIMAEFTPDQQHAFCQFVTGASRLPTGGLAALSPKLTIVRKHPSSGVSTTNTTGITDAADDDLPSVMTCANYLKLPPYSTKEVMHKKLLYAILEGRGSFDLSNGEEEEEVKARCHYKAAKVKEIIYTLGDNVYVRAGKKKPDYIGRITEIFEGTDHRCYFNCRWFFRPEDTVISTAKLVDDHTHDPKRVFLSDERNDNPLDCIVPKVKILQIDPKLDQKAKAQLVDGFDLYYDMSYTVAYSTFANIMNDINKSSGILSNADSEANTSHTTASLLDLYSGCGGMSTGLCLGAALAGLKLETVRNMKAEDFLSLLKEWAILCYQYVHGNNAYAAPPVEDEEEEGELEEDVYLLFYHVTKDMIINHLQYKF >cds.KYUSt_chr1.23596 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140425124:140425942:-1 gene:KYUSg_chr1.23596 transcript:KYUSt_chr1.23596 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLELHDKKDKQKAMKAVSALVGIDELSVDMATRKMTVIGMVDPVVVVSKLRKTWAASIDSVGPAKVPEKEAEKKKEEDGKKDGGADAKKDGVDAKKEDDGAKKDGDAKKDDGEKKPPQPTEEQLIAELMNQYRSAYAYHNPYYMNNHYVVQSMEENPNSCTIC >cds.KYUSt_chr3.9672 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56780261:56785811:-1 gene:KYUSg_chr3.9672 transcript:KYUSt_chr3.9672 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHLFNNILLGGRTGTNPGQFKVNSGGLTWNRQGGGKTIRIDKDDIASVKWMKVPRAYQLEFRIKDGLCYKFIGFREQDVSNLTNFMQKNMGISPDEKQLSVSGHNWGRVDIDGSMLTFMVGSKQAFEVSLADVSQTQMQGKTDVLLEFHVDDTTGANEKDSLMDMSFYVPTSNTQFIGDENRNSAQLVWEALLKRIDGSSSEEAVVTFEGTAILTPRGRYAVELHLSFLRLQAQASDFKIQYSSIVRLFVLPKSNNPHTIVVVTLDPPIRKGQTLYPHIVIQFETDTVVEKKIKLSRELLDDKYKDRLEESYQGLVHEVFVRALRGLSGSKVTRPGSFRSFQGGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGTVGASISSQYFDLLVKLINDQEHLFRNIQRSEYHNLFNFINGKNLRIMNLGDGQGTSGGVADILMDTENAAGDAYIARIQNQAGDEESDEEDEDFVLDKDDAGSPTDDSGGEESDASENGGQKEKLSKKEASSSKPPVKRKPKARDGEGSEKRKPKKKKDPNAPKRAMMPFMYFSMAARPGVKESYPDLPPTEIAKKLGEMWQKMSSEEKQPYVQQSQVDKKRYEKESAAYRAAAPVDVDSD >cds.KYUSt_chr7.11568 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71120097:71120795:1 gene:KYUSg_chr7.11568 transcript:KYUSt_chr7.11568 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPILLIHGQKLMLLLLLIAERVLVTDSTFTVSPRQVRRSSRRPHLDLAKLALDRLLEELSPAGGGHLARPPLRSARRGHRMWCRNSCSLRLLPLLPVQDQAAATSSPSHVHLPQLALAQLPAHAPLVPRLDAPARARPRPPRPTAPLATSRVTHRTHARPAHLITDAHRLPPRWRAPPCVIVSLFGHTVPPPHAASGAMNAGVPPPPIRLSSAMQLLVRHTQFGGRGRVV >cds.KYUSt_chr4.47105 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291462191:291462613:-1 gene:KYUSg_chr4.47105 transcript:KYUSt_chr4.47105 gene_biotype:protein_coding transcript_biotype:protein_coding MTARHRSRNREVGLAVLASGEGGTVDLGSTRGQGPRPPPPRQYCGDGGWGGGLGDTDGKQVSVVDARAEKTGKGDEMPERRGCALAGAETRTDVQDVVGNALGWLRIESFWCWNRRRGEETVAPELGKSGGGGESFPASQ >cds.KYUSt_chr6.29811 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188938138:188939779:1 gene:KYUSg_chr6.29811 transcript:KYUSt_chr6.29811 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGSAAGTDLIQDSDSDGFTSSDFTNNTNFTVGEEVFADLYDGVTYALQDVRMLSPLSRNKNSTVQDPGLDDSPDSTHHEICVLTTSGREIQQDEQSEAFDPLGNPYVDPADLTRRTGNKYIAAHPRDKVQLSQATWDRATRAINGTEPMTTQALAEEPPLEPAPTQREQTAETIPLTIGQATLLASGAVFVTHSLRVAAPPTQMCASFLPSLPSSRDVTTLFTHPRTAPHVPRQVTRERVAMAVVVSILALQGSYNEHMAGVSCAPIFSGIFSLAFGWLTVFLAPVWVQR >cds.KYUSt_chr6.16471 pep primary_assembly:MPB_Lper_Kyuss_1697:6:103600158:103600670:1 gene:KYUSg_chr6.16471 transcript:KYUSt_chr6.16471 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTRSAATERFYNHFAPTSTRGLPIYHQAPAAGPDEFDESDVWGSFSPAEEEPAEAPRARSTVPAARPGRKTKPAMAGRGAAHGSLPVAIPDWSKILGGEYQAHQAPGEWELDDADDEDVGVDGANLVPPHELAWRRRAASLSVNDGMGVGRMLKVRDAVWKKTGFQA >cds.KYUSt_chr1.34839 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212240620:212244823:1 gene:KYUSg_chr1.34839 transcript:KYUSt_chr1.34839 gene_biotype:protein_coding transcript_biotype:protein_coding MASEFETLLPRAGHRYRPATGGWKSALFIIWVEVAERFAYYGISGNLISYLTGPLGQSTAAAAAGVNAWSGAASMLPLLGAAVADSWLGRYRTIVVSSLLYIAGLGMLALSSMFASPEGQQCNVSANGQGECSPSSFQTAFFYVSLYLVAIAQSGHKPCVQAFGADQFDATDPAESLSRGSFFNWWYFGICGSATVAIALMSYVQDNVSWAIGFGVPCIIMMLALIVFLLGTKTYRFYDSGDSNGAIVLSHVTEALNAARKRSPESGSLAEHGERKDNDVMVEEVRSMARLFPIWATCLLYGVVFAQPPTLFTKQAATLDRRVGSSGFQIPPAALQCFSGTSMIISVVLYDRILVPVARRVSGVPLGISMLQRIGTGMALAVAALVIAALVEMRRLNTALEAGVVDQPDATVPMSLWWIMPQYVLIGAADVFTMVGMQEFFYDQMPSAFKSLGLALYLSVLGVGSFMSSFLIWAVDGLTKKGGGTSWLANNLNQGHLDYFYLLIAALTALELLAFLYFSASYAYKR >cds.KYUSt_chr2.26796 pep primary_assembly:MPB_Lper_Kyuss_1697:2:164056370:164057809:1 gene:KYUSg_chr2.26796 transcript:KYUSt_chr2.26796 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVHVLVFPWPLQGHINSMLHFAAGLLDGDAGLHVSFVHTEHNLRRLDRAKAAASPRLRLVSIPDGLPDDHPRSVDDLKDLSKSLMTTGTVPYRALLASMLSAGSHAADGGFPPLSCVVADGLLPFAIDIAEELGVPALAFRTASACSVLAYLSVPKLMELGQVPIPVGADLDEPVRGVPGMEDFLRRRDLPSACRLRADTNAVDPLLHILVNYSTHSAKARALICNSPASLEGSALAHIAPQMRDVFAIGPVHAMWAAPALPTSLWREDDGCMAWLDGQADRSVVYVSLGSLAVISLEQFTEFLSGLIGAGYAFLWVLRPDMVGASQRGVLQEAIDATGNDKINSRFVGAVWGNGLDMKDVCERAVVEGMVREAMESAELRMKAQALAQQVRRDVAEGGSSATEFERLVCFIKELTVKAATASNNTV >cds.KYUSt_chr5.30279 pep primary_assembly:MPB_Lper_Kyuss_1697:5:192000216:192000911:1 gene:KYUSg_chr5.30279 transcript:KYUSt_chr5.30279 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDVVPADAIALHLYSLPAAANTVASLVAWLVAALAAAVGLWRIRAVGSSNRLPSAGARSTLVEVDKQQPRPLPSPAVEEPRPRPARAEVSEPASPFSEPSPSSPSKVRFTAYYGGAGAGGDDGVVDGTKKCEGRDEDDDGVSVDDASEIVPRRTASMRIRSTPSTAACSWEEREMAVRRRGDLGWYRHLDMTVLDGSVVRLWDGEVTAAVASPRARPWRAGLELDLSL >cds.KYUSt_chr5.7851 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49517256:49518316:-1 gene:KYUSg_chr5.7851 transcript:KYUSt_chr5.7851 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNLWLGNTVAPTILAGLSLALPLAVGTLTVAISVAQSGTVAFAAATTHNRHDNRLLQCHRSPGAPRPSTTAIVRREQTPVAAAEPAVVAAPEVAAPEVAAEEVVDAVYEDEASASNISADADELIPVPPEFAVPPMEWLLGGPSAGWLVDDPERDFGDEELLAPPPPPASPPTMYYCMRHGFGPCLQSPTPSDEDMQHFAPPGYEPVPVPEFSSSSAAALVDAHPPLVKKEEVVAAAPARSPRALPVPDLNLPAPEKEEDEPVPQLPTPSLEARVILRGWSLPPPLMELPVAVARGHSHGAGERWKKKMLELGVIHSSIPF >cds.KYUSt_chr3.26911 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167916101:167917548:1 gene:KYUSg_chr3.26911 transcript:KYUSt_chr3.26911 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNFGDSMGWGRSSSSRRGKRGGGGSGGSGTDKPKQPQRGLGVAQLEKIRLQSEMAEYFQPPGGGYPGFDVLTVIIPIVPLPCCRRLFSIPGPSKFWVTCHEAPTNVATFTYTCEPDRQAAAISMGHQITRILASHCRSLRQRNPPV >cds.KYUSt_chr5.17281 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111625717:111627015:1 gene:KYUSg_chr5.17281 transcript:KYUSt_chr5.17281 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNRAAAAADPSSTTEEDGDTSSSDSTTSHNQELADEEGEERESSSSSSEEESESEEEECAAAVEEEEEEESEEEVCAEAVEGEESESEEEEESESKEEECAAAVKEEEEEEEDEESTEEGDEEEAEPDLQPASKRIGPPVTAALKEEVQDEDVDFQPSNRIDQRAPARPAAKNQPQSSNPPARGRKRASGASLVPPPVLKKSKNTAQQSESPEPRPKRIRRVWAPDDEALVLEALARHRRQHGSLPPSGDSDFFESIREGLEEKSFQHSDIKDKVRSLLRRYRSRVVSTSDHDKRIRNLSRDVWGDLLPVVAATGPVSGSEEADGALAISGDGQSENGGRRSGTEGFKKMCEMYPLLAQEVKLLAKVQPCFESSFGRLDAKRAQDIEKRLERVKYAELKIESRMVLEVHAPKAKISKKLISLLTKVSKNV >cds.KYUSt_contig_2750.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000478.1:63166:64479:-1 gene:KYUSg_contig_2750.2 transcript:KYUSt_contig_2750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVINASQYLTVCKGTPAWPWKNGFCARRITPALDDILPCADAAATTDAVRRSKEVGPPVYYNQSGPPVYYNQSGPPVPLLCNPYRADLTDRPCAAGEVPAINAPQAWQGFVCRTTGASGSEVCATVGASRRRCTPER >cds.KYUSt_chr4.22834 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143595572:143600125:-1 gene:KYUSg_chr4.22834 transcript:KYUSt_chr4.22834 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEIGWSYAVFWKAIGAADPVHLVWEDGFCGHTPCLAGSETSQAPPATELGCDAAVDTICSLVRKAMASQVHIVGEGTVGRAAFTGNHQWIVHRTAGDHGLSSEVASEMDCHFRAGIKTIAIIPVLPRGVLQLGSTGVVTENTSFVMHAKKLCSQLNQRSSMAVSASVKSTLSQSRPLHGTSNIHNADSSSKVFSQFPATREQYRHADIAIASSSNSLNASWFEGTQQNGRTLREHIVCAKPNGMFAQQVSYFDSGLGSNTQSAGVSSGLISSSLASVKQQQVSMNNIGQLEFGSGTELARNTLLKSLAYRNPFIQESTNISPPHGRVDVYHGTTGHGSYNFLPGGARVVSANLCTSASSQVSEQGSHSTAGMLLQKQPPVSCKVPQSSEFTMKMVNQERSSFEAHPPVSSEVDVQVSNGLNGICQGNLLSRSCHTHQSQSVSRVNDPHLAVASTQGMKNVDSRTLPGMPSETAHSLLLQPTWDNDLFDILGPEFHQLCHNLDPNLVPWCDAKSQSSDRDATDPSICLDSSPLFSSLDNDIPYSGIFSLTDTDQLLDAVISNVNPGSKQSSDDNASCKTTLTDIPSTSHIGSKELKQCDSSGIPPMVIKNESAQIVKQPYYFDKTEDGCLSQNNGAQKSQIRLWIENGQNMKCESASASNSKGLDAPSKSNRKRSRPGESSKSRPKDRQLIQDRIKELREMVPNGAKCSIDALLEKTVKHMLFLQSVTKHADKLKDSTESKILGSENGPVWKDYFEGGATWAFDVGSQSMTCPIVVEDLDRPRQMLVEMICEDRGIFLEIADFIKGLGLTILRGVMEARKSKIWARFTVEANRDVTRMEIFLSLVRLLEPNCDASGAAENSNSVKMPLSLVRQPVIPATGGIQ >cds.KYUSt_chr3.15075 pep primary_assembly:MPB_Lper_Kyuss_1697:3:91959512:91962104:1 gene:KYUSg_chr3.15075 transcript:KYUSt_chr3.15075 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYILGCPGSKRVMAPSPVLAPAVLPPPITRFHNIEESPTPVPAPAVLPPPITRFHNVEESPVLVPAPAILPPPITSYIRFRNVEELHTYSSSWETTARIISGRIRYTRNGDVMYRGILVDQSGDKMEAVAYGQHANRFKNELRIGEVYLIRGLGFQPADMPPQLGLAIPSDYYIIMHSRTQIRPAGPSIDIPCLPSRFMDFSDIARLRNKMLTDVIGIVVDVSPVRFHKSFERSTPCRDVVLLNIRFIGSALCLGKLHGHEDDVAEASLGSIGYIRCCLSWRNTVWSAIPYVKG >cds.KYUSt_chr4.50668 pep primary_assembly:MPB_Lper_Kyuss_1697:4:313831814:313833229:1 gene:KYUSg_chr4.50668 transcript:KYUSt_chr4.50668 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFDEESFLDELMSLRRPKQAPEPWQATYPGSSTMMSDLIFYGGDQGASEPRRDMDIGPFLEPMAPPRPQDEFSFDYLSEVCDPYRSFVPGVVDAAGQALAHPFNDALPDDDMQLFHAGGSSSSPMTFIFQGGDIGEMNGIIRGAPGVYPRSKLNGGAPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKDLKEQIKTLKGEIGTTPGELNLLNPAKNFSSGINEEMMPMRNPIKFDVEKRPGGGMRIEICCAANPGALLSTVSALEVLGLEIEQCVMSCFSDFGMQASCSQEEGKRQVIRTDEIKQALYRSAGYGGRCI >cds.KYUSt_chr4.25161 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158133755:158135329:1 gene:KYUSg_chr4.25161 transcript:KYUSt_chr4.25161 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNGTLPLIGIQCFYITDLAKAMDKEELTTAVSCTGKKLAEGINSIGSELTSYGMFRFPELAKENLLYAYKETEQNLGVARLAPDDPTTCKGRCQERLETYTLDRVLLSKLEYYSKTRSLPRMHHMDGESLTVWP >cds.KYUSt_chr7.17004 pep primary_assembly:MPB_Lper_Kyuss_1697:7:105443283:105444852:1 gene:KYUSg_chr7.17004 transcript:KYUSt_chr7.17004 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSRSLALALFFIVSFCVASVPSLASSDGFLQCLSSAIPKQLLYTQSSPSFMTVLVSSTWNPKLLTPSTVRPLIIVTPSSASHVQATVVCGRRHDVRIRVRSGGHDFEGLSYRSVRPEVFAVVDLNKMRSVRVNRKAATAWVDSGATLGELGGGFGMLLRKYGLAIDNVLDATLVDAEGRLLDKKVMGRDVFWAIRGGGGESFGIVLSWKVKLVPVPPKVTMFSVSKSIDEGAIDILTKWQQVAPALPEDMFIRVQVGVQKQVAEFQSLYLGTSDALLQLMERRFPELGLNQTHCKEMAWIQSVPYSYLGSTATVEDILNRTYSLDYSNKGTSDYVREAITKDVWVKIFGWLAKQDAGIMVMDPYGGKISSSPESATPFPQRGGLLYNIQYLNFWPAAANGASHAKWLKDFYAFMGPYVSKNPREAYVNYRDLDLGQNVVVGNITSYKAAKVWGEKYYKSNFKRLAIAKGKVDPYDYFRNEQSIPPLVAGQ >cds.KYUSt_chr1.29907 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181140469:181141229:1 gene:KYUSg_chr1.29907 transcript:KYUSt_chr1.29907 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACFAMVKKVESCKLAAHHAAPSFWRRLGSGDDARLPADMLGTDSSSSRLVPRRHDVVSFDVACGVGDDTGLHAGSPYTDVVAELHASSLAKDVIATSRGVVKMVPRCTPAASVRTRHHRCSSRVVEMAPRCTPAASVRSSSSARLAGGGDGAALHAGRLVKDVTAASHGR >cds.KYUSt_chr4.47008 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290859690:290861705:1 gene:KYUSg_chr4.47008 transcript:KYUSt_chr4.47008 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPAPAASVFPKDSRPLPCLLLTSLLLLLLLHLLSSSSSPTPSAPPDAPRRAPLPADADAASAGPAPPALAFLLTGSAGDSERLLRLLLATYHPRNLYLLLLDRAASASDRARLAREVRAGPGRAGNVHVVGDPGFANPRGASSLAAALHGAALLLQVGQGWDWFLHLHAADYPLVAPDDLLHVLSYLPRDINFIQHTSYVGWKEARQIRPIIVDPGLYLSSRTDIFYATQKRELPSAYKLFTGEVNAF >cds.KYUSt_chr5.18381 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118774224:118779718:-1 gene:KYUSg_chr5.18381 transcript:KYUSt_chr5.18381 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRKQKNVDEAAEGLRAKGITVVGAVCHVSNAEHRKHLVDTAVKNFGHIDIVVSNAAANPSVEGILEMKEPVLDKLWDINVKASILLLQDAAPHLRKGSSVIIISSITGYNPDAALGMYGVTKTALLGLTKALATEMGPNTRVNCIAPGFVPTRFASFLTTNETIRNELMERSKLKRLGTVEDMASAAAFLASDDASFITAETIVVAGGTQSRL >cds.KYUSt_chr3.17970 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110507946:110509163:-1 gene:KYUSg_chr3.17970 transcript:KYUSt_chr3.17970 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDGEAAGGGEFEKRTRTEGNSDGESATTEEIWEGDEITDDEQITELEDVTEEERARWAEIDRQIEWKLLSEEEEMDNYRQDWEFGYVHRIQIIRGSWPRDFAGKVLCHDGSSHDELVLLDFRDGRNPPLDQDGNLDLSRRVVSVNVHNNMTVSVDASESRCAVVFTPERGGLTESECDVDGHCRVKITVAWSLLVFLE >cds.KYUSt_chr7.37697 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235139782:235140270:-1 gene:KYUSg_chr7.37697 transcript:KYUSt_chr7.37697 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRHDEPSIQAPPANRRRPWPASHTAHRSSTDQTALSLGHPAASTPPSRPSCSFLVEAQPAAATALLSRPRTMASTAPPLRHDGDAAQRQERPRPAWPDRPISGPAPALTAAAPQTTAGSTAVASRCSSAGSKECFLPLLLPALRRRRDVAARGPDGPEQ >cds.KYUSt_chr4.33081 pep primary_assembly:MPB_Lper_Kyuss_1697:4:202769684:202770086:-1 gene:KYUSg_chr4.33081 transcript:KYUSt_chr4.33081 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAPSPRSPCTSLLLQSLLLFLLLSLSHSARVTAAVRNLPAEVGAGDEEAASFSTAAAEDERCGGSAGEGEGDEECLMRRTLVAHTDYIYTQGGKHN >cds.KYUSt_chr4.13570 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83587455:83592537:1 gene:KYUSg_chr4.13570 transcript:KYUSt_chr4.13570 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHGFFDKLTGKNKEAWKEGRIRGTAVLVKQAVLDIGDFTASVLDGVHNILGKDDGVSFLLVSATAPDPSNGTRGKLGNPAHLEEMVVTMKSTAAGESVFKVTFDWDASHGIPGAVVVKNTYRAEFLLKTLTLEGVPGKQDGTVVFVANSWIYPGADRVFFANDTYLPSKMPPLLVQYRQEELNNLRGDDDTLREYKEHDRVYRYDYYNDIGDPDKGEEYARPILGGSQELPYPRRGRTGRPPAEKDPKAESRIPVYLVKKALEIYVPRDERFGHLKLSDFLGYSLKAITEAILPIIRTYVDTTPKEFDSFQDIYNLYDGLLQVPDSPALADIKKKIPFQFIKSILPVAGDDFLNLPLPQVIKSDKFAWRTDEEFTREMLAGVNPVCIKRVTEFPIKSSLDHSVYGDHTSTITEDQIRPYLEEGLTVKQAMDSNRLFILDHHDNFMPYLERINKLEGNYIYASRTLLFLKADGTLKPLAIELSLPHHNGIQHGAESTVYPPVQDGVDGQIWQLAKAYASVNDSAWHQLVSHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTMNINALARTTLINAGGVFELTVFPGKYALEMSSVVYKDWKLTEQGLPDDLVKRGMAVRDPSSSYGVRLLIKDYPYAVDGLVIWWAIEQWVKEYLAIYYPNDGELRADKELEGWWKEVREDGHGDLKDKDWWPKMQTVEELAKTCTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRKMPVKGEKEYEQLEEGGEEADKVFIHTITSQFQTILGITLIEILSKHASDEVYLGQRDTPEWTSDAKALEAFKRFGTRLIEIEKRITAMNTDPSLKNRNGPVNMPYTLLYPNTSDLTGEKGLGLTGMGIPNSVSI >cds.KYUSt_chr1.21888 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129256969:129257286:-1 gene:KYUSg_chr1.21888 transcript:KYUSt_chr1.21888 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGFFSLPRLVLLLVVLGDAVAMAAARVLLGGGIAEAPSPAVAAEGPAVVAPSGGGRQDRSIAGAEVILAGFAAAVVAVIFLYIRVTRKSNNGHGAAEMAEKA >cds.KYUSt_chr2.5150 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31967665:31968603:1 gene:KYUSg_chr2.5150 transcript:KYUSt_chr2.5150 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF transcription factor, Mediation of the transition from spikelet to floret meristem, Determination of panicle branching and spikelet formatio [Source: Projected from Oryza sativa (Os07g0669500)] MSTRSSSSGSGGGQTSQMMAFSEHSLPKPILGQPLPQLSPPSSPSERPAARGRRRTQEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFVYAHTAYNNYPPFLAPFHHAQQPTYASSTNMQYGGQQHVSAAAPHIGSYHSHGGGGYQAGLTAGAGECSMPVASADHASPMDIRHSHSGHDFLFPSADDNSGYLSSVVPESCLRPRGGDMQQDARRYSVSDADAYGMGLREDVDDLAQMVAGFWGGADAASAYGGFVPTNGAGHDMVASSQGSDTGYSPFSFLSH >cds.KYUSt_chr7.12455 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76619419:76622548:1 gene:KYUSg_chr7.12455 transcript:KYUSt_chr7.12455 gene_biotype:protein_coding transcript_biotype:protein_coding MALGHTRADVRSGSSCLSATIVAVFVGLCLVGVWMASSTLVSPVEFSPFQAGSLWRRPTPTPAGAGTEGNTTPDAPVVDSEKSADEQEEPPAARQDAVAEPLERTNQQPDEQVVTEPNVEKPDVDQEQESKRDAEVFPDASQAELLNETATDPAQWRTQAAKSDIPDTTTVASTAAASANWKLCDTEAGADYIPCLDNVEFIRKLQHDEHYEHRERHCPEEPPTCLVPLPNGYRSPIRWPKSRDQIWYSNVPHTQLVEFKGHQNWVNISGEHLVFPGGGTQFKYGALHYIDFIQEAKKDVAWGKRTRVILDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSGVFDAVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYLKKPEDVEIWEAMSALTRSMCWELVNKVMERINRKGIAIFQKPKDNRCYDARSADANPPLCGEYDNPDAVWNVSLQSCIHKFPTGPAIRGSRWPEEWPLRVEKPPYWLNSSEAGVYGKPAPDDFQADYEHWKRVIRNSYMEGFGIDWNAVRNVMDMKAVYGGFAAALRNMKVWVMNVVPIDSPDTLPIIYERGLFGLYHDWCESFSTYPRSYDLVHANHIFSKVKKRCELLGVIVEVDRIARPGGRLIVRDDMETISEVESIVKSLHWEVRLSYSQDKEGLLFVQKTMWRPNPSSS >cds.KYUSt_chr1.8052 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49435654:49438608:-1 gene:KYUSg_chr1.8052 transcript:KYUSt_chr1.8052 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSFRTATSPSPFSSAPSSSSSPRAPCPNLRFPRERNGRQMRMRRRASGFDAFPPLPGKVFVEETIGAEYGEGFETFRMDGPLNIDVDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVIADTDSLKLDAWRQLALEEGKDIPTSAHIQRSILHGAADHVLKKVLYWAKEDDQMDRLKARLIELYYESLFKLDTPVEGLREWLDAVRTAGIPCAVASSLDRRCMVEALDRMSLSKYFKAIVTDEDDMESIAHRFLSAAVKLDRKPSKCIVFEDDPRGVTAAHNCTMMAVSLIGAHPAYELEQADLAVAKYNDLSVINLRRLFAHKGISFMDMQKQIIERSPPKRKLTVDTIF >cds.KYUSt_chr1.33011 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200351124:200354078:-1 gene:KYUSg_chr1.33011 transcript:KYUSt_chr1.33011 gene_biotype:protein_coding transcript_biotype:protein_coding TWETFLLVLVVYSAWIYPFELAFLRHLSWKLFLVENIVNGFFAIDIVLTFFLAYLDRKSYLLVDNPKRIAARYLSSWFIFDVCSTIPYQPFGLLLNKHGNGLAYKILNMLRLWRLRRLSALFARLEKDIRLNYYWIRCTKLISVTLFAVHCSGCFIYLIADRYPDPSKTWIGAAIPNYRSESLWVRYVTAIYWSITTLTTTGYGDLHAENPREMSFCICFMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDIIHAASQFAARNQLPDQIRDEMLAHICLRYNTEGLKQKETLDSLPKAVRSSIACHLFLPVLEKVYLFDGVSFTCRLQLVTTLEAEYYPPKETVILQNETPTDAYILVSGAVEVRVMTNGGEKVEKLLSGGDIFGEIGALCNTPQPFTFRTSRVSQLLRLHTTEFKNILQENKHDKEIIMNNLDQKMNSDQRFTTKMMEICQGDQNFGEHNRCSISNQVNVKDESKERETLTSCCSDECCKELNESDRHGAMYKTIEQGFFNRNNDLPDKGAGMENRVSTSQIVDIRKVDAHQHILTDNFMTEYQGIHDRFRKTYPVARELQEITHSCTEDGSVVSERKRVTIHMHPQQNKSSAVPCAKVINLPGSLDQLFNIARKYITVYNSD >cds.KYUSt_chr4.3542 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20160411:20160677:1 gene:KYUSg_chr4.3542 transcript:KYUSt_chr4.3542 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRHAVLGSTGRCRSSLHRALPIFAPPGAAASPTPSPRPTRYGHTTGHPSIHTQAVRLRLPATMVKRRYGARVKADPFCVAFSPSM >cds.KYUSt_chr6.9806 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60462952:60468730:1 gene:KYUSg_chr6.9806 transcript:KYUSt_chr6.9806 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAAAAPIGLSWAPKVPSLPAACSSKGAAPASSIDAQGSLWKPRNELVGGLFVPPRDPRKVNKMARKNVKDTTGKGWFDMPAPTITPELKKDLEILQLRHVLDPKRHFKRSGKSKALPKYFQVGTVIAPASEFYSGRLTKSDQKTNLVDEILSDPKLKNYRMRKVREIQETRTPGGNQKWKNKGRQTFKRAKDRRK >cds.KYUSt_chr5.31572 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200083811:200086240:1 gene:KYUSg_chr5.31572 transcript:KYUSt_chr5.31572 gene_biotype:protein_coding transcript_biotype:protein_coding MATEFDSGERAAEEDRITALPEALQLHILGLLPFKSAVRTGALSTQWRTLWTRRWPAPSSLDLRLAPHDSPQQLLDSLERRGRRRLDRFSISFHTGQLNDEDFGRCLDYAAACAVADLDVVHLSNSRSPTSPAPAPQLQVSNPFRLPPGGNPHLARLSLTGTYIDYFRHQEHPYPFLEAIHLHRATVSDATLLNLLAAARPLLRTLDIRYCKDLTWVNLAIAGPNLKNVAVAECESLTDFLITNPSSLRSFRYSGAYLAANLIPASSTIDDLYICFGGPACRRLRQRWVVYNKHNRPAVRGYWLHKLINLSNLTVLTLCSSALRRVSAKARARSTDGNAASCKLQSLKEVQLLMFAMYNENLDDIMAFLMTCCAPRLERLFVQLPTRRDQYKPEEEPSESEVEASEDLSNGEESEEDQSEQYDSEEGSEEYHANEDESDEDGSEEDHSQDYSDEYHSQGDSEENHSEEDDSEEDHSQEGDLEENHSQEEESEEDHSEEDDSEEDHSQEGDLEENHSQEDESEEEEEDHSQEDEPEEDHSQEDHSEEYHSLSDSEEYHSEEDDSEEDHSQEGGLEENHSQEDESEEDRPKENGSEEQGFAEGQSKEDGSKRHLSNGGELVDDTHNGCENLMLLKMTNFIGHHNEMQLVSFVLKKYACLNQLMLFTPESDHQGRPQQDHLNTSDFLQTQLLHLEKASLNAQIILSEPDVDAIKPLHSETFVKV >cds.KYUSt_chr7.29797 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185479730:185484131:-1 gene:KYUSg_chr7.29797 transcript:KYUSt_chr7.29797 gene_biotype:protein_coding transcript_biotype:protein_coding MELATAAVAASTASTHQSGRPTGFSSPRASSWSPSSSAFSHSLSTSRFLSRRRQLPVASAALELRQAAAGGGDSVRVTETPQPNSSVKFSVEVPPSICQECYQTTLMEYSKRFKVPGFRPGKIVPENVLLNYVGPQHVRAATVEAILRHTLPQALSSVEDKALEDSVRILTKFDDMNDAFSLDHVFRYDVAVDVVPELQWLSEDKYKNLKVVIEIDEIVDAEKAAELELKRRRKSLGILRVVSDRGLQVGDVTVIDILAETITSDGSKGEKIPSAEATGFQLDTEENENLVPGFLGSLIGIRPGETRSFPIQFPESFDQESLQGVRAQFTVVCKELFFREMPELDDSLAVKLLPGCTTMDQVRERILERCKEVEKTAIEQATDNAILDQLGKLVEVDVPRAMFQEQGQQLYGAKLLQLQAERKLDKDQLASLSSERSVQEYLNSERENITKIIKQMLAVGEIFKAENLQYSTDQLIKEVENSIEEFKRYNQDYDEGNIKQQVQDVLEAAKVLEWLKENCTIEYIRQ >cds.KYUSt_chr4.23585 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148443703:148448694:-1 gene:KYUSg_chr4.23585 transcript:KYUSt_chr4.23585 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSGAMSISSSDPKSRSTAPRNGALASAISAAVAPGGTGRRPPGGSGGGFGGFLSRLFTAGPAQAAEENPPSRDWDAHDFGPRMTVPFERLKGAKRYKVSELRFLDRGPLGEDARVTGADDPLFEGSALQPGGVFTRAQLLGELEALSSSGMFERVNVDAIRPLPDGTLGLTVAYAESLWAPASRFSCVNVGGLVPSQSDETEDDDMTLREKMALQRRQEQEYQRRLRSATKPCILPEPVRGEVVQMVRKQGRVSARLLQRIRDHVLSWYHNEGFVCAQLVNFGNLHTGEVVGEVVEGEVTGVEYQFLDKLDNVIEGKTKLPVIDRELPQQLRPGHIFNIGAGRQALKNLNALSLFSNIEVNPSPDETKEGGVLVEIKLHELNPKSVDVTTEWNFVPGPGGRPTLESIQPGGSVAFEHRNIGGLNRSLAGSVTSSNLLNPQDDLSFKFEYTHPYLDGVEDRSRNRIFKTSCFNTRKLSPVFVAGPNMADVPPIWIDRVGIKANITENLTKQSTFTYGLVMEEITTRDESNDVCTHGLRRTATGALGMDGPPTTFSGTGVDRMAFLQANLTRDNTEFVNGATIGDRCIFQLDQGLGIGSKNPFFNRHQLTVTKFVNLNKQKNGAGKPPPAVLVAHGRYAGCVGDLPSYDAFALGGPHSVRGYGMGELGASRNLLEVATEVRLPVPMVKNTQVYAFAEHGTDLGSSKDVKGNPTEFFRRAGHGSSYGVGIKLGPLRAEYAVDHNAGTGALFFRYGERF >cds.KYUSt_chr2.273 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1613478:1614952:-1 gene:KYUSg_chr2.273 transcript:KYUSt_chr2.273 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSYHLRVYIYQCHNGYTCARDREEVEEGKLRWVDRYDRAIVPTVLGTGARPVDEQQPVMVGGEQQVAAGVEVVAVQPICRAWPGRELHLAAAGVEVVARPGRELHLAATAVEVVVVQAIWRTGAARSSVPRSSTSAMAAALTLGVWSPCGSPAVAMTAAS >cds.KYUSt_chr1.5654 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34881199:34886976:-1 gene:KYUSg_chr1.5654 transcript:KYUSt_chr1.5654 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDLDGLTVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLANPSRPLRLLYCTRTVHEMEKTLSELRLLFSHLPPAAARSLLALGLSSRKNLCVHPQASASAARDSVDTACRRLTASWVREKAASDPESTPLCEYFETFDRAAAAGDLASFMPPGVYTLADLRSLGRERRICPYYLARQMVKYANVVVYSYQYLLDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALSVSIRKQTLEGAERNLRRINQEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPSLPDDILKEAVPGNIRRAEHFLAVLRRLVRFLDGRLETENVENEMPVSFVASIHSQAGIDQRMLRFCYDRLQSLLLTLEITDTDEFMHIQTICDFATLIGTYTRGFSIIIEPYDDRMPNVRDPVIQLSCHDASLAIQPVFDRFQTVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFFVSYSYMDGIVNSWNDMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSKILRARLEYLRETFQIQEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHTAREFLRRMAQPYDKAGSGGQKTLLTEEDLQDMGRDAMEM >cds.KYUSt_chr4.9807 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59300937:59301703:-1 gene:KYUSg_chr4.9807 transcript:KYUSt_chr4.9807 gene_biotype:protein_coding transcript_biotype:protein_coding MYCAGKNKDDVQDGFTEWRSMIRKVLAQECAPVDQSGTGFNYGIYTTAIASGGLKTTHYKGEALFAILLALFSLILMALLIRNMQTGCTTATSPTTSGTGCSDTTSTSGSRVETRGVDEDGLVRCLPKDIRRDVKRHLCLRIVRRVPNMDERLLDAIYERLKPSLCMEATYVVREGDPVDEMLFIIRGRLESSTTDGGRTGFFNRGC >cds.KYUSt_chr3.21116 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129489142:129490152:-1 gene:KYUSg_chr3.21116 transcript:KYUSt_chr3.21116 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKAKKEKEKAPLGVTLDKVATLSPHRCRWPGWCWDDADLEDGDVALVRIDPWTGRSLAAVETTFSQRDYVTAAWLSEIASLLRGHRLRAPLVVGLVALRGRPPSESYWNRKGHPQHRGNPIRCIALCVGGSHALLYQPECRYLNHTGGLLPFRDDNRMKRLREFLGDKRVVVACVGAAKVAKKLAEEWGLHVARPRELTDLFAHAYGKGAGVEPEVLPGKPTKPPEWSYSWMSVEGAKRARAHAEVEQQLYEEKSKPGRWIPKVIEVLSMEYMAREALGKDMRLAPWPAKLADLDWGRLDQLENEHCMYATRDAYLCFDIAAHCLPKIGEPVA >cds.KYUSt_chr3.38099 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239703347:239703756:-1 gene:KYUSg_chr3.38099 transcript:KYUSt_chr3.38099 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPTSQGRRRAYNNAMNSKTAGYLGIHSTRPGSSQPAAATESEPAIEVSSPVSVDDYDEQENSFDKNDTMSEEDGFGDGHIEISDDGNSVDDGGTSQRGKKRQN >cds.KYUSt_contig_686-1.1151 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:7082172:7082621:-1 gene:KYUSg_contig_686-1.1151 transcript:KYUSt_contig_686-1.1151 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSSGLRALPTWSSSVSGDDHLAMSSVSMRPRSARPLRTPTRMGNVNEGKGIFAPLVVVTRNIVGRKRFNQLRGKAIALHSQVITEFCKTIGADPKQRQGLIRLAKKNGEKLGFLA >cds.KYUSt_chr1.36454 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222341192:222341443:1 gene:KYUSg_chr1.36454 transcript:KYUSt_chr1.36454 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGEARSQDLAPAAGSREIEGKMRNDGAPEKWLRLGIEPRTDLTAFERKEKRVGWLRNYNDLFDAAGHNADKLLEKATTPA >cds.KYUSt_chr3.41877 pep primary_assembly:MPB_Lper_Kyuss_1697:3:264337034:264337285:1 gene:KYUSg_chr3.41877 transcript:KYUSt_chr3.41877 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGVRGIKGKVAMAYSKYTGGKAQSRPAPTVTAHAYQPRYPASSIDATASSAYAASGDVDQRATAFIMSVRERFKNEQKMVS >cds.KYUSt_chr6.3125 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18292762:18299797:-1 gene:KYUSg_chr6.3125 transcript:KYUSt_chr6.3125 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAGSPDTAAVTALLLVGVAALLGAASAGDIVHQDDDAPKIPGCSNDFMLVRAFLTLPPPPFSSSEVKVQTWVNNREADEFVGVGARFGPIIESKEKHANRTGLLLADPFDCCAPLKEKVAGEVLLVQRGDCKFTTKTKNAEAAGASAIIIMNNLHELYKMVCDQNETDLDINIPAVLLPKDAGTILKGLLSLGKVSVQLYSPDRPLVDTAEVFLWLMAVGTILGASYWSAWSAREAVTEQEKLLKDGHESLVNCEAGGSSGMVDITMTSAMLFIVVASLFLVMLYKLMSHWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAGSFVKVPFFGAVSYLTLAVCPFCIVFAVLWAVYRRMPYAWIGQDVLGIALIVTVIQIVRIPNLKVGSVLLGCSFLYDIFWVFISKMLFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWAAKKTLQSGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGKEHTSVSADKFHGLK >cds.KYUSt_chr6.1436 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8781130:8782926:-1 gene:KYUSg_chr6.1436 transcript:KYUSt_chr6.1436 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFSMQDNELWTRHLLGLLTQVAAAVYVVSKISWPDRRLRAAMLLMFSSGCFKYVERTWCLYKASPTSLRESSLAFLRTIVGSLASRGGRYRKGYILDERFSDMLDDGRLKYAHAFEQASSSAITLVSDTPINDTLSVEASQGLVVQKLQTLKSNKDRYRVYNYINTRLILVYERLYTKALVRLISMQRLDMTDIFGSCEALAECNFCFCYFLICNILLWIAFLVYALIFLFPFLSTLVALMLFKVVEKGELYSRADVTVSYILLIGALVLEVASLFISIQSYVSGMHAIHRATKQWSEMLGQYNMIKSFDRVHENNGPKGITSFVPRWIGKHIDDKTSHISISEDLKKFVLDKLLDFGTREEDWNFASMRGQLALRNWTTSHEDSGIVRSDSNLHQSINDVDFPTSVLIWHKATDMLYYQEDINNISDHKMKKMSRELSNYIMYLVFKCGAMLTTNTELKHNITRESIERIFGVRNSILEKEAVMLVFEFHQGLHRRSYGASHGDSQPEAQMQLLENTMKSVLPRAYGVAQELIDIHKEAACWDLVAAVWVEILYYIAPRCGGAFHSQHLASGGEFITHVLLLMQLLGPFLPPPSS >cds.KYUSt_chr4.5002 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28631404:28634307:1 gene:KYUSg_chr4.5002 transcript:KYUSt_chr4.5002 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFATPISSMDAAAALCLFLILATMIASPAVYAQQTNNRGCITSERDALLSFRAGIRSDPQNLFSSWNGQDCCQWSGVRCSNMTGHVNKLDLRNNLFLDDIFRSFHSENPHGMRGNISSSLVALHHLEYLDLSGNYLGGVGVHIPRFFASFQSLVYLNLSCMDFYGNVPPQLGNLSRLLYLDINSAWYTDGYGSMLYIEDISWLPRLPLLRFLDVSIVDLRAIGNWLQAVNMLPNLRALLVHNCNLVFPHTPVVYSNLTSLEVLDLSDSGFHTINPAYWFWDLGTIRHLDLTNNELSEAFPDAMGNMTSLEALHLGGNDFTGVKSKVLENLCNLRVLTLWSNLINQDISQFLEGLPCCAWSKIEFLDMSCTNLTGEIPKWINLWTDLSILQLSSNRLEGSVPLEMFMLGKLKHLYLDGNYFNGSISEENLATLVNLEELDLSYNSLHMMISSNWIPPFQLHWAYFAGCKMGPHFPLWLKGQRDVIYLDISDAGIVDNLPDWFWSVFSNVVYLNISFNQISGMLPGTLEFMSSAVIFDLNSNNLTGTLPQLPRQLAELDISRNSLSGPLPQNFGAPLLEELLLSENSINGTIPIYICKLQLLVVLDLAKNFLVGHLPRCSEETTKLSRSILALVLHENNLSGEFPSFLESCSQLVLLDLAYNNFVGELPTWLADKLPDLSYLRLGHNKFSGSIPVQLTQLGHLQYVDLAYNRIAGSIPRTLANLKAMAQVTEALWNPLVWRYERPANSDTNDLPKYDDSLVVVMKGQYLNYTGNIVYMVGLDLSCNNLVGEIPDELTSLVELTMLNISHNHLSGRIPEKVSLLRSLESLDLSSNELSGDIPSGLADIATLSKLDLSYNNLSGRIPTGNQLQSLIDPESSYIGNNYLCGPPLSRTCSEPDATGGNIEEHQPHAAKYFYLGLAAGFVFGLWLVFIIFLFRSCAGSARSGAVPSRWTRLPEGLALQLRRG >cds.KYUSt_chr1.6432 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39618419:39619012:1 gene:KYUSg_chr1.6432 transcript:KYUSt_chr1.6432 gene_biotype:protein_coding transcript_biotype:protein_coding MEATATAPVQDTGLTHRAYRPVDDTPARMPVPGGATSRDPKRKLGRAKRGLRSLVVAVTFSAALTAIAFYVSGPGSSTDDTRAPSAAMVAIARAGSVAAEAVMALAAWMVWAEGGLHGMPGATLAPFAAQLLAAAAWPALALRLGAGWAGMACCAAMAAGAAACVRGFGRVNPVAGDLAMPCAAWAVLLAVMNYKMM >cds.KYUSt_chr3.4861 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27621223:27623314:1 gene:KYUSg_chr3.4861 transcript:KYUSt_chr3.4861 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSTSCTALLLLAVSVHANAASLDSDAAALTEFRLAADRSGALASWNLSTTPSPCGIPAWRGVTCGGGRVTRLVLEGLGLSGADALRPLAALDALRVLSLKGNALSGAVPDLSPLLGLKLLFLSRNALSGEIPPSLGALYRLYRLDLSSNNLSGAVPPDLSRLDRLLTLRLDSNRLTGGVDRIALPRLQDFNVSNNLLSGKIPVAMSAFPAAAFGGNAGLCGTPLPPCVESNASSTCPPAAAMAASSPSSKPSENKGKMSRGAVAAIVAADFAVVGLVAGLLFCYFWPRLSGRRHREGEKIVFSSSPYGATGAVAAAAAGAGTFERGKMVFLEGPGGGGRRFELEELLRASAEMLGKGGCGTAYKAVLDDGSVVAVKRLRDAAPGSASAGSKKEFEHHMAVLGRLRHPNVVPLNAYYYARDEKLLVYEFMPNGSLFSLLHGNRGPGRTPLHWAARVHIAAGAARGLAFIHHASRRGGSGTPKLAHGNVKSTNILIDRSGEARLADCGLAQLSGSSSSSAGYRAPEAPPSRPWASQKGDVYAFGVVLLELLTGRLPGGEAAEELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCAAAEPGQRPKVAHVVRMIDEVRAASCGGASSPSRESSMDESSAVSDSPAVSEGGAVSQ >cds.KYUSt_contig_7387.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001501.1:43156:49334:1 gene:KYUSg_contig_7387.8 transcript:KYUSt_contig_7387.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRRREEGLCRIDADNGGRRAWPQQNPFHHVLLESRSEEGERKKRFKGIKATPAHAHGRVVEPIDEVRHAAYLLNPMIPVMNCRSLSNGDHLEQPSTFLLRIDDDPLSIKRLPDKFAEFVDGVEPAQLHLREASCNFCRWPVEVLFDGQGKMYLHTGWDKFARDLSLEPGCQLTFLYEGDGEMIVKVFDTALTFCRRVAANPTQARFTGIAVVGVTSCSSCDCQHAHLCSLYSWSATSTPWPRVPVPAGRSGSPVAPSKDLGPIRSLIVRLPWLLFSYYLGRRYLAMKAIVGHSATAVMVTTHLQL >cds.KYUSt_chr2.33863 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209279896:209289892:1 gene:KYUSg_chr2.33863 transcript:KYUSt_chr2.33863 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPWSSKEGRAHTGQRRVDAYVNGRRLEAVLSGGARQEEELELDMAEHLGAELDAVEANARQGLLDKSISTLQMELAAKRSTLELVRKGEKLLQLEEQKGIVILFTIGHSATSNSILDKAIDAEDAQHQDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNLKYHEPESWKFGEDGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVNHIDERNMSLWNSTSVWWHIDIGSLKLEEIEVFGPVATTLSGESLGPELDRRDDGDVLDVAITLLGASRLEKRHGGSRLPSSGACHCPRLFFRGAMHTNVGEAKTMPSWDRLSSTIFLL >cds.KYUSt_chr5.17035 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109781528:109782691:1 gene:KYUSg_chr5.17035 transcript:KYUSt_chr5.17035 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQLVPTNEEELKLRYFKDDPPAKLCKVDAFLKTILDVPFAFKRVDAMLYVSNFYLEVNQLRMSYATLEAACQELRSSRLFHRVLGAVLNFGNLMSMNTGSPNSRALEPNTLLKIVDVKGADGKAALLHFVVQEIVKPEGHNSLNTMHSAGSVACKTNAGTLPYDVDCRKHGLQVVATLAAELTSTKKAASIDVTSLSRTVSELGAGLGKIHDVLRLNSMAAAAESARRFHGAMGTFLRQAEEEILELQAQESVCLSSVKEMSEYFHGDGSASGDEARMFRIFAGIREFVAMLDRICREAGDINGDRVGSTQTASWMAAAPMGMTMATP >cds.KYUSt_contig_402.66 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:327842:328690:1 gene:KYUSg_contig_402.66 transcript:KYUSt_contig_402.66 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAQFVAREYRRSNYSRRTFTRAAARRWNSDRRHQRRPEWNGGLRRRHHGNRWVSRRRFGLGGGLRRPVRGNRWAPPQHNRHDNRPLQCHRSPGAPGPSTTAIVRREQTPVAAAEPAVVAAPEVAAPEVAAEEVVDAVYEDEASASNISADADELIPVPPPPGYEPVPVPEFSSSSAAALVDAHPPLVKKEEVVAAAPARSPRALPVPDLNLPAPEKEEDEPVPQLPTPSLEARVILRGWSLPPPLMELPVAVARGHSHGAGERWKKKMLELGVIHSSIPF >cds.KYUSt_chr1.36252 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221023332:221026636:1 gene:KYUSg_chr1.36252 transcript:KYUSt_chr1.36252 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDDKSARSLSPMGGRDRDLELLIPVSGGSGSGGSPTGDDDVDRTASSSASAALSSSSREVRLSRRTVIVPPRVFSDIQLQFWFRCYADLKLLCDYVALSVALAVYCRICLVVGKVGLGFITSVTFIFFVGVFMSSWVGASVLGLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEAVIIRHPRVGEYAFGFITSSVSLQSYAGQEELYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPHTIHTDRSGASRS >cds.KYUSt_chr2.41047 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255106105:255108496:1 gene:KYUSg_chr2.41047 transcript:KYUSt_chr2.41047 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGEEGKQQPHLVLAHKLFLLSQPDVDDLAKVGLRDDVLAAVKSDGTEPPLLQFASSTVARRFDLMRCDVLVPDMAALYESLGASGVLETDAALLAEMRGRIEEETRKFDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYALQIGLFHMDFDLISKSIDKAKILFEAGGDWERKNRLKVYEGLYCMATRDFKKATSLFLDSISTFTTYELFPYDTFIFYTVLTSVVTLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNALYNCQYKSFFVAFSGLTEQIKLDRYLQPHFRYFMREVRTVVYSQFLESYKSVTMEAMAVSFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDSRNAFYQSTIKQGDFLLNRIQKLSRVIDL >cds.KYUSt_chr7.424 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2315472:2318142:-1 gene:KYUSg_chr7.424 transcript:KYUSt_chr7.424 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGITMRFGSFAAGVGLDALALVAAPILEYLDKPRYITKATRKIMTMEEQIAALTAAVKESRTATDAKFDALQASFDNWKPVVTDLQSQVEALRIKVDRVIPLIDGSSSSTPHATATAPREAEAEQGVRATAVNFGGGTSGYSGPDGHRLQHDTGGSVLGLANTTPPITGVNQILSATAISLGRHESYECRDGRDSHHKWALLKLDFPAFDGENPQFWKAKCEKYFDVYGLQPDL >cds.KYUSt_chr5.9136 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57911301:57912766:1 gene:KYUSg_chr5.9136 transcript:KYUSt_chr5.9136 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRGADSTPSQHGLRIHAKQKLPLASNALLQAHAEIDTSTRKPSHLALLARHFFPQLSANVGAGVQLERGNIFRYSLRGKKAVSFTSDGLLGLNIKGRLLADKDFQPRNKSGAVELAWTILDFRKGQDVRLKAGYELYRKMPYFQLRENNWTLNGYMDGKWDVRFDM >cds.KYUSt_chr5.8856 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56126050:56131495:-1 gene:KYUSg_chr5.8856 transcript:KYUSt_chr5.8856 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARHRREPTRGRSWPLIFVAILAVHSLAIFLFTRGFLLTRTELDLHSHRDDRTGVSPGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFQEKQPWMDKLQVLQKLAADEKKSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQLAKSGKRVVMMGDDTWTQLYPEHFNKSFPYPSFNVKDLDTVDNGVMEHLLPSLHENDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNQILEDVIDTLRSLSKPGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPDAVLAVLGEDSCNFDLHGNEVCVSTMQQLDFAVTVSTLLGIPFPFGSIGRVNPELYALSAGTWDNQKMDIKSCTPWNDLEAWRRRYAEALCVNSWQVKRYIDQYSSSSIIGFSAEYLHHVADLYSKAQANWSDALKSTCQLEIARGEEFKESASSVLQLQIDAYSSFLESFANLARSAWTEFDLWLMGTGLLLMILSVIAQGYTLVKLNSVCQPSDQKNAGVRVIPKLSLAFTLVAIRAASLLSNSYILTEGRVANFLLSTSCIAGVWHSVTKGNFSIEEFVFILLNIFTRFGIEFGMSKQIAGSTATKEHPVSIVCEIFGSNFCNVFMAIFPIILLALVAYIVLKFSTSAIHERFLKYLIMSGTILSYAFIANHWAAESTLLSHPKANRDIGIRLAPRFVYAIGAFSLAISVLCRLFGPVDCLKLNKRITSLSAAMLCSWSPTILILLGRQGSLVALICIIGAWCIIKLQQKYEKDLKLDIIGSCVVNPVSVTQWSLLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGFLLSIDTFGVSHILPVLSLPFIAIYCCNMASKKSKVKDVTINILIQVHLMYGLITAITTTVTIICVTIQRRHLMVWGLFAPKYVFDAIGLLLTDLLICLASLYYC >cds.KYUSt_chr6.21261 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134184919:134186911:1 gene:KYUSg_chr6.21261 transcript:KYUSt_chr6.21261 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPPRAPSAAGQDWSSAGDFLGFAAARRGAHRRSASDSAAFLEAVPMDDVLSGGADFDRLDDEQLMSMFSDVDAPAVSSDGGGFMDTGDAEEGTAGARAADGFGDPKRVKRILANRQSAQRSRVRKLHYISELERSVTGLQMEVSALSPRVAFLDHQRSLLTVGNSHLRQRIAALAQDKIFKDAHQEALKEEIERLRQLYHQQQIKATGGADIATSASMQAKQELLVCEGAAMR >cds.KYUSt_chr6.25890 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164147685:164150322:1 gene:KYUSg_chr6.25890 transcript:KYUSt_chr6.25890 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRLSGPGTIAPASDVVDFGYASMHSSSYANFEPAPPLYQHHLYDNSFDFAASTNAFQFQDPFALFSTGSPLANQLHQPFAQQITMPPIAPSSLLQAPTTMTALPGVTSAADAYNPFSGGLLKREDGDPFFSDAGGGGRIGLNLGRRTYFSPADVLAVDRLLMRSRLGGMGVLGLGLGAAHHPPPRCQAEGCKADLSAAKHYHRRHKVCEYHAKAATVAASGKQQRFCQQCSRFHVLAEFDEAKRSCRKRLTEHNRRRRKPAGEKGNDAPPPTKKPDTSSYSGDDHRTNKSSTTVVAVSPTASGFSCLQQQTELDNGGQSTIAATMTAPTTLSLAAERPHGRDRYGAGLDTMLLHQQGRDEEEQGFMMTPLVQSHRQRQRDSGNILSCSTSASDQRHRNDGDSCCNGNSMQHFFEVDFM >cds.KYUSt_chr2.19542 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122979566:122980648:1 gene:KYUSg_chr2.19542 transcript:KYUSt_chr2.19542 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSHLSTAWSSSALASSSTRRRASTGGSSSSLVVRCSLRDLRNRIDSVRNTQKITEAMKLVAAAKVRRAQEAVVSSRPFSEALVEVLYNMNQEIQTEDIDLPLTRQRSVKRVAIVVLTGERGLCGAFNNNVLKKAESRMEDLRQLGVDYTVISVGKKGNAYFQRRDYIPTERFLELAGIPTVKDSQAICDLIYSLFVAEEVDKVELVYSKFVSLVRSDPIIQTLLPMSPKGEICDVNGICVDATEDELFKLTTKEGKLTVEREKIKIETQPFSSVVQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSSATDNAIDLRKNLSMVYNRRRQAKITGEILEIVAGADALAG >cds.KYUSt_chr2.6697 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41734847:41736859:-1 gene:KYUSg_chr2.6697 transcript:KYUSt_chr2.6697 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDLQLWIFKLFRLHPETQDLDVKGFLKQRTTDLFDDFEPDWYMEYWPWETRHFLTDKCWKAFANKLKRRRNVTQKFMLYVQSSEIRHYAILLKAIHDDDYSPTAHAVLPGTKCLSTSNFRFIRLVEDLTIMTPKEIIDYLAKHYGEQMSPPEAWRARQKALEWEFGTFYDSHNLAPRLLNDLACKNPRAFVGIKDAEVPGCNGFRVLHRMFWVFDRCSQAFRHCRPVLCIKGMPLCGKYQGLLLTAVALDANDCSIPVAFAVVEGETKESWMWFLRNLDQAVCHQSDVCIIHDYKREMIDAVEDFLNSPQRQWLKAESRWCMEHLADTFFAYFGDKKLVMMFKKLCQQRRVNKFVKIWKELDELTTKYISDKELATQTPCNQPNSVQYEEEDCASDSKRKITKFSDWIHMKPMEKWSLAHDRKGARYGIMGTDIADVYKNDPVLKGITCLPLVAIVEVTFLRLVECFENTSAAASEAISNPSLNFPERVQDDMNSEMQKSKMHHVVRIDANTGKKIQGKVVWKFKVQSGQKTEVAHLNSEDTHISKKFEGSTIRESATCSCNKPQLLHKACSHVIAVCCQIGFSTATYMSPFYSLTYLGSTWSGKFDESNISRDNYNSCDYSYISRDYRYIMPFENKTTTWIPDKRLECGLPVFLTSECLEAVSEAE >cds.KYUSt_chr1.20401 pep primary_assembly:MPB_Lper_Kyuss_1697:1:120286656:120291090:1 gene:KYUSg_chr1.20401 transcript:KYUSt_chr1.20401 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSQREHSGEASSSGGPSKPKDKDRPRSFDEKTRTACWRKAAVLAGRHPERWRLDAAGNIVCRRFWSCHGCLCYEYDHIVPFSKGGESTVDNCQILQTRVNRSKSDKAWVEQAEMKGFSCDVKFTDKELDVIEMAVYGDVIRPGKQCRCKTVAEMLGQVKPKNQMAACDLPYDDAS >cds.KYUSt_chr7.34915 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218256749:218257249:-1 gene:KYUSg_chr7.34915 transcript:KYUSt_chr7.34915 gene_biotype:protein_coding transcript_biotype:protein_coding MNMATNGYGGAYPYYQPAAMPYYYSYAQQPARGGVGGSRPPIQLFLLLATLFLLVAASLYAVCEAAVESMLQQLRPFLILSPLLLIVAAQLWLATSDRPGGGGLGYLISQVAPGEYYPSGAGAYGRWDGGAGSSPWGVAVALVLVLMLLSYQESFQEWRLFPLRRR >cds.KYUSt_chr2.42681 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265819526:265823721:-1 gene:KYUSg_chr2.42681 transcript:KYUSt_chr2.42681 gene_biotype:protein_coding transcript_biotype:protein_coding MESAWRKAKRALALRLCVHAPAVAGDDDGGAANSERRHGSSSSSFAGGCRSESAVSVAGSAKDDPAAAAALRRSKSGSRSSSKLKLDDLDKEENFCRAKWKEIPLNRSLSLNVPQGRVGINQAQLPQQDAYVALLHQVPNRQRGARRLHTSEPTDFNDDEPLQQAEAFDNLNFRSTKTAEISTYPEFSSIPQSSSQDGFSVLIHLKAPSASSVQVTGSLVNESSVRPPNRRAPVDLVTVIDVSGSMAGTKLALLKRAMGFVVQHLGPSDRLSVIAFSSAARRLFHLQRMTHHGRQQALQAINSLGAGGGTNIADGLKKATKVIEDRSYKNSVCSIILLSDGQDTYSVSSNVRGGSADYGSLVPPSILNDARRMLPIHAFGFGADHDSDSLHSIAEASGGTFSFIEDEGVMQDAFAQCIGGLLSVVVQEMRLNMECVHPGVQLCSIKSGSYPSKVTRDGRSCSVDIGHLYADEERDILLSVNIPQCHEETSLLKVACSYKDLVTNETIKFQGDEVKINRTTSTISEAVSIEVDRERNRIQAAESIESARSAAERGALSEAVTILEDCRRRLSESFASQSGDRLSMALDAELREMQERMASRQLYEASGRAYMLSGLSSHSWQRATTRGDSTDSSTVVHSYQTPSMVEMLQHSQSYIPLPQGQRPQVRPARSSGR >cds.KYUSt_contig_662.206 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1267514:1270553:1 gene:KYUSg_contig_662.206 transcript:KYUSt_contig_662.206 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTPVMPAGQQEEETSHDHIFREFMAGYPLYRVPLFSDPLLLPCRKFCPGVQFAPRLMIFLCDRRVARFEELVDGGRRFLTRFRQELVKALLKELQCLVEGAYDATLTANLRAMHVPDESTADNKMNDQSHCREEKGEQPSDHLDSNSSLVTLMILVHNMLKLDYMMQEKIVGSLSLKSSSTELDGYCLMWDLRPYVEGDLMNLAWKSCP >cds.KYUSt_chr7.6786 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40896689:40899871:1 gene:KYUSg_chr7.6786 transcript:KYUSt_chr7.6786 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLGSSSSSSSRYTRSSVPLFGLAVAVLLSFTCPASSCTEQERSSLIGFLGGLVPGGNGGLNVSWVNGTDCCNWDGVICGRDGTVTDVLLASRGLKGVISPSLGNLTGMLRLNLSRNSLGGSLPTELVFSRSIVVLDVSFNHLNGHLQEHQASNPGLPLQVLNISSNLFTGQFPSTIWEAMKNLVALNASNNSFTGQIPYSICINAPSFAMLDLCYNQFSGNISPGLGSCSMLKVLKAGHNDLAGALPDELFNATSLEELSLPNNALQGVLDGALIVKLSSLAVLNLGSNGIIGKIPDSIGQLRILKDLYLDNNNMSGELPSALGNCTNLRYITLRNNSFTGVLSKVDFTMLDLRIADFSMNLFTGAIPESIYTCTNLVALRLAFNKFQGQLSPSIANLRSLSFFSITNNSFTNITNALQVLKKCENLTALLIGTNFKGETMPQDDKIDGFEKLQVLTIDACPLVGKIPPWISKLAKLEMLDLSVNNLTGPIPPWIDGLGFLFFLDLSSNKLSGNIPAALTNMPMLMSEKNAAKLDTSFLELPVFWTPSRQYRMVSAFPISLSLACNNFTGVIPPEIGQLKMLDVVNLSSNSLTGEIPEQICNLTNLQTLDLSGNQLTGAIPSALSNMHFLSRFDVSNNKLEGPVPRGGQFDSFSNSSYSGNPKLCGPMLSNNCSSRESSFSAKRRNKKIIAALALGVFFGGLAVLFLLGRVLMSFRRSHSVHQNKSSNSGDIEATTCTSSSDDLCNMIKGTILFMVPQGKGETDKITLKDILKATNNFNQQNIIGCGGNGLVYKAELPNGPKLAIKKLNGEMCLMEREFTAEVEALTVAQHDNLVPLWGYYIQGNSRLLIYSYMENGSLDDWLHNRDSAKSLLDWPTRLKIAQGASQGLSYIHNICKPHIVHRDIKSSNILLDREFRAYVADFGLARLIRPFDTHVSTELVGTLGYIPPEYGHAWVATLRGDMYSFGVVLLELLTGKRPVEVLSKSKELVQWVREMRSQGKHTDVLDPALKGRGHEEQMLKVLDVACKCINHNPCLRPTIQEVASCLDTADANLQVEM >cds.KYUSt_chr2.47267 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295721780:295724842:-1 gene:KYUSg_chr2.47267 transcript:KYUSt_chr2.47267 gene_biotype:protein_coding transcript_biotype:protein_coding MLISMSGNFALGFFSPTTSNKSFYLGIWYHGMPEPRTIVWIANRDDPIITLSSAMLTVTNNSDMVLSDSEGQNIWMTARNITAGAAIAHAVLLDSGNFVLRLPDGTGIWQSFDHPTDTILPSMKFLMSYKAQVVQRLVAWKGPDDPSSGDFSCSSDPSSPNLQFFTWNKTQPYCRIGVESGMSVSGGTYVTNTSFILYQTTIYSGDEFYYMFTISGDPAFTRIMLDYTGKLKFLSWNNHSSSWEIISERPAAACDLYASCGPFSYCDFTRIIPACQCLGGFEPVDGTDFSKGCWRKKELKCGKQSHFVALPGMMVPDKFLHLQNRSFDECVAYCSRNCSCMAYAYANLSGVGALGDPSRCLVWSEELTDIKKLLSGENLYLRLTDSQVEKKSRSLKIVLPIVAFLLLLTSIALVLMYKNRAGQWRKKENQKKLVLGYFSTTNELEGENTEFPFVSFEDILSATNCFADSNLIGQEGFGKVYKGTLEGGNVVAVKRLSKGSTQGIIEFKNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFGMW >cds.KYUSt_chr6.21957 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138614268:138617232:-1 gene:KYUSg_chr6.21957 transcript:KYUSt_chr6.21957 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQYLYFSARSRWLFRLQRSVVGIARDSPSFGNKASRKADLEQRRHLKPESAVSSVKGKGQRGGGLLGEQVRGLENVQVRAVSASGVVSAAIGCDGSLWVWGRSKRGQLGLGKDIVEAAVPSRVEALACHDVVKVSFGWGHAMALTKDGGLFGWGYSETGRLGEMGQSTQIPSPQEYIDKSGDKYSSSMLEAVEKMVAEKIRSEDNMPIIWEPSLVREATDLEVSDVSCGLDHSLILFSNGTVLSGGDNTYGQLGRMSGLSKFLPIDISYNPFSVSASAGHSLALCHVSTKSNANVETGILSWGWNCSSQLGRPGQEDTPGLVDYLLGEKPVSAAAGRVHSIVLMSNGEVWAWGSGRNGRLGLGSSMDEAEPCLIDNLEGLEVIQVAAGMDHNLILVE >cds.KYUSt_chr7.8805 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53363120:53363410:-1 gene:KYUSg_chr7.8805 transcript:KYUSt_chr7.8805 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSVAWIHKTDLDLHGYKEKESRERLHEPIWILMEFSSVPAGSLPCAEENEQLARALPQRRLQRSSPVEDEVDKDDEERRCADEQQGGELEGWIL >cds.KYUSt_chr6.6992 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42431756:42434820:1 gene:KYUSg_chr6.6992 transcript:KYUSt_chr6.6992 gene_biotype:protein_coding transcript_biotype:protein_coding MLASWAGNCGEWLGSGEFRVSRCRFECPVAASGGKEALWGRAWGVPTMIRASPDGGWSVCARISHGVATTDLIRANFTRDSEDLSSCDRFPRKMARTARARRHVARRLRATPYPIPSYRWKAMKEANRKKALPAVQKMDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLEELPASTVGSNLDFVPLTAGEKTGSMDLACPLCRGKVKGWTVVEPARGYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLVQPREVDPAVEQKWRSLEFEREREDALSTVTSQMGRAVVWGDYVLDLEDGVDLDDEESDDDDHGNGREADNARRLIIFMMRQVAQRHRTQRLQSPSGVPANAEEDYAVSGDANGTTPYPYPSEGDDEDDLDLAEGRGAGMLRPDRRRRRRRRNRGRLFLDSN >cds.KYUSt_chr3.48023 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300718147:300724195:1 gene:KYUSg_chr3.48023 transcript:KYUSt_chr3.48023 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWRLMLPLLVLYSPIVYSQEAQDNDPSTLFKRASEMMSLRKYDGALGLLNAVLEVDPNHSEAYRQRASVLRQRCSYKEAESDYNKYMELKPGTASVEKELSQLLQAKNALESAYSQSDAGDFSKVLEYVKKIVLVFSPGCLQAKLLKAKALLALKDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVANRHYQKGLRLDPEHTELKKAYFGLKKLLKKTKSAEDNAAKSKLRMAAEDYKAALAMDPDHTLYNVNLYLGLCKTLVKLGRGKEAISSCTEALSIDEELVDALAQRGEAKLLTEDWEGAVEDLKEAAQKSPQDMGIREAYMKAEKQLKLSKRKDWYKILGISKTASAADIKRAYKRLALQWHPDKNQENREEAENMFREIAAAYEVLSDEDKRVRYDRGEDLDEMGGGGGGGGFNPFGGGGGQQYTFHYDGGFPGGGGGGGFPGGFQFNFG >cds.KYUSt_chr7.2159 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12559175:12560377:-1 gene:KYUSg_chr7.2159 transcript:KYUSt_chr7.2159 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQKHILLLAFRPRAATTLLSFRHRWLFSSTRFATTSAAVAALAPFAVQDYLVSSYHLTPAQAVKASKLLSHLKCPSKPDAVLAVLSDLGLSHADLAAVAVYDPLLLCCEVHKTLVPRLAELRDLGLSPSQIARLVVADPARVRRATIVSKLQYYVPLFGSFEAFLQALKQNRYLLSSDLENVVKPNVALLRECGVGAYHIAKLCGPAPWLLTTKPERLRAMVGRAEGLGVPRGSGMFRYALLAASFLGEEKLAAKVEFLKKTLRWSEAEAAIAVARHPAVLRNSQDKLLRVSEFLMSEVGLEPEYIAHRPAMLNHSIEARLRPRYYVVKFLKENGLLERDRSYCTATQVSEKVFLEKYIRPHKNAAPRLAEDYAATLRGEVPARFRLQEPTTGSISI >cds.KYUSt_chr4.31171 pep primary_assembly:MPB_Lper_Kyuss_1697:4:194812925:194814616:-1 gene:KYUSg_chr4.31171 transcript:KYUSt_chr4.31171 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYSGGGGFLCVAHCHVTHSFSVATLFYMDGQTKGMVMLTNLRGTGARARMLRLLEEDNKVVEEFQAMHAAARVVEYLIWCCASEDTKGMIKAVTAGPVWRNLQIDLDGSSPNSPPATKNMWEVESLHPQPPVAKRTKNASDPGGSPEDQSKEPVKTLKLLGFEKRANIVELMKKMPQFPGLEHITWLSVVAAVMSFAYSFIALALSVAEWVSYGGS >cds.KYUSt_chr2.12563 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79721834:79727373:1 gene:KYUSg_chr2.12563 transcript:KYUSt_chr2.12563 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHTWWDKFARDLELEPGCQLTFLYEGDGEMIVKVFDDTACRRHYHTGESSSDTDSGKRFPLHDKMSRYLRQYEKEHMKMAMLKQEETFKQQVQELHRLYRVQQLLMTGMSKAAHSVRYKPDGERQAQGNEAGSSRSWDSERKKAPPPTVIEEGDLDLTLAIGSLVEMKSTKKDTSSSVDSRTSISSSSTDSGSPDCRVLPPHPSQLGSSVVKATAVNVGQHIEQEGVNQPPWLHQCLNLAR >cds.KYUSt_scaffold_2697.943 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:6012681:6016610:-1 gene:KYUSg_scaffold_2697.943 transcript:KYUSt_scaffold_2697.943 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRGLHEVLSEEEIRNFLSESYPDMNQSIEFEPFLREYLNLQAKGTSKAGGKKKLKGSVSFLKASTTTLMHVINESEKTSYVNHINNFLGEDSFLKNFLPLDPESNDIFNLVRDGVLLCKLINVAVPGTIDERAINTKKDPNPWERNENHNLCLNSARAIGCTIVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLLELFADDNSKEAEEVVTLAPDKMLLKWMNFHIKKAGYKKTVTNFSTDVKDGEAYAYLLSALAPELSSTTMIETTDPKERAKKVLETAEKLECTRYVTSKDIVEGSANLNLAFVAQIFQQRNGLSTNTVAPVQDTPDDVEASREERAFRLWINSLGIATYVNNLFEDVRTGWVLLEVLDKISPGSVNWKQASKPPIIMPFRKVENCNQVIKIGKELKFSLVNVAGNDIVQANKKLILAFLWQLMRTSILQLLKNLRFHSKDKEITDSDILIWANDKVKESGKTSHIESFKDKSISDGVFFLELLSAVKRTVVDWSLVKKGEDDDEKKLNATYIISVARKLGCTVFLLPEDIMEVNHKMILTLTASIMYWSLQKQGPYEGAGSAAPQEVLPEEEEEVEEEEEDEEEEVEDGVSFGM >cds.KYUSt_chr7.8947 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54383600:54385163:1 gene:KYUSg_chr7.8947 transcript:KYUSt_chr7.8947 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSTLPSELVRHIADFLLSTNDLDCYMHFRAVCPSWRSATDDPTNSSDLLFRPLRWIIIDELFESDDRLLVNTATGRVVRKDLPLLRRFYVVATTHGGFFVLADKEPPHAARILNPFTGHMIPFVASVPSQIDISAAAIFGDPFPNLLLLSDTYYELYTAAVQSEAFIGDDNKYVYIMKRLFVASGVCANGQEKYLMPTPGALLTKMSAVMKLFDIEPVTGLSDYVWLGQGSANRCFLVKSAAQLLFVIMLQHHQFKVFNITTYGDEPEPLKNIGDHAIFVGAPGVENIVSLYIELETYKLATKLLVDGFLASKNGI >cds.KYUSt_chr5.17618 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113659944:113660500:1 gene:KYUSg_chr5.17618 transcript:KYUSt_chr5.17618 gene_biotype:protein_coding transcript_biotype:protein_coding MERPATGVTYQRFPRVKIRELKDDYAKFELRDTCASMANALRRVMIAEVPTVAIDLVEIESNSSVLNDEFIAHRLGLIPLTSSAAMAMRFSRDCDACDGDGSCEHCSVEFHLAARATDSGQTLEVTSTKDLRSTDPKVCPVDQAREYQQALGNIDPYDGDPQGDHRQVLALSPFILTPFSCVNRY >cds.KYUSt_chr6.699 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4475432:4476415:1 gene:KYUSg_chr6.699 transcript:KYUSt_chr6.699 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPFPLAAAMFPAVAVVAALLLWPLGAMARCTTHCGNISIPYPFGVEPGCYHHGGFNLTCDHSHSPPQLFLGDGTVQVLAIDLPNGMVRVNVATSGLHTGEINGTWRAGGPGYAADGPYFLAEGRNNLVALGCNVQVLLLRQDNALVSSCSPFCLQANTIQSFRICSGIGCCQATILEGRPSYKIQFLEVGMGYPSLMDDYRVLIVESDYSFNVSEYLDGDYGHTVPAMLAWAITNSVCHANGSSPSCRSKHSFCQNYTTDFGYDEVISIGFPTDSLVPDQASPIDYWHHGHNCRCSGGYQGNPYISHGCYGNNTTLKVIPFYLN >cds.KYUSt_chr4.14252 pep primary_assembly:MPB_Lper_Kyuss_1697:4:87801719:87806881:-1 gene:KYUSg_chr4.14252 transcript:KYUSt_chr4.14252 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPRSPRSLLPLLLLSCCLSCQPWLLRALPLCTDSRAPVPLNGTLGFCPYSGTSCCDAAADAALRKQLQAMNVSDAACAAVLKSVLCAKCSPFSAELFNSSSKIRMVPLLCNNTSSASSAQSKDSTQDYCKLVWETCKDVTVLNSPFQPSLQGSAELPSSSSKLTDVWQSENNFCSSFGGSSDDQAVCFNGNAVSFNTTEPSPSPKGVCLERIGNGSYLNMAPHPDGSNRVFLSNQAGKIWLATVPEQGSGNTLQFDEASPFLDLTDEVHLDSEFGLMGIAFHPKFATNGRFFVSYNCDRTQSPNCAGRCSCNSDVDCDPSKLGTDNGAQPCQYQTVVSEYSAKGSSSNVSATTSANPSEVSRIFTMGLPYTAHHAGQILFGPTDGYLYFMMGDGGNKGDPFNFAQNKKSLLGKVMRLDVDNVQSQRQISNHSLWGNYSIPRDNPFAQDSDLQPEIWALGFRNPWRCSFDSERPSYFFCADVGQDVYEEVDLISKGGNYGWRPYEGPYIYHPEWTPGGNTSLSSINAIIPVMGYNHSAVNKNVGSASITGGYVYRGSTDPCLYGRYMYADLYATAMWTGAENPESSGNYTSTLTPFSCSKNSPIPCEMASGSPLPSLGYVFSFGEDNSKDVFLLTSKGVYRVVRPSLCGYTCPTEKPATDDGTMSPSGPSSPGSVTRVGKLVAVAFAFVVYTLYF >cds.KYUSt_chr1.5657 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34899672:34901068:1 gene:KYUSg_chr1.5657 transcript:KYUSt_chr1.5657 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRTRPQSSGSQSPQRRNRKGRAAGNQRSNKRKRTREEKEEDEEREEEEEENDEEREEEEVSSAGSSPLRELHVPDEMYDLDESVWETIKKARGDIKAKLARRRAWPTLNTLMIRGFKCVLDDPNLVPDREPARKAVLYAAQSVVGLTSTVEFVDGKPLARCCGFWVHWDEKNKIGTVLTTSRLICTKSSSMNAWLGQEKYDIDAEVSS >cds.KYUSt_scaffold_6468.470 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2230411:2236868:-1 gene:KYUSg_scaffold_6468.470 transcript:KYUSt_scaffold_6468.470 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSSDLPNGAAAAAAAGKKSRESDRRRRRRKQKKNKAPSDASAAAAAADADADAAEEEKPDSKPPVEIEVEYVAEEPDLADGLLADFKSIFDKFTFKDTPAADEDGEKKDEAGADAAKKGSGSDSDDDEQDAQQKKKEGGISNKKKKLEQRMKIAELKQICNRPDVVEVWDATASDPKLLVYLKSYRNTVPVPRHWSQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLSSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPSLKIPGLNAPIPLGATFGYRPGEWGKPPVDEHGRPLYGDVFGILQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEEEEEEEDEPMEDEDMEEGIQSVETMSSTPTGIETPDAIDLRKQQRKEPERQTEKQLYQVLEQKEEKIAPGALYGSSHTYVVGAQDKAGVKRVDLLKNQKSDKVDVTIHPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKHEKDGKSSKKKDFKF >cds.KYUSt_chr3.14820 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90068389:90070860:1 gene:KYUSg_chr3.14820 transcript:KYUSt_chr3.14820 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWLLQLPAPPPHNAVLSSTNHSSSPSASRLLHRKLAPTRARGSLLCSSSGSSSSSSVVTKDQEGAAEATSPAPAPAPVSYDYRDDPNFRGCKGCGREETERGCNGEGRIMGGIAAVPLFGWWPIKAYRPCPGFVASGGRYRRYGQSMDDVIAGKGRKVPSSSNKRCV >cds.KYUSt_chr6.15075 pep primary_assembly:MPB_Lper_Kyuss_1697:6:94373543:94377912:1 gene:KYUSg_chr6.15075 transcript:KYUSt_chr6.15075 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQTPSPFAGPASRFAPRRLRYLLLPAPATTMSSVASSQNPDPDRAAAGEQLPHSTLEIAGARSGLLAGFDSLRRPYRAYPVLASNRHVETIFAAFTRSLPAVTFRRECLRAPDDGAVALDWVSADDRALPPDAPLLILLPGLTGGSQDTYVRHMLLRARSKGWRVVVFNSRGCASSPVTTAKFYSASFTGDLRQVVDHVLARYPECNIYAAGWSLGANILVRYLGEETDKCSLSGAVSMCNPFDLVIADEDFHKGFNNIYDRALANSLRAIFKKHALLFEGLDGEYNIPKAANAKTVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVSIPLLCIQAANDPIAPSRGIPREDIKANPNCLLIVTPQGGHLGWVAGDEAPFGCPWTDPIVMEFLEHVQNEKSSTAKNSNQYEQQSVPQTSVPQVSVHVQR >cds.KYUSt_chr2.13846 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87665675:87673288:1 gene:KYUSg_chr2.13846 transcript:KYUSt_chr2.13846 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLTSSSPALPLPAPSRARFRVAASAEVGATGRAAPATNPAKDFPPFLPKAVERIRDRAAVRLARRIERTGFSKNPIQSSCVRPLKQQQQQSGDPVVLLHGFDSSCLEWRYTYPLLEEAGLEAWAVDILGWGFSDLETRPPCDIASKREHLYQFWRTYIKRPMVLVGPSLGAAVAIDFAVNYPEAVSKLIFIGASVYAEGTKDMTRVPKFVPYAGVFVLKSLPLRLFATRLAFNTIPDGFFDWVQIGRLHCLLPWWEDATVNFMITGGYNVLNQIKQVKQKCLVLWGEDDGIISNKQAYRLQQELPSAILRQVGQCGHIPHVEKPREAAKHLIDFLGSDSTEKADQASSLSSNLVPMLRSIRWVWFTARVYTAIGAVCDAPRPLEPAGRAMSFRVCVTGAAGYIGSWLVRKLLDRGCAVHATLRNIGDEKKTALLKGLPGAAERLTLFEADIYDAATFEPAIQGCEFVFLVATPLMHNSGSSKYKDTTEAIVDATHSILEQCERSKTVRRVIHTGSVVASAPLREDGDGYKEFMNESCWTPLGVPYGHSNEFLDAYVSSKTLSDKELLKYNDSSSSSTTARSFDVVVLLCGLVGGDTILPYTPDGMHNMLSPFTGVELYHKNLRFLQALLGSVPLVHVDDVCEAHIFCMERLADIAGGRYLCAAAYPNMQDILEHYAGKHPELKLMIKEVVGEGVRVQANTKKLVELGFKYKYGVEEVLDVSLECGKRLGLL >cds.KYUSt_chr3.7703 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44286486:44289233:-1 gene:KYUSg_chr3.7703 transcript:KYUSt_chr3.7703 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVMILQGSLVAVLMIAMARAQDEATLLAFKEQVSDSGSLVSWNSSADFCSWEGVTCSHRRPARVVALILHSRALAGALSTALGNLTFLRTLNLSFNWLRGEIPASLGRLQHLQTLDLGYNSFSGMLPLNLSSCVGMTIMALDSNNLDGGIPAELGERLTSLKEISLSNNSLTGPIPASLTNLSHLQNLDLSNNQLMGSIPQGIGSIQSMKRIILYMNDLSGMLPPSLYNLSSLEKLQLRGNMLFGTIPADISNKFPKMQILNLNANYFTGAVPSSVSNLSYIRELLLGTNEFSGYVPPTLGMLGTLQILDLAYNRLEVNDNKGWEFITSLANCSQLRYLVLGGSSFGGQLPGSIVNLSTTLQKLYIIDSRVSGGIPADIGNLVGLNMLAIANTSISGVIPESIGKLENLIELALYNSSLSGHIPSSLGNLSQLNKLLAFCDNLEGPIPASLGELKKLYVLDLSTNYRLNGSIPSEILRLPGLSWYLDLSYNSLTGPLSHEVGSLANLNQLILSGNQLSGKIPDSIQNCVVLEWLLLDNNSFDGSIPQSLKNIKGLGKLNLTMNKFSGNIPDALGSIGNLQELYLAHNNLSGSIPLVLQNLTSLSKLDVSFNGLQGGVPDGGVFRNITYIAVAGNINLCGGVPQLHLAPCLTGPLSKDKKRMRKSLVVSLAAIGAIFLSLSVILLVWIFHKKLKQGHKTIVQYSIGEDHYERISYHALLRGTNGFSDDNFLGKGSYGTVYKCVLDNEGRTLAVKVFDLGQSRYSKSFEAECEAVRRIRHHYLLKIITSCSSINHQGQEFKALVFEFMPNGNLDGWLHPKSQEPSANNTVSFVQRLEIAVNIVDAVEYLHNYCQPLVIHCDLKPSNILLADDMSARVGDFGISRIIQENTSEMMQNSYSSMGIRGSIGYVAPGD >cds.KYUSt_chr2.3812 pep primary_assembly:MPB_Lper_Kyuss_1697:2:22995642:22996526:-1 gene:KYUSg_chr2.3812 transcript:KYUSt_chr2.3812 gene_biotype:protein_coding transcript_biotype:protein_coding MATISTAATISTPTTLRPSSRHAFAHPKMLRSLPSLRRRLPSLVTVAAVQQDAAVWNAAPVSSVGAASADGTLFHLRVDLSDAADLASSYTAPGQYLTIRVPGEDGLKPAFMAIASPPGAGGGFEFLVKTVPGATAEKLCALRDGDVVELGAVMGNGFPIERVTPTDAAETLLLFATGTGISPIRSLIEFGFAADQRADVRLYYGARNLQTMAYQDRFAEWESTGLKIVPVLSQPDDSWEGARGYVQKAFLDAKNIANPTSTGAVLCGQKQMLEEVTSALAADGVSQDKILKNF >cds.KYUSt_contig_2549.20 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000380.1:156773:159166:1 gene:KYUSg_contig_2549.20 transcript:KYUSt_contig_2549.20 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYYKVLNITRDTPPQEIRAAYRCLVRQWHPDKHPPESKTEAEAMFKAITQAYEALLDQQENRAVLRACSLDAGRRSCSSADKGARAPESEKAGAGTRTSAAPPPPPHTPPARESQKVYSSTDVGGGGRRAFAEFSSYVVRKAPPLERKVECTLEELCAGCRKEVRYTRDVVTKNGLIAKKEVTQIIRVKPGWKKGTKVTFEGMGNERPGCLPGDAVFTVSARKHKAFKRQGDDLVLKAEVPLVSALTGWSFSFRLLGGEKVSWTFRDEVIGPGYEKVVRGEGMPVAGCKRGARGDVRVKFDVVFPKNLTDEQRRGLVEILRGCA >cds.KYUSt_chr6.24916 pep primary_assembly:MPB_Lper_Kyuss_1697:6:157776154:157780122:1 gene:KYUSg_chr6.24916 transcript:KYUSt_chr6.24916 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDPVVSAQWLQQHLGQPDIKVLDASWYMPQESRDPWQEYQAAHIPGALFFDIDGIVDRTTDLPHMLPSEEAFAAGVSALGIKNTDKVIVYDGKGFFSAPRVWWMFRVLGHSEVWVLDGGLPQWRASGFNLGNNCPDDIVLKSKAANSAVETAYSGELANGATFQTEFQPQLFWTLEKVKGNVAAQAHQLVDARAKGSRSYYTTEKPEALKQIKQNLNET >cds.KYUSt_chr3.22208 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136989216:136991994:-1 gene:KYUSg_chr3.22208 transcript:KYUSt_chr3.22208 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRPLQTLTLPLLRRHLSTSSAALSAPDLNIDELLSPPFHYLPGHPRPDAKHDEVIFAVPRTSSGRHFAAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLEVWSDHAGQGDLVESVRVLPRKVGSLNGTDEPLNVTFMRAPSSALLKIDVPLMYIGEDASPGLRKGAYFNTIKRTVKFLCPADIVPPYIEVDLSELDVGQKLLMRDLIVHPALKLLQSPDQPICSIIGSRAPDQKKEKEKSK >cds.KYUSt_chr5.41945 pep primary_assembly:MPB_Lper_Kyuss_1697:5:264525829:264526194:1 gene:KYUSg_chr5.41945 transcript:KYUSt_chr5.41945 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAAGRPPASTAAGDASPGKVEKRRREAAASTAAGGASPGEVEERRREAAVGRPPSSTGAWRPPQSATAGGSSLGKVEERCREAVSSTAVGGASPGEVEELRQEAAAEHCHGWRLAGDR >cds.KYUSt_chr4.1069 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5526509:5530080:-1 gene:KYUSg_chr4.1069 transcript:KYUSt_chr4.1069 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAFTDKTAVFRRLKAKPENKMCFDCNAKNPTWASVTYGIFLCLDCSAFHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHVFFKQHGWSEGGKADSKYTSRAAELYREILQKEVKKSSAVNVLPSSPVASSQPSNPSDDFPDFKLADAPEENTNGKHEPVVANPPKEPKAPAHPTYVSSMKKPLGAKKLGAKTGGLGVKKLTTKTNESLYDQKPEEPKPALPTMTAAATAAAKSGPSHSRFEYVENEKSTDSKTGGSNMSGHVAAPKTSNFFQEYGMDNGFQRKTNSAASKAQIEESDEARKKFTNAKAISSSQFFGNTDREQKEAQQSLQKFSGSSSISSADLFGRDANDSDLDVSAADLINRISFQASQDLSSLKNIAGETGKKLTSLASNFITDLDRIL >cds.KYUSt_chr4.4005 pep primary_assembly:MPB_Lper_Kyuss_1697:4:22854185:22855962:-1 gene:KYUSg_chr4.4005 transcript:KYUSt_chr4.4005 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPMVTSSPIEDQYNVTAMGWASSPSPAELAEAIGGIHKFLVRKRWSDLSDEQKQSVFSAVPKLIAFAGMASAWSIKNTMAIIVSQLIGQDVAGNWAKLVDALSCDEIMAERTIITCISEGITIPNDESKDKRLKDLKIENWDSLSEAMFSLILKHLGKYNPSQVAEFQPHYDLVLFAANTVSAYAQWGEVKNLVKGVGGMDLIIR >cds.KYUSt_contig_444.147 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000041.1:936277:960535:1 gene:KYUSg_contig_444.147 transcript:KYUSt_contig_444.147 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDGRRRRPSVGGGGGGGGAVAGAGGWGGRRSGSSAAKEQRLRLGAEELLEGRLGFAPYTEGDRRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFPPYFYAATKDKMEFEVEAYLRRRYEGEIADIEIIEKEDLDLKNHLSGLKRKYLKIQFDTVQQLMRVRNDLLHVVEKNEEERDAVDAFESIYGVKRVERPQDYINCIIDLREYDVPYHVRFATDKDVRSGQWYNVTVSGSDVLLQRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDSVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGHFRVKNVADEVGLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDSNQGECRAKFSCHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANIICPNKHQADLEKFYNNRLVESETYIGGHVECLETGVFRSDLPTKFQLEPSAFEQLIENLDRDLQYAIAVEGKLDIHSVTNYDEVKDAIKQKLVSLRDHPTREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRTLEWVWRGETYMAKKSDYHHIKRQIESEMIQAGGVTSSKPFLDLSKPEHLLKLKDRLKKYCQKAYRRVVDKPITEVREAGICMRENSFYVDTVRSFRDRRYEYKGLNKTWKGKLAEAKASGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGRPLELDTDGIWCVLPGSFPENFTFKTKAEKKLTISYPCVMLNVDVARTNTNDQYQTLKDPVNKLYTTNSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYAAVASVANRWLDLLDNQGIDISDSELLGFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQGTPVSERAVPVAIFETDAEIAKFYLRKWCKVSTEANIRFILDWSYYKQRLSSAIQKIITIPAAMQKISNPVPRVLHPDWLHKKVREKDDRFRQRKLRDMFSPLEKDRVMQNLNGTGDIEDLLTSDKGLTKTTASHGFNSGKENHLNRSPSAEGGSDHRKNQQKSVTRSNEPLRDDSADERVDRSTDYQGWLDARKRKWKYVHEQKKRRRLGGAASSEGPTNNLFSARIGSQLHANGRNRSTFFQKQELSLFRSHWQIIQLSTSTLPGRFFAWVVADGIMFKIPINVPRVFYLNSKAPVTDEFPGRRVKKILPHGRPSFNLIEVVTSEEQFRAEGRKLAAHLAEPDVEGIYETKIPLELNAILQIGCVCKVDKSAKKRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRAVYVMYFPTSSRIHAVVVNPFRNKELSPAFLEKQFRDACQTPGPLHENLTSHVDYHTSIDAGSKFVQKMLLEYRQQHPGPVIGIIECPKLQALRESVRALDDFPCVTIPCNARDNNYQALGWQATAGRTSMQRCAASTQWFNERISLARYAHVPLGNFELDWLLFTADVFFSRALHDQQQVLWISDDGIPDLGGTCEGDTCFADEVIQPALTYPGAYRRVSVELKIHHLAVNSLLKSSQVDEMEGGSIGSFENDIPPGSNATETDFNDASLCQPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCSPRSKLHDPAIHRLLHNVMKKVFALLLAEFRKLGANVIFANFSKIIIDTGKVDLPSAHAYCDSLLKTLQTRDLFEWIELEPLHYWHSLLFMDQYNYGGIQAKTQNVTSADSSDGDNDIDIVSSWNIAEYLPKATQDHFVLIVSEFLYVPWKYMNEQVACRAATRDDTSCTPSITIMAAENLEGQVVDYLRAQIGTYFAEKLLTIVSDILLHFKGKGKSESAESANREPDSHTHKGDAALEFIKHICAVLALDQNVQHDILRMRKNLLKLVRVKEFAPEAQFQDPCASFTLPNVICSYCNDCRDLDLCRDSALQGQEWRCAVPQCGQPYHREQMENALLQVVRQRERLYHLQDLVCVRCRQVKAAHVSEQCSCGGSFRCKEESSYFLTKMRVFLNVAVSQKFELLQDCVKWILEVR >cds.KYUSt_chr5.7167 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44745329:44748970:-1 gene:KYUSg_chr5.7167 transcript:KYUSt_chr5.7167 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDPTVQSDIKLWPFKVVAGPADKPMINVQYKGEEKQFAAEEISSMVLIKMREIAEAFLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGINVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEEMNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVESKNALENYSYNMRNTIKDEKIASKLPAEDKKKIEDAIDAAIQWLDTNQLAEADEFDDKMKELEALCNPIIAKMYQGAGADMEGGMDDDTPAASGGAGPKIEEVD >cds.KYUSt_chr3.30710 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192675429:192677688:-1 gene:KYUSg_chr3.30710 transcript:KYUSt_chr3.30710 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCDVLVRAKKPDFLYDPRQPATPRQLSTTPSMQSWQDGGGAPGSPLAGGTFGYDELAAATDGFSEANLLGQGGFGHVYKGTVRGQEVAIKKLRAGSGQGHKEFRAEVDIISRVHHKNLVSLVGFCIHAEQRLLVYEYVPNKTLESNLHNGSGRAALDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYTYEPKVADFGLAKCQEIEQTAVETRIMGTFGYLAPEYAATGKVTDRSDVFSFGVMLLELITGNKPIMTSSDHQPETLVSWAKPLLTKAVEEEDYEELIDLKLQDNYDAYEMARLVACAAAAVRQTARSRPRMTQIVRYLEGELAAEDLNAGMAPGQSAMHRSSGGGNTDEVRRMRRMAFRQGTGTGSGTISEYASSELSAPTSEYGLNPSSEYTASSAATTEDMTMTDVTSRTGSGEARPGTERLSRRTTVSRQARRASQG >cds.KYUSt_chr3.26832 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167390291:167395815:1 gene:KYUSg_chr3.26832 transcript:KYUSt_chr3.26832 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGAVLARELLLCAIPARWRRPEHPRGRRGGIGVLPSHGFVGNKERRRSAHAPAIRSAAATTDGYPCSAYFVDSEEFEIPIWIVYTIAGAMLGRKQRGGSSPPKPHAEDAGFAGATHGHGAGQEVQLDEAARERLDRMNRRLRLLEQQMETLEAELGRASAADNSYE >cds.KYUSt_chr1.26722 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161081120:161082241:-1 gene:KYUSg_chr1.26722 transcript:KYUSt_chr1.26722 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSTDVLVQILQRLPHSCRRRGRYVCRRWRDVVNNRITETHSSPKLLIWSNRLAVAYVADDLSPSSTGSCTELRWIAEPGAHHRLIGTCNGLLCLCVGGRVMGGTVTVANPATGEKLHLPLLPCAGQFIGYLRWKEWHDADAYSFAYHPTTGQFKVVHVPCSYEQVYDFRTVHVFTLGRTTWREVSVGTGGAMCKLAGGVVTVHGMSMIYWVTITRGASAKIVSFDLTDDRIVSTTTPVPARHDRCRLAEVHERLGYVVWPDVWVLGDGNRWSHRYNFEQGIPRRHFVYGEYVLTCKGLSFHAHRPNGTPASGQDMVQIGEHDEGTLVATMVAAPEIYYGAEIYYVDSDNYVTFPYVETLEPLSLYAKKMI >cds.KYUSt_chr3.2775 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15979801:15980439:1 gene:KYUSg_chr3.2775 transcript:KYUSt_chr3.2775 gene_biotype:protein_coding transcript_biotype:protein_coding MANAMLIPVILSFLILPFSAMALTQDFCVADLPRGDTPAGYSCKAHVSADDFYYHGLAVAGNTNNLFKATFTPASVNQFPGVNGLGISAARVDIDVGGVMPPHTHPAATELIFVTEGTIVAAFISSDSNTVYTKTLNKGDIMVFPQGLLHYKYNIGTSPAVLLVAFSGPNPGLQFIAFAVFGNNLPSDVVQKLTFLDNAQIKKFKVLLGGTA >cds.KYUSt_chr2.30532 pep primary_assembly:MPB_Lper_Kyuss_1697:2:188103576:188106011:-1 gene:KYUSg_chr2.30532 transcript:KYUSt_chr2.30532 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCLRRAAVPVKRVWLGLRWRLRRRRSGLGELTREVRTCEYDDVHVMWGLLSGMDGSAPRKYVYVPVVVESMERDEIQENFMEELIANGTLDDRDDDVIPDDGGDDVTATYLNDSGEGAEDIEEEDPSGAGEEQDHHNGSQTVVITEVYKLIKPLLID >cds.KYUSt_chr2.35290 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217835736:217837010:1 gene:KYUSg_chr2.35290 transcript:KYUSt_chr2.35290 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSVEVPPYFLCPISLEIMRDPVTLATGITYDRTSIERWLFDAAHHATCPVTQRKLAPEDRDTTPNHTLRRLIQAWCALHEVERFPTPRAPVDACRVATLVDEARGAGRRQEVAALREIKAIAAESDRNKRCVEATPGAVDFLVSVVRHHCAASRSVEDLLELSLDSPTSTSPPEEDALSVIYSLKPSKKSLLQILERNNGAFVDTLLHVLRRPSYRSRTYAILLLKAMVSVMEPTRLMAVRPDVVQEVVRVVSDRVSAKAVKAALHVLCRFCPWGRNRVKAVEAGAMTVLVELLLDEGSRHSAELAVVAIDHLCGCAEGRSELVAHPAGLAVVSKKAMRVSPATTESAVRALHTVAKHSPTPAVLQEMLAVGVVAKLLLLLQVDSGERARAKAKELLTTHARVWKNSPCLQAHLKAHYPSS >cds.KYUSt_chr3.22069 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135923451:135924294:-1 gene:KYUSg_chr3.22069 transcript:KYUSt_chr3.22069 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCHVRNGEPGGALELFVVLYGELFARKVLPNAHSFSSLLKACVALRLLSVASQLHSCIVKLLDEGSEDCIFAWNALIDVHAKLGALSDAEKVFHGMRYKNISSWNIMMGGYSLHKLGDRALDLSKSMMRKDAVSWSIIISCLWENRRGEDALRLFIHLVRLEGRSDGHGNGKLCMSTYTTVLHICSVLALLVFGRQVHARAVKSLCHSSTFLCNSLGLGQNGLGKQALLFAEPALELKMYNGNTFIAIL >cds.KYUSt_chr2.44591 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277437449:277438350:1 gene:KYUSg_chr2.44591 transcript:KYUSt_chr2.44591 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSCRTAIDSFTESVYYCNPDTFAYMGLRDKDQLPVLELEPALPLVRLNHVSFSCASLEASVDFYRRVLGFELVRRPASLDFKGAWMHKYDMGIHLLQRTSDCDAPPVSPPAMINPKGNHISFQCSDMALMKARLRDMNLELVTTRVLDGETAVEQLFFHDPDGNMIEICNCENLPVVPLAAAASSCLAKQPASQCR >cds.KYUSt_chr3.33539 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210570169:210571513:-1 gene:KYUSg_chr3.33539 transcript:KYUSt_chr3.33539 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDKSVKIMHKFLEHCQESGNLKQDVMGSINAEQYMSVVAHVEQQAGSEVLRSWYSSKKQWKKPYEFGKECGESYTGPVMSVGACGAMGW >cds.KYUSt_chr1.21892 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129273392:129274030:-1 gene:KYUSg_chr1.21892 transcript:KYUSt_chr1.21892 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASLEGFPYRGSRYSGFRDEENNNWLFGISSIDTFETYQREAHLRAHLRGDTRRAQDLPENVIRDAVTYTEHARRKTLTAMDVIYALKRQGRTLYGFGG >cds.KYUSt_contig_352.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000036.1:129523:132855:1 gene:KYUSg_contig_352.7 transcript:KYUSt_contig_352.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGTKKSKEPTPTIQDATNQINKRGESVDEKIRKLDEELARYKEQIRRTRPGPSQEAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVGFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEVQESLGRSYNIPDDVDGEELMGELDGLEADMEFESAAVPSYLQPDEEADLNLPAAPTYPTAVPVNRHQEDELGLPATEADQDQTICKKMQAAIGLPAVLPVAARCGRLAARRRSIMVAGTRRLAGGVVRAAPTGAAEVDYSSNVSVFPMEACDLVGGEACDAAEMYPETKLGDSASAAAASRVPEEVEREYLSYDEAKTVFPGEACDDLGGEFCEAPYQTGVSKE >cds.KYUSt_chr5.20859 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135445318:135447824:-1 gene:KYUSg_chr5.20859 transcript:KYUSt_chr5.20859 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRHLRAAARQRSHRPPAAGEACSGKIDADVIRRNKSITAHMRAGRVGEAERLFDAMPLRSTSTYNAMLAGYASNGRLPVALSLFRSIPRPDTFSYNTLLHALAISSSLTDARSLFDEMPVKDSVSYNVMISSHANHGLVSLARKYFDLAPEKDAVSWNGMLAAYVRNGRIQEAMELFNSRTEWDAISWNALMAGYVQLGRMAEAQELFDRMPQRDVVSWNTMVSGYARGGDMVEARRLFDMAPARDVFTWTAVVSGYAQNGMLEDAQMVFDAMPERNAVSWNAMVAAYVQRRMMEKAKELFDMMPSRNVASWNTMLTGYAQAGMLDEARAVFDMMPQKDAVSWAAMLAAYAQGGFSEETLQLFIQMGRCGEWVNRSAFACLLSTCADIAALECGMQLHGRLIKAGYGLGRFVGNALLAMYFKCGNMEDARNAFEEMEERDTVSWNTAIAGYARHGFGKEALEVFDMMRMTSTKPDDITLVGVLAACSHSGLVEKGISYFYSMHCDFGVAAKPEHYTCMIDLLGRAGRLDEAEGLMKDMPFEPDATMWGALLGASRIHRNPELGRTAAEKIFELEPENGGMYVLLSNIYASSGKWRDVGKMRVMMEERGVKKVPGFSWMEVQNKVHTFSVGDCVHPEKEKIYAFLKDLDMRMKKAGYVSATEMVLHDVEDEEKENMLKYHSEKLAVAYGILNIPLGRPIRVIKNLRVCGDCHNAFKYISAIEGRLIILRDSNRFHHFRDGSCSCGDYW >cds.KYUSt_chr7.15704 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97501413:97509553:-1 gene:KYUSg_chr7.15704 transcript:KYUSt_chr7.15704 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRHFAHSPAGGSTAAAASTPSLLRRFSPDFCTFADLRPIRPVAATPKPARPAEQEQEQCAAAGTDEEPSVPTTNPPEPGAVPALRVGIIGFGNFGQFIARGIQRQGHAVLASSRSDYSDYCSAHGIRFFRSLDALCEEQPDVLLVCSSILSTEAVVRAIPFGKLRPDTIVADVLSVKQFPRNLLLEILPPEFGIVCTHPMFGPESGKHGWNTLPFVYDKVRIAEEGDQNAKCEQFLSIFEREGCRMEEMSCAEHDRHAAGSQFITHTIGRVLAQLELKSTPINTKGYETLLQLTKNTASDSFDLYYGLFMYNVNATEQASRCFAENSLRWYVVFLKGGRIPGRHGYPASPRYRSGGTPSQQPGRVPEFCGLLPEGAGPTSSSGSLPALRVARRCSPVGFGRQHILARSVGPSTATASTSTAEMADAPITYEDLPEEHKKKYDDIIAVFEADLIGSFERTRKHGIRWKGFSPEGVLDEVDLSIPSEERTRALHQEVNYMVAHSLHRHSESLVNAFERIAVRVVQEIMKHQYSPSGPALGTHQGEIPFQTRPQLPFALAAPEPPGSPAYVVYKIGGDPGDYQFLHEPPKEIPHGYVCTYVPDCNNLARTNQIAAGGISGADADKQAWLAKYATGTSHESSAPAAHTVEQISTILRDQFGILPKRRTVGYSKPYPNEYDLIPLPPKYRLPEFSKFNGSEGSSSIENDQLLGGIPVDVRNPPAGSDGQHRRAGNNLGLRLAEVPPSDGPQSLLVTLYFHIFFALALQKPSGATMSSSSSAPSDLSSQSSPSREPTPEPTQEEVHAANTRRAIEAGEESSHDFSVWSEDDKSLTDGESDLRFLADGETEEESDDDRFSCDFTSSEEEEEEQEEEEDDTSSDEPPAKRFCPWPGNLSDFDSDDDADEEDEDNEGPVGGHWSDDEPAGSSADSGDDGDDEGSDGP >cds.KYUSt_chr1.4777 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29402599:29405243:1 gene:KYUSg_chr1.4777 transcript:KYUSt_chr1.4777 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGDGGARKRVAGTRIDDLHHRAGQMCRLAIPAFTGAGDLRADRAPDGFSAYRTSSTSFPFLSLCWFWVTLGVWRSNRAAMLLEEKKFEMLAC >cds.KYUSt_chr4.12217 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74402463:74406804:1 gene:KYUSg_chr4.12217 transcript:KYUSt_chr4.12217 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAAELLYNMAPLALSGAGGLEVGSCTPLLAELRQLWGEIGKSREERERMVHELEAECMRVYRRKVDEATGERALLHQSLAASEAEIAALTAALGAENTTQFKVNKWTVSLNERMLSATALLEELRTMRAERSKQFADIRSEIEKITAEIAGRSHGYDSSPRAGDGHDLTVRRLNEHKARLSNLQKEKSDRLHKVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHEADPGKPTSISDTTLTSLSQVVAMLTSEKTKRTAMLREAVLPLVELWDLMDSPEEERRGFRKAAAVLRPAKDEALSSGVLSMATIKKTGEEVERMTRLKAGRMKELVLKRRLELENICRSMHVEPDASTVPEKSIALIDSGLVNPSELMASIDDQIAKAKEEHQSRKDIMEKINKWLLACDEEKWLEEHNLDENRFNTGRTARQNLKRAEKARVIIMKIPAIVDNLISRTLAWESERKKPFLYDGARLVAVLEEHKQARLMQEEERRRLREQKKLRTLFSEKEAMPRLKRPGGSFSRTPEPSTMNRKRVEAGRLTCSAPSMRSSGTSSSGSSCGGGGRSSAELIRPRSSAAGAGHCGEFLQGARRLSSAASFNYVAVSKGGGMSSSFAAAS >cds.KYUSt_chr6.29243 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185227724:185238680:1 gene:KYUSg_chr6.29243 transcript:KYUSt_chr6.29243 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILAWAADVVGGAGDSDDEAAAAAESERAAAMTPEQRLRAADLDARASSLRRAIQDLRARVPPPHVAQRLPHLHAHSLASSAALALQLNAHSSTKEQALEREIRIQEENTAYEKAISDCRQKIQEKQMEASLLQSNLKEMEIAELDLKAKVDNAIKEQEATQHEASTASEATGNALLDAESLINLKSMDLEEKKGELKLLEDKVQRLDKVWSLVEEESLKTPSPAQREKTLEKQLHSLIEQLTTKQAQAERLITDIHTKEKDLERLNSIHRNLHSTSSEAGAPRNRFSGGLLSGDEDSGAKGVRRPSQSGGVRTEGWWVVMVMEVKADMERILGGGFSLRLSDRDDHACGGGRGGPSPGEDDEADSGCAVKERIARALRLYKDTADGGALVQVWAPAPAPARDEERRRRRVLATRGQPFVLPSRCRRLLQYRTVSLAHVFAVDGGDDCTWEERGLPGRVFDARSPEWTPNVQLYGTGEYARMSYALIYDIQASLALPILDPADATRCLAVLELVFTTAPVACFATEADKLCKALQTCNSEATQAAMSGVSELLAAVCKAHELPLAQAWVRCQRCSTDDNDEHFSLTTAGAPFHLAADAMNYGVFREACAEHHLRPGQGLVGEAAMAAQPRFCTDVSRRSKDAYPLAHYARMHGLAGCLAVPLRLPQSAMADDDGPVEECVVLEFFLPTDCRSAAEQKALVDVIASTIRDECSGGSLNATGMSSLSLEVVLPDGDAANELNGHGDYDTNDSDEEDEHLAVDVADGDQGANIHGTDQNDHMSQPPEKKKTGRKAGKPVSLKVLQGYFSGSLKDAARSLGGKNIFDTSSLSLTSNRIYTEILLYEVTEETLLSPVCPTTMKRICRQHGISRWPFRKISKVNRALGKIRAIESVDCSPKPATASSSSRRAPAPHLPCAPSALAEDTSSQGSSQDPPPLTKTALGKSLLQRSNGAAGELVTIKASYKGDIVRFRVPCSAGVAAVKEEVAKRLGLDAGAFDVKYLDDDHEWVLLSCDADFQECLDVAPASAAMSGGTGVVLPVVRLMVHRSSFGRSD >cds.KYUSt_chr5.35751 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225803609:225807417:-1 gene:KYUSg_chr5.35751 transcript:KYUSt_chr5.35751 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHHKLLRHWLLRYRNTRPISLTASAAANPFPATATVHSDLDLLDDGELAPTPRVYHGFITACAQSKNLQDARKIHAHLASSRFAGDAFLDNSLIHMYCKCGSVVEAHKVFDEMRRKDMVSWTSLIAGYAQNDMPAEAISLLPGMLEGHFRPNGFTFASLLKAAGAYSSDGCIGGQIHALAVKCDWHEDVYVGSALLDMYARCGRMDMATAVFDKLDSKNGVSWNALISGFSRKGDGETALKVFAEMQRNRIEATHFTYSSLFNAIAGLGALEQGKWVHAHMIKSGQKLTAFLGNTILDMYAKSGSMVDARKVFDRVDNKDLVTWNSMLTALAQYGLRKEAISHFEEMRKSGIYLNQISFLCILTACSHGGLMKEGKRYFDMMEDYNLEPEIDHYVTVVDLLSRAGLLNYALVFIFKMPIEPTAAVWGALLAACRTHKNAKVGQFAADHVFELNPDDSGPAVLLYNIYASTGQWDAAARARKIMKATGVKKEPACSWIEIENSVHMFVAGDDAHPRAQEIYKMWDEISMKIRKEGYVPDMDYVLLHVDDQEREANLQYHSEKIALAFALIQMPEGATIRIMKNIRICGDCHSAFKYISKVYKREIVIFGQLQACGHTIGVAAIPWKKREDEKLDWGSKLFIKRNVSCGEWVEADNKATAWMSGLMPEEMFQGMETVDGWKMKAETFVEVSPVVE >cds.KYUSt_chr3.33171 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208149714:208157598:1 gene:KYUSg_chr3.33171 transcript:KYUSt_chr3.33171 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLAEKYPMLVVDVVEEEPVEIEGVQVPVDTSRPNPNGLEYDNLYLDMNGIIHPCFHPEDRASPTTFAEVFQCIFDYIDRLFIMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEEKLREEFEREGRKLPPKNQSQTCDSNIITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKVMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFICGQVGHLAAKCEGKAKRKAGEYDEKGDEIVPKKPYQFLNIWTLREYIEYEFKMPNPPFEIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNRVEHFIQAVGAFEDRIFQKRTQIHKRQAERAKRDKAQAKRGDDLDPHVRSDLIVPVARFQGSRLASGSVAAPYEQNGSRKDSTEKGSRDKKARVSTSGSSLSAAVVEAEIGLEAQERENKEDLKSMLKDALREKSDLLNSENQEEDNVKLGEPGWRERYYENKFGARTPEQIEEIRTDVVLKYTEGLCWVMHYYYEGVCSWTWFYPYHYAPFASDLKGLGQLDITFELGSPFKPFDQLMGVFPAASSHALPLQYRQLMSDPSSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDEARLLAEIKKVEHTLTPEEARRNSTMYNMLFVNGSHPISPYIYSLSSKFGHLSDNERNEIKEQLDPSASGGMNGYISLCGGDPSPPVFRSPVDGLEDIMDNQVISTIYKLPDPHKHIARPPVGVIIPKKVISIQEIIAEDTNLMQEIATMIREEWVGINLDTIRVVATHTHHIPLLHHRVLDVMGNPHLTLGGIVLPTSLHHMQGPSSGSSNNLIVPIQGVGHLLQGLIHDHINHKTATAP >cds.KYUSt_chr6.21829 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137713729:137714139:-1 gene:KYUSg_chr6.21829 transcript:KYUSt_chr6.21829 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_contig_1612.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000175.1:8479:9825:1 gene:KYUSg_contig_1612.3 transcript:KYUSt_contig_1612.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGYVIVDYSDDSSDFSDADVANPIRPFLAATSASESHALVVVYPHLAAFGSYGPAGPHISHRAEKEQTKPYLTQSPRIRILSNPLSFFHVASGGADPPPWLPTLLPPPICSRKETWQRRHRVRAWAMEPTARLGDGERAPGDGGGEGERAPGRLWRRARAWRRRRRRRQWGWRSSIGAQSGCNCVGLGELDKEVGGNKINQAATASALAPPLFCLRFSGSKGRGGGLLCHPAAPTERWMGLGRRTAGAKVIDPLCLAQFDKEDAALLCLTSSASGLVQLEYLRGISPMTTSNTCITYPSFTIYLHSCSPQGTKMQA >cds.KYUSt_chr4.46155 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285198459:285200867:1 gene:KYUSg_chr4.46155 transcript:KYUSt_chr4.46155 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRPADQDDRRGRNNDHPAAASRRGGRRHSQQELLLRLQSNKGKGACRFKRSCFSEEEDAASSAMLLLACVLFFVAFCGLFTRLCPRFADFVYVGLPLYIDFCGLFTQLCPRFTDSVYVGSPLFTDFRGLFPRLWPCFADFVSLGLPLYIDFCGLFTRLCPRFADFVCVGMPLYIDFRGLFTWL >cds.KYUSt_chr4.27847 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175000674:175006170:-1 gene:KYUSg_chr4.27847 transcript:KYUSt_chr4.27847 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKEQGSRRSGQQESKASRAAMAGRDNVKRKGDGWRRREQGNGEEEEGKKVGQQFCRLFLPPECGERLIIPSDFVRDYLPHTDKKLTLWDEQGKAWEVSYVYCSNRSSGGFSKSWDAISRGNHLEKFDVCVFELFSQDNIKVTSTELTPD >cds.KYUSt_chr3.32538 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204488805:204489587:1 gene:KYUSg_chr3.32538 transcript:KYUSt_chr3.32538 gene_biotype:protein_coding transcript_biotype:protein_coding MPARPSPSQAPPWEALSLVASFLDAGSLAAASCVSTSWHAAFAADHLWARLCRSHYPSAIGLLPLHSHSNGNAADRRACPHRGLYALFHAASSRGRSLPAPRLALADVAFCVDLFAASGEATLSFAVTASDAGVRKAPGGVFQFVVDVSDRNAAAGPGEHWSVRWTAVRTGLAPEAAIVMMDAKVPASRAGALGSGERGEAWATETMPAPGCGGGKLEAEVVVGVTGEEMLVETVRLGVLLDCRYVSVDEGLRYLQHFLL >cds.KYUSt_chr5.30215 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191570169:191573320:1 gene:KYUSg_chr5.30215 transcript:KYUSt_chr5.30215 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTAAVRLLAVAVVALFCLGEASVHEYSGLGFLNKGNAFILHAGSEGLYAPVSPANATAEDENDDSAAVPDAFIRLDKITFKRPEEAVDSVKEASSAKVQILVFEIEDREMIGGSAYGGQKAICCTSDLAKLGACGEGSVIYRPSKLNPGWPQLLVASFDGSDLIATLPSRTIPVRKTGMYNMYFIHCDPSLAGLEIEGKTIWKNPTGYLPGRMAPLKNFFGLMSFAFVILGIYWFYQYMKFWREVLPLQNCITLVITLGMLEMALWYFEYAEFNDTGVRAKAITFWAVTFGTVKRTVARLIILIVSMGYGVVRPTLGGLTSKVIMLGGTFFVATEILELVENLGTVNDLSGKARLFLVYPVAILDASFIVWIFISLAKTLSQLQARRLMAKLDIYRKFTIALGITVLVSIAWIGYEIYFKSTDVFNERWQYAWIIPAFWHALSFSLLCVISYLWAPSQSSMRFTNDASEKFDREDSLSLIRPRPIVSKNGWSVASTPDAKATKDMNTVTTSFDEDDEENKRE >cds.KYUSt_chr7.15662 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97247017:97250503:1 gene:KYUSg_chr7.15662 transcript:KYUSt_chr7.15662 gene_biotype:protein_coding transcript_biotype:protein_coding MVDASNGNDGNDDAKNGDGNNGNGHAMDMDPKGNATETTSNNNGNVASNVNNGVDGMQEQLHQLDAIKIGSMNINLSHADILPRSGLGLPMSRPRDPCRSAGNVQLSTAPAGDKQRARDPSSHLRAQLTPGGAASLSRDATCASVLPEVAGGAAGLSMPDTRAAGQSKAAQAAGQFGELAHCAQQVQQQTACPAQCVLGSSVHEVAAGVTSGAESGLQKIGGDRESEMLADLPMTGMQNLVSLGSSVHQKGAATGTTSTSVMVDSLDQSKDGTRTRVTHVEMDTIGLSQTVSTDSLNKANVQPTLEEVIAFGGIPKSSVQVRSSSRLENMPNVDMPQMEKAKMATQLRQTPASSGWHKSHLRDCPKSDKTNPISIYAGEEYSGRSGNPS >cds.KYUSt_chr5.7874 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49676276:49681164:-1 gene:KYUSg_chr5.7874 transcript:KYUSt_chr5.7874 gene_biotype:protein_coding transcript_biotype:protein_coding MATRALLVIALTAAVLGTALGASYTVGAPRGSWDTQTNYVQWTSNLKFRASDQVLFRYSRAAHNVVEVSKADYDACSASSPIASFQTGNDIVPLTAAGSRYFICGVPGHCDGGMKVRIDVEEATPSGSTGASSPMATRALLAIAVTLAVLGTALGASYTVGAPRGSWDIQTNYVQWTSNLKFRTGDQLLFRYSRAAHNVVEVSKADYDACSASSPIASFQTGNDIVPLTAAGSRYFICGVPGHCDAGMKVRIDIEEATSGGAPSPMSPRAGSNTKRQRQCPIACEPPRTGTSGGTHAKRHVALD >cds.KYUSt_chr1.29956 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181363900:181365336:-1 gene:KYUSg_chr1.29956 transcript:KYUSt_chr1.29956 gene_biotype:protein_coding transcript_biotype:protein_coding MCDQLRKEKGEDVHEFDILCQSRMKKVVKEGESPWLNENFNNKRIAYCEKYKQVKGKDADPYKEPIDAEAKWDEERRRAEEAEREHAEVKATLQSHDERFASYDQLFAMLRAAGAPGMAGAPPLPPLPPVPPLSGPFGIPSAGSHNPSHQSDASPVTPAGSTSMPPPANPDLHSLRRQLCEFSCSSPYLACRNYA >cds.KYUSt_chr5.20852 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135395717:135397665:-1 gene:KYUSg_chr5.20852 transcript:KYUSt_chr5.20852 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKAFAERESTYFMETSALEAMNVENAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKAGCCSS >cds.KYUSt_chr5.3846 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24887146:24892702:1 gene:KYUSg_chr5.3846 transcript:KYUSt_chr5.3846 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSASPAPPVDKPLAAAAVAEAQAVEEADGEQAKEDAVKMVEAEPEKPVKRGRGRPRRRPAPEGSGVVMVKRDLLASCMTCPICKRLLREATTISECLHTFCRKCIYKKLNDEELDYCPECKIDLGCAPLEKLRADHNKQDVRSKIFPSKKTKIDDAKVESPISVPIKRKERSISSLVVDTPRITTGLTGRRTRAVTRKAAAAALRGLGPILDPVEKDNGSANKHADNISLLDSLSKVPQTRRKASSTAETSSRNSNKDKAGDDKDLDKAELWKPLNCLVDAASKTKSFRSSPHTPAAKADPPNGSPSSEHANREKSGEQLRKSKLQDDKKDVPLSVMLKKKGPGRAKSAASVAAASQKAQNSRPINPIWFSLIASFEQKGSPPLPQIPAHFLRIKDGSIPASSIQRYIMQKLGLQSESEVEMSCCGQFVNPAQPLRNLMDRWMRVGPARPLQTSEGCAVLRPGKRSSKDEGFSP >cds.KYUSt_chr7.29440 pep primary_assembly:MPB_Lper_Kyuss_1697:7:183252141:183253289:-1 gene:KYUSg_chr7.29440 transcript:KYUSt_chr7.29440 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQDHQLPLRVVSTLHRPPAAHYTIAFAFACAASRRAARESNVSSRVEAPQIKKLAASNLWERADESAMAGMDHGGGGGDGGGSAQGPSRYLDLLLAQLSPTTDVKAEQHSMESPERIPASASVSGAEPHPEGDQKPSSLAIVLAEGGGGSARRTGRPRGRPPGSKNKPKPPIIVTRDSPNALHSHILEVAAGADVVECLATYARRRGRGVCVLSGGGAVTNAALLQPEGLVATLAGQSEIVSLTGTVLPPPAPQGASALAVFLALGQGQVVGGTVVGKLIAARPVFLVAASFASAVYERLPLEGGEQETATAADAQGAAGAAAPSPGGVPPKQPVASPSSEVTGNEDGAGRSSHNLGENVGSCQPPTPGVDIGSFSSVRP >cds.KYUSt_chr7.35613 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222568936:222569473:1 gene:KYUSg_chr7.35613 transcript:KYUSt_chr7.35613 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGFKRSKSNQYENTSLVQIEGVNTKEDVAWYAGKRLAYVYKAKTKSNGTHYRCLWGKVIRPHGNSGVVRAQFKSNLPAESMGRKVRVFMYPSSI >cds.KYUSt_chr4.18789 pep primary_assembly:MPB_Lper_Kyuss_1697:4:117865291:117865844:-1 gene:KYUSg_chr4.18789 transcript:KYUSt_chr4.18789 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPVGGSAAELHSRLTSPRSPQFLAWFSDAEDGGRNHTQSRKVEFVDDATASGDAEDADRLPMLVGISHGATVSSEDLRLLLAILFWDHFNTSNLPCHHPSTATPPRHHLEDLLCLPIFS >cds.KYUSt_chr6.19772 pep primary_assembly:MPB_Lper_Kyuss_1697:6:124628519:124631814:1 gene:KYUSg_chr6.19772 transcript:KYUSt_chr6.19772 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQIVQLAAITSSLVLLLLQPVAAKMVPLPAAPGARDNAGVTLHVQRHQVLVENGVVQVSVSKPQGQITGIRYAGERNLLHFGSGDENSGGYWNVFWNFPGSGQPRGRIDMLDSTEFRVVSSSEEQVELSFRSTYDPSRFNSVRLTVDKRLVMLRGNSGFYCYAIFEHANSWPALNITEARLAFKLNTARFNYMAVSDRIQRYMPRAADRDPPRGKPLAYKEAVLLINPAEPQFRGEVDDKYQYSLDNKDNKVHGWIGGGPVPLGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYVGNDIVAKIDDGEHWKKVMGPVFIYLNSNPSRGSFQALWEDAKAQAEAEARKWPYTFLESPDFQKAPERGSVTGRLLVRDKYMSRVDMPARLAYVGLAAPGAPGSWATESKGYQFWTRASATCGSFAICNVLAGEYNLYAWVPGILGDYMYAASVTVSAGGAVSLGDLVFEPPRSGPTLWEIGVPDRSAAEFFIPDPNPKYLSKLFLTRDNKYRQYGLWDRYADLYPRADPVFTIGVSNYSNDWFFAHVTRKVGGGAGLTNAPTTRRIRFNLGRVVADGTYTLRVALAAAQMSRLQVQVNEATRKGSEGVFNTPEFGDGNAIARHGDHGTWWSFEFPIKGYLLMEGENTISITQVRAFSEFFGVMYDYIRLEGPPGSWRDPTTLL >cds.KYUSt_chr5.43530 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274264369:274267004:1 gene:KYUSg_chr5.43530 transcript:KYUSt_chr5.43530 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGELARSLFRFVSHIILALSAMDFVPTFNPYYARVFPGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >cds.KYUSt_chr7.1117 pep primary_assembly:MPB_Lper_Kyuss_1697:7:6005897:6011353:1 gene:KYUSg_chr7.1117 transcript:KYUSt_chr7.1117 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEFVLRSGVRSGLKREFAFAIASQAALAPSRGRTRSTTTTTTTSTSTSAFLSPAPAKEPKSRPSKRPRPHPRDPGAISPDPLEQPPAALPPDPPLLEKPPADETPVLALFASPHGKTEPPQQQLQDTEDFFIPPETPPRRITRSMLATKSLPPAPSTRSKLEPHQPQTGAAGAGPASAPRRFTRSLLVKHNPPADDQDESGTTTASSGGSPSHSHNLCGGKSAEPKASSSKAGGLEGIPKNLKELLATGLLDGQRVKYIMRKGKGAVLRGVIKHSGILCSCALCKGQNVVTPYYFEVHAGSLKKRPSDYIFLERGNNNLYSILKACAGATLDTLESVIRAAIGSTSQKRTVRCKACKSPLTTPHTGKFASLCDPCLKSKRAWNSTRSPKVGRTLKSARVPKSFSPGANTITSPGRITKKDHGFNKLVFMSGVLPEGTDVGYYIGGKVYFFLSGYATSCNIIVINTVTDNFLEVAGWCGREYHVGCLKEHGMADLTALPKGAWYCSMDCVKIWEALKDLVSRGAEAVLAADADLIKKKREEKGLNEEGDLDVRWRVLRDKSSEDSKLVLSKAVAIFHESFDPIIQATTGRDLIPAMVYGRSVRDQDYTGMYCAVLTVGKTVVSAGLFRVMGIEAAELPLVATSRDNQGLGYFQALFSCIERLLASLGVKHFVLPAADEAVSIWTQRFGFAKITQDELLERLKGGRTTVFHGTSTLHKPVLGTVPEDG >cds.KYUSt_chr6.33926 pep primary_assembly:MPB_Lper_Kyuss_1697:6:212707476:212708284:-1 gene:KYUSg_chr6.33926 transcript:KYUSt_chr6.33926 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWGDGEESSPEQSSLGSDSLNTTYDSDFCGLADEGGRNVTCKHGVVAARFVAFDGCWTGRMFLGCAGHDGEPACDFLLWVDGEWPPALRKSLSKLWDLYGKEKEGRVNDALDNMEKRFKLKDEIEKMHIDLRNSQEEMKKIVEEKQVLLALKAQAEQGLIDARAELEQKKAVDASTSNMHKCMIIKAEKERDRLKEEKRKLEYIIGDLFKLNESTRAKLKKIKEMCEE >cds.KYUSt_chr6.32070 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202572287:202572646:-1 gene:KYUSg_chr6.32070 transcript:KYUSt_chr6.32070 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRPNVASIGPRLPCHEKAIPDLRPPCLDAAVGHRPAEIVVTQRYVEIMAGDQPFRELPASPALETSWSAAEMGRARGDMERAGPGQALGDLLSLTTRSKRLNSAKEDQAVDGRTHH >cds.KYUSt_chr2.746 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4440174:4441553:-1 gene:KYUSg_chr2.746 transcript:KYUSt_chr2.746 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLVSALLLVVTLTGVLPYVASSRGHVGVLSDGSPGDHLRMMERFHGWMAKHGRSYPTVKEKLRRFDIYHRNVMFIEAANLDGRLTYTLGENQFTDLTHEEFLAKHTSRPVAPSDLVRNEEETVITTRAGVVEEVKCKAAPDNVPHSINWADLGKVTEVKDQGEICGACWAFAAVATIESAYAIAKGVEPPVLSEQELIDCDQFDDGCRVGLMSNAYQWVQRNGGIANASTYPYHERLQTSQNKEKDGTCEEAKLREHAVTIRRYKYVTGNCEQQLMAAVAARPVAVLFDAHNYCFQHYKTGVYNGMCFDDDGELVGPCTSDHLTHSMAIVGYSGKGDDEEKYWIAKNSWGDTWWGEQGYVRLKKDVADPRGLCGLAIEPVYPLV >cds.KYUSt_chr6.29167 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184820607:184821812:-1 gene:KYUSg_chr6.29167 transcript:KYUSt_chr6.29167 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAPHLVDEILEEIFLHLPTPAALARASTACPRFRRIITQGSFLRRYRKLHPPPLLGFVADKGGFHPAQETHPSAPLARALADAADFSYSFVPKPNNGWLTPWYARDIRDGRVLLECSSLRETDAAFTNLAVCDPLSRRYVLLPPIPEEMTVQQERLVEFEPMLAPIGEDEDETSFKVICTAHYKSKLVTFIFSSVTGQWYIAASPTWSSLGTGEPSWPCLSRFNYLSGCFYWTDLWSEKLLVLDTRIMDFYIVYGHLQLLNQPHQSVCMSTIVDGTQGALEMFTLVGDFNPNLFRLHHTTQQNNSSEWQQKNVIALPPRCFYFTVGATEGFLFLRGVREAQWDDNLHGVLPEDNNVDFFSLEVKTFELKKVCRATCYQFPNRVHSYFGFPPSLSKPSL >cds.KYUSt_chr4.51708 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320851029:320852728:-1 gene:KYUSg_chr4.51708 transcript:KYUSt_chr4.51708 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAGGKVMPKSRASFFLYGLLLYVLLPVLAVYVVAFALSPFYSGSRPPAGGNAVARLAAGADGVRNSSSSSSPSSAMIRGPPTPVARTKPRADAAPTGLRHIVFGIGASASLWESRREYIKVWWRPGKMRGFVWLDKPVPEYYSRNSSTELPGIKISADTSKFPYTHGAGSRSALRISRIVSESYRLGLPGVRWFVMGDDDTVFFPDNLVDVLSKYDHTQPYYIGNPSESHIQNLIFSYGMAFGGGGFAISRALAAQLARMQDGCMHRYPALYGSDDRIHACMSELGVPLTRHLGFHQCDIWGDVLGLLGSHPVVPLVTLHHFDFLQPLFPGARSRTAALRRLFKGPVRLDPPAVAQQSVCYDREKQWTVSVSWGFAVVVIRGVLSPREMETPMRTFLNWYKRADYTAYSFNTRPVARNPCQRPQVYYMRRSRMERRLRRRNANATVLTTVTEYERHRVVNPVRCRWRISDPATLLDRVVIVKKPDPDLWKRSPRRNCCRVVSSPNNGTNDRTMAVDVGVCRDGEFARV >cds.KYUSt_chr6.23526 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148579985:148583265:-1 gene:KYUSg_chr6.23526 transcript:KYUSt_chr6.23526 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEDNQHAMNGYEEVEEVEEVDEEEGHQGRRGRRDGGDGLGNYGDAGGDDGRAGGGDSSGKIFVGGVAWETTEESFSKHFGKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHNIDGRTVEVKRTVPREEMSTKDGPKTRKIFVGGLPSTLTEDDLRDHFSSYGKVAEHQIMVDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNARSSHAGGGYRSSYRSGGAAAGGGNSGGGGGSASGYGYGGAHRSAAAGSYYDSTGYGYGRGGYGAAYGGNAGFGSGYGGGYGGSMYGGAYGAYGAYGAGAYGGGAYGGGAYGGGAYGGGAYGGGGAPGGYGAAGGYGSYGGAGGAAGGGSAGGRGSSRYHPYGK >cds.KYUSt_chr2.41332 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257058505:257062374:1 gene:KYUSg_chr2.41332 transcript:KYUSt_chr2.41332 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKRMCHLQKPVPVHLHPIHMRDRIAPRLHCHLRREGKGADVNGKVFRGYPTTAAAREGHAEVAELLVRAGASQPACEEAIVEAALQGQASLAAIFMRSDLVRPRIAVQALVSAATRGFVDVVETLVKCGADPNATSRVLLRSLKPSLHLNVDCTALFAAIVSRQVAVVRQLLQAGVKRDTRARLGAWSWDAATGEELRVGAGLAEPYDAAWCAVEYYESTGTILRMLLQNGYSSGATHLGRTLLHHAVLCGSVGAVETLLACGADCEAPVKTSRSTRFRPVHMAARLGQPQVLQTLMDKGCDVNARAEGGDVAAILAARHRHEDCLRVLVSAGADVALLNSVGESAASVASSGGWKAGFERAVLGAIWSGTIPVSSDRSVFSPMMFVARCGDAAAVEVLLAQPGVDMDEQDTDGCSPIMAAAKEGNVDAFRALVFAGANVKLCNKRGETAIGLAQQSKKRDLFEQVMLEFAMEKGMPAGGFYALHCASRRGDAAAVRHLASTGYDVNVPDGDSYTPLMLAAREGHAAVCELLISHGARCDIVTPHGETALSLARSALATASFNKAEDVIMDELGRQLVLQGAHVVKHTKGGRGKPHGKSLRMVAAAGVLRWGGSSRRNVVCVEAEVGGSSAFQRYRQRKGRRGDDAYAPGLFRVVTATGKEVHFVCQGGEEAAELWVRGIRALTRAVFGKA >cds.KYUSt_chr3.9895 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58147448:58149054:-1 gene:KYUSg_chr3.9895 transcript:KYUSt_chr3.9895 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFWSFLLSYPEIFLALVCFFCLSLFRLVRKCQKSAIPVNWPVVGMLPFLVKNLYHIHDKVTDMLREAGCTFRIIGPWFLNMNFLATCDPATVNHCFNTNFHNYPKGSEFAEMFDILGEGLLVADSESWEYQRRVAMQIFASRAFRSFSMSTITRKAGTVLLPYLDHMAKHGSQVELEGVFMRFSLDVSYSTVFATDLDCLSVSRPIPLFGQATKEVEEGMLFRHVVPPSLWKLLRTLKVGSEKKMANARVVIDQFIYEEISKRKAQAEKESQGDVLSMYMKWPMDPSMSEQQKTQFLRDTVVGFIFAGKDLVAVTLTWFFYMMCKHPHVEAKILEEIKALPSTTWPGNLSVFECEMIRPAIYLQAALLETLRLFPATPFEEKEALNDDVLPNGTRVSKGTRIIFSLYAMGRIEGIWGKDCAEFRPERWVSKSGRLRHEPSYKFLAFNSGPRSCLGKDLGLNNMKIAAASIIYNFKVELVEGHAVMPESSVILHTRNGMMVRLKRREAAA >cds.KYUSt_chr1.1343 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7297974:7298309:-1 gene:KYUSg_chr1.1343 transcript:KYUSt_chr1.1343 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYFPPPREHSVYPVDPTHETPFPFPSLFSSSESLAMASAEQNEEGLISVACLAPDANHPTSLVHPLPPPNPRVVGLVYSLLPRLLLILRGIHVAASIGVAEGQSSAQLR >cds.KYUSt_contig_7589.28 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001601.1:222604:224620:1 gene:KYUSg_contig_7589.28 transcript:KYUSt_contig_7589.28 gene_biotype:protein_coding transcript_biotype:protein_coding MIAASVYPGELINEAIPGSSPSFNRPPWTSFQKQRLHRLVAAPTQPDQMTGGEESGLISGDATLSLRRLPLLLPPEKHSNEEEDLDGPDLAKKTPQPTPILHKAKVFSDASSLVTSVLDGYNVCILAYGQTGTGKTFTMEGTEQNRGVNYRTLRELFSLTDNKKQLFQYNIYVSMLEVYNENIRDLLSPSMASPTKLEIKTASDGSHYIRGIAEREVDNIDDAWDILLSGSNSRAVGSTDVNEHSSRSHSMLCITVSANNLINNVCTKSKLWLVDLAGSERNAKTGAQGDRLEEAKNINRSLSALGNVIAALASGHSHIPYRDSKLTYLLQDFLGGDSKALLLVQISPSDNDLAETIDTLKFAERAMGVELGPAKRQKGNLSLSLMCLTLNHHDPTLHLLLCLRVLLSHTQMR >cds.KYUSt_chr7.6291 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37899161:37900428:1 gene:KYUSg_chr7.6291 transcript:KYUSt_chr7.6291 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGRRRERSWGSFSGLRQNDRRLGEHRRVEVERKLRARHCLSNSRNSCYMPPLPVRLPTMGFSFVSTRKNGGGLDAGKRRRTSGEVEEGAKGNPDLVAVVGEVAGGRRSGRRRRSFAWKRHVIAEVTSYLSNGNIAGKNETRALVPHSCWDTVLLLN >cds.KYUSt_chr1.24049 pep primary_assembly:MPB_Lper_Kyuss_1697:1:143393950:143397979:1 gene:KYUSg_chr1.24049 transcript:KYUSt_chr1.24049 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWIPPTLREGSYATISEENDKVIKINMEELHTVLDTLGVSVAERHKVTNNVLKKCLVAYKGALEYEKNRCSSMAEAISDLEAQHRELCSVLGEKDALLEERMSASLSQIHQSLTASLRRLNVFKKQRLENLQRMQAKVMDLWDHMGVTLDQQKEYRYIMRNSVASLAEVTQKDALSAALLTKIQSELAILEGQLIEKVAKRFAVLAANLRQTHLSDDEDYKINFTMIDVRAGSMDMFGAADKLEELVVKSAKDILLRESIVSRAEIVLRHVKEGSSLHTRETVENLINRVKAWEAKNERKFFYDKERLIATLHKLKEGNDHHFSDATNVSPGMTPHCYT >cds.KYUSt_chr7.21585 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133824748:133826581:-1 gene:KYUSg_chr7.21585 transcript:KYUSt_chr7.21585 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATTIHHHLPVAPHRPTPRRLSSASASSSSSSPHPAAAFRCCSRLKTPGIQLQALSTPARAYDFALRVAFNPSGNFDLSLSDQDDVPQIEPPPPPTEGRIEIVINKDIIRSLDLSPVKEALGDLNSLTTAQSKKLLDRTVGFTIIYEREDPYDTRELSEFPDIRLWFVRLDASYPWFPVVLDWRAGELARYAAMLAPHQMSARHGVVFNPEALELFGMNKVFIVYSWLKQQNLVKPRLKTADMARMLGFGISDELFDLIEKFPVDTL >cds.KYUSt_chr4.13411 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82697172:82697636:1 gene:KYUSg_chr4.13411 transcript:KYUSt_chr4.13411 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSQKKKKLSAGNPSIQAKPPTPKQNPNPPKPTEKQATTAAAMKPKKQKESNEIDDIFQATKPDKKRKPQEEGEVAEGDKKPKKSRAEGASKKSKKESRGKGSEPGHEEVAQKRPRRRTNDGLTIYSADELGFGKADAGGTALCPFDCDCCF >cds.KYUSt_chr3.46110 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290306240:290311730:-1 gene:KYUSg_chr3.46110 transcript:KYUSt_chr3.46110 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLKSKIPDDDDARLFLHAPTGRFRRLRLPALRDHYILSASDGLIVLRDREQPRLARVLNPLTGDMLHFAAPLWEGLGSVATLHAAVSGGGARPALVVWRIWDRRGHTVLYGDPTSTEFAEGYIGKVLLTTMVTFQGTIYLAGQEGSVWKLVPAEHRHPELLVAAQMSPDADIYLQENKIAKSYLVESAGELLLVRHQDQALKVFRVDVEHKMLEEVKSISCRALFLGAESFELDLGSTIHGNKTPYKVISFIADMEFDMKISFRTSQHFHGSCPDTNSALRRMAFVKVSSSVTFAPSASEAYLELRR >cds.KYUSt_chr2.1190 pep primary_assembly:MPB_Lper_Kyuss_1697:2:6973958:6976307:-1 gene:KYUSg_chr2.1190 transcript:KYUSt_chr2.1190 gene_biotype:protein_coding transcript_biotype:protein_coding MMESQPLQDPTAETHGAAADAAGAGAATGVVTTFALLLFLLSASSCSVLCMGVDELSLLANHVRILVVDVPGKEFTKTCKGLVVVLLGGYALLHFVPTSIDYLAIVPAKTIPYVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPTWGRKEFLKFIILINSICGVLAFCIAVALYYVTGKESFLVTPLSGFHGALAGFLVALKQLLPHLELPMCFFWKIKAKWMPFFVMCFSTIMAFIVPDSINFLPTLLSGMYVSWLYLRYFQRNPLTGLKGDASDDFSFPSLFPDAMRPVTDPVANAFHRMLCGSSKPSELALPVTDPTKASRRRERGERVLEERLAADHAADTEAPAHSAED >cds.KYUSt_chr1.722 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3892553:3894342:-1 gene:KYUSg_chr1.722 transcript:KYUSt_chr1.722 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >cds.KYUSt_chr4.20920 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131594138:131600440:-1 gene:KYUSg_chr4.20920 transcript:KYUSt_chr4.20920 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGKKKKPPASPQPSPRTPPSRAREAPGGCTLDLPSTAAAAAARYPALVPRGGAGCFAGTVADVVPRGGTHAAEGRLWLSEPAMVAAGLRPGCLVSVSLIASSNSLDAFPLDSLFEECNRFFDLDVTNDLMSNEAGVNFVTATVFPSREVQKNDIKLSWDLACMLGHPLVGRHLLISPLYTSQAPKQTDDGEILRVIKCSDLYLGLVPLKGVAESDSHPITNAVVPESPKKIPSTPPRRNESHDGASNSVSSLCLDRATAKSALADDKINELLQTCASRWLSGRHLLKGNYVPLSMCGKLSMFIVLRAEIDGSALDVEHEKSNSLSNAEVSAKFVETPALFLVSRTTKVHLSDLSSSEELGPDKPVSPLEYFMSADTRNEDSNHDRRLGGLSEVSAKLKEMISFSLSDRIGLPRHGLHGLPRYKGLLLYGPPGTGKTSLASSCAYDLGANLFTVNGPEIVSQYHGESEQALYDIFTSAKQAAPAVIFIDELDAIAPARKDGGEELSIRMVATLLKLMDEIGRNDRVILIAATNRRESIDRALLRPGRFDQEIEIGVPSPGQRLDILHHLLIGVHHSLTSEEVESLAFATHGFVGADLAALCNEAALSALRRYISVKESSSQLLGDHATNAKKSNIREIDAPLGYEITSLSSSLSKLTMSTEDYPWTNKGNITESSKPDDKKDDLLLLVTNDDFEKAKMKVRPSAMREVMLELPKVKWEDVGGQARIKKQLIEAIQLPQKCPETFERLGIRPPRGLLMIGPPGCSKTLMARAVASEAKMNFLAVKGPELFSKWVGDSEKAVRSLFAKAKDNAPAILFFDEIDGLAVTRGHGDNGISVADRVLSQLLQEMDGLDQKIGVTVIAATNRPDKIDIALLRPGRFDRLLDVRPPDEADREDIFRIHTRSIPCSPDVDLNELARLTEGYTGADIKLVCREAAVAALDESFDIPDVGITHFKSAIDRVKPSDMKFYRELAARFSRFIDDTESNTADRRY >cds.KYUSt_chr2.29736 pep primary_assembly:MPB_Lper_Kyuss_1697:2:182995228:182997246:1 gene:KYUSg_chr2.29736 transcript:KYUSt_chr2.29736 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFHFLLPILLCHGVQFVAFGAAADDHFVFSGFQGANLSLDGTATVTQEGLLELTNGAVQLKGHAFFPVPFRLRRLPGGAVQSFSTSFAFGILTTYPNLSCHGIAFVIAPSKDFSTALAAQYMGLANIDNNGNASNHIFAAELDTMQNIEFEDIDNNHVGVNINGLRSVQSHAAGYYGTSENNSFQSMSLIGGDVMRAWLDYDGELARIDVTIAPIQMSKPARPLVSATYNLSDVLMEQAYIGFSSATGPINSRHYILGWSFGINRPAPAIDIANLPKLPRLGSKPRSKVQEILTPVAVAAFLLALGMGVVLLVRKRLRYAELREDWEVQFGPHRFSYKDLFHATDGFDDRHLLGAGGFGRVYRGVLPTSRLEVAVKRVSHESRQGMKEFVAEVVSIGHIRHRNLVQLLGYCRRKGELLLVYSYMPNGSLDKYLHYEGQKPVLNWEHRFQIIKGIASGLMYLHDKWEKIVIHRDIKASNVLLDEEMNGRLGDFGLSRLYDHGTDPQTTHMVGTMGYMAPELVRTGKASPPTDVFAFGTFLLEVTCGLRPIREDAQGDQFLLVDWALEHWHGGTLLKTVDPRLQGDYDVDEVSLVLKLGLLCSHPYNNARPRMQHVMEYLDGDMPIPELAPAHLSFNVMALLKNKGFDPHIMASAPSSVVSIGAISDLSGGR >cds.KYUSt_chr3.28384 pep primary_assembly:MPB_Lper_Kyuss_1697:3:177066358:177081877:-1 gene:KYUSg_chr3.28384 transcript:KYUSt_chr3.28384 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPGSHAAVGRAMARAAAKASAKLTEKKLANSMRNIKVQKLASPARPRYESGYPFILFLFLQGRNPQNFIKQAQSRKTFHLVAVKIVIIALKRNAQGFEGVTLDDEKEEIKSDEDNSEGDKKAKKGSFKKRAISAGNNFRHSLTRKSKKKSGNLVSIQDVRDVQELQTVERFRRCLLDGGLLPERHDDYHMMLRFLKARKFDIEKAKHMWSQMLRWRNEFGVDNIEEFNYTELNEVRKYYPQFYHGVDKEGRPVYIELIGKVNANKLVKVTTIDRYIKYHVKEFERSFEMRFPACSIAAKRHIDSSTTILDVQGVGLKNFSKEARELIMRLQKIDNDNYPETLCRLYIINAGQGFKMLWGTIKSFLDPQTASKIHVLGSKYQSKLLEIIDESQLPDFLGGKCRCEENGGCSKSDKGPWKDPIVIERVINGEANYGRQILAISSTDGKAVCYNKLRHSAKKSSDASAESTSEVQDITSPVAPVDTNANSDLDLFLEPKSPAHASTSGATPVVEENIPTVDDACNSPMATSMASTPGAFSLRNIPMTLGVLQTKIVTCLTVFIMSLFMVIRSVPNRMSQRFSRQSGGFPKDLEFMEGVRPPSPSEHTENGTLLYVSRRLHELEEKVHALQAKPSQLPLEKEEMLSAAVRRVDALEAELISTKKALYETLIRQDEMLAYIERQNSTKFRKKRRDEIHHSMEAATRRNLALLNATSKITANEVEDEAGIPNSYPTKRRHSHHNDNIL >cds.KYUSt_chr3.30829 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193439818:193443580:1 gene:KYUSg_chr3.30829 transcript:KYUSt_chr3.30829 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRAAARARRRIAGTRPASTAAGAGAERISRAVVVPRFGGPEVLELRQGVPVPDLKPGEVLVRARAVSINPLDLRMRSGYGRCIFEPLLPLIIGRDISGEVAATGTSVSSFSIGQEVYGALHPTAIRGTCADYAVLSQDELTPKPSTLTHVEASAIPFAALTAWRALHGTAGISEGQRVLVIGGGGAVGLSAVQLAVAAGCSVSATCGAQSIEQVLAAGAEKAIDYTTEDTESAVTGKFDAVLDTIGVPETERSGINLLRRGGHYMTLQGEAAALADRYGLIVGLPAATAALLNKQMQYRCSHGIEYCWTYMRADPEGLHEIQRLSGAGKLQIPVEKTFPISQVKAAHEAKEKRLVPGKVVLEFD >cds.KYUSt_contig_605.117 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:706168:708418:-1 gene:KYUSg_contig_605.117 transcript:KYUSt_contig_605.117 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTSTASLCCRLLRTTPVRRHAPRQTLCSAARSTDAVDREYADLNLRPLYPNRGHHLRIRQHVNPLSALFVEPTEPPEWKEVFEDPLLPLMVDIGCGSGRFLVWLAKNSSERRNYLGLEIRQKLVERTQFWVTKLGLRNVYFMFANATVSFEQIVSSYPGPLSLVSILCPDPHFKKKHHKRRVLQTQLVDSITKNLCLGGRVAEGLIAHCFIRVPPTQSFTLPGVPEQVSTITELTGPRASDCLDMMGQTGESLAYLQCFSSPAS >cds.KYUSt_chr1.27894 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168194551:168196179:-1 gene:KYUSg_chr1.27894 transcript:KYUSt_chr1.27894 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAWWFWFAGSHFNKTGDFAAPVVLDWAIDAAGSSCLLRHRKQSVCLDSSNDIVLKRKMYVEECAHNDLCVSSINTPARQLPLHMSQGIEWKRYCAGWLSQKRQIHSAVEGRHSCKQKRVAGDPGVLLRAPECTEEGDAPSKAEINHRNAVKGCCLEVEVPMLVYEECVQLTCKSDVYSFAVVLLELLTRRMAFWVLPGRTVYRMTPSLAFCFGTAVQGGRHREIMDGHVREELGVEVLDDTAELVIRCLSLTDEERPAMTEVADKIERLRSYVCRNPTE >cds.KYUSt_chr3.42443 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268437492:268438493:1 gene:KYUSg_chr3.42443 transcript:KYUSt_chr3.42443 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGSSSSPCASFVEETLLLPTRNARLFAPVFALVFAHTFVFLAVAVLLAHPLASVQLHDGLSSWRDATYALSADKIREHAVALLILYLAYLASKLATQTTVSLAASATLRGSGDNRPSTLAGLFRDKAPRGLFAGAALVAAVELASTAVLATCFASWWSYGASHLDTGGVEPFVQGVLLFLFLLALLSRLCLAAVFQVAIAASASREEGCDGDGGGGASALLRAWRFMTTTARRKEAAVQVLVVSVVLPVATYPVYAFALYCAHGESVFLLGGLHGFVLPSAGVQLYSTVAATMFYHRCVELHPEPAIPLTAKLAKISETLSSPEPADRS >cds.KYUSt_chr4.23474 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147737355:147742895:1 gene:KYUSg_chr4.23474 transcript:KYUSt_chr4.23474 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAPAALRVIRSCIDALGRGFDANLDTRLLYCKGSRLVEVDDGELSSRDLVVSDGLTVPGVPKDVDCSADSGTAGQETAGPCGFYEVLMTGTIPGKDHLIRAINLYLEYKPPIEELRYFLEFQLPRVWAPVRDEHPGYQRKEPVCPSLQFSLMGKKLYISQEQVSVGRKPITGLRLCLEGAKQNRLCIQLQHLASLPKILKPYWDAHITIGALKWQGPEEQDSRWFEAVKWKNFSHVSTSPVEHHETLIGDASGAYIVTGAQLGVWDFGSKNVLYMKLLYSRVPGCTTRRSLWDHSPATTSNHPMVTPSDTAYPDLGDSSAGSSDAGKLVKIVDSGCCYRELRSAAYCALNFKDCESLAEAEYLAGFDDLVTSEQRRREQLQRRLAIAQADERAMEAWVVAFP >cds.KYUSt_chr6.27936 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177208448:177211513:1 gene:KYUSg_chr6.27936 transcript:KYUSt_chr6.27936 gene_biotype:protein_coding transcript_biotype:protein_coding MLASIFSRKGASGFSWASTADQVTQGLSAAGLTAIVTGASSGIGAETARVLAARGAHVVMAARNLAAADSVRQAVLADTPAATLDVMELDLSSMASVRKFAADFIAKGLPLNILVNNAGVMAIPFTLSKDGIEMQFATNHVGHFLLTHLLLENMKKASRESNVEGRIVNVSSEGHRVTYKEGIRFDKINDEAEYGTIGAYGQSKLANILHANELARRFKEEGVNITANSLHPGSIITNLLRYHSIIDVLSRTLGKLVLKNANQGAATTCYVALHPGAKGVSGKYFCDSNVYEASEKAKDVELAKKLWDLSVELTT >cds.KYUSt_chr5.13765 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89505869:89509231:-1 gene:KYUSg_chr5.13765 transcript:KYUSt_chr5.13765 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPTSSSPRVGTRKKNTIAFLIRALLLMCVAFRRVIALIRICCHIAVGVPVGSVLGVARVLFAAANERSLRCMNQAALGRSMTGTVAGDLMVGAMAHSWRVLVQGITSLAFFCARADEYVRPPPSPLVLTPHDKPADHPQQVHISVVGTNKMRISWVTDDRNAPSLVEYGKSPGNYTASASGDNATYRYFLYKSGAIHHVTIGPLEPSTTYHYRCGKAGDEFTLRTPPASLPIELVVIGDLGQTEWTASTLSHIGGADYDMLLLPGDLSYADTQQPLWDSFGRLVQPLASARPWMVTEGNHEVEALPVVEFAPFVAYNARWRMPYEESGSRSNLYYSFDAAGGAAHVVMLGSYVDFEEGSEQYAWLERDLAGVDRRRTPWLIVLLHAPWYNTNQAHQGEGEAMRAAMESLLYEARVDVVFSGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALKFIKDHEAAHLSVFQEASFGHGRLRIVNETSAVWTWHRNDDAYATVRDEVWLESLASPKLAMATAGRRLDEL >cds.KYUSt_chr6.5120 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30262667:30267056:-1 gene:KYUSg_chr6.5120 transcript:KYUSt_chr6.5120 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKTDLANASIVEWELEIAPRETRYLSMYSRKDVLKVYADAFARKLKSLVFARLWFKSLSVTNKTSDLLPAAFGWIAVWFSNIQPDSVCNKLGSKYASVPAAELKDHPDFYEDLLRENIHMTVRLVVSYNGLSIGTVRNAFEKSLCFRLQKMNPNTDYDCLKTFGSYFSEDIRIPAVSF >cds.KYUSt_chr3.31301 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196683393:196688104:-1 gene:KYUSg_chr3.31301 transcript:KYUSt_chr3.31301 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAMALRSTADRCFGHHSPLTNVIQSTFSANACSRWGSLARTFSAKPIGNEVIGIDLGTTNSCVSVMEGKSAKVIENSEGTRTTPSVVAFSQKGERLVGTPAKRQSVTNPQNTFFGTKRMIGLGRRLTCNRSRGEIHPAAEMSGGGARRVLEAWRLGVVKYGDALKLQERLVAERRAGRVPDLVLSLQHPPTYTFGKRRTDHNLLVPESSLGALGAELHRTERGGDVTFHGPRQAVLYPILSLRDVGLGARRYVEGLEAAMVEVASLYGVEARPGGRCTGVWVGDRKIGAIGVRISSGFTSHGLAFNIDPDLGYFKHIVPCGIANKDVTSLRQEAKVELPPDEVIHHQLVQSLAKTFRFSHVEVKDDSECTEMELKDLRILDSTKSYICDAVVTVIDHLGTVSSKLEHQLEDKTEVTQTEQKITFLKQRLLTCEQYAISLNLLALRMDTGAVQYHRRYLSQSTETNNKENVTDSRGHPVPGANRTLKPYDVESTIGREVTVAVADVGNPASITRSFSFRAEDAHIAPGVHKKKKASHRSNILAFLKRSKQHA >cds.KYUSt_chr6.31914 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201574827:201575772:-1 gene:KYUSg_chr6.31914 transcript:KYUSt_chr6.31914 gene_biotype:protein_coding transcript_biotype:protein_coding MALALAFRAANALLVRTYFNPDEHWQCLEVAHRIAFGYGHLTWEWKRGLRGYLHPLIFAALYKFLALLHLDTPWFMAMAPRLLQSVFAAFGDLYLYKLSKLIFNEHVAQWAVSLNPSVKMIILPWINYCSYPVDGDLNKSLSCFRSW >cds.KYUSt_chr6.15932 pep primary_assembly:MPB_Lper_Kyuss_1697:6:100210894:100212156:-1 gene:KYUSg_chr6.15932 transcript:KYUSt_chr6.15932 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHGEPPAALRSIPDDLIPEVLLRLPAPAVHRSRAVCKLWRSLIDDDRFLGAHRRHRPAMPLFYLHRDDSTAPAELNPPNLRVRLEAIDLRPRATQTLIRFAVAQEHRHIVRSARGGRLQRRHAVRADQIEEPSGFDVHGSCDGVLLVSHGWTLFAYNPAARRWAQLPDNGSPIVGFYAHRPTGTFRVLLSHGRCREEHWVLTICRQMTQRRIPGTDEFLSDQNRLRPACESPPALVSGCLHWLPQSFQSNRDLLTFDTVSETFRWMRPPPAVEADGHVQALLELDGMLAMTVTRAGDLAQLWVMGDYGEQAWFCKLQVSLPVDRFGGAVHLGGVAIVSQEGDVLIQCENGLLQCDSVGRVHKHHQLEHHRAVAVPHMFKENIVPHHCLDDQQPREGWEGRQIPPFFRDMRDGCYYPTP >cds.KYUSt_chr2.39919 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247873355:247879914:-1 gene:KYUSg_chr2.39919 transcript:KYUSt_chr2.39919 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQRGPRYGYGYGANQRWSNGSNGVRGGFQNRGATQGGVTRGGIDADLLQQTVQAVVAAVTAVQKAPDATAVSQVQVVAPVVNKEASSETVVPVAASMATQQQEEAARKVVTDQLNISAKGKEDEEQAPSKKKKEEKTGCFRCKQPGHYIDDCPKPFCDLCESVNHITSACHLLHAPKPTAILHGYANEGLMFFELPCGAFKAKVENPKLAKVTVDGNALTIPEIIDQLKKIVPHEKFNWEVFHFKDNIFRVKLPSKQEVQRLKNFGTYICTDRESCLSFDIWSSMEAPFSLLPEVWVRVAGLPSDMRTDYLSLWGVGTLFGKTLDVDMAFTRKNKVLRIKIGCLDSRLIPADSDVFIRRGFFKLKFEVENSQESQEVDMVEGNNGDDGNNDEKGGEENTGGSHDMDMDPRKKVTKDASTNNVNGGSNGNNGGDGMQEQIERLDALQIGSLNLNITPADLDQEGSAWGLSPCRFLGGAVQALAVSGSSAPVGACPAGTQKTEKCRSGLSVPGTEAMHADGRTGCEGQDIQASRSELATDAWAKHRREGPASGLPLHASDVAVGPVIPAAGLSAVAEEDSHVESVCGEVQKIRQCMADHCAPTAAHNHTMIANDWQSVAASEGSSVAGADGLCDSGSAKGSKDGMVDVVRLVETSKHDVGGRVSMGMASSPRRTGNVVLTNLTTKNSVSSALIETDTPSHYPSLEEVIAFGGIQKPTAGVRSSTRLGSQPNADMPMMEKAMKQALIKDDALNSGQFSVPKYSIINISDSEIAKRADRLGVSLGKSQVEIGKSIQGIKMVEEERILTILKKNECDIDNREEGLETLVLSKVSNLSEDLCEDEDIPLDFDDHLDYLKPVVKVLHMVSHWVQLWALLSPEGQRDAMVSGCIRLLMVAQDILCQAGWRHTRRLC >cds.KYUSt_chr2.50847 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317931224:317935020:-1 gene:KYUSg_chr2.50847 transcript:KYUSt_chr2.50847 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTSLGTSSPRTLEPNREPSTRFTAEASSSSAMDSRPVWRDLDGEEDVVDLDSPWAAVAEAESRLEEAASAAEARCCAEDEAGVDDIQDNLKRQEDELLALEAIYGDDLVEFQSKTGLRYFQIYIRYDLPDGAKVCAKFSSANGDGECPYDCAEQHEDKQDDFYSCNLERLPPLILTCLLPQSYPSKDPPYFTVTAKWMDGPNVSKLCEILDTIWAELPGQEVVYQWIEWIRSSSLPHLGFDNKITLGPDISTQKGDKRAISRSLSLVSVIPSILSYSSNKCNQIFLEDLHMCMICLNQSKGSNFIKLPCEHMFCFKCMETLCRMHVKEGTLFQLVCPDTKCSASIPPYLLKRLLGEEEFERWDKLTLEKALNSMSDVVHCPREAVSNSGREVPATSGIRQDGRKGDGGGNAELTNALQ >cds.KYUSt_chr2.47698 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298374758:298375297:1 gene:KYUSg_chr2.47698 transcript:KYUSt_chr2.47698 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKNSPKAVAAARAEGLSLSQALGRNAARMEQESSSIENPTVAAAVPAPRNKVPLETLDDALAIGEAFFGRAPEKKKKYMRVRLSKVDLEYILSYKSSPMPHSPDASTGGLPPPKSRLWTTSSYCSPLSTARTMISWRCKRESTRSTRRKVTPITGSLTMKMKPLELYSLLQPRGHDS >cds.KYUSt_chr4.42718 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264448841:264449566:-1 gene:KYUSg_chr4.42718 transcript:KYUSt_chr4.42718 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSILSLLLLASVQPAISSLRRYDAIFNFGDSFSDTGNNIVVYAENSLPNPAAQPPYGMTFFGRPTGRNSNGRLIIDFVAKRLRLPLVPPFLSHNGSFSQGANFAVSGATALNVSFFKDIPIASQIALNTTSSVQLQWFESLKPSLCSPAPGED >cds.KYUSt_chr1.12557 pep primary_assembly:MPB_Lper_Kyuss_1697:1:77310462:77323115:-1 gene:KYUSg_chr1.12557 transcript:KYUSt_chr1.12557 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAATAVAATAAALRAAFFAPPVAASRLLPPRRVLLLPLHCLSSSSSSSAVPPCAPPSDSQPPPLPALMDAQFESFRAQLDGSSALRDRIRAVVSEVESASRAATAALLLVHQPVPLSGSISLRILALPCDLPPGLTRGYLLSSLRADVLGKAKLQVEVIKVLYSQLAEILKECPGQYYRYHGDWRTETQTVVSMLAFTHWLETGGLLTHAEAQEKLGLSSGEFGLDVEDYLTGLCFMSNDFPRYVVNRVTSGDYDCPRKVLSFLTDLHASFRMLNLRNDFLRKKFD >cds.KYUSt_chr1.41348 pep primary_assembly:MPB_Lper_Kyuss_1697:1:253644394:253645477:-1 gene:KYUSg_chr1.41348 transcript:KYUSt_chr1.41348 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLVLLLLLFCRDGRAVEQGVARRDVTTPLATVPLPTNPAPATPLATAEPSLAHPVAAAGAGSWCVASPSAGAAALQVALDYACGQGADCSPIQPGGSCADPDTVRDHASYAFNSYYQKNPVQTSCDFAGAAILTSTDPSTTTCKYPSTGTGASVLNTTNPLTPTLGSPPGGYYNSPPGAGGFYNPPPLYGSMSPPDYGAGISAATTPRSKIRTTSLTCLLVAAACLNLYK >cds.KYUSt_contig_662.54 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:320751:321488:-1 gene:KYUSg_contig_662.54 transcript:KYUSt_contig_662.54 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMSPPLPCLAMVHGVGAKDQPPTATTLFSVADGRPLAGAGAGWLALEEELKNKYLCPTPQGWMLVHDPKATTTYLLDATSRQKIQLPHLAVEQRLIPYCSCLLSGEPTAPGCPVLLVEPIDATIWYCRVGDSEWTMHEYDIGTVGDEYYVEKRVIAPIAAFGGRFYFNPVPAETRVLELLLRPGAAALAPVFGSVAREPESTEDDGFVGTAEVFMVGTGDELYQVILAQKAKTAGGTTRSGS >cds.KYUSt_chr2.3135 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18385271:18385597:1 gene:KYUSg_chr2.3135 transcript:KYUSt_chr2.3135 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRTCTDGVVRGEAAAAVGTAAAVGMSAAEVGSPVSPLGALMAPNSLLVEFLRWRSRRRSRRLAQPQPVNEGQDPESGNSAASENSGDRQQQQQQGQHPESGNNIV >cds.KYUSt_chr7.20076 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124357196:124372568:-1 gene:KYUSg_chr7.20076 transcript:KYUSt_chr7.20076 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDASPSPAAAPAPAPAVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPSSSPPKASPTPPPPPPPPPSLPPALPPPPQPQSLPPPPPVPAPAPRRSGPRAQGVDPTKIQLPCARCKAILNVPHGLSRFRCPQCDVDLAVDISKLQHFLASASPGFVPPPPPPAPPVPMPHMPFLPMMPRMPMAPMAPPPELPEEINEVAVDVEREEDEGGAVGDTFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLTIMDELDDTKALSCLQIETIVYACQRHLHHLPTGPRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKALWISIGSDLKYDARRDLDDVGAKCVEVHALNKLPYSKLDSKAIGIANGVVFVTYSSLIASSEKGYSRLQQLVQWCGSEFDGLVVFDECHKAKNLIPEAGGQSTRTGKSVLEIQEMLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFQQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGVDFDVVEAPLEERMMNMYRKAAQFWAELRVELLSASEYYAEDKGNSAQIWRQYWASHQRFFRHLCMSAKVPAVVRLVKEALAEEKCVVIGLQSTGEARTEEAVIKYGVEMEDFISGPRELLLKLVEDNYPLPLKPDSFQQGEEKVTEVQRKRHYGLDVSLKGRVRKLTKMGDGSDDGMDAHSPLDSDHELTDSDEEFYMCRVCNTEEEKSLLLQCSSCATRVHPGCPIPPWTGLLTEDWSCYACKEKLECYFKERDAYITGLSKRYDTAVERKSMILDIIRALDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNTKEIALDMINMHEKQLFMDGEKFVAIISEAGSAGVSLHADRRVKNQRRRVHITLELPWSADRATQQFGRTHRSNQTSAPVYRILFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSIYGKKALTMMYRSIMEQDAFPIVPLGCSENQGSLQEFITKAKAALVAVGIIRDAAICIGKNGGRLTGRIVDSDMHDVARFLNRILGLAPDIQNRLFDLFTSILDMVIQSARSEGQLDSGIVDIKAKRVEMKESPKTVHVDSLSGASTVLFTFTIDRGVTWESANAIFEERQKDGACSSNDGFYESRREWMGRRHFMLAFEGSTEGMYRVTRPAVGEALREMPLVELKGKYKKASSLEKIGKGWQEEYDVSSKQCMHGPKCRLGSYCTVGRRLQEINILGGLILPVWGTVEKALNKQARKIHKRIRVVRLETTDDNQRIVGLMIPNAAVGSVLEGLQWVQDIDE >cds.KYUSt_chr3.15159 pep primary_assembly:MPB_Lper_Kyuss_1697:3:92489241:92491514:-1 gene:KYUSg_chr3.15159 transcript:KYUSt_chr3.15159 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNKKNKVILAPPLLPDVDDKDIVISQEEVDFVDNYREHSRWIARLDRELLDKVVSRVADHDDDKVELLYQKRERKRRAAEALHPRNDDDREVEPVDALPVKLEVELVSRTDSPWILTILRSSLHPLVAGSLRSNRIAEPTAGSSGSISSRHGSQLGKRRIPGSQTPALTAVTALEMRRQGCGHGTFARKAGVHTPLDGDDAEVGGQTSC >cds.KYUSt_chr5.3401 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21999601:22002898:1 gene:KYUSg_chr5.3401 transcript:KYUSt_chr5.3401 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGRAAALKDQGNEQFKSGSYLKAAALYTQAIKLDPDNAALYSNRAAAFLHLVKLNKALADAETTIKLKPQWEKGYFRKGCVLEAMEQYEEAVSAFQIASQHNPQNTEVSRKIKRLTQLAREQKRAGDVENMRSNIDLGKNLGSLKTELAAKYGDAELAQNISSFVINVMESAVKVWHDTGKVDPRVNFLLDDQKTDTEKYAPVVNIEKAFESPDTHGSCIPYLRQYTVESHSKAACMVAPKGIISYPQ >cds.KYUSt_chr1.40182 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246180485:246181509:1 gene:KYUSg_chr1.40182 transcript:KYUSt_chr1.40182 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGNAPVSSPKLGAGSIPVPWEELQGSCSWEGLLDPLDADLRASLIAYGELAEAAYDGFDADEKSPHAGSCMYTQAGLLAASGVSHPEYYTVTKFLQATSEPRGQSPESESTAIGKALFVQQPEKPGRTNWIGYVAVATDDGVKALGRRDIVVAWRGTVNILEYPKDVEFQYKSAAQVLAGDFPDAKVRSGILDVYTTNNPVENHIMPMIVRNSARDQVLAEVRKQVEAYKEEKTSITVTGHSLGASLATLNAVDIVANGYNVPGSRPEQTPCPVTAILFASPHVGDDNFKSPPSPPSLLSVPST >cds.KYUSt_chr2.33550 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207228854:207234124:1 gene:KYUSg_chr2.33550 transcript:KYUSt_chr2.33550 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSREAFRVAVANTLERRLFYVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGSCYRADHLLKDFCKDKLERDTTLSPEKIEELNHILAVLDDLSAEQLGAKIKEYGIVAPDTKNVLSDPYPFNLMFQTSIGPSGLSAGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFSDVSDLEFLMFPREQQLTGKSATKLKLAGAVSEGTVNNETLGYFIGRVYLFLTHLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGVALEAHEKFAEPREVEKLVVTPSKKELGLAFKGNQKMVLEALEAMGETEALDMKAALESKGEVEFKVCTLGKDVTIKKNMVSINLEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRIGKTDDEQLNVFGFPPLVAPIKCTVFPLVKIEKFDVVAKKISKALTTAGISHIIDITGNSIGKRYARTDEIGVPLAITVDSTTSVTVRDRDSKDQVRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAADEEEAPET >cds.KYUSt_chr5.18150 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117216794:117217717:-1 gene:KYUSg_chr5.18150 transcript:KYUSt_chr5.18150 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGIGAAAEEDTIVIATSFELDKDMKDWKETATIAWVINGNQKVQALAIDRAIRKKFRLNHNDVAICPHQPVQFLLNFVRKAHCSEVLQHGRIKVDEALLQFRPWQPLEHAFGASISYRVRLCLEGVSAYGYTPSIPKVVWLTFTSRGSGDPASEVFVHEVRPTSSKRDTTFRVLVHLDQMEDCSMAPLDFFGSSNDACAFKPTPVSFDWHYLTVDGMPHVPLQNEDDEEVLLAVIDGETTTTIHGLSTAAVVTRTMTTMRRRRAA >cds.KYUSt_chr4.46866 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289811324:289813717:1 gene:KYUSg_chr4.46866 transcript:KYUSt_chr4.46866 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKHAMGLLRILLFLPLLLFPVPDAAASQEALQQSYIVQLHPRESTAGDATATLASTKNDHWHLSFLNKSVLHSSSSEEHQLPSSRLLYSYHTVFDGFAARLTAGEAAALGELPGVASVREDRRVELHTTYSYRFLGLSVCPTGAWARAGYGRGAIIGVLDTGVWPESPSFDDRGMPPVPDRWRGACEAGERFSAKNCNRKLVGARFYSKGHRANYPTDPSEAAASVPEYASPRDAHGHGTHTASTAAGAAVAGASVLGAGAGEARGVAPGAHVAAYKVCWFNGCYSSDILAGMDDAVRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATARGVSVVCAAGNNGPEPSSVANEAPWVLTVGAATLDRRFPAYVRLGNGRVLYGESMYPGKVDLKNGGKELELVYAAGGSREAMYCMKGALSAADVAGKMVVCDRGITGRADKGEAVREAGGAAMVLANSEINRQEDSVDVHVLPATLVGYQEAVELKNYISSTARPVARFAFGGTRIGRARAPAVALFSARGPSMTNPSVLKPDVIAPGVNIIAAWPGSVGPSGLESDARRSNFTVLSGTSMACPHVSGMAALVRSAHPSWSPAMVRSAIMTTADVTDRQGKPITDGDGGRADAFAMGAGHVSPTLAVDPGLVYDIEPADYVTHLCTMGYTQREVFKITHSAVNCSELLEGNQGFTLNYPSIAVAFKGNGSDSSTVVLRRTVINVGAPNSTYTARVAAPAGVNVKVMPTTLTFGEFGEKKSFQVWVDASATGKKDSADGYLVWKQQSGVQGRRRTVRSPIAVSWPVE >cds.KYUSt_chr4.39054 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241107326:241108235:-1 gene:KYUSg_chr4.39054 transcript:KYUSt_chr4.39054 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGEQERREQEDAVAMAQGKKRGREEEQEEAGGGRVVQGKEEVVAVAVVEEAGFLSSMASKIGAAMSGANGSGGGGEDGAEGNGNGNVVSAAADGQDEEQKDSNGGGGIFHRLLSSSSPPAPVTAGTEEEKRGGTDAEEGGGEQAGILSAMASKIGMAMSGANGHGSSAEDAKASNGDADHSKGESKEEEHESNSNGIVKQLMSNLPTSDTRGPNAEEASMLIAIIED >cds.KYUSt_chr4.26710 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167775470:167776492:1 gene:KYUSg_chr4.26710 transcript:KYUSt_chr4.26710 gene_biotype:protein_coding transcript_biotype:protein_coding MCASHSPALLIILLCSLLAGAANAEPSPDPTHKDCHPGDKSALLAIKAALGEPYHFASWTPDNPCCDWYDVTCDLFTGRVVGLAVFQDANLTGTIPSALAGLPHLQNLVLHHLPALSGPIPPAIAKLSNLSSLTISWTAVSGPVPSFLGALKKLTFLDLSFNSLTGAIPASLGTIPNLSGINLSRNRLAGTVPMLLSKSADQVYLWLSHNNLTGPIPAEFAAVNFAHLDLSRNALAGDASGLFGPGKELQYVDLSRNAFEFDLSAVVFPEQLDFVDVSHNAISGGVPAQVANLTNLQFFNVSYNRLCGALPTGWRMATFDLYNFQHNKCLCGAPLPQCKK >cds.KYUSt_chr1.36238 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220952703:220953431:1 gene:KYUSg_chr1.36238 transcript:KYUSt_chr1.36238 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEQRGRVFVLTLTGDGEHRLGIPLMASIRSALSSVAAQAAQDGPGAALVTVAEGRFFSNGLDIGWVGTSRARLAELINALRPLAADLLALPMPTVAAVTGHASAGGFLLALCHDYRVMRADRGVLYMSEVDLGFPLPPYFMALLRAKITATQALRDVVLRGARIRAPQAKEMGIVDVVCPGAPETAAEALKLAEQLAARKWDDAVYSSIRISMFPDACMSVGIVQESDEEKARNFAPRL >cds.KYUSt_chr7.2090 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12015002:12015699:1 gene:KYUSg_chr7.2090 transcript:KYUSt_chr7.2090 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLSKAGLTPAATYEYGLLFSENELEPADAPDFDHDLLKSMGVAVAKHRLEILKLARKDAAAAASANSLLSSSVTARLARKAGKCIARCARRLAGGGGKASTSVVPRICSSGRASTTVVPRICSGSDDVVVRAGALRQRNRGVKKMKASLMFHDCAYEEDEEEEDESGGEEEQSSDGGAAAAPGRGEIKWDCMFQDLKPT >cds.KYUSt_chr5.33202 pep primary_assembly:MPB_Lper_Kyuss_1697:5:210628244:210632586:-1 gene:KYUSg_chr5.33202 transcript:KYUSt_chr5.33202 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSAHLRAEKVVCELVTEEKAGVPLFRNRDLKAIVDAILKYIGREFCVAQVYNHLRHCRARWVHVCRLKKMEGVRWVEKTLAIMIDDYAHYAYTKIMLGLQVQRFVEQQQVSLKWITQGLLNSGSQHNVDQVIFEVTCGVSPLDPAIFPKIHTMPPKKFVGPRATIATAAEPKQRKPRKPKQKPDDMSNAERDMDIHRRRAETQGRKERLQKLKLRKAGDTAEAKEEAATVVDRNVAVARAQIGLPTIYIGQYPHGWNMGLGSPAGFSPSSPAMFREPYGHLTPRSSRHGGEAIDRRHAPPPPPDAAAEQGQELQLPADIDWDRLDKARFFLLGAGLFSAVSAALYPAVVLKTRLQVAPEPPPAHAAAAGARAGAGLPPSAAAAATTILRREGALAFYRGFATSLAGTVPARALYMGALEATRSAVGPAMLSLGAPEPAASAVAGAAAGLTAAVAAQVVWTPVDVISQRLMVQGNPCPASRYHGGLDAFRKIVASDGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKAIWSGIGCYLCQYGVGVREIDHGEGDTSFEPSCKTLMVVQGASAAMAGGAAALVTMPLDTIKTRMQVMDGHGEPITIGRTVRKLIKEGGWGACYRGLGPRWASMSLSATTMITTYEFLKRLSAKKGHDSP >cds.KYUSt_scaffold_1700.435 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2779193:2779909:-1 gene:KYUSg_scaffold_1700.435 transcript:KYUSt_scaffold_1700.435 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAKIVEVGRVTPPPEDLVLRLSALDAQWLTIPLIQRVLLFDDSAGGQLPPFESLVSSLRASLAATLARFPPLAGRIVFMPSTGDAAIDCSAADSEGGGVRFVVAEMEGADARKLAGDTDHDVDAFKALVPELAVEALPAEVLAVQVTRLEGGVAIGVAMHHAVVDGRSVWRFLEAWASACRGDDSVGAPTFDRAAVALPGGEELARSTLRKYAPDLPLVCFPSSRTPPVRSPSATG >cds.KYUSt_chr6.15092 pep primary_assembly:MPB_Lper_Kyuss_1697:6:94564232:94569036:1 gene:KYUSg_chr6.15092 transcript:KYUSt_chr6.15092 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLKIIQDVGSNQSRPDAFIVQCEEKKGGSGGGGGGQLAMQHHQDGAGYPYEPPPTGMVSLSPQPGPATMSVPYPSPMLPPPTGPNFDELAAAVTGSGGGNFRHEEGMDMAVDAGGGRSASEAGAGGSGNRWPREETLALIRIRSEMDGAFRNAALKAPLWEEVSRKLAELGYRRGAKKCKEKFENVDKYYKRTKEGRAGRQDGKTYRFFSELEALHAAAPPQQHNQTAMATATATATTQPLAVVASQMTPAVPANPGAGLLPDLGFSSSSESESDDESDEEEDQAGDIGGRNKTVMALFEGVIKRITEKQDESQRMFLDTLDKWEADRTAREEAWRRQELARISSEREQHARERAASAARDAALIAFLKRVGGTSVLPSPMPPVHAPPAHVGSLQLVVAPPPKAEVGGRAGGGEASGGMSRWPKEEVEALIQLRMEKDEHYQGVGTAGASKGPLWEDISAGMRRIGYNRSSKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLDAIYRKKQFAVSGGGGHGGSSTPSRNTAVAANTAHEQWRELEGKMSNDSDKRYIVGGESLHAPPGTGEPPKATNKVLDAIATKKKAEDSMMMATEANMQTRQKELATPDETDSDDTQGNYTDEGDDDGNEDEKMKYMVEFQKSKEAGSSSTPPAPATVSAPTSSSTFLAVQ >cds.KYUSt_contig_815.255 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1577355:1577984:-1 gene:KYUSg_contig_815.255 transcript:KYUSt_contig_815.255 gene_biotype:protein_coding transcript_biotype:protein_coding MREAASNSCGAKSKKKKKKKRKLTANNLPNCLLLPVRRARRAVGVYGTFPVSNHNNGVVVVPLLLNGRCRCHHFHVRSRFHCFRLLHVSYQVCAHLLVTLGHSHCGFVLDEPRLVKLRLDGPRWFSGQGRWRRGWGWRWRCRTATAVEAEHGIGCGMAAHHFIEAGGEEQRR >cds.KYUSt_chr3.25546 pep primary_assembly:MPB_Lper_Kyuss_1697:3:158577264:158581827:-1 gene:KYUSg_chr3.25546 transcript:KYUSt_chr3.25546 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQSPSRFLLQILKDRVLSGDKGVDIDCHTVEFDDVRYHIQFSMRNTKVMVLSVALPLAPPEAILFDGLPLGAIDAIKAAYGTVVQILDPPKDGFDLTMKINLTKLPSDEEQRNALLTQIASVREVVLGAPLKLLLKHLSSKTMAPDVDKLVALVHRPNESFFLAPQADKVTIVYPMRFQDSIDIVLATSFLQEFVEARRTAALNNAPSCMWSPAPPLELRGMNADALNANAGFVTFVVFPRHVEGRKLDKTVWSLLTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKSDAEKMKKLVHGGSFRRLIMGVYAVQTFGS >cds.KYUSt_chr6.2368 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13774116:13774481:-1 gene:KYUSg_chr6.2368 transcript:KYUSt_chr6.2368 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRDKEPTSSAPERVARAVEAVAAAGEFRNAYRRQLLALSRRIRLLGPFAEELRERRRRVDETEERALAPLAAALERALDLLRLGRDGSRISLVRACVRSYLPPSFLYLPAYAAAGSGS >cds.KYUSt_chr3.39519 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248988281:248991488:-1 gene:KYUSg_chr3.39519 transcript:KYUSt_chr3.39519 gene_biotype:protein_coding transcript_biotype:protein_coding MKISELSPEYRQPPPHTGFLTDLATVVADVESFDTSPSSPDKLATDFRRILTNLGSVSSSLTHACRIQIWKLATRLWNACVDRANSTALARSPSARAAEAEIRQAAPELLLLAGVPDEIPLAAAKAANFFSRAGKEWLHLGRLDLATACFEKGTTLVSATGPEEERCVLLDLNLARARAASAAGDQSLAVALLSRSKPLASASPEGIKSLAEAYLSVGEAALSTKPSDPAVQASSILTEALDLCDKAASHSGAPNLNDLKGRCFRFLAVERLQAKDHEGTLRCIRASRSSVGPAEEHPSVAILAIRAWLGSGNLLEAERELERLMVNPEAPEHMCVMAAEEYLASAGPEPARKVLVALAARCRAGGAAAAVRVVTKVVQGGIGSAGRARAISELVSDERVVALFAGPANSIQRGAMHSLLWSCGVEHFHAKNYDTSADFYERSMLYLSREEESRPGRAQCLRVLTLCHLALKQLDRALEFVNEADKVEHSVHCAFLKIKIHLQKNDTDEAIKQMKTMVGCVDFNPEFLVLITHEAIACKSARVAVASLTFLLGLCSAGKPMSMPEVVVLRNLIELLRREQGTEDEILKYSRRAKLRMSDLGMEGFFGNGAVGGRELNWFAVNSWSMALRLTEEQKYDLSAEFFELAAEFFGGTSNTEGDENRPTVCKALILSVTSMLDAEEQNNSPLSDSDIKKGVEMLSRAGKLLPLVWPSVPVGSDQAEANKYLFLHTFSSYYLLDRMDTSTRPQQLQLVKNFATSKACTPDHLLTLGVTASKGTPPNLLVAEFSLKASINAALASQSPNYRVISIALRNLACLAALQDLSGSESDAVYDVYRQAYKIVVGLRDGEYPSEEGQWLATTAWNKSYLSRRLNQASVARKWMKMGLDLSRHLENMKQYIASMEQSFESFRKMSDSEPSECILLGKKPDECSRQDGAPSTSMSLSTSQPILV >cds.KYUSt_chr4.50213 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311146390:311147466:-1 gene:KYUSg_chr4.50213 transcript:KYUSt_chr4.50213 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSCSLGSCSVRPSKRPSKRQQKHLYVLLDDWERGYSIYRVGEDDFSTDSDDYLDTTPLARMEAQHPVSMSFATHGTKILAVRPSEGSPAITGFDTKTMGLTVCPLPQSHRSFLRPFYASVGDMLFVMVYRSFELLGSQPPPDSKEPWSWYTIKTELPFEPAYVTGYALHPDGYTLFVSAKGWKPGNNSRLLDDLQQSTYSFNTESLKFRYHGEWMLPFKGQAHYDSELEAWIGLCRDGTGYVCSCDVPSRANCDTMPAWKVGKDKVFDHKSMRHRGATLLYMGCSTYCLVQCCAQKHDEWFAYPRHRVMKMCTFRLKYDKNGELRITGHRGRASMAYKVARDRVAPTLDPTVFCI >cds.KYUSt_contig_1658.326 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:2083979:2084797:1 gene:KYUSg_contig_1658.326 transcript:KYUSt_contig_1658.326 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVPALAVVDARFCAGDEAALAVAKTISMSGNDFTVTDAATGDVLLRVDGVLFSLRRRCLLADAHRRPVLTVQESVRVVASRFHPFFFPTLFPQNLVDFFSSGISELTMTSEQLCGWSLQALVMNKRWKVFRGDSTSRRDLLFTVVKPSVIPLRWSTKVNVFLASNDAEQAPDFRITGSYHDGACSVSLGESNTIDRRSTVVSTLLGKNAYSVTVNPGIDYAFVVALAVVLDEMHYQ >cds.KYUSt_chr2.31221 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192677499:192687969:1 gene:KYUSg_chr2.31221 transcript:KYUSt_chr2.31221 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAYRQPPLFLFHLFQFLVINEHIIQLPFPQLNVCKALASASSSSSFFPRPEASPDVDIDLLPPQKPPFALLQNPNCDRSPLELTLKVQEGRLRPSPPRLLLVPSHQHLRLPVGEATVTLEDAAALGGFPADGSPVPAPLPRQWGSDEEALNGVRLDLNRSACKKAHHAAWLKHFLTGTDMETGIEHAAFLALWLNRFVLPGHPESTMRQSLFPLAVRMARGDRVALGPAVLASLYQDLREIKTYLVAAATFGGNGELLPPLSVHAPLYILQLWMWERFPILCDGKANPIKDGEPRAARWHDVSNKINPTVVREVLTSEKNFVWKPYTSCQRACKHHTGGWVRGSNITLDGELVSLAHCLRACELVGTDCIEQYLPHRVAMQFGLDQDVPGDVHRANEDSELAWETYDLDGKNVAFFIPRAEPGVTARYARWWRQPLPSSGLTVGAGNISVEGKVSKCKVKKTLAALEAEAEKERKMKKARVSVNNDKKRKLEELYDAKLSDWLATARNGSSGTGGGSGGSRKKGSSPKYDDASDMRMLPSVGTGKDDIAPLVPRKLLSTSAVNLKKDSDMNQDRWGKLLTWSKYEGVNLKGYMAGDVHPPVNEPYFKEAPMVSTEISTFNEDAKGEWRNEILKNTNELVRGETPYVPNRPKEFKPPLCMENEECSDYLSDVDCSEDDREEAVIVDKPTIFSSAPEGGNAVTPEEKMVNLPEDKCLDATYTTAEGTIDTQELEMKVMLAVDESCGISNRPEEVTALVMEEREEKGNVAADNKGSIVSEGVGRAAEGTSHSIEVLPGSEQGVYAGIMNIPQETVALAEETLPVQQANVVGECVAMSCVMEDASVAAGVQGTNDGETSFDFADEEKRDISCIEEIGRGESNQMSEKDIQQEPAEAPQVDIVECGEDIALVVKDNTGENKNITQTVEKVVTNNNMAAVLLGFPEKRTNADKPLNLAKKDTEDMHKEVVQVEDAKQVCIDTLENGGANEGHHKVAEVENIDMTEAKMHAQFDTEKPEEVAEVEHADMDECKRSAGRGTDGDPGEVPEDVHTEVETARKWIENNNDNKMTEFPDIAHAEMEEVENSVKEGADEKFEVVFDLENTRMDGAHGPMEEGTGVKLNEVSDVVHAETKGTKDFFKGGADNNFQEFCELESIEMDGTHEPMEEYTDVKLNEVSDVVHAETKDTKDFIKEDADSKVQEISELESIGMDGTHGPMEEDTDGKLMEVPKVNVEVEHANGTERDNDRLDSILQVDLLSRGEARCLVKEDIEEDNEKVPQVKHANLQDEAPVEKDSKENPNADGKDLPEKEVGESKEVYQAKQTEGEEHKVLREKDTEENTKDALGVERAEEQQGETLTSEYMHGYIEEIIVAEQLDGQRETLPKIDAEGNPEEITRAQRKQFDDDIMHPLKNSVDSEMLFSSASIESKEEHKEVVVEDVIEKINERESLSDGAAMGKSDADNHKTLDIHDEVCIKQIHDCGIICENKETHILEDRHIVDSGLDDLVIMEVDGAWSTVGTHNQEALDLDKMQARQDKQHTAVGDVRILQDTNTNYSGDVGTAKDLCMEKGPAVLEKLDKGTIYENTERTFVDTDASECGEEEHGGTMKMIHETDSTVQAVRKISSKNKHNPTVLEKQDKETTDENTVRTLVDADAPEAEHDGTMKMIHETDSTVQAVNMAEDIISSKNKQDPAVPEKQDKGTTDENTVRTLVDTDALECAEAEHDGTMKMIHETDSTLQAVNMAEDIISSKNKQNPAVLEKQDNGTTDENTVRTLVNTDAPECDEVEHDGTIKMIGETDSTVKDVNTVEDKISSKNKQNPAVLEKHDEARTDENTERTLVDTVAPECGEANYNGTMKMIHESDSAVQAAKIAEDKILFKNKQNLAVLEKQDVGTIDENTERTFVDTDAPECGEVNHDGTMKMIHETDSIVQAVNMAENKISFRNKQGDHGKPDAEGSGSNQTARKEFKGDLQLDFEREVQAQQENVENKAEMSGGRKNDEASEQEQTTIENATIAPSGVENNNELAEEPIKSYGKYASDPCQTSKVGRPSIEEVRRIHSGRSICLKDIKESQGRICSEPSNRTHTNNAGHYSRHGVQEPVTVSKDIKVPLHDTVSVCGRDRALELVTGPPEEWRQEQYALNILEDVQNARVASKTKMEMEIRILKAQIVSMQKQVMNMDHAGEVISRSKRH >cds.KYUSt_chr2.50845 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317925984:317926653:-1 gene:KYUSg_chr2.50845 transcript:KYUSt_chr2.50845 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTMQLVAPLVVLMALAVATITVTVAGADTSAKRRGQEIHLFEVTVRMLDDMDDDYNYQLLATVLGSVDAARSATFETEPGTFSAFLTNNQARKLSKVPGVLGVRERDDPVPTEGH >cds.KYUSt_chr2.3675 pep primary_assembly:MPB_Lper_Kyuss_1697:2:22200536:22202197:1 gene:KYUSg_chr2.3675 transcript:KYUSt_chr2.3675 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAHGHGAAATTLPPGFRFHPTDEELILHYLRNRAASAQCPVPIIADVDIYKFDPWDLPCKLAYPSLLIYLHSTIHARSRSSMPTETAAQAVYGDNEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDGATGQSVGVKKALVFYKGRPPKGTKTSWIMHEYRLAAADPLAAAVNTYRPVKFRNVSMRLDDWVLCRIYKKTGMASPMMAPPLIDYDHMVDHDDLSGGGGGSFDEATGFYTQSNSSSTCRPMIMQQQQHAGRLPTIPPISDLFDDYAFAQMFDTEAEHLAVHPSLNQLLSVEGDSSVHRVEPSYYAPPSSSPAGSTGKREAASPEECAHQSSAKRLKGSCFDAPPQSARGLQPASAVLGGLNHQMLPQF >cds.KYUSt_chr6.20865 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131603926:131605658:1 gene:KYUSg_chr6.20865 transcript:KYUSt_chr6.20865 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRDVLVLLCSLAALAAASIWFLFRGSSSGKKLSKLPLPPGPRGWPVLGNLPQVGAKPHHTMAALSQQFGPLFRLRFGVAEVVVAASAKVASQFLRAHDANFSDRPPNSGAEHVAYNYQDLVFAPYGSRWRALRKLCALHLFSAKALDALRAVREAEVALMVKQLKESAPAGVVVGQEANVCATNALARAAVGRRVFGGSAGEGAREFKDMVVELMQLAGVFNIGDFVPALRWLDPQGVVARMKRLHRRYDAMMDGFISERDQRHNQAAADGERKDLLSVMLGYMRPDGGGGEEEGISFNHTDIKALLLNLFTAGTDTTSSTVEWALAELIRHKDVLTQAQRELDDIVGQDRLVTESDLPHLTFLTAVIKETFRLHPSTPLSLPRVATEDCEVEGYRIPKGTTLLVNVWAIARDPASWGPDALEFRPARFLAGGLHESVDVKGSDYELIPFGAGRRICAGLSWGLRMVTLMTATLVHAFDWSLVDGLTPEKLDMEEAYGLTLQRAAPLMVRPIPRLLSSAYTV >cds.KYUSt_chr2.50560 pep primary_assembly:MPB_Lper_Kyuss_1697:2:316083829:316084239:1 gene:KYUSg_chr2.50560 transcript:KYUSt_chr2.50560 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKVKDMVSSAKEKVNEGTAKTQGKTGKATATTHGQKEMAKEETRANKAQAKAQMHQEKAEHRVEAAETRAEKAQAKAQKQQEKAEHRGEVAAAHHGTREPLTGPHDNHTPVTADPANPGAGAYPTTDNTTL >cds.KYUSt_chr3.10565 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62726113:62727420:-1 gene:KYUSg_chr3.10565 transcript:KYUSt_chr3.10565 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSVERLAQRLVTPAEPTPAGPLRLSWLDRYPTQMALIESLHVFKPSPDRDLAAGAGPARTIERALAQALVKYYPLAGRLGFTHDGGLLQVDCGGDGSGVWFTEADAACSLDDVEYLEHPMMIPKDALLPPTPAQEKADERSLVLLVQVTTFACGGFVVGFRFSHAVADGPGAAQFMAAVGNLARGNHTLPVPPQWGREAIPNPSTAAIGPLPTPAGAKSLEYLAMDISADYIAHYKAQYNSGGSWCSAFEVLVAKAWQSRTRAAGFHPDSDVHLCFAMNARPLLHSSLPRAGAGFYGNCYYIMRVSAPAGKVAGSSIPDVVRIIKDGKRRMPAEFARWATGEAGASGGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVAAFHQGMLDMN >cds.KYUSt_chr4.4557 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26081663:26082592:-1 gene:KYUSg_chr4.4557 transcript:KYUSt_chr4.4557 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMLGVPPPLVPHGAPHSAAPAASIVARALASAPPLPSVEGGPILSKPTSVHVKLRLGFFDVTVTGSAGEQAFFRLPLRKAAPSTGCKGLLVANFVTASVGLVDSIALVGPLRRPVLSVDVLVRSAAAPGGERVSLEAASALVSGCSDPSLEPTLLGEPRLITPSSPKSPALLLRDSEVEAGAEVAGMTALPALPSPVRALAAEAAPVSGTLWPAASPARQPRRCSRLARDCYVSIVDKAIARKKELFDGSTAASSRRRGELVPDDLFAVAMEDGGPLADEDVEVLARACDISLGVAQDVPTVLPASP >cds.KYUSt_chr4.9822 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59397627:59401168:1 gene:KYUSg_chr4.9822 transcript:KYUSt_chr4.9822 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTKPASAAEEAMGGKAAQQGGEGERYPVEEVALVVPETDDPSTPVMTFRAWTLGLTSCVVLIFLNTFFTYRTQPLTISGILAQILVLPVGRFMASVLPDREVKLLGGRLGSFNLNPGPFNIKEHVIITIFANCGVSFGGGDAYSIGAITVMKAYYKQTLSFVCALLLVLTTQILGYGWAGMLRRFLVDPAEMWWPSNLAQVSLFRALHETKEGGKPSKGPSRMRFFLIFFFASFAYYALPGYLLPILTFFSWACWVWPRSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWASIANTAVGFVMFIYVIIPLCYWRFNTFDARKFPIFSNQLFTSTGQKYDTTKVLTKDFDLNVAAYDNYGKLYLSPLFAISIGSGFLRFSATIVHVLLFHGADMWRQSRSAIGSAAKLDVHAKLMQKYKQVPQWWFLVLLAGSVAVSLLMCFVWKEEVQLPWWGMLFAFALAFIVTLPIGVIQATTNQQPGYDIIAQFMIGYALPGKPIANLIFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLDSIENICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPGRLFGQHGLYRNLVWLFIVGAVLPVPVWLLSRAFPEKKWIALINVPVISYGFAGMPPATPTNIASWLVTGTIFNYFVFRYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHDLKWWGTEVDHCPLATCPTAPGIVVKGCPVF >cds.KYUSt_chr1.29658 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179595507:179595980:-1 gene:KYUSg_chr1.29658 transcript:KYUSt_chr1.29658 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAKWFVMGLPVGFWWGWKKSSVWEMEKVVESALPPAVAKHVVGLLPKGTADTRQKLRLQESIRENGRRQEETIRKLEETVRMQELNAARVEKKHEQTIRSLKENAARQEAGQEQTNRLLQAVLARLPEDGDQRVAPTQGSPPTTATPPAPPKQ >cds.KYUSt_chr1.33195 pep primary_assembly:MPB_Lper_Kyuss_1697:1:201582589:201583221:-1 gene:KYUSg_chr1.33195 transcript:KYUSt_chr1.33195 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKGMHEQTTGCLPVDQSCFALSRLSSAYRNSSNARNNDPACCSTTSYLEVLGISFASLLIILFVLCMIRCYLMRRAVNRVTVGSAAAVKKRPVGLGEDAIAALPKFEYRDTGDESDRWECAICLCTMADGEVARQLPRCMHVFHRTCVDMWLVAHTTCPVCRAEVVKPADDNHSCAKRPAAEAEAGPSSASASALLQDGERDLEAQL >cds.KYUSt_chr6.28795 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182474954:182479387:-1 gene:KYUSg_chr6.28795 transcript:KYUSt_chr6.28795 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGMDWNLKSSVLWDWENLPPPIGINADENPKNGMQAEPRFAATMGNEALHYSGGSSAFSSSSEMGYGSSRSSMSASIDSSSKVGNNMEFRFAPAKNPDRNTSKNAGSGKVDYTRTGTSPSSVVAVTNGEPVIGLKLGKRTYFEDACGGQNTKSSPSGASTPNQSPALAKKAKVDHHKPHNSYCQVEGCKVDLSAAKDYHRKHRVCELHSKAPKVVVAGLERRFCQQCSRFHALGEFDQKKKSCRRRLNDHNSRRRKPQPEAISFSSSRMSTMFYDARQQTSLLFGQAPYIQMRGYASSSWDDSGGFKFTETKAPWLKPTTAAGIDRMHLSSQQVSDNIMPHGAHHCFDGFMAFKGTGAKFLNQGVQASAVASDSSGAPDIQHALSLLSSNTVGAANLQQSPQMHPGIAATAGIPNPVVHALRSSPGLWLDSPPLDDHPRFQVFNRLGGHDMTDTARPGYCVSTYDSTSPDDSFDEENDDMVDNVIDAAMDAKFMADAEREAEEEQAAHATFDAEQERRGGVAAAEDASDDSTSSGTMTNLSQRRRRQSIGL >cds.KYUSt_chr1.10266 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63005863:63007474:1 gene:KYUSg_chr1.10266 transcript:KYUSt_chr1.10266 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRGFLGGGGKKEQKKDQKPIAPASNAKRWSFGKSSRDSAEAAAAAAAVATSASGGNAAIARAAEAAWLRSVYDETDREQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTNKGRPAAAHAGEHTRGPGAAAVRIQTAFRGFLAKKALRALKALVKLQALVRGYLVRKQAAATLQSMQALVRAQASMRAHRAGASAALPQLHHSSFRPRRSLQERYADDTRSEHGVAAYSRRLSASIESSSYGYDRSPKIVEMDTGRPKSRSSSRRASSPLLDPCEEWCAANNAMSSPLLPYHIPGGAPPRIVVSAPRHLPEYDWCAMEKARPATAQSTPRYMMNAPATPTKSVCGAGGYSSSSLLSCPSYMSSTQSFEAKVRSHSAPKQRPEPPAAATTNRKRVPLSEVVVTETRASLSGVGMQRSCNRVQEAFNFKTAVVGRLDRLSTGASENDRQAFVQRRW >cds.KYUSt_chr1.28058 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169226362:169227308:-1 gene:KYUSg_chr1.28058 transcript:KYUSt_chr1.28058 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSVSKIVPRSASRTPASLRCSRPRAVKVVSDVCDPVDRNCVLALCPPPPRASPTPPGPPSCSSASQLQPVRPSSSPALLFVDLACTAKLCERRRLLDQDAPDVLYRARVLRVVPVPAPRQSLRHRSITDVHDHVRPQQPRALAVSLGLFVTNSIRLLEDVRGAPRHPLHTGQQSPSVSKPRHGQAQTAVDASGRLAPSAPVAPPSVGIACSRRQRAALLVQFDAVRDLAQLVRSIQCMHQSRDPFPAHAKPSIFNPFILCSADRWVPPVSVLG >cds.KYUSt_chr5.35193 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222495336:222497588:1 gene:KYUSg_chr5.35193 transcript:KYUSt_chr5.35193 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQITGDDGEECNSNESGWTIYLASPTSSDDAKENASGGSNVEDGSGYISERRKGKEATNADDDADYDSLASDASTGPAQVKVLEGKEEKDHHKNDGCSNEHGKDEKDEILTKFSNSGNKKAGKMKKGDEKISKRGHNRRRASNLPRPLPGPYHELASPTSSNDAKENGSEGSNVEDGTGHIHERRKWKEATNADDDGDYDSLASDASTRPAQVKVLDGKEEKDHPTNDGCSNEHGKDDRDEILTKFSTSSSKKGGQDEERG >cds.KYUSt_chr4.1624 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8591325:8594982:-1 gene:KYUSg_chr4.1624 transcript:KYUSt_chr4.1624 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLNADLIWKSPHFFNAIKERELDLRSNKIAVIENLGATEDQFDTIDLSDNEIVKLENFPFMNRLGTLLINNNRITRINPNLGEFLPKMHTLVLTNNRLTTLAEIDPLASLPKLQYLSLLDNTVIKQPDYRLYVIHKLKHLRLLDFKKVKQQERIAAAQKFYSKEAEEEAKKVAAKAYTPVKVAQDTTKEQGPKVVAPTPAQIMAIKAAIVNSQTLDEVARLEKALSTGQIPAEFEIPKPDTNMAEASEETDKMETDGQDQEKNGADEQKQDEESIPTPIQED >cds.KYUSt_chr4.26034 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163683450:163688098:-1 gene:KYUSg_chr4.26034 transcript:KYUSt_chr4.26034 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRTRTGRGRGRGRGSGSSSLPPPPSTLPLIIEEFFIVVYEDPLVKKALPKKFADYLDGQEPAKVYLSEQLIVVLVSGPWRCQDNVPLPPAALPAVSYLDVLLGNTTLKESSAATTSSSVAISSPILVRKPDDWYFTFYIRKDLGGSFHTYPDLSGPFKSLQEADNAINRHLHDLEDPKMSDEALDKLSIMERAIQESLYWPDGTRKKCFEPPDKTEDEVHLLVQALVDQYNEDHKLLGVAAMVVRIMEQLVEEEKARLRYQYEDFPDGKTNLLG >cds.KYUSt_chr4.7820 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46533310:46537690:-1 gene:KYUSg_chr4.7820 transcript:KYUSt_chr4.7820 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPSLKNFNAFPHAEDHLLKKTYSGAIVTILGLIIMVTLFAHELTFYLTTYTMHQMSVDLKRGETLPIHINVSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDKYGHIIGTEYLSDLVEKEHGTHDHDHGKEHPVEEKKPEHPFNEDADKMVKSVKLAMENGEGCRVYGALDVQRVAGNFHISVHGLNIFVANQIFEGSNHVNVSHVIHTLSFGPEYPGVHNPLDDNSRILHEASGTFKYYIKVVPTEYRYLSKKVLPTNQFSVTEYFVPMRPTDRSWPAVYFLYDLSPITVTIKEERRNFLHFITRLCAVLGGTFAMTGMLDRWMYRIIESVSSSKPRSGMR >cds.KYUSt_chr3.40768 pep primary_assembly:MPB_Lper_Kyuss_1697:3:257380720:257382192:1 gene:KYUSg_chr3.40768 transcript:KYUSt_chr3.40768 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSRRRRLGRGDRLGRNPPTATERGAAKETRDWAELPLDAISSVLHKLDHVDILTGAGQVCRSWRSAARDEPELWRRIDMLGHADLLNELNLHGMAQAAVRRSAGRCEAFWGEYAGDDGFLLYLGDQAPSLKSLHLISCNGVSDEGFTEAIQKFPLLEDLELSLCPYVGESGVFGVVGKACPQLKRFRLNRDGFYYLDSRDYDMDEEALGIATMHGLRSLQLSGNRVTNKGLEAILDNCRHLESLDIRRCFNVKMNDILRAKCARISSLRLPHEPN >cds.KYUSt_chr5.26503 pep primary_assembly:MPB_Lper_Kyuss_1697:5:167789140:167795691:-1 gene:KYUSg_chr5.26503 transcript:KYUSt_chr5.26503 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDMEKKAEKKISGWGIFGSKYEDAADLYDKAANFFKLSKNWNRAASVYIKIANCHLKGDSKHEAASAYVEAANCYKKFSPQEAAQALDQAVNLFMEIGRLSMAARYCKDIGEIYQQEQDLEKASDYLERAADLFDSEGQTSQSNTIKQKVAEIAAQLEQYPKATEIFEAIARQSINNNLLKYSVRGILLNAGICQLCRADPVAIQNSLERYQEIDPTFSGTREYKLLADLAASMDDGDVAKFTDAIKDFDGMTRLDPWKTTLLLRAKNELKKQEEDDDDLT >cds.KYUSt_chr7.38618 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240431486:240431686:-1 gene:KYUSg_chr7.38618 transcript:KYUSt_chr7.38618 gene_biotype:protein_coding transcript_biotype:protein_coding MLILELGLWVLPFTLMLAPARRMVHLVEELQRILLAFACGRRRRRPPNFAELWSRLDRLDSATVIA >cds.KYUSt_chr4.20994 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132262261:132262736:1 gene:KYUSg_chr4.20994 transcript:KYUSt_chr4.20994 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDNQWDLERGPMSVIAAPPSNIHARPRSAAAAAAGDDEDDRKIPNCVWVSLQLLVTAVLCCPLLALAMTRTDSVEKAVLSVVMLPVVVGVFFVLRVMCDRPRMALVAAVVNR >cds.KYUSt_chr6.8617 pep primary_assembly:MPB_Lper_Kyuss_1697:6:53008329:53010830:-1 gene:KYUSg_chr6.8617 transcript:KYUSt_chr6.8617 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPILAPAVLPPPITRFHNIEESPTPVPAPAVLPPPITGFHNVEESPILVLAPAILPPPITRCTVQNYFAFYLTKGDKMEAVAYGQHANRFKNELRIGEILIPYPTNGRFILFVFNLGERTITILDPIPVSDKWKTSLLNKDALKLKDISFHLNIALQAAIKGWNDDVFLWHRITPVGLPKKP >cds.KYUSt_chr1.38619 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236043452:236044840:-1 gene:KYUSg_chr1.38619 transcript:KYUSt_chr1.38619 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKQWTRVRTVGRGASGAEVILAADDASGELFAVKSACPSGAAALRREQRIMSDLRSPRVVSCIGGQAGRDGSYHLLLEFAPGGSLAEKVARTGGLDESTVRAYAADLAAGLAYIHGESLVHGDVKARNVVIGADGRAKLADFGCARKAGCGPIIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRPPWSRMAGDGLAAMHRIGYTDAVPEVPQWLSADAKDFLGLCLVRQAGDRCTAAQLLEHPFLACAGVNTKLEEEVNNKWVSPKSTLEAAFWESESDSEDELAVPESSAERIKALASLTSSLPDWDSDEGWIDVLSASPTEAQVVAVAMPAVETTNLDDSIISQEPSIAIAECGSALDIALDYSSDGGALNAGEAHHSSVEGSRDHQFLEISVNHELAACKLQFCSRESINNEVDFVVAHDHALFFTAPLDVPGLTLLLVPIATRSISKF >cds.KYUSt_chr4.20251 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127385183:127388380:1 gene:KYUSg_chr4.20251 transcript:KYUSt_chr4.20251 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQEIAASAGEKAPEQHAIDVVPDQHGDAKGTVVDAGGDVEKERLVVVEEPQKKKSTRVAALDAFRGLTIVVMILVDDAGGVYERIDHSPWDGCTLADFVMPFFLFIVGVAIAFAMKRVPKKREAVKKVSIRTLKMLFWGILLQGGYSHAPDDLAYGVDMKVIRWCGILQRIALVYFVVALIEVFTIKVRPNTVQSGPYAIFSAYRWQWLGGFIVLVIYMVTVYSAYVPDWSYVYHLEGDIDDGKLFTVQCDVRGHVDPACNAVGYVDRMVWGINHLYTQPVWIRTKECTFSSPEMGKLRDDAPAWCRAPFEPEGLLSSIASILSGIIGIHYGHVLIHFKTHKERLKHWLSMGFSLLLLGILLHFTKAIPINKQLYSLSYVCFTGGAAGIILSAFYILIDVWGLRIPFLFLEWIGMNAMLVFVLGAQGILAGFVNGWYYGSEDNNLVNWIQQHVFINVWHSVRLGSLLYVIFGEILFWGVVSGILHKFGIYWKL >cds.KYUSt_contig_662.153 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:942482:949762:1 gene:KYUSg_contig_662.153 transcript:KYUSt_contig_662.153 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGVTGVLSKLEEVASAEATALLKVDDQVRELRRRLVYLQAFVRGADQQRRGRASELLLLWARETREVAFEVEDAVDEFHLKVEAFHLKLKWGQSWYHWALKLLNGLVMQGRHKQIRPCLYLISKATWMGKSSSGDSARSKLWGTVRLGLVPVAIACTWTKMPSVHQQGKERGKREEIEDQQRLDVPARRRHGRDYEGGVAAPPSRRAGGGARRINYGFLPGTRRRFQPEEVEKKGHGNPDRRPSVLDRR >cds.KYUSt_chr7.23479 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146215031:146215474:-1 gene:KYUSg_chr7.23479 transcript:KYUSt_chr7.23479 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNLQEELETRKLLLDRQVIQSSGIKAVNEVNETYAEDSARLEACDLDDDDDDDDDDDEHPDSFARKLGLGTQQIREINKKVQEEMGLEAEMKREEDDFNKDNADPDLSAMEEDDVAKLHNKAVVQLCDGDEKPETVENKKEKLGT >cds.KYUSt_chr3.43573 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275008569:275009801:-1 gene:KYUSg_chr3.43573 transcript:KYUSt_chr3.43573 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLHLVLAASLVALASSKALPVLVPVTKDNATSLYTIPFSYGNKLVVDIAGPLVWSACQSGHLPAPFPCDGADCLRANAYPVPGCSKPGCGNGARRDRTCTVYPYNPVTGACANGSLVHTRFVANTTDGVNPVSQVSVNAVSACATRKLLTSLPRGATGVAGLAGSEALPAQVASSQKVAKKFLLCLSRGGVYGDGVAIFGGGPLQLTAQPGTDYTQSLEYTPLLAKKDNPAYYVSVKSILVEDSPVHFPPHALDTGGVVLCTRVPYTLLRPDVYGPFVAAFGNAMKAQNATSVKAVGKFGLCYDARRLANTRLGYLVPGVHLALDGGKIWRMTGVHSMVDVNQDTACLAFVEMKGVKAGDGKAPAVIVGGFQMENFVLQFDLDKKQLGFFKLPFFTNCGQFNFTRSG >cds.KYUSt_chr7.7838 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47424559:47425038:-1 gene:KYUSg_chr7.7838 transcript:KYUSt_chr7.7838 gene_biotype:protein_coding transcript_biotype:protein_coding MHITAAQCHRDRCTVPLGTAQCRHRAARCFRASSLAATKTSREPSETTAALALAVQRRPAVASVAAAAAVLRRAAAAGPALPAAACDVPRRPSRMPRLGDVARPAEDFVVILASQERNEEAASLLTCAAYTRLERPPARGGNAIRKRAICSLGFLEEAV >cds.KYUSt_chr4.44 pep primary_assembly:MPB_Lper_Kyuss_1697:4:372774:373836:-1 gene:KYUSg_chr4.44 transcript:KYUSt_chr4.44 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTALDDVIRRLLEARRPRQGKQAQQVQLTEGEIRQLCAASKDVFMRQPNLLELDAPIKIAGDIHGQYPDLLRLFDLCGYPPRHSYLFMGDYVDRGKQSIETICLLLAYKIRYPEHLFLLRGNHECASINRVYGFYDECKRRYSVRLWRNFSDCFTCLPAAAVVDARILCMHGGLSPDLRHLQDIARLPRPVDVPDSGLLCDLLWSDPSSSGHGGWGPNDRGVSYTFGADVVEAFVERHDLDLVCRAHQVVEDGYEFFSGRRMVTVFSAPNYCGEFDNAGAVMCVDDDLTCSFQILKPTDTKNRRFSFGFGSSTTAPSNNRGIRSPWC >cds.KYUSt_chr4.41681 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257792027:257798103:1 gene:KYUSg_chr4.41681 transcript:KYUSt_chr4.41681 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGADAFGSSTAPLAWHDFLERMRQPSASEFVKAIKGFIMTFSNRAPDPEKDSAAVQEFLQNMEGAFRSHTPWAGSSQEELESAGEGLEKYIMTKLYNRVFASVPEDVRSDEELFEKMSLLQQFIHPENLDIKPEYQNETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLMNASHMSNDDAHGADEFLPVLIYVTLKANPPQLHSNLLYIQRYRSQSRLVSEAQYFFTNILSAESFIWNIDAESLSMDERDFQKKMDLARERLLGLSAGSENQDSETNLVVREHSSQTLKGSGSSDVNLSLKDHVQGPVQDKRRESDVSSKSVERVQSISDLEKKGATELLKDDDLSKIFQDYPFLFARAGDLTVADVDTLLNSYKQLVLRYVALSQGMGITPETPFVQSTQTASDLQISEEPENVKNVVNSCESSEESNKASEEIKNEITESEVGNVSTTRAAVDPSERTLQDESSDQPEHA >cds.KYUSt_chr7.22143 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137099942:137103257:1 gene:KYUSg_chr7.22143 transcript:KYUSt_chr7.22143 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPTTAIITTSTTARSLFSAPLPLSSTTTTFSSLRASHHDVHAPPPLPFPPPFYHSLASPPLDTATTSSMAAQLPFHGFLLLLPLLTITAASSAPLPLLALLSLKSSLNDPAGALSPWTYAGAASAGATRSLSPPWCAWPAIACDAATGDIVGIDLSRRNLSGTFPTTAAALLAPTLASLNLSWNAFAGELPSAVFLLRRLVQLDISHNFFNSTFPDGIAKLGSLTVLDAYSNCFAGLIPRSIGGLRRLEKLNLGGSFFNGSVPAELGQLRQLRFLHLAGNALSGQLPRELGELALLEHLEIGYNGYDGGIPAEFGGLTQLQYLDVAAANLSGSVPPELSGLAQLQSLFLFKNKLAGAIPPSWSGLRALQVLDLSDNQFAGEIPAGFGELGNLTTLNLMSNFLSGTVPATIGELPSLEVLQLWNNSLTGRLPESLGASGRLARLDVSTNSLSGPIPSGICAGNRLLRLILFANRFNSTIPTSLANCSSLWRVRLESNRFSGTIPAGFGAVQNLTYMDLSSNELTGGIPFDLVASPSLEYLNVSGNPIGGALPDTAWLAPKLQVFAASKCDLHREMPPFGVSGCANLYRLELAGNQLSGAIPGDIGRCKRLVSLRLQHNNLSGEIPAVLAALPSVTEVDLSWNALTGSVPAGFANCTTLETIDVSFNQLTPAGAPSGSPDPNDGGSARHTAVMWVSAVAVAFAGMVVLALTARWLQCLDDDSAASSVSGAGGARPNVVVGPWRMIAFQRLSFTADDVARCVEGSDGIVGAGSSGTVYRAKMPNGEVIAVKKLWQAPAQKETASDNAPKQRCRQDGVDGDERVLAEVEMLGHLRHRNIVRLLGWCTNGETTMLLYEYMPNGSLDELLHGPAAGKTPKARPGWDARYRIAVGVAQGVSYLHHDCLPAVAHRDLKPSNILLDADMEARVADFGVAKALQGAAPMSVVAGSCGYIAPEYTYTLRVDEKSDVYSYGVVLLEILTGRRSVEAEYGEGSNIVDWARRKVAAGGGTLSDVTEQSVSESAREEMALVLRVALLCTSRCPQDRPSMRDVLSMLQEARPKPSRKPAVKKQVH >cds.KYUSt_chr2.45510 pep primary_assembly:MPB_Lper_Kyuss_1697:2:283762591:283765691:-1 gene:KYUSg_chr2.45510 transcript:KYUSt_chr2.45510 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSELNLLSAALGFGMTAVFIAFVCARFFCCRAPAADDGGAQPPLDFDADFPADLSRQMEHAHCGLEPWVISAIPTMKYNFEAFHSKDDAQFARRLARSKARDGEADALPAGSCSPPLPALPPRGDSDDDEEDGLALTCGSSAEAALFEVAAVVLA >cds.KYUSt_chr7.37078 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231421859:231427447:-1 gene:KYUSg_chr7.37078 transcript:KYUSt_chr7.37078 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPTILSLPVQHPTAEAPTATSATSASAARLARVSSIIACPLCRRVRRPCAALAIAVALLALAGVPLLLSSPGTGPAADRSRNGVSAGGARLSTHEVESGAGAVAADDGRCSEVARLRYGRAGTRWTRPWRRCFCLGVVHPMSSGIGGGAFIVVRNAISGEAVAFDARETAILGLQEFATLGLRRCFLRRLVLLLVSLPKQQHRCRARQLSSFPPPATHLFLSLCLQFSIPVSICLLLPIEQDMGDGRVRDGGERELRGGIGEEDRAGDDGHVSLPKQQHRCRARQLSSFPPPATHLFLSLCLQFSIPVSICLLLPIEQDMGDGRVRDGGERELRGGIGEEDRAGDDGQCDARQEMGRHIEPAPPSKLFRRPRHRQPNRRRREREEMWEKAGPVAPWRRH >cds.KYUSt_chr6.29231 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185175239:185176546:-1 gene:KYUSg_chr6.29231 transcript:KYUSt_chr6.29231 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAPHFVDEILEEIFLRLATPAALARASTACPRFRRIITERSFLRRFRKRTRPPLLGLIEEDGFYPAQAPHSSAPLARALADAADFTYSFVPKPSKGRWRPCDVRDGRVLLEDTPGWNEFSNLAVCDPLSRRHLLLPPIPEDLTGARKERLCGIVPTLAPIDEEDEDETSFKVICMAEYETKLAAFVFSSLTGEWCIAASPSWSSLGTTTPDGSRNISIYGCRGLSCFDDVRGCFYSASPWMDKLLVLDTRTMEFSTVNDRSGYHMHLRRLPGQAEDVLARNDEPRRSRSGQERSLPRIVVGREGAIEMFSLVGDHSPNGSFDLHHTTQPSNLESSKEWQLENIIPLPRQYDYFTSGAAEGFLFLGATTEDQLDIDENSPVRLSRTAWDVDYFSLDVRTSELVKVCRRKKQFFHYEDVYWYFGFPPSLSKPSL >cds.KYUSt_chr2.43931 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273445185:273447564:-1 gene:KYUSg_chr2.43931 transcript:KYUSt_chr2.43931 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSKKQHRRQEEQPSNAAKKNSGSSKRVTGKDGAALVTETKKAPQPKPAQSRKAAPPRAEEPAADKRTVFVVKAAAAAAAAEVAAESDGANSRRAPAPAEEAKPAVVVSRVPVRTSSCTKEEVDAILIQCGRLSRSSSASGKTAASGESGHQRRYSGSKRSYDFDRDRRGGGADDELDWERHGGPGGGASRPSPRRRTPERKRSASHEGRSAAGSGSRRVSRSPGRRGDGAPAAAAATSVGAARQPGKMVSVPAREKGRAPSPGKPAPEKGRAPSPVKSAPSGKRYASPTLRSNSPARAAAAANENAAAQATHGPSLSRSSSRKADHSPYRRNPMSELDENALASNNHTANHGNKSQKKPIESVAAVSHKLGITKDRPESVEEAVLSDTKAPSSRMNATHTMSIVAESVVNPRDGPGGRSWRRSSRDFDLEGAMASDNRAPSSRINATHSVNIVAESVGNPKAGPVGRSSRRSSRDFDHNGINSVAFLNEAMASEAKAPSSRMNATHTVSIVAETVANPKTGRSSRRSSRDFDHNGNSYASLLLEDIQSYHQQNTSDTTAAPAPAFSLPACVSKACSILEAVADLNSSPSENRSFELERSADDKGSVNMSYGGRTPAADTHVVESEVVVKDDLMEPSLHKYVSVRDIRGEIEPLESAGSNSFAGNPWTCSWEPNSVDSTARTWTSSQSNGDDDDIEQHNSGAASALDQSWQSKQQTGGHPQVGSGRYAQVGGSAHAGGGSVLSTRSDVRTVSASSSIA >cds.KYUSt_chr2.11088 pep primary_assembly:MPB_Lper_Kyuss_1697:2:70424043:70424360:1 gene:KYUSg_chr2.11088 transcript:KYUSt_chr2.11088 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAASRRHRRRQLLAARSRDALNTGGCKMQLAPRPPDDLGILSKSQRSNRCMAGTRRELRRQFPSLLQGSNPSHIVQANAASKSTRSPEREAVLIVESEPAD >cds.KYUSt_chr2.2829 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16835977:16837791:-1 gene:KYUSg_chr2.2829 transcript:KYUSt_chr2.2829 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHGLDYNFAVSLRTPSRGLEEGEGQQVRGSSTAAVREGTTSTAFAVADRTMHLFVKSPTGTKICLKVNKTDTLSTVKAKIQEQHRLFFDGVQLEDNLTLADYGIEHQSTLDLQESMQIYVMDTLAGRTIILEVDSLDTIDKVKSKIQDNEGFPKGQQCLIFANKQLKDDDTLADLNICKESTILLVLQRASTRGSMLIFVATQAPKKIPLKVESSDTINSISMKIYEAKGTRPIQQRFFFDGMSLEGHRKVADYNIEEGDVLDMFICLCGC >cds.KYUSt_chr6.29694 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188318747:188321176:1 gene:KYUSg_chr6.29694 transcript:KYUSt_chr6.29694 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSRKRIAAGTGFLLRMEVSAAIPAMRRRSQPCGGDPSHAAATSLSAWHSCEVEILPMVMGLGIRKEDLPKIEEELEMEIAKSELSELKKECVEAMEGQLKREEFKDEVMPDVRKLDIRNFL >cds.KYUSt_chr4.37041 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227776493:227776756:1 gene:KYUSg_chr4.37041 transcript:KYUSt_chr4.37041 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGSPTFTYLYPFLFTHTHGDDTQEPLLPAAAESPSTAGDPVRSSLGERFRSWFRRSSREKKPPSSGSGHCRSAPPSSPCCRAARA >cds.KYUSt_chr3.25743 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159866780:159868051:1 gene:KYUSg_chr3.25743 transcript:KYUSt_chr3.25743 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPEDKLADLPSAELVAYLSNSYLKADFEAVARILVARDSRNAKLGAELTAALADLDALAARGREATEVKAKLEAARTGIDALREKYRALLDAFLPPRNEVDEMALTMVEAAVSDTVHRDYSRVDDEEAEEGEVKGIDFIDLSSDEEEGEMAEGGSEEEDEEDTESLSQRIKRIRGDRPGELESGKLDARGQSNSVGTLGNDQQKSSSARIEGLVATSGKMASKPEDSKVEAFVQESPVVKTENFDQGMTKTMLLPSQGPLASSAIQEGSSKIDYCKAGIGGKGRSSDGAPARGVSQPSKGIAETNKTPAAQSSAKCGKKEVGAEKCASLSIPSEEPRITRDVVPFEPCKESNAYVQVKREMSSLPSEITSKWDCEAPVIDSLFDEEICMQGFCALYRQGKLATADKRDEFRYVKVAFAEY >cds.KYUSt_chr4.18109 pep primary_assembly:MPB_Lper_Kyuss_1697:4:113550039:113550278:-1 gene:KYUSg_chr4.18109 transcript:KYUSt_chr4.18109 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLWRQSAARTERPTWVAREKRPGPEVMRTAISVALRILLLPHAADLLMLPSGVVALGDDQRGAIEMVNGKITARKSS >cds.KYUSt_chr3.8338 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48122828:48129740:1 gene:KYUSg_chr3.8338 transcript:KYUSt_chr3.8338 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSPPVAAAAAPQAQLGFSPARPAHARQALLLPPPLARRIPLLAVNTAANSPVSPAWRRHALATEVEGLNIADDVTQLIGKTPMVYLNKIVKGCVANVAAKLEIMEPCCSVKDRIGISMISDAEEKGLITPGKSVLVEATSGNTGIGLAFIAASRGYKLILTMPTSMSMERRVLLKAFGAELVLTDAAKGMKGALDKATEILNKTPNSYMLEQFDNPANPKVHYETTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEQNPDIKVIGVEPTESNILSGGKPGPHKIQGIGAGFVPRNLDSDVLNEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVIWHQPLASAHTDCFHQYNINLPAIGYIMKLLGLGGFKREGPHKIQGIGAGFVPRNLDSDVLNEISSDEAVETAKQVALQEGLLVGISLGAAAAAAIKVAKRPENAGKLIVIYTSQLGWILHHPSSSRLLLFTVVDDLVAFFARKNHVAVHFLEGAVEIQIAALQRGEVLGIQGPEDLGLLLPVAALSCPSWFFSVDADAFALICFVEAFAFALICFIEAAPLIFLVFISWTGIVSRVSKIN >cds.KYUSt_chr4.6755 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39778979:39780062:1 gene:KYUSg_chr4.6755 transcript:KYUSt_chr4.6755 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDVILNSKALGRWQGGLGVRRLVLKSTRKWSLRTASSKALGRWQGGLGVRRLVLKSTRKWSLRTASSKALGRWQGGLGVRRLVLKSTRKWSLKTASSKALGRWQGGLGVRRLVLKSTRKWSLRTASSKALGRWQGGLGVRRLVLKSTRKWSLRTASSKALGR >cds.KYUSt_chr1.19833 pep primary_assembly:MPB_Lper_Kyuss_1697:1:116703223:116708775:1 gene:KYUSg_chr1.19833 transcript:KYUSt_chr1.19833 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGNAMMRGNPDGGVQGRPKGVARANVQQLKLMGQGHPTGLTPNLLKLFEARPPLEHKPPVEKRKLPAYTGMAQFVSRFAEPGDPEYAPPVPTCETKAEKKDRIRKLKLEQGAAKVAEELQKYDPQGDPNTTSDPYKTLFVARLNYETSESRVKREFEAYGPIKRVRLVTDKGTHKPRGYAFIEYMHTRDMKNAYKQADGRKLDNNRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGGSADQKPSAREQPSAGRPRSEEPKRDDRRTDRDREKSSEKVRERDRSEKPRERSHDRMRERDSREERHRHRDRDRTRDRDRDRGRDREKDHGRDRDRRDRDRDRDRGRDHDREVHGRSHDRQRERGRDRERDHGHANHERERIRLHDRDADYANGEPKHERGLADYGQDYGYNHHEQHKNHEAYGYGQDGRGHETERSKQLEFEYYKVQPNTEPEGPEEGEAYEEGDYQYYQAAEEHKTET >cds.KYUSt_chr1.25741 pep primary_assembly:MPB_Lper_Kyuss_1697:1:154767530:154768645:-1 gene:KYUSg_chr1.25741 transcript:KYUSt_chr1.25741 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSLPPPPERRVPLVVPMVPRLAEEGGAGAKVDDSRGSGGGGVAGISPSILIIAVIVVVMLLASISIHYFIRSLCRRSSGSSSTPPLPLVAVRSSAVAPAAVAGQGKEAAAERERLIERLPLFTLASSLAALPRSSRDCAVCQSVFGGDDELRLLPACRHAFHSRCVDPWLRANPSCPLCRASIALPHPPLPELLRVELGSVSSRRSTSSASAAVAAAPPPDGAPAAPRAYPLPSFPNSTAEYLVEEDLQVVLKPANPPLAPTARITGEPSQQLATAGERGLQPSSSVTPTASFRSVGRSSSRWSNRWSSRWSSGRWSSRYDAGSVTAAATAEWWWDMDGGVAPAVSRRGDAEDGSAFYGFVRWLTGAY >cds.KYUSt_chr7.15066 pep primary_assembly:MPB_Lper_Kyuss_1697:7:93359820:93362644:-1 gene:KYUSg_chr7.15066 transcript:KYUSt_chr7.15066 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEETVAAPPPTPAAPAEGGLAPPPSVEPTAEKVAEASPEKAAPPASASAPETTVRSRGFKLLGEDTSVHKVLGGGKAADVLLWKDKKTTAVVIGGTTVLWILFEVLDYHLLTLISHVMIGVLAVLFLWSKAMTFIKKSPPDFPVIQISEDAAVNVARALRNDVNRALHLFREIALGHDLKKFLGVIAALWVLSEVGSCCDFLTLVYVAVLMIHTIPILYDKYQDKVDHFAGKAHTEARKHYEVLDTKVLSKIPRGPAKAKKDT >cds.KYUSt_chr7.13032 pep primary_assembly:MPB_Lper_Kyuss_1697:7:80374780:80376710:1 gene:KYUSg_chr7.13032 transcript:KYUSt_chr7.13032 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFDSAATQALPNTQTQGYPSFKLVLVGDGGTGKTTFVKRHVTGEFEKKYEPTIGVEVRPLDFQTNCGKVRFECWDTAGQEKFGGLRDGYYIHGHCAIIMFDVTSRLTYKNVATWHRDICRVCANIPIVLCGNKVDVKNRQVKSKMVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLSGDMNLRFTEEFALVPAEVTIDVAAQQKIDAEIAAAAAMPLPDEDGDNMD >cds.KYUSt_chr2.1438 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8609770:8610318:-1 gene:KYUSg_chr2.1438 transcript:KYUSt_chr2.1438 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIKQAFSSLLLVLLLAHARDARTAATSSTMEDTCKRFAGGDQAGHDYDFCMKTLGADPESASMDAHDLAVDAVYIAMSAARATGARIARLQLAETVPARRECLNKCAAEYDVTVRRLSDAGSYAYVSGSEHLQKAQRLLAETLGAPLRCDRAFTAAGQRSPLTSTDHELDEAIGLAISIV >cds.KYUSt_chr7.40107 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249001516:249001857:-1 gene:KYUSg_chr7.40107 transcript:KYUSt_chr7.40107 gene_biotype:protein_coding transcript_biotype:protein_coding MWLDPPEVVVKMPICSGYVVVALVAARRSSSGAGGGTVERKRRLSAEQVVALEQSFDEEKRKLEPERKIELPRRLGMAPRKVAVWFQNGRARWKANQLAGRDVLPADNGRLRS >cds.KYUSt_chr1.40516 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248338139:248338843:-1 gene:KYUSg_chr1.40516 transcript:KYUSt_chr1.40516 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAWRTATPQAAEVTESIRGRLWADVADKVDAEEAALVELAAHCSPPGVATFGDFLASTRCWPGRRWAPPRLDGAHAGDHLDSHGHWNKHNSLGLKQQNQWQQNLIVKVSITDDNKRGLDALVLRAWELPELAGNGGETTDPRWSEHYTRRHAASTTAFRSSGVGVREPEELAALEPTRRNMARAAWRPEQLAALEHGRRRITVAVGRILSSSSCLEHTSMGMESRWGSERL >cds.KYUSt_chr2.39499 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244963856:244966039:1 gene:KYUSg_chr2.39499 transcript:KYUSt_chr2.39499 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGALLPVALLVCLALAGGADAARKPVGFYELKNKKGDFSIKVTNWGATLVSVFVPDCKGNVADVILGYDTIAEYVNGTQAFGSTVGRVANRIFKSRFVLDGKAYRLFPNDGNNSIHGGHRGFGKVIWTVKEYVSGGDSPYITFFYHSFDGEQGFPGDLDVYATYRLSSPYELSISMNATATTKATPVNLANHAYWNLAGHDSGDVLQQELQILASSYTTVDAMIPTGQIEPVAGTIYDFLQPTPVGEHMDIVPGGGGGYDLNFVVNGEQDAFRQVARVEDPKSGRGMEVWANQPGVQLYTSNWVINEKGKNGKVYGQYGALCLETQAYPDAVNHPEFPSSIVRPGQVYKHDMAIKFYQDA >cds.KYUSt_chr3.29072 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181850858:181853723:-1 gene:KYUSg_chr3.29072 transcript:KYUSt_chr3.29072 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGYVHNKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVNKSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAREWCSSKGNIPYFETSAKEDFNVDEAFLSVAKLALEHERDQDIYFQTVADPVAETEQRGGCAC >cds.KYUSt_chr3.15818 pep primary_assembly:MPB_Lper_Kyuss_1697:3:97147393:97150807:-1 gene:KYUSg_chr3.15818 transcript:KYUSt_chr3.15818 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSASTLGDNILLIIALRFHSTIARCRACGGGQQREQGTTSSRILERVKGVELALSGMVGHRREAKKLRRTCQEVGTMMPWRRDGLWWQRMLAGHKIAADEDGVAFKPWEVSVKSCDEQRMARGNGGRCSGSRRRDMEIG >cds.KYUSt_chr7.10447 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64116714:64117322:1 gene:KYUSg_chr7.10447 transcript:KYUSt_chr7.10447 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHAYDQSHSSMSPSMPPAQPRGSSRHHTTSSSRTTTTRPAIRIIHIIAPEIIKTDAANFRSLVQRLTGRQHQQLSDDESSAAVTVAVAPTPPSPIEEKPQKKRLAPALADEFVLQQENRGRKKIKCEVVRVEEGGFSFGDGAGAGDLDFGELWMDHNPGGFLSFLEEGVFQGTMVPDFLQPPLGSSRMDLIGEMCASHLA >cds.KYUSt_chr5.19913 pep primary_assembly:MPB_Lper_Kyuss_1697:5:129221960:129224856:-1 gene:KYUSg_chr5.19913 transcript:KYUSt_chr5.19913 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPPPSPLQRCCSPPSGTAPHLLLYSSPAPPAAACRLPPSAAAVARSVSVSTTVVEAAADSGSAIPRRRLVLLRHGDSAVGERFTKDHDRPLSKAGRADAISVSDKFRQMGWIPELILCSDATRTKETLQIMQEHVEGLSQALVHFIPSFYSIAAMDGQTAEHLQKAICEYSTDEILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEAAGKSWVEAGLGGWKLHGIVKP >cds.KYUSt_chr3.5567 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31428603:31434742:1 gene:KYUSg_chr3.5567 transcript:KYUSt_chr3.5567 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGQEAHRRNRSNSSVHHRHWRTDGHHDDRRRDPSPPSPGRPLAPPRSPATDAEGATAQARHHSPDLQARTGPDGLSGLELTAMATGAPPPQGGRATHQPTPWCAHRRAPQCLAAERRREPCRRRQRSKRHHRAKEKAPAAAVAAWASPGGSSGGGGGGGGDELMNTNPLQSMASPAHSNLSKASAPMVPSFLFLVFIWVLWIPLMLAEAQEQLTESCSGSDGRCGNLTISHPFWLVDADTGRSCGSGSLDFEVVCYNNTPVLRSSGLDGFAIINITYGEHSLRAIDLGKQNLLRVSNSCDILPSRNTSTKLGRPFQISNNNLNLILYNCTEAPRGLVETNMGCGNQHKVFVGAVGSYDEKSDNASYAIKGCKACVLPVLGSSGKVNASDYAQLINDGFLMSWENTPPPLGLFNQSYNETSDYGGYAVEGCDTCVVPVLGANGEANARNYERLISDGFLLTWDGSPLARRMRLSRFIRSCSEITAHGAIEPGWAGCALACLATELELSSRGNFTIADPFWLVDLKTGRSCGPQEFGLVCYNNNTPVLRGTEILGFAIIQMNYAYRSLRAIDLDKLNLLNGSNRCKSFPRTWNTSTKLGHRFRISNMNQNLILYNCTTEAAAARRADRELVETGLRCGNQSDILVGAGGRYNETSDYGSYALEGCDASVMPVLGSSSRVANASDYEKLIRDGFLLTWDDAPPLASKFAHPIKSSFNQVAS >cds.KYUSt_chr4.15546 pep primary_assembly:MPB_Lper_Kyuss_1697:4:96093642:96102460:1 gene:KYUSg_chr4.15546 transcript:KYUSt_chr4.15546 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPLLPCGSKTSTSSTPLYLTLENRTSTSNPPPLLPPAPEPSRHSDHDTGSDTVKAKIMSHPLYSALLRSFIECQKVGAPLEVVGRLCALADEIESDSVGRRLDDPPDPELDEFMATYCDVLVRYRQELRRPIQEADQFFRAMEVQMGSFTLLDDNSFEGGGSSEDEQEAVDVGGLPDLTNHCTDDKELKSHLLNKYSGYLSSLWRDLSKKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDGKQINNWFINQRKRHWKPTPPAMEYRTSQPTYGASSSSSAAFRTEGHYFAGGSAYPRGP >cds.KYUSt_chr1.18066 pep primary_assembly:MPB_Lper_Kyuss_1697:1:105380858:105383048:-1 gene:KYUSg_chr1.18066 transcript:KYUSt_chr1.18066 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRLPCYPVLGQESFEEQTRTSMVFLLEMGGQMNVEKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRVGVNTIPGIEEVNIFKDDVVIQFLNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKQVPGGLSGLEGATAGAAQADDDDDVPELVAGETFEEAAEEKKAES >cds.KYUSt_chr4.13662 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84162085:84164379:-1 gene:KYUSg_chr4.13662 transcript:KYUSt_chr4.13662 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPIPAPPAPSLPDAPAPAPKKNAAVAEMALRLTVDTGDAFAGLLELAADDDADGLLRALARAPPAAADEPGLWYGRRKALERRTPLMVAATYGSLAALRLLLSLPAVDANRRCGPDGATALHCAASGGSASAVDAVRLLLVAGADADATDAAGCRPADVISVPPKMVDAKIALQDLLGFPKVLRVATNAARNSISSPVSSPTADDATRSPSAALMLTTKFADLPRVATATSEKKEYPVDPSLPDIKSSIYASDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGVCRRSDMCEYAHGVFECWLHPAQYRTRLCKDGTGCNRRVCFFAHTTEELRPLYVSTGSAVPSPSPRASATAAMDMAAAMGLMPGSPSAVSAAMSPFTPPRSPSGNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRSSLNARDMPFDDYSLMQEMDSQLMNGLCARLGSSSAGNHASRTKSLNPSNLDDLFSAEMISSPRYSNADQGAMFSPSQKAAILNQFQQQQQALLSPINTGGFSPKTVDNQQIPSRSSLLQASLGMSSPGRMSPRCVESGSPMNSHMAAAFAQREKQLQQQHQQQQQTMRSLSSRDLGPNATRSLSSRDLGPSAARASALVGSPLSSSWSKWGSPSGAPDWGVDGEELGKLRRSSSFELRSGGDDPDLSWVHTLVKESPPEKQGTAAESMNSVGPSPLMHPNVSNGEGSRLNTQMDGDDQATIIGALLEQMQLDQQMGSLAT >cds.KYUSt_chr3.48445 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303039561:303040362:-1 gene:KYUSg_chr3.48445 transcript:KYUSt_chr3.48445 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRTSIGRQKIEIRPIEREGARQVCFSKRRSGLFKKANELAIMCGVERFDPTGTDTGGAAEDNTLLVADLNRQHQELRAKLDAAKVKKDQVDAAMAMAKERCAGIPVAAWLEADVRDMGEEELMQFAAALQVVQAAVAARANQVLQDALNHGRAMAARNRSNMVPVTAPPQQLLGMGAGSYGWYDFGAGSSGNATNAAEMDMMQLMMMGMTPPPPPPQVFAVADLDQLLQQGFGFPGLY >cds.KYUSt_chr7.26136 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163169156:163173336:1 gene:KYUSg_chr7.26136 transcript:KYUSt_chr7.26136 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKRKTATADEAAENPQKSRRLDNDAAEALLDLSHSPSKREADGDSAYDIGSCEHLFKDSEDLDEIARDLRDAHKPPKCEHYPCSTTWRGAAGMMVCTECSLTFCTGEKGTRGHPQGHAAWHAASDQHWVALWCDEPSKGYCFECRHILMLGQNKAIEDNYALVPRNKKDEWGMVASSPKVDSAVLPRNVDWGMLGSNVMHPTGMLGSNAMDPWGMLGSNAMDRWGTMGSNALDRCEMVAGDDHVVRGIPNLGDTCYMNAALQCLLALGKLRTMFLRPDARLGDIGLHLKQLFVATSCGNNATQMPDPEMMPTYMWSLYPDRFQRKVMGDSHEFLASLCDALHNEVEQLNNLQGEAMFPTFSNSIFSCELLDMVSCKVCSHDEVSHYSLHGIQLAAPSKDPLARSKPLQVDSTEGKDTVHGLLQTHKNDIPRGIVEVKALDFIPKLFDDFGGVEELVADSHNPEEKEKAQSTETVHDVAEHMNSLSSIEDCLKLFSHCLTDCNNCSKVATELPETDASKNVEPIMASTNVNTTVDGDQTEMSDMKTCPSERSSDFGSLSAESPSRQPYLSDSHHQVTLSEDITSEEVTSGKSCDEKDLTCCSTTNEKAESHEGVQEAALSCLTTDEQTDLLSAQNIQDTSTQKHSSGKQVIYDHSAQQVAEKQNKQTAIQTRLISKLPPVLTIQLQRHSPGLPKLSGHVSFKEILHLGPFMDPSSEDKDNLSYRLVGVIEHIGVGVSVGHYIAYVRASRRQQGSGPSSWVCANDSMVRQASLEEVLRREAYILFYERIDDGGIVDDGQ >cds.KYUSt_chr6.25445 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161337530:161341077:1 gene:KYUSg_chr6.25445 transcript:KYUSt_chr6.25445 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSFKYWDDCLDPDDMRLMWADAHVSKEWIDAGEEKGQKVHLSRDPDGALAEIASGRRLFVDNYDRKTKETKMGIMQVTPEVAQWLGRELGYKNYDIELGENIDLLYWPFINVYFGAAYAKWLFSCDEKERTEEFVARAYKGGKKKANHKSSAPIFQRYLYVKETLLSMRQPDSFNELTPQLLENSASAGTQLIHWDSKVSEEDMGAMWSHPDVKKEWTNSGERRGNVRFSHDAKKRPYLSRVEVKRCAVCALSKEYVHGQD >cds.KYUSt_chr1.41946 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257189343:257197724:-1 gene:KYUSg_chr1.41946 transcript:KYUSt_chr1.41946 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGPRASTDAALPPIYSLRRENPCTESHDTENHPETPPPPIPSRGIQEIASGTLPERGIISRRTLHHHDRLRIDEFHVGAGISGVALHYTPPPTTFTCSLTPTAYGHIRPTQIHTNDQDGGVEASSSFQVEPSSTQAEPSSAAQDSSSTQDEPHSEEQEENPHPPEQDQDADQESSSSHAQAQIVPHDQELARDEFIDHEGTIRKIKAASRESDMKVDQVLGSISRGVFYQMNEKSAFLNGPLKETAYVARPPGFEDPCRPNHVYLLHKALYGLKQAPRAWYEYLRDLLLKDGFGMGFRSRVNTSELGSNADDIHHVSEILNAGLQGPLFMGTVDKCPGEVFINVSPTRYWNMVRERVNMEIRRQLSMGRPNFPTLQPPRSVDGLEMFGLLSPSSSSDSETPKNSDFHVLASLESQICDCLVFSMIS >cds.KYUSt_chr1.4268 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25996553:26001328:-1 gene:KYUSg_chr1.4268 transcript:KYUSt_chr1.4268 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSHGYGKPTSSRTRWRNSDVYGKATFMVDIKDNPKGTLFINKFIKNYCESYPLAPTDLWKQGLFVPGSAFWPLGCKLSSLFGSGLGAMSVSERAARSCSTSSPDLDPLLKDLTEKKLSFRRNVASLASELKDVRNKLASQEQLFTRESQTRKVAETKARSMEAEVTKLQKCLLDKDEQLRATTGSTEQYLHDLDELRSRMSVTQATAEASAASAKSAESQCLFLLKELNEKDRSLKEHELRVNKLGEQLALLQKDLEAREFSQRQLKDEVLRIETDIMDAVSKAGSKKDNELLKILSDVSPRNVENISKHLNAKDTEIARLRDEIRILSAHWTNKTKELESQLEKQRRTDQELKKRVLKLEFCLQESRSQIRKLQRVGEKRDKQLKELKDQVVAKHPNGSRHDYNSDDGKHNFWESQGFKFVASMSMLALVILTKR >cds.KYUSt_chr2.39725 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246584978:246586877:-1 gene:KYUSg_chr2.39725 transcript:KYUSt_chr2.39725 gene_biotype:protein_coding transcript_biotype:protein_coding MPLANRRFITIVMQGDPVWAISQATITDLVGLSLNFFFILPLINSAGVHLLESPVLHPMAEGLFNFVIAWTLLFAPLLFTDARRDRYKGSLDVLWGCQMFLTNTFLIPYMAIRLNDPEKDQPPPQASKLASVMVRGASVVGITGGLKSRRALQKARADARAMKPSATERAPAISLDPLLYPDARLVVLGETVVSGGVGGTGLGVDGTVEFALGCGMLTGGVDAGPVGRSPSG >cds.KYUSt_chr2.54147 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337953679:337954887:1 gene:KYUSg_chr2.54147 transcript:KYUSt_chr2.54147 gene_biotype:protein_coding transcript_biotype:protein_coding MFACRPVQAMAFQRGCALGVFEEMPHPRTCSQSLCRANALVGDHRPTIPSSSGLIFAHGSPLAPWRVTLTGPQHTDTAQFPLRIPLTSLFYESALHLEADSYVLFSGLLLLLLLPLLCCGREMAGFVGTVVDAAIGWMVQSILGSFFTGQMEAWTREVGLDEDVEKLKFEMKNVEMVLAAAEGRRIDNKPLAQSLDDLSELLYDSEDVMDELDYYRLQQQIEQGASLVFHLCCFLLLFILS >cds.KYUSt_chr4.46182 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285357587:285360590:1 gene:KYUSg_chr4.46182 transcript:KYUSt_chr4.46182 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWLVSERCNILLVSCALTSSFSVSDHLFFGSEDDNYGSCYTKGLIPLSCVVKDFRSMVITYKFPTTHISPRLLYTTVAESCPGDDGNATAKDSNSHNLFSRSDDGGAIRRRSSSWGHRFGVGFCSPSNTKRKKEKKNSYNLINDSNLENMLGCNDFLPLEDDSVDKLTHDDGIGIVQRVGPVEYIKRLLLKRSALCISSAELGVDTKLSMLPESSRDLRHTGDLYWHGYYYMDDVSQTVLPPKRHTRCEPLTSVRCVHKPWAMLQVFSIKLKAYLQDIGSSVETRDYLPLLSPVRGMSMAYECLIEVDIRIKGDKEDVTLVDGCSDLIEGRCIYDTEAECTMDDTNGAAVFDIAIFRRAFEATIELNFTEVPAGGMKVKICGYTTLSKNLYCFMDEQCDFDQFIKSDGKHPRYFVAAVPFEDTLFLDFMEGKLSVPFKAAVHGSQEKEYRFHNGAVVLVTVSWSMLYY >cds.KYUSt_chr5.41073 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259190502:259194898:1 gene:KYUSg_chr5.41073 transcript:KYUSt_chr5.41073 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSSAALVPHQQQEDIAPLPLIRCPRCNLGVVCWFISHTLKNPGRHFYKCEFHGPNGCGFWKWEDAYIDYLRARTTTPPSMSASNGRTSSLNSSANIPDDPDEDEQHNGPAPTSRTSVPKVYAVISQLSEYKRFLVEEIGFSQLLKLPLLSKLNLRFSKWIMSKIDVTTRSIVIDNKRKIRFWAADVYKVLGIPCGSRDIRAPDAKCSDTTIQMIRALLGMPEKGNHILKFAETVINRDICENTSSTLEKDSFKMAFVIFIMGHLLAPGTKHEYTTIDYWGALANTQQIQDFNWCEYVLLELFAGVQKLKADIQDNSAVTHLQGCHLWAQIFYLDNINLGIFNMRHDSLPRISAYDDVSLRRMINQCAGTAKGPADYGDVQIRDATQICYTRSMWDTPPDRMTPRITTATRQTIPAHTKAKQAASEIGIMMKYHNAICLQQVNALKNSIISDNIRFVDKIASDISESCVCCSIRSLPCIIKKHSRTTEAATTNTHRRRLQMCDSDDILATASTSMRTPAQLKPCTARSPAEMVKTWADIIVGGIMMYNDDTAAPDDAVIMGQASSDIPVLTAQHTYYAQPHWATGASTEYPSIAIQDKLFKILRSLPPGEQNKPCITHETPRYISMPTSSVTDQIVGAGMLEHEMCSIVFRRLRQIDLTVLYKGMTDVFRHYFEPDFSTTILAGDKVVELKSIQAQFCGSEINYNIANCRLFHVPVILEAGWCLYTWDMLKKVINIFDPTTHIPSHPEKKRLHDIVADKIHIALFTCIYKFFKKWHVECNNWKRKFPHFHSTRFTMEESGICITHIARHFNGSSLEEPLSDESIPREKGFQLVQLASIQENAGTIPHEIQKTLASYL >cds.KYUSt_chr2.48771 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305086180:305088888:-1 gene:KYUSg_chr2.48771 transcript:KYUSt_chr2.48771 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPAPIPSGAWLPHAAALVTLVLALAAVPSAWADNVDMVFLKSAVAKGAVCLDGTPPVYHFSPGSGSGANNWVVHMEGGGWCKTSEECAIRKGNFRGSSKYMKPLSFSGILGGSQKYNPDFYNWNRVKVRYCDGSSFTGDVAAVESSTNLHYRGARVWNAIIEDLLQKGMSKAQNALLSGCSAGGLAAILHCDKFSDLLPASAKVKCFSDAGYFFDGTDITGNKYVRKVYKDIVNLHESAKNLPSSCTSTQSPELCFFPQYVIPTLRTPLFILNAAYDSWQIRNILAPSAADKSKAWAKCKLDIKNCSSSQLVTMQNFRKEYLAALPQPGQSRSLGMFIDSCFAHCQSGAQDSWLAEGSPSIQKTQIGKAVGDWFFERAVSQRIDCPYPCNQTCKDNEDD >cds.KYUSt_chr3.11621 pep primary_assembly:MPB_Lper_Kyuss_1697:3:69201008:69201382:1 gene:KYUSg_chr3.11621 transcript:KYUSt_chr3.11621 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENDVAATAHVVPSLPDLNLLAPDEEKAEDTAPSAALPTPSPEARVLLRRFASTMAARPAGIRRATWSPEALGLAGRIAEIRLNEAAPHFPPRPRKNQAGTESPASTGVKQGRGIEEIEGGG >cds.KYUSt_chr2.47475 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296974690:296975100:-1 gene:KYUSg_chr2.47475 transcript:KYUSt_chr2.47475 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPKLMLTQTTPTQAHLTSSFSDHNFPPTPREIEAAGTAATMAKRSLAVAPVLLAVAALLLLASCHGRELKHNGAGAAGSVAGVRGGGGGVGDEKTLLGLPVPSLPLVPPVTGVPLIPGNPPAARASTDNKKQSP >cds.KYUSt_chr1.32143 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195161038:195161391:-1 gene:KYUSg_chr1.32143 transcript:KYUSt_chr1.32143 gene_biotype:protein_coding transcript_biotype:protein_coding MIREAIARSLNDIVPAGNALPMDATLAWSRQDWEGEAEQQRRLLDLTAARRHATPAPLIKLEDSSDDEWYQPTSSPPHLGDLGQGSRRRGALGQSSSQQALPEDDGDYTAFYCHFGM >cds.KYUSt_chr5.6214 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38395052:38395537:1 gene:KYUSg_chr5.6214 transcript:KYUSt_chr5.6214 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQQQHQQHGDSHAVDPSTLLHRVQAHAPTSTQIVGLLTLLLAGAALLLLAGLTITGAVVALVFLGPLALLTSPIWVPFALALFVVGFAALSAAGLAVAALAAATWAYRYFTGRHPVGADQVDRARSRIADTASHVKDYARREYGGYLNNRVKDAAPGA >cds.KYUSt_chr7.27917 pep primary_assembly:MPB_Lper_Kyuss_1697:7:174191528:174192953:-1 gene:KYUSg_chr7.27917 transcript:KYUSt_chr7.27917 gene_biotype:protein_coding transcript_biotype:protein_coding MNWNWRGMHQKLGATAGGLCFGFSVTGVAKAEMPVDRNINCSETSTSSTHGKKVYTDYSVTGIPGDGRCLFRSVVHGACVRSGKAIPNEDLQRKLADELRSMVADEFVSRREETEWFVEGDFDTYVSQIRQPHVWGGEPELFMASHVLQMPITVYMRDEDGGGLISIAEYGQEYGKEDPVQLLYHGFGHYDSLQIPANRGPETRM >cds.KYUSt_chr6.14062 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87895510:87897666:-1 gene:KYUSg_chr6.14062 transcript:KYUSt_chr6.14062 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLSHEEEYTREVAVMCNASASSRPGHLDERPPRVGRAALLVSNDAKAIESGEFVDITADEGTSAAGGSRSRDGQAAAQPPRRRMRDRHREIGMAFSMAKIWAPLAVLTALAFFLVHPCASAEFHRKLSSWSDGGATWYGGPTGAGSDGGACGYQDAVDLPPFSSMIAAGGPSIYEGGKGCGACYQVKCTGHQSCSSSPVTVVLTDECPGGPCLEESVHFDLSGTAFGGMAKPGQADQLRAAGRLQIQYARVPCKWQGVDIAFKVDGGSNDYYLAMLIEYEAGDGDLSSVELMQGGGGWSKMDQSWGAVWRYNSGPKLQAPFSVRLTSSSGKTLVASNVIPAGWKPGGMYRSVVNY >cds.KYUSt_chr2.9176 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57808446:57811884:1 gene:KYUSg_chr2.9176 transcript:KYUSt_chr2.9176 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESVPETSLHEFTVKDCNGKEVCLETYKGKVLLVVNVASKCGFTETNYTQLTELYQKYREKDFEILAFPCNQFLRQEPGSDQQIKDFACTRFKAEYPVFQKVRVNGPDAAPLYKFLKASKPGLFGSRIKWNFTKFLVDKNGKVINRYATATTPFSFEKDIQKALEGEHIPARSGSQKAPEGENIPSRSGSQKAPEEENIPARSDSQKALEGEQK >cds.KYUSt_chr1.17297 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100426298:100428331:1 gene:KYUSg_chr1.17297 transcript:KYUSt_chr1.17297 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKLVSVVSLVLLHFLSVGHGVGGDSQFIYNGFHGAQLDLDGMAVIESDGKLALTNVTSQLKGSAFHPAPLRFTDAATNGTGARSFSATFVFAIVTDYITVGGNGLAFFVAPNKNLSAASPSQFLGLFNKQNNGNGTNHVFAVELDTILNPEFGDINSNHVGVDVNGLASVAAEPAGYYSDDDAGDFKNLTLISGDAMQLWVDYDGRSTVVSVTLAPLGMSRPIKPLISVVIDLSPVLSDTAYAGLSSSTGPFQTRHYVLGWSFALDGAAPPLDYANLPMPPRVGDDKGRSKGRDVILPVVAPILALAVAAGVFLLVWRRFRYAEVREDWELEFGPHRYAYKDLFRATGGFDSKHLLGVGGFGRVYKGVLPKSKTEVAVKVVVSHDKAKQGMKQFVAEVVSIGRVRHRNIVHLLGYCRRKGELLLVYEYMPNGSLDNWLYDQHAPPLSWPQRLRAIRGVASGLLYLHEDWEQVVVHRDIKASNVLLDGEMNARLGDFGLSKMYDRGSDPQTTHVVGTMGYLAPELACTRRVTPATDVFAFGSFVLEVACGRRPIERGAADDNRLILADWVLERWHAGDVGATADPRLCGVYDAEEAAAVLRLGLVCSHPAPAARPSMRQVVQYLDGDEPIPEPAPTYRSFTMLAMMQNADGFDSYAASYLLSSPTSVGVSSVVSGR >cds.KYUSt_chr5.5574 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34834264:34838038:1 gene:KYUSg_chr5.5574 transcript:KYUSt_chr5.5574 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPKLQSILKWMLPPPPPPPVLLTLLEERCTSLSDSAATTGIMCPISGRSQLPSTTQVSSALTPNVTPHIFSVSASMLFASASESELVRGMASSVVTGALVQVDDLASPSGIRRRPRLESLFRCKHGKAYLFDKVVNVNVGEKDDRMMTTGLHTVRDIFCVACGSILGWKYVSAFEKEQRYKEGKFILERFKINSGVPPGRVQLWAEHDGRRSSSEDDDQGAA >cds.KYUSt_chr1.7939 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48701346:48702131:1 gene:KYUSg_chr1.7939 transcript:KYUSt_chr1.7939 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQARTMVASILLVLLSLSLTAPSARCQTVATAPAPAAAPAKSIRAVLTKAGQFTKFLQLLKSTQEEDQIDSQLKGKASSGGFTVFAPTDNAFTSLKSGSLNALSDQEKTSLVQFHVLSQLLPMAQFDTVSNPLRTQAGDTGRGKYPLNVTADGAGRVNISTGVVNATVDSTLFTGDRLVVYQVDKVLLPWKLYGPPVPPAPAPSPTVDKDKKKAGPVAVADGPEADSATASEAAPRGMRGLGVGLCGVAVAVAAAWCGM >cds.KYUSt_chr5.14598 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94662634:94667247:-1 gene:KYUSg_chr5.14598 transcript:KYUSt_chr5.14598 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSLPSNTDNEGKPSGWCHWWDRVATPSSSSSPPTDNQEEDWEADDGHEEEWKAFTDDGHEKEEEEEEAEEAAAAKKEARVRAKAKTKAEAKAQPASTDDDDGAQVPPASRTTPALRKRMSSLEEPLGLGDLPKLSINRLGRFCQSACRSRADDHCNGNYTPSNNGNNQTIYHTSSPSWHMQGHYADSSCNGMNMEFRALPQKISWDLPRFVKIVEVGPRDGLQNEKNLVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLEGIRQAPDVRYPVLTPNLRGFEAAIAAGAKEVAVFASASESFSKSNLNCSIEASLARYRDVTSAAKKHGIRVRGYVSCVVGCPVEGAVHPLKVAYVAKELYDMGCTEISLGDTIGVATPGKVVPMLEAVMSVVPVDKLAVHFHDTYGQALANILVSLQMGISVVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLGKLMDAGDYICKHLDRPSGSKTASALSKLTGLSP >cds.KYUSt_chr2.8825 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55579055:55579531:-1 gene:KYUSg_chr2.8825 transcript:KYUSt_chr2.8825 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHRWPPWEHPLTCLLPRCMVSSFKDKDDERDENNDQRWKALSDKAEYKIIWRRLRLCQSRRPRHPHPCHKRVGASGGDQDEGQKEDSKILMADTSIMDDDAKAWYKMAQAHIMKEMMEASVGRAGHADGRALNTGAIDTSDRAPTCSATAAITGCH >cds.KYUSt_chr2.42115 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262251392:262259481:-1 gene:KYUSg_chr2.42115 transcript:KYUSt_chr2.42115 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTETNSLRGGPTKPGSTIRGAVRPLGSGWVLFQHTNSIIMGSACSRKRGQLVHEDELYSVKFSKSGSFKWLLHTLSRSSSDVLRKAHGPAPGRRPSLVELCVARVRQDISRYSDFSMLPRDLSQQIFNELVECSYLTEELLGAFRDCALQDICLEEYPGVKDAWMEVVASQGQSLLSVDISCSDVTDSGLNLLKDCSNMQSLACNYCDQISEQGLKTVSGLSNLTSLSLKKCAAVTPEGAKAFANLVNLVNLDLERCMNIHGGLVHLKGLTKLETLNMRYCNCITDSDMKYLSDFTNLRELQLSCCKISDRGVSYLKGLSKLSQLNLEGCAVTSACLEVISGMASLVLLNLNRCGIYDEGCENLEGLVKLKVLNLGFNRITDACLVHLKELISLECLNLDSCKIGDEGLLHLKGLLQLRSLELSDTEVGSNGLRHLSGLRNLHSMNLSFTLITDIGLKKIAGLNSLKSINLDNRQITDNGLAALTSLTGLTHLDLFGARITDSGTSCFRYFKGLQSLEVCGGLITDAGVKNIKDLKALTLLNLSQNANLTDITLEIISGLTALVSLNLSNSRVSNAGLHHLKPLQNLRSLFLESCKVTACEIKKIQLAALPNLISVRPE >cds.KYUSt_chr4.5951 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34913535:34916005:1 gene:KYUSg_chr4.5951 transcript:KYUSt_chr4.5951 gene_biotype:protein_coding transcript_biotype:protein_coding MDETEKAMPAARRADTASTTPTTVSPTSTASSCSSNPDPAAARTPPPTFAVPWARADAGGSYYPGCRKDANCSCEICLASINATRDLLPPEAVSARRSFAAAARDTRPGSRPLFLARGGSEVTEPWTPPPQLRSTAKSKRPWQWQAAREGEAATPKKGGGSSSPPDWAIYALTVLGFPAAAAALHLFFLFLKFITADALPWLPANRLSCTPRGHGGPPSTGADRNKSHYMGSSTIVFPAVTDPPTLEALAFREDHALVDDPSIGKVQIASDCKQVINDIIEAAGGSYAPIIKEIGMN >cds.KYUSt_chr2.43653 pep primary_assembly:MPB_Lper_Kyuss_1697:2:271558027:271574124:-1 gene:KYUSg_chr2.43653 transcript:KYUSt_chr2.43653 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVTYRHKLKSIADQLANVGASLCITFRLRKKMEHMENESPDTKKQGEEGHHNSEVARLEEYKKLIDAKAALRQSNLNPERPDASYLRTLDSSIKRNTAVIKKLKMISDEQKDSLMDELKSVNLSKFVSEAVSHICEVKLRSADMKAAVQICSLLHQRYKDFSPCLIQGLLKAFFPGKSGDDLDSDKNSRAMKKRSTLKLLMELYFVGIVEDASTFISIIKDLTSVEHLKDRETTQTNLSLLASFARQGKHFLGLQKHGQEAYDEFFMGLNVTDDLKTFFKKALCSYYDAAAAILQSEHASLRAMESENAKILNAKGELSDENTVLYEKLRKSFDQLLRCVSSLAEALDMQPPVMPDDGHTTRVTTGTELSPSGKVFSVVEPIWDDEDTKAFYESLPDLRVFVPGVLLGEAEPKLVDQQGEVQEQTSEIKLEQGTKVQDNAETSTSEHQLEGKEHAGAKNREKKEKLDKENSKEKDADRKGDIEREKVRATDGASLDNLLLRLPRCISRDLIDQLTVEFCYLNSKANRKKLARALFNVPRTSLELLPYYSRLVATLSTCMKDLPSMLLSMLEEEFNFLINKKDQIKIESKIKNIRFIGELCKFKVAPSGLVFSCLKACLDDFSHHNIDVACNLLETCGRFLYLSQGTAVRMSNMLEILRRLKNVKNLDPHHSTLVDNAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKTSVQHVLRQLRKLPWAECEQYLVKCFLKVHKGKYSQVHLIALLTAGLSHYHDDFPVAVVDEVLEEIRVGLELNDYAMQQRQLAHMRFLGELYNYEHIDSSVIFETLYLIIVFGHGTPEQDLLDPPEDFFRIRMIIILLQTCGHYFDRGSSKRKLDKFLLYFQRYVLNKGPLPLDVEFEVQDMFAELRPNMTRYSSMELLASALVELEENEKTKQPEIAAFDASCKSSASRPDKNGRDHKEEADSERYSGVHRDGHEDVDSLYEEKSDDRSENGVGSDVDDTPAGSDEEETVKVRRKVVKVDSKEQEEFDRELKALLQESLESRKLELRTKSTLNMAVPMSIFEGPKEPRATETESGQESAAEESGNARAGSKVNVCVRVLVKKGHKQQTKQMLIPGDCSLVQSTKQQEAALLEEKQNIKQKILEYNEREEEELSGGSSQTGSWGQGGNSPVSSISSAGRGTWDGSGRGGRGRQQRYYVAGGIYHGYGRGR >cds.KYUSt_chr5.2495 pep primary_assembly:MPB_Lper_Kyuss_1697:5:16748221:16748700:1 gene:KYUSg_chr5.2495 transcript:KYUSt_chr5.2495 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKPLASGLAKLSVPALKKGRNTPMLIRPSWPKISAPSFGTQKRYSEKNRFLNSKATQAEHPMHDDTMVGESTCLLTQSFCHEPREASKGDVGDDELECSEELLHWYQARAVWRRLELQHFLFPFHHSRIEDESGWSPTSADDADHTYWHILLQQRKR >cds.KYUSt_chr5.8905 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56607397:56607696:-1 gene:KYUSg_chr5.8905 transcript:KYUSt_chr5.8905 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASERAVVIFTSDSCCMCHTVTRLFRDFGVNTLDHELDQDDKGKEMERALIKLLGKGPPVPAVFIGGKLVGGTNKVMSLHLSGELVPMMRNAGALWL >cds.KYUSt_chr4.47431 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293542062:293546407:-1 gene:KYUSg_chr4.47431 transcript:KYUSt_chr4.47431 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPQGEASSSDPKGAKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADDTCEEPKVRMNKTVRKNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLFGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVELEHISRDTHGYVGADLAALCTEAALQCIREKMDIIDLDDETIDAEILNSMAVTNDHFKTALTTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDVESRLQIFKACLRKSPVAKDVDLDALAKYTQGFSGADITEICQRSCKYAIRENIEKDIERERRKKDNPEAMEEDETDEIAEIRAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPDAPASGAAAAADPFASSAAAAEDDDLYS >cds.KYUSt_chr3.25302 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157074586:157076769:1 gene:KYUSg_chr3.25302 transcript:KYUSt_chr3.25302 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAEQIERLMNESAAGSSSNPEDASLDLSFSEDGRSGTFMIGNQSFPASLLDLPAVVESYKTYDDSFLVKTADIGQMVMVRQEDDPAPEGVEYKHGLTPPMRDARRRRYRREPDLSADLVNRVENDLINQNTSVVGAGEGPKKAAPARAPEPEAQEPAANGEEAEPDRTDSDESDN >cds.KYUSt_chr5.6436 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39795490:39796122:1 gene:KYUSg_chr5.6436 transcript:KYUSt_chr5.6436 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPKATADQEEGLPRRQEPDRQGCCQSQEIGAGLDSQGHQEDHHQSRRRWATAKPKVPKLDKAAAAAKLGKGATAKPDKAATAKPKSTADSTKSTKTGAAKAAKPVKSEGGASKPKKPSNSTVDAGAKPAKSTTKKPKVVADVKANTTAVSKEAAAGVEEDVVLAEEAEGTEDLMSAPPRGWSSSASSTPPRRRRTPGRRPRSRSASWA >cds.KYUSt_chr4.23460 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147678658:147679910:1 gene:KYUSg_chr4.23460 transcript:KYUSt_chr4.23460 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPRPGFAAAPQVITMTLLAVSALLSRLSVPAAAAELVELTLLANAQEKGAVCLDGSPPAYQLRRGFGSGTRSWLLNLEGGAWCNTTEDCSSRRLTDLGSSKFMKPIEFEGMLSNNRSENPYFYNWNIVDIRYCDGGSFAGDAEGQDRNGTKLFYRGLRIWEAVVDQLMGKGMDNAKQALLAGCSAGGLAALLHCDKFRARFPPEVPVKCLSDAGFFLDVYGSGHPWSKVISYN >cds.KYUSt_chr2.790 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4643568:4649437:1 gene:KYUSg_chr2.790 transcript:KYUSt_chr2.790 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCVRQGSAFPYAPYWIRVPYGPPWIRGSVFSVSTGGITVSLCLQTFQATQIPVKNMRPPPPPSDERPLVLLAQPLFPDFAAALASRFRFALAADADAADAAEGRVLLVGLKPVTDEHLAGLPALELVAGISVGVDHVDLAACRRRGLSVTNAGAAFAVDSADYAVGLLIAVLRQVAAADAYVRGGRWPADGDYPLTTKVSGKRVGIVGLGNIGSRVARRLAAFGCAVSYHSRSPKPSSPYTFVPTLLDLAVGSDVLVLSCALTDETKHMANREVMEALGKDGVLINVGRGGLVDEPELVSCLRDGALGGAGLDVYANEPAVPPELFGMDNVVLSDHRAVITPESMRGVLEVITANLDAFFSGRPLVSPVQL >cds.KYUSt_chr2.14802 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93264193:93266004:-1 gene:KYUSg_chr2.14802 transcript:KYUSt_chr2.14802 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLQASEQQQQQQPQQSASYNSRSTTSSGGSRSSSHQTNASYNYYHHHHSSSSSGGGGGGGQYYYSQQQPQQSYYLEPYQEECGGNAQHHHHHLYMDEDFSSSSSSRHFHSHGGAGQPPTSSATPTAPTPPLSTSSTAAGAGHALFEAADLSFPPDLNLDFSSPASSPGGGGTASSAAVGGGGGGRWASQLLLECARAVATRESQRVQQLMWMLNELASPYGDVEQKLASYFLQGLFARLTASGPRTLRTLAAATDRNTSFDSTRRTALRFQELSPWSSFGHVAANGAILESFLEAAAASSEPQRFHILDLSNTFCTQWPTLLEALATRSPDDTPHLSITTVVSAAPSAPTSAVQRVMREIGQRMEKFARLMGVPFRFRAVHHSGDLAELDLDALDLTEGGATTGIAVNCMNSLRGVVPGGARRRGAFAASLRRLGPRIVTVVEEEADLVAADPEASSDEGGRNTEEAFLKVFGEGLRFFSAYMDSLEESFPKTSNERLALERAAGRAIVDLVSCPASESMERRETAVAWARRLRLAGFSPVPFSDDVADDVRSLLRRYREGWSMREAGTDDSPAGAGVFLAWKEQPLVWASAWRP >cds.KYUSt_chr3.3954 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22465654:22471331:-1 gene:KYUSg_chr3.3954 transcript:KYUSt_chr3.3954 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSPAPAPPPPLATTASPPPPDAPPPPPPPQLLRLRCAVQHYEWGRHGATSLVARLAAATDPAFQTDPALPYAELWMGTHPAAPSVVLPTGEHLRGWLARNRDALGSPVNERWGGDLPFLFKVLSVAKPLSIQAHPDKALAEELHALRPDAYRDANHKPEMAIAITHFRALFGFVGIEELKDVIRTVPEVGGLIGHEDAGKLITVKENHGGNDAKYVLQSAFAKLMMASKETVSEAVNKLKYRLNDESKIRTLTEKEEVILSLERQYPEDVGVLAALLFNYVKLSPGEAIYIGANEPHAYLSGDCIECMATSDNVVRAGLTPKYRDVKTLRDMLTYKQVFPEILRGVPVPAMEPYVRRYTPPTDEFEVDRCLLPPGEVVVMPPALSPSIFLVMTGEGEIQVDSILDGENAKEGDVFFVPANTEVKLTASGHASMQLYRAGVNSASLKACNTVVTGKKIPAANYVG >cds.KYUSt_chr2.33029 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203701431:203702019:1 gene:KYUSg_chr2.33029 transcript:KYUSt_chr2.33029 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAYVDATGAGPRLWRPRQRHGQRGTSDTPTANPLPQGNQGMHKRTRRLYKDRVKAISSGIATAVPDQAEMPNTGMTG >cds.KYUSt_chr4.53624 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331756247:331756840:1 gene:KYUSg_chr4.53624 transcript:KYUSt_chr4.53624 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLHPSGSAPRRDEPTTDGSGRRLYNPYQDLNIPYKNLYDLPTSPEFLFQEEALVQRRSWGENLTYYTGIGYLSGALAGAAIGLREAAAGAEPGDTAKIRANRVLNSCGAAGRRVGNRLGVIGLLYAGMESGMVAARDGQDDWVNSVVAGLGTGALFRAANGPRSAAVAGAVGGVLAGAALAAKQAAKRYQFAL >cds.KYUSt_chr1.25599 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153665868:153669530:-1 gene:KYUSg_chr1.25599 transcript:KYUSt_chr1.25599 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGGGGLGTMRAVLAILQWWGFNVTVIIINKWIFQKLDFKFPLTVSCVHFICSSIGAYVAIHVLRAKPLIQVEPEDRWRRIFPMSFVFCMNIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFEWRIWASLVPIVGGILLTSMTELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAMLLEGGGVIDWFYTHDSIVSSLVIILGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISSMNAIGCAITLVGCTFYGYVRHLISQQQAAAPGSPRTNSPGSRMEMLPLVGDMQDKIYVIILIVNFTTTKDCGGYMYFEPHLLQQIANGKAVSSDRVVLKFVMNSVNIDLMNCLAVKLVMSFVDFLMPLVFNGTVYGAVVQDSAAEMKQKSAEIRFVEA >cds.KYUSt_chr2.39048 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242133663:242134298:-1 gene:KYUSg_chr2.39048 transcript:KYUSt_chr2.39048 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAADTPRATLGIACHVPRVPIRRGALRAAGLTGQYADGPDIWPSAYATAVGAARHSCSDNATSAAAGAPDHHQEPTTATKRKAVLVVSLRGEYYEKLSSMYYEHGAAGGGAVSVFQPTHLGAQHSEERHHNQKAFAEMALLSFSDVVITSAASTFGYVSGQPGARRAEALGAHDPRRREEPRAAVPSGPNNRALLPQRAALRLQNQGAR >cds.KYUSt_chr6.26445 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167446407:167449471:1 gene:KYUSg_chr6.26445 transcript:KYUSt_chr6.26445 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDVLDFAITGEVLRKGIFKFVQLRAPLDVCFLPDDLRGKAHLLDQAMGSGVAHIVGFFLDAGDRILTYPEHLQEQGHCNYLHAADERVAQLLLALLDQGGGWRWRAEGFQEQERVDGGDLGMVASKYGKGKESCGWCLSRGCVGVQCGSPG >cds.KYUSt_chr5.42754 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269345843:269349302:-1 gene:KYUSg_chr5.42754 transcript:KYUSt_chr5.42754 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPISTLLVSIPQDRSLIRGTPAAAGAIPPELGNCSMLDQLDLSENSLSGEIPESLGNQKKLSVLALYSNSLDGEIPPELGNCSMLDRLDLSENSLSGEIPESLGNLKKLSSLALYTNSLHGKIPEGLFKNQFLQYVYLHQNNLSGHIPSSLGEMASLRSLWLHQNGLYGVLPDSIGNCTKLEVLYLLYNQLRGSLPKTLSLISGLKVLDVTGNGLTGEIDFHFENCKLEKFILSFNNQLRGEIPSWLGNCSSLTDLALVNNSFSGHIPASLGLLSNLTYLLLSQNSLSGPIPPEIGNCRSLLWLELDANMLEGSVPKELANLRKLQKLFLFDNRLTGEFPEDIWSIRWLRSVLIYRNGFTGMLPPVLAELKLLQNITLFGKRLRVLDLGFNLLNGSIPSGLTDCPGKRLRVLHLGFNLLTGSIPSGVADCPSLERIILQNNDLTGAIP >cds.KYUSt_chr7.4017 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24117123:24120107:-1 gene:KYUSg_chr7.4017 transcript:KYUSt_chr7.4017 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPTTKAAPAVAFSTAAERDGYAQYNHTDACQHLRWTARESYEYMYARPWSKVVDFYAMLVRTGAGAAGLADLFQKDEKGVTCDTNGEEPLTSSEKQMAVKSSKGRGGRWERANFKIVLSYHGGSFDGWQKQPDLNTVQGLVEKRLGQFVDERKAKQLQDRSLPIEGCATVAGRTDKGVTALQQVCSFYTWRKDVQPSDIKDSINEAAPDTIKSLVVSEVSREFHPNFSAKWRRYLYIFPLDEDGKSKLGDEQSSTILENPECNIASRSFDVAKVDKIIRQLEGKMLSYKIFARDTQASRSAGPATECFMFHSRAAVTKLCSADENCKEGTTVMCIELVANRFLRKMVRVLVATAIREAAAGADEDALLNLMEATCRRATAPPAPAEGLCLVDVGYEDFNEQRCFILD >cds.KYUSt_scaffold_869.369 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:2354597:2358142:1 gene:KYUSg_scaffold_869.369 transcript:KYUSt_scaffold_869.369 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQTTMGLAAAAAAAVRPCRRRLLSSASAAAAKASATPLFPRCSHPQHQQHSRRIPFLVSAASHTSQSDPSTTPTPVTSDPRSAVAGNLPFFDRVLFPGSFPLETPPVEEPAPAPPADEAQASASPVREESDTEREAWRLLRRAVVSYCGDPVGTVAAEDPECTEMLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIKTVPLDENNEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYCKFTGDYSLQERVDVQTGIKLILSLCLTDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMIVMNDGSKHLLQAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWVPEKGGYLIGNLQPAHMDFRFFSLGNLWAISSSLTTPTQAEGILSLIEEKWDDLVANMPLKICYPAMEDDEWRIVTGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEEKLSADKWPEYYDTRSGRFVGKQSRSYQTWTIAGFLTSKILLENPELASILTCDEDLELLEGCACCLSKRTRCSRRVTKSDIIG >cds.KYUSt_chr3.28326 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176730585:176732046:-1 gene:KYUSg_chr3.28326 transcript:KYUSt_chr3.28326 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTAKQLRRLRTLGRGASGAVVWLAADDASGQLLAVKSAGADAAETLRREGRLLAGLRSPHILPCLGSRATASGEHQLLLEFAPRGSLADEAARSGGRLAERAIRGHASDVARGLAYLHARALVHGDVKARNVVVGADGRAKLADFGCARTRGCERPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWGDVDDVLAAVHRIGFTDAVPELPGWLSPEANDFLGECLARNPCHRPTAAQLLEHPFLASADLDTETPAKQDWVVSPRSTLNADFWESDEEDGTEEDILKSAIERIGSLASASSALTDWEDSDDGWIDVHSQRSEVTATVIAGADFGPWSETLEPEADVDGSTYLVRNVEAAHYLAATFKSTIKMAFDLPHNVPHIKGIN >cds.KYUSt_chr7.38639 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240521894:240524433:1 gene:KYUSg_chr7.38639 transcript:KYUSt_chr7.38639 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGVVIRDHNGACPAACSELLEEVTSPEIAEALALRRALSLAGAEGFDKLIVASDCLSLVQRVNRLELDRSQVGVVVQDIKAMASSFASVSFIHVYRQYAELLQAQADLWRHSLYYLTSMGLRCAVELEIPTTIHHLGGVTSLPNLMSALSLPSVKMPFLGRLMRVLVTSGVFAADNSESGEELYRLTPLSRVLVHGVVADEHHSQKYFVLGVTSPHYTEAALGLADWFKKDTEPPVPSPFEDRYGVPLFDEKTALLDKELDDVVNKGLAAHDNLGIATILRECGDIFKGVESLTDCCGGDGTTARALVKAYPHLKCTVLDLPKVIEKAPAHDVIEFVAGDLFHNVPPSQAVMLKLVLHFWSDEDCVKILSQCRKAIPSREEGGKVIIIEIVVEPSLGPIMFEAQLLFDMLMMVNTRGGQRDEKHWRELFMQAGFTDYKIVKKLGARSVIEVYP >cds.KYUSt_chr1.31242 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189583791:189593871:1 gene:KYUSg_chr1.31242 transcript:KYUSt_chr1.31242 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSYWFDKPWFLTEGKLAAVRITPSSWGSQRTRVVRVSSGNQEEDGDTIADDDQEEVGDAVIDDSQEEGDTVTDDYHNTLMMYHAKKFSVPFAPQMAQNNEHLSNIGAFGGPSISNPANPVGNGKQRLRWTSDLHNRFVDAIAQLGGPDSGYSLLRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSGDSLSNTDSAPGSQINEALKMQMEVQKRLHEQLEVQKQLQLRIEAQGKYLQMIIEEQQKLGGSLEGPDERKLSHSPPNLDDYPDNMQPSPKKPRMDDLSIDSVRGIAQPGFESHLIGPWDQEVSAKNICDPAFQVDEFKVNPGLDKS >cds.KYUSt_chr6.27094 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171855130:171863048:1 gene:KYUSg_chr6.27094 transcript:KYUSt_chr6.27094 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDPAKTPARRLAELAHGGDLGGSGGRAASPTAGEWAMRDSRMARGRESSSCMPGGSPAARVSQQGEEAKCRGGDQQHVLRASPSSCAGRRRGEPRSRRRRRARFVALEGRVITAAHFGGAVLYIAVAVPVVKLLPASTSLTCCFCLHRSSELSDSWCGVHARELTKRSEAKRSRVRKQRRLDELSSRAARLRAANQKLLVELNRVIAEHGRVARESAQLRQEASELQRKLDGMGVDEAELAAAECTEERPEI >cds.KYUSt_chr3.29414 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184134766:184137869:1 gene:KYUSg_chr3.29414 transcript:KYUSt_chr3.29414 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASASPAALRAKTPAPAPHPGPKSTLAFPWASPGASAAAASGRLHASLHLGGARGVGTANGAGLHVLHPDVTPLAVPKMAAGAGDQKSILLYHCEEMTDLARQVAARNDDIQLCSISWRKFPDGFPDLFIPNAQNIRGRHVAFLASFSSPGVIFEQLSIIYNLPKLFIASFTLILPFFPTGTSERMEDEGDVATAFTLARSLSHIPISRGGPTSLVIFDIHALQERFYFGDAILPCFESGIPLLKTRLQELPDSDNIAIAFPDDGAWKRFYKQLQHFPMIICNKVREGDQRIVRIKEGDARGRHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGIFPNKSFEKFKPDNGEGPEHALSHFWITDSCPLTVEAVKDRRPFEVLSLADSIASALQI >cds.KYUSt_chr2.8561 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53778812:53779327:1 gene:KYUSg_chr2.8561 transcript:KYUSt_chr2.8561 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYPIATVRPPHLAPQQPPPPPPPPAAAAAAPPWSPPYARCRTADAHERKESVAESGCPTEVADTAIHADLVEDGSDDDDGCGSCVEGSQGSRGQDDDEPAGSDNFVWWRQQSSSSACFSWALNSAGRKTTRNHAEERDDDGGDPKAAVARRQEEDRKFWEECLGSGYP >cds.KYUSt_chr5.42470 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267664058:267664567:-1 gene:KYUSg_chr5.42470 transcript:KYUSt_chr5.42470 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAPHLLLHGVSLDLRLDTTTPTLHHRGGGRRPASPIAAAAVGDREAFACNYCHRKFLSSQALGGHQNAHKLERTLAKRSRDLPSGPTVPAAPSSTSPAWPAHWLDGGGELWAYPASGAVESATMTAPVLGMGMGMGLGWAGGATTNGGGISNGEAVVAEMDLSLRL >cds.KYUSt_chr1.26575 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160177351:160181836:-1 gene:KYUSg_chr1.26575 transcript:KYUSt_chr1.26575 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPALLRFLCADPDLRLLLLSSVFEQNNRHQSTKPSTTKKEQKPTAAMSCSNDAPVSPRSQLALSCFEELLDLAVADVASECHRIARLGLDRSVDAEEEELRAWAARAAADHPGAEDGGATRGTGGGGGNKGAPDVFGQTHPAIAADVVDCMNCGRPVVAGRFAPHLEKCMGKSGKAVRGQLSVRRPVLSEAVFQAPPPAVAAPLVGTTCGLLPTAAVPLLAGVCSKPKLAASAATSPPQRTGCVDELVPVTAIPVQMVQAALNHDAPALVVPPLTVGSSRPLPVEAPSSLMCLGTVVEHIPEMPT >cds.KYUSt_chr2.53469 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333589429:333590079:1 gene:KYUSg_chr2.53469 transcript:KYUSt_chr2.53469 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKLSVVLLLATLALSSTSMALACGSAGSGTTCATTSNVRLPKKPGVGEGSPTVSDLPSHGALTTELATLPDLGPLAPVVGVLAPVVGSVAPVVGSIAPVLGGGAAPTVGGAAPMVGQVAPVVGGLAPVIGGGVPGIGAVPTSLATLAPVVGSSGIPGVGAVPTKLATLPPVVGGVAPVVGSAAPVVGSAAPIIGGETTVVSTSRKIRHGGRKA >cds.KYUSt_chr3.34658 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217320821:217331461:-1 gene:KYUSg_chr3.34658 transcript:KYUSt_chr3.34658 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQIMIPSLSDLDTSDCTNEAGCGPDSPLECTQRDDEMIKGSAAGLAAVLSKRKKHTIGTSSKASVHTKNNNAIAIELTTPIGIVENKSTKHHALDTIHESDKVDSECNATGDEVEKAVHDSRCEVASSKSLKKRKRLRIPSITPSRKSPRLASIYVVSNSEIPTKGTKSVDVAVDGVGSRDSIGVFTQASSQVDGKSLRIAIVLSPTVSTTNSQNMSGSGVADGKTLSTAIAISPSVASMTPATIALTSSNESKQISSSDGKCKDTAIAISPTITEQHQLIQSAEGNLCKPITTFADANRSRKPWALSPQVKERLGILGLQRGSPASVSPEVAEAVRKFSKSVVKSSFLSRFAANQTSSAGDGSRKRKHMSEGTSSSGATRDNRVGMFTPPGFDLGFSSPDTIVSDSEKEEFSSQEDAVPKFIAPIAPLDWAGPSASRDDFEEFQFSEEVQAVIAGDDVQLSEKGMAELARYEELSKAFIARQKALQIASAPSVSLGASNLHSVTPKVHPHKVRVKKSSHFMQSPFDSSIKVSAEQEEIYQKLMLSNKHQRPVKSQIRTYQIVKYHDSFTTTHDLANSIHGRGELSNHCMEVGIEYLRRTNTLVGKMIVPYQVSVYLLNGEFQKKISFPVLEEVQKANKEGNHWYCLSMNFQAERFEALDSMRSQGDESLVSHATRLINNIKALWEIHYNTSKVQIKDWELKIIDVPIQANIFDCGFHTIYNIEKWDGQKVPVLAKDDVLKLRRIYPYRWLTADFNEEKDRWRYNLFNNVISTVYPKQVLDTVLIIQFVSVVEILVSQLRGLKILFVVLSSRSSSVFRLICLEEEEDRDREMEPAAAMIVPLVLALCTAMASAAVVEHTFNVGGMNISQFCINSTIYTANEQLPGPTIEASEGDTVVVHVVNNSPYPLSVHWHGIFQLLSGWADGVHMITDCPIQPSGNFTYQFNITGQEGTLWWHAHSSLLRATIYDALIIKPRNGTAGYPFPAPYGEIPIIFGEWWNKNVNDVEIDAHLTGLGPAISDALTINGMPGDQTPCQAAGVYEVEVASNQTYLLRIINAAVNVELFFKVAGHNFTVVAVDASYTDPYATDVIVIAPGQTVDALMNTSAPPGLYYMAATVFESKTVSFPFNNGTATGIFKYEGAPNDTAASMPAMPAHTDVVTAGTFYWSLTGLVRPGDTTVPTAMNHSMVVEFGMEQGPCAPDQTRCQGFALVASMNRRSFQFPKNASLLEAMYDALPGMYSQDFPSSPPPVPLATKATSVKNVTYNDVVEVVLQSTTYSRVLGTENHPIHLHGFNFFVLAEGLGRFDPGTAESVYNLVNPLVRNTVVVPGGGWTVIRFTANNPGMWFMHCHLDAHLPLGLAMVFQVLDGPAPNLLPPPPLDYPICY >cds.KYUSt_chr4.2023 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11060598:11062056:-1 gene:KYUSg_chr4.2023 transcript:KYUSt_chr4.2023 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEATARQAASFVLGAAAALTVVMLVQYRAPAAGLSRARTQGQFSGWRSLDHRRLNGTAGLTDHQAQVVVAGEGHHAHHANTTLKANSTRTRASHLPIADHKEEGVQEEEFRGLAAAVARAATDDRTVIITCVNQAWAAPGSFLDLFLESFRVGDGTARLLPHVLVVAMDPAAHARCQAVHRHCYHYTMPGVHINFTSAKFFGSKEWLELVWSKVKLQRRILELGYGFLFTDVDILWFRDPFKHVTAYADMTVSSDVYFGDPDRLGNFPNTGFFHVKPNARTIAMTKLLHDSRGRYPGANEQPVFNMIKKQLVAKLGLRLRYLDPAHVGGFCSYGKDLGKIVTMHANCCVGLRNKMRDLRSVLDDWKNYTRLPHWEKHRAKWTVPGACIK >cds.KYUSt_chr7.25310 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157810022:157813613:1 gene:KYUSg_chr7.25310 transcript:KYUSt_chr7.25310 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPHKRRSGGSDPAPPTSSLASLSLSSSSPRGRHQLRPSNNEIIHAAGCVSRWSPLPPFSPDSDDADSFRLEPFACEPVERKTGSKPLVLSLSSPKASSAEAAAAAIADRFLPELLAAAERAKHDVPSRKEEILKLSLVARVGKVLFQTECFEDMEQSAVKLMGLELDSSKEHYHVKIFDKHQSDSTMSCKCTVQEDGKLAIHKVELNQIRQLVEDISCLSQDLDLRLMLSTKRILKNVDPEVENAIQSLVSSAILDPNVKGGLRWPFGKESIGERFSIVGVWHTNYKAFRNKTLRFKLRHADRFDHRSSTGEVSNEVTFKLTGISKRLQDGNKEVDSLKEMLESAVRMIWDSALCYKMAH >cds.KYUSt_chr4.52526 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325803967:325805655:-1 gene:KYUSg_chr4.52526 transcript:KYUSt_chr4.52526 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRGNPAAASAAAGDEGEETAEELLTRVAGMVPAAVNAATAAAGFPGRWKAIAAKLRTLPARLSDLSSHPCFARNALCRELLQSVAATLADAAELAARAPGAAGKLQTQSAIDALGARLDVNIRDCTLLVRTGVLSDAASTPPPAAAKADVRELLARLQIGHGEAKGRAVDGLLDALAKDEKSVLSALSRANVAALVQLLTAPAPAVREKAATVVCQLAESGGGVCEALLVSEGAVAPLLRLAESGSSLAAREKAVLTLHRLSARPDAALAIAGHGGARALVEICQTGDSVSQAAAAGALKNLSAVPEALHALADEGIVRVMVGLLDHGTVLGSKEHAADCLENLTSASDAFRLAVASDGGLRSLLLHLDAPSPRESAVRALGNIVCAVAPDTLVSLGALPRLAHVLRVGSPGAQQAAASAVCKISGSGERDMKRLIGEHGCVPPLVRMLDAKSAGAREAAAQALASLAAHPANARETRRDERSVPSLVQLLDPSPANTAKKYAIACLLALSSAKRCKKQMISHGAIGYLKKLTDMEVAGAGDLLDRLEDRGRLRSIFSKS >cds.KYUSt_chr4.45398 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281026206:281027043:-1 gene:KYUSg_chr4.45398 transcript:KYUSt_chr4.45398 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRFSGSPDPAPHLEAAPSPDGLSLNERRRCLLLLATPERPGRDANRRLGDAVRLAWAVAAELWRREVAANARLAVARARLAEALAELERNRDWLHGPLAELGGGEAMCHHRRAMAGACSVWGAVFGLGHANSVQLAATSANEAGLVHDGHRGVASWVPFCLVWATPSPRSSLKPAETERGGFRRTGGCIRCSQRPTRTSRPLPVRHALAPMMPYCRWFANRMFALSDLIEMLLRLSVDATKQRQHG >cds.KYUSt_chr7.20040 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124164877:124166305:-1 gene:KYUSg_chr7.20040 transcript:KYUSt_chr7.20040 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSELWWQHVSGNKLAQIARSGRGVALLEVTWAVACPGFSPSFLSLAGEMIQHRPYNQKVDVYSFGIVLWELITGTLPFANMTAVQAAFSVVNKGVRPAIPHDCLPSLGLIMTRCWDPNPDVRPPFTDVVRMLEYVEMEVLTTVRKARFRCCVSEPMTLD >cds.KYUSt_chr7.27939 pep primary_assembly:MPB_Lper_Kyuss_1697:7:174289322:174290902:-1 gene:KYUSg_chr7.27939 transcript:KYUSt_chr7.27939 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKGGAPRKEEVVTREYTVNLHKRLHGCTFKKKAPNAIKELRKFAQKAMGTSDVRIDVKLNKHIWSSGIRSVPRRVRVRIARRRNDEEDAKEELYSLVTVAEVPAEGLKGLGTKVVDDTD >cds.KYUSt_chr1.26573 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160169960:160173273:-1 gene:KYUSg_chr1.26573 transcript:KYUSt_chr1.26573 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIRLDSKDFEGLTAKKFARMVNDRLLVRSNVDLHTFQLHWDEFPGIHVRGMRRWMKYAVNHNVKVLDVILDDYDKTHLPPCIFTCHSLQELNLQWGAPGNDLEHIGRVIPDEIYLPSLKKLTLRDVEFEQSSLNKFIAHSPYLEDIHLIDSLCYLNLIDSKVLKRLTIDGSIDIPPCFTISAPHLISFECMGYELKNISWRDQPTLESAQIDARGTTFDGGCKFTEILVHAKKLALFGLDIKVMLEKELPTCSVFQSLVTLEIGKWYLTEDLFVVLRFLQLSPRLEKLKLIHKSVHKAGEGAETKNRPVDGMTFQCPLLESVTIQCSEGDEGIDKLGNVLVANGVSLDKISVTILVTNKYRKDEIHVTLYEYIKKRALQEKISATEGQVRRSERHAVAEDGAGDTDEDMMQKAMRRKAAKNLDTAGRVDVSMGRQSDEIPVSVNVLRHIERDRLTVMSKASTGLESSFLEEDETDDILDG >cds.KYUSt_chr5.20257 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131698241:131708218:-1 gene:KYUSg_chr5.20257 transcript:KYUSt_chr5.20257 gene_biotype:protein_coding transcript_biotype:protein_coding MLDATDHRELGRGRGRSRGGGRFSGGGRGDGSPRYGARDEPPRRSSGWGVAPPSRHLWVGGLGPGATASDLSQLFIRCGEIEGISRDPGRTFAFVSFAREQDAVAAARELQGARVRGAPVRIEFSKGVSVELPPASTTDKSSDSSVDDRYTQSANERPFFERGRKHQPSPEKPIDRSKRNKNAEPSEVLWIGFPPGLKVDEAALWEAFSPFGEIVKITTFTGRTYGFVKYTTITAACRAKEALQGRLFNNPRVSICFSRSDGGAAEAGKGTFIAPYSPHLNPSARPILEAQDFEAFHRPRSFDSPPRDFRMSSLHSGPERSLRDADDVGFSRDNHFRRGPGIESGHVSNFEPFRMRGLGPERRMSEDLNEQHRSSPTVRSDAPWRNIPFERPRRPLPLEDSWDAEGNSYPLSKKLRTGEVHDAELPEYPFSEFDRGHVDSHYPRRPFHDLPEDDPHPITYHLPPMHGRNYMDPSRNPTPPADRQEPWRSQNSFGTHAGEVGKSTPEHHGPLPKEEWNWNGTIAKGGTPICRARCFPVGKVLNFMLPDFLDCTARTNLEMLSKHYYEAASSWVVFFVPENDADMAAYNDFMNYLGDKQRAAVCKLGERSTLFLVPPSDFSEQVLRVPGKVSISGVILKFQEANQDLTSTNRQPEVVEKVPASFASHLNTDVSSHEDQDALRRLNPPDMSAVPQGPDYLRSSAGISTPASADFVTPYKFANAPPYLGSQLPQQVPEPDSRREMAQGQHQQFPNMGPSRWSNNNDPSPYSGNFNSLAKNPASHTLNDRTSDLYSFATQGVPKGTQSGYAPGEASSMSLPSMEPPPHQVFRPQQPPSLPVSLPPEQLAQLATILAQQNQRGKEAGLPAGSSNKQSGFIHNSYPHEHASVMPDSSGQFIQNSNPHGHASVMSDSSGQFIQNSNPHAHASLMPDSSGQFIHNSNPHGHASVLPDSSGSIPVYNSHLPVPPSAPSQLQVHAQPIQGSLPSNPPVNLPPNAPIPRHTTSHLPPMQVFASAAHSSMPLGSFVPPLPEGPPPFQQLSSGGASTLLPSVQQMGQQPSAQEDLDGDPQKRLQATLQLAATLLQQIHKQSKPGGQ >cds.KYUSt_chr5.8394 pep primary_assembly:MPB_Lper_Kyuss_1697:5:53116175:53124576:1 gene:KYUSg_chr5.8394 transcript:KYUSt_chr5.8394 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVVKMAVEMAAVSMEKPSGGTSPLRQRAGTETPVPQILASRWRRLWKDVLRTILSKLPAKEAVRSSVLSREWRSVWTTCTKLSFNGADACWSGGGKAEQRHTQVFIDHVNAVLQKHRDRAIEQFEVKFTLDSKLADHLDSWIRFSMSSQTKNLALDLAPPSNFLRHGDHYRFPFELLDKEGVSCLQRLQLCFVSFEPPPAQFLGFPNLRKLALHVLNTTSKDLDSILGTCCKLEWLSIARCHLKDELKVTRPLSRLHYLKVMYCDITKIDFHAANLSTFVYNGRFIPVALRRASKLESVKIWFSGTTFQHALASLLDGLLDVQNLTLQLSCQRLETRWVLNSPRMFSQLRHAQILLLLTDEDEDKILYLVSFLRAAPFIEKLEVHFLGLPSLWFANDGPLRQEIPTSEYKYVNLKNVRVTGFRGARGQVEFLVHVVENAPGIQVVTVDTTQRLTDAFDPDEATPTLDSVALDIVRGPLLKRLPSHAKLSLV >cds.KYUSt_chr4.45190 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279906913:279908004:1 gene:KYUSg_chr4.45190 transcript:KYUSt_chr4.45190 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSEPSREDGAADASVTLQKTGGWNNTWNTLMHHASVYGVAAGYCLSASLLSIINKWAITKFPYPGALTALQYLTSVAGVLLCGQLKLIEPDSLNLRTMWKFLPAAVMFYISIFTNSELLMHANVDTFIVFRSAVPIFVAIGETLYLHQPCPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYSWAIAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGEFDQMKVDSSKMTNWLSFDVVLPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASLVGTIGLLICMSGGVLYQQSTTKPKAPKIEPKEDIDEEGQKLLHMQPGHESSSK >cds.KYUSt_chr3.39737 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250397138:250397479:1 gene:KYUSg_chr3.39737 transcript:KYUSt_chr3.39737 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAYSGAEGAAAAKGGSYGPVIAMLAVLAVLAAVAIAVGRLCFGRRALGQAGGQDLEAWVERTCAPCVGARERSSSPTAGRDLAKEEEVGGTAAEQLPEGMERGEGSGGGS >cds.KYUSt_chr5.19529 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126689971:126701000:-1 gene:KYUSg_chr5.19529 transcript:KYUSt_chr5.19529 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSPERNDWRAPAISSCVQIYPSEYELWMPKDWLHVKQHGHGTNDKSNTRWTKTSRLKRRRKKKGEYEPDAPEFGNDDEEINDNEVIKMKPPTGRVVATTASSQENMALVIEDMQLLPRSAFKILPTVLCNTKYYTWSFKFVSHCITRLWNIMVVLPGISDALPCPHALDASRISIALRLTNRRWVCRRGGWRELLTLTLAKAATADSLAPCHRWNFSLGSSPRPSTAGIVGGLDPVFVKVDDFEQEKRTTCLTVDGQFEDFNQVHLGESDLEFGNDEEEINDNEVIKMKPPTGRVVATTASSQANMVLVIEDMQLMPRSAFNILPTVLCNTKYYTWSFKQAGEIDNLTVSLGQSTLVVLCRFHVGAGISGVSPHYIPPPSTFNVLLDSYWTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRSSPPGLEAMLKEFISTQTAFNKSVEEKLRKIDILASKVDSLAADVDLLKSKVMPNENHHNKITTTANAIQVRINENIRLMAELRARWDREENEKLAKEKNVAKVWTITTTCNANATHVAAPPTINNKRIGVSNVSTSNAKRRNCLKALKLLNRSVIKLLKFFPTLGMMIPLL >cds.KYUSt_chr4.47466 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293814397:293820746:-1 gene:KYUSg_chr4.47466 transcript:KYUSt_chr4.47466 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAALSSSLPRSLASRRPLSSPLHGGRPRSPRRCRFGSRLRARARKGDPEDIYGPYPWEQPLDLTTGFDIEWVQEEKITLFTSDGLVQIGGSMVPRRVTASEKRQQKAKGMHKVRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEIVTAEKASSDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGDGLLPIVSDADMNEMRNATTTDNTLVIFVDHTNFIGTIRAEIVTINVARAAMSVGTPAPPITRLAPPITSPAPSVARPASSGARGALSEASVVVVETVEQESLADLDDDSDSGSDFEIYDIAPKKKLSHGGLWGSNLQDFVKQAQARKAFHLVAVKIVIIELKWNAQD >cds.KYUSt_chr5.32872 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208382463:208387660:-1 gene:KYUSg_chr5.32872 transcript:KYUSt_chr5.32872 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGASGNTSTTLGHSTPLLPQIQPMEEGAGMPPRRSASLHPQIQLSEQGAGVTCRRSPCIHPQIQASEEGAGVSRPRSGGTSSVAPESPTFDDDMLREILIRLPPQPSSLPRASAVCRRWRGLVADPKFLCSFRARHRKSPLLGFFDKRGSRIVFTPILDPPDRVPPARLSLGRCSSTDDYDVLNCRHGLVLVKNRSRTEVVVCDPVTGEQRRLAVPPEFKTVFFNGAVLCTAAGQGHVHGGCHSGPFKVVLMSMYRDVNRPTVRVYSSETGWWGNLISTQASYQLGGDADNPAVLLGHALYWLSRRDGIVEFDLDGQSLAVITGPPVTNNILGQNHSIILAEDGALGFVVLSFPCFHMWRRNINGHGVATWVPWRTIEMHTILGLPSQLDAGWKWLMGYDQDNDVVFLLVGYSVYMVQLKSMQPRKLYETKYITQCHPFTSFYTQGWVPQIDIPSGARVLSNGQTSAEEQIAALHREFYCMTMRMNIDCSGCYQKIRRALLEMHDIESHLIERKQQKVSVSGAFVPQDVAIKLRRRTNRRVEILELKEVDAAPSGP >cds.KYUSt_chr3.35397 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222305212:222314509:1 gene:KYUSg_chr3.35397 transcript:KYUSt_chr3.35397 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVTHEEGEGSGSRKSCSPALRRGRKRNRGGTSTAEAMTTRSRARDQQQFSRSKSARNKLDGSGERLPSEGCGKRKKAKLDGSGRRVSSERSSRKRKRRLERKAKKRTRGEEEEDGSCSSAGSSPLREPYMPHDIVIGKSIDPLIYDKYSDMQDKYYRKIARQMEIPMLYERTPPNCLVNDPTLLHIREPARQIVLSAAQFVVGLSSSIDGKPLAWCSGILKDLDRKKRTGTVVTTAHLIRTARPSPDAWLCRDEYASNVKVTVHLRGGAVAKGRLLYHQKHYNLAFFRVKMHQSIQLPHFIDKVECAQDIFELGRDESVKLVIHHGRVKYSNPDVYERNHHMRIEGPHRNREYDNGGPVIDLDGKVVGMIDSCPEGSFIPSSILLKCFHLWENFGRIRRPHLGLKFSAISLLNPVHVEDILIKHKIKEGLIVLEVSADSTAEKCGIRVGDVVERVNGKCISTVVELEDMLLSIVVNSEDGLDSDLDVEIQIYCTRRHLRRIKVLTVKVSEDAEFVARGLQVLCRLHRWQRAVSSDLVSSGQGAPSTSPGNSVAAPRLEAGVQRQERLAVGSRIGWCGSSSRRPPSKKTLWKRRVEKPQSPSSEDELRNIALAKMVRHRSLRPSFTMVASVGGHRLAVTCDQVVEVLRKGGEKPQKEASKEPLLDGEATELTPVLEQVEIEVARSNPEAVLEYRKEHLLWEDAPDSLPLIGTGCEEDLHRTESAGP >cds.KYUSt_chr7.27017 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168870152:168870388:-1 gene:KYUSg_chr7.27017 transcript:KYUSt_chr7.27017 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSMPASTSGRAASAAAAAATSLGMDTQPILLGFWDGGQVAADARSGIGGDGRWHQWFGLVALHHCTAIPDQLVSFA >cds.KYUSt_chr5.43141 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272120613:272121101:-1 gene:KYUSg_chr5.43141 transcript:KYUSt_chr5.43141 gene_biotype:protein_coding transcript_biotype:protein_coding METTAPVGTTACGRQPRLQKRSPIQQAGSGGGSCRWSLAETAAAVARRDYSRRGLSPTASTEKTPGEPPPSGQPSREASYAEKALGEAAAVEEAAVANSAAICPPWPSNTPEQGPASSPLCSVKVDGEPQRNAVALVLGVADLAEVKPHGASPELHERAVTQ >cds.KYUSt_chr4.7157 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42340054:42342765:-1 gene:KYUSg_chr4.7157 transcript:KYUSt_chr4.7157 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSFNKVELYDGFPPAPMAFIGIDIERGPSQHARPPLFLPVTHITYEFLSGEDTADRRLIYTRHAGCAPGSCRRATPSKCLQTTMQCRPARSERTSIVQYMEARPTINDELIVGSEKVSAAEDLAAGRLPPPDPRSARECGGIGVNLEGKEQVGFLPLLQSRALPENIPN >cds.KYUSt_chr4.37885 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233658691:233663072:-1 gene:KYUSg_chr4.37885 transcript:KYUSt_chr4.37885 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSQSLLSPAPPSLPRARLALSAHRAVALRRRPAFPAVAAASTSMASSESDEKKESKLWGGRFEEGVTDAVERFTESISYDWQLYKYDIMGSKAHASMLAAQGLITTGDRDIILEGLDQIEKQIQDGKFEWRKDREDVHMNIEAALIERVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILIRIKQFQVSLVLLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLIDCRERVNFCPLGACALAGTGLPIDRFKTAKDLNFTAPMKNSIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLMTVLVLCKGLPQAYNRDLQEDKEPLFDSVKAILGMLEVCSEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRCVALCVSKNCQLTELEMNDLKAVHPVFERDVYAYLGVENAVNKFISYGSTGSEQVKRQLEDWRVQLGINP >cds.KYUSt_contig_60.106 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:590640:591197:-1 gene:KYUSg_contig_60.106 transcript:KYUSt_contig_60.106 gene_biotype:protein_coding transcript_biotype:protein_coding MATHNLDAEQCRQGLLVLSPRDCGVLDRVPERCRLQVHLHHHGPVDVVSALEHREDEARTAASAASHLADHLPLYHDLAPAVSHPAPSPTWRSPSLVCRRRGCGRTPLLERWWCRHLPTWCSSAGMRPLRHGRRSLHTAVQRLHAAVRTMSQRAASTSARLTADSLLAMAPNHEALIPIVSSYPY >cds.KYUSt_chr7.38790 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241349578:241350978:1 gene:KYUSg_chr7.38790 transcript:KYUSt_chr7.38790 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTKPTRQLNQCTEQPSFARSLTHSLSLHGAAKQAKAEPAGSPSPRSFLAEPRRAGFRPAERVNPEAVLSSRARGSTGAEAAGAMGSVSLKLPASRRRHGRVLTCLCSPALLNLLMLISLLSTNLLAFFAFFAASPRHDPAATATASHSSNLSAHVAAIAREIGGGATSTAASLPDGLPPELLLFLTPHALPLGRDARSGLTHMQSSVAAACLRSPSALALLSTLMAYAPHSSCPRNATLPRRLVSKGCEPLPRRRCLSRGPRAALPASSAMGQENRRWVAPARHGHEFLVDDVLRLSAPSKIRIGFDVAGGAANFAARMRERGVTVVTSVLDGAGKPMNEFVAARGLFPLLLSPAQRFPFYDGVFDLVHVGATALDEAGAPAMGQAGTPEALEFFMFDVDRVLRVGGLLWIDSYLCQSEERRRVVVKLIERFGYKKLKWVAGEKPGSSTTSIYISAVLRKPARG >cds.KYUSt_chr3.35622 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223797518:223799874:1 gene:KYUSg_chr3.35622 transcript:KYUSt_chr3.35622 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGVTSGVLVVFVFVDGDLVLLVTGVTDGVMVVFVFVVDDVPMRVTGVTDGGMVVFVFVVGDVSMRVTGVTDDVMVVFVFVVGDVPMLVIGVTDNVMVVFIFMVGDVPMLVTSGVMVLFVFVVGDTRTARVRWEPQEEADFFPELSFQSWRSYGGALAATVPSLRDRVVARSTDAIEAGTLLAESDNPLRRCLSWVDLAFLGFGSVVGSGVFVLTGQEASFDAGSAIPLAYAAAGFSVLLSSFRRRGTRWPRGPRIEGGGGRRASGR >cds.KYUSt_scaffold_869.231 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1394704:1396275:-1 gene:KYUSg_scaffold_869.231 transcript:KYUSt_scaffold_869.231 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRWFASGANDDEASSSRRRPPALRPSGGNRGGLHIGEATRSGAALPQPPPLLLEPKPESSEEDPDLRAALLISAAEEDAKWPHLHAAIRTSEMEEAARQAVEEAEGWELYAQARRARREEEEAARREEATRRAEEERRQTLKLENHRAQCTTQTAYKRGAWTTGSWQNQKDIPAAEPKPYHPQNVFGFGGFYPGPSVNWVFPGPNGVTPQVGFGGMPGSSSFPGIGGSPLTPGGADAVGIHAAANP >cds.KYUSt_chr4.53311 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330131327:330135192:1 gene:KYUSg_chr4.53311 transcript:KYUSt_chr4.53311 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAMRWEEVEVGDPKDGEIRVKNTAIGVNFIDVYFRKGVYPAPLPFTPGMEAVGVVTAVGPGLTGRKVGDVVAYAGKPMGSYAQEQILPANVAVPVPSSIDHKTAAAIMLKGMTVHVLVRRVFKVEAGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSNEEKAAHATQDGCRHVIMYTKEDVATRVKEITEGKGVNVVYDSVGKDTYKASLQSLAPHGYLVSFGQSSGSPDPISMGDLAPKSLFLTRPSMLHYTGTRDELLQSAGEVFANVASGVLRIRVNHTYPLHEAARAHADLEARKTSGSILLIPDN >cds.KYUSt_chr4.47749 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295595296:295596079:-1 gene:KYUSg_chr4.47749 transcript:KYUSt_chr4.47749 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVELIGTFTCPIVHRVEVALRLKGIPYEFIEEDLGNKSELLLKHNPIHKKVPVLLHGDRRPALCESFVILEYLDEAFPQGLALMPTDPLDRAMARFWARFIDEKCWGPAWVALWAAEGEGKEAAAREAKANLALLEGQLGGKRFFGGDRVGFLDLVASGFAHWLGVYEEMGGTRLLAEDGHPALLRWATEYAADATVRQCLPDREALLAAMATSKERFVSAATAMARK >cds.KYUSt_chr2.2413 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14589299:14595482:1 gene:KYUSg_chr2.2413 transcript:KYUSt_chr2.2413 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAEVASSGLRHQIWTGVVPPCGAPPTSLALDSLWWFGEVVRQWALNRGKPLADDGGHEVDDAGDGTVLLLEGDIEVVSPPSLLFLYRGERSTSDRKQLLRAVTAAALYAWPSSSLLRPGLPRLIALLPLFPLLVAAPLAFPSSAIVRGLAAFFLAWLGTFKLALLAVGRGPLDPALPVLPFLFTALLPVKLAPASSNKAKPVSLVSCAVKVAVVAAVVRLYQLNHRLHLYARLALYGVHTYCFLDLLLPCIAAAAAALGMEAEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRALAGVPAAVLATFAVSALMHEAMVYYLSLQWRSDGMMAAFFLLHGVCCVLEGWCARRWAARGWPAPPRPVATVLVGLFVTATSFWLFFPALCKDGVEEKLLQEWAAVAAFFQDAGGKIPWYGQLN >cds.KYUSt_chr7.38239 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238492670:238493203:-1 gene:KYUSg_chr7.38239 transcript:KYUSt_chr7.38239 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTVTRAYLDHKLALAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKANLNPTGQALIVCTIAGMAYFVAADKKILALARRHSYEQAPDHLKDTSFSAAAARHHPAFFRP >cds.KYUSt_chr6.9549 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58926134:58926996:-1 gene:KYUSg_chr6.9549 transcript:KYUSt_chr6.9549 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAYSTALLGGAGARLPAVAAAAPPSVLILRRGFSPLRLQDAPRLSLVRVKASSDDTSASAASGDELVDDLKAKWEAVENKSTVLTYAGGAIVGLWFSSVVVGAVNSLPLLPKIMELVGLGYTGWFVYRYLLFKESRKQLADDVESLKKSIAGAEEE >cds.KYUSt_chr5.3022 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19655973:19656711:-1 gene:KYUSg_chr5.3022 transcript:KYUSt_chr5.3022 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSRRHKPLAHLLAEPGNGLPVSDPAMYARAAVKEYDTTLLEEIIRRGCDRCMLQRQLHLAVQDDNIWVIREHWLRHLARAKDEGCHCSQQWSRCTPELAMLHRAECHDLAVMVNQVVRLSELWISRQGNLLKNNMVHTVSIPLIWPSSYQFGWSNGGSVKNICAFSVAEWCCRISGINAVNFGSAIISFC >cds.KYUSt_chr2.26352 pep primary_assembly:MPB_Lper_Kyuss_1697:2:161361235:161367665:-1 gene:KYUSg_chr2.26352 transcript:KYUSt_chr2.26352 gene_biotype:protein_coding transcript_biotype:protein_coding MLVILIVPKHPAAEQSGSDEEGCEGPRFLRFEADSGVHLLSTMSDPGKGSNMQQLVPMAPPARVSGGDSGKELMAKASGGGSGKELVLAEGGGKSSGGVKLREDEEDLEVKLRRIMEHVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRITRMESDYEKRKQVAEFNLRREERLKAAEERTSKKRLKRQKKKERTSTKKRAMETKPMKRELEMKKMELQHPGRNFRPPGPELPARFPPDALQRTEDRIQPELPPLETGTSAHRNFRPSSAQVPKVGENHTGCYYGTMAQFRNKAGTWPGLPPRPELPPVQTGTSAPDRNFRPSRPELPPLTGTSAQVDRNFCPIELQHNSTFQRVTYPFAPTYK >cds.KYUSt_chr2.47979 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300039159:300041120:1 gene:KYUSg_chr2.47979 transcript:KYUSt_chr2.47979 gene_biotype:protein_coding transcript_biotype:protein_coding MNAARVGEKVRETILKRGVSNPKVKPEGRGGATANANSGDETERSKASHDTFPDGGVQAVAFTKIPGSIPSVGAAKPASPGGISVRVEFGEEFAKESGVLGAERENTEATKLFGDTDIIPSHFAAPPIAGLFPGPEESPAPLIDSLNDPSREKAGAHGMGKHGSEDGINEDQPSTDRKATAAPSRKAHITFVDDGGEICRAEFIGGKGETKVNLGKGRDRAAKIFSHGKGFVWTSANWDKGAFVEVDSEASSGREVIKEVFKIGDMIRDSSDDDEGIISVLENRAREIVNQRVEEKPLAGSFKKHLLEDVNNDVEQEGGQGITLPKATPTLDPPPRNPIKENHRLARVVEALNPSPPELRETFGHHNTVEGVPADRIEGLTKIELENRGRGTPLVTSLDQIGGVDKVFSNGPPGDEPRLVRVDEERDKILKAKGQALRVNLKAAILEGDRPKIVRPVCPFLFGEEDDIGLVYGAKVRGEVVEPGQRVIESVFNKIPVSLEKGRTETIRARAGVIIHREKGSANFFEGEGANEGGSLGGIEGGRGNKVFPVQAIDSMDGGAEKIFEKIMEDAGFCRVGEDGNPVLVVEVLDLVLLQAARGAEVKVSGIFIPKDTVFDFCPLAPIGGAVSIFLAEVRLGQVAKAGLKEGEGASFL >cds.KYUSt_chr4.16304 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101220891:101227378:-1 gene:KYUSg_chr4.16304 transcript:KYUSt_chr4.16304 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSDQGTPDSEMGDADNDSLGGYGTEMELDAAVSGSAGAAPYPTNARPSVHDGVDPFEGMEFDDEEDAWTFYNRYAHRVGFSTRISVMHRSRRDGAIMSRQFVCAKEGFRTYRGKNDALLLTASPSAAPGTEDTARGRRTRAVTRVGCKAMIRVKKQDNGKWLITKLETAHNHPLVPQDQSHCLRPHKPLSECGKQRAAYRRNGGPLLAIEPPPPPLTPHNTIAPSPPQQQYIRDGIGDAARVVLDYVKRMQAEDPAFFYAMQFVDGHPVGNVFWSDARARTAYRDFGDAVFLDDFCKRSKYELPLVTFTGVNHHCQPILFGCAVIRDSSEASFVWLFETLLLAMSGQHPASLTTEYDGAIRSAVRKVLHQTRHRICRRHILNEAQYRLSHLVPSFDDELVNCINMSGSVEEFEANWEALISKVDSGSVEWFDSVYSFRQQWVPVYLRDTFFGDEPSKLECTSRSSFFQPYIVAQTNSQSFIQQYEKALDSCYEKEMKEEFETKYSLPDIKTSSPIEKQGADLYTRTMFLKFQQELVDASASTLEMVEEDGKSCMYKVTASQGSEKPRMVQFNSSESFAKCNCQMFEYFGIVCRHILTVFAAQGVSALPSQYILRRWTKHAIDRSSNKKLDEDRSSNKKLDEDRSSNKKSDEVSRVKEPKEEQRSTIEDGEQSQTWRYNNLCREALRYAEEGASSVDVYIVAMHALQEAANKVNLAKRDAKFCVKLYGMVYLDHLSHELSQANGNKGSSTNMVNSTASPQLVTVPVGFCLPSVDNSKMSAAGMNSNSGGVTSNGNASSGLRQCQSSAQVPATHSETKTPADNTDSRVASEGSSIRAAAIAAGARIASPSDAASIIKAAQSKDAIHIRPGESLPNHLKPLAPKPLFPVPPVTVPSSVYASTSNMHPGQAGFGDSRAAKEAIFGSSDGSDDDEYDDDEDSDDEGLSGDEAEQE >cds.KYUSt_chr2.7679 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48164579:48165837:-1 gene:KYUSg_chr2.7679 transcript:KYUSt_chr2.7679 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSFGPKKRLRRGLWSPEEDEKLMNHIAKYGNGCWSSVPKIAGLERCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIHLHSILGNKWSQIAAQLPGRTDNEVKNFWNSFIKKKLRQRGIDPATHKPLAPAAASVVSRPAVFSDAELILSATMEDHMPPPLVSAESYAYGRCSGDGDGSLSSLSAGYDHTADFAGYLDADVLHGGVIPSVSSCSTLNSVAGFSPGAAAAATDEHCCNNNPSSSGGFESSTTQSSSHLPWLELGSISSCTEDATAGADNYGAALDELKWSDYVFDGGYQQYQQGQCIYGDTKAADAAAAQFDAQGGLGINWCLN >cds.KYUSt_chr1.32378 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196523592:196524878:-1 gene:KYUSg_chr1.32378 transcript:KYUSt_chr1.32378 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHLLGLSPTVPRRCPSPATAVPPPPWAIIERKTAVAKGTGPGASLWLRCPPNLSRLDFPKHLVRTSTDPDHGSDAVQPISGSLRSASSDGLLLLSYIVPGQGAHFKHFVCNTVTGELSRLPDPRPSDPVHEVMCGLRMGLLTRAGPGDGHDGPPDRFAVAELHGDQMVRFLSETEEWETVPVSPCQLPDARRMVLDHEVVAFGGRLWWLDVTCGAISADPFSDRPELCFVELPKDSVLPAAAQDGSDRVTPSKHRRLCVSEGRLRYIEVSPDEPFVLTTFALDEEASGWTLQHRLDLSGFEADHFGHPWLPLKEGNTPHIGFLDPLNHNLVYMSATITTLEGTPRVVFLVHMERGEVIQSYAYESDNPYFLPCVLPPWLGSSQIPAAGYHSPLKLLDYQFFVLISVLDVQCSKGNVDEVEFAFDS >cds.KYUSt_chr4.998 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5166399:5169216:-1 gene:KYUSg_chr4.998 transcript:KYUSt_chr4.998 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGHILKATDRKRKHDSAANSTDTDAAAEVSRHVQRQNLAMPVCKKSKMTSCAGHILEWYNNFKTSGLPMRVLYYQQGKWRDFPEHVVNLVQRDFQLKRPITNAVFQNQQVLLDFMHMVCLDSATATTKPIAWIDDHGGRFFPDLCARLITSKPVQHGRSDPSGKSEADEISTAASESSSSDHVAEVPSHVKKVNNILVEKQKVQNEAASGPRNAATGLHNSGPRIDSAVRRLLLEGLGHPFSEKDIVGIYRTPLVDQQGQARFNLFQKELELTKIQRGNANVRYAWLPCSKDAMEDMMMHGTLKITKPLLGPVYGIGTHLAPANCANTCASYSDVDENGIIRMMLCRVIMGNVEVVLPGSKQFQPTAGIFDSGVDDLQKPKHYVVWDANVHQHIYAEYAVIIKSPSMTNEYLVREDTASNISEIGNSRSPDSVTKRRKISRTDLVKRLRQIVGDKLLVSTVVRLQHKVPPTGAAEMLPRRLPGRGGNTTSP >cds.KYUSt_chr1.9750 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59573820:59575253:1 gene:KYUSg_chr1.9750 transcript:KYUSt_chr1.9750 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLHFVLVPLLAQGHVIPMMDMARLLAGRGGARVTVVLTPVHAARSRAVLEHAGRAGLAIDFAEFQFPGPAVGLPEGCESLDMVKGFSDFALFYEAVWLLAGPLESYLRALPRLPDCLVADTFSPWTADVARRLGVPRLVFHCPSTFFLLAVHNVEKHGVHGRVADVLEPFEVPDFPVRTVVNKATSLGLLQLPGLERYRRDTLDAEASADGLVINTCAAFEGAFIQRYGEALGKKVWAVGPLCLLDSDAETLAGRGKIGAAVVDAGHVVSWLDTKPQQSVLFVSFGSIARLFPPQVAELAAGLEASNRPFIWVAKETEGFDSGFDARVAGRGLVIRGWAPQMTILSHPSVGGFLTHCGWNSTLESLSHGVPLLTWPHFADQFLTEALVVDVLGAGVRAGIKVPLMHPEVSKFQVGREDVKKAVAEIMDHGVAIRSRAIELATKARDAMASGGSSDVDIGDMVRHVAELTKKEDQ >cds.KYUSt_chr7.6154 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36936660:36942631:1 gene:KYUSg_chr7.6154 transcript:KYUSt_chr7.6154 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRLLVLCVALAAAAAAPAAGQRPSAVSVGALFTYESVIGRAAQLAIELAVDDVNADATVLAGTSLNLITQDTNCSGFLGTIEALELMEKNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFLRSTINDYFQMHAVASIVDYFQWKEVTAIFVDDDYGRGGVSVLGDALAAKRARISYKAAIPPNSDTDVVSDVLFRANMMESRVFVVHVNPDTGMKLFSTANKLRMMASGYVWIVTDWLAAVLDSSKSSDRNDMNYIQGIIVLRQHTPDSVAKSKFISKWNNMARNRSIDSALNSYGFYAYDSVWTVAHAIDRFLDSGQQINFSVDPRLHDSNGSTLRLSTLKIFDGGEQLLQQLLLTNITGLTGQVRFDSDRNLVQPAYDILNVGGSGSRLIGYWSNYSGLSVASPEILYRKPPNRSTSAQRLYSVVWPGDITTKPRGWVFPNNGKPLRVGVPNKPSFKELVSGGKGPDNASGYSIDIFNAAIKLLPYPVPCQFIAIGDGINNPNYDDIINRISTNSLDAAVGDFAIVRNRTKIAEFTQPYIEAGLVIVAPARRANSSAWAFLKPFTVEMWCVTGALFLFVGVVVWILEHRTNEEFRGSPRQQVLTIFWFSFSTMFFAHRQNTVSALGRFVLIIWLFVVLIINSSYTASLTSILTVQQLATGITGLDNLVASALPIGYQAGKFVRNYLIDELNIPESRLVPLSTIQEYADALNRGPKNGGVAAIVDEMPCVEIFLSSYCKFRIVGQEFTKEGWGFAFQRDSPLAADLSTAILQLSESGQLQRIHDEWFTDPSCGSEDSGLGAVQLSLGSFWGLFLVCALICTLALMVFFGRVCWQYSRYSNSKADGEPGAAAAAAVTTAIVSEMQPSKPRPTRLGSFKELMQFVDTKEEEIKKVMKRRTCEKDNGAGGSSHGRSISSA >cds.KYUSt_chr6.9042 pep primary_assembly:MPB_Lper_Kyuss_1697:6:55858226:55863387:1 gene:KYUSg_chr6.9042 transcript:KYUSt_chr6.9042 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAEKAVRCLGLGFDMTCDLRLKFCKDSGGSVVARSSGETAPVSVPGVGVVRDMPADVKCGKGDRVRFKSDALEFNKMSEVFNQRSSVEGKIPSGQFNACFDLDSGSWAQDASSTKCLAMDGYFISLFDLRLDRRQLALAGHVLDDVPAAWDPAAIASFIEKYGTHVIVGLSMGGQDVVYVRQDASSPLSPSEIRGHLDKLGDQLFSGACAVPPPHSKSKSKLKMPEAFNVFDAQVAQQRLQGITTLVSSKEGVTVIYSKRGGNTMVSSHSEWLLTVPAAPDVINVKLVPITSLLRGVAGTGFLSHAINLYLRYKPPVADLRYFLDFQHHRMWAPVLGELPLGPCSNRQGSSPSLHFSLLGSKLYVSSSQVTVPMLPLTGMRLHLEGKKNNRLGIHVEHLSATPTFIAAVQRSSNRPPSWRGSETVSDDDHRYYEPVLWRMYAHVCTAPVKYDRRWHQSEHRRAATAAAAYIVTGAQLHVKAHDSTTVLHLRLLYSEVPGYAVVQSRWTRSTARTSGKSSSFLSMPFSGSSSSSGSGSGGVKEGRKQPGPPPVVLNINSGLFVGAPPVPVGAQKLLKFVDTSQVTMGPQDSPGYWLITGARLDVDKGKISLHVKFSLLAPAS >cds.KYUSt_chr6.11364 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70458605:70464451:1 gene:KYUSg_chr6.11364 transcript:KYUSt_chr6.11364 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPTRVMMAVNESSLRGYPHPSISCRAAFDWMLAKLVRSNADGFHLLFLHVQVPDEDGFDDVDSIYASPTDFQSMKQRDKIRGIHLLEYFVNQCHQLGIKCEAWTKQGDPKEVICHEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHAECPVITIKRKANEAPRDPIDD >cds.KYUSt_chr3.7892 pep primary_assembly:MPB_Lper_Kyuss_1697:3:45284720:45287440:-1 gene:KYUSg_chr3.7892 transcript:KYUSt_chr3.7892 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRRNIAGDANPTPTTSHSGMVKLLADILHHTPPSTWPPALASPALRSRLAPAHVSSLLLLPASLSRPDLSRRFLLLLPPHLVSPLCLSLLALTFVSASPSSPPPSPHAASLLLSLASSSPSASSSFSSLSHASSLSPFPPAATAAAATLLASSYLRLRRARDAAAVLHLSLSAGITPNQYTVSQILFSLVKIRQFTLARAVFDEMLQSGVRLDEYLYTAGIRAYCEARNIDGAKGLVARMQDEGVKVSVVPYNVLMYGLCKNQRVQEAVEVKNSMVARGVAADEVTYRTLVYGFCRTEELEMALRTTYDMARLGFVPSEANCSFMLDGLRKRGKVEEAFRLACRLGELGMVPNIFAYNALLDSLCKNGMFGEADKLFNQMLDRGLKPNEVTYSILIHSFCKRGMMEDALDMFDRMREKGVRVTVYPYNSLINGCCKQDDLDRALSFLSEMADMGVTPNAASYSPLIAGFCRKGDLSSAAELHREMAEKGVAWNTYTFTALINGFCKDKKMDEASRLFNKMIDRNLLPNEATFNVMIEGYCLVGNIRKAFQLYDEMVDRGLKPDNYTYRSLISGICLTSGVSKANEFAADIEKNCSLLNKFSLAALMHGFCREGRWTEAYGVWNEMAMRGVKLDLVSFTIIVYAALEQHDAEKSCMLLREMKQNSVRPDNVFHTCMINMHSKAGNMIEALNCWDKMIADGCFPNTVTYTVLVNNLCKSGHLSSAELLCKEMLASHFLPNNYTYNCFLDYFTTQGDLETAKDLYFAMLRGSLANIVTVNTLIKGFCKVGQIQEAIDLIRKSTEYGFSPDCISYSTVIHELCKKGDTNKAFELWNEMLYKGLKPDIVAYNILIRWCNIHGEIDMGLGIYNDMIKQGVQPNWRTYRALLLGTSVMTSKQDTILLLT >cds.KYUSt_chr4.20667 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130017724:130018251:1 gene:KYUSg_chr4.20667 transcript:KYUSt_chr4.20667 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRRWATAAEATKAPEGGGTSGLVEQGVRGGDSISESLRPTRLAANPMAGGGELTPAPSLGEGMRGGKSWEEVPLVEEWVSEEEEDPEVGLAQESLVIGTAVKATIGARRRPGVSAGAASAVVADRREALGATGMPLTSAIVGACVVGICGAHRRHGGASNSVGTKSCATVSG >cds.KYUSt_chr7.31664 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197223796:197227059:-1 gene:KYUSg_chr7.31664 transcript:KYUSt_chr7.31664 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSVASFLKASSSQSAATHSCCSGGNPRSGSPGSNDVGASCVVLPLGASFLEQRQDGGGSLVEWWDTCRMVELSGVMVTSTAAYQPSFSYLRNILGEDLGPLSIKELDQIENQIDVSLKHIMSRKSQVLADQLFDLKNKQQELQDQNKDLRKKFTCPGKMEDKIVGMPMSPIRDSSNTRIMIPPCKLGIISDQSYMDQLNNKDIASHKNPNGEPGSSAGWI >cds.KYUSt_chr6.12596 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78701755:78702021:-1 gene:KYUSg_chr6.12596 transcript:KYUSt_chr6.12596 gene_biotype:protein_coding transcript_biotype:protein_coding MQAITIKKTNKAIEDHAVEIFRERGATEKVGCQLMAVEQQAMVELEAQRTSDTASREDACLPMVEGYHQARKVAEVVGFARRIPENVS >cds.KYUSt_chr4.47508 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294104068:294120250:1 gene:KYUSg_chr4.47508 transcript:KYUSt_chr4.47508 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRAAQPDLPRVPEQDRRKDGASHKQAKTLEGLIADDPYRVSAAAEGDGAASNNGAGEIAEDASSDSKSSAPAAGKHSDVSEDEGWITIPDGELPENWSEVSDMLQLRPLDRPFLFPGEQVHILACLSASKQDMQFISPFRIAAVMSKNGNSPQHPAKKPSPVSENGDANRTAEEDSSPRGVEDNNMESVELGDNVSPAAQDVLETESLLRMEDHKQQTEKMLQKFKRSNFFVRIAESDEPLWSKKRVHATKAVDDQPYPDSQGNNTASRSNAYNTISDKGVFDGSTSGGVARDTARCYALHNGDIVVVLQVNVGVSNMEDPVLEVLQFEKCTSSNYMRENLVNRLTIGNEDPCQELLSWLLPLDRTLPPPRSLSPPTLNPSISHKQSYSASGSQIFSLSNFRSYSMPSPSSAQSPNIRPPPISESEEFMPEKPAKTPDVINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWSRKVEIIQPIEVHSFSAKCTAENLLCVLIKNIAPQHVQDIVVFIDAITVVFEEASKEGSPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPAIMSSRNRRSNSDATPILSLPKTNGAATNASVPRVSDPSVALTDQYAVLISYRCNYAESKLFFKQATSWQPCAASDLMISVSSELSLRNPIPSARVPQLPVQVLTLEATNMTSENLTLTVLAPEASGSSSVVSLNSAPTTPNGSYDNLNESARKSGLGKQGNGFQRLNSVVAGSPIESDSGGNRLSTSAGCTHLWLQSAVPLGCVPARSSTTVKLELLPLTDGIITLDTLQITIKEKGLTYIPEHSLEIHASSGIPAGRVRVAVRIRPKNADELAQGADFDTCVELQPECKKLKLKKNNWASESYQFDEIFTENASQKRVYQVVAKPVVESVLEGYNGTVMAYGQTGTGKTYTVGQLGKEDPSQRGIMVRALEHILSSISLETDSVAVSYLQLYLESVQDLLAPEKTNIPIVEDPKSGEVSLPGAAIVEIKDLEHVFQLLQIGETNRHAANTKMNTESSRSHAILIIYLQRSVRIKEESTSTIPNVAEHILADDLPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLSSLGKCINALAENSPHIPTRDSKLTRMLRDSFGGTARTSLVVTVGPSIRHYSETSSTILFGQRAMKVVNTIRLKEEVDYESLYKKVESEVDHLTSEIERQQKLKHNEKTQLEERLKESETFLNDLRMTSAMQIENLQKEKHQFEYAIKRLMHELEEKEHQNKVLSERIIHLETSLNEKKQQQLEIFSSTKILAETSKGHEKKMVELVKQLEEERSRSASMKSHFNILQQQLSDAQNSAQLQENTARELKRELSKVTKEFTIQVHSLEEKNSQLISEKDLIHVELKSAKEKVQHETRHRESLEAEVLRLKRPLTDNCVEESKALSGMIRSGSGLGSDALMSKSGKFKETLSSLRGLISKIFEEVGLTSVLALLKSKDLEVQIHAVKVVANLSVEDINQEKIVEEGGLDALLSLLETSENTTIHRATAGAIANLAMNVSNQGLIMSKGGARLLANVASKTDDLPTMRMVAGAIANLCGNEKWHMMLKRDGGIKAMLAMFQTGNDDVIAQIARGLANFAKCESQVTSQGHRKGPSLLIEDGVLTWIVANSTMFSPPARRHIELAFSYLAQNEENSRDIIVAGGIKELLRISRESSREDARSLAKKALNSNPAFLKEIQ >cds.KYUSt_chr6.7418 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45085298:45086589:-1 gene:KYUSg_chr6.7418 transcript:KYUSt_chr6.7418 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYPDCAEEDAIKFVMEGQGGLCCLRITHRADASLLPPLCACKMSVAQQPAIGAWVDVNLEEVDKLNEFNEDGDTPYVTGKQHHWHRSIPTNVKRPPASSRMPSPGGKEGSQMQCAELKWPSSIFSHSCTTNGSTVVWSLHCGPYGANPSGHIEDEDGVQ >cds.KYUSt_chr4.9465 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57093958:57096680:-1 gene:KYUSg_chr4.9465 transcript:KYUSt_chr4.9465 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMEVDAGAEKPSEKELFLAAESGDADAFSALAPADLAAALSLRNEDGRSLLHVVTALLAAGDEAAAGAVNGKDEEGWAPIHSVASSGNAKIVEILLDHGADVDLATDAGRTALHYTASKGRRAIAETLIARHANVNKKDKFGCTPLHRAASTGNADMCECLIEEGADIDAVDKTGQSPLMHAVICEDRGVALLLIRHGADVDIEDKEGYTALGRATDSFRPALIDAAKAMLED >cds.KYUSt_chr3.47512 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298013937:298014906:-1 gene:KYUSg_chr3.47512 transcript:KYUSt_chr3.47512 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLQALLLCLAASATPRHASAADVPPSNKLIFILAGQSNMAGRGGVTGTHWDDIVPSPDCSPSPSVLRLSPSLRWEQAREPLHQGIDGNRTCGVGPGMAFANAVLRSSSSSVVIGLVPCAAGGTRIAEWAKGSELYTAMLRRARAAVETGGRIGAVLWYQGESDTVRLADANAYGQRVGSLVRDLRADLAMPDLLVIQVGLASGLGEYTQVVREAQKGFALPNVRFVDAMRLPLQDDHLHLTTQAQVQLGHMLAQSYLDHGATKPLGVLGHAHCRTLTTWWLQIMLACCFIFL >cds.KYUSt_chr4.20029 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125927339:125928448:1 gene:KYUSg_chr4.20029 transcript:KYUSt_chr4.20029 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNQKSRAHECKVDHIDLIPGMPDDVAVDCLARVPHRSFRSMRGVCRGWRGAAAAPEFALARADAGANEDLVFLLQFGIPTDGNPAVAGDAPASTQAYGVSVYNVTTGEWRRERAAPPVPMFAQCAAVGSRLAVLGGWDTKTFEPVADVHVLDATTGVWRRGAPMRSARSFFACAEAGGKIYVAGGHDKLKNALKTAEAYDAGADAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTGRQGGFERDAEWFDPAARVWRRLERVRAPPSAAHVVVRGRVWCIEGTAMMEYRGERREWREVGPSPPGLKAGTARAVAVGGGERVVVTGAISSGGHALWVFDVKTKIWTVVCPPPEFAGFVFSVGSVRV >cds.KYUSt_chr5.31251 pep primary_assembly:MPB_Lper_Kyuss_1697:5:198015656:198022840:1 gene:KYUSg_chr5.31251 transcript:KYUSt_chr5.31251 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCSHCDDECPTVVDEDTNSLCCVTCGKVLSEDWLTAGTGFIKDSSGQSRRDGSLMESIEGGISISRARTEAKGEYEISQIVDGLHVSGREDIIRTAHNFYKLALDSNFTKGRRTDHIAAACLYIACRRSEKPYLLIDFSDYLKISVYVLGAVFLQLCQTLLLGEHPIVQKLVDPSLFIHRFTEPCPVLQTGRKPSGICGAALYIAALSHGYDYTKADIAAVVHVCEATLFKRLIEFENTDSGSLTIEDFLAKADEEPVSKCSAKSGEVLCKHKDKSAEHFSHGLCEECYDNFTELSGGLEGGADPPSFQRSEKQRRDAAKRAKEADVVEETLSELHTSDVEDNIMGPGKNRGGKYSTTTSSQIANDFVASKDPEVEGENDQGDSDPENLSDIDDVEVDGYLNNEEETQNKKIIWEEMNKEYLEEQAAKEALAAELAAHGLSVGGEKQKTDDEDGKANAKDDMHFDVGYGQDIDDGETFDAGYDNGHWADFNDDGYVDDGGGGGGAYNDYDDADY >cds.KYUSt_chr2.26400 pep primary_assembly:MPB_Lper_Kyuss_1697:2:161664925:161667355:-1 gene:KYUSg_chr2.26400 transcript:KYUSt_chr2.26400 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSAFPGFHNHSYDRDYARPLFRVASFSESSDGHNAASPRGRSMGRMASFKVAPTSRLSQAMSKLSMKKLQQAVEEKSMEDEEMELMKEKYTKLLLGEDMSGGGKGVCTAVAISNAITNLYATVFGTCHRLQSLSPEKKSKWNREMDCLLSICEYIVEFAPIVQARPDGSTHDVMATSPRSDILMNLPALEKLETMLLGILDSFDKAEFWYEDQRKQSFSETKKSFKRNEDKWWLPEPCVPESGLSDSVHRELQHKRDQASQIHKMAMEINNAILSEMQIPLSYIETLPKTGKVGTGDVIYRYMSSGDQFSPDHLLDFLNLTSEHEALEIADRVEAAMYVWRRKANMTHVVTKWENVTELNADGDKNLILASRARGLLLCLKQRFPGLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAYNIVSWIDDVLLADENAKQGNNTRIQKQVFSQVSPQR >cds.KYUSt_chr3.1027 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5468963:5469841:-1 gene:KYUSg_chr3.1027 transcript:KYUSt_chr3.1027 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTLMVSRGCGDAHQLKDLSNTEDSRRINTEDSTQLVINPLLLASACLGSWRALIFLLDREDAQKPPVVMHTQAFIHLLMSDGAAKQPPENDIEEGVDQHGLPAVSAPLLEGVTVEGDTALHVVASHGDDRNYLNCASIIYERAKHLLFVLNVKGDTPLHCAARAGKSKMVSQLINLVIASEDAKHELLRKVNWREETALHDSIRIGDNHIVDLLMTADAQLANYPRESMSPLYLAILLDKYIIVSTLFDRSKGNLSYSGPNGQNALHAAIHRSKGIYKFLYLCACQPFLY >cds.KYUSt_chr3.41715 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263227747:263230000:-1 gene:KYUSg_chr3.41715 transcript:KYUSt_chr3.41715 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDTGAAEEVTLMDQVGGSGIGHANKMRLYHQQTHNAVALAAEFDDQLVTLRHDTREKMRASINRPPTPNQEEDEDEERKEVPLSEIINLKLVESGEKERLMELLRERLVECGWKDDMKALCRAYARKKGRNNVTIDDLIHVITPKGRAAVPGPVKAELLQRIRSFLMSSSLW >cds.KYUSt_chr2.23081 pep primary_assembly:MPB_Lper_Kyuss_1697:2:141168605:141173391:1 gene:KYUSg_chr2.23081 transcript:KYUSt_chr2.23081 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLRDLLVVGELGSAAVEVTGPTSLSPLKLRSVTGQIFPVSAPTSGHTGRRYGFLYLLSLPILVLGLTGFRNLLLVHIAGLSGGTTTIFSANLFFLPLPTFTTAFGSDFNLHVGTRRRAMAAHRRVLPARRRTMAARLRVLAARRRAMAARLRVMAARRRGMAARSRGDWCDTLCCNTAHGHPNLQSPPPGLGFSRT >cds.KYUSt_chr5.8082 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51050483:51067008:-1 gene:KYUSg_chr5.8082 transcript:KYUSt_chr5.8082 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSPLCYHCRILFHVGAGIPGVAPHYIPPPSTFNVLLGSSWTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRSSPPGLEAMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVLPNENHHNKITTTANAIQVRINENIRLMAELRARFHVGAGIPGVAPHYIPPPSTFNMLLGSSWFDKPWFLSEGKLAAVVLASLMAVMGLVQGEAQCKGYGGRPRPHSVTITEFGAIGDGVTINTVPFQNAIFYLRSFADKGGAQLYVPKGRWLTGSFSLTSHLTLFLEKDAVIVGTKDVSQWPIVEPLPSYGQGIDLPGPRHRSLINGHNVTDVVITGNNGIIDGQGLTWWNWFRSNKLNYSRPHLVEFEDSKEIVVSNLTFLNSPAWAIHPVYCSNITVRNVTIQTSLDAPLTDGIVPDSCSNVCIEDSIISVGHDAISLKSGWDNYGITFGKPTSGIHISRVDLQASLGAAIAFGSEMSGGILDVHVDQLHIHGSSRGISFKTAPGRGGYIRDATVSDVQMEDVHVAIEFTGDCSSHPDDHFDPSALPLISGFTLQNMVGTNISVAGVLSGISGDPFTNICLSNISFVMADATHSAFWSCSDISGYSESDNSGGACATSLLSLPRLYNVHWDWEAINLLAPSCLHLLLMK >cds.KYUSt_chr4.42602 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263734438:263734881:-1 gene:KYUSg_chr4.42602 transcript:KYUSt_chr4.42602 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAMAKELRFASSGCCMKKPRPPSARRRLQGEGVRHRRRCTRAARRITVGGARGPTGGGDYHGRRSGVKTDQDAGLRAGKVYDAAKLCRDLLLLSPIPILAQGDPRPAITIRTHRCYLHMTRDWRPSSVAWFVLASAEMKQAIHIS >cds.KYUSt_chr6.32092 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202707931:202708137:-1 gene:KYUSg_chr6.32092 transcript:KYUSt_chr6.32092 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLHFLGILPVAKRRPTSTPPVLDAHVDGACPRRRSSSTLTSTAPVPDATSSTPARRRRVPVVDPS >cds.KYUSt_chr1.26843 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161924505:161926403:1 gene:KYUSg_chr1.26843 transcript:KYUSt_chr1.26843 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSASNGEEMTMLEPGHVSSSSSWSSAAATKTTTTMREPRPFTWTGPAIVLGFELLESIAFSGVALNMVVYLGTVLHGTTAFNAAHVDTWNGTTFIVPVIGALLADSYWGKYNTIVASLLFYLAGLVLLTLSAGISPLRPSPCDGISCPPATGKQFSVFFAALYLTSIGTGGVKSALLPFGAEQYDVDGSPEEVRRKQSFFTWFFGAINLGIFVAGTLVSWLQQNVSWALGFGVSTFCLLLAAAGFLAGTPWYRVQLPAGSPLKDILRVIVASLRKRNTRLPAADHGGLGLHEVVEDGDLQKLAHTKGLRCLDKAAVKIANDDEGPWYLCTVSEVEGVKILARMAPIWVTCVLYAASLGQMTTTFIQQGMAMDNKVFGRLKVPVASMVSVEVAFMLLWVLLHDAVIMPLARRWGPAGSAGLTQLQRMGVGRFLVVVAMGTAALVERRRLQSFGAGRMMGIGWQVPQFVLVAGSDVFCGIAQLEFFYGEAPVSMRSICSALSFLALSLGYYVNTAVVTAVSRLRPGWLAPDLNAGHLDYYFWLWAVIGAGNLLLYMLLAARYTTKQVLHSPSLS >cds.KYUSt_chr4.39829 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245958609:245962214:1 gene:KYUSg_chr4.39829 transcript:KYUSt_chr4.39829 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAALDHAGGRPKKGSGGSQLWKKALLHSSLCFVMGFFTGFAPSSVSDWRSAAPVAGAGGLAVESSHLLRAQHSVGGGNRTLLSQGGAAAEAATPRPLLVVVTTTESTPTANGERAAMLTRMAHALLLVPPPLLWVVVEAAPDVPATARLLRDTGLLYRHLTYKDNFTAAEVATGKERHHQRNAALEHIERHRLAGVVHFAGLGDVFQLRFFDQIRQISTFGAWPVARMSRGERKVLVQGPACSASKVIGWFSRDLSSSTTVSTGQATPTPTGGAPNGAARPSSTPEIDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSIRFVQQVVTEDYSKVKGIPSDCSEIMVWRVEAPSSSRQTPGNNNRR >cds.KYUSt_chr4.39728 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245370005:245371198:-1 gene:KYUSg_chr4.39728 transcript:KYUSt_chr4.39728 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMGGRRHREIEEAAADSSNKSPSTSPSGGIPEDGAAPHETPPSSEPNEEKKDEAAPAPLRNPRALRLRLLNLIRTFYLEALSRLPAARLWSTHARGVLIAGHCYGPLSPVDNILVNAVWYDTAFPRRPTTEFHFGDVLEISPQCLARIAHRSLEGLVACILHLCPSLSRDDALWHLHLSRADLSQAIASASASALAPTHATFLAAAEAAHHPVPRAMAYFASSVLPTVEHDADMLLRVNQFLSGPDLDRLSNMLVPSTITEDLCPPPPLVTSWVAAIIKSRMDGCRDSQETSRQLAETALRKYAQQTGQQYELHMFCGLHVFFRSEAFYWHTNFLARPKDAAGELPIYFFVEATAPMGDDSAVKWCGTARYAARPPREIHPRGAATSTALVGAG >cds.KYUSt_chr7.15376 pep primary_assembly:MPB_Lper_Kyuss_1697:7:95564985:95566151:-1 gene:KYUSg_chr7.15376 transcript:KYUSt_chr7.15376 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPIEAAINELRSSTTSLLPAQMLIADLGCSSGPNALELVSVAAEAINRHCDKLQQSPPEVCLFLNDLPGNDFNIVVKSLHTLRQRSSSTVTTGVIPGSFYERLFTTGSMHLFCSSNSLHWLSEAPEVLWKNQIPAYDIDENVRRERLPIVIEAYAQQFRKDFKNFLKLRATELVEGGHMVLSLIGRRPNDSTSEFSDLWEIIAKILRAMASEGVIDKAKFESFYVPMYAPSDQELRDVIQEEGSFCTKDLMVHDLKIFVDKSTINASWALNQIRAVFEPIIVQHFGDVMDEFVRTAEQRWSQEGSLQDEFVRHQTVAFIMSLTKA >cds.KYUSt_chr5.9219 pep primary_assembly:MPB_Lper_Kyuss_1697:5:58473495:58475843:-1 gene:KYUSg_chr5.9219 transcript:KYUSt_chr5.9219 gene_biotype:protein_coding transcript_biotype:protein_coding MPELVELCGGVLTPPSVKEVRPGSHESLDVYSPPCQALGFEKCGVDGTVYLSHEFERQLVPQVVIVREFDIATSSVPPGKMLMYHTELNARRSQNLVSEALNAQEEVD >cds.KYUSt_chr2.43269 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269212858:269214513:-1 gene:KYUSg_chr2.43269 transcript:KYUSt_chr2.43269 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPSLLVSSGLLLVTASYGSKPLAFVLTLLVSFVFLERALSPNLIDGFFREVDAVVIGDLRTVRDAIRDDPLAAPIYAAAVDRVRRAHAFVTNAIQALLTKAKESLSEAKEAAVAAFLWLRLAAGVANLVATALMNTALEEARSRAPSVLSWRGVKGLIRTSPPNEEALSKPGTTFLVVWIAAIFAYFAQALTIGGICSGIASFAACFPCFAALCGFALMEAERVYLWGSYAIDTTGGSDTAKPRRTAGDAAVGVVNGEESQCAREYLSTLFILTLGIDALLIAYMRPFVLASLSIFNVAAAKQAYMAWEDGAHGDLYKWRRLAMKVLAIDIAKVVGTYLVIDFSLGALLFLCLCAKAAFFLDRAIYLSDEGASEHNDDSQEGAALAKDVETDAEGTDDGNQNGAVVAGDVAGDGKISDGDDQEGAGLAGDAAGEAQGTDDVPAENSSGIVASDDEEEEGSTTEEHSDLSDSQEERSTTEVQYPDLPVSEEEGSTTEEHSDVSDSEEQRREESDGSSSSSMDGWSFVGVEPIMPTDVNAGVNRKFRFFL >cds.KYUSt_chr3.29356 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183765681:183767931:-1 gene:KYUSg_chr3.29356 transcript:KYUSt_chr3.29356 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPAAVGVGRRRCGPEGTAAASTSERPPAAVRHCRNRHLSSSSPSRSPRRRTPDLRAAPIISAAEEEAKWPQLHAAIRTSEMEEAARQEAEEAEGWELYARARRERREEEEAARREEARRRAGEQRRQEDRCRRLEEAAPEAVRRRPAGEAAERLREEGGAGGSGPPLAVGGGPVVSVAGVPGAVEPLRHLVRFPRHLPAFRAHYIAPPPRSRPLSSSNQLETHARTHSTRRCKKMAGVVVVFDFDKTIIDVDSDNWVVDGLGATELFDRLLPTMPWNTLIDTVMGELHARGKTLHDIAEVLKAAPIDPHVISAIKAAYGLGCDLRVLSDANRFFIETILDHHGLRGYFSDINTNPSRVDADGRLRIAPHHDFHSGPHGCGLGTCPPNMCKGQVLDSIRASAEADGGKKRFIYLGDGRGDYCPSLRLERDDFVMPRKGFPVWDLICENPGLLQAEVHPWSDGKDLEETLLRLVGRVLVEEGNLLPPMDCKQELLPVVAVQDGMPMPLGVKS >cds.KYUSt_chr2.3052 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17916297:17916653:1 gene:KYUSg_chr2.3052 transcript:KYUSt_chr2.3052 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCSFSQQVWFDICTGLNLQTCMPNQTAEFKDWFATATANARSDSQKGAKSIVILTMWNLWRTRNDAIFNNVSPNRQHVVQTILEEAKSWSLAGASALRRLPLHARPPDLLSDDPSV >cds.KYUSt_contig_686-1.143 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1049884:1050618:-1 gene:KYUSg_contig_686-1.143 transcript:KYUSt_contig_686-1.143 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIDGPVSLRSLLRPATDDRRTKHGGGGGGGVVGLLKMFKLAPMLTTGSKMAALLGRHSINKPLLADHAPAVTLFGHRRGRLSLAIHEDTRAPPAFLIELPMLAAALHKEMATGTVKLALESDTRSARRRLLEEYVWAVFCNGRKAGYAIRRKDASDDERHVLRLLRGVSMGAGVLPPPPAEREGATPSNGPDGELTYMRARVERVVGSKDSEAFYMINPDDGSDNGGDSAAELSIFLVRKK >cds.KYUSt_chr7.23766 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147985456:147989773:-1 gene:KYUSg_chr7.23766 transcript:KYUSt_chr7.23766 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSESTANSTLTSATAEADAERDQEHGNGAAAAPAVVQQQDEDGDELIGPGPAPAQKRQKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADFFITGSADGHLKFWKKKPSGIEFAKHFRSHLSPIEGLAVSVDGLLCCTISNDRSVKIYDVVNYDMMFMMRLPFIPGAIEWVYGQGDVKPKLAVSDRSTSFVHIYDTHSGSTDPIISKEIHAGPVKVMKYNHVQDVVVSADAKGLLEYWSPSTLKFPEDAVNFRLKTDTNLFELAKCKTSVSAIEVSNDGTQFAVTSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQKSDVPLYHLDAIDFGRRMAVEKEIEKTENVPQPNAVFDESGNFLIYATLLGIKVVNLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPAIAANVNDTKEPLSDPTLLCCAFKKHRIYTFSRREPEEPEDATKGRDVFNEKPPPEELLSVSELGKTATTSLPDSLVFHTSMGDIHCRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMVQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKTKTDKNDRPYQDVKILNVTVPKT >cds.KYUSt_chr7.25123 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156741032:156752967:-1 gene:KYUSg_chr7.25123 transcript:KYUSt_chr7.25123 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQHETVREELPSVQPHLDLCSPTRQINGNGGGKDLEGLYELLLLVMLGSGGKDLEGLYELLLLVMLGSEISIKFAPGSLPYEQSGEYGDNNIELMTAAAVYVAAGSDTGKRKLFEVDMMDNVDKSTIAGTSSSNLPLDKSIASDSLSDVYKSVVDAFTPNVLSPGQASSSAPASPSFTQFLHTLMKSGSDKAFMIQKGYKKELGNILEAVNEEDLSEEKVDYDTTDSESAATSERYINPGQGVLALVVPSLQHERTAVVIHVDGSQPEANCTQEDPLSQVDNPVDMESNEVAGINEPLPQAGGGSQAIRMCSRIISQDLHSTRILERASRNAASRDVSGLHGEKDADDLHAGADGLLRLASATNAGGATRDGSRQQQRPLQIRDASDSTAIVDDMERWRVDGGTGTKPEKASAKKPHQRCTLRTGSSHSKRWPNINSIRRTEDSEQQKQRLQGGNDIVVPPLPDPRTDLGFPPVLEVREHEQGHDYASKKVTAPTGVAVVSNMQGFCPGLSTTPKSIGQARKELPFSKPPARGAQERQLAEKEVLLAGPPPQLDGTRRWSSSPPPP >cds.KYUSt_chr5.40558 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256053640:256057001:1 gene:KYUSg_chr5.40558 transcript:KYUSt_chr5.40558 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSALDAMAGTAWGRWLGLVAAVWVQCVSGNNYTFSNYSDSIKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAIGSLEGFLGYGAQWLVVSRTVAPLPYWQMCVCLCLGGNSTTWMNTAVLVTCIRNFRGSRGPVSGVLKGFVGLSTAIFTDLCSALFADDPASFLVMLAVVPAAVCALAMVFLREGRVASDASDEADARGFAAISTLAVAIALYLLAADLTGLGGNGGVVSTVFVTVLFILLAAPVAVPAHVAWTSWMKTRKAANADAENTVLDDSTTTTPLLLASKPAAEARGPGERPRLGEEHTIAEALSALDFWLLFSSFLMGVGTGLAVMNNLGQMGVAMGYADVSLFVSMTSIWGFFGRIASGTISEHFIKTRALPRPVWNAASQVLMCAGYVFMAFGMPGSLFIGSVVVGVCYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAQATATPGGGNTCVGAHCYRGVFSVMAVACVIGFVLDLILSVRTKRVYAKIHEAKKASRLAAAQRVS >cds.KYUSt_chr5.13721 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89198106:89201472:1 gene:KYUSg_chr5.13721 transcript:KYUSt_chr5.13721 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSAFVIVDVPKSNEFGNKLLVPAQFGGRKTVAVVNPGGSDILCAGVLTRTQSAGEIASILDNRARWYGMKKIRAGVESKLNLLPCMPVGNAEFSIWFAAAAANAQPALQKGAKSIIILTLWRLWKTRNDAIFKNLAPNRLVQSILDEACQWSLAGAKALRQLPLHARPPDVSLDEEL >cds.KYUSt_chr2.45929 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286659278:286659670:-1 gene:KYUSg_chr2.45929 transcript:KYUSt_chr2.45929 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPTMAGNAAEEFTGDDEDEATGDREDLAADGDDAAVRSVAGGGEEENLRVLGWGAVAGDLGFGWWCEDLAGTGEDGGFRGEVGAVRGGWCGEATREGAVLAAGGGRRRQQRRVDDELQSRAMAKKQRG >cds.KYUSt_chr6.30415 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192622879:192627259:1 gene:KYUSg_chr6.30415 transcript:KYUSt_chr6.30415 gene_biotype:protein_coding transcript_biotype:protein_coding MIWFAVPSIRAMAAASFLFLNFLATTSSINGSSESSNGSCIPAERAALLSFKDGITSDPGNRLVSWQQEHHDCCQWSGVTCSSRTGHVIKLDLRNRSPPVGEFNGPDEQHSLVGQVSSSLLALRHCLRHLDLSGNIFLGDEMGMPRFLGSLQSLTYLNLSFMGFYGRVPPQLGNLSKLEQLDMLGDLSHPSPLYSNDISWVDGLRSLEHLNMGSVNLSGAVHWFHTVNALPNLAVLVLRFCSLNAPSSLVHHNLTVLEELDLSYNSLNSPAAPNWFWDLTSLRSLRLWGCELSGTFPDELGNLTLLETFDISYNNIKGMIPGTLQNMCNLRSLNLSFNNIGGDIAEVVHRIPNCSWKNLQELNMKSANITGTTLQFVSNLSSLIRLEISGNHLSGSVPVEIGTLRNLTVLDLGNNSLRGVISEVHLADASTGEYQEEEGFYPEEEEHFDHLKHQGKLTPMQALLWQANILAKCKAPWGKAP >cds.KYUSt_chr6.6260 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37841587:37843274:-1 gene:KYUSg_chr6.6260 transcript:KYUSt_chr6.6260 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGKTIKPHTSIEVVCRSQEFAKAPSMGQKYKTTKQAGDYTPDSSQEFAKAPHVGQKYDATTCRPPVLPELGGRYPEHALLATRGYISEQCNTTTAYGVTREGNIIQASFFPAKPPGMSHISVFCPFAGKSPFGCEPTVVFTHDKLILFAVALGPHSGHFSEEGVELFIFQANNKELDRLPKPENYTLSSTNTGLVGSPNGGYVVAALNAELASRSYSLSIFSSENKSSGWRTVCVPRKASADGTCQMAFLPFRQSKVISLTGAYLGWVDLWRGIIVCDVLSPQPELQYLEFPDPVPRNEKFQYECDAGFFRDVCGSPDGSIKFVEMEYIEGNDTAKYNFYFKAERWTASSSTCRLFEKSTLKDTFGIQHITTAESNKLNREEFMKMSMGNPRLNPYHDLMYVMARKRRYDTTGRVVSIDIERKTLDGVTETAYSFTETYGACTFSKYLQIPGSIVEEDLASDYQFVASSLQGSEVGGYVRQGASSCTSQPKPHSATSMYSTLMSAVYNWHTHLGRHNR >cds.KYUSt_chr5.32746 pep primary_assembly:MPB_Lper_Kyuss_1697:5:207712016:207713791:1 gene:KYUSg_chr5.32746 transcript:KYUSt_chr5.32746 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKIGLKKGPWTPEEDEKLLAHIEEHGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLSRRTDNEIKNYWNTHLKKRLAKMGIDPVTHKATNGALIGTEDVKSAKAAASLSHMAQWESARLEAEGRLARESKMRTATPTSVHAQPTNPPDSTASQRLGMLHAWQGAKLDLESPTSTLTFIGSNSTMVPNKETTSLGMSESNSTMWNQRSDELEGEENEWKFISKQQVQGLEGKERGGEIIGCAEPWFPGMVSVAAGFTDMLLDGSSVHDTSECWGESSNGQAEHSSQVSGDGECSNYWSGILGMVNSELPSQPPTLL >cds.KYUSt_chr4.47332 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292861830:292863449:1 gene:KYUSg_chr4.47332 transcript:KYUSt_chr4.47332 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQQSQQLQVLTALDGAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDPSSPDPGSLPPNVAAAVNGVALCGTLAGQLFFGWLGDRLGRKSVYGMTLVLMVVCSLASGLSFGHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLVLSTVFRNAFPAPAYQVNAATSTVPQADYVWRIILMLGALPAVLTYYWRTKMPETARYTALVAGNAKQASLDMSKVLQSEIEAEPEKLEEIITRGKDYGLFSSQFAQRHGLHLAGTAAAWFLVDVAYYSQNLFQKDIFSSIGWIPKARAMDALEEVFRISRAQTLIALCGTVPGYWFTVFLIDTIGRFTIQLVGFAGMTAFMLGLAVPYHHWTTPGNHVGFVVMYGLTFFFTNFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQSPDPAHVDAGYKPGIGVQKALYVLAACNLLGFLVTFLVPESKGKSLEEMSGEAHGEEANTNTINTSADQMV >cds.KYUSt_chr4.4855 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27814406:27818381:-1 gene:KYUSg_chr4.4855 transcript:KYUSt_chr4.4855 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHDRIHRSSKLQAKSTITFAGGAFRNSTHRPDHESRPPVGLPLHASEALGPPPLFRPDPHVGDHPGLATPTLHRRHRRRLPCSSNTPPEQGDRSIDPPPPTAGRPSPAKKRATSTVVPKAATPGDLVSRKKPEIASNHRREAGRGGGWDGAGHGPGRRPPPAPAGVLRGRAYGRGVRSAEPPPPITCAGRSAVCVEEEIPSAVSHVDEEGPPPPPRPVGLCPGGAIGGGEIRRAPMVSSMNHNRDQAPLSLSLSLGAVADRKKKLTRAAARNGEGAGEFACKTCGRSFPTFQALGGHRTSHLRGRHGLALALAGDHYSLEPKKTTSDDQKPAAVHQCHVCGLTFEMGQALGGHMRRHREEAGALAQAPPVLLQLFV >cds.KYUSt_chr6.17660 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111106865:111111413:-1 gene:KYUSg_chr6.17660 transcript:KYUSt_chr6.17660 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRASLLRSALGRLGSLRPFSAEAAATPRRDAAAALAAALAAGSGLGIWLLPTSRPLAGSGQAGFAVAEAGYGGAAAAEEPEEKGRFLFGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASARNPEGEVYMSPADLMRAVVPVFPPSESNVVREGRLRGERNPGELECAPSEFFMLFDTNGDGLISFAEYIFFVTLLSIPESSFNIAFKMFDLDHSGEIDKEEFKKVMTLMRSYNRQGAAHRDGLRIGLKVGPPVEDGGLLEYFFGKDGSETLQYEKFSNFLKQLHDEIVRLEFSHYDIKSSKTISAKDFALSMVASADMNHINKLLDRVDDFDESPDIKDLRITFEEFKAFADLRRRLEPFTMAIFSYGKVNGLLTKQDLKRAATHVCGVDLTDKVVDVIFHVFDANCDGNLSSEEFLRALQRRESNIRQPTTSGLMGVLSCWLNCTKCSFQQMQLQ >cds.KYUSt_chr4.41997 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259720383:259721885:-1 gene:KYUSg_chr4.41997 transcript:KYUSt_chr4.41997 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVDFRTAASFVVAAVALYCLVEQLSYHRKKGALPGPPLVVPFLGSVVHMVRDPARYWEVMAAQAKESGIGLAADWLFGYFIVFIRDSELSHRVFANVRPDAFQLTGHPFGRKLWGADNIIYKFGDEHKELRRRIAPNFTSRALSTYAAIQQRVILAHLRRWLDRSAAAGNKSMPLLVPFRDMNLETSQAVIVGPYLTEETTEMLHKDYSIFIGGIVAVPVDLPGFVFRRARLAGERLRRLLAECARESKARMRAGGEPECLADYGIQEMVRHIDEAANAGLPPPANTADENVASYLFDFLFAAQDNVTSLLCSAVSALETHPDVLARVRAEVATIWSPESGELITAEMIQEMKYTQAVAREVVRHRPPGPLAPHIALQPFQLTEWYTVPKGAMVFPSVYESSFQGFHSPETFDPDRFFSESRREDVAYKRNFLAFGAGAHQCVGQRYALYHLTLFITLFVTVAEFQRDRTERCDELLYVPTIVPRDGCAVYLKQRCAS >cds.KYUSt_chr3.46376 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291811864:291813769:1 gene:KYUSg_chr3.46376 transcript:KYUSt_chr3.46376 gene_biotype:protein_coding transcript_biotype:protein_coding METATQKQQIADVIGKPIVLGPWYNAFIAVSHARHSVSCMFLVLGPQGDCILKFKAACLGAAEGVRHRLGSSLKTVPSFHMVFLVFLGSDWELAAGVPSLKSEGRRSPGLADAAASGGGIAYCLEHMHLLSPPEILGTLDTSTVYLTDDFAARSPTSSAAPTRPRRPPRRCEPAERGVQLQHAAAGDHVRQVHGVGRRPARGLGGELPQRGEAAEGRDGPRPKQERASPGRDREQAGQRDTVLHRPGGEAAADDDRGRKAAEGDHHHATRRGHPKVSPLWWAELEIISTEAA >cds.KYUSt_chr5.38311 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242277794:242278444:1 gene:KYUSg_chr5.38311 transcript:KYUSt_chr5.38311 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKPKQASLIAVNYPVSNLSQLLGHLHLSATNMKMGKTPMLLKKATSMCKSKTSLLADRFLVLATLQRRRMAAVAMISNKIHTLIVADRERGSCHKAVAMRKVESRQAIVHGGDMAANFSHQLAMFDQEDGHGGFPDWTFMHPLFNDDMDENCCYTDDVDLLLDACDAGNDEPSVMEAIRSSKEVEGLEFNVEQDIDRAADIFIKRFRQQMNHDF >cds.KYUSt_chr5.33250 pep primary_assembly:MPB_Lper_Kyuss_1697:5:210959381:210959797:-1 gene:KYUSg_chr5.33250 transcript:KYUSt_chr5.33250 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYSNGGSYTSGHLQAPELPPLHLCFFLLVLLVFLAFSWYMSYESVVETFADQGRLLLMVSPLALLLAVRLLSGGDGDGHGHGHGRRVDQLMSMSMPERDSIHRAGGSPWGVGLLLVLLLVMVSYQSNFRDRWFAL >cds.KYUSt_chr4.50396 pep primary_assembly:MPB_Lper_Kyuss_1697:4:312181108:312182257:-1 gene:KYUSg_chr4.50396 transcript:KYUSt_chr4.50396 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEEERQLFPSFLFPESFPADAATPNSGGEQRKAGRQRRRRKARQVAESDGDDAAAKKRRLTDDQAQFLEMSFRKERKLETPRKAQLAAELGLDTKQVAVWFQNRRARYKSKLIEEEFSKLRAAHDAVVVHNCHLEVELLRLKEKLAETEEEKGKVMAAAATAGVGANSPSSSSFSTVTHNAAMVDQFEMEDAEADLTYLSEYDYNYVMDLAAGGYLGGVYDQFS >cds.KYUSt_chr5.36166 pep primary_assembly:MPB_Lper_Kyuss_1697:5:228662083:228662391:-1 gene:KYUSg_chr5.36166 transcript:KYUSt_chr5.36166 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPQYQIQVRLLDGRTRCLQFPSPTLVTGRHTISPTTTLLAASPSNHFPSASTLLRLRGSKGGFGSLLRGRDINDRRLRHINGERRLEEWERGERLGWLA >cds.KYUSt_chr5.34030 pep primary_assembly:MPB_Lper_Kyuss_1697:5:216110344:216112459:1 gene:KYUSg_chr5.34030 transcript:KYUSt_chr5.34030 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDTPHLAPCLVNNRMKLGYGGLADAQRSKDTYTCSSSDRTKVKVALCKVEMAIRNQMATRSLDGDMTVDEFKEWLRRFDVNHDGRINREELRCAMRTIRTRFSGYKSKRGIDYADANGDGCIDDSEVDGLIDYAQKSLGLRIVAY >cds.KYUSt_chr4.37628 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231980767:231981674:-1 gene:KYUSg_chr4.37628 transcript:KYUSt_chr4.37628 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWNEDALACGSTENISLANRQLWCPANLPLSWYDAAVPLSSSFHPHSRRRCPHLDEKRSEAMPRAPVAERPRLTLEDYVLFFATHSGNGLTIHLLNQILFMHGFIKFHNSKKPVIVDALNSLALLRPRRSTVSINAAGPPPRAAASSSAAELSAEDVRRDIEALGWRACPVGSVLAVRAGAAPAPVHVPLATMPPPAFQRVSPTSVLGASPLLSTSPPAAPAAPGKRKHWTPQCRGRTAVRQREKRIVELLTLPSVEDLSSSEEGQGGGAVASAIA >cds.KYUSt_chr4.46520 pep primary_assembly:MPB_Lper_Kyuss_1697:4:287576630:287577124:1 gene:KYUSg_chr4.46520 transcript:KYUSt_chr4.46520 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMGRRWRLAEGKRPASGAGARPLLSVRRHNVGLRRASDRTLAHITPLGSSAEAAAYVVGSYGRRSCAVREARVGGAAAVAEVRRKESAGGVGPAHGHGSVHGRRHRPGPDVRRRLVADFAAAQEPPSPASTRAEVGGEGLVRGRGWGRGPSVVGERERLVW >cds.KYUSt_chr3.22906 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141626017:141627658:-1 gene:KYUSg_chr3.22906 transcript:KYUSt_chr3.22906 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAALLLVSAVAILLAPAASAATSEVPPATPCAAAIVSFSPCLAHVALVEPPALPAPAPTAACCAAFLRAVSAGDGEGGGGEGCFCYLLRDPLLLGFPVDLARLGALLPTCASANASPATTVEAETLFADKCRELKSLPEMHFAPPSPPPTPPAPSAPKLSPATVEEPASPPQEMEEHSTSTPVTPADRSGSDALCACRVFLVALVFAAAVLITLQF >cds.KYUSt_chr5.9386 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59512335:59519051:1 gene:KYUSg_chr5.9386 transcript:KYUSt_chr5.9386 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEQEQEPELEAPLLPGDGDARKAAAAAGSAYALVCSLVASAISIIYGYNRGVMSGAQKFVQDDLGISDAQIEVLIGATSIYSLVGSLAAGWACDRAGRRRTMALSAALFFAGSAITGAASGYAALMAGQLVAGVACGFGLVVAPVYIAEMAPAASRGFLSSIPEIAGNSGILLSYIADFALAGLPESVNWRLMIGVGAVPPLFLAAATLLAMPETPRWLVLNGHHDEARRVLTRTTGDAALADRRLQDIVSSARESPKQAVSGDGKKEARPSTSVWRDLLVRPTPSIRRVLLAVLGLQFFQQASGVAALVLYAPRVFNRAGITSQHAVLGATILLGVVKTVSIVIPLFLTDRLGRRPMLLTSAGGMAASLLVLGFSLRAAPAADAGAAWWAAATSVAAAAAFMATFSLGFGPVIWMYGSEILPLRLRAQGTGIGTAVNRVMSAVVGMTFISMYEAVGMAGSFYIFAGFAAAAWVFVYACLPETKGRSLEEMEALFDGSAESPSLMTQ >cds.KYUSt_chr1.31916 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193656306:193658559:-1 gene:KYUSg_chr1.31916 transcript:KYUSt_chr1.31916 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGISRNKAVFPFFWRLLVLVLGCGIRRSPEKLFVKLSRRKLGVVGRSGEVFFNKQLGGLLCCWSLLSPFSFLAGRGGEEKGVIAGALAAGRRFRAPRLPVVVETMHSSIPSRAAAGLVPHLGHLCTSGRIARGADGRRPSAYHRLALGVWYADGDPRHSSSGKVSLGIAQLAVGVEETVGVDGEGRGRGPPVGVLALGVAS >cds.KYUSt_chr3.18022 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110749401:110750072:-1 gene:KYUSg_chr3.18022 transcript:KYUSt_chr3.18022 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPATHQLCPVLLCPVLSSTLAPAPYARSSSARPTGSRPARLFSPSSPCCLLCEPAHPPMRPRGYVPWFRTRPRPGQRACSSLLWLRPAALQLPRPELPRAPLVRADAAPSRPAMPFTPAARRRGWHRVCAPPLCAKAAHPAPWSLCLTAHRECGTSATPATPRQVRRSARSSPGAGSLSIALPLSYSRAPATSSPRAFRPLHVLHAKLRLLHAQPLLSRL >cds.KYUSt_chr2.6725 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41909708:41913617:1 gene:KYUSg_chr2.6725 transcript:KYUSt_chr2.6725 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAALRHMLRRVLAGFRALHPRPRRRRHGGAAEAAPASSKARVTVRVRRMGSGKASTPRSVADGSTPAGEGGRQAVTIRVATFNAALFSMAPAVAATPEATAGGEREAARRSNSTGATGARPRPKGILKAQASLLSRTPSKARVSINLQDNEISLDRSGRLGGTSSPRAAKKPPLNKQQPSLTGGLDASRRRSVEEVLRETGADIIGLQNVRAEEERGMRPLSELAAALGMRYVFAESWAPEYGNAVLSRWPITRWKALRVADQSDVRNVLRATIEVPKVGEVNFHCTHLDHLDESWRMKQLNAMLRSVDGPHILAGGLNALDGTDYSDERWQDIVKYYEEIGKPTPKVEVMKYLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYTVVSSKGTSDHHIVRVDVTISDTREADEQATGTRKQRVVKMSKKGSKKGIWAAK >cds.KYUSt_chr7.10833 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66569494:66571713:-1 gene:KYUSg_chr7.10833 transcript:KYUSt_chr7.10833 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTGTELAANGSSLKQYLDVTLRLHVPSPSSLTDPFVTGTLDGSSDFAAIQLLAYAEGEDYRYGERATCSAPVQLPATGSLQALEGNFACEALRETSYRLLDHRGGGPAKLHRMHVNRMQCGVDGAVHAYMVLSNDTGSGRRGYRGRGYYHHMVNDEAMVAEGHWDSDRRMLCLRACRVVRSQSSTLAVLECGIRMSFWFPAVWTVLERSTVAGVLWSSGVNIGAGPISGGVISAFSFDDHRRNLSDVTYSYNDTMLEVAKKHYYLNISKGKRKGSFPAQGNYAYHDFRLQFYMKNAGTGDAYPVTMGSVMLNEDGFVADDSSSSRPAVVDDLVSISYDILHYAPPPENQVNLSPSYTLEEGRISAEGVYDPKRGILSMVGCQEHSGSTDCEILITVQFAALVDRAEGLDSRGTIRSLRDRADGLFFEKMDITLYGMYPMEVSEAISRMDLESVMLVISSTLSCIFTILQILHTKRNPKTALAMSITMLAVLALVHLTPLVLSFEVMFRSRRSQYSLYSTDGWLELNQVMMRVPTLIAFLLQLRLLQLALFGRLRSAGHQSKSVGTQSVAVSERIVLQVCLPLYLLGGVLAVIVYMINARSTAGDVVLVGEDPATLWDDLVSYAGLILDGFLLPQVILNASVSGSKVRAISPLFYMGGSVIRAAPHVYDVVRGRILEQLSVRLTKVYASPRVDLFGVAWDIVVPCGAALLATVLFLQQRLGDASERRRSGGYEMVSHS >cds.KYUSt_chr3.28720 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179257958:179263649:1 gene:KYUSg_chr3.28720 transcript:KYUSt_chr3.28720 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEEALRRGVLKHGAGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGLGSRDKIRVPRIKVPSSSPSPSPQLLLLPAPDNVAEASPPEDIEKNPEDDKTSVPKYSAMILEALGELKEPNGSEVTTICNFIEQRHEVQPNFRRLICAKLRRLIGVNKVEKIDKSYKLTDAYAKKLLAPMKDPSQKKKDPAKPSKASKNVGLFPAASPALEAAQAAAMKVADAEAKAHIANDHMMEAERIFKMAEETESLLTLASELYDRCIPCSYSTIFHSTLLLSFLTFANASGSRGEVLTVLQSAHREY >cds.KYUSt_chr7.10898 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66962892:66966393:-1 gene:KYUSg_chr7.10898 transcript:KYUSt_chr7.10898 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWFSGSGPSPSSSSAASSQPPSLLAEWNSYAAARSSEEDVSGGFGIDIEAAVRSANDSVAGTFGLFSKGVRGLPGSFQSSTSSVPSGKSLMYFGLFLASGIFLVFIAFTIFLPVMVIMPQKFAICFTMGCAFIIGSFFALKGPKNQLYHMISRERLPFTIGFVGSMCATIYVSMVLHSYILSVFFSCIQILALAYYAISYFPGGSAGMKFLSSTLVASVLRCFGR >cds.KYUSt_chr4.16372 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101741982:101748383:-1 gene:KYUSg_chr4.16372 transcript:KYUSt_chr4.16372 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPDDFIAVVTNNVNYPLIVFRQGRGAWLPELREARYRYIIDIAFLGDTLYAITRTEDLIALDLGMDGNGTPMATIGKRVIKRSPDYDDLYAWSASDDDDDDDEEENASDEEEAGEDDDDDEEDGKEAATTDDDDDDDANDDDGNDDDPEDDDGTHNLSSSLEYACDDETGETIITSRHLIESRGKLLLVRRRKQRSLTFPSLTRRVDVLEADIDTDRWVPLPVDNGLGGDRALFISTDFSKFVSAPRGDVEADVVYDIDTGEAFEFRRRISSGSVPSLHAPPFGIAGSLRTDQYSAGFDHSALKAADKIAALPGQPAGVDFAQYGGYVTVNASNGRALFYYFVEAPGDAAAKPLLLWLNGGPGCSSLGYGALQELVPFRVNRDNQTLSRNKHAWNNAANVIFLESPAGVGFSYSNTSSDHNNTGDPMAAQDALVFLVNWMERFPEYKGRAFYIAGESYAGHYVPQLATTILTHSNNKNSSGATINLKGILVGNPWLDDVNKNRGQYEYMWNHGVMSDEVWADISGHCSFDDSADGDRCYAAITKFRSGGIDEYNIYAPICITDRNGTYYSSSQLPGYDPCSDVPTLAYLNLPAVQRALHARVTKWIDCTIFEDFKDSPDTMLPTLKWLIDRRLPIWLFSGDFDSVIPLTSTRYTVRDLNLSITEPRRPWTAGHEVGGYVQQYAGGFTFASVRGAGHTVPSFQPERALILLQSFLKGILPPYKKKA >cds.KYUSt_chr5.31979 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202774502:202774894:1 gene:KYUSg_chr5.31979 transcript:KYUSt_chr5.31979 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRFLAILLVAALLALSFSQGPVLVAEGRKVQVLRAAGHSGRRPLHGGVRLQEQQQGMVSTVMDYDEPKANTNPHGSVPATPDYPTGPPGH >cds.KYUSt_chr4.48372 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299591161:299592018:-1 gene:KYUSg_chr4.48372 transcript:KYUSt_chr4.48372 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNRKPLANPTPLPQAAGAGAGDVAAMRADWDKALTYLQRGNQAKALRLIREALARHGQGSPLLFRALGTVHSRAAAVTNDPSVRARHHRDALQAARRAVELAPDSLELAHFRALLLYEAASDGRAYDEVITESERGLSIKVPSDPAPHSLCLPAADLDYIRAELRTLIQKANLASISLWVKTLGGPGDDKVYDFFPMPDDSVELHPLPAAPVPRWANEIKKATKTPEERRKEVEVQLAAMRLVEQQQLLQASAASSSPPQSEGDEDEPPCSSPQCDVGLWRA >cds.KYUSt_chr5.29446 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186628859:186633932:1 gene:KYUSg_chr5.29446 transcript:KYUSt_chr5.29446 gene_biotype:protein_coding transcript_biotype:protein_coding MATGARDLADGGLQRRRDRALVSSLPIPHVPLSSSSLLLAMLAMALKSDACICDGQAAHFLLGCGPVSSGIADLTKDLHHQDNSMFQSEEVVLLQGSELQHVDAGGYSGTIHKLRWHVRASMLLEEISSFLFGPASGGHVLDGAVPFVHGNKDQG >cds.KYUSt_chr6.25340 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160507920:160511701:1 gene:KYUSg_chr6.25340 transcript:KYUSt_chr6.25340 gene_biotype:protein_coding transcript_biotype:protein_coding MRARPHVFGLDASNFQTTTHARTPSSSFPSPRRPDLPRALPSMADAKAEAAATQSSQEEEEDWKEAEGDVEVSDSNGAAAEGITDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNAYLLVGVCNDELTHQFKGRTVMTEEERYESLRHCKWVDEVIPDAPWVVTEEFLNKHNIDFVAHDSLPYADASGASNDVYEFVKKLGKFKETQRTEGISTSDIIMRIVKDYNEYVMRNLARGYSRNDLGVSYVKEKRLRVNMGLKTLRDKVKQHQEKVGEKWSTMAKLQEEWVENADRWVVGFLEKFEEGCHSMGTAIKERIQERLKAQSRDFSLLQYDQYDSDDDDAVDDEDVEEDIAKVVKLVKD >cds.KYUSt_chr2.1538 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9128533:9130532:-1 gene:KYUSg_chr2.1538 transcript:KYUSt_chr2.1538 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSWIRLTGLENRTGGQKPLELARMAPALEPVLAGLASLDPGPTGSGTGPAGPRAGRPGLKSTAGLPVSRRSAASLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSAEALLKQIDFLIRSKWVPCLEFSKVGFIFREFGSTPGYYDGRYWTMWKLPMFGCTDATQVLKEVDEVKKEYPDAYVRIIGFDNIRQVQCVSFIAFKPPGCEESGKA >cds.KYUSt_chr5.28916 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183020044:183021687:-1 gene:KYUSg_chr5.28916 transcript:KYUSt_chr5.28916 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARPRRWRLPFQRSAPSSPSSKSSAVPYSPARSAAAAENDRKWEEEATPPEFVCPILGLLMADPVILPSGHTYERACLQACADLSFFPPGAGSGSDAMIPNSALKAAIGTWCARSGRAAPRPPSREAARAAVLRAMPPPAPSAAEAKSVRTRRTAMASSSNSSYSSPASATSTSTYTSSSSEITPAEDDAAAAKPEAAAVDPLEDQVVATVMDADEDGEVAAALAALLEATRESAERRRALCTPRLLAALRRVLLIPRHASARVDATAALVNLSLEPPNKVRIVRAGAVPALVEVLRSSAPPEAREHAAGALFGLALAEENRAAIGVLGAVPPLLDLLTSPAQHPRARRDAGMAVYHLSLAAVNQTKVARFPGAPKALLAVASSAAEPTPIRRLALMVVCNVGGCAEGRAALMDAGAVAAVSGILLSDHDARVAELEEWCVAAIYALSRGSLRFRGLARAAGADRALRRVAEEGTPGGVRREMARKTLRAMRGDLDEEADLTGSSLECGDGGEDCGGSIVSDGLMSFRRRQRDVGASSGGNTAEF >cds.KYUSt_chr3.35546 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223202009:223208968:1 gene:KYUSg_chr3.35546 transcript:KYUSt_chr3.35546 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTEQLPDKASRKDLDPFDNWQELCLDIDKDEKGMETFPSDQSVEYFLMKGVLNYIGSVDVSPESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMHQDSSIVVLVVQKLLLNFVKRPQIPLNGSHPIFSDDGGPGVLQRVGSAAANLVLLPYYTFNYLVSASAEGATSQLADNSLVVLLILIHYRKCISTNESIPTDSIYMSDSNTNVKDAPAFHENPYCRALNNANDIQFDRADVEGNAQNGPIVRLSFASLFDALGTCLKDESSVLLLYSLVHGNCNFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIILLILSQDSTFNACVHKLVVPTVPWYQERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMGPHVHRLSAYASQRLVSLFDMLSRKYAKLAELKNDKALKVISDQMETDLISDDMSTELHIYTDFLRIVLEIINAILTYALPRNPEVVYAILHRQEVFEPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLELINKHCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYAWRLILSRGFSFNPGAINLFPVEIHVDIMSELEELCGEPVLPLFVKQVKVDSRDLGCGFSI >cds.KYUSt_chr1.35255 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214895167:214895577:1 gene:KYUSg_chr1.35255 transcript:KYUSt_chr1.35255 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr4.8938 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53739394:53741876:-1 gene:KYUSg_chr4.8938 transcript:KYUSt_chr4.8938 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWATPLLLLLVLLLPAPSRAASLSLLTTSSNATLHASTFPDREPIPTPDPTFLQDLIDSLTAKYRWDPDAEVRVWPLDADAALVGAVQRYEFRARAGGGSSVGAARVSDETVAWSRPGDGEPAIEEVSGPDGIDVVPGPGALGFGDGVRDLDLVGPFQVAVADAGLAELRLPSLNATYTGLKRVLVGAGIALKITGAQKVYFTHPHSIGLLANGSLLATNKDLSRILPLSHPTCAPLLHVSVAGSVIMIARETDVSGGQMKYLLSSDDTVELLSDKPEVNMPDRLISACVFCSISPRLPRLENQLKTWFSQRNEFNRSMNFIEAKVTSLTLVKFRLELERDITEEDDLWDDVPEWKTLPMVQRVSLDVIARVQEEGRLKSMQVKKVRSSFQIVDASSWSSLTSNVSFTDFMSFVLPPDPLSLDVKW >cds.KYUSt_chr4.6190 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36353398:36355995:1 gene:KYUSg_chr4.6190 transcript:KYUSt_chr4.6190 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAVHLAPLPARAQPHPHSTNPPPPPAKPTAASLPQWNALLAGHSRAGRHADALALLPPLLAASEGLAPDSFTLPPAAKSCGFLRDGATTGRQVHALAAKLGLASHDPFVANSLVSMYGRCGRAEDAEKVFDGIPTASRNLVSWNALMAALSANPRRAIEVFRDCLVDLSEAAPDEATLVTVLPMCAALGCPGTGMAVHGLAVKSGWDAAARVSNVLVDMYAKCGELANAERAFLRASEGNVVSWNVMLGGYARNGEAGAAFGLLREMQQTEERGVPADEITVLSVLPACSGPPDLPKLRELHAFIIRRGLDWTGGNDMVPNALMAAYGRCGCLLHASHLFTSIRSKTVSSWNTLIGAHAQNGEVNTAIELFRQMARDCGLKPDWFSIGSLLLACGNLKHLLHCKATHGFILRNGLERDSFIRSSLLSAYIQCGTVSLARLLFDDDAVENEEVSWNTMIAGYSQNGLPSEALQLFREMQSNVARGHRPLLISATSALMACSELPAVRLGKEMHCFALKANYCEDSFLSSSLIGMYSKCGFVDDARAFFDRLEAKDAVSWTAMITGYAVNGAGKEAVELYDRMRREGVEPDEFTYLGLLVACGHAGMLEEGLHLFEEMRNHCETEAKLEHYACVVGMLSRGGRFADAVALMEEMPEEPDAKVLSSVLSACHIHGEVELGMEVAERLLELEPDKAEHYVLASNMYAGTGQWDEMRKVRKMLRGAGVAKEPGCSWIDVAGKVYSFVVGENSLPEMDEVWRMCHGLEQRIREIGYVPDTTVVLHELEEEEKVEALRWHSEKQAIAFGLLRTAGPAKVRVFKNIRMCKDCHNAAKLISKVVEREIVVRDKKRFHHFRDGKCSCGDYW >cds.KYUSt_chr3.6811 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39102193:39103433:-1 gene:KYUSg_chr3.6811 transcript:KYUSt_chr3.6811 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTYVPPGSAMYVFVKQFNKLLYDRDVEESFQEKRTRLGGVVCKVGEPMENHAAKIYMRTMFEKFQDSMYKIGSYYADKVVPGGDVLVHLSYTSIAWKWDVNVDAYTTTMESIKVMVPKLKKVGVEGDGLGLEARLNVKKARVDGAAAQMVVQYLHWDNGVSDAISLDATLLAPSKNRSGGRPTNSHDKPPYETTSKRTRFYTICRFPGHKSTTCPDCPPGVAKPRKEAKCSNCGLPGHSNSKTSCVNKNTAV >cds.KYUSt_chr3.30453 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190780509:190783685:-1 gene:KYUSg_chr3.30453 transcript:KYUSt_chr3.30453 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLCTFAALAGSAGRPGRRAGQKGGNKRAVAQPLAAGAVTEAPAAVVVAPPARPVVTAPRRREGRTGGSGTDQLVAWKSIRQERWEGALQDGTYLRNGPGLWDLGDYGFRHLFDGYATLVRVSFRDGHAVGAHRQIESEAYKAAREHGKVCYREFSEVPKPEGFMSLVGQIAKLFSGSSLTDNSNTGVVRLGDGRVLCLTETVKGSIVVNPDTLDTVSKFEYEDKLGGLIHSAHPIVNDNEFWTLIPDLIRPGYVVAKMDAGSNERKFVGRVDCRGGPAPGWVHSFPVTDNYVVVPEMPLRYCAANLLRAEPTPLYKFQWHPESGSYMHVMCKASGKVVASVEVPPFVTFHYINAYEEKDEEGRVTAIIADCCEHNADTSILDNLRLHNLRSFTGEDVLTDARVGRFRIPLDGSPIGELEAALDPEEHGRGMDMCSINPAYVGKEYRYAYACGAQRPCNFPNTLTKIDLVEKTAKNWYEEGAVPSEPYFVPRPGAVDEDDGVAISMVSAKDGSGYALVLDAKTFQEVARAKFPYGLPYGLHCCWVPRDN >cds.KYUSt_chr3.34788 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218117860:218118066:-1 gene:KYUSg_chr3.34788 transcript:KYUSt_chr3.34788 gene_biotype:protein_coding transcript_biotype:protein_coding MNDWAPAIIASALFALLCPGVILQMPGRQRPIEFMNMKTSFLSIVVHTVIYGLLLMLFVVILQAHLYI >cds.KYUSt_chr4.14524 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89691550:89694703:-1 gene:KYUSg_chr4.14524 transcript:KYUSt_chr4.14524 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPQLETATAAVVGGAPLIPPATIPASAGGSYAVLQCGEDSAYVRDAYGGYFNVFRALLEEGGERWRVYRAVRGELPTDAEAAGIDGFVISGSCSDAHGDEPWILALVDLVRRAHAAGKRVLGVCFGHQLLCRALGGRTGRSAKGWDIGVSCMHPTTAAAKLFAPLKLPVHMPIIEFHQDEVWDLPPHAEVLARSDKTSVEMFRLGDRAMGVQGHPEYSKDILMSIADRLLQHNLILDCQVDVAKASFDVRTPDKELWRKVCTGFLKGRLQSQQQKQHKLLL >cds.KYUSt_chr1.33461 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203411188:203415873:-1 gene:KYUSg_chr1.33461 transcript:KYUSt_chr1.33461 gene_biotype:protein_coding transcript_biotype:protein_coding MCWSDLPADLISAIADRMTEHADLARFRSVCPSWRSASAAHAARRRVPLLLVPSQDYSRVKRRLWSLADDSITEIPVPTARGRSFLFASPRGWTLGVANDLAATLLHPFTGASESLPTLPPSFHDGDQRILRDTVWDRSPDAVVVSSGKGAFFCRLRPVGDPGSWSPVAGLCSLQGADRVTSITYCDDGTFYLLDKRAGKVTAVDSATFGVVAAIEPPDLAMPNSWLIPESTLIASSAELLLIVRTQLVYQGHSGSEGLFKVFRAESRSLEAGWSEVAGDIGDRAVFVDHLRGFCVEANGVNGVRRNCVYVASSYEEVDDGYLFGWVVFGRYTVSVLDLANLTTQNLSRGSLLKCRLPKLLRWAITGKLRMVGTLSSGKIIGNVETLGLQLCSVENTVEGRRKRRADDIAEQGGEFGSAATAAIVAGAWLTPQKKARSTLEVYDMNIARGFGPMLITAEDLVRTLEANDLILVGDGAGFQVLNRR >cds.KYUSt_chr2.43916 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273355123:273357036:1 gene:KYUSg_chr2.43916 transcript:KYUSt_chr2.43916 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRPPLLLLLAVCFLATSLHSQPTPPQCPLNFTALRPFLAAPLPSDDASRCNFAVQSVRLLLSLHLAATGSFLVPANTTTSSSCLPPLRAALPFTLPPPDACGLAGIDALLSTPGCGNISTLADFDALVPASARGDMNASCNRELGPVPVCTGCTTSLSKAAAAYLLPGSPDGGNNVTGCVQYPFIYAGAAASPRGPDDPDTAFCLYLLKEGAGPSSGSGTPAWLYGVIFGCVGFVLVVAAAAGSWFLLRRRRERAAAAALAAARADSRSKRSQAMESITASTTLLKFTYDEIKAATGGFNRDSIIGRGGFGNVYKGVLPDGAEVAVKRFKNCSAAGDAVFAHEVEVVASVRHVNLVSLRGYCIASTQREGHQRMIVCDLMHNGSLHDHLFGAGECLMAWPLRQRIAIGMARGLSYLHRDTQPAIIHRDIKASNILLDDDFEAKVADFGLAKFAPEGMTHVSTRVAGTMGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGKKAFISLSEGQSFVLADWAWSLVRTGKTIDVIQEGMAEPGPTKVMEKYVLVAALCTHPQLHARPTMEQVVKILEADSAPGPLIIPDRPLPVVANLADIERSVSSSGSGQLYSPSGFRSFIHRNEDATPESPNEA >cds.KYUSt_chr3.4937 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28044665:28045708:1 gene:KYUSg_chr3.4937 transcript:KYUSt_chr3.4937 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNPDLTAMNKAMWRLRIAEATGGDPWLRTTNGHVGRQVWEFDPTADDVGAVDDLDAARHEFFRQRHQMKHSADLPMRLQVRDSHPLNKLIIF >cds.KYUSt_chr3.42923 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271231194:271232042:-1 gene:KYUSg_chr3.42923 transcript:KYUSt_chr3.42923 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKVFGPAKSTCVARVLICLEEVGAEYELVHVHIPAGEQKSPAHVARNVPAFEDGDLVLFESRAISKYVLRKHASDLLKESSVTESTMVDVWLDVESQKFDPIMSVIAFQCFIVPIFMGGTTDHKIVEESLEKLKDVFQVYEARLSKFKYLAGDFISLADLSHSPMLHYLLATPHAPVLSRYPHVKSWISGIMDRPSVKKIT >cds.KYUSt_chr4.26173 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164603047:164608918:-1 gene:KYUSg_chr4.26173 transcript:KYUSt_chr4.26173 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKMVCGREINVVRAEGKRKHPDGTPRETGVRGHSDYKGRHVSRHGRSRSHSHSRSPRHGGRHRSRSHSPARRRHGDYSASPKRKEECQTKSSGQSKEHDKGKKQGPCTPPDRSDHHDADNGSNGRDDNSTSPKRKEECLAKSPRLSNEHDKDKKRRSCSRDDGNNCCDADIGLKEVPYFILFDPYHRGGQQLVVWCPVPARGHPDHHQDHTQEDEMTTLLPQREKKNIRNNHQDAQKNMNLCSFSPDPSMQDDHPTSPKIKEEHQSKSSGESKEYDKDTKRRSYAPHDSNDHLDADNGSKDTNVHVDRKLATLDADRSHAGRRSPGPYSTLSSRRQDDYSASAKTKEELCAKSPKQSNEHEDEKRSSYTPDERNDRRDADNCNKKKRPSPDNGPPKRTEEPCQEKPHSQSNEFDNDKKQRSYTHDYRKDRGNADNDSKERRNDYSASGNKEEHGANFPRLSKEHDEHKKRGSFTPDDRDDHHDTDNGSKETNGFHTLLSQEGEMTTQLPEIERKSTGQNHRDS >cds.KYUSt_chr2.10539 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66947724:66949121:-1 gene:KYUSg_chr2.10539 transcript:KYUSt_chr2.10539 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRQTRTTWCSRCGAYLSVQPGARSVRCALCHAVTRVEHHGGLHQAVGFIKGLFNAFGSPSQPPPSSSGSMRVGDPYRLPASYPHARGKKRALLVGISYSFTKYELKGTVNDVNCMTYLLRERFGFPTDCILVLTQEDKDPYRVPNKDNLRLAMRWLVEGCTSGDSLVFHFSGHGMQKLDSNGDEVDGYDEAICPLDFEDRGVILDDEINETIVRPLGPGVKLHAIVDTCHSGTILDLPYLCRISRTGYWQWENHNRQPDTPKGTSGGLAISFSGCGDSQTSADTTAFAGSTSTGAMTYSFIKAVESDPGTTYGRLLNAMRATIRDNGGDSGIPGPIGSFFRRVITFSCAQEPQLCASEAFDIYRKPFLL >cds.KYUSt_chr5.16338 pep primary_assembly:MPB_Lper_Kyuss_1697:5:105119308:105127650:1 gene:KYUSg_chr5.16338 transcript:KYUSt_chr5.16338 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRELRHAASSSVGAGGAGVAAVAVAAKGGTETSCAVPAPLLTPLPCMQLRPCLTTTLRMPRTYKDDRKCTHLIVEALDPSGKISLAQISRKLTQLGLRNSKKRTKVPEAPLSAEDLATQPQNHALDDPKPESTRYDGTVLTLEEQAANREQMRKIENPVGPRIPLAGFAAQPNHHRLSAEDANGQRLVCCAAEPPPPCGGGRQRAKAGNVTVALESFHARGEVSVRIGLCEGRTRPSRWPRPPCGCGLWVLPPP >cds.KYUSt_chr2.249 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1512347:1516117:1 gene:KYUSg_chr2.249 transcript:KYUSt_chr2.249 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLDYDTRHSELDRLFYRYGRIHRIDMKSGFAFVYFEDERDGDDAIRALDGYPFGPGRRRLSVEWSRGDRGTRRDDRDGYSKPPVNTKPTKTLFVINFDPINTRVTDLERHFDPFGKISNVRIRKNFAFVQFETQEEASKALDATHLTKLLDRVISVEYAFRDDSEPGDRYDKPSRGGGYGRQDDSSYRRSVSPVYQRSRPSPDYGRPVSPAYGSYDRSRSPVRDRYRSRSPVQRSRSPVANRRAYD >cds.KYUSt_chr2.53757 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335398747:335401073:-1 gene:KYUSg_chr2.53757 transcript:KYUSt_chr2.53757 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFAVLFLILLVWMAVADAASHRYRTPGCRRQCGNVTVPYPFGVGARCHHGEDRGFQLECDDSRHPPRLTVAGYGHEVVSISLAAAEATVLLNASRACYSSVSGHVLGRRREYPMALNGSAFLFSSMKSKFVAIGCPDLAYFVDDGGYYVTGCMSVCRPSARALPGSCRGDDGCCQSNIPLGLDSYRPYIRSFGRRQQQQQGTFMANSTGCAYAFMVDALWFWYAGSHFNRTGDFAVPVVLDWAIRAAGTSCATARENATAYACRSKRSVCLDSSNGPGYICNCTGGYEGNPYVLGGCKDVDECAHKDLYPCYGVCINTPGGYLCTCPKGSSGNATVLDGCHQKDNFTTLLKAVTGVSISVFLVILVCFSALLGVQKRRMLRAKQRFFEQNGGLLLQQQLGSLASSGVAFNIFSEEEIKRATGNFDEARVLGRGGNGVVYRGVIADGSTVAIKKSRVVDEKQLKEFSKEMLILSQINHRNVVKLLGCCLEVEVPMLVYEYVPNGSLHRYIHGDGKSKALMPPGERLRIAAESAHALAYMHSSASPPILHGDVKSANILLDGDLTAKVSDFGASRLAPVDEAQVATLVQGTCGYLDPEYLLTCQLTCKSDVYSFAVVLLELLTGRKAFCPYGPEEDDTSLAFSFVTAVQEGRHREIMDGHVRDELGVQLLDEAAELVIRCLSLTGEDRPAMTEVADKIERFRSYACRNPTVFV >cds.KYUSt_chr4.52732 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327196722:327197150:-1 gene:KYUSg_chr4.52732 transcript:KYUSt_chr4.52732 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVHPGPSSSPPAASAVEEANVSGAGEFAPALAAYCPFGHSFAVARPPPARRLDESCPPREFTAKEEEKEAISMASTSSAPGEVPEDPAAKKKKRKRVIMTQSHLDALTAVEEPTAPLDEEWLKAMTALVPNGDQFFANF >cds.KYUSt_chr7.27505 pep primary_assembly:MPB_Lper_Kyuss_1697:7:171764446:171770465:-1 gene:KYUSg_chr7.27505 transcript:KYUSt_chr7.27505 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPGGGGRPGERNSRDIRLTVQEAAKKLALWHTATFRPIMTHDDLDPILADAGFVALPMPPDPHPPLQDQPQPHQQAQVPVRWREYAFLGSGAGSNAVVGWTGPRPRLPYPRVDALHIRTYQAFLGAVEVYLGAARVPNLFHVRCMPVTTKQDRVFDKVFRAMRSDQDGMIVYRDGTLDDATFAAICSEHTPIEDVGYHVIPGNACSELRYLRHGKIHGGNCNEETCKGYPGYIDVVRLKDVIPRPCRNISPDIPICTAGPASQATLQKQAWLGLVNGVQPSSIDLILFPSSSPSIVSKARSIAGSMMESTELKVEMVALHEKRVRKCLSKVKGVERVEVEASIQKVVVTGYANRNKILKALRRVGLRVELWSPRNELLSAYAAGSFAFSNYGFF >cds.KYUSt_chr5.6224 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38460363:38460866:1 gene:KYUSg_chr5.6224 transcript:KYUSt_chr5.6224 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAFPIGFTKGIRSHWRRRKYQRLENGEGRSTRGNTQRLGGGARRGSGGWRVRLRGLLLRRVRVVRAVVSIPGRLLCRMRDAYVGGMLTVARKAAVTAMPSDGMWTKRVPRRKHQKLLLPGAAAAQQGASEFEKRLVMEIYKSIVASKELTTMLHSTAATASSST >cds.KYUSt_chr1.17758 pep primary_assembly:MPB_Lper_Kyuss_1697:1:103451788:103452066:1 gene:KYUSg_chr1.17758 transcript:KYUSt_chr1.17758 gene_biotype:protein_coding transcript_biotype:protein_coding MLAADEAGIPDTAILASTGDDTTLGSLDLRGDNEATEDPLKEEEETRVNVQLEGSRAIHMADAVSWKLLQELQTPLSRLTTSTSHQTHFSSS >cds.KYUSt_chr6.31130 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197295620:197296855:1 gene:KYUSg_chr6.31130 transcript:KYUSt_chr6.31130 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKHRFLTGNVQSGPDQSYSEMSMLRECPRLPVAAAPPLEYDNLLFEILLRLPPEPSSLPRASAVCKRWRSLVSDPGFVRCFRRHHRRSPPPLLGFFGQGARGMAFQPTMEPPNRVPPGRFSMPPDFRFSILPGARFHSKILGCRHGLMLVLQQKLKQVVVWDPVASDQHRLAVPPGFDTSITPIQGTVLRRAGDAHHFQVVLEGSDKQQVFACIYSSETGVWSDLISTLLPYEDCMYMPMPLNSISSVLVGDSVYWMFATIQRPIVELDLGRQSLALIHLPVEIALCKTWNLMVMRAEGGGLGLLSVSDLTAQLWKRNTDSDGVASWVLGRTIELDELLSLNSEEQIDPEIRGLAECNNVVFLSTGMGLFMVQLESLQFKKCSDPSAAFFGHPFETVYAAGNSIPLHCK >cds.KYUSt_chr4.12955 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79602272:79604794:-1 gene:KYUSg_chr4.12955 transcript:KYUSt_chr4.12955 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEKPSGGTSPSGRVPEQSSVPRIGVSRRWRRPGVFLGVSSIGIEVLDTLETYHGAGDICRGAASPVEGGGRILNWGEDKRFDEMRSNLGKLAVFWIFQAVWVWTVSLPVTIVNASDRNPSIEARDIIGWIMWVIGLSVKAIADQQKLKFKNSPSNKGKWCNVGLWSYTRHPNYFGEDALTIIRNNVKYIMLVLGLVI >cds.KYUSt_chr2.34383 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212332921:212339212:1 gene:KYUSg_chr2.34383 transcript:KYUSt_chr2.34383 gene_biotype:protein_coding transcript_biotype:protein_coding MHSALGGDWAMQPFGWVTGPMPLLNKKPFSLLEPPKDLDPEQAVFQIRFTKEIFLDYQYPLSLHCRSLIHLREYVKRLNLYRQRVWTCNVSGKSNLTFEEALVSEHQAMEKAQNLPTEIMAHVLRMTQYSTLGLVELVNKIYVALQEDVFEGIELHAKKDDAEAPCKILKILDSGDTKLYEVGWIRQGKSKTVINTSVVKATDLKRRRAPVSRNILKLLIRDSTSHSTPWILHEHLAKKYGISMEPPSDIMYGEGLQKKGRKRHDCVTIEDGRKKSKKDEGHASVPIKYPIDDLLVSPAADDPALLKRPPLVTDFGIPRNSVGDLLMVWDFCLSFGRVLNLYPFSLADLENAICHKESNALIVEIHSALFRLLIEDDADYFTAPQTNKRKSKLSSEKWAEYLSFFLEKTEIEELSCNSGAVRRGYYGSIDADIKLKILQELVEEAIATDAIREKLSERVEQQQALVATKREIARKEREEKNKNSKDENNDVVQDGNECVDEQRKGKEESDKIDVSASKTERKLHLVRHLETEKAKLSIRASPLGKDRHYNRYWFFKREGRLFVESADCKEWGYYSTKEELDVLMSSLNVKGIRERALKVQLEKFYNSISNALEKRLKEITHKISLEEAVLRRSTRVRAPPRDSPSMAFLNSSYDSSDDEFDQEEEENISILLAYRAVKRPKFGGSVFGRQKLWRERIEGHEKLMRSYFNENPIFPESYFRRRFRMSLNLFKHIATEVTKYDRFFEQRRNAAGELGHSTYQKVTAALRMLAYGIPADLIDDHLAMGESTSILCVKRFVVAIVNAFGSIYLRAPNAQDTARLLEINANRGFPGMLGSIDCMHWSWKNCPAAWHGQFKGYKKDATIVLEAVADQETWIWHAFFGMPGSCNDINVLQRSPLMTRLAMREGPLVEFEANGHKYNYVYFLADGIYPRWQTFVKPIIQPRGKKQTQFHNAQAAARKDVERAFGILQAQFAIVRGPARFWDQDCLWYIMTACVIMHNMIIEDDRGKDVDHTHYDLMGVPVQVRRSAHRIARFIASYHAIRCNDTHDELQKDLMEEWWNWNGQQ >cds.KYUSt_chr7.6466 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39086429:39088270:-1 gene:KYUSg_chr7.6466 transcript:KYUSt_chr7.6466 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVAEGWHETADGRYEAAEEGAAAAEEPVNEEDLAPANINAAIEERLADLTRVTKEHEGNGGPAAAARRPPRPEERAARYASSPSPHPDAVPRAARPGGCSSRRSAAGKSACAGGRRTTRPRPPPASALRRAPLWNAPLCRRPTIHHPSHLKNKATNQPTAQQELHNNPLTPIFYPTMASQPQQSQSVGFEDYLPVMAERLGEEGLMQELASGFRLLQDPALGLITFASLRRNAPLLGLGGMSDDDLRGMLAEGDFDGDGALSEMEFCVLMVRLSPELMDEPRRWLDDAVAQASQFLFTS >cds.KYUSt_chr7.3958 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23676790:23679432:-1 gene:KYUSg_chr7.3958 transcript:KYUSt_chr7.3958 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRYLVPLLLAAAAATTAPATFVLEEATIESIHRAFAGGALTSRGLLELYLRRIASLDPALHAVVELDADGTLAAAERADAARLLGGAALPPLHGIPVLIKDNIAAAGALNATAGSLALVGSRPARDAGVVARLRRAGAVVLGTASLSEWCNFRAPGVPAGWSPRGGQGLNPYVPSATTCSSSSGSAIAAAANMVSVTIGTETDGSIMCPSSFNSVVGIKPTVGLTSRAGVIIISLRMDTVGPICRTVSDAVNVLEAIVGYDPRDAEATRMASQYIPEGGYGQFLNIDGLRGKRIGILRKDFFRFPPGSIQEKVFGDHFNTMRQLGAILVDNLEIPSIKVINDAVQSGERALMLAEFKLSLNSYLSELATTPVKSLSDIIDFNNKHPVEERMAEFGQSYLVQSEATNGIGPTEECAIAKLNELCKEGLEKIMLVNRLDAIVAPGASAHSLLAIGGYPAITVPAGYASDGVPFAICFGGLKGSEPKLIEISYSFEQATKVRRPPPVLQHSAL >cds.KYUSt_chr5.34951 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221103506:221104093:1 gene:KYUSg_chr5.34951 transcript:KYUSt_chr5.34951 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAVLVTLLIASYVAAAAATTFDVGDGHGWDTGVDYTDWTVGKTFAVGDTLVFNYTRKAHTVTEVSQSGYDACDGGNSVSNDDSGATTVTLTTPGVHYFICDVPGHCAGGMKLAVTAAVVGGDVGSTTGSTIPAGDAGGSSLVPATRAVAVGALLIMLIPLF >cds.KYUSt_chr1.16095 pep primary_assembly:MPB_Lper_Kyuss_1697:1:93543260:93545987:-1 gene:KYUSg_chr1.16095 transcript:KYUSt_chr1.16095 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAIPSIQARCQEGPVKTRADTLGVHRDAFRANMRRVCVAAYNPITMRRAAEGRRCRHLVLALFLLLLQRTRTSTCSATGSGNETDRAALLAFKKAVSGGGHADPLSSWNDSLPFCNWHGVSCQAGRATALLLPSVGLAGAIPASIGNLTFLSSLQLPDNALTGAIPPSIGGIQRLRLLDLSGNQLGGVIPHEAALLTNMTHLDLSRNLLVGPIPPELGTLGGALVNLSLSKNHLTGN >cds.KYUSt_chr5.18435 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119044821:119046680:1 gene:KYUSg_chr5.18435 transcript:KYUSt_chr5.18435 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPISSSSSRNGQSSRARVLAKTELDAELNAAYEESGEYFDYSKMVEGQRTAPPVQPGRPERVIAYLQHIQNGKMIQPFGCLLALDEKSFSVIAFSENAPEMLTTVSHAVHSVDDPPRLVIGTNLRSLFTDQGATALHKALGFADVSLLNPVSVQCKTSGKRFYAIAHRATGCLVVDFEPVKSTEFPGTAVGDLQSYKLAAKAISKIQSLPGGSMKVLCNTVVKEIFDLTGYDRVMAYKFHEDEHGEVFAEIRKSGLEPYLGLHYPATDIPQASRFLFMNNRVRMICDCHARSIKVIEDEALPFDISLLDSALRAPHGCHVQYMENMSSIASLVMAVVVNDNEEDDEIDSEQPAQQQQQQQKKKLWGLLVCHHESPRYVPLPLRYACEFVAHVFSVHINNEFELEEKLHEKRILRVQTVLYEMLFREVSPLTIVLGTPNIMDLVNCDGAALLYGGKVWRLCNAPTESQIRDISLWMSEVHRDSTGLCTESLHDAGYPGASALCDRICGMVVAKINSKDALFWFRSCTADVIRWGGANNDPSGMDGSRKLQPRLSFKAFLEVVKMKSLSWTDYEMDAIHSLQLILRGMFNDTIKPTWEANLDNQIVDMKHDGLAEFR >cds.KYUSt_chr5.15557 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100486390:100488814:-1 gene:KYUSg_chr5.15557 transcript:KYUSt_chr5.15557 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAYKFGPYTIDAKEVFHATALSYAMVNLRPVLPVKRFADLSTDETIDLWVTAKEVGVRLEQYHQASSLTFTIQDGPQSGQTVPHVHIHVIPRKKGDFQNNDEIYDAIDVREKELKEKLDLDIERKDRTMEEMSHEASEYRALFS >cds.KYUSt_chr3.36673 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230655668:230657581:-1 gene:KYUSg_chr3.36673 transcript:KYUSt_chr3.36673 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGIRLFLLSLLGFALLAGAEVHEHEFIVQETPVKRLCNEHNIITVNGQFPGPTLEVREGDTLVITVVNHAQYNVTIHWHGIRQFRTGWADGPEFVTQCPIKPGGSYKYKFTIEGQEGTLWWHAHSSWLRATVYGALVIRPREGKDYPFEKPSREVPLMLGEWWNANPIDVIREAQRTGGGPNVSDAFTINGQPGDLYNCSRQDTTAISVKPGETALLRFINSALNHELFVSIAGHKMTVVGVDASYTKPFATSVLMIAPGQTTDVLVTMDQPPTRYYVAARAYISAQGVAFDNTTTTAVIEYDCGCDTDFGPSIPPAFPTLPAFNDTGAATAFAAGIKSPKKVEIPSPVDENLFFTVGLGLFDCKPGQQCGGPNNTRFTASMNNVSFVFPKTDSLLHAHYYDIPGVFTTDFPAYPPVQFDYTGNVSRSLWQPIPATKLYKLRFGSVVQIVLQDTSIVTPENHPIHIHGYDFLVLAEGFGNYDAEKDAQKFNLENPPQRNTVAVPVNGWAVIRFRADNPGVWLMHCHLDVHITWGLAMAFLVEDGYGELESLEAPPVDLPMC >cds.KYUSt_chr5.11622 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75360450:75362345:1 gene:KYUSg_chr5.11622 transcript:KYUSt_chr5.11622 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKTMVEGTSVEEGSSSGSRLSVKEAYIKKMRSKVAMTKAYENNLQKIRDSLDLKKEADITKFKPPEPESGDDLARSKISASNFHRSVVSIALFHDDVMVFACSGTAVRLRHGYIQDRHKIFVTSARFAQEFNANRTKDDKLRIELRTPEKKTLNGYLGLHDQNIAIVTSFSPRALHTMDMCNPVDPPPGRGNRNNKLFAFGCADDCSLMGIGCSYPVLLGNGLVSAKCDGKITTTAIGGPVICFGHGGSGHLAGVIVEYYEGKTTFMPTKMLHELLQRYVITSKTSHFRGYSLPEGVESVIPSGFMVRSTILQSLGYPLPPPLVFELNGGLTGKFEEDFGQFHYWKGFPFDDPYWGSGKPVWKQLGEKVTDKMSKNVVSIASFKGHVRFFACTGLLITWGPSTFVLTSASLIRTDDASEKIDVNLRFEVFLPPGQCVDGMLEFYHLNYNIAILSLGKDLSDISPVNISAKVPFPRNKVVAAIGRRTKKCHGLLMASMGKVMCTINRRPKKKENQGLGLTCRYLVLSTCKIKKVGIGGPLIGLDGKFVGMNFYDESPATPFLPCTVIARVIKRGFHLVSSVGTGTSLRDIEMLEGCTDEMNRNRWPVSKPYWYIAGQVDVLDLPGGKVLT >cds.KYUSt_chr3.47742 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299238812:299240523:1 gene:KYUSg_chr3.47742 transcript:KYUSt_chr3.47742 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCSSPAPEKKNWADLGDGPAGLISDCVLAYDVADYIRFRAVCGSWRRCSAAPPLDGRLDRRFHPRRWIMLREPLDAPPVRRRFLNSSTGECVQVDIPELHDHNVLAPTAEGLLVLLHARKHVRLLNPLTGQLLQLPALTTLLPAMYHHRLAVHNTHFGTDFAAWGSGVADDDSTFVLCFYRLHILGVAKLGDQSWTLLKFRDPMRTAPLMFAGHFYCVTVDGVMVLAETSPPRLELAAGRHMPVSVEMDSTHLVDNGGQLMLVHRRFSQLGRSYDLYWVDLDTKALLPVNSLGGGRALFMGMYCSISVPVEVFPSGSISSDTIYLSFDVDERADTEAYHLVDRSTTPAASYNMDGLGAYTFDAETNAVLTA >cds.KYUSt_chr2.55594 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346805494:346805829:1 gene:KYUSg_chr2.55594 transcript:KYUSt_chr2.55594 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAIATPATGCGESYGTVSIEGGWELIPNVNDQHIQDLGRWAVLEFLKHANCMLKFNKVVSGKEQVVSGMKYELIIDASDASGKLGTYKAEVYEQERTKTRKLVSFSKAN >cds.KYUSt_scaffold_6468.345 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1743367:1745131:1 gene:KYUSg_scaffold_6468.345 transcript:KYUSt_scaffold_6468.345 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCHGSTTPATYAAADLSCYPHYRTSSSEDDGDEALIETLERAPHRNTIMRGGGGHQHQLSSPTAVLGHHTPPLRDLYALGRKLGQGQFGTTYLATDLATGTPYACKSIAKRKLLTPEDVDDVRREIQIMHHLAGHASVVTIKGAYEDAQYVHIVMELCEGGELFDRIVHRGYFSERRAAEIARVIVGVVEACHSLGVMHRDLKPENFLLKDKDDDNGSNGGGDGSINLKAIDFGLSVFFKPGQIFSDVVGSPYYVAPEVLCKHYGPEADVWTAGVIVYILLSGVPPFWAETQQGIFDAVLKGSIDFESDPWPTISDSAKDLIRKMLRSPPVDRLTAHQVLCHPWIIENGVAPDAPLDPAVLSRLKQFSAMNRLKKMALRVIARSLSEEELAGLKEMFKAMDADGSGAITFDELKEGLKRLGSNLNESEIRDLMEAADVDNSGTIDYDEFIAATVHMNKLEREEHLLAAFAYFDKDGSGYITVDELEEACREHNMADVGIEDIISEVDQDNDGRIDYGEFVAMMKKGIIGNGKLTMRHTSDGSILHGAGGDVS >cds.KYUSt_chr7.41017 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254112455:254115367:1 gene:KYUSg_chr7.41017 transcript:KYUSt_chr7.41017 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTLTIGVIGLCATAYILGAWQGTSSSNINLSPAVYTRTQCDDDSDAAPRASSAASARPSGAPLDFQAHHQVVGFNESSLAPEKISACRLKYSEYTPCHDPRRARKFPKAMMQYRERHCPKKEDLFRCLIPAPPNYKNPFKWPQSRDFAWYDNIPHRELSIEKAVQNWIQVEGDRFRFPGGGTMFPHGADAYIDDISALIPLTDGNIRTALDTGCGVSLLDQLQLYFVLSLKFVGFKDESVLAVIQVASWGAFLLKRDIITMSFAPRDSHEAQVQFALERGVPAMIGVMATDRIPYPARAFDMAHCSRCLIPWNKLDGMYLVEVDRVLRPGGYWILSGPPVHWKRHSKGWQRTEEDLKQEQDEIEDLAKRLCWKKVVEKDDLAIWQKPINHMECASSRKIEETPQICNSSDVDSAWYKKMETCISPLPDVKSEEEVAGGALEKWPERAFAVPPRITQGSLSGLTLEKFQEDNKLWAERVEYYKKLIPPLAKGRYRNVMDMDAGMGGFAAALMKYPLWVMNVVPAGSARDVLGVIYERGFIGTYHDWCEAFSTYPRTYDLIHADKVFSFYLDRCDMIYILLEMDRILRPEGTVIFRDTVEMLVKVQAITEGMRWKSQIMDHESGPFNPEKILVAVKTYWTAEPAQKQ >cds.KYUSt_chr2.11377 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72353832:72354197:-1 gene:KYUSg_chr2.11377 transcript:KYUSt_chr2.11377 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTMSGFGGAPATNGVDTKVLHAFQSSFVQVQGLLDQNRVLINEINQNHESKVPGDLSRNVGLIRELNNNIRRVVDLYANLSSLFAASSGGGGGRAMSEGGSVGTVRQAGHKRIRSGMD >cds.KYUSt_contig_554.56 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:526229:528043:-1 gene:KYUSg_contig_554.56 transcript:KYUSt_contig_554.56 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSSRGFPSGLTCVLLLHFSLALSPAHLTEIHQGLSSNYQASIMAEETTISFAGLAEDNTRLASIQLASAPEVTTSSFAGLAEDNTRLVSIQLASVPEETTSSFAGLEATYPPPQSYRESIQSVVWPEWRIRSALSSQSGQSGSNYSSISSSYMSNMTCCSVGSTSASTADFATDELTKIAHKMVSDGYIQRMVQAFKSNGELDDSLKSWFAELDIEWVFQLSIWEQSLLYGISASCLQEFVERWIRALTIVIISIKELAAGVNDTLVVARFGKASISAMLVFIDDMARVSNREQLPAVLQAYVYVSNASYDMSTMHVISSDAQRIFNGIGVLLERKVNNLAEPISKMIMYSMREFLKDRDRRRYPYQDGPLVAYRLSDSRWPTEIVRGGGEVHNSTQLMVDNIMLIRKALTLTQKSTQSHSTGRLHDLIHDMINHQKDLLPRISKVCLDPSLGYLFLLNNSYFLAQVFEPSMSLDVELWSGDHQGLELTPECVDYMDSYIKVSWEDVLSWLSRFHGPLLRWINTSPLGKFQSAFHKTYQTQKFWKVPDPRLRSLLRETVTKRIITGYHDYLKAHPEVEKKHVSAGSNSPEVFEGMLGELFEG >cds.KYUSt_chr6.29592 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187527798:187541117:-1 gene:KYUSg_chr6.29592 transcript:KYUSt_chr6.29592 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQVQMLLHRHLNSPFTLPIPPRSSSASPRGPPARAHSARAAALGTWRRAPAAALPRKPPMAVGDTGASAGTAVENQAAEAIQAFMPDARAYWVTSSLIAWDVSDQEASSVCLYASRGAALHLSPSNRGIQGYDSKVELQPEHAGLPESVTDKFPFISSYRAFRVPSSVDVASLVKCQLVVASFSADGEHADVTGLQLPGVLDDMFAYTGPLGAVFSEEAVSLHLWAPTAQDVSVRIFDGPVGPVLETLQLKEFNGVWSITGPRDWENRYYLYEVDVYHPSKALVEKVLADDPYARGLSANGERTWLVDINCESMKPPSWDELADEKPKLDSFSDITIYELHIRDFSAHDSTVDCNSRGGFQAFTYQDSAGMQHLQKLSDAGLTHVHLLPSFHFAGVDDIKSNWKCVDECELAKFPPGSDMQEAAIAAIKEEDPYNWGYNPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLNSSGPFGITSVLDKIVPGYYVRRDIKGQIENSAAMNNTASEHFMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKRTMMRAKYALQSLTSDAHGVDGSKIYLYGEGWDFGEVARNQRGINGSQLNMSGTGIGSFNDRMRDAINGGNPFGNPLQQGFTTGLFLQPNGFYQGNEADTKAALATYADHIQIGLAGNLRDYVLISHTGEAKKGSEIYTFDGLPVGYTSSPIETINYVSAHDNETLFDVISLKTLTDISVDERCRINLLASSMMALSQGIPFFHAGDEILRSKSIDRDSYNSGDWFNKLDFSYETNNWGVGLPPSEKNEDNWPLIKPRLENPFFKPAKRHILAVLESFVDILKIRYSSPLFRLSTASDTKQRVQFHNTGPSAIPGVIVMSIEDARNDSPQMAQLDANFSYVVVVFNVCPHEVSMDIPALASMALELHPVQVNSSDALVRQSAYEAATGQFTVPRRTASVFVEPRC >cds.KYUSt_contig_1307.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000126.1:142968:143642:-1 gene:KYUSg_contig_1307.14 transcript:KYUSt_contig_1307.14 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKIEIRRIDSRQARQVCFSKRRPGLFQKAGELAVLCGAEVAAVAFSPGGNVFSFGDPSVDSVLARFRPSNNPQEAQAAAAVGGGVRDRNHAPPELNQELGQVHALLDVEKAQREAADEALAEARAQGLQLAAWLETDVTKLGEEDLVAFVADLAKLDAADAVAARTDPGPLETLHGGGGGFEFGGTSGGMEIMAMPPPPGFAARMPNGEGASGVWAARLPQ >cds.KYUSt_chr6.26253 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166378611:166382728:-1 gene:KYUSg_chr6.26253 transcript:KYUSt_chr6.26253 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALLWLVFILAAASHGCKADPDIVTDGDYIKIKRMPLPKRSLACAFILPSTPLPILKAPSNKHFRSADDTVGSAYDSKVHSGEVINRWSGLYRFTKTEIERAMDYANTRIYLGSGSAGQVYQGVLPSGQLVAIKHIHHTAMSGSFTREADGLSKVRHPNLVCLFGYCDDGSDQYLVYEYCANGNLAQNLLRGDAVLSWPTRVKILRDCASVLRFLHTHSDGCIVHRDIKLSNILLTEDMEPKLSDFGLAKMLQMEETKVFTDVRGTIGYMDPEYITHSKLTCASDIYSFGVVVLQLLSGKKVIELDIVARDSLTKKAARSTKKIDSSNTSEYAKMIDV >cds.KYUSt_chr1.41791 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256253095:256257954:1 gene:KYUSg_chr1.41791 transcript:KYUSt_chr1.41791 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERKGEYLGKTVQVVPHITNAIQDWIERVAAVPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNMLACRSTKVLEENVKDKLSQFCHVPAANIFTLYDVSNIWHIPLLLRGQKAHNAILKVLNLESVAREPKLDEWVARATLYDTLQDEVRIAMVGKYTGLADSYLSVLKALLHASVSCRKKLVVDWVASTDLEDSTAKEAPDAYNKAWSLLKGADGVLVPGGFGDRGVKGKMMAAKYARENNVPYLGICLGMQLAVVDFARHVMNFPDADSAEFNPDTKTPCVIFMPEGSTTHMGGTMRLGSRRTFFEVTDCTSAKLYGDVKFVDERHRHRYEVNPDMVPAFEKAGLQFVGKDETGKRMEIIEIPNHRFFVGVQFHPEFKSTPSKPSPLFVGLIAASCGQLDRVLRDDCNGYTVASKQTISNGSLSPPVRENGHAKKQVNGTANGSCHANGNGKHC >cds.KYUSt_chr2.52559 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327902752:327908042:1 gene:KYUSg_chr2.52559 transcript:KYUSt_chr2.52559 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLAAASRHQILLPSRSSPSFNLRTPNRVRLCPAFPSILCASTSSASPQPTAGGEEDEAAHGGKLSKQSSWEATDGQGDDYLYRLGKEAENMNIAVGARSGVIDDLFVGKFLGRDSDIVFDYRQKATRKFEYLQGDYYIAPAFLDKVAVHIVKNYLANNLNIKIPLILGVWGGKGQGKTFQTELIFKAMGVEPVIMSAGELESERAGEPGRLIRDRYRTASQVVQNQGKMSCLMINDLDAGVGRFGNTQMTVNNQIVVGTLMNLADNPTRVSIGQKWRESDITHRVPIIATGNDFSTLYAPLIRDGRMEKFYWQPTREDIINIVHRMYTKDGLSSEEVSSIVDTFPNQALDFYGALRSRTYDQAILKWVNDIGGYEQLGEKLLKRKNREKLPTFIPPKPTLDALIESGDSLVAEQELIMNSKLSKEYMKNLED >cds.KYUSt_chr5.32481 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206123392:206129278:1 gene:KYUSg_chr5.32481 transcript:KYUSt_chr5.32481 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAVAHDAGVEAARLWGEVPVRVDWAAVAAQCAYVWAQARTFVVVPAIRLLVVLSLVMTVMIFLEKLFVAAVYFTVKAFGMKPERRYHWSPIVAAVDGVVDEEEALLGGGVGSAAFPVVLVQIPMYNEREVYKLSIGAACALEWPAERLVIQVLDDSTDPVVKDLVEIECTRWKGKGVNIKYEVRGNRKGYKAGALKEGLKHSYVQECEYIAMFDADFQPESDFLLRTVPFLVHNPDIALVQTRWKFVNSDECLLTRFQEMSLDYHFKFEQEAGSVIYSFFGFNGTAGVWRISAIDDAGGWKDRTTVEDMDLAVRTTLQGWKFVYVGDVKVRSELPSTFKAYRFQQHRWSCGPANLFKKMMVEILENKKVSFLSKIHLLYDFFFVGKIAAHTVTFIYYCFAIPVSVFFPEIQIPLWGMVYVPTVITICKALGSPSSFHLVILWVLFENVMSLHRIKAATTGLLDAGRVNEWVVTEKLGDANKTKSATNGLDAVKVIDVDLTTPLVPKLKKWRIRFWDKYNCSEIFVGTFIIICGCYDVLYAKKGYYIYLFVQGLAFLVVGFEYIGTRPPSTE >cds.KYUSt_chr3.38241 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240829634:240832636:-1 gene:KYUSg_chr3.38241 transcript:KYUSt_chr3.38241 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFIKFPGEAPTSPPPYGGGGGGGGGGGGGASPIPPSRQPPPPPNSPPAGKQPPPPSPPRAGKQTPPPNPPPAKKQKQQSWIINPDPYVPKKTKLFNLRELDKSIIGCYVLLKIKECRVRSIHDVGFIDPHIVNGYTLKHHPADVEKDLWRFLEKQELKSDILFPYHFGFHWILLVIKVDKSECIVHDSLNMDKAEWADMRQMIQKVWRRFSDTKVGEFKKELNFMRSKAKNGGDIQPPGTNLCGYYVCPFSISVRPVALNNLSPEEYSYLFKVLAFGSTNPEEHPRLASVARDIATALEGSIIMANVYADVLRKDQSSKFWFSILKRYRYVVQSNLSVYGEHPRNLMDKDHPIDISNIGSSATPLQLMPPHREDDDSKKTLPKVMFGDLIAGSAILPKEEFELVAWESRIPPYKKFLNVAKFFGEMSLLQPAVPPSKKRRYRSVDMVGSTY >cds.KYUSt_chr5.37977 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239891368:239896295:-1 gene:KYUSg_chr5.37977 transcript:KYUSt_chr5.37977 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRLFRERRDSIERRRRESIELSQQATAEERRRREAALRRYGGRRAEQLAAADAFAAAMMEAPTRCGGGGANGGGGGGRGGGDRGGGAAATTATSSTGSDDDAPHPDETADQQRALVESFESEKKLRDDARALEEAHIHRAIELSLRAAQRGTAEDARRERHRRPPPNARRGGARRRSCGALGDSPLLAGSSWDAPYVRNLAIRAVKSLPSQVNIEFESNVLEHMILDVNVEPINVSLALLQHITEYFAVTRVIGQGGFGVVYKIRPYPWELLEFDKLELNFPFEKNKQIPCLLELTNVTDGYAAFHIQKGGMLEYFVEPDKGVVPAGSKCNVIVTLQPRKAAPCDTMCKDEFIVRCAAVNQGITAEYIHEDMFNKKSGKLVDEVTLTVVI >cds.KYUSt_chr4.15039 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92893546:92894273:-1 gene:KYUSg_chr4.15039 transcript:KYUSt_chr4.15039 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRTLGAAQPLTSTPHINRLEGSKANNAMASSYAAIGAVLPLFLLAVDAALAPGAAPLSTGLLSIEDACKQTAELYDLCIATLSPDRSSLTADATGLTRAAILAVQKNASETATYLSSIDEDDNFNKTAQLQQCLEDCGERYEAAVEQLTDAAMALDMGASNESQALVAAGQAEVKLCQRGCQAVPEHRDILMARNTEVDHLCSIALAIAKLIR >cds.KYUSt_chr7.2782 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16506707:16508671:-1 gene:KYUSg_chr7.2782 transcript:KYUSt_chr7.2782 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAACRRAASYTLLGPPPDSLRAAAAAASAPTTGNQFVDLLDAGFNTPPPKPPTPPRKTRTENNSPTFATSGDPCLDFFFHVVPGTPPSSVTSLLADAWAKEPTTALRLACNLRGVRGTGKSDQEGFYAAALWMHESHPATLALNARPVAEFGYLKDLPELLHRIIHGGVSTRTPGKQAGGKKGGGIVDRGCYDDRPSRGSPRRWNKVHHSADTTEARVAASNKRDQEISAQAAVERHKKRADAAAKAVERYAQDPNYRLLHDMTADLFADLLSEDMKKLAEGNLKDLSLAGKWCPSVDTSCYDRSLLLCEAIARRLFPKGSMPQIPADLADAHYAYRARELLRKEAYVPLRHALNLPEIFMSAREWGKVVYTRVASVAMKNYKDIFLERDEERFNKYLADVKSGKVKIASGALLPHQILASADDNDEVADLQWKRMVDDLLALGKLNNCLAVCDVSGSMDGVPMDVCVSLGLLLSELCDEPWRHRVITFSSRPQLHLIKGEKLSEKAKFIRDMDWNMNTDLQAVFDQLLRVAVAGKLPPERMVSKVFVFSDMEFDVASSRPWETDYEAITRKYSEAGYADAVPQIVFWNLRDSDSVPVMSEQKGVALVSGFSKNMLKLFLGGEDIPLPRAVMEKAISGGEYEKLVVYD >cds.KYUSt_chr3.31723 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199373272:199374099:-1 gene:KYUSg_chr3.31723 transcript:KYUSt_chr3.31723 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSKKRKKHPDGSAAHLPGRYRPEDRDPPSPEEEKVKEVLSETPSAKPAADPKPVANAVAVEEQEVVLKVKASGDAAASDLGSCLSLATDERSEAASESSVATSSVAGPERSPGKPAVRRRPVSGELARRDRAGPVAAYGVRSRSCRASPSPPPRREPARDRSVRRSPSPAAKRPPTTEQHRPASPAAPLQRKPPVPSRRASPHRARDAPPPPPPPPPPLSPPPQPEEDAVAEDRVAEDNASGNGQGHGDSDGEGKESLENPLVSMECFIFL >cds.KYUSt_contig_2767.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000487.1:50936:54188:-1 gene:KYUSg_contig_2767.11 transcript:KYUSt_contig_2767.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTPVAPNPAAVIHAALLKCSPSGLPPRLSFNSLLAAAASSSHPRLRSLVLPALALAHRCGPLDSYALCSALRNASAADAAPLHALAARSGWLGSVFVSCALAASYGASGHFSDARRLFDESPVRNGVFGNAVLAGYVGAGKWIAALEFARRFLEFGLPADGYTMTAVARACGETANADLGGEVHGHAIRRVTGVESDVFLTGALVDMYAKCGLVGRAEQVFRLALRANAGGDDVVLWTAMLNAYGRHGQCKEVIRTYDQMVAFGVWPDELAILAVLSACQHAGEVAKGLNYFESMRADYGLVPTPEHYGCVVNMLCRAGEVAKAWEIATREGCGCDIGVSTWGALLSACRSCGNVEYGRMAAQRAIELEPGNVGIYVELSNLSWANPNSPGKLCAEHTSEGLPGISSVTTGQVYDRHFKDRETGNFRDFHIAYVDFCQYFNTVMPGQDFDTPGLGDIKDFYEKTWEPLKDEVEKKKTFIKFMEENIHEAKVDDSLFIMAGLAAPAAAIIAKRSSESIPQVKKFKLQYIPNVVFVPLCTLFAIMGATAVQMNKKNKKPTS >cds.KYUSt_chr4.44612 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276324252:276329535:-1 gene:KYUSg_chr4.44612 transcript:KYUSt_chr4.44612 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSSRLTTTFSSTPCSPTTMAGLPRQRRHGSRYPRIQAIDLDQNTIVAIAVGVVSVGAGIGIPIFYENQIDNSAKRDNKQPCFPCSGTGAQVCRFCTGAGTVTVVIGSGESEVSKCVNCDGIGSLTCTTCQGSGIQPRYLDRRAWGPRQRPRYTFGSWLVSSRPETSSPPLASSLAPPPMAAEQPSEKKPPPEKKAPLPKVVTLNKALKLAQTWVDKMSGPEPDELNDKDFEGRPSGLGLGARVAPNVKRAAPTDPVERRLLGKVNAQKRKSAEEDKRNTQEVNESSDDDSGEPQGRTSACSKKRELPSVTSLPLRKKAK >cds.KYUSt_chr4.47497 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294043237:294044763:1 gene:KYUSg_chr4.47497 transcript:KYUSt_chr4.47497 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHAFTWPAQSCMQQPPPREDQVNGRLTKAMHEPASPIQRWIKYEAQHCIPWLETAIWRRPEFIWTGPFLIIVTAAAFNAAGIAALSGHGFTAAAFNAAGIAALSRAWLHRPRPSTPPASPALSGHGFTAATFNAAGIAALSGHGFTAAAFNAAGIAALSGHGFTAAAFNAAGIAALSGHGFTAAAFNAAGIAALSGHGFTAAAFNAAGIAALSVHGFTTAAFITAGAASSPPWPSPPPRPLHLHQRPCVQQNRGLGPWRTATRRPAVATLSPFGATPAADSDVSDLSRGLRSLPLRTLELAAHGDMQGWQASRHISGRSS >cds.KYUSt_chr3.1278 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7142818:7143682:-1 gene:KYUSg_chr3.1278 transcript:KYUSt_chr3.1278 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVSEKELGGVLMQAMLAQKNIRPQRDRLLQLRRRLEQLSRSAGDDQADRIKKLATDLFKVYYIGIEAGARILATCLKLAAQGGARLAMNFAFATMPDEQLHDALVAQRLPARPTTQTEAFSRVEAAFNAVKVLQDHHVPRCIEHLVGQRPPTVGERTKTDPSDKAQAAATPVDLEKARDYLDRAITLADLAVKHIDLAVVVISRFMDPKKVASLSEFTDSVAYISEDGPYPASD >cds.KYUSt_chr6.5783 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34727822:34728553:1 gene:KYUSg_chr6.5783 transcript:KYUSt_chr6.5783 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVLNKAKGENALYHPTEQGGKSISYREKHLVKSLRADQSAAGESSAVARALSAIHREIRAPGRGHQGHRAPKLTYLSSLHFAPVAAHPLAFPAIELLASPHLTHKRLAYLAASLSLSPASLSLLPLATHQLHKDLSPSTAAPAAHHLCALALHLLASPAAAAAPDLAAHLAQDLVPHLSRGRKVPAAGRPASGAEPHRHSPQAAPTPPRPPQPGPARRGASPRLSPSCRGCHGSPSDYRRC >cds.KYUSt_contig_686-1.587 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3569257:3569691:-1 gene:KYUSg_contig_686-1.587 transcript:KYUSt_contig_686-1.587 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGLSNDDTCALCDQEEESIGHLMIQCSFSQQVWFDICSQLNIQSCIPGHDEEFNPWFETAVMNTEPSVKKGARSIIIILTMWRLWKTRNDTVFKNTAPNRQDLVQSILEEAKLWMLPGARALRQLPLHARPPDDNLDQHLQA >cds.KYUSt_chr5.39915 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252330539:252332916:1 gene:KYUSg_chr5.39915 transcript:KYUSt_chr5.39915 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPAISPARLHKLVTAQTDPLLALELVTVTSPTTTPHPATLHSLLLRLSRRQDHLPHALALLRRLPSPPSPRLLLPLLLSVLRLRRPPHLFLSTFTTLFVSGPSPLSLHPQLLLRLLSALSSTAAHFPSALHLLRLVSSRLPLPAPLVLASHNLLIEAAARAGHLAVSLSLLHRLRSLHVSPDADTYRMLTQSLCRRGQVRTATTLLDEMLHRGIPADPLAYTTVLNALCRKKQLREAYRLLCLMRGRGVSPDIVHYNTVIAGMCREGRPLDACKVIGDMTDSGCVPNVASYAAVVNGLCISGLFGKAETYLEDMVGKGILPHFSVFHSVIKGCCTVGKVEEAARMMNRMLQLGMAPHVETWSSVIRSVCNDEDYNEDRVLWKLGTLPPGFIMFYKLIHPLDKLWHVLGLGFNQGTAER >cds.KYUSt_chr4.11294 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68605796:68606143:-1 gene:KYUSg_chr4.11294 transcript:KYUSt_chr4.11294 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRPPGQIWPRTGPCQNRRLATYQAQANERKAQLAGSGVGDGTTRTKPDLQHHHEAQIEGRFAAVGRRGEERGSRLEAEGGEGGLPPPQAGRRLPAAAARKGRREEAALVREP >cds.KYUSt_chr1.9457 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57644148:57649113:-1 gene:KYUSg_chr1.9457 transcript:KYUSt_chr1.9457 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGGSADRRGAGSGASAGPAASGFRRYGLNFSASSLLQAPLAALLEYSGVVPAGPGPQTPQQALPSSSSTESDGLLSAAAAGDGEVSIRIQGDPDAPPATAAGTPPQDSIEATAASDVDPASVAGRGAAADAEASAGGGGSGGATGNGAGDRAYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILKKQTALKGERKVAMLVGITVIFVIHVFGIYWWYRNDDLLRPLFMLPPKDIPPFWHAIFIIMVNDTMVRQAAMTVKCMLLMYYKNSRGRNYRRQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLSAVKALSRKDVHYGSYATSEQVKDHLLSSV >cds.KYUSt_chr5.3317 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21469313:21474884:1 gene:KYUSg_chr5.3317 transcript:KYUSt_chr5.3317 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGLILMAAWRIAEKQDDFLHKVQQQVQAPSQHTLESASIPHQGCTLRSDLLISGARVYADASWKCNKTPAAAGSTVTGIGVFLQYETNGQSFKVMIQASTTLLPSVLQAEAKALLLAAKLAEWLQIDKPTFLTDNQVLAKAAASRTIDHALLHWDARNILADFFNATHRSSCHGSIWLLQLPCRIAKQAPSCAPDATATPGGALLPVPRQDVQYSGGVATVLDLCQIMLIEGMQADPGVRPPPQEAMAIDLGHIGKLRFWPADVHKVFGVLPCAAGISSAHARLESLSS >cds.KYUSt_chr4.30099 pep primary_assembly:MPB_Lper_Kyuss_1697:4:188983832:188984433:1 gene:KYUSg_chr4.30099 transcript:KYUSt_chr4.30099 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRASPIPPSRLPGLRRRAPPGSPPSRAFRVPLSRLLDPRRAPPSAPSPSPRGPQPAAGEMAPKRGGKAPVPAKKKTVQVTNPLFEKRPNQFGIVGALPPKKDLHRFVKWPKVVRIQR >cds.KYUSt_chr4.17437 pep primary_assembly:MPB_Lper_Kyuss_1697:4:109086493:109087239:1 gene:KYUSg_chr4.17437 transcript:KYUSt_chr4.17437 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAAPVLIGLLLFASGATAAGDDELPHLQVPGTADSGLGHGSDAPLPPLPPQGQLMDIMSTGGCVRFAALVAATPNVSDVFQQRLVPGGGGLTLFCPDDKAVDSFEPTFRALAQSDRLDVLLHHATVGRYVRAQLAGFDWVAVRTLAANRSQSITLRDDGKTVWLWRSWQGGAARVIKTVSEEEAPLALAVYVVDAVLLPGHLRQKLDGGYFAWLHMLIPVSLHHGIDIGALVLVALVKVPLIVAN >cds.KYUSt_chr7.27015 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168854043:168856073:-1 gene:KYUSg_chr7.27015 transcript:KYUSt_chr7.27015 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRGGGGGDRAAVRKGPWTAEEDEVLRQHVREHGPREWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKTGCKFSAEEERVVIELQAQFGNKWARISTYLPGRTDNDVKNFWSTRQKRLARMLRAPLSRRRPAAARHSANGGSGGAPSSSTAASRDQLLRAPEPEPLRQDQVPCFGTMIPFQETPMNQHHIGESSQEPPPTAVGSPFPGLLGHGSILPSPIGFAAAACSSSYGASPEAHHPLSYLYAGDPALMFHGAGFVDSSALVHGGGVAYLEPKRELEEQQPPAGFFGLGEDDDDVYGHILPARRGAPDVLFDDLAPEMFDFFELPPSPPPSPPTRP >cds.KYUSt_chr6.26587 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168445421:168451702:1 gene:KYUSg_chr6.26587 transcript:KYUSt_chr6.26587 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHYPDHGLAMDAAAASSPNPSFSPGGGGGGEREKAAVAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAAAAAAGGPSGGEELDLFMVFYASHASLPLLCPALRAVPGFARTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNDGSDGMGFGPLILTEGERSLIERVRHELKSELKQGYKEKLVDIREEIMRKRRAGKLPGDTAATLKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSSNAASSSEKTKKKRYGVAGLKPRGKLFCLRCTGKLNYGVFMDTDDTASLSDDSGWPSSDDSDIEELLQDDDVEMMSLLIDVQEFEDRKKLMDQRRGSKMGRVTIYRNRALGHEQLMHDYFAKVPTYPPRLFRRRYRMRCSLFVKIVNDCAVASDYFKRRRSAAGTSL >cds.KYUSt_chr2.41444 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257740106:257747324:-1 gene:KYUSg_chr2.41444 transcript:KYUSt_chr2.41444 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKRRGNHHSTGGLKKMTMLTLGDAILSMRAGTRKLSEGTLLSKDTTKGFSGHRAGPCLICTANLLVPPRKLNLGRLSFAVVAQFLNPGPEKEAFLLNLTGSAMKAPSLLVRCFPGLVPSKATSCVPVVSERDLHLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDVFKGKVSVADMIAFSPSEVPSSKHDGSLKYWESSITLVNIVKNEIRDGQLSFRGKRVLELGCGSGLAGIFACLKGASIVHFQDTNAETIRCRTMPNVLANLEQARDRQNRPSESPVTPSRQLLAPVVHFYAGEWDELPTILSVVHTPAPPTNLSFSEDDFNDGSSSYDGSSIVGQDPRRSRKLSGSRAWERATETDPADAGYDVILIPEIPNAVNSLKKIYALVTKCLRPPYGVLYVASKKNFVGSNSSARQLRALMEEEGVLSGHFLTELSDREIWKFFFK >cds.KYUSt_chr7.23265 pep primary_assembly:MPB_Lper_Kyuss_1697:7:144702299:144703357:-1 gene:KYUSg_chr7.23265 transcript:KYUSt_chr7.23265 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPSRAGARPHPRRELARRDPGLTASYEAERHAVARDGEVGGSARRAGDGNHVSSMLFTSTPTCAFLWSPAHRAQLAEAVAATGTTADAAVGCRAGVAASDRFSCYNRRPISLPWATGGATMGHGRCYIELVSGGGMRRYLEIVALADTGVAAGIAADAHRSCYQGTSVLLLSPIGVAASATPAAASTTPPASPATPATTIVDGGATSACADQGRQSAEDGCARRTAALATPQRILRRCHDGSSGDATTGPPAMPRRRAIGGRVAGVGGESPWGKTL >cds.KYUSt_scaffold_6468.571 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2749225:2749974:1 gene:KYUSg_scaffold_6468.571 transcript:KYUSt_scaffold_6468.571 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATGALGSLLSKLVELLADEYNRLKGLRKDVEFLESELRSMHAVLRKVAEVPRDQLDEQVRLWANEVRELSFNMEDVVDRFLVRVQGPDDRIKSSHKLKRLMKKMADLFTVGRTRHQIAHAIKDIKGQVEDVAARRDRYRINDIVVNPTATTTIDPRLLALYKDQKELVGIQEACSELINRLANGNNDVSTQELKILSIFGFGGLGKTTLAKAVYHGIKEQFECMAFVSVGRNPDLKKPCQEYPLRA >cds.KYUSt_chr5.28233 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178722815:178723985:1 gene:KYUSg_chr5.28233 transcript:KYUSt_chr5.28233 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTAAASSRTLLLVNLAAIMESADEALLPAVYREVGAALHATPMGLGALTLCRSFVQAACYPLAAYAAVRYNRAHVIAVGAFVWAAATFLVAVSGTFAEVAVARGLSGVGLALVTPAIQSLVADYTDDNNALHLDGFNSQAT >cds.KYUSt_chr2.36516 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225404964:225415732:-1 gene:KYUSg_chr2.36516 transcript:KYUSt_chr2.36516 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVSGATLGLVRAGGGGASSARSGAERRRGGGGVVDSPPPPPLLFRKKDSSSRAVLSCAGATGKVLVPGSGSDDLLTTDEPAAAGPAQPEEEEEEEESQTPEDMDQTAEANTTVGAEDEPESPEPTQGIVETLTDAVTKGVEELVVEEEKPRVIPKPGDGQKIYKIDPMLEDFRSHLDYRYSEYKRIRAAIDQHEGGLDAFSRGYEKLGFTRNAEGITYREWAPGAQSAALVGDFNNWNSNADTMTRNEYGVWEIFLPNNTDGSPAIPHGSRVKIRMDTPSGVKDSISAWIKFSVQAPGEIPYNGIYYDPPEEEKFVFQHPQPKQPKSLRIYESHIGMSSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDRAHELGLLVLMDIVHSHSSNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVTFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPDAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVADKWIELLKQSDESWKMGDIVHTLTNRRWAEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQVLPNGSVLPGNNNSYDKCRRRFDLGDADFLRYHGMQEFDQAMHHLEERYGFMTSEHQYVSRKHEEDKVIIFERGDLVFVFNFHWSNSFFDYRVGCSKPGKYKVALDSDDGLFGGFSRLDHGVDYFTTEHPHDNRPRSFSVYAPSRTAVVDDEMIVRMLEEEQAFDDDIREHLSIIASLQNMLDAEVEKRKRQRRGGSKVGRKKSKPQQRMEGHTKPHNDYFAYEATQANNFWRRYRMSKPLFMNILHGVREFDLYFKLKHDADGIVGFSSI >cds.KYUSt_chr5.11670 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75606014:75606577:-1 gene:KYUSg_chr5.11670 transcript:KYUSt_chr5.11670 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLRQSKDISHNLRRNDVSKPVLPEDVLNFLCNYVARRSVFSVFMQLLEDILVDLEGECNRLCGDIAQWQRLQKETLVCLELGNAFFEDVVAKRHKLLHECECLRGENLTLNGKLNQAMDDAILAESKHLDAYTKRCEMCNMLLDLKDKLTRFRNSNDDLRKKINDLRAQKRLVEVVDKLVNLI >cds.KYUSt_chr2.50902 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318407142:318409326:1 gene:KYUSg_chr2.50902 transcript:KYUSt_chr2.50902 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKAVLPSVVLVLRLLALGLLAGSIALIVTDKVKVNSVFLVGGNFTLSFKDVYSYRYVLGIAAVGCAYTLLHIPLAAITIATRKRVIGGKANVALFLICADLVFAIAFATGAGACFGVSYDLKRYTDEVHDTLDSATKARSDIIEIYHDLDRFYVHGYAAASLMLAAAKCIAVVIVISVYAVVK >cds.KYUSt_chr7.27262 pep primary_assembly:MPB_Lper_Kyuss_1697:7:170335834:170336142:-1 gene:KYUSg_chr7.27262 transcript:KYUSt_chr7.27262 gene_biotype:protein_coding transcript_biotype:protein_coding MPELLELCSGVLTPPSVEEVRPGSHGFSDVASPLCQAPGFEKSGVDDVAVSPSPESGMQVVPIGDGVAKSGLLPTVPGAVVAREVCDFLATLAVAFPGSAVG >cds.KYUSt_chr3.17732 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108791729:108794690:1 gene:KYUSg_chr3.17732 transcript:KYUSt_chr3.17732 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRTKYIVKYLTAKANAYVNETHRCFQGAQHGVQPPQARGPGTMVQRTLHQGEDIRGVHNSLIIGGSAPNFYMRYFIRSFFNMQPVKALPIVMICCIFKQADLLRLKGWIEQKSAYLLELQDQPLASMENYSLLMGDSSVDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFSVSKI >cds.KYUSt_chr4.48007 pep primary_assembly:MPB_Lper_Kyuss_1697:4:297317879:297324854:-1 gene:KYUSg_chr4.48007 transcript:KYUSt_chr4.48007 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVLLQVEDFLFGGGVRWSSAADLPPRPCWLLCGAAVCGFPFAGCCGMVDLASMVVQVVGEVRFDDDGVRWCRSSVAGARRLPVRSGELSIQGVKESRSGGAPPTAPWTTTWSGCNSTMAALGLMNKLDAAKYPGRFNRGFVKEFPNGNDIDYSIHPCFIALMKERSFAGDGPDEDPYTHLHSFIELCWTSKLRNNTDDELKLKLFSQSLTNNALSWYRTFPAEKIDTWENLKKDFIFRFYTKVKSAEARRDITNFKNHRGESLMREYLRKETISLKLGEEVMSFHFSKFTHKPIVEEEDFEEEVDLATLSTILNNTPEDDLVKDVWFKFDEKFRANFKILKDDDYDEIDMSFEEEEGFCLINKLKTEDHGRKELLDMMDKCIKRIEEKVPRSAKHNKTLEVSKNYITLLEKLAKERSARIIYLEAFTVALQTKIHKLEGEAPLK >cds.KYUSt_chr4.24328 pep primary_assembly:MPB_Lper_Kyuss_1697:4:153221475:153227743:1 gene:KYUSg_chr4.24328 transcript:KYUSt_chr4.24328 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPHLSPLAAFERDGTLPPYEPTRFSSNPALDECFINAVYDGDLRQVKRAARAVGRGAEGRRLADKLGAVRDGFGNGLLHSAVLGGSLPMCRYLVEDLRMDVDDVGLMGETPFTVAVAIGNMELVRYFLDQGADKERLNDDGYTPLHLATGKGVEMVELLLSKGANPNSLNHGGTALHLAAIHGQHDIMKVLLDHHADVEYNSSPAAFQTTVSHKLALSGTDHTALVLATISCSLKCVKLLLEAGADVDGIGKDTPLMIAAIDGSTDILKCLVLAGADANVTDTYGRTPLEIVARSGRRENVEILFPVSTRLRNVRDWSVDGVIRHVKSVRPAKKTMLASAKSKAHQAFKSGNYLVAVRIYDEAMKLNPGDATLLSNRSLCWLRFGYGKEALEDAQACRRMRPGWAKACYREGSALMLLKDYEKACGAFLEGVKLEAGNTEIEEGLRDEGCSKTDSEQA >cds.KYUSt_chr2.52388 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326877673:326882972:1 gene:KYUSg_chr2.52388 transcript:KYUSt_chr2.52388 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVDLLSGELQAHMAVGADEGRQMDAAEKRPAAQGGDSKEEKMWGKPLKCAEENQPEQQQNQQQQKRNKKHNHRKSRMALRKIALDKAKKSSNVEKPPTEDKAAAYLAKWAKERESEPESESRTDWHAYQARLCRDRWNESFDAGYYGTYETITSIPPMRFTDYVDASAGPRETLQIFSVRVVGVKEGVEWPLHVYGKLADPYLTLTGPCRAAVLSVHHSYIEAELKIKGNSSDSSDDKDFSYLATGYIQTASFESFVEKRVLSSRLSTLELTAGHIVNSVEATIFVVVSSGKWPSGHGGAFTVSTGSMGAMEIVLLTFGDDGLPLEDGGNIRLFRRVVGVEIDGTLNLSVKASPLTASSTEIVLSGEMVVTPKEAGKSHLTMKVGSCEMEIAAAEIAPDRRRRKLAGVMLSSASSSSSTGAAALNTAISEKLTRDNFLLWQTQVLPEIRGARLFGFLDGSSAEPEKTIKTKDSDGAEVTIPNPEHAR >cds.KYUSt_chr7.2844 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16883847:16884965:1 gene:KYUSg_chr7.2844 transcript:KYUSt_chr7.2844 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTKGLTRQHKQQEPPKIPTQPRPTTTKKTAPPPSTKSVAAQFRIYQLPSSLRGWAYDRDLEAPLRHLGLLDVARLQLSTGGPQPSLVSQLIASYDPKKRCSCVLGIRVSVDRRSFLSAVSLLPTAQRGDLPPGVTRAAEQFINMCLRVQWRDTLLIVSAAMREVKAGSAQKVDWGKLMWDLVENEILELPKRDDKVSYFGIYLQRLILVQLPQLFKSDTFQAALQGGAWVKTAILRAGTKPMEVQSSQLGAVTLNDDVGVGNSVRQRLSSTLNDGKMDTDEHNDHTESQHRFIQQGNQQHKRAWNNINCGGVVPTGSASAIANSSHDIADIERELKNATSDELHEAETLIQVVKLLQEELSAEAIMHIFE >cds.KYUSt_chr3.47083 pep primary_assembly:MPB_Lper_Kyuss_1697:3:295719492:295720102:-1 gene:KYUSg_chr3.47083 transcript:KYUSt_chr3.47083 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARCSFLALSVVLIGGAVSAVDEGLIHLHFYFHEVDAGTPNATVVNVASLHKNSSTFGDVNVFDNALRAGPDPVSRLIGRAQGFALHTSLDESGGLTAITFAFDDYGGYSGSTLATLGHIGVSGPSERSIVGGTGKLRFARGYMASRLLSSTDTSIVVVFDMYFTLAR >cds.KYUSt_chr3.1588 pep primary_assembly:MPB_Lper_Kyuss_1697:3:9020555:9020917:-1 gene:KYUSg_chr3.1588 transcript:KYUSt_chr3.1588 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRPVRRQPRPSPFTAGEDEMLSVKSAGAISREVQLWREASLCSPTLAPAAESTIASLFLEFVLGGSLADEVERNGAASRSLGVLKARRDLSLGCVSWMPGDELIHCLVLVVEGDVPA >cds.KYUSt_chr4.40637 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250823758:250824225:1 gene:KYUSg_chr4.40637 transcript:KYUSt_chr4.40637 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGTAAVGKAKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRVGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIIPRHLLLAIRNDEELGKLLAGVTIAHGGVLPKIHSVLLPKKTAEKAAKEPKSPKKAAKSPKKA >cds.KYUSt_chr3.37458 pep primary_assembly:MPB_Lper_Kyuss_1697:3:235380860:235381441:1 gene:KYUSg_chr3.37458 transcript:KYUSt_chr3.37458 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRPVSAVAERAEEAPTTLTVWRKSLLFDCKGFTVFDAKGNLAYRVDSYASETGDEVVLMDAAGRPAFTVRRKRFSLQGDQWLVFPGEETRRPVYAVRRSGRGKTMAHVTACSGGASPSPSYEVEGSYARRCCVMYDAERRAVAEVRPKEVVGTDVFRLVVQPGVGVSLAMAVVVALEQMFARPSLLRSWST >cds.KYUSt_chr1.42694 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261236644:261238806:1 gene:KYUSg_chr1.42694 transcript:KYUSt_chr1.42694 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRAWFDQSVDLSGVNVAYIALLPKTEDPQQIKDYRPISLQHSIPKLIAKVMANRLQPKIKTLVDSMQSGFIKDRSIVENFAAAIEMIRSSNKLKKPIIALKLDFQKAFDSIHWEAILHTMSLRGFPPKWIAWVKQLLTTSQAQMVINGQCGRKFKIQRGVRQGDPLSPYIFIIVADIMQQMFRRAYESGLLRHPIQQGSPFPALQYADDTLLIIHGSVQQALIAKQILHAFSEFTGLQINFQKSTFIPMHMSELQATQAASILGCTAAALPCTYLGLPLSANRISKQLLQPVINKIQRRLPGWMPRLMSSGGRIQMINSVLSAIPNFFMACIEWDQGSIEAVDRLRRAFLWKNKDKILGGHCLVAWDIVTMPKMQGGLGIRDLRIHNKAVMATFTAKLLSNGTGPCFSWMANWHLQDTIPISPSRHESHIWKSIRKLIPTVQAATFCKQNPADRTSFWKDNWTAIGRLYFCWPVLYSFAVDKDCTVASQLLGNQWNITLHQPLSFTAEVQLQGLMDMISSFQTTIQAQGQTRLMVTTGKPPTTKDFYTLFSNRGLLWDRYKWVWQAVIPLRHKFFLWLAFRGRLNTKDNMTNKCWTKDAGCDQCPALESIHHIALHCRQATWVWEKLGLADTANTTNYLSQFVSVTIEKDSSKTWTVCVAACLLGLWKARNDRVFNCKYIGRQALLSQIAGELRLWSNRSTKLQSQLIAWAERLETV >cds.KYUSt_chr2.4302 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26444400:26446532:1 gene:KYUSg_chr2.4302 transcript:KYUSt_chr2.4302 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLSHIHRNIFPTPLFGLALVLLVSLASPTSSCTEQQKSSLLQLLAGLSREGGLTASWRSDMDCCSWEGITCSPNGTVTDVSLASRGLEGPISPFLGNLTGLLRLNLSGNLLSGGLPLELVSSSSIIVLDVSFNRLTGGLHELPPSTPVRPLQILNISSNLFTGRFPTMTWEVMKSLVVLNASTNSFTGQIPTTPCVSAPSFAVLEVSFNQFSGNIPQGLINCTVMKLLSAGYNNLSGTLPKELFNVTSLEHLSLPNNRLEGPLNGIMKLTNLVILDLGGNELSGKIPDSIGELTRLEELHLDHNNMSGELPSGLSNCINLTTIDLKSNYLSGKLTNVNFSNLSNLKKIDLLYNHFTGDIPESIYSCSKLTALRLSYNHFHGQLSEKIGNLKSLSFLSLVNNSLTNITRTLQILSDSRSLTTLFIGFNFLHETMPEGDSIDGFVNLQVLSINDCSLSGKIPDWLSKIPNLGMLLLHNNELAGPIPAWISSLNFLFYLDISNNSLTGEIPSALMEMPMLESEAAAPMVFELPVYNKSPFIQYLMPGAFPKVLNLGINNLTGLIPEKIDQLKALISLNLSSNKLSGEIPRLISTLTNLQVLDLSSNHLTGTIPSALNNLHFLSKFNICNNDLEGAIPTVGQLSTFPSSSFDGNPKLCGPVVGNHCGLAEASPVSIDSAKQFGNLVIFFIAFGVFFGIGVLYDQIVLARYFC >cds.KYUSt_chr2.33084 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204170587:204171075:1 gene:KYUSg_chr2.33084 transcript:KYUSt_chr2.33084 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVDSGTALTLSAGRTSCSSHQGRGAVRRAANLPLRRGTRSAMRDGQRSHQEVADDAPPHPGTGGARKSLLPIPAPAAIVTAGSRIRQHRKCGPLRRQGEAQAHLVVAVLLMGQTTTYLPSSSCGGGKGSESSQSAGSFLARPAAEERSKWGYEIENPRLR >cds.KYUSt_chr7.27041 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169013016:169013768:1 gene:KYUSg_chr7.27041 transcript:KYUSt_chr7.27041 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRESYLDLVLIPVGLLFPIVYHLWLWRSVHRYPLHSTIGIGAAARRIWVLSMMKDNEKKSTLVVQSMRNVIMGSTLVATTSILFCTGVAAVLSSTYAVKKPISDAVFGAHGEYMMALKYVALLTTFLLSFLCHSLAICSLNQATFLVNAFSQFFALPDGGRHLPVNKEYIVDVLDRGFLLNFVGNRLFYGGVPLLLWIFGPVLACLCSMVMIPILYNLDTVYIQRGKGGEVSDRVEMSDVDSDDGMQV >cds.KYUSt_chr5.15521 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100249200:100252424:1 gene:KYUSg_chr5.15521 transcript:KYUSt_chr5.15521 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVQQLHLLEHSAKNALADKKTTRASSDVSDPPTPRKIDDAKNISIYNNVISFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKPATPLPWVTRMSIALGAAKGLACLHNAERPVIYRDFKTSNILLDSDYAAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWVRPKLSDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQEGGGSDGAVVHVGGLPDYRIRRRLTGNSMHCRAIPNPKCSPAVPACRVR >cds.KYUSt_chr4.33814 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207476815:207478824:1 gene:KYUSg_chr4.33814 transcript:KYUSt_chr4.33814 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWMSRVWFVMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNIRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLIQHADLDNTVVLVFANKQDLKDAMSAAEITDALSLHSIKNHDWHIQASCAITGEGLYDGMGWIAQKVAGKATAS >cds.KYUSt_chr2.4175 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25280851:25283361:1 gene:KYUSg_chr2.4175 transcript:KYUSt_chr2.4175 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKCSTGALDLNEPAIEGDRVIEDVSLFHDLQIEVTGSIQRTLSNPDVTKSSEHSKHASGSYTGASADTNPETAETLSTDDSGGDEDEGEVQSTPCSQTEVETPFKGKVYDSWEDAKMQYNRYAKKIGFSIKCSTSKVSKIDDQRDKQLFVCNKSGKNEDINKLEAPPVRQRNRSITKKTECKARLRIKRRGTKWYVTYFIEEHNHNLVKKFSLKKYLRSHKGIPKEEKDFVQLLHKVNLSAGRVMRIMGEVYGGLANVPYDSKDVSNFMAKIDEEHTHKDMSLLLAHFARIKKEDPDFYFNIHTDHADKVDRIFWVDGPAIAAYKNYSDCLSFDSTYMTNMYNMPFAPFIGINRYCQTIQLGCGFLKNENIESFVWLFQEFLEAMGGLQPDNFITDQDAAMRTAVLEAFPNCCHRNCRWHIMQNAQAVLGNFLSKHEELRTELNEIIDYSMSVEEFETRWAEMITKHNVVDNTHIYDLYHIRATFVPAYFKERFFPFLQTTARSEGFNAVLKTYMDPHNNLHHFFLQYMKLQEKIDVAEDAVEFKDEDKTLRAWGDFPVEEQALQVYTRPIYLRFRAELRKVTSYNVQHVGHETYDVSPIKNYVYGYGSRSYKVEANLEAENYNCECCKFSRDGLLCCHIFRVMMQLGNIDRIPEKYILKRWRIPEEIIVEEKLELPKVPLDRKMSNKERQQLRYGTMCNDFTKVAKIASTSDKGKALADKYMQALEKELLDMKASESAKRKKRKNATTAQDGEGANDGGLDSFPQFAHVEDPVYVPKQGRPAEKRKQSGLHLKSSKVVKCSLCGSNQHTAAMCNDKITPAPEPKEFDFFREMV >cds.KYUSt_chr2.19339 pep primary_assembly:MPB_Lper_Kyuss_1697:2:121657119:121658075:-1 gene:KYUSg_chr2.19339 transcript:KYUSt_chr2.19339 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLPIVVALVVTASSIAIYHFTRAKKPCPANLPPGSLGLPVIGQSLALLRAMRGDGGSRWIRERIERYGPVSKLSLFGTPTVLLAGPAANKFMFFNSALSTQQPRSVQRILGEKSILYLHGAEHRRVRGALLEFLRPDMLKMYVGRIDGEVRRHLEENWAGRATVTVLPLMKRLTFDIISALLFGLERGATRDALAGDFVRMIEGMWAIPVNLPFTAFSRSLKASGMARRVLEGLTREKKARQMEHGKASSNNNDLITCLLSLTDDHGDRLLTDEEIVDNSMVALIAGHDTSSILMAFMVRQLANDPVTLAAMVQGK >cds.KYUSt_chr5.14235 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92359457:92360317:1 gene:KYUSg_chr5.14235 transcript:KYUSt_chr5.14235 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASRPQLLVRPFLRGFHASAQALARVEPHDFSKPSEYLGSWEPSAAGDPREAWARLERLRKGYAHDVRGLRRQYAYEVQLLEAERQRKAEARVEAARIANEERKAAKAAAAQTRAAERRAFELDFRQALMKERAQKLESWREKEKLKAQKKAEDRELLRRKSSMWVAEDKLETKVLQAIMHTTPL >cds.KYUSt_chr1.23901 pep primary_assembly:MPB_Lper_Kyuss_1697:1:142372038:142372349:-1 gene:KYUSg_chr1.23901 transcript:KYUSt_chr1.23901 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr7.39926 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247960928:247964339:-1 gene:KYUSg_chr7.39926 transcript:KYUSt_chr7.39926 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLTESRPAARHRDAMWMVSGDNGNGAGASCASPNLEDAGLGTRASASEKQGSGMTKGKSSLQWRRSGSLDLTICTLFRCCAATMAAKCIVGALIGSLGVAYVCDTIVSDKKIFGGTVCKTATDKEWQQATEAKLQAWPRTAGPPVIMNPISRQNFIVKD >cds.KYUSt_chr4.52540 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325902353:325905257:1 gene:KYUSg_chr4.52540 transcript:KYUSt_chr4.52540 gene_biotype:protein_coding transcript_biotype:protein_coding MPVELIKLEESNDDEIYRPTPPRAGVPGQGSSHWYEAAPPQDAASSSDDGDDADYTAFYRHFGMAQLLEPPPLLDLHPLQSQRGRFVMPLLRPPPPHQSARAGLHREERRGQGLLLRCLRAGAAVAEHNQAKVVIVGATKEIGRAAIAAVSMARGMELAGAIDTQCIGQDAGEISGMEEPLEIPVLNDLTMVLGSIAQSRGTGVVVDFSEPSLVYDNVKQAAAFGLSSIVYVPKIEMDIVTELSAFCDKASMGCLVAPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSQDAIQIANNISDLGQIYNREDMDSDNPSRGQVLGEDGVRVHTMVLPGLASSTSVILSGPGEIYTLKHDVTNVRCLMPGLILAIRKVIRLKVPDSDTALFCSILDSALSTENITTCFAELDLWSGEVLVGNDGLAHEENYSF >cds.KYUSt_chr3.31592 pep primary_assembly:MPB_Lper_Kyuss_1697:3:198609278:198630252:-1 gene:KYUSg_chr3.31592 transcript:KYUSt_chr3.31592 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNDGKIKVGVCVMEKKVSCSPMEQILERLHAFGEFEIIIFGDKVILEDPVESWPLCDCLIAFYSAGYPLEKAERYAVLRRPFIVNELDPQYLLHDRSKVYEHLKLFGVPVPTYAVVRRLHPYEDLNYFDEHDDFIEIHGKRFWKPFVEKPIDGDDHNIMIYYPSYAGGGMKELFRKVGNRSSEFYPDVRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPTEKQIAWNVCQAFRQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSYKYYDDAACILRKMFLDEKAPHISSTIPANLPWKISEPVQPPDAVRGRERGTVRIPAQSEELRCVIAVIRHGDRTPKQKVKLKVTQEKLIKLMLKYNGGNAHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEVEHAEKLRQVRAVLEEGGYFSGIYRKVQLKPSNWVCIPKSSGQGEEQLPIEALMILKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLEDGTIDINEAKARLHDIIVSSKVANGSKPAGYPWMVDGAGVPTNAAQLLTDLAKLTKEITAQVKLLSDEENEVATDSDSPNQPYDQAKALWRTAIDMDRIAAGLPCGSESFLLMFARWKKLERDFYNGRKKRFDTTQIPDIYDSCKYDLLHNSHLNLNGLHDLFKVSKLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLHNTRREIAAIAAESITCHDPKMVSSIKQKERCYYGNVRNEGFERSSTDQKSVDLDSHKETKYCLDPKYANVVEPERRVRTRLYFTSESHIHSLMNVLRYCYLDESLNGEESLICKNSLDGLFKTKELDYMSYIVLRMFENTEVPLEDPKRFRIEMTFSRGADISCLESAHDNDSFLPDDHTVPIMEPERLQEASSYLTLDEFDKMTRLFAMPAEDFPPATPSQCLSVRFCRDIELKGARLSQSNCTLGWDVW >cds.KYUSt_chr4.40208 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248212653:248215651:-1 gene:KYUSg_chr4.40208 transcript:KYUSt_chr4.40208 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSDGEIAAPPEDRLSALPVDILIDILLKLRDAAAAAQTSGLSRHWRRLWAQLPELFFHPATRPNGIRAAIESNELLALRRLEVKVIHPTRESLAAWLPTAAPRLSGDLRIIKAAGRSETEDEAAQGAPLELPCFENATAIRLDLGNLALAMPPAGVFAGLTDLFLSGVDLRGPCMLGDAVSSPRCPALRKLAVHGASGLANFAIRSDSLLELELKHLKGDGALGLGNITIRSESLLQIGLIKVHDMQQLTVLAPALQVLNVTYCFAHGSTYSEPVANICAPQLMCLYWTDAYEPSSTQFGNIRNLKWLDTYPFLVYGQDSRRLSNDWCLGLMRRFESILYLRFILVYPLDITNAQYLMEDITRFPDIEGLALEIKPKGHSFGASVFHVLRMCTGVKRLRFTLMDVISHTEAQSACPSDCVCDQLPNWKTQELALNCLQEVTIHKLNGTEHEAALIKRLFDWATALENMTIAFHDSVPKRKAKEFFQMLQSFSRPDIRMKGPHFA >cds.KYUSt_chr6.19859 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125212797:125226926:1 gene:KYUSg_chr6.19859 transcript:KYUSt_chr6.19859 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEGFNCRGLGNGPAVRGLLDIRKKDDPDVLFLSETKLKKDKIDWLRWKIGMPNMMVKDCDGKGGGTRMDELLGHIDQRVTEAMNTELCKEFTSREIVDALESIGDFKAPGLDDDSLVLIKANRESAKSLQNLLQLYEISTMICRWWWSQNDKENKMHWLSWDQLSKPKSEGGLGFRDLYGFNLAMLARQGWRMLMNPESLCAKVLKARYFPNSSILRATATPGISYSWRSILKGINLLKEGLIWRIGDGAKVNIWTDPWIKREGSRVPITPRRQCLLTRVEELVDPLTGQWDEQIVHDTFWDMDARIILATPLRADFEDYPAWHFDNKGIFSVKSAYKLYVKQRDADVDTNSEVVFAPRACNKVAHELAALGVSQQNSRTLWVDTVPDDVMVVLASEFAVPGRRRPVRRRPSLALHSAGALSDLALADAFALHATGALSNLALPDAFALHAAGALLCLPGRRLHLHPSDLSPRASNTSTTSSCSKGNSSTSGLSKGISSTFQLLRFFKIQKRPRDRGRESDVAPVGRHNYASDMTSSDSSQFPGDGSLHHLAGGESTVGCSTVSLDQQGTSTLHYDNSGPVTNNRDEQIQQGKTHLSGQSWYSRLSVEQKAVYIQRQRISRQQKKMAAAQSGVNCKELSEKTAVPSLVSQHIPLSNITKTDANGLRSYATPESTDPGECGTGGIRYGGSGITNDLTTACSDSVMSGERRTDWLHSNFTYLGDVRSVKDRQNLSTSAGLHWQESFAEKCAYSEKKRQLESSERKRQRERERYTSMTDGQKDVWLHNNREYKKLVQEHKSPFINGWCTPVIQTQQSKNNQTDLRDTNSDDDCTTSIFEPVEHGSIFEDIDNIQEEQTIHDDDDDECRLFRGTGDVFDSWRVTSAVPPSKQNENADPYDYVYHNLPQKHHVLKPVNDCVHCGAMRLQYEGPAFCCRKGKVNIATTEVPQELRRLFTSQVDADAKYFRKHIRYFNTHFSFTRLGVTLDKKVSNAARTGVYTFVAHGAMYYKMDDLVPGGQGPRNLQLYFYDTDDTLEHRVKRSPDLDINIIRKILEILEDNPYVQTFKRIGSVPNLEEY >cds.KYUSt_chr5.35439 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223923872:223924684:1 gene:KYUSg_chr5.35439 transcript:KYUSt_chr5.35439 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRMHLAAAILCVALALPLAAAAAGKATAAAPAAPSAPPNVTAAMVKGGCKAFAALIAASPDAASTYSSAAGGGMTVFCPSDDAVAAFAPRYKNLTADGKASLLLFHAVPVYYSPGSLKSNNGVMNTLATDGAAKNYNFTLQNEGNVVTIKTGASGAVARVKATVLDADPVAVYAVDRVVQPVELFKPAPSPTPAPAPAPAADAPKAGKGAHARHRAAPVVADAPGPDADDAPPADQKKDSKKSSAADVVRSRWFAAALAVVAVASTLA >cds.KYUSt_chr6.10331 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63618232:63619281:1 gene:KYUSg_chr6.10331 transcript:KYUSt_chr6.10331 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYYAFHSGDCITVDRRKLSKHELRRGYRFQHQTMLVSQATTRHKTKAPTVQFSLPGRNTPRPVSSTPSALSIETLHALTTMSLPGANSLAVLLLLVLSTWPTCPAAHNITAILAARHDMAEFSRLLTSTGLADDINERNTITVLAVDDAGMAALRARHLPREALRHVLSLHVLVDYYDRAKLHRLPGGSADVSTLFQASGDAPGSAGMVEISERRGGSVAFVPQEDGDHDAHAPAVLFVRSVHEAPYNISVLQVGAVMSSPAAEAPSSPESSPRHRATDVAHKNGTRPGDDAAADAPSRGGDGEGEGDRADGGGDRAKKNGAIGTAPGWLSFAWAFLLAIKIIVVLV >cds.KYUSt_chr4.53115 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329049783:329053153:1 gene:KYUSg_chr4.53115 transcript:KYUSt_chr4.53115 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPETEKDATAAEDGSSKALTDAWDYRGRPAVRATSGGWSSAAMILGVELNERLTTLGIAVNLVTYLTATMHLGNAASANAVTNFLGTSFMLCLLGGFVADTYLGRYLTIAISTAVQAAGMAVLTVSTAAPGLRPPRCADPTGASAACVEPSGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESHGGERRRMARFFSWFFFFISLGSLLAVTVLVYVQDNLGRRWGYGVCVVAILAGLGVFLAGTPRYRFKKLAGSPLTQIAAVTAAAWRKRALPLPADPAMLYDVDDAAAAGEDVEGKTKLPHSKQCRFLDQAAIVEDDEVETPSPSPGKKKWAVCTVTEVEEVKQVVRMLPTWATTILFWTVYAQMTTFSVSQAQAMDRRIGSFLVPAGSLTVFFVGSILLTVPLYDRFLVPVARRFTGNPHGLSSLQRIFVGLLLSILAMIVAALTERRRITAAHAGVQLSVFVIVPQFLLVGAGEAFTYIGQLDFFLRECPRDMKTMSTGLFLSTLSLGFFLSSGLVSVVHAATGSGGRRPWLADDINWGRLDYFYWLLAVISTVNLGAFVAAARGYEYKEKRLADAGIKIDDDEVAVHV >cds.KYUSt_chr6.10913 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67645348:67645815:-1 gene:KYUSg_chr6.10913 transcript:KYUSt_chr6.10913 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNWNTRGPPPPLPPLPWVMEMEHDCPCPLCGKIFPSLRSLSSHMSWHGHRRNQPVTLSLAGPPDAPLAVVPLSFAEPAPIVVPAGEGAVPRLAPNPAFWEEYRRGGPAPVEMDFVGQLAAAAAPAQPVVANGDVPESSGNAGQPPVDVTRSKE >cds.KYUSt_chr1.35018 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213350468:213352771:-1 gene:KYUSg_chr1.35018 transcript:KYUSt_chr1.35018 gene_biotype:protein_coding transcript_biotype:protein_coding MERESRKNYQTRGSARGGSGGGGTTSERDLLLQWGNRKRLRCVKVQRRDVEATVAAEKAAAGQRRAAAVAAVAAATAAGQHHPTGHGHRGLRNSEEPGAMRPPSQQQNSTIRAVASPGRERSGKGHNDNNGVPPTSVDDKKGSSSGSEGSIWPNFAVALTNKEKEEDFLVFKGSKLPQRPKKRVKAIQRTVNV >cds.KYUSt_chr1.30656 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185611297:185611707:1 gene:KYUSg_chr1.30656 transcript:KYUSt_chr1.30656 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr3.27029 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168669711:168671646:-1 gene:KYUSg_chr3.27029 transcript:KYUSt_chr3.27029 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWLLFTGLGTFGRREMVLKVPMVCKKCKSCSLQIVSKIKGIKSMTFDEEKNTLTVVGEVDVVKVVAKLRKAKHPATVVTVSDEKKEAEEKKKKDEEEKKKKEKEAEEKKKKEIAAAMMQYCPKAYCPKPYPQPAYCYTVDDHPGSCTIV >cds.KYUSt_chr7.28908 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179886790:179887197:-1 gene:KYUSg_chr7.28908 transcript:KYUSt_chr7.28908 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQIAIVLCSADARRGIGGGGWLGRSRPDAELDEATRRSIWSTTRKTNRRGQFSPELGARRAAPAQRGTAWTPRHGRHRRHPIRGFGRLLSVRLHRGGCLDAALFLLRLMSHESRLAVSYTTLMCALCGDRRAG >cds.KYUSt_chr4.877 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4603074:4603623:-1 gene:KYUSg_chr4.877 transcript:KYUSt_chr4.877 gene_biotype:protein_coding transcript_biotype:protein_coding MSACTSLARMMSEVIFAVTSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWQGLLENTEKDRVRRLIRLKPPVEP >cds.KYUSt_chr6.31601 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199731448:199732860:-1 gene:KYUSg_chr6.31601 transcript:KYUSt_chr6.31601 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLQWLPLPRLLFILSTLFFVGQIAAALPWIESHQRYLDQPSGLYPVVLLPGSSCSQIEVRLTDAYEPPSPVCEALKRDGRWSLLYKNITAPDAEVPCFADQLRLVYDHASGDYRNARGVETRPLSFGSTRGFLANEPADRELCMGKLVEALEREGYRDGESLFGAPYDFRHAPAAEGQANRELSRFRRALRALVERASRANGDKAVVLVSHSQGGYFTMDFLRRSPLSWRRRFVKHHVMASTGAGGFVVSMQFFASTDDSSSSSPPSPATAMSLPSVGSTLPSRFTALPSPVAFGDDTPLVVTRNRSYAARDMPAFLAAAGLPPDMVRLYETRELPVALNLGAPLVPVTCVNGVGVPTTEMLVYKDGLDGAPEVAYGDGDGVVNLASIVALDRVIGGDPRQEYYRSVRIANMSHRGVVSDPVALRRLVGEILVVTSAEVM >cds.KYUSt_chr2.39376 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244160327:244165020:1 gene:KYUSg_chr2.39376 transcript:KYUSt_chr2.39376 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGFAAADGTGGDHGCPHGYGGGRVTISVVVTCLMAASCGLIFGYDIGVSGGVTQMQSFLEKFFPEVLTEMKGAKRDAYCKYDNQMLTAFTSSLYIAGMLSSLVASRVTRRVGRQAVMLSGGVLFLAGSGINAAAINIAMLIIGRMLLGFGVGFTAQAAPLYLAETAPAKWRGAFTTAYNFFLVLGTLAATVTNYFTNRIPGWGWRVSLGLAGVPATIVVVGALLVTDTPSSLVMRGDPDRARASLQRIRGEDVDVGDEFKDIMVAVEEARRNDEGAFERLRGKGYRHYLVMMVAIPTFFDLTGMIVISVFSPVLFRTVGFNSQKAILGSVILSLVNLSSVGVSTFVVDRAGRRFLFLVGGVAMMLCQVAVAWILAEHLGRHPATTMAKNYAYGVLALTCMYTFSFGLSWGPLKWVVPSEIYPVEIRSAGQAMTIAIALTLSFAQTQVFITLLCAMKYAIFVFYASWVLVMTIFMAALLPETKGVPLEAMRSVWAKHWYWRRFVRDAKQDSQVNCL >cds.KYUSt_chr2.48740 pep primary_assembly:MPB_Lper_Kyuss_1697:2:304850336:304852871:1 gene:KYUSg_chr2.48740 transcript:KYUSt_chr2.48740 gene_biotype:protein_coding transcript_biotype:protein_coding MVVACCLAACPSLASAGGGFYENFEVVWGDDPHPERRVNVIDDGRVVSLTLNNVSGSGFQSRDAFLFGEFTMAMKLVPGDSAGTVTTFYLTSKDPTAAGDGHDEIDFEFLGNVSGEPYLLQTNVFAQGVGNREQRSYLWFDPTQDFHNYTILWNPLNIIFSVDGTPVRVFSNHESLGLPYLSRQAMKVHATIWDGSAWATRGGRDKTDWSHAPFVASYRTYGTASACVSSSPASDNGGAPTFCCPTNAVSGHDGGWMTRRLGPDGERAMASARDKYMVMDYCEDPWNMGRPAECDIDQLGSGGRAST >cds.KYUSt_chr4.13295 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81949978:81955386:1 gene:KYUSg_chr4.13295 transcript:KYUSt_chr4.13295 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSAAVTPASLQLTTGLLALGPMHRPAMQQPAMSPPSSQQPTSEVDTQLHGGYITFYPCIDDLFSLTAWSAPPSTSPSPVSTLQQPPPTVPPPIVQPTVRRSGRYALAVDGAGPTDEDAMQRAMRRKAEKNLDTAVSANVLRQTELDRLTVVPNVSIGHETTVVDDEEEDDILDGQLLSAIIGLFGPPEESTFSLNEDLTDDIPQVSMEENGLLTAHYTEEEPSQTAFMQGRNILDGVAVLHETVHEMHSKKLHGVILKLDFEKAYDKVKWSFLHQTLRMKGHLQMYRYSPFMVTSTASGEPTAIYGGYQPIISTNTEEKNTGKKKKKEVRIMARMNLPSIISALLFPTQIESPQPCAASTLERLSFNSGMAVASLHGATARTDGSPLPGSLHRDATLPMPAARPSALLLSAAANEAEPYPQ >cds.KYUSt_chr2.51600 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322391996:322394580:-1 gene:KYUSg_chr2.51600 transcript:KYUSt_chr2.51600 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPKVGPAKELPLDHKIGLWWSVNMSDDSKSIPPEQLPSDDLHPPPMPVINLGHLILEPETRSGVVEDIAKACHDLGYFQVINHGINQSVMDGAFEAALDFFKLPSEIKEEFASDDLRQPVRYDTSSKDGISMSRAFLKHYAHPLSDWMQYWPEKPPIYRKHMGNFAVEVRRVALQLMEAILEGLGLGKDYQHEKFEEGLQLMSVNCYPKESEGDVAIGLAPHSDYGFLTILLTSCRGLEVVDRNSNSWKVVQQLPHALNVHVGDHMEVLSNGRVKTVVHRALLNPEEERISIASILGFALHEKVACAKELVDEQNPKKYKESSFNDFLDFLTSNMDNKDRNFLGSIRM >cds.KYUSt_chr5.7494 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47186319:47186706:1 gene:KYUSg_chr5.7494 transcript:KYUSt_chr5.7494 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRNSGGGADAAHEPVLTEDAVCHELVLTEAAVRLVLVLTEDRSTPAAFGTVQEIEPAVYWLRDSGRFGMGMD >cds.KYUSt_contig_2087.135 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:837475:844636:-1 gene:KYUSg_contig_2087.135 transcript:KYUSt_contig_2087.135 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTLLVRPLAPAPAPASPSNPPRRCAPASAAAAPATLRTSPAASSVAQFSRLRTKCRFAAPGVREDYSSTPIDVVADVKTEKIVVLGGSGFVGSSICKAAVAKGIEVVSLSRSGRPSSSDPWADEVTWLAGDVFYARWEDVLVGATAVVSTLGGFGNEEQMKRINGEANAIAVDAAKEFGIPKFILISVHDYNLPSFLLTSGYFTGKRKAESEVLSKYPSTGVVLRPGFIYGKRKVDGFEIPLDLVGQPLEKLLSSVENFTRPLSALPGSDLVLAPPVSVDDVAYAVINAVIDDNFFGVFTIEQIKEAAANVRV >cds.KYUSt_chr2.43640 pep primary_assembly:MPB_Lper_Kyuss_1697:2:271466540:271467257:1 gene:KYUSg_chr2.43640 transcript:KYUSt_chr2.43640 gene_biotype:protein_coding transcript_biotype:protein_coding MENRRRLHQGGKTRSAMALQLAIQSLHTSLSRYPLNKPTTKPRSTGHQQPQEPAATTSSRRSLATAAVALIVSNLLPPTTGAAGALDLDLRITIPEQSSEEAEANVRTHARNLVRVKEYIDARSWRELQAALRASASNLKQDLYAIIQAKPGSQRPELRRLYSELFNSVTRLDYAARDKDEVQVKECYGNIVAAIDQIFARIM >cds.KYUSt_chr7.33422 pep primary_assembly:MPB_Lper_Kyuss_1697:7:208626193:208627341:1 gene:KYUSg_chr7.33422 transcript:KYUSt_chr7.33422 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRKLISPFLSTAYPQSRSIYSHFSRHRLLATTRPTSPKTFAVEDYLVTACGLTRPKARKAATKLSHLKSPSKIDAVLAFLSALGISRPGTAAIVAGDPQLLCADVENNLAKRVVELTDLGLKKSQIARLIPVARSSFRHSSLSRNLGFWLPVFGSFGKLVQALKVNGGLLGSDLDKVAKPNLALLQQCGIDVRQFSGTYVSRVLTMLPEHVQNAVSYIDKLGVPRNSRMFRYALMTFGAQSQEILDKKLVTLEMLGWSQDDVLIAVRKMPGILTMSEKRLHTNADFLTRVVGLEIPYIAQRPVLVMYSLERRLLPRHSLLNILNAKGLLHPDLDFYTAVALTDKRFLDKYVHPYEKSIPGLGATYASYCAGEVLDGVSS >cds.KYUSt_chr7.32623 pep primary_assembly:MPB_Lper_Kyuss_1697:7:203293982:203295341:-1 gene:KYUSg_chr7.32623 transcript:KYUSt_chr7.32623 gene_biotype:protein_coding transcript_biotype:protein_coding MRWALCALISLPLVYILLYSYSYSISYINLISTFQARANIHPPLPPPQAWLQCDYSDGKWVWDESVAGRRYDSENCDMRQAQKCVVNGKPDNGYLRWRWQPAGCNLSALDPAEFLHAVRGKHLAFVGDSIARTQAESLVCILSTFSRPETVHQYEERLGRKFWRWVFPAPHNVNVSTYWSPFLVRAEGTSAHYAMTQETVILDALTEPWTADLDAMDVMVVSVGHWFPRPAMYYDDGVVSGVVSRPEVNRTDIGGGYLGAHRTAMRRTLDYVNAKSTTDKLVVVATISPAHFDARYGPNHRDACSRQKPFEEWEAEVSGAEAEMRKAVLDEAATAVAQRRRSGLRFEVLDVTRLASMRPDGHPGIYIFRNAFAGRQVPETVANVDCRHWCAPGPVDTFNDILMKMVAAA >cds.KYUSt_chr7.2878 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17078683:17083882:1 gene:KYUSg_chr7.2878 transcript:KYUSt_chr7.2878 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISELPSDTSSEGKPAGWRHWWEKAPTPSSGDDSLLPLDSAEQWLGVEEDAEEQGSEEAAVALAKAEADAKANTAKAKAQPASTGDNEEDSDASTDTASSEEYLLYGPLVWTAAQAWREKGGPPTGSWSFHLLVLLALRSLTFQLWFSYGNMLFFTRRRRVVRDGVDFQQIDAEWDWDNLVIMQTLLGAMATSSALFPAITDLRVWDPRGWAVALLLHVAFSEPAFRWAHKALHRGPLFSEYHSKHHSSPVTQPLTAAYGTPLENLVLTLAMGAPIAGAFLAGAGSVSLVYGHIFLFDYLRCMGYSNVEVISHKTFQAFPFLRYLIYTPTYLSLHHQEKDCNFCLFMPLFDALGDTVHPKSWELQKEVDQGKNDRVPDFVFLAHVVDVVSSMHVPFAFRACSSLPWATHLVLLPLWPIAFCMMVFQVFCSKTFTVSFYYLRGRFHQTWTIPRYSFQYFIPPMKEGINHQIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVSNHPNLRVRVVHGNTLTAAVILNEIPSNVKEVFLTGATSKLGRAIALYLCRKKIRVLMLTLSSERFLKIQREAPAEFQQYLVQVTKYHAAKTCKTWLVGKWLSPREQRWAPPGTHFHQFVVPPVIEFRRDCTYGKLAAMRLPKDVQGLGSCEYTMERGVVHACHAGGVVHCLEGWEHHEVGAIDVDRIDVVWKAALKHGLSPP >cds.KYUSt_chr1.11062 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67723534:67728915:-1 gene:KYUSg_chr1.11062 transcript:KYUSt_chr1.11062 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGDEYKREESVVLIVIVSLAALSLLSLIAAFAYYCYITRKVSRRLNSHQLPKRPSSPAPPPPVIPPQGKESPSSNSASDGAAAGALVVGAERGVQLFGYRQLHAATGGFGRAHMVGQGSFGAVYRGLLPDGRKVAVKLMDRPGKQGEEEFDMEVELLGRLRSSYLLGLIGHCSEGGHRLLVYEFMANGCLQEHLYPSGGSSGGISKLDWPTRLRIALEAAKGLEYLHERVNPPVIHRDFKSSNILLDKDFHARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVQILDPSLEGQYSMKDAVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKNRSTSKSCNPNAQAPMPLD >cds.KYUSt_chr2.53289 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332523794:332527303:1 gene:KYUSg_chr2.53289 transcript:KYUSt_chr2.53289 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEKIARLERSLQGLQEQLSFAQAECFDKDVILAKQAKVAEEAILEVKLPDACMINVGYYISDQPFLVTNVLLGWEKAEAEAIATKTELDDTLHQKATVEQRICQLDEALNVTMVERELLIKDTAKIISCEKDKVHKLEENLEEKQNIIASLDDEYSRLSEILLAKEKVILDLTELNAVKESDLKDLVVKLESTERSNSSLRYEVCMLQKQLDIRSEERKCNLKSADASHKQHLENVRKITKLEEECKRLRSMVRKRLPGPAAIAKMRSEVETLGNNIAQTRMGKLNSPASSNSYDPVQNFSDASHSSSSLLARLHVMEDQNKSMKESLSRKDGELQFSRTMLARANSKLSQVEAQLEELSGDQAATELVKRSPALAENPLSSISENGCNEDNVSCSGSWASALISELEHFKKGKLTTPSCQSTGVSDMSFMDDFEEIERLAMVCDNKPSKLYDAKREAIESAGKELVPVDGPNETNDQVHQYKIQKGLVKLIELVEGVIQRSSKDHNRKFVQSGDNMGDQSTAIDGYFAHAFLWKTSELTCVLRHFIVVCNELMYGNTDAERFVLEVNLTLDWIINHCFSLQDVPDMRETIINHLELDSSDGLDAVAAKQIAIQTTNGVHEPSTPNSVQMSLISVSSHVDIGLKADNDTRSITNEVPVSNPHELEGKSSSLRAELNALKETGKINAQSVNCESTVSDLDKHKPICNSELEISTASEKLIECQETILSLGKQLKALASPKDATSAHRERKPRSQSLNEMLAVDDGGSDYLSSPKTKEIICTELRSTHERKFSADEGCDNSESSSGSHPTPVVLPAKPYRVSGTRKNEAAPKVASLAIVPSKQKGNTNLLKRILTGRRRDAIMNPKVVRSA >cds.KYUSt_chr6.27304 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173355146:173355751:-1 gene:KYUSg_chr6.27304 transcript:KYUSt_chr6.27304 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGTGRASSRWRWGRGDVEVRASSGRGRRRGQGGVDVASGTGVGDGRRRRGPSSATREDAEERGEVVLQLPHPGHDLVGTAAAARVPERRRGTCSSPRSVLSLRLLAALSVLCLCCAMKLLIKLFTDAADEGVLVLEFAANGNLHEKLHGGASPGRRRWRGCDGEMGEDHTCILLWHMYSTLQQWTPTYIFWTNEMLT >cds.KYUSt_chr3.29691 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185794832:185805959:1 gene:KYUSg_chr3.29691 transcript:KYUSt_chr3.29691 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRDLAIVSLSAAAGAVAAVAAQRFLSSYRATNAQPPPPRAEPLTVNGSTARSPPAQDHYKITKREGFISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDDKLPWAKKSARGDPLETKYPYVVHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRIDNSDHVYVASHKLLSMAGVKLLEASGSVVDVCSKNLATRFPP >cds.KYUSt_chr2.25623 pep primary_assembly:MPB_Lper_Kyuss_1697:2:156766028:156768856:-1 gene:KYUSg_chr2.25623 transcript:KYUSt_chr2.25623 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGRRHHTFSPPITSHSQPPSGLRLLKTTTPRASIQASKKPPPRPWPEPRRQWRWRRSSGDAVHVEASVSGGGSEKKSFWAAVSLIIGTAVGPGMLALPSATIRSGMLPSTVTILISWAYVVSSIVLVAELSFAAMEGGGVDEVSFTGLASSTLGATFGGVVAVVYAALSFSLIVACVAGIGSLVSQLFPAVNLVTANALFPCFAGVLIAFYPFKAVDGVNRLLCGLMLVSITALVVTGVSVGRSSMLNSLGYACWSPSAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLIMVLSWNAAILGLAGASGNAKFDDPIKLLLSVNPAALAAVRGFAFAALATSLIGYAVSFPKQLSDTLELIVKRFSPDRGIVDSAGAGGGRGRNGVILTWTVLIIPIFIVSFFSTAFANALDFAGVYANCFLFGILPPVMAWIYRTQKRKSSPDSREDILPGGNAALMMLFSAAVVLAFWH >cds.KYUSt_chr4.2020 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11038755:11039963:1 gene:KYUSg_chr4.2020 transcript:KYUSt_chr4.2020 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAYAKRVLLTCNGDGDADAVSRGVATSLARHGCRLVLVGGEGALAATAEEARRCAGGGEAAVAVVGLDFEACDEAAAAAAVEAAWRCFGDGLDALVNCCSYEGEVQDCLNITENDYKKTMKINVTTPWFLIKAIAKRFREAQSGGSIVCLTQIIGAERGLYPGAAAYGTSLGAVHQMVRLSAMELGKHKIRVNAICRGLHLHDKFPVSVGEGKAERSTGEVMPLQRWLDPEKDLASTVLYLISNDSRFMTGTTIYVDGAQSIVRPRMRSFM >cds.KYUSt_contig_1658.192 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1244858:1245640:1 gene:KYUSg_contig_1658.192 transcript:KYUSt_contig_1658.192 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPDGGAPPHDAPAPDAAASHAPATGGVPASRSIAQRWKMEGVPARARLLLREAAWLFSFLALVVMATDVHGRGGANDFSTYPEYNYCLGVSIIALLYATAQLLRDLHRLSSGRDLVAGRKVAAIVDFTGDQVVAYFLISGLSAASPVTDYMRQGADNLFNDSAAAAISMAFFAFVAIGLSALVSGYSLSLEALV >cds.KYUSt_chr3.34868 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218604377:218608333:1 gene:KYUSg_chr3.34868 transcript:KYUSt_chr3.34868 gene_biotype:protein_coding transcript_biotype:protein_coding MRELAGSPGSCSGLALRLGQFLFAAGSVCVMASAAGFANYTAFCYLIASMGLQALWSLGLACLDGYALRMKKDLQSSVLVSLFVVGDWVTSILSFAASCSAGGVVVLFDRDAFFCSRDPHLPCGAFELATAFAFLSWALSATSALVMFWLLASP >cds.KYUSt_chr6.20929 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131989345:132000806:-1 gene:KYUSg_chr6.20929 transcript:KYUSt_chr6.20929 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGPLSTIISPIRSEREWIGDGYREQTGQILRKEKDKEAVKIQNGTGWGVSGVVRETSSSAAVAAAAPPIAFEARNSIVNPTRNAAPKAAAARRFKLGRNRASPASLFKLNKILVRAQKDLIEEWGWGGMLKVVAKEMPVDLSMWVLSCFDPIRSELAIPGRGSIPVTADSYTRIFGIRNEGMPVCYEMETDPIKFMNEEYGIESGSAPDFVDWCKMISDMGGVVDMKFLRAYFAGFAIDQIIAEAKKMGVKKKSVCCCLHHLVILYLDSLVVDEPVATDEECPIRAAAWNDRLIQNVMHKESKGNGEFGKLRKKRKIAVIVGELCTDISNRLGTFVKSFANLMDDEQEGRRKRQRKDAVVHDEVDGDDEGDDDNNGEHESEEDSDGEDDEDDDTREDEDDDNIGDEDDPDGRNDNHMTGGTREDEQHEDPASNFQPPPRRSARLTPKKSHDGPSSNLRKRPCDNVDDNNRGVEDDANERNDKQKTRGTGEDEQAKHQADNLQPLPRRSALLTPQKSNDGPSSNLRKTSSGNETSSDDVLVQGLIQRTRRKAVEEQLVQLHTDQEHDKDDAPNDSDWSPLSELRELVGSEIRSGIDLPYIEPPVIVDNDSRLHAKAVFMSVIQKHKHSSLESDQPLTTFGSDEAIQKLTAECFNMHRLSIQGEDQKQKSFKQKQVGIPANREATCPTRESCPPPEPKIQNLDEVTRKRAMLARAPDAPSFDLGFDSPAKFDSNPPSSCVITPVDLDAERFGSEVDEWDEEAWKEACEVVDKVEKEKKYSEEQRTTDNIIVLTSSGFKTPVGTVNNIASSSQVEGSTTAAERPEEKRRRLIRQAICQLSPYITYEDKSLFTCSAEVKELYNAVIAHGRRSTRGKEIDNSPIIVNYERFFVSLKELANSMMPCGVVSNTVMELGIESIMLRKDKNVKKIVMPLRVTHLLKDLKRNEKELKKHFNKATSHLDRKDSVMLPVIEDLAPEADEPVNQYWLFVINIRDRRFEVLDSIRSLSDKKLAQNVEDIISALHTLWDQYYANSPVKLSRFEGPEDIKPPKQGTK >cds.KYUSt_chr6.31541 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199334260:199345242:-1 gene:KYUSg_chr6.31541 transcript:KYUSt_chr6.31541 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHPAPPATSRTALSPFATLDPAALAALPATTPITVRSAALSAPHLLYLGTGGGKLLLFSLQTPSAPEFLRLVPTGATRPVSAILPLPSVSRVLLLADGALLLADPLLARPVRRLGSLRNVAAVAASSPSPSSCSLAVAVGKKLLVIDLTLREADELEVQTREIAAGPAVDAISVLAWVGEDSVFAGAASGYSLFSTGGGGTGQSVDIFALPESAGSPRIRPLSGGEEVMLLVDNVGVVVDRFGHPSGSSFVFNSRPDCIAEVFPYVVVAGESKLDVYRRRNGAHLQTIAIESSRAGALTVASDDKVVVVATAYKVFCYIKVSAVEQIKAPLRRKSYREAISLLEEFESDGEISKDMISFVHAQLGFLLFFDLRFEDAVNHFLLSETMQPAEIFPFIMRDPNRWSDLVPRKRYWGLHPPPKPLEEVIDDGLVTLQRALFLKKAGVDTVVDEDFLSNPPSRADLLELAIRNIIRYLCVAREKTLSPAEMEGVDTLLMYLYRALDLVDDMERLASSQNSCVVEELESLLENSGHQRTLAFLYGSKGMCSQAVAIWRILARNYSTGLWKDRPNLPETDSHEALAEKMSGEQIAAIEASKILQATSDQDLVLEHLGWVADIDQDLATAILTSEMREKQLSAEKVIAALDSGKVGIHQRYLQWLIEDQGCDDPHYHTSYALLLSKSAMQAFHVESNSREKDDREIDSDVQFIFSLRERLQLFLQSSDLYDPEEVLDVIEESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLDLYLDPKNGREPMFTAAVRLLHNHGKSLDPIQVLERLSSDMPLQLASDTILRMLRARVHHHRQGQIVHSLSLATNLDARLTRLEERSRHVQLTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQGDSVSRRGRNFRKDAIFKQSWLVSRDPISTKSGPPAASRSFANRLLTRTYLPYLFDVTRTFYTPSIPAPYIASELALPCNRLILLNTHTDRRELARATLQSLDPAKHTESERETIDQTMGVLDALSEMCPSLRTRRRIKKRPQLTTVEMKVRIDCEGCERRIRKALHGLHGVTGVEVVPKQSKVAVTGYIDDPAKLMRRVARKTGKRVEPWPYVPYDVVPHPYAPGAYDKKAPPGYVRNVAADPAAAPLARASSTEVKYTSAFSDDNPNAACIVM >cds.KYUSt_chr3.18587 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114300415:114305441:1 gene:KYUSg_chr3.18587 transcript:KYUSt_chr3.18587 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPATAKPKTTKKHNARINNPFPRAVPAAAFRNGDAAPPLSFGPFSKLAHAHDYPVGSRFRLRWDPSLGGAVSLARVPAGSGGDPRSGVMWETIPGVAFVSAASATTEADECRGSFALRDGRALLVPERQSVDRIKAFYRCDVEAGADPLRGAAFEASDETRFPVLLITGTVSAKKADPASSCCCGLRAGRRARARAGKPVLSARYWVVLEEKCDTQVAFSVKIADYQWSCDHAADTSSPPPPVSTAPRPHRFSLRLRLAGPVQRNGAKKKKPISSGSPVREELSSLLPPAETATEVADEEQRPEEFNRVFLTYASDRDERFYGFGEQFSRMEFKGRRVPVLVQEQGIGRGDQPITFAANLVSYRSGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSMFDLTKPDRVQIQVYGNTVQGRILHGDSPTDLITSYTGSTGRPPVLPRWITSGAVVGMQGGTDAVRRVWSQLQEHDVPVSAFWLQVCYEAIRQLSLNSEPSSLSSLNYMLQDWVGQRKTTIGSQLWWNWEVDDDHYAGWKDLTRDLRCGGVRTMTYCNPCLVPVRAKGNAKRHLYEEAKELGILVRDEAGEPYMMPNTAFDVAMLDFTNPEAASWFKGILGGMAEEGVSGWMADFGEGLPLDARLHSGEDPIAAHNRYPELWARVNREFADEWKAKASGHAVAKDDEEEGLVFFVRAGFRESSRWAMLFWEGDQMVSWQANDGIKSSVVGLLSGGLSGIPLNHSDIGGYCTVDLPLLRYRRSEELLMRWMEVNAFTVVFRTHEGNKPGSNCQFYSNSRTLAHFARCAKIYKAWEFYRIQLVKEAAEKGLPVARHLFLHYPEDRRVQELTYQQFLVGTEMLVVPVLDKGRNTVTAYFPTSDQGSWRHVWTGEEFGGGHRSGHGSVGKGTVHGFEAEVAAPVGYPAVFVRAGSPVGERSVRILCRPSNRIATSLSSPHAALLWRQRGTQATATSNPPPPLSLASPPLDGVGKAASILGKVTAGRLLSHPRPLARGSSLGRGMRQCGGRDSVRLVRRRDGLRMVQRRYWLRAARGGRAGAAELALGRAGQLWVLVGSRGDSPGQWWCVGG >cds.KYUSt_scaffold_2697.147 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1048452:1048703:-1 gene:KYUSg_scaffold_2697.147 transcript:KYUSt_scaffold_2697.147 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLRNAYKEKMRRMDEAAAPAAAGVEGKNSKEGGAGGGSAGEKKAAGASSKGGFFGLMKKKVHPKATSPSS >cds.KYUSt_chr5.7105 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44183047:44191609:-1 gene:KYUSg_chr5.7105 transcript:KYUSt_chr5.7105 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQQICDNSELFRISSRRDDADAARDEANTTDANEANTMYPALLALKLFKQELLAAGALQGAFAGTMAEHRRFDRRSPGCLEGLFNFLALNQKLQMPKTIAYRKHGEINDNALRVKVPKPKRDTDKEETVLKETKPNMFMWKTLLFKKKTHKKDQKKNDSHSNSHANLPSPSRLTRSSSPSSSRLTRSSSIHHSKCFDYVVPDDLASEYQRMNELSSNETGSSHGAQQSSHESPRGPVFQESCKTRGSINGKHILDAESPCQTLPEKVPSENEVSSKQKNLDDSTHQSKEFLDFLELFNAHRELFLKILHDPSLLVPPEQQDQEASSSHGVPMSKLESFPRPGGSSGKRNPIFDRSDSEKSRRAEIQRSPSRPKGDLEGVKVISARMPSGVEASTVSLVESRSLKKNGTTSNRFKVISKKIKDVVKDNRRELARITKDGVFHKMPYGQKMVELTKTASTKKFVQEEKQIRRSYSIAESVDKYSTLYDSISRESKSFPERPSTSTALEDGASLHVKKSPMHMKRIISLPEMQLYSPHRNVLIEVPDSCTMPKTCNIEPAHSSSSKNGSTNIYTEGNYYPDDIYSEQYDGESGYLGSLEEDLRSILRTPSLSSFAQSFSHRRINSLPSFDRSFLQDHNYSFTEHSVADSEPTFEHMQLEDDEWLVKPSQLSGECAADSGDEEWLIRPLQPSSINVTDHEDEEWVIETPHLLGANAVEDEEWLVKPAQPLNADGLNSELRFLQENDTRSLRIYVNDKNEADFQYVKDILKKSGFSCGDADWYATNQPVSPVIFEEAECSSHDLDMASDEPHSIARRMLLFDIINEVLMHIYDSSLVNGPWHSRFDPRTRPIPMGSHVLEEVWANVSCYLSLQWKPNHTVDDVVAYDIMRKDNWMNLLYDVECVALDLEDLMLEDLLDDVVLQIVLISIDE >cds.KYUSt_chr5.38826 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245799066:245799887:-1 gene:KYUSg_chr5.38826 transcript:KYUSt_chr5.38826 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLSPCSSIIFPPSPPPQFSPADGSHHQVLEFASCEVPEQWLLDDIVLSAKSEGGDYVWPAGSSTLSPDSFLSEMPPCSLPASTSKRRGRKPGPRSEAPSVSHVEAERQRRDKLNRRFCDLRAAVPTVSRMDKASLLADAANYIAELRARVARLEEEGREAAATWEASSRSASSRGSYSLHRLAGDEAVEVRMVGRDAAALRVTTAGGAPHAPARLMSALRSLELQVQHACVSRVQGVTVQDVFVDVPAALQNDDGGALHSALLKMLRDSA >cds.KYUSt_chr4.15898 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98340712:98343065:1 gene:KYUSg_chr4.15898 transcript:KYUSt_chr4.15898 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTAYTYQAQAMMRDYLLADPLVPYTSVLIGVVLCKMTYDLTRVLSSFYFKGYSSLTKIQRIEWNNRGMSSAHAIFITAISLYLVVTTDLFSDRIKGPITFRSSIISTSALGVSVGYFITDLAMIFWAYPSLGGMEYVLHHTISLVAIAYTMLSGEGQFYTYMILISESTTPEINMRWFLDTAGLKKSSAYLVNESIPFDHIIGLFLPSGGKDIFVPICLLPHLSSLQSGSADAFVRLLPGIDCAIGAFCHERRVVFEDFERGEENTVEMVMSNYALDITLDGPWCSCSAAYDLEV >cds.KYUSt_chr3.1898 pep primary_assembly:MPB_Lper_Kyuss_1697:3:10915955:10916414:1 gene:KYUSg_chr3.1898 transcript:KYUSt_chr3.1898 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTTDDIAEGISFQAFEDDCRLLGSLLHDVLLRELGPHFIHLFERIRILAQSAATMRGAGMEDTAAVVERQLEAELAAMSLEDSLAIARAFSHYLNLTGIAERYHRYVPT >cds.KYUSt_chr6.13321 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83298090:83299148:-1 gene:KYUSg_chr6.13321 transcript:KYUSt_chr6.13321 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAPDLLSIVSGGLTELADIARFRSVCTTWRDAGEDAAAFPPQQPPWLLLPSSPSPLFFCPPEDRLYPNLRLPVPNPQANRRRGRRLYASPHGWTLAIDPTGLAASLLHPFTGAFRPLPPLPAYFAETDDLAWDLSPFAVMASCGERGVLVCSLDPPADSWVPIPAMADYSVSSIDYAAGDFFVFEEDACRATVVDAITKDITAVIPPPPVELPTEARMVVAGDELFVLTKPKWMYVFDDDVDFSKAFCVNHRSPNPAWQSLTSIGDRAVFVDSIHGFTIGTAGFRNLERNTVYSVTTKEMNRSSNVKYSISAFNLETRTAKKLACRLDGLEMAQRGGKASWIIPSLKEA >cds.KYUSt_chr4.15748 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97330111:97341825:1 gene:KYUSg_chr4.15748 transcript:KYUSt_chr4.15748 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAELKERHAAATASVNSLRERLRQRRQTLLDTDVEKYSKAQGRTAVSFNQTDLVCCRTLQGHSGKVYSLDWTPEKNWIVSASQDGRLIVWNALTSQKTHAIKLHCPWVMTCAFAPNGQSVACGGLDSACSIFNLNSQVDRDGNMPVSRVLTGHKGYVSSCQYVPDQETRMITGSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVLSLSINSLNTNMFVSGSCDTTVRLWDLRIASRAVRTYHGHEGDINSVKFFPDGQRFGTGSDDGTCRLFDMRTGHQLQVYSREPDRNDNELPTVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGTLQNSHEGRISCLGLSSDEDETDEVKAVYATRKTRYSQVQCAILCSLESDLQKRFEHHDPHELMNELKAIFETHAAVECYEASKHFFDCMMEEGSSVSEHMLAMTGHAKKLE >cds.KYUSt_chr4.6012 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35298418:35299089:-1 gene:KYUSg_chr4.6012 transcript:KYUSt_chr4.6012 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLGSALMQDPAMSSVLGSLTDPAHKEQLQARVGRMKDDPSLKPILNEIESGGPAAMMKYWNDLEALQKFGRAMGVGVGGPSAAAAGAEVEEETGDIDKEYEEESVIHHAASMGDVEGLRVAMEDGVNKDEEDTEGRCGLHFACGYGELACVQALLEAGAAADTVNKNKNTALHYAAGYGHEACVPLLLYHGASV >cds.KYUSt_chr3.33224 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208539136:208541042:-1 gene:KYUSg_chr3.33224 transcript:KYUSt_chr3.33224 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRSLLDSPHFEDTAMGDTVAGAVNYRGQPASRAATGGWKSSIFVMAMEIAERFAYKGVAANLITYLTGPLGQPMASAAASIDAWKGVSQMLPLPVACVADAWLGRYRAIILASIIFVLSMGTLSLSSAFHIFRSGGHVAVFYVALYLVALGEGAHKPCAQAFAADQFDEKDPKENVARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIAVSLALFLIGTPTYRYYTTKEPSPVARVGKAFLVLIKSWRSKHRTNPASGKVEGKENSDDLVEEVKSVFRLLPIWASCIIYAIIFSQTSTFFTKQAATMDRRIGPKFKVPPAALQTFISVSIVVFIPVYDRLFVPLARRYTGRPTGITMLQRVGAGLCLSLVAVVLSALVEMKRLQVARDAGLVNSPKAQLPMTLWWMVPQYVLIGVSDVFAMIGLQEFFYDQVPDAVRSLGLALFLSIFGVGHLLSSFLISVIDRATAKRGASWFSNNLNRAHLDYFYWLLAGLCVLELLAFFFFSRAYVYKKKGGDGEGNADYRGGDADAALV >cds.KYUSt_chr7.37562 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234310299:234310989:1 gene:KYUSg_chr7.37562 transcript:KYUSt_chr7.37562 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHESVLFAVCPLGIERTVTLKSDADEIVHGGPRWCGPASVTVLPLAALYPASSTGSRWLPPAVASAGVQQW >cds.KYUSt_chr2.37078 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229508636:229509256:1 gene:KYUSg_chr2.37078 transcript:KYUSt_chr2.37078 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRTMLPDAAIYDYDTPRKPPWTPPPGDAASPPPSPPAPRQSCAACKHQRRLCTANCILAPYFPADCPTRFRNAHRLFGVKNILRLLEEAGPENRDDCARSIIYESNARAEYPVHGCGGIARSLEDQWEREAAELDMLRRRLAACRETCCLPQPQPPYPPCVALPLATATHEPKTYDEQGHARKKMMRANGQWRAEEDEVRRR >cds.KYUSt_chr5.42302 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266661494:266668609:-1 gene:KYUSg_chr5.42302 transcript:KYUSt_chr5.42302 gene_biotype:protein_coding transcript_biotype:protein_coding GDGGEGAEEVEVEVAVDGDGGKLELRWPPWEGLPERYRLMGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLIGGRTVLKVGVLAWSLSTAVIPAVAGFMPGLVLSRILVGIGEGVSPSAATDLIARTIPIQERSRAVAVVFGGLSFGSVLGYLFAPPIIQNLGWESVFYIFGLLGVIWCIGFESIKELQSTDSEDILNIEQTSTGSNGLISSPVSFKSSDSSLEEMKDSIKDVPWREFFKSKAVWAMIYVHFCGSWGHYTCLSWLPTYFSEELDLNLTEAAWVSILPPLGSMIITAIAAPFADNLISSGVDTTKVRKICQTIAFLSPAAFMMLSSVDLGLPPWVIVAFLTSGLALSSFALAGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLVDTTHSWSMSLFAPSIFFYLTGTVVWLAFFSSEPQDFNKSALDSLTESNE >cds.KYUSt_chr7.4837 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29189350:29192715:1 gene:KYUSg_chr7.4837 transcript:KYUSt_chr7.4837 gene_biotype:protein_coding transcript_biotype:protein_coding MVDARRRVKAPALVPALRNYFGNITAYALADAAVDDIMREPLAEVAARVRDTITAIDYDTYLQELVDWVEEHKPEHVMEKGILGLGSPTVNQTVFASFPFDTDFGFGEATLALPMCEYGRLCCRLLASQRIHMAAARGGARLWRHLQASHGGVSRPFKAPQVQISSLKPFFHLHQLLLKISACYLSRAMVEPCSVLPDDVIEDILARLPAKTVYRCRWLSRTWMTRLISNDFAEKHFRLANGHGGLRILLLHDSMTMSTYGCGKVQMWSLDNPDGTNPKEVPTSQRGTNDFIFVDGCAKVHMRSPNGTNLKEAPTSQRGMNHIAAFLVTQQCRGLVILKAIGHSHT >cds.KYUSt_chr2.30983 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190988516:190990608:-1 gene:KYUSg_chr2.30983 transcript:KYUSt_chr2.30983 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKAAKKPADEDEAKSKKIRSSRSKRRRERHSRSRSPSSSGSGSDSPPRKRSKKPTKKVVDKKSKKNKGSSTRRRRHRSPSPSTSPSSSPSTVSRSRSHSRRSRHSSISTSERSVSPLPRSHSKDTRKGKGRGRDRDKYRKRKRARRSRSYSSSPASSGSSQSRSRSKSKSRKWRAGGTQDDATRDQTVRDYDNYDASWTERNSMKNVDWDEEAVAVAMKGIDDYEKNVVLERRERSPSEDSKEMGEILSPKDVNAEDEILPVGGGSPDVEEDLELILRQKALDNFRKFKEASVKPGKTDSNGTGKGVLTDRLENTSTKIAEARSAVTPSQMQGNSFGVGHSAGSPEIEDFGNATSPWNQEMSRGDKSPGILDSGDTSPPTQQQGSTLELIRPTSRIMSQDGRNGGSVMQRLGNNPASSSTVKQRLGSSAGVIPVQATRRVRSVVSIPAREGLDGSEIAMTPSAVENHVPVESSSEVRHPPAEINNNLEGTNGDDRKTGEASAPESSVLSTDEGKSQAGTEDKDGSQFEKRTFSRMHDGETVQVSYKVYIPTTSPRLARRKLQR >cds.KYUSt_chr7.19136 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118580235:118586761:1 gene:KYUSg_chr7.19136 transcript:KYUSt_chr7.19136 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHPAMKFDDGGPFRTIYVLRHTPAGGSPPLSAATPPCSASAAASCCILTRLLSSPATFPLHRLLSAAASPSPWFSVEQYLVDTCSLTRAQAAKASTKLSHLKSPTNPDAVLAILAGLGLSTADVASLVAKDPRFLCARVETTLAPVVLGLTGIGLSNNDVARLLSLVPSSFRRRSVVSNLQHCLLLYGSYEVLLRAFKFNNNLLTYSFERAIKPNIAILRDCCLGDCDIAKLSIAMPRMLTRTPERFRVFVACAQGLGVSPGSPMFRHALHAVARLGEDKISTKLEHLKKTFRWSDAEVRIAVCKAPMLLTRSKDMLQSKSQFLISELGLEPAYIAHRPVIINLSLEGRLRPRYYVLKFLKEKRLLHHNLGYYTIVQATEKVNSFGSAKLLVSFKSREDHRKQLELEEARKAGLAPAELDEDGNEINPHIPQYMSSAPWYLNAEKPSLKHQRKWKSDPNYTKAWYDRGARLYQANKYRKGACQNCGAMTHDKKSCMERPRNVGAKWTNMDIAPDEKVESFELDYDGKRDRWNGYDTSTYSRVILDYEAREEARKKFLKEQQLKKLEEKDGEQDGENAGSEEDGLKIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDDRREYVGDNQNRQSGQALEFKQLNVHAWEAFDKGQDFHMQAAPSQAELLFKSFLVKKEKLKSEGKDKIMEKYGNAASEEPIPRELLLGQSEKEIEYDRTGRIIKGQDIALPQSKYEEDVLINNHTTVWGSWWKDHQRGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAEEEPVRQEEKKLATWGTDIPQDLVLDQKLLQESLKKEATRKKEEMDERKRKYNVKWND >cds.KYUSt_chr1.37373 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228313938:228315834:1 gene:KYUSg_chr1.37373 transcript:KYUSt_chr1.37373 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRASPTHLQNSFSPSQLSRVNSSLRAPHLRPGLPGTLSAGIAGRRWARRGTGVVRIRVRLDFGYFADSRSRARGPDDQIQGFLGLFSLQLVLRWSELEAVEESTVSFNKIVVVFHIQRREILGAELLLVGRGGEEEGRLVEDCSWVKLLLDGRGGEGEKLCWASSSTSTMWRLACWCFLLMGCAVFSPSLSGHGGKGRRKGDVLLLTRRIQPYPGWFFCERNHVIGAMADVIFSREVGWFSTSMKEASSCPCWSSTPLCGQVIRSRRRGEKSQHESPECSHLVEQLKLWAVADMKILQLGEIADPSQVRIALHIKINYGSKVV >cds.KYUSt_contig_2278.43 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:313842:318032:-1 gene:KYUSg_contig_2278.43 transcript:KYUSt_contig_2278.43 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFISARNPWLRRSRLVRHCLDQRHLCRLPEQRVTFPNNRTRHATSASVYKGVTAPLVEESVDDVESRARIIHFYRQPFLQDNETDELLRKVQAKVSCDIIGIKTEQCFNVELEKGLTSDKLATLQWLLAETYEPDNLQTNSFLEKEISSTPYSVLVEVGPRMTFSTAFSTNAVSICKALSLVEVTRLERSRRYLLCLQPGSGALDESQLSSFAALVHDRMTECVYPSKLTSFWSDVVPEPVRVIPVIERGSEALEEINMKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMASTLFQLVKSPLKANPNNSVIGFKDNSSAIKGHPVNHLRPALPGCTSPLSLMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLRMEESYAPWEDSSFSYPSNLASPLQILVDASDGASDYGNKFGEPLIQGFTRNFGTRLPNGERREWLKPIMFSGAIGQIDHAHISKGDPEVGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMSQKLYRVIRACVEMGENNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSVVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVLGEIDGSGKIVLIDSAAVEHAKLNGLAPPIPVVDLELEKVLGDMPQKTFEFKRVPRLGEPLDIAPEVTLMDILKRVLKLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPMKGLLNPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGNDGVLLHIDLAKGKRRLGCSALTQAFDQIGNDCPDIEDVPYLKKVFESVQELLGERLISAGHDISDGGLIVTILEMAFAGNCGVNLKIDLKDSDLLQALFAEELGLVIEVHSNDLAAVKQKLQTAGVSFNIIGEVNTTAEIELVVDGEVRLKESTSDLRDLWEETSFELEELQRLKSCVKLEKEGLKSRTSPSWNLSFTPKFTDKKQLTASSKPKVAIIREEGSNSDREMAAAFHAAGFEPWDISMSDLLNQKASLTEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPDIGGSLGTGGDMSQPRFIHNESGRFECRFTSVAIGDSPAIMFRGMEGSSLGIWAAHGEGRALFPDENILAGVVNSNLAPLRYCDDANNATEVYPFNPNGSPLGIAALCSPNGRHLAMMPHPERTFMMWQYPWYPKEWQVDKGGPSPWLRMFQNAREWCS >cds.KYUSt_chr3.28798 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179737374:179746401:-1 gene:KYUSg_chr3.28798 transcript:KYUSt_chr3.28798 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGEMAPPIPSHANAADADAAADDWAARDDFEESPAGSHSHPPAAAAAAVEAPEEALLAPPAEDIKEIESNLQSLELQPSDAPQDSGQTEAEERNRKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVSKLIVVINKMDDPTVGWSKERYDEIEGKMTPFLKSSGYNVKKDVQFLPISGLLGANMKTRMDKSICKWWNGPCLFEIMDCIEVPLRDPKGPVRMPIMDKYKDMGTVVMGKIESGTISEGDNLVVMPNKANVKVISVYCDEDKVRSAAPGENVRVKLSGIEEEDIAAGFVLSNVGNPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVDLIEEIDLKRKKESDPKKKKPKRKPLFVKNGAVVVCRVQVSNLICIENFSDSPQLGRFTLRTEGKYTLI >cds.KYUSt_chr6.14469 pep primary_assembly:MPB_Lper_Kyuss_1697:6:90816563:90817495:1 gene:KYUSg_chr6.14469 transcript:KYUSt_chr6.14469 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSCNGCRVLRKGCSEDCSIRPCLQWIKSPEAQANATVFLAKFYGRAGLMNLINAGAETLRPGIFRSLLYEACGRIVNPVYGSVGLLWSNNWQMCQAAVEAVLAGKPIVQVSSEDAAADRTPPLRAYDIRHVGASPAAAADGRLHKVARAGRTRFKRASSASSHHKPSNNADSSSHSPKAPQPQPRAEVELDHDEHHHDSHDEEVEECAFQRGPSHESSDSHHHVEVEPHSHHDASADTDAEAGSHVSQAEQNTEPAAEMNAEEVEKEHQELGLDLTLGFAPVAAARPPRFHVSCRTAEEPAFVGLRFL >cds.KYUSt_chr4.8839 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53064434:53071311:1 gene:KYUSg_chr4.8839 transcript:KYUSt_chr4.8839 gene_biotype:protein_coding transcript_biotype:protein_coding MYFASWTRDREAPSAASAVAVKEEAGEVANALAPPPVEEEDDTELQATFEVLCTPTFKAATCSAEAAAFVQMAREQEEATLSAAARRRTSVSQVLVGEKLVVVGEKLAVVGCRTRSLDVHFQGTEVKDVLKQEPGSGVIALKEAMKYFDADFFNDSKVISKRSLMFFESKYSTVLESVVTCTEDLAYKQAKEADDLLEQGKYLGPLHGIPYGLKDIIAVPQYNTTWGSKTFKNQVINMEAFVYKRLKSTGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPAARCGATALRPTFGTVARTGVMSISESLDKLGPICRTAVDCAIVLDTIRGTDAGDPSSREIALEDPFHVDITELTVGYLDSAEMEVVKVLSAKGAKLVPFKLNYTVESVQSILNITMDVDMLAHFDNWQREGNDDDYEAQDQWPVELRRARLIPAVDYVQAQRARGKLIREIQESFTVDAFIGNVTDWELVCVGNLVGMPIMVIPTGFKSIKDPPKGGTRRRTTVTTGIYAPPDHDHIVSILTPGHLIDD >cds.KYUSt_chr7.35734 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223248121:223250401:1 gene:KYUSg_chr7.35734 transcript:KYUSt_chr7.35734 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSASVRFGRSTHPCCPWIEDRDGTCGRMRVVADEEDMLPSTPGKVKIERAGTMSRQLHRCFASTGTMFLWALFLVAMTATYLSFHSFVDTSSRYFAASWGGLHWERQIRASASPRRPPGSAEGAGLSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNKYYDPSLKKARRALLASHGVFVVEGDINDGRLLTKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVSLLEACKDADPQPAVVWASSSSVYGLNDAVPFSEAHRTDRPASLYAATKKAGEEITHTYNHIYGLSVTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKDHVDLARDFTYIDDIVRGCLSSLDTAGRSTGTGGRKRGPAPYRIFNLGNTAPVTVPTLVSILERYLRVKAKKNVVEMPGNGDVPFTHANISLAREQLEYKPTTSLEMGLKKFVRWYLSYYGYNRGTHTFNNL >cds.KYUSt_chr1.17485 pep primary_assembly:MPB_Lper_Kyuss_1697:1:101551456:101552990:-1 gene:KYUSg_chr1.17485 transcript:KYUSt_chr1.17485 gene_biotype:protein_coding transcript_biotype:protein_coding MEVARAFHTPQRHHHLAELRIEANQSPVAFSDPCAAGHKRRCLFPAFSPRKKMLVELPPFEAPALSPPASAGRTASAFSSSALSSPSSGSNRIFTFTFRASPEQPLAPTGSNRSSASALLTPLTPMGSTASGGFRVPSSRPPLSPGPGGASATGSIASLASPKPARTGFSTGNDGGGLASPKPAFGRAGSSPSPVAKVLSPAGPGISGGGDLVVSPPLVLTPLRMPASPVRDSEGSTLWSRRRGKKRQGEEKLQITLPPKKIAKTRATAASEPSPRAALSVSSARPCCSFVNSPAKASKQEANRDILTASAAFRSSSTAGTCCTLVTSPTRPSAVDKASGPECDAILASVGGGGAGSSAACAGAEVVVSVTYSCGAQKEFRFDHCH >cds.KYUSt_chr2.20262 pep primary_assembly:MPB_Lper_Kyuss_1697:2:127334252:127339549:-1 gene:KYUSg_chr2.20262 transcript:KYUSt_chr2.20262 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDEQPKPPEPPAATMAVPAAVPQSHAEWASSVQAFYASGGHPYAAWPAQHLMAAAASGAPYGTPVPFPMYHPGAAMAYYAHASMAAGVPYPTAEAVAAAAPVVAEGKAKGKGGGVSPEKGSSAAPSGDDASRSCDSGSDDSSDTRDYDTDHKDSSAPKKRKSGNTSAEGEQSQAAAVTYAAAADSAYQLKGRSASKLPVSAPGRAALPNATPNLNIGIDLWSASQPITGIPAQGEASPGLALPRGDVVGQLDEREIKRERRKQSNRESARRSRLRKQQECEELSKKVAELTTENNALRTELNQLKKACEDMEAQNTRLMSQEPTTVTTTLGMSIEAPKAHQHDDECKLHKKANNNSNGKYVGGSRKPEANPR >cds.KYUSt_chr1.38098 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232688027:232688796:1 gene:KYUSg_chr1.38098 transcript:KYUSt_chr1.38098 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLADRCNALSSDATPCRSLQCPGYRCSALPTDEVYRLPEVIALPTDSVDYILEGSALPTDAVHYCVTGISALPTDATDAVHCLREGNALPTNAADAAHCLRQGSTLLTDAMHGYLRQQLPTDATDTVHCLREGNALPTAIDAVHYVDVALMIDQCPGYLCSALTIDAMPWLPMQRLADRCNALVTDPAPCRSLQCPV >cds.KYUSt_chr5.1435 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9847879:9851787:1 gene:KYUSg_chr5.1435 transcript:KYUSt_chr5.1435 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIQVVFDVVRPERVREQSSALDAWLWQLRDAVEAAEDAIDELEYYELEEKAKDRKVSDWGSPLCKMKRNFVRSVKSVPVLNKTLKKFTHRDSLKRLMKSVDSLDEAAKGVVSFLNLTDHLSGGSSASSPQQLQKLVDISRQTGSTLSATIFVGREKEKEQIAGWLANTSAEWAETGGTRTNNIPIISVSICEQEEVLKHFKVIWITVSTSFDATSLTSKILECAMGAKPTADHLEPLQQDLKEKLMSIKVLLVLDDVWEDNKRDEWEKLFAPLRKLNTGSKILLTTRMQSVADMAVKVMGVKRDYCLTLHGLEEDENLELFIHHAFSWLNPGDYLYLKSIGGQIAKKLRGCPLVTKVVGEHLQGNMTFEYWRRFLDQGLEHFEGTEDDIVTVLRLTSLQELHDYQVGGRICNEISAIGNFRDLRELVVRGLDNVESYEEAKNAKLKEKQHLSSLFLEWSAPDQIITDGLVLDHLEPHANIRVLVIQGYDGPKVPFWIENRSVKNLVSLKLICCINWEYLPPLGELVLLKLLQLDKLPKLRQIGQSSDMSSSSSMGLLLPESLYTLEVTACRKLTELPMLPLDLVVLKIHHVRVKKLPMIGKISGEIIESKPSKLACVSVSRCRYLTSLEGSLLEQKLYMRTVQVLTVDDCIHLESMSIPFEEMKELQELTVRGCPKLRTLRDAKDMLVPSSLNKLTIAFCGDVELPLLGPRRLLTNLSVLMLQNCSSLVSLPSVDVFKSLGSMRYMHIKGCENLSSLGRLGSLPSHIRLSISECNKLAQAAESSLTRVTCGSGSGDEEEHLVEPCSSLQIHGLNIDLPSLLFLEPLKSLRHTKYLVIGNGSEMESLPEKWLLQNRQSLQQLDISKADSLKSLTPSMQDLCSLVLLHLFGSGQLQSLPYLPPSLKSLILPNCHPDLEKKIRKHGSPEWNKITHIPVARIGQLVFCHGKRSFQQTKVITNLAKKLSTFDIVRSS >cds.KYUSt_chr5.31079 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196791869:196793335:1 gene:KYUSg_chr5.31079 transcript:KYUSt_chr5.31079 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRRGPATAAAAAADGNRFPEPPPHPHGEQEPTLATRDALLSQLHMARLRQEIIEAELAETERALALCTASASCHQTTPMPMPWHGVATADAWRSNPWLFSAEQEQPMAGQNAELKERKLPDSHGTRWMEFSRRNPASTGGCRFPDPPSQGEQDPMLAARDALLSQLHMDRRHQEINKEELAKIERVMFLHATTACGHKTTPMPWHGVVTADHGRSKPWLFSADQPVNQRNAEFDECKLPDSIKVSACSLCILLS >cds.KYUSt_chr5.36418 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230159504:230163500:-1 gene:KYUSg_chr5.36418 transcript:KYUSt_chr5.36418 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELQEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >cds.KYUSt_chr5.464 pep primary_assembly:MPB_Lper_Kyuss_1697:5:3327753:3329361:-1 gene:KYUSg_chr5.464 transcript:KYUSt_chr5.464 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAGGPGSKRRKSAALGVHVRVPSPAEAHDSDPSAKQDDGGADRISDLPDTILGEIISLLPTGDGARTQILSPRWRHLWRSAPLNLDCRELTDNLWHLPDFTVTQILSSHTGPVRRFCVPTHHFLGVPETTLELWLRSPGLDNLQELEFICIPASTFRFSATLLAFTLGQSLLSDDITRGLHFPLLKHLTLQEVTLSDCSLHSFISGCPVLECLLIYGSFGLRSLKINSSTLRSISIKAGNRFGSDDIEIKELVIENAPCLQRLLGLHFGYGLHVSVLSAPKLEAFGCFFTWAFSSTKLVLGSSVMQEPHVNTLTMARCTIKILAVHFCELSLDTIIQLLAYFPCLEKLYVASNYLRLSNFWRTKHQNLITSLDIRLKTIVLDSYRGKKSEVNFVTFFVLNAKVLELMTLVVPDKRYNEKFLELQRRKLQLENKASTGAQFQFTPKSDIRVDNVMNMKDAHDLDSWDPFIC >cds.KYUSt_chr1.38372 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234367205:234367630:1 gene:KYUSg_chr1.38372 transcript:KYUSt_chr1.38372 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQPVLDSCVFLSSLPVDREFRHHPLTRGGFNGRPWSISPPQNMPRRLHTTYAMLSRTGGGQLPPPPSPPPPPSSPPPSVEFDVDPELADNEEWETVAQAAATEAFVGDVFVALKRQTRTANAAVAEESVVNNDLSLSLF >cds.KYUSt_chr3.39952 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251812080:251814308:-1 gene:KYUSg_chr3.39952 transcript:KYUSt_chr3.39952 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWEDEESASAAATTDVELLKRAWRNEKAAPEILHFDSALVSRAREQIQLLEETLDDFTDNGVDDLVVSLYQMDLDRSLFLLRSYLRLRLQKIEKYMTYISKSDDLLSRLSQQEQRFAKSCKENMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMVPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVEAGRIDLI >cds.KYUSt_chr4.20679 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130103561:130110691:-1 gene:KYUSg_chr4.20679 transcript:KYUSt_chr4.20679 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPNPLILFSFLIFHLLSTIGANGNGDASALRGDALALLSLKASLSCRPHVLRSWSPGNVASVCEWTGVRCDDGRVVSIYIANMNVSTGEPVTAEVTGLDALRNLSLAGNGIVGAVAVSALPALRYVNVSGNQLGGGLEGWDFPSLPSLEVLDAYDNNFSSPLPAGVAALPRLRHLDLGGNYFSGAIPASYGGMAALEYLSLNGNNLQGAIPPELGKLTSLTELYLGYYNAFDGGIPPELGQLTNLTVLDVSNCGLSGSIPSELGELTSLVTLFLHTNQLTGTIPPELGRLTALTALDLSNNVLTGEVPASLASLTSLRLLNLFLNRLHGPVPDFIAALPRLETVQLFMNNFTGRVPAGLGANAALRLVDLSTNRLTGMIPEMLCASGELRTAILMNNFLFGPIPASLGSCASLTRVRLGQNYLNGSIPAGLLYLPRLNLLELQNNLLTGPVPPNPTPETGSQLAQLNLSNNLLSGPLPGALGTLSALQTLLASNNRLGGAVPPEVGELRLLVKLDLSGNELSGPIPDAVGRCGQLTYMDLSRNRLSGPIPEAIAGIRVLNYLNLSRNRLEESIPAAVGAMSSLTAADFSFNDLSGQLPDTGQLGYLNATAFAGNPRLCGPLLSRPCSGGEAVVTGRRRARWGDYKLAFALGLLACSVAFAVAAVWRARSSYHPGGPDGAWRFTAFHKVEFGIAEVIECMKDGNVVGRGGAGVVYAGRTRSGGEMIAVKRLNGGGPGAAAGRGHDHGFRAEIRTLGSIRHRNIVRLLAFCSSRDDGGANVLVYEYMSNGSLGSVLHGKGGGFLAWERRYRIALEAARGLCYLHHDCTPMIVHRDVKSNNILLGDNLEAHVADFGLAKFLRSGSGSGAANAAGASECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELVTGRRPVGDFGEGVDIVQWAKRVTDGRRENVPKVVDRRLGTVPMDEVAHLFFVSMLCVQENSVERPTMREVVQMLSEYQRHASAHTSPSSSSTSSSSAPQQKEPNCYKLFPDLLT >cds.KYUSt_chr2.44262 pep primary_assembly:MPB_Lper_Kyuss_1697:2:275293710:275294911:-1 gene:KYUSg_chr2.44262 transcript:KYUSt_chr2.44262 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCTACDKTVHFIDLLTADGVIYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFTPGNRSCDKELSRAPSKLSSIFSGTQDKCTACQKTVYPLEKMTLEGEAYHKGCFKCSHGGCILTTSSYAALNGVLYCKIHFGQLFMEKGSYSHMKKKSSSHEVLPDLVAEEQPAAPEAPAQDGE >cds.KYUSt_chr1.32307 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196143480:196146542:-1 gene:KYUSg_chr1.32307 transcript:KYUSt_chr1.32307 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAAAAAAVGAYAANLPAVPEWLNKGDNAWQLTAATFVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGERLLPFWGKAGVALSQGYLTGQARLSATAHGGENGTPAAEPFYPEATLVLFQFEFAAITLILLAGSVLGRMNIKAWMAFTPLWLLLSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANITASIAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVIMGMCAGSVPWFSMMILHKKSTLLMKVDDTLAVFHTHAVAGLLGGVLTGLLATPELMAMESPVPGSKGAFYGGGIGQVGKQLAGAAFVIVWNLVVTTLILLGIGLFIPLRMPDDQLMIGDDAAHGEEAYALWGDGEKFDATRHDVSRGGAGGEREMGTAEQRLAGMGARGVTIQL >cds.KYUSt_chr3.32690 pep primary_assembly:MPB_Lper_Kyuss_1697:3:205441523:205442331:1 gene:KYUSg_chr3.32690 transcript:KYUSt_chr3.32690 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWKYRVLGSVAALLLLLAIVLPFTQTETKEMADGALVGGIKDSPAGQDNDLHIVDLARYAVNEHNNKANALLEFENVVKVKQQVVAGTMYHITVQVNEGGAKKLYEAKVWEKQWENFKELKEFKPVEGGASA >cds.KYUSt_chr2.8077 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50796208:50796480:1 gene:KYUSg_chr2.8077 transcript:KYUSt_chr2.8077 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRTAVFFFYFAVLKGVDDHCGLWLPYNIFQNLFQNNTAYHDIHHQLQGTKYNYSQPFFSVWDRILGTHMAYDLVSRKEGGFEARPLRD >cds.KYUSt_contig_2097.186 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:915233:916516:-1 gene:KYUSg_contig_2097.186 transcript:KYUSt_contig_2097.186 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCDIRARDYISSRNPRQRGHRAPRLFGRERPLHAVLGGRKAADIILWRRKEVSASILGAATAAWGLFEVAEYHFLTLVCYAAMIAMVTFFLWTNASAFLNLPVPRIPEMVLSERTTRQVILGLHMRLNWFVHKLYNIACGQDLKMFILTAVSLYIGSVFASCFSSLTLLYIVVFCTMTVPALYERYEHEVDHLVAKGAHDFRTQVSRMDSGVLRKIPRGKGATAAQRTATTNDINGWHRSQAS >cds.KYUSt_chr7.154 pep primary_assembly:MPB_Lper_Kyuss_1697:7:802200:803054:-1 gene:KYUSg_chr7.154 transcript:KYUSt_chr7.154 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFGRTISFPLSPARASRPRAAAYHVRSVSLPCNSHPLLAHLCNHITAVRSWVAAPSSPSTGLAHLDALHAALAELLLLPEARSALHHGSATATCLLDGFLLLADAHAAFQETVVELRAHASDAQAALRRRDDARLTSAVRSLRRAEKDLARLAASVLAAAKFPTMPSASSSSAEVEVSGALAEAVAAATCASAAVFSAVEAVSAVATSALASKKTMTSSLMSLVKSAKPASSNEEKEVAALERLDEVEACVAEIEGASEKVFRSILHTRVALLNIQTETCC >cds.KYUSt_chr3.35107 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220319609:220320711:-1 gene:KYUSg_chr3.35107 transcript:KYUSt_chr3.35107 gene_biotype:protein_coding transcript_biotype:protein_coding MHINTQPPPAVVSQFQTRTDSEHGGETRTKESKREQSGRPEERGREDIGWVVGEIKRQTMGRGLLEVHLVDAKGLGGTDFLGKIDPYVIVQYRSQERKTSTARDAGRNPSWNEVLKFQISSTAANVQHKLLLRIMDHDNFSSDDFLGQATINVTDVISIGMEKGNSEMSPAKYSVVTADNSYRGAIKVGITFTAATEVEEDGVQVGGWMHSYRD >cds.KYUSt_chr1.39466 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241466904:241477454:-1 gene:KYUSg_chr1.39466 transcript:KYUSt_chr1.39466 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSAKRPKLDHTQRGDDDYVPGNIVEIELRNFMTYDRLVCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRAKTVKAFVKRGEKSGHVSLSLRDDAPGCRDIRITRKIDTNNKSEWLLDGTTVPKKDIIDVIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPDLPVQHRQLIERSKELRVLQVSVKQKEQTLNNLKALNAEQEKDVQRARQRDELMKKAELMKKKLPWLKYDIKKAEFLKLEDEEKHFKEQMEKADKIWQDLKAPVEELKKEKMAYVSSTKKITNQINQNAGKRREVICEEAQLRARLKTTFDNIEDVKNQERSSQQRISTAREALVAAEKELQDVQPYEPPRDELSFITQDASDHDFLVRKLKHYGVPVMNYTGDNIISFALVVNSTSSASFYAHEVYRSGYDGHLSATVDFGHRPRFFMGDLNVSDIESLRSENDELTKKVEGMQEVLKELLKDQMKLEDEEAKIRRQKEVILDMVRSHKKRGEELKRRVGMSLLVEAVALKRSSAEKTMAFIELDTKIWEMEKDVMRFEKDAVQAATKYKNRELVLPIPLLHLKSKRITQEHRQLLIKAKQLAESISMLTEELAKEEFEKMPTTIEDLEAAIQDTESEANSMLFLNQNVLQEYQNRQHEIESISRKLEDDKGKWLPTLRTLVFKINDTFSRNFQEMAVAGEVSLDEHGLDFSQYGILIKVKFRQNGQLQVLSAHHQSGGERSVSTIMYLVSLQDLTNCPFRVVDEINQGKAPPAHLVFSCFLLTPKLLPDLEYSDACSILNIMNGPWIEKPAQEVCQAEGTQQKAGLATTA >cds.KYUSt_chr7.8266 pep primary_assembly:MPB_Lper_Kyuss_1697:7:50066153:50068725:1 gene:KYUSg_chr7.8266 transcript:KYUSt_chr7.8266 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDQEFNIFHGVKRVVDLCAAPGSWSQVLSRNLYVPAKLTSDGKEGDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQLKLFFSQVTFAKPKSSRNSSIEAFAVCENYAPPEGFKEKDLYHLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPSTEGGGSYQSLDPVQPPIAPPYKTALEMKKASSHGAVADTGKSSLDS >cds.KYUSt_contig_3002.20 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000579.1:90759:92255:-1 gene:KYUSg_contig_3002.20 transcript:KYUSt_contig_3002.20 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAAGPDTDAPAGEGLELAQFGAGCFWSVELAFQRLPGVARTEVGYSQGHVDAPTYRDVCGGGTGHSEVVRVHYDPKEIPYAVLLDVFWAKHNPTTLNKQGNDVGTQYRSGIYYYTAEQETQARESLEQKQREWKEKIVTELLPARKFYPAEDYHQQYLEKGGQSAKKRCSDPIRCYG >cds.KYUSt_chr3.17964 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110487713:110490316:1 gene:KYUSg_chr3.17964 transcript:KYUSt_chr3.17964 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVHLKSTAGAAMEVETSSAGENAAGVAGLLRGFLAVQQRRAVAYSKLRRSSSMAQHVCANLKEITEASGTEDAEADAEYDAALKEAIQGIQEAVTSINEHMEEVRYEIDALEAETVGSSLVEVEEAFPDTLSIK >cds.KYUSt_chr3.46688 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293433519:293437852:-1 gene:KYUSg_chr3.46688 transcript:KYUSt_chr3.46688 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGGLRGGRGRGPGRPRDRGRGRRGGAATAPRSPSPAPSSSSQEERCFEFLLRIDDDPLSIKRLPDKFAEFIDGVEPAHLQLWQASCNFCRWTVEACVLHGEACSGALVHSWMADEFAAAAREPCEYERSYRKWGESKRKKESRGEMGNVGREGALAVLPAAMPTEPQLPVAARMLYFPAELLDCHACRHPLKPPIYKCEAEHRVCSTCRVLHGEACSGALVHSRMADEFAAAAREPCEYERYGCDAGGVAYHLAAEHRSSCPHAPCGCPEGCGFLGSRQMLLNHVSGPDHSRPIIDIRYGQEWKQSLPLSRRWYLLLGEETQAAVAAGAGRHRNVFLVSLGERGDTTAVSVVCVRADGGAPEAAQFSCRLAVEHPGDGTKVIFESPLVTSSSLSGGAPAPGGVRSLPVPKEYLSGDSIPLSIHIHKLEPPASVPSPPTAVPLPPTTPASPRPVAATVTVATPSPRPVAAIVTVASPPPRPVAAAATVTLALQSPATDQSNKKRKATNPKKFSTANRS >cds.KYUSt_chr2.47048 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294344182:294346305:-1 gene:KYUSg_chr2.47048 transcript:KYUSt_chr2.47048 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEIPEEAKPPRMNRRQWKAARGNREDKWTRKDRLLREANAEKRREQEAAEAAADAAAEAANKEDPEAAIATRYRESWIQIFSRSHGSYEDATSIPPMRYTDEPPPPYTRVGYADSVVIFSVKVTQVNQCLEWPLDVYGIVAARDSVDRNRNLIFNRTRDNCQTLTPEDASLSLTGPTRAIVIFDPVNYEVELKVKGDTPSQDKFLSLLLIEDKYYASGEPCHGVHCHTYSSKLSTVELTIGHLAQTVEATITFQVIEGSWPTHHHGRFVARMARLNDLEMVLLDSRDGMVSVMSDGVIELSRCVVPVEADGELKLWVDAWQGNDQADVVGKDQVTFAPRKAGRSEDTCDVGFCKMRVNVVWSLVVNW >cds.KYUSt_chr2.16870 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106242299:106242523:1 gene:KYUSg_chr2.16870 transcript:KYUSt_chr2.16870 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFCFLVDQRRKVRSSKPAAGICSRCGGCASVADMETATRLCYLLTVHRVTWRAIICTFCGAMLKSYRHYRLY >cds.KYUSt_chr5.27232 pep primary_assembly:MPB_Lper_Kyuss_1697:5:172332097:172336355:-1 gene:KYUSg_chr5.27232 transcript:KYUSt_chr5.27232 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPDSRCNSASATSYLSSDLQTADAATTASSSAMPLAAPFSDLGVPLSASDLRTTAYEVLAAASRATGGKPLTYIPQSASAATRSTSSTSTSTSSSSSSLQRSLTSTAATKVNKALGPRSSTASKITRQASRRPATVVELVRVKLRVTEQDDARIRRGLLRIAAGQLGRRAESMILPLEFLQQFKVSDFPHPHEYVAWQLRNLKLLEAGLLVHPLVPLSKSDIYAQRLRRIIHKAYDGSLETGKDSESVQELCSAVKTLAARSLGGGSDECHWADGFPLNLHIYQMLVEACFDSENGTLVAEIDEVMGLLMKTWVILGINQMLHNLYFTWALFNHFAMSDQVDIELLSAAENQLSEVAKDAKTTQDPDYCEILSSTLSSIMGWTDKRLLAYHEYFNSSNIDSMQGIVSIGVSAAKILVEDISQEYHIRRKEETDVVRGRIEAYIRSSVCAAFAQRMEEAVSKRSSRNHVPVLSILAKDINDLATKDRNMYSQILTEWHPFALGVAVATLHSCFGNELKQFIVGITKLTPDTAEVLNAADKLEKNLVNIVVEDSVNSDDGGKSLIRQMPPYEAENAIANLVKAWVKERVDRLKGWIDQSLQQETWDPQANRQSFAPSSVEMLKIINEVLEAFFQLPIPTHSTLFPDLAAGLDRIIQHYVSKAKSYCETRSTPIPQLPHLTRCDVGPKLFKKKEKPHVITKRGSQVGSSNGNGACALDLPKLCVQINTLHYIRTEVENLKKKARKYLRNSELAQDGITDGMNINFELSQASCQDGIRQLCDTTAYNVVFSYLSHVLLDTLYVGGTASNRVEPLLRELHSILGVISGIMYNGVRDRLMTVLMKASFDGFLLVLLAGGPTRAFTLQDSQTIENDFRALRGLYLANGDGLPYELVDKASLEAKNILPLLRTDTESLIQRFKQTISECSGSPTKSRFPIPPVPTQWSANNPNTILRVLCYRNDEAASKFLKKTYNLPKKL >cds.KYUSt_chr1.7230 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44335971:44339284:-1 gene:KYUSg_chr1.7230 transcript:KYUSt_chr1.7230 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKPDEKADFRRKGYKTSVDAEDGRRRREDGMVLIRKASRDASLQKKRRDGYPASAASLAGGAPQMGHSSALQQKLEGLPAMVQAVLSDDGAVQLEATTQFRKLLSIERSPPIEEVISTGVVPRFILFLTREDYPQLQFEAAWALTNIASGTSDNTKVVVEAGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLGSGGLFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQSVIDSGVFPRLVELLTHPSASVLIPALRTVGNIVTGDDLQTQCVIDHQALPCLLNLLTTNHKKSIKKEACWTISNITAGNRDQIQAVINANIIAPLVHLLQGAEFDIKKEAAWAISNATSGGTHDQIKFLVAQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNNYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDEAMPSGENPQNGFNFGNQQNSAPSGGYNFG >cds.KYUSt_chr5.40299 pep primary_assembly:MPB_Lper_Kyuss_1697:5:254472977:254475458:1 gene:KYUSg_chr5.40299 transcript:KYUSt_chr5.40299 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEATERAAVTEEMETVARHKRLACVQETVNEEPQRPRDGDEEELEMVADLAEWRINWVAWSSHCRSIDERTEVLSEPFSMDMGTASALQIFSVKVIELNMSRWPIDVFGFIAVRDSVDGYRNYIFERTRDNCQTLTAQDSSLVLTGPIRAVQLYDPITFEIELRVKGTRPSEDKPLSAQVFEYNCIAQSYRAGSLLNYLVSAPRSTLEFKYAHLCSALEARVELWFSEGSTNFSVKFFARTASIDHQDVTLMDSKGARVALRDDGFIDLSRNIVVVEGHNGELIIGAHLRQVGGEEAAKIYTEISFTPAINSGESHGTIDVGFCKMSVVVAWSPL >cds.KYUSt_chr3.14846 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90262673:90264511:-1 gene:KYUSg_chr3.14846 transcript:KYUSt_chr3.14846 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMEVEEDGANGGGTGAAWTEEDRTVSTNVLGRDAFAYLTKGGGAISEGLVATSLPVDLQNKLQELIESDHPGPSWNYAIFWQLSRTKSGDLVLGWGDGSCREPHDGEVGAAASPGNDDARQRMRKRVLQRLHIAFGGADEEDYAPGIDQVTDTEMFFLASMYFAFPRRAGGPGQVFAAGVPLWVPNSERNVFPANYCYRGYLASMAGFKTILLLPFETGVLELGSMQHVAENAETLQTIKSVFAGTSGNKDAVQSREGNGHIERSPGLAKIFGKDLNLGRSSAGPVVGVPKVDERPWEQRSAGGGTSLLPNVQKGLQNFTWSQARGLNSHQQKFGNGILVVSNEATHCNNGTADSSTTTQFQLHKAPQLQKLPLLQKPPQLVKPLQMVSQHQMQPQVPRQIDFSAGTSSKSSALITRTAVFDGDSTEVNGFCKEEGTTPVIEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYITDLQKKLKDMEAERDRFVESGMVPTRPDVDIQVVQDEVLVRVMSPVDKHPLKKVFEAFDEAEVCVGESKLTGNNGTVVHSFIIKCPGSEQQTREKVIAAMSRAMQ >cds.KYUSt_chr1.24453 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146017200:146018435:-1 gene:KYUSg_chr1.24453 transcript:KYUSt_chr1.24453 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLPPPPLPKKPRSPPAAPTTICALGGDLLREILLRLPSLPTLVRAALTCRSSLRAVRSSPAFRRRFRELHSPPLLGIFLHIFESDTPAFRPLRPRSDPGLTAAICGSDFFLTRLPGGDNASAPAWLIRDCHDGYVLLLGCNSDHIAVYNPLTRALHLFPKPPEEICQDMRVEYHVLSPEEDQGPFRVICVCHEDYGAQAAVLSSETREWQILPWVDAASMLQPALQPWYDEKYSPDDGKLVNGSIYWIAESLATARVLNIATLHFSRIDLPHVEGQEALTAGEARDGKLCIVCTVKLTLVVWLWGTDGDDLERWMLDKTYPLEQAIDELRHRFTGDDVILKVMAIENGFVYLSAYCEVDPKLPGWFLSFCLDTEELNWLCPILHADDMYPYIMAWPPSLVLDKVNPQL >cds.KYUSt_chr2.41122 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255575857:255580245:1 gene:KYUSg_chr2.41122 transcript:KYUSt_chr2.41122 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVNYPLVAGLIAFALAQSTKFFTTWYKEKRWDARQFIASGGMPSSHSATVTALAVSVGIQEGFRSATFATSMILACVVMHDAFGVRLHAGKQAEVLNQIVYELPIEHPLAETKPLREILGHTVPQVVAGCILGILTAVVMLLALGSY >cds.KYUSt_chr5.35850 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226399279:226400414:-1 gene:KYUSg_chr5.35850 transcript:KYUSt_chr5.35850 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAGEEKKAVAGSSLHSKTLLKSEQLYQYILESTVFPREPDCLRELRIATTTHPMAGMAASPDQVQLFGLLIEMLGAKNAIEVGVFTGYSLLATALALPDDGKVVAIDVSRESYDKIGSPVVEKAGMAHKIDFRVGFALPVLDQLVAEDGNSGKFDFAFVDADKANFHHYHERLLRLVRVGGLIAYDNTLWGGSVASPDDEALSERDRELARIARDFNTALTGDRRVQVCQLAISDGIMLCRRVA >cds.KYUSt_chr5.4833 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30653868:30655432:1 gene:KYUSg_chr5.4833 transcript:KYUSt_chr5.4833 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAASADEEACMFALQLASSSILPMTLKNAIELGLLEILVAAGGKSLTPTEVAAKLPSAANPEAPDMVDRMLRLLASYNVVTCLVEEGKDGRLSRSYGAPPVCKFLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKVYGMSAFEYNGTDPRFNRVFNQAMNNHSIIITKKLLELYHGFQGLGTLVDVAGGVGATVAAIAAHYPSIKGVNFDLPHVISEALPFPGVTHVGGDMFKEVPSGDAILMKWILHDWSDQHCATLLKNCYDALPAHGKVVLVECILPVNPEAKPSSQGVFHVDMIMLAHSPGGRERYEREFEALARGAGFAGVKSTYIYATTWAIEFTK >cds.KYUSt_chr3.2653 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15251288:15252643:1 gene:KYUSg_chr3.2653 transcript:KYUSt_chr3.2653 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWSTSLERDKAGAEGSVPMEECPAKCDIRCSATSHKKPCNFFCNYCCKRCLCVPSGTIANKEECPCYNNLKTNEGKPKCP >cds.KYUSt_chr6.31594 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199690232:199690477:1 gene:KYUSg_chr6.31594 transcript:KYUSt_chr6.31594 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFAVERRRTRAATFHITVNGDHDIHADRAGRGVAAATAAITAGKAWHTAPTAPSDANRVGCGDAAAFSAMHPPPHKTKL >cds.KYUSt_chr1.6115 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37567944:37573333:-1 gene:KYUSg_chr1.6115 transcript:KYUSt_chr1.6115 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGQDRKTIDLEEGWAYMEGGIGKLVNILEGKNEPQFNSENYMMLYTTIYNMCTQKPPNDYSQQLYDKYREAFEKYIRDAVLPAIKEQHDEYMLKQLNVRWKNHKVMVRWLSRFFHYLDRYFITRRSLTPLNDVGFICFRDLIFQEIKGKVKDAVLVLINQEREGEQIDKTLLKDVLDIFVEIGLTTMEFYENDFEDFLLKDTTEYYSVKAQNWIVEDSCPDYMIKAEECLRREKERVSHYLHINSEPKLLERVQNELLANYATQLLEKEHSGCYALLRDDKVDDLKRMFSLFSKITRGLEPVSNMFKSHVTNEGTALVKQAEDSASNKKPEKKEMVGMQEQVFVWKIIALHDKYVAYVTDCFHGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTLARDHQTKFEEFVAEHQELHPGVDLAVTVLTTGFWPTYKTFEISLPSEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFETKTIELIVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILTKEPAGRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMAHTQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKDNANTYRYLA >cds.KYUSt_chr1.35385 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215778973:215781611:-1 gene:KYUSg_chr1.35385 transcript:KYUSt_chr1.35385 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGDQDITQIALTFPQQYRLRRFLCIACVLQRSIDMALCFLPTLPHERYPCWLQRHKRIRCWSSIRAAYERRRLPDDLLIRSSSPLDRSGGGSSVAVSTSQVGTPRPHGRRWKLAFAANPNALGHTVVQRRHGGHGATDLARDEQELLVGVHRAIPSQVNNLYLKNSNLAHTLPASFTAIDALHDQCLQTNVLSGTLNGKRLRRVGPRWKRCPKSPILITLVC >cds.KYUSt_chr3.9712 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57122839:57123824:-1 gene:KYUSg_chr3.9712 transcript:KYUSt_chr3.9712 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSNSIKKEGEALQEAESVVKKQNVTMAVDVFDCLVCSNPLRPPIFQCTLGHFVCSLCCDKLSDSKCQTCSEVILKSSCYGMERIVESILVPCPYAEHGCTDMVTYYRKGEHKEVCPHAPCFCPEPGCGFAGSTAALLDHFTSQHKWPVTVFKYYVPFDLPTKLGLSVLHGQDGSLFLLNVAQPECPMHAISLVCVQPKTSEPSRFGGSVGFSCWAGHYQLSSLETITGSSLADGLPKNFFCFVPKGCPMLRITIDTELMHDDDGELQEENDDDDDDSCE >cds.KYUSt_chr1.38103 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232697919:232698344:1 gene:KYUSg_chr1.38103 transcript:KYUSt_chr1.38103 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLGYRCSGLTITAMPWLSMQCTTLTQCVTDISALPTDATDAVHCLREGNALPGNAADAAHCLREGSALPTDAMHGYLRQQLPTDATDKVHCLREGNALPTSIDAVHYVDVALTIDAMPWLPMQCLHDRCNALATDAVPR >cds.KYUSt_chr5.36013 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227507229:227510037:1 gene:KYUSg_chr5.36013 transcript:KYUSt_chr5.36013 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRRANVQVQNPTAALTEEAPAVETPPPAVAAEEVAKTEEDPAPVETAAEVPAPVEAKEAEPATAEAEETKEPEPAAETEAPKEPEVEAPAPVEAETKEAEPEAVAEPAVAEEVAPAEPEAAAPVVAEEAPAAPALAPEEAPAAAAEAEPASEPVGGAVKVGVRSTRVFGSDELLKPSPATAWRRSRDLFNKEKEKMWRLAMKMKSCGVSSQELATGDFPAAEGLYLDQAIERTDRLQANGRR >cds.KYUSt_chr2.35492 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219139268:219145046:-1 gene:KYUSg_chr2.35492 transcript:KYUSt_chr2.35492 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIRLPEPPGTGMETPEIFTGGAANVVRRAVAIATGSPSADSQCLGLVRALGLADNLTLYRVTRPSGGINEWLHFLPISMHKLIDQLLRQLFRNTRFALVVQGRKPYRVPNGGYVGLSSVLEVDTKRIVATARDTFDKEGPTLVVACGWDTISYSSSIRHLASDNVFVIQIQHPRSRLDRFDLVVTPRHDYYALTANGQQEVPRLFRRWITPQEPPGRNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLIVNIGGPTSNCKYGVDLARQLITSLDNVLDSCGSVRISFSRRTPKKISDIIFKEFAEHPKVYIWDGEEPNPHLGHLAWADAFVVTADSISMLSEACSTGKPVYVIGTEHCKWKFSAFHKNLREKGVVRPFTGLEDDALVRHLLHDAMVSCCPNLEGLTTEQRTSIRWTMENLMKKINDYAV >cds.KYUSt_chr3.22125 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136276457:136284038:1 gene:KYUSg_chr3.22125 transcript:KYUSt_chr3.22125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde/histidinol dehydrogenase domain containing protein [Source: Projected from Oryza sativa (Os01g0591000)] MAAANGEKGFVVPELEIKYTKLFINGQFVDAVAGKTFETRDPRTGEVIATIAEGDKADVDLAVKAAREAFDNGPWPRMPGCARGRILHKFADLVDQHVEELAALDTVDAGKLFLMGKMMDIPGGANLLRYYAGAADKIHGETLKMSRPLQAYTLKEPVGVVGHIVPWNYPTTMFFFKVSPALAAGCTMVVKPAEQTPLSALFYAHLAKEAGIPDGVLNIVPGFGPTAGAAIASHMDIDKISFTGSTEVGRIVMQAAAMSNLKSVSLELGGKSPVIVFDDADVDMAVNLVNMATYTNKGEICVAGSRIYVQEGIYDAFVKKSVELAKKSVVGDPFNPNVHQGPQVDKDQYEKVLKYIDVGKREGATLLTGGKPCSEKGYYIEPTIFTDVKEDMSIAQEEIFGPVMALMKFKTVEEAIQKANNTRYGLAAGVVTKNIDTMNTVSRSVRAGVIWVNCYFAFDPDAPFGGYKMSGFGKDMGIDALDKYLHTKTVVTPLYNTPWL >cds.KYUSt_chr5.41609 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262543105:262543653:-1 gene:KYUSg_chr5.41609 transcript:KYUSt_chr5.41609 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSATMSRAPVEGDGYGRQTAAPQLSSDGERGRAHRGLEGDGGDGGRTWDGVEAAMTDSTAAAAPRRRRKREHARRLHGRGRRRSSSTGGRGAAGGSPGVPRSCSNGSRVSTRAMQGRMRVAARDGSWRDVGDSAQFRGATAELDGGGAGETWRTDPALLSISNERRAWRGCVRDVGEELR >cds.KYUSt_chr5.18584 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120176289:120177161:-1 gene:KYUSg_chr5.18584 transcript:KYUSt_chr5.18584 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLGHPSIVALHGLARAPGTENEYDYSLVMEHAGPSMDRILRDRMGCTGWPFSEPEARRVMVQLLSGAGEIHKHSIVHRDIKPENILADGAGNVKIGDFGSAMSVAGGQISADAYYAAGTTKYSAPEMLLETPGYDARVDAWSLGCVMAELLTGEVLFNEKSASDQLWLIYDVLGVPGKEAWKPHESSFVASRVPLWRREQQRRRRKGRWHSRRLRELFPEELLSEDGFKVLKGLLTCNPGRRLTAAAALKLPWLADEDNAPVKNCAAMRELESCKHVFASLLGIVGV >cds.KYUSt_chr4.24951 pep primary_assembly:MPB_Lper_Kyuss_1697:4:156985287:156987142:-1 gene:KYUSg_chr4.24951 transcript:KYUSt_chr4.24951 gene_biotype:protein_coding transcript_biotype:protein_coding MDISRNRKAKLGDAAGARGRDRLSELPDCLLHVILSQLKARQVVRTCVLSRRWRHLWLSSPCLDVDTSEFLLPHAAPRPSPPPDIRMWSFDVAVGPVAANHAPPPLPRQHIAHEEFYYEFEDFVDCLLVHRSAGGTLPLDTLRLRIPLWSLNTQWMLSALSNTSKYTSWVRRGLRCSPAALDVSGFVKLPALASSVTRRLTKLRLDRVILHHDFAEHLMSGLPVLEVLEISGTDLSGLPRIQSGTLKHLAVDSSSAITLNTNGRLAFHIVAPRLVSLHLAVEFRHLVFFGVLVQEAPRLVQASIRLGDRPELRQIRGSIYHSQDDRALVKSLCDLLGSLSHVRALTLSGFHDTTLVQQQIPLLPQLPLENRWMQHHLFMQPPGLGIGPPNYMPLPDPETIIAAYNPYQYMLNPYNMHPPLVRPMLQTILEEESVGLPVFNGLTSLVLDECETGLDFETLWRFLHKAPLLEELTLKNCQCQMFPNGSEMEPPQTIFETATSTVSPNLKSVEIMYDDRNAGEGRGQHKVQVNEVSSDMIKWKLPATTMIRFTKLPKH >cds.KYUSt_chr1.6740 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41485540:41485971:-1 gene:KYUSg_chr1.6740 transcript:KYUSt_chr1.6740 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSAACQRQAADRISDFLSPSLSALSTSHATAASSTLLIVFPMDFVLGGETSPLREGEDAVWGKKGDGQGRERPAAKRREWATTAHPSLLVVASADRAPTRKGALNPLGPVHGGRMRRRGGGKRAAERRRGTGEGSKPIS >cds.KYUSt_chr2.54100 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337644931:337647180:1 gene:KYUSg_chr2.54100 transcript:KYUSt_chr2.54100 gene_biotype:protein_coding transcript_biotype:protein_coding MREEQQRQDEVYERRRLAELQLCQQRQELNHRQQREELEWHLHQEAHQLGEMAPVKVFGPAMSTNVARVLVCLEEVGAEYEVVSIDFKVMEHKSPEHLARNPFGQMPAFQDGDLLLFESRAISKYVLRKYKTDEVDLLREGNLKETAMVDVWTEVDAHTYKPALSPIVYECIFNPIMYGIPTNEKVVAESLEKLKKVLEVYEARLSKHEYLAGDFVSFVDLSQFPSTFYFMMTPHAVLFDSYPHVKAWWERLMARPSIKKISASMIPPKA >cds.KYUSt_chr2.26479 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162206532:162210095:1 gene:KYUSg_chr2.26479 transcript:KYUSt_chr2.26479 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAMLPAATRSIEGLLVGGAHVAADELQRLRRKLDDTASLAADAEARQGSDASARAWLRALREVLYELGDADADFRRAAAQPQEGRKSFRHWFTLPPNVNGIRYKTLRTSIISLNKKLDGILNKGSELGLLSDSHESLNGISEISGQVVPDDDAVGDIDSEKNKLIDRLTDRQSANGVVAILGASGMGKTTLAWKIHDDHRTRNAFSMIVWVNVFSDSDDIGLLSAIVTAAGGNPRGEKDRMKLEAILAAMLIGKRFLLVLDGLCGHHIFEHSLDAHWHVFGHGNRILITTQDESVVAKVKPAYIHQVKELTFQDCWSLLCRSAHHDESLHGNNLRNIGIMIIQKCNKIPMAIKIVGAVLRTKEQTKVAWQRVYESKGWSFRDLLDPLTGAIYLGYHDLPARLKQCLIYLSLFPEGSVIRQQFVGQLWVSEGFIEEQDSCNVDNTADEYYRELVSRKLMQPEIANHDITRCTMHKHIRSFLQFFSKDEIFSGDLKPSINGTSIEGLRHVWLRSNGPATNLEEIVALSSLKTVILYKNPVGNNGLDKLFQGVKYLQVLDLGDTEIKYIPSTLEFLVHLRLLNLSLTRITELPESIECLRNLQFLGLRYCNWLHTLPKGIGKLQNLRSLDLRGTSLHQVLPTLENLKLLSTLHGFIVNCTPNREEDPSGWPLEDLNSLNALRSLQILKMERVSDGLRMQKAMLENKSHLKELELCCTTDDRQAEVREEDARTLKETFDRFSPPKCLNSLKMVSYYAKLCPDWLPHLSNLQRLVITDCKFCEHLPDLGQLAQLKFLTIAGFSKLLTIEQEPTSAKQSFPKLEQLYLKDMQILESWTGFESGDMPSLVKFRLESCPKLRCLPSGIKYSKVLTSMHIYHADSLEAIEDLTVLKELVLQACDELMEISNLLLLEALIVMGCSRLEDMNGVHYLKHVRIEDRELRRCPEWLRSHASVLQTFTIVGTKELLERLLPNGEDWGIISDINKVYANLPDESPFFTYTKDTAEFHVDQRIMEHGRPPVAIADEIAQADLTTALGNSVEMTSRIGVSRVPVIRISTFKRVIRQYLVLYLVMVLIVMQVLSYWLQNKTTREIWLIQTLFIFFATILLLFLVFLD >cds.KYUSt_chr7.39832 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247450096:247451447:1 gene:KYUSg_chr7.39832 transcript:KYUSt_chr7.39832 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPKLKTWAFRDVVLVPSGKSMFKYGDPRRKPTREYSNILGGLIRKHFPRIVNLPSGGRDVAWTWKHYSYAEDPSGKCTNMQERVVRHFWKYFKRAEGEEIACDVILHELCRVRVTGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQNPPQYVGEDKACFLAMVIWWTSPEYARKHEEGKQKRLEMGGGSHVLGSKNLALTLQQEEVKTGVTPNLFGLFQKSKTRREPHHETGSVWVNGLAEAQCGAYRSKFKAKHGEDADPTTEDFDVEVAVLAGQGKKGGRLWIADGLVDPKTIPSLRHIRRGRTSEQPRVETAHGLRT >cds.KYUSt_chr4.1705 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9067791:9069180:1 gene:KYUSg_chr4.1705 transcript:KYUSt_chr4.1705 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKPAYSAAHPLAGEKVPLTVFDRAASDKFVSAVRVYPAPAPSNDALKEGLLRAVALYPHFAGRLAVDGHGRPFLHVNNEGVLVIEATMPTDLADVFPGAANVPDDLYPTPPEEKVDAALLQIKLNRYKCGGLVIGSSCHHHTADGHSMGTFYAVWSRAVREGKDFVAPSPFLDRASTAVPRSTPAPVFDHGSIEFRREANRSISRAVLPVDKDKIKSITVHFAAEFIAELKSRVGAGCSTFQCLLAHVWKRITAARCLNPEVFTQVRVAVDCRGRAKPRVPMDFFGNMVLWAFPRLQVKDVLGLSYGGVVGAIRDAVARIDEEYIQSFVDFGTLAADEELVAATSTVDTVLCPDIEVASWLGLRLHQADFGTGPPSALLKPDMHKEGLIILVPSPMAEGAVDIIVALSEDHVAAFNKICYSLDDTIFLPSKM >cds.KYUSt_chr1.41000 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251447601:251450171:1 gene:KYUSg_chr1.41000 transcript:KYUSt_chr1.41000 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQGTVEYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDANIHFVEAVALKPPEVTFDLAMQQQHEAELAAAAAQPLPDDDDDLIE >cds.KYUSt_contig_2566.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000386.1:24488:30393:1 gene:KYUSg_contig_2566.4 transcript:KYUSt_contig_2566.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKMALESVDALLEDAGRRSVTDKSTLLWLKRLKDAMYAISDMIDEFEADTEVISQLSARKLSFKKYLAIMIPCITVVPKVTMANKMEKMREDLEVITDQHKKFRLTEGTNANEPKVTNIRETSSIMETQIVGRTEEKEEILSSLFDSMTEEITILPIYGIGGLGKTTLAKMIYNNTQFKEYSQVWVYVSQTFDLKKIGNSIISQLSEKEKESEYTGMEVIHKSLQKLLADKKILIVLDDLWEGEEFHLQSLMDMLRVGKGGNVVVIVTTRDEDIAKKISTIKPYKLAPLTDDICWSIIKQRSVFESRRDKEELEKIGKVIAMKCAGVALAAQSLGYMLQSMRFSEWESVRDSDIWNVSSSKDTSSSQVFASLKLSYSVMPSYLKLCFAYCAIFPKGHKIIKDDLIGQWVSLGFSTWQLGERYISQLLGFSFLEHSKSSLTIKLYDEDITLLTMHDLVHDLARVVMYDEILVVGKGGNTEGSSYHYALLDDCSKPLGFESSKIRALRFMDCDKIKLHHAAFSSAKSLRVLDLSECIIHKLPDSVGVLKQLRYLNAPRVQDALIPNDISTLSKLMYLNLHGSSKILALPESIGKIEGLAYLDLSGCSEIAKLPESFGRLKELVHLDLSNCSCIGGISEFLGSLTKLKYLNLSHCKKIGEMPEALGALSKLEYLNLSFSSYLESCQEAEVLGTLNKLEYLNLSSEKCDLQKLPEALGTFIQLKYLNLSGCLMMSELPRSFRSLKNLVHLDLSGCRSIDCLDEALAGLSNLQHLNVSGLINMTEDTMDSLINYICSNLSNLELLDLSSNYMRSIPESICNMRKLHTLNLAECICLGKIPANIGTMDSLKFLDINGCWAISKAPQVGSSAISLPHFGVQPGDDHSSSNLVLLQHIDPVVLKLTGLENVKSVEEAQRINLMGKKKLEDLKLEWTRGAERFVDHKILMGNLVPPSTLKKLEICRYNGVSFPAWVVLDQLPNLKHLVLRDMVNLEEWTPSHSSVENSKVPLHQWRLLQHFPGPTDLHIESSVDLTGSPEVIQHRSSVETLTLEDKYLEELPKWLNENMWQLEKLQLQSCDSMASLPLGELTSLKELVLFRCDVLSSFPESLHQLSGLQALDISGCP >cds.KYUSt_chr1.11369 pep primary_assembly:MPB_Lper_Kyuss_1697:1:69687855:69690948:-1 gene:KYUSg_chr1.11369 transcript:KYUSt_chr1.11369 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAPAAAADSPGEAPPAAKKTGRVKTKAAGPRGVAPAKVRTKAISRIGLAPPPASKVTTPPPSVPAVALPAPPAPPPTTIDVDKVFDVESTTSYLDMLNDSAVNLDAGIGAFDGECNVVDFDDEEEDEGDEEVVEVDPAAAGSSSTPKPRTANYSEIEDVILVRAWSKVGMDACTGVDQGGKRYWQRIEDQYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQGRYKDMAGSKNKEFQFEHCFSILQHLPKWKLRDNEPKCKKEALITMDDEAEDMRGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARKELDMKMIKAKEAKAMKELLAEEREIMMMRTDGMDEDQLVWWKETKADIIARKMAARQARDQGSLIKDLHGQNQSAAYAKEPGAPPCHDGGQGEGDVGVEQEAVAAFFDLELPLSGDDDSSLEGAEDLQMVEVLLDFYSDDDEVVAQELQAVHDDEAAHGAVETGRKTLALGLAGRLRRILHKLRKPPEKPVESRGASPPQEQSRSLVKGKVDAAPAPELGLRVMSGVDRRVRLKAVVKYLSKITALARRRRGDGPDLSAAGRSKTSIVKRTRSSRSSVGAAPPRRSDDSVLQLQDGIENAIAHCKRSLDASFA >cds.KYUSt_chr1.11237 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68771732:68773218:1 gene:KYUSg_chr1.11237 transcript:KYUSt_chr1.11237 gene_biotype:protein_coding transcript_biotype:protein_coding MTHWKEMVKDALDAVEVVTFDYPYMSGGKRRPPPKADKLVDHHLGVVKNAVAEHPGHPLVLMGKSMGSRVSCMVASSDDINVSAVICLGYPLKGAKGALRDEILLKLTTPTMFVQGNKDGLCPLDKLEFTRKKMTCQNELHVVDGGDHSFKISQKHQNTTGVNQHDVETEAVKAIAQFIRSSIV >cds.KYUSt_chr2.32181 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198500103:198502694:1 gene:KYUSg_chr2.32181 transcript:KYUSt_chr2.32181 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNKASTREKKNIMSSNGMGSRLALVIVCSVLLSLIGTSAATGKTGRITVYWGQTSNEGSLRKACESKLYSTVILSFLNNFGSGKYGLNLAGHSWAAVGPDVKYCQSKKVLVLLAIGGGIGKYSLASKADAKAVAKHLWDYYLGGKSRSRPFGTAVLDGVDFDIELGSRAHYDDLARYLKGYSKKVFVTAAPQCPFPDRMLGQALRTGLFDRVHVQFYNNPVCSYRASNVAGFKAAWSKWTRSLPRSSVYLGLPAARGAAGSGYVDPTTLVNKVLPIVRRSRNYGGIMLWSRYYDIQTGPELRSSRDERILDAAAIDAVKAYSRDERILDATAIDAVKAYTGVD >cds.KYUSt_chr4.53602 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331600541:331601155:-1 gene:KYUSg_chr4.53602 transcript:KYUSt_chr4.53602 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTNPQAGLEKVAETRAIGSGQKIRADTGAGGSGLTIVAKSGITPDSGKGNLDAVALVATKKTPSTLAVEGVASVTSFKSAMEIAGKLHQVELDTVNAELDALKRQLAVEQERNLGHSKELVNLCEKHEQAMIRLREELSSALEAAKDG >cds.KYUSt_chr2.47478 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297002714:297003722:1 gene:KYUSg_chr2.47478 transcript:KYUSt_chr2.47478 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRAFTSLLAVTVLVLVSSTMPRVMASDPGPLQDFCVADLMNPVFVNGFVCKNPKLVTANDFFRPGLNVPGKLNAQGSAVTAVSVLQLPGLNTLGISMVRIDFGPNGQNPPHTHPRATEILTVIKGQLLVGFVTSNQPDGKNLLFTKQLVEGDVFVFPEGLIHFQLNNGPNPAVAIAALSSQNPGVITIANAVFGSTPPISDLILAKAFMTEKATVDWIQSQFAPAAAGGGGNMTGGGGGGYYPGMGKKP >cds.KYUSt_chr6.21582 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136127469:136129063:-1 gene:KYUSg_chr6.21582 transcript:KYUSt_chr6.21582 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDGRTTGRGGRGGDPTTGRGGRGRRRTPPMFVRSRAVGRTAREAAGRDRLSALSDDLLHRILGPLDARLAAGQCSLLSRRWRHVWATMPHVTLVGHASERFGDNLLLLRDGDNKLRALTLHSASTDHFAHQCRWLRHVASHGIRVLDIALGTADDVELPDCVFNCTTLEEITVSASAVRQVVAPKTICLPCLKKLHLRFMQLSDPSVADKLTSGCPALEELDLSRCSLGVFRVSSDTVKTLSVTACDYLEIHVSAPSIASLKLSVAGRVKLDAMPSQHLELLRFGSLLKDMMDKPATEGPTFSKMKSLYLGEWLVVDFYRPLAYFFNHAPNLAMLSLDQWKLYEENNGEISGPAYFRWKSTEKLNLPSVLSSDLEILRLRISKDDDAGEFSTLRRMLKEKTKPKEMEVVWF >cds.KYUSt_chr7.26932 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168326055:168328204:-1 gene:KYUSg_chr7.26932 transcript:KYUSt_chr7.26932 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHTGWDKFSYAHNLEAGYLLTFLYEGDGEMIVKVFDKTSCRRHYHTDESDVYSACLLNTSAGAWCRLAILVDLSPPRLIYDEFLDGQSFLSFSYEISMAHPLGRVIALVYPVKMKPCIHDTVSQLPLFLEPHLDSVSSQTPAPRRAALRPTTASPDADDAAALMVARAEAGDFARAQSIWAQLLLSSAAPCLAAAAPRLLPAYARLGRSDEVLLAVRELSARDPAQAQSLYPLAVSCLGAAGELALMEAALKEMSRAGLRVDSATGNAFVRHYAAAGTVWETEAAVGRLRKTGLLISADAIRAVASAYVARREYYRLGEFVRGLGLGRRNAGNLLWNLYLLSFAANFKMKSLQRAFLEMADAGFRPDLTTFNIRAAAFSKMCMFWDLHLTADHMRRDGVAPDLVTHGCFVDAYMERRLARNLSFAFRRLDGEGQPVVATDGIVFEAFGKGGFHATSEAVLEAAGGKRRWTYYSLLGIYLKKQYRRNQIFWNY >cds.KYUSt_chr5.33852 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215024157:215027957:1 gene:KYUSg_chr5.33852 transcript:KYUSt_chr5.33852 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGDCELGFRVVMEEEEEMASASERTSRRRRRRRWGAEADDGYSASSTGGGGSSGNGSFGCDSPLAGFVRADGDMDTDLETDDGLAATSSSNGEHTCHSSHFEEWAQARQEPANTPADGAIQECQSQRQCPTEAVFLHGTRKALKQRPASLDFGSPGFNGTPFSPGFVVGRAGFVSKGLLPSHVSSGVFPSPGTPSYPRRHRSSVLGYQKGWSSERVPLPSKGNNNRRYPATSMAFPFNNGRTLPSKWEDAERWIFSPNSTDALAKTSAVPHARRPKAKSGPLGPPGRLGGQYSSVSSSVSLFDSGRVGHLTANSPFLAGVLIPEHYCGGKSNVGKRTSGTPGDEFSIGIVGRSSLANSGSPAIQSTRVRRRLDTAVDSSASLPTTQESTQDEQIESIEDSASIIIPTVSRKDTATQTSPELSRSSSPSARPSFARSFSMQQARERESRFSDVEIRDVQMDDRVTLTRWSKKHVTPASNKNAANILEWNKKMVDSKSPSWKSTEAAYISKVEKEEAKIAAWENLQKAKAEAAIQKLVMKLEKKRSSSLERILSTLRSAQRKAQGMRDEATASQDERLSRKVKKTSHATKNGQIRSLSGCFTCHTF >cds.KYUSt_chr5.10742 pep primary_assembly:MPB_Lper_Kyuss_1697:5:69480651:69487751:-1 gene:KYUSg_chr5.10742 transcript:KYUSt_chr5.10742 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLHQANENRKRRMMNQSGSHHTQKHRNNSSGGFTPRNNRTPAQTYRPNYSNNNGGPPKPGGNNNNNSHHNNNNHNSNHNNGTNINTNTGPRTGSNAIPVTPKDKSTINCYECGVVGHYSKECPKKLAKIATNTATPAQQQRRFAGRRNQNNNNGRFYHMTATEAQEAPQTLTKAPRAHLLHTNLFPSHHWLSSTTQLNTTSPESPQFEARSRWSPQILAIDPRLPKLCHGTRRLFTLANDASTLSLDRRRPPAPSDPLPRRRSKLLSPVDDDDAPPCDSCLIQRLTCQTLVPETIKRRSPWQYKGDAFLVEGLQVGADPATALFTHVPMWVQFRNIPFYLLTKKLARDLGEQVGTLIKIDDNARGNICDKILRVRIQLPLYLALQKVITLMDEITDEEVDVQIRYERLPNFCLFCGYIGHMEARCDTPVAERKICFSQELRVRPVHFEDPRTWFLPEAMGQPQAQTSSSNLWRAPNPVPWPAPWVGDMPAVGVPRTNKALLGVEEVVEDVAKLCVNETVLTHDNNNGVIAVTADANSNTNMIV >cds.KYUSt_chr2.46939 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293551831:293556974:-1 gene:KYUSg_chr2.46939 transcript:KYUSt_chr2.46939 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPARHMPSSMIGRSSGSAAYGSSSALSLGQPNLLDNNSQHLQHALQQHHLLDQQIPTTTAESSDHAHNGMIRGRDSMDPLGDEFESRSGSENVDGDAVDADQDPSQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENSQLRADNDKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLRDEIDRLSAIAAKYIGKPMVPFPVLSNPTLGAVGAYGAHPADIFGAADLPPMRGAGGVDAEKQGVVVELAVAAMEELVRMARMDGPLWTADGTLDEEEYARMFGPRGVLGPKQYGLVSEASRDAAVVIMTPASLVEILMDVNQYASVFSSIVSRAATLEVLSTGVAGCYDGALQVMSVEFQVPSPLVPTRESYFVRYCKRNSDGTWAVADVSLDALQGIKCRRRPSGCLIQEAPNGYSKVTWVEHVEVDDRAVHNIYKLLVNSGLAFGARRWVGALGRQCERLASAMASNIPTSDIGVITSTEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDESSRSEWDILSNGGMVQEMAHIANGRDHGNCVSLLRVNSTNSNQSNMLILQESCTDASGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAGIMHANSGVGSGGSLLTVAFQILVDSVPDAKLSLGSVATVNSLIACTVERIKAAISGAGSSPQ >cds.KYUSt_chr4.53045 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328754239:328757137:1 gene:KYUSg_chr4.53045 transcript:KYUSt_chr4.53045 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSLHVAVIGAGAAGLVAARELRREGHDPVVFERGTGVGGNWLYHPAASADPLGAGGVQSSLYASLRINLPRECMGFLDFPFVADAGAMSSYSDDPRRFPGHEEVLRYLQEFARRFDLLGLIRLQTEVVSVRRDDASAGWKLSYRSSRKLPGGGGEEVEEEVFDAVVVCNGHSDEPRLADIAGIEGWPGKQMHSRNYRVPDPFHGQIQRAEEDGSVVFQDGGRHYGKTLRCRATNRTAKGPICTAKDLPCVGARQRLHGNALDGKEDIAVRLTKPHGKGSLPCAPRCRALERLPCARSLPCAHSFAVRAVSAVRPGGCRAPETLPCAPTLPCHQTLPCASTLPCAPTLPCARSLPCFSSLPCAHSPARTAKDSPGTHWLPRRTGLRHVAPLPCAAMLQAFSRKHRDLEVKVLGLWIGVLAVLRLNLETYAVHRVSDCGLTHKDPVSGSGVFLCFIFFSTAKTLGFAPVPTSCKREHVIE >cds.KYUSt_chr3.5118 pep primary_assembly:MPB_Lper_Kyuss_1697:3:29014644:29015198:-1 gene:KYUSg_chr3.5118 transcript:KYUSt_chr3.5118 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGQKQMQKPQAPPASLSAGHDAEIKKVFSRFDADGDGRISPSELAAVSHAITPPPSESAGGREVGAMMEELDADRDGYVDLGEFTAFHGRGGHDLDAELRDAFDVYDINGDGRISVAELSKVLGRIGEGCTIEECERMVASVDVDGDGCVGFEEFKKMMSTDAAAGAQPEAGVPNGKPKKE >cds.KYUSt_chr3.7305 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42325798:42326657:-1 gene:KYUSg_chr3.7305 transcript:KYUSt_chr3.7305 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSLQSAWAHGAAGSDAVFVMLNMAPFRMSGPHAAHQLLDLHYEQSLSTALRVTHAGRTVIPARGFPLWDAWGHAAQQLLLSATDDLDMARAALEHMRRAVIAQFFDACWMLQRRVAS >cds.KYUSt_chr6.30213 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191490565:191493726:-1 gene:KYUSg_chr6.30213 transcript:KYUSt_chr6.30213 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYKLWVRKNRDLVRSLESLANGVTWILPERFGNSEIAPEAVYALLGIVSSVNQHIIETPNDGQEQSIPWPLVVSILKDAEAVVEVAAQHFVGDDRKWGFLAVTEAVKACVRLAAFRESGYRMLLQGGEVENEEEDIVADNHGVKSNGVPVIYPMNGHAQNGHEIGSNGLDGKPGFISKSLEGRAVAALNKFGQNAKMMSDPMWMTRLQPTPVLPVMEVEKPTLATIWSSKGVSGRLFMLGEAVHIFRPLVYVLMIRKFGIKSWTPWLVSLAVEITSLGIHSHATDLNHRAGKVHHLSSAERDELKRRKMMWALYVMRDPFFANYTKRHLEKAEKVLNPVPLVGFLIGKLVELVEGAQSRYTYTSGS >cds.KYUSt_chr4.8460 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50652569:50655893:1 gene:KYUSg_chr4.8460 transcript:KYUSt_chr4.8460 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLYVRPARTLCGRSRLAAQRYLFVPTKAEAAGSRQLWSIMSSREEDVRVNGDHQLVADGGVNGEGEGELEAVMEQQAPEGVRALHARVEAEWGPVLQSACQTAAARALWASAVRDPAAGVLAGEKHLRGLHEKMRRDERAGAREVPGVMIAVRTLWFDARIEAAVSNLGGAPQVVLLGAGMDARAYRLSCLKECTVFELDFSELLEMKSDILHEAISSSNHQKLTMMAKSLIRVPADIRDVGWMTKLQSCGYVPERNTIWVLEGILYYLHHVHAMQVLETIAACRASACTVLLADFMNKNATALSQTMYHFYHDNPDLLLPSIGFSQAMLSQIGDPQAHFGLLNHPQNMFDKLRRLPRSMETNPEDGTPCRRLYLVEASASPDDHTIL >cds.KYUSt_chr4.43638 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270555196:270556590:1 gene:KYUSg_chr4.43638 transcript:KYUSt_chr4.43638 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFQELPCGGQVLDIDAALKDGILGCGPEPGDGALGDGAKQQPVELRKMMDELDAAGDAPGPGGGDEPVPAVFICPISLEPMVDPATLCTGQTYERANIARWLALGHRTCPTTMQELWDDALTPNATLRQLIAAWFSRRYARFKKRAADFHGRAADLVHALRGTAVPRRHPLKGKARVAALQELRALAAAHQSVTKAVADAGGVALLTSLLGPFTSHSVGSEAVAILVCGVPLDADAKAALMQPAKVSLVVDMLNEGAVDTKINCVRLFRILMEEKAFRPETVASLSLLVGAMRLVRDKRHQDGVAAGLELLNSICAVHRPARSMIVSIGAVQQLVELLPELATECVEPALDVLDALAAVPEGRMALKDCPRTIPNAVRLLMRVSEACTRRALSMLWVVCRIVPEESAPAALEVGLAAKLLLVIQSGCGPELKQQASELLKLCTMNCTSTAFLAKCKLTKTIQ >cds.KYUSt_contig_786.541 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:3052196:3060068:1 gene:KYUSg_contig_786.541 transcript:KYUSt_contig_786.541 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVFGTDQTWKRFEAVTRFAGLNPLLYYDDSHIGTITLLQARIKSRLNFPHGLGLAHRSPSQTCLALVASACLRVLRFEAVIHFAGKSMAARAQQPPHVGGPVWVGPAVPAPTTTASLVGRLRGVRYLLLLPAEGGLVRVHVWVWWWYKQPKAVVVMARMDQEGAHSVCAGDGNDNYPQGMITGHVYYFLEDVNPLVTGQHPVKTPLFIKTDVC >cds.KYUSt_chr7.32774 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204143009:204143875:-1 gene:KYUSg_chr7.32774 transcript:KYUSt_chr7.32774 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPAAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAAAAAADSAGEAPPAAKKTGRVKTKAAGPRGVAPAKVRTKAISRIGLAPPPASKVTTPPPSVPAVALPAPPAPPPTTIDVDKVFDVESTTSYMDMLNDSAVNLDAGIGAFDGECNVEDIDEEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDVILVRAWSKVGMDACTGVDQGGKRYWQRIEDQYHQRYSVLRGGDTGSASSSEFLAGEIRIQGPLLGFYGAKKYGAC >cds.KYUSt_chr5.32967 pep primary_assembly:MPB_Lper_Kyuss_1697:5:209072145:209072570:1 gene:KYUSg_chr5.32967 transcript:KYUSt_chr5.32967 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEQAVQEATYHAITRYRYEWPYLAADYCPFRYFPSAADGAEGVYYSVYASASDHPDPTVRCLVEMLNATDHQAHMWREYVVASRMSHWNTLMDIELYVENGTLPQSFISPDAIELPNFMALPRVGGMIPCGDPAYHCML >cds.KYUSt_chr3.34239 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214699647:214701228:1 gene:KYUSg_chr3.34239 transcript:KYUSt_chr3.34239 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFYAPALDLHVVPLHLRALALDLLKYGENREQRICAYKRVLVGVERGRTVPNWHSRRLGGGLGSSRIGVVDAA >cds.KYUSt_chr4.10954 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66526961:66532921:1 gene:KYUSg_chr4.10954 transcript:KYUSt_chr4.10954 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAATELARKGVTLLLLDVPQHTVLGLDTQVFSVGPRFKGIKMAPPGPHFVYYCSPSRHGSEFAPTVGFFLTTHPSEVVVRKWHAQEERLVKLSEEEEIRYSEAVKRFEFDDQLGPYNLDSYGDWKQLSSYLSQSVIERLEPIGGEITIALETSWMDRAPQTDMERRLMGQLREDKFAKISPAQPERRGCYYTTIPSSVKHKNIFANELTLLNLDRTSLLESVLAKSYQDQEDLLLGELQFSFIAFMMGQSLEAFMQWKALVSLLLSCSEAPLHARTQMFVKFIRVIYYQFKHGFQRTHDSRSSEDKGNSLFLDEAWFSRDIFLYRLSKDFLTVILEAPVVDGDLLSWARKLKTLLETTFGWDLENSAANLIDEDDEFAPVVVEMDGSS >cds.KYUSt_chr4.46224 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285712477:285719340:1 gene:KYUSg_chr4.46224 transcript:KYUSt_chr4.46224 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDEFVDVDLSDSSSSDDSGLDELLQDDEVEATILLLSVKELEDRTRLLNRRRGSVLGRNHIHRNRLLGHEQLMEHYFAELRRMLKPSVDEPLLVATGPEKGNESATAAEAKRLLRLAGPLVTSNVLQFALQLVSVMFVGHLGELPLAGASLATSLANVTGFSLFVGMASALDTLCGQAFGSRQYHLLGIYKQRAMLVFTLVCVPVVAIWANTTRILIFLGQDRSIAAEAGTYARWLIPSLVPYVPLVCHIRFLQTQSIVVPVMASSAVTVLAHVLVCWALVHKAGMGSKGAALSGAISYSSNLAMLTLYTRLSGACKRTWTGFSMEAFKELRQFGALAFPSATMVCLQWWSFEILVLLSGLLPNPMLETSVLSICLNTDALMFMVPSGLYAAISTRVSNELGAGKPQAAKLATRIVICMALSEGLVISITMILLREFWGYLYINEEEVVTYIGRMMPVLAISFFIDGMHTSLSGVLTGCGKQKIGARVNLAAYYLAGIPLAVLFAFFLHLNGMGLWLGIFCGSLTKLMFLMGIVLSINWEEEAIKAQDMVLRSSLLVA >cds.KYUSt_chr2.25953 pep primary_assembly:MPB_Lper_Kyuss_1697:2:158852380:158863865:1 gene:KYUSg_chr2.25953 transcript:KYUSt_chr2.25953 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGRSGGRANPNAAQVGYGGVGGGGEYYGGRGGGNADGGAGRGRGYNQGGGDGGGHGRGYYQGDGGGGGRGRGYQGYQSGGDGGGRGRGRGYQGGGDGGGRGRGYQGDSDGGRGGRGRGGYQQGGNDYGRGRGGGYQVGNDYGGGRGGGGDGGRGRGRGGYQQGGNDYGGGRGGYQGGNNYGGGRGGGQNQPVPNLHQATGPPLSDRYAAEAAQLREQFKGLDINRAEPTFPARPGFGTAGRSCVLRANHFFVGLVDKGLHQYDVAISPEPTLPSVYRVVMSSLVSEHQHTSLGGRLPAYDGRKILYTAGELPFNSKEFEVTLSDKIGSSGPRREKKYNVTIKHTNLVSLQQLQMLMAGFSTDIPAQALTVLDIVLRDIVLNERNDMEYVKVGRSFFSWKIERPTNLGLGIQGWAGFYQSIRPTQSGLSLNIDVSSTAFVQAMPLIDFVGEILKRNGVINSITDYDYVKIKKALRGLRIEVTHRGEMRRKYRISGLTKQSTRDLRFESSTGVSKTVKEYFRETYNLQLRYDFLPCLQVGTEQKPNYLPMEVCNIVLGQRYQKKLDETQVTNMMAITCKRPSARETSIHKIVQKNNYNSTKRANEFGIEVDYNPTSVQARILTAPVLKYYGSGSNKCVPNNGKWNMVGKCLANVALKINAKCGGRNSVFADIPASLPVVSNKPTIIFGADVTHPNALDDSAPSIAAVVASQDWPEVTKYHGDVHAQGHREELIQGLEDIVKKLLLSFQRESKQRPQQLIFYRDGVSEGQFRQVLEKEIPEIEKAWKSLYNEKAPITFIVVQKRHHTRLFPSDSNQDTAGNVLPGTVVDTKICHPTEFDFFLCSHAGIKGTSRPTHYHILRDDNNFTADALQSLTNNLCYTYASCTRSVSTAPPAYYAHKLAFRARFYQTLGSDVASEGVLESVHEIPVKSLSLESRQGAREFLDEVRLLLKDRRRNEESQRGCRHRHRRHRPFPAAVEGPCPQPRLHRSLLPPIHSGLGNLGLQLVIECVDDEATWGSG >cds.KYUSt_chr6.26275 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166530189:166530702:-1 gene:KYUSg_chr6.26275 transcript:KYUSt_chr6.26275 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMKTLTRKMVMLEVENSDTIDNMKAKIQVILLLSPLTCFFKNTIRPMLIYAGKQLADNKTAKDYNIESGSVLHLVLALRGGH >cds.KYUSt_chr4.20521 pep primary_assembly:MPB_Lper_Kyuss_1697:4:129229018:129230345:-1 gene:KYUSg_chr4.20521 transcript:KYUSt_chr4.20521 gene_biotype:protein_coding transcript_biotype:protein_coding MELAATPKTRSHSADDADHGDATSCAMNKIISADKEATTGTSRTNGSMQMDMTRRGHHANVWRSPHHGRRGVMSVAPAARSTSTHTERLQRSFCRRPHRHVSTSSSTPPGRLSSVTRRQGGKNANYMGMLPSFYVERLL >cds.KYUSt_chr4.43469 pep primary_assembly:MPB_Lper_Kyuss_1697:4:269564225:269567352:-1 gene:KYUSg_chr4.43469 transcript:KYUSt_chr4.43469 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGYCADDKWKKTQKSSFRKPVLSPPLFPGVWVFNNGRLFGSQTDPRLLVGFASSDSFRDGIPVHTTCSADIDEKEIRMEKPEELVVALAHAKADAILEKMAKNGMMQEIADSQETTLLITADQVVVHDGVIREKPTTPEEARKFIQGYSQSHAATIGSVLVTDVKNGSRRGGWDKSEVYFHKIPDEVIDSLIEEGNVFYVAGGLLVEHPLTSPLVESIVGTMDSVMGLPKALTEKLIKDSLQES >cds.KYUSt_chr1.14139 pep primary_assembly:MPB_Lper_Kyuss_1697:1:83751025:83755474:1 gene:KYUSg_chr1.14139 transcript:KYUSt_chr1.14139 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASVTLKQKKRVKHTKNKYLKPGALAQIRYSRSTSRDIGKKRILLNGEKDELEISPQAEVVFESTTPIMSPIRLNFEPFSGIKGLFLPRTPKTPQAAECDDNSRLESLPLDLLIKIVCYLHHDQLKAVFHVSTRIRKAVELARQYHFNYTTPDRSRQELLQNKTPLPTEHWPFVRIDGKDVRVATPRTPKAPKHGPRHSRFKLLDVKPITAVLFPDSLPSKRLRRLMPPGLPRPIDVPIQFAQLEARETGLDQILKLHCVFASRSAVKWCGTARYAARPPREIRPRGAATSTALVVEARDGPASSSFFPFAACVRAHLSALASRIWRWNVAAGDAIPALPAFAEKPRSGRASLTAGERLGVAMSVQLDELMSLRRQEEASRLLRVRSLARDLEDVLEVRVVEELPPPPSFNLRKRCTFNDARIAACFGSAGACGCSPNCTASSPASAFASATYASSHTTNRGSSMSLLQKMITCRFQVFTFLKM >cds.KYUSt_chr2.47009 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293990007:294007435:1 gene:KYUSg_chr2.47009 transcript:KYUSt_chr2.47009 gene_biotype:protein_coding transcript_biotype:protein_coding SYNIYNMSFIHAAHLKRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGL >cds.KYUSt_chr5.8888 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56451346:56453149:-1 gene:KYUSg_chr5.8888 transcript:KYUSt_chr5.8888 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVYVVVAPSSLRHYGDQRIQRALHGELPAATFGGRRFRDKSSGVLEQVNELAAEVQRHDRVGGADELAADKDHRDGLPACAGELVLQFAATGGSLSSSCTAAHTPRLEKKVVTAWFVGQPLLAAKITTASSADSLVTLSIFLMVS >cds.KYUSt_chr4.35926 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220816742:220820057:1 gene:KYUSg_chr4.35926 transcript:KYUSt_chr4.35926 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHKASLPVIRRRVGGAGLLRWAVRVASSILLWTALLQLSTFFGLPRLPLRAARPSCPGNRNASTTASAVASDEVLGLAPPTPPTRRLYRSNGYLLISCNGGLNQMRAAICDMVTVARYLNLTMVVPELDKQSFWADPSDFGDIFDVDHFIYSLRDEVKIIRELPRKFNGKVPLSMQPVSWSSEKYYLRQILPLVRKHKVIRFSRTDSRLANNGLPLRLQKLRCRVNYNALRFTPSIEALGNKMISSLRKTGSFVVLHLRYEMDMLAFSGCTHGCSNQETAELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTRIYIASGEIYGGEKRLAALKTEFPNIVRKEMLLSDDELRLFKKHSTQMAALDYLVSVASDVFIPSNDGNMAKVVEGHRRFMGFHRTIQLDRKKLVELIDLFEDQELSWDEFCIAVKEIHEGRMSQPTRRKVIAGQPKEEDYFYANPYECLGPATKRREKLKHTET >cds.KYUSt_chr6.6191 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37355935:37368242:-1 gene:KYUSg_chr6.6191 transcript:KYUSt_chr6.6191 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFYTQKEEQKAAAAKKKADHEKRRAESAARKAARAEKAARRAEEKKNRRRAVDDRPLLLLLLLLLLLLRMDIYSGVRHYSGVRQHPLVGLRLGLGVDFKGGSRLTRPPSAYAPAHGDPTKAANEPLKQHLPIDTAFVLHAMVSHTINDAAIEHSFQANLRPFKSRGTQRRNCAPPHAFQQAAGICMPSMMFTEGLDRDALKWVREGHGAGALHSHDRMDALRAARGAGGLGMPPPEKFRSGHLPRASVPSALRTSASSASDMDESSDADEVCSGGGGGGGRYSVDSSPRAHRAAAAPLYRYANAHAHQQQHHSSYYSSDGYSDLSSSRDTALPRPRTQQQARAAAAYAEEEEEYSDSAGSSEFSTQPTAARRSNGVGGGYASEYSHTGPARMEASNAVPKARVAAAANNKPSNSRNYQQDRYSAQVPAARANVKSSPQMDGLSDVPSAPPIHDYSQEASPAPHCDTRTGADASVSDGSTVKKEEQGDDILGANLPDKTNRSTLNGKHSSKPSSSVPVRVPTFHASLQGPWYSVLAYDACVRLCLHAWARGCMEAPVFLENECTLLRDTFSLQDVLLQSEEELMTKRASELVTEGAPSKPKKTIGKMKVQVRKVRMSVDMPSGCSFSSLPVVRFDSVKHRLSNVQSSITSGWETVRRVQVSPHVPPNSSFSKHSLAYMQASAQYIKQVSGLLKVGVSTLRSSSADEIQQETYSCKLRLKSSPEDDVVPMQPGSGETHLFFPDSLGDDLIIDVSDSKGKPCGRVVAQVATMAEEPADKLRWWSIYREPEHELAGRIHLYVQYTTAADENNKKYGSVAETVAYDIVLEVAMKAQHIQQRNLVLQGSWKWLLTEFASYYGVSDAYTKLRYLSYIVDIATPTADWLNLVHELLLPVLMKSHDTAPLSHQENRILGEVEEQIEQTLAMVFENYKCLDESVVSGLVEDFRPPTGLAASALEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRHMLETDEFVAGNSEGIKMDLVYFTTAYQKMKSLCHNLRNEIFTDIEIHNHHILPSFVDLPNLTASIYSVELSNRIRSFLVACPPAGPSSPVADLVIATADFQKDLASWNICTIKAGVDAKELFHLYIVLWIEDKRRALLENCRLDKVKWSGVRTQHMTTPFVEEMYDLLKNTLTEYEVIICRWPEYIFVLENAIADVEKAVIDSLERQYAEILAPLKDCIAPKKFGLKYVQKLTKRNSTCPYIVPEDLGILLNTMKRLLDVLRPRIESHLRSWSSCIPHGGNTAAIGERLSEVTVTLRAKFRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKELVMEADIRSRMQDLKDQLIEAINHIHKVSEVHVFVAICRGLWDRMGKDVLSFLENRKENKAWYKGARVSVSVLDDTFASQMQQLLGNTLQQKDLEPPRSIMEVRSILCKDAAPRQKNSSFYY >cds.KYUSt_chr7.33521 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209087531:209089474:-1 gene:KYUSg_chr7.33521 transcript:KYUSt_chr7.33521 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPNKYDPATTVTALKEFVLSRWPQDKDIVPKTLNDVKLINAGRILENNKTLGESRVPVGEVPGGVITMHVVVRPPQSDKSGNALTFL >cds.KYUSt_chr2.14814 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93384446:93384952:1 gene:KYUSg_chr2.14814 transcript:KYUSt_chr2.14814 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPQLPLAVAATTTAAITCRGSSSSGRRRGRRPKAKPIVFPPPPVRRLVSTSLRRLLPRLRPLGGRRPRRKSPAEDVAVLLLSLAFGDRLTALAEAWRASGLGQALGIWAAVFGRARRRRMNGLRRLAALLLGIAFCALVSHFRGAAFLEGLGKTGGGRKLARIFLH >cds.KYUSt_chr6.31128 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197276143:197277634:-1 gene:KYUSg_chr6.31128 transcript:KYUSt_chr6.31128 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTTSVRHHRRLSLAGGECKPDKEVLSLFDFRRLESMKLVPWRMSVSHPAKLMEGLILFINKAIKEWKTRSYSRGSSTGSARGFGGGAVEDDNMWEQKQWKDGGARRDQMMQDLTPLPSRGRWHTVECFGKTDREKRETAETCEWNMAQWMYHVKISPAAGHT >cds.KYUSt_chr7.4758 pep primary_assembly:MPB_Lper_Kyuss_1697:7:28794133:28796396:1 gene:KYUSg_chr7.4758 transcript:KYUSt_chr7.4758 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSKKWSRLWKCYPKLVFTRATMRGSNAATTGPAKPLRTRFIRGINSIQRQLKSSNLNKFVVKFALRKRHTPHIDNWINFCAASRAKHVVLDLCPGPKGSSDKDDKYSFPLHLLSASGGSCVKSLSLGFVYLTLPPDHRGFANLKKLSLQMVHVTGDLGCLLPNCPVLEWLSLTKCRMDELSIGQELSRLHYLQVKYCILQKLDIRAPNLTMFLFAGRTIPILLGEPVNISEATVELITSSDCFSYVFTDLVDALSHVQSLSISFRIETKVINFVKNQTRLTNLRRLVLKIDIVGSPEVTGGILRLAYLLELAPALEELVLHMCCFDSAIHGEPNEDAYRPHPHHHLKTIKITGFYGLLGQVELALYLLRSATSLERMIIDPVVRNNWFTPSMGGAKPNIDRGTSIALNKLSRQEFRKVLDILY >cds.KYUSt_chr5.39934 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252407573:252408949:1 gene:KYUSg_chr5.39934 transcript:KYUSt_chr5.39934 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPSASGAPLRSSSAPGAPERGLSALFSVETEAVVVCSPERPFGSRFWALLSDDEDDAGSEVEEEDASEDDELSVRVGARLASFLCRTPSPARDADLIEDSVELNQRQLKRLRRRDGQRQANRAAIFFSSGEGMDSPLSSPLGKEARSVGHMKLPVLEPSVFVDESKEGWTLVHRRRWSPAAVSRVRDPGLTGFSNVLTVGQTRLRAGAQDFGRRFGPSRIRQARQSRDQLVGDHGPRIAKVGDASAGYAFRSLFGLTWKKCSAAAPVIQRRTTEVAMSGDGGRGGFNPGRGDLTPGEVDLAVAEEALAAVVSSVVAAAILVAAVVTTASAEGVVTMAQGVLAMTPVAADTATTERNMFSEVGSVKVLAGAMLDMVVGVVTRAMALVPVEEAASMSKASPVARLVQALVVTGIISAMEETKTGGAQGGVAPWLIGTGVRKRKELLEEALMPPSYNKQ >cds.KYUSt_chr4.22465 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141260958:141263198:1 gene:KYUSg_chr4.22465 transcript:KYUSt_chr4.22465 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTPLLRGAPSPAASSFRRAFRSVASIEAILSYSHPSKTSSSSEDQAGPAHLALYNYPTFAGAYSALAADLFHRRLGSRLLLLPFSSIDPLRAEDFKAAGFRSCYLLDFIGPKKFALELSRFIPSVVAFDHRQSTLARIPQLGRCPTNLELHIDTTKNSARAAFDYFSKKLAGTNTDSKMCENLLDQGDHERVLNVVKYIEDADLRLWEQPNSREFHTALREERAKLNCVTNPHVFEQVKVYPLQSWLGNSLVQNRLEAARKLIYNPFKLHLGRGLYGECLAIRADGNAKLSHEIGLELSQMSSAAGLRPIGAVVFLQRGMLKVCLRTADNATNTSEVAKAYGGGGKPSSSSFALRMDEFNAWTREN >cds.KYUSt_chr4.13209 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81226087:81229723:1 gene:KYUSg_chr4.13209 transcript:KYUSt_chr4.13209 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMIFSASTAGGDAAADAFVEKALPNGDLYRGGFAGGAPHGKGKYVWADGCMYEGEWRRGKASGKGRFSWPSGATFEGEFRAGRIEGQGAFVGPDGATYRGAWAADRRHGAGAKSYANGDYYEGHWRRNMQDGHGRYVWAAGNQYVGEWRAGVISGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPRSCVDLPAISGTFFPPVGAAGAAVRKRSSVEGVGDKAAAVPRICIWESEGEAGDITCDIVDALEASMLYREAAAPGPTYARTQRSVRRAPHPAPCWSSSAATTPEGKRPGQTISKGHKNYDLMLQLQLGIRHSVGKSAAVPMRELALADFDPREKFWTRFPPEGTKVTPPHSSPDFRWKDYCPMVFRHLRKLFAVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVRKSEVKLLIRMLPSYFQHVSRYDYSLITRFYGVHCVKPVNGPKVRFIVMGNLFCSEYQIHRRFDLKGSSYGRTADKFEEEIDETTTLKDLDLNFVFRLRRTWYRELHEQLQRDCEFLESEGIMDYSFLVGVHFCDDVSASKMGFSTFTASPKLSMKTEPFQVGGRGMPELCFSDNDFDRIPNCRKPLIRLGAHMPGRAEQASRRSEFDPFLLSGGGFLAANQTGEVSDVILYFGIIDILQDYDFTKRIEHAYKSLQTDPNSISAVDPKLYSRRFQDFIGRIFVEDG >cds.KYUSt_chr1.25292 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151701234:151701470:1 gene:KYUSg_chr1.25292 transcript:KYUSt_chr1.25292 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRMDMRTGLLSCLMYASSFRCAVGLDGLLGSSIEKCRSMGLDAGGLRECRSGAAAAARADDGARVSGRPKQQDGPI >cds.KYUSt_chr1.41762 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256056019:256059216:1 gene:KYUSg_chr1.41762 transcript:KYUSt_chr1.41762 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSGGNPFLISPSTGHRATRSSSFSFSSSASGAVADEAGTHHRAPGAGDGKATGAEADAGAAFVLESKGTWWHAGFHLTTAMVGPAVLSLPYAFRGIGWGLGLGVLTALGAISFYTYYIMSRVLDHCEAAGRRHIRFRDLAADIFGSGWAFYLVVAVQTAINTGTTIGSILLAANCVEILYSSLTIDGPLKLYHFILLIAVVLAILSQMPSFHSLRYINLGSLLLSLGYTILLSAACIRAGLSSNAPAKDYSLSASKSEKTFGAFLSVAILAAAYGNGILPEIQATLAPPAAGKMAKALVICYSVASFTFYLPAITGYWAFGKTVRSNAMQSLMPETGPSLAPTWLLGLAIVLVLLQLLAIALLYAQVAYEVMEKMLADPTQGRFSRRNLMPRLALRTIYVAFCAFVAAALPFFGAIVGVIGSVGFIPLDFVIPVVMYNMVVSPPRRSLVYITNLMIMVVFTGVGVIGAVASIRKLVLNAGRFKLFSDHAFN >cds.KYUSt_chr3.40895 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258133202:258133747:1 gene:KYUSg_chr3.40895 transcript:KYUSt_chr3.40895 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPEEASTGLEDALNRKAPTDGHEACATEREAGDGCSMASDEAEAGKKAGLSEVQDQGGDATVVADLMTDEEEDQDEAVADCPFDQVDHVDGKMMKEEEDEDEDDDDDDVDFPSNAFYAEWKARHGAQFLHQGADVTVVADELTDEEDDDFSSPFDYAFLAKLEERHEEEFQELLAEMMI >cds.KYUSt_chr7.3756 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22365734:22373951:1 gene:KYUSg_chr7.3756 transcript:KYUSt_chr7.3756 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGKAVRTADVAEIERHTVNALKHFLGGEIPVVRVQPFLEPYFEAVLVVLKCLHERSNGMEGVHIEELANNLHVVSAFLRPYLYDLMFAGLSPLEDYNVYDNFAFTPEDDDPASSMDLHLGADGPVLARFGFPSLGEEDISPNHINRTADVAEIERHTVNALKHFLGGAIPVGLTPAPAIRIRTDGWTDTDPTVEPPPTPYFNNTEEDYTPGSTLHKLGATLGEPYSEAVLVVLKCLHERSNEMEGVHIEELANNLHVVSAFLRPYIYDLMFADLSPLEDYNVYDNFAFTPEDDDPASSMDLQLGADGPVLSRFGFSSLGEEDISQHHINRTADVEEIERHTVNALKHFLGGAIPAGLTPAPAIRIRTDGWTDTDPTFEPPPTPDFNNTEEDYTPGSALHKLGATVGEPYYEAVLVVRSAYTRGLYPLEDYNVYDNFAFTPEDDDAASSMDLQLGADGPVLARFGGATLGEPYSEAVLVVLKCLHERSNGMEGVHIEELANNLHVVSAFLRPYIYDLMFAGDKYQTFL >cds.KYUSt_chr4.51038 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316359345:316361588:1 gene:KYUSg_chr4.51038 transcript:KYUSt_chr4.51038 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAFPSHGVVTTVVTTLFLLNPLNESSHNLLQHPHRAQYFRRPEMPIPANPTTPGLAVTHIVTRWRPFRDDINGYTFYTEEKDMKSDDQNSGVTMESYTGDVKQRYYGKIEEIWELSYAGENVPMFRVRWAKNVIKEDRHFTTVVIPEAKSKTAGAKVTAKYEPWIPPALLPCDALRPPLPPAAATPPLSDATPPLTRAATDTGGQIPSLPRSTVVASRAAGEARLPSLPPSRRPQFVGAQFIGPSSSALNLKNNVNLRKDPEDPDRLQPPLPSPQVRPLSPPSLSIRTPMGL >cds.KYUSt_chr5.13091 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85352023:85353563:1 gene:KYUSg_chr5.13091 transcript:KYUSt_chr5.13091 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSEAPLLLARESSRNETTGRRWWREAVEESGRLVVLAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVFGFSILIGVVTRSWMVPEVEPLRPPTVNGFSHREVEVVNFLPSDEADSSDDMSATTPAVALDEEYLPPQTPVGVDAEKGLKNGDKNRRCKIEFGVSRQS >cds.KYUSt_chr6.22665 pep primary_assembly:MPB_Lper_Kyuss_1697:6:143207951:143209549:1 gene:KYUSg_chr6.22665 transcript:KYUSt_chr6.22665 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPFDMYHDDTGLTFKFLNVFSSIEKCEKWAETRKNLSKSKTEQYNPDAPSPASLDGRPELGQKKLKDLKKMGHPAERMQASFDKCWADARTHAAGRDDKFDDRWREMLANQGARIALLKTTTTAAKKRNTDLAFLMGGNTDVMDEETRICSPFPHPAPPPIPMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr5.31852 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202005894:202007564:1 gene:KYUSg_chr5.31852 transcript:KYUSt_chr5.31852 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQGGSSDSESEDNEITPSGLSFRIPASSLLASAFSSFDTNGTKEILYDRIVNFYTDALNRLPWEDLPELAQLMSTGGLCVGLLDPVSNIILNTVSLLPPGFQDAGPRKSTSLANKSMFYDIASRSYLALIGFLLDYFGCLIEEQAGHYLRWADADLALAVLLIEYDLFSASALTELLPNPGSGRTQASLELAVRRAGHPAPDRLVQLTSSVFPPQRLEAIKSLLAGGTNLTVDDLYLLHRLIQQSGATSNVHITLLPQGGLITRVLEQAVHEGAVAEETSYLGDGYKTTTIKPVGDYISSLRSSEDMDHKKELCWTKGYARQRSFKDHEVSCERLETLRMRILDIIHSLYLDAIALLPGKGANWLIRDILVAGHCYGLLNPVGNIIVNSIWHNRLCPLPVADCNAQYDILDPLSLLRTEVRSLEGTVEFIRAMATQISMRDMEINLYRSRCDTRWAYERFFIGRYTGKADPLFRAAVSAQHPLPAALELFHKQLSKDFDKMEELRSVFNTTSVLSVGDIDRIADLVDEIIKITPELQPSLQSQVPQMHKDAYS >cds.KYUSt_chr1.6316 pep primary_assembly:MPB_Lper_Kyuss_1697:1:38912372:38916716:-1 gene:KYUSg_chr1.6316 transcript:KYUSt_chr1.6316 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGGPAAPPWHSLPDEVWEHAFSFLPADADRGAAACACHGWLRAERRSRRRLVVPNCYATDPRDAVDRFPSVRAAEVKGKPHFADFGLLPPSWGAYAAPWVAAAADGWPLLEELSFKRMFVTDECLEMIASSFRNFQVLRLNSCEGFTTAGLAAITEGCRNLKELDLQENYIDDCSSHWLSNFPECYTSLEALNFSCLHGEVNFTVLERLVSRCRNLKTLKLNNAIPLDNVASLLRKAPQIIELGTGKFSADYHPDLFAKVEAAFAGCTSLRRLSGTWDAVPDYLPAFYCVCEGLTSLNLSYATVQGPELIKFISRCKNLLQLWVMDLIEDHGLSVVASSCSKLQELRVFPSDPFGHNGGQVFLTERGLVDVSASCPKLESVLYFCSRMTNEALVMIAKNRPNFTCFRLALLEPRSPDYITRQPLDAGFSAIVESCKGLRRLSMSGLLTDLVFKSIGAHADRLEMLSLAFAGDSDLGLNDILSGCKSLKKLEIRDCPFGDKALLANAAKLETMRSLWMNSCSLTVGGCRLLALKMPHLTVEIINDPGETCPVESLPFDSPVEKLYVYRTLAGPRSDTPDCVQIV >cds.KYUSt_chr7.21731 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134774340:134775701:1 gene:KYUSg_chr7.21731 transcript:KYUSt_chr7.21731 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLYLGLPPLPRPPGRLGVAIDCPPIIPVADVPRTDEPAGLPAPEEVPLLPVVYSPSNALSTPELSLIDPMLFDWLDGLSSDSEEQAFDAGEPAVAFDAPPSLHGASASPPPLPPASLPLAGLEGLPLECLEMLSHPGRLAPTGGTEMVSTRVLRHSVGGAIEDMAPELRLQRLIQVSEQHRIVRNRNQRATSPDAERLVQAIHQSHSSLDALRRLKLDKMGADKKDGNCGCNSSFECNICLEAAKEPVVTPCGHLFCWPCLYQWLHAHSAHSECPVCKGEVLEVNVTPIYGRGGDERDASSNDVPPRPRANRSEGLRQQMQMPDTRGIASLVRRLIENQDIVRGQAPPPVSGVEVTGLPAALSRARVGRQQRRNLASPSGNATPDSGNQVQLPSSNSNSAAPTDPQQSSSFEQASTSSTMAVIVGQAAQSRRSRPSESTTTRRTRRRQQQ >cds.KYUSt_chr7.39288 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244376677:244380056:1 gene:KYUSg_chr7.39288 transcript:KYUSt_chr7.39288 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPTIGNCPECNQKKEAANVSVFQRLGPLPPRNKHAESPRVEDLEDLEDNEEEEDRYHRPRWCPDGLSRSQKRRVQRLRGFEEAEKLYLHTLRKARPDLAAKIQRTLDEEGRPQKMEWRPKQRKADDETSAGTNMVLILPTEFSGTLEMISHCWSHQLQVQVLIPIIENQHVTPVHIQGNHIAHRDSPRDRFLLTHLLPRLAFVQVLDHDLTAQVPWDLPPPRSLAQEHLGAASRPPDRVGSTSPSPSDHQLLIQEPALPRCSTARPDAPDLGIYSTSGCFSSAQLLHFHPPATPGPDLPEAPANSSK >cds.KYUSt_chr6.16491 pep primary_assembly:MPB_Lper_Kyuss_1697:6:103726884:103728061:1 gene:KYUSg_chr6.16491 transcript:KYUSt_chr6.16491 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFLSQVAPIHLVFSHSDQDQRLPFDLSPLGVQWHKALEEDGQEVVAASLESMRKLELPAPLCYRPRLKRRCLANYSFKLVNHMWWFEAFIAYSLVSATVSPSPFMVVAPPWCPAAGLDVEEEQDVREKASMPVAA >cds.KYUSt_chr5.41642 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262754301:262756575:-1 gene:KYUSg_chr5.41642 transcript:KYUSt_chr5.41642 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKRVVGIVGAGVSGLAACKHAIDRGFSPVVFETDETIGGVWAHTLESTRLQAPTTSFRFSDMAWPESVTATYPGHHEVMEYLRSYVCEFDLLKCIRFSSQVLGVEYLGVAEEEIMGWEQWSGGGKAFGAEKGGRWCLTIKDLKTGNIEVFQVDFLILCIGRHSGAPNIPKFPANKGPELFKGKILHSMDYSYMDNVSEFVKGKSVTVIGSGKSAFDIAAEVAKVNGTAQPCTMIYRTKHWRVHKFSIWGFQLSYFYLNRISQLLLHKPGEGFLHYILASALSPLRWAITKLIEAYFKRSIPLQKHGMVPDYSFSFAMSSCLIAMLPEGFYDRVDEGSIVLKNSKRFSFYNDGIILEDANECIKSDIVILATGFRGDQKLRDIFTANWCRNIVAGSSDTSVPLYRECIHPRIPQLAIVGYSESLSDIYASERMANWVTHFMAGGFQLPSIRCMEESVAEWAKYKSRYNGKYLRRSCISTVNIWFNDLLCKDMGCNPRRKKGFLAEWFQPYGPADYANLSLRQ >cds.KYUSt_chr2.35646 pep primary_assembly:MPB_Lper_Kyuss_1697:2:220202739:220203535:1 gene:KYUSg_chr2.35646 transcript:KYUSt_chr2.35646 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRRKTLAGVTIGRTIGFTLKQASARNKASRKTAPVAKRAEAVLCRGLGIIKDGEVVTEQAMAEFAARFQGQVTQEVIDAMKVLFNIATEDEDEVDQALIQGGGAAALDLEDEVDGTADV >cds.KYUSt_chr4.17121 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106814290:106818569:1 gene:KYUSg_chr4.17121 transcript:KYUSt_chr4.17121 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDEDAVEAAAPASSLIFLGTGCSGALPDVRCLIRPSTPPCATCSQAHTLPPEQNPNYRCNTSLLIDYCDNDGTHKYILIDVGKTFREQVLRWFVHHKVPSINSIILTHEHADAVLGLDDVWLVHPSNHKNEIDRVPVFLTQFTMESVAARFPYLVEQKVEEGDEVARVAQLDWTIIECDVDKPFISSGLQFVPLPVMHGEDYVCLGFLFGRKARVAYLSDVSRILPRTEHTISKYGAGQLDLLILETNRLHGVGHARSSHLTFTETLDTIKRICPKRALLIGMNHEFEHHRENHVLEEWSGRTWGVEGLTYSTNSWTHAN >cds.KYUSt_chr2.31759 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195938532:195940439:-1 gene:KYUSg_chr2.31759 transcript:KYUSt_chr2.31759 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQVHVEEAGDGGSVEENEEAMGRKEQHSSDGGALAEHSLRAFVNGLARPPPHVDAHLLLMIADGGLLRSPETRWLTVLGARGGRRRPGGSLPAPVDVRLLVVHSSGDLLSRSMAGPGGRGRGRRGPGRPPGRGRGRRGGAARAPRSPSPASSSSSHEERCFEFLLRIDNDPLGIKRLPDKFAEFVDGHEPAHLQLREASCNFCRWSVEVLFDGQGKMYLHTGWDKFARDLHLEPGCQLTFLYEGDGEMIVKVFDDTACRVHYPHTGESGSDTDS >cds.KYUSt_chr2.1303 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7708398:7722279:1 gene:KYUSg_chr2.1303 transcript:KYUSt_chr2.1303 gene_biotype:protein_coding transcript_biotype:protein_coding MVPENCNTKQAPNPSCLQVHSNKPHDPQLLMAARRGDWLRLEHLLISGEGDAELGAPHQHVIPIEIEQVVADGVDVGVMPSSAAIAPAAEGDSLLHVVASRGDGEQFLKSASMIHGKAGHLLLNARNKNGDTPLHRAARAGHRGMVAHLVFLARSENGGGSDVDDKVKAILRVQNKRGETVLHDAVRSRDDDMITLLMAEDPQLARVPLSEGASPLYLAVELGHDDIAQQLYEKDSALSYSGPDGRNALHAAVLKGQGSAHDTRIFEDTLVKYAAKFPHPPKGMYYLVDSGYPNYEVAYFITYASLPCDKQALIIVACMALHNFIRDSHLRDKEFDRCDRDEYYMPGDLLPPPTGRVSNIVHGDDVLMKVEAGHDGHWKSDRVKNKKAGKTIDNVVTEELEVPSGNNKSGRKGPDAFPDMDQSLERVYDCKLHIGPCATRGMYAITPGWDCRMKPAATADTTKMLLEWNKGLIRKADRSTGSTPLHFAASWGKHEVISLLLAADPSAAYQPDKNGSFPIHVAAFANKVEAISVLLDTRHDCVELCDAMGKTFLHVAVEEDSPSVVKYACMLQGHEFSASSFINMQDDGGNTALHFAVEKGGLAIFNQLIKNRLVMLDVTNNKGQTPLDLSWTTMPSGVYYGFNTRIVIHKLLRDAGAQTGTYRCDLFSKQDIPKLDQKEEVQKISTYTQLVGIGSVLIATVAFAAAFTLPGGYRGDDHKNGGTPTLVGHSAFHVFIIADTLAFILSALSITLLTYAGIAKINGHTRPHDQLSLCGDTHDLLSEEP >cds.KYUSt_chr3.46166 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290558655:290562582:1 gene:KYUSg_chr3.46166 transcript:KYUSt_chr3.46166 gene_biotype:protein_coding transcript_biotype:protein_coding MCDMDTTSVNVNHYLMRTFNGYVKQCGLFDLGFSGPAYTWTNKRFSSTPVFERLDRCLANAEWCNVFPNTNVFNLPIILSDHVPILVSTESQFHRPKLNFKFENWWTMEEDFQNVAKTAWRSSNNKPFHTRTTNLAGTLKKWCKKKRPIQQQLDTLQDRINTIQKQPIQDQDHSLEAKLIAQYEENMTKLTEFYRQRAKKHWAVHGDRNTSYFHTAALKRRRRNRIVSIKDAHGNNLFDPDDIAQEFVRYFKTIFHSSCPNNGRPFLSTSCPCDTEDFTNSIPDKQEIWEIIKAMKKHASPGPDGFNVAFYTSTWSWIGDDVTNMVPRWLKKVCHESQQDNKRPADSEHFSVPPIHTRHMKSNSASTSDEEREDMVPISWRMKRRHLETKHEDASYEVKPKVYPSTSSCSQQEFAEATRDAASVVRPKRVKIRFTPSANRLVEQQDSSGQGFASDDKSPGYWRTY >cds.KYUSt_chr6.32250 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203500632:203501381:-1 gene:KYUSg_chr6.32250 transcript:KYUSt_chr6.32250 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVLECMPFLPSLKALLNGAFWMTDAACSVFAPMGLCLSVALWKDKVYADRRRRGHDDVVDNVMMMMGGGVSDYGLPVGPGAFKPEEAVAPPRPSASGVLERAGGTPARPIWQRRVLMGVKCQLPRFSGMILYDERGRPVCGGVRDRARDQEKHAAAINILRDML >cds.KYUSt_chr3.29096 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182072804:182074117:-1 gene:KYUSg_chr3.29096 transcript:KYUSt_chr3.29096 gene_biotype:protein_coding transcript_biotype:protein_coding MSESQETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVARVAKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNRVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQVKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYALKHSVSGEIIVKHLNKEQEVDQSNFRDAETNAELEVQEKISLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDEASDDEGLYEDSD >cds.KYUSt_scaffold_2697.386 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2531997:2536985:1 gene:KYUSg_scaffold_2697.386 transcript:KYUSt_scaffold_2697.386 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPSTSASPSAPKMADSQVEGLRKAYAEVMLNMAKESAARVLAAERRAAALAGDVAAAKEEGVATLCRLKGIMEDKIKQVESQSLAHVRTINELQEQLHGAQNTAASLEVELQRANTELEETRKTLAEERMNILPTCDKVDSNKSKISRSKRHLHNRSVSSKNNNDLDAICSVPTDAKENMSSFRARNKKSELYRNGCTQRIRALKQRSPSADSSETNRKQASASNNRSKTGKNDNAKNTGQTRSIMEQILQTKFLGNCKGKRGQRSRPSYMHDSSDVHVKTEDKPSDTPEVNGCLLLIQALEQELSPPQLFTGHSGEGLTNFKDDLLMGGKDAESNLSMASPGPIDVHAVSNLQIVRRKRSKTVRVFESGCSESKSAPGNNLVRSTSEDTTFKSEQRSERIEGHSDTPKVNNVYLLSDAAEKLMRLSDAADKLMHPSDATENLINPSGANTDQFLAVDSSLLALQSTKSQVDGEGALRVDHPNCGTSEFNLGNQEEVKADENCNLASDRVGPVITSSLEKEQSVKSSSGVSVQAEGGRCIKYTFNRRKRKNVSVDSTSQGAVPEKSSSLVSLADKQEFQTKPETQNQLVESPRGNKRRKRKNVSVDTTSQGAVPEKSSSLVSLADKQESRTKPGTQNILVESPRGNNHLVNIAQQLIILSGLKRQKS >cds.KYUSt_chr2.32015 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197449203:197450690:1 gene:KYUSg_chr2.32015 transcript:KYUSt_chr2.32015 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTEQKPHAVCVPFPAQGHITPMLKVAKLLHVRGFHVTFVLTEYNYGRLLKSRGAAAFHGCPGFDFTKIPDGLPPPDADATQDIPALCHSTMTTCLPHLTALLATLNDPASGVPPVTCLVCDVVMSFAYDAAKEIGLSCAGLWTASACGFMAYNYYKNLVEQGLVPLKDEAQLTDGYLDTVVDGVPGVCDGIQLRDFPDFIRTTDPNAIMLNFLIRETSRAASLPDAVVVNSFDDLEGRELDAMRAILPPVCVVGPLLLQVRRVIPKGSPLDVTVQSNLWKEQDGLLEWLDRRPPRSVVYVNYGSITVMSNEQMLEFAWGLANSGYPFLWNVRPDLVKGDAAVLPPEFSAAIEGRGLLTTWCPQEKVIVHDAVGVFLTHSGWNSTLESLCAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEVRRADVAEKIQDAMEGDKGEEMRRRAAEWKDKAARATLPGGAAEVNLDRLIHILQGKTGPAVNPSASAN >cds.KYUSt_chr2.12036 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76413066:76414244:1 gene:KYUSg_chr2.12036 transcript:KYUSt_chr2.12036 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCHLLLGGSRPPKSLPSCSSPSPRWHSLKHLLSIRAAVSHAAADPCSLTLHFLRNSCGLSEPAATKAAARVHLRSTKKAHAVLALLRGLGLAGSDIARVVAAAPDLLNYRADVTLAPKIDFFRRDVGLSDADIRRILLLDPYRVLCYSLANRLRPNYLLLKELLGTDQNVVAAVKQCSEFLHGNVQSELLPKVKILREYGATDDVIIKLLTKHSRSLNHKYGGSLFNDTFAAIKELGVSPSHGIFPHAFGVLARMYPSGWKRKMDNYLSLGWTEEQVKQAFVRHPYCISVSADKVRLIWQFFADKLGWTPEYVSASPMLISLSYEKRILPRYRVLHILVSKGHIRSIRIAHLILGEKKFVDKYVTNYLETIPQLLEAYRAGTDSAVTAK >cds.KYUSt_chr3.2640 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15184446:15191914:1 gene:KYUSg_chr3.2640 transcript:KYUSt_chr3.2640 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRSVCTASIIVLLLSLSSFLKPSAGAAPSAQLLTWMCNNGTFYAPNSTYQSNARSLLASLAANASRSLFSAGAVGASPDTVWGLALCRGDDTNGTDCASSCLSLAPDVAFGSRCMGVKDVTVFYDRCTVRFSFRDFLASRDNGQVQTSASQDTVTRDGDARRFDGLVVSFVGALSDWAAFNTTSRFATGIMVSDQGFPTTSNDVVHNINGLVQCTPDQAPAQCRECLQGLIDDMPAVFNGNVGGKVLAVWCYLRFKTSEFFDGTPMLKLVAPQLQPPPSTDFTPGNADDTVHRQWRCFRWGRVVFLVETGDATTGDGGCCDRRQRCCKPGQRVLQPAAAEDAATGGGGAASRASAWYHRRRRRLLRPVAAVLQAEPARATDGGGGGCCYRWRRCCKPGQRVLPPAVVEVVATCGGGAASRASRCYHLRRRCFDPGLQCCKAGRRCFHRQAALPSAGDGVARRGRRCCKDGSWCCKESASMLQGRVLSADSGGDTTGDSRCCEPTAAVLPTCYGSASSRTGRCSQGSWVELPPAKAGGIAIGGNGTGWRQRAATVSAVILGAAFILACISMVLIWRNARTQFAYQEDDGPASLLFDLPTLRHATDNFAEENKLGHGGFGAGVLPHGQQIAVKRLDKASVQGLKELRNELLLVAKLRHNNLTKLLGVCLKGAEKLLVYEYLANRSLDTFLFAPDIEKRRLLRWETRYHVIYGTARGLLYLHEDSQIKIIHRDLKASNILLDSHMNPKISDFGLARLFDRDRPSTITSQVVGTLGYMAPEYAVLGHLSVKTDVYSFGVLVLEIVTGRKNTDLFGESAVEEPSTLLSYVWDQWLKGTALETMDPLLDCEVAEENEALKCIHLGLLCVQENPADRPTMLDVLVMLHGHESSFEAPSKPAFTYAYGDQSSLDDTVSGSDRRAGDQVVSSVNGMSVSEFQPR >cds.KYUSt_chr7.34872 pep primary_assembly:MPB_Lper_Kyuss_1697:7:217907685:217910671:-1 gene:KYUSg_chr7.34872 transcript:KYUSt_chr7.34872 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMWKQSGLAAMACTDVGTGAGRSRRSARLVVYAFAVAFAALTAYIALSSPPAPAASAIVGEGRASWFDGVYASTAPYRSQVSTFFSSIFPTNSSAPSPEPSPRAGGGKASGSSQVSRDGDSTQVESGAGSSNSATVGSDKQLGSGGGAPTSNASGAGAPPDGTDTAAAKSGSKDGVEAPTDNSAPSSGGAPISAADRNKETSAVSSSTQAGDGGGSPTSSSAGDRTAAKAEEESGGSSKQSGVEAPTGNTAAGNGSLVKADIKGSVGISGSSAAGDGTTAKADSKIGSDNQTVTASAAPSSGSAGGNSIAAKAGGADAAGAASNGSAGSDTVAKPDLNNESDAQSGSGNGGASRKSSPSPSPVKSSAENGGVQQDKTSADVASTSNNQTKSPAIAGEKDVGSSSKNQTLVASPVVKKQDQTSRASSGESGHTVIKKETTPQGSAGSLKDHSSQMIASLKGNVSSTKQAGGSSGNKKVDWIKEMAGCDMFHGNWVRDESYPLYPGGSCPHIDEPFDCHRNGRPDQAYEKLRWQPSECSIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRHSVKDKKKVFEASGRREFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWETRVSNGNKKETLRLDIVEQSAPKYKDADFIIFNTGHWWTHEKTSLGKDYYQEGNHIYSELNVVDAFHKALVTWSKWIDANVNPKKTTVMFRGYSASHFSGGQWNSGGSCDKETEPIKNEHYLSTYPPKMSILEDVIHKMRTPVVYLNITRLTDYRKDAHPSIYRKQHLTEEERRSPEIYQDCSHWCLPGVPDSWNELVYAQLLISQHQMLQQ >cds.KYUSt_chr2.10208 pep primary_assembly:MPB_Lper_Kyuss_1697:2:64671181:64672642:-1 gene:KYUSg_chr2.10208 transcript:KYUSt_chr2.10208 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVWSRVQDRLDQILSIMCSWLRLLELRWSETVRFATPGISVYKIQLCYPWILDAGLLSLPPLAGHGGEEKRKDGAITYESGGRQGSSSSLACRRHVRLSPLSGPVVLLWWETGLEGSNNGSKPWGKVTRAKVKNCK >cds.KYUSt_contig_2785.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000500.1:34139:34462:-1 gene:KYUSg_contig_2785.3 transcript:KYUSt_contig_2785.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVIQCPHSKPTSRSRTAVQVRRCMGEARARDGRRASETEEDPTDATAAGQGALTAVERSGGEDFGIGSSLEQGEDGEGVDRDFVDGARHRARIRFLARLQPDGWM >cds.KYUSt_contig_988.399 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2375220:2375948:-1 gene:KYUSg_contig_988.399 transcript:KYUSt_contig_988.399 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAERLALAFLLAAAAALAASAVDTKLTLQNLCPFPVRPLVTPNGNFSSISDNTIELDPNGGLVSFPFPDTFWAGSVVARTFRRTPTSCDTGSSPPRTVVQLAVHSTEDLATYSVSLEDGFNLATVVTPLFSRGGQCLALGCPLNLTNGCPVDQVKFDDCGVMVACKGDPGYFKRRCPLTRVNGTDREPLPQSCIAPRELKVVFCQMELAHLTMVGAASAQTELAHLTMVGAASAQTEHM >cds.KYUSt_chr5.39811 pep primary_assembly:MPB_Lper_Kyuss_1697:5:251709253:251710563:1 gene:KYUSg_chr5.39811 transcript:KYUSt_chr5.39811 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRSKKQKLAADADADDTADFASLPRDVLGSILLRFPASDVRRFRRVCRDWRDAISDPVFIAEHMLHGPRAPTHTVVFYPGRVKGAAGQEPLSGGGFLFDEQWRLTARFAVDGSVDMIGTCKGLLCFRDKLQGEGVICVVEPFAEPFAGNSIVLPLPPRGDPATCSARAYCFGFDAATTRRFKIVHVDFDAAANTVTDIQDQELQVFTVGVDTHWRTVPFSCAVHGLSYDFPACGDGAVYWHSKAVADGAIMNVRFDLVTENITSVRGQVDARRPEGPISCRPAHWLPRQCVMGIKWFGEWEDGCWPCNVTAVPHAVYGRHLPGPHTLQRGRLLLQEEDGALRAQEIKGSSMSDLYVWFNFGPKQLIEIGIKEEDPAERNQFVPVRGRHWPSKFEVGRLPHEQCDLSTFAYIPTVSPTPFAMYLGTPLQDLCKL >cds.KYUSt_contig_686-1.675 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4010426:4017300:1 gene:KYUSg_contig_686-1.675 transcript:KYUSt_contig_686-1.675 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDNLDWFSGQPTSVAAAACPRRRRVAAAPMATELVPVVDLRALSQPDLDALAAASAHAVAPGTCPDAGPLPPLNIDRAVFNESAGSRKQTFSRHRLATAASSSAALPTSPAPSAGNDPENHLIAHHLRRLFARDGPARPPPSSSPPPPQTLAVREPSPPPPPSPDPDRETRNSKGVSVDLVRLAGLEDPYGEELQRRTAGLMSEHELMGFITGLEGKWVSQRHRRKFVDAAFFGDHLPSGWNLLLGLKRKHNQVWVHCLQYVREVSVHLMSLLGYPEAITLPIQHNSPKHLRDDDGHDDGHDDGPDDGHEDAHDDAVGFQQQVHSSVDNQNALPVTAVNVSSHSGNSNDDKEDGNRNPANTNQNALPVTAVNFSSHSGNSNDDKEDGNRNPANTYQCEKCNLTLHDQSAYDQHQLLFHKKKRGKRRKRSSKYGEPIVGKDGKFECPVCHKNFEEQSRYFGHVGAHARYEGLTPEAFLDKILSGKAVNYPVGELQFTLQDLSESTEPNVKTPSEAASLHQNYSKEQGLDRSKVKELFGINCSDSFNKPNEALCRPEEVTPVTDARSACKYGNDMMDYAAVTIPKVAPQSNDQLNGGINGFAVFGNQAGSYHAFRPTTFASANHCYEDQIGDRSLPSSKHVEFSNTMKARDVNLNSRLDTISFPIAGVNNETSTALDEANQSSITGKCFSASLNNNDGASTTSSCSGSNNKVPGSLGMSTGSSNAARCISASYGNDSVANIFGNKNSTMAYPSNMNMRPISPVVTNVDSFASRSDHSKNSDKERASNTKERMNITQHRTRNEAAFGIEGYGTDVYTGDVTERSLAQFSNNLSHLKPNIPSSCALPESNTLTASNFMKGTHVQCINGSFIYTSDANNMEGSFVNKSISNNEPKGSAHDVMGKPSNNMQNFYNGTAPNCTPPAVNTSQNVNGVVSMQGNFGYMSTLVHSVGDVPRSSTTQDQCDLQLGFGGQKQHIFPGYGELRSAASGSPQLGGMARNNNLPTGSSQFGNMVRPNSLPVGSSQVGSLASSNYVQSGSSQIGRMAGPNSTPPAESSQFRRMAGPDTRPPADSSQFLHTSGSNSRPPAESQFGRVSRPNPVPAGESSLFRRMTGPDSGPPAESSQLWHMSAPNSRPPAESSQFGRIAGPNPVPPSESSQSRSMARQNFVRTSEPTLVLGNAPQMGSGPPVQSGWDLNLSRMVGGGGMIAALCVWCNSQFHHFGSVDGQQADNYGLICPSCKERVAAQRNMPNNGSWQP >cds.KYUSt_chr7.11728 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72102477:72104146:-1 gene:KYUSg_chr7.11728 transcript:KYUSt_chr7.11728 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGAWLPCIATLASCLLGFVLYFYAPYWGVRAVPGPPALPIVGHLPLLARHGPDVFAALAKKYGPIFRFHLGRQPLVIVADPELCKEVGIRQFKSIPNRSLPSPIAGSALHQRGLFFTRDARWSAMRNTIISLYQPSHLADLIPTMHRCVERAADTIRFAAGEHGDFDFSDLALKLATDVIGQAAFGVDFALSAQHDGGGEAAEFVAEHVHSTTSLKMDLSASLSIVLGLVAPALQAPARWLLRRVPGTADRRIAGTNERLRARVEEIVASRERDGGKRRERRDFLSALLNARDGGDRMRELLTPEYVGALTYEHLLAGSATTAFTLASAVYLVAGHPEVEAKLLAEVDRSVPGAAPTADELQHNFPYLDQVIKEAMRLYTVSPLIARETSRRVEVGGYALPEGTWVWLAPGVMAMDAAQFPDPGEFRPERFDAGCEEERRRHPYAHVPFGLGPRACVGQRFALQEVKLAMVHLYRRYVFRRSPRMESPPELQFGIVLSFRHGIKLRAIERCSAAGQ >cds.KYUSt_chr3.5161 pep primary_assembly:MPB_Lper_Kyuss_1697:3:29318164:29318652:1 gene:KYUSg_chr3.5161 transcript:KYUSt_chr3.5161 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVARLFDTLSLDAWKNPFSSIFGTAAGADAWLASDTTAFAETYIETRETAEAYVFSARLPAGVSKEEVRVDVEEEGHVLVIAGQRSVRREARTDEARHVIERSCASFFGRFCLPDDAVVGQVRAAMDDGGELVVTVPRIGAAVLALPEPALAIEVEPSPC >cds.KYUSt_chr1.29776 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180268678:180273541:-1 gene:KYUSg_chr1.29776 transcript:KYUSt_chr1.29776 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRVAGSPCRPRSARYAETGYPNYAEEEPDLRGPATPSRPRSARDAENKACRDDAEPDVCAVSAAPCTDKGYPTYTGPPAASAPGRPRSARYAVKGYPDYAEPDVGAISAAPCAEKEGQPDDAESGARRGHEGGVPVEKPVLYYERRRRRAAASATETAVTTFSPFLQGGEAVARDGRKRRTTVAVPMATDKEEVVPVDGGADEGGGKSARLRVKETLRAFSSYYLHFVQEEQQREQAAKKELKASRALKRQANNQDDEGSEVKRPSKRPDLKTLTKMQATNAALYQEKRIGHLPGVEVGDQFYSRAEMVVLGIHGHWMKGIDYMGPNYQDKVLHWSGEDGIAGFGNRRVWDRDTEGVA >cds.KYUSt_chr3.28255 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176339643:176341136:1 gene:KYUSg_chr3.28255 transcript:KYUSt_chr3.28255 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVSGQWTRLRTLGCGASGAVVSLAADGASGELFAVKSAAAADAALLSREQGILSGLCSPDVVRCLGGAHRDDGSYHLFLELAPGGSLADEVARNGGCLEEQAIRAYTTDVLRGLAYIHGQSLVHGDIKSRNIVIGADGRAKLADFGCARMVDSDRPTGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSGIDNVLAAVHRIGYTDAVPETPAWLSPEAKEFLATCFARNARDRATAAELLEHPFLALQAGEAKGRRWVSPKSTLDAAFWESESDEESEDEEEISGNAAKRIKSLACSVSAFPDWESGEGWIDVLGGEQCAEVCDSTKEPADVASRAPSKVFGCAAVQAEGVAVVSGLSSDEQLDAEEGPPFGGDILPDDRSTDRRNKVISNPHRDVLSFEIPCNRINAIEKFRFPRIFLSHFLINPLQFLLCAGPVLINVISLNFHACLGEKQHVCFALPFNHKEFMLKFTTVKASVTESRKHAN >cds.KYUSt_chr7.338 pep primary_assembly:MPB_Lper_Kyuss_1697:7:1949601:1950293:1 gene:KYUSg_chr7.338 transcript:KYUSt_chr7.338 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTTFTVVANPSIIHCDLKPSNILLTQDMRARVGDFGIARILNEAVTEASESSLGSIEIKGSIGNVAPEYGEGLSVSTYGDVYSLGITLIEMFTGRNPTDDMFRDGLSLHYFAEAAALPRNVMEITDPNIWLHDETNDSNDKKYRARAQKCLAGIIQLGVVCSKQFPREQISVSDDAADMHAIRDAYLSNQ >cds.KYUSt_chr2.53192 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331857851:331858567:-1 gene:KYUSg_chr2.53192 transcript:KYUSt_chr2.53192 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEYRSSSSSEDSAGSASAAAAAAAAAAAMAPLAAAAAAVAAKEELGVTVAVAPPMALAPLSQQQPRRQYRGVRMRKWGKWVAEIREPHKRTRIWLGSYATPVAAARAYDTAVFYLRGRSARLNFPDEISALAPLSPPPEELEADGGALSAASIRKKAIEVGSRVDALQTGMTMVATAAPATNHRERQRQHQHAQQAARDEELLQLHHQKQQRTAWNGRAKNPDLNQAPDPDSSDAE >cds.KYUSt_chr2.33956 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209910954:209918979:1 gene:KYUSg_chr2.33956 transcript:KYUSt_chr2.33956 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSWGWRLLLSAALLLAASPALLCPGAAAASDVSLTLTSAPPRISRSAAAVFAFRAMQSSGWTCGDCAITCKLDGESASDCGGRSGNGNGTEVVSYAGLKDGNHTFAACATPASGSADTTCATYAWDVDTVPPTASVAAESAFTSASNLSVLISLSEPCPGAGGFTCNATYCNLLVYGPGRVEPSTLEEVVPGLQYSVVVFASPEVEYGRLILVMRRGFCTDVAGHHFRRTSNSSFTLRFDRRKDAMNITATIPEKLLQIEGATRLVQATNDDRELRIYMSFAEPVMNSSAEILAALSATGAVLTPTNRATLGNRRFGYVVSKISDTAVVTVAVDASSIISRQGSPVYSSAEPFTFLYDNQRPSVKLATSTVRTSSHNIPVLIKFEKPVFNFSSSAVQVSGGKLLSFHEASKSIYTVQIQAVDKLVSVQVAESSAQDVAGNLNLASDRLQVRHYSVPASSSSIAIIATAVFAATAAVATLLTVSTSSLIASGSITRPSSYSISEPSRNILRMACHIQIFALSRWLSINLPVEYYELAKGIEWTIPYIRLPWEGPSADPFVGYSTMPAIAYSELVDRIGIEADAYNPRPAPAAQQQIMPMQIPADSAIPLQIPALDGKPLTAMEYRAFFENQDMKPEAQIIMKLQDLDGWKYFGRNMLWLAVIGGGLILLHLLLLLYLRIRYRGGTGNYGALVLPRFEIMLAFLAMPCISQASAALIRGGTTGGLAVGIVLIGVLTAFLVALLLFLSLGITTGRLLQYKEVHQEGQEHRWYQEIIRRMLGPGKRGQWTWKDPARAARLVKLGPLFEDLRGPPKYMLTQIVVGSSGKRAAADQRIMASEDENEDAEAPLLQKVFGILRIYYTLIESVKRVALGIVAGAHASSGRSSRAHAVVVLALASFQLFFILLKKPFIKKRVQLVEIVAVASEVFVFAACLVLIDRNSGELEDVEESGAVGIAMLGVFALAFAAQVCNEWNALYRQVQYLSADRSSFLEGAKAASVGLLLLVLPSSALGDRLQTTATEQSTPDSGSGGGQGVQGEALRSSNERSWLGQLREMAKASFSRDGEDPSTSSAYKGKRSRSSSVTSQSADAKAKGEWRPKSKALYKDLEAIFSNSYSAQHPSAHVRIPNWKILPPARTLHFPPRRRREIPSPPVDSASGWAIPLPNQSTPDSGSGGGQGEALRSSNERSWLGQLREMAKASFSRDGEDPSTSSAYKGKRSRSSSVASQSADAKAKGEWRPKSKALYKDLEAIFSNR >cds.KYUSt_chr7.16696 pep primary_assembly:MPB_Lper_Kyuss_1697:7:103467373:103474834:1 gene:KYUSg_chr7.16696 transcript:KYUSt_chr7.16696 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLLLPHSRCHPHPHRLLPLFRFCSSNSGNPPPPPIKPVSYAPKPQPPPAAEAPSPEPPSASPDDPLPRRFQQQPQPQNPPRQFTRQEMRFANESGPAIAPVSYPSRVAPLPEDRPAAGEEGVNEEDLRGEGERIEKEAQWGRRPVFGLQVEEETVPYPTLIPVVKRPQKVAIDLVDALREIKASANEKKRNFTETVEAHVMLGVDPRRGDQMVRGAITLPHGTGKTVRVAVFAEGPAAEEARAAGADVVGGDELIEEIRKGGGKLSFDKCIATPMYMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKVNFSEESLRENIGAFVNALLLAKPVGLKKSSRFGQGMTMLCIAVPFTKLRAPSDSDLLLRRLRLRALCSVSTLASSIVSSVEVAQGTVAVQFVLYRKWMQDIPGAAPHCPGCNCK >cds.KYUSt_chr4.39162 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241715073:241726882:1 gene:KYUSg_chr4.39162 transcript:KYUSt_chr4.39162 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDEGAFRGHFLARRRAGTETPVPQILASRWRRLWKESHAGGLMGHFGREKTLLMLADHFYWPKMRRDVDRYVKRCITCNKSKSKLKPHGLYTPLPTPTTPWEDIIMDFVLGLPRTKSGHDSIFVVVDRFSKMSHFIACHKSDDASHIAKLFFRDIVRLHGVPKTIVSDRDVKFMSYFWKTLWGKLGTKLLFNSTCHPQTGGQTVVMNRTLSQLLSSMIKKNMKEWEDCLPHVEFAYNRAVYSTTELCHFDVVYGFKPVTPLDLLPLPIHERFYMEASKRADFVRKIHVKIKELIEKKGKRNAARMNKKRKEMLFKPGDMVCVHFRKDRFPKLRKSKFLPRGVGPYKVLAKINDNAYSIDLPLDEFDVNNSFNVADLTPYDGEDLGASRYEEETSIARGGEEQLDVKMDVKLDMELDMKIFHGRAREEREACVRGEAKVQAGPAPDRVRGLPPADRTPVTTPEFRAPCSLAAGDSRGRCRELPPADRAARRRPVQPDAAEIPRRRRSFTRRAPWCRRSPAVDAAGSRRRIAPPGRRPVQPDARRNSAPPPELHAPAHLGAGGVPRPSQPGAGGVPRPSLPGAGGVSHASLAGAGGPPGGAHRLTPAGWRPPTLRAGDLSQTDATGARGVEVEDPEAGGVKAGDLAAVDVEDQHLLVQDMVKGTRGVQDNKRKRINNKTRLQYDDPLILSNDYMNEQIDGGKLYMLVSKRKKAPLSAKDVWRYSRMRQEDIFSKPLIHMRLGFPRMRVHCDKMQEQQRGKDAIIDLNLSPSHQMLEQHRVHNVVIDLNLSPSHQMQVHERGKDVVIDLNLSPSQQMQVKDRGKGDETMLEQDRGKGDETMLEQDRGKGDETMLEQDRGKGDEMMLEKDRGKELRDEERYGLYFALEVIRRRDGGFTKEDKQLIAEMLNTSIRTVERVWNLGKNQIAEGKRRVDVSNQKKGHVGRKRIDLGLSRVPTIPLNKRRTIRSLAKDLGVNRTTLHRRFKWGELNRHTNTLKPLLTEANKVQRMKFCLAMLNENSLPSPEPTFKVMDDMVHIDEKWFILSRVKNTYYLLPKEPKPLRTVKNKNNIAKVMFLTAVARPRYGEGDIVTFDGKIGTWAFVKEIPAAKKSKNREKGTIEVKPIKVTRDVMRNYLCELVIPAIQDKWPDEDVGRTIFIQQDNAKPHVLPNDEGFRQAVAQTDLDIKLLQQPPNSPDLNALDLGFFRSLESHTDTRAPNNIRELIEGVEEEYNNYEVDKLSRTFVTLQSCMIGVMENGGGIDYEISHMNKNRLQAERSLGIPLTISAELLVKTKDLIKAAEVQAKQQSAPIPKIKQRNSHKKENKEVSISLRKPHLVGAGLRNMGNTCFLNAILQCMTHTVPLFQKIRCTDHSSPCS >cds.KYUSt_chr5.3723 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24055051:24056247:1 gene:KYUSg_chr5.3723 transcript:KYUSt_chr5.3723 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPADEPPPPLPADSIVEILSRLDDTVAIIRCAATCKDWRRLILEPSFLSHRRAGPSPLLGFFFRDTSQRLPRRRLYRRRPTRFLLLGPSQPQATTALHLSRFLPNAADLSGSAPVASAPGGLLALRRSPASWHGSTKFWVCDPMAGTSTLLPPLTPTHSSPENFVFLDADASSFRLLAAMEYPTAPYILMRVFSSRAGQWGTAVTAQLPDNMVLLLSSPAVVHRGAVHWICGTRALPNAVHALAVRPNQAEASVCRFDLPLRAGMHRLSHSPGAVRLSSSSQGCLSLVLLDEPVISIWNFQDNATGANSWVLHKTVYLMSVLPSTIFDDPTAERELSIEALCDQSGSLFLRAEDEGLFVLNLETEMASKVGDDHCVKYLCPYVPDLSSCLGAMKNF >cds.KYUSt_chr1.12553 pep primary_assembly:MPB_Lper_Kyuss_1697:1:77300020:77300307:1 gene:KYUSg_chr1.12553 transcript:KYUSt_chr1.12553 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGLQLRYGFGGGGEGADVVRLWPCDEACWLHVGDARWVTSLVVRSTAGAVLKKPEVIGCRCGARAVGIDGGTGHGCSGRSTFYRRVASNAAGG >cds.KYUSt_chr1.24434 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145827291:145828532:1 gene:KYUSg_chr1.24434 transcript:KYUSt_chr1.24434 gene_biotype:protein_coding transcript_biotype:protein_coding MACEPPPPPKSPPPAPTTICDLGDDLLREIFLRLPSLPTLVRATLACPAFLRAVRSSPAFRRRFRDLHSPPLLGLFLDIFDSDTPAFRPVRLRSDPDLTAAVRGADFLLTRLPDDEGSAPEWSIKDCHDGYVVLISYNRTDGLAVYNPLTRALHLFPTPPDEIFIDMCIEYHVLSPEEDQGPFRVICVCSEDYGAQAAVLSSDTREWQVFPLVFAPNMRPVLQPLDENFTPDNGKLVNGSIYWVAVSLATARVLNTATMQFSRIDLPHVEGQEALVAGETRDGKLCVICTVKLTLVVWLWGTNEDDGLERWMMDKTFSLEQGIDGLRHCFTDDHVILEVMAIEDGFVYLSAYCEVDLKLPGWFLSFCVETEELNKLCPIIHDDMYPYIMAWPPSLVLDKVNPRLETLFIGCLL >cds.KYUSt_chr3.5721 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32346630:32348090:1 gene:KYUSg_chr3.5721 transcript:KYUSt_chr3.5721 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVARLIAARGPRVTIVTTPVNAARNRATVDGARTAGLPIELVELPLPGPRHGLPEGMEAIDQMIGHEPGMFIKFFHAIWDLAGPLEEYIRTLPRRPVCLVSDGSSPWTAPVCDRLDIPRLVMHFPSAYFQLAVHCLTAHGVYDYDRVDEMEPFEVPGFPVHAVGCKATIRGFFQYPGVEKEQQDVLDAEATADGLLFNTFRGIEGTFLDAYAAALSKTTWAVGPTCASSGMVDDADSKAGRGKPADVDAGHIVSWLDARPPASVLYVSFGSITQLSAKQLAELAQGLEASRRPFVWAIKEAKTDAAVRALLDDEGFEARVQDRGLLVRGWAPQVTILSHQAVGGFLTHCGWNGTLEALSLGVPALTWPTIADQFCSEMLLVDVLGVGVRSGVKIPAMYLPKETEGVQVTSGDVEKAIAELMGDEPEAAVRRTKAKEIAAEARAAMVEGGSSHSDLTDLISYVSELSRKRLHENSTALPSAAAEL >cds.KYUSt_chr4.8023 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47816287:47823352:-1 gene:KYUSg_chr4.8023 transcript:KYUSt_chr4.8023 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLVNIPFADLEALVGSAIQMEGKLHQANENRKRGMMNQNGPHHTQKYRNNSSGGFTPRHNKPPAQSYRPNYTNNNGGPPKPGGNNINSHHNNNNPNSNSNNGNNNNTNTGPRTGSNAIPVTPKDKSTVNCYECGVVGHYSNECPKKLAKIAANTAAPAQQQRRFAGRRNQNNNNGRLYHMTATEAQEAPQTMPTEVQLPAMAEARSVIVRARSNESLDSVVGKISYGSSEAWDDDLERNLILEFEAEAMSEAAAPACSSTASSEDSSCYNNLADSGGEATSRASKRMKMPVCIQRGRKRKETFRGVRVKSGAGHPFVEPCLLKNGALSCISVEGVKPGPACFPAGGAWPVSGGLSGRSSGSASGVVDGDVFTLPADIGRMPKCGRSTLNSAFSAKDMAFAAEEMSARELGWVFDIGLAGVAKVDMFTHFNRDHSFFLLQCVDSNNGKLVLGHGRSVPVNGSNMSSILGLKEGGSVELEHVDGPPEAEEVYDARLMLGLSLGNTEIDTVALVSIVKEEHPAEPDEYHVNRFKLAYAMLAVSIFFRPVGKRWQVPRDAYLLAAMIPDLGNINWGNYVARGIIDGSFQVQKELANRSRGHSVYGCLYALEVLYFDHVAGGAYAVNPGLLPRVMQYGAASISTLIRQDTLNLATEKVYGMFMSRGTRIGLGSVAPSVGRMGIGIHGVGDCGSVASGTIPAVAAPTSEHRNVQVLQVSIEDVPKLVRSAEDWLRDAMASEEVRSKERTSKFYDALSDDIQMNDPHVIDKVDAHEKKEEWLTLFHLKKMLEHLVERGVGQYVPGLLDKLAKINSSVDVPIRSAGSELFDRVSSQLGKAPAVYGGPSPMGGGIAGTPDNMLPPKKRSTSDAMYDPDTKELIVYTATKCKRARAEINYVICGRKKVNVCERTKCPFSLEVGFRAPTDKVAELGYRNMIFAKQADMKKLWVIHLTPTLLKMSGFDMLTEFHRMTSSGFMVLYGFDFECETLHVFDPKRCTGGRELLESTHFDICDKLLQGMADCIESCFDGWEVDQSKWKFVCHEYLNEPITSADAVLYVIHYIMYFDGVCVRRPLRNADLYGMKLRLANMVLNMPGNVGHPPENVKNPEVEDMATMSPGAEPFAA >cds.KYUSt_scaffold_1700.153 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1009364:1019715:-1 gene:KYUSg_scaffold_1700.153 transcript:KYUSt_scaffold_1700.153 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYAGNRHKGSKTTLFSLRGSHRHIVSKIEEHEAPKIACPVQRAEPDPDPDPVPAPPPPKPSLQIRSSTPPPSAPRPSARRGPTSNAAHLLLPNLQAVVLFRPVILRDLDLGLGRSGEHSVHRVFLDPGGKHCIVTVIHPGGAETYYHHARWPRPKPLARLRGLLVNAVAWNRQSITEASTKEIILGTETGQLFEMAVDEADKKEKYVKLLFELTELHEGIKDLQMETTVVGNATRYYVMAVTPTRLYSFTGIGSLETVFASYADRAIHFMELPGEIPNSELHFFIKQRRAKHFGWLSGAGIYYGELNFGAQHSSTSGDENFVENKGFFDYSKLGDSSMKPASFALSEFHFLLLIGDKIKVVNRISQKIVEEIVVDNTAESSKGIIGLCSDASTGVFYAFDDSSIFQVSTSDEGRDMWQVYLDMKAYAVALSHCRNAFQRDQVYLVQADAAFAAKEYYIAASFYAKMNYILSFEEISLKFISVGEQDALRTFLLRRLDNLTKNDKMQITMISTWATELYLDKINRLLLEDDTGTTTNTVTDSHSSAYRSVVNEFRAFLSDSKDVLDEATTMILLESYGRVDELVYFAGLKEQYEIVVHHYIQQGEARKALEVLQRPNVTVDLVYKFAPDLIMLDAYETVESWMIARSKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNNEDPGVHNLLLSLYSKKEDESQLLQFLDTKFGSGQANGPEFFYEPQYALRLCLQEKRMRACVRIYSMMSMHEEAVALALRVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYNRQIEQLKQEMDDATRGADNIRSDIGALAQRYTVIDREEECGVCRRKILTVGGLHQVGRSYTSVGHMAPFYVFPCGHAFHANCLIGHVTRCTSQVQAERILNLQKQLSLMDGKAAKDNGGIGNGEPIMSTTPVDKLRSQLDDAVASECPFCGDLMIKEISMPFILPEESAEKASWEIKPQPAAQKILPMTMSI >cds.KYUSt_contig_1130.81 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000106.1:527115:527372:-1 gene:KYUSg_contig_1130.81 transcript:KYUSt_contig_1130.81 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRHTCETGMEARHARETPELGKGGRSRGNADGAGSSLSVALRNTTAAPPLPRPESAPPSLTSSLVEYWTAIHGSGDLELNQQS >cds.KYUSt_chr7.4299 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25735757:25737022:1 gene:KYUSg_chr7.4299 transcript:KYUSt_chr7.4299 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGQSSSLQRLHNVEKRIVRVLELAGSVMEELGNAQGPRADAVAAHCREFMLSMKEIQTTMREEIKSACEYRPFEKCDYSARIANEICCKKLEYVIEKMDAMQLNIAHSANEV >cds.KYUSt_chr4.47890 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296553549:296557838:1 gene:KYUSg_chr4.47890 transcript:KYUSt_chr4.47890 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLPELLRTASTAALLSRRLPQPHRHLLPALPAPRPASHFYATQSAPAAAARPPRAPRPLRTVGSLLRLNDLRNNPGATQQKTRKGRGIGSGKGKTAGRGHKGQKARGTARFGFEGGQTPLRRRLPRRGFKNRFSLTFQPCGLGKIAKLINAGKIDSSELITMKTLKDTYGIGKQIKDGIRLMGRGAEEIKWPIHLEVSRATARAKAAVEAAGGTVRLVYYNKLGFRALLKPEWFAKKGRLIPKAARPPPKQRDKVDSIGRLPAPTKPLPFTTDELEFRAKREAAKVIAV >cds.KYUSt_chr1.26704 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160983966:160986862:-1 gene:KYUSg_chr1.26704 transcript:KYUSt_chr1.26704 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIDATSLLRAASFKEDSYVAAALPSSDLRALADLRALLATHPDPISIWGVPLNPPPPPTNADGSTPAQAPDERADVVLLKFLRARDFRVRDAHAMVLRCAAWRAEFRADAVVDEDLGFKDLEGVVAYMHGWDRDGRPVCYNAYGVFRDREMYDRAFGDGDRLARFLRWRVQVMERGVRALQLRPGGVNAIIQVTDLRDMPKRELRAASNQILSLFQDNYPEMVARKVFVNVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLFKFIRPELVPVQYGGLSRASELENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWELDYGAEYVPAAEGSYTLCVERTRKVPATADEPVHNAFTAKEAGKMVLSIDNSGSRKRKVAAYRYFVRKPSA >cds.KYUSt_chr4.27030 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169795575:169795988:1 gene:KYUSg_chr4.27030 transcript:KYUSt_chr4.27030 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLTVIVPNYVPDERSFQDHTRRDSTRRGFFDRVRPERDAAAQDVRAGGCFSCFDPASSEAIAGLRVPVAGETREECCAVCLEDFEEEGGDVHLRTMPCSHSFHEGCIFRWLRVSRVCPCCRFPLPSADEECLQD >cds.KYUSt_scaffold_1854.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2642:4579:1 gene:KYUSg_scaffold_1854.1 transcript:KYUSt_scaffold_1854.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGLPRTPASSRSARASEPAPAASPAPPLPARFLADELAAAALARRRSDPAVQGRMARPRARARPRLRPAAATPHPSPPVAPAAAVIAAAPAGSGPACPSVASTVDSVLQALRASMQAPCAAAPAASGGAVSRSPRPRHRSGMAVAPPTGSHPLDGLLCDPAVLVEGLGSLSLLSVASGGPAEVPLPAGGAMPALGSLWVASLDSDEDDDDEELAPRSPLASSEGVVSGSVRDTADVRHDDEAPAEPCDNISAAVGALGDEDDWVKVGQGGRPSREPSTSPRKEGLERSLAFKRWARGRCFRCLERDHQVNTCREPFRCIRCRRPGHRERFCRACFPADRSCSPDGRARSPDASAPCSRRRSPPAQPRRPSASRSWVEVVCHSSSPATSPPRPSPRCCEEFNVNASLDSRFQCQLSLLRMELTQLVATRVEEAIRPLREEVASLKLLLAHVGVSLEPTEACSSGGHELATVQVSLALGSVEEKSSVVEEEYLYSCFSPRGSPGQSLQPVVSTASESEGIDETLAPVLQITPERHELRGDSPAVPPLALCSFETLEVATTPPPPQLEPCQSLASLDCGAVLAPSSEALFAKELCGLLASLEAASPGYGKDIDCVLAGKASEDLIRRVEKSLKKVSIRSIRRKSAG >cds.KYUSt_chr3.7121 pep primary_assembly:MPB_Lper_Kyuss_1697:3:41163153:41163978:1 gene:KYUSg_chr3.7121 transcript:KYUSt_chr3.7121 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKCTARKSTGGKAPTKHLRAFYASARKTAPATGGVKKPRRYRPGTVALREIRKYQKGTELLIRKLPFQRMVREIAQVFKIDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAVHAKRVTIMSKDVHLARRIRGERL >cds.KYUSt_scaffold_6468.156 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:700296:700640:-1 gene:KYUSg_scaffold_6468.156 transcript:KYUSt_scaffold_6468.156 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVDSVLLRLRPGSALELAVRPATLRLLFRACAQQRLASPRRAPAGRAFPRPQLRLRPVTGRPASGRLRRPALPQAAPRLGRPGSPAARTTQLRLLLSQQGQLRWLGAGGTSG >cds.KYUSt_chr6.21526 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135852063:135854392:1 gene:KYUSg_chr6.21526 transcript:KYUSt_chr6.21526 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGLPTEKMSPVEEVMLIFLYGFLPSPPVSTSTSICCAAAAADKNDHVSRLPDNLLRRVVSLLPAKDGARTAVLSSRWRGIWRSAPTVLVDTHFLPSGHGERRPARAGAASRAVTGAVSAALDGPLARWFQLLATKGVDELVFVNRSWPPSRALPLPSSLFSCASLCRLFIGAWVFPDTTALPRGPAFPNLRHLVLACVASPVLEILTVTGSLTPLRARLTSHSLRCVQLCLSTLEEVAVVDAPSLERLFIWKNWNERHGLSNVRTTVKIGHAPKLRVLGYLEPGVHVLQISNTIIQFAFCSEVEMLPSFLRCFPSVETLVVEVQDSGFP >cds.KYUSt_chr1.19209 pep primary_assembly:MPB_Lper_Kyuss_1697:1:112792232:112799785:-1 gene:KYUSg_chr1.19209 transcript:KYUSt_chr1.19209 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYSRRSRSSFSSDGGAGAGGEPRGLSSSQDAFDFDAGDGNDDDLPLLGSSSSQPFPASQESSSMWDFDEDPPTHQPPPPSLLLDGPRRRGRGARKGARADPEPAATATLMEAEEYGEMMESVDEVNFALDGMRPTAPRRVRRASMLSLLGICASAAHRRTLRAQGLVQQIIDNVLVLNIDDPPLGVAAAALLFVLASDVQDNHLLDSESCIQFLLKLLNPPVDAIDAKAPSIGSKLLGISKVTMLNGSNKDSDSSSEDIISKVEEILLGCKEIKPLGKDDRRTSRPQLCSKWLALLTMEKACLSGVALEETSDKVSRVGGDFKETLRVSGGLDNIFDVMIDCHSTLERIVKDASILSLDIKEGTSLESAALLLKCLKILENATFLSYDNKVHLLSMSRRLSPRGSPLSLVGVIISIIESLSDSKGAISLNGTDKCKNSKINNLSLNLKRQNCASAKSDVSHISISSSSDVGLSQMTLDCSQSISSNRVSSGSLGEKHSNDVGMKLNIRKDRGKANPVRGSSGWVSITGPNSDGTSREVAKRRRLSENGNSDLSTGSGSDPFAFDDVDQEPLNWGLFGSKKKSSQGRQAKSANEKLSEDCEIAAIGTQESCQPEDNHQLGSTSPSNVDDESSLLEECLLASIKVLMNLANDNPSGCEHIASCGGLNTMASLIIKHFPSFDFSIDKNYETKERVSSDRDVDLGQDLTYSEDHKARQVKAMQLRDHELDFLVAILGLLVNLVEKDSLNRVRLASARVSVDLPSRSEKAQRDVIPLLCSIFLGSQGSAEASAPISPDDEESLMQGAREAEMMIVEAYAALLLGFLSIESMKVRGAISSCLPNNSLKVLVPALEKFVAFHLQLNMMTDETHSAVTEVINKCKLG >cds.KYUSt_chr3.36736 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231070420:231071574:1 gene:KYUSg_chr3.36736 transcript:KYUSt_chr3.36736 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTRPSWNYNLPEKPKPNLPEKPKLNLPEKPKQHLYLVFDDWNSGYSIRKVSLSRRSGKGSEQSSDSGEDSEQSGLKPFPAFMRIQAMRGLPNMFTSAFGTKIMAMQTSRDVMAGIPTIDVQDLTISSEPPPNFPYYPVYIPVSDDRLYALDIGSFELLQKPEPSGVWMWNILSCPPFSLSAVSSYAVRPDGCILVSIDTVGTFILDTKEHVWKLCGRWVFPFTGHGHYDTSLDGFVGLPKDPEKLGYLCCCTMASTTTSQGLQYSYTKTKVYNRDLAEGQQHVSATLVHMRQGKFCLVECVCTDDTQTDQDDVPVVLLGPDSDDFIGGGPQGGRFMYRLKTFSLSYDTNRDLKLRHCKVRCYSLPHEARIGSIRQDPVAFWL >cds.KYUSt_chr7.14006 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86528465:86532337:1 gene:KYUSg_chr7.14006 transcript:KYUSt_chr7.14006 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYRGLHISLALLFVNLLLLLRHAHGAAAGAAKSKVSAVFVFGDSIVDPGNNNDRITAAKADFPPYGQDFPGGNATGRFSNGKTATSSTGQLELFHDYKERLKALVGEEETTRVISEGIYFTVNGANDLANNYFSIIPLRRHQYDLPTYVRFIVSSAVNFTMKLNEMGAKRIGFIGIPPIGCCPSQKELGSRECFNEAAEGCCGSTVLNAAIFIENHPACPNVQDYIFWDSFHPTEKAYNIVVDKLFQQNLQDLL >cds.KYUSt_chr3.31579 pep primary_assembly:MPB_Lper_Kyuss_1697:3:198460518:198466066:-1 gene:KYUSg_chr3.31579 transcript:KYUSt_chr3.31579 gene_biotype:protein_coding transcript_biotype:protein_coding MRREATPSSGPAPQGLGFHLKMSAEVEGKGELHDNPPRRKATSTDAASSGFRPEQPRAHNPTQSNGTEENHRAVDLQTRWPKHLQRDGGATVPQEPPTSLPIESAGPLPWLVVGNLPEMMLNKPAFRWIHLVMKKMGTDIACFRLGRVNVVPITCPKIAREVLKKQDANFVSRPLTFASSAVSCGYKNVVLSPFGEQWKKMRRVLTTEIICPSRHKWLHDKRADEADNFTRYVYNLATGTSSRGSSSTSDANVDVRHVTRHYCGNVIRRLVFGKRYFGEPQPDGGPGPLEMEHIDASFTCLGFVYSFCISDYLPWLLGLDLDGQEKVIKEANATVNRLHDMVIDERWRHWKGGETQDEVEDFLDVLITLKDGHGSPLLTIEEVKAVCKGDIIFAALDNPSNAVEWALAEMVNNPELLDKAVEEMDRVVGRERLVQESDIPQLNYIKACIREAFRLHPIAPFNLPHVALVDTTVAGYRVPKGSHVLLSRVGLGRNPTVWDDPLRFKPERHMRDDAGVELTENELRFISFSTGRRGCIAASLGTTISVMLFGRLLQGFTWAKPVGVSFINLSESKQDLSMEKPLLLHAEPRLPLHLYPTSR >cds.KYUSt_chr4.37327 pep primary_assembly:MPB_Lper_Kyuss_1697:4:229804925:229805245:1 gene:KYUSg_chr4.37327 transcript:KYUSt_chr4.37327 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLVSMLRWPPDLGGLPSQLSALLPSSPPIQTPSSSSYYAFLQERLQWDWGPEQLGAAVRRWPELVPDVPFVVDAVLWGFITAVESVALVSMMCCFFLFCGCTL >cds.KYUSt_chr6.780 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4936070:4939094:-1 gene:KYUSg_chr6.780 transcript:KYUSt_chr6.780 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSHDMPAPLQPAMAPGHDTPAPQEPAMEPGHDVQAPQPPAMAPGQQPWEYSLRKYLLLLATLVVTVTYDAAFNPPGGVWQDGTHDDVGQGQRLAGDPVIRDTHYHRYIAFFYCNATAFAMSLVLIVLILILAVRHDKEKEKKDAIWVASDVVLLRAVMVLDLLSLVGAYAAGTCRDKVSTVYSAVLVAAVFIYIVVIKLLDWWFPDNTSGSGGVTPTPKPSPSSGSGAMSVPIDDSDSGVPRVQEKEALKKLKAEERFCKVLMLLATFAVSITYVAGLSTPGGFWDSTGGRHRPGDAILMDDHSLRLTVFLLCNTTAFVASLLITMLLIIDGRKLREKMARSVELYSCIVVTLVSLTAAYIAGSCRQTDTTIYVVCLVGAVVFLVGAVLACVLLHGFCTKASEFSSPCSSPAQQTDEQQQIDENVSAREALDKARSLVLLLATLAATITYSAGLNPPGGLWQDNGYGHMAGDPILLTTNARRYRAFFYCNSVAFVASLVAVVLVQKELLIKHHVLEAAMILDLFGLIGAYAAGSCRDVNHSIYAMALAGAVLVYVVIHIVFFTTDYEDMDNGYKDRLLEKRRKRLLLFAILAATITYQAGLTPPGGFLLQDDKLGHHAGDPVLLYNYPRRYNAFFYCNSVSFMLSIALIILLVNRHLYRPAIRSNALSVCTAVGLFCLMGAYAAGSTQHIKTSIYIFVLVAVALFVAAGLLVILLVREIKGNDNSAVAPPKEQDKEEREEEEEKKENRNGNPAAARSIEQEPPKDEEEQREDAEERKKHARRKYLMLLGIVVASVAYQAGLEPPGGAWQSSGSGYNAGNPVMHDNRRTRYLVFFYSNSFSFVASIVVIIMLLPHWLPNKKEVEWEKWSLKVMNWTIILDLVTLLVSYAAGSNRGWKTSVYVCTLTVAVLGYFAIHKALSVCSDRRHRRRRQSRQGDARV >cds.KYUSt_chr3.11550 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68868743:68869301:-1 gene:KYUSg_chr3.11550 transcript:KYUSt_chr3.11550 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRSEANGSLPPGTAVRKHSKEELIEFFRGIQAAIARDSPKASRRTRKPSPADLFEDTGKQSYEEVYQDGQPNLEDMKVAELREMAKARQMRGYSKLKKGELIDRLKGGLVS >cds.KYUSt_chr6.27306 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173361380:173365557:-1 gene:KYUSg_chr6.27306 transcript:KYUSt_chr6.27306 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAMKEQAVALVRQAVQEDDAGDYAAALQHYVHALDYFAAHLRYERNPKVRDAIAARLPGYVARAEEIRALLDGQAGRGGEGVAAEACGKGGQRKKDGGADGEDDERGAERAKLRAGLHSAIVSEKPNVRWDDVAGLDGAKQALQEAVVLPVKYPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVLRRGPGYADGNIVYAEGPKRRRHTPRAAVGVAYAEGQAWLCRGQLAVDGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQRMFKVHLGDTPHSLTESDFERLAHRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFRSDGGGGTWTPCGSRQPGAVQTTMEELAEEGMADKITPPPISRTDFEKVLARQRPTVSKAELDIYTRFTREFGEEG >cds.KYUSt_chr6.4895 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28822328:28822540:1 gene:KYUSg_chr6.4895 transcript:KYUSt_chr6.4895 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDMEEHQAKIEDGHRSTSLESLSDEPCAAAAAGSTPDAAWCSFAVEKLAAAPTSSPSFAFSSLICHE >cds.KYUSt_chr2.43723 pep primary_assembly:MPB_Lper_Kyuss_1697:2:271997008:271997845:1 gene:KYUSg_chr2.43723 transcript:KYUSt_chr2.43723 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os04g0524300)] MMSCKGLGGEGSAAAAAALPHVLAVDDSSVDRAVISGILRSSKFRVTAVDSGKRALELLGSEANVSMIITDYWMPEMTGYELLKKVKESSKLKGIPVVIMSSENVPTRITRCMEEGAEDFLLKPVQPSDVSRLCNRVLR >cds.KYUSt_contig_1158.30 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:179986:182132:1 gene:KYUSg_contig_1158.30 transcript:KYUSt_contig_1158.30 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKATTTTASSGKTPALCLYHVRARQRTSKVLGLDSPSCPSTQPLRSRITVPFLWEDAPGKPKLREAAASALLFPSAAAASPLPADGGATATAGCDREGVVVVRPAPLKLPPRLQAAPRGEQSFSSPKTVLQGPYVGGGRGDKPPRSVRRSGSTVSCRMMPGTGGAAFSWRKVTPASAGVKKDGHHDHDASCSSPAASSSTSSSASSSSSSMSYFFDVHSRGSGHWQQADGREVSEDGDVGDDCAKGSVRITRFRRNKSLPNVSTSHLWASIRKGVKQISPWS >cds.KYUSt_chr3.26346 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164000261:164004983:-1 gene:KYUSg_chr3.26346 transcript:KYUSt_chr3.26346 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSPAGTAPPSSSASSAAAPNQQGGVPKERRMESLGWLTESTVMPKKHKAIEGVGAASILDLKAQLYRTQEEARNSAPADAASGEFRRAKKRSGPADPLGAKNSGVDARAHKDKLELKAVKDGSVCYSALEKKAELYEKLARGELPDEEDQEKYCVDFFQKSFHQVSERRQPETPTASERAEPENESADSMPNAKPMGLGRTGTTIDQDEHRRFVREVHEEVSEARHKASTMKSRRQEQELARREKLKQAYLKKRLEKLIAEKQASSASDDQPAS >cds.KYUSt_chr6.30856 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195594261:195595430:1 gene:KYUSg_chr6.30856 transcript:KYUSt_chr6.30856 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRRRHDHHVRSPATGPLDDDDILREILVRLPPQPSSLPRASAVCKRWRSLISDPGFFRRFRLRHRRNPPLLGFFDRYAGLSFLPTLEAPNRVPPGRFSLEHDDDFERSMSLGCRHGLFLIFLSKPRQVLVWDPITGDKHNIAIPAAFDTKKTMGLVNGAVLRPAGEGQHFQVVLAAADKKQQALACVYSSKTGLWGNPISTPLPYKANQSHIPTMVHAVDAVLSGDSLYWMFTGNLVGILEFDLVKQSLAVIHLPVDICLQGSCFRMMRAEGGGLGFLFVQAADHTAQLWQRKTDCDGVSSWKLGRTIELDKVLSLKPEEKGPLSILGFAEENNVVFLWTRIGVFMINLETLEFKNLYKTMVFSHYHPFESVYPAGNNMPYIADTA >cds.KYUSt_chr7.6547 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39522766:39523845:-1 gene:KYUSg_chr7.6547 transcript:KYUSt_chr7.6547 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSMTRPVALVAAVLASILSSACTVDATLALTCKAAAAIDVRVNLQMCESLMVTKDKDAWGMARIAADEGAINAAMASKAINKTLLAGSASVASDARESLFMNSALGTCLRGFEQATLSFSQASEEIGMRRNQLGGRNKLDGALAQVQECKDAFAMHGSPQPQPLAQNTSDAIQMAIIANAIICTVDAAVASNCKAALSATLDSTCKAAAASDPRVNLQLCVSKLGIALSGRTANAWSLAKAACDEGIYKVILAGSDAATLLEDKSTVPSNKPVLTTCAEVFDKADMAFALASEQIEQRNLAAAASRMDEALARVQEKQCDGAALRALVPTPPQKLLQNAADSVQMAIIAKAIINLIK >cds.KYUSt_chr4.8508 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51075525:51078685:-1 gene:KYUSg_chr4.8508 transcript:KYUSt_chr4.8508 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASSPPIPHLAVIEHIRRGSAELLLPAVATDGGAAAWSTWVAAEAHQGDAGDACDDEMIALLLKDEQAFDDDLREHLLIIAALQDVLNTEAEKRKRLRRGG >cds.KYUSt_chr6.30326 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192107500:192113226:1 gene:KYUSg_chr6.30326 transcript:KYUSt_chr6.30326 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIALRNGLLLAGQVGCTKVEVNSDCMEVIEIMKEAGNSIGAAAAVYEECAFLARGFAHITFSHSPRESLEKSCLSNNMRPIFCGNLDYDARQSEIERLFSKYGRVERVDMKTGFAFVYMEDERDAEDAIHKLDRYDFGRKGRRLRVEWTKEDRSGGRKGNGKRSPSSVKPTKTLFVINFDPINTRTRDLEKHFDQYGKIANIRIRRNFAFVQYESQEDATKALDGTNGSTVMDRVISVEYALRDDDEKRNGYSPDRRGGRDRSPDRRDNRGRSASPYGRGRERGSPDYGRGRERGSPDYGKGGARDSPDYVRGGSPPFVGKGDARGSPKYDRERRAASPAYERARRRVPNGDFFLDINNLFGNLNMSGNIERMLSLPMLKIQTDIA >cds.KYUSt_chr1.17493 pep primary_assembly:MPB_Lper_Kyuss_1697:1:101607376:101609097:-1 gene:KYUSg_chr1.17493 transcript:KYUSt_chr1.17493 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSRVRAHAVHLDCPPRTEQRNGGDLVVMRPYQRPRSGDNLVKRKNAGNVVVMRPDRKMRDDLADYAAPARNGRAPMDIENVILSPQAKPWNCLGHNSDEGLDLPKDKRMFYAANLRRYCNNGKLLQACCVIDEMVLHGQIPDSKCCNRLIRGLVKIGKTNKARDVLEVMVLSGGIPDTITCNMLIAQLCCTGQLNYAMNVLEDMRYGGTSPSGITFNTLIRCMCNQRMYDRAIAFWKEQLRIGWPPYVMTSTLLVDLVCKNCGPSRAMELLDELALEGCQPDVVTYNALISASCKAGRLKDAKATLTRLIAKGLEPNSTTYCILLHALCNKKRWAEACDLLAHMNHANCEPDVTTYNIFINYFCKYGHLDQAIDVLEKMVSDKCCPDIVTYNTLLNAISKEGMVEEALAIAHCIRESGCQLVQITYNTLIDALANKGQVKKAVALFDEMASDGICPDDITYGSLIMGFCKKNIAQEALQLLNRTLALGFQVKTTTFVMVIQALCRDGKAEAAAEILRVMVSEIKNNSNSFYLSIVRRVAKSGKIAEAERLHRELVDCKILPLEHSVLEDTL >cds.KYUSt_chr4.4555 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26072649:26075385:1 gene:KYUSg_chr4.4555 transcript:KYUSt_chr4.4555 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENINRWLRELRDHADSNIVIMMVGNKSDLNHLRSVQEEEGQSLAETEGLSFLETSALEALNVEKAFQTILSDIHQIISKKALAAQEAAGSGPPIQGTTINVADSSGNTKRGCCST >cds.KYUSt_chr7.30177 pep primary_assembly:MPB_Lper_Kyuss_1697:7:187916100:187916732:-1 gene:KYUSg_chr7.30177 transcript:KYUSt_chr7.30177 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPSSFISRAASSRIASRRTPSSHGLLLTGGILRHLKTLIAVGLMLGMIVGFLGGSVFFSYKIGLEGKDVVMSLKSHVENGNYSEKIGLKKLLDDNDIPGLVDQYLGKLYDTVWEQVDQLAVQYNLTDFTSGFRHFLITQSVGPSGTKSKGLITSGPHPYSMKLQATAARQEEGMGGDLQGARLLLQGTVDHKGGFRCQGQGPGIAGN >cds.KYUSt_chr5.9402 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59575500:59580932:-1 gene:KYUSg_chr5.9402 transcript:KYUSt_chr5.9402 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSHGDLQLDGEPSAALHDDVAPRRVWVPGPVIVGAGPSGLATAACLKARGVPSLVLERDACVAASWRHRTYERMRLHLPRCFCELPLVPFPAGTPPYPTRDQFIAYLDAYARAFAVEPLLGARVRAAAYDSAIGFWRVTVDVDGEGAVATTTEFVSRWLVVATGENAEPVWPEGVEGMDVYRGAVMHTSTYKRGDEFAGKKVLVVGCGNSGMEVSLDLCNNGAKASMVVRDKLHVLPRDILGISTFGLSVFLLKWFPIEWVDALFLFCSRLILGDTAKYGLQRPKIGPLQIKKSTGKTPVLDIGALRKIRDGEIKVVPAINRFTEGGVEFADGCKEDFDAVILATGYKSNVPSWLKEDEFFSESDGFPRKAFPHSWRGKNGLYATGFTRRGLMGSSYDASRIAADIANQWSEALARNIVAHNDA >cds.KYUSt_chr3.8865 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51691208:51693358:-1 gene:KYUSg_chr3.8865 transcript:KYUSt_chr3.8865 gene_biotype:protein_coding transcript_biotype:protein_coding MPACRLSRHRRLLAPLPRQVTQRRAAASWAAAVADHARSGRHAAALTVFRRVLAAHPAAAADELAYSALLRCRDDRLAYQIHAQACRRGLAASNPVLACSLLAFYSSARSDHPAAAKLFGEMTRRDAVSYTAMMSAFLRAGEWAQALALYPRMLADAAPPTERTFAILLALCASRRLCRHGRQLHAQLLRWGADLNLVLKTALVHMYSCCGFMGHAHAVLRSTPETDVVLWTAMIAGYSRTGDLQAALRMFHHMELAAVPPNAFTFAGIITACSSSGHPQEGFQAGRQLHARVFKFALEHDVSVCNALVDFYSKSSARLLDLVHAFNASDRPNVVSWTAFISGLARHGRDEDAFAAFAEMRASGVQPNSFTVSTLLKGCSSSQSFLHAAKIHAYVLKTSFESLDVAVGNSLVDLYSRFARMDEAWAVATTMAFVRDSFTYTSLAKGLNQAGLPNRALEMIVHMFQEEVQMDGFSLACFLSAAAALPSIEPGMQLHCCSLKLGLSSQVSVSNSLINMYSKHKCLQDAKSVFQSIREPSVVSWNALISGLSSNGSYYEALSVFEDMTLGGAQPDDITFSVVLYACTQGGLIDIGIKHFNSMRKQFGVSPQRSHYTLFLDMLGRAGRLTEAACTIETMPIQPDLSMYKCLLAFCELHNDLVVGENVAKKALELYPSDTVLQNMLSAICGAPWKHECRVHAHKMKSGAAISFDISTSSMR >cds.KYUSt_contig_1388.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000140.1:84371:88245:-1 gene:KYUSg_contig_1388.12 transcript:KYUSt_contig_1388.12 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRVPPFRHYPAHLVLSDAVAAWHPFHKKQCLSDRSTAPPSAQLADAASAEAATPPPSVGGSGGSFRWLGLRKRRRRGGVSRSVSGRSSDRRRSGTCSDFHVTCGPGGGGATDSSGEMWASDVGELRARDVPMAPEFASPPVGGAGSGAGGTATGVETAATESGYGSEPGYRGDVELGYGDEIDEEEEDGRQQVFFWGGEIGDCIADMDKMAIVGDNNFGEQKSHHRCRRKKHDVRMLDALK >cds.KYUSt_contig_946.66 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000087.1:477697:480436:-1 gene:KYUSg_contig_946.66 transcript:KYUSt_contig_946.66 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEELDAVKVGEDGGDGLGPTQIPSGNAWSPACRVGAPRRNRIGQGKVGKGRAPAACGRHMFCEVTPSVNVPWDKRHQREEEAELHGIFRLLASMAGQTERDQAAHLLAHSLATMAGQGGKGPTSYQLTTPRHLIKGRSRRRAPDEDPPWTWMEVVGDGPPGAAPEHQRGSQPLPPPDQASAHHSDRSPSPPRPARS >cds.KYUSt_chr1.35393 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215820034:215821686:-1 gene:KYUSg_chr1.35393 transcript:KYUSt_chr1.35393 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVCKGQRFGVFQKNITAGAHHTSAQLARNRPGAHPHTHRRPSPTPVPPLQSPTPAPPLPNTDLGEPSSDPAPVPAAVSDAASLRREPRIAAGGSGEGAGVPASTLRPASGTMVPAAATRAVIWPAVPSKGLAFFRSSRLPFDGCNSLYAAGALPFDVREVVVRLADEDNSSCSKREYRSAIKFVVRAELHHLREFITTAAIELRPMVDKIRDLITFFSSL >cds.KYUSt_chr3.47451 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297707641:297718453:1 gene:KYUSg_chr3.47451 transcript:KYUSt_chr3.47451 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDTGTIQIGFIYAVLRQAAPLLANISVLLIVKISIQLSLLVFAVYFSASRGQSRRNVIASAAATNRAWAKPLVYKKNMVYYKISHVDHRISNPEQRIASDIPKFCSGLSELVQDDLIAVADGLIYIWRISSYASPKYVLWILAYVLGAGGLIRKFSPAFGKLKGMEQQLEGEYRQVHSRLRTHAESVAFYGGENREESHIMQRFQALVRHLNIVLHENWWFGMIQDFLLKYLGATVGVILIVEPFFAGHLKPSDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSTSSRRLNLLSGYADRIHELLDVSRELSGVRDKSLSQNSSAKNYISEANYIEFSGVKVVTPSGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLSYGGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCKRVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWTVQHNRNGSFLRTDSEVDILKSSETERKSDALAVQRAFRSNSKGNSLSGPKEHSYSTQVIATSPNTEIESTEQPHLIPQLQCSPRPLPARVAAMSKILVPKIIDKQGGQLLAVAVLVLSRTWISDRIASLNGTSVKYVLEQDKAAFIRLIGISVMQSAANSIVAPSLRNLTSKIALGWRIRMTNHLLAYYLRRNAFYKVFNMTGVDIDADQRITRDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKVLSGRRGVAILYAYMLLGLGFLRAVSPDFGDLSNQEQELEGSFRFMHARLRTHAESIAFFGGGSRERAMVEAKFNTLLNHSKILLRKRWLYGIFDDFVTKQLPHNVTWGLSMLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLQASQSNAVMPSNVISVASEETISFRDVDIVTPSQKLLASQLSCDVSQGKSLLVTGPNGSGKSSIFRVLQGLWPVASGRLTVPSEGIFHVPQRPYTCLGTLRDQIIYPLSRKEAEAKMVTLFKTSGGSAASGLLDDHLRTILESVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHRPKFGILDECTNATSVDVEEHLYRLATDMGITVVTSSQRPALIPFHSLELKLIDGEGKWELCAINQ >cds.KYUSt_contig_2097.180 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:880685:882808:-1 gene:KYUSg_contig_2097.180 transcript:KYUSt_contig_2097.180 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRRKRTSEVKAEGLMRGACAALAAAAALLVGLDTETETVLLIRKKATVRDVHALWSVVRRNTRDSGANVDPAQHNQTTLPQLNSEVVNLTGLLKTKD >cds.KYUSt_chr7.20243 pep primary_assembly:MPB_Lper_Kyuss_1697:7:125551658:125552131:-1 gene:KYUSg_chr7.20243 transcript:KYUSt_chr7.20243 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTPLASSVSLSFLSKPIPTCPLIPATTSPTPELHQTPHHRAPLPARFSRSTCFPTELAALALHNPTGAAVPPLNSRSLDRAGVCRRQQGMEEDDLARLSPDPDSTYGAESMWAAAAWSRSRSRSGGRGRPPERGIRKGVVQGRPPPRISGHTPLL >cds.KYUSt_chr2.32828 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202458028:202459004:-1 gene:KYUSg_chr2.32828 transcript:KYUSt_chr2.32828 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVAVIGAGAAGLVAARELRREGHAPVVFERATGVGGTWLYDPAASSDPLSAGGSYSSLYASLRTNLPREIMGFLDFPFVAVEGADSDQRRFPGHEEVLRYLQEFARRFDLLGMVRLGTKVVRVHRDVSAASWRVAYSSSKLAGVGSEEVEVGEEAFDAVVICNGHFTEPRLAHIAGISTYADGLRPSAYHSLALGVRYADGDLRRSSSGKVGLGRSHVALGVSATVGVEREGRGPGHPSAY >cds.KYUSt_chr5.538 pep primary_assembly:MPB_Lper_Kyuss_1697:5:3869869:3872418:-1 gene:KYUSg_chr5.538 transcript:KYUSt_chr5.538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytoene synthase 1, chloroplast precursor (EC 2.5.1.-) (Fruit ripening specific protein pTOM5) [Source: Projected from Oryza sativa (Os12g0626400)] MAGSSAVYTPCRPHAHGRHRLLLQQRGVLAWRTRARGGAGAGSRARASLVATAPARTATEEAVYEVVLRQAALVEDLQGKRGKEAAAAGRRRWREEEEEAELGWGLLGDAYDRCGEVCAEYAKTFYLGTQLMTPERRKAVWAIYVWCRRTDELVDGPNSSYITPKALDRWEKRLEDLFEGRPYDMYDAALSDTVSKFPIDIQPFKDMVEGMRLDLWKSRYRTFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASAESVYNAALALGIANQLTNILRDVGEDSRRGRIYLPLDELAQAGLTEEDIFRGKVTDKWRRFMKGQIQRARLFFDEAEKGVMSLDSASRWPVLASLWLYRQILDAIEANDYNNFTKRAYVGKAKKFLSLPAAYARAAISS >cds.KYUSt_chr4.15014 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92735898:92738182:-1 gene:KYUSg_chr4.15014 transcript:KYUSt_chr4.15014 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRFPIFLLAVLLLHNAAALPHHGPAKHDYRDALTKSILFFEGQRSGKLPPSQRVDLTGGYYDAGDNVKFGFPLAFSATMLAWSVLEFGGMMKGELQHARDAVRWGSDYLLKATSHPDTVYVQVGDASKDHACWERPEDMDTARTVYKVDPSTPGSDVAAETAAALAAASLVFRKSDPVYSSRLVARAKRVFEFADKHRGTYSTKLSAYVCPYYCSYSGYQDELLWGAAWLHRATKNPTYLNYIKVNGQILGADEQDNTFGWDNKHAGARILISKSFLVQKVGALQEYKGHADSFICSMVPGTPTDQTQYTKGGLLFKLSDSNMQYVTSSSFLLLTYAKYLAFSKKTVSCGGATVTPQRLRAIARRQVDYLLGTNPMGLSYMVGYGPKYPKKLHHRASSLPSVAAHPDKIGCSQGFTGLYSGAANPNVHVGAVVGGPNQNDQFPDQRNDYEHSEPATYINAPLVGALAYLAHSSGQL >cds.KYUSt_chr7.39279 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244334879:244335085:-1 gene:KYUSg_chr7.39279 transcript:KYUSt_chr7.39279 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAEISGAGYATRLSWRSGGAKQHQQQQEEKVEQSSPPEKKPVARAPRFAPEFDGIDCFESIVPF >cds.KYUSt_chr3.13325 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80231636:80233510:-1 gene:KYUSg_chr3.13325 transcript:KYUSt_chr3.13325 gene_biotype:protein_coding transcript_biotype:protein_coding MRCQFLICFVLVVAGLWPLQAGAQKYAAIFNFGDSLADAGNLCVDGIPSHLATARPPYGMTYFGYPTGRVSDGRLVVDFIAQELGLPLLPPSKAKNATFHYGANFAITGGTSLDTSFFEARGLGRHIWSSGSLHTQLGWFDDMKPAICSSPKECRDLFRRSLFIVGEFGGNDYAASLGAFLPLELVHTFVPHIVNSIGKGIEKLIADGAVDLVVPGVLPIGCFPMYLTIFRKKPEMYGPRSGCIKDLNTLSWVHNALLQRKIAELRQKHPAVRIVYADYYTAVMQFILHAEKWGFLRQTPRTCCGAPGVGKYNFNLTSMCGNPGAYACDDPSDHWSWDGVHLTEAAYGHIAKGWLYGPFSDPPILKDRHHI >cds.KYUSt_chr4.8457 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50630932:50633343:1 gene:KYUSg_chr4.8457 transcript:KYUSt_chr4.8457 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAALELHAGPPAPRTAMAAASAGDHYARLLRLCGTAANPGAGRAIHARAVKAGLLASAYLCNNLLSYYAGPGGGSGGFPEARRLFDEIPAAQRNVFTWNSLLSMYAKSGRLADARAVFAGMPERDAVSWTVMVVGLNRARLFGEAVRTFLDMVADGLAPTQFTLTNVLSSCAATEAGRAGRKVHSFAVKLGLSSCVPVANSVLNMYGKSGDAETARAVFERMPMRSVSSWNAMVSLNAHLGRMDLALSTFESMPDRTIISWNAVIAGYNQNGLDAEALWFFSRMLRDSSMEPDDITITSVLSACANLGMMSIGKQVHAYILRCGTPYTCQVTNALISMYAKSGSVENARGVMDQAVVADLNVISFTALLEGYVKLGDMERAKQIFDVMSNRDVVAWTAMIVGYQQNGHNDEAIELFRSMIRSGPEPNSYTLAAVLSVCASLACLEYGKQIHCKAIRSRQEQSSSVTNAILTVYARSGNLPWARRVFDWVRWRKEKVTWTSMIVALAQHGLGEDSVGLFEEMLCVGVKPDRITYVGVLSACAHAGFVDQGKRYYQQMQDMHGIVPEMSHYACMIDLLARSGLLSEAQEFIQQMPVEPDAIAWGSLLSACRMHKNADLAELAAEKLLSVDPDNSGAYTALSNVYSACGRWNDAAKIWKRRKDKAVKKETGFSWTHIQNRVHVFGADDVLHPQRDAVYRTAAKMWEDIKKAGFVPDLQSVLHDVDDELKEEMLSRHSEKLAIAFGLISTPEGTTLRIMKNLRVCNDCHTAIKFISKVADREIILRDATRFHHFRDGLCSCKDYW >cds.KYUSt_scaffold_719.414 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:2792818:2794706:1 gene:KYUSg_scaffold_719.414 transcript:KYUSt_scaffold_719.414 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIIRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPVGKECADLWPRIASNANAIV >cds.KYUSt_chr3.7584 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43667917:43668945:-1 gene:KYUSg_chr3.7584 transcript:KYUSt_chr3.7584 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDTAPHLVEEIPGVLQLLSDGSVLRGDESVLWPKDPLPDVPGVQWKDVLYHAAHGLSVRVYRPESSSSVSVAGSSSKLPVLVYFHGGGYCLGSYTQPHFHTYCLRAAAELPAVVLSVQYRLAPEHRLPAAIEDGADFLSWLRGQAELAGAGSADPWLAESADFARTFISGASAGANLAHHVTVHAVSTQTQLGLNPLRVAGYVLLSAFFGGSDRTAAEADPPAGVTLTVEGSDKLWRISLPVGASRDHPLSNPFGPESPSLAPVDLPPVLVVAPEIDVLRDRVLGYAARLKEMGKSVEVAEFEGERHGFSVLQPFGEPANELMRVLRRFMYTGVTSPTER >cds.KYUSt_chr4.38441 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237052597:237054013:1 gene:KYUSg_chr4.38441 transcript:KYUSt_chr4.38441 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRVPVLFGDEFLSSWPPSPRGNREKSRNRHFGRFANPSDLVSALPMAANTQGCDLPEEMISEILIRLPRKYLLRCGAVCKAWRRLTADRSLLFNHHLRQPAQPLITFFHERSDALGFSTNCLEAVDLAADTRRRVLARFADKERRWDRDRNYNAFYALGIHGSCDGLVLLSFESSNAFNTTFFVCNPATRQGTLLPLPRDVLGIAGFYAHAGAASREYRVLYLYRRRDNDESECFILTLGSQVPRSIQRRASSAAVFGEVERVLHGACSWPPVLLHGSLHWPPTRQQQGGILLVFHTDAESFSSIPPPAAAGACEHARLFEMDGKLAMFCWQKNTWTSDLWLMDDYQAAVWIRRHQIELSPMPRPSQGFLWNPPFVYRGGDMLIDGAWRSVLHYDSKGQLQGSFGCDVFRLQLTPYLLKESLVLHDFLHEFLGVA >cds.KYUSt_chr2.52105 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325178290:325179397:1 gene:KYUSg_chr2.52105 transcript:KYUSt_chr2.52105 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASVWRQVQQQQQASGGAGAAGHAVVPAAAGAAATPPCRPTGARWTPTQEQVKILKELYYGCGIRSPNTEQIQRIAARLRQFGRIEGKNVFYWFQNHKARERHKKRLGVVTTSPGDANAGYLGVLSPGSGAAAAASYGGMYGAGNGGGGSDVQMDGSTTTTCWEDSSAPERSFVQLQDYMGVMKSSGAGNHGSTAPTRWPACFFSADQTPPTREPETLPLFPTGGQHGGGGNGSYLQSNSSSNFQSWVPATTNTMTFQQQHHHQLQEQQYSFYNSNQQLMTMPSQDAGTSLELTLSSPYPAGFM >cds.KYUSt_chr3.2079 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12103824:12105121:-1 gene:KYUSg_chr3.2079 transcript:KYUSt_chr3.2079 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRATTRELRTMYDDEPSMSLELFGYHGVVVDGDDDDTATALPQLAFVDNFKGGCGSSADYYSWAYNASVGTSGACSSSSSSVLSFEHAGGVGHHLAYNAGAGDDDCTLWMDGMADQHCAAKFGFVNPGSDDVGPEIQESNIKLPAKASQKRVCPGGETQAAAKKQCGGGRKSKAKVAPTKGDPQSAVAKVRRERISERLKVLQDLVPNGTKVDMVTMLEKAINYVKFLQLQVKVLATDEFWPVQGGKAPELSQVKDALDAILSQNQS >cds.KYUSt_chr7.19794 pep primary_assembly:MPB_Lper_Kyuss_1697:7:122767026:122769946:1 gene:KYUSg_chr7.19794 transcript:KYUSt_chr7.19794 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLCHRILICSNRPIEANSVPCAMPRGRNSHGRRRPHRNCSPTEVGAVVDPPLEGSTGGSPAASMVGGGDADFLAAPKVGEGGAGCPAMSTRAVGGGGDGEEPPPLAKPSTPPDPASTRTTTGEALAAWAKGAASSPVGVALADRGGRGEEEPPRPTNPRGAPNTYNGGNNNGNLETLEGSLKAFMQGGLSKRSKPTEGRFPRNQVEEEAGLHLLQEFRGCTIKFTKWECPVVVNHLGIGSDFNTLITNMGLSMNGRVDRVTFQLMKPKYDMPLSE >cds.KYUSt_chr7.25070 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156438529:156439077:-1 gene:KYUSg_chr7.25070 transcript:KYUSt_chr7.25070 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKEVAAEHLRLLYLLLMVASIVRVLAATAAANPCGTDLYARVVLAHHRLIIAVLAAAVLLQLVRVAVSNAEAASVAAARAGAKAFDADHEAAAAAMRGLGCIGTKWRRVSLRALLLVAVLLCVTASILAVVTIEDGYLYVGGCDANRVGYGMGSPVGVALMVAMMLVHGQAVRVAVCKN >cds.KYUSt_chr5.1396 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9643379:9644956:-1 gene:KYUSg_chr5.1396 transcript:KYUSt_chr5.1396 gene_biotype:protein_coding transcript_biotype:protein_coding METDRRPHAGGLPCGGAVGCARRPGRRDLLAAPRRRRPPCASSCWRRAAGEQTGPDGTAAVADKRRHCRSRFRRRAPAAPAESSPVASFHLPVAVGGAEKGNEPRERTTPQSQAILLSPIPCLPSSPNPRLLLSPAPRLRQPPSRRRCRVFAPPADSAPEQPPSRLSNSCLASGGAGPRNSAAPCAPGRRCEERQEAPANQPIQRGKSIWVTRLPLHSKSSTPLFTTAVGSVKMFLTPSASERLHDAWDRPCAGPDDERQTCPFLQSPCFSSPQGTGETLDRSSNPRASFPPNPRFSSLLLSNGGAPLSPAWRRTGDRTQAGFRAAAPSGAHGALGRRDLLAPPRRRRPPCASSCWRRAAGEQTGPDGTAAVADKRRHCRSRFRRRAPAAPAESSPVASFHLPVAVGGAEKGNEPRERTTPQSQAILLSPIPCLPSSPNPRLLLSPAPRLRQPPSRRRCRVFAPPADSAPEQPPSRLSNSCLASGGAGPRNSAAPCARGRRCEERQEAPAVASRVRRHRRRYDHL >cds.KYUSt_chr2.39591 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245638204:245639689:1 gene:KYUSg_chr2.39591 transcript:KYUSt_chr2.39591 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLSRNRRTVNRPYGGVLSGPAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQPSK >cds.KYUSt_chr4.6534 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38467186:38472573:1 gene:KYUSg_chr4.6534 transcript:KYUSt_chr4.6534 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGGRPRGRGRGRGRGRGRAERSPSPSTPPASSSSEMDVEPDVRFEFVLTLKGDPRGIQRLPDSFADYMYLNIGWEKFARHHSLQAGFILLFSYFGDRDMSVKVFDETRCRRDYHGDSDRRGGRPSVLSSQPNTCTEADDQQTGQQTPNRARPSQAKMGSFLSSLVTPPPLDDGDSAVVAVHSKAAWDQQFEAHRNAAKLMVIDFSASWCGPCRFIEPAFKEMASRFTDAVFVKIDVDELGEVAKTFRVEAMPTFVLVKGGQEVSRVVGAKKDELDRKIKTFIASC >cds.KYUSt_chr6.30940 pep primary_assembly:MPB_Lper_Kyuss_1697:6:196124858:196128481:1 gene:KYUSg_chr6.30940 transcript:KYUSt_chr6.30940 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEASKKKAAQKKAAAAAKRGAKVPTSSSTSSSSTKAAADALAAVHLSDRTCTAVLTSHPLSRDIHIESLTLTFHGHDLLVDTELELNYGRRYGLLGLNGCGKSCLLKAIGCRELPIPEHMDIYHLSHEIEASDMSALGAVISCDEERVKLEKEAEVLAAQDDGGGEALERVYERLEAIDASTAEKRAAEILFGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNRKLKLYTGNFDQYVQTRSELEENQMKQYRWEQDQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDRILTFRFTDVGKLPPPVLQFVEVTFGYTPENLIYRKLDFGVDLDSRVALVGPNGAGKSTLLKLMTGELAPLDGMVRRHNHLRIAQFHQHLAEKLDLDVSALQYMMDEYPGNGEERMRAAIGRFGLSGKAQVMPMRNLSDGQRSRVIFAWLAWREPQLLLLDEPTNHLDIETIDSLAEALREWDGGLVLVSHDFRLINQVAEEIWVCENQAVTRWGGDIMEFKEHLRSKSGRSED >cds.KYUSt_chr2.40138 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249268391:249269047:1 gene:KYUSg_chr2.40138 transcript:KYUSt_chr2.40138 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRIHVNSDKAYSKAIKVAAGVTGVQSVTIAGEDKNLLLVIGVGIDSNRITEKLRRKVGPAEVVELHTVDHHHDGSNHHGGNHHPYRHHPNQSLYKHEAARDLHYYTGGYQNAAGAYGQDYYGYGGGYQQGQQYKQHDYYAYAPTPGNTHTVVHHGHNGYSDDSCSIM >cds.KYUSt_chr4.14701 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90777885:90781392:1 gene:KYUSg_chr4.14701 transcript:KYUSt_chr4.14701 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCTTWSPTVRILHPGAAAPPRPGLAPVLHSKAAWTATGCRGGNAPSAPQGHRPDQGLLNISFILIIAVMERAADAAARSRPQGLDNRFNSGGRPCCHPSSACSSEVSPVNLVQANAQGGARGHNDSLYGRRSTVLELPMRFIDGSGYVQKAAAPSHLPCCPSRLLLVAHSALDDGGWREVDSSLLGCRRHLVEEYSLRWPSPQARNRHMPLSCTGITAAALRMPRQRTACCWHLPFPWNARKLFGDLPKHGLGLERTQEVSSEAQVTRISL >cds.KYUSt_chr2.36878 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227829241:227829633:-1 gene:KYUSg_chr2.36878 transcript:KYUSt_chr2.36878 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFDATFEEEHGDDELDSTTQSIKQEKKMKKALKQLYEALRDVFPHQLAESSGFIEDMVNEILACPPSDDFHASLMDLMALSKDKDAIKRATDLAARKWQINEKKFQCSKLKANNMLSERRSWFCSKPS >cds.KYUSt_chr4.4140 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23741974:23742567:-1 gene:KYUSg_chr4.4140 transcript:KYUSt_chr4.4140 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHVTRVADGYVDIYARMSDNAAFDSDEEENGVENQVEHQVEHQVDHGHYQVQNQVEHQVEHQVDHGHHQVQNEVEHQVEHQVEHEDHQVQNQVEHQVEHQVEHEDHQVEHQREHQTIAISEMVIEAENVEQRRSMKLPVVRAPIKGKGKENIKSSAHEEEDCGESSDSDYDGVHEVDSEDSSADDDDEAIYPQCR >cds.KYUSt_chr3.33897 pep primary_assembly:MPB_Lper_Kyuss_1697:3:212721746:212722531:1 gene:KYUSg_chr3.33897 transcript:KYUSt_chr3.33897 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSGSEQSTRGDNHGRLPQSASGVLLLACSTPTGLPVAPSCSAPTWPPPARLCSAPRSLSPSQGRRPKVVAPGQRLLRSKGAAAGTRLPWPRVAVPGMRRLWGRQPACAPPQGRCFRPKVVVPDTRLLHPKGAAAGTRLPWPGVAVPGPRQLRPEAAACRPEAAVPAGATPPSDAVETMAGVRPIRELPAVPSMETSRSATEMGRARGDSRERCWGFGLPHVFGQSGWIKQRGIKRWMGARPLDNIGGSGQILTGRGERQ >cds.KYUSt_chr5.23047 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150347950:150349812:1 gene:KYUSg_chr5.23047 transcript:KYUSt_chr5.23047 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPHPVLCTLLLLLLRNAAGAAAASSAVNPFTAKAAFIRYWNRKVPNNRPHPPFFLSKLSPLSAADAASFPSSLADIRARLPTLCSKASLLCPSSDVASLAARKGPFNSYNNANFTNYGSGAATGTDGFTNYSPDVNIAVDSFRRYGRDSSGRADTFTTYQPNGNVVTANFTSYAGGATGGSGSFTAYAEETNAPDSKFTNYDAGANGRARGFTTYSHEANTGANTFAGYGKSGNRLRETFTSYGNETNVLSSGFANYGESANGATDTFTGYGAEGNVPENTFRSYGAGGNAGVDTFKGYREDANVGADSFTSYAKAANGGAAEFRSYGGSGNQGSVDFKGYGEGTNPNHHIGFKAYAGDNTTFKGYAKTGVDFKEYHNTSGSEATAMLATSGHQHMKWSPEPGKFFRERELVAGNRMPMPDIRDKMPPRAFLPRDLAMKIPFQPNAVSDAFMVPLDTAMGKAVASTVAECERPPSQGETKRCATSAEDIVDFAVEMLGNDIVVHSTASTAGSGGDIRLGNVTGVDGGKVTRSVSCHQSLFPYLVYYCHSVPKARVYQADIMAADSDQKINHGVAICHVDTSDWSPTHGAFIALGGKPGETEVCHWIFEGDMTWTVAD >cds.KYUSt_chr1.23147 pep primary_assembly:MPB_Lper_Kyuss_1697:1:137302406:137303512:1 gene:KYUSg_chr1.23147 transcript:KYUSt_chr1.23147 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKLSSGREIGQGAMSKKRDGEENYCRRTGKRLRPVQEQKHLYLVLDDWDKGFTIRKIDAGNLDISTDLDLEPCALRVVAPVPGHGMNFTALGSNIFVSCNKHPATMVYDTATGGVATGPVLSDSMLVGVHIFVATANEQLYALKNNILRREHSFEVMSTVGLKDLQISPSRDCSWKSIPSPLPFTNNERITSYALHPDGRTIFMTAGSRSLYRTFSFDTRQSEWRCHGEWVLPFEGQGYFDSKLDGWVGLHEDGYICSCQVPSCSGASIRQLDWKMAREKMFLKSRRSSATLTYMGNTKFCLVESVLRDGLELEDARGDCDGFMLHITIFALGYNRKGELQTTFDRTTKSYQVSKHVSFSPVAFWM >cds.KYUSt_chr5.33200 pep primary_assembly:MPB_Lper_Kyuss_1697:5:210608491:210612518:1 gene:KYUSg_chr5.33200 transcript:KYUSt_chr5.33200 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASGAYRHCKPCAGSSAGGSGRHHPYHHRGGSGGGFRDSDAASGSGRFRYAYRRAAGGGSGGSSGEATPSMSARTDFLAGDEEEPEEEEDDDMSSGGGGGGGKEDNAKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNHQSVPLPTTPKSEDESSKEDSPVTPPLDKERLPRSLQRPTSGVGAMGYSSSDSLEHHCNGLHHHHGHQRYDSVGLASTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDQPGVYITIRALPGGIRELRRVRFSREKFSEMHARLWWEENRARIHEQYL >cds.KYUSt_chr1.35536 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216655683:216656693:-1 gene:KYUSg_chr1.35536 transcript:KYUSt_chr1.35536 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLRIGGLLPPSHLRHQSFSVLLVAIQIVVDRELEMHPVNCSCVCVREDGDEFKDLKPIHQGVDSAFSGKKNPYPYGRDKCGCYDSH >cds.KYUSt_chr3.22092 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136073030:136074762:-1 gene:KYUSg_chr3.22092 transcript:KYUSt_chr3.22092 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRKTDAAPRLDDADRTLYSSFCGAANSLSQLYSQAMAQQKLSFQSGERHALEKLHHWMVRKHEEESRLTVADIMSHIQHEIDYGGTDALVSPRGHPHPTSANQFSSIQPSAGLYAQATAGHAPRPTLSDQSKNSLFSNALSSPVRRSLQNYHLTQGAGNGGRNTETNSPGQNREANSASSNDTSMDMVSDSAGNGYY >cds.KYUSt_chr3.43379 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273917471:273922719:1 gene:KYUSg_chr3.43379 transcript:KYUSt_chr3.43379 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGVVEIHPRELQFTFELKKQSSCSVHLVNKSDEYVAFKVKTTSPKRYCVRPNTGVILPRSTCDFTVTMQAQRTAPPDMQLKDKFLVQTTVVPPGTSDEDLIPAFFSKETNAYIDESKLRVVLVDASHPPVEQLINSVPNNDAAVEVPVSRHTLNVENEVPVMEKVVPAPQEQISAVVTDVSSPVKQSPILREVPVIVPEEHAILAESPPPLKNESPPPLKDEYPSPVKDTSAITIEQLPALKENSVTSKESPLEETLPEEAVTLSDRGFFSGQNHQLSHVTEDVQNLKSKLNNLESKLEGAEKMIIKLREESRSMTQERDKLQQEMVFLRKTGTPKSQLGFPLLFVVYVALLGTSLGYLLRL >cds.KYUSt_chr5.39243 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248348298:248349893:-1 gene:KYUSg_chr5.39243 transcript:KYUSt_chr5.39243 gene_biotype:protein_coding transcript_biotype:protein_coding MATCHCSDSPQCHHRPLLGYPKLQDRKRKTVPAAGGVLAEVASILCLTGPMVGAGILLYLRSLVSMLFLGRLGELPLAGGSLALGFANITGYSVLSGLAGGMDPVCGQAFGAGRTDLLRAALRRTIVLLLLASVPICVLWVAMHRVLVATGQDPEIASTAYAYILCSLPDLIVQSFLHPLRIYLRTQSVTLPLTYAAAAAVLLHVPINFLLVDVLGLGIRGVALGAVCTNLNFLLFLVAYVCFFGMYTHDDGDKKPCAAPAPAEEECAKEWWSLVRLSVHSCMSVCLEWWWYEIMVLLCGVLADPKAAVATMGVLIQTTSLIYIFPHSLGCAVSTRVGHELGAGRPERARLVARVGLGLGAALGLVACAFAVSVRGVWARMFTSDDAILRLTAAALPLLGLAELGNCPQTTGCGVLRGSARPQKAARINVWAFYGVGMPVALALAFRPVHLDFRGMWGGMLAAQLVCAAQMLRAVLGTDWEEQTERARELTGGGGTADGDILDEADGHKRKHAEATKAGADNAMLMVASCV >cds.KYUSt_chr2.42851 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266841961:266843654:-1 gene:KYUSg_chr2.42851 transcript:KYUSt_chr2.42851 gene_biotype:protein_coding transcript_biotype:protein_coding MVHWGLDEGHTKMDSRGVDALFGIVAASIVDLARAMRISVLEFAQQSKEAVVEANHAVMNPSVGKVEAFGLLAGDVWAPERPSYLYNTSFNMGGSHPYSFVDDVVEHETEAEDDDGGVDWSHLIDIIDDLLEEEDSHGGGGDESVVEGSAAQGHGHSDYEDDALPELPKPDDAAAADENPADPADADGATREETPGTTFCFRTAAVVVPSVVSPSDDCCAPVTRGGTRSRSSSSRKRGGRRQRKKAPPQSLGAGNGVSCSTTKLDTARADGRKGSAGDPGKRTAAAAAPPPRRSTARSARGETPEERFRIVPAILASGRSRQQLGQLF >cds.KYUSt_chr2.10505 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66722841:66723949:1 gene:KYUSg_chr2.10505 transcript:KYUSt_chr2.10505 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSNGDEDGGGVDGGAFRGHFPVPAACRNRESCPPDLGFAMAAALEDIINNVAWNIRNYRYVGDVSRVEQGSLTGETSSVNKSSHRIQAEDKDIQGKDCMVFAGTTIVNGSAVCLVTGTGMTTEIGKIHSQIHSTLARQQRPLARERWQGPPQARSRRWHGLDASPTAGASPGEFPVEAPRPRDSPTVAAAPVRARRHRHPTMPARWRQLPRRVPDGGDLLPRHVQAATATSNLRARSFP >cds.KYUSt_chr6.11472 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71172352:71173685:1 gene:KYUSg_chr6.11472 transcript:KYUSt_chr6.11472 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIGGAGPLSSRRAQQQETSVVESHFQGLLGDVMVDDDRYRALCGAFGYLQQQEWPDLSSACYAGFGAPVPGVAQETSNGGNSFSCSGSASSGGGNSRKRKPDAHADAKKTLSQGDCKRTRGKQQQLCDPDQNAGKGKPEKPRPFTRKKPEVATAGERTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCDKVAGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVNFNIVDDLFGGRRPSPAMALPLHGQLDPSCLQMSAMQQMQHPQAAAFGLEMLVGNQCPPAQSAAATPASVSAGASVESCLDVNGAAAWGIGSQNLFAGFDAQFQSVQSE >cds.KYUSt_chr6.741 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4706150:4706968:-1 gene:KYUSg_chr6.741 transcript:KYUSt_chr6.741 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVCLCAQPLDIAWAGLAQQTHVGPWVQIIFTTPSLREKVLDRRFPEKPPVVFPTTRTVHAQTPPSTPTIPSSFLSSTTPSTRRCFLSAPSPPPDVARDVVALSSAHRGHSILLSRRDTVILPCPLWWDKNGDFPPDLSRWWRHGEDQVVVVAGGDPIAVVLLLEARRSSNRVMWLDPPEVVVNMPICSGYAAVAVVAARRSSSGGGGGTAERKRRLTAEQVVALEQSFKEEKQKLELERKIKLVRRLGMAPRSVEGKSARQTRRAPGRQR >cds.KYUSt_chr6.25396 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161025146:161032739:-1 gene:KYUSg_chr6.25396 transcript:KYUSt_chr6.25396 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGRRLPLPPRSVRLDHVIPADAAELGLGTGGLLSAAIENLERKPAARPTTRPRSPTSPRGSSSSCSSTSRSRAVTPLLRAPRGLRLAASRRLHRWRDHGAHDRAIACGWIERLVGAGGDVFDVSALLGEVDCVGLHPGFSRVVKATVLYWDRSERAHAVEFVRDVLRRGSVSAGADYDGKTSDGQGGVQIPPSPRNPIPLSPKHAKFMTSLAQILSLGFVARNRLPAPSVPQRRALPRIPRHGLPQDLFYIDWEEILRLICQLQTTFHLWEQVASITGEDVQGVAVDELQFCHPEDIPTSESFWERSHTIEDRYVDKGIKQQRGNCYRTKVFVSLSGNTTKRMKKLQAKDTLEFFNEVMVEQPLAPLPDPLSLFAWYQEETSIARGGEEQLDMKTDVKMDVKLDMELDMKISHGRAREEREACTRGEEDVQAGPAPGPTGRQTGQPGH >cds.KYUSt_chr1.24010 pep primary_assembly:MPB_Lper_Kyuss_1697:1:143145297:143145656:1 gene:KYUSg_chr1.24010 transcript:KYUSt_chr1.24010 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRMWTGEELGADAAGRSPCRAADLVVRQSATGRVVEGKPEYAVEVSNRCRCAQSRVLLRCYGLSSVETVDPRAIRPVDDERCLLRDGRRIQRGAPVRFNYAWMTPFDFPLLSSQVRC >cds.KYUSt_chr1.16471 pep primary_assembly:MPB_Lper_Kyuss_1697:1:95609237:95609473:1 gene:KYUSg_chr1.16471 transcript:KYUSt_chr1.16471 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLVTLGATRSVGSRKSVGGKSSGQSWRRAPAPVRQLFWKVRRAVLRPKRRAVSFGYDLKSYSQNFDDGLVTAHRL >cds.KYUSt_chr4.4141 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23746529:23749094:1 gene:KYUSg_chr4.4141 transcript:KYUSt_chr4.4141 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKDFRNHLEGTLPDWKDKYLAYKALKKLIKTLPPDADADQPTLPPPPAGDGDGAAAAEEGLGFGDVALGNWFARILDVELQKLNDFYIEREEWYVIRLQVLKERIERVKAKKNNAFASRAEFTEEMLEIRRDFVLIHGEMILLQTYSSLNFAGLVKILKKYDKRTGGVLSLPFTQRARHEPFFTTEPLRRLVRECEVNLELLFPVEEEVLEAGSSSKLQSHNTVGSHDPASSYDTETSKVYQSTLAAMKAIEGLKKASSTYNALSLSRFFNGEDGEACSGAITSESSLLDSLTDSQVEDADKDGKEVQSKDQSAAQTGHNTETDRRGG >cds.KYUSt_chr3.25975 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161419280:161432168:1 gene:KYUSg_chr3.25975 transcript:KYUSt_chr3.25975 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKPLRSAFVDDYVVPGDVILDLADMTNQTIKLGAGLRQDCDTIQVTSAGRLRLSKPNKYWVENSQKRYIPSVEDTVLGIVVDTKPDNFLVDIKGPSVAFLPVLSFEGGTRRNIPKFEIGTLIYARVVKANSIMNPELSCMDAIGKAAEFGQLKNGYTFETSTGLARMLLSSPTCPLLEALGKKLSFEIAVGLNGRVWGELRFSSRRHVSWLCPAASIRGNRGTSVKHLNVELSDSYDHHQHPGGLLRYLFDNIVPFLISRAIQQRFMDGYKANWMNRMVFKTDCFCHVEMHLGEIPGHYHIQELISVGANESKYFGLMLWQPWFSYYSPGNDYSKHLQSPIQQSENEKFQLIMAQISYSITTYSVCMVGLFVWEHSLEICHRKEILTAYNKVLHISCDFRGHLFPSTGYGAATTHQEASLSVPWEPGGAEWLRLEGKPPSKEGRMLATLLPSRWAAVMGQGLLRSETRELTINTSTTTTRGFGCELDGFGLLCFRLLLPLWFPTSLQYSMDELLISQFPVGKIRMVYSLLQRGGVLSLSVRFENGQRKCGAHSATGTTMYLGTADVQKITHCQVIHPTTIDHDVGGSCAVKHHYPSPIVSWIEDLSSFGSAPFSHETEYVDEQSRPSVGQSSASSNLRDMQISVRLTDEFMELAKENTSNNLETCGILGASFRDGAYYVTTLVIPKQEATAHSCQASNEEEIHAILSEQSLYPAGWIHTHPSQTCFLSSIDLHTQYSYQVMLPEAVAIVAAPTDPTSIPPFKLDIDELLDGYTKENCTSFTDFKRVWMTKKGKNPPKSVDVEDVKHILQNDKLLGDKAVVGDDESGEFHDENEGFDELEQLLLE >cds.KYUSt_chr2.47597 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297759213:297766234:1 gene:KYUSg_chr2.47597 transcript:KYUSt_chr2.47597 gene_biotype:protein_coding transcript_biotype:protein_coding MSDITPYPRRIKNRCLFPADHVPPIQKNPSNKQKDACNVAQAAALDAVFAKLGQKAGTSWNISGDPCTGAATDNTDIDSIPNFNPAIKCEVCTGGNTSVCRITKLSFGSNNFSGSLPSELGNLAKLEQLYIDSAGVSGPLPSSLSKLTKMKQLWASDNDFTGQIPDYIGSWSSLTDLILRNCRISDKLVSTDFSKFTSLNLLDFPYNQLSGSVPSWAKDSQLNLVTNNFVADSSSNSVLPMGWGCLQRASSFAVDCGSMRSVSGSDNSVYEPDDASLGPASFYVTGGPTWGVSNVGRFMDSRNGSYIIYSSHQFQNTLDTELFRNARMSPSSLRYFGIGLENGNYTVTLQFAEFDFPDGQSWKSTGRRVFDIYIQGVHKEQNFDIRKEAGRKSYTAVRKQYIVPVTKNFLEIHLFWAGKGTCCIPSQGYYGPAILKRHDLIRLSVSVYSANPWIGSPAHTVSIATWRNAIGRYPFTRISKNRPLDLIKNGWTRSNLRGSPEKTEMSGDGGCGDRKSMVTVVPANSRGGEVDDGVQLLLAITSASWSSSSASRNVGEVWMETSVFGGTPARNPSDSGALQMGKKVRATVYCNSKSLGQELGAEVVEIDRTELAPSSNGGGTEIAIR >cds.KYUSt_contig_605.582 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:2669381:2675035:1 gene:KYUSg_contig_605.582 transcript:KYUSt_contig_605.582 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAFGMEGAELHMTGEDGLHSWELGWKESLTLPSCHRITVPLAAAPYCPSCFISGEHMKIDYQKKVALLHKQKKRGVNLETLEKTKAAVSHLHTRMGNMWSSMHRHHKSQFLIISGIRAFEVPPVPRETTDLHYKQTCELRDIVREWHMQFEKLMDHQKGYIRALNAWLKLNLIPIESNLKEKVSSPPRQVEPPIKNLLHAWHDQLERLPIELAKTAIKSFAEVISNIVVLQEEEVSLRRRCEETRRDLDRKKAQFEDWHSRYTERRASLGEETNPEAADAPSEDPFTERRIAIEEVEIRLKEEEGHHLRLARQVREKTLANLRMHLPELFRNMADFSFFCHDMYSSLRKSAVLPVPRDEDQG >cds.KYUSt_chr1.30806 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186549288:186564159:-1 gene:KYUSg_chr1.30806 transcript:KYUSt_chr1.30806 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTTSMEVRDDGVAVITISNPPVNALSFDVLASLQRDYKEALRRNDVKAIVLTGAKGRFSAGFDINGFTNKPKNDKPWSLSVDFLTGIVEDARKPSVAAIDGVALGGGLEVAMVCHARVSTPSAQLGLPELTLGVIPGMGGTQRLPRLVGLPKALEMMLMSKSIKGVEAQKLGLVDAIVPANELVSTACSCALEILEQKRPWFKSLHRTDRLPDLGEAKEILKFARVQAQKQAANLQHPLVCIDIIEEGILSDPRAVLMKEVLSGNMLQQSQTSKSLRHVFFAQRATSKIPNITNLSLTPRRILKAAIIGGGLMGSGIATALIMSDITVVLKEVNGKFLDAGINRVKANLQNFVKKGRMTKEDYEKKLSLLSGVLDYEQFRDADVVIEAVIEDILLKQQIFSDLERHCNSNCIFATNTSTIDLKLIGQQTACQDRIVGAHFFSPAHVMPLLEIVRTHQTSAQVIVDLLDVAKKIRKTPIVVGSCTGFAVNRMFFPYVQVAGLLVDYGLDIYHIDRVITQFGMPMGPFRLADLAGFGVAVATTKQYYQSYPERCYKSRLTEIMLEDNRTGESSRRGFYLYDDKRKASPDPDIKKYVEKSRIMAGVAQDPKLMKLTDNDIVEMAFFPVVNEACRVLDEGIALKASDLDVASIMGMGFPSYRGGVMFWADSLGSEYVYKRLDAWSKDYGEFFRPCEYLAWRARRGASLLHMALASVGDDIASRCHSLIEGVQMMILRALWITLQSLSQNRPGYFYMVLLVASGFPNALGGIARMVWLLDEEYDRLHRAVHMTEKGTDLQPLKIRYHGTSDIPYDERYTEFIRPTGLLPFISLVSRGGPLMNPSALTALVDRWRPETHTFHLRAGEMAPTLQDVSMILGLPIQGEPLCMNTASDGWRQQMEVLIGRAPPPPADPKKRAPAGASFEWIRTNFGECPEEADEDTRRTYARVYLWYMISRTLFPDSGGKLAHWCWLKALTVLDDRWSWGTAALAYLYRQLDEACRRTGSRTGSGGIGGCMLLLSVWSWDRLSVGRPRVLNERPWPHYPHSLDREPTWAYLWDNVSEMSGDPKIMYMQYTAELDTLTAEQVEWEPYGSYYRIGASMTDLNHKCTEEARFWRMRCPLICMWLVEHHQPQRVMRQFGLYQECPPVWQDTDKALHRLDRQRQRKITNWPVHHSGHIAAFQQCLEAARNAGPEQIVPHDFAAFNNYLEWFHENTRIELVKHAYPEEILDDPIQFDEVGQSQHDTFARRGRSTSIASELNFVRKEIEKTAEECEVMWEQSGTDDKPVGPLRYFIKNTARKMRRLASLLGCRDAEIATSSSSEEREIPEDELIPSQAILSKRTSKQAPRSAYQLKPRGKGPNRYTPEDYVNRGKKVVTEEDEGPRRRSALFSSEEEEEEEEEEEEQEEEEEEEQEQQQEQPRQRTKRMAVRKQPARTARRGRY >cds.KYUSt_chr4.9134 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54977579:54977982:-1 gene:KYUSg_chr4.9134 transcript:KYUSt_chr4.9134 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDKSAVPAKIWLAMASSLGLRPTAGLRNLRKEVRTCEYRDVHVMWEMLRDMGSPAPLEEKEAAAAAAVMAAAGARKKKAAWRRFAYYCCAF >cds.KYUSt_chr1.41236 pep primary_assembly:MPB_Lper_Kyuss_1697:1:252981025:252988501:1 gene:KYUSg_chr1.41236 transcript:KYUSt_chr1.41236 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARTLQRIDRNFTSPLGLGRARWAWMAATLHAKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYQRKVKLLFDDVSRLLVEINQAWKIRPAVDRTVLPKGKSQAKYEAVTLPENVIDMEVEQPMLFTDSDTAKFRGMRLEDLDEQYFNVNLDDDNLSRAERHHQADPVNITLVDNFESGLAENDIFNRFERFDIADDDTTVHISPEEHPQAPSTLIPSPPRQEEPPQQQEQFHAAPSPFREEPQQGDFLNEQKERKLKVREKTSSSQEQQPRNSPPQPQEEYQNEMGAQPMDFTDGIEKIRVNKTGEFENGFDHSVTPGSPGLSHRSASSSGGSRRGEFLPLDPEIPLQPGGGRSKRRQLSSGRSLGNLDPVEEEFPLEQELRDFKLRRLSNIGPTPDLLEETEPTQTPNQKKSTPPDEITESIHTYFKLHFDGPSAPQSESLSHLTVGMNTAQAARLFYQACVLATLDRVKVTQVEPYGPILISRGPNM >cds.KYUSt_contig_1181.1174 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:7640559:7644004:-1 gene:KYUSg_contig_1181.1174 transcript:KYUSt_contig_1181.1174 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSDSGHDHQLSPSTPDPAPRRILVAHRLPLRAEPNPDAPHGFDFSLDCDALPFQLSRGLPSPVVFVGTLPPAAAASIAESDDLAAYLLERFSCLPVLLTTQLHADFYDGFCKHYMWPMLHYLLPFTGSYGSGSVLPFKDKLYRAFLTANTQFADRVLELLNPTEDLVFIHDYHLWALPTFLRHKSPRARIGFFLHSPFPSSELFNAVPVRKDILRSLLNADLVGFQTYDYARHFISSCSRILGAEKRTSHGYIGIEYYGRTVLVRTLSVGVDMDQLRGVLPSPETATKAKEIAHKYKGYKLMLGVDDIDLFKGIGLKLLAMEKLLESRPDLRGNVVLVQINNPPRSLGPDIDEIRAEVLAIRDRINTRFGFPGHDPVVLIDGAIPMHDKAAFYASADVCIVNAVRDGLNRTPYIYTVCRQEGPIVNSLPGVPRQSGVILSEFVGCSPSMSGAIRVNPWNVDDVAEAMQSALRMKETDRRLRQETHYKYVSTHDVAYWARSFHQDLLMACEHHSSMVVVNIGLAMSFRVLALGPDFQKLLPEHIHPAYCKTGNRLILLDYDGTLMPQELINKAPSQELIRILNELCSDPKNTVFLVSGRGKDELAKWFAPCERLGISAEHGYFTRWSRDSSWESCKLVIDLDWKDIALPVMKHYTDLTDGSSIEVKETSLVWRYEEADPDFGPCQAKELQDHLQSVLANEPVCVKSGHKIVELNPQGVGKGVAVDNLVSAMGARGNFPDFILCVGDDRSDEEMFAATTTGMKKHALAETVEIFPCTVGNKPSSAKYYLDEPSDVVKMLQGLIKLSTQQPAASRSRVSFE >cds.KYUSt_chr6.28016 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177661325:177666714:-1 gene:KYUSg_chr6.28016 transcript:KYUSt_chr6.28016 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLHTPPIVMEAKHHDAGSKKASVVAAAMRGSGPKGRNESSGKAAVAVDGDKSSQHALKWAADHVLARSQSFFLLHVRRKNSSLNPAVGKQFSTSHVQEDVAASLLAHMDLQTKEMLLPFHCFCSRRGLQCREVILEGTDVPKAIVDFVVQHNVDKIVLGSSSRNAFTRTIWKMDVATSVTKYAPNFCSVYVIAKGKLSTFRPATQAIENDTSKEDTKSDAPDNQLLAAESEPAHNFAGEDPHSYRLMSTHAALHIGTHFDESTKQGNHKALVRQRSGDSYLSKTSSCPSEFIRVMNKQGNHLSPEYPENRRDTLFLLNKDNERAFQAPHEKYLGMDDNALSLEYNAYGPLIPGGECASSSSKYQAEYGEGDPRRFQKNNGNMLRNYKELPLGTEDGIENSYAAVEREYDPLHDRQNAEPSSAVRGPKHKLLTLDTLSSDPQHRERITEEFMDHSAENEVHSMLRRLPPKFYSPRNDRYGSAPEEKHILELNCAPLPRPIETKRMLECLPTRLQCRLYNPNDIANATDHFSVDLKVGEGGYGPVYKATLDNTLVAVKILHSNVTQGLKAFQQEIDLLNNLRHPNMVHLVGACPEYGCLVYEYMPNGSLEDRLYCRSGTPPLSWQLRFKIAVELATGLLYLHKMKPEAFVHRDLKPGNILLDKDFVCKIADVGLARIIPKSMDDTKTQYRMTDAAGTFCYIDPEYQKTGLVSTKSDVYALGIIYLQIITAKDAMGLAYAVSDALEEGTFHEVLDPKVTNWPVEEAKKFAELALKCCELRRRDRPDLESVVLPELIRLHALAVPSDDPSMGQPHHRPSASEKDLPLGDGLAEILAEGNGKAASFSA >cds.KYUSt_contig_3853.42 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000751.1:196665:197323:-1 gene:KYUSg_contig_3853.42 transcript:KYUSt_contig_3853.42 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRLSTSASAALHRRRRGAKDDGLLPALRAEIAHELSSSPSSSPPSLHSQDINDFAAVSDAPRAQDVLLRRRGDGEEVLVSALLAPLRFLGEEPLPRDALMKVFVSKPGVEPLLRFDCRAVAADGDAAAGYYDITSSLAMVATPNIFSWDKWDIPKESY >cds.KYUSt_chr2.14928 pep primary_assembly:MPB_Lper_Kyuss_1697:2:94113090:94114439:1 gene:KYUSg_chr2.14928 transcript:KYUSt_chr2.14928 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPARRQWPLILTSAFLAVSLIAPPASAQTNCTDHMFSGGRVYAACNALGELGASVHWTHHAANGTADIAFRVPAGTAGWAAWAINPSAVGMLGANTVFAYHEPATGSVRVLTAVVDNYAPVLADGNLTFPVHGRGAEYVDGVYDVHATVSLPGNSTRQNIVWQVGSSSADGLPESHQAFGDNIMSSRSWDFSSSDAAVADVPAPRDSVYSTLLRPKNIHGVLNAVSWGVLLPLGVVLARYMRVFPSLDPAWFYLHVACQCSGYVIGVAGWIVGLTLGSKAKGALRYPGHRNIGTALFVLSTLQHNWIHQASALLIRPKKTVKVRFYWNLYHWSVGYTVVVMGVFNVFNGIGILQPDPKYKHTYIGVVLVLAMVAFVLEVLTLTVRFKKNRR >cds.KYUSt_chr4.38599 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238052772:238056726:1 gene:KYUSg_chr4.38599 transcript:KYUSt_chr4.38599 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSADKLRGLRITSLDDEEEDDEPELPHQPLPAAAEDYDDDDEEEEAEVMLGLLEEPKRPGLLLRHLFPSKAGGIPAWLDPVNLPSGNTSCCGFCGEPLHFVLQIYAPIGDNEAATFHRTLFMFMCPSMECLHRDQHEQWTRKQGNPRRSVKVFRCQLPRSNAFYSSEPPKHNNSDKPLCAGAALCHWCGTWKGDKICGSCKKSRYCSEKHQALHWRSGHKNDCLQMINSSEASSSVMPAVGKVPARASWPEYKITIDYEADSDSDSCDGDESNSKSLVMQKHSKPDDLMQSWMDQFEADADNQCWAHFEERISREPKQILRDIIHVHLKLKTCVPSEIA >cds.KYUSt_chr1.4736 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29139695:29140695:1 gene:KYUSg_chr1.4736 transcript:KYUSt_chr1.4736 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPFRPSPSSAAPAPRGCGGDRCASGRDAWPLHHVRHDGVFCRLCSSCVLQYHPAAFCSVCLFLLPADDQQPLLEPAFSPPGPTTPCTTCAVSVAHLSCVPDPASFVCPPCAAAAEDRTFSHTPAAVGRRVLDERAARVLLVAARLAHESVGRAAAAAREEAERCVMEAAIARKRSREMLDAAFRALEEEARAAKKKGEEDRVAQIKKEEEAKTKKEKLAAHPPKKKTPKSSEANRDRDKMLKFNAMQQPTPLAFAAAAAAAASSMSRSTPSPREDKNPVKLEPQGPADKVADDDVKPLFGTLQS >cds.KYUSt_chr2.653 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4021367:4025253:1 gene:KYUSg_chr2.653 transcript:KYUSt_chr2.653 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGYNKLSAAAGDKLSAADEAKKWASVAAEAVAEANRWVAVAAEAIAEAEEWVSVAAKAAAEAEEWASVAARAAAQSEDWETTVAEAIKEAEKCASVAAKAASETKKCASVAADAASETKKWASIAISASAKKTSFLAAVAQGNAPIKKGLKEEEGEEELVISKPKLASLIYHGFNIAFVLQILLFITHVSVYYNASEFWWEAVAATAIVSPLLITPLYFTPMLRDVFIREYAMSPSNTCSSDLSGKLLFSEEV >cds.KYUSt_chr4.48704 pep primary_assembly:MPB_Lper_Kyuss_1697:4:301631059:301635703:1 gene:KYUSg_chr4.48704 transcript:KYUSt_chr4.48704 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDEDGAANNGFPRRSHHAWEGHLLHQAGCPCPPDTRPPGGGWRLSAGGVPIPPPPQGRALDVAIEEVPFFKFRSPFSPEIAAGKLVLPTQIHGIPRKNENVVCSRLPSIRMCASLAAPSELKKTVWVWTENRRVMTAAVERGWSTFLFGSKELSEDWSSTARIHPLFIDGLEILDEGNQKVAAISVISSPSELQLIQPENMELQNTVIDFQGDWQVIPAENIVAAFQGCTGTVLAVSKNSTEAQVFLEALEQGLDGVVLKVEDMDDIIKLKDYFDRRNEAKSQLQLTKATVSKVEVVGMGDRVCVDLCSIMRPGEGLLVGSYARGMFLVHSECLETSYIASRPFRVNAGPVHAYVTVPGDKTSYLSELRSGREVIVVDQNGLWRTLIVGRVKIESRPLILVEAKENSGSGTYSIFLQNAETVALITPDKGSGGRTAIPVTSLKVGDEVLVRKQGAARHTGIEIQEFIVEK >cds.KYUSt_chr2.45632 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284553116:284557692:1 gene:KYUSg_chr2.45632 transcript:KYUSt_chr2.45632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OCL1 homeobox protein [Source: Projected from Oryza sativa (Os04g0569100)] MSFWGFFHDDDDGSAAPDMEEFPYLSDAGPGVLTSSPPADGPDRDRGGGVKVKRSASVAENDSRSGIDHLDTVVSAGVDFGAEDAAEPGRPAKRNRTSYGRHTPDQIQELQAFFRDCAHPDGKQRAALAKKLGLRPIQIKFWFQNRRTHVKREALLVENAQLQMENDRLRAENASIRGAMMHQVCGGCAHASSPILRGSASRQEEEDLRVQNASLRAELTRFCALASKLLGKSISLLAPQPMPGECVGSLPSSATVANSTVTAFTAGSGCSSMATTKAGIDKSVLMKLAASAMDELVKMAHMDEPLWTPGVPLPGSLAKDTLNHEEYLNIFSPCITGARPAGFVSEASRESGIVISGSSAALVETLMDERRWSHMFSCIIAKSSTMEEISTGVAGSRDGALLLMQAELCVLSPLVPAREVTFLRFCKQLGDRAWAVVDVSIDGLVMEQGLAVVYTTANMRCRRLPSGCVMQDTANGLCKVIWIEHTEYDESSVHQLYRPLLRSGLALGAGRWFATLQRQCEGLDILMPSVTAPKQDSSDVMVDGTRSLLKLAQRMMDNFRAGVSTSSAAWSKLDGLTGNIGEDVRVMARQSVDEPGVPPGVVLCAATSVWMLVTPKRLFNFLCNEETRAEWDILSKSGPMQELTKIAKGQQDGNAVSLLKANAIDLQDSSILILQETCTDASGSMVVYAPVDIPAMRLLMDGGGDSRSVALLPSGFVVLPAGPSISGDEHKACGSLLTVAFQILVNSSQPTGKLTMESVQTVKTLISCTINRIKTALQCNDV >cds.KYUSt_chr1.20534 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121177622:121180335:1 gene:KYUSg_chr1.20534 transcript:KYUSt_chr1.20534 gene_biotype:protein_coding transcript_biotype:protein_coding MENSFAQNNGYGEAAISHPFLKTKSSNLSDILKDSFKKSDSFTRWMSNELPDVEDSQIQSSSGAYWNSEEADSIIEASSREPQDQFTVAPMLSQDQLFSIVDFSPSWTYAVSKTKVLVSGRLLNANEVTERCKWSCMFGEVEVPAEISSDGTLRCYSPPHKPGRVPFYITCSNRLACSEVREFEFRPSDSQYMDAPSPHGATNKIYFQIRLDKLLSLRQDAYQATLSNPSLEMIDLSKKISLLMKNNDDWSELLKLADDNELLTIDQQDQFAENLIKEKLHVWLLHKAGDGGKGPSVVDDEGQGVLHLAAALGYDWAIRPTVTSGVNINFRDVHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPGSTPADLASANGHRGISGFLAESSLTSHLQALNLKEANMAEISGLPGIGDVTDRSSSQPAIGDSLSAVRNAAQAAARIYQFNRIQSFQRKQAVQYEDDKSGISDERALPLMSVKSSRPGKLDPLHAAASRIQNKYRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRSSEGAMESSSGGTSTNLVTHKPAGDDYDFLQEGRKQTDDRLQKALARVKSMAQYPEARDQYQRILTVVSKMQESQATQEKMLEDAAEMDESSFMSEFKELWDDGTPAPGYF >cds.KYUSt_chr2.32109 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198077366:198078837:-1 gene:KYUSg_chr2.32109 transcript:KYUSt_chr2.32109 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGGAPAAVGCGRIGWVTEIWMVWLLNDYYDRHHRAYYKADLGQVLEPLKIRYYGSVDHQNPPASSDGQHVEPGGSQDFWWALVPRAMARNAPARTSDAGARACHLTYTWSGR >cds.KYUSt_chr3.47236 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296359335:296359961:1 gene:KYUSg_chr3.47236 transcript:KYUSt_chr3.47236 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIFPPTTTTERVLGAPPAVGPNPNAAVSSTAASGGRRTDPPRRFAPRAPKSSKRKPRTTSSPSASVRRRPGPSLATPPPPPGPLATADSTACWSCLDGPVVTDPDSGALVCASCGLVHDLGAAEFFHQTADEVACLVIHGRDEPVADWTCWSDSDADSGTLVSALYGLVHGLGAAGCAEEVDLGLLSRIARPPRAVEQEAAEDTKN >cds.KYUSt_chr7.2106 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12110280:12115557:-1 gene:KYUSg_chr7.2106 transcript:KYUSt_chr7.2106 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSHHRRCLVVILLIVVLQTSLYVSSAAASTPLAAERTRRKDPLDGLRYYGGGWNISDRHYIAATVWFVAFALAGLVACCCRCCRGGTISDYSYSRKKFAVSLILVLAFTAAAVIGCAVLYDGQGKLDWTAPPPRALPSTIDERSRVRFGEADCMFVISCKHNGITEVKPKLSMLRSPSTLICGGVFTNKQMRDKGSVSPKHPFDKCLDETVNVEAILTVLNGVASSSKNDSKDDDWATSDDDADSMEHDDGW >cds.KYUSt_chr4.2956 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16927736:16928943:-1 gene:KYUSg_chr4.2956 transcript:KYUSt_chr4.2956 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSWDRNSALLCAAAGHADHCDCRSCPFRVAFVFSHGRFSTAACLYSSEAGVWGEVTSMFTGNQFVERKPMALVGNTLYCLLDNNWIIEFDFDTYNLQVIGELSYKVLNSCIGHIIMTTQDGQLGLASVGGFSLQLWSSTTCIDDGMVTWADRRVIDLEKLLAPEVVAACTAPVWPTGYAQDADVIFIEVYPSGVYMIHLKSLQIEKVSENMVHGYICPYTSFYAPGNTIVFDVANSL >cds.KYUSt_chr3.34993 pep primary_assembly:MPB_Lper_Kyuss_1697:3:219477593:219478678:-1 gene:KYUSg_chr3.34993 transcript:KYUSt_chr3.34993 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIQGWADLPECLLHSIVARLGLGSAPDLLAFAATCHSWGAAFSGSLATFPPLLLQQAVPCSNNLVPRHPYLVTDIAKVNLGPNPCSEIPLQLDLFSFMGASYGHLILSHRKSCIVVDLFRGISVAPPPLPVNKPTEISYGALTAPLTSRNSHLIVDAALHNLFWRVGSNSWVKCSTRYGTIKQIVVFKGRVFGMDSDRRIFKVHLAPEISIQELPLMECSMISRKLSNAWLVPCGDMLLLVGFRGPLVVSGVTFEVFHLDLSFEPALWMKVDKLENWAIFISTDKRSQPLSCKNPEIWGGRSNCIYCYNHDAKRWTALELGKPLQGDGTESNSNVFIYMGCDSRVQPMWVVPSILSLRR >cds.KYUSt_chr5.21661 pep primary_assembly:MPB_Lper_Kyuss_1697:5:141425424:141425957:-1 gene:KYUSg_chr5.21661 transcript:KYUSt_chr5.21661 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPKRSRSLLPDELAILDRVGDIDRLLPLEPMSNPLKDEGDPPLERDTGRSGKDVRRFGGEPPLVPFNVGPLEVITLLEAGQGDLLGGVNVLAAGGVDDLRNVIDGWRASGGLLREDEFDDLVLVRAALQFDRGDGLSLFGLALLLLDL >cds.KYUSt_chr2.33139 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204584064:204584978:1 gene:KYUSg_chr2.33139 transcript:KYUSt_chr2.33139 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTVHLAGTDLLLAGTPPSPSPSPAVVQLAYAGMSSHLVAAPVAPEKTGLLALKCVPEARSPNVVDGRMAADTETEGQKLAGSASARPGEFHDDIRSDLMVCALASVRTYVLSVPERKDLASGQVLPASEASVLDVSRKAPGGENQHEVALAARSDAPAGEDVIEAEEDQEAEDDQVAEQETGMDFSLDPSAEPWASSSSDTDDDDDLYNQGIAIAMEMDEETLRSEVAKYFRREANRERLQRRARNAAAAANSAPAVEFSLRLSYQEAMEDVAAVRPALAQDVGLEAEVPALPRNAKRRRGG >cds.KYUSt_chr7.41221 pep primary_assembly:MPB_Lper_Kyuss_1697:7:255444333:255444797:-1 gene:KYUSg_chr7.41221 transcript:KYUSt_chr7.41221 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSATVTVPSFSRAAVAPRSSSSRLVVRASFSKACGAAAVAVAASAMLAGGAMAQDVLLGANGGVLVFEPNDFSVKAGETITFKNNAGFPHNIVFDEDAVPSGVDVAKISQEEYLNAPGETFSVTLTVPGTYGFYCEPHAGAGMVGKVTVN >cds.KYUSt_chr5.3214 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20761365:20762402:1 gene:KYUSg_chr5.3214 transcript:KYUSt_chr5.3214 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQKKKDKPSSVALSLSSLLPTPLPIEWSAAHSPPLLSPNHVRPPFPFVPIVGRRLTRPCSSPLSIHAALALLGAGAKGDTLDQIVAFLGPAGGRAHAALASHFALPVLSDSAGDDGEPTVRFANGVWVGDAMRLKAGYAAVVSEHYRSQARPASFKAMASPSPPLSIVAAFSQEFAPMLDSNLSQLICFMPEEARAEINQWFESVTAGRITELVPQGTINSYMVAVLGNAIYFKGAWRSKFDLLHARLRPPRPRALHVERRAGACRSGYKALLQMLGSRPALLDDSSSLMTQVPVGAFKVPVVRLVESGR >cds.KYUSt_chr5.31584 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200173200:200180374:1 gene:KYUSg_chr5.31584 transcript:KYUSt_chr5.31584 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRTAKMLPEDNEKVKLPGDEVACAFPFYSCSNIFDLKPRLTTFSLKKNRTPLGETDDSSPDMWNGYVNKDDRALLKVIKYASPTSAGAECIDPDCSWMEHWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLEIYGVKNIVGIQFGYRGFFEKGLKEMPLSRDLVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSTGFIAMHASLSSGQIDVCLIPEVSFTLDGERGVLAHLEHLLKTKGFCVVCVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKKHFKDIGVPADIKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGCDVPIKLKENSSSSWAVLSCPEAYVVLGDGQLVLARTDPLRRFEHVIWLSPLQSSCLPKQHYHLVPPYALFTGVY >cds.KYUSt_chr4.1758 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9412510:9412734:-1 gene:KYUSg_chr4.1758 transcript:KYUSt_chr4.1758 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAVGQHGRWRRAVDYLGLGRRRPRPGPWEVQDVTPAAEQEAAPAWKSTARSRIMEERSQQPETNLAAWRR >cds.KYUSt_chr7.26942 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168400716:168406455:-1 gene:KYUSg_chr7.26942 transcript:KYUSt_chr7.26942 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESSGGGEGEGSMRRSKVNQELWYACAGPLVALPPAGSLVVYFPQGHSEQVAASMRKDADAQIPSYPNLPSKLICILHSVTMQADPDTDEVYARMTLQPVSNVTQCDKEILLASELAMKQNRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSLQPPAQELQARDLHDTTWTFRHIFRGQPKRHLLTTGWSLFISGKRLLAGDSVLFIRDTKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGVLAAAAHAAANNSQFTIFYNPRASPSEFVIPFNKYQKAVYGNQLSLGMRFRMMFETEESGTRRYMGTITGVSDLDPVRWKGSQWRNVQVAWDEAAPSERRTRVSLWDIEPVIAPFFIYPTPLFTAKRPRQPGMIDDETSEMDNLFKRTMPWLGEDICKKDMNTQNSIMPGLNLVQSLQWMNMQQNLSLAGTVMQPELLNSLAGKHVQNLSAADVRQISFQPQFLQQNNIQFNTSLLPQQNLHTLHTEQLAKAIATPNQLGSIMVPQKVDQDRQSDQKQHGVTQSVQGSQANLNITQPQHAVQAQFQQPQVTLQAQLQQQQPLVQSHAVFQGGLQQIQIQQQQQPHLQQQLQQQQPQHHQQVQQSVQEQQKMKTQPVPVSSDANMNTQLSDHQMKLQLLKALEPQQQHLTFEQQKMLFDLQQQMVNSHSNHQQCMQAATQTVSLHNGSTMQYPTQQKAQPHQLVQDSPGSTIPVAKSDIVTSMGASSLNAAGGMQSLKTDNVPSSSTSPSTNTNHVLLQSIPSSSRNQSLLTAAKTSQSSVVLGSTIEQEKPYQSVKPTIMIPKITEQGPATGRDYNNNNPNMDYLDTSSSATSVCLSQADGSLQQNFQSSSFDQHHLLRDTAPESEFEVTDPTNNLLFGVNIDGQLGLPLHADALLANSIENDKFMDQMAGTGISNYISSKDSQQELSSSMISHSFGVADMGFNSIDSAINDPTFLNRNSRAPAPAQQRMRTYTKVHKRGAVGRSIDMNRYSGYDELKHDIARMFGIEGQLGDQSRVGWKLVYEDHEKDVLLVGDDPWE >cds.KYUSt_chr4.53750 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332545918:332547483:1 gene:KYUSg_chr4.53750 transcript:KYUSt_chr4.53750 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVGVVLAYAALAVAVVRLVLSYRSAIYALRRLWRWGDEWAQVYQYHEVPRRLTVDGGAERDNPLFAKAAAYVSSLPSLEDADAASVLSSSASPSKKTNGGFSLHLGPGHTARDAFQGARLAWTYRPARDEHDEALVLRLRRHDRTRVLRPYLQHVESVADEMKLRRRELRLFANAGGVDARTGAPRWASAPFTHPATLDTVAMDPDLKARVRADLEAFAKGRAYYHRLGRVWRRSYLLHGPPGTGKSTFAAAMARFLGYDVYDVDLSRAGDLRALLMSTTPRSLILVEDLDRHLLHKGDGDAEARVLSFMDGVASCCGEERVMVFTMRGGKEHEGVPAAVLRPGRLDVHIRFTLCDFDAFKALASSYLGLKDHKLYPQVEEGFHAAGHRRFSPAELGEIMLANRGSPSRALRTVITKLQRASVSDAPSPLPSRLPHRRMTSWSGAAQLDVPAGTEAAAEETGGGLFGKDVPMREFKKLYGLIKVKSRREGAGVVPLEDEAPTPTAFNGRGSGSNHDKER >cds.KYUSt_chr7.7487 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45094387:45100929:-1 gene:KYUSg_chr7.7487 transcript:KYUSt_chr7.7487 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIRVIDMKKCETASIAQMSSPDGEVGEIKENDNKHIDMVGNAKMTSTDVEMGEIQENDMKQSETACSAQMSSIDGEVGEIKENDNKQIDMVGSAQCINAYTYCLRAKEHLTNKAGGKVWYESTHISQLMRRDANNNISEKDCDVTSMSRNRRIQILDSFGSTKDRLRLTTDGLQNQLQLVARMSDFNLGQKWQDPEVTTWKWVECIQATITDEIDSSCGLFVLKLMEEWTGQELAHPRNSVGYTLRNKAVIHMLSYMDNECEANIPQHVKDLVKMLGHVTFDDVELAHIQSGGWETTAKGTKMEAFAYEEFVDRFNNELQIGTFMDFRTVYGLGNRMLAGGLSTTYESEIVFRPQSQSAYALEASRAMFVASAGALSRHVKEAVELWTRVAKNDNLHVSTL >cds.KYUSt_chr3.31796 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199777704:199792684:-1 gene:KYUSg_chr3.31796 transcript:KYUSt_chr3.31796 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLQLCTARRGSGSTVSIMPTAASSSSATSSSSAAASPHGGAVRRVGEYQREKSSPGGGGKRGRRRLAAWASIDPRARWAREWDRAYLLACAAGLVVDPLFLYSVSLSGSLMCVFVDGWFAASVTALRCMVDAVHVWNLLMRLRMACAPDQEAAPGEEDADEEAKLQGGSGRSSNDINVGGAAVPAQPQKSKRGLFLDVFVILPVMQVVTWVGIPAMIRAGSTTSVMTVLLVAFLFEYLPKLYHSARFLRRMRNVSGYIFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLREQYCDDGRGGCAAAALACARPLYYGGGGGSTTAVGADRLQWAGNSSARATCLDSGDDYEYGAYKWTVMLVANPSWVEKILLPIFWGLMTLSTFGNLASTTEWSEIVFNIITITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRSVEWWMKRKNLPQSFRHRVRQYERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFPKGEAIVREGDAVRRMLFIVRGHLQSSQVLRNGATSCCMLGPGNFSGDELLSWCLRRPFLERLPASSSTLVTLESTEAFGLEAADVKYVTQHFRYTFTNEKVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLTSLSFIRPRRPLSRCSSLGEEKLRLYTALLTSPKPNQDDLL >cds.KYUSt_chr5.14505 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94066698:94067633:-1 gene:KYUSg_chr5.14505 transcript:KYUSt_chr5.14505 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQIDSSAQQSATATPSYMLPLKRRKTTQSTSSQHTSQSSANPIDHNLSEPKPNYLTHADTPAPYEDSDSAAHAAISDMSISAASIQTQQWSNIAKADTPILTVQTVVTDTTAVHVYEDYKLTDDAILQADPEDLSLKQETEEMSPEGLLNSGYYCDPGSHVVQVPMQFVDHTINESYSIPSTPVLHFQVDDETEAMENGVGADYSYEQCPYPTDITAGAESEVQHIPSVEENDAANVIDHDLEQALRDSIRTHGEEEAQMQMLEENRRINKNLDQWLAAVSNVDDPELNQPPNNGEQHHQSSPFDCSKN >cds.KYUSt_chr5.20622 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133983233:133988273:1 gene:KYUSg_chr5.20622 transcript:KYUSt_chr5.20622 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEDMVHHPPMDQLQGFEYCIDSNPSWGEAIALGFQHYILSLGTAVMIPTMLVPLMGGNDRDKAKVVQTLLFVTGINTLLQTLFGTRLPTVIGGSYAYIVPVLSIIHDRSLTQIADGHTRFVQTMRAIQGALIVSSSIQIILGYSQLWAICSRFFSPLGMVPVVSLVGLGLFERGFPMIGSCVEIGLPMLILFVALSQYLKHVHVRHVPIMERFSMLVCIALVWVYAHILTASGAYKHTALVTQISCQTDRSNLISSALWISIPYPLQWGPPTFNADHAFGMMAAVMVSLIETTGAFKAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSIENVGLLGSTRIGSRRVIQISAGFMIFFSILGKFGALFASIPFTIFAAIYCVMFGIIAAVGLSFLQFTNMNSMRNLFIVGVSLFLGLSIPEYFSRYMTSSRSGPAHTKAEWFNDYINTIFASPPTVALIIAVLLDNTLDVRDAAKDRGMSWWARFRTYRGDSRNEEFYTLPFNLNRAAGKETIVVTGGRGAGEEMVVVAGKKVAVVVGGELFHHRSREKLELVVLDPDGKENMGL >cds.KYUSt_chr1.8742 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53634100:53637912:1 gene:KYUSg_chr1.8742 transcript:KYUSt_chr1.8742 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPFVGGRLLFFLFQVAISIVMLLPLGGHARLCTPCGSTSVPYPLSTADGCGDPAYKVRCVANTSTLFFDALNGTSYPIAFISPAAQRLVVSPAPLVSKDSCVSVGAPGGGGVQLDPSLPFNVSSSNTIMLLNCTAALLQSPLNCSSSSLCHVYANATGSPCSPLPLCCTFVAGGSSTSHRIRVSPQLCSAYSSFVGLDPAAAPATWGDRLGLELQWATPREPLCRTQADCEDGGNATCSADPLGAGAGASALKRCFCIPGLVWNPLAGVCLQNLSDCQRAGDCKGSNHAPLIAGIVCGLGGALLVTAAGLFLYRRQRRIRVARETLAKERQEILNASNLSGRTAKNFSSRELNRATASFSRVNLLGVGGYGEVYKGVLADGTLVAVKCAKLGNTKSTDQILNEVRVLSQVNHRSLVRLLGCCVDLEQPLMVYEYIPNGTLADHLYGGMSQPPLPWRRRLAIARQTAEGISYLHFSASPPIYHRDIKSSNILLDQQLDGKVSDFGLSRLAEPGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSKRAIDFARGEDDVNLAVHVQRAADEERLLDVTDPAMKNCATQLELDTMKALGFLALGCLEERRQSRPSMKEVVDEIEYIINIEAGAAVVDDL >cds.KYUSt_chr2.16731 pep primary_assembly:MPB_Lper_Kyuss_1697:2:105206968:105212833:1 gene:KYUSg_chr2.16731 transcript:KYUSt_chr2.16731 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAVEAEEQGCGAAGWGTWEELVLGGAVQRHGPASWHAVAAELRSRSPASFSPEECEAKFSEIQARYSASNAWFDELRKQRVAELRRELRKSESFIGSLQSVIESLSNSKRDDSNSGCHTESRSRNEIAGDTNSSSKELSKDRSSAASFTEEASNSQKSQNVQNTSAETLSKPLVEKKPCAKDCLLWGSRKKRGLREKRAILMADNGSRDGENTSTCIQREDSSEGCKKGLKIPKVEPAVSVCERAKPSLADIVNSISTQGDCNMLQRQIDIQRKRARYKKMIRQHMDFRILRTKIKSGLISSTKELLKDMLLFVNNVLAFYPKATLEHMAAIELRGIMCKTLQQSSSIVSMGCGAPGVATGPVIKKTAVTIASDTVIKKTTAGGASNPVIKKTTAVLASNPVAKKAAAGISNDPVIKKTVAGIARDALMKKGTAGMARDPVMKKAVAGIASEPVTKKAAAGITSEPVIKKAATGIASEPVMKKAAAGIASEPVIKKAAEGIASEPAIKKTAAGVASAPVVKKIARTLPAVRHVPRDAKRSKVLPRDTGSSASQAEPKVVPSDAAPTSNEKPDQGSPPAKKRGVGRPPKSGQKRAAEQQESPGKGRKKAR >cds.KYUSt_chr1.12327 pep primary_assembly:MPB_Lper_Kyuss_1697:1:76114484:76122295:-1 gene:KYUSg_chr1.12327 transcript:KYUSt_chr1.12327 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNPLPAALLLLLVLALLPAGGRCQPGDGDIGALPPSPATQSKEMLAARIATVSSELTGEVQSKYGFCMSNVDNDLNQTFNFNSDPSFISDCMEQTKGQMVTMLCSKAEMELYLSSLGSKRIGRISRNCNQSSWATGCQSGWACSALDPNVTSVAKSVPLRAESCRPCCPGFFCPLGLTCMMPCPLGAYCPLGTLNETTNLCDPYSYQITPGSNSTCGSADSWADVITTDDVFCPPGNHCPSTVQKLNCSKGSYCRKGSTDESDCIWKSRCKENSTKEDLALFGGMLIVILCAVLLVVYNCSDQIIAIRAKVSAKSRKRAAKIAQESATARGRWKLAKELVLRHEVEMYESSDTPEQLATSSDGILHANKGNGKRSKNRKKLNVRTERFRRAYSQIDKEKTLQLDKDKLTLSGIVSRAAANRPQRPMLEVAFKGLTLSIGKKKLLQCVTGKLSPGRITAIMGPSGAGKTTFLNAVLGKTSGYKKDGLVLVNGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSGCCRLSKGMSKADKVLVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQQLLRALRHEASQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYHGPICEIENYFSGLGIKVPDRENPPDYYIDILEGIVKTKMRGRVTPKQLPLLWILHNGYEVPKDMQKDLEEINMMHELYTVGSITREDSSVQHIDNKDSVHQNVRHTNDLLDRKTPGVLAQYKYYLGRVAKQRLREAVQQAVDYLILGIAGVCIGTITKVNDDTLGVASYGYTIIAISLLCQLAALRSFSPERLQYWRERESGMSSLAYFLARDTIDHFNTVVKPIVFLSTFYFFNNPRSTLRDNYLVLLALVYCVTGIVFCIATCGIGPGWH >cds.KYUSt_chr2.15077 pep primary_assembly:MPB_Lper_Kyuss_1697:2:95016456:95019458:1 gene:KYUSg_chr2.15077 transcript:KYUSt_chr2.15077 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRWGAEEIVHRIFNVLTLENIRLYVNAPAADIRTLIVRMESELLPRAPSPGSEFPPPSWAPQGQALPADQIGTSQLPGSSSVSDDGMRDFLDPSWNSQGQSSSTSVAVQSAHTGQTETSQLPGFSSVPDDGVRDSLHPSCYSQAQSSGTSVGTQSAQAGQHSQLSSVPGCSNVTGCPPSSSRQWPDTCRVYISTRGLCPMGLSCGFSHGFGSSGKFEMEIRGILLQMGRPVFIGEVPRLYFLLYQKDLPVGSVLDPWALPMLLRALHTVCWIPDSNYGPVMSVSIPRERSFGFVRFQYPETVTLLLSDWNPQIPHFILGEPVRVYRYIPKPEAKLKNSAGNHGLENGRAPEMALPLAHNQPSHSQMEIGPLEGGDATGSSYGDSADHSQPSHSQVEINPPEAGQVPNGLEINPVEGGQVAGGLGEEAADEQIADEIVPALPGFPDVGCFYKQT >cds.KYUSt_scaffold_6468.1097 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:5369665:5369991:1 gene:KYUSg_scaffold_6468.1097 transcript:KYUSt_scaffold_6468.1097 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFFAWLLSKARVQSRASLLRKNIMFVAEAICPISQAPLETANHIFLECQFARRFWATAGFQFPGDADVRLLHEYAAPTFTLPCLWNLWKHSNAVAFGAAALPPPPV >cds.KYUSt_chr2.39814 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247112457:247114813:1 gene:KYUSg_chr2.39814 transcript:KYUSt_chr2.39814 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEKGLVDILHENNNSHYRTPHGWKTEGWRKIVRDFNHRHPDAGFTKVQIQEHETQLKKDYKLIKSILQRDGVSWDQSASMIRTTDEIWDEIIDVSPKARKYQSKSFPLLQTLEILLERDIPEGVGNIDEEGTDITPLLSMSRRPDDDENNISTLQRTLELGSQGLDDLDLLQNQTEEVLERPQPGADPKPQRVEEPAQSSSCIEPQKDKRRKRKAADIQQTMEAYLDFRMKQARTKEQTKKDGEQFSISRCIKALHAMTELSDQIRVLAADVFKDAVNREIFLSYEPRLRALWLKREVNRVQEVQIQIQQCRFIEALDVASSIPYYHVLFKIQLSDKINHILFQQRQKAYLEKGHTYAAIHIA >cds.KYUSt_chr2.41258 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256506529:256508028:-1 gene:KYUSg_chr2.41258 transcript:KYUSt_chr2.41258 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEALSPPPEPRQLTLADLRAVSVLGRGAKGVVFHVVPGEGGDEDSGGTAMALKAVSREAARHKKAGSGGGDGHRRIWFERDVLLALRHPLLPALRGVLATDAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALEYLHSIGIVYRDLKPENVMIQDSGHIMLVDFDLSTRLPIAPPEPEPSAAPKPSPIPTTPSLTRKRSPAAMCFRFRSASTSAKTKTKTNPAAPTDSPPSPPSTSRTASTSSSTSSTTSSSTTTTASRTPAAKSNSFVGTEDYVAPEIIAGSGHDFIVDWWGLGVVLYEMLYGRTPFRGQNRKETFYRVLTKQPELVGEKTDLRDLIARLLEKDPAKRIGARGIKAHAFFRGVDWDRILRVARPPYIPDPASRDDEGEDGEVLDVEKVVRETFAAKDGEAAAAAVDDEKKASPDVGVTGGDGERRVDPSKDGDFSVFF >cds.KYUSt_chr6.30529 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193425912:193426250:-1 gene:KYUSg_chr6.30529 transcript:KYUSt_chr6.30529 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWGQASMTRPSLSGHAQCHRPAAVVVSIGHARSSAAYRRLGHLPCPRPLAMAAGCQAGIRPCIPPVGCFPSAGGGAPENRRPGRRWEGLASGMAGEVGVRGVAIEGDAPW >cds.KYUSt_chr5.27406 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173505162:173509392:1 gene:KYUSg_chr5.27406 transcript:KYUSt_chr5.27406 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARATLPHPLLPTPSWRPQLKPFPSIPRRAVAAFAAPPLTASLRLGDVIEAQQFDRPALNEIFEVAREMEAVERASHGSRSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAAATADIPVINAGDGPGQHPTQALLDVYTIEREIGRLDGIKLGLVGDLANGRTVRSLAYLISKYQNVKIYFVSPDVVKMKDDIKEHLTSQGVEWEESSNLLEVASKCDVIYQTRIQKERFGERIDQYEAARGKYIVDKKVLSVMPKHAVIMHPLPRLDEITVDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGR >cds.KYUSt_chr3.12282 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73342833:73346655:1 gene:KYUSg_chr3.12282 transcript:KYUSt_chr3.12282 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMGGEEMMMTSGATSRIVPVFRSVLSRRALLRLAVALHSLFLWLLLLVSRRRRSPDAAEPRLGKARTRRRAAEEEDVRRRRALAEEVPMVEDDDAEGRTCGTFVIAGARRNALFCRVWKPAAAAEMRGILLIVHGLNEHSGRYLHFAEQLTACGFGVYAMDWIGHGGSDGLHGYVPSLDYVIEDMEVLLEKIMLEAPGVPCFLLGHSTGGAVVLKASLYAHIRARLEGIILTSPAVRVKPAHPIVGAVAPIFSLIAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSFLLHNLKKVTVPFMVLHGTADRVTDPLASQDLYNEAASRHKDMRLYEGFLHDLLFEPERDEIAADIIEWMDRTLALQSV >cds.KYUSt_chr5.39643 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250817481:250825688:1 gene:KYUSg_chr5.39643 transcript:KYUSt_chr5.39643 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPDIVLEFDGGGEGEKPEEDSHAAGAGEDAAAAAEDVNDCAPAGEEPEEDAGAGLQSAATAVAFAVDDPAELGASRAEDDSDMAPDGDGDGLGGQTGQGILDGATHSEPGVQDDGPQMDNDAAGEDAQDGEAAPMDDAVATTELDETEAELVKDDDGIAEEGTEMDALASTEDEGAAAAGDASADEGRQMDAVSLTRDADEEAAVDATSDDDVKADTVGLTGDDYQEKEILSAGDDGAGEEGMENCADVGAGGEDEKDGMTAQNVAEGADGVPEQDDDVPASAEDDVAGDVGAEEVTEMDIPATTGEDNEDEGVATAGDTLMDEGTQMDAVSMSRDVNAEEGTDAAGVCATGEDIQVDTAGLTGDNSQDVASAGDDGADVEGTEKHAVTTTRDEDEDDDMADQNVTEEADSVPEADVDMAGGLPEEEDVQIYEDDDDDEPPPLKKKGGGRPKRGRASSKAQAVVKPSPKKKDEEEVCFICFDAGDLVVCDRRFCPKAYHHSCVNRDDEFFKTKGQWTCGARMDLVLENNRLRWSGVFVDVEAVAAPTSFSDHVSHCMDVDFDDKESWWYLFKGYWLNLKEKLSLTFEEISVARRQKNGPSLNGPSLIIRELPETNDEEEANSDSSPKKRGKKRLKRAADEDSSKGKGSTRRYTKRGSGGRDAKTKKSTGAKVRKSSKRASRSDHGPKESESVGTSTSSAEEASWASKELLDFVAHMRNGDKSMLSQFQVQRLLLAYIKREDLRDPRRKSQIVCDPLLQSLFGKERVAHFEMLKLLESHFFMTEVAPVDIDGNHGGVVDPDPSQDVDGNSEASFVMSSEKKRKSRKYDPRALQTNLDDFAAIDNHNIGLLYLRRNLMEELMGDVDTFSEKVLGTFVRIRISGAGQRQDIYRLVQIVGTGTAAEMYKCGKKTTDITLEILNLDKKEVITIDITSNQEFTEEECKRLRQSIKCGLIARMTVGEVQDKARVLHSVKVNDWIESEKMRLAHLRDRASDMGHRKEYPFRHSFMNHYLVEKLKLLNTPEERARRLKEEPEIHADPAMDPDYESPEEPEEDAEKSSFSKPSGSFSRKDNNPVSPGKGEGRNAARDPKSNWESNRNTWSSTQLESPHGRRSTFSSHGESAGYTDKSDSPNVGAHRTNVEATAANTPRGLSGASSQTLTANLGSATPASQLTVNESEKIWQYVDPSNKIQGPFSIVQLRKWNSSGYFPANLKIWKSNETQDDSILLADALVGKFEKDLPPWEPPLGSSSQMDKAYLRSNSDVGARPSSGSLFEEKSGVLNKSQSFPDRVSQMQDTTNPGATMIQSGAQSYYGMQNSQAAFASQQSLTGSWNASSTQFGTTVNQTTPSQPAPATVGTQTVNSQSSDLGAVGAQTGAAQSNTQQFEDARNQSTDASNSAQLMSTPAAAGGDSQSSGWSTPAQVGNASSQVQVAGNMDWGSLQNMGWVTPNMPWAAPAQGATGYNMGVSMPTQQNAVQNMGWVTQNPGNTNMNMIWTQAQGTPNAAAMMGAQMQGVAVAPWGGAMAQGNANSNPGWVPQAGNMNQNTGWSAPVQGNPGPSPVNGTGQGNNNMNWNSPSGNQTWNNQQDFNGGNSGGRPWRPQSGGGGSRPFKRGPCYAFQNTGRCHRGEQCNYAHDRPVDGSAPRNDRRFDRQSSSSDRPHYDRQKDQQFDRQPSGGERHHDRHDDNRSSGWDDNRKSDRSESRERQ >cds.KYUSt_chr2.13383 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84896370:84900156:-1 gene:KYUSg_chr2.13383 transcript:KYUSt_chr2.13383 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVTTLGWAAFPSCSCGCSAGGGISAVLAASGGNGRPTRLRLYIHEKFDGANSTVASPLGSNATFGTSGSSACWTTSCVPARTGSQGSCAGSRPSFIGTDLAYLSTVTLVFTAVGLGHMQDCAAVPIRQCRPVGLYTRINIEQFRSINLRSSEPFNTFAYIRLIAMTASSTLLALVLLLATSTATTGSGHRAARFGDGHHGLTHIHLYMHETSRGPNDTVFAAVPPRQGWGGNTTFGMVAVLDDELRDGPDPSNSSLVGRFQGIVAFAGLVSPPGMQSAITFVFTAGEHGGSTLAMLGTVQSFESAFERAVVGGTGAFRMARGYCVMNAVSNPALESVVYEVNLFVKMDA >cds.KYUSt_chr6.21095 pep primary_assembly:MPB_Lper_Kyuss_1697:6:133130543:133132909:-1 gene:KYUSg_chr6.21095 transcript:KYUSt_chr6.21095 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLCVAPLEAEKEIQAAKYRLLQAKIAAARRLQRRRLLLERRAAEFASRALAARADIDATHARRLLLARDLSSTRCEIDEAERKEGDWDRFYESKRKEMEDFQAMSQQFEAEARKEVQRLKDLVSQLQSALQELQSNGMYSNDAEITAAEARKSDLTAKKAKLEESLVSARQFRALLQQQLQKAFASQVGDQKAAQN >cds.KYUSt_chr1.4412 pep primary_assembly:MPB_Lper_Kyuss_1697:1:27142212:27143675:-1 gene:KYUSg_chr1.4412 transcript:KYUSt_chr1.4412 gene_biotype:protein_coding transcript_biotype:protein_coding MTELSYVKELVKQLDVYLGGCPDLCKDLTAQISTVTERSIGMIRSGHFDVRKRSAATAGIDSPAFPSTPSPLSGVSNMHFKANKKRKMMEKGDHRVRVSSARGGADAPEDDGYSWRKYGQKEILGSQNRRAYYRCTHQKTQGCAATKQVQRADEDATLFDVTYHGTHTCGHKTAAAANVQPATPNPDASSLLQSLSSSLTVDTEGLTPGPQQDWSRTTPFSFSPAVSGLLTPENCFEQGVSLSSSRLELSPATSGSSYIPMNPFEEEAQSELVSALVAAMSMPQPAMEVEEAGFSLDELELFDDSIFDVSIFDNLPCLR >cds.KYUSt_scaffold_2697.782 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4949210:4951607:1 gene:KYUSg_scaffold_2697.782 transcript:KYUSt_scaffold_2697.782 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKENGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYAVPNASGGGAGSSSQAGGCCS >cds.KYUSt_chr2.54780 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341755259:341757409:1 gene:KYUSg_chr2.54780 transcript:KYUSt_chr2.54780 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTPPAARRRKPAKRGTGAWALANAAATSSTPRKFKIEVQDEGPSHQVPVRAAPCARHLRPDEQDAIIRSIATVVIIPKGRRVAIGVGGGKEDDCAAVLRQPPFSGHLSHQ >cds.KYUSt_chr3.20445 pep primary_assembly:MPB_Lper_Kyuss_1697:3:125748677:125749033:-1 gene:KYUSg_chr3.20445 transcript:KYUSt_chr3.20445 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSLVCTHLLAIDLGYSSQQPLKGILTRPSNAVDLLSTPTLEWFGRAGCRYSHGACHNGYGLMGRGHAGKTTRANGRCHRRSKPRTWRPLSWGKPRRWPPRARWALTWVGLTSGGD >cds.KYUSt_chr7.22730 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140995697:141001279:-1 gene:KYUSg_chr7.22730 transcript:KYUSt_chr7.22730 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIQEPVFPVHTEIAPQVSAPVADGAHITGQQKDQEQQLRVYSRRQHAANATPADTPATVPDVTSNDALIENNQQSQTVINVPNNEPLRRSQRARKPAIPDDYLTYMSEDTNEPVLDNDPTSFKEAMQSEYSSKWLDAMKDEMKSMSTNDVWDLVEIPEGAKTVGCKWVYKTKRDSKGDIERVRFHYGHPDVFDRLFHITRGGISKASRTINLSEDVFSGFNSTMREGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGQGFDFFRLLSFFYTTVGFYVCCLVTVLAIYAFLHGRLYLILSGLENSILQDKSIQDNIKTLENALASQSVFQLGLLLVLPMVMEVGLEKGFDIAVAEFVMMQLQLASVFFTFQLGTKIHYYGRTVLHGGAKYRPTGRGFVVYHAKFAENYRMYSRSHFVKGLEMLILLIVYVAYGKSDRYISVTISIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRHWMENRGGFGISVDQSWESWWISEQDHLRKTSIPALLLEVIFALRFLIYQYGIVYHLNIANDNRSIMVYVLSWVVILLALVVLKLVSIGRQKFGTDLQLLLRILKTSLFLLFAVGIAVLVIVYHLTVGDFFA >cds.KYUSt_chr3.1011 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5403218:5403968:-1 gene:KYUSg_chr3.1011 transcript:KYUSt_chr3.1011 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLMKLGFRAGGNIRGKERADWRHGRYAKLLSVWLQQLHGRADVGGGEVEQQKLHISKILETQAKAKCRDNGLEAFSVVVNNI >cds.KYUSt_chr1.35764 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217954666:217962427:-1 gene:KYUSg_chr1.35764 transcript:KYUSt_chr1.35764 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLNPFDLLGDDEGEDPAQLLAKAAALAQKAEAKKSAPAAAAAGKPAAKLPTKPAPPAQAVQESRGGSRGGFRQGERGYGRGGRGGYGQNRDFGGEDTNGYRGGGYGARTGGEEGGERGPRPPYQGARRGGYREGEFGDDSERPPRRNYERHSGTGRGYEMKRDGAGRGNWGTASDELAQETEALKLDEKAPVAEKLGAPEDGPQAEENKVSKDATANVEEEKEEEDKMTLEEFEKVMEEKRKALLALKRSEERKVEIDKDLQAMQLLCTKKGNDEVFIKLGADKDALKKKENAEREERAKKSVSINEFLKPAEGERYYGGRGRGGRGRGDRGGFRGGFGGGYHGPPAAPAIQDQNQFPTLGGK >cds.KYUSt_chr5.42856 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270081849:270090001:1 gene:KYUSg_chr5.42856 transcript:KYUSt_chr5.42856 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSMDMRGLLRSSTNSPPPPPIPVPATIAPALFLRPPESADLYEDKDDESRIFLQDAEPPAPPLAFAGGGRGGNGRTRLAAVVVGVVVRIRRPLLLLLVLGFFFLGDLTTDSAGGVLADNDWRGVAEACQESFFFLWGSSTTTSMSMSLQVRTLRSSAEKGRDDDDDEQVAVVEEEVVAMEMEELAAHSDEELVDVELPSNEACAANFSEVAGNGGNVIESTLLAGDRVDEVYQTWLLASATGGGGSNYDMFGYRGTHKAIEVVNHHRQEYQHQYVKEKQPNSLVDVVGNPRIAGGIGCKVFKWIDDPLDSRHIELVRDLRDAVWDRDEEIERLQEEKFLLAARKQSNPEPKKMGGFMVWVLSVAFVICCTWVMCRN >cds.KYUSt_chr1.10027 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61424572:61429162:1 gene:KYUSg_chr1.10027 transcript:KYUSt_chr1.10027 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVGVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAANSTMNPKNSISQIKRLLGRKFADPELQHDIQSFPFRVTEGPDGFPLVHARYLGEERSFTPTQLLAMVLSNLKAIAEGNLNSAVNDCCIGIPVYFTDLQRRAVIDAATIAGLRPLRLFHETTATALAYGIYKTDLPESDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHSYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKMLSANPEAPMNIECLMDEKDVRGFIKRDEFEHISAPVLERVKGPLEKALAEAGLTTEDVHFVEVVGSGSRVPAVMKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNEGFPFSVALSWKPDAQNNEPQQTVVFPKGNPIPSIKALTFYRSTTFPVDVLNVDTDDMQITQKISTYTIGPFQPSKGEKAKLKVKVRLNIHGIVSLESAMMLEEEEVEVPVSATSEVPKDATKMDTDDAPRDDDNMQEPKGALDTADGAAENGAGDSEEKTVPMDTDTKVEPSKKKVKKTNVPVAETVYGAMAADELDKAVEKEYEMALQDRVMEETKDKKNSVEAYVYDMRNKLNEKYNEFVKSEDIEGLMTKLQEVEDWLYEDGEDETKGVYVAKLEELQKVGGPIEMRYKEWTERGPVLEQLVYCIRSFREAALSVDPKFDHIDISEKQKVINECSEAENWLLEKKHQQDALPKHANPVLLVSEIKKKAEALDRFCKPIMTKPKPAPKPQTPPPAETPAPEAQTPEPQSSGASEPSEPASEGADQGESTAEQMDTDKADPSPA >cds.KYUSt_contig_97-2.46 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:195972:196715:1 gene:KYUSg_contig_97-2.46 transcript:KYUSt_contig_97-2.46 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGVGKFFCQLLSQVGRPGSTHRWLRPWLQESVDRLAEMAAPPLATAKAGGVLHTDTLLIPGAVLASSLRVVGLALVARCSRLSQPLRLRHRRAGNNGGQGAVQRDQEEGAAVAAHRALAGAGAKGAGGGGAGVRHLPRRVRARRPSARAPTCGHGFHAACVDVWLLSSSTCPSCRRALVVAPTATEPPTTTTCCERPGVTRQDSYTGAGAGRCRLSHSRRTALVSHVHTHHLIFFCTVSTCRRT >cds.KYUSt_chr4.20741 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130585360:130588086:1 gene:KYUSg_chr4.20741 transcript:KYUSt_chr4.20741 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAILIAVTKIASIVTDEAVKGIIAKLSEKVTNLKELPLKIEQIRKQLTIMSNVIAKIGTIYLTDEVVRSWIGEVRNVAYHVEDVMDKYSYHVLQLKEEGFLKKFFVKGTHYAKVFYEITDEVVRVEKEIQLVIQMKDQWLQPSQLVANPLTEIERQRSKDNFPGLVKDEDLVGIEENRALLTDWLYSEELDNTVITVSGMGGLGKSTLVSNVYEREKINFSAHAWIVVSQVYTLDALLRKLLWKIGYTEQPLSAGIDKMDVHDLKKEIQKRLGNRKYLIVLDDVWEQEVYFQMHDAFQNLQGSRIIITTRKDHVAGISSPTRHLELLPLGNPDAFDLFCRRAFYNRKGHICPKDLEAIAISIVDRCHGLPLAIITIGSLLSSRQGLDFWKKMYNQLRSELSNNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDHLMSRDSLVRLWVAEGFVLSKDKNTPEMVAEGNLMELIHRNMLEVVENDELGRVTTCKMHDIVRELAISVAKEERFASANDYGTMIQMGKDVRRLSSCGWKDNTALKLNLPHLRTVVALGVISPSPGMLSSILAGSNYLTVLELEDSEVTEVPTSIGSLFNLRYIGLRRTRVKSLPDSIENLSHLHTLDIKQTKIEKLPRGLFKIKKLRHLLADRYADEKQTEFRYFIGVQAPKELSNLEELQTLETVESSNDLAEQLRKLMQLRSVWIDNISAADCGNLFATLSTMPLLSSLLLSARDENEALCFQALQPTSTDLHRLIIRGQWAKGTLNCPIFLSHGKNLKYLALSWCHLGEDPLGMLAPHMPNLTYLKLNNIRSANTLVLAKDSFPNLKTLALKLMPDVKVININSGALPCIEGLFIVSLPKLDKVPQGIESLHSLKKLWLLALHKDFRSKWVNDRMHQNMQHVPEVRV >cds.KYUSt_chr1.1388 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7551803:7552999:1 gene:KYUSg_chr1.1388 transcript:KYUSt_chr1.1388 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVLAVLASLLGAVSCEFPIYAGYGFPPPNPSVPYPLPPACPPLSPAPGLKVGYYADKDKCPRAEEIVREVVEKATAGEKAGLIRLFFHDCFVEGCDGSVLLSGTDTERTAVPNLSLRGFELIEEAKAKLEKHCPGIVSCADIVAFAGRDASYSLSYGRINYRVPAGRYDGKVSRANDTFQNLPPPFGDLALTTAMFAAKGLNQNEMVVLSGAHSIGRSACSSFPDRLPPAANSSTAMEPKLAGQLTATCSAGSSVNVPQDAVTPDRLDNQYYKNVVSRDVLFNSDASLTTSSQTEDLVEFYAGNLPFLGGKFLGPLAWYHDFEDAMVKMGYIGVKTSAEGEIRKTCASINKP >cds.KYUSt_chr1.29681 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179726564:179728905:1 gene:KYUSg_chr1.29681 transcript:KYUSt_chr1.29681 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYAPYPAEAAVLSLQGEDSVSSNAGPAAAGASVPGNLVDRSGVGDAASCMAGLEMPLPADSAASTRHDNPQSTVSRVDKEDELVYCCVEVSPYAARKMFFDREHVMCDVSILVTEKLVEVNGKCFATRFCFGCAPPDRTHCADRLSALEKSVRCYVEKLGDEVTGYNF >cds.KYUSt_chr4.12043 pep primary_assembly:MPB_Lper_Kyuss_1697:4:73198092:73198631:-1 gene:KYUSg_chr4.12043 transcript:KYUSt_chr4.12043 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGGSGGRGKAKATKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRILPRHIQLAVRNDEELSKLLGTVTIANGGVLPNINQVLLPKKAGGKVDINSASQEF >cds.KYUSt_chr3.36477 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229419736:229420443:1 gene:KYUSg_chr3.36477 transcript:KYUSt_chr3.36477 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGTNRGNAHAFKLDALLKLVDVKMILLHFVIEEITKSEGANIVATGQTGSQGSVIADDFQCKTVRLKIVASLGGELNNVKKAAGMDSDSLASNVSKVLAGVSKISEVVQLNQQLGSDDSCNRFRASMGEFLQKTEAEITAVQDQEGLALSLVRETTEFFHGNSTKEEGHPLRIFIVVRYFLTILDRVCKDVSRLNEQTAKVGFSSSRHMENAAVPPRFNAVQSSSSENESSSS >cds.KYUSt_chr3.31801 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199817462:199817704:-1 gene:KYUSg_chr3.31801 transcript:KYUSt_chr3.31801 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEGGREPEGEELTSDKSEEVEEKPSIAAAVAAQDLRCRRRSFLPVERRGVEPRRAKVCRLLGFQTMNAQARPAQLNIC >cds.KYUSt_chr1.40650 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249278391:249279953:-1 gene:KYUSg_chr1.40650 transcript:KYUSt_chr1.40650 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGIAPPSAAAAPAPTPSHRRLPDFLQSVNLKYVKLGYHYLITHLITLLLLPLMAVIILEAGRTDPDDLRQLWLHLQYNLVSVLLLSAVLVFGATVYALTRPRPVYLVDFACYKAPDHLKVGFAEFLRHSALCGFSDDALDFQRKILERSGLSEETYCPEGMHAIPPEPTMANARAEAESVMFGALDNLFAATGVKPKDVGILVVNCSLFNPTPSLSAMIVNRYKLRGNVRSFNLGGMGCSAGVIAIDLARDMLQVHRNTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGCSAVLLSNRGADRRRSKYSLQHVVRTHKGADDKAFNCVYQEQDDEGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPFSEQLLFFATLVSKKLFNAKVKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLQPVHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGDRIWQIAFGSGFKCNSAVWHALRNVKPSPNTPWDDCIHRYPVELVDGFPAHRQQPQQ >cds.KYUSt_chr6.29631 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187845489:187850670:-1 gene:KYUSg_chr6.29631 transcript:KYUSt_chr6.29631 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYMQNMLRELVTEEVHMLLGVGDEIDKMDAKLRDLKNFLADADRRNITDSSVQEWVGQLKRTMYEAVDILDLCQLKAMEHGPSTVDVGCFNPLLFCLRNPLHAHVIGSRIKVLNQTLDIIRERSTSFSFINLGSYEGRSRKVHASRSGNPSRETSWEFDRSGLIGEKIKEDTRSLVEIMLTEKKGNSNIMVFAIVGVGGIGKTTLAQKVFNDETMKAEFDKTIWLSINQDFDKVELLRTIITLAGGKHNGEKALAVLQPILTTALTGKKLLLVLDDVWSQGAWGDVLEIPLANVVAKGSRVLLTTRDERVARGMKAVLPYHHVDKLEEEDAWSLLKKQIISSETDARDIEMLKDIGLQIVAKCDGLPLAVKVMGGLLCQKDNQHLEWKMVLDDSIWSVSGLPEELNHAVYLSYEDLPSGIKQCLLYYSLLPKTAMFLRDDIIGMWISEGFLHGPSDDLEDLGRKYYKEMIQRNLIELDSEYIDQSFCNMHDVIRSFAQFVARDEALEAHSGETSFVSKLSAQKLIRLSLEGKASELDGLGWSSLQAHSALRTLISVGHMNMKLSDSLVRFPCLRTLHIESAHVALVESLHEFKHLRYLSLERSDICSLPESIGKMKFLQYISLRGCQQFVELPHSIVKLGQLRYLNFQNTSINGVPKGFCVLTNLRKVNGFPALVDGDWCSLEELAPLSCLKYLEIQGLENVTASSFAAKAKLLEKVHLTNLCLTCGTRFGNDGLIEDMASEEENQRIEKVFDELCPPPSLNFLAIEGYFGRRLPGWMMSSSDVPLKSLRILMAEDLASCTQLPDGLCQLPCLEFIQIDRAPAIKRVGHEILQSYHLHNPHPSGAVAAFPRLHTMKLLGMVGWDEWKWEEQVQAFPALKELILSECKLRCLPPGLASQARALNVLSIQQVMGLTSVENFSALIELQVIESLDLERITNLPRLQKLTITHCPKLKVLEGVPALQRLMIKDGTMEILPEYMAGLNPRRLELHCSLGLLAFIAAAQSGPEWDKFCHVEHVKAYAREGDNPRKWYVLYTTNPYNLETNVSCSAFMCRESLSSFEYTQRFESVFKMTRKTFSYICSLVKVVSLEDKKVYTFVDGRVLSLEDRVAIALRWLYSGEPPENLGSSVGVNESTVLMVTERFVDAVYKRARKHLCWPDSSEMNKIKSMFDKIHSMHNCCGVICTTHIPFRPNCDHEMNDCFLLQAVIDPQMRFTTIWLASSGSMSQSGLLQDSELFKECEKGTLLNGSKLKVALDGSEIGEYFIGDAGYPLLPWLLTPYQELGLLNSKTEFNRRHSAVTTCITKVLARFKDTWKYLQGETSCPVSLDSLDKVIYVCCMLHNIVVDMEDDAAMPRVKERSYCEEVRQIANEDAVRARDMLSQHLMSRSSESAGPVDTEEDHEVVSLGSGHKGKEQEVQTRTAEEESQEIVT >cds.KYUSt_chr4.17402 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108841508:108842226:1 gene:KYUSg_chr4.17402 transcript:KYUSt_chr4.17402 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPLCSRFPALFSIAADPQITVSRCFGTLGGIPTFRQSLGPPARIELALLLSETAGTQLSDGPDTISWALEPSGKFSVKSLYRRLCQGTPRKHFSDIWKIAVPMKIRIFIWQLLRNRLPSNDNIRRRRGPSSGRCALCSELEDTAHIFFLCPLARFMWSAVRELLGCTWNPTCFAELYRLLDDLVGVEASG >cds.KYUSt_chr4.26515 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166489765:166493127:-1 gene:KYUSg_chr4.26515 transcript:KYUSt_chr4.26515 gene_biotype:protein_coding transcript_biotype:protein_coding MFPEPWANSSCSRLSCRKSKICVSVDIASVAFIIEDVLDDNKMSSNSTLTESLHEKTIVFGLKLWVVIGIAVGASLLGVLLILVICLTIQSWIRRSRRAFKELPMTQIPSAFKDITEVRVPDHFSPNDFVVHDGLLLAIENGPVESTDKHAVQLAQEDNSRHMEEKKVSGSFCHADGCDGIQPVSVGEQPSVHATADSAPLAGLPEFSYLGWGHWFTLRDLDVATDHFSKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGNVRHKNLVRLLGYCVEGIQRMLVYEFVNNGNLESWLHGELSQYSSLTWLARMKVLLGTAKALAYLHEALEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVLLLEVITGRDPIDYDRPPSEVNLVDWLKVMVANRRSDEVVDPHLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSSEAIPQEERRQRQNRVSENTETAPLRGKNSINRSDDPEHEERPPRSKSRTFSVK >cds.KYUSt_chr4.49440 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306153983:306155456:1 gene:KYUSg_chr4.49440 transcript:KYUSt_chr4.49440 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTLQATWAKLSLAARARFPRTVEDERADSRWVADDEALRVATEAAARKAGDAEMVEAAAEGRARDRGRAAKKLKGFAGQVGCNCASIAFLYVCDAVVRADATSFADETLLRSRRSSVHLGLL >cds.KYUSt_chr5.6933 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42989360:42990269:1 gene:KYUSg_chr5.6933 transcript:KYUSt_chr5.6933 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKLELEEAAWARLVELDPRHSGDYVLLSDLYAAVVRCVHVLRKTMITHGVRKNPGHSLVELRNSVYEFVMGDRSHPKSEQIYQMLAQIAERLRHQGYVPRTSNVLADIEEEEKEAALNYHSERLAIAFALLKSLPGTPIRIAREDERSNRCRAKLFGNHSMVTGMLSIDDVFI >cds.KYUSt_chr4.12625 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77527647:77528550:-1 gene:KYUSg_chr4.12625 transcript:KYUSt_chr4.12625 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGRFSPIPGLSRNSSPFSLAYLLPRLQQLGALAASIQTPCFRQAHLCGRRNAGVKAPQPQQSLQRLIQNKKAVVAAAHSAVDKCLNMVKSGKAAKDIMSNARWKEMLEKQFSTNFQDLQSFKHPKLTLTNLGHPRLMMRREKERKKTALLGDSCQLMVKDVIINDDTAMVSKQGNRKTVLRWMRCKNSLNKRSPCLTFICKIDSNN >cds.KYUSt_chr2.13082 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83023516:83024361:-1 gene:KYUSg_chr2.13082 transcript:KYUSt_chr2.13082 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFVLVLVLAVSGCAAGDDEAMLPRLKLRDVSMNGCGNFVSLLNVTANASDISHSQRRILVGRGGGLTVFCPDEAWQGLVDLMSKNGCGSFAGLLSATASAAEIFHEHLLGGGGLTVFCPDDKAVAAFDPTFRSLAAGDRVAVLLYHGVAACYGRERFKGFNYVSVHTLAEDAATKKNQAITVRDEGGALALWPAPPSYPNGGAWVTKTASEEAPLAVYVVDTVLLPSTVACIGYLGWLRCSIAPFSDWIMPICIMSSAGGLVGALLGVLIAEFLIPID >cds.KYUSt_chr1.17727 pep primary_assembly:MPB_Lper_Kyuss_1697:1:103298221:103300074:1 gene:KYUSg_chr1.17727 transcript:KYUSt_chr1.17727 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLHLTHHQDLPWTNWWGQQAQKGTEDTPLMVQSYQPIPVECLVRPTYHGTGFSSVYNYANQGPVHRLTQICNEDSQIDRMLVLRLFGSSKDGNLAQPSNPFSTGRSRGWGGQTAPNPHSSSSSRGREISPPNLPPPRRRLPPAAIPAAARLPSTSAAAASSPAPGSAPPRHPPPAAARLSHLPRAAPPPASAPPPASRTSSPPRLPATSPPPLDPCCPAPPRRPDSPSCGGDAAAPRPPRSSTGYHGVRLRLSGRFAAEITRGGERFWLGTFDTAELAARAYDVMVWRYDGGAGPRNFFDVDNLAATEFVAPPFSIVTRADERAIRWEYMRMSIRNRDEAEMAAFRAAHPQHVAEELEF >cds.KYUSt_chr3.19374 pep primary_assembly:MPB_Lper_Kyuss_1697:3:119262504:119262932:-1 gene:KYUSg_chr3.19374 transcript:KYUSt_chr3.19374 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMRKASCSVPLVLALLAFLSCLFLAHGLYGLIELFGSPDISPEAMLLIFALCFLVLAAAVASGSRKMLLSREGDLAAVVLSGGAQEVPTSTPDQEVAAEGRLPLRQADDEEMVMARRVDLQTEDYPPSGANGRHDPRNPH >cds.KYUSt_chr5.18610 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120345768:120348666:-1 gene:KYUSg_chr5.18610 transcript:KYUSt_chr5.18610 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFDERSRSRRRLATAATARELRRLNRRHGRELGRLHHRHGRRSGRLHRRHGPRSGPSTAATAAASSTSSTGSASGKATACREGEAASGEAGRDRLSKLPDDILLNILERVDTLEALRTCILSKRMLQLCTMFSWFDINMGSLCHHHKAQIGTIPCLARFNNVVAAVTGKILSARNVEIPIRKLRVKFYLRHDDCFSIGKAVACAMATQKVDDAEFVLLTETGCLSCTHADLLCHAKKFNSWFHDWPIVFAGLTRLWLRNMRFGELDIPNILSTCKRLESLRLSYCDAGVCSVLQLEHAQLVELHIDLGKFGTVHLNCLPNLQRLNYTCWSYQDPLTFGSVPQLSKLSLVNIGISSTKNLQLSELLAKVPWIKDLHLDFKSEKIWVLPECPKLLAPVLGELQIVNLDNLPERCDIAWTMFILEAAPSLKELHITVWDHLCKMVTNKDLRMKNGYCEKANVEWKPSAADFKHKNLVKLTIYGFQPDENFVRYVRHVMEVAVNMEEISLHDREVCEHCGDLEPSIQVCPSRYPQTSEEKDMLREKITKELRISSPAVIHFSS >cds.KYUSt_chr6.23588 pep primary_assembly:MPB_Lper_Kyuss_1697:6:149085622:149086738:1 gene:KYUSg_chr6.23588 transcript:KYUSt_chr6.23588 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDSPTSSRQESAAGHSVAVFEIGPRVSSWRPRVGSSAGDQGVFRSSSEAARSPEGIWDRRLRHCYDALSKRGCSGSRSKSSGVFIDLYRLHGASVL >cds.KYUSt_chr2.40382 pep primary_assembly:MPB_Lper_Kyuss_1697:2:250874090:250877196:-1 gene:KYUSg_chr2.40382 transcript:KYUSt_chr2.40382 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKDTSPAGPDCLLPSYMTDPFPADPLVDDCTGTNEGSRAGFERRGLSVDVGSPQEEGKPMPATPQFGQRSGSSSSLSERMQARAGFSVAKLSMPCVTPAAADSEHSGGAQSPYLTIPPGLSPASLLESPVFLSNAMGQASPTTGKLFMLGDSNNNNLARFEAPSIEDGPGTFCFKSLDLKSSQYAAEGNKEFLPNSQHSSLPSRDVPVKTEANIQAARERNSLGHMNQGQFNNGQDLMRSSYHDSNKRNRLALDSTVDNNNGPPPDHGPPAADSEAVKGDYPAVAASTPAEDGYSWRKYGQKQVKHSEYPRSYYKCTFQNCQVKKKVERSHEGHVTEIIYKGGHNHPMPAAASRRPAGVLQQVHPFGDVQMDAADNNNNGNAGASQPNADTKQLWHNGVGAQDWRGDGLEATSSPSLPGELCDSSASMQVHDGAARYESPEGVDVTSAVSDEVDGGDRVAHGNMSQVQIAAVEAEGDELESKRRKLESCAIEMSTASRAIREPRIVIQTTSEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPLARNGGHASSLAASGASQLIHAGGARRTDPTLVQDGLMRLGGCGAPFGLPPRDPLGPMSNFPYSLGGHAAALPSLPMPTGLGTVEGLKLPMLSPSLHPVFRHRQAMETAGFRVPKGEVKDETVPSNVAGGGGGGGGTAYQQMMSRLPLGHRM >cds.KYUSt_chr7.21684 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134490827:134493357:-1 gene:KYUSg_chr7.21684 transcript:KYUSt_chr7.21684 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPAVIGLSAGNRLLSTSFGPPSDLLTDKVNSHMACATGDAHGSTSLQFAPPPAPKLTVAAHRLKLSPHGRAQVMRALRQSAPAAVLAPPPRPRSAAMDHPTDELALEAIIQLQRSMLEKQWQLPFEDDDDLAEEDDGGKTMASSVGVVARSGVSARQRRMSGRRRGRSKNGAAAAHLTISPELLQSRNRIYLRGTVSKELLTHKQVVQLSKKIKDGMWLQQQRSKLKEKLGNEPSYQQMAQSLRISTAELRSRMRESFLAREVLTMSNLRLVISIAQKYDKLGVELADLIQGGLIGLLRGIEKFDASRGFKISTYVYWWIRQGVSRALAENSKTFRLPTYLHERLIAIRGAKYALEDQGISPTTENIAVSLNISEKKVHNATEAVNKVLSLDQQAFPSLNGLPGDTLHSYIEDQNVANDPWHGFEEWYLKEEVNKLLDSTLTERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHTARRKRLDALLQDY >cds.KYUSt_chr6.20463 pep primary_assembly:MPB_Lper_Kyuss_1697:6:129012710:129013786:1 gene:KYUSg_chr6.20463 transcript:KYUSt_chr6.20463 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSSNDTLPYSANGGSYSTHDTFALLVIGFSVTAVCVLIIALCDCLCCRRRGGSIVFVRGRPFFVRGAGDGGGGLSPSAVAALPSFLYHRGVAVGGSSDVEGRGEGGGSGRGWAQCAVCLSLVQEGEMVRQLPACMHLFHVGCVDTWLQSHSTCPLCRATVEAPSKDQRIMTHLLRVLILQDDLVRGPLVNSIHLGTSFGGRLRGVVVAGGAYYPSLAAQGYLVDQHAHGVVDLEASKRCDLRQCTALGALLIRRHQD >cds.KYUSt_contig_1546.101 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000163.1:606913:611160:1 gene:KYUSg_contig_1546.101 transcript:KYUSt_contig_1546.101 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGSVTWVVGAQASVLGRCTGGGVPYPSSSSAPSGGRFQGLGAVRCCAQTQAQAQEKKPRVRKTKEERREMVQSFVDTYRVSNNGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLNAKTLSFEDCPDFSELSTADELGQDNIEILDMSDKEEAFPMQKNFISTQQLLGSDILLEPGILNSVVQNGNVGDAACLEEDLEKQDEALYLKSEISSSEIQAPSLAHVSDLHREIDLNTLEDSHEGTTITGEVTHFSDPSDVSQINGGLLHEHVALPDDCDDITNSAVDEANLCLENNGVLQTSRVLIEEHGILAETASIMTGDVQITDGEFVSPTSANQMDAYTSETSAETLAVSIDCQDVVEQALLDADPNGQAMSEDLASQPALDSKGLLLTEDQDVTLEKAESILKKSTSGVTSEELEASKAKHEHGINTTTTISRHVLYILNLRCMITVYKFVHISENAITILGELGRGIRKRTTYFGLSSGHLLFLCPSCGQNERCAVCIVFWYRCLGCLPAYWETRSAL >cds.KYUSt_chr2.53659 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334694218:334696405:-1 gene:KYUSg_chr2.53659 transcript:KYUSt_chr2.53659 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGAAAEDVKTVAVVEVDPSAQAVGGESAAGGSAVAARTTAVESSIIRSVVVGTVVAATADVDAGGDVDAGGDAAAAVKVASDLVEEASSSAAVKTVADLVAAPAAGDISEVQADMQMEAGEGSRKRKREEEQHVPPPIQELEPVPPPIQEEEEPVPAPPVPAPPVPAPPVPAPPVPAPAVPAPPVPAPPVPAPPVPAPAVPAPPVPAPYEELQDSDGSLEYDSQDSAESVDSRNIGSFKTKLLQKLDAGAFPFKKRGKYFCPWHKVKPRDGKLNSLRQHCEELSQTGTSKQIRAEHHGLLLVLAMEDA >cds.KYUSt_chr4.20862 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131215384:131219384:1 gene:KYUSg_chr4.20862 transcript:KYUSt_chr4.20862 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFSSLPRVLRRSAAPKPHRPRTAAPKPHPSSSSPAPVPDVKAPDAPAPAPMPTRPWEEALDAAQRAFCLPLAGRVLAAAGTGNAAVSPAGVHAALALAASGAQGATRRQMLGALGCGGGGGKGAAADAANVASRVVKRVLKDRAKSGGPRLTFASGVWADASRALSPEFVDGAGGLYGSAAKTADFKGTRITGPMVTGFLDYRKWSWADVELGKKSAVVELVQKVCRCGAGQMSPAPEWRASQKMLLSKLTRGGRWLDKTDIGKTAEQKFYCLDGTPVLVPFVEYDRTRLFAVHDGFKVIKLPYRQGKNERKFSMYIFLPDAHDGLFELSKKIFSEPTFLEQHWPTEKRHVGIRVPKFTISFQIDMKDFLKDMGLELPFCRDADFKDMVKEDDSKEPLFLSDVLHKVILEVNDDEIEETSVNQSTGKPLPREHFAADHPFFFVIREEVSATVIFMGHVLDPSSQP >cds.KYUSt_chr4.49677 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307533915:307541767:1 gene:KYUSg_chr4.49677 transcript:KYUSt_chr4.49677 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAPPPPRAARPRLPAVAPPPPRRRRRRQRLSMLVVKKSISHGHSNLALVSYVMFMKNVRVERVKMDCLRNMDLFGARSIVLDPTNPSVKIQAKSMTAAAVEGNMRRMNWNQKKEHFKKNQAAVIPTKSISKVKLSNNSITKGSISTSPAPSPEQRGSSIPSFAAGSDANNEVIVPFDSKKEESSKVDKAKPNRISQGLNRRASSVSASIDDNATDLRVLLISILSENPKGMNLKALEKAVAEAVPNASKKIESIIKNVANYQAPGRYVLKPELELEITKHDASGSGRTIDENIEEAAPSLQIDDPDIFEKIEIGGSPVSAAGDKKVNDSDGKAGTSSESGSDSDSDSDSSGSGSDSGSQSRSAASGSGSSSDSDSDASSSSKEGSDAFVDITSDDNKADTSGRKVADELKSSSSPRDLPTMDGDDEQIDIGTNLDYTSTSSHIDLNNFNIDSDEAAYTAAATENIGASKVNMPSEVLGSKNMGSARSDPSIVDGKYPANKTSYRDNIFDDPLAANSENLPIEEAIQFTKQEGSRRKSTSKDGTNHVPTRTSEKGAKAILKRGSDNENATTKPESAKKAKVDIAYSGAIGSSSEHRQNLPPDKHVNERLSKETGNVGLDTHTDLQLQDIIPSMKGRPPASGNVQKINQSPNVSIQTMHSEGTQEKIGKASSKKKVDQMQKPSNSMDGNLGKGYVHVDDHYINFNDSDDSAARKRGRHGGSFVDGKMHKRSKDANIDANSINIAKGVRGNVNHDVVMSLPEYIETNGEPSVLQRNSVDKSPQAKKVLQREQSELELGELREGSLENDIERTTRHFERNSSSKSLDGKMTNVDNSQPSMNNRKVAVSAFHDQKKPSPQEFSTGGNMNQEGMPRKTPGYDFDNSRSQQRVNVSQGRQLPRTDNLDSENILYPDKLLEKTGKREAEISQGGVLDHIDPKKKKPTTKLPQNGTKNGIEPRTRKSVSPAENGQRSRNNPLIEPEIGRKRRDSSSDEDNLFLSKYDKEEPELKGPIKDFSQYQDYVQEYNEKYEAYSYLNSQLKKTQSEFLKIQEDFDAAKERDKDQFYNIVERIRDMYDESGTRHKLMKKVFVLLHEELQVSDVVFYYQAFATVSERLSPSIYSEDVGNLGIMLAFVEELLWA >cds.KYUSt_contig_1790.28 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:167299:167547:-1 gene:KYUSg_contig_1790.28 transcript:KYUSt_contig_1790.28 gene_biotype:protein_coding transcript_biotype:protein_coding MANNDGASNNGFGRRSLHQWEGWLLHMVGYPAPPDFRASGGWRLSAGGIPIPLPPTTRSALEAEIDAVLVTLSDEQHAEERF >cds.KYUSt_chr5.19177 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124152194:124155135:1 gene:KYUSg_chr5.19177 transcript:KYUSt_chr5.19177 gene_biotype:protein_coding transcript_biotype:protein_coding MDASETGAVATPPVSLDQMLARSKRIDELLKSTSDSLDQIARLQNSTQRIWDSRPQRLKSTEQLVQANTPTSSRTTPLVHVPTMCSTSRMEEKQHLSAHHMFDERSGRQKLTASHVLLVTVTCALYPVTEKVLHQVFDRYGVNEICVLQHTHSKAVVEFQSWHEASKARGDLNGRCVYDGCCLLDIQYAQSSISIHRLPNSEMVVVDWDRAEVEPLTSSQPRSASSAATTIIYKDLNSKEVVGLQAALLGNSCAVKPMATLALDTNPDTSVNDISDVVAPTPTKGSTDCSEDDNNDDVFTHVAGISIFLVLWFDYVDDGIGNSVLTHVGGLSIFLEQPMNSLMESANVISATGYFTKECTTECICDEKFRLPVLVLIVMRKMTCYGIYKYKNRTSAGTSVVPYILDDADLDGTLFLSGCKKFTDLSVLSDVLMVQCRADIILELYCGHGWVHLEYELYNCSNYCSFGGFGCYLQCKQCDPGVCYFCLQFLSKFSIHKLCNRTQLDGMPWDPGGVRGRRCPWKRRSSIAWGQAMFFGGGIVTPGYLGRGSNAEAEEREMEATLVASVATACSIDTLD >cds.KYUSt_chr1.677 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3648602:3651618:-1 gene:KYUSg_chr1.677 transcript:KYUSt_chr1.677 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEYDYLFKLLLIGDSSVGKSCLLLRFADDAYVDTYISTIGVDFKIRTVELDGKSVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDRESFNNVKQWLSEIDRYASDSVCKLLVGNKCDLVDSKVVDTEEAKAFAESLGMTFLETSAKESINVETAFLTMSSEIKKRTASQPAAAERKSTVPLGQPIQQQQSSCCSS >cds.KYUSt_chr6.33412 pep primary_assembly:MPB_Lper_Kyuss_1697:6:209808700:209809786:-1 gene:KYUSg_chr6.33412 transcript:KYUSt_chr6.33412 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRLLTKTIDRYLNHTKGSTPANVKALETAGAQMCRSESTALKQKIDAIEAYQSGSCFGLRKLIMIIVMEQEKKLMDHILELREKEEKLLRENSVLREEYMALPLLELATRSVEAAAAPRSPVGEEQAEQEKEEEEEERRQRYMDVETDLVIGRPGTSSLQ >cds.KYUSt_chr7.19622 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121599977:121600225:1 gene:KYUSg_chr7.19622 transcript:KYUSt_chr7.19622 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDPAVPHSDPQRLVSTWTGAFALGAAACVHHHASSLASNLKSLPHRYLVLLLFTNQPHLCGDSIHVLAV >cds.KYUSt_chr6.25049 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158705515:158706813:-1 gene:KYUSg_chr6.25049 transcript:KYUSt_chr6.25049 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVRPNISLHALLSPSPDLASSMAPPDELIEEILLRLPPDEPSCLVRASLVCKPWRRIISHRRFRRRLHELHGRPPVLGFLRNNRKAVKDFVATTASAFSLAVPGDYLALDYRHGRALLLDMVPGWGELVLWDPITGDQERVPVPEAMWDNTFQGDNPTAAIVCAAAGCDHRGCHGRRPFHLVMVYQNGYQDEEYLEWITWACSYSSETGEWGDVRWIQISAVDFRLHTTSVLVGDSLLYFLSDRGTIIEYDLAGEDATTISPPRALWVKSEVICLDNLLPADALEEEEVRLLSFAESANVLFIKTPARIFTIELHSELVRNVCEEDDDDDDDDDSCSLVPIEGFYTPLASQGEEA >cds.KYUSt_chr2.52780 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329298375:329300606:-1 gene:KYUSg_chr2.52780 transcript:KYUSt_chr2.52780 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKLCLLAFLLPFLFLALVATGETGDELSTYVIHVQPQESHLFGTSDDRKAFHQSFLPEHGRLLHSYYHVTSGFAARLTRRELDAISAMPGFVAAVPNVIYHVQTTHTPQFLGLNTDLGTRNLSVGLGDGVIVGVLDTGIFPNHPSFSGAGMPLPPAKWKGRCDFNGSACNNKLIGARSFISGSSPGTPPTDEEGHGTHTSSTAAGALVPGAQVLGQASGVASGMAPRAHLAMYKVCDDFGCASVDILAGIDAAVSDGCDVISMSLGGESLPFYQDSIAVGTFAAAEKGIFVSMAAGNSGPLNSTLSNEAPWMLTVAAGTMDRLILSKVILGNGVSFDGESVYQPNTSSSAVPLVYAGASSTPFAQFCGNGSLDGFDVKGKIVLCERGNNVARIDKGAEVLRAGGAGMILTNQFIDGYSTLADAHVLPASHVSYAAGVAIKTYINSTANPTAQISFGGTVLGTSPAPAITSFSSRGPSIQNPGILKPDITGPGVSVLAAWPFQVGPPRFDFRPTFNIISGTSMSTPHLSGIAALIKSKHPDWSPAAIKSAIMTTADFNDRSGAPILNEQHKPADLFATGAGFVNPEKAVDPGLVYDMYNDGYIGYLCGLYTNRECSVIARRPVDCSAVAVIPQSALNYPSISVAFVKDWNPLAPVVVERTVKNVGEATAMYRAEVDMPAGSAVNVTVTPNVLWFGGKVQMQKFKVLVFPIKDSATAVQGAIRWVSDTHTVRSPVSATFPSH >cds.KYUSt_chr3.36459 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229333902:229337168:1 gene:KYUSg_chr3.36459 transcript:KYUSt_chr3.36459 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVSAHTFLLPSSSPHYTHLRSRPLWLCSPTSAAASPSLPFRRRSCAVDRSRRSTAMAAVISPGDGSLAHDLVSSAVTAGVALGLLRLFEELAKHGVFEQKLNRKLVHITIGMVFLLFWPLFSSGRYAPFLAALAPGINILRMLLLGSGIMKNEAMVKSMSRSGDRRELLKGPLYYATTITFATSVLWRTSPIAIALICNLCAGDGIADIVGRRLGKEKLPYNPNKSYAGSIAMAMAGFLASVGYMHYFHTFGFMEESWYTAFGFLVVSVASTLVESHPLSTELDDNLTVPLTSFLVGSLIL >cds.KYUSt_chr7.39423 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245144004:245144261:1 gene:KYUSg_chr7.39423 transcript:KYUSt_chr7.39423 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMERFVATMVFCEAPFDGTMAPAVPAGEDKGKGADDGITAAEVASTLLEAAKEYFSSSVASSWPAQRDEDSVAVFFEVAALE >cds.KYUSt_chr2.11962 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76006635:76007876:1 gene:KYUSg_chr2.11962 transcript:KYUSt_chr2.11962 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGFFPKKAKTKRAEQPEGSCCVSVLDDDTLADIFSRLPTSASVMRVSATCRSWRRVIAARPRVLSRHTRALCGVFNSHRFNRAAGIEPTHLADEIPESGFDVSALPGGPWRVVDCRGGRLLLHCERTVSSSRRAPFAHSLAVYHLVTRNLATLPPLPRARCSFIGAGLFLDGISATAMPLFKVGCVTRDVVSDNKWLLNIHGRSGGWETLHASAAPGTSTDGIERLCLRASECLFSALLTRCGDVVEEALMFDTRTATFSTISLRNHHRYMKSPVGTKFINVSAFVDVDGGGDDKVWLACLQDLELRLYSHPRQQASCSAGADAVGWTLEKHAPLQEHVWVLIGRIFRKDILPVTLPVQARDGYVIFHTTFSGCSFTFAVQLETMRVQRLYLDNWILQEKICCIFPYIRF >cds.KYUSt_chr2.12444 pep primary_assembly:MPB_Lper_Kyuss_1697:2:78851759:78854394:-1 gene:KYUSg_chr2.12444 transcript:KYUSt_chr2.12444 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLVADYRVVLFDTMGAGPTNPDYFDFTRYATLEGYALDLLAILEELGVASCIYVGHSVSAVIGVLASISRPDLFSKLVLLSASPRYLNDADYYGGFEQEELDQLFEAMGSNYKAWCSGFAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRSLLPLVTVPCHIVQSTKDLAVPVAVSEYLHKNLGGDSIVEVMPSEGHLPQLSSPDIVTPVLLRHIQHDIAF >cds.KYUSt_chr5.15313 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99007496:99012763:-1 gene:KYUSg_chr5.15313 transcript:KYUSt_chr5.15313 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGSGPASPARRRLPRSRPDASRAGGQLRPAHAAAVAASAAPARPRLARPATSAASRQATPGHGSPSHAGGQLRPAHAAPVAATAASPGHGSPRPGDNRAPLARPWPSCSCCPAGPARPCPRVADAAGGVPARACPTRPESRRARTAPTGSTLADRFSRLALGNLGPAQRPPLGDRRSPLPVSRFVAKRGGSLSGFSVRGRPAPPPLPDLQRGRPAPPPPADLRRFSHRGQPAPSPLADLQHLNHHGKQVLRISVTLLGHQCKCLDWLWLFIAIWFVRCCFRVHSHVMGGAVVNRVTCSLFSLIFFSLSKPPWETTEQRGEDARRKRLREVASDEREEAHRAAGGSATPPPASTTRRYAAGDEL >cds.KYUSt_chr2.53623 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334433921:334434994:1 gene:KYUSg_chr2.53623 transcript:KYUSt_chr2.53623 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPKRTFMLILCSVLLVAGVARGGNFNQDVDITFGDGRGKILDGGNLLTLSMDKASGSGFHSKDQYLFGRFDMKIKLVPGNSAGTVTAFYLTSPPQGAHHDEVDFEFLGNASGQPYTVQTNVFSQGQGNREQQFRMWFDPTMDFHTYSIVWNPTHILFYVDGTPIREHRNQAARTGVPFPTRQPMRMYASLWDAEAWATEDGRIKTDWSRAPFVASYKEFVASGCTSQDVAACARSNGAWMHQELDTTAKARLQWVQKNHMIYNYCADTWNRFPHGPPPECAAAK >cds.KYUSt_chr4.26302 pep primary_assembly:MPB_Lper_Kyuss_1697:4:165338793:165345592:-1 gene:KYUSg_chr4.26302 transcript:KYUSt_chr4.26302 gene_biotype:protein_coding transcript_biotype:protein_coding MWARLLMGYTVSTPTSFLGKKLKKQVTSAVNYNGKSFKANRFTVMAKDLDEGKQTDQDKWKGLAYDISDDQQDITRGKGIVDALFQAPMGDGTHEAVLSSYEYLSQGLKQYDFDNTMGGFYIAPAFMDKLVVHLSKNFMTLPNIKIPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADMIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADAPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFQTDNVSDESVVKIVDTFPGQSIDFFGALRARVYDDEVRKWVSSTGIENIGKRLVNSRDGPVTFEQPKMTVEKLLEYGHMLVQEQDNVKRVQLADTYMSQAALGDANQDAMKTGSFYGRDQFALPPLLHFKPWLGSSPSTDGKTPINGSEEPTPQAAATVATNSSTISNFDFKIQIDHLLRSGEGLRTSLVVVTHQVKEWLTGLADGQGDHVVDVSPPGIMIVERPHESRVVSRMSTGCALLAMRGCWWRSTATITSPSSSSSVTFFFGRRRPLLPPDAAYHVGS >cds.KYUSt_chr5.16165 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104067615:104068766:-1 gene:KYUSg_chr5.16165 transcript:KYUSt_chr5.16165 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRKFVNMIVNDYQSRVYSVRRISLKQHLFHPSTEDAQKAAATAQAAGASKKGWRSRISSFKHLPKPNIKFSMPAKGAELTTMHFFSLLKGQGEGSVMFVGPFYSTVMYDLDLESVFPMPQAYFCKPSGSISLSMTRRSSGQDEQQLYIMGNGDEEDGRFEVLNYSRTGFPENLCVDMEQWWNWKPLPSPPIPPPDTGIDRKACPPSSAAVVDDTTICVSSVDAGAFAFDTVKTEWRRAGSWALPFHGGAEHVPELGLWFGLAAIDSPDHSLRAFDLSSSSWPPVVQQDWSYLDPLPDEWVLRQRHLVNLGSGKFCIATSFENVESHAAAYSSAHGLDDYLVINDLTVLTGVELLRGDDGLQMIKHKSKRYTSQDTPFHCLL >cds.KYUSt_chr2.49479 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309664937:309669185:-1 gene:KYUSg_chr2.49479 transcript:KYUSt_chr2.49479 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPGRAASAVRLFDAHCHLQDPRISAVAPSLIRAATDSGVARFAVNGTSEIGLDKGSHGKTIDFGEQVEVFQRQLELAKELEKPVSVHCVRAFGDLLEILKRTGPFPSGVLLHSYLGSAEMVLGLANLGCYFSLSGFLTGMKSTKAKKMLKAIPLDRILLETDAPDALPKLDNVSLVTVPVDTSDADTEKCDIDSTSPAISSSNESLNHPSNIHIVLSYVASLLEMPEAELAELSYKNASKLFSYPGSKVHL >cds.KYUSt_chr5.33606 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213281022:213282380:1 gene:KYUSg_chr5.33606 transcript:KYUSt_chr5.33606 gene_biotype:protein_coding transcript_biotype:protein_coding MKQALVALALPLLLAASLYASAPADSTAAFAGDVRVDLTHVDAGKQMSRRELIRRAMRRSKARAAALSVARSGNNGRHAGKSAEEQQQPGVAVRPSGDLEYLVDLAIGTPPQPVSALLDTGSDLIWTQCAPCASCLPQPDPLFTPGASSSYEPMRCSGQLCGEILHHACQRPDTCTYRYNYGDGTTTLGVYATERFTFSSSSGERVSALLGFGCGSMNIGSLNNGSGIVGFGRNPLSLVSQMSIRRFSYCLTPYASARKSTLLFGSLTAGIYDDANGPVQTTRLLQSPHNPTFYYVPFTGVTVGTRRLRIPLSAFALSPADGSGGVIVDSGTALTLFPAAVLTEVVRAFRAQLRLPFANSSGPDDGVCFATAPMRRRGPPVPVPRMVFHFEGADLDLPRRNYILDDQRRGSLCLLLADSGDSGSTIGNFLQQDMRVLYDLEAETLSFAPADC >cds.KYUSt_chr3.27998 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174733270:174739682:-1 gene:KYUSg_chr3.27998 transcript:KYUSt_chr3.27998 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKSLHLLLYFAVAMLVPLYVSCAQTNITSGTSLLAAAGAGFPSPSGRFSFGFYATDGGLAVGVWLATAPNVTITWTANHNGTPDTGGSLRLTYDGRLIWIGASIQDRPIAVHARPAVVGAMLDDGNFVLYGADSSVVWSTFDESPTDTLLAGQELVPGAQLFSSVSGTSRATGKYRLTNQQNDGNLVLYPVGTANVAAAAYWDTGTFQIGFPERGFSSGAGNYRSRIDRSLVRCDSERGHSSFFLRLYLGITAQGHSPVTLYLVMEVLANISQDEQDRISALPTDLLLRILERLDLRTVIHVGTLSKQWRHLPHQLSRLHLNIAYFQGDRRARLCQIMRAYTGAMWRLLFPPAVCDCNQNRRIKTLRLSFYLVVPQLRSIGRAVDILVGSGKMECLEFVIFPRCSRPSNAQLAEFGDQFMSFSCTYHVTFRWLTSLALKGLAFKESDVPSLINACEKLEQLSLRSCRLVEYSVLKIDAPCSKLQALEFIGFGCTRIELISVPNLRRLNCHSILMENPPVRFGHVPQLFYVSLVSPAIAWQTPFALGECFSMSARYLSILHLNFICKMISIRPQPKQQTAIFRNLTDLYLYNICVQCDLNWTMFILEAVPSLEKFHLSRHSCVNATKDVAEITNLVCQPSKIFVHSNLKLLVMHGLEEETKVVNFVRLVMERAVGLKIIELSDKQTCKECNAVNLESARRVPLDGARPSDRCLVKGVCGFNSYCILDGESRPTCLCPPGFDFLDTSNVALGCTVSSSTGECKEGQHDIAGFSMAATPNMSWADTPYEVMGAGTSAADCQTACINDCFCAAVLPDANDGTCTKQQLPLRYGRAGGGYTLSVKTGGAANPPALGGRGTGTNNKPVGRATIISLICIGVLAFVALSAFIASARLLRLNRSIVRRHVALANAHAGEGLEEEEAAPLRSYTYQELERATYCFRDPLGRGAFGTVFKGALRNGEKAIAVKRLEKLVEDGEREFQREFMSNGSVADLLFKGGGSRPLAWPDRLRIALDVARGLHYLHDELDGRVIHCDVKPQNILMDAAGTAKIADFGLAKLLQPDQTRTFTGVRGTRGYLAPEWYRAAGPVTVKADVYSYGVVLLEIVTCRRSMEMEEAGEERTLMELVYEWLLRGDVKKAINSDEMVDAAAVERVVKVGIWCVQGEPESRPSIKSVILMLEGHLEVPFPPPPAAY >cds.KYUSt_chr4.24128 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151859029:151859271:-1 gene:KYUSg_chr4.24128 transcript:KYUSt_chr4.24128 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEAASRRFPEARHGIAPMCGLMRELGGGSCSNGFTAFLPSKSFCTIDGVAGLLRLCCGHAVASPLPADVGSGAVRDGG >cds.KYUSt_chr5.36745 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232328485:232330276:-1 gene:KYUSg_chr5.36745 transcript:KYUSt_chr5.36745 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVAASAAAATAAKEAAAAAMEAAAAASAAALNAKEATAVAATAGEAAAAAAAQASKKRKFHSINDQEDEPPGSGTVDDQDHINRLPDAVLGSIVSLLHTKEGARTQAISRRWRPLWRSAPLNLVVDYKLECKGQTTIEIIRKILSEHPGPARRFSFWLLVSDCADEIGGWLGSEALDNLQELEITYGRLVADRKTVYLLPSSTFRFAPTLRVAKFHDCNFPNFIVPEFPCLKQLTLDRVTISEDILQGIISGCSALESLELKGNRGIARLCICSQTLKSLGFCADRRNRGVFLQELVIEDAPLLERLLPLDPKYGPPTIWIISAPKLKILGMLSEDIAELHFGTMVFQKMIAVSLTTKVHTMRVLVLDSVGPNLDTVINFIKCFPHLERLYVIFQPLSRPWMVMNNARKYDPLDPIECLELHLQKVVLKNYDGTKSPSIDFANFFVLNAKVLKEMKITLPYQRQHKWFADQHGMLRIKDRASRDAQIELEYGSKVDFTHNKNTHDLSMDDPFDMPSSGCYKCS >cds.KYUSt_chr5.17902 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115666126:115670539:-1 gene:KYUSg_chr5.17902 transcript:KYUSt_chr5.17902 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAMYRKRPSSFFDDPSQPEAAASPPPPSKRARFRGDGSPRLRGAAVNPDLVAALRARFPSVRLEFIEKALEECENNLDSAIKCLLPLRLEHTEFNVDPAYQSPNEMSTEVQVPNEDITECNEVPAPIENVPGADNLASGITEWVEILMNEMMASASNPDEAKARLGLKKLLKCPQCNEVPAPIENVPGADNLASGITEWVEILMNEMMASSSNPDEAKARVSRVLEAFHKSSISGIHTEAMQRFQKEFLSYKEQFEAVIKENTILKKAVAIQHVRQKEHDERNQELQQLKQLALQYREQIRGLEINNYALSMHLRQAQQGSSIPGHFHRDIL >cds.KYUSt_chr5.43022 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271225684:271226007:-1 gene:KYUSg_chr5.43022 transcript:KYUSt_chr5.43022 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRRSLRLHPQIGTSEELAGMPLRRLHPQIHASGNGIGMTRRGKSPADPESLPLHEDMLQEILLRLPPRPSSLLHWRGLVTDLRCFSIFRSECCTVPSIPSRKLPL >cds.KYUSt_chr4.649 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3443201:3443422:1 gene:KYUSg_chr4.649 transcript:KYUSt_chr4.649 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDTAATAAARVCRRCKGNYSPSDNTPQSCRYYELKDGDPPYVARFYDCCGAEDPDAAGCATASHLSYDDPE >cds.KYUSt_chr3.8346 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48175660:48176907:1 gene:KYUSg_chr3.8346 transcript:KYUSt_chr3.8346 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLLTEKEAAGLVIGGIGSASVPRPRWAAVGKVCSPRKLVIGALDRAMSRAWGLHHPAHFKEIGDNRFVVRFSSEGDWKHVMRNGPWQFDFNAILLKNYDGASRPSDMVFNTLELWVRVLDLPMDMMNVVYGKRIGGWVGKYIAADVDDDGIAWGEELRIRTEVRVDQPLLRGVWLRESEDDEKGTWFDLKYEKVPHFCFDCGCLIHPEDKCSMQGEKSEGEDRVQQWGEWLRASPRKTKRPPPTARPSMSSSSFTSRSSGSDLRRFDGGHVRDVPTRRNLAHDYSYSCSSRTGGDERWREGDDMSIQHDRVRERTQDYGKKQMDKEKSVTKTGTYVRRQRKAEASKPNESLHMPQGTSSKKRGTKQVWLPLQVQVVGEETAETPGKRQRTLSVFDRLEDPSAAPAMQGRREQ >cds.KYUSt_chr3.22496 pep primary_assembly:MPB_Lper_Kyuss_1697:3:139066551:139068896:1 gene:KYUSg_chr3.22496 transcript:KYUSt_chr3.22496 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPNYITSPSGVFAFGFRALDASNSGKFLLATWFRSHDDEGDGQSQTSTSSQLQSVVWFAKQSSSVALATEQSVLSVTADGQLALTDTTNGGNKVLWRAPIPNLERGSLLVLLDSGNLRFLGDGVLWESFWYPTDTMLPGQSLTMDSRSQGKLISRRADTEFDTGRFSMGVQTDGNVVLYVDLLSGNSPDNAYWQAHTDSSDGNTTVTFDDQGGLNYTLHSGVVQSLISPMAADTASKSYKFARMDPDGIVRVYVRSKNGGGNTTSWSVSGAFPSDGCNKRTSGLQGLCGPGSYCTEQKDRLRCLCPVGYTYADPQHTDSGCTPEFVPQSCDGENSTGEYTLVELPNTTWETSIYYKKFSSVTEDQCRNYCLNDCYCTAALVISGTDCAEVAALTNGRQASDVTTKALIKVRPSYRPAVRQSARTRIIVAVTVCVAFVLLAIAGGFVAKHYLAKNRESQGLLSVRAFSWKELHKATNGFEKLLGKGSFGEVYQGKIKSWQQPRLIAVKRLINSNEYSEREFTNEVLSIGQIHHRNLVRMIGYCKEGKHRMLVLEFMPGGSLRSFLFKPERPPWSWRAEAALGIARGIEYLHDGCASPIIHCDIKPDNILLDDMCAPKITDFGISKLLGNQQVHTTVTNIRGTRGYIAPEWFRSEARIDTKADVYSFGVVLLEMICCRRCQDPLLDQGGGETVTLFGWAGQLVSNQRTELILPKDDDAGADLERVERFARVAFWCIEPNPALRPTMHHVVQMMESVVVEQVVLPDPPACYMESAPLFVSSV >cds.KYUSt_chr1.9347 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57076225:57078153:1 gene:KYUSg_chr1.9347 transcript:KYUSt_chr1.9347 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPSVSSLISELHSRCHSLSAIKQLHAHILVLASHHTPFPYNHFLSKLLSVSSCTTTTAAAASDYALLLLTSHPTPTAFSFNVALRFFASSRPRTSLELFLRMLRSELRPDTYTLPFLLLAAARCPAPSFALSAHALLHKLGLQHHDHTVHSLITMYSNLGDPRAARRVFDGILHRDVVSWNATIKAYERAGMAADVARMFRAMVAEGAVAPNAVTVAVVLAACRDAGDLVLGRWLEDWVKSAGIEVGSLVGSALVGMYEKYAQNGMSNEAISLFYSMRQAGARPDKITLVGVLSACAAVGALELGTELDSYASHRGLYSNVYVGTALVDMYSKCGNLNKAVEVFGKLPCKNEASWNALICGLAFNGRGQEAIRQFELMRSEEGLRPDDITFIGVLSACVHAGLLQDGRRWFYSLTPEFQIIPKVEHYSCMVDLLARAGHLEEAWDFIQKIPGKADAVMLGALLAACRKSKNVEVSGRVIDRIMQLEPSNSWNYVVSSKIYASSDRLDDSARMRGLMRERGVNKVPGCSWVEVSGRVLEFYAGDEPQHGADDMYPLLDMLVDEMRLEGYVPDLDLV >cds.KYUSt_chr4.45125 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279481552:279492185:1 gene:KYUSg_chr4.45125 transcript:KYUSt_chr4.45125 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVEMRRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCMYKTLERYRTCNYNSQEGPPVENEINYQEYLKLKTRVEFLQSSQRNILGEDLGPLSMKDLEQIENQIDVSLKHIRSRKEQELLDQNKDLRKKIRAVERMRSICPGKTEEGRVAPMGMALILMRDSFNTRNMNPPCKLGGVECAAPLSFLTVFPTLIAQLIPVVSSLGSEG >cds.KYUSt_chr2.32597 pep primary_assembly:MPB_Lper_Kyuss_1697:2:201081243:201083874:1 gene:KYUSg_chr2.32597 transcript:KYUSt_chr2.32597 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEGVGAARDGGLLAAAAVVGEGGSGALPRGRQPGANHGRPSRSWMGETWAAADEVVLLEEVEDEVVGRRPARSVEHWSMRCRKACISLLMLLGVALALAGPATADMKAPYSIDAAVRELMSPPPSSSSSKLEDGVAPEFTADMEVHRRVLAGISPGSLNRNRQACLGSCPARGGSYTNRGCQSRFRCRG >cds.KYUSt_chr3.16658 pep primary_assembly:MPB_Lper_Kyuss_1697:3:102094240:102095216:-1 gene:KYUSg_chr3.16658 transcript:KYUSt_chr3.16658 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPLFNPRSFSDNLILISDDEDDQSRQVIDLSDDEGDVIMVEPDDQIVVLSDDEAGEMISGDSGGAASPLSGDSPEAGEMISGDSGGAASPLSGDSPEDGDTNPGDSPEDGDTNPGESPEDGDTNDTSTKRSKRPKRYNTWCHQDQHKLMDTITEMRENNSGIMPSALQVLTALQKEGSPLLRTGKLDKNAIYQKIRHLKKKLEKKLHGRRFRGPRALHEIKINERSMKIWPELVVEKEAQDE >cds.KYUSt_chr6.1224 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7611024:7612384:1 gene:KYUSg_chr6.1224 transcript:KYUSt_chr6.1224 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCILTRLLSSPSCASPITPLHRLLSAATADPSPAFAVEQYLVDTCGLTRPQALKASAQLAHLKSPSKPDAVLAFLADLGLSSADVAAAVAGDPQLLCADVDKTLAPVVAGLTGHGLSRTEVARLVSLGRTIFRCRSIVSNLPYYLSLFGSYENLQQLLKQRPELLGCSLEKVVKPNVAFLRECGLGDCILSTPRILSTNPERLPAMVACAEGLGVPRRSPMFRHVLYAVAIVGEDKIAAKVDYLKKTFRWSDAQVGIVACRNPQVLSRSKGTLQRLSEFFISEMGLEPADIAHRSVVLTYSLDSRLKPRYYAVKFLKENGLVKCFPSYFTIFNMIDKVFVESRLKPRYYAVKFLKKNGLVKSFPSYSTIFHLTDKVFVERYICPHKEGCSAPL >cds.KYUSt_chr5.4127 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26488609:26492778:-1 gene:KYUSg_chr5.4127 transcript:KYUSt_chr5.4127 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPFFVVLLVLLHHEASYSSAAVASGWCRRRCGGTIVPYPFGFSAGCPIVLSCDVNTSTVTIPSIGGDDGTSYRVHGFSSTASTFVLTLPSSCSRGVPDARRTLSGANYGVTSRTGLFLRGGCRDINSTSCTVPVPVISRLLRTAQCGDNETASAAGGVVCVASNSPNATSAGVFLNWDKADSATCDNALTSVLFAETPEGAVSLEFGVAELGWWLNGTCRGGAEGCAANATCTDVTTPSGAPGHQCACVSGMNGDGFSAGDGCFKVKPSSKRKLAIIVGGVLAGVAAAGVLILLCMVRRRRSAGGNKGRPCKNRLAAIRQLSEAASSSGVPVYTYNEMARATNSFSHTHRLGTGAYGTVYVGRLPGSSTAPLVAIKRLRCRHDDDDGRSVALLLNEIRLISSLSHPNLVRLLGCCLDRGEHILVYELVPNGTLAHHLHNGGDTGDTLPWRARLGVAAGTAAAVAYLHAARPPIFHRDVKSGNILLGADLRPKLADFGLSRGGFGPEDASRSHVSTAPQGTPGYVDPDYHQSFHLSDKSDVYSFGVVLLELITAMRVVDFGRPPSEVNLASLALDRIGKGRVEEIVDPALVGHGEEWVMESVRHVSELAFRCLAFDKDVRPCMSEVAEELRRIRDAAPESGITSPVANMADQDTTAKKARSPVSVQDIWTSDQSSQSTNGSMPRFA >cds.KYUSt_chr2.9316 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58674059:58676568:1 gene:KYUSg_chr2.9316 transcript:KYUSt_chr2.9316 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVTPFLFLGVLEMLVRFLRGVRLPGTGEYNKFYGGGDYNCAGCGTPLYKSTTKFDSGCGWPAFFEGLPGAITRTADPDGRRVEITCTACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFTPAS >cds.KYUSt_chr7.147 pep primary_assembly:MPB_Lper_Kyuss_1697:7:770977:772296:-1 gene:KYUSg_chr7.147 transcript:KYUSt_chr7.147 gene_biotype:protein_coding transcript_biotype:protein_coding MADHMLQFDWDTATPAVAAVDRPGNRSTSAYGQGQRDTSSAPVEPIEASKLVALQVADRSGARSRVAHGEPGRIRPRVPGHPSRIMSPATTIHQQPERAPSHRRHRCTRSPHPPCPSDPWRAPSSRLIYQCLLPPSSPNEQQQASQQEPTNTSLSAAMAPSFGRSISFPLSPARASRPRAAAYHVRSVSLPCSSHPLLAHLCNHIAAVRSWVADPISASTGLAHLNALHASLAELLLLPEARSALQCGTAACLLDGFLLLADSHGAFQETVVELSAHAADAQVALRRRDDNRLASAVRSLRRNEKDLGRLAGSVRAAAKFPTATFSTSCSVAEVEVSGALAEAVAAAAFASAAVFSTVEAVSAAATSALASKKTMTSSIMSLVKSGKHASDDDKEVAVLEKLDEVEACVAEIDTGSDKVFRSILHTRVALLNIQTQTCC >cds.KYUSt_chr1.34540 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210263515:210264585:-1 gene:KYUSg_chr1.34540 transcript:KYUSt_chr1.34540 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRNRVSVVVFHAKKEAFLIFTLKMKHIFSDRPDESDHEVQRFFPPPLAIFERAADPCENMDFALHGATSNIIAVTSRTRTIVYDADSNSLSPGPDLCAIKLRPILLPVGDSAFFAMSRYPHGAGPHFESLDLAQGSSACWRVLLELPGPRSYLMDHNSSEVTAYFVAGTRVWVSLHGMGTFSFDMATRQWRKEGTWMLPVIGRAVFAPAGGEGGGGPQLLFGFRDNAAHLCACDIEASPPVILVTWEDNAYPKEWCDRIRCGMASGFGDIAYFGGGRFCTAIVMSGEYNNPIQFLCITALEVTANLELIKRRFRCYFFSINDALLLQEAITSSLCIIRMHTAVCLSQVIKVT >cds.KYUSt_chr4.50428 pep primary_assembly:MPB_Lper_Kyuss_1697:4:312411188:312412430:1 gene:KYUSg_chr4.50428 transcript:KYUSt_chr4.50428 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGNAQRLCRAPSLCRAVCWTIAVHLSLPCGLFHLCRESYLCRALVSIFPVTKVFAVRFYLCARQRFLCRAGTHGKEMLHGDAYFSRSAASKAQFSKRPKQPPREERAKRIEERQRNILLTALKKYAKQNNIQPSELEFMEVKEMSLVIECPREYVHYNFLVKRGFSDGEPTMFFAEVKPNCKGEGDVYHCTPLEETDSGHCFACNHGAKDLMHPNAGGYLGGHKEKGSFHMELVLDSDDDVCYL >cds.KYUSt_chr4.29149 pep primary_assembly:MPB_Lper_Kyuss_1697:4:182979757:182986662:1 gene:KYUSg_chr4.29149 transcript:KYUSt_chr4.29149 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRVAEIEVVVADGGARKGAEEPKKLSGAEPDPVVDVYSAAAYGDLDRLRRFVEREGGAGSLYAPDGNGYHALQWAALNNYPHVALYIIEHGGDVNAEDLAQQTALHWAAVRGATSVTDVLLESGARLEAADVNGYRAVHVAAQYGQTTFLHHIVSKYGADFDALDNDGRSSLHWAAYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAVIRGSLEVCTLLVHAGTKEELSLKDSGGFTPLQLAVDKGQRHLSNILSNTPKVSFGDKYCSERLGKAGYAPILFSYLVVLIILFLKSIVFAPDFSRITAGVGLWSWAAISLALASQVVFYRLSRKNPGYIKANTKGLDPKEPLMEIDFSSSTWTGNWSQLCPTCKIIRPVRSKHCPTCEHCVEQFDHHCPWISNCVGKRNKWDFLVFLCMGITTTLLGAGVGFHRLWAEPIILSSSESWTHFMVTKHPGAALFMFMDVFLLAGALILAGAQATQIARNLTTNEAANQSRYAYLRGPDGQFRNPYNQGCKRNCAYFLVNGYSNDQEAAWPTLQQTVQIS >cds.KYUSt_chr3.28765 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179507349:179511679:1 gene:KYUSg_chr3.28765 transcript:KYUSt_chr3.28765 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSQGSAAVAAARVDLCALDLMPVFAKEMVAGGVAGAFSKTAIAPLERLKILLQTRTNEFRSLGVLRSLNKLRKHDGVLGFYKGNGASVLRIVPYAALHYMAYERYRCWILNNCPSLGTGPVVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNNSDQPSSGLKRPKGPPSYGGIKDVFRGVYSEGGMRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPEDYKNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQSHVQHDQFGGPRITGTFQGLKVIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKHLLKIPPRENIKSDQGST >cds.KYUSt_chr1.5557 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34250894:34258008:1 gene:KYUSg_chr1.5557 transcript:KYUSt_chr1.5557 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGTTMQRTVLLNSDEQLCHYSSCDKMLPLFFVLLLCLAASPRDAAALTREDYPKALFGYANNNSTGDIAADQYHHYKDDVKLMQELSLDAYRFSIAWARLIPDGRGKINPKGLEYYNNLINELLLHGIEPHVTIYHLDLPQSLQDEYDGLLSPRFVDDFTAYADACFQSFGDRVKHWVTLNEPNMEPISSYSNGMMPPRRCSHPFCSSVNCTKGNSSTEPYIAAHHLLLAHASAVSLYRDKYNATQRGQVGITLMGIWYKPATSSSQDAAAARRMLEFHIGWFVHPLVYGDYPPVMRSRVGARLPDLTASQVRGSFDFIGITHYFATPVRDDEAALNLEQRDYYADAGVIAGKCVNFLIICVQLKEVFSPRALGKLLDHLKLKYGNPTIMIHENGLAERPKITSEIVYDDDIRSEYLQDYMDQIYLSIGNGSDVQGYFVWSFLDMFELVSGYSSRYGLCGVDMNAEERTRYVRNSGHWYSGFLKGGELRPELPSGKAYRTA >cds.KYUSt_chr6.32513 pep primary_assembly:MPB_Lper_Kyuss_1697:6:205058463:205064468:-1 gene:KYUSg_chr6.32513 transcript:KYUSt_chr6.32513 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEYCRGWPPPCPPVRCRTAPRCPTPPPFETQPRGYGYMAKPKTGSERFRMQIEDQARVAAETLQRNSELSQHVSELEEQLEVERANMQQSIDFERSEREQLEVRLQEERDAREKMVEEERRSRLEFEKNMMAKFQQQMAKFSQQMGNQQVLKKRNEKENINSNLQTTLLKSSSPNRNLGPKSNLISTNSLLQAATLNSRMYKAMMPKCQFLFSAVFGFRKSYTGNILELDETKAQGLIFPRSFQKTEEDTKWGHEGPTPQGGAAKEGPAPACGVGPPCLLRLCPSAYLKPSSRKPYYREPRDEKSYAATAIAKPRFGGQKSLFRYAAGTGKCPRKASPSTPPPSSSTLLSPMMRRE >cds.KYUSt_chr7.31623 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196982032:196983738:1 gene:KYUSg_chr7.31623 transcript:KYUSt_chr7.31623 gene_biotype:protein_coding transcript_biotype:protein_coding METHGRDDFYKLHQFKPTSEQAVTYFLPRLLAGAKHTLIRNADIYACEPKDLARDHPPVPSAVSTGDRFFFTTCKHKNGRFARVAGAGTWTVQKTTDVVDVDKGVKVGEVRNMSFKKDDVYTGWVMEEYRCLLPQAMVADGEMVLCKIHLAQHAPAVAREESAAYKLRLRGTEPPVPRPNSVTVIASTHAQKRPAPVAAADLPGCRKIRVAAPVAFQEPEEERGHYDFYKTHQFKPSKEEAVSYFLPRRLAGEKHGLIRNADVYACEPKDLARHYPPVPTAVKTGDRFFFTSCKRKNGRCARVAGAGTWTTQKTENVYHAGAKVGEVKHLSFKKDNVSTGWVMEEYRCFRPEAFTGEVEKVLCKIHLSQHAPAAARQESDAYKLRQEQAETTAGSAHVHRRQAPVAAADLSSCKKMRTAATVPTPDEVEYEDCPVFFTHAAPPVSLPAASMEGPHVQMMPAPVAIPVPAPEEADEDMGRMSCTMEELFGEQQHEQTLTVEAENNTEQLVLNDDIDLLAAPIDWLQSLLADNYEEEAELQKGCDYNAAAADLQAPPLKGTASSSPRLQL >cds.KYUSt_chr5.27884 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176447667:176450159:-1 gene:KYUSg_chr5.27884 transcript:KYUSt_chr5.27884 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNLILTVVGVGAAVMLLRKDVKQSSAVFRRNIRHIKNWLEEESAATTSAERSVPKELESQAAKKDSAPKVDKD >cds.KYUSt_chr2.34885 pep primary_assembly:MPB_Lper_Kyuss_1697:2:215113351:215113602:1 gene:KYUSg_chr2.34885 transcript:KYUSt_chr2.34885 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASDGRPPPPLALLEQLLGGAVPAGRCSWVAPDAPLQRSLPFPIFLARACPIPHMYPLSEIFVAASLSLAPIRFLPDLFPA >cds.KYUSt_chr1.22213 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131349673:131350023:1 gene:KYUSg_chr1.22213 transcript:KYUSt_chr1.22213 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQEEQVVVILQCVDFTKFAVPASLAARSTRVAEALGAGERVVDLPRGVSGRGVATAVAYYESRANAAARGEDLGEFDGVFVRGLTHDKAMDLIPAAHHLGDEDLFGLLAANRAN >cds.KYUSt_chr3.12850 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77064534:77073898:1 gene:KYUSg_chr3.12850 transcript:KYUSt_chr3.12850 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIASAAIASAVGASRLRRHECRGFPVLRVHRRAAGWASRRLQWHRIPCAAALKSPAAALLLRPRLHSHHNRRCAMGKKNDFEASGSGSKKVPLPVTVGRLMHGKWVPCDARSGVRCCAVAAQLPPGAHPSDALRRRDVSSREHNDREDEDDDDEAYAVYDDDDDYIEALAYHSEEVKDDGDDYVGLVFHEWRRAMAEGRNFEFLDNMTDDEMAKPGLLVSEYDALVRPPLPRYATAVMPPGLELPLPTAQGRRGFNFSRTDGRTSKRRREEGKATDARAAEECGAASAAAAAMGLLSNWVERSDIYTWRAVYAYTHHDARLVRKPKATVAQPPVAGTHRRRTTLKHLMLTMSWQWSIISKTFTGSTTGLRYTGVAVSVLLSTAVHVMPLPVCSQGPFSSGHCPFPSPAPSASTASHNPDNKIRGLKSACDFLPLYMLLYMANESGYASRFIGSMCVCFGQVMFMYRWWCLLLLLMPLCHPAAPVFFDWDLLQYRVPARSLSFSGGVALRWCCSTVVVVFCKCSCWCCLHPLMAVAPGFFDWVYRYVPVTVVPSGCAGAAGVCVAELPLFSSTVVVLLLARLL >cds.KYUSt_chr2.14120 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89345297:89355231:-1 gene:KYUSg_chr2.14120 transcript:KYUSt_chr2.14120 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAVPVKLTEEQRRRIEANRIAALERLKRSSAAAGNTLDAASFRLAKCPRIVPPLPPRTPPRPLPPPRPPTGFQAVLEICSPDEFQVTVGPAEGKAFPGEADCLRAVEDCVASAVPFSTTQSQSGHVSSVFKLMHYELVLQCLKKLPGIVVQGIAYKTRRTVQNYATECASDKEVDELLTKLPQHLRDALLPFQLEGVKFGLRRRGRCLIADEMGLGKTLQAIAIACCFKDEGSILIVCPAVLRYTWAEELERWDPSFLPKDIHLVFGRQDSLDYLDACPKVVIISYKMLSHLRKSMITRRWALMIIDESHNIRCTKMKQENNQTNAILELAHSINHIVLLSGTPSLSRPFDIYHQINMLRPNLLGHDKFEFARTYCSLHVAQRSHGKTYQDFSKGARLTELNVLLSQTLMIRRLKEHLLNELPPKRRQIIRLKLKALDTRTAKSSCIQGVDSDVYGSSDTLTVASPEKGNDYEEARVGKDDSCKKSPRHFSPQEIGIAKIPGFSKWFSNHLIHDDLDSQSSCQKTIIFAHHLKVLDGVQVFLFEKGIKFVRIDGSTSSRERKEAVDSFRLNPEVKVAIIGITAGGVGLDFSSAQNVVFVELPRSSSDLLQAEDRAHRRGQTNAVNIYIFCAKNTSDEPHWLHLNQSLFRVSSVVNGKKDAIREIEVDQVHNFGEISNSKERPQHEFLPLENHDAGLLESGTMSVDCLPSISDMEFESDFTIRTIPLQFELILLVHLTLLG >cds.KYUSt_chr3.41658 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262915388:262919475:-1 gene:KYUSg_chr3.41658 transcript:KYUSt_chr3.41658 gene_biotype:protein_coding transcript_biotype:protein_coding MALCPHDVALCLSSPFAAGSGRRRRSSSVRVLAVASSAKPGFVRFVPVCRDLHAPVSPPQSGPHMASLSLVGPQISRKHRVETKKPFAPPREVHVQVTHSMPPQKMEIFQSLDGWARDNLLLHLKPVEKCWQPQDFLPDPASDGFHDEVKELRERAKEIPDDYLVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDFGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLALADMMRKKIAMPAHLMFDGQDEKLFDHFSMVAQRLGVYTARDYADILEFLVGRWKVPELTGLSGEGHKAQDYLCTLAGRIRKLDERAQSRAKQAGKMPFSWVYGREVQM >cds.KYUSt_chr4.7154 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42327888:42328445:-1 gene:KYUSg_chr4.7154 transcript:KYUSt_chr4.7154 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAAARAPEHVGRVTLRPFDLADVDAMTSWASDPVVLPTASTTWCPNPNPSRDALLAFLRDTVLPHPCFRAVCLSGAVVGAVSVTPTDDRCRGEVGIALSRAHWGKGAAGAVALRRAASAAFVDLEGVERVEALVDADDAPARRVLEEAGFRIEAVLRSYRTVEGRLTDVAVYSVISTDPLLD >cds.KYUSt_chr6.21494 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135649865:135653830:-1 gene:KYUSg_chr6.21494 transcript:KYUSt_chr6.21494 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHQSSPSASSPERKSPQPRPAGDAIDLSAAATAASGPNASSSSSPPAGFIFMCSGATKPECFRYRVLGLPRGRLNDVSRIRRGAGLFLYDFDSKYLYGPYRADSDGGLALEPAAFKGRYPAQVKFTIDGDFMPIPESSVRSAIKENYSRGKFWPELTFMQVEKLRALFRPITVLPESASSADDRHYVDSRHPAPSAASELPMAQHMRTCNLSGIMGIPQAAKLQHLKSATTNLGLVRSYGSVSGRATEGTTQTSADRAAYHIQEEALRQSGADDAMVMKAAIARYANDKRVTQPFRWHHWWEAVRNEAKWKGQHGPGSGSDSTAKRSRLGVSGEYSSSEATTEEERPTGRDRAKAAARKDRRKGKESSSSSEVTSKSFAMKNMWNGLVKAKLLKQWNKMKDRSTADMNEAEKRKHAKAIQMVEKELGLEDDDDEEEEEEED >cds.KYUSt_chr2.34396 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212420947:212421771:-1 gene:KYUSg_chr2.34396 transcript:KYUSt_chr2.34396 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSLGAPHPPGVVLRRFLAGGAGAGLGAGGGADSGRNPWLAVSATTVATPAGVVFFLERRQGILPPPPFPFLILGEIPNPLDWAAATPRAPSPSWCRLGSVGVVGWRCFPDGWRCGCGMGGGGAAELKGLAPTILLDARWFVLCTRSGARLRGDRSTMLLPCRCCPEARLCPSSSPSEPLLFSVLALQLRLFVSGAASSSKASRGVLDAALGWALVLAQFCSLVHVPSLLWVSVSPWAAVRRPSSQGEGAGVPLRPWKRMDRRRLAILLQP >cds.KYUSt_chr3.27694 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173021037:173027096:1 gene:KYUSg_chr3.27694 transcript:KYUSt_chr3.27694 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGVGGVSGKVFRIVALGAGGFVTEALSRRKGRYPEVADTYPCIRQYPIRIREFSEVSVQRFDYTNRTVALADGDAWNTTCPRLTFNLSPDPNSWLQLTRSNSNLTVLYNCKAKLPRSSAVKLDGCQDQSNIWYVLPDDGVTGKAYGHGCEKAVTTPVLLSSLHRLAANPSIGEVLSAGFEMRYDAKSEQCGACERSGGRCRYGRIEEHGGTGFACVCDDGANERHCEVKNKEIVYHEDRSRSFTADKLEWRRGRKRKSAKKEAAAAAANQLAEEEAKSAEDAAVPEAIARSLKDLVPADNNLPIDAALEWFRRDWERQEAEQQRRLLDLAAARQLAARAAAPSAGRNAAPRKVVKLEESSGEDIYRPSPPRAGDAGRGTSRWYEAPPPQDDAGSSDDDDGGDYTAFYRHFGM >cds.KYUSt_contig_1253.918 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5794843:5796132:1 gene:KYUSg_contig_1253.918 transcript:KYUSt_contig_1253.918 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVPPVLTSPRNDAIALHRAFKGFGCDTTTVTNILAHRDCTHRALIMQEYRALYRQDLYHRLSTELTGNHKACTKAMLLWVLDPAGRDATIVNQALNGEITDLSAATEVICSRTPSQLQIMKQTYRAKFGCYLEHDITERNFGDHQKLLLAYVGIPRYEGPEVDPSAAASDARELHRAGEKRLGTDERTFIRIFSERSWAHMESVAAAYQHMFAQSLEKAVKSETSGNFEFGLLTILRCAHSPAGYFAKVLHKAMKGLGTSDTALIRVVVTRTEIDMQYIKAEYHKKYKRSLADAIHAETSGNYRTFLLSLVGRDRY >cds.KYUSt_chr5.17915 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115829720:115836773:1 gene:KYUSg_chr5.17915 transcript:KYUSt_chr5.17915 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSGRVPEAISWIPRDGIGGGVSGRFSVSWLSNAELKSLLEKSAQSKVPSDPNIWLQMRENFEKMILADHDFCEKHEIEYILWQLHYKRIEEFRHHISTAGSAAAQNGKSSANAERIKRIKSAFRGFLSEASGFYHDLMFKIKSTYGLPVGYFSESPDNAANNDKKADDVKKALVSCHRCLIYLGDLARYKGMFGDGDSATREYAAASSYYKEAAAICPSSGNPHHQLAILASYSSNEVVAVYRYFRSLAVDTPFSTARDNLILAFEKNRQSYAQLPSNNKVATARTLPPRSAGRGRGRGEIRFQPKDVNTEAASKEREQSMPDTLKSFFVRFVRLNGILFTRTSLETFEELFDMVRNDLKILLSSGPSEELNFGSDAAENALAMVRLVAILIFTVHNVRKEPDSQSYAEIVQRRVLLQNAFTAAFEFVGRILKRCSELRDIASSFYLPAIVVYIEWLACHPELAADSEMDEKHANARSFFWNQCVSLMNKLILTNLASFDCDDDEACFFDMSVYEEGETGNRLALWEDFELRGFLPLVPAQLILDFSNKHTFGNDGSTKEKKARAQRILSAGKALLSFVQIDQLRIFFDPSSRKFVLAKEPPVFEAAISTRRSSNAPAANAVELEHETVSRFDAVAANVGAMQAGVQLCSEGEDDEEIVFKPTASEKFPKVLTEQPINGYIQPVQMSTAGWPTIAIQSTASVSAPGNGNVSGPLPMSSVGWAPNGVQEVIPSNVPISSPYEFVQPVGIPTSSWASNGAPLGPLNTIPAFSGVVSDPRVSASMIPQMNGPDYLKSLFEQEKLLMMGLNNVNLAGNGFLDQRFQGGLSGLQSMGYSPHVSVESGRNNASLMHNQAKANEETIPSTSDSIVPSVAASGGVTMKLTDAPETVSKKNPVSRPSRPVGPPPGFNHVTPKRHDEFASLGKLQHQQIDDYSWLDGYQPSVDYVHNARAIYPDVSTTTSAFTPPFPFPGKQQVSGVHAQTANEKTWQDFHLFDPAKQNMVQNHQQINQQSGQVAEKQSAKPIGSGRYLV >cds.KYUSt_chr5.22314 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145699848:145702380:1 gene:KYUSg_chr5.22314 transcript:KYUSt_chr5.22314 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKRKAEAARLEETDRAMYGAFRGAANSLSQLYTLAMGGQKLSFQAGERHAMEKLYEWILRQHENGLRLTVADIASHIQDHVCPGGFEGLPSCFLANNDA >cds.KYUSt_chr4.5628 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32629398:32630387:1 gene:KYUSg_chr4.5628 transcript:KYUSt_chr4.5628 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCANPFGIQRTKYNTIFITHPLEKNPPEFRFQSLPFASLISTKMLTAGTPRTMPDGATALEIPSNRPNFVTRTSYGGPPAATAVFLPPERLNGREASIKAYLDLPPDPEMEYHARRIAFAYITPARAEPGPFIRLVFRTLALDLPQTFELLHPDHGADATLRFRTPEHREAAMGRQPFVLDGATVKLMREGETPDVWKVSHDYLVHVALHDYPVEKRTKKKIEDNCTSLGFLREIDPACFAAPISPPSMSSFSSITLERSPTNSGSSTAMAPSASSPSRLSGFGTTHTPTTPTDSMCPSSRPRLRPLDGFDSIKFALLILAAIYLYM >cds.KYUSt_chr3.31727 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199404220:199406121:-1 gene:KYUSg_chr3.31727 transcript:KYUSt_chr3.31727 gene_biotype:protein_coding transcript_biotype:protein_coding MFVALQFPLLSRSGVLQRLISEYHPSPDDGGMCTLQLDDIPGGAKAFELAARFCYDVKLELNALNVVSLRCAAEYLGMTDDYAEGNLIAQAESFLADVLANWKDCIKALETCEAVLQAAEDLHIVSRCITALASKACASDAAAWSAHHGAAPHKSASLDRDALWNGIGTGDTPRAGSAAADWWYEDVSFLSLPMFKRLVQAMEAKSMRAESIAGAIMFYAGRLLPGLKRTASFSNATSNAGSRSVTPRASGGGGASEGDQRYFLEEIVALLPAKKGVASTRFLLGLLRTAMLLHASPLCRENLERRIGAQLEDACLEDLLVPNLGYTVETLYDIDCVQRILDYFMSSMDGGLGTGYTSPAALVAEDQGSQLGLGAPMQAAATPPAVSPIAMVAKLMDGYLAEVAPDANLKLPKFQALAAVVPDYARPVDDGIYRAMDIYLKSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIAGWFFVSDNGATDGAHPHLPGNNAGAIVPKGSNAADSSQDEAVVVTPEGKGSEGMTDVKARVSELEKECMSMKQEIRRIGKPRRSWSLLTRKCGFGAKVQQAQPAMSGK >cds.KYUSt_chr3.873 pep primary_assembly:MPB_Lper_Kyuss_1697:3:4539333:4541484:1 gene:KYUSg_chr3.873 transcript:KYUSt_chr3.873 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSSAVDWLQAAAQDAANSSASSSRSGSAAFPDQVLVSRAAGRVVSLSTCTKVGAISFVVGVAVGFTLKRRLRLWAARLLKRIKDD >cds.KYUSt_chr2.408 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2489570:2493816:1 gene:KYUSg_chr2.408 transcript:KYUSt_chr2.408 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMFTITNVSHFVLAAAIAMACVVLLLSSSSCPSGIKLALRNNETQADPSMRAAAVTSTTPGDDLAVLLRSAAMEDNTVIMTFTNGAMSSPGSLLDLFLESFRVGDKTEPLLKHLVVINTDNKALEQCKLVHPLCYRLDIGGGINFTAEKWFMSRDYLEMMWARNRFQSRVLEMGYGFVFTDVDIVWFRNPLLQVPVAADIAFSCERYNDQADPYDLRKDANGGFLYAKPNMRTIRFFKSWYEARAVYPGRNEQYVFGGVKRELSVRHGITLLFIDTAYFGSNCQPKKDFQRLCTFHANCLKGMSNKVNLLRGIMGEWKHFRNNTSVSH >cds.KYUSt_chr1.185 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1048609:1054367:-1 gene:KYUSg_chr1.185 transcript:KYUSt_chr1.185 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWHISVSPSGSRSLLDDRCFEFLLRIDDDLLGIKRLPEKFAEFVDGIEPAHLQLREASCNFCRCTMEVLFDGQGKMYLHTGWDKFACDLALEPDCQLTFLYQGDGEMIVKSHRQGGAQTHLLPLECSLLVVLTTILHKSVAAMPFACTVRVYYTEDVISVHLAKVVVGSLPEVPLAPLSPVVVGSLPEVPVAPLSLVVVASLPEVPVAPLSLVVVGSLLEEAITLAPVVES >cds.KYUSt_chr3.23193 pep primary_assembly:MPB_Lper_Kyuss_1697:3:143536872:143541000:1 gene:KYUSg_chr3.23193 transcript:KYUSt_chr3.23193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit Vb family protein [Source: Projected from Oryza sativa (Os01g0612200)] MWRRLQTLAPALRRAAAGAGAAPTPITARAAPFSTAAAAFRRTTPLSSGDKPPPTVDEMVAIVAAFRRIKPLSSGHKPRLTVEDIVPIATGHEREELEGFLKGKKRFDMDAPVGPFGTKEAPAVIESYYDKRIVGCPGAGGEDEHDVVWFWLKKDEPHECPVCSQYFTLKVIGDGGNPDGHDTDDEGHHH >cds.KYUSt_chr6.4320 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25001068:25001989:1 gene:KYUSg_chr6.4320 transcript:KYUSt_chr6.4320 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKALALCMLVLAIAAVTALGFSSNENAVPGNAQCGGRRKCGGGLCCSRFGYCGLGGDYCGAGCQNGPCYRIANVDENDVPSNAQCGGRRRCGGGLCCSRFGYCGLGGDYCGAGCQNGPCYRTANYDENALPANAQCGGRKKCGGGLCCSKYGYCGRGRDYCGVGCQSGPCSKAAEPCDQSDMDFFGYVSILDFRGLCCGTVPRPFCDCHKKEHDTL >cds.KYUSt_chr5.5138 pep primary_assembly:MPB_Lper_Kyuss_1697:5:32425194:32426498:-1 gene:KYUSg_chr5.5138 transcript:KYUSt_chr5.5138 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGLEEGVSSSGHVGPMPFKDLAGVQEEDDGQDMSTPREDDEYAGIISALPTATNRFRGLCQYQGAWMLHRTVAGVISVQRRFVPRPGRGDVLLATPPKCGTTWVKALSFATMARAAYPPSAGDHPLLRLNPHDCVPSMEGLFGAGREAQLEVLPSPRLMHTHMHHALLPPSLAHNPDCKIVYVCREPKDMMVSLWHFTKAIVTSGGRTYSFSDALEWTCEGKSPYGPFWDHLLGYWRASKATPERVLFLKYEEMLADQVSTTRELARFLGVPFTAAEEAAGLPLDITKLCSIDTMRGLDANKTGKSGQFFKFPNESFFRKGVVGDWVNHMTPEMADKVDAIVEEKLRGSGLTFTS >cds.KYUSt_chr2.9716 pep primary_assembly:MPB_Lper_Kyuss_1697:2:61467418:61471912:-1 gene:KYUSg_chr2.9716 transcript:KYUSt_chr2.9716 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLLAVVPALLALAVSRLWSYAVVRLVWRPRAVARMLREQGVRGPPYRFLRGSSEDIRRMKAEAGGVELDVHDHDYPRRVLPHFVRWKDQYGGPFVYWFGPRPRICIDDYDMVKQILANKSGHFMKNDAHPTVLAIIGKGLIMVEGKDWVRHRTVVNPAFAMDKLKMMTRTMVSCAECLIKKWEDQAANNKSREIEVEFSKQFQELTADVISRTAFGSSYKEGKEVFHAQKQLQAITVETLLNLRIPGFKYLPTKRNRYQWMLEKKIQNMLSEMIQYRVALKESGFGDDLLGVMLQACFTEEQGEKQGGVTLSIDEIIDECKTFFFAGHETTSHLLTWTMFLLSVYPEWQERLREEVLRECGNKKPNADMLSKLKEMTMVLLETLRLYGPVIVMLRKPISDMKLGSLNLRKDNEIVIPIPILHRDKDVWGEKANEFDPMRFENGITKAAKISHALLGFSIEAGAMLPFRKKNLAKKAKEECTVDQIPVMPEGVDEEEATRFTMKAHKSIRRHYKCHLGIPRRSCLMGLSEEESLRVAMEAS >cds.KYUSt_chr2.28576 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175372847:175374788:1 gene:KYUSg_chr2.28576 transcript:KYUSt_chr2.28576 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMQQAGGAPASDGHATVLCANDCGFFGSAATGNLCSKCYKEQQQIIGVAAVAGAPSVDSVVSGFASLRIKETGGRCAAAAAAAGVGCEKEVVPATATKSRCEACRKKVGLLGLPARTTAD >cds.KYUSt_chr7.39977 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248330951:248333819:-1 gene:KYUSg_chr7.39977 transcript:KYUSt_chr7.39977 gene_biotype:protein_coding transcript_biotype:protein_coding MPATGRGDWLDDRRPRVRGAATGTPWSGSRTAGSGNTGRGNAGQPAVSGVVPEGAPRGGEAVDEVQVRLKVVAAGQADGGAQARRVLAVDAQLRAGMLELSLQCRADSILNSDTMKAYKVHVDIRHVHIGLYLI >cds.KYUSt_chr3.39199 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246948876:246950576:1 gene:KYUSg_chr3.39199 transcript:KYUSt_chr3.39199 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKARAACLLLVWALVAADLLGTGLARASLGKEQREFDYFALALQWPGTICPSTRYCCAINGCCRYVLARPSSSPSLSPHHRRPSHSRPFGSRSGGVSGAPEIRFDSILGLCALLLTPLASLVPLCRPEPLQTFTIHGLWPDYDDGTWPSCCRETNYDADKILSLKPVMDLYWPSLYCSTSSTCFSGKGPFWAHEKHGTCSSPVVEDELQYFTTALDLYFKYNVTEMLATGGILISNGEQYALSDVISTIKLAFGGSPQIICKKGSVEELRLCFTKDLKVSSLLSLDRSQF >cds.KYUSt_contig_1181.209 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1274053:1275527:-1 gene:KYUSg_contig_1181.209 transcript:KYUSt_contig_1181.209 gene_biotype:protein_coding transcript_biotype:protein_coding MECDGMDALPDGVVQSILSQLSNARDVAACSGVSRCWRDCVPFLPSLYFPRSAFDSAAAGGATATAADDAIGRMVEAATRLEELVIYCPFSSTHLPRWLALRSASLRVLELRVDSAADKAAASDAGSGHLDCIGLVSTLQELRLWGLTMTRAPAWGQLARLRVLEIVGAHLEDLAVCGAVHACPSLTDLALLGCECAGAVNFTLPLLQRCRLDFVGSGTCALTLAAPHVESLEVQGFNWIVLQGGDRLKRLTIAKNTGSVSSVWIEKLPVLDHLSLRGVQWSWGAVSYLLQCAAEVRHLVMKVEFCGDFDTLQPFPEVDLVEFFNTHPKLRRFEIHGAMFAALCQKNSLKNLDSRFSISSLEEVLITVRSPLNAEQKLITLESLVCCSPRLLNMVIRISQMKNCHEASDEFFEEICKFAHVNKGRVRIE >cds.KYUSt_chr7.31124 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193804352:193807708:-1 gene:KYUSg_chr7.31124 transcript:KYUSt_chr7.31124 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSPLLSAEEKPELRHGDVFVIALPDHHDHAHDGPSPSRGTAAWRAVMVLAALALAGHCYRLHYYSPEVAARLWGGGWRWSAEGASSSSFLLPLYPKKAGAGDGVKASTPAVPERRYYTSINIGNPARPYFLDVDTGTDLTWIQCDTPCTNCTKGPHPLYKPLEGNMVHPKDSLCQELQGNQNYCDTCKQCEYEIAYADRSSSAGVLARDSMQLITADAERENVPFVFGCAYDQQGKLLDSTAGTDGVLGLSNGAISLPTQLANQGIISNVFGHCIPANPTSSGYMFLGDEYIPRWGMTWVPIRNGPENVYSTVVQKVNYGGQELNVRRQAGKLTQVIFDSGSSYTYFPREIYRNLIASLEDVSPGFVHDDSDQTLPFCMKPNFPVRSVDDVKELFKPLELQFRKRWFVIPRTFTISPENYLIISEKGNVCLGVLDGTEIGHRSTIIIGDASLRGKLVVYDNDENQMGWVQSDCTRPQKESRVPSFLSRALHSQLL >cds.KYUSt_chr1.967 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5112425:5118041:-1 gene:KYUSg_chr1.967 transcript:KYUSt_chr1.967 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSLSIGKSVLNGALCYANSALAEEVALQLGVRHDHLFITNELEMMQAFLESAHDEGVDNNRVVKVWVKQVRNVAYDVEDTLQEFSVRLEKKSWWRIRRTLLDRRRVAKQMKELRANVEDVSQRNKRYNLIKGSSSKPPTTGDQLGIMGETMSGIDEARRQWDKAQVDLVRLINKKDINLRVIAVSGARVGELGETSIIKRAYEDPKIHKKFDCRAWITGLMYPFDLTEFMQSIIEQLHLNFLQVSREKQEVILEVQVLRKMRMMKEDGLVDEFMRFMNEKSYLVVLNDIHSIEEWDHIKPCFQNNKKGSRIIVSAHQVGIASLCVGTKDAAPEHQQLFMNENMYAFYEKGSQDGSDSTEAGTSSIADISGSDNSANRKMLSRTETIIAAFKESQLIGRETEKAEIIKLITTEDSKLEVISVWGMGGLGKTTLVRDVYQDEKLGGKFEKRACATIMRPFNVNELLQSLASQFGYKDVPEMDKELPGKKFLIVLDDLSSNAEWDTIILHFPATERSSRIIVTTRVKDIALHCSKKHEKIYRLRGLEDNNALGLFTEKVL >cds.KYUSt_chr2.35330 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218058497:218059048:-1 gene:KYUSg_chr2.35330 transcript:KYUSt_chr2.35330 gene_biotype:protein_coding transcript_biotype:protein_coding MASGESAANPTDVEAARCDVVRTAAWRRYTAVWRLRRPWRSGLIPALEHRVGRCQAIVEKPRHHHLSSSGVRPQPLLRQTSDGDRQVGDGAGCDTAGVEVVVQREVIREMTNGGGGGTSLVFPMLKRGDYTNWAMVMEVNLQAASLWDAIEDAAVSARGQAGLGGTAPHLGCMWPWREFRVET >cds.KYUSt_chr4.34649 pep primary_assembly:MPB_Lper_Kyuss_1697:4:212870119:212873212:1 gene:KYUSg_chr4.34649 transcript:KYUSt_chr4.34649 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVSSSLRRRLRLPHPVRRRAPTAETVGLRNPASREPVRVRGNQVFGERSGATTGITTSSSDDEFLHTDNFFPDLSNFFDNLNMGDNDAAAKYDRLGGLAAAGSRRRIARPDDAGVPRPVQPLPRRADRVRGLAAAGSRRRIARPDDAGVPRPCSLAAGDSRRRIAPPDTAEILRPRSLDAAEIPRRRRRSTRRAAWRRRSPARLAAWRRRSPARLAASTPAEPCAPRSLTPAEPCAPRSLAPAEPRTPRSLGAGGVPRPSPPGAGGVPHPSLAGADGPPGGAHRPTPAGSRPPTLRVGDLSQTGAAGARGVEVEDPGGVEADDLAVEDQLLKPLESVQVPHSWHTPETAGAEAVDPEIL >cds.KYUSt_chr4.15171 pep primary_assembly:MPB_Lper_Kyuss_1697:4:93719815:93724584:1 gene:KYUSg_chr4.15171 transcript:KYUSt_chr4.15171 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSETNGNKKDRSGATNKGTMATQEGIACTTIGGNHKDATIETNQTLLARDPVSLQPRMHQMPLRNPKNKNMEQDYIKSSFTVIGTELRHTTMALGSKFIGTRMKWVSCEDQTYSGSAVQLYGKCNLPKLQIMICDLIRASLDSMVMSARSITLLWRMGLIPTTWRLVIWYKVDPLSRCCFIKQDDTRDLNSSSYG >cds.KYUSt_chr2.33066 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204010721:204012561:-1 gene:KYUSg_chr2.33066 transcript:KYUSt_chr2.33066 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRQCVSRAFPTEGSPGINLHAASSRGTATSGSRSFHRCCVRASLWRTDHLLQMKSSQSEIKVHAVPDVFSSIKSWSKLQLVTVSGLAACVVLLVPSAGATDALKTCTCLLKECRIELAKCIANPACAANVACLNTCNNRPDETECQIKCADLFGNSVVDEFNECAVSRKKCVPQKSDVGEFPVPDPSALVKSFNIADFTGKWYISSGLNPTFDAFDCQLHEFHVEGDRLVANLAWRIQTPDTGFFTRGAVQRFVQDPAQPAILYNHDNEYLHYQDDWYILSSKIENKEDDYIFVYYRGRNDAWDGYGGAVIYTRSKVVPETIVPELERAAKSVGRDFSTFIRTDNTCGPEPALADRIEKTVEKGEKIIVDEVKELEGELEGEVLELEKEEETLVKRLADGIMEVKQDVMNFFQGLSKEEMEILDKLNLEATEVEELFSRSLPIRKLR >cds.KYUSt_chr7.40547 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251748065:251751517:-1 gene:KYUSg_chr7.40547 transcript:KYUSt_chr7.40547 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASISRRNTGSENSSKHEHHHRSTECSRSDPAVACDETEAKNVEKALVLRDALSDLPKGANFRDLKGVRVGKNKKVEFDPDIPRVYLSSGKPLVPNYAMTFMKGKSVKPFGRLWLDETVSTVVTRAEPHNQAILHPKQDRVLTVRENARLQGFPDYYKLYIQVGNAVAIPVARALGYSLAQAYQQREFDGDQRPLFKLPGNFIPVAARLPKGNSGGEEAVEEE >cds.KYUSt_chr4.5237 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30158318:30159418:-1 gene:KYUSg_chr4.5237 transcript:KYUSt_chr4.5237 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRDRDAEADLNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIADVDLYRFDPWALPDRALFGTREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPRGGRTMGIKKALVFYAGKAPKGVKTDWIMHEYRLADAGRASAAGAKKGSLRLDDWVLCRLYNKKNEWEKMQQQQNQTDLKMEPKAEEAEASDNMVTSHSQSHSHSHSWGEARTPESEIVDNDPMMFHQHAAAAGAQGFQSPVAAAAHQEMMATLMVPKKEAPDHAGNARNDLFVDLSYDDIQSMYSGLDVMPPGDDLLYSSLFASPRLRGSQPGATGGMPAPF >cds.KYUSt_chr2.49123 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307499344:307499787:1 gene:KYUSg_chr2.49123 transcript:KYUSt_chr2.49123 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVRRPCLLALAATLILLCFPLATAQPQRTYDPPWSDEYWANEIVVGEAAEEVVSVAARRAEAGGYAYGCARLWLAMGCPPAPGKGVNGSLVDGRRSYDRELDRFEEGLRQCSYPELDPYFRRTAPTSTFDPRCCPCCYPCDRYY >cds.KYUSt_chr3.18544 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114035925:114038363:-1 gene:KYUSg_chr3.18544 transcript:KYUSt_chr3.18544 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLCINIPKTLLNNAVEDVEESLSDTNAEQNAGGCLSLFTNWPLLSAITVYCIFSLQDLAYAEREGAQREGVLREGRGPPPVRGGALAMATTTAPSPTPSPTPPLPPSSGPHRREDRWRGGRGSDSEETPRSQIGGLGGGGRAEHRDRPESSRARSEVRSEIFREEKGVAFDELDDSDDPIDDEEEENPNPWEEPTHVSASVRAAGE >cds.KYUSt_chr2.30775 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189559883:189564473:-1 gene:KYUSg_chr2.30775 transcript:KYUSt_chr2.30775 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLRGIRTQALFRANAGLFCSTRLSPPARFTTRVEGVQTTSPKTAPTSIQQAAKEAAEQKMQGFEAVIGIETHVQLSTVTKAFCSCPYDYGSHPNSTVCPTCMGHPGTLPVLNAKVVECAVKVALALNCQISMTSKFDRKQYFYPDLPKGYQISQFDIPIAEKGYIDLDLPVEFGGGHRRFGVTRVHMEEDAGKLLHSESGNYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAEVQRLVRYLGVGNGNMQEGSLRCDVNVSVRPIGQSEFGTKVEIKNMNSFNEISRAIDYEISRQIHLHKESQADQIVQETRLWDEASQKTFTMRKKEGLADYRYFPEPDLAEVVLTSEYVDEIRNSLPELPEAKRRRYENMGLSMQDVIFLANDDNVAHFFDSTLEHGADAKLAANWIMGDMTAYLKDEKLSIHESKLTPLELSEMIASIKNGTISGKIAKEILVELIAKGGTVKSVIEEKDLIQIADPGAIGAMVDKVLADNPKQLEQYRAGKTKLQGYFAGQVMKASKGKASPVLLNKILGEKLKGN >cds.KYUSt_chr6.10738 pep primary_assembly:MPB_Lper_Kyuss_1697:6:66519259:66524007:1 gene:KYUSg_chr6.10738 transcript:KYUSt_chr6.10738 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTEHAILGFRNSLTSDVAELNSEGSICKFFVNGACFKGDYCQFSHDWNDQPNDVCTFYQNGVCSYGSRCRYEHVDVSFEYTTPSTTAALEPSNSSEIFSSSGCPLCEGHPDVCIEALQMRQSGLAYVYQPDSGYTYVQHRPASRFDPEDSIPEDENMLSSTPTESNQTPHPLAHLPICSSAAAGTCPYGEECPQMHGDLCTTCRKQCLHPHRPSERGTHIKLCKRSNNRLETLKKSEEMECSVCLDRVLSKPTAAEKRFGLLPECDHAFCITCIRKWRSSSVTSATDIDSTVKACPICRKVSYYVIPSSTWYSSKEEKQDIIDGYKAKLKSIDCRYFDFGRDTCPFGGRCFYKKLNLLPDTFNKLHEHCKPEDSRKDGGA >cds.KYUSt_chr5.16198 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104289976:104294521:-1 gene:KYUSg_chr5.16198 transcript:KYUSt_chr5.16198 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSFGGSRRSNNVHGVDGEWRRWAVLVATVWVQALTGTNFDFSAYSSALKASMGVSQQSLNYLATASDLGKAFGWSSGLALMYMPLPAVLLLSAALGLASYALQYCILLPPSSTLAAGIPYPAVFLVCLVAGCSICWFNTVCFVICIRSFSASNRPLALSLSISFNGLSAAFYTLFANTFSPYSPSVYLLLNAILPLVVSIVALPAILLCHPHDRNSVRTTSTHDRRVFLGFYIIAFVTGIYLVIFGSVTTTSSAARVVLMGALALLALPIIMPAASSCSTVATHGPDPALPLSNDDPQKPLLLDIDHQKEIDSSMTQKSVEWQFEGCCCGTILEKGRVLVLGEEHSAKKLIRHVDFWLYYIAYFCGATVGLVYSNNLGQIAQSLNLQPRLTMLLAIYSSCSFFGRLLSALPDFLHGKVSFARTGWLAAALVPMPAAFFLMWKFHDENTLIAGTALIGLSSGFIFAAAVSVTSELFGPKSIGVNHNILITNIPLGSLLYGQIAALVYDANGTSNTVLDNLNGTVDTMIMCMGAKCYSNTFFVWGCITLLGLASSIALFLRTRLAYATADVQSRCKHHSQVSS >cds.KYUSt_chr2.50428 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315354427:315355263:-1 gene:KYUSg_chr2.50428 transcript:KYUSt_chr2.50428 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKRHPYECGPGVCASCLRDRLLALAAALNEASSLPPTPEPVPLFPRSVSPYVCPRKSDASRPWSRQPSSRLFFRTPQVEPAYGGGPGFEEGDIGFQIKRRRRGKLSALAALFGHHHGSEGKGGVDRERKHGSWLAGIMPRGRRKKEPAVTASPLSPRRRPSSRAISNRGLSPVRWSYEESEESSSVADSPWRPSPMRKTPFHRLMGGRAGAGVSGFAVCISPLVRPTPGRHHRGGHPPDAPAMSGELRPSPLHQPPSGSSLHHCRSWKLADGGRFR >cds.KYUSt_chr1.22137 pep primary_assembly:MPB_Lper_Kyuss_1697:1:130859035:130860173:1 gene:KYUSg_chr1.22137 transcript:KYUSt_chr1.22137 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRFRFFCCGCGANAAASDMEMNDEEADCAGAVPEGGGKGTDGTTRQLSWPQVERMTGGFTSAVVGEGGFSTVYLARLSGGLAAVKVHRSSERLHRVFRQELDTLLRVRHPHIVRLLGFCDQQDEGVLVLEFAANGNLHEKLHGGGKSGGALPWARRVTAALQVAQALEYLHDQCEPQVVHGDVKAANVLLDGAMSAKLCDFGSARMGFSAAVRPRASAHTMLGSPGYVDPHYIRSGVVTKKTDVYSFGVLLLELLTGVEAFCPVEGRLLTAVLAPRLKQPCDARMLVDERLGSAYDAAEASAVAALAASCVGHNPSLRPSMAEVVRTLEQNARGSILAAGNGHGKL >cds.KYUSt_chr4.37303 pep primary_assembly:MPB_Lper_Kyuss_1697:4:229680214:229683303:-1 gene:KYUSg_chr4.37303 transcript:KYUSt_chr4.37303 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDQTSVSAKLGRAGINGAAAAANPSINPWNGRPYSARYFEILEKRRTLPVWQQKDDFLRVLRDNQTLILVGETGSGKTTQIPQFVLEAEGLSTRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSNKTVLKYLTDGMLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFSSAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEVNNMGDQVGPVKVVPLYSTLPPAMQQKIFDAAPPPLREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFSGDLQEQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTPLGEMMSEFPLDPQMSKMLVISPKYNCSNEILSVSAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPTWCYENFVNARAMKSADNVRQQLVRIMTRFNLKMCSTDFNSREYYVNIRKAMLSGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCMDHKPEWVIYNEYVLTTRNFIRTVTDIRGEWLVDIAPQYYDLTNFPSCEAKRVLERLHNKRERERAANRS >cds.KYUSt_contig_1790.184 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1018905:1019231:1 gene:KYUSg_contig_1790.184 transcript:KYUSt_contig_1790.184 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAPRSWSVAQRAADEGDVPLQAGYKMALLCDLLEFLQAFLYDPDRKRWRAEPLLRPLWRTHLNRGRNTRDGARSTTINNTSSCPMPRSQTDELVLKIHPREWDGR >cds.KYUSt_chr6.10581 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65535557:65536332:1 gene:KYUSg_chr6.10581 transcript:KYUSt_chr6.10581 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTQLLLLMLCICHSAIARARLDDALKAEAVCAQPQVSPSSSSGATVRLSHRYGPCSPAPSTDEPTITELLHSDQLRANYVQRKFSAGKNGTEPSVLTVPTTLGSALGTLQYVITVGIGSPVVIQTMMVDTANDVSWVYCRSSNGSTLFDPSKYTTYAPFSCTAPECTQLGTRGNGCANSECQYNVDYAAVANSTGTYGSDTTSGARIADTFLPALLKCACICNLCRHFLGLCQ >cds.KYUSt_chr2.17017 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107110976:107119004:1 gene:KYUSg_chr2.17017 transcript:KYUSt_chr2.17017 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTRRLLVFLSSLTLWSISVDVCSPAAAGPVLAPAPAPVRVGVVLDLTSDVGKKTRACISKALDDFDAAHGTARVELRVIDSRGDLAVAAHAAGDLIRNDQVQAMIWGPQTPSKADQVAHLGHSNNIPVLSFSDISPTLCAFRLEDPLTVSGGHAKVGFTLGSDSIAFLTPTTDKRNGIKLDTIKTSEDCQGQYMKIGVPLKHGFKEFVQATDPNPMNHNFTGYSIDIFKAAMRDVNPSPCYQFWLFQGTYDELVGNVSSGVRLDPTHFQLILSRELVGDVTITAKRVAATDFTVPYTQSGVSMLVLVKYESHTWTFLKPLSLQLWIATVVFIIYTGFVVCIIELQGNPEYQGSISRQCSNALYFVFSTLTFSHGQGIRSPLSKIVVVVWCFVVLILVTSYTASLSSMMTVKRLIPQVTDLDQLQRDGDFIGYQDDSFVRSFLIAHHNISESKLMKYTTKEEYAAALRKGSKNGGVSAIVDEIPYLTSFLSDGRNKNEFMMLGCIYKTPGFGFAFRLGSPLVHDLSSAILNLAEGPDSSAIEAEWFGTAASLMGSGTVPDTDFADLTFRSFSGLFFITGSISTLMLLISIVRLVHANYTTVRQDDVESVPDDEASPLQDGMGGNPSPHQQPPHEAVSNDIEDVHVDVSDENAGGGEPGPLQLNDTHTGPMPAAHIQIDMSNV >cds.KYUSt_chr2.42323 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263583637:263585295:-1 gene:KYUSg_chr2.42323 transcript:KYUSt_chr2.42323 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKEVLSWYLITLKIKETVDANLRKSPSPQWQSLPYKLRLANGTTSGGEGEALPRQTQAPRLSPIRAQSPAHSPKPQDSEWVVTIRGKLAQARAEEAACPWARLSVYRVPKTLREGDERAYMPQVVSIGPLHAGKRRLREMEHHKWRALHHVLKRTGHDVTNYLDALRPMEDRVRGCYDGRVAWMPSNEFVQCLVLDGTFVLELFRGALDGAKGFSDDLGYSRHDPVFAMRGAMHAVRNDMILLENQIPLFVLDLLLGIQLGHPEQTGAVASLAVRFFDPLMPTDTPMHRKDRCRLESSVNAGAEAAASFDPLSDPMLHCLDVFRRSLLRAGLQPTPPPAARLWLKKWSGQRRVADKRRQQFVHCVSELREAGITCRRRNTDRFWDIRFDKGVLHIPRILIHDSTKSLFLNLIAFEQCHMDIATPGGNNITSYAIFMDNLINSADDVKYLHDRGIIEHWLGSDAEVAELFNRLCLEVVFDINDSYLSGLSDQVNRYYDYKWSTWVASLQHNYFTNPWAIVSVVAGVFLLLLTTMQTFYSAYSYYRPPT >cds.KYUSt_chr7.28755 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179222600:179223305:-1 gene:KYUSg_chr7.28755 transcript:KYUSt_chr7.28755 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRSGGGDGEEEQRAEEKSWENEWQVGKGRERLEGEFSGEGFDGAAPAGNVSIAAFRGSPDEFRPAPTPPGPGDLRSATARPARAPPRARRVLVGLK >cds.KYUSt_chr7.21314 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132029899:132032170:-1 gene:KYUSg_chr7.21314 transcript:KYUSt_chr7.21314 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVCELVKEAQWPVLVNGFVCKNPMDVNADDFFKAANLDKPRITNKVGSNVTLINVMQIGGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPENKFLSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQMEKNTIDWLQAQFWENNHY >cds.KYUSt_chr4.9475 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57182943:57183347:-1 gene:KYUSg_chr4.9475 transcript:KYUSt_chr4.9475 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCAESDPPAGVNGKHRLNDDYVRLVLAMPREIPMQTEDLSFITDLAKLMNRSEDWIEERKKRYLERAALSQSNNELFVQFQDSMRKEWLDKGYVEMDCDFDYEAREAMVEELNRELCEQHKRDRLAQARRTI >cds.KYUSt_chr7.13585 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83818111:83818752:1 gene:KYUSg_chr7.13585 transcript:KYUSt_chr7.13585 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASTVSSWLCCPCRCLFCGLLSCIFSVVACILVVVGLVAIALYLLFRPHLVHATADTADLAGFTLTPRTWILRYNLSVALSLRNPNTRIAIHYHSVAAEAYYQGQRFSHVDLPDVDQDTGETTVVPVAFAGEFPLEGGVAAAGFRKEAIEQARFSVDLKITAKMKLKVWAFRVSGPKPRIDCPLTIQRRNASSPPGAAPPEFHPTECRVWF >cds.KYUSt_chr2.3824 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23066511:23073796:-1 gene:KYUSg_chr2.3824 transcript:KYUSt_chr2.3824 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALKRYFGYTGFRPYQREIIQKVLDGRDCLVVMATGSGKSMCYQIPPLVAKKTTVVVSPLLSLMQDQVMSLQQRGVKSDYLGSTQMNSSVSSEAEKGLYDVLYMTPEKAISLPSRFWSNLHSSGICLLAIDEAHCISEWGHDFRPEYKQLHSLRDHLVGVPFVALTATATERVRGDIATSLNLCNPHVAIGSFDRPNLFYGVKSCNRSMSFISELVKDVSKTCTVGGSTIIYCTTIRDTEQVHEAMITAGIKSNIYHGKMGGKAREESHRSFVRDEVLVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSFCWLYYQRSDFAKADFYCSEVTNATQRNAIMDSFMAAQKYCLLGTCRRKCLLQYFGEEHAADCGNCDNCTTTTKTERDLSKESFLLLSCIKSCGGRWGLNMPVDVLRGSRVKKLVEKNYDKLPMHARGKDYPPNWWKALGGLLMAHGYLKETTSDGFRLVSVSPKGAKFLSDGGTPLLLQPTAEMIEQEELGSSQHKEGGLNPLTTVDSEKISEEELKLYHMLLNVRTKLARDIGTAPYAICGDQTLRHFARIRPSTGARLANIDGVNQHFISRYSGTFVQHITQFSKELNLSLDDSSGVEETVSVSKPVNNNVPMKLGDARFTAWELWQNREYSFKKIAHFRRAVPIKEQTVIQYILDAARDGCEMNWSRFCEETGLTLEIASQIRLAIAKVGSRDKLKPIKDELPENVTYEMIKIFFTIDELGVSEKAFGGIPTDGARSAESPKSSSHESEAVENSNQGDSVIKADACDSSPSRKRSRTDGTPVSDDKQVKKLQKMDEQVTESTAPAVATEEAILELAESRGGVSLEDAVKHFSGSKRESVVEMLESLQCNFAVYMKNDCYLVL >cds.KYUSt_chr5.3700 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23898035:23898789:-1 gene:KYUSg_chr5.3700 transcript:KYUSt_chr5.3700 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGHVDVENLKATELTLGVPGAEETDKMPTPRAGSKRAHAGDHHEEEPKAALPAAKAQVVGWPPVRSYRKSCFQQQSSSKSKATAPGEVQKQEVPAVSVVAATPPAAVGSGGSLFVKVSMDGAPYLRKIDLKMYKGYRELREALEAMFLGFSNDAASVNPSDFAVTYEDKDGDLMLVGDVPFEMFMSTCKRMRIMKGSEARGLGSSKE >cds.KYUSt_chr4.43094 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266951432:266956695:1 gene:KYUSg_chr4.43094 transcript:KYUSt_chr4.43094 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPPREDPSSGVPSIRQRRLAPTVGLRRPEAGAGRVPPWEATTTPSAVGRVLYAGNLPIVPPDECWIPARTNPVKLSIVPVGGIHIFIGKTVDSDGNPLVSNATRPPRELDAVAKIRSEMRELPKEDSASDLEFLKPTQSAPERETTVEDQCRSAGSPGVRKRVTECFEELAYYGIQFNLVTFLKTILRQSNVSAARNYTNWQGTCYIAPLVGAIVADSYLGRYRTTLAFFAVYLMGMAAMSISASIPAACTGLDCLHQDASSSSSSQSAVFFLGLYMMAIGAGGIKPCVSSFGADQFDDGVPAERLKKSSFFNWFFFSIYIGSFVSGTVVVWVQDHCGWVIGLWIPTLFIALSIGSFLLGSSSYRVQKPLGSPLARVSQVVVAAFLKRNVRLPRDASLLHELLAKDTSMADDGTKKLQHTPVLSFLDKAAVISYTEELYSDPWRLCTVTQVEELKIVIGMLPIWATGIVFFSVLAQFSSTFLEQGRMMDTSVGAFAIPPASLASFDAVSVILFVPLYDRVLTPAARRFTGNERGFSELQRFGIGLFLSVLVMAAAAVVETRRLALDRTAAAPMCILWQIPQYLLVGASVVFACVGQSEFFYNEAPESMRSLSTALGLLTVSLGSYLSSLVVTVVSTVTTRGSEPGWIPDDLNKGHLDCFFWLIAALSSLNLAVFICCAKRYKCKNVS >cds.KYUSt_chr3.29092 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182053329:182053772:-1 gene:KYUSg_chr3.29092 transcript:KYUSt_chr3.29092 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSAPICAHPASTDTSAASPTAAPAFCISSNSVSAFSRPARVDVPRNHGAPRDVVSVRHCIEQLARGVHVDRAPSIAVRETGSGLAISAKVARKAPASPSAAYMDTAPLCTDPASRSTPTSWGAGVGDAAAVAREVAARMRKVSE >cds.KYUSt_chr2.8727 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54866678:54867256:1 gene:KYUSg_chr2.8727 transcript:KYUSt_chr2.8727 gene_biotype:protein_coding transcript_biotype:protein_coding MATASEHGKLVPLPEIPDSWDSSGEEDDESGSDMEFGGEEDDESGSDMEFGEFDLSGARNLLDQQTVAGYEDDDDDEDECGAQFSVRPFRGGALAQEMGNLQLSGFATRSDGPEITDQHELTSYDMRHLVHLALEGGGSMEHDEAYQRALAGGTPVSRVSRAAMVGQALQSTNQQQQRSKSPSQIFPMRTGY >cds.KYUSt_chr2.34207 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211365474:211365986:1 gene:KYUSg_chr2.34207 transcript:KYUSt_chr2.34207 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSGSAVSAPAARNMALGVILITSTIFALFVILGLVIYCLQYCINSSVRMLPSGGSGVLAASRAGDKGVDPELLRSLSITVYRAAAPKGSAAEDRVECAVCLSELKDGEVARFLPPCGHGFHARCVDKWLASHSTCPLCRVTVAKPGASLQAPTLTGLMPQTCRPVRC >cds.KYUSt_chr4.26568 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166804052:166808441:1 gene:KYUSg_chr4.26568 transcript:KYUSt_chr4.26568 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAQGEPSTSASVDDSQSVNRAEDLQLFPTIPALNQAASNLAEIASYFTQCLPVPGYAGIPEEGQELAILPPVSTSGRPALQTSSAELDGSSLSTTEINISGSTSQETSGQMAPFGVFQNGASLFQGLVDRARKTVRGSADDIGWLQRIQSLPSTEDGTTRFLEILDSVRKNEHKLPDSVVYLLVPGLFSNHGPLYFVKTKAYFSKMGLVCHIAKIHSESSVSKNAREIKEYIEEIYWGSRKRVLLLGHSKGGVDSAAALSLYWPQLKDKVAGLVIAQSPYGGSPVASDILREGQLGDYVMLRKVMEILVSKVLKGDLQALEDLTYERRKEFLSQHPLPPEVPVVSFHTEASITPSVLTALSHVAHVELPATAGNPARIPVVMPLSAALAACSQVLVARYGEKSDGLVTRKDAEVPGSLAVRPERKLDHAWMVYSSMNEEPGDQADTSQVCEALLTLLVEEMGNISGDALFLSWFTFPVFSEVQLANARAHSHVQNQACEMPRLHKLAGGGACHEPVFRSMVVVAIDGAKVIYRCTALLRSWSPLQRLEDRDLFMEVNLKKQNKQQDISNCGLSTFYPQFVCDPIVLRGLSELVYAYMTPYPNLAGFRDFDMVVCHFIA >cds.KYUSt_chr6.32415 pep primary_assembly:MPB_Lper_Kyuss_1697:6:204512165:204523745:-1 gene:KYUSg_chr6.32415 transcript:KYUSt_chr6.32415 gene_biotype:protein_coding transcript_biotype:protein_coding MQESGALLFPAINVNDSVTKSKVKDLSQADFGCSVSLCMACRAEFGFGPARAALHMIVVLIETLTARDSAAVFAWKGETPDLIVDDGGDATLLIHEGVKAEEEFEKSGKVPDPDSTDNPEFKIVLTIIRDGLKTDVRRYRKMKERLIGVSEETTTGVKRLYQMQESGALLFPAINVNDSVTKWKDEYEAALQEELQAQIDLNMNPEDENEAALQEAHQDGHHFDLNMNPEDENEAALQEEHQDGHHFDLNMNPDYSSTSRHVHTLITTRYDQNQNQRREDFAMLLVNNGGGWSLDGSGGLLLGEEDDTPHQPPDDKDATKQRRSPPWIRLGDSNEDRETRSGARERMSGGRTEETPFGQDN >cds.KYUSt_chr6.4463 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25747407:25753920:1 gene:KYUSg_chr6.4463 transcript:KYUSt_chr6.4463 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEVTVVHHAALVLAALWAAAHAGWAHPAIFLAALVYLFAVNERYTMRLRKRLQYEERKSANQRRLLSDAETVRWLNYAVEKIWPVCLERIASQQFLLPIFPWFINKFKPWTARKAEIQNLYLGRNPPMFTDIRVVNQSTDDDHLVLEIGMNFLAADDMDARMAVQLRKRLGFGITANMHITGMHVEGKVLVGVRFLRQWPFIGRVRVCFVEPPYFQMIVKPLFGHGLDVTELPGISGWLDRMLDVAFGQTLVEPNMLVIDMEKFASESESAGNWFTVDEKPPIAHAKVEILEGADMKPSDPNGLSDPYVKGHLGPYRFQTKIHKKTLNPKWQEQFKIPITSWESLNLLSLQVRDKDHIFDDALGNCSVSINKLRGGQRHDIWIALKHIKTGRIHIAVTVLEDENGKVPNDEDEQCGTPKEGKASTPRSSISSRTNTESATSAEYQKMSDEYEQVDIEGLEKAGVWVHRPGSDVASTWEPRKGRVRCQDSQILRENDACSDSPRSSMSDSQTSNSSTEEPASGRSHRHIRKVKKGLVKLAGAMRRKSPKNGSDDETSLCETPRPNIQPFGESRVSVTYVVDEGAGNNRTDQRPDDQHSSPERGEVESPTKRQLRKKAVHLVKHAGKTAQSLKSMLSRKGLDKGKEDEEDEEDDLDVMRVDSPAHDRTE >cds.KYUSt_chr4.21576 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135779254:135782785:-1 gene:KYUSg_chr4.21576 transcript:KYUSt_chr4.21576 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCPRTISIESTAIFIVIADFHDEECYSSTIELLKWFYYVISGDNLSNGVQPRPVAAIASTSALASAAYLLPGGSGNDEADEIDADRRIPSPTPSDLKLLPPDELELELKLDADANPNPALFLLPFLRSMGSPKHPNAAVSASGVAAPYSVTAARGAASMKAVGGARRLPPAYA >cds.KYUSt_chr4.17903 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112189734:112191033:1 gene:KYUSg_chr4.17903 transcript:KYUSt_chr4.17903 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLSRLENRRGYPRRTFSLAATRRWNSGRRREWNGGLRRRHHGNHWVPRRRFSPGGGLRRRLRSNRLRGNRWAPQQHNRHGNRWLQRHRYHGEPGPSTTAAVRREQTPVVAAEPAVVVAAPEVTAPEVAAEDVVDAVYENEASASNVTADADELLPPPPAFTVPPMDWLLGGPSAGWLANDPERDDDELEIPSPMLRYFKRHGNRSPTPSDEVAEQFAPLGYADMTEFFEPPAAAPVDALPPALTTNLQTEMEGNEAVATARARALVPDLNLPAA >cds.KYUSt_chr1.2180 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12685402:12688957:-1 gene:KYUSg_chr1.2180 transcript:KYUSt_chr1.2180 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYGPAHVGPYQPGPSINLAVWPSASATRAKTSAAASSSAAAAAMVLARKKLKQRIRTLLPGGDAEAGAGAEVKKLKVRLVSSKRARPKRPKKPTPAPVVVEEEGEAEKEVEEEQSDEEPAVDEAALALKAEVERRREERRKEKKEKRRVRRLLEAEAAASKKPDAEEAAVDQESEVAGADPAVPDDSEHNIDIAVVEEKANNLDIAKVEGKVVKIKLEEASQEAGSDNPIVSHDSGQSIKKVYVGGIPYYSSEDDIRSFFEGCGSITALDCMTFPESGKFRGIAILTFKTDGAAQRALALDGADMGGFFLKVQPYKANREKVKSNHEKEDFAPKMIEGYNRMYVGNLAWDITEDDLRRFFSDCKISAIRFGTDKETGEFKGFAHIDFSDSTSLAVAMRLDQNVIKGRPVRIRCAVPKKETQKSDDNANSDSSKNKIRTCYECGTPGHLSFACPNKKASEVEPSEKKANVDSAEASSKKRRTCYECGVPGHLSSACPNKKASESEAIGDENKANIGTATTSSKKRRTCYECGVPGHLSSACPNKSAAEVVSNTQTFDEPKIAPSIAYEQNKIGDGSNSAPSKRRKCYECGISGHLSSACPNKKATETNFDEAKSVGDSSTLPATTSGEKKSSDDTSSAPPKKKKRRTCYECGIAGHLSSECPNKAAA >cds.KYUSt_chr2.46574 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291097489:291103447:-1 gene:KYUSg_chr2.46574 transcript:KYUSt_chr2.46574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P-type ATPase, Xylem loading of coppe [Source: Projected from Oryza sativa (Os04g0556000)] MAAASRALFLACLRGGGSSEASGPLVLRPRYPSMPRRTKAAAVAGDLEAAAWAAEEEEKVAVFEVSGMTCAACAGSVEKAVKRLHGIHDAAVDVLGCRAQVAFYPAFVSEEKIRETIEDAGFGAKLIDEEVKEKNILVCRLHIKGMTCTSCTSTVESALQVIAGVQRASVALATEEAEIRYDRRVVTATQLVHAVEESGFEAILVTTGEDRSRIDLKVDGILDERTVVLVKSSVQALPGVEDIKIDTELQKITISYKPDQTGPRDLIEFIESAASGHIAVSIYPEADGREQHRNVEINRYKKSFFWSLMFTVPVFLTSMVFMYIPVLKDGLDKKVINMMSIGELLRWILSTPVQFVIGRKFYTGAYKAIRHGSPNMDVLIALGTNTAYFYSVYSVLRAATSETYMATDFFETSSMLISFIILGKYLEILAKGKTSEAIAKLMDLAPATATVLMFDHEGNVASEKEIDGRLIQKNDVIKVIPGGKVASDGFVIWGQSHVNESMITGESRPVAKKKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISKVFVPLVIFLSLLTWLSWFLAGRFHSYPSSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPIVVNTRLLKNMVLREFYDYVAAAEVNSEHPLGKAIVEHAKKFYSEENHSWPEARDFLSVTGHGVKANISDKSVIVGNKSFMLSLGIDIPVEASEILMEEEDNAQTGIIVAMDQEVVGIIAVSDPIKPNAHEVISYLKSMKVECIMVTGDNWGTAKAIGREVGIENIMAEAKPEHKAEKVKELQLSGKTVGMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNIMGIPIAAGVLFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKRPLVIQERQT >cds.KYUSt_chr7.18921 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117275417:117275869:-1 gene:KYUSg_chr7.18921 transcript:KYUSt_chr7.18921 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSNSHGVQETRIPIGEKVRMTNERLDADFSRIGTKLHRFPRGLRSIGDRYIVPSFVALGPYHHGLTHLQEAEEVKHAAAHDFCARSGHSVEEVHGKIDRLDRRCGGVSIGAAMSPCVVVGVLLCGGVRSRPGPSCEECGCGDVVMAYG >cds.KYUSt_chr6.28175 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178598076:178607037:-1 gene:KYUSg_chr6.28175 transcript:KYUSt_chr6.28175 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRKIAAANGFGCGSLTVADAWALYNARYPVPPDMRLPSSGGWKMTVNGIGVPPPPKPRTDQWRDAIKARRAQLTAEERLDPTWAANNNDAWWTTYFQAKYDVEMHSTDGLVGGPNSWNKDGRALFWGVPGRTLENVIRGIRNGAPRLEMPSSPPPSPQWQPRRTTYSSSLHSSSSGPARSTPSSSYRSAPYTVPKQEVKEEPATPVNTRSGGSGSRRQQGRRGAALLIPKPEVKEEPEEASQAALLVEYERQKRLIASSDDPEDCPRLRAAFLASMNDKDAWRGDLDAAISMSIRDSGKPLVDLSDDGEAGPSGLVKDEPVDEPVGERVKQEVVSDDMYNFQQYYDTSVRRKWFQIRAEFPSPSTRWSFQKSRYVGERACGRVAEMSDVTVRLVLAAAVMAVSLVMASSASTSSSSPSAPSHDYGDALRKSLLYFEAQRSGRLPYNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSIIEYGDQVAAAGELVHALQSIKWGTDYFIKAHTAPNELWTQVGDGDSDHYCWQRPEDMTTSRRAYKVDAEHPGSEVAAETAAAMAAASVVFRNAGDAHYAHLLLHHAQQLFEFADKYRGRYHESVRVVKSFYPSSSGYKDELLWAALWLHRATGSRRYLDYAVDNADDFGGTGWGVSEFSWDIKYSGLQVLASKLLLEEKDLSSEQRLVLEQYRSKAEFYVCSNMNKNPGGAARNAPRTPAGLLFIRAWNNLQYVTNAAFLITVHSDLLSSLGEPLRCLPDDDADTSDTGGEAAAEVVVPAAEVLAFAKFQADYILGTNPAETSYLVGYGEKYPRQVHHRAASTASVKQEKGFIGCAQGFDDWYSAGGDNPHDLVGAVVGGPDGKDRFRDERGMYIHTEACTYNTAPMIGVLSRFMEIQRQQGEQDL >cds.KYUSt_chr1.23744 pep primary_assembly:MPB_Lper_Kyuss_1697:1:141488246:141488506:-1 gene:KYUSg_chr1.23744 transcript:KYUSt_chr1.23744 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKNNREVDDNIANFIKLLYQKDRKETKGGQPYWPPPALPTPDLAKGAARRSVVINGREDLLATASPSPHFSLPADRGRLVSLR >cds.KYUSt_chr4.19334 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121483036:121485067:-1 gene:KYUSg_chr4.19334 transcript:KYUSt_chr4.19334 gene_biotype:protein_coding transcript_biotype:protein_coding MASWWCRLLCPQPKQVASESVHGARLAAQRLCRRCDACDVEAGEPCKCARGQEEEGEKVAGDGRVVAVAMTGEQHYSKPTSTFVQSVINMVGMLIGLGQLSTPYALENGGWASVFLLVGLGIMCAYTAHIIGKCLDEDPSSKTYQDIGHQAFGSKGRVIASAFIYLEIFFALVSYTISLSDNIPLVFAGARLHLPWLHLSTTQLLTIIAVLVALPSLWLRNLSSISFLSFVGIVMSMIIFATIVCTAAFSNVGLGKHIPALRLDRIPAVSGLYMFSYAGHIVFPNIYTAMKDPSSFTKVSITSFSLVTVLYTALAFVGASLFGPTVNSQVTLSMPPRLVFTRVALWATVLTPVTKYALEFAPFAIQLEHHLPATMEPRARVIIRGSVGSAGLLLILALALSVPYFQYVLSLTGSLISVAISVIFPCAFYLKIRWGGLSRLVILLNAAMIALGFVLAVVGTASSAKLLAQSIQSGHVA >cds.KYUSt_chr2.35738 pep primary_assembly:MPB_Lper_Kyuss_1697:2:220891632:220893842:1 gene:KYUSg_chr2.35738 transcript:KYUSt_chr2.35738 gene_biotype:protein_coding transcript_biotype:protein_coding MQPARQVFEAMLPLDRSLVAWTAIMSGYATHGPASEALVLLLRMMELSLRPDGFVFSVVLRACAAVGSLRFGRQIHCAAAKMGYVGSDLFVANGLLTMYASCQSLGCAEKVFDGIAVPDLVSWTSMLSGYTENGCHTEALMLFLEMAHASIGCDAFSLSVALRAASSLANRSLGHQLHCCIIKSGFSKSGFLENCLIQFYGRSMELQLMQKVFDGMDNKDLVSSNTIIQCYADNMCDEQALSHFRAMMFEGTECDEFTLGSILHVVTRIGAIHHGMEIHGYLIRAGLDSDEHVMSALMDMYVNSATLHKIQCMIPLRMLRYYLLVQGKLDQFILASSLRLCASDLDLAAGKMLHAYILKFNMNADPFVTSSLVGMYAKCGSLEESHLLFSTTRNPGTAAWSAVISGNCLNGQFERAMHLFRRMQLEHVQANEFTYTSVLTACVALGDVSGAMEIHGNSIRNGYGTNASVVQTLIRFYLRQGQFQHALNLCLSLSNHEISWGALVKEFAQDDDHIGVLNLFRVIQRSGGVLDYPTALDILNSCGKLELLSEGLQAHAYLTKRGLASKPCISNHLVDMYSNCGSLKHALDAFRYISDKNASSWTSVIIAHLENDSPEAAIDLFVQMLRREKIPTSLAFLSVLKACAEIGLVTEAFQFFVSMTEVYKIKPSKGHYSHMIEVLGHAGMFKEAEHFIDSVVPSDSSASAWSLLCSAAKRNGNTKVVKLAMDKLACLVPYDC >cds.KYUSt_chr3.31290 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196615928:196616335:1 gene:KYUSg_chr3.31290 transcript:KYUSt_chr3.31290 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKATVEDTDMPAKMQLQATSAASRALDRFDVLDCRSIAAHIKKEFDTIHGPGWQCVVGCSFGCYFTHSKGSFIYFKLESLRFLVFKGAADEQPRPC >cds.KYUSt_chr7.11871 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72886738:72889721:-1 gene:KYUSg_chr7.11871 transcript:KYUSt_chr7.11871 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSQPQVCVTGAGGYIASWLVKLLLSRGYAVHATVRDPCDRKNAFLKQLDNAPENLNLFQADMLDYDTVAAAFSGCEGVFHVASPVPIDKMVDKEASTYSLDGDWLIFRLANIWYALAKTEAEEMALEYAEKSGLHVITFCPGAVFGPLLQSDVLNITTKYLRYIIKDLIDLIKSMYPNYGYADKLVDVDYKLAMSSDKLKNLGWQARTLDETLADSVESYEKSGILQDVDGQPCRVPYFYRMPPIQE >cds.KYUSt_chr4.12763 pep primary_assembly:MPB_Lper_Kyuss_1697:4:78608985:78609233:1 gene:KYUSg_chr4.12763 transcript:KYUSt_chr4.12763 gene_biotype:protein_coding transcript_biotype:protein_coding MIAESAVELVSLLAPVLVVLGVAAVVASASAGGGVREVEAQVDAQAREWAQFVFGSSEDADQHVDGARGRADSQWSVEGLSW >cds.KYUSt_chr4.42795 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265091220:265096588:1 gene:KYUSg_chr4.42795 transcript:KYUSt_chr4.42795 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRRAAPLLLPLLAAVLLRAVAGGCERSCGGMALPYPFGFSSGCTIPLRCDAATGAAWLGGARELGMRVRNVTARALFLELLPDCSRPFNASVNALFSHTYAPASGNTLVVSSCRPVDAAASIQNCSAQPPDQYMNRTSSHCAANEPIRCILPPPRPPAPGNTSGGGGHRFLSKREVLGSGCAGLVSAVSYSDAQAQGPSLLLGKLELDWWVPGRCRCAHGANCTQFIAPTTGEQAFRCRCPEGLEGDGFVDGAGCKAVSISKCDSSKFLSADCGKKVLVALVMAGVIFGALVMGLTCVVCHLLKRRSASIRSQQSTKRLLSEAECTVPLYSYREIERATSGFSEDHRLGTGAYGTVYAGRLSDNRLVAVKRIKQQRDNSDGLDSVMNEVKLVSSVSHRNLVRLLGCCIEHGQQILVYEFMPNGTLAQHLQRERGPAVPWTVRLRMAAETAKAIAYLHSEVHPPIYHRDIKSSNILVDHEYNSKVADFGLSRMGMTSVDSSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKVVDFSRGPSEINLAQLAVEKIGRGCVDDIIDPYLDPHRDAWTLTSIHKVAELAFRCLAFQSEIRPSMAEVADELEQIQVSGWAPSTDDAAFMSTTSSLCSSAPSRGTDKPLAPDRSRREAVASSPVNALVAQETVKGAVASSPVSVQERWFSDRSSPSSSSLLGNNSSLH >cds.KYUSt_chr4.13241 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81465013:81471543:-1 gene:KYUSg_chr4.13241 transcript:KYUSt_chr4.13241 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNFLRPPAAEDTGKSVTFPNIGDANCTNLSSSTGSSSSSLSLDPPDFLSQVHAACKRQRPPGSAQFKPPRATRVLLSGGEGPTKAGPSPSVTQNSEGKAMQKQRGVLRASRLQNVVVPDQKNVNTTAVLPASNQNELLTTPTMLSTVTDTHNQNGSENDQQKVEDDLLVDRNKLSLNVSSSQMTSRNASVGIGDDFPVAQSTHDQHGENQKLEIAGAASEMDVKYDAANLSQIGVEEASNQNHGEPMTRCSVIGSSVTAVSMFSGQNTRVPRNNQYASPMHMPECTVESSAAIPGSVPPKQQAAAIPSSAGDWNPPNQQVPNLANYAMEKATPGNENGLPSQGQRLPANDQSTSARDGGTSQASGSQKERRKNNYGPNPFIKVNGKMYQTLGKIGSGGSSEVHKVISKECAIYALKKIKLRGRDYPTAYGFCQEIEYLNNLKGKSNIIQLIDYELTEQLPVKDFLNNIFSSNVNTIHEERIVHSDLKPANFMLVRGSLKLIDFGIAKAIMNDTTNIQRDSQVGTLNYMSPEAFMCNEQDSGGNVVKCGRPSDIWSLGCILYQMVYGKTPFADYKTFWAKFKAVTDRNHVISYEPVDNPWLIDLMQRCLAWDRDERWRIPQLLQHPFLVPLVPGHLPRIDRDPCNLLMERFRVHCANPEVSRLCSELQVVIAKLEEDQGSPTAKHSPEKG >cds.KYUSt_chr6.464 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2896821:2899492:-1 gene:KYUSg_chr6.464 transcript:KYUSt_chr6.464 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIHRESAAPSRHQSRVVKLAPPRRSEGQATSPILLSCRHEQLAATVRSPSQDGMNQLMGKVWDWIMYGTKRNKFDGSVLVANEEDGGRCQEDVRALREDRGDDPKDVSSGPESSEGSDDFPHGHRVDGTVDLVPIGPREILMAYGDIGLEIFYFTAVGDEGDVISVDEGDVISVKEGGLRPFFPPIRAQWSVEYGDELEEYTQTICAGPGRNLDITYLLIPDAAQTNVEVRLKLKDFGSTSRTVYGKIRAKAIDYGNKSVHLFSCDRGRRLSFPTGTTSILPLEPPRIALPRSRLLKFHIEVDLTVITTYDSHEEDKNLKFSLEFTRGITSHEREVDDDQVEVKVKHYWDY >cds.KYUSt_chr7.39924 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247943580:247955849:1 gene:KYUSg_chr7.39924 transcript:KYUSt_chr7.39924 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPLDPSLATATAAAARPRLVPAPSAAGALLQRSGALLLRARRRGFSCRAGPAASEITKEKSGVDPSKLDVVLLRVCLEHQVKYGEHVGIIGSTEELGSWKKHVELDWTPDGWVCQLELPGETLVEFKFVIVLKGGKEKTWEGGNNRAIELPKHGAFDVVFHWDETEEPLDLSGTSKVDRVSRYVVPEKVGDASVAENGDSAFGGQWQGSEAVFMRSNEHGSKSTDRRWDTAGLGGMALKLVEGDKASRNWWKKLELVRGLLSEPVDDQSRLDALICSAIYLKWIYTGQISCFEDGGHYRPNKHAEISRHIFRELEKMYYIKGTSPEDVLVIRKIHPCLPSFKAEFTAAVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRSAGPEDLIATEAMLTRITKNPGEYNEAFVEQFKIFYGELKDFFNAGSLFEQLESIKESLSESGLEALSSFVKTKKSLDEVEDVKVLMKTLQALSYLRSVLMKGLESGLRNDATDAGIAMRQKWRLCEIGLEDYSFVLLSRYINGLEALGGSASLAQCVARDTSVWDDTLDALIIGINQVSFSGWKPEECIAIGNELLSWKQKGLSESEGSEDGKYIWALRLKATLDRARRLTEEYSEALLSIFPENVKVLGKALGIPENSVRTYTEAEIRAGVIFQVSKLCTVLLKAVRAVIGLSGWDVLVPGETHGVLIQVERIIPGSLSSSIKGPVVLLVNKADGDEEVKAAGDNIVGVILLQELPHLSHLGVRARQEKVVFVTCEDDDRIAGLRLLEGKHVRLGASSNDVDLSVVSDENVSSISSEPSSTQKPSNEFSLPLATDKSSYISEPTSGENDSSGVLELSEASIESSGAKAKACGTLSVLASLSSKVYSDQGIPAAFQVPCGAVIPFGSMEDALKKSGSLESYTSLLEKIETAEIENGELDSLSSELQSTVSLLSPSEETIESLKTIFSKDGRLIVRSSANVEDLAGMSAAGLYESIPNVSLSDITSFGSAVARVWASLYTRRAILSRRVAGVPQRDAKMAILVQEMLEPELSFVLHTVSPSDHDTKVVEAEVAPGLGETLAAGTRGTPWRLSCDKFDTSVTTLAFANFSEEMLVLNSGPADGEVVHLTVDYSKKPLSVDSTFRKQFGQRLAAIGQYLEQKFGSAQDVEGCMVGEDIYIVQSRPQPL >cds.KYUSt_chr4.43302 pep primary_assembly:MPB_Lper_Kyuss_1697:4:268412451:268416828:-1 gene:KYUSg_chr4.43302 transcript:KYUSt_chr4.43302 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTLPSGMVPLRRIFILSVRALHIGIAPSGPSPVAMVLTLASRRWRRTRLLLLGFLVGASSHAPSNTTLHSVASPRHPPSDYTGPPTTAPMDDAGPPPAASVDHGGASGTGKSFLGLSTPLEIAVAAAVVLVLVMSAATIAAYLTRRRGAKRPQSSRVDHALSSGSSLLPTSTPKQQQPKYVEVGGAEVGTSSSDVASSSAAASSLDSPVKRKVGRISGAAPGVEMGWGRWYELEELEAATGGFRQANVVGEGGYGTVYRGVLADGEVVAVKFLFDHKGQAEQEFKVEVEAIGRVRHKHLAGLIGYCAEGPKRMLVYEFVENGNLEQWLHGDVGPVSPLAWETRLNIAIGTAKGIAYLHEGLEPKVVHRDIKSSNILLDKKWNAKVSDFGMAKVLGPGSSYVTTRVMGTFGYVAPEYASTGMLNESSDVYSFGVLLMELVSGRSPVDYNRPPGEVNLVEWFKGVVGSRRVEDLLDPRIQPAPPPRALNRVLLVCLRCIDSDAHKRPKMGQIVHMLEGDDFPFRTVSSSLLARSITQCHRLDQIAEQMDASFWDNAGAPFAEGGSPAVHQRADVASGREGRGR >cds.KYUSt_chr4.11215 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68153584:68164677:1 gene:KYUSg_chr4.11215 transcript:KYUSt_chr4.11215 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTRPKYCFGIKSKSNVFDFLAMLNNVAAEGVGIRFLGADDDDDSGVASMGIAAPPCQTTAHVSQPKSTAAIHDGRRPATASSMSHSQGFHSGVYGFSSDGFDRAGSSQQDQQQQEQQHHVAQQSRRDKLRVQGFDPAAAGLLPIDGDDHGAEPGAMYDHAAAAGASNMLAEMFNFSAQTPAGPSATELLASQMNANYRYGFRQAPGGVVAGLASGDGGGWFGSGGPGGANLLGETSSPKQPGGIAGLATDPAAAMQLFLMNPQHQHQQSRSSPPTSPPPSDAQSAIQHHEAFQAYGAGSFGGGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQQAPSVQQQLPIALHGQVGSLGQQLHVGYGPAGVAGVLRNSKYTRAAQELLDEFCSVGRGQTIKGGGGRGAGAGGSASNPNKGGASSSGAAQSPSSASKEPPQLSPADRFEQQRKKAKLISMLDEAPPAGDAVALAGVVQVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIAGQLRQSCELLGEKDAGTGSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVSILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQETKELEGSSAGGGAGGPESGNDPSGADDMHSPTTTGAMQHSHLQPQGVVMQHGGGRYGIQQEHGMPGIHPHKLDPGAAGPSAADAAFVGLDPVELLGGDAHVGAADDLYGRFEPGVRMRYGPATTGAATGDVSLTLGLQHAGAGNPGPDASGRFSLRDYNGC >cds.KYUSt_chr3.2530 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14529389:14531326:1 gene:KYUSg_chr3.2530 transcript:KYUSt_chr3.2530 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSTSSALHLLPPLLLLLITTSEATTFYITNRCPYTIWPAAVPIGGGMQLDPGKAWTLNTGETNSTRLWARTGCSFDGNGTGTCQTGDCGGLLACKAYGRPPNTIAEFRLGVVNDQDYFDISNIAGFNVPMDFLPLAAKGGGGCSKGPRCTANITAQCPSELKVPGGCNDACTVFNQDKYCCRGSAASNCSPTNYSVFFKQMCPDAYSYPADDMSSVFNCPTGTSYQVVFCPLTSEAISPTAEVTPLSPSALPAPIGPTSKKSKFSVRRVAAILAPVVGFILLTVLFLTIFFIRKRRLQRQHQMEEEEEFEGLQGTPMRFTFKQLKVATDQFTHKLGEGGFGSVFKGQIGDERIAVKRLDRTGQGKREFSAEVQTIGSIHHINLVRLIGFCAEKSHRLLVYEYMPKGSLDRWIYYRHENDAPPLDWSTRCKIVTHIAKGLLYLHEECTKRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDMSQVVTRMRGTPGYLAPEWLTSHITEKADVYSFGVVVMEIISGRKNLDTYRSEESIHLITLLEEKVKTDQLVDLIDKNSSNMQAHKEDVVHMMKLAMWCLQIDCKRRPKMSEVVQVLEGTMNADTNIDHNFVATSQANFGIAEDVKSSALPLASEVSGPR >cds.KYUSt_chr5.41106 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259394914:259396623:-1 gene:KYUSg_chr5.41106 transcript:KYUSt_chr5.41106 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLKPKHHLSPTFRFLLRRLCSTEPVPATPPPPTPTEATAEPPLAAAPPPTPTEPTGEPPLAAAPPPTPDSPPPLTPAETRLLDSLHAAIVDHSRANPSPALPASLPIEPLPTFSSTLTSLLPSPPAPHLPLHLLARLLALRRGVPFPEALTFFHHALPSLPADSLPAFYAAMIDLLAKHHHFPLARNLLDEMRSRSIPISSQLILALIRRYVRADMPSEAAELFRRMEEYGAGVPDPAVALASLLGALSRKRLASEAQALFDSYRSVFPDVVLYTTLVHAWCRAGCLDKAERVFAEMQQAGVTPNVYTYTAVIDAMYRAGQVPRAQELLCQMMDNGCNPNTATFNAIMRAHVKAGRSEQVLQVHNQMRQLGCDPDIITYNFLMETHCGKGQSNLDAATKLLAKMVAKGIVPDCHTFNPMLKLVLCTGNVDAARKLYERMQELQCKPNVVTYNLLMKLFNKDKSMDMVLRIKRDMDAQRVEPNANTYGALIEMFCGRGNWRRAYAALREMVEEKSLKPAKPVYEMVLSLLRKAGQLRKHEELVEAMADRGFIKHRSEDALWNAVSAS >cds.KYUSt_chr4.16033 pep primary_assembly:MPB_Lper_Kyuss_1697:4:99301461:99302019:1 gene:KYUSg_chr4.16033 transcript:KYUSt_chr4.16033 gene_biotype:protein_coding transcript_biotype:protein_coding MHIDGLDPLDPFQEDPSDEVGSDREDRDQKSRHVLRRLRSGEIRYLLGPGRFKCPWCSRKEMPTDFLGMYQHATYTGVGSGQTPAHLRAKHAAYGLFLKKYAPRQ >cds.KYUSt_chr7.6108 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36566894:36567670:-1 gene:KYUSg_chr7.6108 transcript:KYUSt_chr7.6108 gene_biotype:protein_coding transcript_biotype:protein_coding MREKPCRVVLAATQHHPACPLLSARSGSFPPREARPSPPRINGDSSREGYAEKALSSRSLYRKSLHACRTPTWDVSRRWLLRNRAYRPSPKTTTKHHSCRHPGRTASLEGAPAFGLFSEASTTRIGLTPPHSRRAHKVAIALTIGDEMMVEQLMQDEAEAATERKKCLLILVSLLRLRAKLLPPRSGDSVKGKRKNMDHHWMAGDEMLEDDYFKDGVTHGPKTFGAAFE >cds.KYUSt_chr2.44136 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274453657:274457123:1 gene:KYUSg_chr2.44136 transcript:KYUSt_chr2.44136 gene_biotype:protein_coding transcript_biotype:protein_coding MPMASSRAAASFALCVFLLVAATAVSATSSLDGEKSAAKGKKGMAAVDVEWRQATATWYGDADGDGSTGGACGYGSLVDVVPMKARVGSVSPVLFKDGEGCGACYKVKCLDHGICSRRAVTVIVTDECPGGGLCGGGNTHFDLSGAAYSRMAIAGAGAHLRDRGQLKVVYRRTACKYGGKNIAFHVNDGSTSFWLSLLIEFEDGEGDIGSMQLKQANSAQWLDMKHVWGATWCLYGGPTAGPFSVRLTTLSAPKTLTARDVIPKNWAPRGTYTSRLNFDTTL >cds.KYUSt_chr4.17672 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110686771:110698352:1 gene:KYUSg_chr4.17672 transcript:KYUSt_chr4.17672 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAMQPAHASSLQGEGDDNQPPPPEAPREPDNPTHDAEMKEVTEEEAPAAVEVQEEVKNQEEEDGDETKGDEEEEQGGRGKKRGRRGAGGARELQVMVKRELLAACMTCHICNRLLRDATTISECLHTFCRKCIYKKFNDEEVESCPKCGIDLGCTPVEKLRADHSLQDVRSKIFPFKRKKINAEDAASPISPPNKIKERSISSLVVPAPRLTPTGSTGRRSRVVTRKAAAALRGVGPTTDNPVKKENDSSDKNAHSSSVPANLGKVPKTKRQILSNEEASNHSSIKDTEDDSKDMADNAELWRPLNCLVEAANRTKSIRSSLQSSAVKREQLNGSPSSTYGNKTKPKEHLKKSKLEDDKKDAPVTPVRLNKKLQGTGRRKRGLRAPVDKKPDGALTQNAKRFSSIWFSLVASFEQKGDPPLPQIPSHYLRIKDANIPASSIQKYLVQKLSLPSESEFVNGSPIYLLLYVDDMLIAAKSKKEITTLKSQLSSEFELKDLGAAKKILALQCPSTDGDIEYMSRVPYSSVVGSLMYLRGTSKACLKFGKIGEGLIGYVDSDFAADLDKRRSLTGYVFTVGGCAGDGTEAQVTWEDQQNINRFGRLNNRLHELADEIRLAKEANENLEDAGNELILCDEDVVRFQIGEVFAHMPMDDVETRLEQMKEDAAKKLERLEEEKESILAQMAELKKILYGKFKDAINLEED >cds.KYUSt_chr1.18208 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106317101:106319908:-1 gene:KYUSg_chr1.18208 transcript:KYUSt_chr1.18208 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVSSGMHNATTTAAFLGSSGLASQLPELHTVEVLVAVSIFVVIHSLRQRRSLGLPSWPVVGMLPSLLLGVRGDMYEWITGVLKSRGGTFTFRGPLFTNLHCVVTADPRNLEHLLKTKFGNFPKGPYFRDTVRDLLGDGIFGADDEVWRAQRKAASLEFHSAEFRALTASSLVELVHRRLLPVLAETEAAGAAVDLQDVLLRLTFDNVCMIAFGVDPGCLQKGLPEIPFARAFEDATEATIVRFVTPTAVWRGMRALGVGHERVLRRSLAGVDEFAYDVIRKRREELAAAAAGREDATAGLKRADLLTIFTKMRDADGEAVYSDRFLRDICVNFILAGRDTSSVALAWFFWLLSKNPGVEAKILEEVEGIVAARASCGEVEEELVFQPEEVKRMEYLHAALSEALRLYPSVPVDHKEVVEDEVFPDGTVLKKGTKVIYAMYSMGRMESIWGEDCREYRPERWLRDGRFLGESAYKFTAFNGGPRLCLGKDFAYYQMKFVAASLLRRYRVNIVEGHPVTPKMALTLFMKHGLKVTLDKRDKAKL >cds.KYUSt_chr3.41401 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261166996:261167454:1 gene:KYUSg_chr3.41401 transcript:KYUSt_chr3.41401 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEPYKSSAAESPSQTLRLETMDPPHPSHHHHRLHLRLDPGHHHRIHIHLCHHTAHLLPAAASCAHFQQQHPQSLVPGPPSAAPWHPEGGPITGPQVEAARESEETALQAGQGEDVYLEGEEEDEEEPVFVLTDEWAEFFAKADAKRKLGE >cds.KYUSt_chr5.36954 pep primary_assembly:MPB_Lper_Kyuss_1697:5:233754119:233755750:1 gene:KYUSg_chr5.36954 transcript:KYUSt_chr5.36954 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVPTDVEEEAPMEEEEAEAEETEVEDGDDGEFEWSDDDGPHPDETADRQRALVESFESEKKLQDDTRALEEAHIRRAIELSLRAAQTRDGGGRAAGAAPSAAAERKERRRAQEELRRSATESKPSSELQQLSNMWSKAVDKARKVVNKKARELKQKMLWRRLMSFQKELTKVAIEIYTCMLVFLICFLASKDIPAVCEKAMACHRLALQCNKAISRYNLPQKTVFAGSLVREKLMVSFALLRHSVNRLVDTCNKTSSEYKLYDKLLVAWVKLQDAGTQAQTLSIICDNLEKLLLVLQRLMKGK >cds.KYUSt_chr2.3538 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21170555:21185188:1 gene:KYUSg_chr2.3538 transcript:KYUSt_chr2.3538 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRELDLSDEVEGEQDCTTDFVFRLAGDPIPVLPRASAPLPLFDLQSPPARPLAVSNRHAAVFLAHPNGFIAARTKALIEASKEAREKGKASTRCAQDCCVADVPLPAVSLLALSRDESVLAACAGSDIKFFSVTSLLTDKDVEPSSSCSLGQSGTIKDFKWLNHAYIVLSNGGLLSHGSLGEEDLKDVMENVDAVDCSKDGNHIAVARKNSLRILSSDLKETCCMALLFQLCSESDSEGTDIKVDSIGWVRDDSIVVGCVRLDEESNEVGYLVQVIRSGGNTFFESSSKPVVFSYDFFGGIMDDILPSGAGPNLLLGYLHRWDLMVYSNKKSTDDHISLLKWPSITDDERTVVYLEMVEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVLVGPEQKEVAPQHLLLCLTSEGKLIIYYLARISDPSDLPQTSLSSIEDSNVNKQISPATVSGKDLTPSVTSSMAKSLLAEPGAEPSSAHADQHGSRDAESSPSVSKNKDIAGSSLSITSDKKSLNTKQVNMDSPFAPPLSSAPTSNTKPGMPFSFSTANNVGLNSTGSKGSSELISSWQPNNSSSFANSQLGKDGLDSAKPLGAFGGSQNTTKGGGSLTFKSSLFSSDGSGPVKTAERNEGTGFGSYSAQTSYTTEKKVLGSSAGLSPAPSLPISPNKLAGSSSAGFRAGNLEVLPTSHGSPLPQQSIGKSHNNRTHTSADSRNVKLGKMFDTEQDLSKKFYSINDMTEELDTLLAYIEKDGGFRDACITLQQRPLSVLEGDLQNFLELLQVFKNKLEEQCLKADDLRNKMFQVSARQAYMKGILSQSSDTQYWDIWNRQKLSPEFETKRQNILKANQNLTNQLVELERHFNNLEMNKFGETGRVASSRRVVYSNKPRSSQTQLSSVYNALNSQLAAAEQLSECLSKQISVLNISSPSKKRGTVTKELFESIGLAHTTDATKFSGVTPSKLNQRFPSTKEHAKGMLGPSKSAEPETARRRRESLDRSLASLEPQKTTVKRITQHQRLKISSDLPFRSNKKMFDSQMAAISRETLSGSPSSSLVESYTSRLRSPAEVLDEKTKPSEPQHNSLFKWVKEPAGPSQGPEQKHLELPGRIKSADLSSKVVTSSPASFSYTQKDTRDRISSPNVSPFGTTHTVPKSNTLTFKTTITPKINANTRPDMSSSVTSSLPSKTLSGESGAGSTLTTKNRHSDQDVPSFGSIKGLGISPQNMGANKPSLSSEPSKPVVLHGKTFQLSGVSDTVQNSAKASPQVALQAHAFSPASQSSSFSIMSGASSLAALPSSTLQGSAAKTSDASSSTVSSIPLSQKSMSGTSPTVPQGTVSSSIPSIPTPARESSPGLNKNVSKPEVVASEVTGTTTPLSALSTGISTTESTTALLQMTSSSLPSNPPSMPKIVPGSTESAVITSTRKDAGSSNLSSDEEDMDEESPARADLNLGALGGFGLGSAPSSSPKNSNPFGGTFGTSDSKSSGSPFTLTTSPGQLFRPASLSIPSSQPAQPSQSTSSSTFSSAFSSGLSGFGQAAQVGAGQQSGFGQSAQIGAGQQAGFGQPTQTQSGFGQLAQIGSAKQSGFGQPAQIGGGQQSGFGQPAQFGAQQALGSVLGSFGQSRQFGAFASAPSSNSGFAGAAAGGGFSAAATSAGGGFAAAAATGGGFASLASKGGGFGAAASSAGGFGGAAQGGGFGGAAQGGGFGGAAQGGGFGGAVQGGGFGGAAQGGGFGSGGFGAFGSNNQGASSFSAFGTYASLLRAYQNDTLLISSPKKASKSVYRMGTFTLAFFCMQHSCQILLLDAFESKDGRSQALCCLN >cds.KYUSt_chr6.27392 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173946695:173947532:1 gene:KYUSg_chr6.27392 transcript:KYUSt_chr6.27392 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLFTRAQLKGKVLLQRRNVLDLNHSGGAPLSHDTWDEFTTKGVTCQLISSTVADQTKQLTRMGHHRCTDDASRGLVGEEERVEHWVMHLPPMTHSDTTYDVTFDWDVKKQGLPGAVIVRNYHATQFLLKTITIDHVPGHHGPIVFIANSWVYNTDKYHYDRVFFTNDVRV >cds.KYUSt_scaffold_2697.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:158643:160795:1 gene:KYUSg_scaffold_2697.18 transcript:KYUSt_scaffold_2697.18 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRGKKGATTATKQQQTPPPEPPGPDAPVQEKLRWLADQETAIRAIQAAEVEGVLSGIHLVRTYISKEQEETCALQYFQENLPNVSVVPNEKQDELELKWNEWDNHIYGDQRDDKVSRASITSLATAAGFHFSGDSVQKNFIESTFDFNNCTWSELPEESGNGSEELPRDATGPL >cds.KYUSt_chr7.30924 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192612169:192612921:1 gene:KYUSg_chr7.30924 transcript:KYUSt_chr7.30924 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAQGSCGGEDEGLTLLGFWSSPYVLRARFTLNLKGIPYKYVEEELFGDGTKSALLLASNPAHGGKVPVLLHHGRPVAESLVIVEYIDEAFQDRRPRLLPSDPHERATARFWAACVEQKMVPAWAPVFCGRTLEERVKAAGELVAVLETFEGVLAGGRTEFFGGESAGLVDVALGGFIWWLRASEAMCGVKTIDPARTPLVAAWAERFSALDGVREMAPDMPTLLEYHLWTRARFGLPFLPLHQPPL >cds.KYUSt_chr5.13076 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85198820:85201446:1 gene:KYUSg_chr5.13076 transcript:KYUSt_chr5.13076 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEAEEQQTEPPDEEEEAYAEADPTGRFIRVRSALALPSFEFQGEFLSPCLAAAALLRYLTSCYKAFDKLEGDEVAWSQTRIDDSVMGSSRKMALLNTEIQLLKKLRHKNIQKLFASWIDEDKKTVNIITEFCISGSLRQYRKKHKKVGINAMKRWAMQILTGLEHLHSQEPAVIHRDLKCDNIFINGNDGTVKIGDFGLATILQQQKTKSVKGTLEFMAPELFTGDYNELADIYSFGMCMLEMMTCEYPYSECQGQAHIYRKISQGIKPAVLSKVEDAGLRSFIDVCLAPVAERLTASELLKHSFLQKDGPIPVPPISVSLVSSVTKDGRQSASFVLLKGDFRLKGDMHVTDHIVLSLRFPDPSGCFKNAEFPFDLHQDTSLSVAQEMVEDFELPQGNILIIAQLIEAFLLILVPEWVPCVAVGQVVSESAHNYIAKRIKNCRQLRTAIPDSSASPKLSVI >cds.KYUSt_chr7.29176 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181606056:181607449:-1 gene:KYUSg_chr7.29176 transcript:KYUSt_chr7.29176 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYVRPEGIENDEYVAACAYISASTLRLFSQSADNYMTAVDKHIPRMFYTFYNMGFPLTEFKPDKKCIEALKRIYTTKPVYRNTLAPFLYEFKGWEQAETEITALKLLEVEDPSQKNV >cds.KYUSt_chr1.41970 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257324198:257329695:-1 gene:KYUSg_chr1.41970 transcript:KYUSt_chr1.41970 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASQETALPAAAGPEYEEDDDGEEECRICRLPAEADRPLRRPCACRGSIKFVHDDCQLRWLAARHQNQCEVCNGVICTRPLYAADAPARLSLSELMVSLPNKLLGLLVPFLFAVYVVCDSSIHLATLWTWRIAFARTFLQVFRLLSFRVRPSSVFASIALWVVFVHSLVPFAVAPFAVRWVARLETRRQGFGGLDGLQFFALTAVKASLTVLRLGVTISFSSVHHNVYEPFYMFYLKKLLCLLVDTIFVALVVFIPIEVADRLSPEVFPLDITFLISNTMVYLEWLVERVIGYWFVTSGKDLGSNVAPKDHNGSSDEANDKRTFVVVRTMLRVVLAWLTAVIFNTAMIFCPISVWRALLFAIPQLPVADELKSNDLFAIAVGFFIISTIVATCRDIFACMTFRGPWLVDLKRHLLVFLWMVIVPYMMGLLVDLSLVSPFIGPDDDFPVLDFFCTWSLGWQVKNLWMNMARFTAAAPFLAYFIDERWDGKLTQARVDWSSGAISLLWFFQDIYIPVATKLLAALGLPYLLAKGLFPRLGCSATVNSTVYRFAWLSSLGFYAICYLGKVLCIKLHDSIRDDHYIIGERLQDVADCN >cds.KYUSt_chr3.17438 pep primary_assembly:MPB_Lper_Kyuss_1697:3:106803386:106807262:-1 gene:KYUSg_chr3.17438 transcript:KYUSt_chr3.17438 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALRLALVETAKERKGRSTCVDENATLLGTIKMDTSVPSPFVNGETLKMFVGRRVRTVVQVQRNESGVLAVQSTDGHQLTIRGAPGAPEAPHYIEVIGIPDSNQSIRAESWTDFGENFDPVPFNGLCKLASDKYKYLFL >cds.KYUSt_chr2.36032 pep primary_assembly:MPB_Lper_Kyuss_1697:2:222680352:222682656:-1 gene:KYUSg_chr2.36032 transcript:KYUSt_chr2.36032 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLDGSHVSVGIGSVDVVGSQSPLTSSSPIPSLSSPSTSWSNSRRPISSSSRSRPCHRRREKPGGRSHHHIQSCTSFSTKWKEGYGGSKVREGPLRRSPLSISSGLPPPWAQQAQQEEYHIPPPPVVRSAKERLEAGREQRRLPLALLPWAAAGGWLDQRTAEGLVGGRRKQRKPPLAPPQCVFPLLQGAAGEICPCYTSPVHRAAVPGLLRHFIVFEGADQQYNRLSSTSSYSIGRRTILPHAHLRWHGARTTAATPREQHILPPLMVRSLKEWLVAGGEQRRPPRALPSLKGAVAGPAKGDNLLCIFSLG >cds.KYUSt_chr2.28924 pep primary_assembly:MPB_Lper_Kyuss_1697:2:177819756:177826876:1 gene:KYUSg_chr2.28924 transcript:KYUSt_chr2.28924 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPTRGKRVFTPGRGVGGEETRDLNAASKEGNGARSVASVVATAGHRFPRSRASRHHPANQSKQIWPWDAGFTGRKGGSSGDEHESRRQPPRPHPYARHPSAVRTTREEGKHSQPPLAASRRRPPDRGRRPEVFDEPTDPFPGRLTVPDTNSESRSTDQDGLPLLSRPSLDTQPSLGELNEGPTKFEDSAAAPPSVLLSCNCSCDIGSNFEAFATVMKRLHQHLLDANIQVNYTEYLDLMKLEVDQQLNKLKEDTVLLKNYRLVHDSDANASCPMVCRHGKLMEIGEGFNDLKLLLIVVFRQIKEMLSLFNASVHELRWEHELQLEVTGIMIGDCIRGLEDELERKFYEQSSVVNSLRKNWNETVLQCGAIREELISISDMILPSDDESHYSRTENENLDKTEELFKFKREKASLDLKHDVEFEPLRKKVPHIISKVDQIISNAIKVPKVYSTSEALEENSRLTNKVDSLYRENQHLSGLLAEKMKDIKELSCQISDASRKISLQLSLEEKLMRQVRTFEGDYEDLYVESTIRDEVYQTVTRKFVEDCRTSMQDASRNSQAELSSLEAKLSEKEEALCLANEENQKLKEKLLLLEKENYIQNNQEHPELTKQESEEMVLRDIEMEPHVSPRRSFESSEQSMEDKELTKLSQTLETASTALQEVETKKMDYSGFLGKNEHIVQLDFIMVSIMDLSKEFVEIEHKMSGDTNGNEKRSDNLSDQCNHVVQRAIVLTKKGLSYKQMLNTRRVEIRKAEAEVDILENKVSALLSLVQKIYVTLEHYSPVFQQHPGIA >cds.KYUSt_chr2.805 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4742180:4742515:1 gene:KYUSg_chr2.805 transcript:KYUSt_chr2.805 gene_biotype:protein_coding transcript_biotype:protein_coding MFQAKLEQISSPRTDRLIAKISVENMTPPPPSSDETPLVLLAQALFPEFAARSPAADADAAETAEGRVLLVGLKPVTDEHLAGFPALELVAGISVGVDHLDLAAADAVGST >cds.KYUSt_chr4.28441 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178639123:178639455:1 gene:KYUSg_chr4.28441 transcript:KYUSt_chr4.28441 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAGSTPSTAIRVQRGDGAEPPVEARSDRNVIPEVFQEHEDEGLVPEQLELLEDEAMGGEDEGRSATDYDRRAHIFEESSRVFRDLKHRRDGDADGGVKIGAAARGHG >cds.KYUSt_chr2.28487 pep primary_assembly:MPB_Lper_Kyuss_1697:2:174846115:174849994:1 gene:KYUSg_chr2.28487 transcript:KYUSt_chr2.28487 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGATQHGFHRADDKNTTWILEFCSSLAGTADEANVKVLSKSPVARVVVVGGVVPADVIVKQVARRCSDRPGWKWEAVPTDELRFLISVPSFEDLDRVDGIPVAVPGFSSTMTISARQSSEVPHKFELQVAMIDAKVLEKISDSRKIIKSDVVVKLKVFEFCFHREPEDYVPDTDFIPLIWIKKDDANDEADGNDAGDDDAMDTSEARAGPSTSVAPQGAVGGGGNPTSGGTRSVPAMMALTPFNPNPQTPKVMEIVDRLRRTSPTLEARGSRLPSRGATATLQGDTISPRSDRPARGCVCDGEYSTRPLGTPRGRCDAYSGKFSLSMKPRFIEPVGAKKHVEG >cds.KYUSt_chr2.10906 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69250057:69255320:1 gene:KYUSg_chr2.10906 transcript:KYUSt_chr2.10906 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAESSAIRKLGQLFKLTEVHLWDDFYATGAGQSNDWRATETGCTGSQTAKTRNRAAKQTDEDHSFVEDMELASLMGSLGLPVSFSARKEKKKTPGKKHQGRQAPYEAASTEDNVRTCTNTEELENVQELMDCVEQTNSGISSATAAGYAEVCYADGDKMLCEDSVHVEKSDCGKNQCESNDNMSNLVNLGSSVQENQAVDSVMQLDKVMRGQNSVDNESIVSCAELCHEGKPSAREDQISEETPQTSHDNNDHDCEVCPCPAESSPVNDHVEKSSCQFYYEYGDWKVLWDQFYSRYYFYNIQTQESTWYPPHGLEDFASYCSTYSSEGIDEQVSQLTSTLVEENSKINTKLDKSCGVSSCVDNTLDYNISEADQHVAQCGANMNPCDDGKTTFDQHGEQRHDLCNEVSDIPDEESIYTSVIATIDEAQHEGSMQNDSSVTEVLEMNQEVATTKKKKRVRRSQSFHSCQDLAENISNDIAKYWNQRYSLFSLFDSGIKMDEEGWFSVTPEPIAKHHASRVGAGILIDCFTGVGGNTIQFATKCKHVVAVDIDPQKIGCAQHNATVYGVNDHIDFIVGDFIHIAPHLKGETAFMSPPWGGPDYAKVDVYDIKTMFKPCDGYYLFKLATTIASRVVMFLPRNTDLNQLADMCLSVNPPWAVEVEKNFLNGKLKAITAYFEEQDSVDVER >cds.KYUSt_chr4.33711 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206895098:206895658:-1 gene:KYUSg_chr4.33711 transcript:KYUSt_chr4.33711 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPGRARCPPPWERAALPGLPPFILCSLRLTRSPSAPSDANGCPPPARTVRLPSGACFCPPRWPPPPRPSNRTGRPRAHRNRQLLIEIAVSRDGGGAKQAVGWALAGGRRNSRASRRWAVVSTGWGGGPAEAERAEGGEGEGEGGRLVSHSGGGGALPGMSRPCLFFFPRRCFALRAREDEGRQA >cds.KYUSt_chr4.1803 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9713092:9716059:-1 gene:KYUSg_chr4.1803 transcript:KYUSt_chr4.1803 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRHGRKERWSVIGIDLGTTYSCVGVWRDGRVEIVPNDQGNRTTPSCVAFTDGERLIGEGANNQVASNAANTVFDVKRLMGRSSSDASVESDAKYWPFGVVADGNKPPLISVSYHGEMKEFSAEEISAMVLVKMKEAAEAYLGSGTTIKQAVVTVPAYFNSSQRQATMDAGAIAGLTGMRIINEPSAAAITYNHNRKWSYDNEQSIVVVYDLGGGTLDVSLVLVKKGELHVKATAGDTHLGGEDFTNNMVVHFVKEFKQKNQKDISDNKKALGRLRRSCEEAKRRLSTNPHTTVEVDALFEGIDFRSGISRDKFKELNEDLFGRCMEPVEKCLAGAKMDKRQVDDVVLVGGCTRIPHVRQLLERFFNGKELCRNINADEAVAYGATVQAASLLAVEAAILRGVPTGIQTSCILPEVIDITPFSLGIGILEGVMCEVIEKYTTIPVSKETPAFVRVKDDRCSVDITVYEDDRAMANKFCIALEPKAKLVLDIDPDGILSILAQYKEGRKTIINQPGRFSKQEMQRMVKDVQKYKDQDEEHKKNVQARNDIQNLLFTIGNNMMNLEDSAARLPGHPEAPQEQEKETPRFQDTYSRTRNSKVQTHKPDAQAKDTMKMLQAQKDTVKKLAEMLQAQKDTVKKLADRIKNLQVIADETTQWLENKKLTTSDIIYRKNEIEGDYNSISSQIENIQARKDIQNLLFTISNNMKNLVDSAARLHHHPEPTREQEKENHGILGGLRPQKSQNKDKRKMIQADKDTIKKLLDSVRKLQELADGTTKWLQNKKLSTSDIIDVKRRTESEYHFISSQIENVKARNDIQNLLLLISDNMKNLEDSAAAILLYHTDPTREQEGHSLAEKAKDKDHREIIQAPKGTIKELPYRIKDLQKMADWHTKWLENKELSTNDIIDKKREIEDVYRSISIQMVSGHIENATH >cds.KYUSt_chr1.37610 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229587025:229588389:1 gene:KYUSg_chr1.37610 transcript:KYUSt_chr1.37610 gene_biotype:protein_coding transcript_biotype:protein_coding METVEALALVAAVLFCLAVLRRSTSPAVQLVRDPAVAHRLLNENADALSNRPSAGLLTALATWTRRPDRTETISTSTYGSHWRSLRSNLTAGFLSPSRLAALAPLQRDAAQDLVTGLSAGEVVMREHLDRAMFAMATRLCFGDGVEESCVRAMQRVMDDITQAMDDTVSFDGSTLGKITHWRTLRRLFGLFVPLGVLVRPLIAAARARKNTESRHSYVDSLIDIRIPNDVDAKRALEDDEILGLVAEFLATNTGVIVACLEWTLANLAIQPEVQKKLRREIDEAVEGEANAWLSEKALRDMPYLRAVVLESLRVHPPSPFVTRGVHDLASAASGATGRLRKRIIFMVRDIGRHGSAWTEPDQFRPERFLPGGEAEDVGQMPGRSEIRMMPFGGGRRFCPGSNMAMLQAKFFLAALVRHFEFAPPSCGVDLTEVGGFNNVMKKPLRVRVTRRALP >cds.KYUSt_chr2.40612 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252272704:252277579:1 gene:KYUSg_chr2.40612 transcript:KYUSt_chr2.40612 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYVCVLEARGLPSGDEGGGVYARVKVANQRARTRAVEPAEPGGAAAWNEEFAFEVGAEEGEAVEVGVARRREGGAGRREVLGRVKLPVPPPAAQAASGPRRSVPPTWFTLRPKHRRKGDCGKILLTFSLYGENNDNTVIHSSTCSSSRSGTDVEIERSTYSEHSGTNSVMVDSPRSSAVAQTYLDDSDHSTQANSSTVSEDDSLIEPSTPTAKSAHDRDAELSVPDASFEEAMEAMKSGSSTADMPDDLGGGTIFEHTYLVESKDLNSLLFGPDSQFSKDLRELQGTMDYDEQPWTWKSQDRPSLTRTCRYTKGGTKLMKDIKTIEEQTYLKADGKSFAIMTRVRTPEVPFGNCFEVVLLYKMTQSPELSSGEESTHLTVSYNLEFLQSTMMKSMIEGSVKDGLKENFESFAEILSRHVKVADSAGMDKEQLLAPLQTDHPSHIRLACKYFCNFTVISTVIMAVYVLVHILLSRPGPLMGLEFSGIDLPDTFGELITSGILVLQMERLLNMVAHFVQARIKRGGDHGVKANGDGWLLTVALLEATSLLPVSCGSVDPYVVFSCNGIMRSSSVQLQTQEPQWNEIMEFDAMEEPPAMLDVEVFNFDSPFDLAISLGHAEINFLKRTSTELADIWVPLEGKLAQTCQSKLHLRIFLENTKGPETSMRDYLNKMEKEVGKKLHVRSPHRNSTFQKLFSLPHEEFLIADYACSLKRKLPLQGRLFLSARIVGFYANLFGHKTKFFFLWDDIEEVEVSPPSFTTVGTPSLVFTLKSGRGLEAKNGAKSQDKEGRLKFQFHSFGSFSKASRTIIGLWKTKSSALEQRAKLEEDQDDESYDDLDDVQSLLSIGDVNLSKEYTVEHPIDANLLMGVFDGGPLETRTMSRVGCLDYTATPWEETKPGVLERHASYKFNRYMSIFGGEVASTQLKSTSEDGDGWTVYDVMTLHNVPFGDYFRVHLRYDIRSVAVAASEPASCRCEVLVGIEWLKSSKFQKRIARNICDKLAHRAKEVLEVAGKEITSAMSG >cds.KYUSt_contig_605.33 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:272157:280520:1 gene:KYUSg_contig_605.33 transcript:KYUSt_contig_605.33 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFTSQSGISKFLSWMPAALQMPEDELISHAGLDSAVYLRIYLVGLKIFVPITILAFLVLVPVNWTNETLEGMTVQHSDIDKLSISNIPYGSKRFIAHLTMAYVFTFWTCYVLLREYGIVATMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELAEHFFLVNHPDHYLTQQVVYNANKLAKLVKEKKKVQNWLDYYQLKYERNTSKRPTVKTGFLGCFGSKVDAIEHYASEIERIENEEAEERERIMKDPKLVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPVVHLTVRRLIIAVAFFFLNFFYIVPITFVQSMANLQGIEKAVPFLKPLIEMPTIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSVSSLERRSASKFYIFIFFNVFLTSIIAGSALEQLQSYLHQSANQIPRTIGVAIPMKATFFITYVMVDGWAGVAGEILRLKPLVIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAVVTPFLLPFILIFFVLAYVVYRHQIINVYNQEYESAAAFWPSVHGRIITALIISQLLFLGLLSTKGAGQSTPVLLVLPVVTFYFHKYCKNRFEPAFVEYPLQEAMRKDTLERAREPGFDLKTYLADAYIHPVFKGDNDDEKFSMGDEGEAEQVLVATKRQSRRNTPVPSRHNGSEVPSLPEIVNDQRL >cds.KYUSt_chr5.16501 pep primary_assembly:MPB_Lper_Kyuss_1697:5:106137744:106143676:-1 gene:KYUSg_chr5.16501 transcript:KYUSt_chr5.16501 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTVGDEILTGFIRHGHAYKPPIDEYEEEADKNEGEEEEVQEELIDADTNMTTTTTTDKRTGSTRGPRWRSLEEECLIGQEVLAARAASASMTPPSPFDTAHERIRLGTFDTAHEAARAMADLLTIYLHYEDGNKEAKILQRSMMRRHVSYYDLILMTKEVGFHAVDFLYYSKKDPQGNAYLVHINDQSIAIKMLSDPDIGKTVHLYVSKEKASDDIAPPHNRNNFAPSNHTNESAMLQDGAEGQLRRSKRLNVINQRDDEDQGGDEDEDFNNGEQYTAPGDESQALEDNEDRVDNQELGQNVNGRVAWQGDALQEVLGEEKTGQVHGMGLLPTPKQVYGRTPRYLKNINMTTTDGPAYGGEDDVWGELAMLKEHIRRLEDRNNKGHGNNIEEEDGEDDNGNQLLIQQNSLPAQDPVSGSMPEAGSEVVLRTSTYPNKRNVAYATIRSTNPATKAGGIELGAQFSLVRIGEPIMDSEELVREVSDCKTIDSKERLSCG >cds.KYUSt_chr3.12302 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73443629:73444828:1 gene:KYUSg_chr3.12302 transcript:KYUSt_chr3.12302 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVTAEAASLLRGLPDDIVLWEIIIRLPPKYLLRCRAVRRAWRAATSARDFLLAHHARQPSLPIFSGDDAWILGVHYHNILAFDHRAATEDDQLHAVARLDDAFRPVAACDGLLVLSKLSMIGSGSRLSICNPATREHALLGLHCDFDFMGMYVHGPTGEHRLLLQRKRCQAQAADLVHKDQIGCYVFSLGSDQPPRFIGSPEMASRNFSLPVRVRDSLHWYPVYCPTERKALRYESKLVVFDTISESFRQMREPIVRGNSYVFDMDGTLGIFTLNRSTESIDIRVLQHYESEVWDLKYQIKLPVAKIRREFEDCGDYWQWDLDVVLVDGGVLLLVQFPRWLLHVDSNGRMVNSFYRGCRSLSMSGCQLKQSLVQHTFFPALEGYAVNASPFIQPVQ >cds.KYUSt_chr7.17607 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109074617:109077779:1 gene:KYUSg_chr7.17607 transcript:KYUSt_chr7.17607 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDRHVRSDPPFGAGAGAGAGAVYGDGTGAWTGQKRRMYRSPSLTPSSARAKVGTPSDVSPELSDNFVGKEEFQKYLAILSTRRAPQTVAGPIMNNEQQRVVYERIALYHIRASKMAQGVCIDELDDMTLRKEYSPEALEEMYYNGQVDADWYFDRDYAVHSYLSDYQRLVLKNGDDGMMYLDWDKYSSWFTSYEMDADYIKYFEEISKKIKWIKKYMDCERESSTITFRDALHQVNQENLVPRHKDRMQFALDNNIYSNLQYSFDICVEGIADDMTIDVRSILAIEQAATTEISGRCKDERWLPCLVLVRKLADPVAACDQ >cds.KYUSt_chr5.40931 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258363815:258364192:-1 gene:KYUSg_chr5.40931 transcript:KYUSt_chr5.40931 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPAPVPKIKGALAAEAFTACELEVAEQLIQLSESSASSGTPGGAQRAPVGSGGSYSSRSVDAPPAPAPAPAVALGGCVDWEEDEEHEVAGRQRRVRRYRLIVEIYAVTEETGGRTGRKNKKK >cds.KYUSt_chr4.8079 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48197675:48198288:-1 gene:KYUSg_chr4.8079 transcript:KYUSt_chr4.8079 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRWQSVDSPWPFANNEIIASYALHPDGHTIFFSANSKYDNPRPKSIRTFSFNIKQCRWRSHGEWSLPFKGQGYFDTMLNAWATLTHVGNDAKFCLAECVLRDGDDAFGRDGCVLHITTFGLKYSHKGELQTMNRVTNSYTVSKHAFLFSPVAFWM >cds.KYUSt_chr2.41729 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259662845:259664665:1 gene:KYUSg_chr2.41729 transcript:KYUSt_chr2.41729 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGVATLPYPKPPLSPRLLHRSRHSSGHRLLLATSSPFRPDSPPLACSTRSISAAHASSTDPSPSSFPSSPTPPARPAPPEPPSTVARAGRSKKKPAGGRIEGDGNVRREAKSRAKRRSRRLSENAFYRRKRLAAAGQADAFTDEELEMIGLGYDRSVRFMDGPDDPRLRHPHDWYRFGAYGPYSWRGIVVGPPIRGRFSDDRVSLMAEVADHDDWDRNHQFEMSNNFSNRLNDLTDTDTTTPFRYYWIFVRHPRWRPDEQPWEQWTLSAEIAVQAPKNHRLDKWSLMGRFGNSTRQLITRCAAWTRPDIIYVKRPLYQSRFEPQEDFFARLRPLLDPSTENQFLFDLEQDGRVVQTTYFGGLCRIVKASPKSYVDDVVNAYSKLSEADKSRCLEFLLTNHPMELLHPYTKEWKVKLEEMELGCDAPDESDDEAETEVIDWAEEDDGGDVIDDSDDDDADEDYEDEEVVDVTEEVEADEVIESNEETEEYWDEQWKEAMKSSDKMENLIKTRIEKSREREIQQQKKKESERSTSNTMLREQEQAEEDEPQLVQQDSARGRTAKDIVKRGAPSGSFLRAAVRPFTYRNLVKEIVLMRHFIVDGEIV >cds.KYUSt_chr3.40731 pep primary_assembly:MPB_Lper_Kyuss_1697:3:257075804:257077303:1 gene:KYUSg_chr3.40731 transcript:KYUSt_chr3.40731 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSKSTVGSGGSVDMCAMVAEHEKIEWEVRPGGMLVQKRRSPDDDLDDVETILVKVSTGGGWQHDVSIDATATFGDLKVMLSLATGLWPREQRLLYRGRERDDGDHLHMAGVQDGDKVLLLEDPAVTERKLRSTTLAQLMGVPCHSFIQVKSFNRKSVSRDLHIVLHRGFSGFKVKELTMVLGVGSSDPAIGDFPAVKELALIQGFAEQRQRRATDGFSASTPTGSSRLVIFSLFFWILM >cds.KYUSt_chr7.25914 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161861210:161865749:1 gene:KYUSg_chr7.25914 transcript:KYUSt_chr7.25914 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRPDARVNVRVARPVRVPSSFNLCPRQFYYSRTMGTAAAAAATAAAPLLDPQAVALQQGELDGRFADADEQPAAPAEEPAEEDEEEEDDEDEDWSDDDVREAHAWLDAAEGPDGSARISSTFSGAGGAARRPNAHGGMLARTLQPLSNRQQKLASHFRAGPLEEWEGRMDVGMSNSVTTAIRDNVRDSTIGKTRNIGKADRATVEQAIDPRTRMVLFRMLNRGVFDNINGCISTGKEANVYHATKKDGSELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKETRNLIRVGEKGIRCPKPVHLKLHVLAMEFIGKGGVHAPRLKDASLSDDKLRVAYFEIVTTMRTLYQKCKLVHGDLSEYNILYYEGHLYIIDVSQSVDLDHPLALDFLKEDCLHVNDFFEKRGVAVMTVTELFNFVVDQNIADEDVDDYLEKVQQKILENEDTDARDDEIAPTVLVQTLDYVKQCEADIVNMSVAQRPSLGYEPTADKLYELPQLGFVRAKNEPSEKQQEQPAQDTPEEPLDLENKCSLEENKEEDESEDSESCSGSDEDGSWQESTKLGPEEKKAARKENKKKVKEEKRETRKTKIPKADKKKRKKMAKAKCKR >cds.KYUSt_chr3.11932 pep primary_assembly:MPB_Lper_Kyuss_1697:3:71202696:71203259:1 gene:KYUSg_chr3.11932 transcript:KYUSt_chr3.11932 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPHVSSDPSNPFPTTFVQADTTSFKQVVQILTGTPETAAAAVAGGTAGAPAAKPAPTPTGPKKPAFKLYERRGSMKSLKMLCPLLPAAFAAGSSSGFSPRGFSPRGLEVLSPSMLDFPSLALGSPVTPLPPLPGSREAAAAEDRAIAEKGFYLHPSPRGNAGGRGDLTPPPRLLPLFPLQSPTRQ >cds.KYUSt_chr7.3305 pep primary_assembly:MPB_Lper_Kyuss_1697:7:19692374:19694783:-1 gene:KYUSg_chr7.3305 transcript:KYUSt_chr7.3305 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIKLACPVTHVWYLKGLPSYIANLLDKPLKLLLLLHFSISPPPATFSSPRSTFPSHLLPFSSPSGKNLLKILIDDHLGDHLPDLPPMSFYLRLAGLPPTSFYLRRPPSGKLLPRPPLTPAATSFHSYGHSHGDNHGDGTDHGDGDREFGTNHSPPPNQLQLILLSSSAFRAAIRLSRVWPPPPWLEVAILVPQHVNPTRNAAAMAAMRKLLAAGRKMHITSLRRPLAAEEGQHEGSRSDLGPRVEEQEQAALVGHCVKEMLGAALAKRTSCSHGKARSLLPFLSPFFLSFSSFTANLDRPYHREGDARGGAGQENELQPLEARSLPLPFSMLLVFQFVDFHNCN >cds.KYUSt_chr7.4939 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29626693:29636814:-1 gene:KYUSg_chr7.4939 transcript:KYUSt_chr7.4939 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASASAMPRTTQQLVDALTAHLSLYHASNPSPSTSSSPSPRAAILRWLATLPQPSRAAATTSLLSPAAAGALLSMLDRLRLRGHSSFFVLASPSPAEAPTVLSRLSRGLPARAAAASRAHELLFSRALLFASSPASPRPDAITLAEPLLADLDAFVAAMDEISGGSFLRSADAEVDLAALAAEDFPELPWLKAKGYYVIEEFVANWVEIALRMSWATAVAAGKKAARVGKGVKEKAGLASTAFWREKGYVDWWMRLEPRVRARITGAFFGKSAIALANEIGGGPDVASSGTSCLCIGESGSFGADSLYGSTRQSFFRKNQSGCTDVASILSCKKKPAFAKVLKRLLLLQEIVCLKSKITYCADDAIFLTSLMSAGTVADLILMRLRKLLMVVSTESINYELIGDGASNTPKKKDVEKTSGSSRKGKKKTSSSKKLVASSKTSKDNGSSSTESRNSRVVPKSNQRTPSVRGATIGPASEETPCKEIAPTPVVDQAIRLGDCNNQCNKKKNKRKGKAKLSDLMRTGNPAPGKLKAGGVHVSAEAMSPPRVPSHVKPSSNDNPQAADCSNSSSTYGGTEEKGVRSSKKLEDTLGSSIVVSSISTEHCQSAQKPDNFSMNEQGGSCTSLNESMVQRPLCSPSRSDNVLPGNPCRNSADSLVRTAQDKAGCDITQGVLHGLAPGVAAGYEKHVDHNSVVKTDKLLPSVIPANILQSALSDNGTVMKNGGSEYYAFNRNLLGGTSYEWPSVAPHFVSPEMQQRPAAADRLHLDVGYRWPTQFDQPFLPANHHQVRSSPIEAGCNPMLSSLSMPLSFDWPPVFRGYGKLTQNAALSYDPVFAPHMQSSAWPGFPAQLMQRGGICSEKDRKYFGDSDPRNASDVGDDAESYWCSEEESDGHAVSGRDINQYFGGGVMYWSPAELAGTGFSRPPSLSSDDSAWAWHEADVSRVVDDLAVGIPSTYSANGASSPPSTPFCSQNETSDPSPQPVCHARAGTDNNNETLHSPSSTQDSPEDKTTSAAKSPSCATEIVKGDTLPYAMLRPIVVPNISRRLSRSDFRGGHDHRSPCVSSARRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFPDDKIFNRAQYCLDGPEVVWPAWVNKGTTTGTLVQSIEDTVLQDHLVKISHLSHDQHPDVAVPLQPPDMSNGSSHKASLCLMHNALHEEIDQFCKQVAAGNLVTKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLGNQDWVRSDSLKTIENTAIPVIMLVAQVPCDINMSSEYPSVLDSSQENPVNMLGEQGSPPRSDNSSSEGSNTLMSSKMHKDDCDVAQSIRLDISFKSPSHTGLQTTELVRELTQQFPAALPLALILKKFLADRSLDHPYSGGLSSYCLVLLITRFLQHEHHLGRPINQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLQFTAESDMPASSFSLLKKIIPSIDSSEL >cds.KYUSt_chr2.19077 pep primary_assembly:MPB_Lper_Kyuss_1697:2:119983858:119984919:-1 gene:KYUSg_chr2.19077 transcript:KYUSt_chr2.19077 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTSPAWSASLVAVMAAVVAATGRGWSSSFSASMTSVVDIPSSAVEVFLVPSESTTGTVLAIDSSTGTAVLPASSLGSHASPTSVLRVAPLTAIIPLGSLDLSAPTVNAQPCLIASKPAMVWKPELSEFVLNRLVQLVRSGVCFKHGIQGATDEEGSRGCSCNRRCTCHHSSAVQPHQKLEDKVEHHYEDEIRSHSGLERRRCCFYGVDEGTADEYIQRYPKHRQYIGTPITNYARMKTIFTPRFVCKSQLF >cds.KYUSt_chr5.41408 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261349921:261350998:-1 gene:KYUSg_chr5.41408 transcript:KYUSt_chr5.41408 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLGVVGLVCMALMAEAARATQFPVGWRVPDAGAEPYNAWAGRMCFRTVFQYPKETDSVLLVDQAAYNVCNTTTYVAKFEGGCTLFTLDRPGSFFFITGNQAHCMANQKLIVVVHPADHTPMPPPSMHPGSSTLAVVFFTGHPGSSTLAAVFFTGYTPSSSLTAVFFTGYPPSSTLAAVFFTGYPPSSSLTAVFFTEYPNLTPVFFTGCTPSSSLTAVFFTGYTPSSSLTAVFFTGYPPSSSLTAVFFAGYPPSSNLTAVFFAGCTPSSSLTAVFFTGYPNNSRLTAFLFPWYTWKRAAATA >cds.KYUSt_chr4.32876 pep primary_assembly:MPB_Lper_Kyuss_1697:4:201611410:201619806:1 gene:KYUSg_chr4.32876 transcript:KYUSt_chr4.32876 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWFTPAYFKKTQASKLGDAQGIPFFIDNITRFHVGAGIPGVAPHYTSPPSTFNVLLGSSWNDGPSMDEEDKHWSMTTHGGDGSKHVGLKTLAASPSGRRLSELLEEKQEPFFLDLHLLEKGCSGRLLDGYDTALCWPAAGAGNEAASVLKRLTSKKKKAAAAASTRGKNQQQPPSGLLRMLLSKIIHGKAANRKPTALQCSESFKRVAPSPSHLEAVKLRNDAVEVTSDEGTEYSDYDDEKQLSPISVLEHPFESKSSNVVQGSPKNAMAIVRELLLEAAYTPALLTQLLAKSEDLIEDNTDLDYDVDDYYYHRTSPKSFQDEDDTAAAGNTTTVTSAAYWETHRAELARVSELVCMEVPKSRVDAADVQPERRDVGAEIEAAVLEDLLLELVMDLSSC >cds.KYUSt_chr3.47176 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296092935:296094819:-1 gene:KYUSg_chr3.47176 transcript:KYUSt_chr3.47176 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSVLRISSSYMVLKYVAHHLSLFLGAQCRIRPLLGAEFSPPSHDDVLPLLRAWLRRRRWRDANAVHGHLPAAAAILRADEDDIAWGSEEKIWGAATRRTNDVTLNKWFASQLRLTCPALNTDNTTNNDIRTPNVFDNKYYVDLLNRQGLFTSDQDLHTDARTKPTVTRFAVDQDAFFDQFVFSVVKMGQINVLTGNQGQIRNDCSAPNKGRTNDDLPWSVLETVTEAAQSLVL >cds.KYUSt_chr7.39606 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246192288:246193554:1 gene:KYUSg_chr7.39606 transcript:KYUSt_chr7.39606 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSEHELEPHAQPRASPRPRVPFPVYGIAPHADGLPPSAYNRSALGVPYADGDPRRSSSGKVGIGTGHVALGVAPPVGVDGVGRRPAPPVGVLAGGAGGYPAPSR >cds.KYUSt_chr3.2538 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14572403:14575429:1 gene:KYUSg_chr3.2538 transcript:KYUSt_chr3.2538 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFLPLHHLPLLLLLPLALIFLLVATADSDSVTLNITNQCSYTVWPAALPMGGGMRLDPGKTWTLNIPTDPFRGHVWARTGCSFDHQGNGSCKTGDCRGLLNCTSDGTPPFTAADFSLNQYDNNSFFDISLYQGFNVPMEFLPIQVKGSPGCSKGPRCAANITSQCPSELKAPGGCNSSCTGCSFDKYSAFFMRMCPEALSYSINAPTDTAFSCPFGTNYQLIFCPLLNLTTSPPSPDSIATGTSRTRHSALIATSASVGSFILITFSFFVVYKRQTRQHPKIEDDEEDFGNLQGTPRRFTFQQLEVGTKQFKDKLGQGGFGSVFEGQLGEEKVAVKRLDRAGQGKREFLAEVQTIGSIHHINLVRLFGFCAEKSHRLLVYEYMSNGSLDKWIYYRNDNTAPLLEWKVRCKIITHIAKGLSYLHEECMKRIAHLDVKPQNILLDGDFNAKLSDFGLCKLIDRDMSQVVTRMRGTPGYLAPEWLTSHITEKADVYSFGVVVMEIVSGRKNLDTSRSGESLHLITLLEEKVKNDDLVDLIDKNSDDMQAHKQDVVQMMKLAMWCLQIDYQRRPKMSEVVKVLEGTMDAESDIDHNFVATNEVHFGFARNVNSSVPPVASDISGPRVYDIRFDNIFQLLALVRNKLLLTEELVWIRDEILLPVRISPQTSRRKTVSPESMRCQWRWRRSKAKAAASYHRRQRQRLWEKQGAATEEPRHVTGAHGLPEATETADVVYIATTAATASAGTPLGSVVGQQRKEDGPGFLSDLLVLVDMGHECSN >cds.KYUSt_chr1.3007 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18071463:18071753:-1 gene:KYUSg_chr1.3007 transcript:KYUSt_chr1.3007 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWLVDELHGCSELPRFVTLLCTANHRGEMKGSHQRESTGAAGALVQDPLLQHLLSSAMVVVPSGAVCSPLNCAGELSGKRRATVAAPAGGLAGR >cds.KYUSt_chr6.31502 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199103097:199105906:-1 gene:KYUSg_chr6.31502 transcript:KYUSt_chr6.31502 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYITCHGMASLPFLIVPIRRFLQGSSDEFIDANVQLALAVVRNLKQLRGTRSCIVFPDQPEKRRASQIFKTAIDSIEGISISSLDDVPTGPVDSFFRSIRNTLDFDFADDNEDQWKSDEQTSLYIFINSSTQDLSSIEKYVENFAGSAPVVLFNLELDTLRSDLGLLGFPPKDLHYRFLSQFTPVFYIRQRDYSKTIAVTPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESASRFTLGQVIAAKDELLRVLGLQEEEGSSLQFLRQGYKNATWWEENGDQEESTAWRT >cds.KYUSt_chr4.49855 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308865541:308866307:-1 gene:KYUSg_chr4.49855 transcript:KYUSt_chr4.49855 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQSALLPDANRRPPCLSFVDNSNVVASTATSKKRKWDGDDEETDGIELNFDAAPLPIEWQRCLDIKSGQIHYYNTRTHKRTSKDPRRVPAATPVAVEDDDAGNFAPAGLDLDLNLAFEPRRRSPVRAEEKKPRPAADHAKPQAAADREDAALAGAQLGGVEMVAAVCMRCHMLVMMCRACPSCPNCKFLHPTAGRAVGSPPTRAPEPAPLKLGLQLLCCRD >cds.KYUSt_scaffold_2697.414 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2705584:2717411:1 gene:KYUSg_scaffold_2697.414 transcript:KYUSt_scaffold_2697.414 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPRPQPHSSSAPTRRREQWLVILGIALHAVYMLSIFDIYFKSPIVHGMAPVPPRLSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTISFGSPDIVPIFCSSLPHSTWGSYPHEYEDFATDASFLDHWSFDQFEGLLNRSLDDVKLRQLLLQDKLVIFLHLLGCDSNGHAHRPYSSIYLNNVKVVDEIAERMYNLMESYFNDNQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPKFVAYTDKPDDGFRFVDDHRHDMPTPQNWALEGFERVDVNQADIAPLMATLVGLPCPLNSVGNLPSHYLKLSKADEVEAVLANTKQILNQFLRKSEQKESSSLYFKPFKPLVNYLSVLNQIEDLISARDYETAMKNSEELRSMALAGLHYFQTYDWFMLMTTITLGYIGWMANLILHVLQSYTTFPADLLKRTQVYPKNTSMKVYIGGCLFMGLSSIILLLEKSPLLYHAYVFMTIFLWTRIVQNFGFMKSAWRELSNMPFKYIMNLLISSVAALFILEFLVMSFFDRRLYTWCFLALGVLASIYGALFIQASPAVAIYTWLTCWFLSVFTLMPAEIPENNNLVIFSGALIILIAMASRWTTTNTSSFWLYLNRANKRAPKSSKLFFVQVILIAMSSIMVWLTTSHRSLHKELHPLHQLINWCIAGFSMVLPLFSPCIVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLMGWIFLESANLYCSEGSDSTVHNILADGSVFDYDERCLQLSDFRIPLLFMILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMTALLIFKLFIPYMLVICTFSAITKIVRIPRIGCYFLVILLSDVMTIHFFFLVQNTGSWMEIGNSISHFGIKTPYPLFPKSNQVPMDFFLLPSTLTQDKARRLNVLPAQRQYDTATLVGETQWRSSERSSTTRTCRWPLSPDKHLPRAPATAPPRARPRPPELAADIPALARATPHLLTRASPLRRAACPCCGRTALALSAPASWSGARRRPPHARSAPSSPPASASLWSAPELIVRPASALAPG >cds.KYUSt_chr1.25100 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150453665:150454936:-1 gene:KYUSg_chr1.25100 transcript:KYUSt_chr1.25100 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSAKAVIALVAFSSLLVSYAAAGRPGNFSASDFTADPNWEAARATWYGAPTGAGPMDDGGACGFKNTDQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCTNDPACSGNPETVVITDMNYYPVAKYHFDLSGTAFGAMAKPGLNDKLRHSGIIDIQFKRVPCEFPGLKVTFHVEQGSNAVYFAVLVEYEDGDGDVVQVDLMEANAGSWTPMRESWGSIWRLDSGHRLQAPFSMRITNESGKQLVADKIIPANWAPSASYRSIVQYS >cds.KYUSt_chr3.28417 pep primary_assembly:MPB_Lper_Kyuss_1697:3:177302247:177304660:1 gene:KYUSg_chr3.28417 transcript:KYUSt_chr3.28417 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRAYYDILEVSTDASAAEIKKAYYLKAKLVHPDKNPGNPGAARKFQELGEAYQVLSDPVKKESYDKHGKEGLPQDNMLDPTTVFGMLFGSDYFEDYVGQLALASVASVENEEDSDSPEAKARIQEKIKELQTEREEKLLLSLKDRIQPYVDGKHTEFSDWAGAEARRLSEAAFGEAMLHTIGYIYVRQAARELGKSRMYMGVPFIAEWVRDKGHHVKSQVNAAAGAIALIQLQEGMKKTEDGDSKEVQTLKSIEEKKDAMLNSLWKINVVDIESTLWRVCRAFLRENDTSKDVLTLRAKGLKKLGTIFQVSYFNLVTSPVNMEGKSYQL >cds.KYUSt_chr6.11242 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69643046:69644398:1 gene:KYUSg_chr6.11242 transcript:KYUSt_chr6.11242 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQRSGDEKGVSAPISNSNLTPATSTPAVGNSAGRGWGLPREAAGRCRGRFWVLSDEEGELSPAEDLVPADGAETAAGEDMGSPRRPSQPLRLDSFIGRAEELGGSLRLGRRAAFAPGGRGSRFRQDLVPRFRRLGDPVKTGRRGRRSSWREERDASPTPSPRSAPAGGCAGAGLGPGPPPSPVSAPPLRVVEEEAALVPGGTCWAGEGPVGESAHGPEVHVGDELCSVPVGLGDGVEGAVVLSAHQDLGDVSGLEGGGEGPGGWDRPREVARRFRWLWMPRGCTHPALGFPARASEVRKRLNNPAAPPHRLLRKVPPPPLPRSFAAAVMERGFDDGRKRRFDGEASNWRAEGGGRDAGRAVGRGDGGRQEGGGRFSGGGRQLEGEYRQQGEEYRYQERGRGDWGPPPPWWEEQQRREELQRREEEQWQRHQDLLRSKGQGDRSANSG >cds.KYUSt_chr4.2410 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13444281:13446611:-1 gene:KYUSg_chr4.2410 transcript:KYUSt_chr4.2410 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPGHPSNRADEVFSISISTPAMERAATEMRRTHLAIIISNPRLNISTRSIAKALQEELNFDWEDIQVSVSYPDDFLVRFTHPWQRDTALELGSVPLRRGTMALTTWSPTARGRPQTWRFYCRVALENLPLNTWEDEDTVKAVLGGGCELDRIEQRSVLQDNTAALFAWVWSLDPDLIPCVKAHSILNRPAVGRADLPEGTPAEEGRDGPLYRVLIHLDTILDYSPIDESRRKRGYSWPSKTRRDWEFGVKDNASGPRRRPGRDRLGSSNHRRDDDREDRRDDRDGRRGERRSSRQRADRGGEGDSSHWNGGNQHQRHGGDHHERRASRSPEYRRRGGSSRHRSRSPPAMIALKAAADDGGLVTMDDLPEQRPLILQPVRVHTPRRRQSSSRTREGSAAMGSTPSTPPGTDRRMCLESPMQTSPALRSEEGIFMLCSPTTEHFDTATNGMPNPPSPQIPWAALSDDQSPANEANAYADCWSANIVELNQPNMVAQALQGMMGMDSWIGPHVERSGEHVPSMNSMQAWGSAWVEEPHPTSLVDEARLFGPNCQDHNQSLMEQSFGTPIKEKTVYEINEFCALLEPASAGWQLQDIFATPQMHSVQQPEPVEQQHSHSSPDSSTNIDDDKLFEVTLKSNALRALRQAELCGPALTEKECTGAEAANCGLQGPALMEEVTSRVADLHVDPKTGFIGKLMGMFSPSLLGFPTNSSRKKKPETKNLLMATSSRRSERPATKTSSMMTTRRAQIAACKQLGLIQRDDEFSEDVLAQYIAL >cds.KYUSt_chr1.33267 pep primary_assembly:MPB_Lper_Kyuss_1697:1:202025579:202027234:-1 gene:KYUSg_chr1.33267 transcript:KYUSt_chr1.33267 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHLYLKNGIFHYFPLFSRLEVLNWFLAQSRKFIRAMSGNPVAGSPATAGAADPNPAQRNYGFSARVLMMAALLLVALTVVFTLIRVLLYLCVVRSAGRRRSGLAAGILRSINSFGSRRGLDESALSALPVTAYRKGSGSTGGAGADCAVCLSEFADGEKVRELPNCGHAFHVECVDAWLRTRTTCPLCRTETELQQGNGKAEAASSSATEPPALFGAGQEGP >cds.KYUSt_contig_1467.407 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:2258063:2260432:1 gene:KYUSg_contig_1467.407 transcript:KYUSt_contig_1467.407 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSSYPNDLVYHMEALSKEKSKILFLSKTLNLQDEEKWAGFEEHSCYMLKLADGMPLAMPLAITVAAGLLLARKSEAPAELKMLGESIISSLKQYSPCEGMNKILQMSYADLSLPLRSCIMYLSVFPENYTIQKDRLILLWDAEGIIVRIDKEFSLVTGERYFNELISRRLIQPVFHLSCHQAVGCTVHTLILDFIRSLSREENFAMTAAELICVPFPCDTIRRFSLDCHNEDEVDTLSTSSVNLSRMRSLTVFRDAERKVGPPYSQRLPWVYAHGEEMRPTKALEVPINSILAFKLLRVLDLGDTKNLEKHHLEGIGGLVLLRYLGLAGTSIYVLPEDIGKLEQLETLDLRGHIGLVTFPASAVKLRKLRHLLVEVDATNIWEMPKLERAPFINVESSSSLGNVVELLRKSEQIRTLGVSMRTSVPTETDLVSFLDEVVKSKVQFLDLDYGYQGSEAIPILVDSWEKVTAPLETKFEPRRFSLTIYYSNGSRITPNMGSLASLTELDIYLYEANAEDFIVLEGLTNLVLLTFLAMDCSVIGRFIIKGGIFPFLKVFSFRVKNSWMGLQFEKGAMPQLQRLGRGFHVIKRRGQAYPDIGIEHLTRLTQVHAITFCEEATVSEVEAAEAAIRYKVSEIATKPTLELSRENQDEMWTVEQQQPTLVNQLSEETSIGQFEDDDQIREHEEQVSGMDGKSTQEWRIEHEDPMRKDKRIYYTPSKKQLFENVQKRKLRRIISSLSDKMKDAGRKLIGHPKLRRIISSLSDNSSKFKLESSTEEEHQMRKGKGK >cds.KYUSt_chr4.6870 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40574229:40576112:1 gene:KYUSg_chr4.6870 transcript:KYUSt_chr4.6870 gene_biotype:protein_coding transcript_biotype:protein_coding MATALRVSTSTSSSAPSLTQRRRRGAATVAVSSRTPRRPVFRALAAASTVATPTPERHRPLALPPTPTSVADAMSRVRAKGKTAFIPYITAGDPDLATTADALRLLDGLGADVIELGMPFSDPSADGAVIQASAKRALAAGATTNAVMAMLKEVTPELSCPVVIFSYFNPIVRRGTASFATAAKEAGVKGLIIPDLPYDEIRAFRKEAINNSLELILLTTPVTPADRMKEITKASEGFVYLVSVFGVTGARATVNPRVEDLLKEIRQVTDKAVAVGFGISTPEHVSKIAEWGADGVIIGSAMVKQLGEASSSREGLKRLEVYARSLKDALP >cds.KYUSt_chr2.43030 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267770764:267780942:-1 gene:KYUSg_chr2.43030 transcript:KYUSt_chr2.43030 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVGSLVPQGANQASSSHCSEGFNNKGKETIHDLMHTERSGEDNTMRVCPRAVVDSSAVNGDISGISSQQGDSNNSFSAATTTRSTSRTTKISKGKRKLTSSSYYPGDSSSSLHEHSGSCLASSDMTAGRNHTTVCHDTPVIDIDDLYSPEVRPHLRGNHHGTSIGPNISAQLEADEMLARQLQEQLYNETPRVAPREDIDAIVAMSLQHEEDAVRTSRHVRRFQNETPRVAPREDIDAIMAMSLQHEEDAVRTSRPARRFQNDTRAMIPGYPGLRIQPNIDLNDYDALLALDENNHQHTGASESQINNLPQSVFQLALKSLAQYALRIPLSEIPSALCHAFISSIKMYALLPLGAQRPLNAMEGGATVADKAEFKECLRLTWSQPFILRLVFSAGIGGLLFGYDTGVISGALLFIRDDFIALEKNTTLRETIVSMAVAGAIVGAGLGGWMNDKFGRRPSLIIADILFLAGAAIMAFAPNPAVIIVGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFMAYLINLAFTKVPGTWRWMLGIAGVPALLQLVLMLTLPESPRWLYRQGRKEETAAILRKIYPANEVEQEIESLRKSIDDEILLEGSIGEQGMLGKLKKAFGSKVVRRGLMAGIIVQVAQQFVGINTVMYYSPTIVQLAGFASNDTAMALSLITSGLNAIGSIVSMFFVDRAGRRRLMLLSLVGIVGWLAVLGGTFLGAAHNAPPVSELEMQPFANQMCPEYKPSVHWSCMDCLKAESTCGFCAHQGDTLLPGACLALSNETKGVCHADHREFYSEGCPNKFGWLALLALGAYIVSYSPGMGTVPWIVNSEIYPLRFRGVCGGIAAVANWVSNLIVTQTFLTLTKALGTSATFFLFCGVSFLALVVVFFTVPETKGLQFEEVEKMLGSKDYKPWKRYRPEAPLKGREIGLVIP >cds.KYUSt_chr4.25987 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163414053:163414605:1 gene:KYUSg_chr4.25987 transcript:KYUSt_chr4.25987 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFRAYLPAGQTNPSLDSEVKRSVFARNRSLPKTECENWGGSLPSLAAAVDPAVPPEFEEKKCCDQKKVHCLDEQKFAEIEENGS >cds.KYUSt_chr7.1645 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9234444:9238503:1 gene:KYUSg_chr7.1645 transcript:KYUSt_chr7.1645 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLIRKEELAGLRCFTDLIAGALVHLAADGVDLLCSPNDGGTARRSRITILGRSHGRTPKHANHPYPTGPVPLHAGSEGWFSGGVHIMQELTTKAHRHDHDTVV >cds.KYUSt_chr2.37925 pep primary_assembly:MPB_Lper_Kyuss_1697:2:234728014:234733218:1 gene:KYUSg_chr2.37925 transcript:KYUSt_chr2.37925 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSACSLCGAQDSWQHSLIECNVARCLSALAKEEMLEHMVRSAEPSARQWMFLMLETLSKEELAIMAVTLWAICQGHARVRSSHPRWIAPPEGFAKFNVDAAVEKNTGGGALGVVCRSAGVVFMGGSALVVAGISDPDILEALAYREALALAEDLNIRKMVVASDCLQVINNIHGDFGGSYSMVTREIKVKASSFSDVRFRHENMASNSEAHRVARSFVSSTTGRQQSRTISPLPPSMELGEVSPGPGLPRPPELDSLFTDEAWASLSEERRRLIISDDDEEESHIHQLRQARKDRRIRRKALQEALPDMHPGISLDSNLPLSRDVRDSDLLLWIREHRIRNLSEWNRCAHHPRPMLHFFGISVKFYRHDLKLMCDSTKHSYIDIYGLIAVRDSLDFARNTIFHRSRENAQPIDLDGGYLRLCYPARGISAMGCLIEIDIKIKGKKVEEDFPVIDGSVKARGQFEPWTINHVDDINGKIIFESCVVRKGVEATIDLDFMEVPSGGFHVQMCGSTLRHKAFYNFINERCDAEDFIASPGKHGKKFVAAVNIGDTLRIDFRENGREALSFVACKHGNKRQLYRFNNGAVVSVRVFWSAIVSDRPFL >cds.KYUSt_chr2.29334 pep primary_assembly:MPB_Lper_Kyuss_1697:2:180439051:180439833:1 gene:KYUSg_chr2.29334 transcript:KYUSt_chr2.29334 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGAASGVSALMPEARRPSRYESQKRRDWQTFVRYLAAHRPPLLLRRCSGAHVLEFLRYLDRFGKTRVHAPPCPAYGVRATTTTALAPCQCPLQQAWGSLDALVGRLRAAFDERHGARSNQQQDASCCNPFAARAVRLYLRDVRDAQSRARGISYHKKKKKRKLAAGCIKEAASSSKNGGGMDADGEHHQPGPLRGHKITTTTTKALANAAPVPPLLPPLPPCLAGVPFEYCDTGSLVASGAGCYGGVYLPLLFNAFT >cds.KYUSt_chr3.37264 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234342283:234344211:-1 gene:KYUSg_chr3.37264 transcript:KYUSt_chr3.37264 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSTSTTAAPAFASDANSTESWSARVRSLTRLGRHREALALLRDGDPYPPPHALALPAAVISCTALSLPSGVAQIQALGYKRGLLPSSDAYLLSALLSSYSRLGHLRRAHQLLDEMPLASTPPTTLRTAFNSLISGCAMHALPAACFAIFRRMRAAGAPFDAVTLMTLVPAAPHTAVPQLHALAGKTGLAAETTVANCLISVYARRGAGLARQVFDEMPVASRDLVSWNAVISAHAQNGLAVDTLDLYGRMRGHDGVGVEPDAVTLVGVLSSCAHLGARSVGRGVERYMQERLPGFRTNLQLCNSLINFHARCGSLPQAQRLFNEMPRKSIVSWTALIAGYGMHGHGDVAVNLFQTMVSEGIRPDNVAMVGLLSACSHAGLYDEGRRYFSAMESAYQLRPTLEHYTCMVDLLGRAGRLEEARELISSMPMPADGAVWGALLGACKIHKNVEVGEEAFEHVIKIEPSNAGYYVLMANIYTDTGQLDGVLRIRAMMRERGLKKEPGCSYIEHKGRVHLFMADDHSHPQANRIYELVIKLEQMVKEKTGGTAGTEGDRVVEGHSQKAAVPLIGFHSEKLAVAFGMLNTADGEIVVIKNLRVCGDCHTYLKTVSAIANRAFLVRDASRFHRFEGGACSCKDYW >cds.KYUSt_chr1.23954 pep primary_assembly:MPB_Lper_Kyuss_1697:1:142829636:142835212:1 gene:KYUSg_chr1.23954 transcript:KYUSt_chr1.23954 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEVNQRYQALSLLDCDDDIPSVSESSAGHGADNSDGQNRGEDAAVRHHSSNDDSDDDENPFLTDSYGNVTTWRLSDLEAAFEKHLEDEASKPKVEVKKKTKEEILKLDNDRKQKFMEYALQKYNDEEGLAGEMRFVFDEIKGEVYVIEECLKFYEHFNFTAKQAGSMVLFFAEVIPSGDTCNVLCCKPLDCDDNGRCLSCEDHQSYVKMRHPADEALYVGGHEEGQFPFILESSSEDDSD >cds.KYUSt_chr1.40965 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251189267:251190384:1 gene:KYUSg_chr1.40965 transcript:KYUSt_chr1.40965 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAELPRIDFTGVDASAPGTGRWDVVRAQVMDALATFGCFDAHYPALAPAHRAALFDGAVRPLFALPADTKRRNTYGPGKPFHGYLGELPGFDAYESLAIVDGHRPDEIKAFAELMFPGADNAVFCETVHGAAAQMAELEGAVRRMVMEGLGVHGEAQSEPMWHLFRVSEYGAPTAGEKEREVRYGSHQDTNSLSLVCQHEVDGLEMQARDGRWILVRPSPASLVVMAGNALRAWSNDRVHAPFHRISVGGEVTRYSAMLFSIPGGSVIRAPDELVDEAGGHPRRFRDYDYDEFVRFCVSEEGGHHEDKLKAYCGV >cds.KYUSt_chr2.262 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1569638:1576918:1 gene:KYUSg_chr2.262 transcript:KYUSt_chr2.262 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPNLSTLPSNSNSNSMAVDGTAAPNGEDDWLRLYGRVVAILPQVEALAAARARLEVVNALQHEFWEARDALLQHRLLQAEESVRSWEAAYIHLQPSGDDQRFAELQQSDLEDLATFVDVFAAENAEFQIKVKEVDAGADHSQNPADHERITEDLKAELQKLKQAYEALCSEKDKKVAEITAERDFVRDQFKTLERDYADLRSYMNNKSTRDSEAALELQKNVEQLQLASQKKDEEIRKLRARVKAAEAKRKLVPESKLQKMDSTPKKIDKEIEKCKDRQPEASKKHKKDTSGTPKKGCSEGPALVVETRNYSSKQMLTEDGQPEASQKRKCATFLSSNGNDEETHRKVLEPLKKKMVSGKKGVEQGDNKAKADGKGHTESKRSPDKLAIYNALLYLRSVKDSFTDKPEKHKEFLVIQSEFVSRKIGVNAFISAVAVLLDGHAELVRGFKSCFTSPTQNFRVLLKCWWLGTAAERPQDEEGMNELKFTCSSQTVVSRQC >cds.KYUSt_chr3.19750 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121546062:121548155:-1 gene:KYUSg_chr3.19750 transcript:KYUSt_chr3.19750 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGMSSGRRRGIGVGGGRGPSSGMLPLLALQVLLEYGRAGASRPPVTAALIAANALVYLRPGALDAFLPPLSRVAFNPHLIIQYGDLTRFFLSAFYHLSETHFFYNMTSLLWKGIQLETSVGSVEFASMVAALLGLSQGITLLLSKGLLLFGNESAYYDQYAVGFSGVLFGMKIVLNAWSDDYVFLHGMVIPAKYAAWAELLLIQAFIPGTSFIGHLGGILAGLTYLWLKRSYSGPDPLALLISGISNVVSWPVRFAQRLLRPGRHICEMCNSVREDRDVPPIQHLHDGGNGALSVDEIRRRRLQRFDR >cds.KYUSt_chr3.40202 pep primary_assembly:MPB_Lper_Kyuss_1697:3:253420424:253422254:1 gene:KYUSg_chr3.40202 transcript:KYUSt_chr3.40202 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTEAPSAAFQNSFYNQQASLAPYHATADGRSTAVADNQSNSCSDAAKDSPVFSNISQQNSQSISDNHSSELEVEFDDEIRMKLSELERALLDDEDDILFEISQADCINDGWADPMKDVLLPNSPKESESSISCAGSNNGEARTPKQLLFDCATALSEYNVDEAQAIITDLRQMVSIQGDPSHRIAAYLVEGLAARIEASGTGIYKALTCKDPPTLYQLSAMQILFEICPCYRFGFMAANYAILEACKGEERLHIIDFDINQGSQYITLMQFMKNDTNKPRHLRITGVDDHETVQRTVGGLKVIGQRLEKLAEDCGISFEFKAVAANIGDVTPAMLDCRPGEALVVNFAFQLHHLPDESVSIMNERDHLLRMVKGLEPKLVTLVEQDANTNTAPFQTRFREAYDYYSALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMTMAGFVPRPFSSSVIGGIRSLLSSYCNRYKFEEDHGGLHFGWGEKTLIVSSAWQ >cds.KYUSt_chr7.27313 pep primary_assembly:MPB_Lper_Kyuss_1697:7:170643319:170654272:-1 gene:KYUSg_chr7.27313 transcript:KYUSt_chr7.27313 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHWSVRGFGGGGTPMPFTAIFQPPLLGSRMSGETGYRALYSAHASATKALAGLRRIDLEGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYTPHVENGDMCIVLNAKDISATGRKMTDKVYYWHTGYIGHLKERTLKNQMEKDPTEVIRKAVMRMLPRNRLRDMALRVSVALLRNPPLLISTPKKIPSRLRFPAAADERRRSQFPSSGLSRCLRSRRGTRLPLAAAVGSAVSAGGEVKEQRVRMVVKQIQRELDDMLTRDPVMQRAVLPEDSLFADRYLSSLSAIAEVELSNNFQVTTGDHAGGVAIFMELHYPKIVLETCSLELQLKSLKGEGGFCTTPRWQVDDGPRDGAGPGSMAIEVARGAMFVRHRRCVDRVVVVVADCRRPLPLCVLRFSGGTVGRCRYAVYGFPASASVPPDDAVMLSTVLRHRRLRYKPCCVGGQQPPHLCDLIVDQ >cds.KYUSt_chr5.38963 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246716451:246719800:1 gene:KYUSg_chr5.38963 transcript:KYUSt_chr5.38963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holliday junction resolvase, 5'-flap endonuclease, Homologous recombinational DNA repair, Microspore developmen [Source: Projected from Oryza sativa (Os09g0521900)] MGVGGSFWDLLKPYARQEGPGFLRGRRVAVDLSFWIVSHSTAIRARSPHARHPHIRTTFFRTLALFSKMGAFPVFVVDGQPSPLKSQARAARFFRGSGIDVAASTSAQPEGEASVPAPAKRRNALFTRYVKDCVELLGYLGMPVLQAKGEAEALCAQLNNEGEVAACITSDSDAFLFGAKTVIKVLRSNCKEPFECYNIADIESGIGLKRKQMVAMALLIGCDHDLQGVPGFGVETALRFVQLFDEDRILDKLHEIGRGLYPFAEVDDKTNVDDLQSPSTKSPAVARSPHCSHCGHPGSKKDHTKTGCNYCLLDSLEHCVEKPAGFKCECPSCDQARDLKAQRRHENWQIKVCKRLAAETNFPNEEIIKLYLCDDNLNTGKKSGVLLFVWNEPNVEALVDMLKYTQNWEPSYIRQHMLPMLSTIYLREMASSPCKSLLLCDQYEFHSIQRIKIRYGHPYYLVKWNRVTRGMVSGDVSDKKPELDGESEAEVIILDDDDDEEEATVNCESADSLDDPELPQVLRDDNGTFLLTDEDIQLVDAAFPNEAQRFQAQQRLKEEKSRSRKSKAISTLETPRGPRPSGVQLSIKEFYRSKKGAGDEATGKKPQAEAGQSSKPGSRKASPVDLNKKIPKSLRRRLLFD >cds.KYUSt_chr7.20617 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127801139:127804734:-1 gene:KYUSg_chr7.20617 transcript:KYUSt_chr7.20617 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVVAAAAQAPAGGWGAAAPQELLERLKDYGQEGAFALWDELAPEERDFLVRDIQSLDLARIDRIVRCSLRSQGAPVPVVEPVPESSVATVDDRTAEDKERWWRRGLKAIAEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCVQKLAARSNDGNTVQIHWYIMTSPFTDEATRKFFESHRYFGLEPDQVTFFQQGTLPCVSPDGRFIMETPYKVARAPDGNGGVYAALKSKKLLDNMAARGVKYVDCYGVDNALVRVADPTFLGYFIDKGVSAAAKVVRKAYPQEKVGVFVQRSRGGPLSVVEYSEMDAAMTTEINQTTGRLRYCWSNVCLHMFSLDFLNQVTNSLERDSVYHLADKKIPSIHGYTTGLKLEQFIFDVFNYSPSTALFEVVREEEFAPVKNANGAPYDTPDSARLMVLRLHSRWVVTAGGFLTHSVPLYMTGNVPNVTNIS >cds.KYUSt_chr2.43779 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272481238:272482296:1 gene:KYUSg_chr2.43779 transcript:KYUSt_chr2.43779 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGHAQLVVHANRPSSKLCTIRTRCTLRPRHANTVHRPIPTLRKTNTVYPTATFAVAGSEGDGFPSTDTDRFDVLDFHHVEFWCADAASAAGRFSFGLGVPLAAQSVITTGNSVHASHVLRSRTGSLTFLFSAPYARHCTAAAAAAAATATVPSFSADGARRFTSDYGVAVRALAVRVADAAEAFWASVNAGARPAFAPAELSHGFVMAEVELFGDTVLRFVSYPDGTKVSFLPGFEDVASSGSPDFGLTRFDHIAGAVPDLASVASYVAGFTGFHEFSDFTGHKVGTGESALNGVVLANNEDTVLLTLLEPVHGTKRRSQVQTFLDHHGGPGVQHLAMASDDLLGTVRHV >cds.KYUSt_contig_7387.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001501.1:20888:21421:1 gene:KYUSg_contig_7387.4 transcript:KYUSt_contig_7387.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIRRLGRHYANGCFYWDTARFGNLMEEQKRLLVLDTQRMEFSMTDLPPGNWGRADLAIVGAGDGRIGMFGFHRGTASVLCYAVARTKGKSPSQWQTEKRISLDPRYNYRIEDATERYLLLTRTEASSRTRYFSIDMKTFKLQSVCEKQRMRLVPKSETRIYTNFPPSLLSSRAV >cds.KYUSt_chr2.41894 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260815899:260816576:-1 gene:KYUSg_chr2.41894 transcript:KYUSt_chr2.41894 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAPVRSLPPGSVLKPSGHQIIAQYLAPKVLRGDTTTLPGLVAEGVDVFSAANPATLPFHPSSRREHGEAWGYFYGEYPAGEMRQVPGGFWARYGPEKGYVHGHRGAMEAIAFRRRFGFHITWCDDDGGVVMVPTPWLMKEYRLNKGAAVFRAAAARPGPKANMDCVVRKIFANPPPCSSDEEFPASRYPGGADEDAGYARDDDLKRVGFRLEEEPARKRAR >cds.KYUSt_chr5.37510 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237117669:237121249:1 gene:KYUSg_chr5.37510 transcript:KYUSt_chr5.37510 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVGINAITVSEEVMPELSNFAEKLRKQGIMMTDAANGSALLRHDPSKEKQSGFGIIIAKTRGACVITRFWSNQEVGRKRDGLGSSDAGAFRPTPVSFEWHYLTVDGMPPVPLQNEDDEETLRAAALARRDRRAKGDDHHRHHSSHRDDRDDDHDKDGAARRERRGLGERRDADGFIRRDRTRSPRRRDAADAGHGRRRDLAPNAGSTLGDVDMTPAPSALELQAVVADQAALLRADLLACLDGAIKLILAESEALRSWNARATAFLDGLVEKGMLTRVTSVPVTRSPTMLLEGDAAVTTNSPDTPGMILADAPFADTVNLFAQMDISSENEAWNADVNCSPPPGPDHLLPASPERPSTTIDTGSGRAVSPLIMASPDSPLAGLGNVQLPTATTVVADATVTTLATAVPPASNITTPTATMVVADATDTVTTLATAAPPASNITTPAVVDASTRLLTFIDSVAAPIQQPLISTPSPKKKKNKVALPLASPRRSGRIAIKKKARSLADGAEAIQELIARVCGLLAPTATFDDAAKTAYQQLFINAPLAASAIQALEALVKQVKKMKKKGATKPAAENVIIPANI >cds.KYUSt_chr5.19186 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124214353:124218529:-1 gene:KYUSg_chr5.19186 transcript:KYUSt_chr5.19186 gene_biotype:protein_coding transcript_biotype:protein_coding MRERERDEKRRRVLLVVEGRAAGKIYWHICQSSRLLVLDPSTLRFSYLPAPGELFAHDRNPKYRIGEAPDGRLCLVTDAQQQLQLWVRGKGDKGWIMERKIVDLLVLCDKLSGRGLPVDPMLRTLCVWPSDMDAGRTGKVFIKTWGFGRFSFHMDTGKMELLATKRGKEYGHPMFAYFLAWPPAFLAPDN >cds.KYUSt_chr7.23356 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145340020:145341741:-1 gene:KYUSg_chr7.23356 transcript:KYUSt_chr7.23356 gene_biotype:protein_coding transcript_biotype:protein_coding MVICPSLALFDMVSYLHSLASSPSLDQVPFHPSSPITIPQICEIRPHVLGSALVWGQEGIHEGVLQRWDEMVSKLGLFSSNETKEDVSNATSTTRDILPWEDTEVESNGLDGEKTEELHVIHAKVDEIIADECEPVDNFDTNSSREHTRFRNVAKPGVEKKGERSSTIRSARFSCAKGRGDVKKVDQDSSMTGVENENSAIVYNNIQYDAWLLEHLHTIMQPYKNLQS >cds.KYUSt_chr4.54549 pep primary_assembly:MPB_Lper_Kyuss_1697:4:337016838:337017536:-1 gene:KYUSg_chr4.54549 transcript:KYUSt_chr4.54549 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSPLMLASDGDLPWEPYHDRYSLFCPQMPPVRPVAGRRVRAVQPAQSPQRAPRYRPRVQSRPPMSTASARLPDPSISGHRATRLEALSVHVWKLLAAAVDGSNTHGGVLHGRRARRSPAKGARGQESLGRVGSGREQAMWGQGSPLELPILHRWWMTKPPLISWCRRSPDFSWMKRRWPPLDEVAGRNQQQLSGLGHLARVFQWTRWLDSGWTTNLILLRKRKKEETWI >cds.KYUSt_chr1.24725 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148060407:148063324:-1 gene:KYUSg_chr1.24725 transcript:KYUSt_chr1.24725 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVNLAVCLDGSPPAYHLHRGSGAGARGWLLQFEGGGWCNSARSCAERAGTRRGSTSLMTKLEVFSGVLSNDPAMNPDFYNWNRVKLRYCDGGSFAGDSEFTNGSSVIYMRGQRIWDAIITDLCQKGLHRAEKVLLSGCSAGGLATFFHCDDLKERLGGATTVKCMSDAGFFLDVDDISGNNTIRPFFSSLVALQGAEKNLNKDCLNSTLDPYQCYFPQYMLPNIKTPYFILNSAYDVYQFHHIFVPPSSDPRGQWSRCKADPSTCSISQIATLQGLRSAMLTALKPFEGEPMVGMFVNSCFAHCQSELQDTWFAPNSPTLQNESWSVIGTLKGMPLKQSTAPIPVTQVVTTLYHFNQITKTLQLECGK >cds.KYUSt_chr7.13016 pep primary_assembly:MPB_Lper_Kyuss_1697:7:80251091:80252641:1 gene:KYUSg_chr7.13016 transcript:KYUSt_chr7.13016 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAPNATPPAPEPTQPRRLPDFLQSVRLKYVKLGYHHLISHGMYLLLSPLMALVAVQLSTVSPGDLAGLWEQLRYNLVSVLTCTTLLVFLSTVYLLTRPRPVYLVDFACYKPEPERRCTRETFMRCSEATGSFTDANLDFQRKILERSGLGEDTYLPPAVLRLPPNPCMDEARKEASTVMFGAIDQLLEKTGVRPKDIGILVVNCSLFNPTPSLSAMVVNHYKLRGNVASYNLGGMGCSAGLLSVDLAKDLLQAHRNTHALVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRRADRRRAKYELVHTVRTHRGADDRCFGCVAQGEDGEGKVGVSLSKDLMAVAGEALKTNITTLGPLVLPLSEQLLFMATLVAKKAFKAKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELTEWHMEPSRMTLHRFGNTSSSSLWYELAYSEAKGRIGRRDRIWQIAFGSGFKCNSAVWRALRTVCPETEKNPWMDEIDHFPVEVPKISKVGNA >cds.KYUSt_chr6.22764 pep primary_assembly:MPB_Lper_Kyuss_1697:6:143670305:143679194:1 gene:KYUSg_chr6.22764 transcript:KYUSt_chr6.22764 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDEPHTRGWTAAGGRSDKSDLKRSSRCGAGKEINRQQQVTEGGRITGMEIVRVGSSAGGSARRTASSWRGASGRSDVFGRSAREEDDEEALRWAAIERLPTYDRMRKGILLPGAVDDVGGAGREVDILGLGLSERKNLIERLMRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFENLNIDAEAYVGNRGIPTFTNFFSNKIIDVLSALRIVSSGKRPISIIHDISGVVRPGRMSLLLGPPGSGKTSLLLALAGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLAELSRREKEANIKPDPDIDVYMKAISVEGQESVITDYILKILGLEICADTMVGDGMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYELFDDIVLLTEGKIVYQGPRENVLEFFEAMGFRCPERKGVADFLQEVTSRKDQHQYWCRSNEPYRYISVNDFTEAFKAFHVGRKMGSELRVPFDRSRNHPAALTTLKFGVSKMELLKACFSREWLLMKRNSFVYIFKVVQLIILGTIAMTVFLRTKMHRDSVEDGVIFMGAMFLGLLTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYGLPTWVLKIPISFLECAVWICMTYYVIGFDPNIVRFFRHYLLLVLISQMASGLFRVLAALGREMVVADTFGSFAQLILLILGGYLIARDNIKAWWIWGYWCSPLMYAQNAIAVNEFLGHTWRKVVDPTVSTDTLGIQVLKARGIFTDPNWYWIGVGALLGYIMLFNVLFVVFLDLLDPLGKGQNVVSEEELREKHVNRTGENIELQLLGTENRNSPSNGQGEITGADTRNRGMALPFTALSITFDNIRYSVDMPQEMKDKGINEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYSKNQETFARIAGYCEQNDIHSPHVTVYESLVYSAWLRLSPDVDSEARKMFVEQVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLISYFEGIQGVKKIKDGYNPATWMLEVTTLSQEDALGVNFAEVYMNSDLYRRNKALISELSTPPPGSTDLCFPNQYAQSFFTQCIACLWKQHKSYWRNPSYTATRIFFTMVIALIFGTIFLNLGKKVDKRQDLFNSLGSMYAAVIFIGIQNGQCVQPIVDVERTVFYREKAAGMYSALPYAFAQVFIEIPHVFLQTLVYGLIVYSLIGLDWAFMKFFWYMFFMFFTFLYFTFYGMMAVAMTPNSDIAAIVATAFYAVWNIFAGFLVPRPRIPIWWRWYSWACPVAWTLYGLVASQYGDIADVRLEDGEAVDAFINRFFGFRHDYVGMLKRFEKKMTRGNSLVRWRCPEHRRHSPPRDRKQTFYYKLLFQLPGTLQNRLKGTVLQLSANETDFPLSICYSL >cds.KYUSt_chr1.39414 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241150078:241152239:1 gene:KYUSg_chr1.39414 transcript:KYUSt_chr1.39414 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKPSVQNQYVLDAMGEAAEETNEAQLEHQAATAIDASSTKHDDTARILQALLIKLNLVEQGTANRPPPSAAEPDPGAVFTTGRSTTQPSSPHWVGDTAGTTSSGAAHSKGPAFDPGGAGFLGSSAAGGAGCGGFGGAGGDGLGGASGGGLGGAGGGRHHQVAGAGAPHADDILGPSMPTRCSTFGPTTAATMLLSVQGCVPEATNSSWPPIVSVNRVVAAAALQADEFLSYATPTSCSKVGSAVSVGSTHGVVALPTSDITHLPTRTLTSLGNITSEGVGGNDPAARTLGIDANMSFEVLTCVGGFSLFHEFEQNSADEVFNGSHPKDVLWYEEFADCIITHIGSSSLFLELSRGTNQELQGQVDLNPGLGVPRVSCGTMIVKLAKLQCVNNQCIHRFGHTRLELAGSFDLVLNCTILRLIEEMDRVYFMNSLLIDSIFWEDTMLVFLEIECYMQWDPGIHHLGDCSAFWKCDLAVTIGINTCELSFEWQWHLARLYFQDKLYCIADGWRMQMCFPGKLDIATFLVSNQTSERLTFVPERFIDWPYVQHYVSYKEWHVCEDSGAEAVAMDPYSTTWRMPLNLLLAAVGDSLLLSQMCGPHFELSWNPAVHLVTLELLTTSTNVHLREIIMIALQWPFTIKYMSLLVHLQGDLGLVSDEFPLQL >cds.KYUSt_chr5.27801 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175950654:175951007:-1 gene:KYUSg_chr5.27801 transcript:KYUSt_chr5.27801 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQDQQVLLRSADLAKFAVPASLAVRAASVAAAVGTGERVVELPRGVSGKGLATAVAYYKARAEAEALDADVGEFDDGFVGGLTHDTAIDLIHAAHHLGDDGLFNLFLGYRANQF >cds.KYUSt_chr2.47476 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296978435:296983231:-1 gene:KYUSg_chr2.47476 transcript:KYUSt_chr2.47476 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWLLGSLDYFLGLVVAVLLLVELRWMEPKRIQIRRSEEDERKLSSCLVSCVDADLTCQQPPGAEAKLGPPGMDFGDARRKPNFAGKVAVAVALTVMCILVLKQSPGFGGTSVFSRHETGVTHVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYTDLGDPKAVNKMFSENAFDAVMHFAAVAYVGESTQEPLRYYHNITSNTLTVLEAMAAHNVKTLIYSSTCATYGEPETMPITETTPQIPINPYGKAKKMSEDIILDFSKNSKMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAASGIIPGLKVRGTDYTTPDGTCVRDYIDVTDLVDAHVKALGKAEPGKVGIYNVGTGQGRSVKEFVEACKAATGAPIKVEYLDRRPGDYAEVYSNPSKIRDELNWTAKRTDLRESLATAWKWQQTHPGGYGST >cds.KYUSt_chr4.52253 pep primary_assembly:MPB_Lper_Kyuss_1697:4:324241760:324244389:-1 gene:KYUSg_chr4.52253 transcript:KYUSt_chr4.52253 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSDEVVQIQHPDAADDPTVVTVSCSDKTGLGCDLCRVVLLFGLNILKGDMSTDGRWCYIVLWVVPRRRGRGPMAWDLLKERLVELCPAAASFGFDSSYLEPDDLAPAAPQVFLLKFCCFDRMGLLHDVTRVLCEMELTIRRVKVSTTPDGRVMDLFFITDARELLHTKNRREEAYERLQGVLGDSVTSCEIDSATEDMSCCIQASALLSPHVLEQMFDIDLIEGQLSHSRSNSCLSVTMDNSLSPVHTLIQIQCVDHKGLVYDIMRTLKDCSIQISYGRFYAGQKGRCELDLFAVQSDGKKILDQQKQRTLCSRLRMELLCPLRVALVDRGPDMELLVANPVEVSGKGRPLVFYDITLALKNLHKRIFLAEIGRHVVDDREWEVYRVHLGEHDHELSCSARSKIVDGVMNMLMGWD >cds.KYUSt_chr6.4005 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23165191:23170001:-1 gene:KYUSg_chr6.4005 transcript:KYUSt_chr6.4005 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWASSHSKQLLDPEEVDVVPAADRERGITPVEFRLVKIHMSFHIWRLAQQVKVRQRVIATAITYFRRVYTRKSMTEYDPRLVAPACLYLASKVEESTVQARLLVFYIKKMCGSDDKYRFEIKDILEMEMKLLEALDYYLVVYHPYRPLLQLLQDAGITDLTQFAWGLVNDTYKMDLILIYPPYMIALACIYIASVLKDKDTTSWFEELRADMNIVKNISMEILDFYDTYKIDPNRGLQEDKIVPVMNKLPSKA >cds.KYUSt_chr1.35649 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217346807:217354426:-1 gene:KYUSg_chr1.35649 transcript:KYUSt_chr1.35649 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSSSTSSPRLCKRTPGYEDPAVLAAETSFTVNEVEALFELYKKLSFSIYRDGLIHKEQFRLALFGDSKGADLFADRVFDLFDLKRNGVIEFGEFVRSLSVFHPKAPVSDKTAHYRKKSHCRARLFAVCLFDPLPCGSSLPCAARTAMTALPCSRGTTHGKQKAHGKDTARHSAKHTRTVKQPDGARQRGHEGARQSRGHGNDAFAVRGNPLPRCFEEDTGGGVARVSEGASRIFFEVVTGGGVAGVFEGASRIFFAGCRSRFEGQGNYESSPIKSGFKPSYKIWTFHGEKAKKRARKEVRRIQPTREYDTGFDRCLENLANGNVPESPHVEAETSRDAETSEEPEENTKKYYEALFASRNPYT >cds.KYUSt_chr3.10249 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60787090:60787422:1 gene:KYUSg_chr3.10249 transcript:KYUSt_chr3.10249 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGVGIAHTNRPATSDFSPLVMSSSHIGKLYNYSPEIPPLARHSIIIGSPVCARPEHALDLAAIGSMTSKKKVSMKEKPHKVPLKKKSTKLREAPLKEKSESMLEDDL >cds.KYUSt_chr7.1653 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9365540:9366968:-1 gene:KYUSg_chr7.1653 transcript:KYUSt_chr7.1653 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGCLGSGGLETAGNICCVGELLCSRFRSSSAEDSSRRLPPPCLCTSWSKGDPPWPRFDGLSSSRLQADAEDLQLLLLRTFQWCWSKWFVPGAAQMDVWVRNLCCPVSTHIMSGLSSIDTVDTIKARYEARGDGHAGGPAADHVPIPTGDLRNGSRLAD >cds.KYUSt_chr7.26023 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162506566:162507696:1 gene:KYUSg_chr7.26023 transcript:KYUSt_chr7.26023 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVDWAELLDSLLHEIIGLFTSYHDLLRFASTCRSWRAAFSSYPSKFKVAFPFLVLQPDRLPSPYNPYDDNFSKTKWQLIDPTNPSSSVRCAARMYHTFLDNMDFVGCSQGYLIFSSREQCLLVDVITGAKIRPPQLPYPYKSVIDCAILTAPLSLPNTHLLVCTEFSLFQWRVGSHAWSEHCLCNENIRQIVVFQGQIFAMNSLSRICSVHLGQQPCVEDVAVASQWESIKVMGVHYRPWLVVCGDMLLVVTLILDYGHTRARFEVFCLDLSVKPAMLVKVDKLENWALFVGTDMRSPTFAGMNPERWGGKSNYLYVAGSFKDSTEPWFAIQLGEASRHMEEELYCRCSGNGMWTGIFRNSMQPLWVIPRQQT >cds.KYUSt_chr2.27408 pep primary_assembly:MPB_Lper_Kyuss_1697:2:168113004:168116819:1 gene:KYUSg_chr2.27408 transcript:KYUSt_chr2.27408 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQMEEEEEEQEDEAEEVDEAAEEEEDDDDDFECSRCLFAYLPRCPYIPGDQSSRSAKPPQEPPNNILFIQNSADQTSSMMVEVLFQQYPSGDLTDL >cds.KYUSt_chr4.41571 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257202061:257207486:-1 gene:KYUSg_chr4.41571 transcript:KYUSt_chr4.41571 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPLTCFSSELRNGCGDSAGKVFDHVDDSGDAVFSGLGNLSSNMTDDADESADKTIRESLDLLNQDFAAYSADSLPRFLDPPVFDRTPAVEKGNMGSPVFDYTPLRQVLESEPVEATPVMEETQSQSVAGTQIVTQDYMVEEQLAKEKERQAAKLAQHQEKLTTYYRKYPAKAKSAKGNAVVNDVPRDGEAAESTRDECAAEIIPPKVRKERVVCRKIKENSPLGKAAAAAAAAQARDPLQQTYAPGATQEDVAADKATNPVRRSPRVAATVQSTVASERVSSPGKSRLGHRKRKIDVDETYVPDSTEDGVAAGKCNAKKSRTKLVSDESDFEAPAKASVGKKVGRKPGPSKPVVLEGDSKKVLKRPTKKTMARKRKADEDLDGEKTRFQQTIRCSLGEVRSAAAMLKQQHRLKVEQAGFGCVFQWVLEGNISRVLMCYLMKTIDTSTMKLACGSGRVLEVNRDTVHQVFGFPIGGDTPILPAESGHDESLALLKQEFGFESNASIEPKDLRQLLSDLVEDPEKEDLAVKVFFAILFSKLICPGSATRVGREAAMLVNMDYDKMAKMDYCQLVVDELKRAATKYQDPDIPQAGLEGCDVIPTVMYLDSIFLPPHSVMHTRTPRANFLHEKPLKAIYKMDIQKNGGPELMKYKFGRIVWKGRNQIAYSYRYRVEDLIVNLNEGTHCSEPDAGNANEHPAGGHCEIPISQIANVNTKDNHQSASTSAGMPSAGVIDQIGSLLQKVEYLSRTIPTTGDRPKQFQGRLVDGNFPSVEALDEQSKRETSLLDSLRGALSLMRTGFLDFGMNQDSLCKQFESRANVSGNNSVESNVQKNISSSVEDVDAGLGQSAVEAEEDARIAEENAPQNSGDGDHAPVNDGFVRPPTPQCPPAFAGDHVEDAVFDKSPPLAEVNGKCVEKDGLPDDSDDNSSVDRYYVKHNFVHPATPVTPPLDDSDGNSGGACDKVADHYSELDSVGKGNLFPEIVAHSNGDKDAEHHVEDCAVTGKGFSIPEDLVTCQDGNDAKDAAQLPGIGAVVSETCAEAADDVGVLLAAMDCKSIVAHGREEVGVAATQGELPGTEANIERSTGADDDDNNEFQVGDNHGRDASDSIERSSSFFEESTDDLSASIEESPQDLGKDI >cds.KYUSt_chr1.41888 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256859133:256862377:-1 gene:KYUSg_chr1.41888 transcript:KYUSt_chr1.41888 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKIKQSLSQTSGRVYIALDLEYVASSTTDLSSQPHCSTQWYNHPRDFVNGGYIVQVGLVFAFDGPSNDVMQCYQLNFHIDEASGKYSKDSLDFLVAHDHILQEYRDLGVFPEWAYADLLRHFPFSDSSVTWITFHTDKDMAFLMKLAVEGGFGMMPDNLLHFMDLVRKFFPMLYDVMVLAQLVTRWYNRSLKKLADQLCIKRIGQEHFAGSDALATFECFLKLVEQYVGEGISVIMGLLSGAEEVEMATVCSLPLSFSAISVFEVKPADFQHQGLRIHDTLRSNFNVVGVQVDSLASDVDLLKSKVMPNMDIENKIVTTENAIQVRINENIRLMAELRARWDREENEKLAKENNVAKVWTITTTSNVNAPHVAAPPTNNGERIGVGNVSTPSAKRTKLPEIAKTAETACDKTAEIFSNIGDDDPIAVDHNGLDFDDCHISEVIKFLQKLAKSPNASAINLAFTKHITNALIKAREEKLKLETSIPRKLEDGWEPIIKMKINDFDCNALCDLGASISVMPKKIYDMLDLPPLKNCYLDVNLVDNAIKKPLGRVDNVRIMVKNNLVPVDFVVLDIECNASCPLILGRPFLRTVGATIDMKEGNIKYQFPLKKGMEHFPRKRMKLPFDFIIRTNYDVDASSLDVT >cds.KYUSt_contig_915.419 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:2738965:2741040:-1 gene:KYUSg_contig_915.419 transcript:KYUSt_contig_915.419 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRRRRTTIALVLLCFYCVPRGASSLSFSLNFSDPNHGASIDLTGDAYFSPSRLELTRNALTGRASYVNKVPLWNSATGEMASFTTNFSFQIIPDKDRLSDTGDGMAFFLGHFPSAIPRNSDGGGLGLLPRLPASSTIGKGAGRIVAVEFDTHLNPEYADISANHVGIDVNSVNSTASTDTTTWPGKNLTSPNVMTATVKYGNESKLLTVDLLIDGAVYRVNATVDLRRYLPEEVAVGFSASTGLAVELHQILSWSFSSTLQLESTPKVAPPPAEPPLPTTTSSNHKKTLVILLSVLVPLLLLLVCVLAMAWRRHMETGSIRANEDRSTDSDSEEECVDRDDLERGVDAGGPRRYTYRKLAAATSNFAEEEKLGRGGFGSVYRGHMTVVGDHRPVAVKMLSAESSAQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRKGLLLVYELVAESSLDKHLYSKDRFLTWPQRVNIILGLGSALRYLHGESEQCIVHGDIKPSNIMIDSSLSTKLGDFGLARLVDHGTGLLQTTKAVLGTAGYIDPEFVNTRRPSTESDVYSFGVVLLEIVSGRRPVIETPERTFTLLRWVWSLYDRSAILDAADARLRGDEENDWWMERVLVVGLWCALPERGERPSVTQAMHILQSEEARLPALPLHMYRTVHDTAPAPVTGGVLSSSINTGDANLSSKEFTN >cds.KYUSt_contig_6451.16 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001307.1:101575:102728:1 gene:KYUSg_contig_6451.16 transcript:KYUSt_contig_6451.16 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCKYSNRRATSFKIIMQEDYDHFATVPCYFKEKLNKYSDKWLHALAAGHRLDLYLEKSTNSTTMCGPWWSFLLMSHLVDVNDVVTFKLPTEDDNEDVISDEDAEVMEYEEEAGDGIFEVTVADPDGNQKPFYLMNGPIYVPEEIRCTLYKTVFTNMHAVGEADMTEIVWEMYKDASKDVDSIDELQYFVHRVDAVDCRSKSLALPENLVHGYNYPKRGIARVSSRTVSEDIAGHYFIQTGVLGRLVVWLNEEDLEKFCEANSIVKGSLLLIRVDMMQDYIGVSVHKIEDI >cds.KYUSt_chr4.2251 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12434051:12452668:1 gene:KYUSg_chr4.2251 transcript:KYUSt_chr4.2251 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYSKKTQASKLGDAQGIPFFIDNIIRFHVGAGISGVAPHYIPPPSTFNVLLDSYWMTCDAAARIGYHKPSLIESRFFPALQGENTKMSASDSNSAIYVTDIHEEIKNKVNKYAFSGGQDSKELHRKLGSNLDLESSPRGKCSPLLESALLPGGSELAVHEWKAVPDIWRTAAEKYSDRVALVDPYHDPPSELTYKQLEQQILDFSHGLRAVGVAPDEKVALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYNHSESIALVVDSPQFFNRLAESFISRINARFIVLLWGDKSSLNSKAVKDMPVYDYNDITELGKENRNALHHSPEQGHDGAFEAITPEDVATLIYTSGTGGTPKGVMLTHRNLLHQINNLWDVVPAVPGDRFLSMLPPWHAYERSTEYFIFTHGIQQVYTTVKYLKADLQQYQPHYIISVPLVYETLYSSIQRQISSSSTFRKTVALALIKISLLYMEAKKIYEGTVLSNNPVEPSFITYMVNCLRARIVAAILWPLHNLAKMLVYKKIHSSVGISKAGISGGGSLPMHVDKFFEAIGIKVQNGYGLTETSPVVAARRPLCNVLGTVGLPIKHTEIKIVDIETGEVLPDGSKGIVKIKGLPVMKGYYKNPSATNKAVDQDGWFNTGDIGWIAPRCATGPSRNCGGMLVLEGRAKDTIVLTTGENVEPAELEEAASRSNLIDQIMVIGQDRRRLGAIIVPNNDEVLAAAKRKSGLDGSTGVAKDMVKNLVYDELRTCMAGCSFQIGPILVVEEPFTIDNGLMTPTMKIRRDKVVAKYQGEIDALYK >cds.KYUSt_chr2.30859 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190082980:190084464:-1 gene:KYUSg_chr2.30859 transcript:KYUSt_chr2.30859 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGDAEHPLQLQSKNVCVVGAGMAGMAAARELRREGHAVTVMEQSADVGGQWLYDPRTDGDDPLGAAVPVRVPGSMYACLRLISPREAMGFSDFQFLPRHGVAGRDPRRYPVHREMYCYLRDFCDVFGLMDAVRLNTRVLRVAETMPASSTRQWAVRSVQLCDGDEKEEVFDAVVVATGHYSQPKLPRIKGMEEWLRRQMHSHSYRTPDPFRGEVVVMVGCGDSGKDIALDLRRVAKEVHLTAKSTEEAMTPAMSKMLANHANLHLHPQIDQLHADGRVVFADGSSVVADTVMYCTGYTYSFPFLDTGGAVTVDDNRVGPLFEHVFPPSLAPSLSFVGVPRKVLIPWFFEAQGKWVAQVLSGRRALPPVEEMLRSVEEHYRAREAAGVPKKYTHDIGGVEPLKMYEFGEKYCDFPRIENWQRELILSCIAGMNEDMENFRDRTDDSDNVRKGLQTWHSLAASAQAQDDKKVTVKDLAATQVDSQAMTKLLVN >cds.KYUSt_contig_402.31 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:109453:110895:1 gene:KYUSg_contig_402.31 transcript:KYUSt_contig_402.31 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRHLLRRLLPSPATAGAPSPLIRHLSTTAVPTTDITSIASSLAAAVTKLSSTPPPATSPEAYFSLHFSDVRPTTALLAEALSLSPPATSRAAADLFRFLVRRSLHPSDGALALVVRHLARRRDFPAVRTLLQEFPSALGPDTLKAYLFHLARAGRATDALKVFDELPAQLRTREALTSLVTSLSSEGFPQLAERAVKKVAHEIFPDDNICTLLVAGYADAGKLDHALRLIGETRNGGFQPGLDAYNAVLDCVCRLCRKKDPLRMPAEAEKFLIDMEANGIPRDAGTFRVLIKNLCKIRRTEDAMNLFRRMGEWGCSPDADTYLILIKSLYQAARISEGDEMVTWMRSAGFGDKLDRKAYYGFIKVLCGIERVEHAIKVFRMMKGYGHAPGTKSYSLLIEKLATHNLGDRSNALFREAVARGVTVTQGVYKIDKRYVKEKKEKVVKKRLTLPEKKILKSKRLYKLKMSFVKKPRRRMRA >cds.KYUSt_chr4.5858 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34294168:34295089:1 gene:KYUSg_chr4.5858 transcript:KYUSt_chr4.5858 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTDLAVDMERLAGWKNSISASLSNWGKTNDEESSLRIPLLGEVPPASEASKRENLLLLQIFALGASLTLLPYMGKEGLLKDPSFPDAYRWWCRRHVMELAGADFDSRSK >cds.KYUSt_chr1.24553 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146770979:146780716:1 gene:KYUSg_chr1.24553 transcript:KYUSt_chr1.24553 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDAPPPTAPSSSSSSSPSLFGGGGGGGGGGELFESGPSPLVFLPLLLIQGGGMDLSRVGERLLSSVRSARSIGLLPPTPAPAPSRPEVPARAAAAAAAARAIAGLPPHERINLPSNSEDLVSIYGSNPQGPPVDDLEEVFYLEEFDPIKYILANISEAGGDATYFDKQSTMRLAQLDKIAERLSHHVMGHYEEMVKGMQLVMELEQDLKVANVICMNGRRHISSSKSEVSRDLVVNVKSKKKQALLDVLPVLTELRHAQDMQIELETFVEKENYFQAFQLLPEYLQILENYSGLSAVQEMGRGIEAWLARTIQKLDSRLLGVCQTFSEESYLTVIDAFALMGDIGGMAEKMQSFFLQEVLSQTHIVLKEMLEEEVGNNTQRNRFTYSDLCVQVPESKLRPCLLKTLESIFSLMRSYYAIMSFCPEVKVITSQSPSETLVDSGRGHSSTVVNQDGVADATSDRIPSSDVSNPDASTSGADAPFYQLRTDATKLVAYTFERGRRNLWQLATSRLSVLLSCSAVCSTSTYQFLKNYEDLTIFILAGEAFCGFEASEFRQKLKTVCLNYVVTFHRQNIYALKMVLEKESWTIMSAEATQIISLAGLTGDGAALISPTSRSSTLPKECFSGTTATNKGRQKNGFASWLSIENPFSLKIENGSLESPKYNVLFSSSAGNNSVHGNGNNSPFDEENEDLLADFIDEDSQLPSRTLKTKIVKGNSSHWKDGDISSQTGSSLSLLRMMDKYARIMQKLEMVNVELFKGIFQLFGIFYHHIYETFGSQDRSQSGKPLPDSQSFRLKAALSKITQDSDQWIKPQNSLYPSSSPLSMNSTISQMDVMPTAPPSSMFTSYGLKERCTAAETLSLVARVLNRSRAHLHSVLSQSSTSVVEEFFGTLVDTVPDLAEHIHRTSARMLLHINGYPDKIANAKWEVKELGIEHNGYVDLLLGEFKHYKTRLDHGGISKELQQLLLEYGIESLAEVLVEGLSRVKRCTDEGRALMSLDLQVLINGLQHIVSSNVRPKLQTVDTFVKAYYLPETEYVHWARSHPEYSKTQVVGLVNLVATMKGWKRKTRLETIERIEAGP >cds.KYUSt_chr3.37764 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237542812:237545169:-1 gene:KYUSg_chr3.37764 transcript:KYUSt_chr3.37764 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSSFVPTPDQDVLDIKPLRTLSPMFPAPLGVNTFNQPSSPGTPPMVFVTTAGQFPGLRSFSAFGAQDAAGGRPASSNAQATGFGGQSGNGGHAAGFGGQPAGSGGQATGFCGQSAGNGGHATGFGGQSAVYGGKTAANGTSNAGASADVPIDATPISVCKPTPPPTNAGASAGTPIYATPLAAYKPKTPNVIQLDDDDDDNDDDDYAVNQTSASGRAIKRPYRLSGYRTSGGLGSDSNSGVKIKRHKSSNRMAGTDHEFTLEPHSSSDPREVVEEVLMTFEALRRRHLQLDEAQETGKRADLKASAIMNAKNLRANAGRRIGGVPGVEIGDIFYFRMELSIIGLHAPSMAGIDYMTATFGDRDDDSVAICIVAAGVYENDDDATDTLVYSGSGGNNRNNEDMHDQKLERGNLALERSLSRKNVIRVVRGYKDPGCLAGKIYMYDGLYKIHESWKERTRAGINCFKYKLVREPGQPEGFAIWKMSRKWVANPDTRGNVLHPDLSSGAEYLPVCLVNDVNSEKGPGLFTYISECNGVNCRNRVTQKGAKIHFEVFRTENRGWGLRSWDPIRAGSFICEYVGEVIDDEKFSLDDSKDDYLFQTVCPGRKTLKWNYGPELIGEQSANVSPDTFEPLPIKISAKKMGNISRFMNHSCAPNAFWQPVQFDHGDDGHPHIMFFALKHIPPMTELTYDYGEIGADSSGIGSPRAKSCLCGSSNCRGYFC >cds.KYUSt_chr4.49102 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304114162:304115141:1 gene:KYUSg_chr4.49102 transcript:KYUSt_chr4.49102 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVVLNMRPDLFKATVAGVPLVDVRTTMLDPTLPLTAAEWEVRLEGEEEARNGACISTAGQQWG >cds.KYUSt_chr2.30380 pep primary_assembly:MPB_Lper_Kyuss_1697:2:187059941:187060201:1 gene:KYUSg_chr2.30380 transcript:KYUSt_chr2.30380 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALTLGLTAKWASSGTTEEHRSDRRWLKTPAVRAEVEDGLVRLEQLRLLAGDEDAADIKAVPSPSSARRKAARDGIAVEDFVQR >cds.KYUSt_chr6.34032 pep primary_assembly:MPB_Lper_Kyuss_1697:6:213304495:213308029:1 gene:KYUSg_chr6.34032 transcript:KYUSt_chr6.34032 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLMMRSAQATMVFFLNQRVEVNLIVELIWDATDRDRNEEIQLCSDMRQGCNEMLSRVQAGASNSTMQVIFMVNGDAKQQKLTLPLEGLPVVQEEHDAEDTSHADYVA >cds.KYUSt_chr4.5048 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28969882:28973752:-1 gene:KYUSg_chr4.5048 transcript:KYUSt_chr4.5048 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQTSWKTENFLSVSRRFLFEGQCLQKMTMVPPKTVKAEKEWKHVHQPGLGKTMEDDYSEAPSQQLPMDIIYKILAHISDPASLARLASSCKFWHDLIKDPTFLDRLRRRHGDHGFTPSLLLGFFYQDNRRSSPDLWQYHIDKTRCLAPSFVRMSELTQFVGSKAARNARKLLSLETFIPGLGTSLNFYKPVASQDSFLALRRKSKDTDGQTIKDLICVCNALTGEVFEIPSLRVNRRTDHYALFVTNDVDLSGRASQSFQLISIWVIKAKKFVSLCYSSKTGTWTACEGVPELMHGLYLVSNSAASSDGVVRWLCGSWRQMTLTHIATLNVGNMELSYLELPPEGKRNNAPLLGSSADGGLLLLFAQGLQMSLWKHSSAVGSDSSGWVLSERIDMRSSLPPRVGIRAKVRPEMFRGKSGTVVLWVDEEGLFLFSLSDRSLRKIDSEYVTKKYFLCPYEIDWLSCLAITNLTVDGSLSLDVKRERAQGRWRALMGTNLAANTAY >cds.KYUSt_chr5.42243 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266232281:266234718:1 gene:KYUSg_chr5.42243 transcript:KYUSt_chr5.42243 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMEHDGGGGAEVVTPGELLGASSSLAAGRGAYADGHSVRASVTGRRRILPPTPGSSDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMASADIMCVDSKAVKEKFTGMIRSSITGKLLMPCSSVCRQQDVRATEIDKVMMMLPGICLINDAESYRDVIIAAGFSSLNLLLWQNLM >cds.KYUSt_chr2.46294 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289177288:289180154:-1 gene:KYUSg_chr2.46294 transcript:KYUSt_chr2.46294 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRKGGFKKKIKAVAELVGLSSGSSSHTRPPSAPPSAPPSPPPRRKNAQPRRLRTPSPSPPPAEDDDEEQWGGEDEEDGEEHGGQDEEAGGEDGGEDGGEDSGEDGGEDGGEDGGEDGGEDLEEDEGLGGMSPELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKYGNMQERVVRHFWKYFKRAEGKEIACDVILHELCRVRVTGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQACFLAMVIWWTSREYARKHEEGKRSVREMGGGSHVLGSKNLALTLQDEEVKTGVAPNLFGFFQKSKTRKEPHPETGSLWVNDLAEGQCGAYRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIADGLVDPSTIPSLRQIRRGRTSEQPRVETRPRASALAIEKLRAEMEERERRHQEEQMQMQQQLRENMQMQQQMLQQMQQQQQMFQRDIPTPDPGCDGAPPASAKSSPLTPGLTVNNTGIIRSLQQFLVLTCQFEPFHVNLSHYEDKEMEDKEVEKDKEVEDKEVAKVDVACMNFVV >cds.KYUSt_chr2.39010 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241879515:241880867:1 gene:KYUSg_chr2.39010 transcript:KYUSt_chr2.39010 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIRLLLLVAMAVVAGCATGGLAARSSQPGRLEGLRVALTHVDAHGNYTKLQLVRRAARRSRHRMSRLVARTTGVPMMSSKAAAAAGADLQVPVHAGNGEFLMDLSIGTPAVPYAAIIDTGSDLVWTQCKPCVECFNQSTPVFDPSSSSTYSALPCSSSFCADLPTSKCTTATKCGYTYTYGDSSSTQGVLAAETFTLAKEKLPGVAFGCGDTNEGEGFTQGAGLVGLGRGPLSLVSQLGLDKFSYCLTSLDDTSKSPLLLGSLAAISKTAASSVQTTPLVKNPSQPSFYYVNLKGLTVGSTHITLPSSAFSVQADGTGGVIVDSGTSITYLELQGYRALKKAFAAQMKLPAADGSGIGLDLCFAAPASGVDQVEVPKLVLHFDGGADLDLPAENYMVLDSGSGALCLTVMGSRGLSIIGNFQQQNIQFVYDVGGDTLSFAPVECAKL >cds.KYUSt_chr4.53875 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333312033:333312860:1 gene:KYUSg_chr4.53875 transcript:KYUSt_chr4.53875 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCWSLPPENEFRYTGRDWLQLLLDPLDDITRARVLLVLWRAWHLRNDVIHHKGDATIASSVIFLQSYMAETFNPSTVIHDEKGKQTCMANSTCRKNDGVDTSDILLQWSAPPPGWIKLNIDGSFCANNGTGGAGIVLRDSNGKVLAAACVQLHNCAEAEEAEASAALLGLLQMENLQAANMIIESDCYAVVKALLSNDQDRSKWCAVLEEAKACTRSFAACRVLHVRREANRVADALARLARTSGDFFFGEVPPAHVRELVNNDCKLMYYPDL >cds.KYUSt_contig_2073.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:108492:110554:1 gene:KYUSg_contig_2073.13 transcript:KYUSt_contig_2073.13 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDRVVGEGEGIEWPSWGMEKLEQDLNASMDTKHGHVEEVEVEAAPVVVEKMVVDEKNKVDEEMIAADKSKVEVEMVVAKKRKVSEEDKNGEVEVIGSASTLQKDEHWNNLVESAKERNHYFKVSKPFTTFFAEDNFKTMKVEKPPPPDTRISQAIEAISEVVAGQEAMDVDDAADQADGDDYDAMEGDDGGGDD >cds.KYUSt_contig_1253.205 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1382447:1382879:1 gene:KYUSg_contig_1253.205 transcript:KYUSt_contig_1253.205 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGAKAYGFDETPDSSKDPISRDDDVGLPCADRRGRGREDGGGGGGGDIAGIAGTGMTMVATAAPATNHRERQRQHQHAQQAARDEELLQLHHQKQQRTAWNGRAKNPDLNQAPDPDSSDAE >cds.KYUSt_contig_402.96 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:482108:482641:1 gene:KYUSg_contig_402.96 transcript:KYUSt_contig_402.96 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLKPDVYPLIAAMSLVTGMCVFQLTRNVFLNPDVRISKSHRQSAVLENAEEGERYSQHAFRRYVSAHRPEVFPAINRFFSESSK >cds.KYUSt_chr4.20755 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130679185:130685906:-1 gene:KYUSg_chr4.20755 transcript:KYUSt_chr4.20755 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVGLAKSVVEGALTKAQSAIEEDSRLRQSAQRDLVFITGEFQMMQSFLKLADDERARNIVVRTWVRQIRELAYDVEDCIEFVLHLDKKSQWWRRMLPPFIAAATQPLDEAIAEIRQLKARVEDVSSRNARYSLISDSGSKPAVVQQQPPAAARAAMGAAAFSMLVEATDIAKRQHGDLTQLITKKDDGLQVISVWGTGGDLGTLSIIRKAYEDPEICQNFSCRAWVKLTHPFSPLEFIRSLKVQFYANSCKEPGSSNRVGVLKMMEATQDDLETFMKDLDSKKYIIVLEDVSTIVDWDAVRMFIPGSKKGSCIIVSTQQSEIASVCVGYPYQVLELKQFSNEHSVYAFREGSQGDREKAEEIPPENGVSPATILTSKTNAANNWKMKYPIIGRESEMNELRQYTTFARFNSLQVISVWGIDGVGKSALVRNLFCDRILTEGLFGKYGWVDVSHPFNLRDFARSLLLDFHSDSFQTKETINHGAISFKNPIQECRDLLEQHYCLVVIDDLQSKDEWDLIKTSLLSRSSKSVIIAISTEASIATCCADNEELVFNVKGLQADAAFDLFHQEADRKNPLSNLKDLKEKPKLIDLILKCGGLPKVIIAIAGVLAKKTVTLMHTVSSTHGRFMHTLETNPEYDSLRGLFGWMYSYFRSCPDSLKPCIFYMSLFPRDQSVRRRRVVRRWVAEGYCRDTEDKSAEQNGEVFFSNLLDLSIIQQPPQLVTTTTLGDTRMISCRVNGFIREYIVSRRMEENLVFELEGSCIVTTQRTGRHLIIRDSWDRDKIVFESMDFSRLRSLTVFGNWKQFFVSESMKLLRVLDLEDASGVEDADLEQMLKQLHRLKFMSLRGCSEIHRLPSSFAHLQQLQTLDIRGTSIGKLPRSITRLQKLQYIRAGTSVQAEQSSTSCISVTKFCGPCHQVGVIVPGGIDKLTALHTFGVVNIGASGGNVILKELKDLTQLRKLGVSGINRRNSKKFFSAISGHVHLESLSVQLDKDSRGCLAGILLPLKTLQSLKLHGPVEKLPVLEINQDSKLTKLDLEMTLLTETEIELIHSLPKLCTLRIKQLQNSKLAFCVKKNGLELPTYEKVKVLEIAFSSNLDVTFGANTMRSLELLKAHCCNGSSVKFSGLENLSQLKEVLLKGCCDDKLKEELRIQLNGHPKKPTLKLEA >cds.KYUSt_chr3.41510 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261865791:261866906:-1 gene:KYUSg_chr3.41510 transcript:KYUSt_chr3.41510 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTADEDKKPADGGVHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDLNSIAFLFDGRRLRGDQTPDELEMEEGDEIDAMLHQTGGCLPPP >cds.KYUSt_chr3.38068 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239546005:239547989:-1 gene:KYUSg_chr3.38068 transcript:KYUSt_chr3.38068 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGAGYALIALGPAFSLFAGVIARKPFLVLTLLSSSLRIPLFSPGLRLCETDDTLLILGSMVWMLWNQSSMYIACVRLVFWRLYK >cds.KYUSt_chr7.21292 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131903193:131905099:-1 gene:KYUSg_chr7.21292 transcript:KYUSt_chr7.21292 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVREQVKEAQWPVLVNGFACKNPMDVSANDFFKAANLDKPRVTNKVGSNATLINVMQIGGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPENKFLSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKKTIDWLQAQFWENNHN >cds.KYUSt_chr3.34919 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218950878:218951366:1 gene:KYUSg_chr3.34919 transcript:KYUSt_chr3.34919 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHSIGSSTATLRVSPVRAGGSRDASGDAAADGSAEFVHYTVHIPPTPDRATTTASAAASTEDAQELRHVSATIFTGGLNYATRGHVLAAAAAGGAAAVRELVVQDARLRHAGVPPPALRVRLPDLPRVLRGLRRRQRQLPGLQGALLRRERHGRLASPCG >cds.KYUSt_chr3.3171 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18215759:18218737:1 gene:KYUSg_chr3.3171 transcript:KYUSt_chr3.3171 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGREEADAHQEEAGEAVELGEDGFDEEEEGDATVTAASRGRRRRSGARPSNSLRQEMCVDEANRREALAGLGNHHSGAGDDDLRRRRRFTGGRAAASENERGRRSRRCAEEEVLPWWIMGEQSTWWSWLCEDAPKKKLSHGGLWGSNPQDFVKQAQARKAFHLVEVKIVVIELKWNAQV >cds.KYUSt_chr6.14825 pep primary_assembly:MPB_Lper_Kyuss_1697:6:92847465:92848250:1 gene:KYUSg_chr6.14825 transcript:KYUSt_chr6.14825 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGLLLAAGLVLLAAAAPAAYAVDYTVGDSSGWTGGVDYSTWASDKTFNVGDTLVFQYGASHNVAEVGSADYSACSSTNSIQSYSDQDSKIKLTKPGTRYFICAAAGHCAGGMKLAVTVAPAATSTPTPTAPAATPAAPSETPSETSPDPETPSTSTTPAATTTNTPASGKTADSVGGASGMEARSVMGALVGAAGLVGLALMG >cds.KYUSt_chr6.8369 pep primary_assembly:MPB_Lper_Kyuss_1697:6:51395327:51397450:1 gene:KYUSg_chr6.8369 transcript:KYUSt_chr6.8369 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVGMALQSRAAGFGSARRRGAMCDAGRSSRIGSFRVADQLASPAAAVRARGSKPLAPLRAKKSSGGHENLHNSVDDALLLKRKSEDVLFHMNGRCIYLVGMMGSGKSTVGKILAEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMRRLVVATGGGAVIRPVNWKNMKKGLSVWLDVPLEALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSTLAEQRGDAYANADVRVSLEEIARKLGHDDVSKLTPIDIALESLHKIESFVTEDTITDSQTESQAQWIQTL >cds.KYUSt_chr3.32087 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201655630:201657669:-1 gene:KYUSg_chr3.32087 transcript:KYUSt_chr3.32087 gene_biotype:protein_coding transcript_biotype:protein_coding MRALHCLRLRLRRRLYLPLNARPSSSSSSSSSSSSSPAATHEIPTVYSFLQPSIFAPRPRPQPPPPPPAPDPAPRPLPVTDAAALESGLFAAVAEDRSDDAWLAFKSLAAASLPPSPPAAAALVAHLVAGSHRLGLKRAFAAAVFLLERSPHASPLPEPALEALFSSLASSGSAGPALALARALLGCGRRLPAFSAWGRPLVELTRADAPSFAAFLKVFDEACRLMAEEKSQSVVALMRPDLAACNAVLQGCCCLLGSVKDAERVLEIMSAVGVSPDAESFGYLAFLYAWRAVPSRVDELDKLLEALGFSKKGFFKKLVSGYLKCCSFESVSSVMLRAVEERRAGDASAFDDECYTEVAQCFVDNGRIRELAQLIIQAQEIELTNQLLVVDESVGFGIVNACVGLGLLNKAHNILDEMTAQGASVGLGIYSPILKAYCKEQKTAEAAQLVAEISAAGLQLDAASYDALIDASMTAHDFQSAFALFKDMREARLPDLRTSYLTIMTGLTENNRPELMASFLDAVVDDPRIEIATHDWNSIIHAFCKVGRLEDARRTYRRMVFLLFVPNNQTYLSLINGYLSAEKYFYVLILWTEVKRKGADFNHELIDSFLYALVKGGFFDMAMQVIEKAQELKIFVDKWRHKQAFMETHKKLKVAKLRKRNFRKMEALIAFKNWAGLNS >cds.KYUSt_chr1.35368 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215677154:215678076:-1 gene:KYUSg_chr1.35368 transcript:KYUSt_chr1.35368 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGVTPVLYEPAAGDASCFFTSSLMPSYFSHGHGGVSSTTSSSPASSYSAALSASPVQSPMQPAIADYAGQLDMPEYLFDHGLFAALPPNGPLSPIQQDGSHGVPGASATTPTHARGAAEPAAMERPRTERIAFRMKSEIEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCDVKKRVERDRDDTAYVVTTYEGTHTHVSPSTVYYASQDAASGRFFVAGTHPPPGSLD >cds.KYUSt_chr2.10330 pep primary_assembly:MPB_Lper_Kyuss_1697:2:65398247:65401905:1 gene:KYUSg_chr2.10330 transcript:KYUSt_chr2.10330 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRKGWAIQNPLDTCIVHRSWSLSLAAVGLNYHVDKNGHRTGASECESIACSAGLAAPAPAPVSEVRELLSRVKSDAKGASSTRNMIRNRFVGLGAAPPPLPSAGAAASLGFLSLSSSTRNLVADRRNDAARGSAPAIAAATSAAVLADRLHGHHLGGAGCDVAGNGEFELDTAAVLADRLHGLRRQYSRIRRTTNLAGTLKKWCKKKKPLQQQLDTLQEQINTIQKQPIQDQDHSLEVKLISQYEDTMTKLTEFYGQRSKKHWAVHGDRNTSYFHSAVRKRTNNGRPLFSTSCPQDAQDFTNSIPDKQEVWEILKAMKKDASPGPDGFNVAFYTSAWSWIGDDVTNLVRNFYITVNELSLAMQEALQGNNLSGSNLHISHLESILPAVRTTS >cds.KYUSt_chr3.43784 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276310361:276314694:1 gene:KYUSg_chr3.43784 transcript:KYUSt_chr3.43784 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVHVNGSANGANGTEERLDELRQLLGKSEGDLLKIVSIGAGAWGSVFAALLQDAYGHFREKVQIRVWRRPGRAVDRSTAEHLFDVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEELSNYWKERISVPVIISLAKGIEASLDPIPRIITPTQMISSAAGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELRLDMGDSIKGKGMIQGISAVGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELPVRDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >cds.KYUSt_chr1.7479 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45824148:45827777:1 gene:KYUSg_chr1.7479 transcript:KYUSt_chr1.7479 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDSSAVTIEPSSFDVVVCGTGLPESILAAACAAAGKTVLHIDPNPFYGSHFSSSVPPHALPAFLLSSASPPSTTSSDAGVIPLQRRSSLYSDIETLGTVPSEGSFAVDLVGPRLLYCAGESVDLLHRSGGGHHVEFKSVDLLYWDHGDLFPVPASREDIFKTKLCEDAKVNLFDKRHLYAFVELVKSHIAAKERGQGKASISEEDLDLPFVEFLKKQNLTPKMIGVVLYAIGMSDYDQEDDTADSRKTLLTTRDGVKTVALHFKSIGRFANAKGAFIYPMHGHGELPQAFCRFAAVKGALYVLRMPVTALLMDKLFAEIYPNEEFLPRNSAPQHEDDDSDSAE >cds.KYUSt_chr5.4213 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26945629:26949584:1 gene:KYUSg_chr5.4213 transcript:KYUSt_chr5.4213 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSVARSPPSACSPRRPAASNPNPLLPSRSTLAVCLATKPKVPLPIASPSPLGNDPAKWDPAECDALLRGGEQVASVLQEMLKLMEDMEMDGEFAAVAVELVAQGVIGNRVDEMESGFLMALDYMIQLAQKDGDDERHSLLEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKESRHELLRRVAAGGGVFENDKGLKVQIPGANLNDIANQADDLLETMETRSKVPDRKLLARLVIVREEARNMMGGGLLDERNDRGLNTLPQAEVNFLSKLVALKPGKAVETMIRDVMLGKGEGADNTEPNSDLEPPTGTAEKENVTDRKPHPVRPGMFLETVSKVLGGIYASNTSGITAQHLEWVHETTLRILQEIAF >cds.KYUSt_chr1.28282 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170918652:170919623:1 gene:KYUSg_chr1.28282 transcript:KYUSt_chr1.28282 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGTESQQHQHQANVVLPDGRKVVDEVSGWLRVFDDGSIDRTWTGPPEALPLMQRVEPYAVPRDGHTLHDLPGEPDLRVYLPELKDPAGGRLPVIVQLHGGGFCISDPSWLMYHHFYARLACAVPAVVVTAQLPLAPEHRLPAQMDAGVDVLHRLRSIVTSDAAGTLEDPAAELLREAADVSRVFLVGDSSGGNLVHHVAARVGEDGADVWAPLRVAGGVPIHPGFVRATRSRSELENTSDSVFFTLDMADKFLAMSLPEGATKDHPYTCPMGPNAPPLESVPLPPMLVAVAEKDLIRDTNLEYCDALRAAGKEVEASTAT >cds.KYUSt_chr2.47700 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298379171:298381708:-1 gene:KYUSg_chr2.47700 transcript:KYUSt_chr2.47700 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPFRADVVRGKAALVTGGGSGICFEIAAQLARHGASVAIMGRRREVLDKAVAALRSEGLRAVGFQGDVRKQEDAARVLASTVEHFGRLDILVNGAAGNFLASPEDLTPKGFRTVLDIDTVGTYTMSYEALKYLKKGGPGRGPSTGGLIINISATLQYTASWYQIHLSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIGGTPGLRKLAPEEMVKGLRETMPLFKLGEKRDIAMAALYLASDAGKYVNGATLVVDGGLWLSQPRHIPKEEVKGLSNVIEQKATTIFLTWCELDSFVQEKQKTDRDSQMLTGQANGHEPAVLCLNCLMRREHNSFTL >cds.KYUSt_chr5.11570 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75036566:75038989:1 gene:KYUSg_chr5.11570 transcript:KYUSt_chr5.11570 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAACSPSSSAAPLPPRSCSATASGVDVIMVDTWEDQGQHRHAFLHAAMEGDLDLLTGMAAELGKGAGECDRGALHLAAANGRTEVCCFLVQDLGFPVDARSPCGDTPLLLAATFGHTSTAAYLLERGADPRAPDSTGETPLHWAAYNGDRGLAMLLLHRGADTGAATPRGTALQVAATRAHPDIIAILLRHGADAGADVNAGGFSGTTPLFSACSRRGTLPFVKCLLEAGADPNTLDEVIQASCSRKDELKELGYTAVKRKDYDEAILLYSRAMKFDWTDTDATLYSDRSVCWLRIGVGDQALADAQTCTRMQPNWAKSYYRQGMAFRLLQDHASASAALVKALKLDPENAGIKEALW >cds.KYUSt_chr6.25451 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161365913:161368099:1 gene:KYUSg_chr6.25451 transcript:KYUSt_chr6.25451 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTFSKRRGGLLKKANELAVLCDARVGVVVFSSTGRMFEYSSPACTLRDLIEQYQNTSNSQFEEINHDQQIFVEMTRMRNEMEKLESGIRRYTGDDLASLSLADINDIEQQLEFSVSKVRTRKHQLLNQQLDNLRRKEHILEDQNSFLCRMISENQHGVGGEPKMVGMPPVLSMLTPAFPPTPYYGGEESSSTALQLTSPQLQLQAAEAAGFRLQPTQPNLQDPACSSLHAGHGLHLW >cds.KYUSt_chr5.21394 pep primary_assembly:MPB_Lper_Kyuss_1697:5:139644206:139658153:-1 gene:KYUSg_chr5.21394 transcript:KYUSt_chr5.21394 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAAHRASFPLRLQQILSGSRAVSPAIKVDSEPPPKVKAFIDRVINIPLHDIAIPLSGFRWDFSKGNFHHWKPLFVHFDTYFKAYLSSRKDLLLSDDMAEEAEPLTKNTILQILRVMQIVLENCQNKSSFAGIEHFKLLLASSDPELVVAALETLAALVKINPSKLHMNGKLINCGAINSHLLSLAQGWGSKEEGLGLYSCVVANERNQHEGLCLFPADMENKYDGTQHRLGSTLHFEYNLAPAQDIDQTSDKNKSSNLCVIHIPDLHLQKDDDLSILNQCIDKFNVPLEHRFALFTRIRYAHAFNSPRTCRLYSRISLLSFIVLVQSGDAHDELTSFFTNEPEYINELIRLVRSEDIVSGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLGVLQKAISSLSSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDKDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVADSLTSVVASDTLKSEDDHLYSQKRLIKALLKALGSATYSPANPARSQSSNDNSLPMSLSLIFQNVGKFGGDIYFSSVTVMSEIIHKDPTCFPALKELGLPDAFLASVTAGVIPSCKALICVPNGLGAICLNNQGLETVRETSALRFLVETFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLSSPCEDRNSESTSSEERTDMETDVEGRDLVSAMDSGSDGTNNEQFSHLSIFHAMVLVHRTMENSETCRLFVEKGGLQTLLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSTPLARAFCSSLKVHLKNALQELDTVSRSCEMIKLEKGTIPSLFIVEFLLFLAASKDNRWINSLLSEFGDVSRDVMEDIGRVHREVLWQISVFEEKKVEPEASSPSANEAQQADSTVGESDDNRYTSFRQYLDPLLRRRGSGWNIESQVSDLINIYRDMGRAATDSHRIGADRYPSTGFPSSSQDQTSSSSNTNPKSVEEKKKSEHSSCCEMMRSLSYHINHLFMELGKAMLLTARRENSPVNLSPSVVSVASNIASIVLEHLNFEGHRISSEREITVTTKCRYLGKVVEFIDGVLLDRPESCNPIMVNSFYCRGVIQAILTTFEATSELLFAMNRPPSSPMETDSKGGKEEKDADCSWIYGPLSSYGAIMDHLVTSSFILSSSTRQLLEQPIFSGSVRFPQDAERFMKLLQSKVLKTVLPIWAHPQFPECNIELISSVTSIMRHVYSGVEVKNTVSNIGARLAGPPPDENAISLIIEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEAPEDDELARALAMSLGNSDTPAQEEDHKSNDLELEEENVQLPSMDEVLSSCLRLLQTKETLAFPVRDMLVTISSQNDGQNRVKVLEYLIDHLKQCLVASDPLKNTALSALFHVLALILHGDTAAREVASVAGLVKVALNLLCSWELELREGEIAEVPNWVTSCFLSVDRMLQLEPKLPDVTELDVLKKDNSCAQAPVVIDDSKKTDSESSSSVGLLDLEDQKQLLRICCKCILKQLPSGTMHAILQLCATLTKVHVAAISFLESGGLHALLSLPTSSLFSGFNSVVSTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPVIFLKAAQAVCQIEMVGDRPYVVLLKDREKEKSKEKEKDKLVDKDKSTGTVTKIAPGDIVSASPASAKGKQSDLNSRNMKSHRKPPQSFVTVIEHLLDLVMSYVPLPRAEDHPDGSSSMDMDIDSSSAKGKGKAVAVTPEESKQAIQEATASLAKNAFVLKLLTDVLLTYASSIQVVLRHDAELSSTRGPTRTTSGLGSGGIFGHILQHFLPNATKQKKERKPDGDWRYKLATRANQFLVASCIRSPEGRKRICSEICSIFVEFTDSSTGCKPPLLRMNAYVDLLNDILSARSPTGSPLSAESVVTFVEVGLVQCLTRTLQVLDLDHPDSAKIVTAIVKALEVVTKEHVHLADFNAKGGNSTKIVSEQNNVDSSSNRFQVLDTTSQPTAMVTDRRETFNAVRTSRSSDSAADEMDHDRDLDGGFARDGEDDFMHEIADDRAGNESTMDIRFDIPHREDMAEDDDDSDEDMSADDGEEVDEDDEDEDEENNNLEEDDAHQISHPDTDQDDREIDEEEFDEDLLEEDDDEDDDEEGVILRLEEGINGINVFDHIEVFGGSNNLSGDTLRVMPLDIFGTRRQGRSTSIYNLLGRASDQGILDHPLLEEPSMLLPPQRQPGNLVEMAFSDRNHENSSSRLDAIFRSLRSGRNGHRFNMWLDDGPQRSGSAAPAVPEGIEELLLSQLRRPTPEHPDGDQSTPVVDSQENDQPSHLHGSETEEKEEEQADQNENNDDTPVARSEVDGSASAGPAPPHSDELQRDASSASEHVTDMQYEHSDTAIRDVEAVSQASSGSGATLGESLRSLDVEIGSVEGHDDGDRHGASDRTPLGDIQAAARPRRPSGSAVPVNSRDISLESVSEVPQNPVQESDQNTSEGDQEPNRATTNTDSIDPTFLEALPEDLRAEVLLSRQNQVTQTSSEQPQSDGDIDPEFLAALPPDIREEVLAQQRAQRLQQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSGSLFGMGSRNRRAESSRRGDMIGTGLDRNAGDSSRQTTSKLIETEGTPLVDKDALNALIRLLQVVQPIYKGQLQRLLLNLCAHRESRMSLVQILVDMLMLDLQGSSKKSIDATEPPFRLYGCHANITYSRPQSSNGVPPLVSRRVLETLTYLARNHPNVARLLLFVQFPCPPTCHTETLDQTRGKAALVEDVEQQKAFALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAENEINQAKLENSPEKPSGPENVTEYTQEDASVPGSSGAKPTAEDSGEIPADNGGSLQAVLHSLPQAELRLLCSLLAHDGLSDNAYLLVAEVLKKIVALAPFFCCHFINELAHSMQNLTLCAMKELHMYEDSEKAILSTSSANGTAILRVVQALSSLVTSLQERKDPELFAEKDHSGAVSQISEINTSLDALWLELSNCISKIESSSEHTSNLGPTSSNATTVSTGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAVQEASTSDMEDASTSSGGQKSSASHTNLDEKHSAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIDFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDAQLLDVHFTRSFYKHILGAKVTYHDIEAIDPAYYRNLKWMLENDISDVLDLTFSMDADEEKLILYEKAEVTDCELIPGGRTIRVTEENKHEYVDRVAEHRLTTAIRPQINAFMEGFNELIPRELISIFNDKEFELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKDQLQERLLLAIHEANEGFGFG >cds.KYUSt_chr5.35340 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223304953:223305547:-1 gene:KYUSg_chr5.35340 transcript:KYUSt_chr5.35340 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPAEEDDAWGTTASSSCFAVAWRVWILCLLHVVLAFSSVAVAAAVVVLLPFACMAASLCLVCASAALLVAPADGEAERGGSDNDDASSGEEEDHEGEAELEISYFQECTPAYADGAQFTDPRGDEQGQFFWVDAGSYYCYKKFYDLLAFWRRKETAGWR >cds.KYUSt_chr1.33082 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200790656:200794710:1 gene:KYUSg_chr1.33082 transcript:KYUSt_chr1.33082 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRQRRPFPLVPLIISLLAVAAYGRLISDGTPATPSKSILASPLSSAVIRLNSSSPAAAAEEEQCEQSYGFLPCTTTVLGNLFLVLTYGFLMFKAATYLSEGSELLLEVMGPGLVGGLLLPILGALPDALLVLVSGLSGTKETAQSQVLIGMGLLAGSTVFLVTLLWGTCVIVGKCDIGPDGLAIDSTNTKGFSLTGTGITTDVQTSYAARIMAISVLPFVIAQFPKMLKSERWERLAILLALITSFSLVLGYCLYQVFQPWIQRRKLAYAKHKHVISGILKHAQMQALGRLLNDDGTPNENVIRKLFYKIDMDGSRNLSRAELHALIVGINFEEIDFDKHDAVDKIMDDFDTSGNDTVEESEFIQGMKIWLNEAKNKVPASGAYSNKFVSDYHARTREEHDQLVDRSDEAVESVENPGWYIAKAVGFLLLGSAICAAFADPLVDAVHNFSNATHIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTCSLTFSEIYGGATMNNTLCLGVFLALIYFRDLTWDFSSEVLVILLVCVIMGLFTSFRTNFSLWTCIVAFLLYPLSLVVVYILDYQFGWS >cds.KYUSt_chr6.12074 pep primary_assembly:MPB_Lper_Kyuss_1697:6:75104622:75105830:1 gene:KYUSg_chr6.12074 transcript:KYUSt_chr6.12074 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRWSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHTLDVAIEEARQMMSDEERAEPRHHPDNYTAWNSHFLQRWEQELASYDGSPTLPPRNNAAGRRRWWSVPDRTLANVLEHIEGGNYPVLTMPPLSRASANRRRGNIWQPRRMAASSSSSGSASRPSLAPVKKEATSPSAQTRVKKEPASPPPSRGRSSGALVIRDRPSSPQRGRKRKPAAAANNDAANKLAEEEAKRAEDAAVAEAIARSLKDLVPADNALPEDAALEWSRRDREREEAEQQRRLLDLAAARQLAARAAAPTAADDVARYRRPATPPSGVAVPVVNLEASDDKWYKPSPSPRRWGDAGQGSSQAAPPQFDDDGSDDDGGDGGDYTVFYRRLGL >cds.KYUSt_chr5.22749 pep primary_assembly:MPB_Lper_Kyuss_1697:5:148618533:148620635:1 gene:KYUSg_chr5.22749 transcript:KYUSt_chr5.22749 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLILRRFLHSSSSPTTTPAAGPDFVRQLAALLAAGRFHASVGLAKSLLLSSHPPAASASASVPDLYHALASQGDPQARSFLCDAASALVVASARLRLPDGALRLLSLLADARAPLPSLSSCNLLLESLLSLGRHHDVRRAFELLAASGARPDTFTWNKAVQACVVAGDLDEAVGMLRRMGCQGQGTPPPNAFSYNVVISGLWRAGRAIDAAKVFDEMAERSVLPNHITYNTMIDGHIKRGDLEAGFRLRDRMLRHGLKPNVITYNVLLSGLCRAGRVGETAAVLDEMVSRKMVPDGFTYSILFDGHSRAGDTQAMLSLFEDSVKKGVKIGAYTCSILLNGLCKDGKVSKAEEVLQALVNAGLTPTRVIYNTLINGYCQTGELEGAFSIFQQMKSRLITPDHITYNALINGLGKAERITEAHDLVLDMEKNGVCPTVETFNSLIDAYGRDGQLEKCFIMLSDMQEKGIKPSVVSYGSVVNAFCKNGKILEAVAILDDMFHKDVLPSAQVYNTIIDAYVESDATQLAFILVEKMKASGVPPSIVTYNLLIKGLCKQSRISEAEELIYSLRNHGLTPDVVSYNTLISAYCYRSNTDRALELQKEMCNSGIMPSSRTYRMLFSALGGANRIHEMENLYKEMLDKDVVPCSGIYDIMVEAYAKCGDESKVEALRKDMSNKGIAIEYDTSVTNCELYTDVVSPV >cds.KYUSt_chr1.36497 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222611359:222611661:-1 gene:KYUSg_chr1.36497 transcript:KYUSt_chr1.36497 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDCPARRRGGDGGAVMPDGLSPLLAVLYAMEMGLVRAIVSDVDRGAVALRIEMREVRKTEPEPEPEPDLNPNTKLTKPFYTSRSRRKLPPQTLLREES >cds.KYUSt_chr1.12285 pep primary_assembly:MPB_Lper_Kyuss_1697:1:75828250:75832123:-1 gene:KYUSg_chr1.12285 transcript:KYUSt_chr1.12285 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDTSKDKSADSSIDKMYEVFSKLLEHQQQSRALLEVLKYALETNPVKLSGPSNYISWKRHAQLILSSHGYEYLLADEEGKTDSGDTSARQVNDKVSVWLLGSMEPIVRQQVELMTTVFEPVDKKDLGIHHEWFESLVAKLILDGLNEKFNLRRQLIFSETGEKDKIQNVDNDSYQGDKDDVIGNSSPPSQGAESPVHEDPGPWDVNEVKCIKDGEDQLLVKDEVIKCRWREYFDNLYNGEVESSSIELDDSFDDTSMCFVRRIQESEVKEALRRMKGGKAMGPDGIPIGAWRGLGDVAIV >cds.KYUSt_chr4.51070 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316572956:316573546:1 gene:KYUSg_chr4.51070 transcript:KYUSt_chr4.51070 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSAILVAAIAAAAAFAGMCHPAQSLTFIPPPKTTVSGTCQAAHEADSRVNATFCTTRLRKEPRAIDADTAGLAEAATAVGFRNAEAARSKVGTALRRRDEGDEQVLDPIYGSRLERCDVLYGVICEKYAVAREAITEQRYGDVERELSSVPLLGHMCDSGIARARRAGPSPFLQYSEDNTQITLLTLAITSLIK >cds.KYUSt_chr4.49992 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309686990:309690121:-1 gene:KYUSg_chr4.49992 transcript:KYUSt_chr4.49992 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEREPNPSVLAAVESLQTYSTALSDFTSAWSSLYSDATALDSALACRLQGYSQLQLLCSGMDGTGLRAYLIEHREDLRDPAGALDPALLVAPDPGLLVLAAAAGFCRAPPGEGEASGDAKVSCRLLIDLLDRIRALGVKPSPEARQEARAVAADWRRSKRIEAQVVFKNETIAFLLLVGVFGLVPDVGGTAQVLDLVVSISSRERAVEIFLGLDLDLDKQMPILIRSMISKGKQLDAVKFIQALNLVHKYPLLPILRSYMNDAKNAGSLIRIRGGGSSSQDAGDAKERTLLGALQKFIKEQKLEELPILEEANNRMTQLDQQSAERKRAASIAAAAAAAQEVSKKRAANAAAAAAHEVSKNILDSSKRRQLPVNVAQGSLGQSTHSVDTLSQQLMSRQSIPKVGVPNQYQVASSHNNILAGITHNPLLPAGNQRPVGIQNQALVAPSVQTQYSGVADFYNLASIRPSGLNVPSATTSSRSKLYSEDPLASVSRASDKKGSSYSYSLSNMSKYNP >cds.KYUSt_chr3.14973 pep primary_assembly:MPB_Lper_Kyuss_1697:3:91186850:91187504:1 gene:KYUSg_chr3.14973 transcript:KYUSt_chr3.14973 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARRFVPVVLRVATAAAAGVAAIVMATSHQTATVFGLEVQAKFQYLQSLIFFVVANVVACAYNLLVLVVPTAPSPAAKLVLVADAMLGMLLTGAAAAAAATSAIGKNGNSRAGWQPICGIMSTFCDHVTGALACGFVAVALHFLVLLYSIYTMDSS >cds.KYUSt_chr4.38853 pep primary_assembly:MPB_Lper_Kyuss_1697:4:239861041:239861343:-1 gene:KYUSg_chr4.38853 transcript:KYUSt_chr4.38853 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRGLSETAESTQGVAEVADDAGACRGRCMRLPGSDADEVVLRVANLCTAVSVPGLSDGDYRGLGDGRIEPEGWHGREERRVQLPGMAPPTRMRGGRLA >cds.KYUSt_contig_7442.41 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001531.1:234070:235779:1 gene:KYUSg_contig_7442.41 transcript:KYUSt_contig_7442.41 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIIGQVHRNVLALASSRSCFVVGDHLPSRMLSLPRAARFHQSAWRGSQAVEDSGSALTLANLDVQSKADYVKKERVPRTGGPRPSSRDTSYGVKPKKVYPPKTALPKSPVVKKKLKIDEALFSASSFEELGLPPLLIDRLNKEGLTSPTEVQSASIPVISQRHDVVIQSYTGSGKTLAYLLPILSEIGPLKRAGEQGKSDKRSGIEAVVVAPSRELGMQIVREVEKILGPDDRRLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRISEISAAGKLQTHNCRFLVLDEVDQLLSFNYREDMHRILEHVGRRSGTSSSSGILGPLARRSERQTILVSATIPFSVIRAAKSWGHDPVLVRAKSAVPLDSIAVPRPMLSQGEPGSDPAMSVNQAAVNSLPPSLEHYYCTSKAQHKVDTLRRCIHALEAQTVIAFMNNTKPLKDVVFKLEARGIKAIELHGDLGKLARSTVLKKFKAGEFRVLVTNELSARGLDVPECDLVINLDQPTDSTHYAHRAGRTGRLGRKGTVVSICEESEAFIIRKMRKQLGVPIKPCDFTEGQINVHKEEDVE >cds.KYUSt_chr4.4627 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26544851:26546589:-1 gene:KYUSg_chr4.4627 transcript:KYUSt_chr4.4627 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAVDGKTSAGCHREFKAKITWYVWICGIIAATCGLMFGYDIGISGGVTAMDDFLVRFFPAVYARKHRAKEDNYCKFDDQRLQLFTSSLYLAALATSFGASMVCTRFGRKRTMQAASIFFLIGAGLCAGAINIVMLIIGRISLGIGVGFGNQAAPLFLSEIAPAHIRGALNILFQLNVTIGILVANVVNYYTSNIHPAGWRYSLGGAGVPAAVLFLGSLIITETPTSLVERGHREAGRTMLERIRGTKEVDDEFDEIKSACETAAALCAEEKPFRRLRRRESRPPLVIAIVMQIFQQFTGINAIMFYAPVLFQTMGFESNASLLSAVVTGGVNVVSTLVSIILVDKIGRRKLLLEACVQMLIAQVTVGGIMWVQVKANNSPSHPWALAIVVLICVYVSSFAWSWGPLGWLIPSETFPLAIRTAGFSFAVSSNMFFTFVIAQAFLSMMCTMKAFIFFFFAICIVIMGVFVLALLPETKGVPIDEMVDMVWRRHWFWKRYFSDADDAKISNC >cds.KYUSt_chr4.24310 pep primary_assembly:MPB_Lper_Kyuss_1697:4:153088442:153093563:1 gene:KYUSg_chr4.24310 transcript:KYUSt_chr4.24310 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHTYTSDSEKWQFVEGIRRGVDLLRRCDGMVSNLGLFSNETKDDVSTANLNSRRRQRKSKPNKNTTTAFAASEEEAKRERGRTSMACLHDHECESHNCAADWSLYNHVDIPKVVALNESVAGSVKSVFKPSEQRLETSAVQRSDVDSDDESFHTKTRHVLRRLQSGHYDGPFAQGIYKCPFCNRKLCATDFNCLVNHAESIGRCGARVGTTVNVHAFMAKHKALGIHLAQPPKRVTSATRRR >cds.KYUSt_chr3.15152 pep primary_assembly:MPB_Lper_Kyuss_1697:3:92451135:92454223:1 gene:KYUSg_chr3.15152 transcript:KYUSt_chr3.15152 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLPLLLLAVAANAGLLATPSQALTQDGLHLLDAKRALTAPDGALADWSPTSATPCAWTGVTCDAAGAVTALSLANANLAGPFPPSLCRIPRLASLDLSANYLGPEVAVAGCNALTHLDLSVNSFVGPLPAALAALPELLYLSLEGNNFSGPIPPSFSTFPKLQSLSLVNNLLGGQVPAFLASVATLRELNLSYNPFSPGPLPPSLGGLAALRVLWLAGCNLVGPIPPSLGRLRNLTDLDLSTNSLTGPIPPALTALTSIIQIELYNNSLSGAIPSGFGKLADLRSMDLAMNRLSGAIPADLFEAPKLETLHLYSNALTGPVPDSAAKAASLVELRLFANRLNGTLPADLGRATPLVCLDVSDNAISGEIPRGICDRGELEELLMLNNALTGRIPDGLGRCHRLRRVRLSNNSLDGDVPGAVWGLPHVALLELNDNRLTGGISPVIAGAANLSNLVVSNNRLTGRIPSEIGSVAKLYEFSADGNMLSGPLPSSLGGLAELGRLVLHNNSLSGQLLRGIHSWKKLSELNLADNGFTGAIPPELGDLPVLNYLDLSGNRLTGQVPVELENLKLNQFNVSNNQLSGQLPPQYATEAYRSSFLGNPGLCGDIAGLCSGSQGRTGNRSGFVWMMRSIFIFAAVVLVLGVAWFYWRYRSFKKAKLSAERSKWTLTSFHKVSFSEHDILDCLDEDNVIGSGASGKVYKAVLGNGEVVAVKKLWGVAPKKKDDAENNGDHEGSAAAAADSSFDAEVRTLSKIRHKNIVKLLCCCTHDDSKLLVYEYMPNGSLGDVLHSSKAGLLDWSTRYKIALDAAEGLSYLHQDCVPAIVHRDVKSNNILLDAEFSACVADFGVAKVVETAGRVPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTIDQKGVDHVLDSRLDMAFKDEIGRVLNIGLICASSLPINRPAMRRVVKMLQEVRAEARPKLEPYYYDDTSDQGSSV >cds.KYUSt_chr4.8893 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53367898:53369275:1 gene:KYUSg_chr4.8893 transcript:KYUSt_chr4.8893 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGCCVHGEPVTTIAVTLSALAPCSAAQGIPDAEHTGLKELPSECSCDDIASAAHWKQPDRRLAVRLQENLPGTRASS >cds.KYUSt_chr2.33904 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209643436:209644046:1 gene:KYUSg_chr2.33904 transcript:KYUSt_chr2.33904 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYVSIVCMQLCSVSLVAGLLVCLHSAAKITHKTQAITSVAAAWHADATIHAFDNDLEDPDPALPAATGYLAPANAYRVASGEESDGYNDDDDARSDDSLDDPKLVPFQVNNMCFQKRQALVTYLENNRAGITVYGFVVDRTWLHALFMIEFSLVMWLLGKTVGIS >cds.KYUSt_chr5.18717 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121084789:121086093:-1 gene:KYUSg_chr5.18717 transcript:KYUSt_chr5.18717 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLGFHERKLLKRTNFPEYPREGGHREAAVTQRYILVERDDYKKYNGICCMTQKLVNIIKQMDPRDPFRIEMTDMLIDKLFNMGVIPTKKSLVNCEKLSVSAFCRRRLATVLVKLKFAEHLKEAVTYIQQGHVRVGPETVTDPAFLVTRNMQDFITWVDTSKIKKKVMEYNGALDDYDAMI >cds.KYUSt_chr2.51832 pep primary_assembly:MPB_Lper_Kyuss_1697:2:323716757:323722993:-1 gene:KYUSg_chr2.51832 transcript:KYUSt_chr2.51832 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTQQAGIKRDDHGHGGSCDQHPQAASVAPDQLGAGVGGDHHGGCSRDQQPQAGAADRARNPLHPIAGEHVVETCTSMLKNCEKNCATDGGGRSNGIDILPPAALPEPDGWQFVLHSLPVAKGCIGDGDGFTAYVEKAAGVAVSGSYKASFSISLSRKGDTKKRPSEKYRIRMRPAPHSLREKKNKTYAKNKVELQSQGKESIETLPWLISKDMETLGKEWKNKLEKIVAENVELNDHLLELERNKKEAEDRAEELKKEMESVVADNVKLNDKLEESKKNKEKDDDRIFQMTFFSENIVAVNMDLHGKLEKLKEKNKKAEDQARESDKEMESLAAVNMELNDQLQQLKGNKKVVEDRAAELKFSYDNIKAINMDLLDKLEELMNKNIEAEDRTKESKKEMKSVVAENMKLNSQIHRLNNKVLNNARIHRKKLQSVIAENMDLNNKLQVLQKNNEEAKDRAKESEKKTGNDVAEERNLQGIRQEKTEQVVKTPAAPPPAKNYSEKEPVMWRKKMPATTTSALPAKGHLEQTGATC >cds.KYUSt_contig_1181.137 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:892376:894520:-1 gene:KYUSg_contig_1181.137 transcript:KYUSt_contig_1181.137 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRSRQAGSSERPGGGRAAEMRAGGAVRLAYSRGSGAGGEGGGCSTSRCGPVLPSFSRLGGGPGTDLEPHGLPTSSDDDDDSSASTVSREDDDGDGALEGVKEDRWVQRPRPTRNPVLGSAGECQDQRHPLGALLTSHARKDRKQRTASLDLGCPGGVHGSSTNSPGFFVSGVGAMNKGLGVSPQSRSGVLTSPGTLSYNRRGTAVAGYQQGWTSERVPLPSNGHIRHPGGGSMALPYNNGRVLPSKWEDAERWIFSPNPHDALSRNSVPQHRRPKSKSGPLGPPGRFGAPYSSVSSSTLLLDSSGVGNQAVHSPFLPGVFLPEHVSGGSSNSGDDRRGASGEDSSNGRGGRSGPANGGYPSVWYTRAHHPLDSSLQSQSLHTSQEYTQGIDALFS >cds.KYUSt_chr3.420 pep primary_assembly:MPB_Lper_Kyuss_1697:3:2263219:2268362:1 gene:KYUSg_chr3.420 transcript:KYUSt_chr3.420 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEYSIVSLDDPYILCFMVHKNMYHTDVYGDLGTWMIELDTSRMELRSICVDDDSFTFGPHFIASPIYQYLDASSCRCAPARRKDTRLKATNKPSELPRVVASPEEMLATLLEIPDLARDDILKAYGVLAWDESQLKFRNKASAEICFAAFFSKHSSRGFGGDMYSQLLSMSLPLSEYVKLITKTTTVSPPGYPNHRRLVLTDDIKRLMRRVLALMPDPETNNICFFKLKANNVFVDLLSGKPFLHAASWTANYDGNLAQMNYASVGEMFIQTIFSGAVASLPADFQQLLGLMKTNGHTASYAIQHHCSLIWRVDKKELFTRIYDFSNEILQRWNYMWYKDVMNNLHFPANWDTLIQQNPYLNMFYRGSTYYPNAGKEVLRFKRNAYIHCLHHAWDMKTVQKIYDQADMGEMLETSLSLVLHSFQLELDKRGLLRFTMLESLFH >cds.KYUSt_chr3.39910 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251539502:251544500:1 gene:KYUSg_chr3.39910 transcript:KYUSt_chr3.39910 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTIRKTFPETPPPPIPSRGIQEIASGTLPERGIISRRTLRRHGRLRFHVGAGISGGVAPHYIPPPSTFNVLLGSSCAVEAGRGSNLEGGRVYGACGPPCYRCEQRACVAAVLRVMHLTRVAADAFGVVTIALFALLAALGLFCICLSIYFWFRIRRGVLLPLGYFNGPWVTRIALILITIWWGLGEIVRSSFLKRKLFATAEWQKIACDAYILSNLGFAEPCIFFVFAFLLHGSLQKRESGTLNQRWNLKTIGYMLVFCVPVFFVQALLVFVGPTFVKDENSENGRRKIAKYFIRTSMPVGDTNVCTYPLFGTIFLGLIDVILMSYVSYVGSRVLSLVINKALRRRVSLLIISVLFFLPIRVILLGFSVMPHPGDIAFEVIIFLSFLMMLSCTTVGILLLVYFPVADSLALRKIRNREMPVEMVPYDDYYYEGSSLVAHQSFREIERNSDTSTKRGSISFRTMIREDQLPQDGVDDIGFSSRSGIQIGSSSPSGSSPSAAMLPLKEVPRY >cds.KYUSt_chr6.14889 pep primary_assembly:MPB_Lper_Kyuss_1697:6:93217800:93220389:1 gene:KYUSg_chr6.14889 transcript:KYUSt_chr6.14889 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSLPNLHVPDHYYTTPIPLSPLELPCHPKLLQMQFDQNEEALMISSEHCGLYPLPALYRHSSSTNHPAQTIVFEKPTAGFVPSFGAEEVSTSVTMRAGFEGASCNGHAGTWWRGSMAGEKGKMKLRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTHSNCRVKKRVERLSTDCRMVITTYEGRHTHSPCDDTSSSGDHTTTCFSSF >cds.KYUSt_chr7.27061 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169120368:169120700:1 gene:KYUSg_chr7.27061 transcript:KYUSt_chr7.27061 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVSRHISLTPSRSSSLSGLFTPTVISVFSLQGSISGELWWFPDTKAATSGLPMFFRTERDILLVPVLPFLDRSELDCVVSGLHGRSFKSGMWYIKSYCDRYCSTISI >cds.KYUSt_chr2.4884 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30167194:30169898:-1 gene:KYUSg_chr2.4884 transcript:KYUSt_chr2.4884 gene_biotype:protein_coding transcript_biotype:protein_coding MERFRLRSPPLSLKLAFAVSLTVSFAVSCCSSSPLPSSAAPKSPPPSPQAVAADLLSLLAGPSAAARVPAGEASRLRSCLRFLAPVSPATVSRAYPWRDSRKVLLEVRDAADEADAMVMWPPAPVMELARLAVDSGGDPGAIHRMLDPTMLPVPDIAGSKENKCQLTRTPYGRHFANEEINSYFAFLFELIVARGPSVGFNVSLTRYDLFHGHLFLASGTGRLGILFHAKEYPAVDKELFPYNLGYCQAGSDVPYDDSMNLRNILWLAPLPSNETKAWLAPGVLVVLDAHPDGIIYQDMIRDYVQIVRTIYEDDFGKHAVDVNYLNVANAAPADRIFIC >cds.KYUSt_chr4.13244 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81480947:81486335:-1 gene:KYUSg_chr4.13244 transcript:KYUSt_chr4.13244 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARRDIEAAAAAAAAGQDDPSAAKRSKPDSPSTARPTLTRTEGLAAAAVLALFVAGIFCIFLAAPRREFGQILRLPRSLADVRLLKENLAVYARDHQANFVLGYCSIYIFMQTFMIPGTIFMSLLAGALFGVVKGGILVVFTATAGASSCYFLSKLIGRPLVSWLWPERLRYFQSEIAKRKDKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLVGLIPASYITVKAGRALGDLKSVRDFYFPGNVESSRADRSTRAKAQQQFGLKRPETKFKPNTGAARRCTLSAPTLVLSILDKKVRGRSAHTPSVGESTAEMATLSMVSGPVATSSLPFPTRRRASSVSFPAPKKGGLGHGGLKIECIRIGGVEIPNHKRVEYSLQYIHGIGRTRSRQILLDLSFDNKVTKDLSEEEVITLRKEVTKYMIEGDLKRFNRVAIERMKEIRCYKGIRHKLGLPVRGQRTKNNCRTLKGKRAPVAKKKSAGSEE >cds.KYUSt_chr7.19253 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119342722:119343426:1 gene:KYUSg_chr7.19253 transcript:KYUSt_chr7.19253 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLLGFPDAESRSLALQGGSWAREPSCSNTGTINGQYKKNLDELLSNLSKDAPENGVFRTSTVGTGADQVHGSLMCFVDHDQTKCLDCLANTTAWITRTCPSSLTASASYGACLLQYSGPSFIDSAKHSYGFDMNITFMSFSPPFDGDTASMVNARSRLFEEISRRASNQTLGFYNQSRRYTVDKQMMRVYGLAQCRGDLAPGGCSRCISGYNRVLRRLFPNNNGGIVKGHS >cds.KYUSt_chr1.20122 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118567940:118571606:-1 gene:KYUSg_chr1.20122 transcript:KYUSt_chr1.20122 gene_biotype:protein_coding transcript_biotype:protein_coding METAPLVRAARPRQRLGCARQPHRLTMVILHPVHHQPRRLYLCCGQAWLRHLEVRCPGWRVVRVRWKDEMQTHYKVLDDMVEQGKAGTRVKKADNIRDDTQLTKEDYLKVMPLWCENKEDAFEALVARWVGEDADFNAKSARNKANRGTEGTHSAGSRSTERYRKHKEAELGEPLTEVGGWQKMKLKQPDLSQPQPSLPEYFGYAEEELEKYCSVFKGLHPQVDDLIQRETDLTMIMVAGSGAEHGRTKLLSGANFEAAYAATYEKYLTVVADWDLKRAA >cds.KYUSt_chr4.25961 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163267912:163268319:1 gene:KYUSg_chr4.25961 transcript:KYUSt_chr4.25961 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPVNSDNNYGRGSSANRTVASIILVGFVVLIVLVKAIRSFCRLPDPHQEQAREDEGGSSPNVGADPRAASPVLCMYRKSDGGQDATCPVCLSDFADGEAVSVLPACMHYYHPACIDEWLRARTTCPLCRATPA >cds.KYUSt_chr6.19261 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121170035:121170717:1 gene:KYUSg_chr6.19261 transcript:KYUSt_chr6.19261 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKPMDNDDSLILMITEDGGLGLIEANEGNIFIWSRDVSADGNATWSMTETFDHYNLFGKIGIEEHSLLGFAEGTRVFFVGTPQGNFAFELPHSMVPMKDPELVKRLLVEQNFSCVRPVVSFYTPFNGLQQNEVEQQSNQPNPNEDDEKQQATQAQTSSIQRANAMPISDDPFPKRQKKARYHALKISLF >cds.KYUSt_chr5.8899 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56519049:56519363:-1 gene:KYUSg_chr5.8899 transcript:KYUSt_chr5.8899 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTRLSTEKAVVIFTASNECPMSHTVTTLFSGLGVCAAVHELDKDPRGHDMERDLARRLGRSSPIPAVFIGGKLVGSTDRIMSLHLGGKLVPMLKAAGAIWL >cds.KYUSt_chr1.2066 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11958083:11959408:1 gene:KYUSg_chr1.2066 transcript:KYUSt_chr1.2066 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKDDAAKQSQSAGPGKLPLELLANIHDRLEFLDRIAFATVFAASGDDVLSFPTPWLHLPCDDKDKHPATATLFSLADRRAATVRAPDPALRDYVVLGSSHGWLATGDNQGQIHLVNPATGEQHALPHIATMGVFVPGNDSRGYFSVSFRRFLTARFGGGPPFEDSRWGAEGHGGVTYLGGEDLRTRFYRKVVLSVGRRPGRHAAMLILSESYGAPAFAAVEDGGAWRLGRSQDDVEDAIHHDGRFHSVSYSGIVEAWERDVESGAYTSTAVAPRLTTGGEGMSSRKYLVAAPDGRLMVVVKNWTKERGYLWNKTWTCSFHVYVLGDDGQWKETRDIGDAALFIGLNNSLCVPRMRRPEIEAGCVYYTDDQLWEAALRKRNKDIKYRDDDDDDDDYVDIRPAGVYSLKDGTVKKIDALGPQLYHGFTPTPPVWITPSIP >cds.KYUSt_chr4.11376 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69160052:69167993:-1 gene:KYUSg_chr4.11376 transcript:KYUSt_chr4.11376 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAHTDIADLSGNFSGGKARLQLRNQLDALSVAPNSAAAALAAASVSLILTSAAENMEQWYSEDESELHEAMMLGEVLTFVTGPSCNQMAMDALSLELPNPVAKLGAICLDLSAAKAILERFAFNCSPRDNVLILCEALDRHSSVPVVNSASYFSILIDGIGKVLPLIQSHHAYNIKMALRVVIGCVKYSVSVLKEHNGRNAIGLFNAAFGFSNTVQEMCERMIDDEKEEVTTTLGLFALQNVALISQSKQEHSLSCGLLAIGYSQLAIQSGFSWKGLLCGDGIRSAIGKNNEGLGMSKLEFFSLAIEGAHLSGVGLSQFAQISPDERQLGFSRLLVGLCRRGVPRSCPSLRVEPTDGVLPGERDSSGSGLGLKKHGDLDDLLMRLGIDEEEIDDLVFEDEADVPKEGYRIESLVKNLVERKVGKFVSVELNPQGVGNFVRVRVRLDVRKPLARVVIISRERQQEFYAVKYEKIPKVCGVCGLFGHIHTECEHDESTLKWGDFIKADFDTWHG >cds.KYUSt_chr2.17825 pep primary_assembly:MPB_Lper_Kyuss_1697:2:112227833:112229291:1 gene:KYUSg_chr2.17825 transcript:KYUSt_chr2.17825 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFISSSEQRVAVVTGGNKGIGLEICRQLASNGITVVLTARDEKRGTAAVDALREVGLLDVMFHQLDISEPSSAARLADFVKDKFGKLDILVNNAAILGVTVDVGDPDPAAVQEVISSEKFTSMSATEKLEWVDKRSTETYAEAEECLRTNYGGTKNVTEALLPLLLSSSDARVVNLSSFIALLRVFSGELKQELNDIDKLSVERVDELSELFLEDFKNGQLDQRGWPIEKGPSAYRVSKALVNAYTRVLAKKHASLRINCVNPGHVNTDGNYHTGDLTVAEGARGPVTVALAPREGPTGAYFDGTEEASFV >cds.KYUSt_chr3.4795 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27287772:27291330:-1 gene:KYUSg_chr3.4795 transcript:KYUSt_chr3.4795 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLVYVRKGFAVAGKGSLERVQKEEYQLICKDNEEKNGKLWPCSSNPLFVVYQSQQCDNASTEEYQEEEEVYFQGEEDHFDQFPNQGKIILLQSAKLTMSKALPHLLYVHDPIS >cds.KYUSt_chr3.36074 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226767167:226767841:-1 gene:KYUSg_chr3.36074 transcript:KYUSt_chr3.36074 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQLAAGASSGRVRAGAHLPATPPTALSSGCSYLQHDRPCLAAPVAPRALLWHPRETQAGFDASPWPTHLLLAIRLHRLHLRLVTYAWSGSGSPFPPAATHPRPSGFPAAISGFARAQRALQLRPATDNTGCCTRRTGRLRLRPARASGCVPPRSPPAAPRSALAGFASNSDSRLRLFPRATAPSSTAGCAWLTFVIGSPRQASPRPACSGDAPPAAPVPAAA >cds.KYUSt_chr1.7500 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45932519:45935105:-1 gene:KYUSg_chr1.7500 transcript:KYUSt_chr1.7500 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGGATSPLLLTILLLGTAVAAAGRPMELYFSPAELARVAGYGEELVSSVSVSGQVACELCLRPGSDLLTFFKQKARKPSFELTKPSTGQELQQDTTPHTHTTAGQIHGPNNELGSVAAGENRALILVMVDDSDITRRYLLEGIIVAVYGFLLVLLQEKS >cds.KYUSt_chr6.1029 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6544642:6545703:-1 gene:KYUSg_chr6.1029 transcript:KYUSt_chr6.1029 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGDGTKPSRSAIVADMASGHHLLTIHGYSGTKSIPTGEGAKSLPFTIAGHRWRIDYYPNGKKSEVAECISLGLILDEDVAEVVKAKWDICFASEEEEAKQAASLAEASVNNFASGSSWWYESFIKRKELESSEHLRNDLFTIRCDVVVVHGCRAQEHAAAFVSVPPCDLRRHLGELLETEKGADVVFEVGGETVAAHRCVLAARSSVFSAELFGPMKEGNAAGVVHIEDINAEVFKALLHFAYTGSLPEMQKEDEDVTYQHLLVAADRYNMERLKLICEGKLCEYINVGTVATILALADQHHCEGLKKACFDFIGSPANLKAVVTTDGFKHLSRSCPSLMIEIVAMSLPS >cds.KYUSt_chr4.54471 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336502298:336503610:1 gene:KYUSg_chr4.54471 transcript:KYUSt_chr4.54471 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATTAGAEAALGRALTGAEAAWLRYSASVPDHLLYCHNVAILLVIYTLAPLPLALFELLTPRAVSSPLKLQPRTRLSPAVFLRCYFDTARVLLLTVGMLSIASFPVVKMVGIRTGLPLPSLGEAAAQMAVYLLVEDYLGYWIHRLLHTKWGYENIHRVHHEFSAPVGYAAPYAHWAEVLILGVPSFTGPAIVPCHITTLWLWFVVRHIEAIEIHSGFDFPFNPTRLVPFYGGAEFHDYHHRVGGKSQSNFSSVFTFCDYLYGTDKGYKYHKASIAK >cds.KYUSt_chr2.43387 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270037760:270044526:-1 gene:KYUSg_chr2.43387 transcript:KYUSt_chr2.43387 gene_biotype:protein_coding transcript_biotype:protein_coding MECKNGHDAANGNGLCVAQPARADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTMEGASLTIAMVAAVAAGADTRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVATAPDGSKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAISAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFMVALCQAIDLRHIEENVKNAVKNCVKTVARKTLSTNDSGHLHNARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAEQDVQTSVFAKLATFEQELRAVLPKEVESARCSVENGTAAQQNRITECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNGFKESSIGMTLGALVHGHDMPKVQNGKVVLVQFSTGCEYQNITANEAMRFMLKITFRDVLTSDNHRFSFDDEISVPTSMVVLKDYNPLEMAARMTVTKRGNAKNHIDTKKVVKDSKKGNGTMKWLPFMSSFVLEKMCALIKTRIQGNPFD >cds.KYUSt_chr6.1104 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7015654:7018631:1 gene:KYUSg_chr6.1104 transcript:KYUSt_chr6.1104 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDGDVGGVDGGAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSTCHLLDGMPKKAAASDKDPFDDLPDDLLRRILYFLPGDDALQTCVLGTRWRDIWRDRTRLSFHYEGWSSPTFDRYEKLANLIIHVRGNSPLTYCEINHFDVVGNPGTFTCTKQLIEYVLECQVKWLKVRPGLYHGERLQPEKYDDVPLPLDDRLISQFLKTIEFQYVEFKHSSLDFSDCPKLQMLTIRDCSIYVRRIVSESLKYLQIIDGCTFPERSRIQIYTPRLISLDLDCSDGGSDGLAPLLEKMPLLQSAFVMIGYTCLDYCDCDEPSCTCSDEDCVLLNGLSNAVHLQLTAEWKKFPNLDSLIG >cds.KYUSt_chr2.46093 pep primary_assembly:MPB_Lper_Kyuss_1697:2:287604458:287607247:-1 gene:KYUSg_chr2.46093 transcript:KYUSt_chr2.46093 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGSSDPALGFRARSTEVRQRLSDPTAPRHRLLRPTPAPPRLIRSYAATVMAGGYDDGRKRRFEEGGQRDGEAFGGGGGRRNDGFRQDGGGAGRREGGGRQDGGGRYDGGGRQDGGGRQEGAGRQDGGGRFDGGSFRSYDGAPLDAGGSRFQDEDLPFRDQERGRGFDYGPPPPWWEEQRRRDEALANRRMDGAPARGGERSGAAGQGDRAGQGQRAQHKNKAKKPQGEGGQQQAKGKAKQNPPPRTGAPAAGECFKCGREGHYQSDCTFDPLCVVCSGEGHSSANCPSRGKGLRLQTLGHAITGGGFYNIDVEPLRAGLGNGEVFAAIIKFNSTPLTETQLSDELKHLVDDLWDWQVQRLSDSEFSVVFPTRQTMRLSTGSGKLHLPLSKTDTEIREAFLAPKPSLVLPSTWVRLTGVPEDLMTRDRLMAGFTMIGRPIDVDELSIQKRDREPIRMRFHCRFPDRIKGSVQIFVNGEGYMVGVQAEAPPRGTPGGGSGGPPPPPNDGLDDEDSDDIPSDSEWNKHRRSQDKHKDAAKDKGGASGPSSSSHQVAALGMLGLPTAPASPLIAPGLNQYGSNLIAAPDAPTLSLLEPARGKLLVHLVPTGVEGSTPLEDISMDSADPDSQLTDPAPSWVNDSQQAEGPPAKLARLSPAKDRTAAEDVEVLDASDDDVLPRPGEDAARKNLLQELSQATPLVQARRSKAVYSKRATPSSTVRKSARSQGMAAGTSALVRAQRLTAEKNLEGKTCTDTVTNKGNDFAILDLLPDDHLSSVVRDSCLVFSPTMGCPGEALSIIRAKEKVQAALAETSRRLELEAAAAKAAAAAGASAEAPREELAAAAAVAPRVERVEGDELPRAERAEGEEPPTGGVGPLGELDGPGAGAQAPVSVDPASPPPPPRSRPKRSCVKVPALAVSKRQYKKRAAK >cds.KYUSt_scaffold_6468.308 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1473548:1477319:-1 gene:KYUSg_scaffold_6468.308 transcript:KYUSt_scaffold_6468.308 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHYRTLGLQPNASKSDIKSAYFRLALSYHPDHHAKADAAGRAAAAVWFRRVKDAYEVLYDDRRRAEYDRTIRAGGGYGNRQHGGPSPRSSGGGTSYSKESEPEWILKRARARGHGGGAYSSSSGNGNRQGQQHGAGAGASSKSSGGGPSSTTESDSEWMWERARTRCHGDGAYSSSTVHGNRQSQSGGTSSSSSTGGGTSSSSGPALRRCNRRLKKGGNQKGNRRIGNSTQLGRAPDMIGPALLLLLHGAGLRVDMGTGKDTGPWIRRRLHELDWIRTWRYVLLHNFSARRSFWKPATTTTMGATADGGSLRLLGRGARRIHTALVYAQQTLCTEATKELEMPRKI >cds.KYUSt_chr1.23479 pep primary_assembly:MPB_Lper_Kyuss_1697:1:139596291:139598590:-1 gene:KYUSg_chr1.23479 transcript:KYUSt_chr1.23479 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGVAAVMKMAVEMAAVSMEKPSGALPRSGRVPEQRLLSPDLGFAMAAARKMLLGQPYFISLASYDFRNTGSWHKGTTPLYMH >cds.KYUSt_chr1.2315 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13620695:13625785:-1 gene:KYUSg_chr1.2315 transcript:KYUSt_chr1.2315 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTRQSKWLDSNLQDMDDRVKCILLLLGEEADSFAKRAEMYYQRRPEVISSVEEAYRAYRALAERYDHMSGELHKANHTVATAFPDQVQYSMLEEDDDSLPKAFTTVDPRKIRKSTVEGLMKKKKGDKSGPKGGGANSAGPVNKDNAQSEISRKQKEILVLQTEKEFIKSSYESGIAKYWDLEKQINDMHEEVCYFQEEFSESAVIEDDEARALMTASALKSCEDTISKLQEQQKLSFSQAMVELERANVSRDKLKNIMKKHGKVLSDSGNSLYENAANDASVEMDDVYSMKQQKIEMEAIVDKIKEYFQKDTDISVAEIAEKIDDIVNKVVDLELMVSSQTAKIDRLCLENTDLEKSLHELEEVKAVVTSGSGELNEKLEKAEDELMRVQYLESSFHEEESIVYSNFAETVTSFCGITDMLQSPLIEHQTDPVLTQADEATPSNHTEPFGECEKIKPEDEDNQDKATQKAELDGFADCSGQSDLAIINDDSEDLCPFETEGKSRFAAPSLDKGTEENADNDTFGEHGNEEVEYAHGVIDTSMQPHVTHSHEQGSLDLLDHISYEGPEDHNLKQVDDKQDSSSADHIISEDHSEQKIKKADESENLHISENSTPENGLVVGFEDQENMANLQQIPMSGLEDKENVPLSECKKQDPEEDPQMDKATQKPDIDGFADSSVKSELAIINDDSENLWHFELEGKSSFEVPSVDKVTAESAHNNPFGEHSSTEVEYAHGVIGSGMQPYVIHSHEQGSLDQLHHNVSEGSLDHNLKKAGDKQDSSTADHRIFEGHTKQDINHAEGSENSYITESCTPGNREVIGFEDQKQNMAILQHSLMSGLQDKEKLSLTECEKQDPEIDLQMDKDTRKHAIDGFPDWSRELELEIFSGNKQPSDGYHESMAEKHYRADDLEDLWHCGLESKSSLTAPPVSKEISDEDASGEHNNKEVEYVHGTVGNLGSSMQPYVVHSCEQGSLDQLHNISTDAPQDHDLKQVDDKQDLSTAGHSISAGHSEKEMNKAEESENTRSTENSTPCNGKVVGAVDQEENMANLQELLMSGLQDKEKVLLTEYTSILRNYKNAKRSLTEMEIKNEECLNEMKAMMSELRCANELKDKEIRSLRELLNSSTDKDAQHSGHHINTNTTVSFKSGSFRGHRRIPSFLPVHQRRQSASSIQNYSPKNNANHEAHMEDDASHDTVLDQESIVLGDHRLTNILEMENASPLEDKFRRDIDGLLGENLEFWMKFSTSFQKIQEFQTKHDQLQSEIGKIVNEDKLKVNSGRASDPPAKVESEAIEKQLRELKIDMQVWLEQNAMFKGELHYRFSSLCSIQEEIQAAMEMDAETEEGIQFTSYHAAKFQGEILNMKQENNKVADELQAGLDHIRGLQGEIEKVIPKILNSPSLSASKGFGTWRNTPSKSRVPLRSFLFPAKKKKSSLLACMNPALQKQHSDMAFFAKME >cds.KYUSt_chr7.19466 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120736354:120738234:-1 gene:KYUSg_chr7.19466 transcript:KYUSt_chr7.19466 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWMLEWLASVKDSEMDITLMVLYQTWMARNEARDGKKIEDPEIIAKRAVHLLEEWHNVQTPKAVKPPVAKESWLPPSEGWTKVNTDDAWSKSTEKGGGGVVVRDHDGRFLGGASHFIPSLMDPEEAELQACKHAMELVRRLKLKKVVLELDSVTAVAKLNGEGPDRSIHAHLVEDIKQELQALEDHGIRMSAALHLATRGNLRFAATIGHPVAYSFLLEGPDLNVFVMLEAAPSDEELIGGIELSTLLLADAKAIGLPLAIYTIKLHSDFSMPPSVQRHASDSEDGYVSKQERCV >cds.KYUSt_chr5.7710 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48536044:48539167:1 gene:KYUSg_chr5.7710 transcript:KYUSt_chr5.7710 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAAAPPTSAQEPQQRNGDGSYGVLLYYRYAEVPDAPALAALYEARCRALALVGRVRVGPDGVNATLGGRMAALEKHVEEMSANSLFDGTDFKLASCEDPVDERVARECGFTSLSVRLVKELVTLCSNPSLTTPEISFAGRHLSAAEFHSVLQSVGTSSDSEAATEKNDVVVLDARNLYETRIGKFDVPNVETLHPDIRQYSDLPLWIDEHTEKLRGKSIMMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLEQFPDGGYFDGKNFVFDHRISVGSLKDNILGTCLICGFTYDDYSSRCRCSHCRMLVLVCSTCQDSTKEYVCELCLRNVKPCCPTSVRQDCQTESEVSESSAYGKPSISNKTSTSKAPMSNGSEQLKKLRILCLHGFRQNASNFKGRTSALAKKLKHISELVFIDAPHELSFVYQPKPDPCSDKASPPSFTARTKFAWLVSPNTSCHTEQEWKIADGPFDPLQYEKQTEGLEESYTYLENTIAENGNFDGILGFSQGAAMAALLCRRQQKTCGSPKFRFGIFCSGYPAPVGDSDSEPIRLPSLHCFGGGGEGHDRQIASRASTELACMFEEDRRTIVEHDMGHIIPTRPPYIDRIKEFLCNFI >cds.KYUSt_chr1.3474 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21014191:21014889:1 gene:KYUSg_chr1.3474 transcript:KYUSt_chr1.3474 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPHSSFALDFLRRLLCADSARGGDIALRRGDDAAAAALREKQEEVASPARSPCIVARLMGLDAMPTPPQSPLLRRSRSASSAEGVSPPPPTPCCSPRPRVARATSASFSDRPTYLRRENDEFLLLSFSPDDAGDDDGLSAEYSPPSRRGADERKQRRERRRRRHGEPGRSRRLAAAAEECGMENSSPVSVLEAQEESSTTTTTSSSSMEEVDQAEQCSPTPGSSCSHSL >cds.KYUSt_contig_2701.58 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000455.1:365689:369337:1 gene:KYUSg_contig_2701.58 transcript:KYUSt_contig_2701.58 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHGPLMPRGGGTVGGKPLSRGSRIAIAVAIGVALGCVCAFLYPEGLFMRPSTTALQWPRHVGSVACESSDKVTSLKSHLVSLERKNAELKSQINELSMKLQLAGQGKNESLYKAGPFGTVKALRTNPTVIPDESVNPRLAKILEEVAVKKELVVALANSNVREMLEVWFTNIKRVGIPNYLVVALDDNIESFCKSNGVPVYRRDPDEGVDSIAKTGGNHAVSGLKFRVLREFLQLGYSILLSDIDIIFLRNPFDHLYRDSDVESMSDGHTNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTIPAIELLDRVAGRLSREKAWDQAVFNEELFIPSHPGYEGLHASRRTMDMYLFMNSKVLFKTVRKDAQLSKLKPVIVHSNYHPDKLDRMKAIIEFYVNGKQNALQHFPDGSE >cds.KYUSt_chr1.1196 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6554202:6559551:-1 gene:KYUSg_chr1.1196 transcript:KYUSt_chr1.1196 gene_biotype:protein_coding transcript_biotype:protein_coding MVPASATARIILVACFFFLLLSSLANHVAAAWPPLSFSFDFSDTSKYRLADLRFEGDAALNGKLVDLTCNPTAYYCSGRMSYNHPVQFYDNTTGELASFSTTFTFAMNMLPNTTIKGDGMSFFISGYPSRLPPESYGSILGLTNSSTNISSGADRFLAVEFDTYGNPWDPTGSPDHMGIDLNSITSVSITRLPRYSFNGTMTATITFDNTTRTLEATVHFDYNSSLATASVKTQLSDQLDALLPPVVVVGFSAATGGYVQLHQIHSWSFNSTMAARDRHRTYIIGGAVILALALLLAIWSTVAWCRWKCTCAHFGKDRGITRFHYHDLSIATNKFSDIIGQGGFSVVYSGILNNEQVAVKKIIKDSKGEFKDFLTERATIGNTRHMNVLKLEGWCCTISNFKYWFSHTLDDVMLFLVYELIANGNLHEHLSEKPEVLSWEKRYKIVKGLCSALHYLHHQCSPYILHRDIKPGNILLDNEFNAKLGDFGLSRVAKDGEEIALQTRAVGTALYMDPLCMKDGHVDFRRSSDVYSFGIVLLEIAHGENDPFLVHKLRTDLPETFVKDFADKKLDGKFIKTEMERVILLGLRCTEREENQRPSLITATYFLENGGELRPATAAPA >cds.KYUSt_chr4.7497 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44643375:44655257:-1 gene:KYUSg_chr4.7497 transcript:KYUSt_chr4.7497 gene_biotype:protein_coding transcript_biotype:protein_coding MLECYYRKEKGHRKRNCSKYPADLKSGLVKKKKEDIKVIQEWNTRTAVKNIPKYLKRTKDMFLCYGGDQELVVNGYTDASWNTDPDDSKSQSGYVFILNGAAKLGVVPSALDPLIIYRDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEDGEVKICKVHTDPNVADPLTKALPRAKHDQHRNAMGVRGISGNWARLPKIDSSTGASLSPTAEPRSGSAPFPVPLTAQDRLRLLEGRFRRAPGIWLIKAHGSRVYTESPSRNTSCLTTVQSLAVYVKDPPSSKYVLDPDTSWASTDPASFAGRDADPSQDLPRPTHVGLTPAGAMAKGKDPVGTSGDKALSEVEHLLMRKTFTRVEFDRLTDLLRARTVEPDSAAQVEHHVDKTETRTRIDGTGGSTSHGMAVDHSPPANVPIRGVTSPADLAKQYMSSRYLKEPQPSSSRSQLFPGNKAEAGNTGYYRASGAPLVQELKEFNNENPRLPMNGYMSSGLRGRSAICRMSRSPYFKGPSSYSDMNASPFSSSHARTPSLAGDGRKVLKRRADFESELGSVGPIRRIRQKSNMMSHSKDAKASPWGNFPPSRTIGSNFAEGSSPHQESPSSKRLLLETGQSLRTVEKQRYSEDGKSSSDNVPPVPRQPNKMAEKIFEQLNIIAPSPKDKQSGQRSVAGSPSKSMSKLPVWQDNGPKGVSDPSSSRQFQDLDGDRPNDSDLNGCTLNKDKLKKDGSSKVPSNMFQDSVNKEIKSDHVATFNKPAISWNSVSATTSRKPGFKMAVFEDLYELDDDQEAPVQSKNSVIGTEVKTTEQKFNSKINERKVQLNKSEQKVESTFSKENAISSSVSEQPRTSTSKDVSSSGLFSSSNPEKKDTSHVPANNNIGFTFPHVPPGDNTEATGSAVPLASNKDNKQTSASPFMFGAKPSSTSDLETSTTAGVKTEGRLGER >cds.KYUSt_chr5.17247 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111457763:111458800:-1 gene:KYUSg_chr5.17247 transcript:KYUSt_chr5.17247 gene_biotype:protein_coding transcript_biotype:protein_coding MPANNRVHSSAALQTHGIWQSAIGYDPYAPENNKHQAQAPSSSVSANAAAAAANAAAEAAAPPSSDPSSENAYSSFQGLLALARATSVPTDETRGACKKCGRVGHLTFQCRNFLSAKAADIEEDILAEADAQAKLAALRKKTAGDEEGSDEEDEDDVDSDSSDSDIDPVLEKLIAERERAKSRRGKHSEEKDKKPSRHRSSSRSKSKHRRSRKSDSEQDSEEEERTKDRKKDRRKKDQDSESDSDRKSHRKSRKDRKRRRTHRKKDESSDDDDESGGEGKRHRRHHKRRHHRRDASDGDSAGSESPYERKRSSKKKSHRGLDEDEGHGPEEAKRTGEKTREHKRS >cds.KYUSt_chr4.18465 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115954027:115954428:-1 gene:KYUSg_chr4.18465 transcript:KYUSt_chr4.18465 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEDTALTLRLPGSDPDRKRASTSDPDCPSPTAADSPPSPKYVPRSVRNPPCSFSGADTTRLVNLAVQGAGGDLAPVARSRRCSLGGAADAGSPPSCPSAGTAGPTAASSSPSYT >cds.KYUSt_chr6.11654 pep primary_assembly:MPB_Lper_Kyuss_1697:6:72503935:72506575:-1 gene:KYUSg_chr6.11654 transcript:KYUSt_chr6.11654 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNGGSKLRLWCSAFACALLALVEADGLFVDITYVESAVARGAVCLDGSAPAYHLAPGFGSGVNSWLVHFEGGGWCNNVTTCLQRMHTRLGSSKEMAKQVAFSGILSDAPGYNPDFYNWNKVRVRYCDGSSFTGDKEEVDPRTNLHYRGARIWQAVLEDLLAKGMNRAENALISGCSAGGLTSILHCDRFHQLLPAGAHVKCLSDAGFFINVKDIAGENHAAAFFNDVVTTHGSARNLPSSCTSKLPAGMCFFPQNEVKQIQTPLFILNSAYDSWQVRNILIPGGSDPRWRNCKHDISQCSTKQLETLQGFRDHFLGALEEQGTSSTRGLFINSCFVHCQTEIQEIWFAPGSPALGDKKIANAVGDWFYDRSPFQKVDCPYPCDSTCPIFKNS >cds.KYUSt_chr2.32564 pep primary_assembly:MPB_Lper_Kyuss_1697:2:200909205:200909906:1 gene:KYUSg_chr2.32564 transcript:KYUSt_chr2.32564 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMALGSSALRALEVLLLLLPLLFSVDVCAAGTTTPPTIRSQRGKPNTNSKQKQGAPPAAPAVRALVQSTCNATTYYDLCVAALLSDPSSSTADLRGLCAIAVSAAATNASATAADLTNTTSSSSATAGPISTGSTAEPGTDGRVRVPAPLLQVCAAKYGEAREALLEARESVGEEAYDYAFVHVSAAAEYPAVCRTLFRRKRVAYPVDLAKREEGLEHLCTVAIDIITLLA >cds.KYUSt_chr3.25020 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155296965:155300611:-1 gene:KYUSg_chr3.25020 transcript:KYUSt_chr3.25020 gene_biotype:protein_coding transcript_biotype:protein_coding MPHASCVAADAEGAAEIELGRMSSMGYCANFYLLGCGGISKFATDSFSPVSSFFTFGPNAFSRVFSSFSITFLLFSCLDVLASARSTTRKVAKKAAEMYARVPLRDVLLVLVRLAPWTSVDCRRKGYTA >cds.KYUSt_chr6.7595 pep primary_assembly:MPB_Lper_Kyuss_1697:6:46305248:46312266:-1 gene:KYUSg_chr6.7595 transcript:KYUSt_chr6.7595 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGGGQKQDDLTPHPVKDQLPGVSYCITSPPPWREYPDSPLPPASFLRSSSPPSPVGFRFPGRDFGCPFAFFFFLPGEVHSRRRPAPRCLRFLRVAFGAEAILLGFQHYLVMLGTTVIIPTALVPQMGGNNEDKAVVIQTLLFVAGINTLLQSFFGTRLPAVIGGSFTFVLPTISIILAGRYANEPDPHTKFLKIMRGTQGALIVASALQIIVGFSGLWRNVARYLSPLSAAPLIALVGFGLYELGFPSVAKCVEIGLPELILLVIFAMYLPHAVHMMRSIFDRFAVLFTIPIVWLYAYLLTVGGAYRNAAPKTQFHCRTDRSGLIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGTFIAVSRFASATPLPPSVLSRGVGWQGVGILLDGLFGTGNGSSVSIENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCVFFAYVGSAGLGFLQFCNLNSFRTKFILGFSLFLGLSVPQYFNEYTSVAGFGPVHTRARWFNDMVNVLFSSKAFVGGIVAYVLDNTLHRHEATVRKDRGYHWWDKFRSYRTDTRSEEFYSLPFNLNKFFPSV >cds.KYUSt_chr4.13418 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82750650:82755893:1 gene:KYUSg_chr4.13418 transcript:KYUSt_chr4.13418 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPVYFKKTQASKLGDAQGIPFFIDNIIRFHVGAGISGVAPHYIPPPSTFNVLLDSYWGVLWPQVYRKEWHFGIEDGLPGPRARPVRDRLGPSSHSRRRDDDRDEGLDGRHGGNRRGDRRGGDAADFDDYERRWSSERRHHHQDNHERRSSRSPDRRRRGDASRHRSRSAGAPDARAEEGVHQTVDLAPPRPTGHRSRSRSRTPTGSRAWGSTPSPPPGLHGCGYPASLTMPSPLEDGFAAVHDAAPSGMHAASPDSLVHFITNIPPPPPPLIPWEEIPGLQPGLGNGGLEDQWSANINSVQADEPRTYYPLSPAHLRSPLHKSPDQHAVDHWAAFFGEQSAEYVPSSRSLHEWQGMWMEDPLPSVQPQPDLLQPHIAGDDRMPGSWGVIHGGCPMIPEKTVVAAPFGQEPAAPRSLGWQLQDIFSTPPAQPSAPQNSDSSSEDLDDATLCEVTLKSNALRALREANLFGRGLMEEITQGVEQLHVDPKTSLMSKLLGMISPSLLGFPTNSKPKKKRMTPRHLLCMDTAVRRSERPATKSSTMLASRRAQASACKQLGLIQREEEFDDNIQAQYLRFFQRPLTQDSLQGLAVLTEAAHRPGFVLPEQDLQDLLREAPTAV >cds.KYUSt_chr1.10209 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62617429:62619890:1 gene:KYUSg_chr1.10209 transcript:KYUSt_chr1.10209 gene_biotype:protein_coding transcript_biotype:protein_coding MEMISVGFALASALVLWFIAPIIVATTRLKSKHLPPGPRSLPIIGSLHRVMGTFPHRRLMDLSRRHGPLMFLMLGEVPAVVVSSAEGAEAVMRTNDLAFATRPRSTTLDIVSRGRKGVIFTPYGDHWRQMRKICVVELFSARQVSRMESVWAHEVARLVRSFAGSGGGVVNFSETMYAFMNDLIGRAVFGGTCTQAQQAEYLREFSRAIELAGGFLLVDLFPSSRLLRRFTTGVRQLTWSFGRIQHIIGEIITARRALRAHDRAAAGDQDLLDVLLNLQEEGSLPIPITAEIIGVVISDIFGAGSGTSASAMEWAMAELLKNPEIMTKAQLEVRQVLGPNRDIITNNEIGQLHYMRLVIKEILRLHTITPLHGPREAREDCEIMGYAIPKGTKIIVNTFAISRDPKYWDNPEAFYPNRFENSQLDYKGANFEYTPFGAGRRQCPGILFGMSTMEVTLANLLYHFDWVLPDGTTPKSMDMTEKFGLTMGKMSDLQLKLFHTHSQRQHKYLKYGCITTIISIQRKTLHNNKK >cds.KYUSt_contig_786.160 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:875826:879252:1 gene:KYUSg_contig_786.160 transcript:KYUSt_contig_786.160 gene_biotype:protein_coding transcript_biotype:protein_coding MIETEALKLKQAGVQQKIRDDEHMFDAVPTEQARLWLESANTAVSDEAANHLRYNQRYQLCGCCYPNFLENYKISKQADEQQKHVKSIMANAPGDNNITRAPDPSCVESMLVDAAPIPPSRSVILRAALQFIVSNDSSEGIVGMWGPDKDDNTNLLKHINNSFLQQSIFDFVIFVPSPSDCSVTNIQSEIITRLGMKQDGNEAIRATRICGQLENKNFLLILDDLRQNLDLRAVGIPYPLGFVGEKKRKVVIMSLSGYRFVGNMMGVNKDIELPILQEEEARELFRQSINYQGDLYSDPNIGPHATNLVRAINGLPSELVRYGKSMYGTKDPISWKVAIDDATSKFSRPCSIEDTLRLIEDDPTLGVIGIWGPGGVGKTHLLKKIQGFFRGRMTVIWVTASKECSVLKIQTQILDELKLKGGGNVRTQSGTISGFLENKSFLVLLDDLWERIDLEVVGIPLPLGIEPLNKFKRKVVLTTRFTSVCGGMEVKKQVQVPYLHENEAWELFREKVGDQTLFSSGIEGRARILVTEMKGLPLALVTVGRAMYGKFLADQWDSAIQHMKNSCCIDTNEDPLKMEEEVFRKIMFSYDNLKSERLKNCFLTCALWPEDQNICREDLAQCWIGLGHVNEGDIQSSYTKAYSLMGDLKGACLLEGCGKSGDRVKLHDVIRDMSLWISCGCGKNNGSWFVRAGVGSDEKFNIPWSIAEYISLMSNRMKKLPFVGVPLKLRVLCLQYNMLDKTIIGGVLVNSAKLTYLDLGSNNLKGIPESLCHLTELKHLDLSNNSMEEVPRSFGNLIKLRFLYLQRNYIQIIPDEVISRLEELVIIHVDLTWVSDCIRSKVYRELGTLSHLKAVATSVELSDSWTSLHDAADLPIRTLRLAISAGKEEFHLYDILSLNFAQMTLYKLDIVEEENIADITLIQMPEQQHYSFGNLSKLTIRNLHALTTVKWMGTSPTSIFSRLTRLRVLGCVKLEHLSWAMYLPCLEKLVVIINNSMRKAFTRCHVDSVWSGQESSQTFPCLKHLCLRFCGTLVTIADPDVTFPSLEVLQIADCAQLKKLPFDMVSLPRNLKVLLMDHTACWEQLELEERVKAFLQPRFQCGYVYIP >cds.KYUSt_chr3.1870 pep primary_assembly:MPB_Lper_Kyuss_1697:3:10775800:10782969:1 gene:KYUSg_chr3.1870 transcript:KYUSt_chr3.1870 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDVLEELVSSSSSTVLAGCPLALACAFVSVSASAYARAAAAVASSSTASHSSALSSGGGESSPLLGVFFKGIFAGIRFESFRGISASAIMAEDGIGHWVSKDRFAAKRLHALAAELDGPKRRIIEEQSAFRALLNVSPFNIPNALIDFVASHTSPGLREFKFHKKRIVFTKDMVRKVFGIRCGDRLVVQKKGEHPQLRQIYIEEGQSRPFIQHAVKLLKACDVTDDLTIIRTWDLICLATVIAPGSANLISLDYLDCMLDPRRTHEFAWDEHLLDLAMQEVTKINSKTTEVVYMDHLDFPPNQHVINYSIPRVCFVTSSDFKFVVQNDADRKILNNKTVFGRRPFLELSNTPYGVAAFSNRHHVEEPVEQPVEESEVNPSASLNEWLVFPTSQDFEVPAAYKHLYEKHRSIYGRDLDTTLKNFGVGLKQMHSQRMAALLIDIDAAKKEGDGPSVHFPNGGGVEDENMDGADRHDDEGTSNHDDEEIPAADSEETDNDEFVVEARAAVMDSRTLVVDMPQSAVLLDSSTGGDVAGEQVSVDSPVTSPINSPFARIPEGISVEAWNRAPDPPSMDLFSQDPDGVVVSEDQITIPAEEITPPADVPSVVKLDDTSSEQPKVMEATTPPIPSRDTEDHLGENVSPQHPTNTGAEVSVIKRARLVAADGKLSLIAGIPLNVGSTVLVAERSPSPSDVAADVVTKDASTGAKTVEKKTRYKRAAKGELSPPKLKKIKVSQDVVRKYDKYVSHGRKFKRKKKNEVPKEFLKIGRFFCSYKSFVGALRPRQYLSNVVMAVWTEKFNHAAKASAEKNPRNHKRYAFSPYFAEKLAVETSTFDPASVMKEFKIACSKFKILKDDMFSNFVRTANESKVSWVDFGKFKEITPDHPQQDTLYDCGFYSILYMEHFNGKVMPNFENDVVPDFRRLLAASLIDNRDNQSDDVDVIMNEDLQQG >cds.KYUSt_chr3.39989 pep primary_assembly:MPB_Lper_Kyuss_1697:3:252029892:252034974:1 gene:KYUSg_chr3.39989 transcript:KYUSt_chr3.39989 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRPSSPSSSLCTTTTVAVFVALCVVGAWMMTSSTVFPVEAPSNKKSEPVEVSSNQKSEVKDQRAEVDFGTTEETASSNAVEGSSKFEDTDNNDNVPDESHSNRDAPEEEKFTENAMEKPVEMIEEASKEKEDSKDSFDDANGKSGGQSAKEGGETGQSGDEEEKTEERKDNDTTTENDAEKSDGEKKEDQEAKSEDDSMQDVTEQSQIQEKVEESGEKEQAAKANEVFPDGAQAELLKESNTENGSFPTQAAESKNEKEAQASSKPSGDAITYSWKLCKSIAVTDFIPCLDNEKAIKKLHSTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWPMSRDKVWYSNVPHTKLAEYKGHQNWVKVSGDHLLFPGGGTQFKNGALHYIDTIQQALPDIAWGKRSRVILDVGCGVASFGGYMFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDAIHCARCRVPWHIEGAKLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWNAMSSLTKSMCWKMVKKTKDTLNQVGMAIYQKPMDNSCYEKRPEDSPPLCKETDDADAAWNVPLQACIHKLPVGPSVRGSKWPETWPQRLEKTPFWIDGSHVGVYGKPGNEDFEADYAHWKRVVSKSYVNGMGIDWSKVRNVMDMRAVYGGFAAALRDQKQVWVMNIVPIDSPDTLPIVYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLLGVFAEVDRILRPEGKLIVRDNAETVSELEGMAKSLQWEVRMTYTKGNEGLLCVQKTMWRPKEIEASM >cds.KYUSt_chr1.41930 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257099655:257101149:1 gene:KYUSg_chr1.41930 transcript:KYUSt_chr1.41930 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGKLERYVSTALTVFGTGMALRGLLGDVLPYEILDELSFAALRARFSSRDTVVFDETEGLAPNHLYSAVRAYLAARAASATGVRRHRACRVDESSSDVTLTMDHGQETVDAHDGVKYTWRFVSHDPASAAFGNNPGNARAAAAAHGGRQHVCLSRSFELSFHKKHKEKALASYIPFVLDTAKAIKDQQRNLKMHMIEYDAWTAVDFRHPSTFDTLAMDGTLKKSVVDDLERFVKRKDYYRRTGRAWKRGYLLYGPPGTGKSSLVAAMANYLKFDIYDLELTEVKSNSDLRRLLVGMGNRSILVVEDIDCSLDLHQRPGDQGPDRRAPRPGFTGDDNEDKVTLSGLLNFVDGLWSTSGQERIIVFTTNYKERLEPALLRPGRMDMHIHMGYCTPESFRILACNYHSVEEGHAMFPEIQQLMEEVPITPAEVAEVLMRNDSADAALQDLVEFIKAKRR >cds.KYUSt_chr7.22341 pep primary_assembly:MPB_Lper_Kyuss_1697:7:138360078:138372377:1 gene:KYUSg_chr7.22341 transcript:KYUSt_chr7.22341 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTVSPVNSRRRLKVLFLVPLVKKPRGPMATAAFYKIQCDGLNLEDILQPLPAKRTIFPMRYLGLPLSVTRLKRANIQYLEDKIAGKFAAGNWKNVNMAGRRVLVHSVLTSQMIHHITSIDLPKEVTHRIMALLRDFLCAGRDKVMGGKCKVNLDKVCHPTKLGGLGILQLEKFAAALRLRWLWLEWTDESKYWISLGNPCNKEDRRLFAAATFFNIGNGEKAKFWTYPWLGGICPCDLAPLIFKLSKKKNCNVQKALHNNFWVNQIKIPHEFGVPHIEEFVKLWGLLQDVHLLHDVPDTITWKFTNDGKYSASSTYKMQFEGLVSTSLNSMVWKVWAPPKCKFFAWLIMQNREIPISGNAEKVAFFSFGRCLLDENCISKLPADTNKHYEQFANACNIDDLVSYMQAGKAYSQIMLRLFPDTHIGYVQKSSKPLETMAIVQDKDHPISSPQHDDTDEKKSTISVPPEATDDEKPFPFFGLLCYADKVDWLLMALGTLGSAIHGMAFPIGYLLLGKALDAFGTNINDQEGMVHALYKVVPYVWYMAAATLPAGMVEISCWIYSSERQLVRMRLEFLRSVLRQEVGAFDTDLTTAHIITGVTNHMSVIQDAIGEKLGHFVASFSTFFAGIVIAFASCWEVAMLSFLVIPLILAIGATYTKKMNGISLSRNAIVSEATSVVEQTLSHIKTVFSFVGENWAMKSFVQCTHNQYKLSRKEAMIKGIGLGLFQTVTFCSWALMVWIGAVAVSKRTATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFKVIRRSPSISCGKGGVVLEKVYGEIKLCGVHFSYPSRQDKTILRGFSLSIPAGKVVALVGGSGCGKSTVISLLQRFYDPTSGDIFIDGHSIKKIDLKSLRRNIASVSQEPSLFSGTIKDNLRIGKMDATDEEITEAATTANIHSFISKLPNGYLTEVGERGVQLSGGQKQRVAIARAMLKDPPILLLDEATSALDSESEKLVQDALERAMSGRTVILIAHRMSTIVNADTIVVVENGRVAQTGTHQELLEKSTFYSNICSMQNIEKEAGKRVASPSDNVIEEQSNEAYDRQPTMKQGPQNKLEPLNSKQPKKEVRKGTPPFFRIWYGLRKDDIAKILLGSSAAAISGISKPLFGYFIMTIGVAYYETNATKKVGQYSLIFFGAGMITLASSILQHYIYGVIGEKAMINLREALFSCMPYDNFLLVLSISCKSFHCSQYDKLLFEYNAAVLRNELGWFEKPKNGVGSLTSHIVSDTSTVKTIISDRMAVIVQCISSILIATTVSMYVNWRMGLVSWAVMPCHFIGGLIQARSAKGFYGDAAIAHQELVSLASEAASNIRTVASFVYEDEIIKKAELSLQEPLRITKIESMKYGVIQGISLCLWNIAHAVALWYTTVLVQRKQATFENSIRSYQIFSLTVPSITELWTLIPMVMSAITILNPAFDMLDRETQIVPDEPKTTSENWIVGRTEFQHVSFNYPSRPEVTILDGFNLVIEPGQRVALVGPSGAGKSSVLALLLRFYDPHMGTVLIDNKNIRNYNLRWLRKQIGLVQQEPILFNSSIRDNISYGSEESSETEIIQAAMDANIHEFISGLPEGYDTVVGDKGGQLSGGQKQRIAIARTVLKRPAILLLDEATSALDGESERVVMSSLGAKEWKNRDEQSSKITSITVAHRLSTVINTDVIVVMEKGKVVELGDHQTLISADDGVYSRLFHLQSNMKD >cds.KYUSt_chr1.29726 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179986294:179989550:-1 gene:KYUSg_chr1.29726 transcript:KYUSt_chr1.29726 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLDYPTLVLCLVFVLSCIFVFVRSVGKDGAVRGRAPPSPWALPIIGNLHQLGRGHHHRKLQALARRHGPIFLLRLGSVPTLVVSSPSLADAVLRTQDHIFCSRPQPHTARGTLYGCRDMAFATYGERWRQLRRIAVVHLLSAKRVDSFRSLRLEEAAGFVERIRAASAREEGSAVNVTELIISLTNTVVSRAAFGNRLGGLEPAMVRGMMKELTELLGAIAVGDVLPWLRWVDWATGLDARVKKTAAELDGMVERTLAEHEANRGNEDNREAGDLLDSLLSIFKDDDQGFTLDRIDVKALILDMFIGGTDTIYKAIEWTMAELVKNPREMEKVQAEVRRVAGAHGGVLEEELEKMSLLHASMKEALRLHPPIPLIPHESIEDTQLHGYHIPAKTQVMINAWAIGRDGEWWEDAEDFRPERFLDTAIDFSGKDPRYVPFGAGRRGCPGIAFGTRLAELTLANMMYHFDWELPKGKDLDSFEVVESSGFAPALKSPLILAVTPRPTLSGEEEATSSVKPKAAAPGALVSWKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPEYCGKPTRRGRPQRRPSHPP >cds.KYUSt_contig_686-1.1424 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:9019816:9020729:1 gene:KYUSg_contig_686-1.1424 transcript:KYUSt_contig_686-1.1424 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLSSPCGACKFLRRKCANGCVFAPYFSHEQGAAHFAAIHKVFGASNASKLLTHLPASDRCEAAVTMSYEAQARLCDPIYGCVAHIFSLQQQVVNLQAQLESLKAQSPQVHRDDSSISRHQKNNCGNICSHYQQEEQLLFHPTMPSNSSIKNEDQLYSSTEHFTYTSDQSAQGYETDLCMPDYSSTNPSCSVQGYHDMEDLQSVAFAYLNQA >cds.KYUSt_chr6.2615 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15264472:15272757:1 gene:KYUSg_chr6.2615 transcript:KYUSt_chr6.2615 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIVGGKFKLGKKIGSGSFGELFLAVNAQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKFRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSNPRMRATERTSGATAGPSIEKIEKPPAEASARRNPSASLNQSGDNYATRPRETVSMSLKEIVVALANLIPLDDAVDELDSYMYQTVGHQIVVCYAKCMGLPLFRRRISGSTRDHALKYSVTPGDEVEDMFALLSEVKRRIPSVGAVSSGAIASDYQRLRVESVCSRLGLVSLAYMWKQDQTLLLEEMIRRGIVAITVKVAAMGLKPSAHLGKELSELKSHLLQMNESYGINVCGEGGEYETLTLDCPLFRNARIILDDFEVILHSADSIASVGILHPLAFHVEAKPGSSSSIEDSTIAQESSSCLYEVNGDIVQTDVEDKQTRNQTPTSDAYPCMDVCISKTGKTLFSIGCWIGEPSSASKGLKEDLVAVLSRIQNQLKEDGLSWANVLYVHLYISSMKEFALANEVYVSFITEKKCHLGVPSRSTIELPLVQAGLGNAYVEVLVSNDLVKRVLHVQSISCWAPSCIGPYSQATLYGEVLYMAGQLGLDPPTMKLCPGGATAQLELALRNSEAVANAFKSSIFSSAIHFLVYCSAHLTSSEKEEVEHKLQNSYIAHLDCSRTGSYPTVLYVLAPDLPKGAFVEIKPTLYVPVDNGYSDDDDDVDITSKTETGGSKPASSKVLSEWSARYSDLHDSCCLVHTVAGKICSAVVSITNDIASKICSSTEQLHQSEEHLKAIARFCVFQIAKILIDNGFTWDSITMLRFYYSVEHSVAADVMSRMFSQAFAELGKAMGGSLRTDGVPVFNVLPVSGSGRSASMLDILTCELLASKA >cds.KYUSt_chr5.42521 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267916098:267917542:1 gene:KYUSg_chr5.42521 transcript:KYUSt_chr5.42521 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSYGDNLVTSGSHYEQSFGVSILVALAESDCFSDATLRKIGVSAPTIERLIEMLSWKGLCEKEGRRSAAVVVSMLTGRKVIALRITGIPGAIESVASLLYADQDELNLLGLSILNNLAHDHNNCDKIGNTRGLLDKIISYSSLDHGLAPTTPRDMRLKAVKQSLHVVKRLASTTGNTGKLLRRELTDIVFTVSNVRDVLQRQEKKVQFELHQLAIEILTSLAMDDDARELIGGTGGMVSVLVTIFLQPVEITECRHANTIRVEAGEALAMLALESKKNCGMIIMALGGGVGRLVGALNDPVVIIWAARILHNLCSYAGDEWQLPLEGVTAGATKVLRTIMVEKEKTLNIFLGLAAQMIRFMEPGELRASLTMADVRYVALFEELGLEGELRHVAATTSMLECFYVFSGSVGLGHHAVSIHTLVESALELMNKG >cds.KYUSt_chr7.22933 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142364623:142369177:1 gene:KYUSg_chr7.22933 transcript:KYUSt_chr7.22933 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRSAAPARQLLLLPRQLGAARSMSRYYARDEVSRFDALSTPVNWGVSIVPEKKAFVIERFGKYLRTLGSGIHGLVPLVDRIAYVHSLKEEAIPIPDQSAITKDNVSIQIDGVLYVKIVDPYLASYGVENPIFAVIQLAQTTMRSELGKITLDKTFEERDTLNVKIVMSINEAASDWGLKCLRYEIRDISPPIGVKKAMEMQAEAERRKRAQILESEGAMLDQANRAKGEAEAILARSQATAEGIRMVSESMSAEGSTEAANLRVAEQYIKAFAALAKNTTTMILPSDAGNPSAMIAQSLNIYKHIFKSSGPKEGSPQEEAAEEADQTSVTSGMPSLGAQSDHGSGFSLQRGRSPQN >cds.KYUSt_chr2.32255 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198978215:198979658:-1 gene:KYUSg_chr2.32255 transcript:KYUSt_chr2.32255 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFEPASSRTLLVEEPGTVLSSVRCANADVVFSLPLPVPVHPTDLDRRSLLHSPVAREIGSIRVIVRPPRVASTLSLFLPR >cds.KYUSt_chr6.9080 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56120632:56125160:-1 gene:KYUSg_chr6.9080 transcript:KYUSt_chr6.9080 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKTIQVSGFPATVHADHVKDLLEQIVGTGNVYAVKLRPPKVISANSRSFAIVQFQTEAHASLVENAARRKALNYGSYYLKARPAERDIVPRPRTTIFNLQGATLHLGCLLKERVLSVLWSGTDVSVDFGFAMKKIDFCLLYNSKKYKLELSYESIWEIQLHSPLGSREKFLLIQVLSAPKIYEPNPRRSGYMYEDPLFNYFRDDTDDQWTRTTDFTPSASIGQSYVLCLELPYLADLPNIREYFVYYEECNSEFHCQCGYSYSSNTCFVPIVKSHCYIDVPYDILFKISHLVQNGTLSGPTLDDNFFRLVSPQYICIDHIKRALEKMAYLKKTCLNPTNWLSEQYKKNRRSRYVLTSPSISLDDGLVYVYRVQVTPVKVYFCGPEINVSNRVIRNYASDLDNFLRISFVDEDCEKLRSTDLSPRSAPGNNTRRTALYNRVLSVLSNGITISDKHFEFLAFSSSQLRDNSAWMFASRPGLSASDIREWMGNFRNIRNVAKYAARLGQSFSSSTETLKVHQYEVDKIPDITNGTKYVFSDGIGTISADFAKEVSMKCKMIRFTPSAFQIRYGGYKGVVAIDPRSHWKLSLRGSMSKFQSDNITLDVLAYSKYQPCFLNRQLITLLSTLGVSDNIFERKQQEAVKQLNRMVTEPQAAIEAIELMPMGEITNTVKELLLCGYKPDLEPYLSMLLQTFRASKLLELKTRSRILIQKGRAMMGCLDETGMLEYGQVFIQASTSATGRDIEQVTGKVVVAKNPCLHPGDVRILQAVYYPVLNHMVDCVVFPQQGPRPHPNECSGSDLDGDIYFVSWDPDLIPTRMVAPMEYTPALTETLDHDVRIEEVHEYFTNYIVNESLGIIANAHVVFADKEDLKAESTPCIKLAELFSIAVDYPKTGVPAQIPSELHVREYPDFMEKLDRATYESKGVIGKLYREIKKQNPHIGHFTKDVARRSYDTDMIVDGYEDYIDEAVWFKGEYDFKLGNLMDHYGIKSEAEIISGCILKMAKNFTKSSDADAIRLAVRSLRKEARSWFSEMSADESRDGHEASYAKASAWYHVTYHPDYWGSYNEGYDLRPHFISFPWCVFDKLLRIKQRTELVRRMQPDVLDLQNSMNRNMRLS >cds.KYUSt_chr1.19338 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113583593:113593374:1 gene:KYUSg_chr1.19338 transcript:KYUSt_chr1.19338 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSPAPNPNPVPTPPPNPTPNATPATANAAIPASSPPKDQQQQLPQTQDGAVAGLDGGGGEPPEVAVAGEAMEVDGGSGVADGEGGGAGAGVPHGTSSPAIVFRIRLKQPPESLRHKMRVPELCRNFSAVAWCGKLNEIACASETCARIPSSNSSPPFWIPIHIMNPERPTECAVFNVRADSPRDFVQFIEWSPRSCPRSLLVANFHGRITIWTQPTKGPVNLVRDSSSWQCEHEWRQDLSVVTKWLSGVSPYRWLPTNSSSSSSMKTFEEKFLTQHPQNSAGWPNILCVCSVFSSGSVQLHWSQWPSKNSAEPRWFSTSKGLLGAGPSGIMAADAIITESGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSPLPPSLNPPSWTGFAPLAAYLFSLQDYLVSEGAQTRKQIDNEITEAASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGGVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSLQPVVLHPIFGSPSSFGGQPPMQTVWSTRVNKSIPPTEDLKNPQTYVPMPTTSDERSSSDCSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFDQVDSYHVNVGSSIAQPAFSSTSCCLASVWHDTFKDRTILKIIRVLPPAILNAQTKVSSAVWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIALLDTDFHCLPTMQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWHASSDMLSNIEPDKMTVDPALLPNIQGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGSGSSRNMVTSPTNSSPSPSNNQGNQSGVASTTGSSQMQEWVQGAIAKISNTADGATNAAPNPVSGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRLLANAQKNPDSAMQKIQHLMNGKIEDNSSAVSAARSGLGAAKMEDGPTARGQLVLGAKGLEENPMGKSVRIGSGNAGQGYTSDEVKVLFLILVDLCRRTSSLQHPLPVSQVGTSNIIIRLHFIDGTYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPSEEWHRRNMFGGPWSEPDDLGPLDNMPHLKIGGNSHSSNMEEDGNTNLGIQSLWPRKRRLSERDAAFGLKTSMGLGTYLGVMGSRRDVITAVWRTGLDGEWYKPGGPNPANEREAWWISRWTQACPMCGGSWVKVV >cds.KYUSt_chr2.50867 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318095091:318096867:-1 gene:KYUSg_chr2.50867 transcript:KYUSt_chr2.50867 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSAQGKNDLLRGGVMEGASVELGLGELEDVQVAPPYPLLPSEEAAPAAAAIHPRWRKGRRRRVSGRRRHPGHLDALAGGDAGPEMGDRRYNVDACIREGRGCLLDTSAAGGRRAAPPTGYSSL >cds.KYUSt_chr6.20849 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131456376:131461259:1 gene:KYUSg_chr6.20849 transcript:KYUSt_chr6.20849 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLDVAGADQHNRKKPRLQTEMPLADSLVAGSSAGGCGGNEESTGCLSMRVEDIVQHPLPGYESPVALSFSPDDRRVAFLYSPDGTLHRKAFTFDPAKRRQELLFAPPDGGGLEEGNLSAEERLRRERSRERGLGVTRYEWRARRSAAPSSRAGIVVPLPSGVYFQDLSGSEPVLMLQSSSTSPIIDPHLSPDGTMIAYVRDDELQCTIYNLGFGDGETRQLTFGARESGKVHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSSTIPLYRIMHQGKSSVGLDAQEDHAYPFAGAANVKVRLGVVSSGGGEVTWMDLLCGESNGAHGDEEYLARVSWMHHNALAVQVLNRTHTKLKLLKFDIATGKREVLLEEEHDIWITLHDCFTPLDNGVNSKHPGGFIWASESTGFRHLYIHDKNGECLGPVTQGDWMVDQIAGVNESSGLVYFTGTLDGPLETNLYYTNLFPDWSLPLQTPKRLTRGTGRHSVILDHQLIRFIDVYDSVKSPPVIRLCSLLDGSIIMPIYEQPLTVQPLKKFQQLSPEMVQFSGKDGTSFYGTLYLPDEKKYGPPPYKTLINVYGGPSVQLVSDSWISTVDMRAQYLRSKGILVWKMDNRGSARRGLHFEGQLKYNIGRVDAEDQLTGAEWLIKQGLAKPGHIGLYGWSYGGFLSAMCLARFPEVFCCAVSGAPVTAWDGYDTFYTEKYLGLPSENSDAYEYGSIMHHVKNLRGKLLLVHGMIDENVHFRHTARLINRLMAERKPYEILLFPDERHMPRQLDDRIYMEERIFDFVERSL >cds.KYUSt_chr4.28295 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177765930:177769797:1 gene:KYUSg_chr4.28295 transcript:KYUSt_chr4.28295 gene_biotype:protein_coding transcript_biotype:protein_coding MEADPTSGEEQVQPKKKNPGREEAPAPLPVPVPAPSAGPAFFIDRLELLEAKMKAKIGRDRVAPKLATPEIPTLKDFKPPTYFHTRELLHVREAGTKAARTAAKSLLGISSSVGGKPLKRCSGFWIDWNEESKTGIALTTAHLVCTSSPVNIWLGGGEYASHANVTVHLLDGTSAEGQLLYYQPHYDLAFVKVRVDQSVQVPSFHEEVMLAQEVFRLGRDNMLDLRITYGRAEYQNSTMYQRYHNMYFNCTGDTKDDKEYDNGGPVVDLDGKVVGMSNNSKRGTFIPWSILVKCVDLWKKYEYKYIPRPHLGMSFKAIKLLEPAHLDKIWRMYNIDDGLVVRKVSKGSHAEQFGIQRGDIIECINGKCISTTIELENMLASICKGSSDNLNDLNVEIHVSVRVFHTLKKHRTTGQLAASVSDLGEVIMD >cds.KYUSt_chr6.31868 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201343972:201344665:1 gene:KYUSg_chr6.31868 transcript:KYUSt_chr6.31868 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSGGVEQSPCRVLPIIDEGSESEMEWDDTGSSEGAGIETTAKEARSIVDRRKAVVARMRELLRRAVAQSSSSAPPSKLRTPTVLATAKKWKRAVSFKSRDHWRRQAVDCGSTTSSVSSSRNSFESRDATVFPCPAQSRQQHHAKWVTTDSDFVVLEL >cds.KYUSt_chr7.19276 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119490454:119491506:1 gene:KYUSg_chr7.19276 transcript:KYUSt_chr7.19276 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGAHRFKICQYSYGNVGADVNADGFIRSSTFRVGGFDWAILYYPHGYGDDSEGFISVFVEFMSKYDGATALVDLRLINQVTGEPKSWCSFFREDEDPIRFKADSFEEATWGKERFIRREALEDSVYVRDNCLVIECVITVLGELRVSETKALCEVHVPPPNALQHFGKMLEDTSTTDVTFKVEQETFAAHRAVLAARSSVFQKQLSEPMKKKSHITINRMEPTVFKALLHFIYTDSLPKMDDLDRDENNAVLQSLLAAADQYDLERLKLMCARILCTDLDVENVAGVLWLADKYNCQKLKEACVDFIDSSNRIDAVVASQGYQLLKRSSPCPLTDAWEKRSRARISFR >cds.KYUSt_chr5.3825 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24734415:24734768:1 gene:KYUSg_chr5.3825 transcript:KYUSt_chr5.3825 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKDGREYDPLDPVKCLETHLKKLVMTDYKGDEQDIGFVKFFVLNAKVLREIRLIVNKEISKEWLADQYRLLEAESRASQDAQLEFIQSDSMVLNANDLSIADPFSSYLFDGCDFG >cds.KYUSt_chr1.24438 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145866013:145868693:1 gene:KYUSg_chr1.24438 transcript:KYUSt_chr1.24438 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPTARHGAAELCLREVGDLLPRRFARRAAAAEDLVMRLQIHRKLDRHTGCVNTVGFNADGDILISGSDDQKVMLWDWDTGAVKMQFHSGHGDNVFQARFMPYTDDRTIVTCAADGQVRVAKVQDDGDALTTLLGEHDGRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRTNTATELFVCRNSLTKSGYSSHVHLNAITIDPRNPNLLAVGGSNPFARVYDIRKCKWDASSDFAQPSDCYCPPHLIGDRGVGITGLAFSHQSELLVSYNNENIYLFPKNGGLGPDPKPSAKVEGSKGSKSTMFTSGEAVYHPVPQRYVGHRNCETVKGVTFIGPNHEYVASGSDCGRLFIWRKRDGKFLRAMEGDECIVNCIEPHPHTMTIASSGIDNDVKLWTPSAIEQARVLNVEELKPRKRKAKLWHFALPEELVMHVLARRRQPAAGEDSSEDLEDSSELLSLVLQAADRDNSSDDSDEDEEISDGSGSRAK >cds.KYUSt_chr3.11298 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67353240:67357167:1 gene:KYUSg_chr3.11298 transcript:KYUSt_chr3.11298 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGPVTQDWEPVVVRKKLPNAAAKKDEKAVNAARRAGADIDISKKHNAGTNKAANSGTSLNTKRLDDDTENLAHERVPSDLKKGIMQARMDKKLTQAQLAQMINEKPQVIQEYESGKAIPNQQIIAKLERALGTKLRGKK >cds.KYUSt_chr5.7717 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48587351:48588340:1 gene:KYUSg_chr5.7717 transcript:KYUSt_chr5.7717 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLAPSCSDHQGAVARATEKKMTPLLALLLLCLQAAQAAAGAAPKPTLWPHQFHATLVLDYHGNLSIADLWYDWPRGLNLHIIRDQLAADAPLYDAQWTNGTSFFYTPARRECRPVKVGVGILRPDWLASGVTYVGRAPVGGFDCHVWTKADFITYYEDVATRRPVKWIFHTTGRIANVMSFEPGAVPEAAQWQAPDYCFAKHGKGTAEDNLISDPDGHDDESFIPKMRMLRG >cds.KYUSt_chr4.48591 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300950205:300953307:-1 gene:KYUSg_chr4.48591 transcript:KYUSt_chr4.48591 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRVWLVAGCAALLLWASVAQLVAVGRLLVLFGLAGGAPAPSPPPSSLPPPPPRIYKSNGYLKISCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFGDIFDVRHFITSLRDEVRIVKRLPKKFGPTGSSIILEMPPVSWSDEKYYLHQILPLFSKYRVIHFNKTDARLANNGISTELQLVRCRANFHALKFTPQIEALGNKLVHKLGAKGSFVALHLRYEMDMLAFSGCNHGLNPEEAEELKRMRYAYPWWREKEIDSKAKRSEGLCPLTPEETSLVLKALGFQKDTLVYIAAGEIYGGEKRLEPLRAAFPKLVRKEMLLDSEALHQFQNHSSQMAALDFIVSTSSNVFLPTYDGNMAKLVEGHRRFLGFRKSVLLDRRKLVELIDLYNSKTISWENFASSVQEVDRGRVVQPSCRRKLENKPKEEDYFYANPHECLANSSQCSRTKDTVTVR >cds.KYUSt_chr2.42178 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262664232:262666070:1 gene:KYUSg_chr2.42178 transcript:KYUSt_chr2.42178 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGQAIFMAECSHTFHFHCISASVAYGHLLCPLCNAPWRELPFVRPAAASVPPTQPPSVDVMPLPIPPRLSVSTQPADPDVFDDDEPVASAAGAPSSRAVVVKTYTDYYTRQSAESLTALRGTNIAEGLRTAARVLDERRYRNGGVSSVVLLSDGQDNYTPMRQAFGRGPPNYAALIPPYFARTDTAAGDRTAPIHTFGFGSDHDAAAMHVVAEASGGTFSFIENEAVIQDAFAQCVGGLLSVVVQEARLEVACVHPGVRVCSVKSGLYESRVDEDGRAASIVAGELYADEERRFLLFLVIPRAEETDGDATTLLKVTCAYRDAAAGGDINVTAEDTVVARPEHAADVARSMEVDREHVRVEATDDIAAARAAAERGAHQEAVEILENRRRAVAQSDTARGGDAMIAALEMELRDMRRRVSSRQSYARSGRAYMLAGMSAHMQQRGSSSQLQLPSVIGFDSGGVTTSMAGTNQVSQQVAATLPYATPATLAMLLRSRSSLRRFMYCLEEKL >cds.KYUSt_chr7.7415 pep primary_assembly:MPB_Lper_Kyuss_1697:7:44623175:44626314:1 gene:KYUSg_chr7.7415 transcript:KYUSt_chr7.7415 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKGGDVKSCPTDRCYNQSILSARCYARRILHGGVNNTLGKGYADLCSEGGPMLGGVARAGNFYQDVDITWGDGRGKIIGDGNLLTLSLDRASGSGFQSKNQYLYGRFDVQLKLVPGDSAGTVATFYLSSQGSAHDEIDFEFLGNASGEPYTVHTNVYSQGKGGREQQFRMWFDPTADFHTYSILWNPTHILFYVDGTPIREHRNRQATTGVPFPTQQPMRVYASMWDAEEWATQGGRVKTDWSRAPFTASYKGLAASGCASQDAVACARSNGAWMYQELDTMAQDRLQWVQKNYMIYNYCADTWRFRQGAPPECAAAK >cds.KYUSt_contig_554.226 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:1355607:1356917:1 gene:KYUSg_contig_554.226 transcript:KYUSt_contig_554.226 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDSSSSASSSPAHHTSSSSSFGRSILSLRHRDHNHNHNHNQIHHASFPPTPDHSSSDDREIDAFQRHAADLLLDLLPSSSAASAAPEILSLDWTRHLLDSFLICLEEFRSVLFADAAALARAPLDRLLADFFDRAVKALDLCNALRDGLDLLRHWRKHLAIAAAALAPSPAAAAGPLGEGQIRRARKALTDLTILMLDDKDAGGGQRNRSFGRAPKDGQGRGHHRRTSSGSGSGSGSGSHFRSLSWSVSRSWSASRQLQAIGGNLPVPRPHDVASTGGLASAVYTMGAVLFVTAWALVAAIPCQDRGLQAHFTVPRTAAAPWAGPVTALYDRVLDESKNKDRKHSPGLLKEIHQIERCSRQLMELTDAAHFPLDQDKEAEVQEAAQELLQVCDTLKDGLDPLERQVREMFHRIVRTRTEILDCLSRPSTNTTE >cds.KYUSt_chr6.14153 pep primary_assembly:MPB_Lper_Kyuss_1697:6:88398408:88401162:-1 gene:KYUSg_chr6.14153 transcript:KYUSt_chr6.14153 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPTRSSPKQWRQGARSTLGDDQIKEEAPVSHGSDVKTAAQSRRGGGCPRGVRQRPPAQHHRSVAAPSHLAWLFTTQSWTSDRYGGRHDRAEAKTNVALPGGSSSAMASWPVAAPRGGILQRGRTFPDILLQRNLKLSWSGSVLLRRCCKWCYLAMKLVTHVQRVETVWAYNFGA >cds.KYUSt_chr3.33524 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210474028:210476841:1 gene:KYUSg_chr3.33524 transcript:KYUSt_chr3.33524 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLLLCTSLLLVLGHGGSNWKAAARTVPVEYLYPPYDLTYFEATDTNGVFLRSPNATFSAAVYSADDTDSSDAHQSRFVFSVLHDASRIPVWTAPSVSTTVLSLTASGLAISDPADQSGPPSWSTPADLAAPVAALRLLDTGQLALLDATNATLWSSFDAPTDTLLQGQTLPVGVPLTATVSDSNLSPGAYRLILTTNDALLQWQTSESHDSFVTYWALSSDAASVKQSNKAVHSMKVNASGIYLLADNAIDTVFTLLFTGPSTSNKFLKVDPSGRLRALSMAYSPTAGRATLPAVWNAPASDCDLPLQCGSLGLCTLGNNTSSCTCPDDFSTRNSGGCSPADGSTLPVPAQNCNASSSSAATEAAYAYMSFGHGIGYSGSNFAVAATAGDALPACRDLCSANCSCLGFLYKNSSKSCYLLHDQIGSVFRASANTDEAGFVKTLPLPSRSHGSGSSTLSPITIAFGIVLPTIAAVVITFLLYVLAARWLKNRPDGGGKKNKRKTKHSGHGRSWFMIQMMSLSSSRASSNVPSENGDDNEDHHDDDDDDAVLIPGLPARFTFADLETATSGFRSQIGSGGFGSVYRGELPDRTTVAVKRMNNLGTQGRREFLTEIAVIGNVHHVNLVKLRGFCADQSRQLMLVYEYMSRGSLDQSLFLSRFGGGDAGASASKKKTLLEWPERVGVCVGAARGLAYLHAGCDRKILHCDVKPENILLDDRGGVKIADFGLAKLLSPEQSGLFTTMRGTRGYLAPEWLTDAPVSDKADVYSFGMVLLEILRGTKNSKLVHQDEEDTATGSVASVSGSTSSDGRGGSYFPAVALEVHEEGGRRYGELVDPRLEGRANAAEVARVVRVALCCLHEDASLRPPMTAVAAMLDGSMEVREPRPEQLAYLRMYGRGIGGGKWKASHLTTGDGASISLSQQSCVSAQQLSAAR >cds.KYUSt_chr1.6378 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39316479:39320849:1 gene:KYUSg_chr1.6378 transcript:KYUSt_chr1.6378 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATGTAPSLDGELLPPHGSSSGDEDGGGVDGGAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGVAGEVDDPVEEVASEVPPGLTAQRCVAFQWEPDGKYLRYSRQGKEEGAVTDGLLQLDGEDVTSPHTRFFLEPSKEHDGQRLLHVRCCYDNKYLAAVQGPHSGGGAWIIGATDEAEEDLSKATCTLFKTTASDRRPGYVRFVHAHLGMYACMSEQTLRLSLQEGDGLDAASGHGDIIIHDLSRSLVLPRYLVFKGDNGMYLRPRIIGRQKYVQFSAAGAADQSLVNTVHGNQDGTFRVWSNHFGKFWRRSPNWIFCDSDAAAAAGDVDTLFRATRFGSSVALQNLGNNWYCKRLTTEGKNNCLNAGAPTITADARLRLEEAVVSREIYDVVFDLSGTRVYGKTSVVGMATASAHNDDTASSTTAELTLECRHTERRTWASSVTMSLGVTAKIHAGVPHVAAGGNMEVAEDELSGLYSWGSSMEKETRKDVAYQVTVPPKTKVTVTMVATRAYCDVPFSYKQRDTLFDGQQVTHDMNDGLYTGENCFDFEYVITSQEMI >cds.KYUSt_chr3.1241 pep primary_assembly:MPB_Lper_Kyuss_1697:3:6965817:6966779:-1 gene:KYUSg_chr3.1241 transcript:KYUSt_chr3.1241 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPVSEPEMAGILVHAKLAAKNDRAQRDRLLQFQLQLLAPSPVAGEGNDGADLPLKEIASGLLDVCYSRIEMAARSLATSFKLAVKNGMHPSLPLYFGEIPDEKLFDCLLTLRLPRRPANQFQALARVEAAYYAVKCIQEHFLPRCVEHLGYPYLAYAASDDDDSEDSLPDTGDDCKIGLPLPEPVTTKHLVETGMPDPVAVVTEDLAKIGLSDTDATATKNLAKNILPDSDAAAIEPTEDSGKIDGLPVPAPAASATGETTQAASVDLDQARTYLNRACTLAKLAAKSIDLAVTTISSFAYAKEVAAISNFVDRLSYT >cds.KYUSt_chr6.1710 pep primary_assembly:MPB_Lper_Kyuss_1697:6:10339291:10340310:-1 gene:KYUSg_chr6.1710 transcript:KYUSt_chr6.1710 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSGVEHLNEHVDFAQSLQPLDDCYLDFLVRNGYVTVSGNVASADEETDDEQEEKLVHDVGKKMDLVVKKIDELIEICRNVFAAILFLIAVVMYVAVVAK >cds.KYUSt_chr3.13906 pep primary_assembly:MPB_Lper_Kyuss_1697:3:84214717:84217512:1 gene:KYUSg_chr3.13906 transcript:KYUSt_chr3.13906 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMDVSSPVAFLHSPFLIPVFTLLVSIFSFLLFFTKKSRPFGSNGGKRLPPSPWGLPILGHLPLLGPLPHRKLRSLAQAHGPVMLLRLGGVPTVVASSAAAAQEVMKTRDLAFASRAPVRMAELLFYGRDMAFAPYGEHWRQARRVCVLHFLSARRVASFRRVRELQAAALLDRIRRVACALRPDGCAVVNLTHEFISYTNAVISLATFGDNSGYGIGDGLSEVFADFEELLGMVTVGEFVPLLAWVDTLMGIDAKAARTSRVMDELLERVIADHRQRRLGRGRLVGDADEDHRDFVDVMLDVSEAGEDAGGVEFDTIAIKAIVLDMFAAGTDTTYTTLVWAMAELINHPDEMRKLQEEIRATVIDSGVNHINEDHLDKLHYLRAVIKETFRMHAPLPLLLPRETLEDTELLGYRVPARMRVVINAWAIGRDAETWEHVEEFMPERFMDGPVEYGVRYDDFRTVPFGGGRRGCPGIGFASPAIELALASVLYHFDWELPAEVGASKLDMSELYGLSVRLKATLYLVAKPWSP >cds.KYUSt_chr1.3045 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18265505:18279101:1 gene:KYUSg_chr1.3045 transcript:KYUSt_chr1.3045 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKTAEQQFPVLKESTFWINTHCAAETSSFMNYMYYKFLSMMPRATIGIRYYAVDVLIPFSGQPSAELTRSITGAGFDLGICAHHFVVVAASLSIRSPRLQLHLEGNIEKKLVGVVQSTRILSGYGDLRIIKELHRQFILLLHLRGGCGLLDRPMDAKALADGIIFNIMENKSHHVSDEPMKMSFKLLQEITNNFDEDRRLGNGAFGEVYKGVLDGKDIAVKKLRAMPGIDEKQFENEFGHLRRLKHQNIVQLVGFCNEEEEVVVTHEGKEVLALDIHRALCLEFVPNGSLGSCLSGQCLGLDWDVRFRIIKGICEGLKYLHDVSVMHFDLKPDNILLDEKMVPKIADFGLSRLVGKENTIITMTPLGTLGFLPPEFINKQVISKEYDIFSLGAIIRRILTGVMDKDSIPDMDERESCELVHNYWKEKLEEIPAQSLEAVCKQVRICIEIAVDCMETDRRKRPKMKEIVRRLKEVETKDNQSCPQINQGILEDGTEISVEKLEESSSVIPEKTFQSVVANIMAHRHENIVKLVGFCYETEKKMVEQNETHILADVVVAPLLCFDYTLTVTMREQKKRALSNNEEFLTLQSSIMPAQDLKNVTPDSVAVFFEEVRIMGDHEVQEVKIPVVCNPPDVTAPDQVPVACNPPDKAAPDQTTVNSFEVNNADEPGMLSDFTISLSFPMKFSRNTNIEDRTTECFKAHDGYHITSLAVEPTHSLVLSTSADHMIKLWTWEKGWECIQTFLGHYNKVTQVMFDPRDSNRFVSASLDRTVKIWGIDSATCNITLHGHTDGVLCLQYFSSDNQQFLMAGSSDGAAKVLHMAFLIEQCVRFLWLENITGFNLGAVKALEYINGPRRIVVGCDQGIAMMEVKCVITGVILSTAKEVKVIYRCTGILRLWLPLQRMENRDLFTENFLDRLSGGINFQWYSRPPRGRSGGILLGVRIDTMTVLASSDGEYHIKLDIQNKADVFIWSLVAVYGAAQDAFKADFLRELVNLAKDNPHPILIGGDFNLLRFPHEKSKGFFDGHWPFLFNAVIDSLDLREVFMSGRQFTWANSLPEPTYEKLDRVLMDTEWEHKYPMVSVRALERIEKLSDHAPILLTTGNPRPVCKRPFKFELGWLHREGFHEMVKTVWERPVRGGSPILRWNNKMRSMRKHLSGWAAHMAGILKKEKARLSKEIDDLEAIAEVRPLSTQEIDLKNQSNAQMASLLREEELKWYQRSKAQFILEGDSNTRYFHGLANGRHRKKRIHSLIQDEGVIEGHEQLKSYITNYYKDLFGPPEESSFSLNEDLTEDIPQVSMEENGLLTAPYSEDE >cds.KYUSt_chr3.48899 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305533231:305536371:1 gene:KYUSg_chr3.48899 transcript:KYUSt_chr3.48899 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTPRPPCQRPRALELAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVLGDHFRVLFEHEPADTATSRRTPRPPCRRPRAFELAVLGDHFRVLFGTSRRTPRPPCRRPRALELAVLGDHFRVLFEHEPADTASTLPAALEPSSWRSSATTSGCSSARAGGHRVHLAGGLEPSSWRSSATTFGCSSATSRRTPRPPCQWPRAFELAVLSDHFRHRPAAFASSGWLRLRRIARLRHRRIARLRLRRLARLRLRRLVRLRLRRIAGCASAASSGCAFAASSGWLRLIVRLRLRRLVRLAAPSPPRPAAPPPPRPAGCAFAASSGWLRLIVRLRLRRIVRLAAPSPPRPAGCAFAASSGRAFAASSGWLRLRRIIRLRLRRIARLRLRRLVRLAAPSPHRPAGFASSSGCAFAASSGWLRFAASSGCASAASSGWLRLRRIVRLASPHRPAGCAFAASSAGCALRRLVRPRLRCLVRLAAPSPHHPAAPSPHRRLRLRRLVRLRLRRLVRLASPHRPAAPSPPRPAGCAFAASSGCASAASSGWLRFRRIVRLASPHRPAAPSPPRPAGCAFAASSGCASAASSGWLRLRRIVRLASPHRLAAPPPPRPAGCAFAASSGCASAASSGWLRLRRIPRPAGPSRPSSDCDFVASSGCVFAASSGCASSGCAFVVSSGCALSGCDFVASFGCALSGCDFVASSGCAFVASSGCAFCASSGCASSGCAFVVSSGCAFVASAGCALSGCDFVASSGCAFAAPAGCASASSRRLVRLRPRRLVRLRLRASSGCAFVASSGCAFAASSGCAFAASSRLRLRRLVRLRLRASSSCAFIGCAFAASSGCAFATSTGCAFAAPSGCASASSRRLVRLRPRRLVRLRPSPPSSGCAFAALRMVEISPGGSAPLIHATPWFTSTSPLPSTSITSRSGPSPRLDCYSSPT >cds.KYUSt_chr2.34143 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211013601:211018192:-1 gene:KYUSg_chr2.34143 transcript:KYUSt_chr2.34143 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGRGLQRSGSKRVLEQTNGGGGGGGAGGGDDDHAAKRPRVPALASVIVEALKMDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPNGTNLQLQFRSRLSLPLFTGGKVEGEQGAAIHVLLLDTNTGCVVTSGPESSAKLDVLVLEGDFNKEEDEGWTGEEFEGHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVASGFCEGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKVGKDGAFHKKLNASGIYTVEDFLRLLVRDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYIYYANDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKVYADTLVKKAYEDWMHAVEYDGKALLSFKQKKKSVTTRSDTAAASTSNHALHGSINAQKQLLPGKAGQTTSEDGARSAYNGNQTANYTANPQNVSGNITMQYDRSALSSDSQFSGSSLQTQTSGGSSMLALAPPQQQQHGFEFSALGQSMQPAGLSPFDQWSQPQENRGVDDYLMEEIRLRSHEILENDEMQQMLRILNMGGASSNLGDDAFTFPPFMQSPSPNFNFEDDRTRPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDDC >cds.KYUSt_chr3.3198 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18367971:18369126:1 gene:KYUSg_chr3.3198 transcript:KYUSt_chr3.3198 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSQQLDRFKQQQQRCHASLSIINATRAPSKPQQYAPAPRPPMAKPSAPAPAVRFSDDTARLQKMHAVRKSAVGSQMKDVIELLYRTRKALTATQINDATYVDIAGNSAVFESLRNNPKVRFDGRFFSYKPTHNVTGKDGLLALIADFRDGIPVKELEDAYPTVLDDLQALKSSGDIYLLPGEQDMVFPNDSRSRLELDTELKKLFYEIKLPKDMLDIEKDLRRNGEKPMTDTAKRRAAAEIFGKPSKPKKSKKKQRGMTSRTRITNIHLPGLFELPMDTKDFI >cds.KYUSt_chr2.40982 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254648339:254655472:-1 gene:KYUSg_chr2.40982 transcript:KYUSt_chr2.40982 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQKKPGKGKEKTERKTAKGEEKRARREAGKVGEEDDIDAILKSIQKEEAKKKEVHVDDNVPAPSPRSNGSLTINPLKDTELILYGGEFYNGSKTFVYGDLYRYDVEKNEWKLVSSPNSPPPRSAHQTVAWKNNVYMFGGEFTSPNQERFHHYKDFWTLDLKTNQWEQILAKGCPSARSGHRMVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDNFKWEEIKPRPGCLWPSPRSGFQLLVYQDQIYMYGGYFKEVSSDKNASEKGTVHADMWSLDPRTWEWNKVKKTGMPPGPRAGFSMCVHKKRAVLFGGVVDMEVEADVLMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKTKDTKRKETVNDSETNIGNEDDTTMNDSEEATDGQSEVHGVSNHLTKSLSLTKAGSSENADVISDSAAEEAAPEAIKPIGRINASMAVGKDMLYLYGGMMEVKDREITLDDLYSLNLSKLDEWKCIIPASESEWLEISDDEDDDEDEDDENDSDDDAMQTDEEDEESDEEAEKNVDMSGAVSLLKGERKNLRRKEKRARIEQIRVILGLSDSQRTPTPGESLRDFYKRTNMYWQMAAYEHTEHTGKELRKDGFDLAETRFKELKPILDELAVLEAEQKAEEEASGATSSKKDMKKGKQKSAGR >cds.KYUSt_chr3.40765 pep primary_assembly:MPB_Lper_Kyuss_1697:3:257354836:257355851:-1 gene:KYUSg_chr3.40765 transcript:KYUSt_chr3.40765 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRQHPANMRSAVSSSDKCTAKRSRSEPLIDSLPEGIMHDIVSRLTLKDAVRTSSVSTTWRRLWTCHPDLCFDSPTILNREPGSRSRRRRHRFIRRVNAILESHDAMGDDSERDARELKEKQEREATSKLAIANPANSSAGLFSISSLHAQAVGLSSIKGHVPVELALDTGVHRQWRTFFRAALRKYALLDHIDAAAPSETGQGKEEEKETGQGEAG >cds.KYUSt_chr1.21858 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129072250:129074392:1 gene:KYUSg_chr1.21858 transcript:KYUSt_chr1.21858 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRKHVPENIAAEEEGGQQQLHRDEKPHKPVLKKVKDKVKKIKNTIAGKGHGNGDEHEHENDTGGSNSSDMDEEDSAMREAEVEKGGYQVEKGGYQEDVEDKRVMDSSPELHGAPMYDSERIAEVKRNDATGDRLGDFGVPAAKKGVERTDDTEVRLGDQLGGPVVEDPMAPNSKTPTPRGGEDIGTTDVVRDFEALAVSDDPKHAGVQKMDRDQIKETEAMPVSDGTGEEWTDAPADAEYTGSYTDRLKNTAAGTTEYGKKLASTVYEKVAGVGTAVATKAQQVTPGFGAGGSAQDDSTTAEPMAVDAGKRDLDQGMPASYAGTDELKNAATDATTEGAPAATTYTDKIKSAAAGTTEYGKQMAGTVYGKVTPVFGAGGNARDDSSTPAVDAGKRDLDLQKEGTPASYTGTEQLKNATTDATTEGAPGATTYTDKIKYAAAGTTEYGKQLASTVYEKVAGVGTAVAGKAQQVMPSSAGTATPGVGAQDGSTARTVTPGAGGPGNGQDKGVSGVTAYIAEYLRPGDEERSLSEAITGAVQQRKEGVGSTVSKAREVPAQAVTRAREAVTSLTGGNRVSETVQPTTEGNIGEGVAAEVPTLHGEEIGAPRTNTNGL >cds.KYUSt_chr6.7065 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42832470:42834664:-1 gene:KYUSg_chr6.7065 transcript:KYUSt_chr6.7065 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVRVPAPAWMDSPGQAPAVQQQQQVASASGVQRQKKKKKKAAGAQGVPPVQQPHVVVSTGVAPPQGQFVPQGHQAGFDMQTSQLQAHSQAAIQPQIVAQVMAPLVSEHVVPIETVKPNKPIWCWKCSVDSHAVKDCKAQHYCYICDKKAHPTVRCPVLKYPRPSAFVAGVGTYETFFTTLPDSVVKDDLVPNSSPVARVVVTGEAVTTVVIQKQMARRCPAKPQWKWEAVPHGDNVFLISFPSFEDLDVVDGIQMAVPGFNSHMSVSVWKPTDVPHKFELEQRSRSGSRVAMFDTTKFGKKDAATFVKSDVVVKLKGYEFRFDRESPSYVPEADFVPFVWRKKDGDGDGGKGKEHEDAMDTSEFAQGTSDT >cds.KYUSt_chr7.13064 pep primary_assembly:MPB_Lper_Kyuss_1697:7:80614319:80617250:1 gene:KYUSg_chr7.13064 transcript:KYUSt_chr7.13064 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQLYSTAMSTKTRVKALPGTQAVEYPSFKLVLVGDGGTGKTTFVKRHVTGEFEKKYEPTIGVEVRPLDFQTNRGRIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDICRVCENIPMVLCGNKVDVKNRQVKSKMVTFHRKKSLQYYEISAKSNYNFEKPFLYFARKFSGDMNLRFVEELALKPAEVTVDLVAQKKIEAEIAAAAAMPLPDEDDENIMD >cds.KYUSt_chr2.39955 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248050159:248050530:1 gene:KYUSg_chr2.39955 transcript:KYUSt_chr2.39955 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLLYALSGGAALSRLAGDGGRRFGPHHCAVYAANAFLGPDLGSFAEWLASFFPSASAQAAGDFAMAAVHHPFYYPLLLGLPLACLYAWLSRRLLRAGLLDAPNGVGSRMHHMFFSPP >cds.KYUSt_chr4.40494 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249917226:249918531:-1 gene:KYUSg_chr4.40494 transcript:KYUSt_chr4.40494 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSLASRFSWEALLVVLASSSYVGARGARAPKTTNPTDGFTAVSLEESNFELQRPYNKASGSRYSFDGTVRKLWVLSSDKPHARQSHTSPRTEIRMEGYDYSSGVWQFEGYGYVPSGTSGVSIMQVFGGSETATTLMLHVYNGALRYYDRQLVEDNIYDRWFKLNVVHDVEGSMLTVFIDGEEKLHVSGRGGDSHYFKFGVYAQNHDSSFMESRWKDIKILKKY >cds.KYUSt_chr4.53018 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328636546:328643379:-1 gene:KYUSg_chr4.53018 transcript:KYUSt_chr4.53018 gene_biotype:protein_coding transcript_biotype:protein_coding MRASWAVCPILCRCSWRISRRWWMSKCSAVRPVWCDPCQGSLLQGGVGSGAQHLCGSRVSLSHVRWSDAFELGEWAGALFGMRTGWCFWCSESWLFSSESRLQFCSGQCLAVPPVGVLGVEQRRGEIMAAVMDLDLNCSPPEPEPPVQQGHHRLQRAMLRQEHTFRHQVKDLHRMYWSHNNLPNGRPFWEQQPGNVLYARHSLDGSSRMMDLNESETHPDMSRRFYELGAENLGGEGSSRRKPDHGGGQGRSGYRPMIDLERPATSEDDDDVEIISSARFSDYTNRKGSTGSSDTPDSHSPVKEKITASGGMLIDLNVAQENDFSGFADPSKVFCSLLSSSSTIQSGECCGNSSKAFHMAGESSVGSSKGSSITVVTSISAPDSARKVIAAGFCDPQSSSQPFHVDASKHVTHFRGNIQHHHTLHDVSGLSSQGNMGFPREAFSVGSGGRNASSVENRDNQRGFDLNGEMEGFDLNVSVGSIELPSTIASSLSENHLNGDGSEKLASSLYFTENEGQRNVSSVESPTIRDCREADSIDGNSVRSPESGIATNRQISIPETPQGRDYACPRLRPSSNTAPTNLDTTSMHQPGTEEDEKIAATAAETLVSIFTDNSAWITDSRCSNNKTDDREASDEPQVSLDSFEEGVMNLEQMRDDADSIPVRAPDKDGPSCGIKLKRGRGMRDFQREILPGLVSLARHEICDDLHAIGYELRKTRRRNPGDKYAPSTRSRLPRRCSNAWN >cds.KYUSt_chr2.16514 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103879498:103880511:-1 gene:KYUSg_chr2.16514 transcript:KYUSt_chr2.16514 gene_biotype:protein_coding transcript_biotype:protein_coding MWERGRPPRKPRPSPLILPASALVSPPPPRYVFPRSLFALAARIMPSRRPSPVLLLLLALALALLFLLLSPSGPSAARLSQSFASTSAVSAASAPPSPIKIYLYDLPSKFTYGVVRSYMSARAPPGSADVGATLADEELRYPGHQHSAEWWLFKDLRRRGPRDRPVARVDDPAEADLFYVPFFSSLSLVVNPIRPLAAANASGAEATVAPSLYSDESMQDELVEWLERQPYWRRHRGRDHVFICQDPNALYKVVDRISNAVLLISDFGRLRGDQASLVKDVILPYSHRINPFKGDVGVDARPALLFFMGNRYRKEVSSWVSNNKYYFIKFGASSTCI >cds.KYUSt_chr2.47520 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297314447:297315384:1 gene:KYUSg_chr2.47520 transcript:KYUSt_chr2.47520 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVSSIARMLRGEAVKRGVAGPKSEMVTMDLLGGCGGDSAGGSGGDDEVVDLEVKVPVGWERRLDLLSGKTFLTPHRHQAVQGSHQDLNLPPPSAAATSTTNSAAVCTLDMVRSALERAAAVRSATSPATSSSSSASTSTSSSSLGKRNRSPPAAATTESPLNPAMLAAACPSCLTYVLVVEADPLCPRCEARVPPLAKTTGADGSGKKPKIDLNFAADETD >cds.KYUSt_chr3.11887 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70969926:70970559:-1 gene:KYUSg_chr3.11887 transcript:KYUSt_chr3.11887 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSVDWAGSSVEQTELHVLVPTELLISIDAVPRFNVYIHADCVFFLLHKNEPLPLPLPLPRHPSSTSHPRRDLLGSHSLANKAPPPVDGGDRMEAKYAALRRAVEETAAVDAHAHNLVAAASSFPFLRCFSEADGDALAFAPHSLSFRPCLLLSYFSSQ >cds.KYUSt_chr5.32823 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208097875:208098600:-1 gene:KYUSg_chr5.32823 transcript:KYUSt_chr5.32823 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSSYSDEYVQLFPAEMYQQHHHHQPQQEETLEAVVWQPVTAPVLAELEEPENSAPGGAAVGTARKRPFRTDRHSKIQTAQGVRDRRMRLSVGVARDFFALQDLLGFDKASKTVDWLLTQSKPAIDRLSDAASGPTAAAPRAASVKEKGDAASSSSTDCFRDARAHACERTMERMRSAGGDGSPMVLMADHGGGGEVDWIMSEAAAAAAIEQPMEGLDYYYHEYYQLEEMMSAATTKDY >cds.KYUSt_chr6.33609 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211097782:211098117:-1 gene:KYUSg_chr6.33609 transcript:KYUSt_chr6.33609 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSYLISEYDLGGEGDLFKAPEAIIEEPPLLGLDHTFSMMPGSGDENDDINVGLIKVLYECEKELMEMSLLDVDISTLQVQEEVPAGELHKSVSSWCLNSTDWMTGTRHR >cds.KYUSt_chr1.34857 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212350748:212354129:-1 gene:KYUSg_chr1.34857 transcript:KYUSt_chr1.34857 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLPLLPATAAATTFIDATAPFLLPTSSMPALRLGSPALLAARRGPLPWLRCAGAPRRGMCCSLDASSRDPEDDDEKRRGARAAAERRLRGGGGSGELLAIPGVGPRNLRKLVDNGFEGVAHLKQLYRDKFFGKSSEKMVEFLQSSVGIIHKNHAESITSFIKESVVEDQEDTNSSKPPQKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVAKWQDVGPDHFNILDAFYAEPERYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMLRKRSEEGGVSLDYLQGLHEKHESWLFPSKGGGRGVLSVSQLPMHMEGSLPPGIRDRVFYLEGDHMHSSIQKVPALVLDCEPDIDFNKDIQAKRQYAQQVAEFFEFVNSKKEAPSEQTSTEKDRMNPQIMFPNKGGLWVPGGVPPFTGSAMNLDFRRAMSSYLPT >cds.KYUSt_chr4.7970 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47496554:47498106:-1 gene:KYUSg_chr4.7970 transcript:KYUSt_chr4.7970 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGGGEEGCKDPRNPPATGHQVRGRAAPSPSHSSPPFPSTEPSSSEEHEDEQPQQPAASLPEDALVEILSRVPYRSLRRFSCVSKPWLALCSDPKIRRRCPQTLSGFFYSGLRDIKFHNLLAGGAPPMLDPSMPFLRESYMLVILVQCCNSLLLCQCLKWTPGGGCSINYVVCNPATEKWDVIPPIALPGREERPLDYVEMTIVYLGFDAAVPSRFVLFVPHSGHCDETTEGRFYAWQIDNDGDCQLYVWVLEDYDSGKWTLKHTVDVLELFGRHHREDAESYNMFAIHPERNLIFLADGKEMAVSYDMDDRKVNVICTSGEFVQFGGLPYTPCFAE >cds.KYUSt_chr4.35614 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218694277:218694582:-1 gene:KYUSg_chr4.35614 transcript:KYUSt_chr4.35614 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALVASAAGCGVETLVLAAGDGELRQGSRLGGGGEGDACPSGAVAERSCARRGVGSRPSTHIAASARGRTASPLVASAAGSGVEALTCDGFATGDGDL >cds.KYUSt_chr2.42945 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267377823:267378988:-1 gene:KYUSg_chr2.42945 transcript:KYUSt_chr2.42945 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAEGAPVTAERPVRADLESRLPKPYLALALAAPDVKNPQGTQGHEHGQKSVLQQHVSFFDLDDDGIVYPWETYEGMRALGFNVILSFILATVFNVVFSYPTLPSWIPSPLFPVHIKNIHRGKHGSDSSTYDTEGRFMPVNFESIFSKNARTAADKLTLGEIWRMTEGNRLQYDFFGWIASKGEWILLYFIAKDDEGFLPREAVRRCFDGSLFEFIAQQRRQAHEKQQ >cds.KYUSt_chr2.244 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1484821:1486347:-1 gene:KYUSg_chr2.244 transcript:KYUSt_chr2.244 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRTKMSWTWSDDDVLRQTPQSERTQSRTRDSLATGQPAMQPAMAPVHVLVFPWPMQGHINSMLHLTAGLLGAGLHITFLHTDHNLRRVDRANAATSPRLRLMSVPDGLPDDHPRSVYDIKDLGRSLRTTGSVAYRALLASLLVDDAAGFPKLSCVVADGLLTFATDIAEALGVPALPFRTESACSLLAYLSVPRLVELGEVPIPVGAELDDAPVHGVPGMEGFLRRRDLPSSCRRHAETHDVDPMLQVFVSHTAHSFGARALILNTAASLERSALAHIAPHMRDVFAIGPLHAISAAAMALPRTSLWREDDGCMTWLDGHADRSVVYVSLGSLTVISLEQFTELLSGLVGAGYAFLWALRPDMVGASPKEAVEAAGNGGKARIVDWAPQRDVLRHPAVGCFLTHAGWNSTLEGVVEGVPLVCWPFFMDQQINSRFVGTVWGNGLDMKDVCERAVVERMVREAMESGELRTSAQALAQQVRRDVAEGGSSTTEFHRLVSFIKQLSCS >cds.KYUSt_chr7.8750 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53012656:53013792:-1 gene:KYUSg_chr7.8750 transcript:KYUSt_chr7.8750 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTASSLRLHQPTRPHRRRPPSPPASHQFRSPASRRHLPSVRARRGGGGTIRAIDAAQPFDYESRAAGLLEERQRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRSDYSAAAASLGASYFQDPHDLCECHPDVVLLATSILSAEAVLRTLPLHRLRRNTLFVDVLSVKEFPKNLLLTSLPEDFDVICTHPMFGPESARDGWDGLPFVFDRVRVGDSPARRARADVFLNIFEREGCRMVEMPCADHDAHAAETQFLTHTVGRMLATLDLQPTPIDTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLESAMDSVKKRLFDGLHEVLRKQLFEGKASPLNADVHRKPLLLEGKASPAPPTPNNTAVHLK >cds.KYUSt_chr7.26458 pep primary_assembly:MPB_Lper_Kyuss_1697:7:165214326:165223491:-1 gene:KYUSg_chr7.26458 transcript:KYUSt_chr7.26458 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDGRERAPQRKGISYSQPLARDAAAQAEHARHAALSSHSLDDDPIASAHRHHDPARFLPYPHQLPPHRHHNPTAEPTHPSSASFIVGGAVTLDRPATTSNCPTGPLPEFVGAGGGEGIFRVPRRAAMHPGRPPALEVRPHPPRETQVCSFLRTLACAPRLRQLWAGAESGVRVWALDRVFDGCGVPGARRGDEESAPFAESAPAPPALCVAVDAANRLVWTGHRDGRIRSWRMDLAAAPAADAAGGDGEGAPMFREALTWQAHGRTPVLSMVVTSYGEIWSGTEGGVIKAWPWDAIARSLSLTPEEKHMAALLVEQAYIDLRNHATVGNMSSLPTNDVKHMLADHCQAKVWTLTSMTFALWDARTRELLKVFGMDGQVESARLEPPVMPEQFIEEEIKVKPTKKEKPQGSFTFFQKSWSALMGAGDAVRRVATKGTFVDDNRRIEAVAQAMDGAIWSGCTDGSIIMWDGNGNWLQEFQYHNSSVQCIKALGERVWVGYASGTIQVMDAESNFLAGWTGHSCPVIQMAICGSYIFTLAHHGGIRGWPLTSPGPLDDILRTELTNREMKYTRIENIKMLVGTWNVAQEKASPDSLYSWLRSASSDVGLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGKTLDEGISFHRVGSRQLAGLLIAAWARTDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVNNHFAAHLENVTRRNADFDYIYRTMNFNKPHGSAASSTSVQLAKAVNANGNQPDEDRPELAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLRERDQLRAQMKEGNVFQGMREGFVRFPPTYKFQRHQPGLAGYDSGEKKRIPAWCDRIVYRDSRSESLGECSLECPIVAAITSYEACMDVTDSDHKPVNCTFNVDLARVDELIRRQEYGKIIESNEKIRCLLEESHCVPDTIISTNNIILENQETVVLRIANNCGTKRSAFEILCEGQSTSNQDDFVPRASYGFPHWLEVQPSIGLIEPGETMEVTVHHENYYTQEEFVDGVMQNGWCEVTRDKEAVLLVNVTGSTSTETITHRINVRHCCAATPAPPTSNLLAVTAPLGDSSSSEGSAERSSRKSQSNHLHRSDFALFGSSEVQDLCRMRNMHE >cds.KYUSt_contig_815.122 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:699388:701772:1 gene:KYUSg_contig_815.122 transcript:KYUSt_contig_815.122 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDYFRSCWGARSRAGRRGKKGSDSAGRQDGLLWYKDAGQVATGEFSMAVVQANNLLEDQSQVESGSLSMADPGPQGTFVGVYDGHGGPETSRFINDNMFHHLKRFATEHKCMSADVIRKAFQATEDGFLSVVTKEWARKPQIAAVGSCCLVGVICSGNLYIANAGDSRAVLGRIVKTTGEVVAMQLSAEHNACYEEVRRELQSSHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKKPEFNRTPLHSKFRLRETFKKPILSSEPAITVHQIQPNDQFVIFASDGLWEHLSNQEAVELVQSNPRNGIARKLVKAAMQEAAKKREMRYSDLKKIERGVRRHFHDDITVVVVFLDANAISRPGWSKSPSVSVRGGGVSVPSNSLAPFSAPTMLSSTY >cds.KYUSt_chr3.27516 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171684834:171689497:1 gene:KYUSg_chr3.27516 transcript:KYUSt_chr3.27516 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKDVQAGLVKLAFRTDHGGTKHFSSANLGKLTRVYMLAWSRKKEDVVGLKAPKKEKRVKREPRTQAPVEAPYVAPKPKITIKSSPDKIVEIFDGMTLHDLSRRSGASISALQSILADLGERVESEFDTISIDLAELVAMEIGVNIRRMHTGEGVAEPRPAVVTVMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMQSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAISHAKVANVPIVVAINKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISALSKLGLDKLEEALFLQADMMDLKARTDGPAQAFVVEARVDRGRGPLATAIVKSGTLVSGQYIVVGAEWGRIRSLRDTAGKVTECAKPAMPIEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEDMTEEAEIADETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPVSEHDIDLAQACRAYIVGFNVRNPPSAITQGATQANIKILLHKVIYHLLEEMGRLIVEKAPGTAETQISGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHFSRSGTMRLLRSGDVVFEGPCASLKREKQDADTLDKGDCGLVIEDCDDFQVGDTIQCLEQVMRKPKFISTQSGAVRIEC >cds.KYUSt_chr4.7606 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45415714:45429771:-1 gene:KYUSg_chr4.7606 transcript:KYUSt_chr4.7606 gene_biotype:protein_coding transcript_biotype:protein_coding MTHILPDDLQSELIEHLPPLPQSLVHASAVCRAWRRIVNDQGFLRRYHVRHGTPLTMGFFHNSDTIPRPFVHVGGFSSFSFERPGENEHRWKFMDCRHGRVLLFDESSGQDMCFLVWHPMTGYCHRKIVRQSSFFRLNFLKSNTNAALICAAGCDDDKHYMNCKPTSPFCIAVVSSDSRGRVSANVVSSLTGPESMPEDLLPVGGQIRAEPCAIVNNTMYQPLYDYRVLAYDIGQRTITAFGRPRGGNVRLMRVDGGARLGLAAAQDLIIRLWVRDTDADGGWVLRKTIDLGELVTDLSMAPLPWTDSRFPVMPPVKIIGVAEEGDALFFWTMVGVFMMCPKSLELTKVHETAEGMNIVYPYAAFYLLPAPAPQFRPRILIDVSTIDMTTSVLGLKISMPIMIAPTAFQKMAHPEGEYATARAAAAAGTAMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFVLPPNLTLKNFEGLDLGKMDQAADSGLASYVAGQIDRTLSWKDVKWLQTITTMPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAQGRIPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFALAAEGEAGVRNILRMIREEFELTMALGGCTKLSDITRRHIFTEDDRLGRPLPRLFSFERPGENEHRWKFMDCRHGRVLLHDEASGQDTGFLVWHPMTGYCNQKIASRQNNLFSVSFLKRNTNAALICSPGCDDNNHYMNCKPTSPFRIAVVSSDFRGRVCANVFSSLTGQWGPESMPVYLPPVGGHIRAEPCAIVGNTMYQPLYDYRVLAYDMGQGTLTVFERPRGGNVRLMKVDGGARLGLAAAQDLVIRLWVRDTEADGSWVLRKTIDLGEVVIGLSMAPLPWTNSRFPVMPPVKIIGVAEEGDTLFFWTMVGVFMFCPKSLEVTKVHETDEGMNIVYPYAAFYLLPAPQFRPRILIDVSTIDMTTSVLGLKISMPIMIAPTAFQKMAHPEGEYATARAAAAAGTAMVYKDRKVVEQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFVLPPNLTLKNFEGLDLGKMDQAADSGLASYVAGQIDRTLSWKDVKWLQTITTMPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAQGRIPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFALAAEGEAGVRNILRMIREEFELTMALGGCTKLSDITRRHIFTEDDRLGRPLPRLSQWPPKTWEMGLSSRYVQMLIPKNYKAWKRMWIETGDQMVDEIDRVGYVPSKFACQMVDDDWAAQRQEKERDEAADDGNIRSRHDADDDADIDFELMQNDAVDVGDGHDDELIIVYDNENLVIEVGILFQSMDEFRICFRTYAVKHEFETKTLWTDKNKFYAKCKCLIVVPCYASGIYLLDANMMEGHLFTRLCPRFTDSVYVGLPLYIDFCGLFMRLCPRLADFVYVGPSLYIEFCGLFLRLWPRFVDSVSCRSTSTFAASSRGYDRALPTLSSSACCSPSPPLVASVRVGDSSSSAPDFYVSSLAVRVSALVLIAPTVARLATLPPPAGRGIPVYVSSSRIVSRLALQDLLQLHSLIRTFSGVFVVCSLLQTLPRRACWFS >cds.KYUSt_chr1.191 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1090406:1092949:-1 gene:KYUSg_chr1.191 transcript:KYUSt_chr1.191 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAATSDGVGPGVARQAELRRAEGNACFRKARLGAAIDCYTEASTNPLLPDCGQFLPAIALCPDVAVYWMNRGLCHFRRKDWARVEEDSRRALALDDALFKGHYLLGCALLEKEECALAIEEFQKALNLLKSSNSVDKMAEDIWQVLAKAKYLHWEKHSTERVWKMQSLREACENALQEHHFLSGTLPEHSDNEYSEQCKLLSEVFTKAVLDDTPGDVPDYLCCQITFEIFRDPVITPSGVTYERGILLEHLRKVGNFDPVTREPLKEQQLVPNLAIKEAVQAYLKEHSWAYKS >cds.KYUSt_chr3.28453 pep primary_assembly:MPB_Lper_Kyuss_1697:3:177562177:177565356:1 gene:KYUSg_chr3.28453 transcript:KYUSt_chr3.28453 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSAGWLAFELGVIVAQMVITTAIVATSPKERPAWPLRLWVAAYNVGNVLSLPLLYWRHRHSSAAAGRGDDPEMHGASDALRNSSYLMNKARAFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLAWNAVVYSLPFLLFLLLCCFVPAVGYALGYNMNSASVGRGASDEQLDELPRWRFKEMDAPRDREHDDQVQTSWRRHGRALRKGRTTRWKKDIVNTPCYSRMQRTCPATQAERHYRQEDRHCRPLQWHCRQPQRHYRPGARYNRQCHRHCRPRERHYRPTPRLDAQAGTAACEARHCRPNKSTLDLNRSSAHRWLCLCVPNYPFALTSNLNYMYLFTHPD >cds.KYUSt_chr6.23497 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148384191:148384958:1 gene:KYUSg_chr6.23497 transcript:KYUSt_chr6.23497 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSLEHSSSPSSSSTAEHVGAAQWPWPPKRPAGRTKFRETRHPVFRGVRRRGSAGRWVCEVRVPGQRGTRLWLGTYVAADAAARAHDAAMLMLRGRSAACLNFPDSAWLLDVPPSFADLADIRRAAVKAVADFLRRRDAASASAAAAVQEVTSSVSAPPSAACSARARSSEMARNFADAAFEAPSAALDSDVFDLDCLFGETDLDAYYYASLAQGLLMEPPPPPATGAYSWGQDNGDFGDGGAGAGADVALWSY >cds.KYUSt_chr3.37614 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236678555:236693553:1 gene:KYUSg_chr3.37614 transcript:KYUSt_chr3.37614 gene_biotype:protein_coding transcript_biotype:protein_coding MREVWHLPKEEDAGKTEDEWVILDNHIMEMRSKLLFLWLMVWHLRNDTIFGIGRQIGRTRFLPKKESFEALYVDIIFVKWSVVEVKWGVVEVWEHHSELADQLKGADGERIQMGSLILMARVEGSVIHAGEVIHAGMHISKHDSAPDKLLFHLQPFGFRRAGRRIPERILEHDKVIWFGDLNYRIALSYADTKKFLTENNWDALFEKDQLKIERDAGRVFKGWNEGKIYFAPTYKYSCNSDAYAGETATSNKKRRTPAWILWRGDGTSQLSYYRGESKFSDHRPVCGTFIVEVEALDRKSRRRSSNADMRIGAEELLPKDKNKGKGTALLTHSGPFLDLSYPKLSYNN >cds.KYUSt_chr4.21319 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134262270:134279430:-1 gene:KYUSg_chr4.21319 transcript:KYUSt_chr4.21319 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVTSMVIGQLVSVVKDKASSYLLEQYKVMEGMEKQHKILKRQLPAILDVITDAEEQATHREGVKAWLEELKTVAHEANEVFDELKYEALRREAKKNGHYCKLGFDVARLFPTDNRVLFRYRMGNKLHRIVRTIEILVTEMNAFGFKYEQQTPTCKQWQQTDSIIVDPENIVSQSRYEEKQKIVKILNDPANSATLTVLPIVGMGGLGKTTLAQLIYNDLHVQKHFQIKRWICVSDDFNVYDIARKICNGPVENDNEKALYKLQQELSGKRYLLVLDDVWNRDPDKWEKLKACLQYGGIGSAILVTTRIKGIAQLMSTVEAHDIAFLEKKFIKQIFKTRAFSLPHSKPAHEILNMVDASELLNMVEKFVERCAGSPLAAKALGSVLHTKTSLEEWSAVLNKSIICTEESGILAILKLSYNDLPSDMKECFAFCAVFPKDYVICVDKLIQLWIANGFIQEQEGVRLETIVLKVLELEYLESFQGWEATEGTQGEHLLFPRLEKLSIQMCPELIALPEAVGDQTVVRSAFPALEVLELEDLKNFQRWEAAKRTQGAILFPHLLKLSIQKCPELIELPAAPLLGNMCAGDFNMARSAFPALMVLELEDLKSFQKWQADKVTQTEPIAFPRLQKLSIQKCPELMALPAGTFQGGLFGGNDMKEWSAFPELKELQLYDLKSFQCWGVTEATYGEHLTFPNLESVLLGGCPELITLPEAPKLSVLDIKRGNKQMCQRIARCITSLTNLNLELKDTEPSLAEHSLLVASKEDCKHKSPLVVMKLCCNMFFYSGALTLWTCFVQLQDLTIYNCNALVHWPEKEFQSLVTLSRLKIKGCNSLTGYAQTPEPSIPERCQLVPRLDSLEIENCKKMVAVFDVPASLKTMDISVCTKLESIFGKQQGKSELRQGSCSDDSSLPCLETLSLDGCTSLSGVFILPASLKEIYIHRCSNIQVLSCQLDGLQTLEVTASTSVSLTVRECDSLSLVLNLPMYLKKLDIRRCNRLKSIESHSGDLSSLEELKVRTASPGGPRAGEVTLPNGDIYSGMLLSGGDIPEGVGRYVWSGSSCVYEGGWRRGMRHGCGRTLWPSGAVHEGEYSAGYMDGEGTYISSSESSLSSYKGGWKLDRKHGHGVQTYCNGDMYEGPWVQGQMEGHGCRYTWANGTTYVGAMRNGLMSGKGVLTWRATGDSFQGSWLDGAMHGYGLYTWQDGGCYVGTWTRGFKDGKGTFYPKCSRVPAAHELYIDELRKRGVLPDICNILQHHSDQESVAVGVSFLSRRNRSFEHRPPSKKRPSLQRRWSIGVAVERITRHEPGVGGSDKTNGCEDTAGSNSFPILEREYAQGILISEVVLNNKTFPESSKKLRRRQSQMMRDIKRPGEAIIKGHRSYDLMLCLQLGIRYTVGKITPIQRREVRASDYGPKASFWMNFPKKGSRLTPSHRAVDFKWKDYCPMVFRNLREMFKIDTADYMISICGSDALRELSSPGKSGSIFLLSQDDRFMIKTLRKSEVQVLLRMLPHYYRHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIKIDENTTLKDLDLNYSFYLEPSWRDSLLKQIETDSEFLRNQGIMDYSLLLGFHYRARQNLQRGASFHESILPDKLPVLSEQDAVEEDSACNYREGLVLVQRGSDQKSKVAVGPHIRGSRLRSSSACFEEVDLLLPGTARLQIQLGVNMPARAEKEEKHAEDDGRSFRQVYDVVLYIGIIDILQEYSMRKKMEHAYKSIKYNPLSISVVEPRFYSERFLKFIHTVFPQNLSI >cds.KYUSt_chr3.35911 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225554213:225555825:1 gene:KYUSg_chr3.35911 transcript:KYUSt_chr3.35911 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAPAAAADSPGEAPPAAKKTGRVKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKVTTPPPSVPAVAPPAPPPPTIDVDKVFDVESTTSYLDMLNDSAVNLDAGIGAFDGECNIEDFDDEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVYQGGKRYWQRIEDQYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQQRYKDMAGSKNKEFQFQHCFSILQHLPKWKLRDNEPKCKKEALLTMDDEAEDMSGRNTGKPKGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKLIAAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWNETNADIIARKKAARQARAQGESPASGGAGGDGSVDA >cds.KYUSt_chr3.34054 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213657430:213658138:1 gene:KYUSg_chr3.34054 transcript:KYUSt_chr3.34054 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANFLTKYGSRVYIIHCHDAFHASKIMQAHALSNPKIQVIWYSEVVEAYGGSNCGPLAGVEVKNLVSGEVSDFQVAGLFFAIGHEPATKFLGPQLELESEGYVATKPGSTHNSVKGVFAAGDVQDKKYRQAITVAGSEQDRQRLKMVLS >cds.KYUSt_chr1.41768 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256086938:256087195:1 gene:KYUSg_chr1.41768 transcript:KYUSt_chr1.41768 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRPTAPSSMSLVPIGVDPVGEGEEPVERHRDMDAAGAFFPLAAPELNAPAETGRDDEAISSAAFQDSSMAFTPSRSVAPKKAI >cds.KYUSt_chr4.7532 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44869425:44871803:1 gene:KYUSg_chr4.7532 transcript:KYUSt_chr4.7532 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMASLKSSLLLPSPLSDFSGAAVSISTQPRRKSWQPRGARMQVSAAADAKNILVMGGTRFIGLFLSRQLIKEGHQVTLFTRGKAPITQQLPGESDAEYAEFSSKVLHLKGDRQDFDFVKTSLSAKGFDVVYDINGREATQVSPILDALPNLEQFIYCSSAGVYLKSDLLPHFETDAVDPKSRHKGKLETESLLETSGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPGAGNQITQLGHVKDLAEAFTRVLGNPKASKQVYNISGAKYVTFDGLAKACAKAGGFPEPEIVHYNPKDFDFGKKKAFPFRDQHFFASVEKASKDLGITPEFDLVDGLTDSYNLDFGRGTFRKEADFTTDDMILGKKLVTV >cds.KYUSt_chr6.14912 pep primary_assembly:MPB_Lper_Kyuss_1697:6:93401638:93404369:1 gene:KYUSg_chr6.14912 transcript:KYUSt_chr6.14912 gene_biotype:protein_coding transcript_biotype:protein_coding MWSCKDEPCVYHRVAEKEMNMNIQMEVPAATLSDHAGSSKTGTHNEGASSIALSSEAAYTEITLPESSGQHTPPRGQNIGNEANPEVVSTPHAPTASMRFDTLEDAQRHYLAFARRRGFGIRYNYRKKSEVTGELIRAAMVCHKSGHQAKTKEDTQKPKPVVPERMKNSNVRTDCPARMALKNDASDGYVCTESVDGVPYTPKELANFRSTLRAENKYTDMQDTMAYFEATKLRDKDFYYRYKLDDEDRVQYLFWVDSAARKAYKSFNDCISFDATYMTNKYKMPFAPFIGINNHGQSIQLGCGFLKNELSESYIWLFESFLIAMDGVAPTNMITDQDDSMSAAMEKVFPNTTHRNCRWHIVDKATEEVGPFVAKIPGLPGRDE >cds.KYUSt_chr3.39892 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251413690:251414541:1 gene:KYUSg_chr3.39892 transcript:KYUSt_chr3.39892 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYRCLTRVEIGDGGRTSFWHDDWLPGGPLSISAAALYSHTTSPEATVAQALAGGIDSILAPRLSRVGARELDSLRAALDEVALGDGADRRSLTRCSGPRNKLVTGALYRLCNFGGVSSANAGFIWRCHAPSRVRFFGWLLTLSRVQTRDTLLRKTIVDAAGAGCPLCDATLETASHMTLHCPVAARFWSTIGVEVQRDFHVRDLHLLPMPSSISMETAPAFALLCCWQLWKQRNAAVFRGEAPSLPLLLKLCRDDATMWRGRFPDSQRPHIDAWHVCLGGT >cds.KYUSt_chr7.40743 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252896115:252896520:1 gene:KYUSg_chr7.40743 transcript:KYUSt_chr7.40743 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQPNGMVMLKKYKPTDAMQARSSEEVMTCKETISGSRRTHLCRTRAPWSRLGGGAWDDCLVVEDVYGAGGGRFRLQRYCPRRESVHPKDGAVLKYGDMGL >cds.KYUSt_chr2.51251 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320403860:320405380:-1 gene:KYUSg_chr2.51251 transcript:KYUSt_chr2.51251 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSADPCFDDLAASLDYGFACTPTAKPSPPLAWSPPAWCPRRRVISFLLLDAGGIVPRAQPRHRLHYLLPLLRCPGDLLLLGVESTPSRILSHHGSCCSFGCDL >cds.KYUSt_chr4.19498 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122583274:122584503:-1 gene:KYUSg_chr4.19498 transcript:KYUSt_chr4.19498 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRSARAEEDALPLLSFAVAAPPPVISSSAPLRASSQRQGGRAPGDDMPSLADLELQPSYGGHRLVDVLRKPAMPPPALGDEAARRSGLLISLVTIRTLMAAYIAHQKDCRLVDFVRGVILVKHNAHNLLLSPRECMFQSGVEGLSSDRGFFSPRFVTTENAFGCIVICFIEL >cds.KYUSt_chr3.37556 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236192499:236193693:1 gene:KYUSg_chr3.37556 transcript:KYUSt_chr3.37556 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGSGRRDAEADLNLPPGFRFHPTDEELVVHYLCRKVAGQPQPVSIIAEVDLYKFDPWDLPERALFGSREWYFFTPRDRKYPNGSRPNRSAGTGYWKATGADKPVPSRDSGGRTVGIKKALVFYAGRAPRGVKTDWIMHEYRIAEADRAPGKKGSLKLDEWVLCRLYNKKNNWDKVKVEQDMPAVAAPHHHRQNGEVMNPLVDTQALSDSFQTHDSSDIDSATALPQSQQHGFSRDMALQGQARDGMVAVKDDNDWLAGMNNDLQSCYMSQFGQMANPAAAPWQDVGSGGYLQSMTSPQTRMWQTVMPPF >cds.KYUSt_chr7.12094 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74358921:74367746:-1 gene:KYUSg_chr7.12094 transcript:KYUSt_chr7.12094 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAARRKHQPGAHPARRKVVELPFEDAPPPPSTSAATATAAAVASPAHLVGAIVEKGFSAAAPSSVPRPSVLPFPVARHRSHGPHWSPARKDGGKEKAEEAEYGMDVDEMDYQPAAAVAGPVRRKEKKGMDFSRWREFLADDTPPKRRQGKKDSTHKIDPAVAPPETVGGQVGVRGLGEDAMELDVGNGRETALGGSGLVSDVLPKKPMDAGGSSMLEIGAGAAELRGEGMQLDGGEPSIEAEIDAENMNRLAGMSAEEIAEAQADILARMDPALVEVLRRRGKEKSGGREDVGKEDRSRKDMGKDKSRQTPGPAKTARAIADGHLTAGEQSAYSWRAWSERVERIRLCRFALDGDILGFQSCQEQQDGKKTHADSVAERDFLRTEGDPAAVGYTINEALALTRSTVSGQRVLALQLLASILNRALHNLHKMDLADNVEGANYVDKADDWQAVWAYVLGPEPELVLSLRMALDDNYESVVLTCAKVINVMLSYDMNEIYFDFSEKVVNQGKDICTAPVFRSKPDLDGGFLEGGFWKYNTKPSNILTPYGENDEEESDEKHTIQDDVNVSAQDVAAGLIRMGILPRICSLLEMDPPPVLKDYLVSILVALARHSPQSADAILNCTKLVQNVVKLLDKQGSTEIHSSEIIVVTLLKLVLELIKVVSAVPEGLNTFSKGANSDTVANPFAKASEIISPALGVSLIAGPGQISTLERTFDIIFQPSVLKCLKSSIHRLASQMKSPKTFEWDITEDEYLHFSSVLNSHFRSRWLAIKKKNPDKHARNNTGIDMPTVPVTLETIQEDIELTEAVNPPCSTLVLEWAHQRLPLPVHWILSSVCCIDDAKGTLPSPSKYAVDVSRAGLIFLLGLEAVSAAPSLDIPLVWKMHALSASVRTNMDLLQEDRSRDIFEALQELYGHHLDMLCQKYYRSLNASNDEAVGSVATVEEAKVTSTPEILRFKEKIHESYTTFVESVVDQFAAVSYGDAIFGRQVAIYLHTSVETTVRLAAWNALSNAYVLELLPALDKCIGGIKGYLEPLEDDERILEAYAKSWTSGILDKAAQRGSMSFTLVKHHLSGFVFNCGASIKLRNKMVKSLIRCYAQKKHHEAMLKSFVLEGIPRDSQYSGEFGRRFEILKDACEMNSSLLAELGNLALRPNQLEEEKAVGNCKDQSKRKENKNPKKVCAYWFLSSLSITMSFGTPTGRWKAAEHTPVPPTVVEVAEPRPVVEVEDPTPIEGGAEAQLSPASAHAEEAVTTLMMKTATHTRKR >cds.KYUSt_chr1.33469 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203466785:203473753:-1 gene:KYUSg_chr1.33469 transcript:KYUSt_chr1.33469 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNMVAADDGDGGGVGEIVLARDSVHGRVGPLGKRSATRKSTRFAESVAAPRVGSNDGDDNSYVDVSFDDEGNVVIVDPAVRGEDSDETPQKRPASSYGHGLLRNASTRIKQASQELRQLAAFNRPSRIDRSNSAATRAMGMLKFLARTADGPDGRAAAESCFDEEARDGLLHRSKFAKCIGMKELAFAGELFDALARRRKIFGDSINKAQLLEFWDQISDTSFDSRLQTFFDMVDKNADGRISEDEIEEIIRLSASANQLTMVKEQCHEYARLIMEELDPYNVGYIELYNLEMLLLQTPSDSMCIRTPKSHELSEALSQLLQPTAEPDPFRRWYRRATYFVENNWRHCWVMLLWLSICVGLFSWKFVQYRRRAVFEVMGYCVCVAKGGAEMLKFNMALILLPVCRNTITLLRSREVRAV >cds.KYUSt_chr5.36261 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229210898:229214900:-1 gene:KYUSg_chr5.36261 transcript:KYUSt_chr5.36261 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGDDSEADRRAALLREITEEGGFAFAASAEKAAAGDLRSAEAAREMAWEQLHSGPWSEVGAAWRDAYALACLHVARLRRAGGDRAAALRALDMGLIMGGNLLRADLEAALACIVAESSDGDGSEAAVEEAKRWREGLQRNRDIADVRPLLPSGCIDHWPAMTKWKDIQYLKKIAGDRTVPVEVGKSYVCSEWKQELITFSQFLERMWSTVCPSNVTYLAQHPLFEQIKELHEDIMVPDYCYAGGGELQSLNAWFGPHGTVTPLHYDPHHNILAQVLGRKYIRLYPGSVSEDLYPHTETMLSNTSQVDLDNIDAKEFPRVQNLDFMDCILEEGDLLYIPPKWWHYVRSLSISFSVSFWWRAAAITSDAP >cds.KYUSt_chr3.17989 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110607789:110609120:-1 gene:KYUSg_chr3.17989 transcript:KYUSt_chr3.17989 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSAACHSPARLSVSCSSSAPGRPLRVAVVGGGPAGASAAEALASAGAQAFLLERSPAGAKPCGGAIPLCMLDEFSIPLGLVDRRVTRMRVLSPSNLAADFSRAIPPGAHIPMLRREVLDSFLRTRAADAGATLLPGLVTSLSLPAGPTDPYLVHYISSGGDGPSPTRSVLEVDAIVGADGANSRVAREVGAGDYTTAIAFQERIRLPDKEMAYYDDLAEMYVGGDVSPDFYGWVFPKCDHVAVGTGTVAAKPEIKKLQSGIRARAGPKIAGGRVIKVEAHPIPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAAKSGRMCGQAMAKEWRLTGAVTEAGIRRGYLRRWDDEFLLTFRFLDLLQRVFYGDNAGREALVEMCADEHVQRRTFDCYLHKRMAPPEPWADLQLLWRTAGSMVRCSVLGKEVQRLRQLDMMQA >cds.KYUSt_chr1.1102 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6003931:6009357:1 gene:KYUSg_chr1.1102 transcript:KYUSt_chr1.1102 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLRSLRSPTNRAHTHRHPDIATTFSFSPPPSRRINNSQVRERLSYLDGLFSSLGTVNRLLKRSWKLADDDPDMPVVKKLVVAVRVVIGWVLAPAHMVVSYLCIISLGCLMLFGMVITTWISIIGLKHPDYYGDADDERANLDPACNILYVLCVIQGALFLHFAILGFSQTRIVKQVSRAYGFPDDKAVSGYFHAVWAGCSKRPSSARERNLITYAIELMESKEPYRCLSGTLILERLLVREPSGKPKAPHSNLTKGTVFVDQQRSEENTGAHSNTGVEEQQQKPEMVIIEQQRSLIKKLIGSAAYTHILQKLLETLDSKSSNDREMRVAAARIVEHVATGGGIRLEQFPRGIQCISSLISTFQESEAESSDNQDTGRPSSSSKVKKSNVDNTNPLQRYRDLVLTGFRILWSLAGSEDNIIVISNTIHLVSKIMAPVTHDLVHRTHHGVWSTNVVEGSLKVILRFVTAKGEPAVQMRRQISSNDRAIATLETIVKCRQCKGGELQMNAMQILAQLCMVKTASRGTLTKILGDIFTNDVDSSIRSTAGKTLVELFLGGDCLASTLSEATFVGGLVKILLQVGDNGTCRESAAEILEHLCDVGDEAEELAPAPPPPVPPPLDTSTDDEEELAPPVLPAASTLPTEAARSAAPGASPAPGAPAAGDLVLSPDALAAPSQLPAPVGNGVLLAAAAPAPALLLPPPPDKLPVNFKPTIVSVDSMATVEQAWEEVGGRRRPRLEKVAAPPPRKEAGLPRAFKQRTFGLCFRCLSSDHFVADCRGDVRCLGCGHSGHHERDCMARHPDRPRASTEPRQRPFPRSGAPPTPPPRSGRTWASVVAHPDSSATDGVVPAMASVQLEELLKAAFTEQATMLRSALQELASVQLAELNKPVRVVSAADTDVPPAVLGSLQPLLAAQAEALSAELQAMFAARLEVLFKPLQDLVAVVESWTTQVSSLWEPTEAIGGSQALANDESAPPVVVDGEDSCALDVAGCSAELSEMVQTDVDPPALDKVMLEMVLPGVQEQAWAQPGNGMVLKEVDLPSSSTKLDELWSSFKSTAQHSLLDALIQVQIDGDSSCAGRRSGRLDKKNKDCNIPVAKRAEHRLAESFGALPKGSAPKKGSEEDVQEKMKPLLRLCKTPASPLATQAIRELVLANV >cds.KYUSt_chr2.48498 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303375354:303388979:1 gene:KYUSg_chr2.48498 transcript:KYUSt_chr2.48498 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVRFGLLVSMLQAMSQDRTATKKRGRLRAFLDIVYGESARDDYFSALRLIIPALDRERTSYGLKEAALAAVLVDALGIAKDSPDALRLINWRRGGGGRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLSASDNRSEKALILSSLIKKTTALEMKWLLMIILKDLKVGISEKGVFHEFHPDAEDLFNVTCDLKLVCEKLNDRSQRHKRQDIEVGKAVRPQLALRVSNASSAWKKLHGKPVVAECKFDGERIQIHKNGDDIHFFSRTFFDHSEYAPAMSKFIKENILAERCILDGELLVWDTALNRFDEFGSNQEIAKAESEGLKTDRQLCYIAFDILYAGEGSFIHLSLTERHEMLRKFVKPLKGRVEILVPAGGLNIHRTPDEPCWSIFAPNIEDIEKFFKETVENRDEGIVLKDLDSKWEPGDRNGKWLKLKPDYINAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELATLVVKLKPHFRKNEYPKKPPRFYEVTNNSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYCLRFPRIQRVRYDKPWHECLDVQSFAEIVHSSNGTMHKAEGDKSLKNDNLKNSKTNKRREKKNVSIIPAHLMKTDISGLKGESLIFANTMFYFVNTPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYKAAMRHGRIIHHSWILDCSKQKCLLRLQPKYILFLADFARHKFPEEIDSYADFYYWDIDVADLKQIFSNIDKVPVDPSMVHRYKKKYYADERFCFFQGCCIYFYHAPLVNEDYNVISDLALKRVKQDLTMHGGQFCSSLAPATHLVIVSVLQVYNFDIVYKSFPPAERRYLHDKRLHVVTNKWLEDSVDKQMKLPETAYNLKPDTLEEVQIERSEENIQPSNDKHAEDVEVEPSHAKNVRKRGRPASSSSRTVRAAPKPVRRTKARRGNKHAKIDDIESEESGPGETGQDDLKSNTDDISKMEEDSSDKDHRPPRAAPRPVRRTNARRGNRRAKVDYHESEESEPGEGQEDQNMDTKEDNLDKDLGPPPGAQFIALDEEKPKVVKLTAAEETTSSPKYESKGTSERTNAVEGTSMRGEKIEQMVDPLHAMLLDMLPSLRNIQGEHASSAPPAKVEIDPPQVGSSASNYRAPMPETGSSASNFTAPTPPTPQTASSSHGTSIPAPAPAPDGAAPKKKKVSYKDMASELLKDWRPGLPGNEHRTRESQQPLPPRIPPLVASFLRLQTIAGNLPWRSTQVWRRPIDAQQVRQQSVREQRGARRIDKMSNPQGKKGNRNTGGGGPTGARSSGQGMKFARRTASGRYLSLSREDIDMEGELAADYANYTVQIPPTPDNQPMMDGAEPTTVAMKAEEQYVSNSLFTGGFNSVTRAHLMDRVIDSDVTHPQMAGVKGARCAMPACDGKVMRNERGEDMDPCECRFKICRDCYLDAQKDGCICPGCKEHYKIGEYADDDPNDVSSGKLHLPGPGGLNNNKSLLARNQNGEFDHNRWLFESSGTYGYGNAFMPKGGMYEDDLDEDGLGGDGAMQDLNQKPFKPLTRKMPMPASIISPYRIFIVIRMFVLIFYLTWRIRNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPINRSTDLAVLKEKFETPTPSNPHGRSDLPGLDVFVSTADPEKEPVLTTANTILSILAVDYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCKKHDIEPRNPDSYFSIKGDPTKGKRRSDFVKDRRKVKREYDEFKVRINGLPDSIRRRSDAFNAREDMKMLKHLRETGADPSEQPKVKKATWMADGTHWPGTWAASAPDHAKGNHAGILQVMLRPPSPDTLYGMHDEEQLIDYSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFILNFDCDHYINNAQAVREAMCFMMDRGGERICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCMFRRFALYGFDPPRTAEYTGWMFKKKKVTTFKQDPESDTQSLKTEDFDAELTAQLVPRRFGNSSAMLASIPVAEFQARPIADHPAILHGRPPGSLTVPRAPLDPPTVAEAVSVISCWYEDKTEWGERVGWIYGSVTEDVVTGYRMHNRGWRSVYWISKRDAFLGTAPINMTDRLHQVLRWATGSVEIFFSRNNAFLASRRLMFLQRVAYLNVGIYPFTSIFLLTYCFIPALSLFSGFFIVQTLNVAFLCYLLTITITLIALGVLEVKWSGIELEDWWRNEQFWLISGTRILLTLTT >cds.KYUSt_chr1.39379 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240961213:240965875:1 gene:KYUSg_chr1.39379 transcript:KYUSt_chr1.39379 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPATADAASSSSLRKRLSVNTTSSPSSGSGRERESKARQEMDRGDAEMGAAEPMSPAGRLFRETHFNCYIVALLGLGAPVDVAAARAGLEATLVRHPRFSSVQVSDDARKNAKPRWVRTTVNLDDHIIFPHLDPAATSADPDRAVEDYVSSLSTMPMDHSRPLWEFHVLDFPTSEAAAAVAIRMHHSLGDGVSLISLLMACTRSAADPSRLPALPPSSSGAGRPALSAGVLALASWVWSLVVLAWNTLVDVMRFVATSLFLRDTRTPFMGAEGVEFRRKRFLNCTLSLDDVKFVKNAMKCTVNDVLIGVTSAALSRYYFRKTGDTDDSRKAHKNIRLRSAMLVNIRKTPGLHTLAEMMDSSKDNDVKWGNQIGYIVLPFHIAMHDDPLEYIRQGKKTADRKKRSLEAVFTYWSGNLVVKLLGIKAAAALCYGMFTNTTMSFSSLAGPAEKVEFYGHPIVYIATSVYGHPHALTVHFQSYMNIMKLVLAVDDEQFPDSHQLLDDFAESLRLVRQAASDKS >cds.KYUSt_chr2.26504 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162368396:162371449:-1 gene:KYUSg_chr2.26504 transcript:KYUSt_chr2.26504 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLMLGVEVVSAHDLLPKEQGTANAFVEVEFDDQKFRTAIKDGDINPVWNEQFFFNISDPSRLQEKELEAYVYHANRVSNNKTCLGKVRISGTSFVSQSDAAPLHYPLEKRTILSRARGELGLRVFLTNDPSVRVSAQGQDYNFASTPTTAQEQAAANIISNPFQDTRTNEVRQFQHLPREQQRPSPIAGQQYYAQGQGSHGEQQQRSFSGAGNRTDAPQVARMMYSAGPQQPVDFQLRETSPTLGGGRIIHGRVMPGEKAGAYDLVEKMHILFVRVVKARDLPHMDLTGSLDPYVEVHLGNYKMKTKFFEKNQRPEWDEVFAFPKEVMQSTTLEVVVKDKDILRDDYVGRVMLDLNEVPVRVPPDSPLAPEWYRLMGKDGMRDRGELMLAVWYGTQADECFPSAIHAGSTPIDSHLHNYIRGKVYPTPRMWYVRVNVIEAQDIFTMEHHHIPDVFVKVRLGHQLLKTRQVRSPTKNFMWNEELMFVAAEPFEDDLIIQIEDRVAQNKDEVIGETIIPLARLQRRADHRALVRPVWFDLRRPGLIDVNQLKEDKFYAKVHLRVCLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGVLEVGILSANGLNPTKTRQERGSCDPYCVAKYGHKWVRTRTIVDNLNPRFNEQYTWDVFDHGTVLTIGLFDNCHIGGENNNNHSQSHSNSSPSHMDKPIGKVRIRISTLETRRVYTHTYPLLVLHPSGVKKMGELHLAIRFSVTSLLNVFLTYSRPLLPKMHYAQPLSIVQQEMLRHQAVQLVAQRLGRMEPPVRREVVEFMSDARSHLWSMRRSKANFFRLMQVFSGFIAAGKWFGDVCQWKNPVTTVLVHVLFIMLVFYPDLILPTIFLYMFLIGLWNYRFRPRVPPHMNTRISYADVAHPDELDEEFDTFPTSKSPDLIRMRYDRLRHVAGRIQTVVGDIATQGERLQSLLSWRDPRATAMFLLLCLFTAIVLYVTPFQVIALCLGFFWMRHPRFRHKVPAAPVNFFRRLPAKTDSLL >cds.KYUSt_chr3.36170 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227422605:227424207:-1 gene:KYUSg_chr3.36170 transcript:KYUSt_chr3.36170 gene_biotype:protein_coding transcript_biotype:protein_coding AHYKDGTKYEEGFTVNSRGNRLFTCKWTPKKLQPKAMIFICHGYGGECSISMADTAARLVHAGYAVYGIDQEGHGKSPGPKGYISSFSDIVKDCADYFKGVCEKPENKMKKRFLYGFSMGGTVVIQLHRKDPTYWDGAVLLAPMCKLGDDMRPHPAVVGALKMISFVVPSWRVIPAPDKLDKVCKDPQFKKEIRSNPYMYKGNLALQTGHELLAVSLDIEKNLEEVTLPFLVLHGEDDVVADPEGSRLLHERAASRDKTLKLYAGMWHVLMAEPPADVERVFADVTSWLEERTGTATVSAAK >cds.KYUSt_chr6.13490 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84517227:84526695:-1 gene:KYUSg_chr6.13490 transcript:KYUSt_chr6.13490 gene_biotype:protein_coding transcript_biotype:protein_coding MEESWDKSNQLHVTLLLEELRLKTLSLLGNKMGKGLQDESKVKLQKCKPVSINMNGVADLEDKMNKRNRIDSFFQLQTTESGTSTQASVNNPRVTAALGPGDIESDPGLLSDCRHRKTTRAGRGRDKTSPENSSVVLHGHVSIIVIDQSRAPTRAFATVFQLKKTRHRYEDKGSITRVGGEQLDIVLDMKIPHGRAKQEREACAKEGEEVQTGATSGATGRHDGRFGPTSGHTGSHAR >cds.KYUSt_chr2.3332 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19886181:19887494:1 gene:KYUSg_chr2.3332 transcript:KYUSt_chr2.3332 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGWSVALAALAVVLALGVAAEGRRLEKDGLGGGGGFGGGGGFGAGIGQGGGVGLGFGGGKGGGLGGGGGLGGGGGAGGGLGGGFGKGGGVGIGFGGGKGGGLGGGGGGGLGGGGGGGLGGGIGHGGGLGGGIGKGGGLGGGIGHGGGLGGGFGGGKGGGLGGGIGKGGGLGGGIGKGGGLGGGIGKGGGLGGGIGHGGGLGGGIGKGGGLGGGGGFGGGAGGGGGLGGGAGGGAGGGLGGGSGGGGGLGGGAGGGSGGGLGGGAGSGGGLGGGAGGGSGGGLGGGAGGGSGGGLGGGAGGGSGGGLGGGAGGGAGAGGGFGGGAGGGAGGGGGLGGGAGGGGGAGAGGGFGGGKGGGFGGGIGAGGGKGGGFGGGVGGGGGAGGGAGGGFGGGAGGGAGAGAGGGSGFGGGAGAGGGGGFGGGAGGGGGIGGGQ >cds.KYUSt_chr3.7719 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44364283:44365212:1 gene:KYUSg_chr3.7719 transcript:KYUSt_chr3.7719 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLLCPLLAVLMIAVVSASDEAALLAFKAQLSDGGSLSSWNSSADFCSWEGVTCSSQRPVRVVELSLNGSGLAGALSPALGNLTFLRKLDLSYNWLHGEIPASLGRLRRLQRLDLYDNSFSGTLPVNLSSCISLTNMGSHDNKLGGRIPAELGQKLMSLSTLWLSNNSFTGPIPASLANLSSLRNLDLSTNQLVGSIPPADLGSIRLFDVSSNNLTGTIPPSLYNWSSLEIFGVATNMLHGSIPSDIGQKFPKMKVLLALMGITSQAPSLPQYQIYLISYIFISTEIDLVDMCLPLWGGLKLSKHWI >cds.KYUSt_chr3.48096 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301112869:301114613:1 gene:KYUSg_chr3.48096 transcript:KYUSt_chr3.48096 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNSRRRHIHKKKKEKRRRAIALAAKDAMRGVAVLRSLDGVELAVPNAEACRYGAKVEEDIRFHHACGRTSGSSYHRKGCSTIHLDVQSDILFKVIHCSNQQTHNPDWDGSKFVASLDHATLFDLVLAAESLRNQPLLDLTCHTVTDMIRGKSPAQIRAMFGIRPPPPPTHGRTTKKLATSDQKPTTTLELEERALRALHAVRCHKYTIYDPKHHCFLYTRLLHFNNYAFFDHDKECELRRGPALHEIHPPVCESVVFSGVNFISLKVCESDVGFPINVFGTVIARDEVDYKCVYLFRREVDDCQTITSPDGMLTLMDPCRGLVPDDSVYLEFNLKTKCDGGAIKDFSKGVTEFNSCRLYYENESRTVRLTTWLSTVELLCAGVFNPVEASIAINILKGTCDLTRLAAWHTGNTEDHIILYDSGLAASNQATTKMSNSIALARRVVAVPLDEKLVLRFVVDDDDETEDLLLILGPSNNEHKHVFKMSCCELEVRVAWTIVPKKRKRCNKWEVIGNQHLLL >cds.KYUSt_chr5.43274 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272810054:272810924:1 gene:KYUSg_chr5.43274 transcript:KYUSt_chr5.43274 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAVVQEVVSGAFSLMRNNHEEMASQSHLIERLKWAHLELELALERTQRMPMIEVSLLKMRMMIKQAFQESRDLLYKQQTLRVNLEDGATKQGVTLAPSLPNRIMHAVKPFFGTEKGSISGSCVRRFEMFAQKTDKFVRDVESGCSLAPYSHLSHSSTLWLVLHLKNSLEYPHKSIYLHRGQLFGSLCSTRLTMPLEVSVKSHYAA >cds.KYUSt_chr1.27608 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166355530:166355921:-1 gene:KYUSg_chr1.27608 transcript:KYUSt_chr1.27608 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTKLVAILVLQAILVMGILSHVNADFFPKCCNNCRSFSGVDVCDDAHPKCPKGCSQCRVVTTKPKTTYRCADMKSTVDGTCGGPCKH >cds.KYUSt_chr2.8248 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51850382:51861725:-1 gene:KYUSg_chr2.8248 transcript:KYUSt_chr2.8248 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYPVLNDQPIDQWEVMELKDELCRRNLPIDGLKEDLVKRLFDDLQGDMLGREGTVNGSSPDDDLKEDEATGSADASVCQAVMEPSVDENPSLVSAQNGDVVVSVAEASKESEVATGNVSHKFVVTTQEVSQKPLAAAAAEVSDAPLVDVLTTAATKQDDLESAPSDSTMVMDVQEEAGAHSEFIAEKALDEDAGKKTIVDYLFATTDVKLDVSPAKGKLDDDILEHEVVPSPLDAVVPRADHWDVDAVAAALGHDGDAMIPKISSVDNDLMNCKDHEDSGLTSNACKLTISGPIDQTTGTLPATMPTYPVLNNQAIDQWKVTELKDELSKRNLPVKGLKDDLVKRLFKDLEGDILGGSPCSYDLKVDGTPGSVDASVCQDVMEQNVDEGPSQVATQEGSSDTTTDVSHDAVATTEEVNQTTLLAATDSDSPLVDSATADTISLSDAVATKRDDLESAPSDGAILKAASLKADCHSKIIAEKAPEEGTFKEAVVDYLPYDVASTNVKLDATSAKGKLDADIIEKEAVLSLPDAIALHTDHLDVHAFATSPGQNAKTLIPNIDLGDNAFMSNKDRKDSGHTNDACKPSLSGTKDQVSEANPDIGSQIKCVLISHEDISTNVKGDLNADNSDLELEAKRDMVKPPPCRIPSVGDDLQALDDEKQLCKKGTQLQEIRSMTNMDLDKKEDSPNGVSPEKVNLERTSGNESIEEDAMESKHVIPNIRSDDVGREIEVTSDHEVKEVVLFDTVDSSVQTKDNVAKEKSVAPSEKRKPEDQVVAVDPNKRQRRVDSVKLPKEQTSKSSSICPKGVVQPAIKRSFGRSGSVASGDSHIERTVPLSQKPATTSLKIDRFVRPFTLKAVQELLGRTGSICSFWMDHIKTHCYVTPNSWRKRKGSFSFSLSLSLRQLIYLSPACISFLAHANDLRSPAPATAAAAPLLAPSPPPPGDPESNLHSCCAACVNTRCSLKEIAACIALLKDRHLKVLDKTDLGHLKDFKIKSNISRSLGCFLMYHIDPTSMILDLGGNKKLEITGEVIYKLFALPRGNDSPPRHSEEYVIPLRDLKDELGIVRDKDLESDNFHDILKKLVLDETNVDLALKVFGLVLYNKFICPGYHPLIKKEATMVVDFYIVKLKDMQLCQLGVDELRVAI >cds.KYUSt_chr2.42687 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265852712:265853056:-1 gene:KYUSg_chr2.42687 transcript:KYUSt_chr2.42687 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGGGGFNASSTASGKRKNQEEDEEDEEEETGDGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKNLVHSQYRRRLLLRSAAAAARIQKGLIRYLYIVIDLSRVSCRILL >cds.KYUSt_chr4.9927 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60022324:60025071:-1 gene:KYUSg_chr4.9927 transcript:KYUSt_chr4.9927 gene_biotype:protein_coding transcript_biotype:protein_coding QDPKMGSLHKTTFVLLMFCLAVLGRADYPKYKDPKQPIGARVEDLLARMTLAEKIGQMTQIERENATAGVLSKYFIGSVLSGGGSVPSPQASAEAWVSMVNAMQKDALSTRLGIPMIYGIDAVHGHGNVYKSTIFPHNVGLGATRDPELVKRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTSIISGLQGDVPSGEEGRPYVGGSKKVAACAKHYVGDGGTFNGINEGNTIIDKNGLMKIHMPAYFNSIIRGVSTIMVSYNSWNGEKMHGNHFLITDFLKNKLKFRGFVISDWQGIDRITTPEHLNYTYSIQAGIGAGIDMIMVPFAYTEFIDGLTAQVKNNIIPMSRIDDAVYRILRVKFTMGLFESPYADPSLAGELGKKEHRELAREAVRKSLVLLKNGKSAYTPLLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGLTGNNNTAGTTILSAIKSTVDPSTQVVFSENPDSTTLSDKYDYAIVVVGEPPYAETFGDSLNLTIPAPGPSVIQSVCMSIKCVVVLISGRPLVVEPYIGAIDAFVAAWLPGTEGQGVADVLFGDYGFSGKLARTWFKSVDQLPMNVGDKHYDPLFPFGFGLTTEAKK >cds.KYUSt_chr4.34508 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211946442:211946918:-1 gene:KYUSg_chr4.34508 transcript:KYUSt_chr4.34508 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPRRIQTLAPDLHRAAAGTSAAPSPVTARTAPFSTAPAAFRRNTRLLSGEKPLTVEYIVPMAMGHEREELEAKLQVKKRFDIDPPVAPFGTNVRSRY >cds.KYUSt_chr2.16405 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103174487:103179296:-1 gene:KYUSg_chr2.16405 transcript:KYUSt_chr2.16405 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPDLAPAQLAGRRDKLAALLELAAADDADGMKDALAGSGADLADEVGLWYGRSKAYEPRTPLMVAATYGSARVVSLLLNLTATVDVSRRPGTDGFTALHCAASGGSRNAVQVVKLLIAAGADPAAADSAGRLPADVLLAPPASPHALTDLEALLGRRRRQPLTVATSGASSPPLSSSPDDEGTPRSPSSRSSSLSPTITADRAKKEYPVDPTLPDIKSSVYASDEFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPSKHPYTAVPCPSFRRPGGCPSGDNCEYSHGVFESWLHPTQYRTRLCKEGAACARRICFFAHDEDELRHVPHNTGAGLLSPRASSIDMTAAAAALGFLPGSPRHFAPPPGSPSAVNNTAASPAHWMQGSRLRSSFNARDVTADDLLDWESQYLRALCVPPSSRAQPRYTTGLSIRPTAIATSTLEDMYASDMAMSPRFTDQGGHSAYSPAHKSAILNKLHQQKGLLAPVNTNRMYSPRSLDPSALVQSPIGGMSPRSPRVMEPTSPLSARFGATQRDMYEQFASLNKQQLPSVGSPRNSNASWGNVGSPMGKVDWGFDGDELNRLRGPEQSGFFAEQEPDLAWGQSPNSKRGGEMLGRAGSIASGSTTRPEWNNQSDLFDQTDRAEPRYHPDNLTAWNSFFLRRWEQELAAYDGPPPPPPRNNAAGRKRWWSVPGQTLEAVLEHIEGGNFPILTMPPLSRASASRRRGSWQPRRMAASSSSSGSAARSISRSAPSLAPVKKEASSPPSYRVRGIVIREPSTAQGRRRPKREPDTSGERKRKPAKVKVEEAESVEDAALLEAVIARSLQDLVPAENSIPLDQACAWSREQWEKEEAERQARLLDDVACYRRPATPPSGVAAPVIDLEASDDASDDASDDDWYMPSPSPPRTSGRWGDAGQGSSQAAPPQFDDDGSDDDDDDGGDYTVFYRHLGM >cds.KYUSt_chr4.22934 pep primary_assembly:MPB_Lper_Kyuss_1697:4:144313752:144315194:1 gene:KYUSg_chr4.22934 transcript:KYUSt_chr4.22934 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCLGAGYVGGPTMAVIALKCPDIQVVVVDITKSRIDAWNSDTLPIYEPGLDDVVKQCRGKNLFFSTDIEKHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILTHNSNGINFQILSNPEFLAEGTAIKDLFNPDRVLIGGRETPEGQKAVQTLKAVYAHWVPEDQILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSEVSYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVANKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPVHLQPMSPTTTKQVSVTWDAYEATKDAHGICILTEWDEFKTLDYKKIYDSMQKPAFVFDGRNVVDSEKLREIGFIVYSIGKPLDAWLKDMPAVA >cds.KYUSt_chr2.31246 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192831760:192836363:-1 gene:KYUSg_chr2.31246 transcript:KYUSt_chr2.31246 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDAGGILAAVVCALLVFAIFPLLLWRRRSDAATAAGDNHRLPPQPLEGERVVRGGAAARRMRRRPAAASSSAASTSRDDDEGETDEEDVQENNNARRRSKKKEKKRQEREEQRQDDDEAPPPPVDSRKAKQDRYDAMRRKKDEEREAQERLLEQQALARKAKEEEAAALEFEKWKEAFSVDAEGTTESETQDDGQGLLHNFVEYIKKQKCVPLEDLAGEFGMRTQDCINRIITLEGMASWTDRLVLVCTDRLSGVMDDRGKFIYISIEEMQAVADYIRKHGRVSISHLANNSNQFIDLEPKPVYDENEESHHQDESVPPQAQTQIPAESS >cds.KYUSt_chr4.48551 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300696223:300697365:1 gene:KYUSg_chr4.48551 transcript:KYUSt_chr4.48551 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPLVDDLMREIFLRLPPDDPRGLIRAAAACRIWRGILSDPAFASEYRALHGAPPMLGFLYDERYTDDWPFHGDYWVSHFVSTASFRSPACEDRQHWRVLDSRHGLVLFYTPTLDAQFLVCDLVAGDQWEIHADPKCYSIMWWEHDEAKHKYASIHCNAAVLCANDPCDHLHCHGGPFRVALVGSVEDGSVAHATVYSSETGEWSDISSVQNPNYINGRGHSAVVGNKVYVPCLESDSVVEYNMGEQQLSEIDAPFEDQDQNQPYIELMGVEDGMLLFASVVDARLYLWSMEAGPSGTAGWARRWVIELEPLLPPDAVSDKPDDVLPVGFAEGVSVIFLGTRNGMYTIDLNSGKCEKVHQICFEKVMPYMSFYTGGTN >cds.KYUSt_chr2.53845 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336069675:336080315:1 gene:KYUSg_chr2.53845 transcript:KYUSt_chr2.53845 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVRIIGASYVGVPATAELPPEPIKLTAMESLWVVIPMLQHVLLYHGADMPPFDDILQSLKSSLATTLRTFAPLAGRLVHLKDTGEVGISCSPSDGVRFVVAESDADIRRLAGDEEHDVRVLEGLVPEVDMSELPTPVLAVQATRFQGGFAVGLTVHHGVADGRSLWTFVEAWASACRGEIPAATPTFDRSLVKLPGGQELASSTLRKIAPNLPSAALPSPIGEDLTSFTRRTFTLDAQDIQRLKQRIVQLGEAHGTPLPRPPSAFAAIVALAWTSYARCKPFAADDDLLLFFFADTRDRLDPPVDAGYIGVCLAGCTATLPAAELRGERALAAATLAVQDEINKMKEDPVAGWNFMNPALWASLDRMMNISGSPGFRAYQIADFGWGKPRRTENIRMNGDGQVAMMRAGDGQGVQVIMERSSLQFLFGKSTTTATVVDEAPGIAMDEVRKKLFSLSLSGKAAHCVSAIPYELYTEIMHEIDSCELEDIDVVIQLANRETISPIGIVRDVEVLCVLSFREIEEERLLEILKKHRGAIGYTLDDLKGISPSICQHAINMEDDAKLVVEHQRRLIPKMKEVVRNESIVEVFMDDFSVYGNSFDSCLRNLDKVLQRCEETNLVLNWEKCHFMVNKGIVLGHKISERGIEVDRAKVEAIEKMPYPRDVKGIRSVLGHARLENIAYDPVLVNDSFPNEQLAVIKWKHQRLSSKGKLTVRQNSTLNRSTMSSNNKGKGLSEEEVKKVPSRQEQQAGGSKQILVGSVDTRRSFSHNLHGPLPPALSLDSFPMLEEVLRTTDEFCDQYRALRREVEILQEENCRLRRMLEYHSIRITRSSSPISDNNESLRILVQNCQAEKLKLKEFCKKRGRSSSPSSPKE >cds.KYUSt_contig_1253.666 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:4128367:4129557:1 gene:KYUSg_contig_1253.666 transcript:KYUSt_contig_1253.666 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPRRCLICKSEHHVARKCPEAVVPVAGEVAVGAPPPPRGGPPPPAAPAAAPSPAPDVQGARGELYRVPARQRLGPRDGSPLPPSRPALQGRLGDRGAAPLVDETPYERGLRLERAIREAPPLLPEERAQGVSFLDRERRREQELRDAALRAVAGASASEQGLAPEVAVDVEAARPACERGIIYRTSEVESAESALRWGLVAFVSGTRRTVSCAAASATVVERFPELEGRFSVHRFWPADLLLVFDSRANRDVLLTASANLFDGRDFTLRFGVWNRQLQATRRRFRFRVHLEVVGVPPIAWNLDTARCILGSSGWVERLGSETANRADLGTFCITAWTDNLAGLPRSKQLWLAEPLVFDDDGGSRIARLRRHSTYRTRGGHEWRGWPTIGRRWP >cds.KYUSt_chr4.1594 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8426176:8427426:-1 gene:KYUSg_chr4.1594 transcript:KYUSt_chr4.1594 gene_biotype:protein_coding transcript_biotype:protein_coding MIKCVGIATGTACGLASIIIAVCVTVFANKWKKGIQKRIRRAHFKKNQGLLLEQLISDESTTNKTKIFSLQELEKATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSRIVEQIEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHDLLHTDVNVNCFLSWDDRIRIAVETAGALAYLHSAAAIPIFHRDVKSSNILLDGSFSTKISDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGTLTEKSDVYSFGVILVELLTRKKPIFINDLGGKQNLSHYFVEGLQEGAVMEIMDYQVVKDANAEEIDDICSLTEACLRLRGRDRPTMREVDMRLQFLRSKRLRECKNFPASDEIEHFLFPNVGNSDAPMNVANAGNLTSKGISCYSLEQEHSSSLTLPR >cds.KYUSt_chr2.10107 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63967067:63971155:-1 gene:KYUSg_chr2.10107 transcript:KYUSt_chr2.10107 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGRGKGKHPSMDEEAELDVDHRGQRRAAARDGRKGRRQRTRRHREEGCFDLLIPPMDGEQAVDRVLLYCILTLHHRVDQRQFKFWNYKDTIQEIWEYKENAASEDVQIQAKPVLAVTKVLRCSAVVRVSEDDMLPGYAMETLPEEDIIS >cds.KYUSt_contig_528.292 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1793255:1793569:-1 gene:KYUSg_contig_528.292 transcript:KYUSt_contig_528.292 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSAAAATAAAAAVAPPSTRHPGISSDTTMDDSAAAEEHLPKSSPVQTVYTEGEVSVLKKAVCLKACRELHAIGALTDSLLPELGVPWEEEPDIGMLIDDEFD >cds.KYUSt_chr2.37147 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229866459:229867394:-1 gene:KYUSg_chr2.37147 transcript:KYUSt_chr2.37147 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIASCGLLLHSLLARLGRGAAGFARKVREIAREDPRRVTHSLKVGLALALVSVVYFVTPLFNGLGESTMWAVLTVVVVMEYTVGATLSKGLNRGFATLVAACIAVGAHQLAELAERFGVQGEPISLTVFVFIIASAATFLRFIPEIKAKYDYGVTIFILTFSLVAVSSYRVEQLIQLAHDRFYTIIVGVVICLLTTVFVFPVWAGEGVHKLTVGNLDKLAQFFEGTYVSVKS >cds.KYUSt_chr5.41663 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262886282:262887877:1 gene:KYUSg_chr5.41663 transcript:KYUSt_chr5.41663 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRAKRVAVVGAGTSGLAACKHLLARGFQPVVFEAGESVGGLWTRTLASTRLQSPAVAYRYSDFPWPDSADVFPRHDQVVDYLAAYARRFGVDECVRFRSTVVSAEYVGAQPEDAADGWERWAGNGEAFGDGTGVWRLTVRQHGASEKEPETETHEFDFLILCIGRVSGVPNIPSSFPANGGPEAFRGRVLHSMELSNMDDADAAALVKGKRVAVVGAGKTAYEIATECAEANGAGLPCTMVCRNPQMLLNRPDVWGKVSIVYLYMNRFAELMVPKPGAGAASRLLTALLAPLAWAISAATGAWYRREIPMRKYGMEPGQGFARCVSSCLISMLPDGFYDRVKEGSIVLARSKAFSFCADGLVLDGGGEKQRVVPADVVILATGFRGDQKLRDMFVSPRVKDIIAGTPLYRECVHPRVAQMAVLGYAEGLNNIYAAEMAAKWVARLLDGAFRLPSVRRMEESCTEWGKYYARRSGGGGDGQTAWRPCIGAVNLWYNDELCRDMGCEHRRKKGFLAEWFQPYGAVDYADIK >cds.KYUSt_chr2.38426 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238203136:238208858:-1 gene:KYUSg_chr2.38426 transcript:KYUSt_chr2.38426 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAAAAVAAQPQGQAPAGRQGGGIGQSLAGIVRMAVFWYFASKFFGPKRAPTDPGMVMSNLFQKGEPMDMWMYLSENDKFNDFSNEEALVWHETNIPYAVWSPTSTRTHSLTYYPSEALKHNGSLYAHVYFARSGYPVDPTDPEYEQKSAFGRTHPIVAYLRKSKTGNKKSLLGDSNESEEIPQSKENIESEDKEEGPVEYISYWKPNVTINLVDDFTRYPQNNIPPNVAPYLNVDPTTSSYYPTVFFNEFWLLRDKLTALNETVKELALNLEVSPISMTKWQLFLQIEQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLGLTMIVSLFHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFICQLIIFLYLLDNDTSWMILASSGVGVCIEFWKIGKAMHVEIDRSGKFPMLRFRDRESYAQNKTKEYDAIAMKYLTYVLLFLMVGFALYSLKYDKHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLQSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPLLHRLSVFRDDIIFLIYLYQRWVYPVDKKRVNEFGFGGEEEPAAPQTLEGTDSAGATQQIEGEAETSTEDKKTK >cds.KYUSt_chr2.16964 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106788008:106789185:1 gene:KYUSg_chr2.16964 transcript:KYUSt_chr2.16964 gene_biotype:protein_coding transcript_biotype:protein_coding MGYARTVMAGAAAAAAVLVAAGLRMVMPAAAGFVAEEIPRAQAAAATWLTPPYLYLVINAIIISIAASSRYQPTRATARTNAAVGAAEEEAPVPAPALAMPMDAPATTVSMAMPMEVDAPAVAISTALPVQETVAVEQPAVKMAPAPEVEEKEDDFLISRSAWTPQRRVDAQVENEVAPFADLTNSREKPLSSSRFGRKAANKPSPEGSRALRVSRPRRGDTLENTWKAITEGRAPPLARHLKKADTFDTRPGRRPSGGGASREMAPPAPATMQKAETYNDAGARKVRREPSLGQDDLNRRVEAFINKFNMEMRLQRQESLKHYSEMVSQY >cds.KYUSt_chr5.41161 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259808207:259809508:-1 gene:KYUSg_chr5.41161 transcript:KYUSt_chr5.41161 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYNAGAAPATEYIPLSIFDRVTFDMQMAIIYAFASPAPSTAAIEKGLAAVLAQYRVFAGQLGVNPDDGAPSFILNDRGARLVEASVDADLIDMAPAKPTPELLKLHPDLEGELEEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLPMGAPPVHHHKDLFKPRSSPRVEHDHRNREYYLPSPTNVESHHGDVADNIVIHKAHFTKDFIAGLRGSASEGRGRPFSRFETILAHLWRTMTRARDLSPEETTTIRLSVDGRHRLGKPAEYFGNMVLWAFPRATVGDLLTRPLKHAAQVIHDEVARVDGGYFQSFVDFATSGAAEKEGLARSAVCKDVMCPDVEVDSWLTFPFYELDFGTGSPSYFMPSYFPTEGMLFLTPSYIGDGSVDAFVPVFEHNLQAFKECCYSTE >cds.KYUSt_chr4.13803 pep primary_assembly:MPB_Lper_Kyuss_1697:4:85002722:85004648:1 gene:KYUSg_chr4.13803 transcript:KYUSt_chr4.13803 gene_biotype:protein_coding transcript_biotype:protein_coding MECVIGVVGRDFAVVAADTSAVQSILVHKTDEDKIMVLDSHKLMGASGEPGDRVQFTEFIQKNLHLHQFRNNVSLSTAATANFTRGELATALRKNPYSVNIILAGFDEDVGASMYYIDYIATLHKIDKGAFGYGSYFCLSLMDKFYRPDMTVEEAVDLIDKCIKEIRLRLVVAPQNFAIKIVDKDGARDYARREIVGDIPPAEAAAAAVNA >cds.KYUSt_chr7.22374 pep primary_assembly:MPB_Lper_Kyuss_1697:7:138612285:138612890:-1 gene:KYUSg_chr7.22374 transcript:KYUSt_chr7.22374 gene_biotype:protein_coding transcript_biotype:protein_coding MADETYVTYVDFEGHGIKTTVTSSGTAVKRWLREIRYMYRWVYHKLIVGLDVEWRPSYSSVQNPAALLQLCVGRRCLIFQLLHADYIPDDLEDFLADPGFRFVGVGVQEDANRLDNDHRLWVANTVDLRGLAADGMQMPRLRQAGLKGIASAVMGVNIEKPRNVTMGLWDAYELSDEQIEYACIDAFVSFEVGRKLLTGDY >cds.KYUSt_chr1.22623 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133782990:133784313:1 gene:KYUSg_chr1.22623 transcript:KYUSt_chr1.22623 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYFGCIVGRVANRIKSGKFGLDGVEYSLPINNGPNSLHGGLKGFDKVVWDVVERKDGECPSITFQYDSKDGEEGYPGDVTVRATYSLPEATTLRLDMEAIPANKATPISLAQHTYWNLAGHNSGNILDHLIQIWGKHITPVDENTIPTGQIMPVQGTPFDFTTEQKIGARINDVPGGYDHNYVLDSGDEKNGLKHAAKLKDTSSSRTLDLWTDAPGMQFYTANYVNGITGKGGAVYGKHAGVCLETQGFPNAINQSNFPSVVVQPGAKYKHTMLYEFSA >cds.KYUSt_chr7.40234 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249821239:249821789:-1 gene:KYUSg_chr7.40234 transcript:KYUSt_chr7.40234 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGYTGEGGDSIMSWPRSTSPTSSEVQLARQADDPWYIAYQDESTQWCSQRMDLEEKVANLGDELARKNLMIFELKRIVDEEKKNSELIRKEKLRVETDLAVFDQVVENLEHELKVMGEEKSRFICAVLLGVIPVLASYVVPVDDLV >cds.KYUSt_chr3.3134 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17987752:17988063:-1 gene:KYUSg_chr3.3134 transcript:KYUSt_chr3.3134 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEAAGAVPVVRLSCFHGDKGRDKWAAAAAATDVPIEAAYAEAVRRLPVDDMPDLVECLSLGGHCLGLLDPVSNVVLNSLNLLCRRRSGTYSPPADVILVSS >cds.KYUSt_chr3.36975 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232632972:232636066:-1 gene:KYUSg_chr3.36975 transcript:KYUSt_chr3.36975 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRLVGKPYKDKDPEKTPPPTADLALAAYSSRPRPRPRVLTMTVKRRNGGRNKHGRGHVKLVRCNNCAKAVPKDKAIKRYWVKNVVELAAIRDLKEACLFEGYVLPKLYDKLHWCIGCAIHRREIRVRSRKDRKNRAPPPRHFRPRVVRRNGLWVTLLVLVVTVGVLVVLALVSVPVVVAVGVLVVLALVSVLVVRAVVSIVRYDCSSEKIQNAVYFFQGDAADSVYKEIEHRRLLHPVARSAPSPQ >cds.KYUSt_chr3.10432 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61923528:61925528:-1 gene:KYUSg_chr3.10432 transcript:KYUSt_chr3.10432 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFLCFLLSFGLVASFTATSDDQLLYLGFTAGTNLTVDDAATVKANGLLELTNGSLGCKGHAFYPIPFHFRKSHNDTVQSFSVSFVFAIRSSYPIMSRQGLAFIITPSMNFSDSLANQYLGFMNSKNNGNFSNHIFAIELDTVLNIEFQDINTNHVGIDINGLHSIKSYPAGYYEDWNGSFQNMTLASGDAMQVWVDYNAEAKKINVTMAPLQMEKPTRPLISADYDLSIVLKEPSYIGFSSSGGEVDSRHYVLGWSFGMNKPAPQINIAKLPRLPHPKHQPKLLKIILPIVSATFIFVVGSMVILHVRRKSRYSELKEDWEVEFGPHRLSYKELFHATEGFKNKHLLGAGGFGKVYKGILPSTKLEVAVKRVSHESRQGLKEFVAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYCDEQTLALNWAQRFRIIKDIASGLLYLHERWEKVVIHRDIKASNVLLDSEMNGRLGDFGLARLHDHGANLQTTHVVGTIGYLAPELVCTGKATPLTDVFAFGMFLLEVACGKRPVNSNPQGNQPLLVDWVLEHWNKGSLSEVVDTRLQSDYNVDEACLVLKLGLLCAHPFANVRPNMQSIIRYLDGDSQLPELTDTDMSFSLLSIMQGEGFDPYALSYLSSKMSIGTISDISGGR >cds.KYUSt_contig_2087.248 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:1503108:1504215:-1 gene:KYUSg_contig_2087.248 transcript:KYUSt_contig_2087.248 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARFLSHAFPHDLTAFKPMEDPSSSHLFQDCAPAVPGIGNNTTVLSDLPRSELTCNDNYGFVPRKRPRMTTEEPAAGLAELARQRLLLLQQQQQAAAMHGLLLPCDAQSRAVDSGAASTSGRMANAAAGVSQGLSALLYNQGVETDALIRLESERMRAGLEEARRRHATAVLAAVERAASGRLQAVEADLERARYRNAELEERLRQMTAEGQAWLGVARSHEAVAAGLRATLDQLLQPACAGAVEADAEDAQSCCFETAGDNHADDTACKAVAAAAPSCKACGQGEACVLLLPCRHLSVCGACEPAVDTCPVCAATKNASLHVLLS >cds.KYUSt_chr4.17606 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110265679:110272292:1 gene:KYUSg_chr4.17606 transcript:KYUSt_chr4.17606 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLLAVASPLKTLNPTLNPTPGISSRRRLLHSHSLLRVPPLLSRSGRLRCSAGYGDAAAPQQAAPTTPRPAEIPWSKELCNSVRLIGTVGTDVELRQLPSGASVARGRIAVWKSATETTWVTLAFWDDLAVTASEHVKQGDKIFVSGRLVSDTVEEGPEKRQVYYKVVVQEFKFIESFQPVRLYEPESSQDTQGGKHGNYVDNDFTSGSTGNRKGDYMSSSSRSTEALWQAFFANPLDWWDNRKDKKNPKYPDFKHKSTGEALWVDGRSNPNWVISQLAILDSRMGSLQDKERKPVSFMYADDFMKSDANN >cds.KYUSt_chr2.14976 pep primary_assembly:MPB_Lper_Kyuss_1697:2:94411655:94414693:-1 gene:KYUSg_chr2.14976 transcript:KYUSt_chr2.14976 gene_biotype:protein_coding transcript_biotype:protein_coding MECTDILIAAGRRSVSPSWQPARQWRKALNVIRTCHRLARLGILSAGVLPRSTSYVAIKIHHDGDSDADADTCGAGFSVAADDDSFKALVKEKRDHCFRRLGGGAGIAAALASDAERGIRGDDGDVRRRREAFGGNTYPKPRPKSFFSHVWDALSDVFLIVLLVCAVVSLGFGIKEHGLKDGWYDGISIFLAVFLVAAVSAVSNHNQAKRFEKLASESDNIAVTVVRAARRQEVSIFEIVVGDVVILKIGDSGPADGVFLEGHGLQVDESSMTGEPHPIEIDAEKSPFLTAGVKIIDGYGRMLVTAVGIDTLWGEMMSSITKETTEPTPLQERLQGLTSSIGKIGVAVAVLVFTVLTARHFTGSTKDDQGKPLFDKGHVTFDAIFSALVTIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVKENALVRRLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGTEQPRATTAIAGSVMSLLCQGAGINTTGSVYKPNNVSPPEISGSPTEKALLSWAVADLGMDADALKRSCKVVHVEAFNSDKKRSGVMIRDNATGSVIAHWKGAAEMVLENCSMYVDTDGAARELGMEQRKNLEKVINDMAVGSLRCIAFAYKQVNSEQTKIDDEGLTLLGFVGLKDPCRPEVKAAIAACTKAGVAVKMVTGDSILTARAIAKECGIISSNDPNGIVIEGHEFRAMSPEQQLEVADNIRVMARSLPLDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIIILNDNFDTVVTATRWGRCVYNNIQKFIQFQLTVNVAALVINFVSAITTGKMPLTTVQLLWVNLIMDTMGALALATDTPTKTLMDRPPIGRTAPLISNAMWRNLMAQAAFQIAVLLALQYRGRDVFGTDDKANGTMIFNAFVLCQVFNEFNAREIEKKNVFAGVLKNRMFLVIIAVTLVLQVVMVEVLTRFAGTKRLGLGQWGVCLAIAAVSWPIGWAVKFIPVPDRTLHQILTRRKSS >cds.KYUSt_contig_988.190 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1146742:1147557:-1 gene:KYUSg_contig_988.190 transcript:KYUSt_contig_988.190 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPTLLLLLLAPLLLAMARPCTGADPISTVCSKNGTSAQTQASIDQVLSSLVPRASAAYYATATAGRSPSTIYGLAQCRGDVTRQDCALCIAAAAREVASSCRGSADGRIFYDYCLLRYSDANFIGLPDTGYTLILLNTQNATGVDLAAFDRAQAKLMSRVAAEAGQGASKGLARDTARLGGGGAAAKTTIYGLGWCTLDITAADCGLCVAQAVAELPNYCRYRRGCRVMYSSCIARYETYPFFFPLDGGAEPADLAGHLEKIVINQ >cds.KYUSt_chr6.32302 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203808659:203815717:-1 gene:KYUSg_chr6.32302 transcript:KYUSt_chr6.32302 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPENANGGTSAAAPSDPAPPAKPSAKGKKKDDKKDDDLSEEDQALKEQLELYVVRAQDTDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKSYFDIMPESELKKYMADILSVLALTMSVEGERESLRYRLLGSEGDIGSWGHEYVRNLAGEIAQEFQKRQGEDMPIDALMELVQQIVSFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNYKRACLYLTSMSRFHPAPDDMLALDIAYTIYMKFEDLANALRIALLLDSKSIQYVKQVYTATDDLTLKKQFSYIIARHGLALEIDDEIAADENDKEMLQEIVNNTKLSEGYLTLARDIEVMEAKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDTHVVAGALLGIGVVTCGVKNDCDPAMAILMEYVNKDDSNIRIGAILGLGIAYAGSQKDELRVQLSAILGDPQATLEVLVFTAVALGLVFVGSCNEEIAQSIIFFLMERSEAELAEPIIRLLPVALGLLYLGKQESVEATAEVAKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLDKGETHQGPAVLGIALIAMSEELGAEMAIRSLERLLQYGEQNIRRAVPLALGVLCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGIVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLPVPVRVGQAVDVVGQAGRPKTITGFQTHTTPVLLAAGERAELATDKYIPLTSTLEGFVILKKNPEYHEE >cds.KYUSt_chr3.23471 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145078344:145080042:1 gene:KYUSg_chr3.23471 transcript:KYUSt_chr3.23471 gene_biotype:protein_coding transcript_biotype:protein_coding MELKWAPFLAIVLASVLFLENFLRRGRRAYRLPPGPKPWPIIGNLNLIGSLPHRSIHALSKRYGPLMYLQFGSIPVVVGSSAEMAKFFLKTQDVVFIDRPKTAAGKYTAYHYNDITWSSYGAYWRQARKMCLTELFSAKRLESYRYIRSEEMRALMRDLHDASGRVVLLKDHLFTLSLNVITRMVLGKKYLHKEATTTQEEFRWMVDEWFLLNGVFNIGDSIPWLNWMDLQGYIKRMKKLSKKFDRFLEHVVDEHNGRRRLEGESFVVKDMVDVLLEITSDPNLEVPIHRDGAKAFILDLIVAGTESSAITVEWAMSEILKKPEVFAKATEELDRVVGREHWVTEEDMTSLPYMEAIVKETMRLHPVAPMLAPRLSRQDASVGGYDIPVGTRVLVNVWSIGRDPALWDTPEQFFPERFIGSKIDVKGQDFELLPFGSGRRMCPGYSLGLKVIQMSLANLLHGFTWRLPSGVTKEALSMEEIYGMTTPRKFPLEAIPEPKLQARLYTQA >cds.KYUSt_chr5.28366 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179655896:179656696:1 gene:KYUSg_chr5.28366 transcript:KYUSt_chr5.28366 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVHQQTMTLRFSAFAYCIRFTGQDTKMKYETEKDPRRKAEFLGDQDYPFGPPPALARPPAPPLQTQRPTPPVPSPWDDDALPYYNDEAKDGSDDFVGYVFHVWQTTMEEGREFQLPTTMAEEEIERLGILVSEVDRSVRPPLPRYATDIIPPGLTEDEALHGRCRTRSPPAAATTSVVQSVGCSTSTTDNISDVPPAANWPWAIPDFIVLDNEEEE >cds.KYUSt_chr3.11002 pep primary_assembly:MPB_Lper_Kyuss_1697:3:65530882:65533187:1 gene:KYUSg_chr3.11002 transcript:KYUSt_chr3.11002 gene_biotype:protein_coding transcript_biotype:protein_coding MELYLSLTQTSRSASTIAAIFIFLLAATAGQVDVEDVKGAAVQAEALISAGLGKKNRRDPPPPSGLDSSTLQPPTGAAPKHQGVEALVPL >cds.KYUSt_chr4.38732 pep primary_assembly:MPB_Lper_Kyuss_1697:4:239116910:239122718:1 gene:KYUSg_chr4.38732 transcript:KYUSt_chr4.38732 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRRTVANEYALGGPELYKGVDQRDPEAVLDGVAMAGLVGVLRQLGDLAEFAAEVFHGLYDEVMTASARGHGLVLRVQQLEAELPLLEKDICQRDYLYVASNRGIDWHANTRVDHGVVTTGDTPRFIMNSIKQCHGPPRLFMLDKYDIGGEGTCLKRYSDPAFFKTDSACARMLQEGIRTERRPIRTMEIRPNLQNAEIFRPPDGANDDSKFKSDLSSEVLDEAPTRRQRLKYRQLNGSLFRSFRPLMQDLYEKASPEEKTFSMDQSETQVSFSDSPDTNAEERDIMVDTSSSIGEGKDSNFTTFHKIRTTSEETPSSCSEDRSAGSSKGYNSETDVYVDALTTMGSELETDSEHKDHGQRTFTSASSGKMWSDAHGAAVSRSSTFNKEEDSPMPCSSDVGSEDEDVADHYDEDVSVNVPHAKPVAGEHERTSSLEELFEQEKPVSCEHERTHSLEELLAGDVLVSEPDIRELATEFNANSIIGDATPDGTVDTTKKAKANNISSITFKKMASKRCVEGMELFASKVGILPRKVSKRHDPFSDSLRNMAKELLELKCDGTQDTDLYDYEANSEVFDVRCREMPHSPVEIKGGSFRQSISSDSHQDDVGSTELQPEESDLDVPPTESPQDSVPDEDVFQQTHVHLTTSPSAQEKEGCAGAALDEDSCIGMLDHAPELIQEQTEDIHAEVLSENASNMSEELKEACICEENVNEEDAKECSESDEYASDDETEEYIGEDMVPSPVSSKQSDDPFQVTPFTPTDADDTIASEDGGDVIVSEGTDNYIPETEHITLLETITETELPQDVPELAISSEVAVPDNVQCYLHPETSFAPDTVLSSREVVGQNEKLQLCSSSSMTAGPDLTINTEQIHELNQEPPSACNTEYFADRMAPDSRHVPLPNISSFDWMLSGAMRQSLNVFPAQTSHGILQENRSSEDTEHAPPLPPLPPMQWRATKLQTGSTSLFAKLGKPPRPPVKHKESDSNSAGVETHEEPGKLKEKSLHNSFTSQKEMAQPEVSDEILTNPFLDRDSQEDHLQEGCQESDVRSFNLFSAPEVKSVTDVASVEGDSLNTLQLPELIVIPEEAWSELAVDIEPIMQQEKARTQELRDGVSDCDGMQTTVLPIEKTKVEHERSDQKKKELPAGDSNTISDSEENKPNVLSCKDDIQTPDLSAQQEDGGHGSSDDKAREFSSALEQELAKLPSDPVPEPPKYPLLQVISHDRSMLRKAPTLVQPSRKLSDEKNTMLEQIKNKAVADDDDEDSWSE >cds.KYUSt_chr3.32414 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203641409:203649719:1 gene:KYUSg_chr3.32414 transcript:KYUSt_chr3.32414 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEFISTQTAFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVMTNENHHNKITTTANAIQVRINENIRLMAELRARFHVGAGISGVAPHYIPPPSTFNVLLGSSWVLFEALPEFSIDTATTPSCCRKFFVFYATNPIIVVRDVVDDGVRRRGCDGRPRLHELGPGVGELGHGVGDLRLGRREAPFRALCRLRHRNLHLHRRRLQVQLLGLNAGGGYLLDGTFCSGSKDALPFAGKGHAFAQRLAAEKNLEGKCKESGTSAEVLGFLSDSHLSEVILDSGMVFRPSAGTPAEAISIIRAKETVQAALAATARRLELEAEARSAQAQCEAMGASVGQAGDSDPPTSGEVLAANGTTTATVDPRAGSPGVDPVLGRESSAGTPLRSPAQPRDGEAQGAKPRKRRGRKSTLTVRKGSSKRKAAP >cds.KYUSt_chr7.22563 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139932102:139936640:1 gene:KYUSg_chr7.22563 transcript:KYUSt_chr7.22563 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNTRLRKEYLYRKSLEGKERQHYEKKRLVRQALDEGKPIPTELRNEELALRREIDLDDQDRAVPSSIIDDEYAGSTLREPKILLTTSRNPSAPLTQFVKELKVVFPNSQRMNRGGQVISEIVESCRSHEITDLILVHEHRGQPDGLIVCHLPLGPTAYFGLLNVVTRHDIKDRKAMGKMSEAYPHLILDNFTTKPGERTANILKHLFPVPKPDSKRLITFANRDDYISFRHHIFEKQGGPKSIDLKEVGPRFELRLYQIKRGTVDQSEAQNEFVLRPYINTAKKQKTLGV >cds.KYUSt_chr4.6778 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39933625:39936291:1 gene:KYUSg_chr4.6778 transcript:KYUSt_chr4.6778 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDAAARPIVEGDINELDEVNMESDIKELDEDNNGPHPIMEGDIMEVDEDNDGTARPNVECDVKEIDEVNVEGDMKEADEDNDVAAGQNVVGDIKELDEANMEDIKELDGACITKIGPWGGHKGNSKDIMVSPSLRLNSITVRSGEIQLGPSEFLTEVSGTTGFSTQYSSDVVMSVTFITNARRYGPFGGGGGNHFRSPVISNGSIVGFFARAESVVYAIGIYVRPERETMKEQVPIYLK >cds.KYUSt_chr3.32453 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203906185:203906514:-1 gene:KYUSg_chr3.32453 transcript:KYUSt_chr3.32453 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLSCDLVNNKGKKLSWFEKMLCMNVEIHKENYFKYKETCKIKMQNKEILRNQHLFANDARRRAVEEPILAPLMSASSSTIQYHEFNTSKVEWTDFDNITSKPQSKGK >cds.KYUSt_chr5.38144 pep primary_assembly:MPB_Lper_Kyuss_1697:5:241000137:241003138:-1 gene:KYUSg_chr5.38144 transcript:KYUSt_chr5.38144 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYTSQLPSDTSSEGKSAGWRHWWDRTRTPSNGDDSPPAVDSDEEWLGVEEDVEEEGSEEAASVARAKAEADAKAKAKAQPATTRRTLVPPTSWPTPPLRKRTPGSMTGFCGLLIFSIVKRQLSIMTVIVIVIIFIFNRVIFLLKCTELMINVLLLGSKPNVWLYSLKRLDALPEQI >cds.KYUSt_chr4.27843 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174951266:174956242:-1 gene:KYUSg_chr4.27843 transcript:KYUSt_chr4.27843 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGSRRRELQERRRREEEQEREGSARREVELERETRETAAAMAATAMEKGKEKEKEKGKEKEGEGEFGQQFARVFFPHLYGERLKDVHMDILVKNNKALYVDAMQRIPASFNQYLQNQPTGVVYLKGQSGNTWLAELASDNEGLFFVKGWKEFVRDHSIGTGHFLTFRYDGHSQFSVTIFDAMCIEKPSAFHAKPCKHMVIKLEVSEGDIDMNAAGPSQVVASPLEASDKTTRKRVTELDANGSTSKKCCSVLENSYPQERVVVPLEESNRTTRKRIREMDANDFELQKLSISSDKGKKKCPGASVGTYKSASSLNSTEDSASGQRQLKVISQRPVVTEEQKNQALQRAKKFESKNPFGLQIMKESYVYVGFFLTIPSEFARECFPRANRKIKLWDPQGKSWDVNYVYNSQRSVGAFSGGWGKFAVGNNLETFDICVFELISKDNIKVHIYRVVPEITPFLPGPGRK >cds.KYUSt_chr3.14370 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87287415:87294882:1 gene:KYUSg_chr3.14370 transcript:KYUSt_chr3.14370 gene_biotype:protein_coding transcript_biotype:protein_coding MEECLDQQQQKSNNGQLQRLEGIEEEGGPAEKWPPPPTTVRAPETPTETMEFLARSWSLSAAEISKALKVLSSKGVCDIPVAAAAGNEEQHRSSLDAAMAAPAAAMQAGTGGAASPPFSPRADAQLLRAAAAAGRAGGKTTTMGAWIKEQKEKKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFTSSPSPPPPAPERGAAKNASGAKTAAAIASAAALVASHCVEMAQAMGASHEQILGSIHSAVNAQASGDIMALTAGAATALRGAAMLRARLHKEIQATALPPGDGDAGRASERDISPLIFVSRGGELLKRTRQGILHWKLVTAYIDPNFQVIIKMKSAHMAGTFIKTKKCVVLDIRSNVPAWAGREVDDGSRRRGYFGVRTEERVVEFECRSKHDQLKWVQGITEMLNRRDSMNMNIALFSRFCRRPLCAAASPLRYLQVRGVADLDELSNSGRKQVVETLITDSEILASDKATNVVIGVTTNNASSSENNSALDSSATKVVTEDTMEVDVPLKRFLKGKGGCMQKQIEQENGVKIIFPSSKEETLVGILMPAFLSETMFDDAVKSPMLDYSHFISLPLAIHPDLVEKLNNFQSSILGLSAANVDSDKDESLGEDSTDENDEAESQGVLTKRRVEEEKSVDNKGSQAALEYFSSSPAEFSIDKSVFIKPKTFHLTVLMLKLWNKDRIAKASDVLQSLSSQVNEALENRPISIQLRGLLHATIMNVRHMKSKKRNRRNDSFDARSIFRQYGQQDWGEYPVPAFHLSQRFKFDESGYYHCCCSIPLPEAKTSQTE >cds.KYUSt_chr3.3659 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20712703:20713284:1 gene:KYUSg_chr3.3659 transcript:KYUSt_chr3.3659 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSLLLTSSSGNKRAREADLAEGPESQGKRLRPEDLLSMLDDETEADAGGDLASVMRSLEEEISAGELDLDLEPSPPQPELGFLLEASDDELGLPPAGGSYTSSDDYAPGAGTLFGEQIWGFEDEIEGGAADYGFGAVTSSPEAAAAAAAANAEWGDDGFGDGLFGFGDESFGASDLAALRQETMPAV >cds.KYUSt_chr3.43683 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275624491:275625171:1 gene:KYUSg_chr3.43683 transcript:KYUSt_chr3.43683 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFPSPRINEVLPPVASAVVTSTTARGRFSSVHRRRADVFYRSSALQSLYWTLGAKPAVSPPASDLGARHRQLGLPAEHRHADMAKRGFPVSERPPPATRSPVLPTLALQDSLDTLVCARRFLPSFHQKRSSGIQLACLQSLKVVE >cds.KYUSt_chr7.29825 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185642091:185644261:-1 gene:KYUSg_chr7.29825 transcript:KYUSt_chr7.29825 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNNPKKQDGVAIPFIPLATTDGREEDNIISTPASSGNRLAIHPACSGGSEKAWRQRDILVHDNARLSTLLQRSTSSVIIPVSFAPASAPAEAPSATIPDRPGTYLDTLEFVVIVGFGTPAQSSAIIFDTGSDVSWIQCQPCTGHCYQQHDPLFDPSKSSTYSVVPCGTPACSVAGGNCNGTTCLYTVHYGDGSSTSGALSQETLTFTSARTFSGFTFGCGTTNLGDFGEVDGLLGLGRGHFSLPSQTTSSFGGSFSYCMPSFNTTPGYLTIGSTPVTGKVQYTAMIKKPAYPSFYFVELVSINIGGYVLPVPPTVFTKTGTLLDSGTILTYIPMKAYTLLRDRFKFTMKGNKPAPAFDILDTCYDFSGQSAIFIPAVSLIFSDGGVFDLNFYGILIFPDDTQPAIGCLAFVGNPDGAPLSIIGNTQQRSTEVIYDVGAERIGFVPFSC >cds.KYUSt_chr5.20328 pep primary_assembly:MPB_Lper_Kyuss_1697:5:132130117:132130947:-1 gene:KYUSg_chr5.20328 transcript:KYUSt_chr5.20328 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAWHLAAACSPRLAVVASSASGDPVRRIRRRVRGSPPRKTPTPAPTQPSVAEVRRAIGADNDPSASGKDKQSGFMKILASTPIGQPESDAERRIREAAEWVVDNTEARAQQGQKSVLVLCMKIFPLWLFLMLIALGVIKLPFDIPGLDMENLLM >cds.KYUSt_scaffold_1259.348 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:2381310:2384647:1 gene:KYUSg_scaffold_1259.348 transcript:KYUSt_scaffold_1259.348 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSQRRRSQRVKDIRVIYSEEDGETDFCPLKSIKTEIVDPEEASSQEPIGTRSSFGAILALPSPTKTNDAPVADNEVGQNVSLKDLRAQYKAKILETNQTQFMDLENGKPDEEVDLDEPLIALKRKRQKTTPRKAKRKIDTPASPHSPQGEDTVSKRDVTSPTQTSPPLHYSRAAKLGRRASDLKHLEIENAIGEWLEPVEDNGCVDQPGFITQPTELNVSDHSCELPHSVEAYLDDNVVQNKTTNNVSSSDCINEVSNHQKPLEDISNSDVNMSSIGNELLFRSVGKSCDYYVDNDGYCYPGPVQVNTPKIIRAVEEPSPNDEFNTMQNSCESTEMNCTSLEEAVQMQAEGQLDSVVCHGVRTNDMLLHMNVAQPDTDYNFTFDKTLDLVHAANFDTQDGRLESIVFDALNNHVQRKSLDTKTFVGVSDSAVIRSPPVEANAVHDSQLLSASHKEASSKDMNQLNCAMNDGICRPVNDQVAVEDFGLQHQLFQACVEMDKGGCVTSESSSVSKEIQEIPTGASNSAVTHQKASGQTKKSDVYFDEESIEEHTPKKLLSKRKIMSPTSQEKLCNALTGIDLRGVERLKKKIHLEDCDRNRQTLPHTTNRQDQSVVSTDRKIKDRTLSSASKGVLKSTESQSPQLTTCACMRRSSALLDPRKVVEFSERQMHDIENIAANLIRSLKQMRSIVDESLSSEALALLPNVNSAEIRAASEDALEVERTTRKWLTIMNKDCNRFCKILVGVLSDSMIDY >cds.KYUSt_chr6.23042 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145584252:145589101:1 gene:KYUSg_chr6.23042 transcript:KYUSt_chr6.23042 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCPTKMHIRPIDAACSVDRNPTSLRQRPLGMLTTQPADHGASDSTSQPRRIRGEPERAEHTRHSPPAVHPPLSSPEKKGVLKGNLRENGVVRVELLRCWSTHMEEVATALTTKSSDAIGAGRALFSEPVRFLLLPPPAPRVAPAQAFFSTRFTFRIAPSPSYGDGLAFILTSSCTFLGASNGFLGLYPSTSPPTTASSPTCPPSPLSSTRTATWRCETLMATSSRSTRAPSSPSRPRARAWTSRPALPITAWIEYRAPRRRLCACLSYSSSRRHDKAALSVDVDLSGLLLAYMYAGFSASNGEGAALHVVESWTVRTFGSPTPHTRRLPQFNRQRPRTRRRLRAHCRSQQITTTIT >cds.KYUSt_chr3.32459 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203991833:203993203:-1 gene:KYUSg_chr3.32459 transcript:KYUSt_chr3.32459 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGNLLVIDAAVVTPSDDLPARSLPLTFFDVKWLRPPPVQRLFLYRLDHQHHHGTAQQLVSDLRRSLSMVLTLFYPLAGHVRLAPGTNRYELFYQPGDGVAFTAAEYDVHVDDLASCSDDEPVPVAKLAPLLPPLPKGRAVLAVQATVLLGGRGLALGITLHHSACDGASSTHFLHSWAAACAGVDMPPPPVIDRTLIADPKNLYGIYTKGMVPSDGAEIEFAGTSLSSYPADQLLATFTLSQELLRGIKDALAAEAARHGAATMPFRCSSLIAAYSFIWSCYCRAKQGVDQTKTTYFLFSVDHRTRLDPPVPKLYLGNCLGPAIAAARHNELAADPLVGLFAAFTALADALEEAVGEGARDRWDGCVERVKEAVKAGVLSVAGSPRFGVYDVDFGFGRPAKVDIVSVAKTGAMSMAEGRDSLGGVEVGISLPPAGMERFRRCFSDATRAVGGK >cds.KYUSt_chr4.6083 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35667673:35672784:1 gene:KYUSg_chr4.6083 transcript:KYUSt_chr4.6083 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIARAGSYRRRRRKGRRAVEPEKKARRRASNNDISAGCIAATNASPYSTNVPVYVPGVFSPSSSAFYNDGPSGTPGCVTPNLSPHYQDALPHGGFNPNNLYSPAYEQREPGPGPDGAPFTGRRGPLEYDGAGAEEDGRVEEEDDEEEDDEEDDDEDEEGGEEDDDEGAGDDDLVEVDADGVRKKKKKKKKKKKKKKKKKKKKASGTRGPKWTPLEDLCLCESWATVSHDSIIGANQKGGKYWARIKAEFDERKLINSDYNKVTMKRSQKAMSTRWAIIQASPTVCNMIACTNGNCYKMFPAQNLRLLLHLLLLATASYSHALASSNETDLDALLEFKAGLNRQSADAFASWNKTTDFCKWRGVICSLRHKQRVSALNLSSSGLLGYITPSIGNLTYLRSLDLSYNLLQGDIPQTIGQLMQMSYLDLSNNSLQGEMPRTIGQLPQIETISIGKNRFTGIIPQSLGNLSSLRRLYLNENQLSGPIPESLGKLRKLEGLALQVNHLSGNIPGTLFNISSLVHVGLQMNQLNGTLPSNLGPNIRRLILALNHFTGRIPASIANATTTQSMDLSGNKITGIVPPEIGTLCPKYLMLNQNHLKATNVQDWGFITLLTNCTSLRWLTLQNNKFSGGFPTSVANLSGQLEALDIRYNEISGKIPLGIGSFPKLFKLGLSGNHFTGPIPDSIGRLTRLQFLTLENNQLSGMIPSSLGNLTRLQHLSADNNILEGPLPISIGNLQQLVSATFSNNALSGRLPGEIFSLSSLSCILDLSRNHFSSSLPSQVGGLTKLTYLYIHENNLSGMLPDALSNCQSLMELRLDGNYFNGMIPLSISRMQGLVLLNLTKNRLTGGIPQDLGLMNGLNELYLAHNSLSAKIPKTLESMTSLYRLDISFNLLDGQVPGHGVFTNLTGFTFYGNAKLCGGIQELHLPSCPAKTVGHGQRITRVIRNAVIPSITIISVCFIMAVCFFSLKNKLRLPSTSVAPSLTGDMYPRVSYSNLFQATNGFATDNLVGTGRYGCVYKGMMTLNKSVRTMAVKVFDLAQAGSSECFLAECKALGKIRHRNLIGVITCCSCSDFKQNDFKAIVLDFMPYGGLDKWLHPGIYASNPVKVLTLMQRLSIASDIAAGLDYLHNHCRPTIVHCDFKPSNILLGDDMVAHVGDFGLAKILADPEGEQLVNSTSSVVGTIGYVPPEYGEGSQISPSADVHSFGIVLLEMFTGKAPTHPMYTDGLTLLENVKSAYPAQLMEIIDPFLLSVEKTRRDINSYMYSVTRLALACSRKRLTERLSMGDVLAEMNKIKACCVVEVIRECSSE >cds.KYUSt_contig_3510.27 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000679.1:178512:183761:-1 gene:KYUSg_contig_3510.27 transcript:KYUSt_contig_3510.27 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMRSCLDSYELQSILANGSTESAFLQAVIDDDVPRLKEMVRAMDEEDRAKLADMVILEGCGMLEMASSLSQMEVCKYLVEELGFDVNLGGFRGGACFPSSSMATCHYKLLQASEKEVLWRFYFLSLLPSELLKITMSRPREVRRSLIVTVQSEWSTIIKMEFTKTALEVSQNSFICV >cds.KYUSt_chr1.39233 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240040300:240042228:1 gene:KYUSg_chr1.39233 transcript:KYUSt_chr1.39233 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGKKAAKGGGELSRFLQPHLQTITDTIQMMSVAAPAGLERTVWSEVVALGDVVSRQATVAGMVWSGELPGVEALKENIAAYFNVLQGFLLACHGSTVGAGPTLHKYITTSARGVVDSSFSLFKLAVSAYESGNPDRKTIIPPVTGTVWEACLALKKVPTTNCIAIGRAMTEICVCLKDVLREMKELPIGDNTAEKSSSGEADMTLSDNDESSSDIEVDDDFTEEEIAVAKLIITVTSDSLAAVKETIRFITGLLKSSGNQRGANEDKVESMENLLSCCNDIADQVNELGACVYTQDPSEMKSAIKRLYNGIKGMCKEIGDLGGLPENAFAAFGRFEKSLGDLEEEIAKDVADEMKNLTISQS >cds.KYUSt_chr5.41147 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259734305:259735606:1 gene:KYUSg_chr5.41147 transcript:KYUSt_chr5.41147 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYNGGAAPATEYIPLSIFDKVTYQMQMAIIYAYASPAPSTAAIEKGLAAVLAQYRAFAGQIGVNPDDGVPSFILNDRGVRVVEASVDADMVDMAPAKPTPELLKLHPDLEGELEEVVLLQLTRFRCGSLAIGFTSNHVVADGHATSNFLVAWGRATRGLPMGPPPVHHHKDLFKPRSSPRVEHDHRSREYYKPSPTAVVGHHGDGTDNIVIHKAHFTKDFIAGIRANASEGRGRPFSRFEIILAHLWRTMTRARGLGPEDTSTIRLSVDGRHRLGKPAEYFGNMVLWAFPRATVGDLLNRPLKHAAQVIHDEVARVDGAYFQSFVDFATSGAAKKEGLARSALCKDVLCPDVEVDSWLTFPFYELDFGTGSPSYFMPSYFPTEGMLFLAPSYIGDGSVDAFVPVFEHNLQAFKECCYNVE >cds.KYUSt_chr4.48291 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299093808:299100036:1 gene:KYUSg_chr4.48291 transcript:KYUSt_chr4.48291 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRKQRDDHDLTRLLLDDVLAEVLRRLEPRSLAASRCVCKAWQAVIDTRHLLRADMLPLSLDGIIIEFGMQVYSEFFSRPPTPTCPSISGTFEHLLTTEAWGKTTDHCNGLLLLSAYRRHDGKKVYRRSSEYVANPAKGWAAPLPPCPSPCFATPGDYYNRYLVFDPTVSAHYEVFSVPHLFTRKIEVPEKPEWPPSTLIMRVFSSVSQRWEERSFSREGEPARLTTVVQFCKEEKRYAVNWRGSLFVHCEKDFVMRISSSNNKFQVIEPPVGLGGDLSLGYNSQLYLGRSENGVYFASLVDRWLRVWVLDESSGHMNWVSKHDKNLEPVLPRRTSNQHVRGPWILQDINYHSGATLRYHLADDNEESLSRHKFKWNSTLADEKFEWNSDGDDALEDKDMTKKSKIKKEPEQVAAPAAEYRARSGLMTSNGEAEAEQPGGDHDLVRLLPDDVLAHVLGRLEPRWLAACRCVCKAWHAAIDTRRLLRADLLPFSLGGIIIHFNRHLYSEFFSRPSTISSNLEHLLSTDAWQALDHCNGLLLLRDGTQDGRTAAKYVANPAKGWAEPLPPCPSPLLATEGDYYGYYLAFDPSVSAHYEVFSVPHLFLSRSELKSEWPPSTLVIRVFSSVSQKWEERTFSREGEPSGRTAVDRSFKGQPCATYWRGSLYVRCETDRVMRISSSKSEFSIIEPPAGIREGTYEKLHLGRSKEGVYFAQLCYWQLCVWILDEVGGQVKWVPRHDKNLGPVLPDRDNNQHAHGPWILRDINYRSGVLDDLVLDTDEESLSTQKTAASDEKFNWNSDGDDELDNKDMFKVGYPGYIDILGFHPYKEIVFFSQSMKIGLAYYLDTSRVQVLGNLYPTNYDDIVDSPDEKEILRSAILDGCENLRNFAVSEEEHTDMSCDYPTRRTASCEQHFSRVAVDMLQSCAENKL >cds.KYUSt_chr2.7383 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46341276:46344765:1 gene:KYUSg_chr2.7383 transcript:KYUSt_chr2.7383 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYAVAKTSVWWDIENCCVPRSCDPQLIVQNMSSALAAAGFRGPISVSAYGDTHQIPHNVQHALSSTGVSLNHVPAGIKDASDKKILVDMLFWAIDNPPPANYLLISGDRDFSNAIHKLKMRRYNILLAQPQSVSQTLTAAANNVWLWRSLVAGEPPLAESPYISSTSSGNKDDLDTSKNIISSSSDMTQDTNSAVQNILCDHQSGANSNADKKYEVKHPREVQTGKSKPTRRLPKKRSNPWGSGFTKQTVSKKSKGGNDSTEQSKFKQPRIGQVKAVPGQPLICYRCGEGHRAAECTFDGDCCRCGKPGHKDRVCKENPDSIVKWMPAHAQDLATSSPGSVHVMNSASQLHPTCSSPLECSQQVSPGVPSPSPVPPMAPAPPQMGGVPSSVPAHSGVCAKPTAVQVGRGGMAQQTTSVPHPRMAVPAYRPSQGQGMLCFKCGVVGHCAAQCTYIGSCRRCHQAGHMERVCKENPDSIIKWEQLHAYALAMSSQGSVHMTAPASQLHQTWTPPLGCFLQATPAVPAPSPTPAAPAPPHRGVTDSSTPAQPGVSATPTAVSLGKPDVVPQPTSSALRPPTAGLAYRPVLGQHHQVGSSQLLPAWTQHPGYFWQAAPVVPSPLPAPPVTPMLPQPGVAASPRPAHYGAYPMPFPFPFGRPW >cds.KYUSt_chr3.19725 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121391805:121393424:1 gene:KYUSg_chr3.19725 transcript:KYUSt_chr3.19725 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLIDRTQSFPVGPARASRDVHRHEPVEPAHELAPDEQRRVVGCRGSNQRRTVCVDDALSVAVLGRPELLVVQPRLRPCAVLDSKLAEELNLASSLEEARDNSGQAVSAPGEYGDKGGRSPRL >cds.KYUSt_chr5.35207 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222602114:222602686:-1 gene:KYUSg_chr5.35207 transcript:KYUSt_chr5.35207 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHYHGEVASLHCLSPPNPPSHNTHYHHSGMLIGVTPPPPFHFSAATYEYEYDEPIQEALTVVGNSSAGCSGADGIHGGVVAAAAEQERKRRRMVSNRESARRSRTRKQRQLSVLWAQVVHLRDANRRTLDELNHALRSCGDLRRDNDRLSGEKAQLETKLEDLTQAHKKNNALPSSSSEQYQNTTAAE >cds.KYUSt_chr3.14630 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88918557:88921972:-1 gene:KYUSg_chr3.14630 transcript:KYUSt_chr3.14630 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPSPSPPPASGPRGREDRWRGGRGSDSEETPRSRSGGPDGGRGDRPESSSARREVRSLVVREEESAAFDELDDSEDPLDDGEEDAPWEEPTHVTRKRGRRAGKKVAARPSRPSRPERAPGAYDDYHGLCLLCTQPGHRAAACTIGPVCLRCGEAGHMARECSLPRSPRPASPPVDAMEPARKRVNDEGRGRRVVEGAGDHRARAPEAHQAAREQVRRRSAGQHQVEAEAAPRRVVAPRRTMAAPRRDVPPHRATAGRQEQARMVVTATGDARAVAPVHVGERAVAPVLPQARRAEELPRPRRGADEQVMPLALRAPAVGGELARRPARAACVLPQTVEIDAAEAALSNALLAVIVGVRRAVTTEEVSMALEDIHRLPPGSFSVHCHRPEDFLIFFATKEDRDMVREEVIASTFFRLLLRPWARRTHAASGGLCVHAEIEEPCRHGDFPPVGVVFGCLDPALIPREMDLHIVEPDEPPSLEDMAAPAQAVVPPHINTLAYPLLIHVTSTVDFRRSTPRQGSGDRAVDGDGGTPAWPTRRQYSYPRGTPDVLPGSGGNGIGAAHPPPAGQGRGGRDGSARTLSSGAIVGEPAVDPPRRTKRRKRGGRKVRELRARAAAKDAGVETVDGLAALGADDGQVMTAGSTAVAAVVEHASIDDGETAEGAGDARVVPAVCAAVATGDARASSDDGVAAVCAAVAGDDRMVAAVSAAVAGDDRMMAAASADVMVTAVNADVAGAGEVSIGAMPAVLHAPLHAAVDPSVHGPVLRPVDQVQHAQRPVMADARTVVGPLRDRPAFPVLEGSRVDSNLAAKGAVGEGSFRVGALSVSFPASPQKISVNELPSLQSLDRLAGPFVPRPQLGLEAFASPGQLAVARQGGPLGQDDVGPLGQDVGGLGASSGCQVLVLSTPPPPILDAPRVEMASDDDAVDEEIEADTPPSQANAVVPPAASPSVCRFASPPMVFQRARQTPVPRVPVPVARPRTLGEFLEAAKSRSDALLHTPAVRRRLVEPNFQPRRSSRIAKHSRGMDAEMKAVRNLMRKLGLLSGDEAPSAAALEAYHKMYELPLTDDMIEAIAEFYGWTLSSIRGCSPPLLGMTGGRLVEA >cds.KYUSt_chr7.5034 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30086869:30089395:1 gene:KYUSg_chr7.5034 transcript:KYUSt_chr7.5034 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIELDLHTEQCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQSGDPTGTGSGGDSVYKFLYGDQARFFNDEIRPELRHSKTGTVAMASAGENCNASQFYFTLRDDIDYLDDKHTVFGQVAEGESFDTLTKINEAYVDERGRPFKDIRIKHTYILEDPFDDPPQLAELIPGNSPLGKPHDEVAEERLEDSWVPLDETVDPGQLEEMIRSKEAHANAVILESVGDIPDAEVKPPDNVLFVCKLNPVTQLGTHCTAAYDFCMLL >cds.KYUSt_chr6.21522 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135833683:135834693:1 gene:KYUSg_chr6.21522 transcript:KYUSt_chr6.21522 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQALLVVLLSSLLAGAANAEAPREPTNKDCHPRDRAAMLAIMAALGQSGTPYPENYCCDWFGVTCDKLTGRVVGLSLFQQPQLTGTIPDAMANLIHLQDLFLHHIPALSGPIPPAIGKLSNLTSLHIAWTAVSGPVPSFLAALTKLSQLDLSFNSLTGAIPASLGSIPNLSGINLSRNRLTGAIPSTIFSKSAGNVYLYLSHNNLTGPLPAEFADMSFVQLDLSRNALMGDASRLFGRGKALQYLDLSRNAFDFDMSGVVFPEQLDFVDVSHNAISGSIPAQVANLTNLQFFNVSYNRLCGALPTGGKMPTFDLYNFQHNKCLCGAPFFPSCKT >cds.KYUSt_chr7.1405 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7687883:7691550:-1 gene:KYUSg_chr7.1405 transcript:KYUSt_chr7.1405 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAAEMAGAREAPPRRPQLDLNHPPMDEDAPAGKLSRMEHQRSVCGEPSFRMENLPEEIQPVVMSLLPLKEAVRTSIVARSWRMLWRFHSNLCFYCPDDLDYNSDEYDDTDEQAIDDFIKIKRDNFIEDVNWVIQRHSGIGINKFRIRCGLHKEDFDHLDRWIAFAASSKANVIEFDLKMINYPSKKVYHFPLDALDVQGSSFVQSLFLADVSIKPHSDVRGFSILRRLVLQYVQIFGDLRGLLANCSALEDLSIIECSGVANLSMPHKLDKLQHLLIDKTYVRMVEFHAADLAHFEYKGLEIPIVLHGCSKLEKATIMFKGGKGLGRLFTLVPSILPVKILHVGADILGYEQLQKMTTRSLGMFTCLRHITCQLFVRVRHSELKADNGVLQVAHCLNAAPQLETLHLNMIYLIKYGQMSHQVAVEEEDSPMRRHDHLKTVYMSGFRLYKAQKKLAFSILENASVLEHMQLEPRVKAVADKAAICYMLNDRVERKFVPQVCEWARLASLRFGKVVTAPSE >cds.KYUSt_chr2.19475 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122529342:122529758:1 gene:KYUSg_chr2.19475 transcript:KYUSt_chr2.19475 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLTIRPQLQRLGTVTERQWTTRGGDGRNRSRGRSPSWRRLETAQTREEAHLEQIVVVMPDRLRSLPLSAGGGGAADRPRFVLPFFLASRTSPPPPSSMAQERPKDPASLFDPHSQRLPFLLRRRRDPLDGGWSWC >cds.KYUSt_chr5.8916 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56674940:56675239:-1 gene:KYUSg_chr5.8916 transcript:KYUSt_chr5.8916 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASERAVVIFTLSSCCMCHTVARLFGDLGVNAVVHELDEDPRGKEMEKALLKMLGKGPSVPVVFIGGKLVGGTNKVMSLHLGGELVPMLRNAGALWL >cds.KYUSt_scaffold_869.804 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:5005648:5007654:-1 gene:KYUSg_scaffold_869.804 transcript:KYUSt_scaffold_869.804 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLLQQSEPSIIADTGAGSIPDIGTTMDGVLRTPCPHLAPALSSAVLNGGKESSVKRRLKLQPPLVGVMVPSGPLLHRSNMWGRRMGAGDRDGEEGRKKRRDWLEPKLVPSASTVE >cds.KYUSt_chr5.36681 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231964923:231965573:1 gene:KYUSg_chr5.36681 transcript:KYUSt_chr5.36681 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSRSPASGSGRAVATAKVVGLDGSMTQYSAPVTAGEALGDDNSRKGASVFLCSSDELRFDEPPRALADEEALQPGWLYFVLPVSMLRLALSGHEMAALAVRASSALAVASGVASPPRRKIVPGANGKTRKTARVAPLVVAPNNDEDAELADSGSSLHPYGKYGASNKTVRGGGHEKAGKTRKIAGYRSRGARHRRRAADVPRLSAILEDDDF >cds.KYUSt_chr7.5493 pep primary_assembly:MPB_Lper_Kyuss_1697:7:32870077:32873764:1 gene:KYUSg_chr7.5493 transcript:KYUSt_chr7.5493 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSMVEARLPPGFRFHPRDDELVLDYLSRKLVGGGGGAAVVASIYGCPTMVDVDLNKIEPWDLPEIACIAGKEWYFYSLRDRKYATGQRTNRATESGYWKATGKDRPITRKGLLVGMRKTLVFYQGRAPKGKKTEWVMHEFRKEGQGDPMKLPFKEDWVLCRVFYKTRATIAKPPTASSYNMDSAAATSLPPLVDNNYIAFDHQAGMQNLEGYEQVPCFSNNPFSQPPSSASMNIPVTAPMADHQEHQHMGKAIKDALSQLTRFEQGNVKSEAPAQGGVFAQDGFEYLAESGFSQMWNSLN >cds.KYUSt_chr3.34865 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218579460:218584538:-1 gene:KYUSg_chr3.34865 transcript:KYUSt_chr3.34865 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFFRLEDLGTCFNDNWCNERRSCPKGLPEIEEAVRSRIGPRDNVPFKRVRERAEMARHFVLNTGAKMPSVGLGTWQSDPGVVGEAVYAAVKVPLTAMAPFSSP >cds.KYUSt_chr3.8244 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47439075:47442282:-1 gene:KYUSg_chr3.8244 transcript:KYUSt_chr3.8244 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQCFKQGGGGGGNGGQGRRLERRLSLGDYKKAVSWSKYLVAPPGAKIRGGGDEELWSADLSKLEIRVKFASGRHSRVYSGRYAGREVAIKMVSQPEEDAALAAELERQFASEVALLLRLRHHNIISFVAACKKPPVFCIITEYMAGGSLRKYLHQQEPYSVPIELVLKLALDIARGMSYLHSQGILHRDLKSENVLLGEDMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKSHTRKVDVYSFGIVLWEILTALVPFSEMTPEQAAIAVALKNARPPLPPSCPLAMSHLISQCWATNPDRRPQFDDIVSVLESYKEALDDDPSFFVSYIPPPLQHQQHQQSLLRCFPRYKSLRRSASLKA >cds.KYUSt_chr4.21056 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132643390:132649684:-1 gene:KYUSg_chr4.21056 transcript:KYUSt_chr4.21056 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGNHMLLEEPVRLASCLAPAKPKVFPSLTKIVGTLGPRSRSVEVIEECLTAGMSVARFDFSWKDAAYHQETLDNLRKAAQNVKKLCPIMLDTVGPEIQVDNSTGGSIELKGGNHVTITPDLSKAPSADILPIKFGDLAKVVKKGDTLFMGQYLFTGSESTSLWLEVTETSGEEVICLVKNTATLSGPIFTLHVSQVHISMPTLSEYDKQVISTWGLQNSVDIISLSHTRSSEDVSELRAFLLSHDLQDTQIYAKIENAEGLEHFDEILQEADGIIISRGDLGIDLPPERVFMSQKTGIQKCNLAGKPVIITRVVDSMVDNLRPTRAEATDVANAVLDGTDGILLGAETLRGQYPVDTVGTVGKICSEAETVYNQSLHFKRVVRHVGEPMAHEESVASSAVRSAMKVKAAAIIVFTFSGRAARLIAKYRPPMPVLAVVFPREGSDPSKWRSYGTTQARQCFSVRGVYPLMGSTDEAETGGLTKEEYGIKLALNYGRSVSIIKPYDRVIIFEKIGDSSVVKIIECDDSER >cds.KYUSt_chr7.32855 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204750130:204755518:-1 gene:KYUSg_chr7.32855 transcript:KYUSt_chr7.32855 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAASPPCAAGRCLPSSATPRVGGRRAFRSLASAAPAPQRASAVRTKAINSDGAPGTSDSPQVVCFGELLIDFVPTVSGVSLADAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYMLSDMLKENNVNNQGLLFDTHARTALAFVTLRSDGEREFMFYRNPSADMLLEEKELDLDLIRKAKIFHHGSISLITEPCKTAHIAASKAGKDAGVLISYDPNLRLPLWSSADDARDGILSIWDTADLIKVSAEEISFLTNGEDPYDDSVVEKLIHPNTKLLLVTEGPDGCRYYSKEFSGKVGGLKVTAVDTTGAGDAFVAGILSKLAVDFSILQDEARLREALKFANICGALTVTERGAIPAMPTPQQVADALANVAA >cds.KYUSt_chr7.7525 pep primary_assembly:MPB_Lper_Kyuss_1697:7:45338577:45340079:-1 gene:KYUSg_chr7.7525 transcript:KYUSt_chr7.7525 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLDWRSVGSLIATIMVFRTAMRDFIPPEAEQMLRRLLARLAAAFRPPTATILVDEADGAASGATNDLYDAAQLYLGARCLATAPAVRLYKPRQASRAVASLPDAHTAHDTWKGVTVKWTSTARPADRAGNPYNVYGGGRGPSGGGDHRSLELQFPLQHRDFVLDSYIPHVIDEATRMRLTSRERRLYTNRAAAPGEDHRRLWTSHAFSHPSTFDTLAVDPALREEIRADLLRFACRREHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVVIVEDIDCSLDLSDRRKNGGGSVHEDNNNNAQLAMISPAAASIGRESVSLSGVLNFVDGLWSSCVGERLMIFTTNHPERLDPALLRPGRMDRKIELGYCSPAALRVLAKNYLGVGEDPDDDDPDTVRGLMAQAEGLLAADVHITPADIGEVFMGCDGAGASAALSKLVGELRRRRDFAPAVDALLPSASTAETME >cds.KYUSt_chr7.26772 pep primary_assembly:MPB_Lper_Kyuss_1697:7:167226425:167228341:-1 gene:KYUSg_chr7.26772 transcript:KYUSt_chr7.26772 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHLRAALRAARLRWAPNVLGKPPRVPPWEPSSSGHVQKSYPASGWAAAPTVIRLRLYSAAPNRARAKALVGGHADDDDDDEVDPQVKQMQKRREFRAAQKTFMEYLHVTRGMTFGDAEHISKHSPVFLTKLLEEVKDAVKEPAEGGTELIFKSPVKRREMRDQRVSKALVRLFQFNPVNEFEPFLESIGIGPAECGSFLPRDLMFLADDETLLDNFRVLCNYGVARGKVGNIYRDAVEVFRFGHGVLESRLKALEELRISKTSVIKLVVSTPVVLLRDPNAELKILDSLEDVGIQRDWVCQFLSARQSYDWRKMVRVPHFFVTLGFAKQDVGRLVRKNPDFLLDGSGKMLFTVVIMMLKAGSGKKELFDLFVNFPDVSLEKFTSNLQRGMLFLAEIGLNNEAINKFIVSHGSMLGSAPLKKPNSILTHLNAGKKRVRRIILEDPELLMNYTLGSKLSKLPKCDPFEDSFNEKTKFLKSIGFVEGSEDMKKAFKAFRGKGDELQDRYNFLVSAGLNPKDVVQMIKVAPQILNQKIHVLESKLSFLVNDSGYPLSDLVVFPAFLSFTIERSKVRIFMYNWLLEKGAVTPQLALSTILACSEKCFVRYFVKKHPMGPEVWENFKREVAKARSNPSTSDD >cds.KYUSt_chr6.17160 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108066858:108072277:1 gene:KYUSg_chr6.17160 transcript:KYUSt_chr6.17160 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPGPLTEWPWERMGNFKYLVMAPAVAHGLHRVTTKGWGDVDIAYALILPSLLLRMIHNQIWISISRYQTARSRHRIVDRAIEFEQVDRERGWDDQIIFNGLLFFLGYLALPSVRHFPLWRTDGAVMTALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFGEHIVYFTLFAIPLLSTVYMGNGSALAFVLYIVYIDFMNNMGHCNFELVPKWMFQVFPPLKYIMYTPSFHSLHHTQFRTNYSLFMPFYDYIYSTMDKSSDELYESSLKGTEETPDLVHLTHMTNLQSAYHLRIGFASIASKPSDNSEWYMWALWPLAWLSMAVAWIYGSSAFVVERIKLKKMKMQTWVVPRYNFQYGLTWDRESINDLIEKAILDADVRGVKVLSLGLLNQAKELNGNGELFRQKYPKLRVRIVDGSGLATGVILKSIPVDAKQVFLHTGTSKIARAVAMTLCGRGIQVIMKQKKEYDMLKSQMPKNKASYLKCSSNDVTKIWIVDKIDDTEQRMAPKGTVFIPISQFPPKKVRKDCTYLSTPAMKIPETMQNIHSCENWLPRRVMSAWHIAGILHVLEGWSTHECGDDMMDVEKAWSAAIRHGFVPLTKA >cds.KYUSt_chr4.47283 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292583299:292587083:1 gene:KYUSg_chr4.47283 transcript:KYUSt_chr4.47283 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHRSLLAAALSKPLCRHRPPLPLLLHRSPLRPHQSLPFSTRALTTPLPTSDAAPAALTTPPPTPSAAPTSQPTALASLEAAERKEAAGDHQEALDLALKALAPLQETHGGWSLPVARALRLAGAAAFRLNLLNDSLDSLEAAAEIVDSLLGAGAEAATVGAAVLDQLARTKTAMGRRWDAVADLRRALELKGRVLEAGCADACRDVAEAYVGVLCFDKALPLCLSALEITVERFGDGSAEVAKVRQILMVAYTGLGRNEEALEQNKLLRMVYEGLGMDVELSLAEIDGAGLSILLGRTEDAMNDLKKVMNRASKDSEERALAFVTMAKILCSQERLSDSRRCLEIARETLDSKRSANPERTAGAYTEISMLYESMTEFEMPLCLMKKTLAFLERVSGMQHIQGSISARLGWLLLLTKRVDEAVPLLEKAVEMLKNCFGPLHFGLGFAYKHLGEANLELNQLDAAVKFFVLASDIITAAFGPKHEDSIEILQLIANAYGLMGSYKHAEDYQLRVIAAYESCGPDMSYEQREARRLLEQLRKKAEGSPHAVFPANSLPVLPQNRE >cds.KYUSt_chr1.35276 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215068465:215070441:-1 gene:KYUSg_chr1.35276 transcript:KYUSt_chr1.35276 gene_biotype:protein_coding transcript_biotype:protein_coding MPYIRRAAERKLLQPQVLWMSLLCKAEAECLLDVPSSLLCEDYACWMLRIPEAEHGAADSAALAGEGGTIPVPHFSTQLHQGLEGLVRVASHIHVGVQAELDEAGLKSLLSQGLMYERLQ >cds.KYUSt_chr6.7687 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47152985:47160838:1 gene:KYUSg_chr6.7687 transcript:KYUSt_chr6.7687 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVQDGLSDVPSAPPIHDYSQEASPAPHCDTRTGADASVSDGSTVKKEEHGDDILGANLPDKTNRSTLNGRHSSRPSSSVPLRVPTFHARVIRLGKFIFLHVSFSLQDVLLQSEEELMTKRASELVTEGAPSKPKKTIGKMKVQETYSCKLRLKSSPEDGVVPMQPGSGETHLFFPDSLGDDLIIDVSDSKGKPCGRVIAQVATMAEEPADKLRWWSIYREPEHELVGRIHLYVQYTTAADENNTKAAARKRSRRHMLETDEFVAGNSEGIKMDLVYFTTAYQKMKSLCHNLRNEIFTDIEIHNHHILPSFVDLPNLTASIYSVELSNRLRSFLVACPPAGPSSPVSDLVIATADFQKDLASWNICTIKAGVDAKELFHLYIVLWIEDKRRALLENCRLDKVKWSGVRTQHMTTPFVEEMYDLLKNTLTEYEVIICRWPEYIFVLENAIADVEKAVIDSLDRQYAEILAPLKDCIVPKKFGLKYVQKLTKRNSTCPYIVPEDLGILLNTMKRLLDVLRPRIESHLRSWSSCIPNGGNTAAIGERLSETRMQNTTKLKKIIQDSKELVMEADIRSRMQDLKDQLIEAINHMHKVSEVHVFVAICRGLWDRMGKDVLSFLENRKENKAWYKGARVAVSVLDDTFASQMQQLLGKAGANGGGSGGGIARLGAAEYEEEMLNEEVVPRRRRRREFIRRDRLGAHDRLFKDYFADDCNYPPSYFRRRYRMRRSLFLRIVDRLGEYSPYFTQRVDALNRAGSTF >cds.KYUSt_chr5.14053 pep primary_assembly:MPB_Lper_Kyuss_1697:5:91261585:91262315:-1 gene:KYUSg_chr5.14053 transcript:KYUSt_chr5.14053 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRDSAFLKQHGLHHTAHTLEMEAGVFFQAAHLLELVSQGRWGPAHRYLRSFSALWGDDDGAATRQYTALLDSLAHNSKLAWFACRGDEGGRAASLRKPPFHLFREYPETAEREAMYCSMTSQQARESVDWNDIKLRTLEKAKELLQLRPNLERLPSNRLPRHMPMPQDIVPLGYG >cds.KYUSt_chr2.52116 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325246527:325251846:1 gene:KYUSg_chr2.52116 transcript:KYUSt_chr2.52116 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIPVSMVTPRQRVRVGNRTLSKDEWSAVQTLNDATDGDIPAVRSKPPLAAARRESGAAAPESDEFMHAELVRQLRKSGKGVEETLAAIKHPHFRGRGPLHMAAWAGRLEMCMFLVEELCLNVNAPGDDGPNADPERDRLWASNTRDTCEIAELLLSRGAYVDPICAQGTPLNVAAQNGNAGADVNAGRPVITPLIIAASYGFTCGIKCLLEAGADANIRDEDPLDANDGFALKVQGDAALKGNDYADALALYTKAMEINPDDSTLYAKRSLCWLHMSEEDKALDDAYAYRAMKIDLSNSCYEQAAALILVKEYARACRVLMRALKLNLRSDDLD >cds.KYUSt_chr5.8923 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56716988:56727874:-1 gene:KYUSg_chr5.8923 transcript:KYUSt_chr5.8923 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDAGHRHSSELSAGGADMDGASVLPLSGAAYQPYVSELLSFSIERLHKEPELLRVDGERVRRQMQEVAVENYAAFIAASEALSFVRAQLEGFDRHLEALVEEIPNLTSGCTEFVESAHQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVIQGLAAEVKKTIQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREAWLSGILDDLDQRNVYDYLKGMVSCHRTHLFDVVNQYRAIFNNDKSGSEENSDGGLLFSWAMHQVSNHLTTLQVMLPNITEGGSLSNILEQCMYCAMGLGLVGLDFRGLLPPIFENAVLNLFSKNMGTAVENFQVVLDSHRWVPMPSIGFVTNGVVDDTSDDVTPPSVLMEHPPLAVFVNGVSAAMNELKPCAPLSLKHVLAQEVVKGLQAVSESLVRYNAMRMLRGNESSLFISLCQAFIEVAYPYSAACFGRCYPNGGTLITECRSTFDAVSQLLTVPSRSGSAISSSIERRQSGGIERRQSGGIERKQSGGIDRKQSGGIERRQSIESAGTAASENGHLTDGPEPEVVCLLCNTEQQVSQVCISCGVNMGEYFCDVCKFYDDDTEKGQYHCYDCGICRVGGKENYFHCAKCGSCYAVALRDNHQCVEDSMRQNCPICYEYLFDSLKGTRVLTCGHTMHMDCFSEMVEHNKYSCPICSKTALDMTLPWGMLDQEIEATIMPPVYRYKVWVLCNDCNKVSEVDFHVIGHKCSHCSSYNTRSTSRPADLSESSSPSTTDSSENNL >cds.KYUSt_chr4.26222 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164882603:164884591:1 gene:KYUSg_chr4.26222 transcript:KYUSt_chr4.26222 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWSAAPSPVQFTTMAITPEQLLTLERLAESPPPSPSLYLDIPRPSHSFSIGDPVLPYITRILMEEDIDDRFFYLYPNHPALLQAQQPFSQILDDAKDLQSSKEGDTERMSSDASLRGVRGGSLFLADKGIQGWAFDGAEMDNNVDQSKLPSDEVMLNLAFLTGMEEANKFLPRDDKLQAGAFTEGQAKEIVGRSGCGRGRKGRADGDKEEEVVGRASKLMVPELEEDGATELFDKMMLESYKLRFDAMDELEITMGNITAEKRNKRAARGKQGKREAVDLRGLLLSCAQEVSTGNRQGAGNLLKQIRAHASANGDAAQRLAHCFAEGLEARLAGTGSQVYKSLMAKHTSTMEFLKGYELYMAACSFRRVAFTFASMTIFDAVEGKRRLHIVDYGLHYGCQWPGLLCWLASREGGPPEVRITGVDLPQPGFRPAKRIEETGRALKNCARQFGLPFKFHAITAKWETIRAVDLNIDPEEVLVVNDLFNFNTLMDESLVIDRPSPRDVVLRNIQKMRPDLFIQGVVNGSSGPFFLARFREALFFYSSVFDMLEATTPPESYQRLVLERDMFGQCALNTVACEGADRVERPETYKQWQLRNQRVGLRQLPLKPIITKVATDKVKSLYHKDFVVDVDQGWLLQGWKGRILYAHSAWVVDNTSSDY >cds.KYUSt_chr3.20073 pep primary_assembly:MPB_Lper_Kyuss_1697:3:123792561:123796468:-1 gene:KYUSg_chr3.20073 transcript:KYUSt_chr3.20073 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACNAHPTADVFINFASFRSAAASSMSALKQATIRVVAIIAEGVPESDAKQLISYARANNKVIIGPATVGGVQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGSDEYSLVEALKQGKVQKPVVAWVSGTCATLFKSEVQFGHAGAKSGGELESAQGKNQALRDAGAVVPTSFEALESAIKETFEKLVEEGKVPLVPEITPPPIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIERGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVQVETYTLSKANNLVMNVDGAIGSLFLDLLSGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >cds.KYUSt_contig_786.371 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2003602:2005587:-1 gene:KYUSg_contig_786.371 transcript:KYUSt_contig_786.371 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTKLIEVWTLTSLHLQRRLLLLLLVGRPRVPRQAGDGGAPAPAAAGRMVAGARTGRIAGGRQGFRVWARLNACKVMYAATKEPEVLGRVALDKEKRRWHNNRYKTLIGNLAGARNPEACFLSGLMHVFMPKYMQLGLAFLEQAAAKGHKKAAYVLGIVLYNAPMTRATPQSDISARLRLTPMLEIGMLQRELM >cds.KYUSt_chr1.10945 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66991568:66992029:1 gene:KYUSg_chr1.10945 transcript:KYUSt_chr1.10945 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCATFRSAKFWKGHAEAKCRFFAWLALHGKSITAENLALRGWPHDPICKLSHIYQETVQHLTLDCHFSTAVREQIFAWNGTFGIPPPPGGKSLNVWWDEVISHLPKEKKREASGAIIYSMWGVWKERNMRVFQNPALQPAAVAALVKEEIA >cds.KYUSt_chr2.4979 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30850294:30850581:1 gene:KYUSg_chr2.4979 transcript:KYUSt_chr2.4979 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRKRNSSHRARKNQVLHDAISYDRAIAARARPFNNNLQVQPTPQQFLQQPGAADATTVPRQQPGSDPYEQLEVARKITAVIINSPAYFSTV >cds.KYUSt_chr4.10107 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61069371:61072924:-1 gene:KYUSg_chr4.10107 transcript:KYUSt_chr4.10107 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGSATAANAQGAELHADMQKLSLDGKKDGADAAKKVAFQRGGSCPVSCNAGNSQAVEPHVDRSITPLLQEAMDPNFFYQPNGYPSPAYYYPSGYDGSTNEWDSRYAGHEGMEMPQNVYGDMYHGYGYAPYGPYPSGSPVPSTGHDGQSYATQHYQYPGQYYQQQAPTNAVHSANGANSQSELPSVAAHQARAPVASTKPTNGTASGIANANSSLPRKQTYQNVSVTNSGSYGRGPSHGGPSASNFGHSGVRSPGQWYEVPVYSSGHQRSTASSTSYGSNSSSAKNQSHRPTTNLMGMHTQVPSSGMGLSSHSYPASRMYPDSRLYSQYGNTLKAGLGFGSNVYNSRNNGQWGVVDTVKYKPRGRAPFGFGSENQDGFTELNRGPRSGGFRHQKPFGPTVTIAVKGQALPSAGKQENSVLPDKSQFNQENFPTTYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYQEAQEKPTECPVFLFFSVNTSGQFVGIAEMVGPVDFDKTVDYWQQDKWNGCFSIKWHIVKDIPNNILKHITLENNDNKPVTNSRDTQEVKLEQGLQMLKIFKEHVSKTSILDDFPFYENRQNLMQEKRAKQQLLQGQGGDEKEKNAVNGNPAAQNQTLSMESTAPVAGEELNASKPAAESGAVNGN >cds.KYUSt_chr3.17079 pep primary_assembly:MPB_Lper_Kyuss_1697:3:104705135:104705518:1 gene:KYUSg_chr3.17079 transcript:KYUSt_chr3.17079 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVKEPGQRNAGVVSDVEELALMEEGAHWGREDVVGGGEEADAEPQNAGGGAPGCGFGEDEVRPSTSVGASCWVTCPSASPVSWRKRKKEVAVKATIGEAGDQGSIHRRWGIPAFQELSGDGRSEM >cds.KYUSt_chr3.30791 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193260091:193261583:-1 gene:KYUSg_chr3.30791 transcript:KYUSt_chr3.30791 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGQRAQALARSAAPNSVSFPAPAVGHSAVRPDQVRSAKQPVRPPAMFIFGDGNLDVGNMKMLAHGSWERPDDETLVDWLQSRTDGDNTAQFIAKFMGFKMSPPAYLTLPNRIRVHQGFIGVNYACANAGLRDPEHDVDGWHTIPMSQQLQQFAETKAQMEAKLGAQAVRNIITKSFFLIEVGGVDLAYWTEVQDILALYGDTIRSLYNMGARRFGLINVGLIGNMPPTVDGDHGWMYQGVGGAKLDPADMNKHAAEFNDGLKPLLGGLARSLPGLRYSIADMHTFTQTVFADPSAYGFEDIHNPCIQDGSKCDNPAQRWYWDDNGITQHAANLAATAFFYGPPQFTAPVIFRSLLDVK >cds.KYUSt_chr2.51143 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319837712:319838956:1 gene:KYUSg_chr2.51143 transcript:KYUSt_chr2.51143 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHKHNEQDDELTMSTNELLQGQLELYHHCLAFVKSLALKAATDLRIPDAISRRGGAATLSELADEIKIHPTKLSNLRRLMRVLTTSGVFSLVQGKDEPCDGAAAPPEYYELTVVSRLLVEKSPHDLSPMVDTMVDPVSWNALLKMPEWFTEERPSGLSLIEVAQGCDFWDTTVGDGGKFSGGMAADSRVAMQVLLKEHGGVFKEVKSSLVDVGGSHGATATAVAKAFPHLKCTVLDLADVVALAPANDRVTFLAGDMFKYVPPADAVLLKWILHDWKHEDCVKIMRLCKEAIPERDAGGKVIIIDMVVGYPVTQQHDSKEAQAFFDVYMMGMDGIEREESEWSMIFSEAGFSDYKITPTNGIRSIIEVFP >cds.KYUSt_chr5.16572 pep primary_assembly:MPB_Lper_Kyuss_1697:5:106655395:106656171:1 gene:KYUSg_chr5.16572 transcript:KYUSt_chr5.16572 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIHECTVLLEPVEELVGSVTNIFAIKCLVIRGNFDLYMSCVTSNQHNAGHIASPLPLHPFTSAARLPIRSLPACPSEDPHTIPFAQTSAGGLLLLHAFSDHDALLPDLGPDQSLRPSPVKLQALLRPSFPRPTTTSILR >cds.KYUSt_chr3.26402 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164425868:164427777:-1 gene:KYUSg_chr3.26402 transcript:KYUSt_chr3.26402 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFDWHYRTRRRHNRSPGRLLHRCHSRSPGRLLHRRHNHIPWRLHRRHSRSSGRLHRRSPGRLLHLQHRRRARLPESFFAPASLCLGEPERLRPRPPPKALLLHCCRWPCRGGGGPGFRRRLGRACGGRDGALSPASPKRAPARWWVGTRRFRWGGGVRQARGLGGRSRWTRRDPLAGRAQAGAGAGEAGLAQAGVGAVVDRDAVVPGGAAKVGRCGAACCFAPGCAGLLGQIWAVRAASFAWWDDTLLRLLSSHGVAALSPLLAGRQTGRAGGQAAFAPVLGTWRLGQFGGCLVDGWRQVGCAHALGGQKGCRS >cds.KYUSt_chr3.36675 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230668447:230673434:1 gene:KYUSg_chr3.36675 transcript:KYUSt_chr3.36675 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHAPSRYPGAAKFKPLLPTKPPLAPASSRGNLCTAAASRRDFLLLVPSLAAASTAIQYLPLAASAADDENPAPPPPPAPAPPPAGDPEGEALSRMYDATVIGEPQAVGKDARGRVWEKLMAARVVYLGEAELVPDRDDRVLELEIVRKLAARCADAGRSLSLSLEAFPCDLQEQLGQFMDGSIDGNSLKLYTSHWAPERWQEYEPLLNYCRDNGIKLIACGTPLEVVRTVQAEGINGLSKANRKLYAPPAGSGFISGFTSISGRSLIDKTSSSNVSPFGPSSYLSAQARVVDDYTMSKIIMKEITTGDPSGMLVVVTGASHVMYGSRGIGVPARISKKMQKKKQVVILLDPERQGIRREGEIPVADLLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDIQKGIDLGVVSPEILQNFFDLEKYPVVDELIHRFQGFRERLLADPKFLNRLAIEEGISITTAVLAQYEKRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSIADNGSGESLELVRGLLGSLPDNAFQKGIVGQNWDINQRVASVLMGGLKLAGVGYVSSIGAEVASEVLYAARRVLRPSATMEAERARAPIWKSAAVYSGFLGTSANLRYQVIAGLVEHRLGEYLVSYYNLPLLANVLSFVARIINSYFGTQAMVTTCDVLHLKEGPGKQVYGSNTNHP >cds.KYUSt_contig_2887.97 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:474375:474848:1 gene:KYUSg_contig_2887.97 transcript:KYUSt_contig_2887.97 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPHELLAGILRRVVPLRHLAECRRVCGRWRATIDGRRLVLPHLLPGAPRGAFINFTADGWSDTYFFARGGGSGGVDARLADAPTTWCTAFVDHRNGLLLCEATEGARFVYNPATRRSATLPPPPSPRTGALGGLLRRVPRVRSSRLSPPRGVPPA >cds.KYUSt_chr6.14829 pep primary_assembly:MPB_Lper_Kyuss_1697:6:92859517:92860275:-1 gene:KYUSg_chr6.14829 transcript:KYUSt_chr6.14829 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLVLALLVVSSAAQQPPMQPPQTPSAPQAPNAPAAPNAPPAPGATAPPAGNPPPAPTAPPAGNPPPAPTAPQAPPMPPQAPQAPAMPPPTPQAPAMPPPTPQAPATPPPAPTSPPATPPPAPTTPPPAPPTQPPPAPTTPPPAPATPPPSPPMSPPPATPPPMATPPPAMTPTIAPTTAPATSPVSTPSLSPVSPKAPSPAGETSPTSSPTLAPAGTPVTDSSSATTVRAAGVAALVALATAGLAAFL >cds.KYUSt_chr4.5818 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34014477:34015628:1 gene:KYUSg_chr4.5818 transcript:KYUSt_chr4.5818 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVLPDELVVDILSRLPLKSVCRFKCVCKSWLAFSSHPYYRQKLPRTPAGLLYRQREPVRLPWSLGTSIHLASLPGKGSEIDTRLNFVPLRYKNSNLEDCSNGLLLCYQGGGYKREEISNAIVCNPATQEWMALPNTEPGPPICSTDLRLCFDPLWSQHFYVFKFQSIPHLTPHIGTTTEVEVFFSEDSSWSDCLWETEHAFVGDALFVNGVLYVKHLWLREILALDAPDTSAQGLNSRIVQLPGFANDMFNCCDGCLCQASSVLCYAKQEMDGCVVRIWSLEGSDKWVVKHRVRMADVFGRDNLLSIDTEGYWHFDYDILAFDMERELVILEYKKADKIISVSISTGKRSRFVKIPKKFTQLYRSLFYVPYYGEVPALVR >cds.KYUSt_chr3.10732 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63804862:63813238:1 gene:KYUSg_chr3.10732 transcript:KYUSt_chr3.10732 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEQEGDLVGGRPPHPEEWDEGRGGGAGGRVKQQEVEVEAAAEIGLLESTTAVSCGKGDSSKLSEYNVDLAITLFYSFPYNIGQISKKWKVYSTSSLCSSCEVVHIATSGSMVLHNQGLQRGCFKMSSAVGGVDDDMGSPDPDIVDSDGITTDKPSVEREAYEEVKLEKDHAAKEMKAPKAKMAALSEALKAVDIEIEELESSVKNNAQAMHHIVNAPW >cds.KYUSt_chr5.17494 pep primary_assembly:MPB_Lper_Kyuss_1697:5:112895456:112901107:-1 gene:KYUSg_chr5.17494 transcript:KYUSt_chr5.17494 gene_biotype:protein_coding transcript_biotype:protein_coding MKLREDPTSASSSVGLRLDRHPACLRRRGDGTSRGTLLPYLARIADWLGALQPYLGPIAERLGVVSIPHGSGVDALVKFPDGEALTAAAMLEAPGEVVAKSSHSTPRGDALRGSSRDAKPPPPPEDRGRISSSSSGQQIAGAAAGKGMLPTTTSKGRGAARSAPPLFGPYLRRIVKVGAGLPFALLPFGIRIIWPDLFAVAVLLLWRAS >cds.KYUSt_chr7.10472 pep primary_assembly:MPB_Lper_Kyuss_1697:7:64263802:64266635:1 gene:KYUSg_chr7.10472 transcript:KYUSt_chr7.10472 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRKRAVPEGTNGGAATKRAKAESETGVGSKSKPCTKFFSTAGCPFGSNCHFLHNFPGGHQAVSKMTNLGGPAVAAPAGRMPMGPGGPDGPPTSGVKTRICNKFNTAEGCKWGNKCHFAHGERELGKPMILNNSMAPPMGPRPNGHFQPPPMPAPDMVPLSTFGASATTKISVDASLAGAIIGKGGVNTKHISRMTGAKLAIRDNEADPNLKNIELEGTFDQIKHASGMVTELIVRIGGNAPPPAKNPVRGSHAGGGGGNNFKTKLCDNFNKGSCTFGDRCHFAHGESELRKSAAA >cds.KYUSt_chr5.2781 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18363443:18369621:-1 gene:KYUSg_chr5.2781 transcript:KYUSt_chr5.2781 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGQSYVSAPPAFSADGRLLLVCSGRSVSVFSTSTGMLVSELEGHEADVTAVVVVPPPASVAKLATYCWTAGLDGDLIYWDFAAAELVRKVHVGLPVHSMVIPNITRTVKSTEVHTPLAFVSVEDTSKASDNKKALRGQVRIYDLTKGRQVGCLLAETRKPEKIVASVSGEFLGIANKRQLYIWSVPTEGFKPEKIRKIKLSHTKNLTTLAFHPSERIVAGGDSTGRILIWRAFGKAKFSGTAGAADEDRDGVRGQDDADTCTTLHWHSSEVKFLKFASDGAYLFSGGMEGVIVVWHLDSGKRRYKPRLGSPLMFFVDSPDSSISCVSCTSNQVHLLKMPNMEVLKTISGIKLPIASPDLRRRDVCEFDCTNGLVAIPTEDYCIQFYSLFENTEVSEVQVCERNFQPVDDITMSISLVSLSIGGEFMCTVDVKLPEEELGGLITLKFWNHGSRAGQFHLSTVIYEPHSDAGISAIAFRPGKNMAVSSSFGGNFKVWVQSSSLQSREGKSQSGWRCQSVGSYKKKPMTAAAFSGDGSVLAVAAESVITLWDPDNNALVGVIAEALSPITKLSFIGTSTYLMSLSQSSIPQVAVWNASNLSMQWSYTLSAEAACCSSSKSEFAVLSLLSCHEGGTPAEQDGVILLFDAENSSPVSSFSVKKAKGGSIAFVKDDSSMDADTNATRGEEASLLVYVNGSHEYVIFDPRNNEVSQISKHTQKNIQADEPARIGYASIYGELPKLELKKEVSDIPFIPSERPWETIFTGSSHVLPPLTKLCSAFLASLLEKRPVSDE >cds.KYUSt_chr2.46748 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292239203:292243416:1 gene:KYUSg_chr2.46748 transcript:KYUSt_chr2.46748 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWRRLTNKGSSVPRQADTSSRCVTESVSGTHDFVVANYSRIMDLQEGDSVMSGTFTVSGCDWFLCFYPRGAFWSTAFQREEYGGYVAASVHLRKTETPHAVMVRARVTIGLVDRHGAMKNMTTSDAYNFTPGVSQKFHDFTHKSKLTSRRYLKDDCVTIRCIITVLTPRTEATRAVPATAAPLPELNGHLERMLRDGKGTDVMIEVGGQAFRAHRCVLAARSPVFDAELFGPMKRKDTDERIRIEDMEPTVFESLLHFIYTDSRNGEGCSTAVTQHLLVAADRVGGYDWKIEFYPDGLALANGKSRYAGCFLNCVSPANDMRARFSLNMLKKLDGEEQVTHYDGIEHTFPPGGTARGYRKFVPKWKLIFLLDVNNGFFTIRCVVTVIHQPRTVRRRKLILATPHGRGKNHAWRLPGPTLYAGKAPLAEDAVLDQVLAAPAIPDNGHARGIARLASLRCAGSGGLPARRALPGNRPGGG >cds.KYUSt_chr7.35508 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221884656:221885057:-1 gene:KYUSg_chr7.35508 transcript:KYUSt_chr7.35508 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSMDCWDSESIMRGRRWREARGTAPPTAPATGSALFATCNTSLYVADPTVSFLIATTAAGVSHGTSSIQSEAANFPEVSRGDERGWVRSRCQVAGEESLWRRIRRWRKVPPALAEFVHRLIFLGILEWEP >cds.KYUSt_chr4.45160 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279680083:279684102:-1 gene:KYUSg_chr4.45160 transcript:KYUSt_chr4.45160 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMDLVSPSEHLCYVRCTYCNTVLALQVGVPCKRLMDTVTVKCGHCNNLSFLSPRPPPMVQPLSPTDHHHPLGPFQGCTDCRRNQPLPPLVSPTSSDASPRAPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIQHREAFSMAAKNWAKCDPRCSSAVSASNSTPEPRVVLPGPQLQERVTEQVVESFDIFKQMERSV >cds.KYUSt_chr2.33721 pep primary_assembly:MPB_Lper_Kyuss_1697:2:208394102:208394786:-1 gene:KYUSg_chr2.33721 transcript:KYUSt_chr2.33721 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVHGGKAPRQQLRTMAGKKTVARKGPCQQLRSRAGRKTVARKAPPEQLVTGGARKPYRYLPGTIALREIREYQKGADLLIKKLPFQRLVREVGQNLKADLRFQSHALVALQEAAEAYLVGLFEDTNLCATHAQRITILPKDIQLARRIRRERE >cds.KYUSt_chr2.52084 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325025500:325026356:1 gene:KYUSg_chr2.52084 transcript:KYUSt_chr2.52084 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPQELNSPRSGAAKPKNPDQILRDFHAAHPEDAFSTSFGGGAALACVAAQPRSLSGYQRMFCGLDDIYCVFMGTLNNLSSLMRQYGLTGRSTNEALLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDSRRSGAVFAARSTDGGVPLHWGVAADGSVVICDDRAAVKAGCGKSHAPFPAGCMFHSEGGLKSFEHPMNRIKAMPRVDSEGVMCGANFRVDAFTKINSMPRVGSATNWAATWDDAAI >cds.KYUSt_chr1.9639 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58812685:58813047:-1 gene:KYUSg_chr1.9639 transcript:KYUSt_chr1.9639 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPSASAVSFSARPSVRTTTFRPRASASTSADTKRVRAGAPDGGKWWAPLVGWSGRADYLEAATPVVAEEEEDKAGRSFVGRLTEEKARQLRARMAEMESFHDAMYHSAIASRLARTA >cds.KYUSt_chr6.10121 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62318155:62318706:1 gene:KYUSg_chr6.10121 transcript:KYUSt_chr6.10121 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAELASFPYLSSATSATNSFKPHYQVAPDDFLFQYNDINNLLVPQATSSYYQQQVAHLVHEAGLLPFGNKSNSDDESEDYQQHSLAEERRKRRMISNRESARRSRMRKQKQLSELWAQVVHLRSSNRQLLDQLNHVIRDCDRVLRDNSDLRDEQARLQKQLEELPVAVENTGEGNVMGPST >cds.KYUSt_chr5.5442 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34018080:34019572:1 gene:KYUSg_chr5.5442 transcript:KYUSt_chr5.5442 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAYEVRRRDPELVGPASETPRETKSMSDLDSMDVMRSQASTALFYRGGEGEDGADPAGVIRRALGEALVHYYPLAGRLREIEGRKLVVDCTGEGVLFVEADADVKLADLEAAGLRPPFPCMDQLLFDVEGTSGILNCPLLLVQVTRLLCGGFVLALRCNHAMCDAIGIAQFINAVSELARGLPTITVKPVWCRELILTRDPVVPRPSVPHTKPDVLPPPMVERSFTFRASDVVTMKKSLPLPLCDTATSFEILAAFLWRARTTALDVPPGDIAPLVIGVNFRGDARLSLPIGYYGNAVTTSTVLADAAVLRSGSLGEVVALVRQGKAAGATEYFRSVANGTEVRGSRTFNPANLFAVSDTRNIGFHRMDFGWGEPVFAGPITTFFPMCYFIRVKDHDGEDTFVMPLMLPQLAMDRFAAEVKRSLLEHAKHM >cds.KYUSt_chr4.50752 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314582722:314593127:1 gene:KYUSg_chr4.50752 transcript:KYUSt_chr4.50752 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSVRCEANYVPLTPLSFLERAALVYGARTAVVYGGREYSWRDTRERCLAGASALARLGVGRRDVVAVLAANTPAMYELHFSVPMTGGVLCTLNTRLDAAMVSVLLSHSEAKVFLVESQFLAVARDALALLADDKASMPLLITIDTDGDNSSEGAPEYEALLRSAPRGFEIRWPADECDPISLNYTSGTTSRPKGVIDRRGNEMKSQNLEEAVEHLTMEIMLNLSSAIVCSCCYLGSVDNGVAGQMDCNPGTSSCRFPQLTELELLVIPAANVDLLAAAIGAHGTTLVFRPAAMRSLQYPPTSAVAATYSARRVVQSTRGTCCHGRTPAAHKLTEACMHGAGELRAYDDDAIWPERVYDDNTVSKESCSPRDNDGHDGLAGGGGVCRDRMQGVLAEELRLRVDRTASALGADARGWNLKEALAAS >cds.KYUSt_chr4.16277 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101019040:101020758:-1 gene:KYUSg_chr4.16277 transcript:KYUSt_chr4.16277 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTRRRRVYNAAVPSACFLLFLLLLLLAASLLPSSSPATNHVLKLTSSAPPSTSPSSGKNEPGNCEDLEALDGAEAKCSYILSHTPCAPAGYVDYLRLFYCGFGAAPAAVGYAAFLLWLLVLFYLLGDTASEYFCASLEGLSAALRLPPAVAGVTLLSLGNGAPDVFASVVSFAAGDGGDGGGVGLNSALGGALFVSTVVAGVVALASAAGSRGGAVVELSGFVRDLCFLFFALCFLVAVLATGTVTVWVAAAFVSLYAAYVLLVWTSQCCARADAGKPDLADPLLLDDSDAPTLPSHSAKPTDPEKKSRLQRVLHALTMPLYLPRRLTIPDIAGHRWHKPYAVASAALAPVLVATTFTSRSPAAASLDHGHGVGILLASAFLGLLLVALAASTTDRDSAPRSRRHRVPWLAAGFLMSVLWAYTLARELVALLVAIGYMVGVDSGVLGVTVLAWGDSLGDLVSNVAMATHGGPGGAQTAVSACYAGPLFNTVVGLGLSLTLAAGAQYPAPFTIPADGAVYETVAFLFAGLAWALLVVPARGMRLGRVYGVGLIVIYLCFFGTRVLNSLGLW >cds.KYUSt_chr5.14529 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94216078:94218492:-1 gene:KYUSg_chr5.14529 transcript:KYUSt_chr5.14529 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFHDGHHVWLRSRALGTYLHADDDGQGVSLRESRASLNAAWAVQQLHHRYDLYLLLRSAAYGRYLAATNTRPPLGHPGFRVAQRSYAFLEVGPIMWQAFGAGPGNDGVLLRDVDGRYLRANGKHIPWNHGASVDEFDHVSNMRHWIVEPIPARQSMPVLPATIRASFLENLSMVMFGRVVAAADRRRRRLVRFVRAANDGAYTEEGWSAFQFTGRSVQHLRYQLANHVQSYNFIMCVRAGLYGTLTPLVVDLPSGRNGDIIEIVLFRNGTPGESLSLCANALRHPDVDAE >cds.KYUSt_chr7.32484 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202335083:202343020:-1 gene:KYUSg_chr7.32484 transcript:KYUSt_chr7.32484 gene_biotype:protein_coding transcript_biotype:protein_coding MARDADAPVQRLARRGRQKVAGDCIRTRSGRVLPWHLLCPLRLYRLTSRHHQSPCPIVFYPVLSITERPATYSETQPLISSPLLSAPFKNKHVGRSDQGHPHVGTLTLVGAAATAVLHRNRIRYSYTTGVDVTMLHADEDERPRRAFLQAAMDGDLDTLARMAAELKSGTGPGAAGVWASCGQTALHLAAANGRLRVCRYLVQDLGFPVDAPDSHCDTPLVLAATHGHTKTAAYLLECGADPHAKDSHGETVLHWAASNGDLELAKLLLEKGAGPGATNARGTPLHNAAARAHPEVVALLLSHGADPNNVVNCVFTPLITSIVSGSLECMKLLIEARANVNTGGFSGTTPLFIACNLSDAVPFVKCLLDAGANANATDELGRLPIEVAAADAEVELIEVLFPVTRRPPTMLDWSVAGIVRHVNSAAYKEWALKFDCTNTDATLYSNRSICWQRLGVGEEALSDAQECTRMRPDWAKGYYRQGMAFRLLQDRASAYDAFLKASKLDPGNADIRNAIRLILYDVEFRPVKAKAASLPPGVTAERCWCGRVAKVKQVEDFSDQFGMKFFMCASYEHDPPRSSASSSTRPPSPPPLCKWFHWIDTEQPDWAREEVEEKQRRAWATFFEEERWEKVRANEKAERERQIQKLRAEQARNREVNQKRMDDEAARRFADEEVRREAREAERKRLRERAAEAQAAEERGDKSGKWPRWTQGK >cds.KYUSt_chr1.31119 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188683922:188689404:-1 gene:KYUSg_chr1.31119 transcript:KYUSt_chr1.31119 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTVDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTRGGYIIQEPSLLQLALKYREKKMVCRKCYARLPARATNCRKKKCGAPHQPAQAQEEVRLLDDFLVLINNVGLTTYMEDERDQYYMLTKIFVESFQFNNKHYQPSVTFRIYDNPITMKLKDFCTSLDIAPIGTTKKIENNLKALLELYREVTNDDSRTIQRGKIRNIQLPAIRYFAYYLATSILGRENTSNISSYHLVFLVAALTGKTPYHLGALVARRLSTKGPIFGEIIAFMGAALESLVDEVVRVPTTIR >cds.KYUSt_chr3.4908 pep primary_assembly:MPB_Lper_Kyuss_1697:3:27894509:27895201:1 gene:KYUSg_chr3.4908 transcript:KYUSt_chr3.4908 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGRVEGAKNGRTKQEQSPAAGRRRGEDIPPLPSPTFPASQVRSTHSLRTFYFVEGNTDCLFHLQRTKEQRRKKREQQEAAASLPEGPLMEILSRVPYRSLCRFKCVSRSWLALCSNPDIRRRSPQTLSGFFHNHRYGRDLRFRNLSGRGAPMVDPALPFLRGYAGFKVEQCCGGLLLCKCWKSHAQEKDLVVCNPATEKWTVVPPIVFLDDATGAIPAEVQCRNSSP >cds.KYUSt_chr3.30355 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190200047:190204392:-1 gene:KYUSg_chr3.30355 transcript:KYUSt_chr3.30355 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLPRPGIDVSTHCRKRVVSGVQPTGMVHLGNYLGAIKNWVALQDLYETFFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKASIFVQSHVRAHIELMWLLSSSTPIGWLNKMIQFKEKSRKAGNENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREISERVNNLYGGRKWKKLGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDLSRINLLDPKDVIVNKIKRCKTDSLPGLEFDNPERPECKNLLSVYQIITGKTKEEVVSECQDMNWGTFKTTLTDALVDHLQPIQVRYEEIMADPGYLDSVLIDGAGKASEIADATLSNVYQAMGFLRR >cds.KYUSt_chr6.16350 pep primary_assembly:MPB_Lper_Kyuss_1697:6:102864532:102865740:1 gene:KYUSg_chr6.16350 transcript:KYUSt_chr6.16350 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPSPSAAAAEAADNDSFVASAKPAELLEAAANCDGVQGHALFFDALVQLIPPRFYLSVDDEDRPYYQGLSKSAKAAMKAQSRANIKAARRARLDPAGPPSSTLDLLKKSVADQEAEDQEEGEEQDKSQDEIQETGDEATTEGDDDGNDDDEEEEEDDGEKEEMLMAPAAVVSEDRSVTYEELRERLHRRITELRGNRCTRPEFLNKPQKEKSKKVKGSKVKTGKDEGTKRKREDADDAAEGKDGKKHKKEADGKAPDIVYGNVLVDPKDARRRKKRKIKNKKKELEQAKRMQRAKEDPKKATKMAWDLATRRAAGEKVHDNPTLIRQSMKKDKKRQEKAAEQWKDRQKTVDSKRKEKQNARTENIRERAQEKKARKIEKREKKLMRPGFEGRKEGYVNK >cds.KYUSt_chr4.36920 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227034629:227041370:1 gene:KYUSg_chr4.36920 transcript:KYUSt_chr4.36920 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRAKNEDDKALVLCQERKRYVREALDGRCALAAAHFAYIQSLRHTGFALRKFVEPDVPTDSSLYTSTSATPEPPAARHRSVNLSPSVSHQASDSFSPAPSPLSSGRFHINRMKAGRNQVRTVKEKVPVPVTATLQTSSSIPIQSVQDLDDSSTFEAPPGTPPWDYFGLFQPVDSQLSFHDEKESGHDFENADDIRLLREKEGIPELEEELEKFHAHDADIKRSQQEKTPDVKDGDKSTTSGREDDFEESEDDFENPSSEPLVRMFANRNDTPVENTVTNQSPAHRASEKVALHTPVENTVTNQSPAHRASEKVLLHTPVENTVTNQSPAHLSSEKVGLHTPVENTVTHQSPAHLASEKVALENIDSKTEEPKIDNRVLDISMYETDESPVTSPVKEASSSVAAFPMNGKTKEPFRDVRNGVKDLYTSMKEVEILFIKASDCGKEVPRMLEADKVNFRPLLPEEKAYGLKASGFFATLFACCREEVPVPQPPPEAEVKYLTWHRSVSSHSSSSRNPLGPASKVDVDGLTGNIFSGVYMNSGSHASTLDRLYAWERKLYDEVKASGTICRQYDEKCRHLRHQESRGENQLSIDKTRAAVKDLYSRILVAIQRIDMVSKNIEDLRDKELQPQLEELIGSLTRMWATMLECHRHQHDIIKLVSNSGNMKILVRTESQFQATLLLQVELNTLCSNFQRWIGSHRSYLNSLHSWLLKCVKSLRKKKKSRKKKDTDFQITDYAVAPIFTICDQWIKLLENLPTNDLEKAIKGLVADINHCVPRQEKRRGGSRSTFSVPHNGGWNDEMGANHRNVPPTDLQSSLETFLGTLADFSDASLQKYMELKVNVDKAKEDYEKYN >cds.KYUSt_chr3.17265 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105719654:105722289:1 gene:KYUSg_chr3.17265 transcript:KYUSt_chr3.17265 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVAPSGNKSSSGTSMGADKLPDQMNELKIRDDKEVEATIINGKGTETGHIIVTTTGGKNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYTYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDANTRLPNGRFLPPLFNFKPNELKGIPVDIVAKLIPEHARKQCSHAGL >cds.KYUSt_chr6.11133 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69036261:69038836:-1 gene:KYUSg_chr6.11133 transcript:KYUSt_chr6.11133 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLVSASQTLAVAGRGGRRRRLVIANSGGAGAPPKLVTFLGKGGSGKTTAAAVAAQYYASEGFKTCLVIQSQDPTAEQLMGCKIGNSLTECAANLSTIKLETSKMLLEPLDRLKKADAQSNLTQGVLEGIVGEELGVLPGMDSISTVLSLQKLLNFLSAGTSSPQGEFDVVVYDCNNTEEFLRLTGATERARSYLKYVRELAEKTDVGRLASPSLLKLIYDSARPNGKTSEGRMSTEIWNEIEQLLEKISLWFTDPSKLACFLVMDPRGSISVSSALRYWGCTIQAGAQICGAFGYAEDPCEAHQEVGEKFLPLSFSSLPFVSADSSPDWGSALSSLNQNTKELLRNTSKVYPSVSFDSAQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRIIKLPRSMQGKVGGAKFIDRNLVVSIR >cds.KYUSt_chr2.8255 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51914440:51916985:1 gene:KYUSg_chr2.8255 transcript:KYUSt_chr2.8255 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALLRALRRPPSVAALRLATNGNAQALSGYRHLNNRNLSVFNEFSKQLKGEANSNPEFQKSMKEFSEKLGVVKEDLKVRTKKTAETVSKSVDDVLAEAEATSKKVTANVKEKLSAATEEVKESFGLGKEETSSFTEEKSTRTEIVFVPTKKSVLGEKWEALKNKMQGHPVYKRVNKYTKPVVTKGQEVAEDVRERWETSDHPVVQKIQEYDCTSSMEGAQLLFNGSTFGLVAKGLGVSSSK >cds.KYUSt_chr7.41141 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254902196:254903322:-1 gene:KYUSg_chr7.41141 transcript:KYUSt_chr7.41141 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPVLLLPRWLLVVGGVTSAAKPRSFSNYSLPGIGLGSSNSSSTRSRSSRRFSSNAEQSTGSRRRWWSDEAQFDDNDEEEFEENEEEGFSFGGGSASWSQAFDEPWFTKASRAYGYVLPVVLVSMLAASGPQAFLMAMAIPLAQSAVSLAIRAFSNTSSWGRRNQEEYDDDYYSDYRSSGWEEPEQEEYSNTSSAKYKDSSRSRSQQQQPWAKGGKSEDAEPTVGSDNTDSASSSNNTDKSGSSAGFGGWDELDAGNDVQYRSSGSSRRSAGASPAADKASAAATGGGGGAARSMRRRRPQPERRRPRSRAAAAARYRQSPLFMRLLVALFPFLGSWFRIML >cds.KYUSt_chr4.52447 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325322561:325325266:-1 gene:KYUSg_chr4.52447 transcript:KYUSt_chr4.52447 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGEEQGRRLFGVSLTDRPVWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYLGLIRLQGFTMKQMVNPWRTYVRLSAVLMGSNGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYVSAVMLVIGLILFTLADAQTSPNFSMIGVTMVSAALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLAVPMVLTGELTTAWSACSQHLYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPMTEQHVSGLLLITMGIVLRLLPEDKEKKNLAERKQAKMVAQLGEDKRRGGGVEEEKSPLV >cds.KYUSt_chr5.43216 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272494514:272495047:1 gene:KYUSg_chr5.43216 transcript:KYUSt_chr5.43216 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSLLEGIINNVAWNIRNYRYVGDVSLLGTSVGGKGGEATARSPPAWLRRAIDTEEAWAQLQFVVPMVVTNMFYYAVPLVSVMFSGHLGVIHLAGATLGNSWATVIISYSYCCCVEA >cds.KYUSt_chr4.1514 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7988279:7988689:-1 gene:KYUSg_chr4.1514 transcript:KYUSt_chr4.1514 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDQIPVEEQWEHVRWAVERYPCAAALDEQQLEAAIDRILVQSHPDNLGRRDGRKPRYELPTALIIAFDLFTEDPDARLKLARDQADLLTKGYLEVADDYWANLADGHAVGMEEWKKTRLRATDFGMDDSYHDN >cds.KYUSt_chr4.7777 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46355055:46359719:1 gene:KYUSg_chr4.7777 transcript:KYUSt_chr4.7777 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLSVGPSFGAPSPPPPPPSSSSAAANAAATAAAGGRDRKMVSAEQLVLDLCDPELRENALLDLSKKREIFQDLAPLLWHSFGTVAALLQEIVSIYPSLSPPTLSPVASNRVCNALALLQCVASHPDTRIPFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDSEVIGFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDIGLRYICATAERFFAVATVLAQMVQALAEQPSARLLKHIIRCYLRLTENARACAALNSCLPTVLKDGTFNSFLQDDHVTRRWLQQLLHNMTVAGMAGGSSHAGGMGVGPAGMGGGSSHGGMGGGGPHAGLDHLMGL >cds.KYUSt_chr7.31349 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195240560:195242023:1 gene:KYUSg_chr7.31349 transcript:KYUSt_chr7.31349 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLFSLVAPAYRFVASRRITLAAFSFSATAALRFTAWLSSFTVTTTHHQTLLYAGRLTLLAAIVALLLYITSSRLRQRPVYLVDYACFRPPASLRVPLAKYVEHAELAPCFDAKSVGFQSRLIERSGFGEETCLPPALHLIPPEKTLNAARAEAERGIFSAVDAVLAKTGVRAGDIGVVVVNCTLFSTTPCMADMVVHRYGLRSDVRCFNLSGMGCSAGITGVGLAQNILQRGGDDAAYALVVSTEILTSDYYSGDERAMLLQNCLFRMGASAALLSTSRSHARYRLARVVRTHAGRDGRAYGCVQQEDDAAGERGIALSKEVMSVAGDTLTAHMATLGPLVLPASEILTYALSFVARRVFNSQGVKPYIPDFRTAFEHFCIHAGGRAVIDELQRGLGLSDELVEPSRMALHRFGNTSSSSVWYELAYLEAKYRVRKGDRVWMIGFGSGFKCNSAVWVCLRPPAALVSGPWDGCMHRYPVATK >cds.KYUSt_chr2.43840 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272901017:272901661:-1 gene:KYUSg_chr2.43840 transcript:KYUSt_chr2.43840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Alpha-amylase/subtilisin inhibitor (RASI) [Source: Projected from Oryza sativa (Os04g0526600)] MGSHRVHPLLFLILSILATSTLSCISADSSPSPVYDTDGHELRADANYYVLPANRAHGGGLTMAPGHGRRCPLFVAQEPSGHGDGFPVRITPHGGAPSDQIIRLSTDVRIHFRAYTTCVQSTEWHIDSELLFGRRHVITGPVTEPSPTGRENAFRIKKYIGSSEAREYKLMSCGGDGNSCQDLGVFRDGKGGAWFLGATEPYHVVVFKKAPSTV >cds.KYUSt_chr3.8120 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46843375:46844592:-1 gene:KYUSg_chr3.8120 transcript:KYUSt_chr3.8120 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSKGSKLVKEGGDGRQEGEGIVVKKQNVAMGMDVLDCPGCSTPLRPPIFQCSMGHFVCSSCRDKLPKKACSVCSWAILNRCHGMERVVDSIVVPCTYADHGCAEMISYHQKREHEEACPRAPCFCPEKGCGFAGTTEALLDHFVGTHDWPMTRFQYYMPFDIQVKAGVHVLRGSKDGHLFLLRMVWLDSPLHGVSLVRVEPHACESKSWCSVGFSWFKGHYQISMLDEIRSTSLSDGLPTDYFLTVPEACRGGGARVVMRVTIDTKGVYGDGDEPEEDNEDESYSEEEDDDNDSDDDEEDGDDDHDEEEGEEEGDNDNEDEDGEEEEGDEDEEEEEEEEEEGDDDDDDKDSWEKVSNDNDD >cds.KYUSt_chr6.4782 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28120918:28135323:1 gene:KYUSg_chr6.4782 transcript:KYUSt_chr6.4782 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDHVPDNENEVSYDDDNDDTTMRTRRWRTVLLCLILEAVEATRFTGNVDFSMADIKVNEIPLIQKIQDQGTEPECIAYAIAHLIEIIHRLECILKKEYLSISDSTVDAMDLAQIYKDECPKMCRKTGKQYRIEKMSTIDTEDYEWMCEEIADGNPLLVNFIPGQQFGGLEYCRMYKSIGPATLAVDTTNRVSGHMAVLVGGISIAPARRVRVAGGGELVSEAIAYNHKFIVRGHVLSADFRILELRGSDAILGVNWFKLHNPVTFDFIERTLTIGHEGYYRRFIKGYATVCQPLYLALKKDNFQRGPDQEAAFATLKQVMSNPPLLRLPNFAIPFTLETDACSSGIGAVLMQEGRPIAFYSQCLGPKTNAQSIYEKEALAILHALKKWRHYFLGNKVVIKTDQQALKYLGSQRLLEGIQHKLMLKLLEFDYKIEYKKGKENAVADALSRQFQVDENEAKYVRNTTARAFSVVASGLGIPALLPFLMAVCQSKKSCVLKPLWKVIRSHCGKVLAAFFKAIGFIIPPMDALYSSYDTKKTTDYANVMPNGFGDFANALGQRVKPYLPHICGIIKWRLNDKSAKVRQQAADLISRISIVMKQVRRSSLLVTLVLCFLPALMNEYRVLELNVQNGVLKSLSFLFEYIGSCQSPEPALSLTEDIQLLSTTLFIFRGWTVRISGYPFASIGQLRSSLVLGVITASYEALPPLTAYSLSYSSFTSGFRTRRAPPARHCCCRAGSLMVMLLPCLRIAGGITYSPSPRASAHCTACRGARKASTAPAPRRRVRGDGSGERGISNLGTLLWMPSMTFERVRPGTPQKQRRPSLFHVFLRAYKTVSAGHVGVVLRLKEVCPPVIIAGGGPCGIDALLTAEARTLGSDCVPPTIGSRRQRWDTDPVDDQPPAVAACQAHVWWNRVPRVVQRPRLRHREATAPEAVRGEDILAG >cds.KYUSt_chr2.51254 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320429219:320430700:1 gene:KYUSg_chr2.51254 transcript:KYUSt_chr2.51254 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQPPRSPYRDLIRMVAVSGEQIRSTIFSNFQSSHNGSSYSSDSGSSNSYPSNNSGGSSGSASAALPDPDLGFHELTIIALQMVNDGYTERMVQAYNAASPPTAAFEYGGGQDRAALDNWFSELDVDWVLQLREGYGLQHVVQFPDWLLQDLVEKWIRALTVIVVSIMKLSFVGHGTPEVARFGKASIAEMLVFTDAIVPALKAGNLQDVLDMYICVSNASSYMSLPFEIGSLFMPSEIGSPLARAGTRLNNAISSTMEKVRRLIEDDDLWAIEIPRGGGAVHRNTRFMVDCIVSIRKTRASTENSAPSDHTRNLYGLMSVAIKYQMDLLVIKSMLCSDPSLRYLFLLNNFNFIANVLEQWRSDHDPWLERLRLKRECEKHMDRYIDVSWGHVISCIPKSVFSLPLIHRWSNTSLLADFESAFHKTYQAQMFWKVPEPRLRNLLRESIAKRVISVYRDCLEENPELQKHVNAGTSSSPYVLEEMLRELFEG >cds.KYUSt_chr1.33604 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204139912:204142261:1 gene:KYUSg_chr1.33604 transcript:KYUSt_chr1.33604 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVHLPPLCSTLLIPMPITLSRSSPSPRQSLPLSKSPSTREFPLSSNIMAETIDLSGDGGVLKTVVRRAKDDAISPSDSLPLVDVHYEGTLENGEVFDTTHEDNSIFSFEVGQGAVIKAWDLALRTMKVGEVAKLTCKPEYAYGSAGSPPEIPANSTLIFEVELLACKPRKGSSLGSVSDEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >cds.KYUSt_chr4.15372 pep primary_assembly:MPB_Lper_Kyuss_1697:4:94959237:94960550:1 gene:KYUSg_chr4.15372 transcript:KYUSt_chr4.15372 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNSSSSTNGTAGSSHDALPSLLAAARPFLRGDLAAVDPELPSLVSVLVSAGAGECYHKHGTFLDHLVDVYRILHLWGAPDSVSRCGLYHSSYSNSYVNLAIFEPDVSRARVRAIIGDAAERLVHLFCVVPRHALMHDDLHLRYTDAELRDHLAKAEASLVKAANGEYARSEAWRVKLRSVVPEEGVVAPHIRTGEPVALSRRVLAVFVLMTVADFSDQYTDYQDKLFGNEDGRLEFTGDNWAALWPGTGKPGLWMCVMSRLAAIYRLIATDERIRRMENDAIDHNVDEDAGLELSIPPVFDRCRKVLDPDEQIAARDLYWEAICSDVKGVDGDWTKIESLLRQSIEKNPFVGEPWLVLAQVLLNGGGRWEEAVAAAEEGLRLVLEWGSSWDKRMSWEGWVSWGRVMRDKAMDKEWPRSAWGIINLGLVKQLQQK >cds.KYUSt_chr2.50311 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314585927:314586304:-1 gene:KYUSg_chr2.50311 transcript:KYUSt_chr2.50311 gene_biotype:protein_coding transcript_biotype:protein_coding MATWVRGPCKSRKDRNSSGARYCPSRTTSRRLLPTSQRPSLSLLRIPHPTRSLPSPHDVTLPALLAHCSHTHSMAACSFFFDAEPISEPAMPAQDACALCTKQLARDSDALLIERREIKERTCLA >cds.KYUSt_contig_817-2.210 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000077.1:1485568:1489794:1 gene:KYUSg_contig_817-2.210 transcript:KYUSt_contig_817-2.210 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAEGAGKEEDKPTTTTTTTTAKKGGGVLGRMWRGLFGGREDYEKRLQYLSKEEASVHARMRRRTHFSRRTVRNIIVLSVLAEVVAVAYAIMMTRNEDLTWQTRAIRVLPMFLLPAVSSVLYLAVVNFTRMLEQKDEKTLEKLRAERKSKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGADSGLKIHLGEEPNLDAAVVMSKNAEILPSDGLRNRKQPNVRGSRTGTTTSQGAESSANLGAGLENAQPPRVVEHYQGSGASDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHITYYCPHCNALNASKHSAGQYSGSSSGHSTPVAPADGISATSSVVESELIKMAAAQESPKEEHAEKQEAEAT >cds.KYUSt_chr2.2942 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17336631:17338285:1 gene:KYUSg_chr2.2942 transcript:KYUSt_chr2.2942 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQFDSTIVLSLAFLVSCLVVARSFWSGRKDGLPPSPWTLPIIGNLHQLGGGHHHRTLQALARRHGPLFRLRLGSVPAIVVSSASVAEAVLKTQDHVFCSRPPHYTALGTLYGCRDIAFSPYGEPWRQSRRIAVVHLLSVKRVGSFRALRLKEVAGFVQQIRAACSAGEGGGVVNVSELTVSLTNTVISKAAFGNKLGGVEPAMVRDMMKELTDVLVTFAVTDLFPRLRWLDWATGLDARVKKTAAKLDGLLEGSLAEHERSRVDGDGEVRDLMDDLLSILKDGDRGFKLDRIDIKALILDMFIAGVHTTYKTIEWTMAQLLKNPREMVKVQSEVRQVAGGTDGGVLEEELEKMSFLHAAIREALRLTPTLIERETIQDTRLHGYDIPAKTRVLINGWAIARDAESWENAEEFRPERFLGRAIDYSGKDTRFIPFGAGRRGCPGTAFAMRLVELTLANMMYHFDWELPNGQDPGSFEVIETTGLSLGLESALILGVRTCKKAGTVLE >cds.KYUSt_chr3.37926 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238630384:238631280:-1 gene:KYUSg_chr3.37926 transcript:KYUSt_chr3.37926 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQRAISVPNTAIKPATAMVHYATPQSTPPMSPLHASATPRTPGAGAYSELPPPSPHTPRPPITLTAPPSKKKQRRTAARSLRAIRAVRALFRSLPILAPACRFHGVLPRHGGPSRMHDGHVSGASRTTGTLFGYRKARVTLAVQETSGSVPILLLELAMQTGRFMQEMGAEHLRVALECEKKPPGTGAGIGRTRLLDEPLWTAYVNGRKIGYAMRREPTEDDLTVMQLLRSVSVGAGVLPNDVMGRDASEGQEAGDLAYMRARFDRVVGSRDSESLYMLNPDGNNGPELSIFFIRI >cds.KYUSt_chr6.31792 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200871803:200876302:1 gene:KYUSg_chr6.31792 transcript:KYUSt_chr6.31792 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAFLLDYSQNLTKIIQKINLEATLLYLQWDEEGDNTPTHIIQKLNAFPNRPIAGEKHIQSSRLSAYANAHATKVAPAVFLDSVLKRDHRINLARPAVDATMTPDGSTILHVYIISHLSSIPCSTMPLRLSANNVVDEHHFTENRQHPAAAPKTMPQEPLISSELACGVGFLAMEKLLSSAASWHETVPERFHLPPELRPPALAAAPVSLPVIDLSRSRDEVRSAVLRAGKEFGFFQVINHGVPEGTMRELELACVDFFRLPAADKAAFYSEDTERTNRLFSSTMYESGAGESYWRDCLRLACHPVERTKPGWPEKPAGLRPALEGFIVPARSVGMELLRLLCEGIGLRPDYFDGDLSGGEVILNANHYPPCPDPGLTLGLPPHCDRNLITVLLQPGYVCGLQVSYGGGWIDVEPVPGALVINFGHQLEIATNGQLRSVEHRAVANAAVARTSVAAFIMPTMDCVVEPAKELVGEGSPARYRSIMFRDFMRVYKTVGARRESIEKAFRI >cds.KYUSt_chr5.27363 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173233908:173237718:1 gene:KYUSg_chr5.27363 transcript:KYUSt_chr5.27363 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRGGMGMDAIEECSSDGGRHQGSRILVGVPNNSRGCSELLSWAIRVIAKPNDSIVAVHVLGGRGRKNRLQKANAFVIYMLGEFVETCEAKQVNLEAKVICSSSIPRALTREAEFTDAKFLIVGRSKSTYHRNHFEVANYCFMHAPNNCSVIAAGREGLAKSSTRLKSRSFDDSSMSSSSTWSRRFPPLQKLLRSSSTHKQVPQSTGDGNDEDKSSPRAVLDGPEEVEHLQVTEECYSTCSNEVSRRSQNGLWRRLSDMKLWLPFLRSVDDESVKGSDVCSTFTEDQKPVWKCYSYQEISVATDDFHPDSICGRGGYAEVYKGILSDGQCIAVKRLAKGKPSEQKEKEFLSELGIQGHVCHPNTADLLGCCVENGLYLIFEFCTNGTLASALHGKSGKVLEWSLRQKIAVGVARGLQYLHMFCRHRIIHRDIKAANILLGDDFEPQISDFGLAKWLPKQWTHHSVVPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKLSLLQWAKPLLEAGQATELADPDLGDDYDKDQLKRMVAVASRCILRPAMWRPSMAEVLHFLSTDECLTEPEKWNIPEDEVDDMDDCTLFSECCSP >cds.KYUSt_chr5.15256 pep primary_assembly:MPB_Lper_Kyuss_1697:5:98533382:98533666:-1 gene:KYUSg_chr5.15256 transcript:KYUSt_chr5.15256 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSEAGLVGFSACLCAEAHLAANNYYFAGVIGKVNKYRRSRWPWWRVVLVRNYGSNPWMATSLAVVALLLVLTVIQTFFFASYSYFKAPKQN >cds.KYUSt_chr1.22723 pep primary_assembly:MPB_Lper_Kyuss_1697:1:134425662:134426003:1 gene:KYUSg_chr1.22723 transcript:KYUSt_chr1.22723 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVQRPTDVIWLLFVDVDQSWLVGDDESVTGRSPRTANIYVADESPCAAIPRGGGGSTLVEYGDGGSALVESTTGESTTAHAGAEVGVSAWLSFLVGVAMACCDAARRNCP >cds.KYUSt_chr2.5183 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32191951:32204871:-1 gene:KYUSg_chr2.5183 transcript:KYUSt_chr2.5183 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSGTPSQVLSVRIVSLDYYMAPPIPGLDFSYSPFHCEEVEEVPVIRIYGSTPAGQKTCLHIHRVAFVVHGSWGTRPCRTCMYLAQRSYFITSKQGGAVLDRVFQPYESHIPYLLHFLVDYNLYGMGHVHVTDFKFRPPLPDVFYLKSLHRKVTPSAESEHKASSMEAHSDNVEMKYPTIWISSTVPHALILGDSATSHSMGGASSNYTKRHTSLMLEADSRVEGIINEKHKIYTSLSQTTTDTKMVQSLLAIWEELEHLRLLEEKRPADIGRPPRNVVLKSFLHGIKYESALAMLDSKEEGPHKVSATEDSENLEKCFKSLTDIIGTITFSQNDNCHNVDSDNPAQRTSQLLDEHEKHVDAEALGLLSWMASSQAGEEPTTDDELINEVILSPLFSKRSIEVALESAHLNFDSASQQECQDILDTLEPVTGEEELNASTSHHSSAMLNDSTSLSNTIPQIDGSSDENQKVPHGYDQVKITRKKIGSPSHSSSKNKLSSKRGGTEFLWGSLPLSTKKRPHGNVDGPITTSGSTMRTEKEPSSCKSKTAKNFHDTADDADMESSSSIGEHGSACQSVRDLMRMRRRRGSFQPEQLDFGSSGAAACTMRKESEIVISGGLELHDFTSDLSNSEKPYSGGDYVQMTFARKPPLKNEVCSGLEGSSTSIELPAVKLGSVDPLPFFDQTAEENKENGSFQYMGSYSNYGGNVAGDQEIEHHPTFSPRAEALALMDDSAPENTTTTFADTVITKPDLSNKENKQSDNWHDFSQISVEEEKHKLTPLSQSGFRDPASTGGGQQLTLLSIEVFAESRGELRPDPRFDAINVVSLAVEDDRDCSVEVRVFIRGNNGRSHGKRNLDGIVGCGVDVFPEERDLLNHLISAICSIDPDILVGWEIQLGSLGFLAERAAHLGVGLLKRISRTLPHQSKHPPNNLDHESSQVLPEASSADDVIADVSENDWSHTHASGVHVGGRIVLNLWRLMRGEVKLNNYSLEAVADEVLRRKVPLVPSKTLNRWFATGPGRGRHRCIEYVNSRTMLNLEIINQLDLVNRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVATQPAMECLPLVMEPESAFYSDPVLVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSVLGVSSYSADAQTIADLKNQLLLTPNGVLFVQPEVRKGVVPRLLEEILSTRIMVKQAMKKVAPSQKVLQKILNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLETAISFVNQHPLWNARVVYGDTDSLFVLLKGRSREEAFRIGKEIASLVTAINPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQNEPIFDAKGIETVRRDTCPAVAKMLERSLRIMFEEQDLVKVKSYLERQWTRILSGKVSIQDFVFAKEVRLGTYSARASSLPPAAIVATKAMLSDPRAEPRYAERVPYVVIHGEPGARLVDMVIDPYGLLEVGSPYRLNELYYITKQIIPALQRVFGLLGADLNKWFNEMPRPIRPTLAKRQSTSGHSAYSSGGSFIRLGLNKKASTKGSRIDTYYMSSHCAICGDIIQGSDTFCNNCLKSEAVVASVVAGRTSKLEREIQHLAAICGHCGGADWIVESGVKCISLACPVFYERRKIQRELRVVSESAGEAGYYPFCCAELF >cds.KYUSt_chr1.37413 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228461585:228469760:-1 gene:KYUSg_chr1.37413 transcript:KYUSt_chr1.37413 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAHLLVLLLSASAAAAGQRTLPVSDYEQLFDAWCAEHGKSYGSPGERAARLAAFADNAAFVAAHNAAAGNGDAAPSYTLALNAFADLTHAEFRAARLGGLAAGPRLVGGERQPASSSDGAFEGAVGAAVPDALDWRQSGAVTAVKDQGSCGACWSFSATGAMEGINKIKTGSLVSLSEQELVDCDRSYNSGCGGGLMDYAYKFVVKNGGIDTEEDYPFRQADGTCNKNKLKKHVVTIDGYKDVPAKKEDLLLQAVAQQPISVGICGSAQAFQLYSQGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIVKNSWGESWGMKGYMHMHRNTGTSAGMCGINMMASYPTKTSPNPPPSPGPGPTKCSLFTSCPEGSTCCCSWRALGLCLSWGCCELENAVCCSDNRSCCPADYPICDTAGGRCLKGNGNFSSIEGIKRKQAFSKSIQSGNAKSPIVVGPWGGIGGYPWDDSVYSTIRQIVITHGAAVDSIRIEYDLKGNSIWSKPHGSTEEGSDTDKVKLDFPGEILVSVSGYYGSVCGSPIIIRSLTFQSNRSKYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVNVPNPSSYPASPQSQRPSSAYSRNGYSAAEGGMEDDMVLAVRDRGDSYAAYTSNYPKQQYSYPSPNYNDGALLNKMVSYPSSYRATMNSPETHGPWGGSGGTIFDDGTYTGVWQINLTRAVGVTSMKVLYDRHGQAVWGNKHGFSGGVSPDKIVFDFPSEVLTHITGYYGPTIIMGPTAIRSLTFHTNKRRYGPYGDEYGTYFSTSFTNGRIVGFHGREGWYIDGIGVHVQEGKVPSQRASRPANGRSPSPSYNMLARSPSDIDDEVPYSMVQEPVPMGPGPWGGEGGRPWDDGVYTGVQQIYITRGAFIGSIQIQYDRGDQSVWSARHGTSGHITHRVKLDSPHEVLTCVRGYYNTDREEGPRALRSITFITNRGKYGPFGDEVGTHFTSPATAEGKVVGFHGRSGQHLDAIGVHMQHWLGDRRAAPKYVLSKYLF >cds.KYUSt_chr6.28209 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178759146:178761812:1 gene:KYUSg_chr6.28209 transcript:KYUSt_chr6.28209 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPKARASLPRGSLRGVPRRDSARVARAWGGETDYGGRCLQAVFERAQGVRRMMSSVSGARCVRARGENTARGLRVSEVAAAYRCLGQEALVMFTRVTKSMDLCVVILLSCVFQFIHGQPDDRGFVSIDCGIPVNSSYQDHTSSITHISDYGFITSGENHKISSDYIKPSLAKRNFDVRFFQHGTRNCYTLRFLVAGNKYFVRALFYYGNYDGLNKLPVFDLYMGANYWHEVNISDAAAVSWMDIIVVAPADYLHVCLVNKGMGTPFISGLDLRPLRTTLYQEVNASQSLVLISSNRFNLGPTDNSIIRYPSDPHDRLWSTYDTIPNWNEVSATSVVQNYLTDVYDVPSAVMQNAATINGSRIDFSWSPSVNISSSYFFVFYFSELQNVQSNALRQFDIIINNKTWNMQPYSPPFLFTDSFSGIVQGLASYSVSLVATKNATLSPILNAMEMYLVEPITEVATDPGDAMNLLMQYVQCTFVIVLLLPR >cds.KYUSt_chr5.38455 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243152565:243156215:1 gene:KYUSg_chr5.38455 transcript:KYUSt_chr5.38455 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRHRSETVGEVAGLLRIPFQPIDFGPNWRTARCEFENGFASKSDPTKAPPPIEIPEMSLDELKEKTGNFGSKALIGEGSYGRVYYATLDSGKHVAVKKLDTSAESDNDFLTQLSIVSRLKHENFVEMLGYCVEGNERLVVYEFATMGSLHDILHGRKGVPGAQPGPALDWLERVKIAIDAAKGLAYLHEKVQPSIVHRDIRSSNVLLFEDYRAKVADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCIDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPQPPPAVAPDTTA >cds.KYUSt_chr6.9491 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58533073:58535075:-1 gene:KYUSg_chr6.9491 transcript:KYUSt_chr6.9491 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDFLGPRSSPWQVMPPVPRRNDGAEPWRFAAPPPPPMLHAPVPDVPAAHRYPTAPASEVHHVGARVPPSPYPQAFPFGIPASYLQGSQVGVVHNAAPTRDQRCPAPQLTIFYAGSVHVFDNVTKDKAEQIMFMASKAAQAGSSPPFRLPLRQSESAPAPVPDERQMAPLARACSDPVHLNLDTVHDVPLARSASLARFLERRKQKQRAAHAATPYSRREISPGSMDTFLMLSPGNTAVSGNPELSWFFGDEKGSRNEEALDTELKM >cds.KYUSt_chr4.17081 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106565932:106566894:1 gene:KYUSg_chr4.17081 transcript:KYUSt_chr4.17081 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMQPQAITKVSISALVISLPIIYVSLLRVPPSTLARDSTFWFLMSNSIIAIIAVDSGMLFLGSASHHHQDEDFFDVVHSEQPAATVREDYYGDAMSVPLMASSHVPTAPVDMPDVVVAGGEQLLPTGGAVTVQEDYYRDALSPPLMASFHEPTAPVDMSCVLVGGGEELPTSGLVRSNAADTGGMEMEEGHALSLIQGEGAPSNPSTDMVDQEEAPKLELVSANKDDEMIVEGEHYTISPAPLMTVTPDDHAQQDEGSVQVVREDVKPQWCSVTEENKPPLEKESDYWQLSDDELNKKVEEFITRFNRDMIEQEAAAV >cds.KYUSt_chr1.15450 pep primary_assembly:MPB_Lper_Kyuss_1697:1:89976808:89977632:-1 gene:KYUSg_chr1.15450 transcript:KYUSt_chr1.15450 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAATASGPMLGAPGALAGAVAGLPLQSVLMPAGSSMAPTGCCPPSAVSCAPSSRRLRDADPLWVGSLGSDDDDDEELAPQTPLVVAPGPDSGVVRVSNIVGSPPAGGGTVDEHMEPVAAMSCSVAANDEVEGNAMKTCAAPVVVEDDEGWVKVGRGGRPGREPTSLLRGEGLDCSLALSSLREGLDRSLAFKRWARGRCFRCLERGHQVSSCRGSFRCIRCRRPGHRERFAVRAQLLLVLALRRLGLVLRSLVLLASGVSLRPLTLVAPRRL >cds.KYUSt_chr7.36621 pep primary_assembly:MPB_Lper_Kyuss_1697:7:228852920:228854239:-1 gene:KYUSg_chr7.36621 transcript:KYUSt_chr7.36621 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFFLVFDDPMRSGLRAWVCAGGLALDEEGAAARSPLSDSEKNGPVVMEILLEDIRRPLMRIRANDPAKVQELMDSISVIGLQVPAGTFPFKIPFTTSVV >cds.KYUSt_contig_2197.109 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:644304:645179:-1 gene:KYUSg_contig_2197.109 transcript:KYUSt_contig_2197.109 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLNFWSDMWQQKCTFAGRVASSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNQAAAAAANQLAEEEAKRAEDAAVAEAIARSLKDLVPADNSLPIDAAAGVVRARL >cds.KYUSt_chr3.48457 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303123702:303124603:1 gene:KYUSg_chr3.48457 transcript:KYUSt_chr3.48457 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQSKTTSPEMGEVAHPGGGGYRKGPWTEQEDMKLVWFVRLFGERRWDFLAKVSGLNRTGKSCRLRWVNYLHPDLKRGRMTPDEERLVVELHAKWGNRWSRIAKAMPGRTDNEIKNYWRTHTRKQDKTQRSASASASTTTSMSAASPATSSSSSSNNNDDQCHHGDDKTAATAPSQEPLIYTGGIGMDSLLWNDPIDSYAWSNTAAATASMIVVPSSPVWDYCCSDSLWGTVDDEVAEYKKMLGVSAS >cds.KYUSt_chr5.29563 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187425914:187428467:1 gene:KYUSg_chr5.29563 transcript:KYUSt_chr5.29563 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLQRAGYAWDNRVVFGDRMTVSFPHVAKLDTAKGTGGHPWSRGPLLSVDSASGASHDLHTQTSLRPLAMSQAIFPWCAAFHRMACDICYYVWSSDDDVNLSSEVDDKKLYMVLKPQTDMLLQYQNKHPYLQFVVYLAVKDASGFKYATVSIPIEFNSKSTPGGSSTSEAPNTAARADSTVLLPGKEMEKRRSGRGSVTAQKE >cds.KYUSt_chr3.15459 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94661069:94661542:-1 gene:KYUSg_chr3.15459 transcript:KYUSt_chr3.15459 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNLYGHISPKKKGRVQSRASLLHKNILAVAEAGCPICHAPLETANHIFFECPFARRFWGAVGFQFPHAADVKLLHGYAAHAAVPPDSASTFTLLCLWNLWKHRNAVVFREQQPCLPLLLHLCRSEARFWRARLPGDQELAEAAWLGCLTENIASV >cds.KYUSt_chr7.7367 pep primary_assembly:MPB_Lper_Kyuss_1697:7:44205930:44218300:-1 gene:KYUSg_chr7.7367 transcript:KYUSt_chr7.7367 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGVHGTGCPREVFVGPNAQERCTWDRMSERGVHGTECPREVFVGPDVREGCTWDRMAERGVRGIGWSREKAKAKSKVKKPAEDKGKSPAKTSRNMYNAALKDPERGLQGIVNLEGNLPLLQFWFWEKFRLDRLDASIDYTGREAPLLQYWDEKKARKVCAILNEHGRDAGEYVFDLKEAWEPPRPSSPLPSFDDLPPTDSKLVLKLHESILNMRRAVMHDNLLTRIKIEEHGDYLYRFEEKLDAFCQQRANDYDDFERGPSTYDYQYREYKHFSPDRTSPMPVRDLNQDFEVMDTIITWMQHMRPIPEIRAGRTVYMERASRVDLLVRDGRSDAYNRDVRNKNHGTNMGKVYISHDMICLRVNYENIHWYVVNVNPEERLIQVLDSMRKQTKNFKKAHPELQNMLKGMEAHLDVTSRHDCEKSDSWPDYAVNSWPVQVVKNVPQQKDGISCGLYALKNMANWIGHELSQNFTQVSQGYLNRQAYERIQLAAANAALVDDKNKKILRDLQLLNKRNKDLQNGRNWSTAILPEALVSIDTGQTLLKPQRTFCSEESVVVVQRTCSFVPAVAATAGDTASASAQYLTGWAAALRNLHVCGSSWPEIDQLRHGVIFSIYIQPDAIIDLVLLVVLVLKLVRLYLVKLDAHSELPAQRHDSVKLIEASIPKNGEPKLGHRGCSPVAICISANGHPMGAVANAHWCKCADKDGYVKTLGLLYVRPLAGIGEKVLKVVGSMHVGTWAPKVEPARGRGAAPQVVDGGNHNWEEHTASTTKRDRWRAGGRGEGEEFNDIDYAPDTTEEPTFHGLAVGSDERCRVHNLEPHVLNCGFHAWVDSEWPEPLQNALKKLWGMYYSSNSGRIDDKVEHARFVEELAVEKNKIEKKYASLLVDVKKFAEETEKRVVERNYKLITSGAADEINDLKKEVAELKQLQKTQAEVIRAKKEELEAGREAWKTETDALKKENDALNEEKRKLEYMLYDLLKLSNGNKDKLKRIREVCEEELII >cds.KYUSt_chr1.18313 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107025840:107027048:-1 gene:KYUSg_chr1.18313 transcript:KYUSt_chr1.18313 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGAAYYASLAREQFFCYQCNRTVLLAISAAAAGELSCPECGGDFLEKVNIPAPTIPTFTFDFSPAMRSAMASMMPTASASTMMPTASSPPMVPTAASPPMVPAASSPMTMMPTASTPPQSSSSSSTAATHSIDLSTFLTTIMGPQGGRSGSGAVSAAGAATPENEPEAYDPHAFFQDYLRGRRGRGVNIFDLLEDVMDHLAAGIEDGGVGIGVGGGSFGDYFVGPGLEQLIEQLAENDPNRYGTPPAAKSALSTLPDVVVTDAMVAAAEGANCAVCREDFSPGEGAKQMPCNHIYHADCIIPWLELHNSCPVCRFELPTDDPDYESNKASKTQPSVGIAAAPASGSSAAAAAAVEGGEETARVVERSFNVSPQQDGNNGGAGSSSQDNGSQDGVASNKN >cds.KYUSt_chr2.53827 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335962515:335966825:-1 gene:KYUSg_chr2.53827 transcript:KYUSt_chr2.53827 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVRIVGASYVGVPATAELPPEPIKLTAMEALWVVIRMLQHVLLYHDADMPPFDDILHSLKSSLATTLGSFAPLAGRLVHLKDTGDVGISCSRSDGVRFVVAESDADIRRLAGDEEHDVRVLEGLVPAVDMSELPTAVLAVQATRFQGGFAVGLTVHHGVADGRSLWTFVEAWASACRGQIPAATPTFDRSLIKLPGGEELASSILQKIAPNLPSAALASPIGEDIARFTRRTFTLDAHDIQRLKQRIVDLGEAHGTPLPRSPSAFAAIVALAWTCFARCTLLYGPYLGALQSAPIGVDFLGADAAASDPLSAVVDPLVDPAASANLCAAAPDPLGAAFDPFSVVDPLDAPDASGTFRAAAATAAEALRAIVDLLAAPAASGIVVTDAASDPLADPPAFGTLAAAVIAFDPRTVAGSVFWAHVVADPWTVFSAYAAAFPGALKDAIDADADADIVLSAALEASFV >cds.KYUSt_contig_1181.664 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:4628322:4631697:-1 gene:KYUSg_contig_1181.664 transcript:KYUSt_contig_1181.664 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPTMAVYTSPPSPLYASPELETPPRGSAAPCATPASPSPATSHRHAAVAGGLSRLFSSSPRASTLDELSALWHDRSDDPPLAVAAKGGGYSCPQPSPSPLKWRDQLHHSPAPLFHSPASSPAARSPSASWLAGRDRDRDRLFSSFVRSALGSCIDYAPLTTSPLPVDAAELAFDLDDSLAEAEPSSEPYARDLLVGAQDRHRIFHDELVVKAFFEAEKAHRGQTRASGDPYLQHCVETAVLLAKIGANAAVVSAGLLHDTIDDSFMDYDHIFAMFGAGVADLVEGVSKLSHLSKLARDNNTASKTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPFVKQQRFAKETMEIFVPLANRLGIASWKEQLENICFEHLNPEEHKELSSKLVVYFDEALLTSTLDKLDKGLRDEEECPYSYTRQYNHEGPIFVIMLEHDKMSVQELSANSTIVDLMERVSANGPRLSPYNFPLKEELRPRVNHQPVSDPNRMLCMGDVVELTPALPHKSLTEYREEIQRMYERGGFALATTRGSSTS >cds.KYUSt_chr1.8232 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50736332:50742924:1 gene:KYUSg_chr1.8232 transcript:KYUSt_chr1.8232 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRRRRRGERDRISGLPDELLHVILRHLRSAAAAARTSALSHRWRRVWAHSPDLVFGDDLIRGVEGDGASFLDAVDGALAAYSDDRALHVDSLKITVPRICGENVTASRVEPWLRFAMQRLAGEFFLSVPHPKSWPRDNRKDDKLELPPCKGVTKIQLQLGLLFFLRIPPAGMFGALADLNTDIPIMALQEVRKSAIPFDSLQHLGGDLGVSVPRLDPLPEDLVPPVEPLHRHDPTPDDPLGEALGARGDGPGDRAAVLLDVGRDGGPNLTLDVVLVADSDISVRSASLRCLRFCVLNTRRLDITAPKLEILGASNVADAHIAAPNLVEVDFSNILGQVVVADAGRHLRLLDVTWCSTMVASSLMRRFDSVINLRLRNPNDDDYRTFLDCTKILPKCEILSVSSTVVRNHAFEPSLLHLLRRANDPDVRIELQAIPIYVTSYFLLPVSACEQMRQAIANQWRGFEDGKKKKH >cds.KYUSt_chr4.4586 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26321249:26323393:1 gene:KYUSg_chr4.4586 transcript:KYUSt_chr4.4586 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRPRRRRPIELAPVILLLLLLARYVAGTDEFLYAGFANGSVMTTGAAAVLAPSDSSGGLLRLTNDTKEVFGHGFHPAPLRFRDATTGAPLSFSTTFVIGIVPRYPDAHGHGLAFALAPSVAVPGSVAGKYLGLFNTSDSLGNGTSLIVAVEFDTVMDAEFGDVDENHVGIDVNGLHSVHATPTGYWRDDGAGGGRFENINLNGAEPIQVWIEYDGASARMEVTVSPAGTPRPAVPLVSCNLNLSSSVVADDTYVGFSGANGAAASSHYVLGWSFRLGGGRAQDLDLSQLPKLPSSPESSNKKSPPPLLLPMTLLVLAVVVLLLASAVAGALYARRRRRQFAEEEEDWERQYGPHRISYRELHGATNGFRDVIGTGGFGCVYRGVLPRSGAEVAVKVVSQGSRQGLREFVSEIASMSQLRHRNLVQLLGYCRRSGELVLVYDYMPNGSLDQHLYGRADRQAAGLSWEQRGRILRDVAAGLLYLHEGWEQVVVHRDIKAGNVLLDADMNGKLSDFGLARLYDHGGESQTTRVVGTLGYIAPEMSKTGKATTSSDVYAFGAFLLEVACGRRPVVFHRSDTDDSPPGLVELVLQHWKAGKIKEARDPRMGECVDEDDLELVLKLGLLCSHPDSLRRPSMRQVVKILEGAAPAPEMSPNDLGQSITLFEYSEAFDEFVGMSGISFPASSEMITMATTRTSSSSPSADEKRQLLFNE >cds.KYUSt_chr2.15907 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100040120:100040491:-1 gene:KYUSg_chr2.15907 transcript:KYUSt_chr2.15907 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRDLPRRYITLAPCSPLLPPPTQAAALLLLRVQHLSSFSLERSHRQIPPTTASVLPAPRSKNPMAGLRMKFVAVAAMAAALVASAAAAEAPAPAPASDAAAALPFAAASFAAAAVGYLFC >cds.KYUSt_chr4.18929 pep primary_assembly:MPB_Lper_Kyuss_1697:4:118848637:118852314:-1 gene:KYUSg_chr4.18929 transcript:KYUSt_chr4.18929 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLASSSYRRRILDSKMAATHALYSSRLPSRSRQTAHTRIGATTTAHGVESSIISVLTMHHWETLNHMAYKFGKLDRVHGKLALKILGSIVQQSGLERITHIYCMTAHILIQAQMHPQAISVLKHLAVGGFSCSAILSALLRTISRCDSSPMVFDLLINAYLKEKKVVDASKVILLMDACGFKASARSCNAILNALVEVGESKHVWLFLKESLARKFPLDVITCNIVLNSLCLEGNLKSANLMLQNIKSCSLANVVTYNTILYWYVKKGRFKAAMCVFEDMEKNCVEPDVYTYNIMIDKLCRMKRSARAYLLLKRMREDNLSPDECTYNTLIKGFFDEGKMKLAIYIFNEMLKQSLKPSLATYTTLIDGYCRNGTTDEALRVLCEMQVAGVKPSELTYSAMLNGYCKASMLGHAMNLIEVLKARGTTINRTMYTILIDGFCQLGVVSKAKQILKCMLVDGIDPDVITYSALINGMCKMGKLDETKEILPRMQKTGVLPNEVLYTTLVCYCCKAGYVREALKYFVDIYRRGLTANSFIHNTLLCSLYGKGMIAQAEKFKQYMSRMQISFDVAAFNCIIDYYCTKGNMHEAFSVYDNMGRYGCSPNVYTYRNLLRGLCKGGHLVQAKEFMACLVDIPSAIDQKTFNALLLGICKDGTLDEALDLCEKMVTSNFLPDIHTYTILLSGFCRKGKIVPAVILLQMMLQKGFVPDIVTYTCLLNGLIKEGQLKVASYLFQEIICKEGMYADCIAYNSMINGYLKAGMIHKVDMMIFDMHRNEVSPNPASYNILMHGHIKKGHLSRSIYLYKDMVRKGIRPNNVTYRLLMLGLTKQGMIEIAVKFLEKMVLEGIYPDKLDFDLLIAACSEKSRMPNALQLFNCMKRLYMSPSSRAYSAMINGLIRKNWLQQSCDVLRDMVESGLEPKHTHYIALINAKCRIGDINGAFRLKEEMAALGVVPAEVAESSIVRGLSKCGKVEEGIIVFCSIIRAGLVPTIATFTTLMHGLCKEGKIVDALDLKRSMELYGLKIDVVTYNVLITGLCKNQCVSDALDLYEEMKSKQLQPNLTTYTTIAGAIYASGRILEGEKLLNDIEDRGFVPSYKDQSPEWRLKNAVRKLNMIRNCRKAITSEDDVEPLHADHESMPAKD >cds.KYUSt_contig_2984.54 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000578.1:215346:215804:-1 gene:KYUSg_contig_2984.54 transcript:KYUSt_contig_2984.54 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNTLIDTVMGELHARGKTLHNVAEVLRAVPIDPHVVAAIKAAYGLGCDLRVLCDANLFFIETILNHHGLRGYFSEINTNPSHVDADGRLRIAPHHDYHAGPHGSGLGTCPPNMCKGQVLDRTRVGISSNTLSAVVTKTLSAAAGLGIGVC >cds.KYUSt_chr4.12295 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74976443:74979916:1 gene:KYUSg_chr4.12295 transcript:KYUSt_chr4.12295 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTRSGHLDQVVCGMQQMRAEVTPCCESFAIPQIHASEKDTGMACRRSPRLHPQIHASEDGARMTGHIRRRRGKSLAQPDSLPDDDDMLREILLRLLPQPSSLLRASAVCKRWRGLVTDPRFFNQFYAHHRKPPLLGVFLRRNQGRGVVFNPILDPPDCIPPRRFDLGRCRSRDGYELLDCRHGLVLIKSRSRTEVIVWDPITSEQRRLAITPKFQMSVFNGAVLCAASDQGHIHGSCHSSPFKVVLMSGYKQFDQPLACVYSSETGIWGNLILTEVRCEISRKPAILVGNSLYWLCKGGDILEFDLGEHSLSVIRGLPVTNDILFKNRQVIRAEHGAIGYAILSYPHFQMWQREVNGHGGATWVPWKTIEMHSILELPPLTEEVVALLLGCSHGGLNGAEMLHIG >cds.KYUSt_chr3.25612 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159015049:159023408:1 gene:KYUSg_chr3.25612 transcript:KYUSt_chr3.25612 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGRGQAAAPPPPPSPSTPPPPASSSDDGFDSDDNTDLLHPVRDAVDLAEAEKEAEEERAAHAAVNTELEQRRLAAAAAAEDSDSEISWSSDDPDAPTPEEKAAEQRALVESFETLKDEAANARLEQCLQEDAAAHRAIAAAREAAEKQATEQRNDGTGPVSFEKDTKIMNAASFTIEREDHTVGNILRMQLHRDPNVLFAGYKLPHPLQYKVIVRIHTASQSSPTQAYTQAINDLDKELENLKQAFEFAA >cds.KYUSt_chr2.19460 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122381484:122382638:1 gene:KYUSg_chr2.19460 transcript:KYUSt_chr2.19460 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLILQLKNMQVFLRLGLCLLLLAAQYAPGIAVPSSECRRQCGNVEVPYPFGIDPDCSLGEGFDLTCEVQDGDHRPFRGAFEVLDISLTEGTTRVLNYILGFCYSTSTGSMEYFGRYGGFNEGDPSSPYRLSDVQNRFTVLGCNALASISDPSGTGYQGYGVATCRNQSDLVDGSCSGIGCSQTTIPKRMYYYQTTFFHSVNTSQIFKFNRCSYAVLMEAEAFRSNTTYINTNKFNETYRGRVPMMLDWAVRDVKSCDVAKLNKTGTYACLSSNSKCVDSTNDQGYICNCTNGYEGNPYLRDGCKVTTHALRMAFVAIALQGTGVLVELEKNISKKAIRAAPTPA >cds.KYUSt_chr6.5212 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30941538:30942857:1 gene:KYUSg_chr6.5212 transcript:KYUSt_chr6.5212 gene_biotype:protein_coding transcript_biotype:protein_coding MARVDEKVKVVESCIVTPSEETPSHGLWLSPLDLMMVNRGHTPNVYFYRYASSSGVVGDIFFDVARLKEAMAKALVSFYPLAGRLGVDADGRAEIDCAGQGAHFVVARSDLTVDDFSDCQPSLELKRLFVPRIVDDSPCVMLAVQLTFFKCGGVALGTALHHVAVDAVSAVHFFETWSAFSRAGSRDKDVAEVLELPCHDRTLLRARSPPRVVHPDAAPMFWPLKDNPNISPAGPVVNEIFVISMDQIAALKHASSGASTFCAVSAHVWRCMCVARRLAPEATTRLTFPANFRRSLRPPLPERYFGNGIIVVGAAGKVRDIASEDHLALAPVAGRVKEAVRGLDDELVRSTIDYLEMNEAPPASSMPETEVRIVSWLGMPVYDVDFGWGKPLTMMRAVQQRAGLIYLIDSGLGDGGVRILLSIEAEALKDFQRLLYENL >cds.KYUSt_chr6.1525 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9300398:9304914:-1 gene:KYUSg_chr6.1525 transcript:KYUSt_chr6.1525 gene_biotype:protein_coding transcript_biotype:protein_coding MNARGYRNTRTALFDGIEEGGVRASAYSSHEIDEHENERAIDGLQDRVSILKRLSGDINEEVETHNRMLDRMGNDMDASRGFLSGTVDKFKTVFETKSSRRMGTLIASFVALFMLVYYLTRYVMHTTPSVFDKA >cds.KYUSt_chr4.4369 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25001207:25009951:1 gene:KYUSg_chr4.4369 transcript:KYUSt_chr4.4369 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLKQFVPLPRLCCIILLLSTISLAHAKEKPCKCPGSGLDKRRNYAMDDTDVVFLVANQSGLVRKPVRKIPNNQAKKTRVLSTIGNLIKLCQRRPDGGLDVSSFNITIDMRNQTTGQKYSIFSWLVLPDEALDFHQVNDSLPLAKQLANKTGRGYQLSLSNPIYRTGSVFDRYVYMKIGKLGNPARTGYDNGKRAMGVDIVIYPPASNYSVWIDYDPIGKLSVYVDVESKPKPATAIATVPFNISSVVSWTSPFVYFGLLSTLEKRLRTRGIRFSATVDNLPDYPVKGGFLKKKVTILSSILGSLAAAAMMAVAVMGYFNSRYRRWHKELNQLAKSMERLPGMPTKVEFADINKATSNFHDTMKLGGGGFGTVYRCTLPAAASKTEWPMDVAVKRFTREVQNRRYGDFLAEVSIINRLRHKNIVPLFGWSYNKGEPLLIFEYMTNGSLDQHLFPDGSRNGGSSNGRRRTGAAIRRWATRYEIVRDIAIGLHYVHHEYEPMVLHRDIKASNVMLDSSFRARLGDFGLACTVAVNRNSATGVAGTWGYIAPEYAICGKATRQTDIYALGVLILELVTGKRALDDDHVVNSDDMHITDWVWRLHREGRLSECVDAAVLAAASEDEEEQMGAGEDAARLLLLGLACSNPNPSDRPTMPDVVQVIVKSVPPPEVPRQKPSFVWPPPGGWASDDDSTCSSMMSDVDRSRDEQQVSLGQPMQQGRTTGRGFRSQRVGSSSSGTRALRRDLPVNPMEEVELQPCCDYGDDNDGLGVADKEAEESSSATALGAAARAAVGVGARVLFYPTLAYNVARNHLSPSRFHWWDRVDSTSILLGAVPFPSDVPRLRDLGVRAVVTLTEPYERLVPATLYADHGMDNLVLPTRDYLYAPSPDDLRRAVDFIHGNAERGRLTYVHCKAGRARSATVVMCYLVRYKGMTPVGAYKHVRSCRPRVSLAGAQWQAVQEFSTGLAKTLAKTLKTRSGPWSSPEEGLRHRLLSAPPGNKPLGAQPAWVVDDEPERNLSVEVEVLEKGRPSAVRYRGKAVTPAQVPW >cds.KYUSt_contig_1130.88 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000106.1:545042:548739:-1 gene:KYUSg_contig_1130.88 transcript:KYUSt_contig_1130.88 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGGGHYDAGGGQYDGNAGAASANNLFGGGGFMPSQTTNTPEGGGGGFNKGRGAQTLMPLTVKQLMDASQTNDDKSSFPVNGWEVSTVRLVGRMLNKNERVTDVTFVLDDGTGRIEVNRWENETSDTEEMKLVNDGDYVIVNGGLKGFQGKRHVVAYSVWLVTNYNDITHHFLYCIYVHLDLAKAKRLASQPQANASTSTWNQAPLPNNQSASGNTAGKELTDLVMSVFHDPVLGNMEHGVSVDEVASRLKLSDAVARSTVQHLADIGNLYPTIDDNHFKSALNG >cds.KYUSt_contig_1989.118 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:660979:666333:1 gene:KYUSg_contig_1989.118 transcript:KYUSt_contig_1989.118 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGSKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIENSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEENVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRIEFLHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLSDREGYAFDHVFDWTLLKCKQTQKVKAQQQDPGVSSRQAPINMDKHQAEASGQLEAEQRPAIRMQIRSSAENSRSNNRHSDKLRLGASTDNAFLQSTSSGNADAQRKNISISKTQGLVDPHNHGTNNQGPSNQL >cds.KYUSt_chr5.29386 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186259821:186261272:-1 gene:KYUSg_chr5.29386 transcript:KYUSt_chr5.29386 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGGGEGLEDELDMLMDMDLEEALAMEAASPGGPPHPPAAMEGLEEELAMLMDFEHAQEKALQDMAPRKGPSEMAAYNASMGNPALYLALLCLPAQEIARCRCVCRVWRDITSTVVFRRYHHHHHFRTPMPLFFFLDPKLVSLNLRAVDIRNRVDRPVIRYTRPSNHELLRVHGSGAGILLLSSGRRLYACNPCTRRWARLPPLHVDGDIIGFYVSETPGLYGDFECNVLYHDRMWPLCEYWIFTLGTAVSVRRCIGRPCVGRGSADLDLELAYGIVPSYNIPPVFFLDSLYWPPKVIQERNTKVLRFDINAEAFSFIPAPRFQAVGRQLFETDDERLAMTVVSFPPASRVHVWVLRDNNNNNAERWSHRYSIVVPVNEINANNSCHHNGSVFAVAQGRNHLVQCPRVLLHCDDQGAVLQRYRRPQAQPQPQLQPEPQPHHADRWTALSGHTIQESLLLHPNILRMRDTDAVDGDPPFFPW >cds.KYUSt_chr4.50068 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310146486:310147061:1 gene:KYUSg_chr4.50068 transcript:KYUSt_chr4.50068 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPGHTVALLLVATTALLLASGTTAQDCANATFPAGRSFERCNTLPVLGASLYWTYHAANGTAELAFRAPSTSGGWVAWGINPAGAGMPGSNVFVASQDGSGAVFVLTTVLESTAPTLTNGTLKFDVPVPPTAEYAGGAYTIYTTVALPGNSTTQNIVWQAGPLSGGSILPHPTSGPNLQSVKRQDFLSG >cds.KYUSt_chr7.7946 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48016875:48017258:-1 gene:KYUSg_chr7.7946 transcript:KYUSt_chr7.7946 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMGYFRAPKKVRGGRKKKKDAAAQEEAAGLRVALLDQPGGGGESALPKGYFAVYVGEEARRFVVPTGYLREPAFRDLMERAADEFGFAQAAGLHVPCAEEDFEELLRQLGRKNGGSGSGRGKKAI >cds.KYUSt_chr6.17434 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109817747:109818797:1 gene:KYUSg_chr6.17434 transcript:KYUSt_chr6.17434 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAQSTEKVMPEQAVKDLHREDQILQERAMCGCGMQSTHQRTEEERDASIICHVHHALRHYNAKDEGSDFLPVKPLMAAYVGFRGHIWVHVSFLACRSKITSSKRRRNKDDPVKHFFAELRYDHHFGTPTVETCTIIEKSSRKSHLNTMCTFCPKGFEILHPLDGKFLCGKKSQADEEQSFSHFMNLLEKPYTCVTESGEVKEDVTIPEEKRRSILRWTILPFNFIRGFCGPWMISLRRG >cds.KYUSt_chr4.34530 pep primary_assembly:MPB_Lper_Kyuss_1697:4:212090737:212094617:1 gene:KYUSg_chr4.34530 transcript:KYUSt_chr4.34530 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRVTSPLLEPSSVEKIMEIDEHVGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGEEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTIAEAETIALSILKQVMEEKVTPNNVDIAKVSPSYHLYTPAEVEAVIARL >cds.KYUSt_chr7.3836 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22871876:22872295:-1 gene:KYUSg_chr7.3836 transcript:KYUSt_chr7.3836 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPLQELFLAPLLPTNPSVAHISARTRAQATWAGTATCVPLFPVRSKLLLYAEAPQPDARAEQEEEGMAPWPHAALPQSADARLPVLPSAVLAALLGSAMIHFPGSRRPGQPVDWEARGHRAVEPLGRVSGSSHLAG >cds.KYUSt_chr1.6865 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42206018:42214894:-1 gene:KYUSg_chr1.6865 transcript:KYUSt_chr1.6865 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSTVTITRSLVNTFLVVSHENVTEKDDNEAPKRSKRRRIENSFGDDFIVYLVDDTPTSIAEAYASPDADDWKEAVHNEMDSILSNGTWELSERPHGCKPVGCKWVFKKKLRPDGTIEKYKARLVAKGYTQREGEDYFDTYSPVARLTTIRVLLSMAASYGLIVHQMDVKTAFLNGELDEEIYMDQPDGFVVKGFVVNEVDKCVYYRYGGGEGVILCLYVDDILIFGTNMRVIHEVKSFLSKSFDMKDLGEADVILNIMLIKNESGITLTQSHYVEKILSRFGYIDIKSSPTPYDPSVTLRKNRRIAIDQLRYSQIVGSLMYLASATRPDISFAVSKLRHPAVLEGYSDSNWISDIADLYATSGRAVLPTVVFNVIYFDPSSPSSTTLSSTTLLPRHHLLHLHRHLHQIVTVVMLNILELIMEIVPDYPTHISSYRSNEITMPTLLQAGGQPRSALLFRRPPQPPSISSRCASFPLEPEQVTSPAGAAPDAPCPVLHLTLDRRPASISLHYSPSVSFRAQARSNLLHLFRSIHQPHGRLHEGPGFPSYARVKIRWRSACAADVAGHAECGVLHVTQQQYRAPAGGKDPVVQQSKTSMMTTISDSPQSLLTVDNEYKDVTRMGSAFSQMSNDLHMPCNESAMLDDDSTDWLHKNDAYMRKVSTSSRMHGQDSFITKSSYSEKKRQCDCSEETRQRHRERHSLMPDGQRHARLQKNRDYKISTRETRNSNEDADPSGIFEPTEQDVVFADNLETMQEEEQTMQDDDEECRIFSGLGDVFDSYTAPTDVPQSKQNDDPYDFVYHNLPKKHHVLKPVNDCIYCGAMRLQYEGPAFCCRKGKVKIATPEVPQELRRLFTSQVDAESTGNENKKISCHKS >cds.KYUSt_chr2.12835 pep primary_assembly:MPB_Lper_Kyuss_1697:2:81337420:81339766:1 gene:KYUSg_chr2.12835 transcript:KYUSt_chr2.12835 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDEEFALLAKFFISGFLIGIVIGAHPPVWARPALEVFFNDWCVRALDCVQRNTSRYEKRCRTSALAVAAIACLATLIRARFLGILEAAAEAAHHAAKHAATHPPRPIVLDLGRRPGFLSAPALPTKLTKMVTRELPPAIADTHLNPPTLELGADVLQIKIHTDTPKHQRPQIDASILTTAILTRWSSSMEPKAKANWV >cds.KYUSt_chr5.8011 pep primary_assembly:MPB_Lper_Kyuss_1697:5:50654803:50658420:-1 gene:KYUSg_chr5.8011 transcript:KYUSt_chr5.8011 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKRELALKGAGAGASREGLKRLRVAFVAGASAGAAGLPVPPSSPGKCLMRRIVLVVLFFLRMTDCRTTVVESISQIGRMFQRFQKAQTLMLSKFEGKLEKMEGKLEKFGEKMEGISLEVKKLARLLSNRHDDQQPSTWYVDVKFIINILTSLSPSMFVLRQEQSATASGSNAKFHLRFLDGLKTPIYTEKSIISESNSAIRIGIFDGDGNMIRDGPLSKVKVEMLVLRGDFCNDGRESWTEEEFNSHVAQGRHGQGFVLGGDCSVWLNNGEASFGGVIRFKEGSSRTRSRKFVVAARVCMDGKPADRVQEAVMKPVTVLDRRNEANEKRHPPELDDEVYRLEEISKDGTYHKRLQNAEIFTVKDLLKALNNDANKLRKDVLQMKKLNNSWEKMVKHARECLLADNHQLIAYFDEVANVVLFFNCVYDLVGAGFNGGYVAQNNFDAAQKALTNELMELARNELDSTPFNYVMNGGLPVPVPSRENSFISMPVLAPDAVLQGEGIPPLGHQQPSTSAVPYWDQSPGLMISSHGNAWDCNPLDWRFAGSSQVNNHSHAQADMSFNGGRALEASTSSQNNLLLQQSFPTQFQESMPRMRYSNDVPNPVDEPSIWQDDLLPEPEPSANPFQGPGY >cds.KYUSt_chr2.32190 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198543898:198544803:1 gene:KYUSg_chr2.32190 transcript:KYUSt_chr2.32190 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRPASPAPGPAPAEQAQAIARLFPDAAAGARGCNGAPTSPSCSQTARALSFACRHVYAMAGWQRLERAAAARGLPAARRLGDDDAVALACAWAGGAGTARRWRPPCRHVRALPTRSPRPRASAASATSGSATPPRARASPCRHARALVTSATVVGGTEFWSRSKHSRSSMVVPQGAAASAACAAQLPRALPRGRPDAGPAPSSRGRRPIPSSLHGLMICRDMSAADKCGSSVTDTDALKKQCAVSRPSSGCYRRSPIRTRCRCLALWLGAVSCCCEDKRKEREMHLSARPQECIPVL >cds.KYUSt_chr2.31380 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193705323:193708277:-1 gene:KYUSg_chr2.31380 transcript:KYUSt_chr2.31380 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASILAPLPLIPPPARPRAGFLPLRHLHRRRRLLPSAPPSSSSSCSASAPSSSSSWPVLLRERDDGLYDEDEPPPASEADSRPRRIALFVEPSPFAYVSGYKNRFQNFIKHLREMGDEVIVVTTHEGVPDEFHGAKLVGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNQEMRSRLTNGEPDKPLIIYVGRLGVEKSLDFHKRVMDRLPGSRIAFIGDGPFRPELEEMFSGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVGKIERLLSCEELREAMGKAARIEMEKFGWKAATRKIRNEQYSAAIWFWRKKRSQLLRPVQWVSGGLRWRIPLVFEVDGSVGTELYIKSSSAV >cds.KYUSt_chr2.15800 pep primary_assembly:MPB_Lper_Kyuss_1697:2:99402223:99404220:-1 gene:KYUSg_chr2.15800 transcript:KYUSt_chr2.15800 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEHHYFVTLLLVLVAADRYLVATGGVDDGRQFTYNSFVGVNLTLDGGTTVTPNGLLMLTNGSLQMKGQAFHPSPLPFRAEANGTGTVRSFSTTFVFAIFGQFANLSSHGLAFFATTSREVLSAALPGQAWSDRIFAVELDTLLNADLRDINSNHVGVNVNSLISHEATNAGYYDDGTGMFQNLNLISRKAMQVWVDYDGMATVITVTMAPLGMARPKKPLLQATVDLSGVLQSTAYVGFSSATGVMSTRHFVVGWSFALDGPAPALDISALPTLPRAWPKPRSKVLEIVLSVASATLVVAVGIAIYLFVQRRLKYSELREDWEEAFGPHRFSYKELFHATKGFSNKNLLGAGAFGSVYRGKLRKPPKEIAVKRVSHESRQGMKEFVAEVSSIGRLRHRNRVPLLGYCRRKRELLLIYDYMPNGSLDKHLYDTSAATLDWPQRFHIIRGVAAGLLYLHEDWEQVVIHRDVKASNVLLDSEMNGRLGDFGLARLYDHGANAQTTHVVGRRPIEQHQHNNRIVLVDWVMEQWRKGMIMDVVDTRIPDGFSPDKVSLVLKLALLCSHPLPTARPTMRQVIKYLDGDTLLPDLSLAYLSFTMLERMFDGEFNQNMLACASSTSIGAISDLSGGR >cds.KYUSt_chr2.34160 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211069105:211072020:1 gene:KYUSg_chr2.34160 transcript:KYUSt_chr2.34160 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHCSRNILCHSWLVLGLLVEYDGKLDMKLFIPCGNKVSAFLLLPFSEIADYSFTVSTETEEHGMVGMTRLLVMFPLGYLLSRLVIVHLDLFGEVSSSQLSRFALGSRVSFGSLSLADQYGSSNGWRLRVMIP >cds.KYUSt_chr2.8782 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55243900:55250817:-1 gene:KYUSg_chr2.8782 transcript:KYUSt_chr2.8782 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQESSARPIFEITSHTLTKLLTALNECTEWGQVFILDSLSRYKATDARDAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLDRAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDNLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDELLANISTLSSVYHKPPEAFISRVKAAPRADDEEFADAGEAGYSESPSQGVDGASPSSSTGTSSNVPVKQPAAPAPIPDLLGDLMGLDNALVPVDEPTALSGPPLPVVLPSTTGQGLQISAQLVRRDGQIFYDISFENGTQSVLDGFMIQFNKNTFGLAAGGPLQVTPLQPGASARTLLPMVFSQNVSPGAPNSLLQVAVKNNQQPVWYFSDKGSLHVFFGEDGKMERTSFLEAWKSLPDDNEFSKEYPNSVINSIDATIENLAASNVFFIAKRKNANMDVLYLSAKIPRGIPFLIELTAAVGVPGAKCAVKTPNKEFVPLFFEAMEPLIK >cds.KYUSt_chr7.10397 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63852702:63854154:1 gene:KYUSg_chr7.10397 transcript:KYUSt_chr7.10397 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLFMKATHDLAMADPENCDREWAACVALAKERMAASVSSLTSSTFTLHQLSAATLRAPSGAASSEPASASPSPISASAVSTKNNLTPGARRIYDYLVGYSDYLEGDCAAPVLDTSYAFSELQALHGAVATPTSPTTTEKVVHEPAVYVEKSPSSRRKNHVPSEALIIQLLKSYIHGELQTMMPSVYMTIWFETDMDVPVSLFIKQTGQVLRPLPWPPFKYPEKVYALEPCSEPEIPWAMARETSAEVAPTLWPQPQHRQRIPHFHVTDSLECNLIVQCASRELPSYSLADLMGPSLGDLLEAGDNLTLHLPWPTPGYIIRGKDMIQILQLNGLFCESAQQMLWAAKTPWPPLVPNRSTCI >cds.KYUSt_chr3.8879 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51786787:51787979:-1 gene:KYUSg_chr3.8879 transcript:KYUSt_chr3.8879 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHSTVAPPLGSGQWPVGGTVRPWASTSFCRRCVLVRFPGIEVSNPYSNCNWRCCGLSCQSAQTCEMLRPVHVLVSEEDVRLMSPPAPAPSPAPASVGSGAAGRRVGEAERAAQYPCPRCDSTDTKFCYYNNYNLAQPRHFCKGCRRYWTRGGALRNVPVGGGTRKAAPSGAALSRRKNRPSNSHAAAAAAAAAQVASVVSQLSSVSAPMPLPLLPLSVTAAPPPPPSQLPYPELSFVSTLAAMDPDRRLLDLGGSFSSLMAPPAPMLTHAHFATGFLFGGGGGGSAGPIHAHAPGLPQQPPVVSQAVPEGFWGMGWPDLSI >cds.KYUSt_chr1.22654 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133953056:133953898:-1 gene:KYUSg_chr1.22654 transcript:KYUSt_chr1.22654 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTLGGGAAGKSKAAHARSASEPCHCQCHHVHSRLDAGIRSLGEWSASATCSGVDDGPSGLARVDAVLAVLGEFLALPQAAAALREDAAAYDRILDGSLALADAYGSFEPALLALKQSAAELRAGVRRGDGAMVAESLRARKRAEKDLCHLAAAMGHASRHAFPSPADGEVIGVVAEVAAATASASEAIFLRCAAMSPDVSAVAHTVSSNAWLTRLRVVSAAKKAVPLPLPETATATVAAALEGLERRIGEVESWSEKVFRSLLHTRVSLLNIHNTPTL >cds.KYUSt_chr2.37208 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230318913:230319504:1 gene:KYUSg_chr2.37208 transcript:KYUSt_chr2.37208 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVTGASGFVGSSTIRSLPIKETARSAAGFAFVHAAVALILFVVQEQQNSQHFGQCTEACSVLTIAEVCGTGNLFLAHVTMTVCSVVQMLTAALALASTGRLRLAASCVALCITAATGMQPIRLIYTALFGTHCCFSGGTVQVASAVAVAVGEVAVPSWIGSWCRSLP >cds.KYUSt_chr3.33273 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208878300:208880182:-1 gene:KYUSg_chr3.33273 transcript:KYUSt_chr3.33273 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAGCGPREWLAVDETAADFLSRSLSTRPPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLMAAVQCILPKEWEGVYFGGLGKAVMYIDLDCRFDVLRLAQILRKRITHGCGSAHPPSEDFEKDVSKDKFHSFQDTLFSDCMKRFLNLSSFVLPDPNKVIAIISN >cds.KYUSt_chr7.7008 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42250313:42250867:1 gene:KYUSg_chr7.7008 transcript:KYUSt_chr7.7008 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLARAARRAPLLLIVLLLHCSSFSTLLISTANAAHHVRAAGDGMIISQADYQGLQAIKHDLADPYCFLRSWNDTGIGACAGHWAGIKCVPWHGLAITLPWRGLAGRLSDRVGQLIALRRLSIHDNAIAGAIPPSLGFLPDLRGLYLSYNRLSAARRQPAGDHAARGSKRVAQAAAARGWAR >cds.KYUSt_chr1.25231 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151340245:151343157:-1 gene:KYUSg_chr1.25231 transcript:KYUSt_chr1.25231 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKQKMYEMYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKSYFPADKQFLVTRNVPCLRRVVSIEEEYDAARAEVVLDGDDGDEGWLATHGVEASSSKEEEDIPSMDTLDIGKAEEIKSIPSYFGTGEKQDEEEDIPDMDTYEDTGDNSATNQPSYFIAEEPEDDNILRTRTYDISITYDKYFQTPRVWLTGYDESGMPLEGELMFEDISQDHAHKSVTIEDHPHLYGEKHASVHPCRHAAVMKKIIDVLVSRGVEPEVDKYLFIFLKFMASVIPTIEYDYTMDFDLGSSSS >cds.KYUSt_chr4.475 pep primary_assembly:MPB_Lper_Kyuss_1697:4:2590877:2591486:1 gene:KYUSg_chr4.475 transcript:KYUSt_chr4.475 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLLLASAFFLAGSIFFYRYDASGNKSGGTTTTAHLQFNICTTTTRGKNAYNEMIEMTAPVLTKVAPSDGPFCASSFVVSFYVPAKNQADPPAADGLTVQRWAGARYAAVRRFGGFVADSDIGQQAALLDASLRGTKWAASVSDGGSAGPVS >cds.KYUSt_chr2.44874 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279483175:279485666:1 gene:KYUSg_chr2.44874 transcript:KYUSt_chr2.44874 gene_biotype:protein_coding transcript_biotype:protein_coding MALRDVLRTVAQTPHRLRRRALVTCTPEQELSEVRDRSGPRMARRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFVSYVVAGVSALLSSFCYAEFAVRVPVAGGAFSYLRVTFGEFVGFFGGANILMEYVLSNAAVARSFTDYLASTCGVTEPDAWRIEVDGIASGYNALDVPAVALILLLTLCLCYSTKESSMLNMVLTAFHLLFFAFIIVAGFWNGSASNLVRPGGLTPYGARGVLDGAAVVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVLVVSVLYCLMSLALCVMLPYNEIAETAPFSSAFREKAGWKWASSIVGTGASIGIVASLLVAMMGQARYLCVIARARLVPAWLAKVHPSTGTPMNATIFLGLCTATIALFTELQIVFEMISIGTLLAFYLVANALIYNRYAKLGTTRPLHVLLFLLLLTLSSIGFSLSRRIDGRWRWGMALFGAVSVAVITIFHWTARQDVAEPSAEWTVPMMPWPAAASVFLNVFLMTTLKLRSFQRFGIWSFVITIFYVCYGVHSTYTAEENEAVNAMIHHANVDIS >cds.KYUSt_chr3.45638 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287487864:287492141:1 gene:KYUSg_chr3.45638 transcript:KYUSt_chr3.45638 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPHVSTARHPLRVPGQRANGAAVVAAALGLPRRDARVALRAHARRIEAAAWAAVEPRTSSGGGRRKRGEAALCAAAGQVAGRSAGVGRSVGTEVALATMAVVAMGTGNRVLYKLALVPLRQYPFFLAQFATFGYVVVYFSILYLRYQAGTVTDEMLSLPQKPFILVGLLEALAAAAGMASGAVLSGASIPILSQTYLVFQLLLSAIFLKRRYRVNEITGCFLVTVGVVITVASGSGAGASLQSTGILWPLLMILSFFLQAADTVLKEIIFIDAAKNLKGGSVDLFVVNSYGSAYQAIFMCLLLPFLSKLWGIPFHLLPTYIRDGAACFLNMGSLSSGCDGAPLLPLLFVLVNMGFNISLLHLLKISSAVVSCLASTFS >cds.KYUSt_chr6.20279 pep primary_assembly:MPB_Lper_Kyuss_1697:6:127754332:127755093:-1 gene:KYUSg_chr6.20279 transcript:KYUSt_chr6.20279 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQAPGFGAEQKLGPEQTDEMLGSEVNQKFAEMCLNTGVEAVIIPEKQYDPEARLEVHQKFAEMCLDTAVETDIIQETQADPEVGSEVNERQEPSQDTVMETDVNPEEQVAHPGVIYRCKKCRRMVATQEYVVTHDVGQGERCFLRRKSYHVDEKEPECACIFVEPMKWMQAVEEGYVSNKLWCMGCKTRLGSFNWAGMQCTCGAWVIPAFQLLKSRIDESQM >cds.KYUSt_chr2.499 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3024878:3028899:1 gene:KYUSg_chr2.499 transcript:KYUSt_chr2.499 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGGVVAAGRAEIDTRAPFKSVKEAVALFGEKVLAGELLHGGRGINTEHRVTPAPRPPVNRQHAAAVTVNVAAAPPPPRHVQVPTTRELNDAKDELEKEREEKHKMAGCILSLQEELSNAMRELKKLKARDEEAQAKVIDLQVEDLKFMEINNQQKQQHRNQSLPTSSVNVNMAAKHAAEFQKKRYVTFADPPTAAYDRAPSPPRALPDVVLELHHQPQYVPSGRPQYREVRFQRQVSAGHETVKKVMAAAAEEEGRKKKKKPLIPLVGALFMRKKKSSSGGHHDDGSSAVKPRPSF >cds.KYUSt_chr5.37910 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239430435:239434547:1 gene:KYUSg_chr5.37910 transcript:KYUSt_chr5.37910 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDAGTTAVKAIRSLPPLLRLARSVRALLERCLLVLLLNGGAPLGKSAGGGWRGFGQMVDGSGRHLRGGVATTPDPELKMDMWQMLDKFHAEVVDSSSDEESGQSTQTLATAAASILHEFNSNQGPPRASIENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAAYRNRDSCPPDLGFAMAVALEGFSYRGSSVSKF >cds.KYUSt_chr2.43339 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269682413:269684297:-1 gene:KYUSg_chr2.43339 transcript:KYUSt_chr2.43339 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREVESTLPPGFRFYPSDQELVCHYLYKKVANERASQGTLVEVDLHAREPWELPDVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPATRAVVGMRKTLVFYLGRAPNGAKTSWVMHEFRLDSPHLPPREDWVLCRVFQKQKGDGEQDNVRSSSPTFAGCSQAAQEPIPVMDASSEQMAFMPQQEEVTCGSNPLAMNAAIWQQYNSVLLDQFPQDEMVGSSPAMGIGARGGVGDECEFFLGSGFEDMASFGGMRFPQGWS >cds.KYUSt_chr1.38798 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237025063:237027653:-1 gene:KYUSg_chr1.38798 transcript:KYUSt_chr1.38798 gene_biotype:protein_coding transcript_biotype:protein_coding MALEASGVETKALLDQILKRLDDGAALTSKRYDEQVAFNATVAHDLQVMRKQLDLTQADVDEARQVVSTVVASAAPPAPTVDHRAAAAAFVASGLGVPGYPRLANDGAPLLPPTQEAPLMRPQPVRQIVEQRPQRENEGDNGFVKPPKHDFPRFDGMLPNLWLDRCEAYFDMYRVRPQNWVTTAAISSLQEHEQHLRLVFELLRKNQLYAKLSKCSFSQHQIEYLGHVISAAGVATDSSKTQAMKDWPVPIGATRA >cds.KYUSt_chr6.29170 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184826459:184827700:-1 gene:KYUSg_chr6.29170 transcript:KYUSt_chr6.29170 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAPHLADEILEEIFIRLPTTAAIARASTACASFRRIITARPFLRRFHKLHPPPLLGFIADKGGFHPAGEPHPSAPLARAFAGAADFSYSFVPKPNDGPRSPWCPRDVRDGRVLLECGDCGHQFGISSVFTRLAVCDPLSRRYVLLPPIPKKMAVQQERLLEFEPMLAPIGEDEDETSFKVICTAYYKIKLVAFVFSSVTGQWCIAASPSWRSLGTVEPSWKRMYRFNYLRGCFYWTSLWCDKLKLLVLDTGTMKFSTVDVLADHLELTNQPRQSVCMSTVVDGAEGALEMFTLVGIEEPTTFYLYHTTQQNIGGSSSEWLQKDVTALPRGCLYSTVGATEGFLFLRCVGRAQWDDTLHKFVLGFGKDVEFFSLDVKTFELKQVCKATYYNFPTRVHSYFGFPPPLSKPSL >cds.KYUSt_chr4.27996 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175886519:175886950:-1 gene:KYUSg_chr4.27996 transcript:KYUSt_chr4.27996 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYHFQSTSLAQITVVWSIEEKKSDGQTPSGRFVSPPGGRRRVASPEAVVGVAGGRITWGRRRDAREPRVKSQPQGPSSVVTFAAPRQPRHREEPAEGHCRLRRTGTGAGVASALVGAGSRAAAAGAGRREGLRRCEPRQGR >cds.KYUSt_contig_2686.57 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000449.1:307251:308989:-1 gene:KYUSg_contig_2686.57 transcript:KYUSt_contig_2686.57 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNDILEQLYDCLPESPFSSDASLSASTSNDGGAEDRMSALPDDLLRNVVSRLTVKDAARTAALSSRWNGLWTSTPLVLEDRYLLTQPSSGDRSALPAAVSRVLASHPGPFRWVSLSRSFMVNQEEALADWLRLFADKGVETLVLVNRPWPLGVALPESILRCSSLRRLYLGVWLFPDTSRTTAPPRGPGVFPRLQELGICHIMMRERDIEYLLACSPELKIFALILGYGFPERVPITSNSLRCLLLWFTIVEELDIVAAPLLQRLILFWLHRGRTTRVKIGYAPKLTVLGYLDTANHVLQIGNTTIKAGVTNVIPNAVVPSVKVLALNVDFRVAEEVKTLLTFLRCFPQVETLHIKSHNDDENQDEEQDYEDTGGKLTSTFWQEVGPIECVESRVKKVVLDQFSMGDNEIEFLMLCKLRAKVMHKVVLVLPVELSPEVLTENIWKLLPLGAANCASGSCEFALHTRPRFTCDYRGASDLSLSDPFPEGIVLNC >cds.KYUSt_chr2.33957 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209923114:209924148:-1 gene:KYUSg_chr2.33957 transcript:KYUSt_chr2.33957 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTLERLKLSDGDDGGEDRLSALPDDVLIHILVLVDDAAAAARTSILASRWRRLWPLLPELRFASIEHHRIGAALAAREAPDLSLLFALTEDASPEAVSAWLPIAARGLSGTIDLEVVRRVAEPETVERGAAIHLPCFEKATIIMLKLGFLGLALPPSGVFARLVDLQLVDIQLHGQSSGLGDLLASQRCPSLLFLLVSGVRGLDSLNIHSDSLLHMKLFRLRLLQQLTVIAPGLETLMVTGCFTNPPNPDLSVANIKAPQLTWLIWMNVHHLSSIQVNTMKHLQRLTIKLYILLGYEKDFDHNHYWMTLLRRFQLIQALDLVIYYPRVSPFLYYHSIIVMCH >cds.KYUSt_contig_1158.312 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:1890359:1893250:-1 gene:KYUSg_contig_1158.312 transcript:KYUSt_contig_1158.312 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTAILCVIAAYDAASVDGISDYEQEQEMELEALQSILMDDIKEIDPSESGIDTTARCFEILLSPQDDDFDEAARVPVQMALIFAHTEKYPDEPPLVNVKSVRGIKPEDLTSLKEKLEQEATENLGMAMVYTLLDSAKEWLTEKYGQNAGDGESEENEEPAEEVIVPHGEAVTVESFMAWRERFEAELALQRAKLMPDSALTAPKEKRLTGRQYFESGRHIVKGASTLAEEDDEEEEEDIEFDEDFEDDEEDMLEHFLAEQTGKSSA >cds.KYUSt_chr7.1983 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11347788:11360806:1 gene:KYUSg_chr7.1983 transcript:KYUSt_chr7.1983 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLTGRVHLRGEDEAKSAGERSVPERSPEGRGWRGLAGWMKAQIRRKTRNRGRGWTIFRRPDEKKARQERVRGDEWRYKHPNGQSVRSKHLDGLDPINLDPTHAAAQPTDKNQTDLYHHYGLLTLSFLLAPPLQTRSKTLAAGAPPGNPRRRAEGGMGIFEPFRAIGYITTGGVPFSLQRLGTETFVTVSVGKAFHVYNCAKLNLVLAGPQLPKKIRALASFKEYTFAAYGSDIAVFKRTDLVVTWTRHEEKVNKLYLFGEYILSADAKGDIFIWAFRGADPSSDPVGNISLGEKFTPTCIMHPDTYLNKVIIGSEEGPLQLWNISTKKKLYDFKGWESPVRCCVSSPALDMVAVGCSDGTIHVHNIRYDEELMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDGSIVSLHFFANEPILMSSAADNSIKMWIFDNNEGDARLLRFRSGHSAPPRCIRFYGNGKSILSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLKVKEAEIKLKPVIAFDCAEIRARDWCNVVTCHVDTPKAYVWRLQNFVIGEHILTPSSGSQSPIKACAISACGNFTVLGTEGGWIEKFNLQSGISRASYIDGSLALQSAHEGEVVGLACDATNGSLISAGYRGDIKVWDFKSCKLKSKFNVGKSVTKIAYHRANGLLATVADDMVLVLFDTVSLKMVRRFEGHTDRITDLCFSEDGKWLISSSMDGTLRIWDISLARQIDAMHVDVSITSVSMSPNMDVLATTHVDQNGVYLWINQALFSPSTNVDSYASGKHVRNVLLPTVSSAERSEEEESIQNSQDANQSNIKPFVITNHQIPNMITLSLLPRSQWQSLTNLDIIKVRNKPVEPPKKPEKAPFFLPSVPSLSGDILFDPPASKETDDSTTDGTSHKTMADLSSHFSQLLQSCGELQNYSAFTDYLKGLSPSSLDMELRMLQIIDDDDDDDEMEDEEEPRPELESISLLLDYFIHELSCRNNFEFVQAVLKLFLKACAISACGNFTVLGTEGGWIEKFNLQSGISRASYIDGSLALQSAHEGEVVGLACDATNGSLISAGYRGDIKVWDFKSCKLKSKFNVGKSVTKIAYHRANGLLATVADDMVLVLFDTVSLKMVRRFEGHTDRITDLCFSEDGKWLISSNSAFTDYLKGLSPSSLDMELRMLQIIDDDDDDEMEDEEEPRPELESISLLLDYFIHELSCRNNFEFVQAVLKLFLKVRDMWMPPDL >cds.KYUSt_chr3.21939 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135196287:135197894:-1 gene:KYUSg_chr3.21939 transcript:KYUSt_chr3.21939 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEGGNSLPSSSCPDGRKRRVCYYYDPGIANVDYGAHHVMVPRRVAMTHGLVTSYKLLPDMELLRTRPATEDELAGILTDDYLRLLRDLTPGTYRADTARRHHIGALSGDERNDNPVIDGLWDYCARYAGGSLAAARALGSGTSDIAINWSGGMHHACSGEASGFCYVNDIALAIRELLATFPRVLYVDIDVHHGDGVEKYFAADGRVMTVSFHQYGRGGKGGDTFFFPGTGSAEDVGEGAGKYRTLNVPMKAGMDDAGYRELFVPIMREVMGVFRPDAIVLQCGADSLSGDKLGEFNLSVRGHAECVRYLRSFNVPLLLLGGGGYTINHVASCWCYETAVAVGKEKEIPDDIPHHGYEHYYKDQGYKLHYGVTKVGRNAAGTKEHMDNIRNEALKNVQNLHELLKHGVAPSVQFKDIHDQELYKKPPKTSGPKKRRGRDGDGEDPMDRLHRLCGEADVRNFFARVGEENIRGAASSPELLLPNECRSAAFVKLPECQ >cds.KYUSt_contig_1537.67 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:376284:377051:1 gene:KYUSg_contig_1537.67 transcript:KYUSt_contig_1537.67 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRTWCPARRGQDADYDALVPPSFAYTGDGYRSAPVHTFGFGTDHDAAAMHTIAEATGGTFSFIENEADIQDAFAQCIGGLLSVAVQELRVHVACANTGVRVRSVDIGGGRGGHGVGIPSSTSCAASTGGVRRRCADGDGLQQGNGGMARSGGATDSRGGGASAAGNGAGEGEGGDGGGALHTCAGDGDGATGARAERSGAWTKAMVTAMDCCGVARITATAWRGSYGDGVARLRASACVRNRGIWGKFCPRG >cds.KYUSt_chr4.25786 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162170085:162172128:1 gene:KYUSg_chr4.25786 transcript:KYUSt_chr4.25786 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLEERRVAGAPAATRRRRAGRFRVGGFQESKKNHLEFVDELNSIRRNDKEHDIVGGLRGDHLLDKSYADGRGDVFTSPKWELPRAFVLKVLKVGSPRKSPNQDELAGAAGSGSGHGGSAQWLCNLLGGGQKKEKDQGREQRRPTLRDSSSEAEPSAASGGNAAVAQVAPGGMAHVHVQGDQRGQNKQGSRPSRLAAAHAAVEVVPLSSQASTPRLRPGRGTCLHGGRAAQQPGPDHDGVLRHLCKAPRPRCSRC >cds.KYUSt_chr6.4664 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27349155:27353531:1 gene:KYUSg_chr6.4664 transcript:KYUSt_chr6.4664 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKRNIKKASGHHGSPSRGFHSGQCVEASDLSRERERGKPNNRSHLPPPLLLLLPSPCASPRAATAPSSILAGGVRTYSHMASGGDRCGGEPAAGAGGDLYAVLGLKKECSDADLKGAYRKLAMVSNYTGSPLLLSRPAFTFLENFICICDVPLFLTGESGKWHPDRCSSSSSTKHMVEAKEKFQQIQGAYSVLSDANKRFLYDVGVYEEEEDEDSLQGMGDFLGEMAHMMNQTRPTRQESFEELQQLFVDMFQSDIDSGFCNGSAKDQFDPFQRQTRTSSTSPSSSPSPPPPLATEVDVPSCNGINKRGSSAMGSGKPPRAGDVGAGHAQPEFCFGKSDAKQAPKARGANASGRRNGQKQKLSSKHDVSSEDEMPSPQQYTVS >cds.KYUSt_chr6.3639 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20997487:20999613:1 gene:KYUSg_chr6.3639 transcript:KYUSt_chr6.3639 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRGESCMGSARNDGAAEPDLWGAGPEADPAVGEQACLGDISGGGRPRRMSDAAAKVAGKVRNIPRGGRQAHDHLCDGPEEAECASAIEEPPSLVSPAGPAAHAPRGGLRQRRLNNDGYF >cds.KYUSt_chr3.30533 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191329841:191349349:1 gene:KYUSg_chr3.30533 transcript:KYUSt_chr3.30533 gene_biotype:protein_coding transcript_biotype:protein_coding RCGVALAVAPAAVGDSSAKGSVHRHVIEEVMDILDMAQMASQRRDVFHTSFLTPPIIKEAMLAIEKLADIKAVAQGGYPQAERCRISVGHPDSMRSNPDVVAALSISGNFRLEPCSHGDFLGAILGAGITREKVGDILLQGERGAQVIVDPELVDYLTSTLEKVGKVGISCTQIPLLAIEYEPPRTKSSKTVESSLRVDAVASAGFKISRTKLGSMMSSGDVRVNWSVVTKSGATLKAGDVVSVSGLGRLKIGEIETTKRGKYVVQLIQYLVEVLYELPTPVKACSALRREGN >cds.KYUSt_chr3.31614 pep primary_assembly:MPB_Lper_Kyuss_1697:3:198753192:198755842:1 gene:KYUSg_chr3.31614 transcript:KYUSt_chr3.31614 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYKGGGEARRVINVVYFLSRGGRTDQPHLFRVNLSHLHRAGVRLRDVKRWLSEVRGKDMPGNFSWSYKRKYKSGYVWQDLLDDDLITPISDDEYVLKGCDVRRTPPPAVAVAPKPSSLDEKKLNRKGSWERKQVEEVIQVQVTRDYDEGSPKPPPPIDQGSPVGADGDRAPFRIVLPQDLLQPKQRRQREAAVRSTTKATDQQQALVLAARPAAAAMGGEKQQRPAVGSARRVRVAQVLHSILTCGAADGVDDAAHRPVVPRQRIDDDDGWQRTPFCPGMDGCGIRKVKLQRGGGGKDGKAKRDGGRRDRVAAAHKPATLPRCSQCGKGFKPQELHSHMQSCRGLRERMRSSARMSVDRSQSSTAKADHSSTDRPHTVFLLTES >cds.KYUSt_scaffold_6468.566 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2721631:2732597:1 gene:KYUSg_scaffold_6468.566 transcript:KYUSt_scaffold_6468.566 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSPLADPLEVTPLATESPPSEADLQRTFHAASGSGSQADPEAEIRMEVLDEDEDAEEEVDEEIKAEVEEDAPAKINKKNEKGKWWSCNISEEDLTDPKKEGIYHKAESKWRVVHGELHPNPQGGERVITKALMERGFSFPPSSFFTEVLEHYDLQPHNLPPNNITVLSAFIALCEGYLGIFPRLDLFKFYFGIKRETVRARGPLANCGSVSFKIREEKDFSMPPHHESVRYWSGGFFYCNDKAAPRNAHCLPPFQDRSPEEKDSWISVDDLPPSPELHPFVRRISKLIEQGLTGQDLVWCWFVRRIQPLQHRNRLMHCNDGNTDSLRMTTDNFPSDALDKRMRKIVKVARDIKTLLDSELANLLRSPCSLDFPEEEQGCPKIFAEPSALKCKAESGPATSSKRGRGAQSGNVRAPDAGKAEGVRRAHIATKEMDTSLNFPKARRKIPGNKPTAASKAKMVAKKSKPSPATFPETPTVSAPSRSTSTIIPPKGKASEVINLEKDQQGQEEDEFMDAGVDLGGSEEAEFMRKSAEAFADDAVAKAEMAKKVSSSKTFAGTSSTQAEPEDLEQMYKDTQLMQQQLWDTCQKQKKRLKALTEAETEKDQLIAMLNEQLKCSEAQASDKAKLNDLSAKRATLEAENESMKRSLDVSHEAESKGQLELKTKSKEFEKILAEKNELVSKCQEATKTVGKELDDHLELAGRIDHEILELFNFPASWNRQTKFVKAGSSLRELIRACYAIAKHLTVHDGETCPTDQLARKMEFVPGLIEDWKKSSARGAARMALTLMKDHMPGFMPSYNCWTKHGERGVMMEDNEDEEEDDDMYPEYGDTATGQAKDEEEGEAEDEEASDEPADDLRRAIADAHRKAENGNTKLGTTLELLQWKAETGLCDKAFEKLLKIMKKKLPKDNELPDSTYEAKKVLCPLGLEVQKIHACINDCILYRGEEYENLEACPVCTALRYKIRRDDPGDVEGESPGKRVPTKVMWLTVCLHWEAKLMGRGAYTRMEYNCESYQLGHGGNLMFEKELKQLVEYLGRPYPEFFGIPLNNQSGRQPRWEVTADLRGKLGAPIWDTIWFSVTGNTWKEGIAKAMQEAIARLCGQNVNKLKNTRFIYYPRHDPMGRPITMPPHPEMNHYVAYLDFMLYKTRKELDNALIFRQAHYP >cds.KYUSt_chr1.29410 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177956912:177958156:1 gene:KYUSg_chr1.29410 transcript:KYUSt_chr1.29410 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVSHTAPAALPSAAPPCYPATSGPSYAAEDDLYGLLQSLQRRMEFVAIQEEYVKDEQKHLMRDLLHAQEEMKLVQAAPLVTGHFMEMVDGSNGIVGSTTGRSYYVPILSTIDRELLEPSASVALHRHSKALVGVLPPEADYSVSLLGPSEKPSVLYTDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVACHTSAAFIRVAGSEFVQKYLGEGPRMVRDVFRLARENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADISAICQEAGMHAVRKNRYVILPKDFEKGYQTNVKKPETDFDFYK >cds.KYUSt_chr7.20240 pep primary_assembly:MPB_Lper_Kyuss_1697:7:125485659:125486525:-1 gene:KYUSg_chr7.20240 transcript:KYUSt_chr7.20240 gene_biotype:protein_coding transcript_biotype:protein_coding MACCEEWANVDGVPSMIGKEGIEQQVNGKELVPSLFLDRCLAKDGQETSVDLGEFLILKMDKAKLMAVEALDGERNPFSGALAKTAIDKIQTEKMDKAKHATVKVWGGEKTPFSIIPKGAPVVAGKAAAAMDKIQTEKVHKCAASEQPAAVKGKQRLPDEYVGLILSMPREKPIDMESEEMKMSFMTEERIQLHKEGAARSERIRASFLQFQNRVRDEFLEKGHVEVDDDYFTNAAESEKEGRAMWEESKKRRNPILTFASRDDPNYACFYAPLDPVKQADSLLSDLY >cds.KYUSt_chr2.19451 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122347357:122347970:-1 gene:KYUSg_chr2.19451 transcript:KYUSt_chr2.19451 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKPTVLAYPKIPEKIPMDYPIEQVQQHPRFRAVHPWQWWQWQLSLLASSSSPPAPATRPPNHVSWDETAAAHLYSADLPGVRKEDIRVEVEDGRYLVIRTEMDAGDADVDSRRSSFTRKFRLPGMVDADSISAEYAHGVLTVTVPRMHTRARPVVNLLGPGPACDSVARAA >cds.KYUSt_chr4.42879 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265582012:265585521:1 gene:KYUSg_chr4.42879 transcript:KYUSt_chr4.42879 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRDRPAALAVEAFGPQATLSARPTSLPPEPAAVLAAATLARRRSDPTVGGHMRRARRFRKPVLAYPPCEELRDPAVVVEGLGCLSLPPLEVFPQAPSPSLPVMNDDVSGVAQCSVGVCDGERALEKVAGSGVMRSEEMAPEKMDDDEELAPRTPSVSNGVVPGSVCDAADVRHGGKTLEELCDGLSPAAAALGVEEGWVQVGRGNRPGLEPLSLLRKEGLERSLAFKRWARGRCFRRLQEPRCIQFCAGYAPSHGQSQCIRLSARNQQGPRRGQIGQLAADHLFSMEVGDIGTILVCQLSMQMMKNGEVLHVRKLMKSKDMKKPVDQEEN >cds.KYUSt_chr1.24061 pep primary_assembly:MPB_Lper_Kyuss_1697:1:143472186:143475507:1 gene:KYUSg_chr1.24061 transcript:KYUSt_chr1.24061 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKDASPRRWRRRRRLREDRLSDLSDDLLLEILRRVDTHTALGAAALSRRWASLPRELPAMDLKVTDILPPRYHRLYLLRDDARESKISSTLQDRRRLNAITGRYERRAMRAMICSVKRLLASRAHRRVERLSLEVFAYSTSPCINRLVVDAVDSWGVRDLEVVATPTGPLAFPDPPPYSFPCGLISRKPAGQSRLRSLRLANCLPPPLRGFTALTTLVLRDLPSTTPAATYEGVVAACPQLQVLHLVSCEFTSTTRRLVLDSPMSEIRELLLDGELMVVELRSLPKLESLTSLHADVILCSTAAAPCLARVSLAFSVGPLEGDSFAALNRRHQDCLIRMLLEFFQGAISVKDLVLRFTGPEMWILPKNPFSAMSNLRRLLVADVPSSWDISWPHLLIQAAPLLESLYVHASHGEDETRQEVLGEASSSSRHHHLKELVVIGFQRTERQMHLVRFAVEISTALKRVSLLKHGHVVDKGPSCDWEVVSYVCIFELVVEKEEMYAETAERKDSSDSLVNYERREIRSKQASLAGCKRGEEEDGGRDASTAAVSSFL >cds.KYUSt_chr7.28098 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175487769:175490081:-1 gene:KYUSg_chr7.28098 transcript:KYUSt_chr7.28098 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQELARYVESFVRHAAALPGAAAAGISPQDVVRRLEAQLGVDLAPRAPLIRDVLLALLSPDHFPPASSPHAHQQHHFAATTTSSSTMPAASAPSPTAVPHYFSQQQQQQQQQQPTPQQLQSYYAASQQYQLHQLQQQQQHQQQQQQHRASPPTSSPYDAPASFRYAQPGGAQLQRLVQMQQYQQQQQQQQQQYHHHHQQQMTAAASAATAPNAATAAQSPRAPVAESPRAAAAARPKKESASTGVKRKGGTGGLNKVCGVSPELQAIVGEPTMARTEIVRQLWAYIRRNDLQDPNNKRKIICNDELRLVFETDCTDMFKMNKLLAKHIRPLEPTKDSNRDLKKLKPVEDEPSPPAEAEATNQLPAIVSDALASFFGTGEREIPHSEAVKRVWDHIKSNNLEVSG >cds.KYUSt_contig_1790.377 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1901057:1907061:-1 gene:KYUSg_contig_1790.377 transcript:KYUSt_contig_1790.377 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCPPHVSWRFGASWMPKRMGTGSSSSSSGPRLSSTPSSTPPTTLFSRVKVEMKETPRRRPSDDLILNSDRHEAQIWLSRVDQSPSDTMTEALKPTMDALVGSQLLNNSSQGVKVAVACCLTKVTRITAPEPPYGDDVMRDVFTVVVQSFAKLDDTEGPLFAKRVSILETVAKVQSCVLMLDLDYDDLILQTFDHFLSTVSSSHQENVITSMEKIMMVVIKESEPVHPALASCLLRYLKKEKKDSLPASFMLAERIVGLCPEKLKPAFVKSLQGTPLNVYSKTVASLVEGSSDTGRDDEVDAVGEDTSTEKKLANPMRDIKRQKLVHNSASEAVDKTAAGVPELNSETWSAVFLRLDASDVYKCQFLSPVWRRIIRTPDFVASYRDMALQSPNQMFLFRSYADPSLDVNGSLACFTDIYGLNETPHGHCILRSSEIFLADGADTYHEDDDDRTEMELSRANQAYYIHDSCEGMLLISMGTRLVVYNPFIRRWGRLPELGDIKLADGKTICAFFATGEANNREFKILYRSTAAFNIYSLNNKTHKVVPHIDEIGSGTTPLSTCLADRLSYGFSMAAVKFEHWLCCWNPDNIDLPIEQRLIGWPSSSIDVWVLDDMAASLWRKHLSLEPPGTTFLRANDYMGDIEYGVLLVNDAETVLLPCIHDLLVCGLDWSIRKKIRKDNHHVIPLCISIEQGFETHSWLDQTEVPFVFSQSDTDQDAIGDSSEESSGDDADGDGDGDDVDGDDAGDDESHDDSDEADGDESHDDSDDAGDDESHDDSDEADGDESHDDSDEADESDSPPSKRRK >cds.KYUSt_chr3.34745 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217881992:217883874:1 gene:KYUSg_chr3.34745 transcript:KYUSt_chr3.34745 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGDSFLPPTNAVAVPSTTFSLVYPCTETVVASFAANVLHQCCSVDDAILQTAHGFAIFGTDQASVSACGATLDANDTSANKVFLDTEDMFPTTPMSSELSTFQPLEFYDCRRGAFVQWSPPLGMCVNLPMDLLEQDNQLQYIGRKQWLIEEISVEVSSIKEELEPINHLWTMMLNDLNEGFSLEHLWDPGGLILSSWHLQQLEAILSNKNSSSVDISEQESIAFANLQTALYSPWCMECVKSDIGKTQTCWLQLQPKPPWSHLTEYYASSSKSGQSILSKAVRSAGYLETRRAVLPPFSWDPGVRTLIKIDHRRCKQIQVKDGRNSKTTYQGIVQVHIANSYYLDYACYFDSVRADYYCETFLDYLGCFLSIFNLAQAAVNKGYNSNHERQLQWDPGGFAWHRLGVKPNLKEGGIVSDLFYWAGLWADTLGFGLSEDTKACHYIYQGTGTHTDRLGTDGFGLLCFGFLLPL >cds.KYUSt_chr4.10225 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61851976:61853657:-1 gene:KYUSg_chr4.10225 transcript:KYUSt_chr4.10225 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIDGDGGGELLGSGTGDHADFISNLPDDVLGTIVSLLPTRQGGRTQALSRRWRPIWRAAPLNLDVGDDGRGFPEKVFSAILSAHAGPARRILLTKLYPLPTNYDYANRREVYDDAGDGRVDGWLRSRDLSSLQELDLAYSYDHCRTTLPPSVFRLAPALRVARFGCCRLPPNLAADLPHLQQLTLYRVTLTDETFRAMLAGCPALESLMLEMNVGVGRLRVSSPTLRSIGFLSPWEKQQCHDDIDDVNEIVVEDAPRLDRLLPLNQKKGSAIIRIISAPKLQILGSLSYGIANLQLGATVFQQMVAISLTTTIHTVKVLALDHVGPDLDTVLDFLKCFPCLESLYIVLHPLRFQDPYHENELPLLKKMKNMRKYASLDDPTECLELHLKKVALKVYYGRGIEVDFARFFVLNARVLERMEFGLVEEYDDKWRANQNMHLQLEDRASHNARFEFKRFSLTSFKDYKKHTHDLSMPDPFGASFFDGYVSL >cds.KYUSt_chr1.18277 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106770773:106771282:1 gene:KYUSg_chr1.18277 transcript:KYUSt_chr1.18277 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAGEWGWMAAVAAEELAKLEAAHPGRLGPLKDELKRLVADPGWDDADAFALASLEPTPSPSSSQPAAARLDLFTEESSTNKRKWCAGGGGASPEQGKRRRKSAPPVLVRDRADMAIDRAKKCLKKIRAIKRSLLGGFVRE >cds.KYUSt_scaffold_3611.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:51698:52525:1 gene:KYUSg_scaffold_3611.10 transcript:KYUSt_scaffold_3611.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRRGREEEEEEAKAAALRAAALRLLAIARGEDPGDAELDTRVDCRILVVKPDKHPSPAQPQDEGGEERHTPFHQEQELAMDAVDEQFAQLCRNGPPRRGLDEETQQILAELGLRGPEGYHPSLPPPSRMELHTMALWEAMTEMRAHPDPRYDLYSWEFFKAYLLSPRPVDPSATATDAPLPELAARYPYLADEVARLTRLKTAEQREAVGRGLLQADAAKLASLEERFREAVWAGKNAMVGKLSAEVRYAKARLQAEAMAEKIKAASSNGHD >cds.KYUSt_chr4.34432 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211402710:211405691:1 gene:KYUSg_chr4.34432 transcript:KYUSt_chr4.34432 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGRRRTRADPGTGTGREAKKKKRAEDRPVLFAALESEKSQSEAKLDRVYADVVESATEESSDPPSPLLHRPYIPDELADRADIRAAFREANATYEADTERRSAVLTMDRYSSSSCLSNDRRLLHIREAAKDAVLLAANSIISLSSYLDDEPLNRCCGLWIQRDDKKKTALVLTSAYLIRAKDPPSVIVHLLDGTTALARLIYLQEQYELALYEVVVDKAVQLSTFNDNVHSGQDVFRLGRDESLDLRITHGRVEYRIPNRHERCHYICIPRAHLGMTFTSIKFLDPICIERMRRKHNIESGLIVEQVSKESNAEKLGIRKGDIIERLNGQYISTTTELEKMLLDIGGDHFPKAKVLNAKLDIPIQIFRATKLCRRARNLTVTVSDCGEDIIEVFLVQELP >cds.KYUSt_chr1.38805 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237047057:237048316:-1 gene:KYUSg_chr1.38805 transcript:KYUSt_chr1.38805 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEGRRNNSRRRWVSELINPITRTWDEEAIRSCCAPADARVILSIKIPARASEDFIAWSAESNGIFSVRSAYRIGVNPMLLRLSQGQSSSEPSGDRSIWKMVWEAPVPQKLRIFAWRVATDSLAVLAGLHKRINTVNPTCSICGQAAEDSHHALIRCTLARALRDETRKLWRLPPEETFEYNGKEWILQLLCSISKSDRPKVIFLLWRVWHHRNNVVHGDGKASITASALFIVNYHRSFLAARYSMNQHSSEDPLAHTKWIAPTEGSLKANVDAGWDEVSKRAGLGVILRDHKGQVILTEWKHIQSCASAEEAELQACIAGIKHLISIGCDQAIVESDCLRAVQSISSSGQELSSGWALCNEARDLIRVFGSISICKIDRVSNGAAHVLAQLGKSGFDGFLRGEAPSCVSELIAQDCN >cds.KYUSt_chr5.14392 pep primary_assembly:MPB_Lper_Kyuss_1697:5:93429073:93433201:-1 gene:KYUSg_chr5.14392 transcript:KYUSt_chr5.14392 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVRACMPLRTPPAAASASSSAATTAASPSKPRSNARVLVLGGTGRVGGSTATALSKLRPDLNILIGGRNREKGESLASKLGVQSEFVEIDTGNASMLKEVLQDVDLVVHTAGPFQGGAECTVLQAAISTKTAYIDVCDDMDYSWRAKAYHEEAKAQGIPAITTAGIYPGVSNVMAAELVNAARNEDGEPERLRFFYYTAGSGGAGPTILATSFLLLGEDVIAYNKGEEIKLKPYSGVLNIDFGKGVRKRDVYLLNLPEVKSAHKFLGVPTVSARFGTSPFFWNWGMEAFANFLPVEFLRDKEKVRELVELVDPLVRAIDGFAGERVSMRVDLECSNGRNTIGLFSHRKLSVSVGHSTAAFVLAVLEGSTQPGVWFPEEPEGIAIESRKLLLERASQGTTNFVMNKPSWMIETDPKEVILGIYV >cds.KYUSt_scaffold_1259.111 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:814398:816626:1 gene:KYUSg_scaffold_1259.111 transcript:KYUSt_scaffold_1259.111 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASHPRASLQATAWPYNVSPLARRPRLRRHRFRAAAASDQEGLLTALREQKDPESALRLLNSALARDDFAPSRDVYEEIIGKLGTAGAFDLMKVLVREMRQEGHEVRVGVVQSFIESYARLQMFDDAVDLVLNQLDMFGIQANTGVFNHLLSVLAEGSKIKLLESVYTEMTNRGIKPDVATFNTVINALCRVRQVRTAVLMMEEMSSHGVAPDELTFTTLMQGFVEEGSIEAALRLKSRMSEMGCLPTSVTVNLLISGYCKLGRVEDALGYIQQEIVDGFEPDQVTFNTFVTGLCQNGHVNHALKVMDLMLQEGSLPDVFTYSTVVNCLSQNGELEEAKGIINQMVDSGCLPDTTTFNTLIVALCTENRLEEALDLARDLTVKGVSPNVYTFNILINALCKVGDPHLAVRLFEEMKSSGCTPDEVTYNILIDNLCSSGKLAKALDLLKEMEVSGCPRSTVTYNTIIDGLCKKLRIEEAEEVFDQMDLTGIERDAITFNTLVDGLCRAERVDDAAELIEQMTSEGLQPNNITYNSILTHYCKQGNISKAADILQTMTENGFEADAVTYGTLITGLCKARRTQAALKLLRGMRMKGMRPTPKAYNPMIQSLFRGNNGRDALNLFREMTEVGEPPDALTYKIVFRGLCRGGGPIKEAFDFLVEMADKGFIPEFSSFRMLAEGLLNLGMDDYLVSAIELIVEKANFRESDVSAIRGYLRIRKFYDALATFGRILEINNPRWTYR >cds.KYUSt_chr4.2774 pep primary_assembly:MPB_Lper_Kyuss_1697:4:15627769:15629647:-1 gene:KYUSg_chr4.2774 transcript:KYUSt_chr4.2774 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMKDAQAQPGGEWDRGSGGADALLGLAGAGASLSVCYHEAFGPHDDLILLEAADDLLPDLLHGRVTVRGRPEEEAVLCTPSATYAMKFVGTSNSMFLIPPGEAVAPSLRPGHANEDATTTSDAAASIIKVAPGSIELVRTAPRLDKLRNLLRERPYVLDEDLGDGSEHKKGLYTWEDLCVLVQASDSELMDGLNSISAVEIDGFWRTVDVGSVNTVLDMILHNSVLHDWLLNAVPENAVLSVMESDGFTRKIVTHCLNRFGTKVEQEAATCWSLDEKLVCLQFARRALSAGKMKLNSFMDKWERSIPSGMRADLQMLEGEVLYEKRGTETWVHAFRVADLPLTPAERFAALFLERPRWEWKDLQPYIRYNLSQEFLFLYSRILKHTTKSSSIVEFSCKLLSPSMVQGLVRTWRVFGRIAYQVCEKNAAKR >cds.KYUSt_chr3.23005 pep primary_assembly:MPB_Lper_Kyuss_1697:3:142397695:142405215:-1 gene:KYUSg_chr3.23005 transcript:KYUSt_chr3.23005 gene_biotype:protein_coding transcript_biotype:protein_coding MEREIHRVTSLRRDSSLWRRDSNVFSRSSSRFQDEEDDEEALRWAALERLPTYDRVRRGMLSVEEGGEKVEVDVGRLGAHESRALIERLVRAADDDHELFLLKLKERMDNVGIEYPTIEVRFERLQVEAQVRVGTRGLPTLINSVTNTLEAIGNTLHVVPNRKQAMTVLHDVSGVVKPRRMTLLLGPPGSGKTTLLLALAGKLDKELKVSGKVTYNGHGLDEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDHDIDVYMKASAMGGQESSIVTEYILKILGLDICADTLVGNEMLRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPRENVLEFFQFMGFKCPSRKGVADFLQEVTSKKDQEQYWSRSDRPYRFVPVKQFADAFRSFHVGKSIENELKEPFDRTRSHPAALATSKFGVSRMELLKATIDRELLLMKRNAFMYIFKAVNLTVMAFIVMTTFFRTNMRRDATYGQIYLGALFFAIDTIMFNGFAELGMTVMKLPVFFKQRDLLFFPAWAYTLPSWILQIPITFVEVGVYVFTTYYVIGFDPSVSRFFKQYLLLLAINQMSSSLFRFIAGVGRDMVVSHTFGPLALLTFAALGGFILARPDIKKWWIWGYWISPISYAQNAISTNEFLGPSWNKIVSGGNQTIGVTVLKDRGIFTEAKWYWIGLGAMVGYTLLFNLLYTVALSALSPLTDSNPTMSEEELKEKSANLTGQALEGRKEKKSRKHELELSHNNGRNSVSSSVDSSGSRKGLVLPFTPLSLTFNNTKYSVDMPEAMKAQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITVSGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWLRLPVEVDSEKRKMFIEEVMNLVELTPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGPNSSSLIEYFEEIDGISKIKDGYNPATWMLEVSSSAQEEMLGIDFAEVYRQSELYQRNKELIEDLSTPPPGSRDLSFPTQYSRSFTTQCLACFWKQNWSYWRNPAYTAVRLLFTIVIALLFGTMFWGLGSKTDKVQDLFNAMGSMYAAVLYLGVQNSGTVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIEFPYVMVQALIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNIWNLFSGYLIPRPKLPVWWRWYSWICPVAWTLYGLVASQFGDLHHELEGALHQGQTAASYIEEYYGFRHDFLWAVALVHVVFTVMFAFLFSFAIMKFNFQRR >cds.KYUSt_chr1.7807 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48030965:48032331:1 gene:KYUSg_chr1.7807 transcript:KYUSt_chr1.7807 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAGSHGGVDGAVVAFAPGGGAVVVRMIRAAVFFPGDLAGGTGDVGAAGLSLFWWWSSDLGFLASEDDDLPETLPGSFCKKVGFTETCKITLKSSEKKGSWEVHGLVYEKICQWKLSGGWKMFCRDNGLKEGDVCTFKVLKSKLWHVDIDRC >cds.KYUSt_chr7.37625 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234644025:234644688:1 gene:KYUSg_chr7.37625 transcript:KYUSt_chr7.37625 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLAGSLDGGTSGDALTRPARLDLAPTKTPQPAHATRPQLRPPSQWPARSRRRASPRAEAARHQGRAEVRPGHRRREEAPPLPPRHRRAARDPQVPEDTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr3.4309 pep primary_assembly:MPB_Lper_Kyuss_1697:3:24495470:24500084:-1 gene:KYUSg_chr3.4309 transcript:KYUSt_chr3.4309 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSGGLLSRQLVHADGRDEEDEGGDAMNWKQHKSNQQPIGEASGAGGVKKKMKAAVATDVTVDLAGLDYTLCLNILRPPVFQCAAGHVICSTCYEKLLEKDYCQLCSVSTSYSRCFAVERVLQSVKVRCSNAGYGCMAKMPYYEIQDHEMNCLKGLCDFSGSNIVQSMPLHHDLCISPVIVYEMQRSVVKMGPCGGGGGNAWKMDMRGVNRIMKVVVRHGAAVDAMSVFYEQEGQEKKTKALGRNRRKTLRGEYLTSVKGHYGFYNNWFVIRSLTFVSNRRNFGPYGKEEGSPFKLPATGGKIIGFHGRSGGLLDALGTYVEMV >cds.KYUSt_chr5.2824 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18607451:18608326:-1 gene:KYUSg_chr5.2824 transcript:KYUSt_chr5.2824 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLEPCLAEANRVHDVPYKYASIVPSLIRGYVVLRWPHGLGGHAAIDVFNKWADTEGRDDCQEQQKTNPWRYICREHCVARSLRQHLRGWGANLGKQKREARDNLLTQIKELDTLADSSGLDEEGWAIRYFLED >cds.KYUSt_chr3.28166 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175732599:175733063:-1 gene:KYUSg_chr3.28166 transcript:KYUSt_chr3.28166 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPVLAEMIRDLPFLLGEPDLVQRLLHHTHVRIDHYAAAIDEAAARLDTLRRMDRLLRQTLRTADEEVGSAAEAAEARLRAFLRQRRNFTQVLAFLLFVRALAFARTRSRLVPAVLLAAASAAAFPGLSTLVRLSVLTLGFLFSSDQQPTGGV >cds.KYUSt_chr1.7903 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48526434:48539355:-1 gene:KYUSg_chr1.7903 transcript:KYUSt_chr1.7903 gene_biotype:protein_coding transcript_biotype:protein_coding MALASFVGEAVSPWSLLFGLAALLVLWAASHAAERYWLRPRRLGRALRAQGLSGTAYRFPAGDFSENVRLSQEAQSKPMSPPCHDIVSRVLPHLHNTVMEYGEVCITWFGPIPRVVIARPELVSQILSNKSGHFEKFTNNSLGDLISRGIGSLDGAKWATRRRILNPAFHLEKLKGMLPAFWTCCAEMVGRWESKLAAAGGSHELDVWQEFPDLAGDVISRTAFGSSFEEGRWIFLLQVEQAERVMKAFQYMYIPGYLFLPTENTKRMKAIKREVEGLLRGIIEKRERAVALDGHSGNDLLGLMLQSNRASDSGQRMSTQDVIEELKLFYFAGMETTAALLTWTLVVLGMHPEWQDRAREEVLGVFGTDDTPSFDGLSRLKKVTMVLNEVLRLYPPAVTMNRKTSKETQIGGITYPADIVLEMPIILLHHSPDLWGDDVLEFKPERFAEGISKATKDGQPGFFPFGWGPRICIGQNFTLLEAKMALSMILQRFEWRLSPSYAHAPCTVLTLQPQHGAQIILKSL >cds.KYUSt_chr1.25949 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156118368:156119066:-1 gene:KYUSg_chr1.25949 transcript:KYUSt_chr1.25949 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGGTTESSSVARWRSPRQAATERGRRTRPSEPEAYGFVGSIAAVAAALAYLAWAYAPEPWLHHIGVTYYPNKHWAVAVPAFVLVAVAQVMVLYVASNFLLAPPPASFDTISDEHARDPASSSPATGADQPVEPISDIGIDRMNHLMFGDEGSRFIQFQEDGLD >cds.KYUSt_chr7.5901 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35284542:35285303:-1 gene:KYUSg_chr7.5901 transcript:KYUSt_chr7.5901 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEHRVVVAAVVGAVVLDLRRRGLAAAALAGVSPPRGWLVPFVVRGLEVDDGDNDAEWRCRRTAEARGVVGGGWSGAGGESACGGQVHRPPLLLRLLALPVALGPRRAASSAGTRSVSDLAIASAAAASSARFASSSASLFAAALVAAAASSFFAAFLFRPGWEGWSRMTRAPLLRPLVGGGDAGSFLTRTGVEGDVASSLFTGAKDDLAADPEDDELAAMRRGCQTFPRRRLADALDGGGIVSTGKFPPSM >cds.KYUSt_chr4.52472 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325436837:325437208:-1 gene:KYUSg_chr4.52472 transcript:KYUSt_chr4.52472 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAYDVAALALRGAEAAGPALNFPYEALSRPAPVSRAPDDIRAAATAAAAAMVNGAGNLQVAGSCCPVAGDQRRVVDVDDVLEMPRLLASMAEGLMMSPPRLGAAPVEAEDERGMSLWEHC >cds.KYUSt_chr1.8054 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49446642:49449356:-1 gene:KYUSg_chr1.8054 transcript:KYUSt_chr1.8054 gene_biotype:protein_coding transcript_biotype:protein_coding MGISLSCRCCQRRGLAGLIRCAVIVWRVAAEMGVGGEKFQLGTVGALGLSVVSSVSIVICNKALMSSLGFTFATTLTSWHLLVTFCSLHVALWMKFFEHKAFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRTIQISLSVLLLGVGVATVTDLQLNAVGSILSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFNYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCSKEPKNTEVSPQQTKEGDSAPLISDSLSKVENGGDDDEPLKVPMWSSKYSRA >cds.KYUSt_chr5.17839 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115257322:115258300:1 gene:KYUSg_chr5.17839 transcript:KYUSt_chr5.17839 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSPLAGDDDEDYYYGYDAGYRRGSGGATGKSAKKDKGIFSCLPCFIPISPGAVDPAAYRRLLSSDSSDSDNVGAVDLTADLARLRLRYSRLAAGPPVRPRDVPALIARPDDPPLAVAALSWLGGDLRPSCILRTLLPALFPSLPPHARDALSAAARRLQAREAALDGEVAEYQATYAVKLACEKTKEGFADTAADEVCKMARAARRADKLRWRAVEAAVKEVLAPAQAKEFLKAIDDVAAKVGRHGTRWHARAGPLSVPAEAFDRMRANARAAADDAW >cds.KYUSt_chr4.9897 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59807018:59808323:-1 gene:KYUSg_chr4.9897 transcript:KYUSt_chr4.9897 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPEEERARPEVDDDDDDDIPQLSSAAATALREFLEQRLEGEKGEGDKPELVAEDWRLSQFWYDDRTARELAAEVSLLASSLPGAAVACVACPTLYAYLKKGSPDVPARLLEYDERFGRYGGDFTFYDYNRPEELPPALKHAYRIVVADPPYLSQECLVKVAKTVSFLAPPEGSFLLLLTGEVQTDRVLQLLNVHPCGFKPQHSNKLGNVFRLFTNYDPADRLGGWDRSDGAHI >cds.KYUSt_chr1.18342 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107226321:107227259:1 gene:KYUSg_chr1.18342 transcript:KYUSt_chr1.18342 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSLLVKATTVAVVVAFLAATAGASSFVSTAHLPTDVLSSTSFLWITANVIIVCLFVFSHRQRTGPAASSSSGGDLSDAMYALFPSSDHDAFAAAPDAVSAPVPDSARQARAARSAKRPTERPRVRRKSAGEEKPLAAVEAVVKPGVKNEHIKEEKPTAATAAATASEPADTNDVSMDSAWLSIVRSGAARPVAVRKSETWGGEELPRMRRAADKAVSARREMRKSASMVPPSPPHPSAATATSSPVAAKQGWRTRDVLVVMAHDELLHRAESFIRRQHEHLRIQRQESDQRQMAMDQQDRRLRAPAPIRV >cds.KYUSt_chr2.40660 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252634677:252637253:1 gene:KYUSg_chr2.40660 transcript:KYUSt_chr2.40660 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTKAAAAAAAAASDSGASSPQGSVEGGGEKEGSFLLGQPTWKDAGGGRWRCAETGHELPEREKDAYARSRACRLALIDHGVAHKKPPLNAFKPHPEHKSKLVCNITGDTINKSEEHIWKHVNGKRFLNKLEKLEEKLTSGETAEVQGEQSNEVAKKSKSSKKKDKKKAAVVNPSLPREPEPEMDDSDDPEFWEPPVGCRWDDDDGKDRWESSPGKDDDAEDEGASDDEDDDDMAEKDDEVSEELTSRTKRLSVEAVGPSSFATRKKKPRKDE >cds.KYUSt_contig_1798.62 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000208.1:527367:529616:1 gene:KYUSg_contig_1798.62 transcript:KYUSt_contig_1798.62 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLGEATKLLDNMMINYSEWHTERAPQGKKVNSVGQKRQHRAPRLPQGAGTAQAAPGLAAGGSNNAGQDATHITCYNCGNQGHVQAECTAEAFCVKCKKHGHPTAMCASFSKSLDPYWAGFGGNRRGFVCCEVPDEEMYQPATNAALIILEKEGLNEEQLEDELKDLVDENWTWQVRKLNGSDYSVVFPSKESLRMAIRGGGITLPMSKTKAIVTVPTDDPLVVEKLEEVWVHLIGVPPPLRRADRLLLSTREVGRPIAVDVESLDHPNGPIKMAFGCQVPVQLQEHITLFVNMQGFRIRIVPISKDLAAETNNDPPSPPARNREDDKEEDQEETDEDRWDQRRKKHSDKGKNTPASAPAGGKEGFARKSVPQMTTEGYSSPCSPSACRSKDSQAKMITLPATAFTQYGSNLTENGDIFPTVANIIKQVLVSPPASSPRHSDLEQIQLSTSLSEETEEGQSYLTPGKALALGAEEKHEIGWHSPASGESTASALRASERRSKSNNDRPSRKLMLEAAGSQLFAEENDVPGMDVTKTSLHQAPAIKDVSATPQALLEESPIPALGASVARAPRTKISTAEALRKSARSAGVADEPVLARAIRHAAVKNASPSAKPGNVDASNFTAFQSVPIDKLLSVAHDSCVIFPSSSLGPPEKIISLIQARELAQADLAAARHNAEIEAAKAQAAATTEQDPQVPQEGSVAQIGEDNSFADPKDKPAKKRKVLKKQYPVGPRPLTRQARALGRVSK >cds.KYUSt_chr7.40507 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251495799:251497544:1 gene:KYUSg_chr7.40507 transcript:KYUSt_chr7.40507 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPAPRRRPLPPAATNAGIAALLSRLRDAAGQASPRRLLLESLALVLTSGLSSHRALSARLLNSLLPHVPSARLPALLRLLRRDHLTLLLLSSGRTRQASSLPAAAALHALAVSSGHLPADLRLANSLLSLYLSLGATSPARRLVADIPRPDAVTWNTLLLSCLRSGLLPAARRLFDQMPERDLVSYNSMLSGLAAAGDMAGAQQLFDEMPERDVVTWNTMLAGHARAGDTATAKTTFDAMPERDVVSWNTMLDGHARAGDAAAARAVFDGMPRRSAVSWNVLLALYARIKDWRECLKVFDAMVAAAGAAAPNEKTFVSVLAACGGLGDLEKGKWVHGLVRKRWDGLAPDVLLLTALLTMYAKCGVMETAREIFDSMSERSVPSWNSMIIGYGLHGQSEKALELFLEMEKAGPRPNETSFVCVLSSCAHGGMVLEGWLCFDRMVRVYGIEPKSEHFGCMMDLLGRAGLLNDSENLVQKLQGKAPPALWGTMISASRSQSNSRLGEFVGKKLIEMKPAEVGPYVLLSNVYAAEGRWEDVDKVRELMKENGVDKHVGLSLVGSSQSVEPHVAAQEDGVSV >cds.KYUSt_chr3.38977 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245614460:245617675:1 gene:KYUSg_chr3.38977 transcript:KYUSt_chr3.38977 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCWLATDQDSSLSKVRVAADYSDSMPDSKYMTDRGYHPLEEIKERPKKKDLSLTDVETARTVVEANSKGVLVFPARVHNEPHGHVTWSEFPYVVDDYGDIFFQVPDNGNILEDDDANNPVTVLIGTDGAIIGETSVVTSDFSDQMDVEDSMDMRDDYRKVDTEIMDILIEWGMPVTMRSIHPIYFAKCLTKAVHDNHGEKMDNPSNGLSIVGYLRPVYIEEESYLRTLFHAEYKADGYSSDWRGEYKRESQPASGTNGLLDDDKSRLDINDVGSSIDSTIYKLEIMTIELFSIYGKQLIIDPQDFQDAEPDVLSNYASDIIKRIEENSDQCTVALRSLCSRKKGLTVEEASLIGVDSLGIDVRVFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRYRKTVKAPAEDDC >cds.KYUSt_chr3.32483 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204113768:204115759:1 gene:KYUSg_chr3.32483 transcript:KYUSt_chr3.32483 gene_biotype:protein_coding transcript_biotype:protein_coding MARARATLLLGLFLAELLVMVATAPFAAAAAGASTATKGSGGPIIGIDLGTTYSCVGVYRNGRVEIIANDQGNRITPSWVAFTDTGERLIGEAAKNQAAANPLRTIYDAKRLIGRQFTDAEVQRDMKLLPYKVVEKNGKPHAEVEIKAGDVRLLSPEEVSAMVLTRMKETAEAYLGEKVRDAVITIPAYFNDAQRQATKDAGAIAGLNVVRLINEPTAAALAYGLDKVDEKKEKNVLVFDLGGGTFDVSILALDGGVFEVLATNGDTHLGGEDFDQRVMDHFIRLVKRKHGVDVSGDARALGKLRRECERAKRALSNQHQVRVEIESLADGVDLSESLTRARFEELNADLFRKTMAPVKKAMTDAGLSKGEVDEVVLVGGSTRIPKIQQLLKDYFGGKEPNRGVNPDEAVAYGAAVQASIVAGDNAGMVVLDVTPLTLGIETVGGVLASVVPRNTPVPTKRTKMFTTYEDRQTTVTIMVFEGERSMTKDNRLLGKFDLTGIAPAPRGTAQIEVTFEVDVNGILHVRAADKGTGKSEKIEIVSAADRRITQEEIDRMVREAEEFAEEDKKVKEKVDARNNLEAYVYSVRTTVDGEMGRRMDGDDKEKVEEAVREASEWLDASLNAEKEDFVEKLKELEDVCNPVFAAAYEKSGGGQDEDDHDEL >cds.KYUSt_chr3.4450 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25255155:25256822:1 gene:KYUSg_chr3.4450 transcript:KYUSt_chr3.4450 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLIVVALIVTASSIGIHLVTRAKKPCLANLPPGSFGLPVIGQTVSIIRAMRGNTTDRWIRDRVQRYGPVSKLSLFSMPTVLLAGPAANKFMFFSSLLPVMQIRSTKRIIGEKSLLSIHGDDHRRIRGALMEFLKPDMLKLYISRIDAEVRHHLEENWVGRTAVTVLPLMKRLTLGIISSLLFGLERGAVRDALAIDFTCILEGALAIPVNLPFTAFSRSIKARRRAERLLNGIMRERKAMLEQGKVSPNNDLISRLVSMTDDHGEQLLSSDEIIDNCILALIAGHDTTSILMTFMVRHLGNDPATLAAMVQEHEEIAKDKADGEALTWEDLSKMKFTWRVAQETLRIVPPVVGGFRTALEDIEFDGYLIPKGWQVFWTANETHMDPSIFHDPAKFDPSRFENALASAPPCSFVAFGGGPRICPGREFAKIEILVTMHNLVRHFRWKLCYKENTFIRDPMPSPLHGLPIQLEHMTSL >cds.KYUSt_chr6.21739 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137201060:137203472:-1 gene:KYUSg_chr6.21739 transcript:KYUSt_chr6.21739 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQPGDESRRPPPLGLRGLSRVAFQARSSPTSSRLPPLGLAGRGTLPSFSIGSATREPVSQPMAEATRPPRPTPTRRVGLSRPNRPPPAPEQAGPSNWASSPSWTGSDGDARSNYVGFNIFNGGSGISFGGGGMSSAGGIRIRESSSMFGGTSTTTGLGGENNAADEGYRGPGYNQNFDHADEYPPTQEFVQAPPRKEIDLNALANKQKMKQYDTNDKRHIYSMLLARNGENARLKKGVLDSVARDAKCNRRCVQRIWKEAKTGGSINAIKSKRKLKCGVKKKMLDIEALEAIPPRERTTIRQVAGHLNMSKTTVHQREKGTWEVKPSPSVDREKSREYIVNYVLPAIKEKWPEKDRWSTVYIQQDNARTHIKADDPIFAFEAARGGWDIRLVNQPPNSPDCNILDLGWFASIQSMFHRKMPKTLPEIIQKVQESVDEYPHQKLNIIWLSHQACMREIIKHKGGQHYPLPHLKKKTLEREGKLPVRLKVDKDYVEASLVV >cds.KYUSt_chr4.13350 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82303582:82303992:1 gene:KYUSg_chr4.13350 transcript:KYUSt_chr4.13350 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSSSSSPLHVVICPWLAFGHLLPCLDLAERLASRGHRVSFVSTPRNIARLPPVRPAVAPLVEFVALPLPHVEGLPEGAESTNDVPYEKFELHRKAFDGLAAPFSEFLGAACGAGFEFVASCHKTTSRMMETYT >cds.KYUSt_chr4.14877 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91765610:91767034:-1 gene:KYUSg_chr4.14877 transcript:KYUSt_chr4.14877 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQGDMTQQLPDDALAGVLARLAPRGIAASRCVCKAWRDIIDARHILRADLLPLSLAGIFIDFRELRYSEFFCRPPPREGLGVRERIDCGVVDHCNGLLLLYDSVANPVMGWEEPLPPRPPPSHGMEYQFEDNYLVFDPATSPHYQVFHVPLVPHGETVMAESEWPPSSFVMWVFSSVNGQWEEKAFVRQGPPAGTIAQLAAFQWCDTRHAVYWRGALYVQREHHFVIRLSLSDSTYQVIKPPNTLQSVRGHDSYLGKSKKGVYYVLMFGNSDLQVWVLEESRDAYAEWTLEHDVDLQVLIPRLNYRPQAYGPWILQDVNYNEDKRITIYNDNESTIVDQDFEWNSDDDALDTEDMVDDMVDACNHNGASVLGLHPFKEIVFLSCSISRGLAYHLSTSKLEDIGSIYPKYYREVSGPHRDIQDFFSIHTLLDGVAPQ >cds.KYUSt_chr3.31477 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197696636:197698452:-1 gene:KYUSg_chr3.31477 transcript:KYUSt_chr3.31477 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALLCAANHAPLTPISFLERAALVYPDRHAVVYAAGDAPPRTWRETRDRCFRLAAALAGLGVQRRDVVAVFAHNIPAMYELHFAVPMAGAIICALNSRLDAAMASDLLQHSEAKVVFIDAALLGVAQEALHLMSVAGARAPVVVLIRGEVSTSSTTQHDYEDIVSRGGSPEFAVQLPADENEPIALSFTSGTTSRPKGVIYSHRGAYLNSLFSVLLNEMASKPVYLWTVPMFHCNGWCMTWGVAAQGGTNVFLRKVTGAAIFDAICRHGVTHMGGVATVLSMIVNTAPEDRRPLPAGKVSVVTGGAPPPPTVLSGMDELGFLVIHTYGLTETYGAATVCAWMPEWDALPAEEQAEIKARQGLQHLGLEVDVKDPATMRSIPADGKTVGEVMLRGNTVMSGYYKDAPATAKAMAGGWFRSADLAVRNPGDGYLKFQDRSQDVIISGGGEKISTIQVEEALFAHPAVAEAAVVGRPDEHSGETPCAFVVLRRKREVEAEEVMEFCKKKLPRYKAPSTVVVVDELPKTATGKVQKLLLREKARAMGSLSDPPPSPVLEEEHEEAPNKV >cds.KYUSt_chr3.30474 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190955777:190957331:-1 gene:KYUSg_chr3.30474 transcript:KYUSt_chr3.30474 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYHFLGLASLAAVFYFSLLGEVNLRFPGLLPSPRSSMIQPQPHDASLPFVERRGVQLFLEDRPFYINGWNSYWLMAQSVETSSRHRVSGMFRAATGMGLTVCRTWAFNDGGYNALQLAPGRFDERVFQALDRVLVEARQHGVRLILSLADNLEAYGGKTQYVKWAWDEGVGLTASNDSFFFDPAIRDYFKVYIKALLTRKNHLTGVLYKDDPTILAWELMNEPRCITDPSGNTLQRWIEEMASYVKSIDRRHLLTVGTEGFYGPTSPPEKLSVNPGHWFNNYGLDFIRNSKISDIDFASVHLYPDNWLQKANLEEKLKFVAQWVSSHFEDGDKELNKPVLLTEFGLSRLVKGFDQSHRDAFYKAVYDIAHESAQRGGAGAGAMVWQLAVEDTVCA >cds.KYUSt_scaffold_1700.142 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:923590:927613:1 gene:KYUSg_scaffold_1700.142 transcript:KYUSt_scaffold_1700.142 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIDTPRDSSSKPCGPLEEYRIPDYILKPDAQQVLVDHAPQCPVLVFINSKSGGQLGSSLITTYRELLNEAQVIDLSEEAPDKVLRRLYVNVERLKIEGDILAVQIWRTMRLIVAGGDGTASWLLGVVSDLKLSHPPPVATVPLGTGNNLPFSFGWGKKNPSTDQEAVKSFLGLVKHAKEIKIDSWHLLLRMRAPKEGPCEPIAPLELPHSLHAFHRVSSGDSHDVEGYHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFKNQMTNQGAYAKLGLKQGWFCASLSHPSSRNIPQFAKVKVMKRAGGHWEELQIHHSIRSIVCLNLPSFSGGFNPWGTPGTRRAEDREFTAPYVDDGLLEVVGFRDAWHGLVLLAPNGHGHRLAQAHRIRFEFHKGAADHTFMRVDGEPWKQPLPKDDDTIVVEISCLGQVTMLANEPCKSKSFSDPLSSLGHDSHHHDHGDEDKDGVGDEDEWEDGRRKFGAADTFKLPDEIDIAHLS >cds.KYUSt_chr6.31483 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199035116:199037100:1 gene:KYUSg_chr6.31483 transcript:KYUSt_chr6.31483 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQLPAKPSRTLLCLRARLGTASNRVDANSQIRPSGSQLSVQKKPTGCGRKACADFFVAVNVEGDGGPGSVTTSSLSTAAAAASGGSFVRGRVVARDNAAWVLRTEVLESRKVRNQLKFLVNEVKFEVAGDDACLAKFRVEYERIDGDGALAPEDQAVIVEGYLGILKAIEAYLVANPSEFA >cds.KYUSt_contig_1407.72 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000141.1:354489:354871:1 gene:KYUSg_contig_1407.72 transcript:KYUSt_contig_1407.72 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDLTVLCSDLMHINPSYLHALGFPTPRAYSGLESKPYMIRYEVHQGPGDKDTPWSGGGEEQLDVKMGMELDPTPSEAREGRKGASRPRTRPTGPLTG >cds.KYUSt_contig_6443.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001303.1:122078:123468:1 gene:KYUSg_contig_6443.17 transcript:KYUSt_contig_6443.17 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLRLALVIVAVVLYSLATSVVHGDGNLTLRCHPDQAASLFELKKSFSFFRYPSALASWQDGTDCCLWEGVGCCNSSGHVTALELSGRGLYSQGLNPSIFNLTSLQRLDLSMNYFGQYSLPANGFERLPLPTHLNLSKSGFQGQIPIGIGKLSNLISLDLSVLCYASQEDSLDGYVTSIPGVTNWLWL >cds.KYUSt_chr7.24776 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154417923:154421368:-1 gene:KYUSg_chr7.24776 transcript:KYUSt_chr7.24776 gene_biotype:protein_coding transcript_biotype:protein_coding MGFADSCSVRAPPNKGRRDLPPLFLGVKAESAPLPPPLAWPGLDLIRRRLQPRRNPSPAVPPRQIDRCRSAMKYTARSITPPGADRDSASPPPKRRALSKSPPLPPPPPFPPKGAVRILSSRSPPPPSRRRSSASRSPPPKRRGRSRSSSPKKRGRSRSPSPKRRGRSRSRSRSRNKSRSRSRDDLRNPGNNLYVTGLSTRTSSSDLEKFFSKEGKVLDCHIVVDPRSKEPRGFAFVTMEKVEDARRCIKYLHRSVLEGRLISVAKAKRTRERTPTPGEYCGPRDSLRLE >cds.KYUSt_chr6.14319 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89573170:89574707:1 gene:KYUSg_chr6.14319 transcript:KYUSt_chr6.14319 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVVTMAMVVVTMAMPVGAMAMAVALSFSEEDTTKVQVVAMGMELSGDTKVLDMAVFEGTAILSMGNPVVRLDQIIMGIGAILEEVTTHVETEGNDIAAKDKEIEGQGAPKKKKEDKAGCFRCKKPGHYIDDCPTLFCDICESIHHATPACHLLNAPKPIATLHGYANEALMFFELSCGVVKAKAENPKLAKVTVDGAALTIPEIIEKLKKIVPSEKFNWEVFHLKENIYRVKLPSKLEVQRLKNFGTYICTDRDSCLTFDFWSSVEEPLYMLLEVWVRASGLPSDIRSDYLSLWGVGTLFGKTLDVDMPYTRKNEVLRIKIGCLNHKLIPADSDMFIRRGFYKLRFEVEIDDQPQEVNMVDENNGFDGNDGANQGEGKNGDGHDMAMDNKRNDMDAASDTSPTYR >cds.KYUSt_chr3.42005 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265112989:265114986:-1 gene:KYUSg_chr3.42005 transcript:KYUSt_chr3.42005 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPDGQEKVIAAAQHIVKSLANSKNAADDMIRILSGFDNRFSHMTDLFPPANPNASSLPDSVAEGHDDEERYDRERPLGHGPDDDDLDQERDAAVEDAMRVVEQWDSPAAGDRLVFESPEDAEEYLAAAACLVGEAGPRVESALQVAMARLEEEFRQLLIRGTVSLGVEDLQASLLRRLSLTVPAFSSASSVDLDCPSFANHGGDEGDEPATPAGRWSSVSDGEISPYLISPDTVGTLKGIADVMLRAGYGPELCQVYGEVRRDTLMECLSVLGVDKMSLEEVQRVDWGVLDGKMKKWIQALKVVVQGLLAEERRICSQILALDADAEEECFTEAAKGCVLQLLNFGDAIAIGKRSSEKLFRILGMYEALAELLPELEALFSGEARDFIKEEAEGILVRLGDAVRGTIAEFANAIRGETSRKSLPGGEIHPLTRYVMNYVRLLADYSRWLNDLLDGCETELETGGDNADITPLGHCVLILITHLLDKIEDKSKLYDDEALQNIFLMNNLWYVVQKIKDSELKSLLGDNWIRKRRGQIRRYSTGYLRSSWTKVLACLRDDGLPQATGSSSALKAALKERFKSFNLTYEELYRTQTAWRVVDPQLREELKISIQEKVLPAYRSFVGRFRGQLEGGRNFAKYIKYNPEDVENQVSDFFEGKRLNA >cds.KYUSt_chr2.38059 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235648976:235649873:-1 gene:KYUSg_chr2.38059 transcript:KYUSt_chr2.38059 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPYSLDSQKVRIALEEKGIDYTSYHVNPLTGKNMNATFFRMNPSAKLPVFQNGAHVIFRAIDIIQYIDRLAVHLSGEIQPENTEVHHWMRKVDGWNPKMFTLTHTPVKYRAFVSKFIRRVLIARMTEAPDLASMYHVKLRDAYETEDRLKDPDTILQCEEELSKLLDDVEAQLNETKYLAGDDFSPADSMFIPILARITLLDLHEEYISCRPRVLEYHTLVKQRHSYKVVIGKYFNGWKKYRTLFKTSFFLCVRTLFRKY >cds.KYUSt_contig_195.220 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1435220:1437129:-1 gene:KYUSg_contig_195.220 transcript:KYUSt_contig_195.220 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTRGGAQEDQAGEADSVDREAISGAAEGGKGTWRHTAFHVATTIATPAAYAPLPFALASLGWPLGVCSLVIGTLVTWCSSLVVASLWQWNGEKHTSYRLLAKSIFGGNISPMIPLCIDQVIINIPEVYERFTLSDDKKLHFVEGPWGYWYVSFFQQVASIGNNVAIQIAAGSSLKAVYRHYYAADDGAAVTLQHFILLFGAFEMFLSQLPDIHSLRWVNATCTASTIGFAVTAISVTLYDGHRIDRKEVGYSLQGTTATKIFRGFNALGTIAFSFGDAMLPEIQSTIREPVRRNMYKGVSTAYLLIVMSYWTLAFSGYWSFGSEVQPYILSSLTAPAWAIVMANLFAVIQITGCFQIYCRPTYAYFEERIQAKNMRRKAWVWRLMYTSVYMAAITLVATAMPFFGDFVSVCGAVGFTPLDFVLPALAFLKAGKLPKNPGLRHAAKALGSTVAVLFSVVGALACIGAIRAIVLDIKTYKFFHDM >cds.KYUSt_chr7.11612 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71369584:71370282:1 gene:KYUSg_chr7.11612 transcript:KYUSt_chr7.11612 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTNQANEPSASLLNPLEKRERERSRERSGAIMAIDRQSEWIVLRRVHAFLKSRKLHRAAYALEKEARLKLDLPHLHKLFAKGRWRAADEYVTAFMGAKEETSTPAASATLFVVRFERFVRALRRGDEAWAMRYFGRAVEPLLDSHPDRTAARAECIRVLELDDESLRRGYPDDDRHRRERETEFIRCVYENESISRAFNDVFDGNLRFMRKTTSLGLRRHVRRGPPCDQR >cds.KYUSt_chr2.49983 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312614630:312618196:-1 gene:KYUSg_chr2.49983 transcript:KYUSt_chr2.49983 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNEMPIVGTSAAAPVQAPVAASIVAAGASAAAPSEPPSIGTRTNRVRSDAPENPYDTTIVDNEPETDGTLVNRVIAMEFDTKKSNEDALNGNYFRFDFNSIKSVRQYPLSNKSIVLASGSDLTGQRRHAYRNLEKMIDAHGPVRFKLKELRHATSNFSATRKLGIGGFGTVYLG >cds.KYUSt_chr2.46750 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292252726:292254285:-1 gene:KYUSg_chr2.46750 transcript:KYUSt_chr2.46750 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSVKIFTPKAHCEDLAATILDHIDINIQMYPPWTIETVMHLVVFSNAKSKIIGSMCSTSMKEGIIKWSEVEHDVNYSLASPTYRWFGPAWPGCSVSGGATMVVVMLCLVYNLSGGLDGCHPIAASNLMDRLEGSWQALGSLCIG >cds.KYUSt_chr3.10637 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63156970:63158346:-1 gene:KYUSg_chr3.10637 transcript:KYUSt_chr3.10637 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVSRAFLLIAVVLTAQLCRCTAYAGDGFSVEFIHRDSVKSPFHDPSLSAPERMLAAAGRSTARAEALTRSFAAGGSPDGAVSEVIGRPFEYLMYVNVGTPPTRMLALADTGSDLVWLRCSNGTNAQPPNVLFHPSNSSTFRRVGCDSAACHALAGTSCGTDRSICKYDYKYGEGSETIGVLSTETFTFDDAPGSRDRPQLQVANVNFGCTTSTSGVFAADGIVGLGDGTMSLVSQIGAHTSLGTRFSYCLAPFSAQNASSALNFGARAAVTEPGAVTAVLFRSRGDAFHIIALEDIKIGNVNFTLPNLNRYEVALDTGSMLTYLARVLLDPMVAELKRRINLPTVPSPDRMLQLCYDVRDANRRSLFEKNVPDITLQLIGPGAPELTLKAENMFTEFKQGVKCLAVARVTDEQPLAVIGCIAQQNLHIGYDLDKGTVTLAAADCASSYQSASASV >cds.KYUSt_chr5.34792 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220426688:220427875:-1 gene:KYUSg_chr5.34792 transcript:KYUSt_chr5.34792 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRCGNGRLLLFILVVLAAGDLASAQSNPPPPGFGAYATNFSPSMAIVIVVLIAAFFFLGFFSVYIRHCYGEQLGYSAARLPIGTGTAARSRRQRGLDPAVLETFPTMAYADVKEHKAIKGALECAVCLSEFDDDETLRLLPKCSHVFHPDCIDTWLASHVTCPVCRANLVPEDPNAPSTGDDVPAELSDPSVSQLQEVPPPASPTAAAPAVVIDVDETEDERIIREETDELTRIGSLKRALRSKSSRAPARFARSYSTGHSLVARAGTGTGAGAERFTLRLPENVLREAVAAGKLRRTKSLIAFTSGRQGSTRRALRIGAGEGSSRGGRSVRLGQSGRWPSFLTRTLSAAWGSRSTRRVAESDGSSKGGKPAGAGGKSVDCNDQACALGHHV >cds.KYUSt_chr5.28235 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178724984:178725331:1 gene:KYUSg_chr5.28235 transcript:KYUSt_chr5.28235 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDTPPISYGAGVSSVAKDRSNATALAKALYTAIAIPMLLCCFIYYLLYGTYPRDRQRARMDTLISSELQLVDLERSGDHYTGRKHATVIDIKYGAEELDVDDDEQALMHHQVE >cds.KYUSt_chr1.8731 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53568344:53569396:1 gene:KYUSg_chr1.8731 transcript:KYUSt_chr1.8731 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGLPSAARCSLLLIAALTLVLSHGAHAYGGSGTRLSYSFYDNSCPSARDIVRRVIQVARITDVRIPASLIRLHFHDCFANGCDGSLLLDDDVSLGIMSEKKAPGNYQSARGFNVVDNIKRVLEIACPSTVSCADILTLAAEISIELAGGPAWSVPLGRRDGTTTNIESAKDLPSPFDSLDILQKKFRNMGLNDIDLVALQGAHTFGRAQCQFTQRNCTAGQEEGTLVNLDAATPNVFDNKYYGNLLQGRAELPSDQVMTSDPIATATTAPIVRRFSGNQKDFFANFAASMIKMGNISPLTGRDGEVRKNCRRVNKKSY >cds.KYUSt_contig_7693.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001643.1:12494:12955:1 gene:KYUSg_contig_7693.5 transcript:KYUSt_contig_7693.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGEEKAGMEDDGRCRALSGHFFLFHQVSLLQDPSISSAAPPPPPGSHQQGKGASSLLDVVMAEEGIELVLIDNILGGLRCFSTLASACSSSSSYTACSSSSSYIACSSSSSYIACSPPAALPDNPRRRPGKTKHKAKNQEENLKKSNNNQI >cds.KYUSt_chr6.25665 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162595671:162595886:-1 gene:KYUSg_chr6.25665 transcript:KYUSt_chr6.25665 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAIALMPGRPPAVGKTLGEAAAIGWLRGCRRWEHCFHRREPLGKAAAAACNALVEAAPNKRKEVAADE >cds.KYUSt_contig_1546.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000163.1:32311:34713:-1 gene:KYUSg_contig_1546.5 transcript:KYUSt_contig_1546.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSVRAIWILTPHDTVAFSRRFAVVEKRWRVAWEAEGKGKGAEMPLPEDYEVAAAFANRRRREGTARGSGIRTSVSTVGSDSWVDDPIARHIISLQIDKEEGCGFMLWPVVLQKRGGYYVLVLPLVDPQSFRAFESLLKRPDCGSSAKENGNLSSILLNLPCITGAFMVANVIGDIITGDVAEPEVIVSSGPSVGGLLDSLTGSIGISARPKPITAPVAAQVASVSSPVGAAQSDSLKGGIRPFDKDLLRNFIIGAMPFGTPQDLNYGNVTSVRTTGFSADPLPTDQKQPAWKPYLYKGRQRTLFSSLETINAALYDRDDVPDFLSVSGQVTCRAELEGLPDVSLPLTGLKAARVEVSSFHHCVQASEPSGDKQSLIFQPPIGNFVLMHYQAPCNNDPPVKGFYQLSMVSENEGAFLFKLRLMEAYKSPFLMEFCTVTMPFPRRRVASYDGNPSVGMVSMTEHSIEWRIVSSGRGLSGRSIEATFSGTVRFHSKTTQRVNSLFQSVSTTAYTEDSDCEQDNTKNSSNLDDYLMEKMNKDLPAVDLEEPLSWHAYNYAKVCP >cds.KYUSt_chr5.19679 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127688367:127691927:1 gene:KYUSg_chr5.19679 transcript:KYUSt_chr5.19679 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKHVRQCSSKWPVAFTLLLVASASYKPWQLVQELGLVALLLPREFLKHSASGAAGRKEQGVRKMPPSKAKAAREHGLPALAVDTGDALMLLDLPELVLLAPIQNRVLPWLPEFVLAGAEAYADKVALAEAAPGGLCSCPTPTARWTSHGRHMVSLGVMVQLVAAGGRCGQHRAHA >cds.KYUSt_chr3.15382 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94173915:94178219:-1 gene:KYUSg_chr3.15382 transcript:KYUSt_chr3.15382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to terminal acidic SANT 1 [Source: Projected from Oryza sativa (Os06g0181300)] MPPLTPADASLILDHVVGSPSIPAAAANALLASLPFPSRPTPRLLRSVLLRRLASDPVSAAALDSLQLLASLPSPAPLIAAAHLAVAAFLAASAPDFDAAARALFANPGGRARRAVDGDPALASPEAGAVAYQFEDAVGNTFSQDALRRLWGNRAAAEGRVRELLAAEWAAIGPSMLEVAAERIVGRGAVETWGSADESTRAKYLMLAGEERGREILGKLEEPASHVNPISTPEVNKVIHALKSRCADLHSVVEDPLPAAKAAADEVLAARMDKTRHIVAEEVNNRAANSSVAGSSAVNDQVLGFGCDVMLRVFSAAGLQSGEVTSDQQQQKQEHNHSSGKLSTDHS >cds.KYUSt_chr6.13225 pep primary_assembly:MPB_Lper_Kyuss_1697:6:82642162:82643693:-1 gene:KYUSg_chr6.13225 transcript:KYUSt_chr6.13225 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDAAVSADHKAAGAGQASRAARAWAWPASCAAMFRSKLSGFAEKMIGAADYSGKAVYGMKVALALTLVSLFYYARPLYDGVGGRNVVWAIMTVVLVFEYTVGGSMYKGFNRTVGTISGAGLALAVHWVASKSGKTLEPVVASGSVFLLAAAAFSRFIPLVKSLYDYGVTVFIITYSFVAVSGYRVEDLAAMALQRISTISIGFAICIAVCLLVFPVWSGHDLRLITTRNMDALADSIEACVDNCFADDTKRPARDSRSEGYKCVLGSKASEDAQASLARWEPTHGEFTFRHPYHLYTKVGAAMRQCAYCVEALHGRVVLAGAAPDQAPALHLLGAGGALAETGIRCTRVLREASRSVATMTASGALGAAVADMDAAVRALQSDVRALPSKLLLLSEDPSLAEASMALLPMALLLIEIATRVRGVVDAVGTLASAAGFELVEDGDGHERFEVLPLKLPSTATGQPLSRARNPSSDQPV >cds.KYUSt_chr2.32371 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199553300:199554031:-1 gene:KYUSg_chr2.32371 transcript:KYUSt_chr2.32371 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSSSVPSSPCYNETTNIEEQLQILKATISSPFVAIETILDGFKRIGGVFRNIDEIMCFPSSQVSLSKRQQRKAVEVALAHSLVVLDLCNAMQDSYSELKTSTEEMQLALKRGDDAAVHAKIQSYIRVTKKATKKLKKISKKSDQTEESCGVVQLLAEARAVATSMLELSLQLLTKQGVIPSSTKWSFVSKTFQKKRGAFEEEHLRALELDIGDLQSGVEILFRRLIQSRVSLLNTLSF >cds.KYUSt_chr6.22887 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144646318:144649552:1 gene:KYUSg_chr6.22887 transcript:KYUSt_chr6.22887 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVDTICLGCDEPGNFKQSCYKKAICLIFGLTKASVWLKVEAWNDDPDPIVVMHEGEDFHGKFYQLMFEVEGDPGIGNGDDPSPPLPPPSDDQDGSGNGNEEQRSDGHDTMETYGNNTTTCLLMGTTGGILVGISLESLSEASVAHHVRQGGGVVALLVADVRLQVVVWQLGWFEVKDPRRNPCPGLWSGLATATPVGAVDFLGSVVQDSSRHHGVLPPEGNLRSLGSDDGGASVSLSLLRALSRSGLRPGDSRMDG >cds.KYUSt_chr3.43057 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272175714:272177240:1 gene:KYUSg_chr3.43057 transcript:KYUSt_chr3.43057 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTYELRIRNDRHVVDIAAPDMGVPATGRNSPNQTGGGEIHTEWLRELTSVPRNAAVLKDLISRTPALWFLGERPATILRPRSRRAGVKGLHEVRAVAIGPYHRGDRGLAFDDESKLPFLRYLRGQCGLDLEECVAALGAARGSFRDEFADDAAAADLLRDEEKFVKMLLLDSSFVLVFGLMFGRPGSRVNGAAASVTREHFVLHSALAQHADEIRLDLLVLENQVPFAAVELLAASCRRLKLRSVEELVLGCFDSICPRRAHGKVDLETTDARFCHILHLFHWSRVPENKYRVLSMPLKLLEAEPEPERRIPCARELQRAAVWFRKPSLDTEGTIRGGDLDMEFWTAAASPIALMSIPSFHIFPYTAALLHNMVAFERHFHWAHGVCATVHVARMEGLVRCPQDAALLRRRRVLGSVKCTDEEVVDFFRGIGVEATGVRMPEEYGEMLAAVARHRRRRARRWCGDFILHFLPSPWVAVSLAAVAALIIVPAMLQTIYTILGYQSSR >cds.KYUSt_chr4.46166 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285269220:285281365:1 gene:KYUSg_chr4.46166 transcript:KYUSt_chr4.46166 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVNYCDWGGSSRGIRAFMESHLPALKEKNPQLEVVTQLVRGQHPNLKGIYKVNLDALRFVKNDSLSAKNYYNLMDNIDETMATFVASIFHEHNDSRMPVHRGSMKKSSAQESGRAPLAPQGLLRQHQSSVSGKMFRCRYRISRDLFMVILWGVRDYDPYFQCRPDATGLCKAKLPEVSYKINGNEYDKPYYLADDIYPNWTTLVKTVCNINTEKTKRFAKMQEACRKDVERGFGVLQARWIIVRHPTRTWSLKTIHEMAEADDQELIRLFDVMVSEECDRNEAAKLFEGWNDEAKARGNMGNALMGEQKVEYDNAKGTTYQVGKHDGTATYQSEEWIIHPFEQDKKSFQESHQLMMLLA >cds.KYUSt_chr3.6095 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34772172:34774047:-1 gene:KYUSg_chr3.6095 transcript:KYUSt_chr3.6095 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKSAAQGGGLRLASLNHISVVCRSLESSLAFYCDVLGFFPIRRPGSFDFDGAWLFNFGIGVHLLQAEDPESMPPMKTEIDPKDNHISFTCESLEVVQRRLKEMGIQYVQRRVEEGGIYVDQLFFHDPDGFMVEVCTCDNLPVIPLVPAPICKRAAAASKQQFTVPVAVAEPAPAKTTGGGCVGEEVTASAMMACPEQACMQV >cds.KYUSt_chr5.13296 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86751280:86755135:1 gene:KYUSg_chr5.13296 transcript:KYUSt_chr5.13296 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLLSAAVSANLRRSLSTATSSHPPWAMIDYTSRVDQSSSAPTPCFRPLEPPGVSTISSPAHLLNPRERPAPGSNFVQLLGGEVRAASGDGHLLLNYRDLRAEAPCTSWDLCMDMEIQHFVCNPLSGQMLRLPDIGGSRTTLLDNHMGILTQAGGGRRHGRGPPDRFAVAELVSRGGTLLRFLSDEGKWNTVVMGIRRRSMPPRNMSLNQETVAFGGRLWWVDLTLGVISLDPFRDTPEIFCTLLPSRSVLPARAPTETADFSKDEETAKYMREVAKYRRVGVSEGRLRYAELTPGGPFLLSSFALDDEGRAWTLEQQVELRKVLADGGYPVRHNSAAPQIAVLDPLNACAVHLKVGDHVVVVDIHKGKVIGASRLQDDYSSLVPCVLPPWLGASRIPTTGKKDDMEAPDDLVICL >cds.KYUSt_chr3.4115 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23420667:23421369:1 gene:KYUSg_chr3.4115 transcript:KYUSt_chr3.4115 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYDHKDTIDQVDCAREAMRLIHAEDKNLDALAYAWDLKGMYGNGKTSIVLVYNATGDRVTLGDGRDWSGRIYGRQPPRDFQNGQWIAFLHVRSPSNPNELQAARVFRGRNVDGRPREFLVSWAIPLQGSQNNSAYTEIRDANGFAGWTNVRNNLLRAKLITRSNDNHKCASTISMGGYWTAECIAVLQHQFEPLPDPYART >cds.KYUSt_chr3.17175 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105201330:105202460:-1 gene:KYUSg_chr3.17175 transcript:KYUSt_chr3.17175 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPTTGPRRSLHTWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPQGHALDVAIEEARMGMTEEERADPRHHPENYTRWNSFFLRRWERELASYDGPPPPPPRNNAAGHRRWWSAPDRTLHNVLEHIEGGNSLRLTMLPLSRASNSRQRGNSWQPRRMAASSSSSDSAARSISRSVPSLAPVKKEPASPPSHRTRVGGDIVIREPSTAQGRRRPKRDHDTSGERKRKPVKVKVEEEESAEDAAILEAVIARSLQDLVPADNAMPLDQACAWSREQWEKEEAERQARHLQDAARFRRPATPPSGAVVPVIDLEASDDELYKPSPSPPRTSGRWGDAGQGSSQAASMPPQFDDDSSDDDGGDYTVFYRHFGM >cds.KYUSt_chr4.52877 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328030041:328030514:1 gene:KYUSg_chr4.52877 transcript:KYUSt_chr4.52877 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTFSAACLLALLVAANTFLIGDACNSCQHHTPPTPTPSPPPPSPSPPPPAPTPPSTTPCPPPPSSSGKCPKDTLKLGACANVLGLISAGIGKAPSGGGDKCCSLLGGLADLEAAVCLCTALKANVLGIVLNVPIKLSLILNYCGKSAPTGFQCA >cds.KYUSt_chr6.1527 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9316167:9319561:1 gene:KYUSg_chr6.1527 transcript:KYUSt_chr6.1527 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDLFEGLPPPATAAGEDRAASPTPPPPPPPAPPAPRRSALKSSLKRSKPSSDAASSSQPAAPAPATADVAAEGRVPEKRLRFRTHVDASEMQIIEAMQKITSHIGNPSKFSKASKLALQLIEAGSVKPATVGYFFAVLEAAMSSLGACNEPAVRADYQVLFNAAQGVTECFNQQQKNQFDVWMLHAVVANDLSTDDSFVFSKAVGKIKDAISALPVATADDDNDEARALAVAESQSGTTENKADDTVPTAVISSLPDDNNTDAGASNSGEELSDPFGLDDLLEHKSKKSERAREKAVDELNRKAAEEESKRYLRSRREALLKCLDIAARRYRTPWTQTTVDILGKHAYDNVGRFTGRQREAVEKLWNSIKEQQIRRKHGKSASGKLDVNAFERLQEKYSHEKISIRRAVGGAGDRRATQWLG >cds.KYUSt_chr5.26688 pep primary_assembly:MPB_Lper_Kyuss_1697:5:168996240:168998980:-1 gene:KYUSg_chr5.26688 transcript:KYUSt_chr5.26688 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAALRPRLRVPLAYICRRLSSSSSAEGCPPTARADPAAATAAKAQEAMAARMEAYKKVQEFDWSSGADWKMAANILFTVPPKRKEFGLDFHLVQLFFVCMPSLAVYLVAMYARREIKRMEAEAEEKKKKKEELEKQKQLEADSIKEDADSKLATVLVRLDTLEGVVKEIAGDKTRGSVLDLSTSKEALKKGETSSPDKTSASKSDASDSQPASLKSKDINSGINAPPNTTQQDSKGDRDKPANESRS >cds.KYUSt_chr7.41142 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254904068:254904826:-1 gene:KYUSg_chr7.41142 transcript:KYUSt_chr7.41142 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIADPAGAVVVGCKVLPIFNENGIVDGAVKKIVHRLDGKKAVARVKELLRWASQVRPLSTSASGKKWKVLSFPGRDGGGTKCDEVSSGKLSFKWEAGSCSSVASSSVLYSPVSFASAPAARTTTEQLHTPSRNYVSRLSSVSQKSSSSSQACSRMAQWITTDSDFVVLEL >cds.KYUSt_chr6.23814 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150488827:150490089:1 gene:KYUSg_chr6.23814 transcript:KYUSt_chr6.23814 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQAQRLFLAPSTSSPSTSAARPRPRRSSVATCRAALHVPSGIHTTQENLNLQVNWIETPRVPASPSAVVSLEKLRAIADAAADRAEMHDIIGRQRDNWNHLLLHSTNSLTLAASAMAALAPAAPTMVALKASAGVLLATAAVTMAAVNKIQPSQLAEEQRNATRLWRELEREVRAAIALSVPTTKADVQEAMDRVLALDAAYPLPLLPGMLEKFPKAVEPTRWWPSRRSTQPKKSKSFGRRGGVAVASGNGWTQDLEDEMRGLLRVIKAKDENEFLTVGKLVLNLNRGLAVAGPALAGTAALASVFIGTGEAGTWATGAAVIGGALAASVNTVEHGGQMGMLFELLRNCAGFYRKIQEDIEANLNEPDVERREGGELFATKVALKLGRSLSDLKQFRKMASPCVRDEDIKEFAGKLF >cds.KYUSt_chr2.479 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2935320:2935751:1 gene:KYUSg_chr2.479 transcript:KYUSt_chr2.479 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDRLWLRPRRLERALRAQGLPGRPYRPFTSDAPVKNQQSPMPLGCHDIVPYVVPLLHGAGRTSVSWNGPVPTVTIVDARLTREVMFGKSGHFEKLPRTRVLSRLLTNGLPRHEGEKWVKHRKILGRAFHLEKLKVSVPFLS >cds.KYUSt_chr3.28023 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174909411:174911118:1 gene:KYUSg_chr3.28023 transcript:KYUSt_chr3.28023 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYAGRADLVLMAVGTVAAMVNGMSEPLMTVVFSTVIETFGSSDDNTILHRVSKVRSVYGRIAVFPAHSAPDAGQCPSLQLIVAIPAGVLIIGRQPGGSDAVKVPIPPCVFLKARDGNGCQQTQSLSIER >cds.KYUSt_contig_7424.19 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001519.1:90066:102986:1 gene:KYUSg_contig_7424.19 transcript:KYUSt_contig_7424.19 gene_biotype:protein_coding transcript_biotype:protein_coding VVRTFREKGIPCDVVWMDIDYMDGFRCFTFDSNRFPDPKSMVDDLHSIGCKSIWMLDPGIKKEDGYFVYESGSKNDVWIKKEDRSPFIGEVWPGDCVFPDFTIERTRTWWASLVKDFVSDGVDGIWNDMNEPAVFKTTTKTMPESNIHRGDEDIGGVQNHSYYHNAYGMLMARSTYEGMALSSTEKRPFVLTRAGFIGSQRYAATWTGDNLSNWDHMHMSLPMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSETGSIDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHKKGTPVAAPLFFADSQDPELRKIETSFLLGPLLICASTVPTKGAHECVHKLPKGVWSPFDFGDSHPDLPVMYLHGGAILPVGLPIKHVGEASLEDDLSLLVSLDENGKAEGILFEDAGDGYGFTQGNYLLTYYVAEVHSSVVSVKVLKTEGSWKRPKRNLNISILLGGGAMISSRGDDGEEIHLTMPSQSEVSTLVATSELELKRRLEMIRPIPDIDEPSGQEGAELSKIPVDLKSGDWLLKVVPWIGGRIISMTHLPTDSQWLHSRIEINGYEEYSGTEYRSAGCTEEYKVVKRYLEQSGEEESICLEGDIGGGLVLERHISILQDNAKIVQIDSSIQARSVGAGSGGFSRLVCLRVHPTFTLLHPTEVVVAFTAINGSKQEVSPESAEVTFEGDLRPNGEWMLVDKCAGLSLVNRFEISQVLHQLQFIIHNGIMDLLTHGEEDNP >cds.KYUSt_chr1.28713 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173594660:173602572:1 gene:KYUSg_chr1.28713 transcript:KYUSt_chr1.28713 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQCVPLQRVFHFGKGKSEGNKAMKELLGGKGANLAEMASIGLSVPPGFTVSTEACEQYQAAGRALPPGLWEETLEGLRWVEEYMGATLGDPARPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGDRFAYDSYRRFLDMFGNVVMDIPHALFEEKLEAMKSAKGVHNDTDLTASDLRELVGQYKNVYVEAKGEEFPSDPKKQLQLAVLAVFNSWDSPRANKYRSINQITGLKGTAVNVQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMRDHMPEAYVELVENCEILEGHYKDMMDIEFTVQENRLWMLQCRGGKRTGKGAVKIAVDMVNEALVNRNTAIKMVEPGHLDQLLHPQFANPGAASYKGKVITTGLPASPGAAVGQIVFTAEDAEAWHAQGKSAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSSIRVNETEKVVTIEDKVLYEGDWLSLNGSTGDVILGKQPLSPPALSPDLETFMSWVDEVRQLKVMANADTPGDALAARKNGAEGIGLCRTEHMFFASDERIKTVRQMIMAPTVELRQKALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDIVRELCSETGAAEDDVLARMEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGIQVFPEIMIPLVGTPQELGHQVALIRQIANKVFTSMGKTIGYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAQGILQHDPFEVLDQRGVGELVKIATERGRKARPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLV >cds.KYUSt_chr5.26277 pep primary_assembly:MPB_Lper_Kyuss_1697:5:166410083:166418424:1 gene:KYUSg_chr5.26277 transcript:KYUSt_chr5.26277 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFGALLGLVLGVAVVMAFARLENSRAEQRRELAATVSSFSKLTVQDLKTLIPPEFYPSWLKWLNQELIKIWPFVNEAASELIKTSVEPVFEQYKSFILASIHFSKLTLGTVAPQFTGVQLLDSDSAGIIMELEMQWDGNPNIVLDIQTTLGISLPVQVKNIGFTGVLRLLFKPLVAELPCFGAVCVSLREKSKVDFTLKVVGGEMTAIPGISDAIEGTIRDTIEDTLTWPNRIIVPIVPGDYSDLELKPVGLLEVKLVEARDLKNKDLVGKSDPFAVLYIRPLSAKTKKSKTINNDLNPIWNEHYEFVVEDSSTQHLTVKIYDDEGLQPSEIIGCARVELAGLQPGKVKDVWLELVKDLEIQRDKKPRGQVHLELLYYPFGKQEGGSNPFASQIQLTSLEKVLKTESNGFDVNQRKNVIMRGVLSVTVISAEDLPAMDVMGKADPFVVLYLKKGETKKKTRVVTETLNPIWNQTFDFVVEDALHDLLMVEVWDHDTFGKDYIGRCILTLTRVILEGEFQDTYVLQGAKSGKLNLHFKWTPQPIYRDRDRDQ >cds.KYUSt_contig_402.365 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2250065:2250733:-1 gene:KYUSg_contig_402.365 transcript:KYUSt_contig_402.365 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNDSGGPSNADFSSPKEQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYMEPLKLYLHKFRELEGEKAVGVGVGAAPSSGGPGSQSQQRESTTPRGNGEPGGGYGGMFGGAGGGGMFMMMGQPMYGASPPASGYQHPSHHHQMMTGGQGGYGYGDGGAGGGTSSSSGFGRQDRT >cds.KYUSt_chr1.5808 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35781124:35781636:1 gene:KYUSg_chr1.5808 transcript:KYUSt_chr1.5808 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKLVAVVATLVIILEVSSCAMARHHGKPDPCSGEDDGSMPGLLHKHKKPGHCPSPGGGGGTPGIMTVNGFEKGQDGGGPSECDGKYHSDKTLIVALSTRWYAGGRRCHKPIRITSKQNGRSVVARVVDECDSNHGCKDNIVDTSQAVWDALGLDSNIGEVPVTWSDA >cds.KYUSt_chr6.33330 pep primary_assembly:MPB_Lper_Kyuss_1697:6:209392279:209396715:-1 gene:KYUSg_chr6.33330 transcript:KYUSt_chr6.33330 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVEMLNWKGAVEAGARASAALVVSKLARKNRNALRVAGVPGTIETGSSLLYAFEECSLLGLLIIKKLAHDHDNCSKIGNAHGLLNKIIDFSAIHAGAHLELQWLCAEVLARLAMDEEARDKIGGTGSVVFILLAMFFRPGITDEADGDPHQPCRVLTELRATVTSGAATVLRKKSRRLEVSLGLTARMGPHELAHHLGRAGDSEVDMVNRLVHDLAWYSSPSIKVPRIRRFTVELVIGMLGMDSSSAFAELMAAAGMGGELRRVAETMSELECFHVFSVSAGVSRHAVSLCALVGKALEHMGMDAGRRRPAQLRRAIDGDAGRERRGKGSRAAQGEVARRLREFPIKDEVGGEQEVAVEASGLGWSTLTSIPGTVRLDEGGCGFVVEWEG >cds.KYUSt_contig_686-1.903 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5506484:5511763:1 gene:KYUSg_contig_686-1.903 transcript:KYUSt_contig_686-1.903 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGNKATHDFLSLYAPAAKESSLPQLPGAKPPPTPPAQGFLLRTHDFLQPLERPSQSPPPPATAPPQSHTDSKQQLHSQHALPGGVGTFSISHASGVPIPAAVKQEPPFALQWGAAAADPRGHQWTLPFATRGVSVGVASSRPQRQQQQPATERKCGGGGFMDAAASGSSGAAGFDDEDGLAARREVSSSLKELAVRVDAKGGSCSGSAGTDQLPNTPRSKHSATEQRRRSKINDRFQLLREILPHNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSYPEWNPENANVVPWANMYFRSFWKNGQNKDQLPGDTLPDPSQILKNGSSPGFPFIVKSDDNDNVVASAVPSGAPDQAEADPSASMSYKSTETPSPIIRDNVTSQQQVHQPISSPAEDRGINNEMFSNPELAIDEGTISLSSQYSQELLSTLNHALQNSGIDLSQASISVQINLGKRATKRSDAAPSVSTCNYIPED >cds.KYUSt_chr3.47132 pep primary_assembly:MPB_Lper_Kyuss_1697:3:295880552:295881082:1 gene:KYUSg_chr3.47132 transcript:KYUSt_chr3.47132 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAKPSGTQTVALLGLHPSASRAGGASARSRGRRPPAKTPRTNLHISIENVGEGSRCSPQLVNLHIWGNRDGEETRAGGLGMGQETWHSGIHEDGRVGSGNVSGGREGRSEASAPPSARNGDMYAGLLHCAAGLSRRRRSYSPPSAGLPSVGPGGRWRRRCLEREQMGEMGARE >cds.KYUSt_chr4.12579 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77157035:77159869:1 gene:KYUSg_chr4.12579 transcript:KYUSt_chr4.12579 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPPPPPWRPLLHFILALALLSPSPAAAADLASDAAALAAFRAAVGPRVNWNVTSPTTVCSWTGVSCTGPRVTALRLPAAALAGPIPPGTLGNLTALQTLSLRYNALSGALPADLAAAADLRGAFLNDNRLSGDFPSAFLAMPGLTHLALGGNALSGTLPPALANLTRLRVLLLESNRFAGAIPDLPQPSLQQLNVSFNQLNGSVPPSLRSQPRSAFLGMASLCGGPLPACPGEPSPPPSPTTQPSSPTPTPPSPTTNTTTNTHNKKNLSGGAIAGIAIGSVVAAAALLLLLICLCRRSTRTKTRALEMPPPSPAAIPAGGRKPPETTSSAAIAPLAGMGHPNAPMGQATSGKKLVFFRPAAAAVQPFDLEDLLRASAEVLGKGAIGTTYKAVLESGATVAVKRLKDVNMTEPEFRERIADIGELQNEFIVPLRAYYFSKDEKLLVSDFMPMGSLSALLHGNRGSGRTPLNWATRASIALAAARGIEYIHSTSSTTSHGNIKSSNILLGKSYQARVSDNGLATLVGSSSAPLRATGYRAPEVTDPRRVSQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVVRSEWTAEVFDMELLRHQDSEEQMSQLLQLAIDCVAQVPDARPTMSHVVVQIDEIKRSSDSVEGTDQQQDALNQAEDDDQDETVEGPAHLAP >cds.KYUSt_chr2.34032 pep primary_assembly:MPB_Lper_Kyuss_1697:2:210361596:210362222:-1 gene:KYUSg_chr2.34032 transcript:KYUSt_chr2.34032 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQWQALAGGANSIFAVSSLFPFGQRFKEASAELHFRRSQIGLLTAGSVVPLQSVDLDAATDMLQEASIQMAAYNEIHNRAIRVFSIYGSTLGVVPDAPLWQRSPQPQSADPLWQSWVQHKVDAIRRTEHASRTLRSVAAYHLATVDAFTVARSFPENSPSFSAWMWAAEVLAIRAGAEAHAASLSERSLYSAATGEFLAGWSIFNP >cds.KYUSt_chr1.18772 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110120268:110121062:-1 gene:KYUSg_chr1.18772 transcript:KYUSt_chr1.18772 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAPLVVLLLALAATAGAVTFNAINAVPDSAGGQRFDRDYGGVDYAEQVLSEASSFIWTTFNQPNPEDRVGYDSVTLTVVDILQPGAVAVTIGNAIQLRAQSVAETDGDAAAVKEGVNGVLYHEATHVWQWGLQDYAAHSGIFEGIADYVRLKAGLPAGNWGQPGQGSRWDEGYSVTAYFLDYCESLKSGFVAEMNGKLKDGYNDDYFVQILGKTADQLWSDYKAKYSQG >cds.KYUSt_chr2.49382 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309046060:309048855:1 gene:KYUSg_chr2.49382 transcript:KYUSt_chr2.49382 gene_biotype:protein_coding transcript_biotype:protein_coding MKILQVNKFCCLPFSSHAPKPDAPPRLAQQQQQQHDRVISNSRSSREAMAGAPDEEAFEEVDPTGRFGRYAAVLGLGSVKKVYRGFDLEEGIEVAWNRVRVRALADKDPAMVDRLHAEVRLLRSLHHDHIIGFHKVWLDRDHGVLNFITEVCNSGSLRDYRERHRHVSVKALKKWARQILEGLDHLHTHDPCIIHRDLNCSNVFINGNTGQLSAIAFVLCTLILLRARLTARRVQVKIGDLGLAAIVDNTHLAHTIVGTPEFMAPEMYSETYTESVDIYSYGMCLLEMVTREMPYAECGSVMQIYNSVTGGVPPAALRRLKDPELRGFIERCIGHPRDRPSAAELLQDSFFNNIDDDTTGTIS >cds.KYUSt_chr7.2111 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12137664:12140623:-1 gene:KYUSg_chr7.2111 transcript:KYUSt_chr7.2111 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSHHRRCLVVILLIVVLQTSLYVSSAAASTPLAAERTRRKDPLDGLRYYGGGWNISDRHYIAATVWFVAFALAGLVACCCRCCRGGTISDYSYSRKKFAVSLILVLAFTAAAVIGCAVLYDGQGKLDWTAPPPRALPSTIDERSRGSKTPNYVPAAVFTVIVIVDGHDVHFSTASMVGRFSPTFGWRRRGLAIHRHRDREPHPPQSSGLRSTLIGEGCRGGISSGLGSFFGR >cds.KYUSt_chr6.3231 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18946952:18952701:-1 gene:KYUSg_chr6.3231 transcript:KYUSt_chr6.3231 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDFGRPALFLRSCEADERAWQHDWLSNLPDDLLLNIVERLDVADAMRTSILARRWKQIPAMLSKILIMVGSTDDVQERSCDVARANATVLGATRSLLESRIASPYTIHRLCMQFFLGNKYKKIARIVAKTIRNHKVGFAEFTMLTEKAYARSSPADRFAYGRQLKKFILTCPNAFTCLTRLKLENLRLLEPDLACILLVCRRLEFLRLDNCDVGHRSLLAVEHPRLRELEIVRSEFERVDLNFLPELTTLTFSFWLSLHDPLSFGYVPLLHTVSISNTGRSFHKMLKLSEFLGKATISNLHLGFESEKIWVKPEDPRELSQVFSKLRLVNLAAISDECNLTWTLFVLQGAPSLEELCIRVCDCLGVWDEEQRRKLGYSKERKDAGAKWETCDFKHHKLAVLRICGFQSEVKFLDYITTVMKAAVNLKDIYLHEKPACEEKCAYRRQQGDRFPRVDDATYMETMNVGSSFMHDEAADGEEEYEDVDEEGEGLIEPRHPGRTANYTIAEDELLCKTWLTIGMDPTTGTDQTR >cds.KYUSt_chr5.22284 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145452621:145453814:1 gene:KYUSg_chr5.22284 transcript:KYUSt_chr5.22284 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPILCLLVLVLVQFQSTHSVIIPEHKNSIVEHKPHPSPSTSNSHGTYIVQTNHLAKPSEFATLDHWYTSMVDRSSSRILYTYGTVMHGFAVRLTEGEARRMSAVPGVTRVYKDRMYQIQTTRSPWFMGLHDDFGAWPDSEFGDGIIIGIIDTGIWPERASFSDTGLGPVRPTWRGKCEDAEGFNATLLCNNKLVGAKCFTGNLPVPEYNDPSPRDFMGHGSHVASTAAGAEVPWADLYGFSGGRASGVARNARIAMYKACVPLPGGCPGSATIKAIDAAVSDGVDLISISIGVEPGTPFYDDPIAVATFGAERRGVLVVVAGGNDGPEASSVSNAAPWVTTVGAATTDRVFPAKLMLGNGVALTGQSLYTEKSKGTSMVGLVYRRTAPAARMT >cds.KYUSt_chr3.7514 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43350870:43351448:1 gene:KYUSg_chr3.7514 transcript:KYUSt_chr3.7514 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAWTAVFRQRVVSVHELVRDARDRLVALDAAFREPLPAAGEAAQLAALPARLHLLMGSLRASTPTLNTALVYIEAAEILALHGGGANPWTPLPSIMNFTPRDTAVQLALARYQTARVYVLLALTSVEYSRGHLATAIALCAANPGIPDSLSFVLQEYDTAQFALRDAMQMAKLALSEVALSRQRITPP >cds.KYUSt_chr3.29650 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185516142:185516789:1 gene:KYUSg_chr3.29650 transcript:KYUSt_chr3.29650 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEVSNPPSSKRLSPKGSFKLSLPGLLACGQCKATTVSPPDSPTGPGARSARSLSSSASSSMGTSSRGRERDRQAELREIFRHFDRDMDGLISGLELRDFFASMGDGGAAAALELDAASGGGGDLTLGFEEFARIVERKGGEEEEREDLRRAFQAFEAVKGSGRITPRGLQRVLSQLGDDPSVAECQAMIRAYDDDGDGELDFHDFNRMMSHG >cds.KYUSt_chr5.4541 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29046567:29048144:-1 gene:KYUSg_chr5.4541 transcript:KYUSt_chr5.4541 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDPPGAGEDGGGVDVDVDVISDLPDDLLGPGRRFWVDIRDFMRIDATKDELLRMNAAVDQWLRSAALRNLQELGVSLSRHLVYPMASYISRLSTTLRVATIANCNLHDSTVQGLRFPQLKQLALESVKVSECSLHHMIAGCPALERLLINCSFGFRCVRINSLSLTSIGVHVRCIWYKELKLEELVVENAPCLKRLLHLDENDHGGHGLHISVISAPKLETLRYLSNFTKISFGSAVIQGLCADSLTEVVRTVKVLAVDTHVLSLDAVIQLMGFFPCLEKLYIECSFHSFQSGPTNVWRLRKKQKLIRYLDIPLKTIVLEHYRGTKSQVSFLTFFVLHARLLEVMTLGIRTTDNSEEFLAEQRRKLQLENRVSTDAQFYFTTDRYIRNYWYITTSVNWI >cds.KYUSt_chr3.7779 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44626280:44629466:1 gene:KYUSg_chr3.7779 transcript:KYUSt_chr3.7779 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMSMLWSLISVLMIAMVRASDEASLLAFRAQLSGGGSPALASWNDSAHFCRWEGVTCSHRRPARVVELRLNSTGLTGELSPAIGNLTFLRTLDLSFNWLNREIPASLGRLHRLQRLYLNDNAFSGAFPANLTSCANITIMGLHHNKLRGHIPAELGEKLGSLEVIILRNNSFTGPIPASLANLSYLQHLDLAYNHFVGSIPPGLGSIQSMWWFSVFSNDLSGMIPPTLYNWSSLELFEVGVNMLYGSIPDDIGNKFPKIKVLNLGANQFTGAIPSSISNLSHLTELRLRENRFSGYVPATLGSMGALQHLDLSQNKLEANDIKGWEFITSLENCSQLEHLSLGGNSFGGQLPGSIVNLSTTLQKLYIMDSRVSGGIPAGIGKLIGLNLLAIANTYISGVIPESIGQLENLKELGLYNNSLSGHIPSSLGNLSQLNRLLAYYGNLEGPIPASLGELKNLYVLDLSTNYRLNGSIPREILKLPDLSYYLDLSYNSLSGSLPYEVGSLANLNQLILSGNQLSGKIPESIQNCVVLEWLLLDNNSFEESIPQSLKNLKGLSKLNLTMNKFSGNIPDALGSIGNLQELYLAHNDLSGSIPTVLQNLTSLSKLDVSFNNLQGEVPDGGVFKNINYTAVAGNINLCGGTPKLHLALCSTGPLRKDKKRIRESLVFSLAAIGTIFLSLSVILLVCIFHKKLKQGQKTIVQYSIGEEHYERIPYHALLRGTKGFSDDNLLGRGSYGVVYKCVFGNEERTMAVKVFNLGQSKCSKSFEAECEAMKRIRHRCLIKIITSCSSVNHQGQEFKALVFEFMPNGSLDGWLHPKSQVPSANNTLSLVQRLEIAVNIVDAVEYLHNYCQPLVIHCDLKPSNILLADDMSARVGDFGISRIIQENTSETIQNSYSSTGIRGSIGYVAPEYGEGSVVSPPGDIYSLGILLLEMFTGRSPTDDTFSDSLGLHKFAEDALPDRTLEIADPTIWLHGEPRDNVTSRIQECLVSIFRLGISCSKQQPRDRTLTRDAAAEMNAIRDAYIAFVASS >cds.KYUSt_chr3.38299 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241252051:241257036:-1 gene:KYUSg_chr3.38299 transcript:KYUSt_chr3.38299 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDASTTAAAGEAEMDPDFAAGDGGPSFEFAFNSVNFSDRVLRIEVVAGDDAPGSSDDVAGGSLADWARHRKRRREELLKEKEFATHMSDQTNCNEVEAEECDAYEENQEEPVAMIEEEESPPSVGPDGDEGQSIDSSWTVVSTPVLRVNTIYISSAILAAKSQFFFKLFSNGMKESDQRHATLRITDSEESALMELLSFMYSGKLTTTEPTLLLDILMAADKFEVVSCMRHCSQLLTSLPMTPESALLYLDLPCSISMATAVQPLTDAAKEFLANKYKDLTKFQDEVMNIPLAGVEAILSSNDLQVASEDAIYDFLLKWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKCVTEALLYKADAPHRQRALAADTMTSQKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKRDECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWSTFMADDSLFFIDGMLHLRAELTIKQPSA >cds.KYUSt_chr6.409 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2526292:2527503:-1 gene:KYUSg_chr6.409 transcript:KYUSt_chr6.409 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAGISMVTGSEDCFIPAASKAHITPAVDAGTDSGYHLLVVKEYSRAVQDLPNGNKIWSGPFMVGGHQWCIHCYPNGGDPSCADFISLDLCLDDDSDDDHDEMEESVEAKFQFSFIDQVEYQKPMYIRARETRSFSIEHRCWGCMKFMKRDALERSAHLKADCITIRCDIMVCNDLNTQDTVSDIGQHFKFLLQDKLGSDVTFEVSGEMFPAHRCVLAARSKVFRAQLFGPMTEGVTSSAIQIKDMEAKVFAALLSFVYSDSFPKMEDETQSVEGEEKDETPEVEEGQEEEATEHVTWLQDLFVAADRYDIQQLKFLCEKHLAKHIGVTSVAPTLALAEQHHCQRLKEACFKFIEAQSPECLDKVMATDGWYHMISTHPSVLNEFVAKLVSNQNKNMKTKQ >cds.KYUSt_chr7.14061 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86906937:86907227:-1 gene:KYUSg_chr7.14061 transcript:KYUSt_chr7.14061 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPRRLHAAPQGTPSCAIADFSDRTAPPCRIIFANTNRDTRPNYAPCHQQRAASSSLVIIANHHLHQQLCRCIVAVITNQGLRQRQRVLRRRSCM >cds.KYUSt_chr7.18074 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112131959:112134097:-1 gene:KYUSg_chr7.18074 transcript:KYUSt_chr7.18074 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNNRRGTAARTLCSGGLAGSRAFWASDFASASNWPCWVLGLACAMDDDGEVWLHRKKSKTKMPLMAAPLPAKRRALGAGTVKVIITFSVSVIMPPSALDRLASLHIEYPMLFEVRNTAAERTSHCGVLEFIAEEGMIYMPYWDLIKYWRSPQFEVMRFRDYRRTITGKDSCVITGKDYWGDYIPCVMGVS >cds.KYUSt_chr4.4077 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23340913:23347120:1 gene:KYUSg_chr4.4077 transcript:KYUSt_chr4.4077 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSPPQASHAGTSTESENSHNFDDASAVLDDSGSLGSFLDATIAMSRQIENTETPNENAATPVNSPESVEYSSDDPDEDYVELDDDFIDKCNATTDASRNHNDWTTPEPTPTPILKKRGFIKLNNEDMREAKKSLKEKGIKSEDVKNLPPIEDLCKITPPSSMIEVNSLQRFTMEDIPYSKPPAQCLDEFDNYIVKQENFNMRVENHLMENSQAISKLHDIVERTSNDVKMLVKHFHMVQTQIDQLTKVQNDLLKNNSKERHAYEATTRGSVSTQDPLYPEGHPKRVEQDSQRIEPSAPSNKKKKKHKNIVESSEPVNDPNSISISDAETESGNEHDKDNDKNDASDKEEVEDEPEKHAKNKKYTKEDFIAKKHDVDIGALYWTFGTLGSRIPGFWAFGWCSCKMSDELAMVSYITEENKRRKKRRIVFMKHFFESTLLALYYVSTQRVPWDLGSFTDDEHRHSLRKYLLKDMYDGSEVACYDQLRLTKRNFHDLCTMLREKCGLRESVYVAVEEKVAMFLLVVGHGLKMRLLRGQYKRSLGTISAHFSEVLTAILSLHKEFIKLPDPSSTPPDDYKWKWFPNALGAIDGCHVDVCVHVADQGRYRNRKQDITTNMLGVVDWNMKFLYAMPGWEGSASDSRVLKDAMRTSRQDAFAVPNGKYYLADAGYTNGPGFLTPFRSTRSMEEVPAKKFSRNYTQWTQEMDNALLDVFVEHHNNGDHAQNGWKSHVYRAAIKAVREKCGVDVTKEKIVSRLKTFDKHYEANEKAAPYKNKVIHNWNEICTIYSKDHAIGLGARTGAESTDPEVIQPVVEGNDTSPEAVGPSPKRPRTGEAIMCMLGSLKTSFDDAMKSTEPLQQPQVTPPSVMLTTIEAVPDMSRTEQLRAYAKLTVSERLFHSLLELPLDARKEWLLMLP >cds.KYUSt_chr5.14597 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94645516:94662100:1 gene:KYUSg_chr5.14597 transcript:KYUSt_chr5.14597 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSSPAAAPPAPTDAEREDALDRMLTRLALAEDARLAPLLARVLPYAITSFASPAASVRKLVMEILSHINKRVKHRPEIPLPMLDLWKIYTESASSSIVRNFCIVYIEMAFERLPSEEKGNIAPDLLINISNVPAQHQGIILRLVSKAIGECNARLSLAQADRVTGKQPLKGDTLTSRKLGILNVLEAMQLASEIVYPIYLAGASDSQESVTKRGDELLKRKASTANLEDPKLIKKLFTLFNGDTSFEFRCLFSCLPGLLLNSQLPTFHNNKTEMAVRLFTALRMEDQSLRLTIQEAATSLATAYKGASKIVLKDLEVLLLENSQVEQIEVRFSAVRWATTLYDMRHCPSRYICMLGASDVKLDIREMALTGLNLLNDESQSSTIAIDFNYPDIVEILDYIYSQEPQLLHSNDHRQGKLLFPPKTFLAMIKFLMKCFEASGSPDLSQEDPSHSPVAKMCVVLEHAMSYEGSSELHALALKSLVDISSRQPKLVSSRYANRLHWLRTLLSHVDSDAREAAARLLGIASSALSSSAALNLLSELTSILDPNHPPRFEIYHGSLCAIGYVTACCLKESYIHEELVEKVVDILVKVVESEGSTLASIAMESLGHIGLRCALPSISRNSSAAAVLTVLHERLTKLLSENDTKAIQKILVSLGHISWNELSFSHLKIALDLIFGLARSKVEDVLFASGEALSFIWGEVPVTADVILETNFASLSQATNFLTGDAPQLGSKNLGKSISSEEARTMAREEIINKLFDTLIYSSRKEERCAGTVCLVSLTMYCGRHPKILELLPQIQEAFSHLIGDSNELTQDLASQGMSIVYELGDASMKGQLVHALVNTLTGAAKKKKAIKLMEDSEVFQEGTLGSNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPHLHTLIPRLVRYQYDPDKNIQDSMGHIWKLIVADPKKAIDEHYDIIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWAITFRVMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTNASDAKETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGTALRPHLSELVSCMLECLSSLEDQRLNYVEMHAGSAGIQTEKLDSLRVAVAKDSPMWETLDICIKVVDTNSLELLIPRLAQMVRSAVGLNTRVGVASFITLLVQKVMINIKPYTAMLLKLLYTAVLEERSSAAKRAFASSCAAVLKYASQSQAQKLIEDTASLHLGEKNSQLSGAVLIKSYLSNAADVISGYNAVVIPVIFSSRFDDDKETSALYGELWEDIPSSERVTLQLYLPEIVSLLCDCMSSSSWAGKRKSAKATKNLCDTLGEPFSAHYHNILKSLLKELPGRFWEGKDAVLDALASLCSCCHVAITAEDSTMPTVILNAVCAACSRKPKLYREAAFSCLQKVIMAFKDPGFFNSVFPMLYEVSNQSVIFKTRVSSSLTTSAADEQDESATVSVSLDKMLNCAASSISIALPQDIIHHKKNILEVLLNSLSPEEGWQVKLSSFLCIKEVCYKFLKSDGSTAWPQGTDDLVQEMYHSVASKVVDSIRLVKIAQVHIAASECLLELIKLYRDFPLTERREAMFEGELIQLCESEKSEQAKALLKQCLTALKDLTGVTMVMD >cds.KYUSt_chr6.27401 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174003166:174004956:1 gene:KYUSg_chr6.27401 transcript:KYUSt_chr6.27401 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPTCESRNIVPVLQQFYVPRDECFNHVKKADFTSYLLKAISAGILPLARELFDTAVPREFDDFEDMYKLYEGGITVDKAITDGRFFIPDHHDNFIPQLLKINSLENTFVYATRTLLILQDDDTLKPIAIELSRPHLDEIGTKVVGADSKVYTPPSSRRLRVGQQGPGHLVFKMRVGINRICLVFWWNLNTAV >cds.KYUSt_chr2.54587 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340586955:340591094:-1 gene:KYUSg_chr2.54587 transcript:KYUSt_chr2.54587 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLAPSTLSVPKKGSTMGAVGVKDTAAFLGVSSKTKKTMAVRTQVATAPTPVSTSPGSTTSSPGGKKTLRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKASKAAKAAGMANGSYTVMHLDLASLDSVRQFVDAFRRAEMPLDVLVCNAAIYRPTARTPTFTADGHEMSVGVNHLGHFLLARLMMEDLQKSDYPSRRLVIVGSITGNVNTLAGNVPPKANLGDLRGLAGGLTGASGSAMIDGDESFDGAKAYKDSKVCNMLTMQEFHRRYHEDTGITFSSLYPGCIATTGLFREHIPLFRTLFPPFQRFVTKGFVSEAESGKRLAQVVGEPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKKFNGSGFGGDFGSFQAGYHDDGNGMNLNLRNFNFAANQRFRRPYKQQNYVGRARGNGRVDTNIRFNGRGARFEQNLNAEQQGVQQGDGEGGASAQSGPVVPAARNSGQIMTPGPAVAAKNLGRMKRLKPVGVQGTTITMHFEDWTSRRENKRGFGIEFHVEALDGSVVPAGFADQEEDDVGDNTDKKEDVTGDDDSLSKDKGEDTEKANDNTVDEQQKDNSYSEEMVETVEHIQFGSGLDHHCGSPVCPCFPPSLRGSKSAPSKRWYEIVEEEEEAEMVRSAPQRDNSSMGKRTANRENGHIPRLMAVVETSQPRKDTTVASSFDATAGYRSKSSVSFAAKPRGISFSCFSFL >cds.KYUSt_chr4.44937 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278204736:278213976:-1 gene:KYUSg_chr4.44937 transcript:KYUSt_chr4.44937 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDLEPDIADLFCHYNALYFHDSLGACAVSWTEEPLPYGTLSACEYYPGGGGCIVLLSKSLYECHPDSDLKNVLLHEMIHAHMCVKDNNTNHRFPINTVNDVQRPVGGYSIIVPHEIRKKYQYKCERCGDLVKSTEIRGPSHDDCIERMGANYSCTNSMCQWHSHKKRCSGSYYTVRESPTGCVRLKGSIASSAKETLGERKADELASGSWHARHTSNKGGTRNKHEREDASAEFLHLTPAAIGSSGLGSSSGDRSSKRIKLSKDRGFDLSTATTFQQVPKRPRTDALNKNQKGSRQKKRKISKWDGSYSVLIEQMNYYFLDHSEEDEVPLINKRTERRKRQKLLQSSQATQSNNGVGGTTSSFLEAQGTITDRKSVPASQIDERMLPNHLASNHVAAAYQTGHGTVHSPLDSPMAGEIVDISDG >cds.KYUSt_chr5.1053 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7247814:7249742:-1 gene:KYUSg_chr5.1053 transcript:KYUSt_chr5.1053 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNPLDFEDMFDVEDLEPFVYGKSAVKKLDDEFEVEGLVPFCYDEATWVAWTEEEMERQRRNKEEKEQKEAENERRAKEHKEVRDSILEYDPKVGHKVFTRFFLRDFSIFDINEKSPIPAMRYTDSRHEDEFRLEDSANILSVSIVSSDVGFPVNVYGSVIARDSIDYKCIYLLHRHRDDCQPIKLQDEMLVLNGPGRGLVLVDFIYLEIDLKIKEDGVHPDRQFSKGLISIDGRVLSRENDLVVASDTLDSWLSSVEVRFATVLNSVEGTFQIQLLEGHYYGTITVGISGIEHRIVIHDSEADGVVTCDDSGAITLRRRVMTLCLLSRKLVFHIDNKAGGVLGEQTIDFTPSRTGADQGETCCGDGKFQVRVDWSLMDYMQ >cds.KYUSt_chr7.32956 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205583220:205584023:-1 gene:KYUSg_chr7.32956 transcript:KYUSt_chr7.32956 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGREEGEQKPILPWLQLLLHPAPPQAFLHPASTPEPAQSRLLLRLHFSPKIRTGAAPQDPSACCTSKTTSNLVHPKPPPPLSMLPAAAAQHAPCRHRPCVQPPRSFGRCSLEQGERLTERDRGDERGRLGAGVGRRRRQREETVSLATRAAGLLRGVLLQHLLSPGTSKLLLCCFGFDPGVGLHML >cds.KYUSt_chr4.9509 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57371123:57372403:-1 gene:KYUSg_chr4.9509 transcript:KYUSt_chr4.9509 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATGIAPPVIIVGAGPSGLAASACLARRGVASLVLDRDDCVGSLWRKRAYDRLHLHLPKQISALPHAPHADDAPDYLPRDYFVRYLDAYADRFDVRTRLRREVRAARFVDGRWEVEAVDLGTGDAERHAAKFLVVATGEFDERVLPEVPGLDTFTGEAMHSRDYASAEGMRGKAVLVVGCGNSGMEIALDLAEGGAATSIVVRGELHLMTREIMSASTALFAYLPVWMIDKLTLLACFLVFGDTAKHGLPRPALGPFARKLQINAYPVIDVGTYDKIKNGQIQVLPAMTSIDGDVVEFADGTRHRFDAIVFATGYRSTAKKWLKSHGDGLIGDNGMAAGRSPKGENGLYRAGLAGRGIYGSFTDAQFIAEDISMQLQGSGESANNTGNVG >cds.KYUSt_chr4.23307 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146814951:146816739:-1 gene:KYUSg_chr4.23307 transcript:KYUSt_chr4.23307 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVSPAPVNPALAAGFRRLGIDPDEPEGLFRRATAAAIDTLGPLAAMAAVAHEFLDSQLDQYEKFINRLFFPTNYALPTRPVSAASRLFALPPDDAVDRVSRLPDALLGNIVSRLPVKDAARTAALSRRWRGVWRSAPLVLADADLLPATSAVSSVLAAHPGPFRCVHLTSSRMAEFPGLLTTWLQLLADKGLQELVLVNCRYPLDFALPATFLGMATLTRLYLGLWRSPVLETLTVKGNLFKLHLRLVSQSLRCVQLFESFIEEIYVVDAPRLEQLIHSEPWTPDGNRTRIKIGHAPKLNWLGYLDPENHVLDVGNTIIKARTRVSPSTMVPSVTFLGMEGRFGVRNDAKMIPSFLRCFPNVETLYIRVKPQTVSFGIHLHRNVLAIMSICYLDLSNLQCGNTDQSAGKLNLKFWHKSGTIKCIRSRINVLIFRDFHGGRSELSFLKFFFENALVLKEVVIMLAAGFTSIEEVHSKVVSLGSIKWASDASVVLMTLCSDPRGGCIRSFKRGSDFFESDPFNNY >cds.KYUSt_chr1.27815 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167650731:167654208:-1 gene:KYUSg_chr1.27815 transcript:KYUSt_chr1.27815 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCGALPRVSGVGPSKASRQTRPSLDGIGAEKYDNLPVVRWVSSGLPHLTPLPSHQQYLIIPQAKIRQDPKKWRQSITGSHRSHQEPLRSVIYLDVAEVEADLRENTGGARMSKRRWQGEDGQANCHDHRSGNKHKSLYLVLDDWHRGFTIHKLDADSPDLSTPPVARLVAPVYNRAMSFAALGSNIIATSNQYAATMVFDTEDAALAMGNPLPDSLHNTVNFFFTASDVLFAFSYDFML >cds.KYUSt_chr6.31525 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199218127:199218650:1 gene:KYUSg_chr6.31525 transcript:KYUSt_chr6.31525 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEPREEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQLQHMSSDGGADQVSQVFEKSQGYVKRFSRYKNPDAVRQVRETLSRYSLHEFELCTLGNLCPDTADEARALVPSLVPGGRFQGDERIDKMLNDLSLIKKFE >cds.KYUSt_chr3.48840 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305204988:305206077:1 gene:KYUSg_chr3.48840 transcript:KYUSt_chr3.48840 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGRTVVGKKNGVVEDELAMDANLVVAVLDLGKGGLGHANRIAREEAAGEKFRITESTALIPLCQDPVGSDRKRIMKNKNLRAGSKVSSRWMSVITLEV >cds.KYUSt_chr3.24741 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153535794:153538058:-1 gene:KYUSg_chr3.24741 transcript:KYUSt_chr3.24741 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAQKPLLHFLIRLAGLRQHTVDVDGAGTELTFWVPKDKIPKDKSTVREITPEAAAGKTTDKAPAAERPAVVLVHGFAAEGIVTWQFQAGVLAKHYDVYIPDLLYFGGSTSPSSDRSPAFQAECLAAALGKLGVERCTVVGFSYGGMVAFKMAEARPDLVRSLVVSGSVVAMTDSISETTLERIGVKSSAELLLPDSVKGLKALLSIATHRNLWFPERLYRDYLQVMFTNRKERAELLEGLVVSNKDATVPVLPQKILLLWGENDNIFNIELAKTMKEQLGEKTMLQSIDKAGHLVHLERPCVYNRLLKEFLAHVNAEAPNKE >cds.KYUSt_chr4.29888 pep primary_assembly:MPB_Lper_Kyuss_1697:4:187499711:187516741:-1 gene:KYUSg_chr4.29888 transcript:KYUSt_chr4.29888 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKKHSKKGKGSMKGKNGPAAVAMKARGAAAAERSNPFEAIWSRRKFDVLGKKRKGEEIRTSRSRSEAIHKRENTLLKEFEQSAKSSVFHDRRIGERDETLPEFDKAILRQQREHMAKLKRVSKYNLSDGEEDEDDHSRMVSGNDDFDEEVPLGDDSDEEGITLSKKRLSLHNGDLPSEIDRPEETHGHKSKKEVMSEIILKSKFYKAQKAKEKEEDEHLVDKLDSDFALLAQTPALLSLTESTRANAHKDSSSTTIHQGSSGLTGKEIFNKEKPDAYDKLVKEMVMDQRARPSDRTKTPEELAQEEKERLEKLEKERHKRMTGTAESSDEDDDDHDEGDHHMKVDNSKSISGDDLGDSFSFDKSTKNKNGWVDEIYEKEGRKIGEDVASGDEGSSEGGEDEDEDGDEEEDAGDEEDSSDNDFVNMPARDWEQSDDDEIAVEEDGNDNAKEREQVMEDKLLKTNAHNLKRVSNAKQPHTKDDDLPFVIEAPNNLQDLCSLVDGRSETEIVELISRIRACNSIRLTAENRKKMQVFYGVLLQYFAVLATKSPVKFKVIETLVKPLIEMTGETPYFAAICARERLVHTRTRLCEDIKVPGKSSWPSLKTLLLLRLWSLMFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAVGSFLCSMVLAATKESKKFCPEAISFLQSLLVTSLEGEVGNQINDQFMELKTLKPWLHISEQVQEVNRMNIIEVMSMDPDSPFFSSDNFKTGVLLSVVECLRGFVIIHEELRSFPEIFMPISSLLQQILEKSDLPALLGELLHEVIGFIKKRSAEHYASREPVQMRKQKPEPIKQLNPKFEENYIKGLDYDPDRERAQLKKLKKHLKSERKGASSAPSLAGQAPRPRKANSDGTARVRRRDEQGGGVEPGSVALGGSQDAGSVESFDLKLSWQYASFFGDLGIGPLRICDGLDLDLVEESRWSRPFVPARSDSPSWSWPRQAGAAGTNQVELTLRACEVDGSFFRCSSGKWQLTHRPAGLRGRGGHAACQTGFLRPLPPQKLDHHDQAFPPPDPGSEICVKYCKGKKQHAKLSEKKRDCCNA >cds.KYUSt_chr3.24923 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154696281:154696634:1 gene:KYUSg_chr3.24923 transcript:KYUSt_chr3.24923 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPRPGLALSPSTPPRCRVLLRPLLAPGLTMEIPARLHRLLLLLNGDLQFFVVDLEPQLEFLLYYYYVLLLLYLYPDAGGMVLQVCNPVVRVLVLVVLRCRSGGGVVEVMLVGVAW >cds.KYUSt_chr4.10396 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63037190:63045581:1 gene:KYUSg_chr4.10396 transcript:KYUSt_chr4.10396 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAALDPDAADLPPRGSADIAGNTWDLAPFSPPRAGAEAYVYRNVYNLLPRSIARGGLTALKFFGNDVEVLPAEAADLDGLHSLQVKVSAPRVSGAVLRRMRALRELELSMVPPRPSACSILVEIAGLKCLTKLTICHFSIRFLPPEIASLKKLQELDLSFNKLKNLPNCITELGALRFLKVTNNKLVELPSGISSLRCLESLDLSNNRLTSLGSVKLVSMLTLQYLNLQFNRISHSFMVPSWVCCDMRENGENALKRDKLQRLGIATSSSSAEPRTASRTCNGLLLCSPTETYANLKAHTIQKTKKGWKRRDCLQQQARQERLESSRSRLTESDIDEMAVNMTEDECLSSVHDMENKPVMKDIAEESSAQDLKDTSSISEDLSCIVDYDLDGIVKDSGMMLQDQYDDEKTGINMRGCDESNSGISAEPACLSRSNIRSIEDELEDTASSKHNPVEVVQENLTEVSKLTSKSKRHPDMDSNPKPSKCPRPFDECAKLSYKYSVESFCSIDDHLPDGFYDAGRDMPFMPLEEYERSLGLHAREVILLDREQDEELDAIASSAQLLLSSLKRPNHSETDEDAGQDLLRASVLALFVSDCFGGCDRSASLRRTRGAIVSLRKEQPFICTCAAGSICDSNEASKQVNTPSGHFDFSGLCHKSIHIIKERRNSGVVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRQGNDWVRMIVDACYPTNIKEEMDPEYFCRYVPLSRLHVALDDTARSSFPSVSLCEEIEATSSSAVYHCKIGSVDAAAKVRYLDTRSASNDEVKTFEYKLLGEVRMLNALRKHRSIVDIYGHQFSSKWVQDDSDKEYRIMQSVILMEYVKGGSLKGYLTKLLKEGKKHVPVDLACYIAREVACALLEMHRKLVIHRDIKSENVLVDLDSKSHGTPVVKLSDFDRSIPLHSQSHTCCIAHLGTYPPNVCVGTPCWMAPEVLQAMHEKTQYGLEVDIWSFGCFIFEMLTLHRPYQGLPDSEIYDLIKRKKQRPRLTKELEAFWTMDEPITRLKLGITSDAHSEKLRFLIDLFYQCTRGSASRRPKAEQIYNSLCSLPTCYDLS >cds.KYUSt_chr7.37498 pep primary_assembly:MPB_Lper_Kyuss_1697:7:233977019:233985477:1 gene:KYUSg_chr7.37498 transcript:KYUSt_chr7.37498 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLALLLVPRACPSLPLHTSAPVPNSTRAARLAPAPAPAPARGQQAARLSFSVSAMVAPPSPRGGDEVERFNWMDQWYPFAPVCDLDPGAPHGKTVLGLRVVAWHDRAVNQWRVFDDTCPHRLAPLSEGRIDHKGRLQCVYHGWCFDGSGSCQFIPQAPALGPPVHKNNKACVASYPSVVQNNILWFYPRADAEHRDVLQRKRPPFIPEIDDPSFATMFTIRDLSYGYDVLLENFMDPVHVPYAHKVLLGKPAATALVEFDTEGGGPIRMKIEEANVDGFLSPQDNGGYYRYAAPCTFYGSPLPWDAQGEDKKRKKPHFMHVFICIPVAPGRSRVITAFPRNFGLWLDNIIPRWFHHIGQNAILDSDTYILHIEERNFAAAGLENWHRAVYLPTSSDTMVIAFRRWFREHCKNQIGWPATTVDQLPATPTKDKLMDRYWSHVAQCRACSAALKAMKALEVALQLAAVAVVGFLAVDKGTLSGPLFTIGPLYEPFLPALFGHYRLEAANPRGPRGGQADLGQPIAAASPDGVPPGRVGFRVYKRARRTVLRIALPASLPRRVLPRIALGVEAPHATGDIHNSAPHLHNGYSRISGDSIEAQVPSSIGVAYENNDWKGKLAAEFKKLNALHLGLQERPHDIAAIQAYEAYKKRREEERQAFNIKFCPAQLPDFGKTSLPKETEHIGGQTYPSWAEAKAKFMAHTKKAKPMLTGNSVGDFTTPTFEELPAEYRQAYEVLRKRRNEEFEERKRKLEKKYEDEDLHEFLASLKKDHQDNITQVEKIKSLPPCSEQVEPSAKPKQEEEDLQSNKIHEENKDGSASMVNSVIERAESKNTCAESIESRDASFSEKDHGATMLDFYGCTGVYMLPYELHAKEVNEHERQENIAEQCSVEKEHQSKGAHDPGKHEDKALENHPKVGQVIVHDIQPSCSTNVFKEDPSKKTDRVVRQMAFKCALMDDKLYRRTVDGILLK >cds.KYUSt_chr2.53293 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332541475:332546914:-1 gene:KYUSg_chr2.53293 transcript:KYUSt_chr2.53293 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAPVVEITDDDDDVPAAFTPARPRNRKRPRATATPSTAAASRSTPPDFLGAFSPTPPATSSRDFVGAFCPSPIRPWNQRVSATPTSHDDTPPPPKRRPSAPPIILDDDDDTPTSPYVSETPDNVAAGTPGFTTPRPATGPSSAAPVFSSTGRVPASSGASCPIPVDSSDDELDDFGSRDKFAQFIPPCANSTLQNEERHSMEENAQPIKEATKKQERKRLSKEEKDKIAEEKKRQQEERKMEREANKAQQAEQKKLEKQKADWDSGKHALESIVAKIDSAIIEAGSIGVSKVSIRTHPAPMAVDLHKWNRKPLTWLSVHAKGVIIPTGFVDKAKLNKDPWFMSLLAIPKVQPRFAHAIWKKYHTMRSLLNVYMDPSKTDREKEVLLKDLKCEDSLGEESRRVGPVCSKRVYRILMAQDGAMEADEAEKS >cds.KYUSt_chr3.24482 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151888834:151891545:1 gene:KYUSg_chr3.24482 transcript:KYUSt_chr3.24482 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVTGSPLAFPWEQQQLVLLYAIAGAALAWCAVRTLEWAWWRPRRLERALRSQGLRGTTFESIAGDAPLLERLNREARSRTMPLGCHDVVPRAMPLFHQTMKEHGKTSITWFGPEPRVTITKPELVREVLSNKYGNFEKLKLGRLQRLLHNGIGSHEGEKWAKHRKIINPAFHLEKLKLMLPAFAACCTELVERWEGLAVGDAPCEVDVWPDMQNLTGDVISRAAFGSSYLEGRRIFQLQGEQIELTVLAMNKIHIPGYMFFPTKSNRRMKQIAAEIGSILKGIIEKRENALKAGKATSDDLLGLLLESNMAHCRGDGNSNAGITTDDVIGECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRAREEVMRVFGTSTPDYDGLSHLKTVTMVLYEVLRLYTPLTSLIRKTYRPVKLGGVSYPAGVLLMLPLLCVHHDKDVWGADAAVFRPERFAEGISRASADAPAFFPFGWGPRICVGQNFALLEAKMGLAMILQRFSFDLSPSYTHAPFPVGLLLPEHGAQVRLTRLQ >cds.KYUSt_chr4.38089 pep primary_assembly:MPB_Lper_Kyuss_1697:4:234796768:234799062:1 gene:KYUSg_chr4.38089 transcript:KYUSt_chr4.38089 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPSPAPEKKRKWLHSNRKVIDRYLRDARAILAAAAPESGGGDAVAALGLVDAALDLSPRMESALELRARALLALRRYREVAEMLRDHIPSCGKSCSSGEVTSSSSSSTSSSLSSSSSGDLGATSRAKLLSPDRHRSDAAEADAGTARNFRCFDVSELKRRVLAGLSKNPSTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVCWSEDSFSSSSSAAVESVPSGRSSKSGSAFIIPAVESEAVSQLLAHVKLLLRRRTAAMAALDAGLPAEAVRHFSKILDARRGVLPHTFAAACLVGRASAFQAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALSDSLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLIARIQGLRGRVALGEACGIDYHALLGVRRGCTRSELERAHLLLSLKLKPDRAVVFGERLELVDEHRDLEAVRDQARMSALLLYRMLQKGYSFLMSAVIDEEAAGRQRAREAAAAAASAAAVPSKQQPAAAPTPANPNSGETDRVPIKNSCGTVRAVPAKQKEKAAAMVVPPALSKTAVSSPAPTISSAAPVYQGVFCRDLAVVGTLLSRGGFERSLPAKCEAMSC >cds.KYUSt_chr2.10329 pep primary_assembly:MPB_Lper_Kyuss_1697:2:65397172:65397796:-1 gene:KYUSg_chr2.10329 transcript:KYUSt_chr2.10329 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLKPHIPSETTPISMAAGAARLPRQAALPCVGICSGVAAFTEVLRLPGAAPRGAYDLNLAAGHLVRPVGISGCACVGFMVIDISRFT >cds.KYUSt_chr2.13614 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86280700:86287006:-1 gene:KYUSg_chr2.13614 transcript:KYUSt_chr2.13614 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDPAGDAGRSSRGGARGGAAARPRRFPTAAQPEVMRAAEKDDSYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRMLFILYQTTVPYLAERISSRIISRGIYLDDSQLGYHHESDNSSGGIAQSSTNTDDSLASLSFSTPSRLRSRAHAFWLWIVQKWPSMLPLGQDFIQLAIRTNLMFFYFEGLYYHLSKRGAGIHYVFIGKPMNQRPRYQILGIFLLIQLCILGAERLRRSNLSSIASSINQISSGSYPSSTGRSVPVLNEDGNIISDIRHGKGVDLASGSEASSSKSKCTLCLSTRQNPTATTCGHVFCCTSFLVVARDLMVPHRLHAFIKAKINLFTPKEEWIEDRPLKLKNSRALDIINSAKDRSGKQTKEKVVVPTDTLVQVPLWTDRAIPSPRLHLPLLRRLRRPAAAAAMSSSTTSPAITAPIEHFVLIKVRPEALSSGAAAAMVSSLQALSAVVPGLAYIHAGPVLRLRSPAAEALGPTHLLHSRYAAKPDLATYAAHPAHVAAVQAHVAPNALDATAVDWVNAADLPSPVAPGAAVRLTLAKVKDGVEVARLVEEVREATRAAAGVGEGAARVSFGENFSPARAKGFQFGMVAVFGSVEELDAVEGDAKVEEAKAALRPLLDDVMVLDFVVDAPAAAASL >cds.KYUSt_chr4.42901 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265739793:265744748:1 gene:KYUSg_chr4.42901 transcript:KYUSt_chr4.42901 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKSHHQMLASSSTTSSSSPSSQQQLLLPPVPPLPVVTSSSCVAADQPSPAKRKRRPPGTPDPDAEVVALTPQTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRAPAPPSGGGEDGSNGGGTGGAGAASTAAPRKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNSGRIRGEAPGVPPVLPALRPSVMRHPVSGGATPTPPPELQLLPAATKAPPTDISLFSVSHEPHATTTKLELSIGAAPDDDGREEVRRAMQEKACADAERARARDEAAAAERALEEARRARQRARGELERACALRDHAARLLAQVTCHACRQRSFAVVPMVGVVAAGGDGHGHGHGGASAVACESLRRGAGLGL >cds.KYUSt_chr2.7929 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49655141:49656962:-1 gene:KYUSg_chr2.7929 transcript:KYUSt_chr2.7929 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTALSHHFIPLAAAKPAASSASFACGPLRAVSALLAPRRRLLLPVAVAVTSEFETEDAAEEEGEGGGDSEAEYSEDLKVFVGNLPFTVDSAQLAGLFEQAGSVEMVEVVYDRMTGRSRGFGFVTMSSAEEVSAAVEQFNGYTFQGRPLRVNSGPPPPRDEFAPRTPRAMGGGGGGGSFDSGNKLYVGNLSWGVDNSTLENLFSEQGTVLDAKVIYDRDSGRSRGFGFVTYGSTDEVNNAISNLDGVDLDGRQIRVTVAESKPREPRRY >cds.KYUSt_chr4.2202 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12079294:12080691:1 gene:KYUSg_chr4.2202 transcript:KYUSt_chr4.2202 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPRSFFSRQHLLLSSLRPVRRHANQPSAVRGNGGNNLAGSRGHASGDSHADIEVTKRDDPVAATTKRHLYVVLDDHKDGYGIHKLDLDMEKDDDQDGGVRGLLPGPPVLRVAFPDVNEDAQFAALGSTIVATFISPRLDQYDTNKRDYGGVLIYDTKTASQLVSPQIPRGLAFGYDTAIAAESRLYMLKSCGYGFECYYSSDDEDLFRTGLHCLQAYDDGTVAVGNDKISWRWQPLSPSSPWRWSENDDLNILPFFDAYITAHAVHVPPGAPSPQDYELLVSANYNRNTGMGATFSFCSRSRKWTLRNDWELPVVGHAHYDGELDTWVGLHAVEIDTYCRPPTDGRLCIGHVTSDPEEWKVGTEKLFRLDKDVAAGWRHIDAKLVPLAVNKLQGSKYCLMERLRPEGEEKDSLGDGDKCLLRLTAFYVTRGMDGEPVVSDHRLVRSYKVSRYNALFDAQVFWM >cds.KYUSt_chr6.10031 pep primary_assembly:MPB_Lper_Kyuss_1697:6:61885775:61887060:1 gene:KYUSg_chr6.10031 transcript:KYUSt_chr6.10031 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKPSNQIARMAASASGLLLSLLIVVFTTSKYSLLSAERFISAATARSSRPASDDAACDVGRGEWVADSAGPYYTNATCALIDGRQDCMKYGKPGIESILGWRWQPHGCDLPRFDAAAFLRLVRGKSMAFVGDSVARNHMQSLMCLLAKVEYPMEIEPRGCVHCTRKYHYREHDFTVCVFWTPFLVRWNLTHGGQSFIDPHNVYLDEADPEWSGDVAGYDYAVLSGAKWFTRPTVLYEGGRILGCANMDGCEAAHNATDVAPDYAVRASFRTALRALAGFRGRVVVRTVAPPHYENGKWYNGGNCLRTRPVRSNETSLPENEAAFHAAQVEEFRTVASAASAARFVLMDVSEMMQMRADGHPGQYRHWPHEKVGFSIDCMHWCLPGPVDAWNELLLHLLLSG >cds.KYUSt_chr2.27048 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165657032:165663760:-1 gene:KYUSg_chr2.27048 transcript:KYUSt_chr2.27048 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPETPPPPIPSRGIQEIASGTLPERGIISRRTLRRHGRLRLHVGAGIPGVAPHYIPPPSTFNVLLGSSWFDKPWFLSEGKLAAWCRPEFVRTGPFLIIVTAAAFNAAGITALFGHVLTAAALNAASFVSAPALAAASFFTIITIAAGNAAATFPVDAAASFASAASSASSYPTPTSPTTPGAFELSIASQESNNELEQAASSRTSAAAPANHPAARSSSRATAPSARLRPHAIVPRPASPRLPAPVSKHQQPIRLTAGSSSARYVRLARILHQPLLVAPRDSSGSLQHHGSPTPSSPAAPANNCATGCAPRRPPRCAARTVPSGSARLSINARHSGRPRLYRLRTPTMAPRLHLGARSSPRSSSSAAPIAGSRHGRLIAASCGCATTDLADSDSGRCQLFLGCAAPSAARASPRRARLCAARLRVGRTIYGRPRLARPPAAPQHGHLRLLHTRSAPSGSVRHRRQQLCTCASSTTPRLRPRRCVLTVPRGCTSPTRGPGSSRAPLGSPRRGLAAAGLLRRCSARRARTGCRVSQSLPCQRKERMGEKKKHGAG >cds.KYUSt_chr7.27975 pep primary_assembly:MPB_Lper_Kyuss_1697:7:174553089:174562121:-1 gene:KYUSg_chr7.27975 transcript:KYUSt_chr7.27975 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADLAADDRTFEANFTGAGAKQLLERMREKLREFKDGEESLADYVMVLLTGRKRKDEASKDLNIFLGADDSATFISWLWDHLSLNLHLYVQTQEKREVENKGDEPKELQGRHKSLERELPSRPVLGASRRLLQFAVRDAVKAVQQTSSSIEPSSKRLRSVVSTLSTDNLNGQKSERTTDSLQRSKDSVHDRRSERSTDNSNDKRSERSRQILQVPGAALALKAAAEAAADSSKGRSTGSVFSRLGQGNAVKQPSRSREERRDFEPVTSVDDHDSDQHDNDEEISGDLNIEDEEDEMNVDTTSDDDVDIDDGTTRYQGSDSHAGAVSSVVEKKFTSTKCSTEPESNTTRHSSLTNKGQPLSSSPIRGSKTVAGPVNLSSVEPPSFATPKDVHVVEKLDITPMNPNVTSPAVNVKESGNGEVQKESHRSATSVTSSYSSVHPTEDADSRTLYVSNVHFAATKDSLSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHTESAERALSLNGTSFMTRILKVVRRSSHEAAHFYGWPGSGRSSMYARHSRMAYPRGALPGNSFRGRTPMKAGARSFQWKREASGTDSNAVAKTDMSVSLPSAEQVLPPAT >cds.KYUSt_chr2.31183 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192484784:192488705:-1 gene:KYUSg_chr2.31183 transcript:KYUSt_chr2.31183 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAGRLLAAATLLSSLCLHGLPGNSGRAFAHSGPVLHRVDHAEEEDDSGGLMPELSPTGSPQPFVPFLAPAPLAPFYNNTTPKLSGKCALNFTAIDSLMTTTAVDCFALFAPFLANVICCPQLQATLTILIGQSSKQTGSLALDPTAANYCLSDVQQLLMSQGASDDLHSICSVHLSNATEGSCPVSSVDAFESVIDSSKLLEACRKVDPVNECCSKTCQNAINDAAQKISSKDAALTSNTGGSKVDSCRNVVLRWLSSRLEPSPASKMLRQISNCNVNEVCPLSFPDTSKVAKECGGTVKNFTVCCEEMDSYVSHLQKQSFITNLQALDCASSLGNKLQKMNVSIDVYSTCQITLKDFSLQVGSQESGCLLPSMPSDASFDPSSGISFTCDLNDNIAAPWTSSLKPSASSCSRSPAPGPGPGPSIPERPSATSAQNGVSQNRLELSLVICLCTLLAALLLQV >cds.KYUSt_chr4.2415 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13474032:13475183:1 gene:KYUSg_chr4.2415 transcript:KYUSt_chr4.2415 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMLAGAVLLLVLVLVLPLPPSAAKSVKIECSKHPAKNPYCGPGYLMDQTCGKQCQGYSAWMCMPKCTCLRCPSMDLERRLKNEKIQKQQGNNSTPAAGVGPV >cds.KYUSt_contig_686-1.453 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:2824045:2826608:-1 gene:KYUSg_contig_686-1.453 transcript:KYUSt_contig_686-1.453 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGVTDDVLVVFFFVVGDMVMLVTGVTDSVMMVFVFVAGDVPMLVTGVTGGVLVVFVFLVGDMVVLVTGVTDGVMVVFVFVVGDVHMLVTGVTDNVMVVFIFVVGDVLMLVTSGVMVLFIFVVGDMHLASASSTPRSQSIMARLDRPDCVENGEGAGAYNEDGIPVVDFDVLINGDSDQRLAAILHLGRACTQWGFFMVINHGIPESLQGATMDACRELFSLPTEEKSEYMEAGPMDPVRVGTGFNSTVDGAKYWREYLKMFAHPELHCPAKPAILREIATEYATRTRGLMLELTTAISKSLGLDGSRIAEALNLENCFQILVGNYYPPAGPDVGAIGMPTHSDHGLLTLLFQNGVDGLQVKHDSEWLLAKPIPGSFFVIVGDQLEIVSNGRYKAVLHHALVDGEQARMSFVSLIGPCLDAVVKPIPELARDAPQGAEFRGIRYRDYMEHQQSNKLKENAALDIVRVHHQILTREGSPNSSLINV >cds.KYUSt_chr1.31470 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190822978:190824871:-1 gene:KYUSg_chr1.31470 transcript:KYUSt_chr1.31470 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAQQIHPSPPQPSPPPPCRRRRPGANPVGWEHGGRGLGAWRTPWTCRWTTSSPNNKPSHSRGRGRRNPASAAGGPAPARRYFHSCAANRSAAAPYHQLNFQPQQVPPAFGYVAQLTAMVTAPSTGLEITPTKLYISNLDYNISNEDIKDLFSEMGEIKCYSINYDKSGRSKGTAEEVFSTKAEALAALKKYNNVQLDGKPMKIEVIGINIEAPASAIFSFA >cds.KYUSt_chr4.15960 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98806249:98808319:1 gene:KYUSg_chr4.15960 transcript:KYUSt_chr4.15960 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRSRRAVGAKQGGGLMPQPEQPLATATAQSPRQMNPSSSSATAPGFPSPRPFMALPQAGFLDGAEGPSSSMSPTSILETKQFCCSALPPFLSERSLRRAHMEIAAPEPASAGLADVLREHSNANTVGGGKVVFGSQLRIQVPSGRAVELVSSPIEFGVKNRDAQLAVLSPARRFLPEVVSSPTARVFAAGVAPGEMAMSEDYTCVISRGPNPRTRHIFDDCIVESCGDMLVDKMDKGAGGAVDGQAVPASGFLSYCQACHKQIGHGSDIFIYRGEKAFCSSECRYREMLFDEAVDNLR >cds.KYUSt_chr2.13276 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84088938:84091135:1 gene:KYUSg_chr2.13276 transcript:KYUSt_chr2.13276 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATGTAPSLDGELLPPHGSSSGDEDGGGDGSGVDGGAFRGHFPAPAACRNRDSCPPDLGLAMAAALEDMDPKCSTGALDLNEPAIEGDKVIEDVSLFHDLQIEVTGSIQRTLSNPDVTKSSEHSKHVSGSNTGASADTNPETGETLSTDDSGGDEDEGEVQSTPCSQTEVETPFKGKIYDSWEDAKMQYNRYAKKIGFSIKCSTSKVSKIDDQRDKQLFVCNKSGKNKDINKVMRIMGEVYGGLAHVPYDNHADKVDRIFWVDRPAIAAYKNYSDCLSFDSTYMTNMYNMPFNRYYQTIQLGCGFLKNENIESFVWLFQEFLEAMGGLQPDNFITDQDAAMRSAVLVSFPNCFHRNCRWHIMQNVQAVLGNFLSKHEELRTELNKIIDYSMSVEEFETRWAQVT >cds.KYUSt_chr6.17654 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111081902:111089139:1 gene:KYUSg_chr6.17654 transcript:KYUSt_chr6.17654 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKSSQLVDEGDSIQTPTGFSKTSSLKWLLLTLPRSSSDVSRKGQGKGPGRCPSLMELCVAKVCKDISKYSTFAMLPSDLSQQIFNELVDSNCLTEALLERFRDCALHDICFGEYPGVNDAWMEVVASQRQSLLSVDISCSEVTDSGLNFLRDCSNMQTLGCNYCDQISENGLGVLSGFSNLTSLSFKRSDGVTAEGMRVFANLVNLVNLDLERCLKIHGGLVHVKGLKKLESLNMRYCNYIADSDIKYLSDLTNLRELQLSSCRITDLGVSYLRGLSKLTQLNLESCPVTAACLEAISGLASLMLLNLNRCGIYDEGCESFEDLKKLKVLNLGFNHITDACLVHLRGLINLESLNLDSCRVGDEGLLHLKGLVLMKSLELSDTQIGSNGLRHLSGLRNLQSINLSFTLVTDTGMKKISALNTLKSVNLDNRLITDVGLAALTGLTGLTHLDLFGARITDYGTNCLRYFKNLESLELCGGSITDAGVKNIKDLKALTLLNLSQNANLTDKTLELISGLTALISLNVSNSRVSNAGLRHLRALQNLLSLTLDSCRVTASEVQKLKATALPNLISVRPE >cds.KYUSt_chr1.28222 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170315041:170317394:1 gene:KYUSg_chr1.28222 transcript:KYUSt_chr1.28222 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQKSTTTNRRPTLSGEEEATSSVKPKAAAPGALVSRKMPEIASTHQREAGVDGMAQTEGLAAAHHQPLPECCGKPTRRGRPQRRPSHPRRPVRQGTADGRRAAAAVAHPTRVCSAKHREEQYPIQSTTHMETIAGDAHGYGWAGLWSAVASLIFLWSMVQQYVPAQLEEYLTTLSRRLHAAISPYVTITIDEHVPDSFGRSEANLAAEAYLSATCATSARRLRADLAAGSDRMSVAVDDHEEVVDEFRGAKLWWRKTKTLPRSNVISWSAHEEERRTYCLTFHNRHRGLVDAVYLPHVLAEGRAATVRNRQRRLFTNNPSSDWSGWDGRVWSHVKLEHPSTFATLGMHPAKKQDIIDDLDMFRDGKDYYASVGKAWKRGYLLFGPPGTGKLFIETTGKSIIVIEDIDCSIDLTGKRKTNKKKKDKSSKKKKKMAPPDAKDEENKVTLSGLLNFIDGLWSACGGERIIVFTTNHKDKLDPALIRRGRMDSHIEMSYCCFESFKVLAKNYLHIADHERFHEIQQLLGEVDMSPADVAENLMPKSKAKDVDASLAKLIKALKEAKEEALAKASIGAENEEEAQEDDDEDDSSSSSEEEKNRKNKND >cds.KYUSt_chr1.31793 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192890301:192890762:1 gene:KYUSg_chr1.31793 transcript:KYUSt_chr1.31793 gene_biotype:protein_coding transcript_biotype:protein_coding MESSREIVDGGGNDDDVGDVLARYSLDVSAGCGGRRSTLLDEYERLAFEAQLNSAILRRCYSEPSPVRFAHPSAGVSPAAPAQWTGGEAQRDEPAAAGKSWRLLELVAKWLEMLKTVLWWLGSVWERRRWKEQDTRRRPPATVPRVQLQDYLC >cds.KYUSt_chr1.1467 pep primary_assembly:MPB_Lper_Kyuss_1697:1:8018586:8022246:1 gene:KYUSg_chr1.1467 transcript:KYUSt_chr1.1467 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNVLERMLLDENAKPMNLTLSLLKDVTNGFSLDHQIGSGGFAVVYKGTVGKLGVAVKKLTKTHLLPESKFHKEVECLMKARHRNIVRFLGYCAETQGKAEDCEGRFVMADMRNWLLCFEGYLAPEFFNGKVAFASDIYSLGIIIMEILTGAKGYPEDENVTESWMNRLEGELQLEQVRVCTKIGIECMESDPKKRPVALRIINMLDKMASADETGMSSSVVEPQSSLLLEHSAQEKIGKLAESLSHEDGKEYPATEDVAERLGNDYSEEDQESDIYCSSSWEWQDTDRKTKSSVLEKLNIFNIFRKDEGSKLRGLTTFTKGEMKKITRNYSALIGEGSSGKVYKGCLADFTIVAVTVTESKLNRYLKEEFLKEVEIWAQMVHTNIMKLTGYCVETNVLTMVHEYAANGNLQEILHGNKNKMLPLDLRLDIAIGSAEGLRYMHSRDMRLGGVNPTKIYLDENLTPKLSDFELLPLHNMDVSFIIGNTDYIDPEYLKCGLLTQKSDVYSFGAVLLELITRKLVNSDNCSLIAQYCKIMEMEKSGRRAMFDKEIAVLEDIPVLEEIGKLAIECLREDIEERPDMTAVTERLVMIRRDSGLRKARSRS >cds.KYUSt_contig_2197.61 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:359700:361402:-1 gene:KYUSg_contig_2197.61 transcript:KYUSt_contig_2197.61 gene_biotype:protein_coding transcript_biotype:protein_coding HSFMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr1.26523 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159845373:159850980:1 gene:KYUSg_chr1.26523 transcript:KYUSt_chr1.26523 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRPRGLGVVQLQGAWPISSERQQPAIFRELRRRNCRGDAQEDGREQRRPTILAVVSAARRPGRVESLSRGSAPSQPPQHCRSLVVLDALPAGERVGLSRRFRIEARRSNQAERIGRMSSDEEVREEKELDLSSNEVVTKYKTAAEIINKALKLVLAECKPKAKIVDICEKGDNFITEQTGNVYKNVKRKIERGIAFPTCLSVNNTVCHFSPLATDDTVLQENDMVKIDMGCHIDGFIAVVAHTHVIKDGPVAGRAADVLAAANTAAEVAMRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKQGDLVAHIKFTVLLMPNGSDKVTSHSLQELKPTISIEDNAEIKGWLALGTKSKKKGGGKKKKGKKGDAAEAEPMDVSEQSQE >cds.KYUSt_contig_988.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:125495:126346:1 gene:KYUSg_contig_988.14 transcript:KYUSt_contig_988.14 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTRLRLLVAKESSESESTIDAPATSPATTIHAPQDVTVVLTVAVDGARLARSVAIRWHHTRRKDKKKTGTPPADGVTTITYSFAADRPGTFTYHARYRRDRDRAAGLVVYERGSEAGPSAGRRARADAGHGVVNLARRDDGSAAGCPARTATTARMGVANVPRSDQGPPTADGRAGGPASTAVRRADGRAWWTRGMASVEAQLMADCPVIHYDAAEYDGGQPVQRLLRWGGATLAAAALWVSGICGGRDPD >cds.KYUSt_chr4.12306 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75065278:75066720:-1 gene:KYUSg_chr4.12306 transcript:KYUSt_chr4.12306 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIAIKCPAIEVVVVDISKPRIDAWNSDTLPIYEPGLDEVVKACRGKNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIDDLFKPDRVLIGGRETPGGQKAIQALKAVYAHWVPEENIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSEVSYAIGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKVAVLGFAFKKDTGDTREAPAIDVCNGLLGDKAQVSIYDPQVTGEQIQRDLAMNKFDWDHPMHLQPTSPAALKQVSVVWDAYEATKDAHAVCILTEWEEFKKLDYQKIFDNMQKPAFVFDGRNVVDAAKLREIGFIVYSIGKPLDAWLKDMPAVA >cds.KYUSt_chr7.19378 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120225488:120226053:1 gene:KYUSg_chr7.19378 transcript:KYUSt_chr7.19378 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMLSRGTSIAHFKDMWEAPVPLKIKIFSWQLALDKLPSGLQIHARHGPSNGLCPLCGVPEDASHIFFSCSLAIFAWSVTRQMLGCNWCPTNFAQFHDILSSFSAVEPKMQAKRKGGLKLDSTRAKGAVRGAQANAVVKE >cds.KYUSt_chr7.11868 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72875763:72876182:1 gene:KYUSg_chr7.11868 transcript:KYUSt_chr7.11868 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHTSDHLAVQLLLCCTLLVAFAAPAATAASAPAPSPAKVNMTWDVEYILWAPDCQQRVMVGINGKFPGPTITARAGDVVRVTVNNKLHTEGLVIHWHGMRQVGTPWADGTASISQCAINPGDSFTYEFVADKVPNA >cds.KYUSt_chr1.30372 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183896214:183897664:1 gene:KYUSg_chr1.30372 transcript:KYUSt_chr1.30372 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEDVATGNTTAANGAGRGTKLFRRKSTMSASHRSSQASSSSSDGTSVAIKDVVKEPAAGVPKAADVEVASDEKPVAAVVEEKKEEEVAVKKDVAAPAVAAAVVTKDAAQPSAKEEDELPKSTMADEAPAVDEAKVDEAKEAAVAEEAKEDSPASTNEGGKSVGQSTTEPMEAKPVDVNKADVAAPVPASSLSQEKTAASVAGVAPSKSAAN >cds.KYUSt_chr3.36022 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226430452:226431078:1 gene:KYUSg_chr3.36022 transcript:KYUSt_chr3.36022 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSRSVWDMAAEMGSMDTARMLMLLAQHHQRYQLGAVGGAQAAPRATSGRVFECKTCSRQFPTFQALGGHRASHKRPRLHLQQQHPVLGDGDDRAALCLGRKTVTPLPPPRQPARPRAHECPVCGLEFAVGQALGGHMRRHRVEAEAGKAVPGEASCGDAGGICLDLNLTPSENCAKCRSVAGLAGATATAGQGVHKALAMLDCTL >cds.KYUSt_chr6.11950 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74423082:74427929:-1 gene:KYUSg_chr6.11950 transcript:KYUSt_chr6.11950 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEEVAPKPASCGARLRRRLDSSLKEEVPTRDPFLKHRGKKFDLSSLDWIDQIPECPVFCPSVEEFEDPFVYLSKIAPVAAKYGIGICKIVSPICASVPVGTVLMKEKGGLKFTTRVQPLRLAEWSADDKFAFFMSGRKYTFRDFEKMANKGFVRRYSSAACLPPRYMEEEFWHEIAFGKMDSVEYACDIDGSAFSSSPNDQLGSSKWNLKKLSRLSKSILRLLRTAIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAPKTWYAVPGKAAPEFEKVVREHVYDHEILSGERETAAFDILLGKTTMFPPNILLHHHVPVYRAIQRPGEFVITFPRAYHSGFSHGFNCGEAVNFAVGEWFPLGAIASQRYALLNRIPLLPYEELLCKETALLAHEFSMSGHKDITTSTGDSHIEQCMKVPFVQLMRLQHCVRWSLMKMGARTYYKADIDVTVLCGICRRDCYVAHLMCNCRVDAICLCHEEEIWKCPCSCDRTVFVRKDIFELETLSKKFEEENGTIDLVRKQIYHGSSIHSYFNSINHDVEYFPYCKIHIDVSDEVHSMSEARVLPYDLNKPYPAASTITFSFGPHDYSTQSDECTSSNRRIFSSSCPENSFTPETATINACPSSAPDQTCSSEKLAAEDTSDSDCEVFRVKRRSGITPDRRRTEDATITSFTENQVLKRLKKIHTDDRQQQKLVEVSSGKNDPVHTHYSRHCLDFISGNGDDSIATTKLKTRRQLDGNILEDEVASSQNPNGCNYLPPSVELGPKRLKILGPSFPSGNNALENCYTFQESDLASHMDGSEAILSAGLQ >cds.KYUSt_chr4.27240 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171120221:171121291:-1 gene:KYUSg_chr4.27240 transcript:KYUSt_chr4.27240 gene_biotype:protein_coding transcript_biotype:protein_coding MDELSKEQIEEFRAAFSLFDKDGDGTITTKELGTVMRSLGQRPSEEELRKMIAEVDADGNGAVDFPEFLNLLERKMRDAGAEDELREAFQVFDQDQNGFISLDEFRRVMVDLGERLTDDELSEMLREADIDGDGQINYAEFAKVMMSKLEMAESKPSVPPLFKNGFRVCRYLLAFRIMEIGRSVKRRRRKSQQNSRDMVGEEKTGGDDDKPPDQDKDKDADKPSHSRCIPSCTIL >cds.KYUSt_chr6.22046 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139299910:139300179:-1 gene:KYUSg_chr6.22046 transcript:KYUSt_chr6.22046 gene_biotype:protein_coding transcript_biotype:protein_coding MASVIFETTHDAAVVVGGDDVVFCVVILCLSVLSLVIFAASSAPAGGDGEEKRRRRSGSRANGPVFVGGWGCACGGCRAGTGVCGTYLS >cds.KYUSt_chr1.36807 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224605070:224605426:1 gene:KYUSg_chr1.36807 transcript:KYUSt_chr1.36807 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLRLLLLLAFLAPSSSSAGPAVIRLPRAGACAAPDPALYDRPVIGIVTHPGDGASGRIDNGTSTSYIGASYVKFVEAGGARVIPLIYNEPEETILEVRAPRFPFVSPFLTLCSSA >cds.KYUSt_chr4.36059 pep primary_assembly:MPB_Lper_Kyuss_1697:4:221667828:221669174:1 gene:KYUSg_chr4.36059 transcript:KYUSt_chr4.36059 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVINQAEVEDASAAALDLPPGFRFHPTDEEIISHYLTPKALDHRFCSGVIGEVDLNKCEPWHLPGRAKMGEKEWYFFCHKDRKYPTGTRTNRATESGYWKATGKDKEIFRGTGRGVLVGMKKTLVFYRGRAPRGTKTGWVMHEFRLEGRLPHPLPRSAKDEWAVSKVFNKELTAAATTSGSGAMAAAGEAGIERAGSFGFISDFLDPSGELPPLVDPSMGADLDEVVDFKGPASAYDAAGAHSAAPGMGYQLQVKTEAPMPPLQHYQYQYNQQQQEAQHMAMYSSPYFSLPTASSGDQSPAIRRYCKAEQVSGQTSALSPSRDTGLSTDPNAEISSAVSQQHQEFLDQLDADEYPALNLADIWKY >cds.KYUSt_chr7.83 pep primary_assembly:MPB_Lper_Kyuss_1697:7:489184:490458:1 gene:KYUSg_chr7.83 transcript:KYUSt_chr7.83 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHVDDEITGLAAACDRRRRSQAPQDDDIARLAAGCDRRRRSQVPRDGSRWSKLPKDVLDEVICRLPSFSARLNFAAVCRYWHDAERQHLPYSVPKICLALPDGSFFTFRHGSSSVLMPRDTSLSCGSYRGASGSQLLCDTDDRGVYSLVNPFILSRKRRLPAPSGIRLHEEDEPAPEGGYFTEEMPVRKLVVCPEGGLVAAIIGHERSARVALCVPEAAWCWSLSAGDPWRWYADMVFFDGKLYALTNNMDLLALEVGYENESGHPRIAHVERVIDGADCSYGLQEYTRMRYLVVRPGGQGLLMVCRIMLDYGSTTHEFAVFQADLQLSQWVRVESLGSREALFVSRLCSRAVRADRHGVPGDQIFFLDDFAGMEGLGLPLCDGLANVYDMKDGSISELLPMCSHGAVPATWLFHEDVDMEE >cds.KYUSt_chr5.5831 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36322275:36322601:-1 gene:KYUSg_chr5.5831 transcript:KYUSt_chr5.5831 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRCARSSAATPLDVDDLLSEILLRLPPQPSSLPRASAVCRSWRSLVTDAQFLRRFPLHHRHNPPLLGYYMRDFDNLSFLPTLDAPDHVPRRRMSLWFGRVGGFQLI >cds.KYUSt_chr3.35651 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223968766:223975951:-1 gene:KYUSg_chr3.35651 transcript:KYUSt_chr3.35651 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLDPRLYENVSVSDNDVRDIVLSYLMHNCYKETAETFLSSTGLKLPIDYTVDVDKRKAILNSVLEGDAVKAIKLTDELVPNLLENDKDLHFDILSLHFVELVRSRKCTEAVEFGKKMLTPFGKIPKYVEKLEDFLALLAYEEPEKSPMFHLLSPEYRQNVADSLNRAILANANLPAYSSLERVIQQSTVVRQYLQQEVGKSFGGSNGKNPNPSSAPSALRNITRSVDRNGHRYADGNAGYDMVLAKEQNRNPSQISTLSNKMVSFPSFISDNGTMTISTPERFGPWGGTGGTIFDDGIYTGVRQITITRGLGISSMKVLYDRNGQAIWGDKRGTSGAARPEKIVFDFPTEILTHVTGYFGPTMIMGPTVIKSITFHTTKKSHGPFGDEHGTFFSSCLTDGRIVGFHGRGGWYIDSIGVHVLEGKVLPQKAADTSPSRQTALALPTRDIGDEVTYGVVKEPIPIGPGPWGGEGGKPWDDGVYTGVKQIYITRNEFIGSIQIEYDRSGQSIWSTRHGDGGQITHRIKLDYPHEVLTCIYGYYNACAGEGPRVLRSITVVSSRGKYGPFGDEVGTYFTSATTKGKVVGFHGRSALYLDAIGVHMQHWLGDRNTAVSNPKTSSNSKAIVSSNKAAAPTTTTSSKYYISKYLF >cds.KYUSt_chr3.2849 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16362934:16363476:1 gene:KYUSg_chr3.2849 transcript:KYUSt_chr3.2849 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRYRTNSKGPPKEVEKSLSHHRRPGLELLPTSILSQRPATGHRDSSPARSTTRLPTLSSKKIHRSSTPLTLATTAGQERKRRDKNHTEEGIWALIETSCSTATQHHLPPWKPPWEAEPPPSSTAPWHRRSTRTEEESSGLICGDLLPQHHRLQQLLPPEKHSNDHHGKHAPDPADGI >cds.KYUSt_chr6.31781 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200830468:200831692:-1 gene:KYUSg_chr6.31781 transcript:KYUSt_chr6.31781 gene_biotype:protein_coding transcript_biotype:protein_coding MESTALTMASLSLSLNESSVVNHGVGEDVVRGFRDAAAEVFVMPAEKKLPYWLDYLKLQARLAEFSEAVDELAQTLLRLVAEGLGLGADFFTGDLSGGDTQMNVNYYPPCPDPSLTLGLLPHCDRHLLTVLSQGDVAGLQARHIGRWLLVRPIPGALVINFGHQMEIITNGALASVEHRAVTNSDRTRMSVATLIMPKMECRIGPAPEMVNEATNPAKFRKFVFIEFSEAYYTAAASREDVLEFFRIHAN >cds.KYUSt_chr1.29950 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181349544:181352537:1 gene:KYUSg_chr1.29950 transcript:KYUSt_chr1.29950 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPSLLVGHRFKDYGGILLVPFELSMRCRRTILPTPMHKINGTKHAEKPPVGVDLQKPCRVFNIGGSRPSGAKDIDNCNDKALDTQDRSALSHGQMIINKIRRERDAWLIGSVQAALCHYNARHQGGEFDAVKPLMEDRVGFRDQVWFHLNFWARSRSTNKIKRFFAEVHYKPSSDTSKYPRATPIVEICTIIEEPLSQYRRACAFCAASYEILHPKGCRKFVCRNDKDRFEQRLVRCGSMCIEPPFSCPSKIERRGLPYRSSLASPSQ >cds.KYUSt_chr4.23627 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148810692:148811405:-1 gene:KYUSg_chr4.23627 transcript:KYUSt_chr4.23627 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQLPRRRVVRVHFADADATDDSDSDSEEPQQRRAVRRCVREIDLPRRPCWADRRRRAGGKYKYDGPRFRGVRMRPWGKWAAEIRDQEQGRRVWLGTFDTAQEAAQAYDAAALRIHGPGAKINHTASYYSPSRSPSPPLSSLTTTSAPSPCPETTSATAASASQPPTTAWSLVNADEEVTAAFGMGFADEEPALASLAQFCLPTTTTCGRWDPCADFVELADLDDLFAAPELMAA >cds.KYUSt_chr6.24942 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158017869:158018804:-1 gene:KYUSg_chr6.24942 transcript:KYUSt_chr6.24942 gene_biotype:protein_coding transcript_biotype:protein_coding MMALASEFCPSADSVSSPPSYTPGAPSPPSQRGLMLSSTDALFFLDSSYSPLYAEYYEEYTPSSPSPSAGSPDYTPSSPSPRADSPDYSPSSTGAPFFLDFDEPYTSSSTEYTPSSPSPRASPDYTPSSPSPRAGSPDCTPSSSSLRAGSPDYTPWSPSLRAVSPDYTPSSPSSRLVFHMVPPSSPDYTPLTPSDRAASPDYTPSTPSCHAASPDYTPSTPPRYPASPDYTPSTPPSSLLVSDAESRASPYYTPSTLPSHAASPDYTPSTPPPPPQVSEDESCPCHRRHHPYKRSDASRISRRRIQRALGY >cds.KYUSt_chr3.304 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1729806:1740422:-1 gene:KYUSg_chr3.304 transcript:KYUSt_chr3.304 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGFSFRGSFSLIFTYMESESRSTDLGSQIHCAMGVFLFFLAACCCWQAVLLGRLRSSCISPNKVRIWSSSAMVFLHDTRRSSSALFVKLPWPGKEMEGVVGTGSLNKCSFLLSATIWWSVYLPLFLAGRGGEEVEGIVAAVCGSGVEWGRVVAAGSSPCAEHTASSFGAVIFGRNGGPTTTSIAEALSGVNRWSSTLLLLQVLYTIGIVILMEGPAVLEATDQNHLHLVNSSDDQKLLMVRWSIPHQADNREMNLQVFEADLDKGNWSEVKDLGSQGFLKYKLISKESADIGIKMMGFLEELPFKYACRIKYGNEDDLEAKASMLLSVWQKQLKDPSWRPFKTVEGDGKTQVVVDGDDAKLMQLRNEYGDIVFSAVKVAVAELREYRVCLKIKEMTFISEAAAYSFYNRYAHDYGFSVRLDQVKRFDDGVIRLRRFVCSRQGKRSKKLLTMEGRKYRLRPESRCNCKARLAVKFDVKTGFWIVEDFRDNHTHTLAEPDQVPFLRSHRRINDSQRSKILSLGAMGVRKHLIMRSFIAKSGSFAGVGFTRKDMYNMCSRERRRLLFDGDATIAIRIMENRKKRDPEFFYEYDLEKEGRLKHMFWCDCQSRRDYQDYGDVLVFDSTYKMNKYKMPFVPFVGLNNHRRTTVFGCAILSSETEETYVWLLKTFLKAMCQENPKAVITDPDAAMINAISELFSDGEMTIVDMIMHYDNAIVRLRENEAHDDCTASQTTPVPITNFRELEVGNHVIMTNGPAITEENRVRSMAKLTTFGKAVPGALTGIGVLRSCILVSLPRLDDLQVPISFESIKGREKKLGEYAIPNDDFIRAPITQPAVEAENYEIKPKLLSLVPQNQFGGSAAEDSGFRQEDREPLALAWERMKEATMNCPSHGMEDWLILHLFYNALNPMSKSMLDTAAGGTFMSKPVELARRLLDDMQSNHAQWHVDRSSSRKVNAITEGNNEELTSKVDELLHILKGKETTQVNAITNTKVEEIDVIARNPYNPAWKSQNYGSNFPRQYSNNAGVPNNNFTNNNGASNGNISIESTFKNFMHAQAEQNSTLTKLTENHSTMLGNLSNQTVSLKNDVQVLQERTKTVETQLGKIVESQTIILARFAGKPEPNPVEDLKMMRIEKSGEAPEELDYSNAPTHEYSVEDLIKIVTVRHPKIDEGNGKRYRQFIHVVACKVRDLEQQYKKLAEKLPAKLDDIFEVTIKIHFGTNEVAALCDLGASVSTIPKTLFDKLGIGPFRTTELRMHLADSTYRQVVGIKDNIVVEIKGCPALIDLIIVDMPGDPIAPIILGRPFLRTIKALINLHEGNVRIGLPSKDPFVVNFPRKKKDKNCDDGIITLKANYFGVGLPLPTLK >cds.KYUSt_chr7.27585 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172278741:172280554:-1 gene:KYUSg_chr7.27585 transcript:KYUSt_chr7.27585 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHHPAAAMGDALWELIGEEMAAAEAASGEHGLPPGFRFHPTDEELVTYYLAAKVFNGACCGGVDIAEVDLNRCEPWDLPEAARMGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVLNAATGAILGMKKTLVFYRGRAPRGEKTKWVLHEYRLDGDLAAGRRSSKEEWVVCRIFHKVVDQYSKMIEMRNPYCYLPMNHHHPSFFHDAPVPFLNPSQLLPYHHDLPNLQSSPLMQNQAKNSITNNGGFPAAACTPEQPNSSCNTAYFPFPSFTSIANGKAGQPAQLGVNGAPQEPPPTWLDACLQHSAFVYEMGPPASTRGA >cds.KYUSt_contig_7463.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001544.1:65259:65745:-1 gene:KYUSg_contig_7463.11 transcript:KYUSt_contig_7463.11 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARRPQVPAFGEWNYCYHPYGEPPAAECYYAPVPEPETEACSDVWFRYSPPRKPTPRKTRRPESDAREKGSRRSARESDASGLAGATAKGRGASASRVVRPVDEDLYQVPPPEFVISHRPRRKRRSLC >cds.KYUSt_chr5.1219 pep primary_assembly:MPB_Lper_Kyuss_1697:5:8373259:8374215:-1 gene:KYUSg_chr5.1219 transcript:KYUSt_chr5.1219 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFGQTGGSGSRDGENSPIFGSGTPLSTAPGVEAPGNNEEESESSPDENAQKGKSKNWSEKEDEWLVSAWLNNSNDPIDGISKKSDRFWKQIVDEYNMNAPPKLKKTIVQCKNHWNKTAPKVKRFNAVYNELKSVYASGQSEEQLMKKVRVKYMADTNTKRPFRFEHWWEMVRKQQIWRSELSFEKTNKRNKLTASGEYSSSNKEADGEEESARPPQGQKAAKAQQKGKDKAKAKSGILTNENVQQFNELQLRKSIAAEKMAAAALLQAETEKEKTKAEKLDKYLNLIDKDTSGYSDSQKLRHEQVVEYLAKELGLN >cds.KYUSt_chr4.7653 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45710837:45711517:-1 gene:KYUSg_chr4.7653 transcript:KYUSt_chr4.7653 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKSSSDLTLLDFWVSPFGQRVRIALAEKSLPYEYVEEDLLAGKSDRLLQSNPVHKKIPVLLHGGKPVNESLIIVQYLDEAFPDTPSLLPADPYARAQARFWADYVDKKVYDCGSRLWKLKGEPQAQARAEMLEILKTLETELGDKEFFGGEHGFGFVDAAFAPFTPWFMSYEKYGEFKVAEVAPKIAAWAERVGKRESVAKSLYSPDKVYDFIGVLKKMYGIE >cds.KYUSt_chr2.18291 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115228412:115232059:-1 gene:KYUSg_chr2.18291 transcript:KYUSt_chr2.18291 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLTNPSRIGRHFDEDERTPFEPATPRRPAPSPPSFPSAPAAGPAARSAPGNAWGAKKEAAPPAAPAVPAATGGQIWSATRIAQASAVEKVISGRWHLSKPSSPTTPPVLETHREVAPPEMERPRSVGAREFDGVMERPGLIGVRGMENPMDRPRLVAVRDLDGAMERPRSVGVRGLDVAVEKGVEPVRPASHEGRVGEVKVGEVPERPKLKLLPRSKPIEPPAPSPTYVEEKQVHPIPVIASVMQAEIVHDVYQNVVPAKTGVAGTDTESRATVDRPRLNLKPRSNAVGQSGESAAKERPSVFGGARPREQVLRDRGVDALASDLEKTSPVARSKNEFAKVEQKVEAMPINPSGEKVDSYPSGHRGPRNADRKDYRRDTDRADAYRPTRREDNRKVVEKIPEQQRPEPETWRKPVEPPKPEITAPRFGKAATALELAQAFSKSMSDTVPQSRLTSVPSPRVPPSPGTRDQVGFSRLTDNGALHSGSSHRKINGY >cds.KYUSt_chr7.23861 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148586535:148588949:-1 gene:KYUSg_chr7.23861 transcript:KYUSt_chr7.23861 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGLSQLLRKTLHSQSSGSSVLSSFRAKNEESSAGLRALALLGVGASGLLSFGTIASADEAEHGLAAADYPWPHEGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYPNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYHDPPAGVQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >cds.KYUSt_chr1.37349 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228182360:228191510:-1 gene:KYUSg_chr1.37349 transcript:KYUSt_chr1.37349 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASATDDSSASTTGMRDDDRSLSGESLSEWRSCDQGDSGSPSTSPPFWDTDYDFDDRGPKPSELYGRHTWKIENFSKEKKREMKSEPFDAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNMDPKKVKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLERPYRRELLRVYTTNIEQIYRRFIEERRNKLTKLIEDKIRWSSFRAFWSAIDPRTRQRMSREKTSTILKVLVKHFFVEKEVTSTLVMDSLYTGLKALEYQSMTMKAKTNIAELDELPAPMVHVDIDIFVLAGDFITLLERAALEPLPCQPVSPKDDKCSQSRMKDGSSGEVNKISMEREERRLTELGRKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEAAGLLENETKGKRNGGVNDKDKRAKKKQAKQKKNNRKVKDKEREEKSDAKILERLNDEITIDDSDGLPSNQAEEVTAKVGDTLEEGGASDGPDHLDSSRPMNGKRGSSIEANSVAFSADSTAMNGTHSKVSNLPDSRNHLSPNRGKNQRNKGVSIINFAEEDDCLPSSSVAASSDRNSSGCGTAPKLDRDTVLLTLKDRLRELGQRLHEKNIEGRKLFKAHFEAMESQTKTNGSSSSSSSSEKPPDALKSPEHSQEVANDVKANGTANKDVPVAKCVPEEAVSSMPATTITEAVPGKVPPRTKVDPVSSKDHGSNLTQQTNRAHPNSSKSTPIDTDKDVPLASRSPKVNRAATVPPKSPPTGKAAQVRPKSPPTNKATPVPPKSPPAHKATPVPPKSLPTDKTSPVPPKSPQTDKATSARTKSPPAAKASMVTLKTPAADKAGPVPLKSPSAGKSSVVPPIPPCAKDASLPSKSDKPVPAPPRLPQVDKAALLPSELSQTSRNVYSEAREETTPVKVISTTVSEAAVTTSRPSSAPVFPTPRTTAPATPQVQVSALLPRSMSEAAGRSGNDPSPSAPAYVPQTYRNAIIGKPGLGTSSSNLSYQSAALGQGTSLSQPLSTYASTTSVMIPPAGRNDHLSARHGFKSGLGNSDGLDSWQPWKGDSNSNNHLWRDESPYQRTTNGHAYQQTWKDDGYQQARSTETEKFSRFGGLQPSRQTPVSFGMQQPPVADEYQHLDIINDLLDEEQSNNGGMPEPLRHDYHAFGLPYTLRGNMADSGMTSVSSPGRLNRGNMADLDMASVGSPRRFSRGNLADSAMASLSSPGRYSRGNLADSEMASASSPGRFNSTERYYDDGYTRGYDMSPLQGMARDMQFSSLDTYATNGGLSDMGTSKPWLYGHSPSSSPSMTLGSVNTNGNGFAQQQHQIGDYSNLAGGVNGGSAYRRQANGRW >cds.KYUSt_chr2.3853 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23212690:23214984:1 gene:KYUSg_chr2.3853 transcript:KYUSt_chr2.3853 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRIISPFHVLLSLHLLLSLFNPLAVADLASEQQALLDFASAVYRGNKLNWGQNTPVCSWHGVKCSGDQSHIFELRVPGAGLIGAIPPNTLGKLDSLQVLSLRSNLLSGSLPSDVASLTKLRSIYLQHNKLSGDLPSSFSPNLSVVDLSYNSFTGKIPANIQNLTQLFLLNLQENSLSGPIPDLKLPSLRLLNLSNNELKGPIPQSLQIFPNSSFLGNPELCGLPLDNCSFPLPPTPSSELPSTPTSAHHDRKLGIGFIVAVAVGAFAVLMLGVVVLIVCLSKRKGKKESGVEYKDKGAGVRSEKPKPQFSSGVQTADKNKLVFLDGCTYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVSGKREFEQQMELVGRLGKHANLVPLRAYYYSKDEKLVVYDYIDTGSFSGMLHGVRGAAEKTPLDWNSRVKIILGTAYGIAHIHAEGGAKLTHGNIKSTNVLVDQDHNPYISDYCLSSLMSSPVNASRVVVGYRAPETIENRKSTQKSDVYCFGVLLMEMLTGKAPLQSQGNEDVVDLPRWVHSVVREEWTAEVFDVELIKHQNIEEELVQMLQIAMACTSGPPERRPAMEEVIRMIEGLRHSASESRDSYDEKFRESNTTSV >cds.KYUSt_chr1.18386 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107593942:107598963:1 gene:KYUSg_chr1.18386 transcript:KYUSt_chr1.18386 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGGADGNGHLPRPRRPRRVGGMGSPPDQAGSAPPHPAPPPCTDYDMAYFKAYSHLGVHEEMLKDHVRTNTYRNAIMHHRDLISGKVVLDVGCGTGVLSIFCAFAGATRVYAVDASDIALQAVEIVRENELSDKVIVLHGRIEDVEIEEKVDVIISEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYLAPITNPRRYQDSIYFWRDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPAVVAQVDCYTIQAPDLETVTAAFKFTSMLQAPLHGFAFWFDVEFNGPVRQKVKKQASQPLDVNMQNSSPSNKKKKQDVSIVLSTAPEDAPTHWQQTLLYLFEPIELKKDQIMEGSVTISQSQQHARFLNICLKYL >cds.KYUSt_chr2.1652 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9733548:9735263:1 gene:KYUSg_chr2.1652 transcript:KYUSt_chr2.1652 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDTTVQLTGGGGRVLLLPFPGMQGHANPMLQLGRRLAYHGLRPTLVLTRHVLSTTAPKDCPFPVAAISDGFDAGGIASCPDTAEYLRRMEAAGSDTLARLLLADDDVRVRVLVYDSHLPWARRVAREAGVAAAAFMTQMCAVDVVYGEARAGRVALPLADGSALRRRGVLSVDLGPEDVPPFVAKPEWYPAFTDSALGQFDELDQADDVLVNSFRDLEPTEADYMESRWRARTVGPTLPSFYLDDDRLPLNKSYGFNLVSSTAPCMAWLDNQAPCSVVLASYGTVANLNTAQIEELGYGLCNSAQPFLWVLRSEEAEKLPEELRGQCNMKGLIVSFCPQLEVLAHRATGCFLMHCGWNSTTEAIVTGVPMVAIPQWADQPTTAKYVESAWGIGLRARQDGKGLVRREEVERCIKEVLGGEEYERNASKWMQKAKRAMQKGGSSDKNITDFVAKYLPNSRSYEDGHS >cds.KYUSt_chr1.38254 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233785210:233787656:1 gene:KYUSg_chr1.38254 transcript:KYUSt_chr1.38254 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAPPAALVARRAASTAAPLRRAGLAAGCQPARSLAFAASGAAGADPRLAIHVASRCRAASSARGTRAVATMAKKSVGDLGAAELEGKRVLVRADLNVPLDDSQNITDDTRIRAAIPTIKYLLEKGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGITVTKADDVIGPEVEKLVAALPNGAVLLLENVRFYKEEEKNEPEFAKKLAALADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLDGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATTLLAKAKAKGVSLLLPSDVVIADKFAPDANSQTVAASAIPDGWMGLDIGPDSVKTFNDALDTTQTIIWNGPMGVFEFDKFAVGTEAVAKKLAELSKKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKQLPGVVALDEAVTVTV >cds.KYUSt_chr5.21324 pep primary_assembly:MPB_Lper_Kyuss_1697:5:139080674:139086613:-1 gene:KYUSg_chr5.21324 transcript:KYUSt_chr5.21324 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALLPSPPHPKPPLLHHNPSPARLHTRCAASRRDFTIHTAVAGAAASASAAMSRVVAAAAEEAPHLLAPSPTPPSLNGGAAPFLGGIANTRSWSQYYGSGFSIRVPPSFDDILEPEDFNAAMTYYGDKAKVRAYAARFASPDRSELVSVVIKPSNQLKITFLEAKDITELGTIKEASKLFVPGGAKIYSARTIKVKDQDDIRTYYFYEFRFDKQHVALMTTVDSGK >cds.KYUSt_chr2.14515 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91706661:91707073:-1 gene:KYUSg_chr2.14515 transcript:KYUSt_chr2.14515 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPVTVALLALFLVASYQDLTVAADGAAAVPDSVCDGKCKKRCSQKVAGRCMGLCKMCCGRCAGCVPSGPFASKDECPCYRDMKSPKSGRPKCP >cds.KYUSt_chr2.6338 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39507072:39512155:1 gene:KYUSg_chr2.6338 transcript:KYUSt_chr2.6338 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSTPFATATGARKKLRAPVPLHSFLLSRGRQLGRRASIRCAVAGNGLFTQTNPDVRRVVPPERGLPRVKVVYVVLEAQYQSSVTAAVQQLNADPRRAAEFEVVGYLVEELRDVDTYAAFCDDVAAANVFIGSLIFVEELALKVRDAVAQHRDRMDAVLVFPSMPEVMRLNKLGSFSMAQLGQSKSPFFQLFKRNKKDSSGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAVSYVPALKGADIKYDDPVLFLDTGIWHPLAPTMYDDVKEYLNWYDTRRDTTGKLKDPDAPVIGLVLQRSHIVTGDDGHYVAVIMELEARGAKVIPIFAGGLDFSGPTQQYLVDSITKKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFSGRDPRSGKTLLHNCCGSRMHYTRGWSSSAPELSDGQYSRGKLRYASHLYMLADAKKLAITVFSFPPDKGNVGTAAYLNVFSSIYSVLKDLKKDGYNVDGLPDTPEELIEEVIHDKEAQFSSPNLNVVYRMNVREYQALTPYASMLEENWGKAPGHLNSDGENLLVYGKQYGNIFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTYVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELIASYQSLKDTGRGNQIVSSIISTAKQCNLDKDVPLPDEGVELPPNERDLVVGKVYGKLMEIESRLLPCGLHVIGEPPTAVEAVATLVNIAALDRPEENIYALPGILAATVGRTIEDVYRGSDKGVLADVELLKQITEASRGAVGAFVEKTTNSKGQVVDVKNKLSSILGFGLSEPWVEYLSTTKFIRADREKLRNCFAFLGECLKLIVADNELGALKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTAAAMKSAKVVVERLLERQKADNGGKYPETIALVLWGTDNIKTYGESLAQVFWMLGVEPVTDGLGRVNRVEPVSIEELGRPRVDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPVEMNYVRKHALEQAEELGVSVREAATRVFSNASGSYSSNVNLAVENATWTDEKQLQDMYLSRKSFAFDSDAPGVGMLEKRKTFELALATAEATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPSSYIADTTTANAQVRTLSETMRLDARTKLLNPRWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIEDEEMRKRLMDTNPNSFRKLLQTFLEANGRGYWETSEDNLERLRELYSEVEDKIEGIDR >cds.KYUSt_chr5.36136 pep primary_assembly:MPB_Lper_Kyuss_1697:5:228486466:228491930:1 gene:KYUSg_chr5.36136 transcript:KYUSt_chr5.36136 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAVEMAIAQSELNRLQWDGLAVQLRASELAQGRPPTPPAIPPALIPPPATHQPLDCSWPWAPLVFINLADDDDEKDSEERQNWQSEKVPSVDEERNGALALQLSQIPHGSVPVALHFSFSPGNRILGARRGIAMVVSYTQEHVYRHPWHRVTAAAWRKFTDPAAREAPLSHILDVETLSRDVDPRAGRLHAVRVIAGRPPPLPLLVRGLVASAGAGDLVLCVERTSVDAAARAMRVVYRNATFRRLVDVEEVCSYAPHPDRPDDWTVFTQETRIRCAPLAAVSATVAEMVERRCAESFVQNAAKGMEVVERICEGLADGEK >cds.KYUSt_chr4.28108 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176659401:176661420:1 gene:KYUSg_chr4.28108 transcript:KYUSt_chr4.28108 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEACPAPAIAVAGRAEIDTSAPFESVREAVDRFGGSAAWSSRFIKRMFAPPNPKDHELSEETEQAVSVEEQTAQLENELLIKERETLDVLKELESTKKIIADLKLKIRSQETETCPAAEKSDEGTESLLTESVEEQPENIIVEFELVKESLNRTTGDLAAIRATVESLRDSIGKERALLQSGREKLSSDAALISSLEDELDQTSQMLQTLKDLQARRERPSDIFTEIKKMASEVRQLRRMADASKSEAVILAVEIEQAKASIGTAEARCVAAKKMEEAARAAEAIALADIKALLSSENYSEGSSSSVSDGVTLSVEDYSMMYTRAQEADYNTNKKVEEAMLQVDAANTSESDSVRKLEDAKQDVEECKKALQEALERVEAANHGKLAVEDIVRRWRSQSGHKRRSIGGSPKFKNAGHRRKLSRSMDMVSDVSSSSSFKPTLSIGQILTMKLTGPDGYDKSVWDDKTGELPTVSLGQIINRKSAVVCTEETTASERISGKRKKFALTGLSGLLAKQSKSKKKRESL >cds.KYUSt_chr2.14525 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91742903:91743568:-1 gene:KYUSg_chr2.14525 transcript:KYUSt_chr2.14525 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSDLPVSCLNFEGRKLGAEAAARAKMNKCKIVNIAAASVLALLLLTAAAVYVARCDGDQLRAIFGTRDTFLVFCVATHLGTICLLTWYAVTAPTPASRRSYARATVASAVQLAANMYLATVRVDVWLPKTGRWIDLEFGDEPFQSPAT >cds.KYUSt_chr5.33285 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211221276:211230449:1 gene:KYUSg_chr5.33285 transcript:KYUSt_chr5.33285 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHQTQDLVKELVLRLVSAESGGGARDAGGALRFAHRLLSSRLAPAVLPDEHALAESIKRRLAASGRPDDALAFADLHSKLSARSRPASLWPLLYLLDSLSSHRRGAAASSCLPNLPTAAPPTTSAGKPASRVPGTPLGGVLLVSKDPDNIREIALREYTELVLDETEVSEAALVRDVLYACQGIDGRYVRYDKASDTYDLPDAVRVPRSTRTLVRKLCEVGWLFRKVRGFISDNVSRLPSNSATEVGTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGVSGNYLSLRRLVVWLAEPAVRMRLMAVLVDGCRGLRGGGMAGAIHGHAQHGDPMVQDFMGRLLRRVCSPLFEMVRSWVLEGELEDVFGEFFIVGQPVKAESLWREGYLIQSDMLPTFISPVLAQRILRTGKSINFLRVCCDDNGWAEAATEAAAYVGTTTSRGGLGYGQIDALEALVVEAAKRIDQRLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFHLAGLLETAIRASDAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKMYLKIFNFLWKLKRVDHSLTGVWKTMKPNCIVSSPFYKEGTSIRAQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEISWARFSEEMDAAKDLDDLLMGHDKYLTSIVEKSLLGERSLGILRNLFALFDIILQFRSHADRWFERIYELQLRGKGKSKTKSKETGIGSLMFRGFPLEDALFTHAMKLKAALQEMLVYRVDATQDLTSLKSMAREKKLASKSSITVKNLIVYDIHDVAPRLAN >cds.KYUSt_chr1.40364 pep primary_assembly:MPB_Lper_Kyuss_1697:1:247239113:247244709:1 gene:KYUSg_chr1.40364 transcript:KYUSt_chr1.40364 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLRWLGLFAPSVKAATATLQLLARRMPRHASRSQGERAAPWTELPPELLGLVFSRLPSQADRVRVRAVCRPWRSAARLQPLAPPLPYLLRRDNTLLALPDGALHRPPVDWRGIDLRVSAGGVLFLKDRFCRCYLMDPFSRSRETTPQRINLSDRLHDPPHRGHRGIRKVVVSHHIVAVLHKGKVHFFPRREGPYPTMVWTTPGNTHFAVDIALFQGKLCVLTDKYPYDGSELPELHLLDIRFLDISHRQTHIRSVKCIQGVPRNPMDPALPLPANQRRCFFFYLVVSGDRLLMVELPSVQGSTQFEVWEWVDQIDSRGSWSKVHTLMGRTLFVSAHCSRSLPANSAAPQDCIYYVPERMANPPQDDCIVYNVKDRKMEQLPSKVTAAMKLGIALVKSAKLYLSICGAIDYADTDAVRIMILLEKIDDAVFWMWQESSREAFYQQMHYAWNSAKEIEIRPVGDNRFVIQCSCLGDWEKVTEKGPWLFREWALIIAPYDGLSDPESVELEFMPVWIQVHKLPTAYRKKEVITKLVERSAGKECGDGLHEEKSLKYGDWIYANGRGRGSMSGRGGMRGGFGGGRGSFADGADARGRGGSGSHPERRGLGVTNILDTDLMDTATSPVKHGDTNMSDVDKMVKRRLPFEEVADMTSSVLAITNSAHVDGDIVPFVKGDLLDDDNTKKRHKKEDGTSVSSTNTGSAASLEGDRRAQ >cds.KYUSt_chr4.6348 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37289201:37297539:-1 gene:KYUSg_chr4.6348 transcript:KYUSt_chr4.6348 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIASQCLVKLPASNNKFTYNCDGHTFNYLVEDGFSESRPPSARSALFSRSIRSDACGSAYCVVAVESVGQQMPIAFLVRIKDDFSKRYGGGKAATAAASSLNREFGSKLKEHMQYCVDHPEEINKLAKVQAQVSEVKNVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTQVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCNKVWSVARWPPVRSLAPVGVVGDLVVVDDVPGGEALLWLDRAGDSGHGDHGASSHGEWGSGATRGCGGARRRLLPEALAPLLLGAAHGLPAPPLLVEALLVGVAPGLLPPRRLLLLPSRSAGPGVELPTLRLLGLPAGPPPPSRRCEWPHGGAAISPPPISEVRMAAWRCGHFASSSAAEIMSAARRSGSSSEDSGFGSSRRGGGCGNATPPRAASPMWRPPRFLPAARSAGGCCSLRRRWRKRTVAWPWRRFRCGKWSGDWSGGPDPLQSPLNRLPGHR >cds.KYUSt_chr4.39096 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241370091:241376924:1 gene:KYUSg_chr4.39096 transcript:KYUSt_chr4.39096 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLHHFVPLVALLLLAGAGDIMAAGSGKVPVALYYESLCPDSVDFVVNHLAKIFKDGLLDAANVTLIPYGNAKVGANGAISCQHGPYECILNNVEACAIDAWPDLNVHFGFINCVEDLVMNNKQEEWESCFKKQNLDPKPVMECYGSEHGQKLSLEYGKQTDALVPPHKYVPWVVVDGEPLYDDYENFEAYVCKAYKGHPPKACQELTRQYPIVEQVVKASNGVSYDSFDLEELDDNVDGEIKMVPVALYYESLCPASADFVVNHLAKIFKDGLLDAADVTLVPYGNAKVRVNGAISCQHGPYECILNNVEACAIDAWPDVLAVAGCRTCISVSSTAYVEELVVKNKREEWESCFQKQGLDPKPVMECYNSERGQKLSLKYGKQTDALVPPHKYVPWVVVDGQPLYDDYENFESFICKAYKGHPPKACQGLSRQYPIMQQVVKAGYGVSYNSADVEEVDDDSVDGEIEMVRDDGN >cds.KYUSt_chr7.33930 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211923176:211924018:1 gene:KYUSg_chr7.33930 transcript:KYUSt_chr7.33930 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCTCCGCFGRRRKAVIIAREIDLSWSSDTKICGALSVAFDCRPTLMANCWPMSSDEIFDASSTSRRRPCSELVVVFIVNSESSGDVPGVELDGCNRSSLYHSYGGGPDCFLLILLEVYFVIVGVFSDISALSDVPTVTCTRRFEKTTSLKTSDLDDDQITRRYCCRTRLPLESTWWGWYRDRHRLTRMPPLDVALTGVTSGEDTDEAGISRQHGGLPGTDCHQPPPPGRNKGHANSCGRG >cds.KYUSt_chr5.33321 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211467014:211470387:1 gene:KYUSg_chr5.33321 transcript:KYUSt_chr5.33321 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAPNPTIRRLDVASPVPADIDIANSVEPLHIADIAAELGIPPEHYDLYGKYKAKVLLSVLDQLQGQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHMTGDIHAITAANNLLAAAIDTRIFHENSQSDKALFNRLCPPNKEGKRRFADVMIRRLIKLGISKTNPDELTPEEVRRFARLDIDPASITWRRVMDVNDRFLRKITVGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGRMVIGNSKAGEPVTADDLGLGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGFVVTEAGFGADIGTEKFMDIKCRYSGLAPQCAIIVATIRALKMHGGGPDVVAGKPLDHAYVNENVGLVEAGCVNLAKHISNTKSYGVNVVVAINKFATDTDAEMEAVKNAAMAAGAFDAVVCSHHAHGGKGAVDLGLAVQRACESQAEPLKFLYPLESSIKEKIESIANFYGASGVEYSEQAEKQIEIYTKQGFSNLPICMAKTQYSFSHVPSMKGAPSGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPSFYEIDIDTATGKVMGLS >cds.KYUSt_chr2.35829 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221466301:221467218:-1 gene:KYUSg_chr2.35829 transcript:KYUSt_chr2.35829 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGKASISQAARTRAVTRRSASRLSRARARRRYISLNKPRRQDRLQATHDYVCRELDYTEPNNYAKLYDYIKIYVKFYIANYIVKVYINMTSVDIYVNTAIAYNQKPCRRCATTQKPRRRRRTTAAAALRHKNPAAAEPLPTPRYDTKTPPPQNRCRRRATTQKPHRCRRFCRRRATTQNPTKQKHAIFCAFGEYDYTTYDNYNIDHTLSMGTRSATSTSTSRKRLRQLKNSSQQRPCRHLRPRHSCCSCCDCGTEEKEPEGDADDDKEEKRRERDTSNAVASVRSTPATTEGDVRIYVRLRLD >cds.KYUSt_contig_786.539 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:3025835:3034805:1 gene:KYUSg_contig_786.539 transcript:KYUSt_contig_786.539 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSLQDSINPKPLSEGIAEEHPRHQGLTALGLPFSRKTGVWLRDLIPYLVHPDQTGFISGRCIAENFVYAADIVQSCHKRAAPAAVFKLDFRKAFDSISWDALDRILLAKGFPELWRSWIKMLNLTSQTAVLLNGVPGRWIQCRRGLRQGDPVSPFLFNIIVDVLQQMILHASRGGLLLHPLVDDLPCPVLQYADDTLIIIRAIPEHVVNLKKVLDDFSAATGLVINFHKSTFVPIATNQSMALSMANAFGCVVSSFPQTYLGLPLSVYKLRPTDFAPIIHKRPSFGPERKLAMAGTAKLPGKMSAPQKKLGGLGILSIQSQNSALLTKFLTKIHSDTSAPWAVWFRRRYGWNGSRDLGDTHRLDTHVWKDIVAGLKVFRSVTKVSVGNGPSTAFWADHWTGDATLQDRFPVLFSHSTRTNINVAAALTSDFRGTLGLRLSLAAETDLRTLANELTSVVLHHDSPDDRCDRLTNKKLSNKSIYVNSFRHLRIDAVAEKVWRSAAPLKCKVFGWISLKKRLLTNERRFRHRLSTTATCLSCPQDEDTDHMLLLCPRAWEVWTFFFPEFSAGRPSNLADLWAMQCRDFEETTIVAAITWNIWKRRNARTFNGVDEDMRLVSHRGYSAPEYIDSGTMSPSYDVYSLGIIIIQLVTGHRSVTNSNNVLRKWRHRLRKSGKETPFRYQQIAKLLEIGLLCQEKDPYRRPFISDIIHVINELESTDWQISNENKSTAEQMSAYSEDDMLGIEPLELRFPFELNTKIPRSLELTNETNSSIAFSIKTTIPLPYCIEPKKDIVAPQSKYSVNITLHPIDKAPQDTLIGDFIVRSTKVNDNLKSEDINEDIFNRDGPKLVDEPSPCTSNELIQFDLPELPHQMFYTPDPTMDPPPRALAPSEVKYCKKALKAFDKKLKQPLAIHREYIDLTV >cds.KYUSt_chr4.4290 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24563575:24564222:1 gene:KYUSg_chr4.4290 transcript:KYUSt_chr4.4290 gene_biotype:protein_coding transcript_biotype:protein_coding MPELWSESPEMGRIRRLQMVFLFLAVLWWPGPEAKKVVTVKISVNKANFVVSDLVSAFSPLLLSLCHGGGAICRLGLEMAMLGSEVKQGLQASSGGGHQRRRGRAVVIQGHRGYSAPGCFVYLGIFFLQVGVPYRRIFGDLSMAFIGARTPSGSVPGGGGDGYAPRSAFVCGGEEPGSDRVSLSPNRVLFALCEDLVVISISFSVLIVTVCPPLI >cds.KYUSt_chr5.12111 pep primary_assembly:MPB_Lper_Kyuss_1697:5:78803422:78805646:-1 gene:KYUSg_chr5.12111 transcript:KYUSt_chr5.12111 gene_biotype:protein_coding transcript_biotype:protein_coding MPILYAGVAATHHPCLHLGLRTFRSTAHAPGVSPSVGRRGRRSPSLRQGRNCPAAADEESALATFADASAQRPSTKQLPRAPATVEKASCPPSKDKCHNRQGYLHMWMELNACFSRVGIAQSGISQVMGIPCSGPDIDRLHNNPTYQHRTLVGKDICNMSVTPMDMLEQESFKPTSYV >cds.KYUSt_chr3.24755 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153659037:153659801:1 gene:KYUSg_chr3.24755 transcript:KYUSt_chr3.24755 gene_biotype:protein_coding transcript_biotype:protein_coding MMCGRAGEPAVRKGPWTLEEDLILVGYISQHGEGSWDNLARSAGLNRNGKSCRLRWLNYLRPGLRRGSITPEEEMVIRELHARLGNKWAEIAKHLPGRTDNEVKNYWRTRIQKKAPSCCPASMAVSEVTTSSVSASTTSQASSTVGDEYTQTSFAYPDLGWPAADHHDVAAATTRFLSEFSDNFWSVQGNFWEDLPPSGPGYEAISGSGSTI >cds.KYUSt_chr5.6193 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38249669:38254162:-1 gene:KYUSg_chr5.6193 transcript:KYUSt_chr5.6193 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTKAAFMNSKLLALASSGSYVKLRYLLDWQDIEADGLTLLEGVTAEGDTALHVVAAYGQGSTFLPRYKKLISSNEKDTLHTQEHYAEREAFDAIYGDGENFLESAKIIYEKAKHLLLKPNKEGDTPLHCAARSGKRSMVSCLIDLAEDDKLELLRKENKNRETTLHEAVRVGNKDIVKLLLEKDSELANIPEDAASPLYLAIVLKHDSITEMIYSNSDKKKLSYSGQNGQNALHAAILRDEVLLRLVGLLLLRLRHVVAALAGVPAPPARPAGLAMVVVVVKVDDEAEAMAALLLRAAVIGRAPRLGELFVAVKDSS >cds.KYUSt_chr6.10981 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68076646:68077635:1 gene:KYUSg_chr6.10981 transcript:KYUSt_chr6.10981 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPGGGSPGGGGSRYFHHLLRPQQQQQPSPLSPTSHVKMEQARDQHARMSSPDQTPAGEAADAGGNGGDQPSSSAMVPVDGGGGSGGSGGPTRRPRGRPAGSKNKPKPPIIVTRDSPNALHSHVLEVSAGADIVDCVSEYARRRGRGVCVLSGGGAVVNVALRQPGASPPGSVVATLRGRFEILSLTGTVLPPPAPPGASGLTVFLSGGQGQVIGGSVVGSLVAAGPVVLMAASFSNAVYERLPLEGEEEEVAPPPTGAEAQDQVAQSAGPPGQQPAASQSSGVTGGDAGGMSLYNLAGGNVGGYQLPGDSFGAWGGGGGGVRPPF >cds.KYUSt_chr4.12171 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74080157:74084165:1 gene:KYUSg_chr4.12171 transcript:KYUSt_chr4.12171 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPASSSSSRNRQSSRARVLAQTTLDAELNAEYEESGDSFDYSKLVEAQRDAPPVQQGRSEKVIAYLQHIQKGKVIQTFGCLLVLDEKTFNVIAFSENAPEMLTTVSHAVPSVDDPPRLGIGTNVRSLFSDQGATALHKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVIDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEVLCNTVVKEVFDLTGYDRVMAYKFHEDDHGEVFAEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSIKVIEAEALPFDISLCGSALRAPHSCHLQYMENMNSIASLVMAVVVNENEEDDEAESEQPAQQQKKKKLWGLLVCHHESPRYVPFPLRYACEFLAQVFAVHVNREFELEKQLREKSILRMQTMLSDMLFREASPLTIVSGTPNIMDLVKCDGAALLYGGKVWRLHNAPTESQIHDIAFWLSDVHRDSTGLSTDSLHDAGYPGASALGDMICGMAVAKINSNDILFWFRSHTAAEIRWGGAKNDPLDMDDSRRMHPRLSFKAFLEVVKMKSLSWTDYEMDAIHSLQLILRGTLNDASKPKREASLDNQIGDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLVNGWNQKAAELTGLRVDDAIGRHILTLVEESSVPVVQRMLYLALQGKEEKEVRFEVKTHGPKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIIHNPNPLIPPIFGADEFGWCSEWNAAMTKLTGWNRDEVLDKMLLGEVFDSSNASCLLKNKDAFVSLCVLINGALAGEETEKAPFGFFDRSGKYIECLLSANRKENEGGLITGVFCFIHVASHELQHALQVQQASEQTSLKRLKAFSYMRHAINNPLSGMLYSRKALKNTDLNEEQMKQVHVADNCHHQLNKILADLDQDNITEKSSCLDLEMAEFVLQDVVVAAVSQVLIACQGKGIRVSCNLPERFMKQSVYGDGVRLQQILSDFLFVSVKFSPVGGSVEISSKLTKNSIGENLHLIDLELRIKHQGLGVPAELMAQMFEEDQEQSDEGLGLLVCRNLLRLMNGDVRHLREAGVSTFILTAELASAPTAMGQ >cds.KYUSt_chr5.38530 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243756242:243757011:1 gene:KYUSg_chr5.38530 transcript:KYUSt_chr5.38530 gene_biotype:protein_coding transcript_biotype:protein_coding GKVTPKALAIVDSYKGTCSNMTAVANSACSGDGGQTRSIKRNKLKQAFLNKCEELDAICKKCEWMLPRYAIVLSVADGMFRATVHLACSEFDMSIVGDPSPTPRKARYSAAANMIAELEKNKEEEDEEEQDS >cds.KYUSt_chr3.13271 pep primary_assembly:MPB_Lper_Kyuss_1697:3:79823258:79823755:-1 gene:KYUSg_chr3.13271 transcript:KYUSt_chr3.13271 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTPYLIILLCAASLLQASLTAANPPPPPQQPWRLPESESRARFSRWMTKYSKTYANEEDKEKRFGVFRDNLNQIGAFSAQTSTTVVVGGFGPQTITTVRVGMNRFGDLEPAEVAAQFTGFNSTGFQSARPTFLPYDSAKPCCVDWRSSGAVTGVKFQGPCCK >cds.KYUSt_chr7.17535 pep primary_assembly:MPB_Lper_Kyuss_1697:7:108728816:108729574:-1 gene:KYUSg_chr7.17535 transcript:KYUSt_chr7.17535 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKSQATADAEIARPAPPALPWTVRIQLFMLVGAYDIALRADGTVNRFLFSFGDRQTRASARPDALGVRSADVTVDASRGLWARVFSPAADQAGSPLPVIVYTHGGGFALLSPASTPLDGMCRRFCRELGAVVVSVNYRLAPEHRCPAAYDDGVQVLHHLSATGLPDDLGVSVDLSRCFLGGDSAGGNIAHHVAQRWVSRSNSIRLAGIILLQPYFGGEERTEAELRLEGVAPVVNRLVVERVLARRGQP >cds.KYUSt_chr5.21173 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137940955:137941424:-1 gene:KYUSg_chr5.21173 transcript:KYUSt_chr5.21173 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTLFPFNPLPPALLALMAKFKNAAEVRVLVRNQLIAGAEVAFAFVQARYPTLNLELIANGPPLGEDGKPVDLNQYYPLVRNPATIVVDILDKGTEADLLARAEQRARGLRVFGCAVDYENS >cds.KYUSt_chr1.25052 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150147892:150148916:-1 gene:KYUSg_chr1.25052 transcript:KYUSt_chr1.25052 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLSVKVAALAGLIFSVLAASAAAKKPVENYNASAVSYSSSWLPARATWYGAPTGAGPDDNGGACGFKHVNQYPFSSMTSCGNQPLFKDGKGCGSCYQIRCSGDRSCSGNIETVMITDMNYYPVAQYHFDLSGTAFGALAKPGLNEKLRHSGIIDIQFRRVPCNFPGLKINFHVVDGSNAVYLAVLIEYEDMDGDLTQVDMKEANSRSWAPMHESWGSIWRMDSNHRLQAPFSMRITSDSGKQLVANNIIPANWRPNTDYRSFVQFS >cds.KYUSt_contig_2197.82 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:485845:486855:1 gene:KYUSg_contig_2197.82 transcript:KYUSt_contig_2197.82 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLCPPSVVFSPPQYCSPPPSRWSPRRPAAIRHRAPRIRSSTSSTSESSTTISPTESTTTTTVKLTYLEFNGWVWELDGGFRILVDPILDGNLDFGIPWLFDAAKKTLKNNDGLDLAGVDLLLITQSLDDHCHLRTLTRISAATPNLPVVTTPNARPIVSALPFTNVTYIEPGESTTVGGGTIEVLATAGPVLGPPWQRPENGYIITTTTTGHSLYYEPHCVYDAAFLRDRGLRADVLITPVVRQLLPANFTLVSGQEDAVDLAKQLRPSYVVPMSNGEFDAKGLLTAILTRQGTVEAFKALLREALPQVQVMEPTPGVPLNINLNRNTSSSSS >cds.KYUSt_chr4.22891 pep primary_assembly:MPB_Lper_Kyuss_1697:4:144000343:144000984:1 gene:KYUSg_chr4.22891 transcript:KYUSt_chr4.22891 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGNHGEDNVRQACRRVLAFLFFLALVVGFIVLIVYLVLRPTHPRFFLQDASLQQLDALTANSSAAAGILSTTLQVTIASRNPNDRVGVYYDRLDVYASYKYQQITLASALPPVYQGHGDVEVWSPVLTGPGVPFAPYLASSLATDVQAGTLVLQVKIDGRVRWKVGSWISGHYHIFVTCPAYLTSVGGNGAPGASGLRFQSATYCRVEV >cds.KYUSt_chr3.23865 pep primary_assembly:MPB_Lper_Kyuss_1697:3:147994390:147994842:1 gene:KYUSg_chr3.23865 transcript:KYUSt_chr3.23865 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQGTRDRGLGRGAGCPHWEEGDGLDCCSALDEVDAQVDLVGASMERRSSAESGSARPRASGSRRAPVLREVGTPATSVLMEVGTRRPSAVPVLQLVHSGEPLPAPCTASREKERMEASLAESQYKAREREIERGTKERLRSALLCRID >cds.KYUSt_chr3.22345 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137978516:137980363:1 gene:KYUSg_chr3.22345 transcript:KYUSt_chr3.22345 gene_biotype:protein_coding transcript_biotype:protein_coding MADHILQIVYPCLPESPFPALDPDFDKPSYSSDAAGGGEDRISALPDDLLRNIFSRLRVKDAGRAAATSPRWRDVWRSTPCALEDRHLIRRVDLEDGIDWSALAAAVSRVLVAHPGPFRWVHLTWNFMSSQEEALAKWLRLFAAKGVENLVLVNRPWPLDVALPASILRCSALRRLYIGVWHFPDTSRRTVPPRGPDVFPHLQELGICHTIMGERDLDYLLACSPQLKILSFNVSYGFPSRLEISSRSLCCMLLWFSMAEELAMVDTPRLQRLIIYTPGAGQTLRVKIGHAPQLTVLGYLETAAHVLEIGNTVITAGVPNVSPHTMVPSVKVLALKVNFAVTSEVKTMLRFLRCFPQVETLHVMMICQAEGRGGDKSDDSEEENKEENHDDNSDDSDEENEEENHDGNSDDNDEENEEENHDANTTAAAEASAQLSKFWQEVDPIECVEAHIKKDAPNAPATVGKLQSLASTAKLAAERCALEILARPRGSWTYRRASDLSVSDPFPG >cds.KYUSt_chr7.7725 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46581126:46581679:1 gene:KYUSg_chr7.7725 transcript:KYUSt_chr7.7725 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPPAAAGGAAGRPGVLTRVLIGMAAAAAAFAFFAFTLEPATFPDLPPQCSTSAREIKELRYRACLLLLCATAQAGAATAALVVVPPSPAGHFLAYITSVLGLVTLGHLTHVVLGALGATGVLCLTDNLRTVVLTVYSVVAYMLNFAISILGQ >cds.KYUSt_chr3.35480 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222758117:222759423:-1 gene:KYUSg_chr3.35480 transcript:KYUSt_chr3.35480 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSWLLTFSPCAALASLAAVTTTLLVLGYASSRPTSFLKDAAGYEYDDVPYSPAASATVPRRGAGYPPVLAYYISGGHGESVRMTRLLKAVYHPRNRYLLHLDAGAGAYERARLAGYVRSEQAFLEYGNVHVLGKGDSVDGRGASAVAAVLRGASVLVRIGADWDWLVTLQATDYPLVTQDDLLHALSAVPRDLNFIDHRVDTDTAPVLVLDQNLLQSTNAEISFSSGQREKPDAFQLFKGSPWTVLSRGFVEHCVVAPDNLPRTLLMYFSNALSPMEFYFQTAMANSARFTNATVNHTFRVYVPDGDHRSRYDAVVGSGAAFAGRLGDVDGDEALLQRIDQELLRRPLDGVTPGQWCAGGDEETEADDCSVGGDIDVVRQGEAGRRLAKLVAGLVGAAGP >cds.KYUSt_chr4.39970 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246847003:246855784:1 gene:KYUSg_chr4.39970 transcript:KYUSt_chr4.39970 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSSPQPPPPPRRRRSRLQSATTISAPNANPNPNPNPKAKVIPILSDVGRFQSTPAAPNGRPNSTHKGGKALPLLLDVGSNPSAIDYYSRVASNLAGAGRLGDFLIAAEGLRAAAGDSGFAARINWRLLSRGVDAALRDHGLPHVLEFLRDADRIGVQAAVMLDPDASDAVAAACRQLLDERIMVEFVEAIEALASNVTSNFLMFSVSFSCSVYLGGAPAIASAHQSSALLLGCGFFVKNIVDPMDVLKIFVRKRDPDMAIRYARIFPHSQLLLCNTMEAFGKRKELKHALKVFRALKDQLGGINMFACRSIIDICSHCGSSVQARIIFEGLLAEKITPNTHVFNSLMNANAHSLSYNFSVYKHMQKLGVPPDLASYNILLKTCCNAREFNLAQEIYEEIKKKEHGGALKLDVFTYSTMIKVFAEAKMWKKASNIKDDMRAVGVRLNLVTWSSLINAYANSGLVDGAIEILEEMIRDGCQPTAPCFNIILTSLVKSCQYDRAFRLFNSWRESGIKVSLSVEQKKCLPDNFTFCEEHLSKNGGTILVVPFRPTVTTYNILMMACGTNDERAKSVMNEMRRSGLCPDRISWSILIDIYGTSQNRNGAIQALRRMQRVGIKLNVSAYTVAIKACVESKDMKMAFHLFEEMKAQQLKPNMVTYRTLLTARCKYGSLQEIQQCLAIYQEMRRAGYQAYDYYLKELIVEWSEGVLSSGSGKRDFYHLDLKDERNKSFNIFLEKVARFLQKDVDQNQTVDVRGLSKVEARIVVLSTLRKIKEKYLLGTAVQDDLVIITGHEKTSYTDIEATAIDVEHAITSVLTDELGLEVFIGPQSHPPLSSKVRAPQRPRRPQGMIKITVNSLNHWLKRKAVRDV >cds.KYUSt_contig_686-1.292 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1856546:1865733:-1 gene:KYUSg_contig_686-1.292 transcript:KYUSt_contig_686-1.292 gene_biotype:protein_coding transcript_biotype:protein_coding MHICPVSLSPRGHVGLDDTEFHKEFDNLRGLKHPNIVELVGFCNESEEELVVFEGKHVTAERLRMALCFEYVHNGSLSKHISDELKIMTGYGGYNSIVEMTTRKFVQLLYQDNIEYTLPSEVNNINIRAPSSDHRVPKFKPVEPQAMPFNRLQSLTNDFSYKRLLGQRPFGNVYKVCGYMPPEFVQRQVVTKKFDIYSLGVIAIELMTGTRLGFLDMNLHHISSMQFIEEAHEEWRERLQKTVHVTSVEGYCQQVKKCLEIGLSCVEHEIYRRPAITNILNMINETETLINCELLDVHPMELCFLPFMSFESNKKAMISCTLELNNRGDDQVAFMLVANRPKRYLTKKPLCGIVPPRCTYTLTLTMMPNKIMQPPPLLDCSDFFTLYSVMVGQYDLRDVEKDSVLVQYRNFFKKAKEKATRDEVQEIWSISSDDPGNVITLKLEGSVESLLCVDYFTRRDQQHLIVGCKDRTAQDRGWVSPRNSNDETFFALKIMVVPEEQNKKNKPGQI >cds.KYUSt_chr3.29423 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184172650:184175196:1 gene:KYUSg_chr3.29423 transcript:KYUSt_chr3.29423 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVAPPASPPPTSTTIRSLTAAGNHAAALRALSSLASSASIDHFALPPAIKSAAALRDARAARTLHAASLRRNLLHQPTPAVANALLTAYARCGDLAAALALFDATPPELRDAVSYNSLISALCLFRRWAHALDALRAMLAEPRHAVSSFTLVSVLLACSHLADADHRLGREAHAFALKHGFLDHGRERFPFNALLSMYARLGLVDHAQTLFRTAAPDDVVTWNSMVSLLVQGGRCGEAVDVLYDMVAAGVRPDGVTFASALPACSRLQMLSLGREVHAVVLKDGDLAANSFVASALVDMYAGNEQVGNARRVFDMVPEPGRQLGMWNAMICGYAQAGMDEDALQLFARMEAEAGCAPSETTMAGVLPACARSEAFAGKEGVHGYVVKRGMAGNRFVQNALMDMYARLGKMDVARRIFDMVDLPDVVSWNTLITGCVVQGLITEAFQLVTEMQLPSMEAEEDVDGQRCMPNNITLMTLLPGCAVLAAPARGKEIHGYAVRHALESDIAVGSALVDMYAKCGCLDLSRAVFDRLPSRNVITWNVLIMAYGMHGLGDEAVALFDRMAVSGEATPNEVTFIAVLAACSHSGMVDRGLELFHGMKRDHGVEPTPDLHACVVDVLGRAGRLDEAYGIISSMEPGQHQVSAWSSMLGACRLHRNVPLGEIAAERLFELEPDEASHYVLLCNIYSAAGMWEKSVAVRGRMRQRGVAKEPGCSWIELDGAIHRFMAGESSHPASAEVHAHMDALWERMRREGYVPDTSCVLHDVDEAEKAAMLRYHSEKLAIAFGLLRAPAGATIRVAKNLRVCNDCHEAAKFMSRMVGREIVLRDVRRFHHFRDGNCSCGDYW >cds.KYUSt_chr6.1432 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8764281:8765099:-1 gene:KYUSg_chr6.1432 transcript:KYUSt_chr6.1432 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSIARASSLGASAQAAKAPVARGTTGKEDVNSEYLIHLGIGTPSPQAVALVLDTGSDLMWTQCACLSASTCFPQPLPVFHPSASRTFGTVSCTDPICGFGCGVNDNLCRYRYSYGDKSFTRGTIVEDTFAFSGAAVPSLRFGCGMNNSLTARKATGIAGFGRGPLSLPSQLNVNVFSYCFTTIVEPGSSPVFLGTPDSLGANTQSTPFAPTPTPRGTPSFYYLSLQGVSVGKTLVPVSGGSGGQIIDSGTSITMFPPAVFQSIRAAFVL >cds.KYUSt_chr5.41071 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259180597:259184419:1 gene:KYUSg_chr5.41071 transcript:KYUSt_chr5.41071 gene_biotype:protein_coding transcript_biotype:protein_coding MQATKRTHGFRRVAVPGASPTRVAFAAASRLVAFASGLAGRCASISMVHPCEPLLFREEELADMIGLYPIHLVALLCCLEPADEFLHGESVEITWDGKELTPDELELYEDTIGRCGGIPKVIATIGQLFAKKIAKGYNDCNMLLKDLNDDFMGKLETDQGCHSLRDLFSWMQSYFDGCSDNLKPCIFYLSIFPPNHNIRWSYLFRRWIAEGYCRDTYSGTAEENGKTLFSELINLSIIQQSEKAMKCIYQVNGFFHEYIISRPMEDNLVFSLEGNCSLNSQHAGQHLTIRRSWDRDITVFRSMDLSRIRSLTVFGKWMSFFLSSNISLLRVLDVAGTSGLLDGDLEQIAKVLPRLKFLSLRGCQEISHLPESFGGLRQLQTLDVRHTSIAMLPFCIIKLRKLQYIRAGTIISSDVADESVASTPTTNVDRTSTPTEGSDDMVTTVQETTEEVHASTPLSRSCQTRSLVSSWLSKLHRRRLDNDGVEVPVGIGSLTVLHTLGVVNVSIPNGKAILKELKNLTQLRKLGVSGINRRNIKDLCYFISGHGYLESLSVRLDKDKQGLFACFGDMIPQPPKTLKSLKLHGHINKLPIWIKQLDDLKKLDIELTILLQEDMHFRGEHPYLYSLRRLCMKPVQDGELHFSAPVNEGFWRLRVLEIDCSSNLQVTFVNWVAGEVEVLKIRCSDGASLRISGLGHLGKLNEVWLKGSYGDEIKQELQQQVSKHAHKPVLKLVRRCES >cds.KYUSt_chr2.15200 pep primary_assembly:MPB_Lper_Kyuss_1697:2:95908284:95910164:1 gene:KYUSg_chr2.15200 transcript:KYUSt_chr2.15200 gene_biotype:protein_coding transcript_biotype:protein_coding MASEESGMELSGSTQFSEEPKLSLGEEEAVDQEADGLEEELDRLEGEEAGGPDQDAGPNLAEYTRGAWRGSDRMARPAHSSEMPSDAAVLPAAVPVRLDVPSLRCYVLSWVTAAPACEAELHLYVAADLRLSANLCRVVHVVSSVYAGLLLYVNCVVLEPVHR >cds.KYUSt_chr3.41055 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259062457:259062843:-1 gene:KYUSg_chr3.41055 transcript:KYUSt_chr3.41055 gene_biotype:protein_coding transcript_biotype:protein_coding MARYYSEADYCAEEMARPYDRHCHGEGGERYVVRKEEYEEMDEVERARRGHHHGHGHSDSRRGHLGYGRSYSHGASEHLGHSGSHSGHLGHSGSVHGHHEHYHGHGYGGSHCDPCETKYDSCTGEYYG >cds.KYUSt_contig_2767.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000487.1:40580:41208:1 gene:KYUSg_contig_2767.8 transcript:KYUSt_contig_2767.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATAAVAATRLHPPLLLHSAPRPYPQPQLRLRLLLPSPPPLRLRRRFPLLAVAAAAAASAGGGSAEEAAENTDKARKLQRRVLVGVAIGVGAGGVVIAGGWVFAAAMAAVGLAGAREYFGLVRGTAVGGGTPPPRYVSRVCTAICALMPILTLYADIVPSGCGY >cds.KYUSt_chr6.26697 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169246456:169266672:-1 gene:KYUSg_chr6.26697 transcript:KYUSt_chr6.26697 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTAAAAAVVTATATATGLAEADAAEESLKDDVYTGAAYGDLEKLHRLVEREGRSVTDPDGLGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTAFLYHIIAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLFLGAYRGRQDKEGCTPLHWAAIRGNMESCTVLVQVGKKEDLMVQDNTGLTPAQLAADKNHRQVAFYLGNARKVHERGCGGNSYYVKLSKLGLAPVLWCIIIGLIVAYIHSVIAGQYNTNMTLLLGLFSWLGVFLAIVGLVMFYRCSRKDPGYINKNIRDTQSQRDDEPLLKRGLDNPELLAGNWSQLCITCKIVRPIRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLILEVSAMIITGVTAIIRIVGDPASPASFGGWLDYSATNHPWVVSFLVMDLFLFFGVITLTLVQASQISGNITTNEMANALRYSYLRGPGGRFRNPFDHGVRRNCSDFFLKGYNEDIEKVEQTLHPDEEMATIQMTRSAVPQNGESMPLHGSGLPAIRQKYRSSFVRGLRSDAREFNSSDPESIIYNFERQPSLYSKPSALSEYVKAVVSREMQTRGGIGNSARVEGISGSGSQLLSKDGVHGTARTPLHMVTVEKGRIWKQLWATFRAIAVTGLIIYGGKALVDKMADHGLVIFAGKAPHEFEELEDEESSDSKEDGNSKEDVKFNKKLGKFDALKEVHSTTKFSDVKGVDEAKADLEDIVHYLRNPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAVAGEAGVPFFACSGSDFDEVYAGLGAKRVRELFLAAKKRTPCIIFIDEVDAVGARRNAFDPAWQRQTLNQLLSEMDGFKQNDGIIVIAATNFPQSLDKALVRPGRLDRQIHVPIPDVEGRRQILESYMSKVLKAKGVDAMTIAKGTPGFSGADLANLVNDAALKAAKEGAKSVTMHHVEYAKDRIMMGSERKSVVLSDRCRKNTAYHEGGHALVAIHTDGADPVHKATIVPRDNSLGMVTQLPVQDSIENLTRKQMLARLDVLMGGTVAEELIFGETEVTTGASSDLSTATQLATVMVTKYGMSKRVGHVSYDNDEGGPTAMSGRTSGVVDEEVKELLDNAYKNAKTILTTHNKKLHVLANALLKHGTLSGDQVTKLAKEYMIVVIILFFFIVFHHNPSFFVLGPTIIVRHETGPNQVDVKGSRGKIMLLINTWNLSVKIWQETSPIECVQSHLKILSFHEVQGNREEFDFLVFIAENAKNLERMFIVMKNDLTYHESQVVVAGLGALYSANWANRDCKVQLKGSCYPIGGGSWSLEAGSDLSVDDPFEAFPED >cds.KYUSt_chr7.29221 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181818490:181821799:1 gene:KYUSg_chr7.29221 transcript:KYUSt_chr7.29221 gene_biotype:protein_coding transcript_biotype:protein_coding MACALDTLCGQAYGARQYHLLGIYKQRAMLILTLVSVPLAVLWFYTGNILLLFGQDEDIAMEAGTYTRWMILALFAYGLLQCHVRFLQTQNIVLPVMLSAAVTALFHLPVCWLLVHGLGLGSRGAALSIAISYWINVIVLAVYVRVSSSCKKTWTGFSVEAFHDLLSFFRLAVPSALMVCLEWWSFETLVLLSGLLPNPELETSVLSITMNTSTFLFMIPFGLGASISNEAEVIMYVAKMVLLIAVSTFLDGIQCVLSGVARDCGWQKICACINLGAFYIVGIQPAYLLAFVLSLGGMGLWAGNICGSLVQVLLFVAITLRTDWHKEPFFVAVCGLFTRLCPRFTDSVYVGSPLYTDFYGLFMRLGPRFADFFYVGPLLYIDFYGLFPRLWPRFVDSVCVGLPLYIDFRGLFTWL >cds.KYUSt_chr6.24930 pep primary_assembly:MPB_Lper_Kyuss_1697:6:157912538:157914852:1 gene:KYUSg_chr6.24930 transcript:KYUSt_chr6.24930 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDQTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSLRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMSSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEEYEEEEEEDAA >cds.KYUSt_chr4.52097 pep primary_assembly:MPB_Lper_Kyuss_1697:4:323347819:323348280:1 gene:KYUSg_chr4.52097 transcript:KYUSt_chr4.52097 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRPRMRPSPPLRSLLGQRRLAFHRPTAVLLPRRPRPVPSGRSTCSTPSSGSGEPHRSTRASDFRAAPLWLAPCRTAPLSAALTVPLRRLRARTVVDAPDPLQLRNPPAPPLRRVHPAPASGSSSRPGHSANSASAPSLTHPAAPSCCALQL >cds.KYUSt_chr7.32458 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202142515:202143678:1 gene:KYUSg_chr7.32458 transcript:KYUSt_chr7.32458 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLNSEQGLNCQAISLSSQSSLQPFSPCHLQCISTLRGHSSYVSGLAVDGNSLYVASSDGHIRLWPLDMGTPAMQEEEQCGSDVAITDSPIKCLMATSDGLVSSHQDGKIRVWQAGRRNGESSHLALHSVLPTTADCLRTFLFPKNYVDVRRHRSRTWVHHVDAVTALAMSPDGAHMYSVSWDRSLKVWRLPSLRCVESIAPAHGDAINAVVVSSDGCVFTGSADRTVKTWRRHPGQKNLTLVATMERHRSAVNALAVGVDGLVLYSGSCDRSVVVWEGAAAGGMEVKCTLGGHTEAVLCLVAAGDVVCSGSADRTARVWRRGEEGAYSCLAVLDGHGAAVKSLALVLVGGDQGCSCNEEKSRCTGCCALVCSGSLDCDVKIWRVT >cds.KYUSt_chr4.34971 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214833642:214841261:1 gene:KYUSg_chr4.34971 transcript:KYUSt_chr4.34971 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSDEALLTISIIFAYLAGVTPSRPTAPRTTSPSANQPLAEPISSDSGRNVEQLLDKTTGFDPNDTWSEVRAKLSEALEANGQDASFDRREDGLRNDRKNYPLSMLAIHGGPRLRLLLITFQLLEMEARNISGSFELLDGIRWSEVSICLIDSLIEPAFMKWIEDEQALEKGKIDKELMMVISRKIKEDDGILKRFTRLGKAELYLDLLFFIRFGSARSDSYFDAKFLAQNGARILEDLVIFLADVIASIYLEIMSVDGDMPTEVVGSSLALCSLSTRQLQKQRNEVAINGWLHQYFESVVSMYEDRFELYVLSRRTCDNPVDNQSERTNWFRLAFQKPSASNPMDYVCISPFSLPVRRTKELRALTGWRYYYSLVLELSDIAMPFARVVVARNYIIGAFKPPCDIFVTFSDERSRKQVPVKKDNGKTAMVPAFQSLETIAGEVSIAPVPGKRIEHMGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTVGRNYVGNIVESRDFCVRNYSPLPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEINMFRLDETPQPS >cds.KYUSt_chr3.30983 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194408763:194409277:1 gene:KYUSg_chr3.30983 transcript:KYUSt_chr3.30983 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARSFLRSGSTASSLRGAAARAASRAGPAPLPRRLPASAPRLLLRSPLEMTSFCVESLMPMHSATASALMTSLLAAPACKGFGWLSEEQSSFGPFTKFTAA >cds.KYUSt_scaffold_2697.263 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1746314:1749732:1 gene:KYUSg_scaffold_2697.263 transcript:KYUSt_scaffold_2697.263 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIMALGSSEEKIIVETDCMELVSIAKNPERDSSCFGHMVADLQELLTSRQIVAFNKIPREQNSANHELARFASQQIPAEKLTISLLFPEANSEQVGKEATMVRGGGGGGLLDLERHFAFYGAYHSNPVNVFIHALFVWPIFLTALLLLHLTAPFLHSAAVGAAIYGAFYISLDRRSGTLAAVLCLLCWAASAALAARLGYSVGCKVVLIAQLFCWTMQFIGHGVFEKRAPALLDNLVQAFLMAPYFVLLEILHKFSGYEPYPGFHANVQKLIDAKRKEWEDKKAKKRT >cds.KYUSt_chr6.29377 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186148904:186151840:1 gene:KYUSg_chr6.29377 transcript:KYUSt_chr6.29377 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTARCDSGDSGGDWELVLPERRPPPRRPPPCVSPGSKGNRFSHLAAAVAEAEGSAQELSDGESCEPEDVEARPLPLAPSNLGRFWPERVDGPPLVLVSGSSRERSISPALPPPLSSPSHFPPLPGLAPVGRGLSEVGREGSGSGLIRVGEHVLELPSAVGRPEAGGTPPPLGFAPPLDAGVLGTVGPAGSAHGRVAASTAQLRIGPAQGAVSPGSAGAPGSDPLDARHVALAVDGRLGGSRVPRWDGSAPPPPTFKWLWLPPQTLDPLLGFPASASDVRRNLSAAKRLSSHLDPVSGVRAPLLVAMERERNSHGKRPFDEFNKGYARSRDQDLRQRLDREQEEHRRQQRQCDREAERASSSSWRSEGERSRQESRAPPPPPPPPRGRDSGRNAGRRPLRQPHGTPGEVSAPSSGQVTGPGGAGAPSLDAAHITLQGAGSFSSGIWRREARVPLLGSPRGRTTKPVSNSAIVILGGGQLSAEQVEDEFKDLVDENWDWQVRQIGPLDFAVVFPSKESLRIAIRGGGLTLPCTKLKAIVTLPQGDPLAAETLEEAWVRLLGVPPPFRHADRLLLSTREVGRPIGVDVASLAHPDAPVRMSFGCRKGDQLPDHITLFVNMQGYRIQVIREAQSVQDSPPHDPPKFPPGDGTEDKEEDFDETDEERWDGRRGRHAHKASRGSSSAPGARGEVPRKSVPLGSSPVSPSPCLPHNAKDLSPQIPASARSQYGSNLTPTGNIFPLVAQIIKAALPSTPAEGRQSPEAAISVDSLCEVLAEAPSSAGPVSPTPGKALHLSEAEREEVGWSSPSLGASDQEYLPPALALHQAPAINNANPTEGQLLLLDTPISALGAPVARAPRSKASPAEALRKSARSKGTSDGPVLERAIRATADKNNLDKSVIDTATPSSSTPAPG >cds.KYUSt_chr3.37786 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237654158:237655830:1 gene:KYUSg_chr3.37786 transcript:KYUSt_chr3.37786 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYQRGRREIDWFTLYAEAETISAVPDIAPWCGTMGADGKKPYIIAVIIQVIYTGMFVVSKGAFNQGMNTYVFIFYRQAAASLLLLPLAILLERKNARSLSFRLLLKLFLFALIGTTFSLNVYNVSLKFTSATVGSATSNSMPVVTFFIALLLRMEVVKPRSPSGIAKLTGVALCLAGVLLIAFYVGPSLNPVNHHRALATAHADGDTTRGTWIKGTFLMVLANVTWSLWIVLQAALLKEYPNKLLVTATQCVFSAVQCFFVALVAERDFSRWQLRFDVSLLAVLYTGFVVTGVSYYLQAWCADMKGPVFLAVWNPLCFVFTIFSSSFFLGEIVHLGSILGGILLVGGLYSVLWGKSRENRIAPCGEMNVIDGAEEERSAKDEKDERGDQEKGDMKQHDKAVVISPVEQV >cds.KYUSt_chr7.15198 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94317809:94318501:1 gene:KYUSg_chr7.15198 transcript:KYUSt_chr7.15198 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPPYIAPAPCLNALHLHRFASHRAAIERDEKQSKEHPPPPPRFSFLPPMARPPLLENGKKTKGRQRRELRRVEDKDARQVTFSKRKAGLWKKASELALLCHAHVSVVVVSEAGRSFAFSSSSADAVLAGGCGGSDAPEEDWEDLEALSREAKERAAEVEKEAERMSAAGNKVLELQRQTGKRFWFEVDTAALGEEELPVFVRALRRLRDNVGRRADKKQATQPPQY >cds.KYUSt_chr4.14669 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90513058:90523584:1 gene:KYUSg_chr4.14669 transcript:KYUSt_chr4.14669 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPSGHRQVSLRGSSAKEITRDALLQKVSEERQLRSHLRRAAAAALTVQRVWRRYHVMKKVSEQLHDEWEVLINQPDINLTNQWISIKMLRPFLFFITQPSSWYKGQQTKTVKSISRCFRIILNSINSFDASRNFCSFAVGSPEERSIWLYQTKKLISLCSCILARCDNSCCKDVNMVEITAITMRLAISLTDCKTWKNLTSENTRAADASVESLIEFIGTRKSGTYSCVRRYIKCFGPHATPGKIDSVIAPDDQLLVTASAVTVALRPFNTTRSDRGVDLTGAAKEYFTLILTIPYLCKRLPPLLLPALKHISVLQPSLSILLISKDKIFEEIVKLEQSEVSGAGASTIPYCGWALGNLVTLATEHDDLSNSGCFIQGLDCCLYVDAISSISQNLLKCLEESKGRLQQVDDSATHDTSVTEEMRTLFMDLLKPIYQQWHLRKLLVLAKEYVSCKRETNHELTLRQVHFRSLKLTDVICFYYYMLRIFSSLNPLVGPLPILNMLSFTPGFLVDLWGTLEISIFGQAIHKSEEPEHDKQLAGSSSGEQISSTRQRRNAKDTPNKWVNVLQKIKGKPSDADDTNLSGSPLNSENSNDVALVLWDIETMRQGSEGIGKDIMRMLHLFCAIYGHLLLVLDDLEFYEKQVPFTLEQQRKIASALNTFVYNSFLQNSGSSNKPLIDVTVRCLNLLYERDSRHRFCPKSLWLAPARTGRIPIAAAARAHEAAFATLAGSTSGIPTRSSVLTTVPHVYPFEERVQMFREFIELDKASRRVTGEVSGPGPGSIEIVIRRGHIVEDGYRQLNCLRSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDMSKAAFSPDYGLFSQTSTSDSSLIPSSSAKLLDNGIDMIEFLGRVVGKALYEGILLDYCFSQVFVQKLLGRYSFLDELSTLDSELYRSLMQLKHYEGDVEDLCLDFTLTEELGGKRIVHELRPGGKNISVTNENRMQYVHAMADFKLNRQILPFSNAFYRGLSDLISPSWLSLFNANEFNQLLSGGSQDFDVDDLRNHTKYTGGYTESSRTVKLFWEVIKGFKPTDRCLLLKFVTSCSRAPLLGFKYLQPCFTIHKVPCDVPLWATIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLVYAISSNTGFELS >cds.KYUSt_chr7.34116 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213143195:213146002:1 gene:KYUSg_chr7.34116 transcript:KYUSt_chr7.34116 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLVLLGLAVVALAAGAGVAQPVVPAVFVLGDSTLDVGNNNYLPGEDVPRANVPFYGIDFPGAAKPTGRFSNGYNIADYIAKNLGFERSPLAYLVLKSRNYLIPSALTRGVSYASAGAGILDSTNAGNNIPLSKQVRYFASTKAEMEAAWGTRKVSMLLAKSFFLLGIGSNDLFQTNPKSPAEVAALYATLVSNYSTAITDLYGLGARKFGIINVGPVGCVPRVRILNVTGACNDALNLYAAGLAAAVKSALTTLAPKLPGFAYSLADSFAASQAIFSNPQSIGINKVDLL >cds.KYUSt_chr2.5415 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33525566:33528442:1 gene:KYUSg_chr2.5415 transcript:KYUSt_chr2.5415 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAELKRRLEAYYKVDEEAELASVAEALLQRGLADAHSETDDELIEELRDKPLPGVHDKDFDSDFDEMHETDDELPNLYNAREHVEKKMKKDELFNMDDAKWDAMVKKSTEESDRKIMADSKQEIFAECRLKEIDMDEAKWDEVIQEATEESGLGNMKECEDILEDMLHWDKLLPDKIKQKVDAKFNELGDMCERGELEPEQAYELFKEFEDKMVSECTKLMEAEPPTVDELSEQDKKSVELNDPPGAGPVLRWESTIVFAPGGDAWHPKNRKVKLSVTVKELGLSRHAFRRLREVVGKRYNSGKDELTITSERFDHREENRKDCLRTLYALVEDAMKADALADDARNAYVKGRLKANSQFMDRLKMKTQKLRQAA >cds.KYUSt_chr5.19157 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123961249:123969882:1 gene:KYUSg_chr5.19157 transcript:KYUSt_chr5.19157 gene_biotype:protein_coding transcript_biotype:protein_coding MDARVGGPAPEGRHGCVRPCGERAKDRGGAEMHPSSWWLFGTSTLGDGRGRREELGMPQEEEMVVACPELLLPAPATSPSCCCHPRLGHAAADDLPNLQLPSEVFVNVYGEGQLTALERFAMERVFWSRGEAEGVTLGLGSDDHPNQLGQAPSQPAGGSHHSQDHGEGQRRVLVNVMSSPCCNRGGGDAHPRGRPLRVFWGRIRLTLRLGRDEHPKQLGQAPRQPAGGSHHSQHDGEAVAWLRPSSTRPAAAGSVSKHQLAAIHHSRDLYKAGEGSFSLEARGGKICLVCTVPHIGNKAAKSVYHSSYNPINALASSMLWKPIWSSNLIQSHVTFNQTRSGHVAALNR >cds.KYUSt_chr4.47432 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293547645:293554298:-1 gene:KYUSg_chr4.47432 transcript:KYUSt_chr4.47432 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGATQQVHHDGKLGEIDCHIVADATTRSTAGSTGGLRNIDGSSSATDSNELRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAIIAYDITNPESFKKAQYWVKELQKHGNSGIIMVLVGNKADLHESRSVASQDAQEYAEKNSMLFMETSAKTADNINQVFEEIAKRLPKPTTS >cds.KYUSt_chr2.14040 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88821905:88822540:1 gene:KYUSg_chr2.14040 transcript:KYUSt_chr2.14040 gene_biotype:protein_coding transcript_biotype:protein_coding MEACQNTLSSLVFLGVLLLLSCSSSSAARRLEEAVPKEEHSPHPMVPELPKPELPPHPAVPELPKPELPHPVVPKAPEVPPHAVPEMPKVPEVPHPAVPELPKPELLPHPAMPELPKPELPHPVVPVVPKEHEVPHPVVPEAPKEHEVPHPAVPELPKPEIPHPTVPELPKMPELPHPTMPESPKHELPPFPKAELPPKPEFHLPEPETKP >cds.KYUSt_chr1.19713 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115870851:115871072:1 gene:KYUSg_chr1.19713 transcript:KYUSt_chr1.19713 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYISEEYVAKRRAEKRAAARRAVMAVHGGEKKAGPGSSRWTASWAYAEQRKANANVAEGRVEDVFLAYLSA >cds.KYUSt_chr7.22077 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136737760:136740679:-1 gene:KYUSg_chr7.22077 transcript:KYUSt_chr7.22077 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKVASRAGGDRLAVKKGKAEKDPNKPKRPPSAFFVFMEGFRKEYKEKNPNNKQVSVVSAVSRISVSLVRLNSCDGFLIRALRPDSLRFESQVGKAGGLSGVGLSELGLSGLGLSGVSLEISVSYLDTATVGDELLRNNLELEKLKTTCATSFSSKMHAGDLEYNSSEILCLYCNNEHD >cds.KYUSt_chr7.4509 pep primary_assembly:MPB_Lper_Kyuss_1697:7:27299003:27302310:-1 gene:KYUSg_chr7.4509 transcript:KYUSt_chr7.4509 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVVATVVLLALFCLAAEGTDRDALLAFKAAVTSDPTGALRSWTNDTGAAANVCRWAGVNCSSAGRVRSLDVSSRGIKGTLSPAIGDLEYLDFLNLTDNVLSGPIPASLGRLKRLEFLSLCDNVFSGEIPGALGGAENLTVLYLNGNRLTGGVPAWLGAMPMLVYLTLNQNALTGTIPLELANLTTIQMLRLDENFLEGAIPDVLTRLPQLHLFNVYGNRLSGEIPRGFFNMSSLRAFSIAKNAFHGELPPDAGTRSPNLMYLYLGGNQLSGPIPASLANATQLQVLSLANNNFTGQVPQEIGRLCLYSLQLSGNKLTASDAGGWEFLDNLTNCNALYEIILDDNNLSGALPSSIARLSPQFRTLSLAGNRISGVIPPGIANVVGLQTLDIQYNLLTGVIPDGIGKLKGLQELQLQGNKLTGPVPYGMGNLTSLLRLDLSGNSLNGSIPPSLGNLQRLTLLNLSGNGLAGHVPTELFSGLSSLSSAIDLSGNQLDGVLPREVGQLVKLARMVLSGNRFVGDVPAELGSCQSLEFLELDGNLFTGSIPPSFSRLKGLRSLNLSSNRLTGAIPPEVSQMSGLQELDLSRNDLSGGVPAGLENMTSLIELDVSDNNLDSQVPLHGVFANKTGFNMAGNSALCGGAPQLSLPPCRSPADSGSNRGTKLLLKIALPIIGAALGLAILLTVLWRRQKGTKSSTETTSACIRSVVDGNFYPRVSYAELAKATDGFAEANLVGAGKFGSVYRGTLFLNKAKKKPAAAHEAVAVAVKVFDLRQVGASKTFLSECETLRSARHRNLISIVTCCSSVDAAGGEFRALVFDFMPNASLDRWLHPGPADLRKRGGLSLAQRLGIAVDIADGLSYLHGSCDPPIVHCDLKPGNVLLDDDMTARIGDFGLAKLLLLDAAAGNTESTIGIRGTIGYVAPEYGTTGNVSAAGDTYSFGITLLEILVGKAPTDGGLGEGRTLPEFVTAAFPERIEQVLDPALLPIEDPDDVAISISTVSTVSSLSEDSEVRVTARDCLVSAVTVGLNCCRRAPYERMTMKEAAAEMHLIRDAYLRACGAKPSVLEA >cds.KYUSt_chr4.44006 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272807612:272809686:1 gene:KYUSg_chr4.44006 transcript:KYUSt_chr4.44006 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPVPDSSFLTAAQELLNTTSQPSTSTIRQGELAQRLLQMQQEKNKASEDRKIAILEAKYEAEDTVLKEAPDLGELVRGELLDVVEVPVLGVVGADNVDLVIGLAVLVQKKTYEDASIKIPSWSKPDEYGEIKQRRRLTWSIMNMSLIMDMSLMAFAIGPANKL >cds.KYUSt_chr7.7688 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46427009:46432362:1 gene:KYUSg_chr7.7688 transcript:KYUSt_chr7.7688 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLPAPPTAARWGPTPPSASARWLSSVGRGGPRRLAARGGKKGQEEPPVRTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNDEWSWRDVYNWVYKERAFDNIVISPGPGSPACPSDIGVCLRILCECGDIPILGVCLGHQALGLVHGAKIVHAPEAIHGRLSEIEHNGCYLFNHIPSGINSGFKVVRYHSLVIDASSLPKDLVSIAWTASPKMLSFLDSDQPDGTPFWGSLNNLSLSNPSDYASNGEVSITTNNASKSDFYKIVMGIKHSSRPHYGVQFHPESVATHYGRQIFQNFKRITAEFGSQSSLFQERKVNSADQCNYVPKGLLHTERVDLCNSVGSSMLPERRSEKKFLRLRWRKIDNFISRIAGSEAIFSALFGHQNAEDTFWLDSSSVDQNRARFSFMGGKGGPLWKQMTFHLSNQRADCGGTITVRGAHGSAVKNSLNDGFLEFLNKEIESIEYNKKDFEGLPFDFHGGFVGYLGYGLKVECDASSNKAKSSTPDACFFFVDNLVVVDHNNGDVYILSLHAEHSSSNGNGVCSNTTHTPWLVETEKRLLGMIATAPGAPINGKSLTGSSNVNTQSFVVEKSKDQYIKDVRSCLDYIRDGESYELCLTTRMRRGVEYINALQLYLKLRKQNPAPYAAWLNFSSENLSICCSSPERFLRLDRNAILEAKPIKGTIARGRTPEEDECLRLQLKYSEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMEVESYKSVHTMVSTIRGTKKPDLSTVDCVKAAFPGGSMTGAPKVRSMEILDSLESSPRGIYSGSIGFFSYNRTFDLNIVIRTVILHDGEATIGAGGAIVALSDPEAEYAEMMLKARTPTKVVEECSQTIYSSDRSDSMRTTIS >cds.KYUSt_chr3.44434 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280393698:280394011:-1 gene:KYUSg_chr3.44434 transcript:KYUSt_chr3.44434 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLGSFALVGKLVAVTVLWLCVLASAAFLGYFLRIHASYDRIMTIRACAKASSTVTAPLTTEGFSGTNEHSTA >cds.KYUSt_chr6.12532 pep primary_assembly:MPB_Lper_Kyuss_1697:6:78269837:78270622:1 gene:KYUSg_chr6.12532 transcript:KYUSt_chr6.12532 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGTPICRRCRSLPVELPVHRLSPVRLKPSPTREAEEESLEQGQASPTNQGQIPTPLFPLQGAGIDVDLQDGMLKRLPMLELATELLGLLTINLVLPPGVVFTGLKKWLWAMLVAEMLARLWGYLVSPILLQTVHRRLIAARLIEQTMIPGRYTRRRRLTLWLRKILNKEVSHLLLWLASTMWVWMAVLALMQPEARQMFKAKFNWAPLAMMLHKLCVTTLGEQAWTWISRQRRQPLRRHGRHESLRMELPRYGQDPWQ >cds.KYUSt_contig_2087.208 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:1241158:1242194:-1 gene:KYUSg_contig_2087.208 transcript:KYUSt_contig_2087.208 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHHLSHVYNNFRGLPLMDEMPGGSLYLDGQVGRAPAVADIDLAWNDNACGHGFVQRKRARVLSEAPSFLENQRAQGLVPVGDMLTRAAGSSAPSTSGRITNAAGLQQDLLSQMCRQGMEIDALVRVETERMRAGMEEARRRHVRALLSAAERASAGRLRAADAALELARSRNANLSERLAQISAEGQAWIGVAKSHEAAVAGLRATLDQVLQSPSAAEGAAGEGADAEDARSCCFETPAGGDDAADDGEAASNKSRAACKACGEGESCVLVLPCRHLCLCPACDAAVDTCPVCAATKNASLHVLLS >cds.KYUSt_scaffold_2697.152 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1074009:1075193:1 gene:KYUSg_scaffold_2697.152 transcript:KYUSt_scaffold_2697.152 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAERTEVALAAIRESLDRVHVQMESVDARVALLDTAYQQVASQLDLHSRAVSEHTRIMDSMEQRQDSLAQHMAATAEAVARLCGAKAPAVEEEDVELETTRAAGKGSAFVGVGRGAPAGGGFHPGSSSTNRPPEPGGPSSGGEAGRSGDHQSGKVPIKMNFPKFDGEFPRIWRDKCLDYFRVCNIHPTMWLTAATMHLEGNAAHWFQAYKLKHVVQGWPDFIKAVEAKFGVHDHRQFMDELLALKQTGSVDEYCAKFQELVYKIASHNPNYDDTFLVSQFLKGLKADIRLPVASQIPETLDRAMLLAHVQQDLQGQQKPWGARQNATYKADTAVPNQDTVRAPLKLGSRDMWKDRQLREYRRANCRITLHRKQKFSYGEHAIQAKMQSRRR >cds.KYUSt_scaffold_869.1431 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:9068436:9068927:1 gene:KYUSg_scaffold_869.1431 transcript:KYUSt_scaffold_869.1431 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLAPGYHYDHYLDQAYFSDKFCANQDYNLDVFRDQVHVGHNYIGVFLQQIGVLCYLKLVKVCLNYETHLDMGNVMKREVPWDPGGSRSIVLRTRRKLGGGGGNGSDHTILAMGCTLGCTYWAWAAQKPPTEGIGGGGMGELLDTDLVGAGRMPHTLALPGS >cds.KYUSt_chr3.25956 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161323673:161333121:-1 gene:KYUSg_chr3.25956 transcript:KYUSt_chr3.25956 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVAAAAGGAGAARPLGGAPGSDTLRPAARLPFGPRERWSGSVASRVRRDSPVASVISRAPRPDTEVLPVSPDDDAVLKEEENFQHLKAIQQLATAANGVWSKPNVRRKTKIVCTIGPSTNTREMIWSLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQTKDNVIALMVDTKGPEVRSGDLPQPIFLETGQEFTFTIKRGVGTDTCVSVNYDDFVNDVEAGDMLLVDGGMMSFLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENQVDYYAVSFVKDAQVVHELKDYLRSSNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATITGGETPSNLGQVFKNHMSEMFAYHSTMMSNTLGTSIVVFTRTGYMSILLSHYRPSGTIFAFTDHREPPSASTAKIQEANAELAEARVLLRESHEDLRPLGRQPAGIVYLADDADLDHMGDEEAVCVTDEQRTLLAKRLL >cds.KYUSt_chr4.1368 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7260171:7260863:1 gene:KYUSg_chr4.1368 transcript:KYUSt_chr4.1368 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQGHGAGEKKGIMENIKVKLPGGHSDHHQTGGNYGQQEHTGTATHGAPATGGTYGQQGHTGMTGTGTHGVGEKKGVMENIKEKLPGGQSDHDHTGGTYGQQGHTGTATHGTPATSDTYGQQGHTGVTGTGTYSTGEKQGVMENIKEKLPGGHADHEHTAGTYGQQGHTGTGTHGTPATGDTYGQQGHTGVTGTGTHGTGEKKGVMENVMEKLPGGHTDHQQTTGITGC >cds.KYUSt_chr3.6676 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38348124:38350119:-1 gene:KYUSg_chr3.6676 transcript:KYUSt_chr3.6676 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKIRWGELDEDDGADLDFLLPPRVVIGPDEHGFKKTIEYRFDDDGNKVKVTTTTRVRKLARARLSKAAVERRGWAKFGDAASGDDASARLTVVSTEEILLERPRAPGSKADEPSASGDPLAVASKGGAVLMVCRTCGKKGDHWTSKCPYKDLAPAPDSLDRPPTSDGPPTLGGGGASKGSYVAPRLRVGAVQDAGHDMKRRNDENSVRVTNLSEDTREPDVLELFRTFGPVSRVYVAVDQRTGSSRGFGFVNFVHREDAEKAISKLNGYGYDNLILHVEWATPRPS >cds.KYUSt_chr7.16411 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101658473:101665456:1 gene:KYUSg_chr7.16411 transcript:KYUSt_chr7.16411 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHHLLGFLRRAAAASPSSAAHPLCHLHSIPPRNGAAVPIGVRFLSSRAGGAASKSLIEDEADLSDWVSDLKTDSFHLGVSSGDEGEGSRRPAASRGGRRGRDSGGPPPRSSFDGGERRGGEFSGERRGRGDFGGDRRGGRFGGADARGGRFGGDRRGGRFGGDSRGGRFGGDRPGFERRGRVASSDLSDDDDAGFGSARGRRGRGGMSSGFSQRGGRGGDFGDDAGFRSPRGQRGRGGRTSGMSHRGGRYGDLDGEEAGFGSARGRRGRGGREPGLSRRGGRGSDLDDDEDDSGEELGFRSPRGRQGRGGPESGLSRRGGRGSDLDDIEDDSAEEVGFGSRRGSRGRGGRMSGSSGRGGREIDSDDDEDDSDDAIEFGASGKRGEKRGNLGSRRGGKGGDVDFGDRQSRGGKAFNFGSLESDSESGEADEDDGPSGFEDDLSGDDGGEEDLVNTATKKSISSESAEQESVVGTRDNGGGDSYLSQTRFDECALSPLTLKGVKAAGYERMTAVQEATLPIILKGKDVLAKARTGTGKTVAFLLPAVEVISKLPPNDHDKKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKILILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHVAMKRDLEFVNTVQEGSEETHSQVKQMHLVAPLDKQFSILYGLLTDHMSENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQLGVPSDRDQYIHRLGRTGRKGNEGSGVLLLAPWEEYFLRSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKESAYQAWLGYYNSNKQIGRDKYQLVSLANEFSRSLGLNNPPALTKLILKKMGLSNIPGLRSK >cds.KYUSt_chr7.21349 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132345804:132349518:-1 gene:KYUSg_chr7.21349 transcript:KYUSt_chr7.21349 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRLPPMIGRSSVAYGSAAALGLTQADLLDSHHLHQALIQQQLFEQIPATMTTAAAVDSGSADHMLHSRGTSDALLAPDELESKSCSENVDGGGGGGGSGEEDDEQDPNQRPRKKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRELSLEPLQNQHEKHENSQLRADNDKLRAENMRYKEALSSASCPSCGGPAALGEMSFDEHHLRVDNARLRDEIDRISGIAAKHVGKPMVSSPFLPAGMLSGSLAAVAARSPLDLVGAYGGGGDMFGGDMLRSVSRGGGQIIDVDKPMIIELAVAAMDELIQMARLDDPLWSARPGDGIPVAAEVLDEEEYGRVFPRGLGPRQYGLKPEASRGCAVVIMTHGSLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNYDGALQVMSMEFQVPSPLVPTRESYFVRYCKHNPDGSWAVVDVSLDSLRQSPVVKCRRRPSGCIIQELPNGYSKVTWVEHVEVDDRSVHNIYKPLVNSGLAFGARRWVGTLSRQCERLASAMASNVPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVTPSTVFDFLRDETSRSEWDILSNGGVVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGPPPSATQGPENSTGGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCRDTASNPQ >cds.KYUSt_contig_319.650 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:4147097:4148565:-1 gene:KYUSg_contig_319.650 transcript:KYUSt_contig_319.650 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEHLLIQCPFAREVWFYMLGWIGCQHFTPVASGGLIQISARDDFDFIARACDRLAARSEAHVVVARRPRNVPVLSWTKICRLPSTKLEKNDITTTSGPTSSLQKNHLAPAPSAVVDNDKRQ >cds.KYUSt_chr6.1410 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8645358:8649458:-1 gene:KYUSg_chr6.1410 transcript:KYUSt_chr6.1410 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSQRVPEGLNKAEEDAPREQKEVELGDQGGRSGGRSFGGRDSGGRGRGFGGRSDGGGRGRGGGRSDRGRGRGGGRGGGRGGPGMKGGSKVVVVPHKHDGVFIAKAKEDALCTKNMVPGESVYGEKRVSVQNEDQSKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFAAEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQK >cds.KYUSt_chr1.40869 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250747214:250748452:-1 gene:KYUSg_chr1.40869 transcript:KYUSt_chr1.40869 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGDNPAPPNRYAPPTPSPYVCTNPIVRSAHTIIRKSATHYMKGSPQQGALVLQITVGSGGGILRGVLDITSELVWVQCGHCRTCLRLTPRGTRTFLPDVSPSFARVGCGSKLCERVVNGHQPNCDATSKSACMYRELFFGGDQYTSGFLATESFTFGATTTAGVVFGCSANITVLGLAAGASGFLGFNRGPFSLTSQLNISGFSYFISHKDSSKSFFSFWADPACAVSVHQQPGKHTRYTPLLQPTANQNPYLYYVNLIGVKVDGQLLTAIPAGTFDVRVKDGSGGVYLSTTVPVTYLEEAAYSVLRQELVTRIQSQGVSPVSVDDDPLCYMTRSYKNIKVPRLALVFDGADATMNLYTKNYFLPVDGGQTCFTILPSNGGSVLGSLLQAGRTITYNDINGDGGSLSFD >cds.KYUSt_chr2.50833 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317851509:317868974:1 gene:KYUSg_chr2.50833 transcript:KYUSt_chr2.50833 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSKAKAKGGRGPAPKPHGKSPAAAAAAPVPYPNPAHQYPYDADLAAGALGRLDLDASAATAAATAAEDAPVETPPPQPEAPAPRPPPQPAMEASSSGSGAAGGGREEEALRRLQELVGFGREEVELTEEEVRANYQRQEDEICALEAIFGDNVVMFNRKEGQRSFQVHVHIEIPDGTDVSARLSFGAGTLNYKEGQDGDATDDLVYKFRVEHLPPILLTCLLPPSYPSHQPPIFTMSAEWLDKVMISSLCHMLDMTWEEQQGIEVIYQWVQWLQSSSLSYLGFDNEIVLSQGGLTCTEDDGDKRACPGNAPPDVTIPRIIRYNDDKRHEAFLHAIHDCMICFSESPGVDFIKLPCHHFFCQKCMQTYCKMHVKDGTVVKLLCPDTKCEAVVPPNILKRLLGEDEFERWESLLLQRTLDAMSDVVYCPRCQTACLEDAGDEAVCSSCLFSFCTLCRERRHVGVECLSPGEKLLVLEKRQKSGNAKGDIQKLMDEVRSIKEILKDAKQCPRCKMAISKIEGCNKMTCWNCGRFFCYQCNALISGYDHFQGDCVVFDQAEIDRWEMQMNQRQQRQVVAQAQADLFAGDYGYPCPTCRQAVPKTVVSQSQGLARNSLLVSGYCGLLIGSPIILYEIIAFVLPGLTKDERKFLGPIVLGSSVLFYLGILFSYTVLAPAALNFFVNYAEGAVESIWSIDQYFEFVLVLLFSTGLSFQAAARSDGEPHGEDDDLSPSPEAMRMLREMALREGEEPDLPDEQLRSNDQLQQDEMLALEAIYGDKIHIFDEKAGLRSFQIQVHCEIPDGISVCAESSQGVDDDDPSSKFLDNFSVEHLAPLSLTCLMPPSYPSHYPPYFTLGVQWLDNVKVSALCQMLDSIWAQQLGQEVVYEWVQWLQTSTLSHLGFDDRIVIRQLDDSMVGHVDVRVIEEILSVEDIVQHLISYNEEQCHESFLRSLHACMICYSEYTGVDFVKLPCQHYFCQRCMETYSRMHVNEGTVLQLVCPSDKCGCIIPPNLLKRLLGVADFERCERLMLQKALDSMADLVYCPRCGTACLEDEKKAQCSNCLFYFCTHCRDPCHIGRDCIILTPEEKLLTLQEREKVHRLSKGEVSMITTLANEIFSIREVLSSSVPCPRCGIDISRVSGCDHMRCKNCGKHFDYSLARRNNVTALDFIEGAQKEPSVGLSVSIRQYPCPQCRKPHHKIGNNNHLVCAACQTRYCALCRKVVRKSSEHYGPRGCKQHTLDPEVAEAGTDNKDDSGSELSEMI >cds.KYUSt_chr1.31379 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190329391:190329895:1 gene:KYUSg_chr1.31379 transcript:KYUSt_chr1.31379 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHTVARMPREAPPCFERSRPWRPPPPLRMVSPEPRPEPEKKKRAHRSRPGAVALREIRKYQSFTGLLLPFAPFVRLVKEITNSFSTDVNRWTPEALVALQEVSQCSNSHVLLLHLEYSWSLFTEHKFKAKPSMWDR >cds.KYUSt_chr2.18853 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118568825:118569671:-1 gene:KYUSg_chr2.18853 transcript:KYUSt_chr2.18853 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLIKIVKQAAKEGALLLYTLADPSMAEATKKACDLWGVPSTDVLRPTIEAIASHIGVAPSGIPRSSASRKGQLSEDYFRRIEAIDFTIKQDDGAQPQNLARSHIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGIDLPKALFEIDQDKIFGLTINPVVLQAIRKARANTLGFNGQKSNYAEMEHVRQELDHANQLFVQHPTWPVIQVTGKAIEETAAVIVRIYHDRKQKCSMPRISKRY >cds.KYUSt_chr1.24585 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147004614:147013720:-1 gene:KYUSg_chr1.24585 transcript:KYUSt_chr1.24585 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSFSDFCAVQVPVVSDRLLITIISVGSGKTIAKSSKAAALNGICEWPDSILQSIRFSRDEVSQEYQEYQCKIVVSMGSTKTAILGEAFLNLTTYLSSSDSTDISLPLKKSNSGTVLQLKIQCLGAKKSSGKSWSELSTHLVDSSPTNGEMGRKSGFYDIVNKHARSLPGNEGACQDESGNRDASFSAPKSRRSSNSGDRKNLSPRDNSNEGLQMGSQDAASSYVNHDSLNRGDDSSKDLRNTAEEIVEKLQGKAKMWERHSRKLKIEMETLKNECTDKSKQQAELLLELSASHSERDSLRREIEELKSSLEDLTARQTIVGTPECADVIFLQKETIDEVQFLKESNANLTTQLNKTQETNIELVSILQELEETIELQRVEMSKLPQASDVVDHDVSKSESTVRDAAEWARILSLKEDEITMLREKLNRMLSIENADGVGPNTIYLEVEKENEFLKVKIQELENDCSELTEENLGLIYKLKEASGMTKGEDPCISSSEEIPTAGRSSSDVEHLERKCADLELNLLNLGSEFSGLEEKFQKSQDELKERTLELSDLREKLLHATELELNLRSVFSGLEEKFQISQDELKETTLELSELREKLLHATELEGADTDTSRHYKLRSGEPDDTETELDVLKRTVLLKEQEIDGLQHCIREMENTISDIQKKCQVEEPDGTETELDVLKRTVLLKEQEIDDLQHRMIEMENTISDIQKKCQLEEPDDTETELDVLKRTVLLKEQEIDGLQHRIREMENTISDIQKERSQLEEPDDTTELDVLKRTVLLKEQEIDGLQHRLREMENTISDIQKERSQLEEPDDTETELDVLKRTVLLKEQEIDGLQHRLREMENTISDIQKERSQLKEPDYTDNELDVLKHAVLLKEQEIDGLQHCVGEMENNISDIQKEKTQLEERLAASLQESSMTSKCLDEAREDMLVLTSSVDSHVSANKVLEAKISELVHTVLLKEQEIDGFHHCKREMENGISDIQKEKSQLEERLAASLEESSMTSKFLDEARENLRVLTSSIDSHVSANEVLEAKISELVHTVLLKEQEIDGFHHCKREMENTISDIQKEKSQLEERLAASLEESIMTSKCLDEAREDLRVLTSSVDSHASANKVLEAKISELVDTIMLKEQDIDGFHHCVREMENTLADIQKEKSQLEERLAVSLEESSMTSKCLDEAREDLLVLTSSVNSHVSANKVLEETISEVERRKVELESHVSKLEYENIELTQFISELEAQLTSLASENESIKLQMDDSISLMTTINDLVEQQQAEIEAAKLELKQKHLDSQRRLSELQEDSDALSRSKEKLQATIDSITEECSSLQTLTVDLKKQKLELHGRCAQLEQELDQSKRKTADLFNTVEFLETKISMLQKDITLKEQSLLSKLENIFQEHKEHEERINRAHFLLNKIENEKIVEVKNLEREIMSLTAELSSTDGERESTAVDNIHEVSILRADKGKLEANLEDINAQMRHYESQLEDLRTESKSKIKDLTDSLNASKQNEETLTTDVEHIRRLMEAARSNEENLRKTSDELELKYKSSDYEKQQVMEEISELKIQVNKMASIQDEVSKLQNSLDQAKFEKKKLEEVLQSLSEECEELKVQKAMLTDKVSCIQSISHEADEETRGKSMQARLVINQGNDDSANDNGGTPVHEDPDIQSKIHLLETRLAEALEQNKLYRAQLQSPTGEGQSGSRDEKDNKDDNRVAQLESELKDMQDRLLNVSMQYAEVEAQREELVMELKNATAKKGRWF >cds.KYUSt_chr1.29676 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179701370:179705929:-1 gene:KYUSg_chr1.29676 transcript:KYUSt_chr1.29676 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAACASSLLAPPSAACAGPAAASSALFPTSVPSLRAYPRLLLAFRRPAAAAVADPQGAAVLEDDEPAEDDEAPVQFDDVNDDYEDGYGGRGPAFTAPTRPRTGKAALPLKRDRTRSKRYLEIQKLRESKKEYDVPAALSLMKQVANTRFVESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQTVKIAVLTQGEKIDEARAAGADIVGSDDLIEQIKGGFMEFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVSPNITQAIDEFKKGKVEFRVDKTGIAHIPFGKVNFPEEDLIANFMAVVRSIERNKPSGAKGIYWKTAYVCSSMGPSIKLNIKEMLDYGADSSN >cds.KYUSt_chr1.31697 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192283252:192289733:1 gene:KYUSg_chr1.31697 transcript:KYUSt_chr1.31697 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPCRLVGMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKNPIDDEEHLDYSSGNVTIIPDLKSWEKKLEDASESGKTLVVKFSAVWCGPCRIAAPVYAELSLKHSDLVFVSVDVDELPELVTEFDVRATPTFIFLRDKKEIDKLVGGNQTDLEQKFDPYCRPGEEAMCKQSFQDRIT >cds.KYUSt_chr2.39953 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248039036:248039594:1 gene:KYUSg_chr2.39953 transcript:KYUSt_chr2.39953 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVELVVEVKSAADKLWTALRDSTELFPKIFPEQYKSIETVEGDGKSVGTVRLLKYAEGVPLVTFAKEKVEVADDEKKVVSYSVVDGELVSFYTNFRVTVQVTPSTKEGEGAVVNWTMVFDKASEQVPEPDVIKETAAKTFHDLDDYLLKN >cds.KYUSt_chr4.50235 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311221889:311222554:-1 gene:KYUSg_chr4.50235 transcript:KYUSt_chr4.50235 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSGSASRSSLAPVKREEASSPSTPVRVKKEPASPPATRGRSSGALVIREQPSAPQSGRKKTKKEAAAASQLAEEEAKRAEDAAMAEAIAGRRTTWRRRSARRRRIGPGQRDRRRQEAERQRRLPDPAAARQLAARAAPTANDDVARYRRLRHLHPASLSPSSTSSPPTTSGYKPSRVGRRRRAAASQAARPKANDDGSDDDGDGDYTVFYRHLGM >cds.KYUSt_contig_2278.145 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:1215419:1223381:1 gene:KYUSg_contig_2278.145 transcript:KYUSt_contig_2278.145 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVEILADDDVLGGDGGEAERLEAEAELLRNRLRLAVINIATTEGKKVGMEIADPVVACIADLTFKSAEQMAKDVELFAQHAGRKSIRMGDVILTGFDIGGSLPEAKCAHGALVHWFHVGAGISGVAPHYIPPPSTFNVLLGSSWRLCAGSLEGKARQRLEGYIMLYANYFADEPVFRRWFRMSRDIFLKIVYAVWDLDPYFRCKPDCTGMIGFSSVQKCTVAMRLLAYGAPGDSVDDYLRMAESLPPLIAYTSFAGQSSSVRGTIFEITHCSRYRADTCNQCSKRISLDVWKHQLYALEVK >cds.KYUSt_scaffold_6468.522 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2512904:2518799:-1 gene:KYUSg_scaffold_6468.522 transcript:KYUSt_scaffold_6468.522 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQCVSIVPAAAALALGLRVDALKVKNDINLRKDTIQLVPDPEDPDRRLVSFTFEAVTDSSLLIEYFAKEGKECSFSSVYPDLQTPTKIPFEKGSAQNYVHPSGSSINLGFFSLDELSNPSEEVFPLVVCAEACPFPEEAGCAGHRVAA >cds.KYUSt_chr5.34402 pep primary_assembly:MPB_Lper_Kyuss_1697:5:218124580:218126405:1 gene:KYUSg_chr5.34402 transcript:KYUSt_chr5.34402 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPTVVFRRCPGEELYRDKGSLQAKEKAATAGETVNIKVKMDCEGCERRVKNAVKSIRGVTSVTVIRKISKVTVTGYVEPRKVLARVKSTGKGAEMWPYVPYTVPTYPYVGGAYDKKAPAGMVRNVPQAMGDDAAPELQYMNMFNDENVNSCTVM >cds.KYUSt_chr3.9121 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53155235:53157593:1 gene:KYUSg_chr3.9121 transcript:KYUSt_chr3.9121 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRPFPRHDFSFPLPLHTTASSDTSSPCPRVNGLFPSLHCTSEEASLPSSSTAGSQWNGLIISCKREAWLVPEQTLWLVHDPWAARVFFYVKTLTYPISIEIVHAVAEGDTLAAQAPDTDANGGAANQDGARGNGDGRPRNRRRGRKRRRTADTHDGRADGMALDGLGSMDLGPHVRADGLAMSVWPARRQPPCGGAPPPVLERTIDPWPRQRRARRSRRHAKKGKRWGWKKKVAESGPLPKPVAETALSPTGSAPQCPGPAVGTDVPASLAESEIPCTPDATGRSNSLSACVHGQEEPDALSQSVPRDQRGDQPTPSFVLETQPLDPPLPAADLLSGLGVATSSPSAEVLEPSVDRAAVDIVGANATAAPSLMTPPEAASDQASPRPNTCESPLTILRRPAPVDTNDGPPNEARAPAQPMAASLAAACTPSPRPAARFASPPITMRRRRPCSPQPWTLGDFLTAATKHLTAALPTPGRRRQRPALNFAPRRGRSACAAAAAPPTAERRAHMHVLRSLGIIGANQRITAEEMKTYEGMFATPIPLTVLTAMAALVDREMPPIATALHAAATPGGPVLV >cds.KYUSt_chr7.8551 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51807756:51811745:-1 gene:KYUSg_chr7.8551 transcript:KYUSt_chr7.8551 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELRARAAAPPAKERDTPPPSPAAAAAEEDAPLLPGVRRRAMRERFAQRSDSFRREVGRAAAETFLLTRLTLTLLRYLGIGYRWIRQFLALCCYALLLMPGFIQVLYYYFFSRQVHRSVVYGEQPRNRLDLYIPAGTTGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGILVACIDYRNFPQGTIGDMVEDVSQGISFVCNNIARYGGDPERIYLVGQSAGAHIAACTLVNQAIKECGEGDTSNWSVGQLKAYFGISGGYNLLNLVDHFHRRGLYRSVFLSIMEGEESLRKFSPQVLVKDVAVGSAVPLLPHIILLHGTSDCSMPCAESEAFLDALQQRGAKVDLFLYEGKTHTDLFLQDPLRGGRDKMLEEIVATIHKDDPSESTQDLAVPVARRLVPEFMLMLAGRVSPF >cds.KYUSt_scaffold_6468.73 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:379310:380425:-1 gene:KYUSg_scaffold_6468.73 transcript:KYUSt_scaffold_6468.73 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLFHRDFEQEDIVEDIIRDANLEGDREVDGFVDEEADREADGFIDGEDHGSGDGEADGSGDGEADGSGDGELEPEWLNKEIRAELLFRAYEKVAFGLKFGGGNWQVP >cds.KYUSt_chr3.31134 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195450320:195451739:-1 gene:KYUSg_chr3.31134 transcript:KYUSt_chr3.31134 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSELPPQRASPRAPLHLKTTASSDSNAAHHRSVLDRTSPKLAADRHSPRSPLPEKKRAGTRVAELETKLGKVQDELKKLREQLVSAEAAKKDAQVALEEAKKHVGTKGSPKPAAASPPSPSPAPSPAAAPVALEEEKKADDVKAAEPPAAVAAEEQEEEESSINSPATDVFEVVRTESGDKENQAAPLAAEDGEEVSCGDKPAAVVLAPAEEDVEVEETKAMIEEAGKTHNASAAAPAAAAKEIPEEVAELRAQLAAREMEVAVLAADNAELKKLAADAAAAARTAEEDAAARAFLVEQELRENAAREARVGEQLRAADAAREALEAEMRRLRVQTEQWRKAAEAAAAVIGGDAHLVGHHGGNGNGWGSPATMPDDGDDEGFGGKRKGGAGGIRMLGDLWKKKGAK >cds.KYUSt_chr1.36226 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220843855:220862483:-1 gene:KYUSg_chr1.36226 transcript:KYUSt_chr1.36226 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPPVAPENAPPEPPAGGSSGEHLRGHAHLTNCIHLRHHHAHAGAASGRRRSPTTGESSATLMRDLLSMQRSRSLRDPSTRRSVDSVSNRLAADPDPDPPGRGALKTLLDQLAEDALQPRPARRPRRRFKRGQGRRANADAALDRPAAAAFSANSSSQEAVCGNKYFFGAGAGDDDGSEEMQPRPRPHPHPQASQDSRSVCGIPWNWSRLHNRSRSILDTAGRSLSCGLSDPRAPPAARRSEAATSAGSCGGGGYMDGSRSHPHFPVTARLTSSNSSDSDSLPLLGEGARSRTGIRGISRSFSGELGIFSNQSSEFDSDLMSEAHKSRGSQRGRHRSLTQKYAPRTFKDVVGQSLVVQALSNAILKRKIGSVYVFYGPHGTGKTSCARVFAKALNCHSTEHPRPCDTCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPLPAHHRVFIVDDCNTLPPDTWSVISKVVERAPRRVVFILISPNLELPHIIMSRCQKFFFPKLKECDIVNTLQWICTSDGLDVDRDALKLIASRSDGSLRDAQMTLDQLSLLGQRISISLVQELVGLVSDDKLVNLLDLALSADTANTVKTLRDITETGVEPLSLMSQLATIITDILAGAYTFTQGGVRRKFFKRPTLSKEDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYTLPSSSTSTSFNQGLPNCPERYIAINSTTDNNEIYAGPLGYNGGRRAREHTPDGYKLSTSATRVNEGSKCSRPDNEMIWQAVLEIVQSDSLRRMMAQEGRIISVSLGTAPTVQLMFSSHVNKSKAEKSRGQIMQAFESVLSSAIILEIRYESEEDGGGVPAILPYREDTSSNIALRRSFTKHSSVSSGGEIIEVGPSQMEWYDEPDTGLVATDKRRQSVREAAALSSQDQENIGPRGGINVNNEHDRQRNIVRAFSIEDQDAKITSSIKARLVRKSIGSPGKKANSAGRGRHGLKRGNVGRHDSGQAGTVFASRTRSLDGEGRKVASAAKYSAPVESDDSGDGDYHYSPGESNRGSAMEKSAGRRRRGHKGGGWDWRGSAPERQVGVVVLSRTRSRNGGRGKGGPSTKYIAAYSASNELWRIIVDGFKPYNPDKLTRREAVDSQLNNTALHMIQTSVGTKDLSRVRNYTTAKEAWDGLAASCIGSESMRRNKYNALKNKAEGFMRLPDEDHEVMYGRLLTVADAFRLIGATHINDSWIKEKYIECMMPFVPIDVKTLVGRECYPSLTSQQVVHEMQALKVLEQNSHDSRNRALGMTKGSNLALAVNSIEEVFPQESYRASWSMSYPEDLEHHYHDHMAFHANSFWVDPSKAKEDNIKRNNSRGSISSGPRTRSCYNCNDKRHFIAECPYENRETHGGRLIPKDKSKDSKDKYSKAPKKKFYNNKTKKGKRPSKIVLVTREEYSSDEVGSSSDDEDEESSKEVAAIVTTNIPSSSLFESPNENPHIKNAHCFMARSSLDTSIVLSTQEEYTSGDDDVDDEEDATSNGLVALASLSTNSSSPSESPNEVIHVEEESCLMAKSSEVSSPNPSMPIISSDLGVDLASLKVKQEMIEFDDFILNLQGNTKKHVSNLMVRMAQLNGTLEKKCQIEREDALELHALRNALEESQETIASLEERLENLEDPQDKINKLTKARDLARAKTKVLSKEKAKFGVDHEKLVRDLDDLDKAHKALKSEYSLLSKSYEQLQIRLASYDIPSTSTPSCDHANIIEENARLKDELAKASSPQSKLSLDDLLSKQRSNNGKEGLGYNTKAKKANKQKAKPAQEKKKAITNGEASKGKTKNDDDAGIANPHYVLFKDYYGDVYAKYVGPYDGYVAWSIWVPKTLVANKRGPIEKWVPKSKN >cds.KYUSt_chr7.17710 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109663983:109666283:1 gene:KYUSg_chr7.17710 transcript:KYUSt_chr7.17710 gene_biotype:protein_coding transcript_biotype:protein_coding MPIELPRGLPFAVDTWTPASALKRHRFLTHAHRDHLAGITTTSAAGAVYASRLTVLIARHIFPQLGPDAFVEIELGAPVLVEDPDGDFTVTAFDANHCPGAVMFLFEGAFGNVLHTGDCRLTPDCIQGLPLGYITVEGSGASQAPPSCRIDYLFLDCTFAKCSLQFPTKEASIRQVINCIWEHPNAPTVYLVSDMLGQEDILIEVSRAFGSKIFVNRDKSPDCYHNLSLVAPEILTEDTSSRFQVMEFPRLSEQATEMFALARAKQQPEPLIIRPSSQWYAHYAPQEASLKQKLVLTEPMRDEFGVWHVCLSMHSSREELEEALRFLQPKWVISTTPPCLAMDLSYVKKHCFMSKLGPDDPIWKLLGIPHGNSTVVGSLQKAPTALEAMKQSEEEYTCSAVCSQVLQNEEPAVEDFAIEAAPPVTLFGRARFGLLQDCELWKDEYGSVQVDEEVKIEEELQSSYTKSFELCNDVKPDKGIADVIDSTQAVMKELHASAIEPELHKDCKSIDGSEVIDIAEGEVQEHSLSTEGCAELVEDAECSQGTERIRAARFVSREAISIARAKLWDVCKARNSVGFVVEAVGQEDKVELTEKLPSEDRIVLADISNRSEGSGTDRADTAGVGSSKVLNVKLRRLYRSMNVAVPRPLPSLVELMGASKRPRISSQTLHLR >cds.KYUSt_chr4.15785 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97576750:97581623:-1 gene:KYUSg_chr4.15785 transcript:KYUSt_chr4.15785 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSTLASLAFHVCAASLFILAAGFTTAAAGDDRSECYTRDATDTVVTYRPCDDPTCSATDNYTTGSPYDLSLRRLLAAVPLANATVGGFFNGTAGSGPDDTAFALAACQADLQPPECRRCLQSASGNLTGYCPHSKKVVAAYVGCMLRYSDQPFFGVASIGFLFYEDVAGPNVTGRDQAAFRTVRAELFDELRGAAAALPTLATAGNLTYNSTHRMYGLAQCTQDLAAGECSRCLVDLATNLPEPGARPGPDDVPWTEGASLKAYSCYIRYDLRPFFVSDIVALPYTAPGSPLSQPTATTSKGNRISDAGVVIAIVLAVLVIFMSVALVYMWRKAKAKQCELYMHLNSVANTMRDAEKDEDAGSLLFDLPTLRKATGNFAEENKLGHGGFGAVYKGFLPNGREIAVKRLDKASKQGVKQLRNELILVAKLRHNNLAKLLGVCLKGQEKLLVYEYLPNRSLDTILFEARRCELGWGTRHDIIHGTARGLLYLHEDSQIKIIHRDLKASNILLDAGMIPKISDFGLARLFSGDRTTSITSQIAGTLGYMAPEAVLGHCSVKLDVYSFGVLVLEIVSGRRSTDLLESMESEEDSSTFLSYVWANWRRGTPLEIMDPMLDGRQVPESEVLRCIHVALLCVQANAADRPTMMGVLLMLHGKDDLLFCKFGNKLLPSMVKQHDHGPFALLSDGSPDAERDPDAPADAAATSAEGGTRVAAELWGGYGRAGVDRMTTDRVASRTSPVARTVDIWVHTVVVDTCMAMGAAVA >cds.KYUSt_chr3.33372 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209532901:209533167:1 gene:KYUSg_chr3.33372 transcript:KYUSt_chr3.33372 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKESTSVPLSQAAEAVDPEDPAKSPPRPSSPTASTRKVRIRLTPPPRHASRARAGLIARMFLRRYCRALPAVRGAWVAVLPDSWI >cds.KYUSt_chr4.24286 pep primary_assembly:MPB_Lper_Kyuss_1697:4:152941497:152943750:1 gene:KYUSg_chr4.24286 transcript:KYUSt_chr4.24286 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFDPGCCRQIPALLHPGDRGDAFTDSFVITRRPDLALAPARSSSDALGLVLAVVARRDAERRCCLCVGDVLLMVVVMELLVRFQFEKKKMIKT >cds.KYUSt_chr3.47917 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300144614:300145686:1 gene:KYUSg_chr3.47917 transcript:KYUSt_chr3.47917 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFYNSYALEKGFSVRKSYIEWDEANQEIILRKLVCSRQEEIQVLNSLPLIRDEAKETTLVECSQMYWDREKMTDDFTQAQIHIFNWKVCTSLLCSDCNTLRLESYEKPILKKAYKAIMAKLDKGNAADDDIQVISDQNDTNKKEESPPYDASKKEISPPRPIGSQKRKLGRRRKIETPKPINPVKDQFKLATDGLQREEHAGH >cds.KYUSt_chr4.41575 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257229876:257230262:-1 gene:KYUSg_chr4.41575 transcript:KYUSt_chr4.41575 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNSGAVEAREFGSMEDFWGFYLGQHSKPATRRWHFAGTLASLLCALAAAATGRAALLAACPVLGYGMAWYSHFFVEGNRPATFGHPVWSLLCDYRMFALILTGRIDAELARLRIRPRSDAAVHQQ >cds.KYUSt_chr6.19027 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119765638:119766481:-1 gene:KYUSg_chr6.19027 transcript:KYUSt_chr6.19027 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGEHTVAIFSTPRSIETDEIPLVINDFRVAARNAIEAGFDGVEIHGAHGYLIDQFFKDQVNDRTDKYGGSLENRCRFALEVVQAVADEIGADKVGMRLSPFANYSESSDSNPEALGLYMAHALNKSGILYCHVVEPRMVTFEDKAKTPYSLRPMRDAFKGTFIVAGGYGREDGNTAVSSGYADLVAYGRLFLSNPDLPRRFEIDAPLNKYNRDTFYISDPVVGYTDYPFLPSDI >cds.KYUSt_chr4.23089 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145325076:145326050:-1 gene:KYUSg_chr4.23089 transcript:KYUSt_chr4.23089 gene_biotype:protein_coding transcript_biotype:protein_coding MTDREFSPACGGHAETGIDDLPLDVLSLVLRRLDGASLAALGCASSGFHGLAADADTWRGLCLALWPSVASVPLEPACCRGGSDGFHSHRALFADAFPFPATPTATTPAATAAAPALPSRLVSAVDLHHRGVCIMSRVVDTDASTPWFLGSPFRVDALLQEGFTAPSQIAPAELALSWVLLDPASGRAVNASSRRPVSVDRSWLTGETVARFTVVLGGVALDAAVTCDDRYGHVREVSLCVEDADGGGVSGRDGLAALAAAMAAPRRGRGAEAEARAARRYAEFVKGKSARKEWKARREGLVDLCCSGVGAAAFVGFLVMLTCR >cds.KYUSt_chr3.8580 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49785642:49797936:1 gene:KYUSg_chr3.8580 transcript:KYUSt_chr3.8580 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDGRAADPASYRDRRSEGAFGGGTRAFAPPTKADAAAATAAAELEGLPRFEKNFYVEVPAVAGMTAEEVEAYRRRREITVEGNDVPKPVGDFRDVGFPEYVLQEITKAGFTEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQETTKFGASSKIKSTCIYGGVPKGVEIIIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQIKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVIIGSEELKANHAISQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGATGTAYTFFTAANARFAKDLINILAEAGQKVSPELANMGRGAPPPSLGLLDLVVQQLFVANTISQGSEFIHRSWRRRQGKALYAPLSLFARSQAKQMQVHAHRVPALQYSKSCQHYRMA >cds.KYUSt_chr2.29339 pep primary_assembly:MPB_Lper_Kyuss_1697:2:180492998:180493486:-1 gene:KYUSg_chr2.29339 transcript:KYUSt_chr2.29339 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWRRQPRASRSAVEHIAVGWHSHQWSSMKPPAPPRAFRVAAPDLKRRPPRAAAGHLQELMMLRSPQADSWPPSSDLPLPDLGRENADLIYPLGDHDGAQQDRELVETAVTFANRWARFLEKSRQIREDIVR >cds.KYUSt_contig_2278.104 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000288.1:854693:856193:-1 gene:KYUSg_contig_2278.104 transcript:KYUSt_contig_2278.104 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSTQVPRSCRGDEHVGGANGPNHSGGQVDAGGRSLLDDLHQLKGDSRKPASPERGSWTPTAERRGPEVRARATLHHQLATVAGAADSNTRQKPGGQNTRNRRNQPRLDLADGDKQDPKLLTVAGEMGLEPGPPYWTARRRHHRNAAPPNPTTTPIYTPGQSTGVPPPSGRRGDQRRGGERKPLSRQAPCHDFTPVDCGELWADAIPATLRYLDHGHAVGIDFYPAASDCQIKQT >cds.KYUSt_chr4.34335 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210717188:210718383:1 gene:KYUSg_chr4.34335 transcript:KYUSt_chr4.34335 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDVTQKNQRKFCPLDPDLEDCYPLDCRHGRALLYDEESRDLLLWDPMTGEKKHIRELGIQRDYFNTAVLCARSNFDHLDCHGGPGAQKLMTISRADQRWSVKWMANGELIQLDNSAPLPHIIFSRTRCSNHSPQVECKVVKADEPQPTVLCRYEEIASIMVCRVGNYRQVLVPAMSYRRIVSSDVPRK >cds.KYUSt_contig_534.125 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000049.1:886784:893333:-1 gene:KYUSg_contig_534.125 transcript:KYUSt_contig_534.125 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEQNNILHQFVPRTGAKGHATDGNPLAPGLIKLSLPRFSTDPPLVLSNFRLPRAETPSSVQPIWIAADCFIRPSFLRLVPAVYFSVRPTCVPSTLSLFLPREKIKGDAKSFIVVMGFDSLCDLSPASKHWSINMRVARMWDYCGPVMVKLVLWGHRAVEFDAELLFDNGQNTAVVGVFVGLLMKSYNSELLLHWLIYYFMLALQMSTHDPVSIVDDETLSGGSACRWYLNEDIPEIESCFDRLGDDFAKVQWISTGTEKFAVSRNRADLPHKTVAELRELDPWETEATDFLCTVSITSVAPEQPWWFQSCSKCHRLATSYGSEYRCSGGCVSTKAYPKYRLCLVGTDSTGSAEFVFFNRVAQQLVGKTVISLLRSSGLPREIAAVVCQKYTLAVSVTQKSLSQRNISFQPLRLMTAEPLRLIRFLMASLCLLKISQRGRVRFACLKRKEPEGAKTSKNLTEDAVLTGQNIDPDSIPRNASATPPVKLASEVIAAGNEVHLHGKSPEVEVEDEADSGAKKR >cds.KYUSt_chr7.5157 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30856076:30857149:-1 gene:KYUSg_chr7.5157 transcript:KYUSt_chr7.5157 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAARLSALRSAGRQQVSASTMGVRQVTGSHVLRIDGFTQLSKTVANNIEMRSGTFNVGGHDWCLACYPNGCSDLYKGYVSIFLQQASHEKTGAATAKGQLSILDRDGMPSCTKHITERTFKGPPFGWGEIDFVKHEDLDKDKHLHDGCLTVLCDVTVTEHYADDHVEVAAPAAPPFDLRGQLAEAMWNIKKVDVEIEVGGETFPAHRWVLEAQSPVFKMELSLASTADMTTKLRIDDMDADVFKVLLRFMYTDSPPETSQLQEAAMAEKILVSADKYKLDKLKLICEKALCQHIDMSSLAATLALAERHRCSVLTAACIKFLSSPGNLEAFIAADGIKQLKERCPSALLDLAVKN >cds.KYUSt_chr6.10377 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63969218:63976275:-1 gene:KYUSg_chr6.10377 transcript:KYUSt_chr6.10377 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGTQPLGAASAMNTSRNPSKGLRHGGWIDAIGAWSGCRISQRSQWQTKATMCGTPKRSKNSPWITRSSHLHPSSATMAARSREATKTLKSTQQRLLKEPVPKATSPTFLLRSLSKKLGDDRPVSRQYTRTEELRAPALLSEILASASIASSSSCHQSFAATKTKHKPYQIRPDRSSNLLPNAIEDNSKYGEVRVDEATRALAHPWAAPMTTLACPGRHGLGVFWSLLRSSPTKASPGWFSRARLSLVKVSNYLGKLEDFGEDLDSVKRRLVNPDRPSATTRVEIPVADKGAATARLANNGAPLLRGPATMQGFHTAPGFHTALSSPKDGEEPAQHGDYIARPRRHDFPRFSGETPLLWTDLCLTYFDMYKVPEHHWVSTATLHLDGHAALWFQSFKRRNRLISWDTFLQAVVEEFGHDEYDGQMSKLLQLRQVGTVVEYRRAFEECMYHLISVDDSLSSRWFVTQFVFGLRDDIRCAVRLQAPTSITRAASLARIQEEEAEHQRPRARPVAPTKHPPPAAATTTNLVNRSEWPKKQGADDFNRELVPVKLANGQMLVSDEHVKGLQWWTQGHTFNTDMRILDLGAYDAVLGMDWLKSCGKMTCEWTQKTLEFQHAGKEILLQGILDKSQSVVTELSAEQFQKWMIGNEVWDMAVLDSVTTSDVAVTVAPDLQSILTDYSDIFSEPKTLPPQRALDHAITLEANAQPINARPYRYSPHYTKMRSNVKFRRC >cds.KYUSt_chr7.4119 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24600762:24611328:-1 gene:KYUSg_chr7.4119 transcript:KYUSt_chr7.4119 gene_biotype:protein_coding transcript_biotype:protein_coding MGARTNEGGGAESGGGVEGGGCPPRGLLWEAAVHGSRRQQEGRRRQRRSTRPSSAGRKEALQERVSEEGGGAALQERVSGCILPASSVAPHVRLLCHLCPWPWSPFPHSRARTLIRSEPVAGEGEQDGKNDLICTGAEEEDDSGWAKVMAPLAGGHHYLPWRFTDRRQRQQPPLVFVDSIAAPVKFTYNPRLWSCDVLECFTRTSSTYETASMHKSLLYECLWREGRLPADISCMISMIDPQIICKAFSSYKKFPDYGPTTPGNYKEVLESVLIATTLSDGACSSGPREGASGSIARDHKRQLFAVKATWYPKAANALSMEAHAIRDDLAMMLLSRAQRSSESERQEGEDAVNTENLVWLACICSLILSVRWFAKAMDSNDEDVPAALMDEEVIVAIAAREAVGDEKHLTILTALLTMIVEEDKPSIGGSAPERRKSKQRQRVER >cds.KYUSt_chr7.1316 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7145258:7145482:-1 gene:KYUSg_chr7.1316 transcript:KYUSt_chr7.1316 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTNSAATAPCPKAKATLVLEAESFAVSSESGTLLEQLAAMREKSMVILKDYIARHNAPNGVPDESVEGLSDV >cds.KYUSt_chr3.10440 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61965605:61966774:1 gene:KYUSg_chr3.10440 transcript:KYUSt_chr3.10440 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPEMMRLAQEQMSRMSPADFARMHMHVMSNPDLMKQATESMRNMRTEDFKRAAQQLNHTSPEEILRMTEKVANAKPGEFAAMKAQADAQMSYAISGAKMLKQQGNELHSRGQYADAAAKYKLAKDNMKNVPSAAGQTLQLQCALNLMSCYLKSGRFEDCIDEGSEILAYDSSNVKAYYRRGQAYKELGNLEAAVADLGKAHEISPEDETIAEVLRDAEEKLTTNLPKGLVIEEIVEEEEEEDSFQPSSPQNVVAEKSDEIDQSTDQPSLDSLPSATDMQDMRTFMEDPAMQQVLTSMMKNMSPDTMTDMSRQFGLNLTTEDAAKAQHISQLSPERLHKIMKWMDRAQRGVEAAKKTKNWLMARKGLIIAIVLLIVAFILQRLGFIGR >cds.KYUSt_contig_990.457 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000093.1:2296884:2301279:1 gene:KYUSg_contig_990.457 transcript:KYUSt_contig_990.457 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSEAIHDRHLARKDWALDSFLLHRLGHVAPCDWKKPDIDIDQDRYVCSGLNGGSDAGSMIEAIVDLSMSDELQGARAQVVIAELEALSKLTDKVRSLSADNQDYYILEFSLLPAFLGLLVELIVFVKALKEAIEVFCNKGVSGSSSDELLDTFCDNILKIGFGEKFGSVVTVSLK >cds.KYUSt_chr1.39784 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243495386:243496081:-1 gene:KYUSg_chr1.39784 transcript:KYUSt_chr1.39784 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPATQHEVAVAPQQQDVEHDQIRVDEEQPLLAKRSPAVLRDGDGNGGMSPMQRAISQTYQSTAHLATLLPTGTVMAFQLLSPIVTNSGHCLPSNRAMETALVSLCALSCFVLSFTDSFRDGKGAVRYGFATLRGLWVIDGGAPLDAQAAASYRLRFLDLVHAVVSVMVFAAVALFDQSVVSCFYPVPSEDVRQVLTVLPIAIGVVGSMLFVTFPTTRHGIGFPLSKH >cds.KYUSt_chr1.26374 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158879230:158881660:1 gene:KYUSg_chr1.26374 transcript:KYUSt_chr1.26374 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGESNRAMAIVLRGRDEQMEQQEDDLSSSSSFCSMRQCRICHEEEEEWCAAMESPCACSGSLKFAHRGCVQRWCDEKGSTLCEICLQRFEPAYTIPPKKTPVVEVLVTVSDDEEDDEHQGMPYAASDGAMDGSDRAYFSWCQSLTITFTIILLVWHLIAVVTIEAAEHCAFSLLTMYFLRAAGILLPFYAVMRVIGMIQHGRRQYRLQLLQVGLGWKLLICDSQEYDAD >cds.KYUSt_chr7.8874 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53945798:53947221:-1 gene:KYUSg_chr7.8874 transcript:KYUSt_chr7.8874 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGATTTRVCVTGAGGFIASWLVRRLLSGGDYAVHGTVRDPGDPKNDHLRALDGAGERLRLFEADVLDYASVASAVAGCDGVFHVASPVPASKSNNPEVELLAPAVAGTLNVLRACSEAGVRRVVVVSSVGAVFVNPNLPEGPSVDEDCWSDEDYCRTTENWYCLSKTLAEREAIAYAEKTGTWSPCDGVDAMDDKVRNMVDVRDVADALLLTYENPEAAGRYICSAYARKVSEMVSVIENLHPNLNYPNKFVQVGDEKVFSSEKLQRLGWRFRTMEETLKDSVESYMAAGILN >cds.KYUSt_chr7.8888 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54029494:54032518:-1 gene:KYUSg_chr7.8888 transcript:KYUSt_chr7.8888 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSYRGMVDEKQCVTVVPAVSLETAFTSPKDSDEWLIVLNSGDASAELRRRIQLVPSLCARWESIRTTIGMAAECVTRHHSSSLERSLDAVETESAKARVVLKMEKAIKKAAGEEVKDPEEDVNARPVVPVVRLMYG >cds.KYUSt_chr7.4159 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24829524:24832571:-1 gene:KYUSg_chr7.4159 transcript:KYUSt_chr7.4159 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGAAAAAAARRHANLPSYAAAFSSFSGIGGSGGAGRGRGRGLPPSASAPQRAPGRPIPDDGDDDGAADLFAAASSAGRGRGEPAIPPSPTIPPFSSFSGAGRGRGSPLPPPPSVQDAPKQPTFTKRFDFGPPSSDPDPPTTVASSSSSSEPPRSVPTAGAGRGVPRVQQPADRAPEENRFVRRREAKKAPAPSAPSGQPKLAPQDAVKRALELLARGGDGGRGRGGRGPRGRGRDGGRRPAAADERDSLFFGDNADGEKLEKRLGAKKMEIWDAAFNEAAEQALPDPEEDDYLEAVHTNNMIEFEPEYHVNFGNPDIEEKPPMSLEEVLQKVKPFIVAYEGIKDQDEWEEAVKDVMERAPHMKELIDMYSGPDVVSAKQQEEELQRVAHTLPESIPNSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFVREVSEQYK >cds.KYUSt_chr2.41652 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259180847:259184146:-1 gene:KYUSg_chr2.41652 transcript:KYUSt_chr2.41652 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQNHWGGSFEIADGAEDDHSRNMDIDRGALQHQQHHQLDETQQSWLLGPPEAKKKDKYLDLGCVVVKRKLLWWMLWAVLGAFVLIGVPIIIAKSIPKKKPHAPPPDKYTEAVHKALLFFNAQKSGKLPKNNGIPWRGNSGMNDGSTLADVKGGLVGGYYDAGDNIKFHFPLAFSMTMLSWSVVEYSERYKAMGEYDHVRELIKWGTDYLLLTFNSSSNTINHVYSQVGTAKINGSTPDDHYCWNRPEDMSYPRPVQSASSAPDLGGEIAAALASASIVFRDNAAYSKKLVHGAATIYKFARDSGKRTPYSRGNDNIQYYYNSTSYWDEYLWSASWMYYATGNTSYISFATDPRLPKNAKAYMGILDFSVFSWDNKLPGATLLLSRLRMFLNPGYPYEESLMGYHNVTSMNMCMYFPKFAAFNFTKGGLAQFNHGQGQALQYAAANSFLAALYADYMEAVNVPGWYCGPNFMTVDDLRSFAKSQLNYILGDNPMKMSYVVGYGKKYPKRLHHRGASTPKNGIKYSCTGGNKWRDAKGSDPHVLTGAMVGGPDKNDKFKDARISYAQNEPTLVGNAGLVAALVAITQSGRGTGVGAVDKNTMFSAVPPMFPTAPPPPASWKP >cds.KYUSt_chr4.45105 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279298839:279303206:-1 gene:KYUSg_chr4.45105 transcript:KYUSt_chr4.45105 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGYSGFDSGPNHVDPDRVFALVASRRPGDLAGYLASIPGATEDAQCRLANSAGLALLDPTLRSSWPPQPDEDSWIQYLAQYARTQALMSRLCAPHMIGNPCNAASAAGLGPDLVLDQLSSSNLWVPGAVFPPVPADSNDETAFAQLSLNQSGSGTEEVAPYELMQQMMPLQELEQNNHDQPGSLASPNLVICHSDMPVATVLQGNGSPRSSGTSSAPPLATQASHHSACASYICNSIRGLRYALRRMLMLRVKPQSSPSHHRFCTSIPGGTVKQLWNTAIRRRRSIRSSISNLKSVELTTSYA >cds.KYUSt_chr2.1880 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11228372:11232470:-1 gene:KYUSg_chr2.1880 transcript:KYUSt_chr2.1880 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASPVVVAEYIAEIEKARRDLRALIASKSCAPIMLRLAWHDAGTYDKATNTGGPNGSIRLPEELRHAANAGLNIAVDLLEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFIPGRKDSSVCPEEGRLPDAKQGASHLRDVFYRMGLTDKDIVALSGGHTLGKARRDRSGFEGAWTKEPLKFDNSYFIELLKGDSDGLLKLPTDKVLVDHPAFRPYVEKYAKDEDAFFRDYAESHKKLSELGFTPSRATLLAWKSRDKAKRVVTTTTAVFAVAVAVIACAYICETKKKLEMDSDDEMMVTLLLEEEDVVAAERKKRLNSSHRCLCLRAKLLHRHIFGSVMGKRKNIDRKRLAGARMLEDDCLKDGATHPPKTFRLRFRMNKKTFQIIVQGVREYDTYFQRKKYCTGLYAFTSEQKCTTTLRMAVERAFGALQARFPIVRYPVMNACVIMYNIIIESKRTDPLSTYMNFQCQRPLAVVDHQVSVEFSAFIGMH >cds.KYUSt_chr7.11101 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68247590:68251720:1 gene:KYUSg_chr7.11101 transcript:KYUSt_chr7.11101 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVVDNEASGKFLSKDSIEEHREEHDALADVAHSGESEVINPSEEVGGEATSESEVIQPQGSQSRSPKVTKSPRPSPRSGDKSQARKSTPNSPYTKAPIARVSDPDLVDSSSNNDDADVKKKAETSSFRPVAKESSPLEDSKEKKKAQKASSQHVVKKEEESNSERIKPQRVGSTPSYGFAFKCNERAEKRREFYSKLEEKIHAQELEKNNLQAKSKETEEAELKQLRKSLKFKAAPMPSFYKDPPPPKVELKKVPTTRARSPKLGRSKNTTSAATEASTNPSSRPARLSLDQRASQNSTKTAPAANAVKKPQRKSLPKLPSEQTGSVDIAASISSAEQLEISMSTADPIRVPIRAQVTPDEQALNE >cds.KYUSt_chr3.38890 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244969532:244971673:-1 gene:KYUSg_chr3.38890 transcript:KYUSt_chr3.38890 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRPGLVATSSSFYPGFEQDPAALSLCSLQVLGEDASSMPFCPLLFPLTPTPAAPAASRSQRNCILRRRFRVETKAGMGSNGFFDSTNFFGAEEDGPNLSPFLRKLFKEWDDRKARGLFHHDITACETKVLPGEHNFVATLIEGRDQKKRPTEFGMNQVIQPFDGGKFNFTKVRPEEVIFRFQETDDASARYFDGASHTVSASPSAILINVSPIGYCHSLLIPRIQECLPQRVDQESFLLAMYVAREARNAFFRVGYNSLGAFATINHLHFQAYYLKVQYPVEKAPIEKLTVLRNGVSISQLVQYPVSGFVFEGGTGMEDLSQVVSNACIFLQENNTPFNVLISESGRRVFLLLQCYAEKQVLGKASQEFLDMRINPAVWELSGHLVLKRRKDYEEASEATLCRFLVEASLSGAEFQELKRLVLEFLASASPEE >cds.KYUSt_chr3.16568 pep primary_assembly:MPB_Lper_Kyuss_1697:3:101506648:101507019:1 gene:KYUSg_chr3.16568 transcript:KYUSt_chr3.16568 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGRRTGPGGGGGSGDASASMAPGGGRRILRTQIAGNLGESPGLARSPRADPDHTTAAPRRVRLPAPVRAEATTTVAGMWRGRMMWPEVQPFSSVGDLSDHLPELLVAGDIPELQLPTTT >cds.KYUSt_chr4.10904 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66218792:66220045:-1 gene:KYUSg_chr4.10904 transcript:KYUSt_chr4.10904 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSPSPSRLVPQLLVALLQRRRFDATLRPRATFRGFCPSSIAASLAAIPRLLLPRSAGRLCPQRPFPSPSSSYHRRVVAALTLAFLNWSHSGANPLTEAPLRAAALSLARAHALPALFGLLRAHAPLVSTAALTDVIRVLGEEGLPRQALAAFHRARQLRCSPDAQCYNTLIAALCRNGRFQEARFLLDQMERPGARCRPDPYTYTVLISWYCKIGVGTGCRKAARRRIYEAGRLFRRMGEKGLQPDVVTYNCYINGLCKTYRVERAHQVFDEMVSKGCTPNRVTYNSFVRYYSAVNQVDKAVEWMRKMVARGHGVATSSTYTPLIHSLYESGRVGDARQFMIEMAESGHVPREHTYKLVKDAIEEAGEEALPADLCRSIDDGIKERFQHVMRMKPIMRPVTRLSRTNIELVQT >cds.KYUSt_chr3.11810 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70386639:70386842:1 gene:KYUSg_chr3.11810 transcript:KYUSt_chr3.11810 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAVLILDTPVNVELLLARDVSTSRPEDDGIVDFGVQLAEASSGVELVGVEVLSARTPAMDELNLA >cds.KYUSt_chr6.26955 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170919684:170924202:-1 gene:KYUSg_chr6.26955 transcript:KYUSt_chr6.26955 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRYPHMLLILILLHAANAALDEPVDKWKTLGGTPPLVIARGGFSGLFPESSPAAYQFAISTALPGVILHCDLQLSSDAKGFCRSGVRLDKSTLIEDIYPNRDKTYKIGPEDVHAWFSVDFTEAELLNVTACLAHTKGNALLPIAKPLLATETELNGPYAQAGLKRNPAAKNAGKFWSLSEFLDFAKTSNVSGVLIEIEDAPYLATRGLGVVDAISSALVNASYDKESHQQRVLIQSDDSSVLSVFKKFPKFERVFVIDPVISDASKPSIDEIKEFAHTVMVSRGALVRAHGFFLTGFNDMLVGKIHDANLTLHVGVLKNEFMNIGFDYFADPMVEIVTYYMGLVCDGIVTEFPATAAAYFRSPCSDLTKNMSYSILAANPGGLEKMVPLGALPPALPPAPVLEPADVIDPPLPPVAVSSPPESTPEGDEDASAASSNAANCLLVAGIAAFLYLSSH >cds.KYUSt_chr4.54374 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336029297:336030028:1 gene:KYUSg_chr4.54374 transcript:KYUSt_chr4.54374 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYKMVAAAAPPQHSVRSTVRLDGGDAVDGPSATSPIHVDRTHSGAGRRRPGFNLFSGTASAALFASFALCLVLICAYSYVARRRTISGTRRRFLGRVRDLDLVPGRLSRRANSEPPLPRVATAVAKTLSSPAVPAADSSSGPAGDCPVCLEPLRGAGDVTAAHACGHVFHEPCIEPWLDRRDSCPVCRCTVSCRRDDPANEAPIAYAGGGARHLRGTVVVVIAAETTAWSPGSYDDEMLRR >cds.KYUSt_scaffold_869.230 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1390014:1392902:1 gene:KYUSg_scaffold_869.230 transcript:KYUSt_scaffold_869.230 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLLFTSAMAIIALFPIAAEGAGLKVGFYSKSCPSAENLVQQAVASAVKNNSGIAAGLIRLHFHDCFVKGCDGSVLIDSTANNTAEKDAIPNKPSLRGFEVIDAAKKAIEAKCPKTVSCADILAFAARDSIALAGNVTYKVPAGRRDGRISTDQNALSNLPSPLSTASELVGNFTLKNLTAEDMVVLSGAHTIGVSHCSSFTNRLYGFSNTSQVDPTMSSAYAFLLKNICPANSSRFFPNTTNDMDIITPTVLDNKYYVGLTNNLGLFTSDQALLTNSTLKASVDAFVKSEKTWKSKFVKSMVKMGDIEVLTGTQGEIRLSCRVINKGSVGLELNNVPNFGEFAEIATS >cds.KYUSt_chr2.29160 pep primary_assembly:MPB_Lper_Kyuss_1697:2:179281621:179284495:1 gene:KYUSg_chr2.29160 transcript:KYUSt_chr2.29160 gene_biotype:protein_coding transcript_biotype:protein_coding MKARQFLNVVQRFGRGGLYTVSRIKADEQLFYGSTAEAQESITSAASKLNMSSPSCTFAQPWMDSMPRKPTPRLSFEPYRSDYSRLDFLPFYGRGSSGHGRILCMDSAGHTILCDADVRSVQPVPCLNEPKGDSPVSFSLTSTHTTDPKRAEALYILGRSASSHNSFNFEVLIYGDKTWHWVRLPPPPYVNDPVYDSTFIQSYTLLGDGSTICISSPPEHSPVGTYCFDTASRKWEKAGRWVLPINGRAEHVPELCNLWFGMAENSPHNLCALDLSNLDGAPKLLHEWQDLDPPEGWVQIRGSLLYLGAGRFCITKIFNIADQDTEDSTNGIAAVLTGVEVVPGGSSELQMIKHKSFVSYDDIHCDAGTRLVVHGRVAVRAGVIACNHPLPPLFKDALQFSLLTNHLVAAASPLPDLILAAPTMLSSSSRKIAAANGFGRGSLTVAEAWALYNARYPVPPDMRAASGGGRKMAVNGIGVPPPPKPRTDQWRDAIKARRAQLTAEERLDPMWAANNNDAWWTTYFKAKYDVEMHNTDGLVGGPNSWNKDGRALFWGVPGRTLENVIRGIRNGAPRTGAIDAVIVLPVGALHRPQTGGEGGAGDAHQHEAWRQPAAAREARRRPPHPEARGEGGAGGSIAGGAAGGVRAQQRLIASSDDPEDCPGLRGVFLASMNDKDAWRGDLDAAIAMSIRDSGKPLVDLSDDGKAGPSGLVKDEPVDEPIDERVK >cds.KYUSt_chr4.38250 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235876695:235881655:1 gene:KYUSg_chr4.38250 transcript:KYUSt_chr4.38250 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAALFSLSAAAVVEDVLREHGCRLSDRDLASRRTGEAAARRNEAAGWLRRAVGAVAGRDLPEEPSEEEFRLGLRNGQILCSALNRVHPGAVQKVVTADSVDGAALSAFQYFENVRNFLVAAQDIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGLWKFGGNLKPSASGKSLVRKNSEPFRRCQSMSEGEQPPYEEAGFSGDAHLDHGDMSRSRPLKMLVSAVLSDKRPDEVPQLLESMLTKLVDEFENRLTTQNDLVKAALKNTTDSTKSFSKSKVLVEATTPNFSGRKMDTSDIYSKHKQTKKGTSVEVTLKQHSIVQQQSKHVEELKADLQTTKAGVEFIQMKYSEDLNLLGRHLFSLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRIRPFLPGQVSSSTVGCIDDGNITIITPSKSGKEGRKSFSFNKVFGPSSTQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTFTMSGPKNITEQTQGVNYRALGDLFTLADKRKGTFVYDIAVQMIEIYNEQVRDLLSNDEIRNNSQNGINVPDASLVRVASTIDVIELMNIGHKNRAVGATALNDRSSRSHSCLTVHVQGKDLTSGNIIRGCMHLVDLAGSERVDKSEVIGERLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAVGETISTLKFAERVSTVELGAARLNKESGEVKELKEQISRLKTALSMKESGLEQNFTRHSEVVNTKTPSPVFRRQGSCDLLSSQTNFRQPMEDVGNIEARPNPALRQKKPSFDLQDLLPSNDSPSWPDSNSRLNFQMGEERETVCGDWVDKVVVNNNHSLGDWQGDNAALPDFFYQRYHSGLRDEQQRPRFCSTNTDDSDDIDVATSDSSESDALWQFNVQSMNTPVIQNGSKIKKPQTKIRDTSDTR >cds.KYUSt_chr3.23336 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144321952:144322521:-1 gene:KYUSg_chr3.23336 transcript:KYUSt_chr3.23336 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHRRSTHAAWRLHAAQPRQLPAMPPLNEPRPAPPSLASSWPNQPRPRPELAPLRCRPASPAPGRTSPGPAPSSPRSAAAAQPRQLPAEPAQAPPRARPAPLPPPRPESSSPSTPTRARGMIRRGGGARPGGVRAGGGAALELRAYALRRYRDVVKMLRDYMPSCGTAYASSLSSCSSGSGDIAAAA >cds.KYUSt_chr2.38723 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240010072:240014833:-1 gene:KYUSg_chr2.38723 transcript:KYUSt_chr2.38723 gene_biotype:protein_coding transcript_biotype:protein_coding MHANAPHTLGLHVPPPAFPRRRERLRRRSAAAVRAAGATSAAPQRETDPRKRVVITGMGLASVFGNEVDAFYDRLLAGESGVGTIDRFDPGSFPTRFAGQIRGFSSEGYIDGKNDRRLDDCIRYCILSGKKALENAGLATGSDAHGKLDVGKAGVLVGTGMGGLTAFSDAIQNLTEKGYKRISPFFIPYSITNMGSALLAMDVGFMGPNYSISTACATSNFCFYAAANHIRRGDADIIVAGGTEAAILPIGLGGFVACRALSQRNDDPATASRPWDTGRDGFVMGEGAGVLVMESLEHAMKRGAPIVAEYLGGAVNCDAYHLTDPRSDGMGVSSCITKSLQDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFKNTSEIKINSTKSMIGHCLGAAGGLEAIATIKSITTGWVHPTINQFVSPWSMARTYIRLKTLIVGELVLNGQDFDQA >cds.KYUSt_chr4.45375 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280904614:280908698:-1 gene:KYUSg_chr4.45375 transcript:KYUSt_chr4.45375 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVATGIPATTKVKIFIGQVNLEASGPRCGGLGTSELNMRGLTAGGCPGRVNNPPWGRPRSSSPCSASIAGGDRRTALPPILDARDLIAAILESCWKIKTEQTNTADHVVETMGAGGFRVLHLVRPFLGFLPEVQSADRRIPFREKLIYTVISLFIFLVCSQLPLYGIHSTTGSDPFYWLRAILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVGQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHMLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSRNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKFSGNFLVNLIGIWKESEYSGHSIPVGGLAYYVTAPSSLADIVANPFHALFYVVFMLSACALFSKTWIEVSGSSARDVARQLREQQMVMPGHRESNLERELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGM >cds.KYUSt_chr5.1473 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9978340:9982283:1 gene:KYUSg_chr5.1473 transcript:KYUSt_chr5.1473 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAALVFAGKSVATPAISFLINKAFSYIDEYFKSKDMDEVKNRLLQAMPQIQAVLDVVSPEHVRGQSSALDAWLWQLRDAVEAAEDAIDELEYYELEEKAKHQRVSDWGSPFGKMKHKFVKSVKSGPIFRKNNHGDSFKRLMKSVDGLDKAAAGVISFLNLTDHLSGGSSASSQQQVQKLVDNSRQTGSTLSATIFVGREKEKEQIAGWLANPSVESGETGVTMTKSIPIISVVGHGGMGKTTLAQSICEQEEVLKHFKVIWITVSTSFDATSVTSKILECATGIKPRSDHLEPLQRDLIEKLKSINFLLVLDDVWEDKRRDEWEKLFAPLRKLNTRSKILLTTRMQSVADMAAKVMGVKGDQCLTLQGLEEDKNLELFTHHAFSGFGPGDSIYLKLTGEQIAKKLRGCPLVTKVVGEHLQSNMSLEYWSRFLDQGLEHFRGTEDDIMKVLRLSYYHLPTKLQICFRYCCIFPQDYEFQKKELVQLWIGSGLISEPASDTQTLVNTAEHFLAQLTRKSFFDLKSVAIGWEQFEHYVMHDLMHELARNVSTGECARIDDPVQLNYEKDTLRHLCIVNIHSFSADEVKKISHFKNIRTIIIFSIYRNRRQVENDIAGALEMVIESSKSLRLLHSELWNTFCLADKLGNLKHLRYIYLHKISAGTICGVAKLYHLLVLQCGSGLETETYEVRYLGDLEGLRYVSYGVRGFGNFCISRLTSLQELHDYQVGGKICNKISGIRNFRDLRELSVGGLDNVNYEEAKDAKLKEKQLLNSLYLEWSTPDQIMTDDLVLDHLEPHVNIRKLLIRGYEGPTIPSWIENRSVKNLVSFTLIRCINWEYLPSLGEFVLLKNLVLFELPKLRQIGRSSGVSSSTSTELLLPQSLHTLQVSGCQNLRELPILPASLGYFSIQDVWLTKLPMIGKISSQGIESKSSNLTNIFVMGCPYLTSLKGSLLEQKLYMGALRVLRIEDCTQLESASIPFEEMKELELLTIYRCPKLRMLRDAKDMLMPPSLRELTIAFCGDMEVPLFGPGQLLTNLSDLKLENCSSLVSLPSADVFRSLGSLQYMYIEGCENLSSLGGLGSLPSRIRLSISECNKLAQAAESSLTRVTCGSGSGGEEEHLEPNSSLQIHSLNIDLPSLLLVEPLQSLCHTEDLRISNGSEMESLPERWLLQNRRSLQHVSIYADSLKSLPPSMQDLCTLEDLDLRGAGQLQSLPHLPSSLKKLDLSGCHPELEKKITEHGSPEWNKIAHVPFVRIGDMYFVMGKKSSQEAAFESLYRIGTK >cds.KYUSt_chr2.40594 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252186920:252192685:-1 gene:KYUSg_chr2.40594 transcript:KYUSt_chr2.40594 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQEKATACCLPPRDAAGARRAIAVAGKVSMAGGGGGERVVSAAGGGAVMEDIGAAVQPTTAKASSKGIPIMTRAQRFHPLDPLSAAEIAVAVATVRAAGKSPEERDSMRFVEAVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSPVIPSRLPPRRAKLVVYNRRSNETTIWIVELSEVHAATRGGHHRGKVISSEVIPDVQPAMDAMEYAECEATVKNYPPFIEAMKKRGVDDMELVMVDAWCAGYYSEADAPSRRLARPLIFCLTESDSPMENGYARPVEGIHVVVDMQNSVVIEFEDRKFVPLPPPDHLRNYTPGETRGGVDRSDLKPLIIDQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPSEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGHVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEIKLTGILSLGALMPGETRKYGTTIAPSLYAPVHQHFFIARMDMAVDCKPNEAHNQVVEVNVKIENAGTHNVHNNAFYAEEKILKSELQAMRDCDPSSARHWIVRNTRAVNRTGQPTGYRLVPGSNCLPFALPEAKFLRRAGFLKHNLWVTPYKSDEKFPGGEFPNQNPRLHEGLPTWVQKDRPLEETDIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPGTSDAADVKEADSPKVIQNGVVSKL >cds.KYUSt_chr6.10540 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65239339:65240780:-1 gene:KYUSg_chr6.10540 transcript:KYUSt_chr6.10540 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVKKLLLLVLCGYHCLPARADYKVLATGSQKAGVVCGEPLVTPSSSSGATVQLSHRYGPCSPVASTDGPSIRELLRRDQLRAEYIERKFSSGNDELEQSAAVTVPTNLGEALDTLEYVITVGIGSPAVKQTMIIDTGSDVSWVQCKPCPVPPCHAPNGSLFDPAKSTTYVPFSCSSAPCAQLGGDGNGCSRSQCQYIVRYGDGSNTTGTYGSDTLALAATSTVSKFQFGCSHNEDGFDDQTDGLMGLGGDVESLVSQTAATYGKAFSYCLPATTSTPGFLTLGAPNSTSGFVTTPMLRSKTAPTFYRLLLEDIRVDGSPLGISPTVFTAGSVMDSGTVITRLPTRAYSALRSAFRARMTKYPKAPPLSPFDTCYDFTGLDNVTIPSVALVFGGGAVVDLDANGIILEDCLAFAGTSGLSLIGNVQQRTFEVLHNVGQSVFGFRSAAC >cds.KYUSt_chr2.6962 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43460317:43460664:-1 gene:KYUSg_chr2.6962 transcript:KYUSt_chr2.6962 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTMVTGGIGSPRPSFPWEYQQLVLYAIAGAVLAWCAVRALEWAWWRPRRLERALRSQGLRGTAYRSLAGDALLLVRLSKEARSQTMPLGCHDIVPRAMPLHHQTMKEHGACST >cds.KYUSt_chr7.36827 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230121721:230122779:1 gene:KYUSg_chr7.36827 transcript:KYUSt_chr7.36827 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPSNFHGSLRPKQLQIDALKMEEDTRVPPSTDHGGSRDFPGANPAKKPQRHLGDAFKKGAVPEAAAIAGLGQLPAGQQPRQGISPGLVASSYFVPKIGPPSSTLPTNTSPLPGAAAPGTPPAPTTAQLQHHHGQGLPRQPAATPRPPTPGADPQARIHHGRTLGAAAPHGLAPPPSRRPAAGSNAARPPRRPPPRPPATHRIGPAEPPPAATHRAAARRPPPRPPAPPAGAPLPRRPRGRSSRATPPPTPATGAAATPTDSPARATARRPLPTFGDRARRHRGDGRRQQRQGAVAAAGSSLAALGLAPEPPRSSDASGRIYSSFYHFVCERRCSKPNIGHALIVGSSYK >cds.KYUSt_chr1.29773 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180255822:180258703:-1 gene:KYUSg_chr1.29773 transcript:KYUSt_chr1.29773 gene_biotype:protein_coding transcript_biotype:protein_coding MFQYPQYTYSFCVELFRQRKVSAKHGTHKNVGRLVEPKAVVFECGANCSCHCKCVNRTSQQGLQYRLEVFKTELKGWGVRTWDTILPGGLVCEYTGVLRRNAEAEGLQDNKYIFDIDCLQTIKGLDGRKQRSGSELHMASLQDEHDPEASQAPEYCIDAGSVGNIARNSHMTTGIVWTVSLVQMAMLSS >cds.KYUSt_chr7.36362 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227240379:227241527:-1 gene:KYUSg_chr7.36362 transcript:KYUSt_chr7.36362 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQAQGQLVQEVAADGGLRTLPSRYVLKKEQDRPIATVTTAQPPELSIPTVDVSRLADADPDEAAKLRSALQSWGLFAVTGHGMQEPFLDAVLGASRTFFHLPTEAKQQYSNVVDADDGGRKFQPQGYGVDRVDTDEQVLDWCDRLYLQVQPEEERKLRFWPAHPPELSELLHEFSVHSQKVARLVLAAMARSLDFEEGFFLDKVGERMPSDARFTYYPPCPRPDLVHGLKPHTDNSVVTVLLLDEHVGGLQVFLEEGGGWVDVPVLGGGRHQLLVVVGEEMEIMSNAAFRAPVHRVVAPGEATERVSLAVFYQPEPHRVLEPSPELVDGERPAMYKRLEAKVFANGFWDAFALGERTIDFLKVKVDVAGDQPAAVAVSGA >cds.KYUSt_chr3.15472 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94758713:94759783:-1 gene:KYUSg_chr3.15472 transcript:KYUSt_chr3.15472 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVPELSRVDLSQDDFALVLAAPPDLTRLSISDIITDYGEAFSPRSFPFVLAADPSGLLLITDSYGVAKDPLGADRPIYFVWDASLVHTHRIRGHQESVNHSGNVGFIVTPSGRRDHDLTVVELLPVSAGESITILCYDSKSRIWTKKTLPFPMPYYPWSSATVFSHDGKLWWVDLRHGILSCDPFADDDPQAVLFVPFPDGAAALLRRGGDISKHRCVNLSDGKLRFVEMAGSTRVPRVVAWTLVDPEACLWELHYDVKLREIWADESYERTGLPMKRPVLAGVHPADPAVVYFFNMGKLFGVNLGTNKVDQCSVAFQHASTDPTVLDIDEESSRFLLTWDLPPSLTSSSGYY >cds.KYUSt_chr4.54858 pep primary_assembly:MPB_Lper_Kyuss_1697:4:338792872:338795301:-1 gene:KYUSg_chr4.54858 transcript:KYUSt_chr4.54858 gene_biotype:protein_coding transcript_biotype:protein_coding METLQHHQQEQEVEARLPACPPWLQTAIADIEQRVRALAVSLPDDAAAAATDHSFAERAENYYHRRPQLLALLTDLHHRYLYLADRYSQSLLAKSHTHHLSVVHAAVSECSSDVDDRSSDAGSSLSFQPHHSANDDHQHRRHPVAPGADVELVVAELVMAWVHRDVLAHEAERRNAEAARKIDLQGSLLEVLESERLVLLGENARLGFRAAAAEEEAAGAAAELGYARRRAAEMARLVVKLREDHRVCMLGRKIEALQAQVYGLEMRNRECYEAMAAWEAERKASANEIDRLRSENRRLAAEAQAAREREAARRGKKGGAGGGWWWLARVRLATEWTPCAPASVTVRKVGEQIKGGNGGAKYNGGCFCL >cds.KYUSt_chr6.941 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5937771:5938268:1 gene:KYUSg_chr6.941 transcript:KYUSt_chr6.941 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSRPSGPVPSIPNYGCASPNRVKLAATVGARSPGKAVSVSSRKQASSAAANSRQSCMCSPTNHPGSFRCSKHKGRKESAPGHSKPAFLSSSGSKLGTKRMDSALVFGCAVESGTWARRALAPSPSQQSQSRRRTAGGFRPRPSRLSSVSFAGDRAGDNRQ >cds.KYUSt_chr7.2522 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14755425:14759853:-1 gene:KYUSg_chr7.2522 transcript:KYUSt_chr7.2522 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWIEAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSVFSDQPLLAAFNSGGAGTSVSAPVSPVSSPTESRKLEKTFSLCRSRHDSVKSSDNTVTEHIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFIVAIFGVVAGVFGMNFETDVFSIQNAFQWVLIITGVIGAFLFCFFVWFFKYKRLMPL >cds.KYUSt_chr1.5005 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30830893:30831834:-1 gene:KYUSg_chr1.5005 transcript:KYUSt_chr1.5005 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDMDGIIQRLLDAEHSSPEETPPLSNTEIKLLCFAATKVLLSQPTLLRLDAPINICGDIHGQYSDLLRIFGTTGSPSDTNRYLFLGDYVDRGSRSIETICLLLAYKVKYPDAFFLLRGNHECSSINCSYGFLDECKKRGLGHKLWNIINGCFGYLPLAALVGKKIFCVHGGLSPELESMDQIRGVKRPLPQVPTEGLVCDLLWSDPDAADEWGWGESKRDRSHTFGSDVVAEFVEKHGLAMVCRAHEVKQGGYEWFADRKLVTVFSAPNYTGQCDNAGAVMTVNHDLTCSFHILQPTLLQLLTDDLHDSS >cds.KYUSt_chr4.24367 pep primary_assembly:MPB_Lper_Kyuss_1697:4:153409325:153415835:1 gene:KYUSg_chr4.24367 transcript:KYUSt_chr4.24367 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEREAVAAAAVVEDVLRMHGEGFSGGVGGGGEVVVMGRNIDMAWRKAEVAAVRRNEAASWLRRTVGVVCARDLAEDPSEEEFRVGLRSGIVLCNAVNKIQPGTVPKVVEVHSVSTVPADGSALCAYQYFENVRNFLTGLQDLGLPTFEVSDLEKGGQGVRVVDCVLALKSFAETKHLGKQSLFKHGGIVKPSMSAKCCVRKNEPFMKAMTRSHSAELLRDGVSLEQTLGLDCSLEPTETITSDSIRMLVQTTLSDKKPEEVPLLVESLLTKVIQEFERRMANQNDLMDEEDMDKEENNSVTNHVKMEEDKNSVTNNVEIEEDQNSVSTTGEVSASVPESCDDVEKRIQAKAEIHFELQQKHIQDLKRNISTVKSGIEQFKSQYSEDLAKLGNHLHIISHAASGYHKVQEENRKLYNQIQDLRGNIRVYCRVRPFHPGKESASSSVAGIEDRTITVMTAAKHAKDARKSFTFNRVFGPLATQAEVFADMQPLIRSVLDGYNVCIFAYGQTGSGKTFTMSGPKILTEEGLGVNYRALNDLFHIQAQRKDTFSYEISVQMLEIYNEQVRDLLHSEIRNSSQKGIAVPDANIVPVTSTSDVVDLMNLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDVASGTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLAALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFIHIAPEPDAIGESISTLKFAERVATVELGAAKTNKEGGEVKELKEQIACLKAALASKDGENENIRSSHSSPDILRDIKIGHTSPASGYPMEEVGCVETQSNCTPRQTKPSFELSDVHVESDPSLWIDACNGDNTHLRSSNSLPELGPDATHDFALYQRSSPEQQCSWAGSVATEDSDDCEVATTCSSEQDSVRPASAPKASAFANGSGSAAKKAQIKSVKSTDIRGTNPAKKISPSLKKANGAAHVPIKNGKQPALGGVDGRKTPNGKVSTKKEESVGTRVRQVAVWTLEWISGCPVFAPRRVT >cds.KYUSt_chr5.39626 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250726202:250727065:1 gene:KYUSg_chr5.39626 transcript:KYUSt_chr5.39626 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEDFLDVLLRLREEGTAGLELTDDSIKSLVKDMIFAGTETTSITLEWAMAELIQNPRAMAKLQDEVARVSNGNPTTEEDDLHRMEYLKAVLKESLRLHPPAPLLVPHESTAAAVVQGYEIPAKTALFINAWAIGRDPAVWGDAAKEFQPERFLDGGGVASIDLRGNDYQLLPFGAGRRVCPAISFALPALEIALASLVGHFDWELPIGTRLDMSEAPGLTTPPLAPLRLLPKCKTLV >cds.KYUSt_chr5.4835 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30662791:30666485:1 gene:KYUSg_chr5.4835 transcript:KYUSt_chr5.4835 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAASADEEACMFALQLASSSILPMTLKNAIELGLLEILVAAGGKSLTPTEVAAKLPSATNPEAPDMVDRMLRLLASYNVVTCLVEEGKDGRLSRSYGAAPVCKFLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKVYGMSAFEYNGTDLRFNRVFNQAMNNHSIIITKKLLELYHGFQGLGTLVDVAGGVGATVAAIAAHYPSIKGVNFDLPHVISEALPFPGVTHVGGDMFKEVPSGDAILMKWILHDWSDQHCATLLKNCYDALPAHGKVVLIECILPVNPEAKPSSQGVFHVDMIMLAHSPGGRERYEREFEALARGAGFAGVKSTYIYATTWAIEFTKKKKAKKKESYVFRCSRPFFGPTFGTWYLSAASVHDCYLFFVAFCGLFARLCPRFADFVYVGLPLYIDFCGLFTRLCPHFTDSVYVGSPLFTDFRGLFPRLWPCFADFVSLGLPLYIDFCGLFTRLCPRFADFVYVGLPLDTSPTYL >cds.KYUSt_chr4.49356 pep primary_assembly:MPB_Lper_Kyuss_1697:4:305677878:305678204:-1 gene:KYUSg_chr4.49356 transcript:KYUSt_chr4.49356 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGVRRWSIPELDTSARSKKTGIKQIIAMAARGILGPNPLYSSQSGSSHATGLHRPPDPPEPGRQIEGDPGRHEHEHDEEASQQRRARQIESIEKEAQQIGCRRTQ >cds.KYUSt_chr1.24592 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147060548:147061873:1 gene:KYUSg_chr1.24592 transcript:KYUSt_chr1.24592 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKTLGLTDSSLPNPVPPSPPQSSSLLLPNRGGAPVPGLLQEHVAEEAGSAGPRAAAGRRSAGSDGPRPVHGCRRADAASVVAAVAPPGDSRSRASSCDEEVGGCRLGSTGGSGYQRRAAAHQRVPRTGEASWMKVFREGQTGWGRVVPVRCSCRGSGELKGGGGRCRSFDGSGWTGRDWQRVGADGLEGADGEQGKGAGEGHDMTGAGGRLSMMLSYPARVGAVRADCAAQGRH >cds.KYUSt_chr1.23270 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138124782:138125590:1 gene:KYUSg_chr1.23270 transcript:KYUSt_chr1.23270 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGGLKLLGLTVSPFVLRVRMALQLKGIGYEYIEQDLFTKGELLLVSNPVHKKVPVLIHDGKPVCESLAIVHYVDEVWAATGPSILPADPYERADARFWAAYADSKLLPAWVGIMWAATAEERAEKVGDTLTAIGHLEEAFGKCSNGKAFFGGDSVGYLDLVLGSQLLWFEALQKMFGVVVIEEGKAPLLAAWVKRFGQTDTEKEVVPDVDTAVQYLKKLQSHRPGSTVAQLLS >cds.KYUSt_chr4.34306 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210509383:210511119:1 gene:KYUSg_chr4.34306 transcript:KYUSt_chr4.34306 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLLLSVALPLISTSPQAWITPTGPVSSQYIFFVGLYMVAIGYGAQKPCILSFGADQFDDTDEVERTKKSSFFNWYYFTINAASLISGTIIVWVQDHEGWVWGFTISTAFVALGACTLFFGSATYRFQKPGGSPLARVCQVLVVATRNFDKDLPCDSTALYEFPGQGSAIQGSRKLEHTDGLNFFDRAAIVTPSGCESPRQLSTWKICTVTQVEELKILIRMLPIWSTVILYSTVQEHMFSTFIEQGMVIEKHFGSFEIPAASFQSVEVVTALLLIPFYGRVLVAVFRNFTGRTNGITPLQRMGVGLFFSMLSMMSAAVVENNRLRIAQDEGLVHRQVAVPMSILWQGPQYMLLGAGQVFTNIGLSEFFYGESPDSMRSLCMAFSFANIAAGNYLNSFILSLVPVFTARGDIPGWIPGNLNEGHLDRFYLMMAGLSFLNLLAFMFCATRYTNVKRLHI >cds.KYUSt_chr5.16631 pep primary_assembly:MPB_Lper_Kyuss_1697:5:106968872:106971872:1 gene:KYUSg_chr5.16631 transcript:KYUSt_chr5.16631 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWWVDEHRRQRVERDALYHERRESIERRRRESIESQQQLAVEERQQREAALAAIWGRRADQLAAEADVLAAAMMEAPTDVEEEESEAEETEVEDDDEFEWSDDDGPHPDETTDQQRALVESFESEKKLQDDARAREEAQIRRAVELSLQAAQQGRAEEDARLERRRLATAQRKERRRAQEELRHCMTVAILHMDVKEAASKVEHSLMVAEAVLQASSVFAAFDKDGDGKVSAAELRGSMTVALGEDVSEEEAAAILATVDADGDGLLDQEEFSKLGAAASHGGAHGDEAGDDEVRRRCLKEAFTMYAAEGGDEGARITPASLMRMLGKLLESSAEKMELEECRAMICRFDLDGDGVLSFDEFMVMMMGNA >cds.KYUSt_chr1.23638 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140790443:140793213:1 gene:KYUSg_chr1.23638 transcript:KYUSt_chr1.23638 gene_biotype:protein_coding transcript_biotype:protein_coding MDISGGGGGGGAAGGAAQIQGMATHGGRYVLYNVYGNLFEVASKYAPPIRPIGRGAYGIVCAAVSSDTGEEVAIKKIGNAFDNHIDAKRTLREIKLLRHMDHENILAIKDLIRPPRRDDFKDVYIVTELMDTDLHQIIRSNQSLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTSETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIITRQPLFPGRDYIQQLKLITELIGSPDDSSLGFLRSDNARRYMKQLPQYPRQDFRLRFRNMSDGAVDLLERMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPTSFSFDFEQPSFTEEHMKELIWRETLAFNPDPPY >cds.KYUSt_chr3.13017 pep primary_assembly:MPB_Lper_Kyuss_1697:3:78182900:78185856:-1 gene:KYUSg_chr3.13017 transcript:KYUSt_chr3.13017 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIQRADPLVVGRVIGDVVDPFVRRVPLRVGYASRDVANGCELRPSAIADQPRVEVGGPDMRTFYTLVMVDPDAPSPSDPSLREYLHWLVTDIPATTGVSFGTEVVCYESPRPVLGIHRLVFLLFQQLGRQTVYAPGWRQNFSTRDFAELYNLGSPVAAVYFNCQRESGTGGRRM >cds.KYUSt_chr7.33334 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207993683:207994333:1 gene:KYUSg_chr7.33334 transcript:KYUSt_chr7.33334 gene_biotype:protein_coding transcript_biotype:protein_coding MSPELASVAPPEFPANATPLELTPTTPLMSRKRLGITDVARSTIEAAKTTSKSFEATENAGKSSLMATVASQDDAREVIDEQSHYQGLDELNISGDEEVASNEKADDDYLDGEEEATEDAEEEETVWWRRRPRARVKARTRTRTRSRARGPLTIVTKKM >cds.KYUSt_chr1.37334 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228097722:228098057:1 gene:KYUSg_chr1.37334 transcript:KYUSt_chr1.37334 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGATAVGIASIARPRPQLRVARAKTFRCAYSEKGVKACSAMNLSLALLKHMQAMEQRRSSRPCSPGLVKERTDTEDTRIRRLSRALNPESQWLIACLVGYIFFNPRRR >cds.KYUSt_chr5.32905 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208635838:208639242:1 gene:KYUSg_chr5.32905 transcript:KYUSt_chr5.32905 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYSKSPMRYRELRKLSHAACFRACQSDEAYHRLEMVLKAPDDSMESTSGQNESIRFGPVLPLTEQTVPGDLGKVLDPLCVQGRGNMDANKAHQEMENKIQHERQMVDDNIKKERAALDKFIREEREKMVADLKVVYGEIDKNMQLQREEMNKQLKIQRAAMNQNLKRAHDKMDSKIKVQRAKMDFSTMQARADMDKNLLCVRKRWITTSGCNKSAQKQR >cds.KYUSt_chr7.25936 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161991535:161996542:-1 gene:KYUSg_chr7.25936 transcript:KYUSt_chr7.25936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Moco containing protein (Moco containing protein(OsMCP)) [Source: Projected from Oryza sativa (Os08g0530400)] MPGLTAPTDYAEEPPRHPDLRINSKEPFNAEPHRSALVSSYITPVDFFYKRNHGPIPKVDDISRYSVSINGLVNKHIQLSMSDIRLLPKYNVTATLQCAGNKRTAMSKVRKVRGVGWDVSALGNATWGGAKLSDVLELVGIPKLSSVTTLGGKHVEFVSVDKCKEEKGGPYKASIPLKQATDPDADVLLAYEMNGEIINRDHGYPLRVVVPGVIGARSVKWLDSISIIKEECQGFFMQKDYKMFPPTVDWDNIDWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYAVSGGGRGIERVDISVDGGISLIGAKMKLEVAS >cds.KYUSt_chr6.29536 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187210176:187210536:-1 gene:KYUSg_chr6.29536 transcript:KYUSt_chr6.29536 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSHLIILIPSARGASPSCKNKLGRKVRVPRAGTFDSEPPVEESSQGNTLPPDAASSKNANRKCDGASTEKLQMLPAVNSLKRNLTACRPFSLL >cds.KYUSt_chr5.17917 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115851381:115857416:1 gene:KYUSg_chr5.17917 transcript:KYUSt_chr5.17917 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHTILHNDYFADEATHADNFRRRYRMSKGLFMNILHDVRESDPYFKLKHDADGDTRHTGDGTVDANGLPAVKTSTGNWRACFFVLGIEFSECLAFFAISKNLVTYLTSVLQESNVDAARNVPTWIGTTFFSPLVGAFLADTYWGRYKTIVVFLSVYAVGMLVLTVSAALPWMLQSSNQVRRVAVYLGLYLTALGNGGIKPCTSAFGADQFDVSDPAERMRKGSFFNWYYFSINVGSLLSTTVLVWVQDNVGWGVGFAVPLLLMSLGFVVFLAGRSVYRYKRPGERSPMARVAQVVVAATRNCRLDLPDDCSALHQLPAPSEAAFQVQHTNQFRFLDKAAIVPPPTLDKKGQWRLCTVSQVEEVKKLLRLCPVWASLVVFFMATSQMSSTLIEQGMAMDNRVGSFAVPPASMASFDVLATLVLIPVYDAVLVPLARRATGEDRGLSQPRRIGVGLGLSALAMAYSALLERKRRIAAGAVSIVWQAPAYGVLGAGEVFAVIGMLELFYDRAPDGMRSMCTALAQLAVAVGNYLNTAALGAVAFAGWIPEDLDKGHLDYFYWVMAVLGALNLLQFLLYSSVPAMRHNSGSTTS >cds.KYUSt_chr5.6155 pep primary_assembly:MPB_Lper_Kyuss_1697:5:37974420:37982558:1 gene:KYUSg_chr5.6155 transcript:KYUSt_chr5.6155 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDGGGGFVRADQIDLKTLDEQLERHLARAWTMDKPPQPQPRGEQAPPERREDWEADPARLVVRGVIARGTFGTVHRGLYDGIDVAVKLLDWGEDGHRSEQEITAVRASFSQEVSVWHKLDHPNVTKFIGAIMGARDLNIQTENGNIGMPSNVCCVIVEYLAGGALKTFLIKNRRRKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARHEAANPSDMTGETGTLGYMAPEVLNGNPYNRKCDVYSYGICLWEVYCCDMPYADLSFSEVTSAVVRQNLRPEIPRCCPSSFANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQPGGAIMGARDLNIQTENGNIGMPSNVCCVIVEYLAGGALKTFLIKNRRRKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARHEAANPSDMTGETGTLGYMAPEVLNGNPYNRKWDVYSYGICLWEVYCCDMPYADLSFSEVTSAVVRQNLRPEIPRCCPSSFANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQPGGLGCLSCFRKHRGP >cds.KYUSt_chr5.30943 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195867989:195869945:-1 gene:KYUSg_chr5.30943 transcript:KYUSt_chr5.30943 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRAQSHLQIPRPRPHLPQPTVPLPLPPPQKLSKTAVPNSKKPTVGQSLQETNRTAAAGTFTMVRLSNTMIGVLNAITFLLSVPILAGGIWLRQRADGTECERYLAAPIIAVGVFLMLVSIAGLVGACCRVTCLLWFYLVAMFLLIVVLLGFTVFAFVVTNKGAGDAVSDRGIREYRLGDYSNWLQKRVESSKNWNKIRGCLADSKVCRSLEDKQDTLSRFLRNDVSPIQSGCCKPPASCGFTFSNSTQWTGTSNSTDPDCATWGNDAATLCYGCQSCKAGVVATVRRDWKRIAILNIIFLVFIIIVYSVGCCAFRNNRRDHHNGYKQGGYA >cds.KYUSt_chr4.52939 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328279061:328279438:-1 gene:KYUSg_chr4.52939 transcript:KYUSt_chr4.52939 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLALFLALNLVLLAAVAHGCTPYCPTPPILPPPSTSHCSIGTLKLQVCANVLNLVKLKLGVPANEQCCPLLKGLADLDAAVCLCTAIKANVLGLKLNVPVDLNLLLNKCGKTCPENFTCPL >cds.KYUSt_chr1.41026 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251624619:251629231:1 gene:KYUSg_chr1.41026 transcript:KYUSt_chr1.41026 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPVRPATVGGPMLNEIFEAVHEGNLPRFKVLVMLLDMGRGRLREAVEALRVEDEGLMKGLCALHIAAIRGRLQFCSYLVEDLGVDANVADEHGDAQSKSLSDGKSSSRSPTNSDA >cds.KYUSt_chr3.41461 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261523765:261526126:1 gene:KYUSg_chr3.41461 transcript:KYUSt_chr3.41461 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWKEGAGWCFCSGGGGGRSERVKAAIFSAKAAALAAVCAHGGTGLLIHRNLLLTTHGNLPSAAAAEDAHALLGHARVPARLVPHRFFITSSILDLTIVGVDSAENESTLQAQQPHYLKTCCKPSLDHGSVVYLLGHTGKKELVIGDGKVVIGTDNLIKLSTDGVTWCPGSAGFDAQGNLAFMICDPMKLASSPAARSSSASSSSSHSSKKDQPMQFGIPISVVCDWLYQHWQGSLDEVTKPKLPLVRLMSSRSDHSTSSFTRRNVFKPADDENDDASVTSKVTSKPKYQQGSGSSANAKISHDANPLVDLRRNSEQGISTPEIYESPRGSSCQGQQGPAPIQLLDINFPPRVPKTIFLPLPLKQMLSDENNVETSKPRNPSRENCFPSGLIWHRNGEADSRDPPVARLEEDCSSEGQSSSSPAERMEYRNHRDQYSSEEETMYSAETMESRNIPSPREKHVGRSQSCVNYSRWNSPRKPSVQNGTLRKQHTLIPVRKTHSQSTSLPQRSHDYLSPTVSSAMKKRNSMEQQQPPKPRRIIVNSSPKWMF >cds.KYUSt_chr5.9055 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57515534:57516685:1 gene:KYUSg_chr5.9055 transcript:KYUSt_chr5.9055 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAATCTTEKLTVSRCSTHMDKGAHLFEITGYSLKKGMGVGKFVRSATFTVGGYDWSIRFYPEGMHYAPEECMMITLELMSSNAEVRTVFDFGLVRHDSGLLGTKFITQTRAFSSETDATSQYSVLIVRCGVEALPSNYIQNDVLMIKSVITVIKESEESETVWRPEIEDPPSDILEHLAKLLEAKEKADVTFSVGGETFQAHKTVLAMRSPVFEAELFGPLRETCVTIQDMQPAVFKALLHFIYTDSLPDLDDFEGEDKCEMHRHLLVAADRYAMDRLKMICQNILCKNLDVENVATTLALADQHNCDKLKDVCVEFIASSDKMDDVVATQGYADLKRSCPSVLVDAFEKRSRSRKDLKVGPVSDYGSMLWMEHNSINRN >cds.KYUSt_chr4.22853 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143705110:143712305:-1 gene:KYUSg_chr4.22853 transcript:KYUSt_chr4.22853 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDADAGEAATAPAVAGTGAAAGGGGGGGAAARGVIRWDEILPRRSLRVLLVEHDDSTRQVVTALLRNQIGFPLACLLNWGASSREVTPVAAVADAMKAWEVMRGRAYAFDLVLTEVNMPTLSGINLLSRIVAVDECRNIPVIMMSSQDSIGTVLKCMQNGAVDFLVKPVRKNELRNLWQHVWRRHSMNSQLNASENNAASNHISVNSGTGSKTGENSDEESDAQSSGSKRETEIQSAEKLPEIVKDNGASSSRELTMQNGPFDRINTKSHTVKGTDDAPSINACATSKPQVFSTEKNVRSKYLNGITSAKVAGQIMDNALRVADSSSCRPSDPGKVRLPTAQPTTSKKCKSPVTKNSAVNAVVENTLPENLKGAAISHAESVPSPSLEITLGKQQPLNGYMTQELKDKDNFNHSNSSAFSRYGNKRIEPSAKPLFPPSLHLTFQEPVHDKNVQPGGVLPSSHEHNTYKGATQAQAPLDSSTEAVFIPCSSSVREDAGTSSSSPRKETSSHPPYGFIPVPIPVGAAIPYHYGAIMQPIYYPQAPFMQCDPSGINHMAIQHASYHSNYHQNLSKPPDIHEHRQLEENQQLHHSRQILQGSGEPVDLSRAHAEHANQSASCSQDIRRGSGWTVSGETDTDTNTIIAPESGIQNFGINGLDSDRSRREAALLKFRMKRKERCFEKKVRYHSRKKLAEQRPRIKGQFCAGGRRRRLSGSGGRGWAGCEHAVRQQVRDVSNDMHVVASAITSGAVDIHAIDSLAADLDPPAAHASAPAAVPVADPPATAVVIINGRVRPVPAGDGEVPAFAEEQRRIVVVVFFSLRSVLALLVATVPAIVVQHQPVLLLLPLRQHQEPRRRRVDRLRRRDPHAAPARRRLLEVIRFGS >cds.KYUSt_chr5.20221 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131416172:131420273:1 gene:KYUSg_chr5.20221 transcript:KYUSt_chr5.20221 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAIGLVRPCAARPSLRNPTRLPPARAALRLSAAATAPRAGLVAGLGRIGLVPASPDHERKSGRLVVAAAAASGKAAEEPAEEGGAALGKTLQLGVFFGLWYLFNIYFNIYNKQVLKVFPYPINITTVQFAVGTVISLFLWITGILKRPKISGAQLLAILPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAMFLGELPTPWVILSLLPIVGGVALASISEASFNWAGFLAAMASNVTFQSRNVLSKKLMLKKEASLDNINLFSIITVMSFFLLAPVTLLTEGVKVTPTFLQSAGLNLQQVYTRSLIAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFKTPVSPINSIGTAIALAGVFLYSQLKRLQPKPKAA >cds.KYUSt_chr4.44877 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277804423:277810846:1 gene:KYUSg_chr4.44877 transcript:KYUSt_chr4.44877 gene_biotype:protein_coding transcript_biotype:protein_coding MASDIDSLASRLAPDSDPDPDNLPLAARRGRLLRPPPAPRAEPSPPTPDRCEVGQAPEGARGAAQQSWPGLPRGVQFNPSDSDLLWHLAAEVGKGLAHRHPFISEFIKSGDEDGGFGCTHPQDMPGTKQDGHASYFFHKKVNLCNDKNGKFISWRKAGASISIILDGTLQGFKEVFVLYAFKNSDNSPQRTDWELHQYHMKNTIEDEGELVVSKIFYNSQSNHCERAAKAPVQSAQLLFIILREFSVVDLTEDDSVTDNDSKEEKEDARLESHSVSMASESHVNNQELMLVEKYPDQARHDVLGSADMDHENQTKNQDETELDHMSLQERYMILLAKKHSYPARLSAETCAVTDLDNSAMQMNVETSGPVPKKIMKEQPAGKIYVACYRFRYVSPNHLAHDCRFGSALTVHKVSSAPSITDSMDADNNVRLISEIPLDTQISSVECFVDGKKVPYDPVEDAEGSVNDKTLNSTAELLSSQTLARGDKNSHLAVRNCGTYLVDVKMEPALEGYAICPSESSFVNSHHSNNDDLKDSDSVPECMSKDSVTDSAHAEGSLPSVVVKSELTGDSSEKVLEEDAYKNDEGVAYPSKRRRKKKTATDSIETALEEDAPGLLQMLLDKGIVVNEIKLYDVGEEDEMLPDCTESDFQDLENVIAKSRYLQFRDCPVEWGWCRDLQSFIFVFRSHNRLVLERPEYGYATYFFEIAQSAPIEWQIRRLVTAMKLSNCGRTALIEDRPLLVGEDLSEGEARVLEEYGWIPSTGLGTMLNYRDRVNHDRWNEKYSTDWRMKIGKLLMRGYSEGQCIITHVPINTMPEDYSEEIKLEDPC >cds.KYUSt_chr4.32837 pep primary_assembly:MPB_Lper_Kyuss_1697:4:201379839:201381332:1 gene:KYUSg_chr4.32837 transcript:KYUSt_chr4.32837 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGIRSLYLRLLREDGRRRLARHCYHGAGGSRRFYRTLRELRRFIGRRHSERSRRDFRSPRRHQQVAPTAPNRLRAGPAAPLLETDGNVDPALASPPPMKLPPLVSHFPDAPRVQVRADDALAFVSAATGASSFVFGSGTATVDAVALMRPPSPTLEAPPSPRADVRRRLAALVLPLSSPASASPSSSRGRRSETWSPAALELSNSRADGAAPGTRFPGDSSDEGIRGRSTSGRRLQVDKSTECPHVPASSACALSRSLKVRIQNHPYASLVWKKKKESITPSADSATYSVYSYSTSTDQAALASSLSTATLRKLPSSTSICTGRNPSPPATASDLLHLRPELLRVHGAVALESREQAAVEPRELVGP >cds.KYUSt_scaffold_3611.245 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:1360393:1366475:-1 gene:KYUSg_scaffold_3611.245 transcript:KYUSt_scaffold_3611.245 gene_biotype:protein_coding transcript_biotype:protein_coding MALGALGATRASSGRLFSSNGGYGWMQGSKGGPGDMMAGCGGERREGMVAMVTMAGMAMACMLGQLLLGFLYCQYKHRRRELRFTSYRAGREKTSRYLVRVQCNCNSSDRSSFAMAMDEELLTEGHWALQLDIGEEITLNPGDNHAIWVTDVFLVDPESANGQGAIAACVETRTRNIILAELSSEVTDVELLAPLVLDKEFCFYVAREEGESEADTNADADVVVVQFEGFVLPLPSPDTDEGKKEGEEAAEEESHGEVEPNVPEATTAENKRDCSTYQNHAAETLRPTPPSTFTSFRCVDSPGRAAESPSATPATRAAPSDAWNRAAVAAAAKDPSHPVWPHVGSVDPVAASIRSPAPSMVHPTTPTDQQNPFDVSHQQLAADETESPVEIERGVDMGERGEGNGDELDYDQSSKKRREKYRSSIFVFGRKRRRVQAA >cds.KYUSt_chr6.6272 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37879269:37887495:1 gene:KYUSg_chr6.6272 transcript:KYUSt_chr6.6272 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDVEAGLLAHLSTNAEIADSRSFASSLGVPHKELEDVIKSLAAFRIVQSADITKETWVLTDEARGYAARGSPEVQLVAAIPPEGASKAALKEKLGDVVFDIGMKAAARNKWIGFQKGNKDLVLRMVEDTKDELQEQLRRLENGEGVANKVIDDLKKRKLVTKEKTIWYSLKKGPEFVLKRKTLATDVTREHLKSGDWKDLEFKDYNYGALGQPIPTGYVNPLLEVRESIQNIFIEMGFIEMPTNMFVESSFWNFDALFQPQQHPARDSHDTFFLKAPSTTTQLPNDYLEKVKQIHQSGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSARMLYKIAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLKGVLEDFFSRLGMSQLRFKPAYNPYTEPSMEIFSYHDGLKKWVEIGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKSDVPLGDVVAWLWVASPTDGKAQPQFADAVSMVLDNDDLLLEIMIRLGLPTNIVRAILVCKRWFLVASDPLFLRWFRLFHPPRLLGFYVATRSATSPALLRPRFVPMPPDQLPQELAAAVRMAESYSLDAYDEDMTCIYDLQNDTMLVSGFSGNHTDGKRCAIEVHWPMSPHRGVVALPVIPYDQFDGTSGTAAHFLMKDEGGRNRLAYIWLSMGLLFKGKGEYSLKYKAHIYVLQEDGQWRFRSSAAAVLPPPKSNSRPLLVGTKIYLEHTTSIVALDLKTSSFSTIPLPEGMERYNYEDMILSAAYDSGIFLIHLDVDLKLCIWLHNGNWHLLDVVNLPEMFETLGMTGWTADNEPATLLQTTQMGDFLEFVFLKLGQCALCYDTRRRVLRKVYEVTQEDQTLEHLHPFMMTWPPKFPALKGDCLARFALN >cds.KYUSt_chr5.15316 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99029002:99029873:-1 gene:KYUSg_chr5.15316 transcript:KYUSt_chr5.15316 gene_biotype:protein_coding transcript_biotype:protein_coding MYAQSWSSDRALDQLAQHHLDLPAMDHQQEEKVQLLGMWASPYVYKVKWALSIKGVEYEYVEEDLRNKSSQLLEHNPVHKKVPVLVYNGKPVAESDVIIEFIEDAWKGHGGRILPEDPHERAMARFWVRFVPDKLSPRIWKWFTTAGGEEQDAAREASVEQLQVLEEKLTLGGNEFFGGDSVGLVDLSLGALAYVIPMYEEIIGERLVTEDMFPSLYAWMGRFLAAPPVKDHLPPMEELKLRFRAMREYFVKNSA >cds.KYUSt_chr1.10687 pep primary_assembly:MPB_Lper_Kyuss_1697:1:65395619:65398157:-1 gene:KYUSg_chr1.10687 transcript:KYUSt_chr1.10687 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVAASSIHSKMATALFHANGVARMSLSCQFHLMASIVSCVVDGWVYRGLSLVVFGSRLPDPIGRIWYALCLVVLADLLWWQELCLGRMMELGISRNKAVFPIFWRLVELVLLRGARRSPVTLNVRFPRWKLGAACRSSEAFFNKRIGGLLCCWSSLLLLSILAGRGGEENGWLAGALCSDGGGLGVRDTVTAWSSSSVARAWLPTLDAGGQQLHGLAPVLRQVFFNLPWRPCVGLATELSLSTSPSGLVPGAGGDDRRLKPKIVGGDGGPDCFFYFLFRVYSVKAKGLIRFVLFTEVLYVICTTPLLV >cds.KYUSt_chr1.5676 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35064588:35067351:1 gene:KYUSg_chr1.5676 transcript:KYUSt_chr1.5676 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTPVKASKNVELKKQSAAVLPIANGHGSKKEAVNGEDTGHDVKCTSTWICRNLACKAVITSEDSFCKSYWRRQLVVAKEARRVDILCHRIYVSYRLLKGTSCHKELHDIIENAKAKLECEVGPLDGMSAKMARGIVSRLSGGSDILKLCSLAIQRADEWLSSPDLHLRDSLPAACRFRFEDIASSSLVIILKETKVASSDTIKDDGILGHSEAKCYTGSNELLTNRATQNAMAICLQKQRRDGNQASKSSGFRIRDVGRILRRAWAEEGYFEDVYEGSCDRSATEAEQQEDSEQGHMLSGACRRLQFNTFSVPDLNVEAPMPMDIDSSPDKCHDLNNGLLKSNGSGGSEACAAARSAELPAVESRPGGKAKQRHDVHNASCEQDGVLAICRQKQLQKRPTELDEDYEHCVKVIRSLECEGHIETDFRMKFLTWLSLRSTENEHRVVSTFIKTLNKEPSCLAEQLVDSFGEIVNCKRPKTGLCNELCHLDKR >cds.KYUSt_chr7.498 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2738659:2742318:-1 gene:KYUSg_chr7.498 transcript:KYUSt_chr7.498 gene_biotype:protein_coding transcript_biotype:protein_coding METTQKLEAHPQHQLLLLLNRDEFHSRPTKAVGWWGEGSIKILGGRDVLGGGTWMGSTKDGRLAFLTNVLEPDAIPGARTRGDLPLRFLQGTKGPLEVASEVANEADEYNGFNLILADLTSNVMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAIRLGKNFRELLRKHGDEEVVAKDVVERLMTDTIRADKDMLPNTGCDPDWEHGLSSIFIQVQTDEGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVHYQIE >cds.KYUSt_contig_1948.73 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:445225:445617:1 gene:KYUSg_contig_1948.73 transcript:KYUSt_contig_1948.73 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAHRCRCTDSAIRCPSTVPGCFFACFCVHVFHHLLPWSACLRCVFLRRYPFSGRLEVPKVTSGCGLVWFVLVACLVSASPFDHGVGTPVSSPQSRLYDALRLCLVLVLQSSSPPLARLPSHSDCPLRC >cds.KYUSt_chr5.31634 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200450420:200453598:1 gene:KYUSg_chr5.31634 transcript:KYUSt_chr5.31634 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFDRRSGVGGASATAATAAAIGRLNRRHSRRPGRHSRSTRRLHRRHSHSTRPLHLLNLEHRRRRARRTLPRMPTGLGSYRSSSCSTATRSSTTARSSTAARSSRRFSSSMFEVAGENDYHSEDCGEPVPRANPQQQQALEEIVAGAESALGLVRGLVRPRGEDDAPVENEQQSSASSEESTVKQDPKSHGWTKRLKVGKVPLQRPPCPGRMGALEQTVKKFAEDPSDDVIQPTLGMSFDDLNRTAHLTCNLGTLFGLLHGPTVNPGNGCYRNRSVRGVGQVCSSRARDRYETRYGPIPPISAIVGG >cds.KYUSt_chr2.11314 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71920321:71926085:1 gene:KYUSg_chr2.11314 transcript:KYUSt_chr2.11314 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGRPSLPSAAAAGASGADEPRDARVIRELLRSMGLTEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKVQLDADDVRLAIQAKVNFSFSQPPPREVLLELARSRNKIPLPKSIAPPGSIPLPPEQDTLLSQNYQLLPPLKPPTQVEETEDDNEEANTTPSNPNPSYSQDQRVNEQHQPLPHTQSQRVSFQLNAVAAAAAKRPRMTIDQLNMG >cds.KYUSt_chr1.22490 pep primary_assembly:MPB_Lper_Kyuss_1697:1:132966241:132982736:-1 gene:KYUSg_chr1.22490 transcript:KYUSt_chr1.22490 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGPVAEMLSPGEADWPPELRLPPPPPMPDPAPPNRPAPPAPSPAPRVTAWLQAPAASPPKAEPSFDDSHFLGSMMDAAAAPLNQQPPPPPPQPAAPAEPVVVKRKRGRPRKVRDGDAAAAPAPPKPVVKKADEEVVCFICFDGGSLVVCDRRGCSKVYHPACIKRDESFFRLRGKWNCETTLQSDLSCFLMPGWHICSSCEKAVQFMCYTCTYSLCKGCVNEGKYFGVRGNKGFCDTCYATILLIESKDEDATKVKVDFDDKNSWEYLFKLYWLELKGKHLLTLEELISAKSSWTVPSISARRVKDESSGEQYDANYDHDASSDSASRKRTRGASVRTRGRKRQSHGVVTARKCEISVKGSESLTKVVPVEGMDLSGDSKWASSELLEFVGHMRNGDKSRISQFDVQVLLLEYIKQSNLRDSRRKSQIICDERLSSLFRKPCVGHFEMLKLLDMHFHVKETPTVNDDSQRPRDPDSAHVDSGGYSEMATKLSSDKRRKTNKKLERDPPANVEDYAAIDVHNINLIYLRRSLMEEIIDDVASFSEKITGAFVRIRISGAGQKQDMYRLVKVVGTHKVSERYSVGKKMTDRALEISNLDKKEVITMDTISNQDFTEVLEECKRLRQSMKYGLIPRLKVGDICEKAKMFQSLQINDWLKNEKQRLSHLRDRASETGRRKEYPFNIACLSYYMFNFCGGGEECVEKLQLLNTPEERERRINEIPEVHVDPHMDPNYESAEEWNDKTTVDWTINRNGSDLHFPGRKGAESNSVQFPPQKCSDASRQTSLNPPTEGITHKPGVGSNLNLQSANGWNISRPRIDLNNTAGEAASVSSSGVVSSDVEPEKVWHYKDPSGNVQGPFTLSQLSKWTTYFPGDMKIWLTFESEENSLLLTEVLSKQQKDSTQPTPVVNSNKSTWAGPGKDSSNSSMAENITSPVGYNVVYSSGLPSQSADLYHGRENPKFLGEALPARTDFGPLKNYSCTIPSSDGSYGPPGSHGDGVPREKSGEHNNHQETSGMWSPTTPQKIHSNHITMKPDPGVCTTQKQLQNDSKGNSLSGFVENLNTPKVFGSQKVPIPTPPTAHDEIQSSIASANPGSCSPMNPSQSGAPFTCTSSSSKTEETMNQRKTCPPDALNVSVNQLPGPKIDPVLSPHTQDQCPSPTPKLERKQTSMNKSRSTSVAPKDSAMKASDHSSIPFVSEISGPPSSKIFGLQSLKETQTSRVEEKDLKDGGSVAQTEQLKGEAASAKIQNGIVSSVSDVEAIAVSDVLGSSTVQHRGTYNMYGATPSENIMPASAEEERPQCSSPIALSPWGEPGYYQGEAVDSALWGVQDDPSSDMWSLSSPTPALQPSSDLGAHEKDTSCIIEAVIVAQGNSAVVQMPTSEGEKTEKGNPSASTACGVPEQVKLKQNAAWSSSFKSTEASGEQTLGSSLEGSKEASGRQPSHSSLEGMTKASGRQPSSSFLERILERSPKASGGQQAGSFVERSAKASGGQQAGSFVERSTKASGWQPWDSSLDGSAKASGWGSPLEGSTKASGWGSSLEGSTKSSGWGSSVEGSTKASGWLRSSSSPEGRKTPGWLSSARESSKVNSTSASLNRNSSSSHQTTAPTVKSSSETPRRQGNTNSNSSGWGEAPGNKSWHPSSGNASRGSKSSQHHDSASTELWRIIENGYSQRDPNKLTQRELVDDQLNATAINMIHMAVTPKDHAHIRSLKTAKEAWDKLDKLFLGNESIQSS >cds.KYUSt_chr7.18434 pep primary_assembly:MPB_Lper_Kyuss_1697:7:114185095:114187152:1 gene:KYUSg_chr7.18434 transcript:KYUSt_chr7.18434 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDARGLEEQEAAAAEVAWELQEAAAALAVRCAADEDALRRSAVALDGELRRLQGSVAALEPAVLDKTGERTPCSATSIPGPSTWSGSQPGFLWPIPSLNLHAFVDSSMAFAESFNNAKGLEGLSRDSI >cds.KYUSt_chr3.26853 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167543874:167549428:-1 gene:KYUSg_chr3.26853 transcript:KYUSt_chr3.26853 gene_biotype:protein_coding transcript_biotype:protein_coding MQREGSVKDWAEFDPSPSPKMAYSQSYVALRGLLTSVVSLDLVMISSSLKSAWATISSNKHARSLERPKSKGMGWKKAMFNIFVFFMIGVFIGFTPLFSADLSKKMPSEKEMLPFEGDVIDRRQMVERQGTKLEPFVADAEAEVIDEQQAEESPPVPPMLDDEADFVEASSIVHSANDSDIVARKLLLIVTATTVRPHQAYYLNRLIHVLKSVPPPLLWIVAEWPYQSRETVEILRSSGIMYRHIVCNRNATNIRKIIVCQKNNAVFHIKKHHLDGIVHFADEERAYSVDLFEEMRKIRRFGTWPVATHDGSKYRVALEGPLCKWRWSFAKAKYGQFAQNGTCPATHPAGHALFLAALVLAPPAVAYRPEAAGNTPGTGTLAEARPTEATVCTPTTVSTPGEVTPTEAAVCTPAAASTPAEATITEAAVCTSTAASTPAEATRPEAAVCTSTTSPPTRQQGKQSSQHGQLCYFPYKYELHHLSEHDWLPSHRSCWAH >cds.KYUSt_chr7.23463 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146129707:146131953:1 gene:KYUSg_chr7.23463 transcript:KYUSt_chr7.23463 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIAATARRLLAPARRRALWSASWSADPEASAEPSPSTSLDKKKKPPPSSSQDRLGDVRDAVNERKLPPELRGRPNAVSACSPEKQDVVVRQQRPKGDREKRCLFMFTRKLQWRYQLAGGWAARWKRPKLLPPELVESIQWHKRTTFLVLLELRGNWGRETKMRGRVTGGGRFFSLLVQEPPPSLSQDEPI >cds.KYUSt_chr3.35150 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220642692:220643750:1 gene:KYUSg_chr3.35150 transcript:KYUSt_chr3.35150 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTIPLLTPYKMGLFDLSHRVVLAPLTRQRAYGGVPQPHAAVYYAQRASPGGFLISEGTRIAAGSPAAAAWEEQEPSSSFNDVPGIWAQEHVEAWRPVVDAVHAKGAVFFCQLWHVAGDVVRQRPQQVSPQMSFDGRREELSSPRRVAAEDAPGVVDGFRRAARNAIDAGFDGVEILGANGYFVDKEGQGVNSLESRCRFALEVVDAVAREVGGHHVGVRLDQFDATADEHALALHVVSLLSDRGVLYCHMIEPRVDGRRRVSRRLLPFREAFGGTFIASGGYGRDEGDAAVGEGYADLVAYGRLFLANPDLPRRFELGAPLNECDAATFYGAGAGAADHTVGYTDYPFLD >cds.KYUSt_chr3.6290 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36073951:36077461:-1 gene:KYUSg_chr3.6290 transcript:KYUSt_chr3.6290 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPPRRRPRERWMRWSHARGGGRPCGSSKMAPCLPREVRRRGCSASSAPETSRSCGAGRRPETLPANVAPLAPEGHRQGVEVPKDGTERPQKVDAKDEVEAAQVDVGARDDEVLVADGEGYVLGHPMAVKAVTVGHGHAEACNFAFKGYFKTLFGYDKEKDGKWKWLAGNVASGSAAGATTSSLLYHLDYARTRLATDSIESRQNKRQFRGLLDVYRKTLKTDGIPGLYRGFSVSIVGITLYRGLYFGIYDTMKPLVLVGPLEGNFLASFALGWSITTFSGACAYPFDTLRRRMMLTSLQPFKYRNAFHAVKHIVATEGFFTLFRGVGANILSGMAGAGVLSGYDQLQRLAGQHDYKFESKMKQGVLK >cds.KYUSt_chr2.26747 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163772851:163776281:1 gene:KYUSg_chr2.26747 transcript:KYUSt_chr2.26747 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTFQFQAFEWPTRFPAPAPARAEDRFLSEKFNGHNQFQQEFASNKEKKFNLKAADLNVVPEEAGRHDEWRAHECEPDEAPHLVRPPRHSASAAGDVQRLVGLGRVGESRDEVLARPAARERMHIAKGAREERIATIPLALAGAVAADFPLAAGRPHRRQVLHGRLDEDEMECGARWRREVEARGGELGVAAAVGSSLSLTIAANQTPSSLQSSRGHRASPRGLRPSPPVSVAIPVLAGCPNPWSTPLLVVLRILIEVAVHELEGMYYLVDSGYPNRDGYLAPYKGQTYHLPEFRLRRKPTGKEEVFNHAHSSLRNVIERCFGVLKQKWHILRDVPQYKIASQTMIISACMTLHNFIRDSKLRDKDFDKCDADKNYIPAAGRATPLLGDDVALAVDENTMNTTRERIANSLMAGRQT >cds.KYUSt_contig_2549.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000380.1:108016:108441:-1 gene:KYUSg_contig_2549.14 transcript:KYUSt_contig_2549.14 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKPSVNHFASLDRNDPGDKRLSDLDANTGTPALSLTQELFGNAYPSARDYIIGRNQLEKQAKAMKHAAKVQANGAGAKRGGYNNGSSRQQQQQPGMSGGYRNGASRRQQPVAAQGMETPLAPTPPPSLYDINEFPSLN >cds.KYUSt_chr4.25899 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162893653:162907464:1 gene:KYUSg_chr4.25899 transcript:KYUSt_chr4.25899 gene_biotype:protein_coding transcript_biotype:protein_coding MEHWIAVKNILKYLKRTKDMFLCYGGDQELIVTSYTDASWNTDPDDSKSQSGYVFILNGAAVSWASSKQCTVAKSSTESEYIAASEATSEAVWMKRFIVELGVVPSALDPLVIYCDNMGAIANAQEPMSHKRLKHIKLRYHSTREYIEDGEVKICKVHTDLNVADPLTKALPRAKHDQHQNAMDVRVPRPDLARRLIVYDRALHLPPCSSLYPHRRRLKPTPPPPPPSPTPRLSRVDGIALPPRQDIDFEKMEGKAHDIEVLTRRVEELQRERDELRKDIEQLCMQQSGPGYVSVATRMLSQRATALEQDIESLQKKLGGCLRENHNLQEELAEAYRVKSQLADLYGAELSKTKELEQQVRFFQSSVAKAFAERDNSLLECEKAKEREEARLKMSAVFEERTKEYQSAMEDQKRLNDVLQMELTELKAHTESSLNVILKFYEVRCRECECPSNISFEEKCSILLDDSAENWSFSSDGETSTSKYIASLEEENESLKAKIVKLQSNLRMGFEIEQHLQRNARALEKKQALNDDLMRNGLSALKNVYTNQRVEIMKILEEESLQLSTVVNQIQDKLTQIRIHAENIGNPVGEMQCCDSSCKDVHVTTDIGPDTSPKDDVPAGRSATFDDSKWLAQALQEKMEALMLFSQEQERYLLESQKNQAIIEDLQKNLSQVKDEKVKALMELAKLKETYLLKCKSTAIDGHGTVDTPKITSAHDQQGMLKNILNRTSLRQWIKKENSNTGHGSADGNGRTVSKECSLDLARIKVENATLLESIATMERLTSLVHRLHNVLMKVYDDIKSDSCLESTYEALNSLITEANLMKTALGVVLPVSWSGDSSGAITSDNLHDSSDSPKSSKSEKADPLSSASMEMVELLILAADIVKESFMLKR >cds.KYUSt_chr2.28590 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175444023:175447497:-1 gene:KYUSg_chr2.28590 transcript:KYUSt_chr2.28590 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVGEASPPPGPAAAPAPSSRDMAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLQDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSIQVPDSDIGYHFGSLLDSNEGVDVILNVGGERFHAHKLVLAARSSVFRSKLFDDELDGEKNEVDQSEDLKEIVIDDIEPKVFKAMLHFIYRDSLVDDCELDASSSGGSIFDTLAAKLLAAADKYDLARLKLLCESYLCKGISVVSVASTLALADSHHAMELKTVCLKFAAENLSAVIRTEGFDYLKDNCPSLQSEILRTVAGCEEPCSSGGKSQSVWGQLSDGGDTSGRRVRPRI >cds.KYUSt_chr7.23457 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146100087:146101644:-1 gene:KYUSg_chr7.23457 transcript:KYUSt_chr7.23457 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVDARRVCGMPEKAQLHVAMLALQFGYAGFHVVSRLALNMGISKLVFPVYRNIIALMLLVPFAYFLEKKDRPQLTLNFVVQFFFLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAVTFAMAAALRIEKVRLDRRDGVAKVVGTLACVAGASVITLYKGPTIFGPAGAGDDKALQQVPFLAAVAGEGKNWTLGCVYLIGHCLSWSGWIVLQGPVLKKYPAKLSVTSYTCFFGVIQFLIIAAFFERDADAWVFHSGSEIFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASITLGEKFYLGGVIGAALIITGLYFVLWGKSEEKARNTKEAIIAAANSSGGGEREIRSTKLASSITQPLLPPSSTTSDNV >cds.KYUSt_chr2.33854 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209203069:209203787:1 gene:KYUSg_chr2.33854 transcript:KYUSt_chr2.33854 gene_biotype:protein_coding transcript_biotype:protein_coding MITSFLPLPSLLSTTTSGRSLPPRATLPFPRTPFRHRQIRTSVSTESSSEGEEERGSTNGSLLGLPPVEEEEDEFCPVECVTEFKTDEEFTRFLERSKATGALVVVDFFRASCGSCKYIEQGFMKLCKGSVDDDSPVVFLKHNVIDEYDEQSEVADRLRIKVVPLFHFYKDGVLVESFATRDKERIIAAIAKYTSPE >cds.KYUSt_chr7.18158 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112677406:112678695:-1 gene:KYUSg_chr7.18158 transcript:KYUSt_chr7.18158 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPTVIKSPPALIPPAGPTPGGKLPLSCIDKTVGGFVHLIQVFPPSASLSAAHGDQGAAAAVAAMRSGFARALVPYYPVAGRLAPSGLEVDCTGEGVLFVEAAAGCTLADVDGLECYPLPISAELLLPRPPPGEKLDGIILMAQATRFTCGGFAVGISFSHAVFDGQGAAQFLTAVGELARGLPTPSVAPVWDRHAIPYPSRPPPPQLRIIREFKFVTQVADISAASIERVKDEFKQAAASTGEGCSTFDAVTAIVFKCRALALAEGLPDGAEVRVGFAAGTRHLLRGVLPTVDGYYGNCVYLACVRRTNRAARESALAELVGTVREEKEAIAAGFADWMRGVRRYEPPLDYSTAILSDWSRLGFDEVDYGFGMPGYIFPHNDLVDYVATLVYVRPPAPKRGGIRVLIGCMEDPHAAMFAAELAKFA >cds.KYUSt_chr5.34779 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220329019:220330023:-1 gene:KYUSg_chr5.34779 transcript:KYUSt_chr5.34779 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKLLRLAATLLSPGTAATSTASAADAQSAVLRATQHHPSTTPPYAHHLDALLAFGRGSRLSAAALATALTDRLRAASTGHGDAAVALKCLVLLRILLARGAFILRDQLLVALARHPASGRNPLALASFPLARSFAASSWVRFSARLLEVLLLLPDASRDGDAEYLAALPNPRLISELAAFASVAAAVRQAPPPSCAPLRNTLIWEAVHLAEEDRVAAERNIAARVREMGERLDTLGLADAAELVCVLRRVEEGAASPPEWKWAGLDEAVVADAARLRERAEEVLLCRTEQDSRLVRRDRAAASASARVVAPALGADVRFGSSRWAATVSSWR >cds.KYUSt_chr4.9132 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54963750:54964165:-1 gene:KYUSg_chr4.9132 transcript:KYUSt_chr4.9132 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDKSAVPAKKIWLAIASRLGLRPTAGLRNLRKEVRTCEYRDVHVMWEMLREMGSPVVPLEEKEAAAAAAVAAAAAARNKKTAWRRFVYYCCAF >cds.KYUSt_chr7.29475 pep primary_assembly:MPB_Lper_Kyuss_1697:7:183426501:183428776:-1 gene:KYUSg_chr7.29475 transcript:KYUSt_chr7.29475 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAYLAARLLRRAASSPRLSTLARRCAHSSATSRPPIALHRLHAAAASSPSGITARRFLASQSPVSSSKISADENLRRVIDSEIECVVESEEGSSQQIDLPEDFPFEIIDNPGDQSIVLKREFAGQTIKATVYTNFDAEEDLNNDDSGAENDEDQYKPAVQMVVTIEKPEGSILEFECNFNDDELAIENMRMLNRDSNDKENLYEGPPFSILDESLQKSLHRYLEVRGIKHSLHDWLTDYMMGKDEKEYVVWLKNMREFIGK >cds.KYUSt_chr4.43584 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270296607:270298235:-1 gene:KYUSg_chr4.43584 transcript:KYUSt_chr4.43584 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMWPKESPTGLRLSYSSLFQSGPYQSGAMSTTHAPSLTSRRADPEPQKFPTMKLRLRSMDARGGGVAETHRVQLPDTATLSAVRDFLAAKLSAAQPVPADSIRLSLNRSHELISPDPAAALAALGLASGDLLYFTLSAQLSQPPPPQALPGNPNPDHTVSTRGQVAAGSRSAAEAAGSSSVPQALHVEPVRSPLPRTLQVEPSLPVASDPPDVEMAEAVAATKSSSSFVIGALKRELEANDTSGAEGTAIHRLVVALHAALIDAGFLAANPLGSRLELPKDWAWGASAMLTVKYTLPELVATLPEAEEGKIVVLNCSLMSNFVMIYACVPGAQSEVRRLCLELPKLAPLLYLDGNGMGSMEEKEILELWRVLNDELCLPLMISLCQLNGLSLPPCLMALPDELKAKVLELVPGVGLARVECTCKELKELAGDDNLWKRKCELELNASSEASRWSKSWKQRFGEAWTRKVGNSKRQYKRTSPRFWDYGWGSSPPSPVNFPVIGGDSDRLPFLNHNVLRGFGNQRRNISPNCNLGGHRQGFP >cds.KYUSt_chr1.36952 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225373974:225375002:1 gene:KYUSg_chr1.36952 transcript:KYUSt_chr1.36952 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDGSLVHPQDYFLCEGRRPSSLPDQPPLNLHRIPVCTIPLVFHLDHGKERTAPRPFDLHAVGILSHGEEFAVAQLCVTKPHRPGRVAADLCVLRSNVNTSDHSWEVEQHLPITYDRVELYQLERWRTDIVVPFDKLLCWVNYSLGAILFCEILEERPAITYLPLPARGKPGESDPHHTHDQTKCRCCCVCTTQDGHELMYINIGHEGTNLVGPLSATTGFTGHILRKAESGRMEWDKIFAIRSNQLWFTDDFPREPLIFPLANVVKPDVIYFLMSEEAMCGVNKVYVVSFDINANAVLILPYIIDDLEGKDADFVQRKSYLLDPFIPSELPRFLGNFLGA >cds.KYUSt_chr4.6450 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38010318:38015511:-1 gene:KYUSg_chr4.6450 transcript:KYUSt_chr4.6450 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVSCNAMAIMFIFFLANADRLISRAVASLVARVVLRAVDSTPPPPRRLAPYVASSHAPAAVDDLLKVDSIDFFDWVLRLVVVDAFVFEFHAEAAYSYMLTTDASHSAEKESSIIIAVTVSEQLHIADNNRIVQFHSNNPIAVYYFRAAGQAGHGAQGRSRSRSRNKWRDEPFIETTWASEKTADDGPHNDCLELGQAGQRSSNGRGSGIGALASGD >cds.KYUSt_chr7.17384 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107636324:107637529:1 gene:KYUSg_chr7.17384 transcript:KYUSt_chr7.17384 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVRIVSRRMIRPEPEPTTSPPETIHLTPWDLRMITVDHIQKGVLLPKPGTAAVEHLASSFARALGRFYPFAGRLVATQGRNDGDGGSPNSLVVSLRCSGEGAEFVHAVAPGVTVADVTAAICVPRVVWSFFPLDGMLGVDAIADSRPVMAAQVTELADGMFVALSLNHGVADGTAFWRFFNTWSEISRLSIDSGGGCEVSAPLPVHRRWFLDGCPVPIPLPFGNVEEIAGQRIGSYPPVQECFLRFSADSVKKLKAKANAEMSGTATATISSLQALLAHLWLAVCRARRLAPDQETLYTLLVGCRGRVDGVPTAYLGNAVAHAAARSPAGEILDGGLGRAAWLLNRAVASFDERGAREKLASWPGEPSFPSMAAFSATAHTSLLTGSSPRFDVYGNDFG >cds.KYUSt_chr1.33635 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204325754:204326011:1 gene:KYUSg_chr1.33635 transcript:KYUSt_chr1.33635 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYRAVAQYRKEGQVSLGDLFFDEPSPSSPTSSYFRLPGDSGRYQLFTQTSSSADSGAVGAARRSPANRRRTLEHGGSR >cds.KYUSt_chr1.36529 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222856811:222859555:1 gene:KYUSg_chr1.36529 transcript:KYUSt_chr1.36529 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAPAIPLPDSGAPPAPLPVAGHQFCAPYVVPLTVTKKALSISDGDFVITDANGAVVLTVKGSIFSIHNRRVLLDAAGLPLLCMQEKVFSMHHRWEVFRGDSTNTGDLLFSVKKSSMIQFKTEMDVFLAGNTAQQVCDFKIKGSYLDRSCAFYLGNSAAMVAQMNRKMTVSSVLLDKDTFAVTVFPHVDYVFIAALVVILDDVHRDKND >cds.KYUSt_chr3.40578 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256011306:256012274:1 gene:KYUSg_chr3.40578 transcript:KYUSt_chr3.40578 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPCRTPARLARRRSGSSIPPTVFPAPSHGRFDPLEDLEVEDGVSVAEEVAWAGLEDDPEVLPINKDLDRDALLKDFWAKIGFPAAASRSWGRNASTVAKAVPRARSSSPPRAAAMPRRTSSSSPTGRRLPRQPVRLKGWKGPLPPKRFTPPAIFGDFLDAAAKGARRTSGDELRPASEAAYPPSVQTEAAGSSRLGPRPCWAKLGRALVGLQRGVRRAGVTTIDHAIIRSSPRRNHVASASYPSTPPPLPPEPRLILSPSAASVGCTPFRRSFADVVASAASGPMAGPPRSVPPGTAPVPPGTAPTSSAPARPQRRQLRR >cds.KYUSt_chr5.41806 pep primary_assembly:MPB_Lper_Kyuss_1697:5:263644898:263653762:1 gene:KYUSg_chr5.41806 transcript:KYUSt_chr5.41806 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTCFRSSAVKRRGEKNAFTMAADPVTMNDRAELPASQKIGFDSSSYALHWGKNPNPSPSEENGFDASSYALHWGKNPNPSPSEENGFDASSYALHWGKNPNPSPSEENGFDASSYALHWGKNPNPSPSEENGFDASSYALHWGKNPNPSPSEENGFDASSYALHWKNANPSPSEENGFDASSYALHWKSANPSSSASLVPEAQTRHWHIKVKTGMLFLKKILHVGTILPEGTMFARADMPKPDSSVSTPLEPKYFATIVSRFKIPYNSMKAKQVAETLHSCVNPIDREEPHVCTSSRKAMARFATTALGSNLTQAALTKIHGHESLTTRIPAREKAKVARSRPSFPPRSLAIKDGGRRLADLTRSAVLSPSTFFGALSSSIAMPRTLHTTWAKLSLAVRARFPRTDEEERQDARWVADDEAIRVAAEGAVKNAEDAELVEAAADGWHEAFDGWYEAAEEGLDPAAEEEVDAVAAADREDAAHDREMAKLNVAIEERLAELTRETREHEASVRTGRRRLGDLIGRKNELVARQAARRLL >cds.KYUSt_chr6.15918 pep primary_assembly:MPB_Lper_Kyuss_1697:6:100119823:100123272:-1 gene:KYUSg_chr6.15918 transcript:KYUSt_chr6.15918 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESSKEAAKQPMEAEAGDPEDPRDLVSSDDEIDYSVEPEFYDPAIDDVDERWAHKQRKGRTSDAVLSCPACFTTLCLDSQRHEKYVNQYRAMFVSNCKVKTDQILREGKGKRRNRRVTAVDSTTPEAENKGPVFHPVCCEVCSTEVAVFDEDEVYHFFNVIPSNS >cds.KYUSt_chr5.23067 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150470014:150470911:-1 gene:KYUSg_chr5.23067 transcript:KYUSt_chr5.23067 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTTVRITVSKYAPPGVEVAPALALVDPAADRAGVEILGVAVAEVAGAVVQSVVFARVAVVEFAGIALVETEAAWSADGPALEAPVVAVVECEDAAGVVAWAGVAVGEVAAVEPADAAAFGVAGAAEVEDAAALETAGDAVVEDAAALEVVQAVVLGDAAALEAVEAVVLEDTAALAVVETVVIGSVALFQTPLIWLPHSSSLHGLQQTSSVVQQQLLLLTSPRQ >cds.KYUSt_chr6.25474 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161471034:161472422:1 gene:KYUSg_chr6.25474 transcript:KYUSt_chr6.25474 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKGYLEASLRLPAPGPKLSDASTVEEALVDKSAYIVDEQNNTTASSLTGDGWEVQVTFFLARPPRVSHFCISCTNNTPTVFSSEPKLVATEGNLALIVSSHGLNPAKDDYFVYQAASVVGGSSPPTLQRIPHPEPGLFLHHGSYYFHDQEVGFLRYRTNRPNDSPNPCRHSGGDGFDAFKIAALHDLRRKSRYNLYTYDSQTNTWDRKAVVFADQQYDEDKLAAHHCLKVITIGGDAGTMGWVDLGHGIILCDVLGGKEDSSTRRLARYIPLPDTEQVEEEDILCGPSRRYRTVEAVHGRIEYVEVQMHVRPGPRPRRLHGTYFSAGWTIVRWSTTAAAGSSGWHMHSKLSSSDITVPADMAAMLPTLPKDIDDDATTLERLHVGHPTISLGDDSDIVYFMAKIDEMEKEAWVIAVNTKTKVLQGVAEFTPRSLYSMEFTYMPTTISKYLTPARTEVVDM >cds.KYUSt_chr7.28176 pep primary_assembly:MPB_Lper_Kyuss_1697:7:175993658:175993960:-1 gene:KYUSg_chr7.28176 transcript:KYUSt_chr7.28176 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMRAPPPATSPPRWTSTVLDRRLFHLMMRRVSDACPDVHFARPVPGDPPSASCDMGLTRTLRLLCLRLRLRLPHGHHQGYSRFAIANALELTLCLAAT >cds.KYUSt_chr3.30060 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188137909:188139327:-1 gene:KYUSg_chr3.30060 transcript:KYUSt_chr3.30060 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMMHKTVVLYPSLGVGHLNPMVELAKLFLRRGHAVIIAVVNPPDKDAVSADAVARLAAANPAITFRLLPAPSSCGEEDHFSSPILKTIDVLRRANAELRELLRALPAVDALVVDMFCVDALDVAADLAIPAYFLYASAVGDLAVMFHLPYYYPAAPSSFKDMGTTPLHFPGVPPIRALDMSTTMLDRESDIAKERLRQYTRMPEARGFLANSFDWLESRALEALRHGVCTPGRSTPPVYCIGPLVLPAQTSGERHPCLQWLDAQPERSVVFLCFGSLGTFSAAQLGEVARGLEKSGHRFLWVVRNPPEHKDESVLEASLPEGFLEKTADRGFVVKNWAPQAEVLQHGAVGAFVTHCGWNSVLEGIVSGVPMICWPLYAEQRMNKVHVVEEMKVGVAMDGYEKEIVKAEDVEAKVRLVMESEEGNKLRKRLSVAKKMAADALNKGGSSDVAFEEFLRDLEKCSSDSNKKTM >cds.KYUSt_chr4.8667 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52037815:52038255:-1 gene:KYUSg_chr4.8667 transcript:KYUSt_chr4.8667 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGNKLRWLWRAPVRALGRARDYYVRSITGCSRYVPADAAFGAYPVHVPVALPRSRSCGAGEDDLRDLIRASSQRRERDDQQRQVVQAVARSQSTAVGRSMAPIDEDAPCEFGGGGVGGLYSRNQSYAGGAAGRPRFHKKEAVLG >cds.KYUSt_chr3.41210 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260100813:260101166:1 gene:KYUSg_chr3.41210 transcript:KYUSt_chr3.41210 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKQTTMTSTAAMALLVAALLAIVAMAPAAATSPSPRYKMCNVDLVGMKGACEKYCARGSREARPSYGCCNAVRGADFPCLCRLKRAIGSMGNIDAGRAMQIPSMCAIPGAPRSC >cds.KYUSt_chr6.22135 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139804571:139805917:1 gene:KYUSg_chr6.22135 transcript:KYUSt_chr6.22135 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEEVPIEDAAGEWGNNLASKVEKKPAPEKDKNSTREDGGIGVNLMLGKEQHEIDPQAQNSRNDKKTLEPIEAEEPASEGSSAAIKNREQISLSNKAGSYLPSDVVQTQLLAIPEEEVASKKPQLKMKKEKERKTAKLWEEFILFFTVCAN >cds.KYUSt_chr4.16076 pep primary_assembly:MPB_Lper_Kyuss_1697:4:99682768:99683445:1 gene:KYUSg_chr4.16076 transcript:KYUSt_chr4.16076 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVVLVVLLVVAAAEAATFTVTNKCQYTVWAAAVPAGGGRRLDPGQAWSINVPAGTTAGRIWARTGCSFDGAGNGRCQTGDCGGKLQCTQYGQAPNTLAEFGLNQFQNLDFFDISLIDGFNVPMIFVPAGSGAGCPKGGPRCPGNITPQCPSELRAAGGCNNACTVFREDRYCCTGSAANNCGPTDYSRFFKGQCSDAYSYPKDDASSTYTCPGGTNYQVIFCP >cds.KYUSt_chr2.45735 pep primary_assembly:MPB_Lper_Kyuss_1697:2:285259388:285262765:1 gene:KYUSg_chr2.45735 transcript:KYUSt_chr2.45735 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEHIREFGAEIDVERSIPRVSMSRGCTVCTTQYGLGQLKPMEEMLMGTGANLQVQGSNPNPPAQAPSSALTPGGAVRGGTPAMAVAGAGTGAGAGAGSTERRARPQKEKAINCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSLSSASASAASTSVASSSMAGATANKNPKLAHDGAAHDLNLAFPHHHGGMQQAQAEYMAFPSLESSSMCNPAGGSTMGARAGGALSAMELLRSTGCYMPLQVPMQMPGEYGAAGFTLGEFRPPPPHSQSQNLLGFSLDAHGQAGGASSAGYGSSAGMQGMQDRAGRLLFPFEDLKPAASSGAGGGESGDGGSAGTGVEGGHHQFEQGNKEQQGNGAPGGQDTPVFWNGMIGGGTSW >cds.KYUSt_chr1.7470 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45785323:45787059:1 gene:KYUSg_chr1.7470 transcript:KYUSt_chr1.7470 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAESGTREPASNGDKPEEEPQQFDPSRMIGIIKRKALIKELAAAYHAECVASCKELLQLQKKWEEEQLVEAKMPEELKISSAKPSKRRKR >cds.KYUSt_chr3.41105 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259384218:259389159:-1 gene:KYUSg_chr3.41105 transcript:KYUSt_chr3.41105 gene_biotype:protein_coding transcript_biotype:protein_coding MPETAVLSVLPGGAEQPVKEILQAVAAWCLRLLTKVPLPQPFNNLEAISLNLGFDAMATVLCRSEVFAQDLELSQATRHGGESGVEILLTHKLHSADIRQVGGMEGEQGMKRRAAEERLAWAVVPQRSPRVARSRISSFHLLLPCSSPFSVTAPTPTPTHSFGRTHTHLSHPLSLFSPCMDASAGSSPPPHSQENPPKRAGGRGKAPAGEIRKGHASVAAADDFMFEEDTFPSLPDFPCLSSPSSSTFSSSSSSNSSTAFAAAGRGRGRAAAAGAARDPSETAAGDDDMLEDIDELLNFATLSDSMPWEDEPLFPDDVGMMIEDAISTDQPPATGHGGARNAASEAAASGGAQEDSSSPADDLPRFFMEWLTNNRDCISAEDLRSIRLRRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQKKRPRVDDELHSPGANPGYEFPAEAGAAATSWPMPYQQPYGGEAIYPFQQSGSTSSQPFSPPAADMQAANMPWPQQYAPFPGAASYPMPTPVAVAAPGFGVCPQRMAGTEPSASKEARKKRMARQRRLSCLQQQRSQQLNLGQIHTAMIHPQQEAFSPHSAHSAPVTPSSSGGWGGLWPPPAVQLQGQGQLMVQVPNPLSTKSNSSSRQKQQKPSPDAAAQQGAKPAAADKNLRFLLQKVLKQSDVGALGRIVLPKKEAETHLPELKSRDGISIPMEDIGTSQVWSMRYRFWPNNKSRMYLLENTGDFVRMNDLQEGDFIVIYSDVKSGKYLIRGVKVRPMVHDQAKHKHGTYDLNNVGVEDGGATEAGSCKGKSPHRVRRSSQEAASMNQMAVST >cds.KYUSt_contig_60.203 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:1138104:1138937:-1 gene:KYUSg_contig_60.203 transcript:KYUSt_contig_60.203 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPWAQAPHHLQQEFEFFLVLDFEATCEKDKRIYPQEIIEFPSVLVDGATGRIQSAFRRYVRPKYHPVLTPFCRDLTGISQEDVDGGVDLGEALLLHDRWLQAATAGARKGGSLAVVTWGDWDCRTMLESECVFKGIEKPAYFDRWVNLRVPFQAVIGGGGRAAGLQWEGRLHCGLDDARNTASLLVEIMRRGAKIAITGSLAPLPIHHKEEEQQQQPHTSLCGGAAGACYCGVASRGGVVAMPGPMQARCYWGCGNWTPTMGAVCPYFLWSN >cds.KYUSt_chr6.16072 pep primary_assembly:MPB_Lper_Kyuss_1697:6:101130394:101133362:-1 gene:KYUSg_chr6.16072 transcript:KYUSt_chr6.16072 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNKFQEAIRTLAKSPRFARDPRHLQFDADVNRLFLYTSYYRLGENSEEKDAEEIIDMASKASVTDQQKQVQENVNYQLKHICKTMDNILRPDAKKDPSESTSDAHNNSRRSGLSLAVGTGVASANKPAVPATRPLTRAELSNKLRDQLGYTLGIRPSLIPHKDAGQGLFLSGEANVGAVLAIYPGVIYSPAYYRYIPGYPRIDACNSYLITRYDGTIINAKPWHLGGETREIWDGSDSVDYNTVSPKSPESNSDRAWRMLNKPLEKSRSENFGEVLERRNPLAFGHFANHPPGGSTPNVMICPYDFPLTEKDMRAYIPNIAFGGEEPITMKRFGSFWFKSRGSGKQTEESPVLKTLVLVSTRSICDEELFLNYRYSSTKARPEWYTPVDEEEDKRRWS >cds.KYUSt_chr2.26247 pep primary_assembly:MPB_Lper_Kyuss_1697:2:160596283:160607773:-1 gene:KYUSg_chr2.26247 transcript:KYUSt_chr2.26247 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCTRNDSLTVAVCWDWEWDPWICPSVHPLLIPFLPPAAPAPKLLQAPPVDGKTGWFALDRRIVQWVADLFLSIADDSDTFNSELVEYILMRYTRHDGTSSILQPSVTGDDGHNGTEVDNTSIRHYLPNDIIRQIENSNSDPETVLKRLKSSTICPGLPDHELRRCLEGHINFLKEYEDKKLDPAASISEYENIALKKIHSELSSELQEHLDRYDWMLMEQYYDRMKLRSLARECATQAQMTGHVLVAEGLPIKAHLKKYMLSANPEVKKLPSFKQAAKRSFDSYMGRIKEKFRSRAPLGRPIVKLALTDDGNNEERKYDPQCGMTKDEFEWRCAQVDRVSQRMPQAFEKLDFQLQVMRRHFLDDPDALNTWDEYENDVRYAYRHNLLQTLSSPLSIPNSDLYEQLMKRKAQSKTFVGKISGGLDKFKEAATRNKRLVGAGVIFAGAAAVFALGLAVGHATLREVKQQTNEA >cds.KYUSt_chr3.27571 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172125181:172128154:-1 gene:KYUSg_chr3.27571 transcript:KYUSt_chr3.27571 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISQLQRVAGPRRAWAPPPGTGRRGSVTVAAARAPLRRLEGASEELRAAAAQSLDWAPARRRVRDVFAPVLPTLDHCLFKMAPKGIKMEENLEINSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEGIAKRIAAAGYAVYAMDYPCFGLSYGLHGYIASFDGMVDHVIEQYARIRGRADVRELPHFLLGQSMGGAVALKVHLKQPKEWDGVLLVAPMCKISEDVTPPVPVLKALSMLSCLLPEAKLFPQKDIGDLGFRDPVKRKLCEYNAISYNDQMRLRTAVELLKATKDIESQLEKICSPLLILHGAADQVTDPHVSEFLYEKSSTQDKTLKLYEGAYHSILEGEPDDRISTAINDIISWLDSHC >cds.KYUSt_chr7.12716 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78332573:78341441:1 gene:KYUSg_chr7.12716 transcript:KYUSt_chr7.12716 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGATGRRERAPRRLDTGPVTASSGCGGGGCIRLQKEVSAKGAAMEEVAELVLLFQVGVGMCMEGIIEHNPVVYYLNGSFGMCMTHGSKLQKEGRGAAEAQVSPHSYGHSSSIADLENAPHLFLSDKEKLFSEAEEIGSSFQLSLGTLN >cds.KYUSt_chr5.7630 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48091770:48093960:-1 gene:KYUSg_chr5.7630 transcript:KYUSt_chr5.7630 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRRSRRLQQQQQKKEEAVHAALGFECNAPAGELASSAQPAGELASSAQPAESASHPAAAQVEMAEQQREDVEIVVSARQADHQPPDDGSMKIHPVPRVVEAGEDVRTICDPLLVLAEYALAGELPASPLPEMPKGGGPGGESRNPQETPHQPSLLILDQELKSFLQKLADFVRNGKWEWESIFVEARDMITRLNDYKLGVLRREVEAAMATALGAATPAPGAVGMKRYPLLTPDNIAEHITEAEERAKLERSMLNMDDHGLQALNDIFRIRKIMNLNTKYEKDKAMLANLKAEFLDKLTTIMLGWMTVMPLVVLHGMLTSETLSVSAHKKALSVFFMLRMEPEGTTREVKWYMDCEARMDLTRAVRLDIVTNFPFEVGIFLAVLSL >cds.KYUSt_chr3.41675 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263015418:263016602:1 gene:KYUSg_chr3.41675 transcript:KYUSt_chr3.41675 gene_biotype:protein_coding transcript_biotype:protein_coding MACVDSNSGELPAPAFSIESCIRALHQNKRVVREEGLKDLAAALEGFVSADDYRYDHYEYEQAVDRCFHLLKSGSATERRDAYRAVGLYALTVGAKVEVPVLDRLFEVDRLSGMLPSSPSDAERAVAAIGCLAAATLACAKRPADARRTLKAIWEVMGEPGPAAVPRVLAAAVSAWTAVLPAARGTVRVTPFVLIAKLLNADDPAVRAAAGEALAVCIELNILPRQPLPPRWGHDRRAESRPGDMPLLESRVAELAFPEDKHAHRNEHAEEIALFRQIDDLLKRNKQKIEDLEKIKHNERPEESDEPCVKVPKRWVKLVQLNFLRQYIGEGFDAHFSLNLPLFRDNLGLTRAAGGGGEEEDLPTHEKKQLRKDRDRQMSLAVKRDRQNKTTQYD >cds.KYUSt_chr7.11224 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68964095:68970124:-1 gene:KYUSg_chr7.11224 transcript:KYUSt_chr7.11224 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPRTFDGGVDGGAAAIFTSSTASLESWTEKLFLLRFDDRLIRVKVEEVSEDGNVQTQGGVGGALQGRKKGATMTPGKCSKDGNVQTQAVVNMLHHSNSRHQRNRGSKIKTLLKVTLLLGVAVWLVYQVKHSYDKKTEYLNATENQLSHDDRSMFQGRKERVGGYGVGDVDKAIEDIDVISNKDEEKSGETVFEKDNTDSHDDDAGNAERSEAEEEQASRADGNAEAHGTDSHSAAVKLDAESNSSDGETKSEVHSVEDDVPQNKDGQEGTAGEEVNGTTHEEKEQSDSDQINASSNGSDGEQAEKKEEVESQADSGSLSDDTKAGTSDEHSTETLPDETGNIPAVHTENPQNGASENPGDSSSEAVHVEIGSEHEGAKASSGTASGDAEKGNSVESNPSDGIFVEEKAETASGGDEKGAETGTANEASGVKEANPEEGNAATEVRTDQAASTQTENPQEASAAEGTNGSVEEIKPVENQVDGATKASSNGDQEDIKIETNTSTNNEHSEHQSVDASSGSTGSSDSGPEQTGKTETQ >cds.KYUSt_contig_2442.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000340.1:31736:33895:1 gene:KYUSg_contig_2442.3 transcript:KYUSt_contig_2442.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTIPGEEELSFTANQDDDDAEDVSPGSRELAAMVEAAAAAETVELDRAAAPPHGEEDDRTPRDGMEFKSYEELLNFYKRYALRTGFGVCVKKSSFTKAGLCRRLVLVCNKWGNGKDDACYQARPTAKTNCLATVVGRLWGDGLLHLTDVSLEHNHALNPSSARFLRCYKTLPSGMSKDLVVRAARGEFSTSGDAEVPMFDDWGRLKLREGDVQAINGFFAEMQAKQTNFFYLMDFYVEGHLRSVLWADSRSREAYQYFNDAIWVDTTCLRNKFHVPLVLFLGVNHHGQLVLLGCGLLSDESTESFLWLFKSWLTCMKGRLPSAIITDESVAIKTAVREVFPKARHRLSDWHILGTMSEKLDDSVRTELETAIYDSLKEDEFEASWKNTTERYGLQDTEWIIFLYENRHLWVPSFLKDAFWAGLSVNHRESPGAFFGDSLSQLTTLVTFLKSYTILVQNKYKTEQQDDFESLTSGRVLVSKFPMEEQLSKLYSLNMFVKFQDELKSTMQCQVQLDGSASSFIVLDLAAEPGGGMVNKKYEVVHCMETNRMECNCGRFQFSGIVCRHALSVLKWQQVYDIPPCYVLNRWRSDYKELHALDNPLKDLVSSNHVERYDHISLQCLRLVEIGMVSDDKYQHALKLISDMTRTLLDDTLCREVEQKLLPSERAIANVDSHAQPGSSEGGPAKKRRGRPPKKSKDLSVDSVSNQYGNKVSPYF >cds.KYUSt_chr3.25691 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159519819:159528858:1 gene:KYUSg_chr3.25691 transcript:KYUSt_chr3.25691 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGFVFFARIFLAGAFGGILVDEKTRRRVVVDEKTPPGTGAGRRGDWEDFGGREWGYASKLEGMGICKQIGGKIDWISPSDATPLSVSVHRQAPARDSERSTRRRMSEMQHVVKVEEGGPAADGRPSVGPTYRSAFARDGFPAPVPGLDSCYDIFRMAVEKYPNNKMLGRRAIVDGKAGAYEWKTYKEVFGIASKIGNSIRSCGLTKGSRCGIYGANSPEWIITMEACNAHGIYCVPLYDTLGAGAIEFILCHAEVEIAFAEEKKVDELLKTFPKSTEFLKTIVSFGNVTQEQKEEVSKCGLSIYSWNEFLSLAGDQEFDLPVKQRTDICTIMYTSGTTGDPKGVLISNASIICLIAGVDRLLNSVNERLEETDVYMSYLPLAHIFDRVVEELFMFHGASIGFWRGDVKLLVEDIGTLKPTILCAVPRVLDRIFSGLQAKISAGGFIKSTMFNLAYKFKQFRMMRGAKHTEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVLQGYGLTETCAGSFVSLPNQMSMIGTVGPPVPNIDARLESVPEMNYDALASTPRGEICIKGETLFSGYYRREDLTQEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGVVSAIDSIWVYGNSFESFLVAVVNPNKEALESWAEANGISGDFEALCENPKAKEYILAELSKTGKEKKLKGFEFIRAVHLDPVPFDMERDLITPTYKRKRPQLLKYYQGAIDNMYKSAK >cds.KYUSt_chr5.13226 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86291059:86291772:1 gene:KYUSg_chr5.13226 transcript:KYUSt_chr5.13226 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSPHTAQKTKYKRPNGCPSPPSLYPLTHGDLLLRPAMSKEKSCCGSLFTFIIGVGFVILIYWAIFQPHHIRATVVSAALTNLTVAANNATVSYRLAVTLDLYNPSLRVGIYYDSLEPELRARGGASLGGPATSSPAEFLQRRKSRDTVSLEFDGTTGVGVPSDVAGQLKKEAGSGAVSFEVAVDGRVRYRFASIKIRQKPKIWCALTVNVKPEGSSSGSVGGALDSAHRCSVKY >cds.KYUSt_chr3.5981 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34109516:34110248:1 gene:KYUSg_chr3.5981 transcript:KYUSt_chr3.5981 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVLLDLWVSPFGQRCRIALAAKGVAYEYREQDLSNKSELLLRSNPVHRMIPVLLHGGRPVCESLLIVQYIDEAWPVAPRLLPGDPYARAQARFWADFVDKKIFDCGTRLWKCNGAALEQATRDMIEALGALEAELGGKDYFGGEDLGFLDVALAPFTSWFRTYEQCGGFAIAEHCPGLAAWADRCRGRDAVASALTDPDKVYEFALTLKKKFGDK >cds.KYUSt_chr3.14911 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90745471:90747621:-1 gene:KYUSg_chr3.14911 transcript:KYUSt_chr3.14911 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAITASPLATAEYTTGAATAATATDGPASWARLKRTEDNSHGGLLDGLNFRQRVRKIARIAAIRGKSTQDTGKREDLGRSCPEMEYMRRKAMKILEGENRRSEEIAQVIPDSYQIDLCNRDTEVRTESSKEDGVTQNQRNLKTHCTHTRKLALMDKRMEIADGKKKQGEGERSSAGENITPIARRAAAGTGVGRTGPHQLAAASAAAPGKKLMAAAIAAGTGRKKTAVKIHEDRAPEDEEILVVDFEEAKKELQTPWIIVGRYNTKRIFNTAGLFARLRHLWQPQGGMVEKGIGEKKFLIVLEHEGDYKHILKGGPWLYQNDAFLVAKYDGVSSASEVPINIMPIWVRILDLPIPMMTKKWGEKLGKKCLGEVREVGKDNHGHVWASFLRIRVEHNVEHPIKRWIPIAAKEGTEEKKTKRSLHFSPASSGWKLTPETDSMGNILVQAQHDIVTSDRAAVEEETHAVLEMIQEALADV >cds.KYUSt_chr4.20310 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127720199:127729745:1 gene:KYUSg_chr4.20310 transcript:KYUSt_chr4.20310 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKHDAGSSPPAAPPAAATAPAPNPSPFTPTGQMRRDECTDLLKLLSGVSRPLEDVVADFLARVPPERRLRFGCAVKFVLEDKMMFGLAERLIAFAMLHQGYSSQLANPFVPLLINAACDETSERPERVFLQLLLSSANGDNSKEILKQSAADYLKEPSYASQVLLPRDQLERQYSCNAVQPQTYTSSCTAATVRNVIPDPDVSQSFGDSLEISPAKPQRDNVVADLLQQTPLKGLSPPWIRPPPPRLEIIEGEKCIGPPVKDAFYGKTYSRRKWKGEVNCLKRDMGAMTAATMHAKLAS >cds.KYUSt_chr7.1952 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11168267:11169793:1 gene:KYUSg_chr7.1952 transcript:KYUSt_chr7.1952 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMEIPEEVTVKVSAKMVSVTGPRGTLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGFRYKMRFVYAHFPINASINNGNKGIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKKKDIRKFLDGIYVSDKGAIKEE >cds.KYUSt_chr3.10337 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61348006:61348293:-1 gene:KYUSg_chr3.10337 transcript:KYUSt_chr3.10337 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMWAAFASSVPGLVVSALSVSDLLTCLCLVGKESGSLLHRKKTIRWIVTTPRRRMDDVDVDDDVDVDVGVDSMMTSESLSSAYIAGARRLAVV >cds.KYUSt_contig_7589.27 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001601.1:220223:222333:1 gene:KYUSg_contig_7589.27 transcript:KYUSt_contig_7589.27 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAFCRQGERELGGASAAMLFAFHRQGEGGFGGRGLLSVAECEGLKIKYNEEMAEKKKLHNIVQELKGNIRVFCRCRPLSKLEASLGFKTAVDFDGADDGELRIIEGKSRRKDPIKDYTNAIGSFSFPSDELEISNVVVGLQSGDYTTCFKAGDGRDRRPQEGPPMEVEE >cds.KYUSt_chr3.33692 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211582023:211582724:-1 gene:KYUSg_chr3.33692 transcript:KYUSt_chr3.33692 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSKLALSSLFHTKAKEDAPAPSPPRDPGAAHAWAWPSCKVPRTESFHAAPPPPGARTLASIFLDSGESSFTNSSARRGDDCSGSISTTASEASAVGGDDTAAVDDDADIVGGLLRSSDRLLFDPVASGATRSILEEKPVEAFAGGLAVAFESADPYRDFRASMEEMVASHGVESWGWLEEMLGWFLRANGEDTHTAIVAAFVDVIVSIADPARGGSCSSSQSSSRTFMDGE >cds.KYUSt_chr6.8497 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52160452:52161051:1 gene:KYUSg_chr6.8497 transcript:KYUSt_chr6.8497 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRARPWPPPLRATPPFPCWRPSPSAPRRGTPPVEAAQQLLDGMLSSSAVHARPAPGRASWGGARHRRCPCHPGCLEHLVADGGEHLLVVVEAEGGEDLGEAVDVGAGEDAEREADHLQVLGAGVGGEAVRACADVEDGGLLEPGDEEVGALRDHRGEHALEAVEDDRPLPAVHRVQGRGDGRCRHAQAIITGAGRP >cds.KYUSt_chr2.47227 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295412596:295415945:-1 gene:KYUSg_chr2.47227 transcript:KYUSt_chr2.47227 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCVPIFILLFLSSSCRSDDQLTDAKPLSSGDTLVSKGGDFALGFFSPDGSNTSLYLSIWYHNIPGRTVVWTANRDNPIAATSSPMLAITNSSDLVLSDSQGRIPWATKNNIAGMGVVAVLLDTGNLVLQFLNGTTIWQSFDHPTDTILPGTRLFLSDKARIIGRLVAWRGPLDPSTGDFSLSLDLSSGHQLVIWNGTMPYTRLSMFSGSTVDGRIYQNTIIYEAIVGTGDGFYYEFSVSAGSPYARLTLDYTGMLRSLSWNNNSSWTTTAEDPSSSCDLYASCGPFGYCDNMGVVATCRCLDGFEPIGLNFSSGCRRIKALECSRQSHFVTLSRMKVPAKSFHVLNRSFDECTAECTINCSCTAYTYTNLNSNDSMADQSRCLIWTTELVDTGKYSNRGENLFVRLADSPDNFSDLKQIGKGGFGKVYKGMLDGLNNVAIKRLSIDSEQGIKEFKNEVDLIARLQHRNLVRLLGCCIHGDERLLIYEYLPNKSLDAFLFDHPDDRPLMSSVIFMLENESALLPAPKQPAYFAMQNWETQGPRESMENSANGVSITTLEGR >cds.KYUSt_chr6.32075 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202596127:202597728:-1 gene:KYUSg_chr6.32075 transcript:KYUSt_chr6.32075 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDRLLHPLVDDLPCPVIQYADDTLLILRAEHSQVHRLREILDLFSHATGLHINFHKSTFVPVGGVSAELASELAGILSCPVSSFPQTYLGLPLSDHKLPAAALEFLSVKISKRIPGWRTSLLPIGGRLTLTTAVLSALPSFAMSVLPIPKGTLAKMDRPRRSMFWKAREKCSGGDCQVAWDYVCRLRSEGGLGVVDLGLQNKCLLLKAVHGLFTGRDSPWTRWIKRSYLGEHPQAATPAWKCFQSLIPLYRSITRVEPRDGCSTSLWHDSWTQLGPLSAALPAAFSHCLRPLATVADALESGTVEIPLVHRVSAAASGELEFVHACLSRISLSASPDVRSVALGPSVDFSTGCVYRALHSTGCIVPGQDVNWNCFAPLKVKVFFWIMRLQKTRTRALLHRLGCVPSTDCPFCPGQPEDISHLFVGCPRLRPLWNIIFPSGRPRADDDVLGLLDALSEDLPPMHPKARNTAILALLWSIWKSRNIMVFDADLMSTLRVLDMIADHLRLWIVRAPSSVDTTPLLAWCRSIS >cds.KYUSt_chr5.15099 pep primary_assembly:MPB_Lper_Kyuss_1697:5:97534649:97535479:1 gene:KYUSg_chr5.15099 transcript:KYUSt_chr5.15099 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRVHPMPPPPHPASPPSPGKDDAAATETTPLHPAFHAPPAAPPGMYIVQIPKDQVLRVPPPDRARRYRALAGRPARQRRLRRACCAACGAALLLLLAAAALVGAVYLVFRPRAPAFSVSSLSIRGLDGDASSPVALGLAVRADNGANGKVGVDYRGGGEVSVSYSGTRLAAGSWPAFRQPPRNVTALSATLSGAGVSFSDEQRKQLAAEQAARAVPLTVEARVPVRLLFGKVLRTWTVDVKATCQVTVDTLAGEAAAANRGCRVKVRPFLWWWW >cds.KYUSt_chr2.4871 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30093798:30096241:1 gene:KYUSg_chr2.4871 transcript:KYUSt_chr2.4871 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVPLLHLLVASFSAVFAETALGRKGGEPKQAQTPGLGKYVVILDAGSTGTRVHVFKFDNKMDLLEIDNQIEVFAKVTPGLSSYSGRPQEAAKSMIPLLDKAKSVVPWWQMNRTPLKLGATAGLRLIGDKQSEQILQAVRDAVHTNSRFQYSPKWINVLEGSQEGSYMWVGLNYLLDRLGGDYWKTVGVIDLGGGSVQMAYAVSANAAANAPAARHGQDPYITKEYLKGKDYNVYVHSYLYYGNLAARVEILKTKKGPFSSCMQRGSTVRNYTYNDEVYDAAASPEGAAYGRCREEVARALKLDAPCPAKNCTFGGVWGGGSGAGQATLYAASFFYGMATQVGWVKKDAPSATSNPAAFRAAAEKICPLSVEEAKAAYPGVREVDFVCMDLVYQYTLLADGFGLAPAREITLVDRVKHGEYFMEAKWPLGEAIEAVAPKKQLSHHASSDLRV >cds.KYUSt_chr2.45818 pep primary_assembly:MPB_Lper_Kyuss_1697:2:285886613:285886819:1 gene:KYUSg_chr2.45818 transcript:KYUSt_chr2.45818 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPPPGAEDDFLDHFFRTPSFPAGQGAAAAPRDHHPFPLAAAAEPSVGTRRLPDGAAPPPCTASSG >cds.KYUSt_chr5.42552 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268115179:268118771:-1 gene:KYUSg_chr5.42552 transcript:KYUSt_chr5.42552 gene_biotype:protein_coding transcript_biotype:protein_coding MVTECFRNKTILITGSTGFLGKLLLEKILRVQPDVKKIYLLVRAPDDASAEQRIICQVLGKDLFNTLREKHGLSGFQELIKEKIVSLAGDVGTRDFGLDSSRMEDLCEEIDIIIHGAATTSFYERYDVALATNALGAQYGCEFAKKCPNLKLLLHVSTAFVAGTQEGLLLEKALQIGEALRPGYHLDIDAELQLVEKVKTELTAAKSGSSDQYEKTTMKELGLKRASHFGWPNVYTFTKAMGEMLLEQKREDLPVVIIRPTMVTSTYQDPFPGWIEGARTIDALIVAYDEQAFPCFLGDLKDTMDAVPADMVVNATLVAGAVHWNEKGQVIYHVSLALQNPLSGYVFEDACWDYFSIHPRVLENGKPLQNRRPYLFKKLVYFRAYLTLMYKLPLEKHNRRYTFLMLLVKVYSPYAFFKGW >cds.KYUSt_chr4.8091 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48262440:48262652:1 gene:KYUSg_chr4.8091 transcript:KYUSt_chr4.8091 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLVISLPFIFFTILLGFGCYFLGKHKGREEMRAGVGAQIYGTPLPPPGVAGSSPGPYPLTKEGPANF >cds.KYUSt_chr2.47599 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297770674:297774370:1 gene:KYUSg_chr2.47599 transcript:KYUSt_chr2.47599 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVVPANSRGGEVDDGVQLLLAITSASWSSSSASRNVGEVWMETSVFGGTPARNPSDSGALQMGKKISPLQCVMLSQRRKKRRKLSLEQEELYSIVGRPNVLSYGELRSATENFSSNNLLGKGGYGSVYKGKLTDGRFVAVKQLSETSHQGKKEFATEIETISRVQHRNLVKLYGCCLEGNKPLLVYEYLENGSLDHALFGKGRSNLDWPTRFEICLGIARGLAYLHEESSIRVVHRDIKASNILLDANLNPKISDFGLAKLYDDQETHVSTKVAGTFGYLAPEYAMRGHVTEKVDVFAFGVVVWELHEDNHPLDMLDPRLEEFDSEEVLRAIKVALVCTQGSPHQRPSMSRVVAMLTGDVEAPSNVSKPSYITEWQIKGGGDTSFMSSSVSGQSSSTQKTDSVRLPFLGSIIDEGR >cds.KYUSt_chr6.26607 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168562221:168562457:1 gene:KYUSg_chr6.26607 transcript:KYUSt_chr6.26607 gene_biotype:protein_coding transcript_biotype:protein_coding MVHALGRAVWIDEALWATASASARELIGDFDRLCHVSLLVDALLCSAYPEFQNAFTASHALGIGVESHRSSQQAYRLE >cds.KYUSt_chr6.33142 pep primary_assembly:MPB_Lper_Kyuss_1697:6:208599967:208600560:1 gene:KYUSg_chr6.33142 transcript:KYUSt_chr6.33142 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPTAAGGLSASPSTAMPEHSHGAKCRCRPARSACAWARDIFMDVLTAAVTLLILFGIAACLLVTPLVFAARALRRQARRYGAFSPDDHPRPLRPRHTGLASEQISRLPSFESPPFDQTSACIVCLEAARGGERWRALPPCGHAFHTACVDPWLLLSSTCPVCRATVAILPRSESQLGDGIEKQPLSLYFSPPHGG >cds.KYUSt_chr4.52421 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325179960:325180472:1 gene:KYUSg_chr4.52421 transcript:KYUSt_chr4.52421 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEEVLVEGGLGDLRLRMQERQAATGLRERRASSVAALREGRASAASYALREGSASVSSALRERAASTSTDLRERRASGPTDLRERRSSAALREERATAASALREGHGSAASALREGRADALRERPAPSLREGLLRHRPGPLLRRRRGQRGSAGPATAAPPAPPRSRN >cds.KYUSt_chr3.11790 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70278393:70280056:1 gene:KYUSg_chr3.11790 transcript:KYUSt_chr3.11790 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGGGGDGGFGAAGGAAGRMPTWRERENNKRRERRRRAIAAKIFSGLRAHGGYKLPKHCDNNEVLKALCNEAGWVVEQDGTTYRKVRESCPTTTELPLCSTSQPDLRLRLQPIDPAAYFTCLARSLAQRRGGLGMQTRRTHGRDRVLRITEPMFLLPAKPAGIIQREPYFLLISQRRILPIPPSI >cds.KYUSt_chr6.32118 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202837160:202840813:1 gene:KYUSg_chr6.32118 transcript:KYUSt_chr6.32118 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLELDLAEVEMPGLMACRAEFGPAQPFKGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGAGGGPDLIVDDGGDATLLIHEGVKAEEAFEKSGTVPDPESTDNPEFKIVLTIIRDGLKTDVRRYRKMKERLVGVSEETTTGVKRLYQMQESGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGIQILTLEDVVSDADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMNGLETYPGVKRITIKPQTDRWVFPETKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNERKSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKSQSDYISIPVEGPYKPAAYSTDYSSAHSETPVWKVALQEEHRMLGSKNGPGVHHVIA >cds.KYUSt_chr5.37136 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234885311:234890860:1 gene:KYUSg_chr5.37136 transcript:KYUSt_chr5.37136 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPSLPAAAALLVLLLLTVAGAAAQEGVGPEEIAAKARAHEEVAALAAELRAKVSALEASVVAKTEELKSKDVGVETLETVAGEMSQNIATLQSEIASLQSKRSAAAEEQAGKAKARAIELQNQIEKLKNDIAAQNNKKATMEARASDAEKKVQELNAKLERLQKTSAEQKRRVQKTQHALKVAEEELMRVQLETTTKSEQLGEVLIPDDGDYSCHGCNSSVDNYTLNLTWYFLESSWGMVATLVSDTCSSLNGVNVKSMERTWETRFQQFAAEEKSVQVKEWAKPHIHTAKTKWIPVIKEKWAIAKTNTEPYMQMVSAKSVEVYQASRDAISPHVLKAHQFANPYFQEAKKLSKPYIDQVAKASKPHVKKLETTLKPYSKKFLETATLYHEQVSLFPVMSQFGVQEITPATTDALNSWLLTAAAGTRANSKGISLLIANRTDSGESGISDMTAFSIARGQFEPVVDGIMPEVKLWKAQATILDYMHQHELLKQFATGELVWYLASAWLLMPVYVLYILVTEIFCTRKQKKSPRSEKANHGHRRHKRRHAEK >cds.KYUSt_chr7.4980 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29844914:29849517:-1 gene:KYUSg_chr7.4980 transcript:KYUSt_chr7.4980 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCAAAIARAVVDFLDAVLVACFRSWFRPGPVAAHRDPPVHRDRLSDEEKGFGTSKGSDEHLGDDRGIDKQLRQEADYLKLCGTISQTPAELQNASSDINLETANEVGKISGIGATSVSEFNSSECFKCEEDHTLMTEIEVSRVESVDSVPQSVLREKSPFWSIKNRFSGSNGSPCPTPLVLSDDMQTPGTIYTSHTGSSMSRKRVRTRKQFVYPVLRPIENRLHLMEPTEDSLPMLPSNTPTQVNLRADYIKKPHKTSSNSVAKVGFSKSPPICFPNENALCQEKETHSPEELKCQISSPKSNSDEKHAALSLAHWLKPPSPEGENQGAVRSPASDKLHDENAPMMSTVFTSASEMNTDVENPTPELCKAWDGNCIPNTTTKYKEDQKVSWHATPFEERLLKVLSDEPNPPRKLVSGNSFQVEDGNGIPNTTTKYKEDAKVANGSFDLITCPEFCCRLIIWQRLQPPMDCA >cds.KYUSt_chr6.31615 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199835514:199837900:-1 gene:KYUSg_chr6.31615 transcript:KYUSt_chr6.31615 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAETRPEPAILGQRRESPPPLLRERHGLQETTALPIPGEILAEIFLRLPTPTDLIRASAACVSFRRVATGGSFLRRFRKLHTPPFLGFLERERKLFHPAAPPHPSAPGASAAALVADFSFSFLPAPASDWLVQDTRDGRVLLDRAPQHDLENRYEVVFPELVVCDPLHRRYLLLPPIPPHLTATVLRPVWIKRHRYCETFLAPAAGDEEVSAAEEMSFSVVWMAHCSDKLSAFAFSSTTGQWRSISSQSWSDLLPGLQWLKGMALFSWRQYAYGCFYWVTDWRELLLVLDTRRMEVSIAEPPPEAIGLAGVDIAFVEAGEGRPGMFVRPSYRNDLKYSVRQHNCGSSGQWQFQRTISLDFDFLVMGSEGRHLFLFQWGSPTRDASCFSLDVETFQLERVFVSDSCRPSGHTYSNFPPSLLSTPRISTGVEEGVEEMLEQGCAASSSA >cds.KYUSt_chr1.1322 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7164615:7167290:-1 gene:KYUSg_chr1.1322 transcript:KYUSt_chr1.1322 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDGDGGGVDGEAFRGHFPVPVACRNRDSCPTDFGFAMAVALEAKMSSGTSNLTEGSTTTGGEKTSWPELVGKSVEEAKKVILKDKPEVKIIVVPAGSIVTMDYRTDRVRLFVDTVVEVPIVG >cds.KYUSt_chr7.18787 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116382555:116383256:1 gene:KYUSg_chr7.18787 transcript:KYUSt_chr7.18787 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIRYAARRIGDRALLPTQAAVAVKEVQQSLLPRLFHSGKPAYAPRISPFSNPGRGRSSNAKVIDPDKAKRAHLEAKLVEYTKNAASFGLTHILLIALFGNPYTKNIDPSLEL >cds.KYUSt_chr4.10721 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65075070:65078244:1 gene:KYUSg_chr4.10721 transcript:KYUSt_chr4.10721 gene_biotype:protein_coding transcript_biotype:protein_coding MLATHTATLRPPAMAAASAGASSSQAQPAEPHLSPHGDFSSSSQAADARAAWCDGGGREEADVLNLDSPWVSAAEAESILEKAAMVAGLCPGAEDEVRANQERQQDELMALLAIYGDDLCEFEKKGGLRYFQIYIRYDLPDGTEVRAKLSSANENLEGEVCPDDGTEDNGNEFSYICNVEYLPPLILTCLLPRSYPSKDPPYFTITARWMDGPNVTQLCEMLDTIWAELPGQEVIYQWVEWLHNSSLAYLWCDSKITLGLDVPMQRADNCAISRSLPLKSVIPSMLSYSSKKRYQAFLEDLHMCMICLNQSKAISRTEGCNIMLCGNCYQSFCFRCGKASCRSCDLYAPRENEQTDWQRRMEKLEKENRVAQRHPVGSIVKCPKCRQRNFKGDDKYIFCWSCRFSYCTLCKKRIEFTGPNNGHWGSLECVGLGNMM >cds.KYUSt_chr4.28687 pep primary_assembly:MPB_Lper_Kyuss_1697:4:180250202:180250735:1 gene:KYUSg_chr4.28687 transcript:KYUSt_chr4.28687 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIQANPERRKETAWVAPREGELKANVDAGWDPLTKKTGTAAIIRDHGGQVVVAAWNSVEGCLSPEEAEIHAGLLGLRLLISTSKGPATLESDCHRLVITCQEESVDRSTHWALYSEFKELLRVYNHISICKVDRRNNRPAHALGQLGKAGSSGISWGSPPSCVSDLVDLDCMNIV >cds.KYUSt_chr1.27165 pep primary_assembly:MPB_Lper_Kyuss_1697:1:163856643:163863044:-1 gene:KYUSg_chr1.27165 transcript:KYUSt_chr1.27165 gene_biotype:protein_coding transcript_biotype:protein_coding METPLSTRRITRSLAAAATASAQKSAAAADPSCRSKKPAAGDAPPRAALHDITNDSPIVGLAAGGLGTPQASTAGAKNRARPRCTPGSGEALLRGQVKTLLQKVDEEGAAAIQPLRIHALLGVARSPAQLLAPTPANTPQLWAGPAASLLPDGITVMPCVLEEEELIPKLQVIVDPPANRALVFDDSPEKSDLTTDGSVASSSLTFHGSSSGDRSADDDGSSVWSMQVNVSSEKDEGELGLDTVGELEEEYYTEEELEQQYYTEEEDWEEEDDDECFDELCEGMSKMSVLDEHEEKKKKVGLPPFEGRHTRFVYNSDDEIVERKDVENAAAEHGALLRGLPVREGKHLRFHDDDDDEEMVYLIDNEYDKEHRAFHMTERRRVLHPLKIRYHGTVDMAYGERYTEFIQPTGLLPFITLVSRGEANMNAATLTALVDRWRPETHTFHLRAGEMTPTLQDVSMILGLPIQGDPLCMNTSSDGWRQHMEALIGMAPPPPEDPKERVPAGASFSWIRTNFGECPQGANEDTIRTYTRVYLWYMLSRTLFPDSGGKLAHWCWLKALTVLEHPWSWETAALAYLYQQLDEACRRIGKGGIGGCLLQLSVWSWDRLSVGWPRVLTERPWPHYRENLDREPTWAYLWDNVSEMTSDPKIMYMHYTEELDTLTAEQVDWSHMVHITILAWGWLTSTPSARRRRISGNGGHVEIVPHDLAAFNNYLQWFHESTRIELVNHAYDNDILDDPIELDEVAQSQYDMTARKGRSTSIVSSLNFVRSEIQKSAKECEVVWDQSHRDEKPIRPMRHFIKNTGRKLRRLANLLGCREGEIATTSSSEEAEIPDDTILSQSISIGKKQATRSAYQLKPRSNAPNRYTLEDYVNRGKKVVIESDVEPARRSSLRRMRNDESSSSEEEEQEEQEVQQQEDQRQWTKRMAVRKQPVRRGRRGG >cds.KYUSt_chr4.47608 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294689538:294689969:1 gene:KYUSg_chr4.47608 transcript:KYUSt_chr4.47608 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKRLILQLLVVCLAAPVVRSAWLQGTATFYGGSDGSGTMGGACGYTNLYDQGYGLANAALSTVLLNDGASCGQCYLIICDQSKSPMCKTGKAITVTATNLCPPNYNLSNDNGGW >cds.KYUSt_chr1.4839 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29803480:29805026:-1 gene:KYUSg_chr1.4839 transcript:KYUSt_chr1.4839 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGQGRLSRSASSIVARPVNGFHLLRIDGYSHTKTVLPGQKLSSQQFTVGGNSWQLDYYPNGRDAAAKPNAISVYLQLVNHTHQHLHVQARYKFSLLDHDGVPAYELPFETGSFTSVPPQYHNGVMVNEEQAGTVCGHEEFIGWEDLETRELLIRDDSIVIRCDVGVTQIVESWLAHEHLDDAEDDEYSEEDGATLYPGRRHCFVCTPHLSPDIGPHPSFAAKVLAT >cds.KYUSt_chr2.37768 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233757498:233758203:-1 gene:KYUSg_chr2.37768 transcript:KYUSt_chr2.37768 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVVLLSSRRVRSFREEWLGRLLRSVASTAGPVNLAERISAFVSDSALHRDRREAEREPRHVLATAQGRSEGLKIVPGMILPDLVPSSRLAMRLIRVPAVIERGCRGMFPIVKTIIRERQEKRAAAAATGVEQEDEDLLDVLMRIKKGMDSQYPLTTENVRNIIIRYIGDGAAMGDGRTDADPDGDAGGRRRKRRSDENSPATTG >cds.KYUSt_chr5.41374 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261132406:261136847:-1 gene:KYUSg_chr5.41374 transcript:KYUSt_chr5.41374 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDPETALAYKFPEVTNPVACTSSPPLPVRSPRVRASPSLPRAGLLRLRRQVRAPLTASPLLDPIAPPCSPRLRAPCRDVALYALGVGACGTDAVDDKELHFLHHIDGQRHIKVPPSLNPLSLLCDLALPTFVSLFPLKNSNGRGIVNVPGIHFDESLLLHGQQYIEIYKPIPSCASVVNKVKVAGLHDKGKATILEIETTTHLKDSGEVLCMNRSTIFLRGAGGFSDSSRPYSYTTYPANQISRISIPDSAPSAVYEDPIQQSQALLYRLSGDYNPLHSDPMIAQVAGFTRPILHGLCTLGFAARAVIKSFGNGDPAVVQNIFGRFLLHVYPGEILVTEMWLDGQRVMYQTKVKERNRAVLSGYVLLKHSPSSL >cds.KYUSt_chr1.3330 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20062090:20068217:1 gene:KYUSg_chr1.3330 transcript:KYUSt_chr1.3330 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVACMLEEDGGMHNDEEGEDGAFRLEWNAKVKVCVRPLPRLLHTPSCAASTSSPQRNIAGHRRPPAAMAVRLAQLRTKAAQAADFASKHGGAYYKEAMEKNKQYVVQPPTVEKCQELSKQLFYTRL >cds.KYUSt_chr3.26086 pep primary_assembly:MPB_Lper_Kyuss_1697:3:162207277:162208667:1 gene:KYUSg_chr3.26086 transcript:KYUSt_chr3.26086 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEKPSGGTSPLRQRAGTETPVPQILASRWRRLWKVSVVFVEHNINNVAWNIRNYRYVGDVSVDGRASSFWLGKWTPGPTLATRFPAIFSHRTRHHATVAAVVEGGIDLQPRLSTAAASEHLEVQRLVADISLQAGADRRVIDTERGASFRSREAYRMMSPLRPPDASSGVAWSLRLPSKLKIFSYLADIDRLSTRANLFRKSCAPSETCAACDSVETSRHLFFECATASHVWARLDVHVPAGWFSIWDLPAPLEVAPSTWRFGVTAVMWSIWKTRNDLVFNGNTTSPSFTIRRACDDIALWRWRVPYPDRTDVDLLRSYMISRCA >cds.KYUSt_chr3.32811 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206230043:206231093:-1 gene:KYUSg_chr3.32811 transcript:KYUSt_chr3.32811 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTALSAPVLRISPHSAGRSTAAAALAGGLRFGKTTCLHSAHSGKAVLRLRSNELLTPVARRRPTALRSSVDDSKVTDEKKEFGYSRKDVLLIGVGVTLLGYGLKSGLEFVGLDPLQAGNFVQLFVVLGMTVGWISSYMIRVANKDMTYATQLRTYEKQVMEKRLESLSETELQVLLEQVEEEKERLPPARVQGITINRKTEDQTTAN >cds.KYUSt_chr4.19138 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120283158:120285997:1 gene:KYUSg_chr4.19138 transcript:KYUSt_chr4.19138 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQLNFLVMATTCLMLFRASEQSSQSELLQQLRKQLEYPRQLDVWNNPSGDPCNTQPTSVVTVMCEGNDITQLKIVGDRITKPPKFSGYPFPNVSLSEAFVIDSFVTTLTRLTTLRVVILVSLGLWGPLPEKIHRLSSLQVLDLSSNFLYGSIPPKLSAMSKLQTLTLDGNYFNGTVPDWFGSLSNLTVLRLQGNRLKGSIPASVGKATMLTELALAGNNISGEIPLLVSLNRLEMLDLRDNELDGELPDMPTSLVTVLLSKNSFKGEIPEKFGQLNRLQHLDLSFNFLEGNPPEKLFGLPNISYLNLAANMLSGSLLSSLTCSSTLGFVDFSTNRLTGDLPACLNANLNNRVVKFDGNCFSADPEHQHETKYCEQSHKGRRSSKDIGLVVTIVGIVLVVLVLSLLLVASNRRNCQRVTAEQQLLQKHMQDNSTPGTSSELLVNARYISQAVKFGTQIMPTHRVFSLEELKEATKCFERSAFLGEGSIGKLYKGKLENGTVIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDSAVDESSVKRVFLVYEYVPSGTLSSYLSGSSPEKTLKWCDRLHVLIGIARAVHFLHTGIIPGSLYNRLKTSSILLDEHHMAKLSDYGFSIITEEIYKHETIGEGKRYVQNNAEEL >cds.KYUSt_chr2.17584 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110813015:110816624:1 gene:KYUSg_chr2.17584 transcript:KYUSt_chr2.17584 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTRRANALRIDTPDGYAVADVESGRGASAAADSPPAKRTKDDVWVAADEGEMPGNVSGDGARPLLFRTMKVKASILHPYRFLILVRLIAVVAFFGWRVKHTNHDGVWLWTTSMVADTWFALSWILNQLPKLNPIKRVPDMAALAHLYGSSGDANLPGIDVFVTTVDPVDEPILYTVNTILSILATDYPVDRYACYLSDDGGTLVHYEAMVEVASFAELWVPFCRKHCVEPRSPENYFGMKTQPCVGGNAGEFMRDHRRVRREYEEFKVRIDSLSSTIRKRSDAYNKGDGLRATWMADGTQWPGTWIEQADNHRRGQHAGIVQVILTHPSCKTQLGSPASTRNPFDFSNVDTRLPMLVYISREKRPGYNHQKKAGAMNAMLRVSALLSNAPFIINFDCDHYINNSQAFRVPMCFMLDPRDGQNTAFVQFPQRFDDVDPTDRYANHNRVFFDGTMLCLNGIQGPSYLGTGTMFRRVALYGMEPPRYRAENIKLLGMAGNKFGNSIPLVNSMPDAAVQERSITPVLVDEQLSNDLATLMTCAYDERSSWGRDVGWVYDIATEDVATGFRMHRQGWRSTYCSMEPAAFRGTAPINLTERLYQLLRWSGGSLEMFFSHSNALLAGRRMHPLQRVAYLNMSTYPIVTVFILAYNLFPVLWLFSDQLYIQRPFGTYIMYLVAVIAMVHVIGMFEVKWAGITVLDWFRNEQFYMIAATGVYPTAVLYLALKLVTGKGIYFRLTSKQTEACSNDKFADLYTVRWVPLLIPTVAVLVVNVAAVGAAIGKAATWGFFTEQAQHVVLGMMFNMCILVLLYPFALGIMGQWGKRPVILFVILVMATGAVGVLYFTLHAQYPAEVAAFVGEASLTGSSG >cds.KYUSt_chr1.1700 pep primary_assembly:MPB_Lper_Kyuss_1697:1:9625031:9627245:1 gene:KYUSg_chr1.1700 transcript:KYUSt_chr1.1700 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVKAMRGGEELRRLSSPDSKATSCGVGLPRPWSPDVLMAAGGGPCGRGDAPSSPNLKAAGSDIAPSSPAFLATRELITADSDAWKEFFSSLALSSPHRMAAGSHPYGAEASSTKPLLLERLRRQESDEEIEDEEDPYFDVLVDKFILAAYPQGMNVVVATRPGQQEAATTPGASAHGMEARHARQVAESEGDEAVVSTRSGEGSLVAKIVRTRMVARERFTWKYQTTEDGPNGLIVLSHVKRQPLKEFLLVSEDVFRLVPKYLDEFFLVPKDQDKLDAVAPPQASRALQQPQLCGPPLAAGGAFAAAPLR >cds.KYUSt_chr4.53129 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329124915:329126841:1 gene:KYUSg_chr4.53129 transcript:KYUSt_chr4.53129 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFTVGTDQQWRDVKKPPPYPIKPARTATFCKGSLYWTVEKMLLGDKTHMPGFVRLNLQDESFSLVPAPPWFSSLIKGSAVPRLAELRGQLCLAHSGHTIMSIEIWMCCCLDDSTPHWHRRFVIPIFSLHVSPKAIFDEEIVCQLGTASLLRYNFRTGAYFVMDMGKMHDPRHGNRDNSYVAGTIFENTPSAVDAFDVRLDCVLEKVDLDGPIFFESMAKIRSPWFKIGVAVDNHPCS >cds.KYUSt_chr4.37581 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231622797:231627489:-1 gene:KYUSg_chr4.37581 transcript:KYUSt_chr4.37581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytochrome B [Source: Projected from Oryza sativa (Os03g0309200)] MASGSRATPTPTPTRSPSSARPAPPHQHHTQSSGGSTSRAGGGGGGGGAAGSAAATESVSKAVAQYTLDAGLHAVFEQSGASGRSFDYSQSLLAPPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAYSENAADLLDLSPHHSVPSLDSSAAPSPVSLGSDARLLFIPSSGVLLERAFAAREISLLNPLWIHARVTNRPFYAILHRIDVGVVIDLEPARTEDPAVSIAGAVQSQKLAVRAISRLQALPGGDVRLLCDTVVEHVRELTGYDRVMVYKFHDDEHGEVLAESRRADLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPAMPQPLCLVGSTLRSPHGCHAQYMANMGSIASLVMAVIISSGGEDEHNMGRGAVPSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQMSEKHILRTQTLLCDMLLRDSPTGIVTQSPSTMDLVKCDGAALYYHGKYYPLGITPTEAQIKDIIKWLTVCHGDSTGLSTDSLADAGYPGATELGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAGEGTSNSKAIVNGHVQLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLTVEEAMGKSLVKDLIFSESEEIVEKLLSQALRGEEEKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDITGQKVVMDKFVNIQGDYKSIVHNPNPLIPPIFASDENICCSEWNTAMEKLTGWSKGEVVGKLLVGEVFGNCCRLKGPDALTKFMIVLHNAIGGQDSEKLPFSFFDKNGKYVQALLTANTRNKMDGETIGAFCFLQIASPELQQAFEIQRQQEKKCYARMKELAYICQEIKNPLSGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVKDASLQSIEDGSLVLDKGEFSLGNVMNAVVSQVMILLRERDLQLIRDIPDEIKEAWAYGDQYRIQQVLSDFLLSMVRFAPTENGWVEIQVRPNVKQNSDGTETMLFLFRCLV >cds.KYUSt_chr5.34260 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217237229:217237759:-1 gene:KYUSg_chr5.34260 transcript:KYUSt_chr5.34260 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDAAEPGPPAWPPWTSLLLRAMSKRRTWAALFLAVYAGLLSSSWSLLGSVRAWYYTAAAGGAAAPAGVWPAALYASVMYGAVFGLLSMGAALAVAAPAMLVTWITVLVLLAFAGKPRRSLVAEGRRATADIARLALRVLLCEGNAVAAVCAAASFAALLFGRRDGDGDGDARG >cds.KYUSt_chr2.1436 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8599278:8599787:-1 gene:KYUSg_chr2.1436 transcript:KYUSt_chr2.1436 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSAAPSELVLYNLVPAQTLNPHNPTAAAADPAGERRVRPRRSADRHAKVAGRGRRVRIPAMVAARVFQLTRELGHRTDGETIEWLLRQAEPSIIAATGTGVTPQEAPPAAVPVGGHGASFVQVPYYTALLMQPPSVEEQPLASASAPAAPDDADTATAAAAPEENN >cds.KYUSt_chr1.16036 pep primary_assembly:MPB_Lper_Kyuss_1697:1:93197136:93198610:-1 gene:KYUSg_chr1.16036 transcript:KYUSt_chr1.16036 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAFLPAVCGLGFLLLLLNAAGVESRQVQGGYHWYTMFMFGDSFVDTGNLPKTARRSALSRQWHYPYGTFNGGRGGNPLGRFSNYLVQSDIIAQMLGRLEAPPAYKRTMKHYCDQSGMTFAVGGSGVYQVPDNLPTLAKQIYNFKRLINDGSITKWHLADSVALVAVSGNDYAHASNSSDVSSMIGLIRNVTDGIAVNVKRLQKLGVKKVMVNNLHPVGCTPWLSRANNYTVCDARANMAASFHNTYLTESLSKTKNVHILDLNTAFTKIVNHAPPEGSSKLSKRFEHKLTPCCESFDPEGYCGQLGNNSKKLYSVCTNPEQYFYWDSVHPTQAGWEAVMKQLHKPMLHFLTQNRHH >cds.KYUSt_contig_1658.165 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1021251:1022207:-1 gene:KYUSg_contig_1658.165 transcript:KYUSt_contig_1658.165 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLSWISVSLLVSVASFSCIAARSTRAPKVADPTDGFTALNLGQDNFELQRPYDEASGARYSFDGTVRKMWVLSSDKPHARQSHTMPRTEIRITGHDYSSGMWQFEGYGYVPSGTSGVCIMQVFGAGEAGAASTLMLHVYDGALRYYDRQLVEDNIYNRWFKLNVVHDVDGSVLTVYIDGQEKLHVRGRGGHSHYFKFGVYAQHHDSNRMESHWKNVTIFKKN >cds.KYUSt_chr2.53092 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331242853:331246709:1 gene:KYUSg_chr2.53092 transcript:KYUSt_chr2.53092 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEKPSGGTSPLRQRAGTETPVPQILASRWRRLWKVSVVFVNRVELDDAARVESGTNWYNMFMFGDSFADTGNSPGSGTGVPTRLSRAWFDPYGLNYEDYNGHWQGLSPSGRFSDFMVQSDVIAKIMGFHEAAPAYKQSNNFCHPYGMTLATVGAGVFYTPDETPTLGEQVDTFKRLMYDGFISSTRLDGAFLFVAISGNDYIPKINLFGNTSTNSIIPLTPYIENVTNEIVANVHRLQKLGAQKILVNNMHPLGCMPRHTRVNNHTRCESHGNFIATAHNSMLQQKLGNNSNVLILDLYTAFTNVINNQTPDSQRFTFKLAPSCEANEPEGFCGYRDGSLHHFYVLDEDHLFKHFYWDDMHPTSAGWKAVMKQLEGSIKSFMS >cds.KYUSt_chr3.46290 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291257808:291262028:1 gene:KYUSg_chr3.46290 transcript:KYUSt_chr3.46290 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWVWSRPAPHNPFCIVDGRAVADEYLSGDAAAVSPIPTAAFHSASCARARPGVVGDSSLGWRCVGGEPGRGSIVGNIMEALAPLCRKFPCCFSTHDQLRQGWVLLSAAATYRSCCQRPHFRAPGCRRPPPQQAAAVLLRLSNAQGWAPVFDVLRLFPDDGCSYPTVCGVCTDAQCSCPDAANFRPVDFRRPNRGCVPIATPATSCGSSRRQGTQHRLVSLRDTGYFNDHATSMRAVERVSEEACKKACLDDCKCAAAQFYYGPDAGDGFCYLQSEVLSMQTLQPELVHYNSTMHIKVQAKSARS >cds.KYUSt_chr5.11202 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72631281:72632489:1 gene:KYUSg_chr5.11202 transcript:KYUSt_chr5.11202 gene_biotype:protein_coding transcript_biotype:protein_coding MHGERARCICDGCPYGVTDESEEEDIRTLLDHIRGFYKEALDRLPAESIPSLVPSLLKAGMCFGVLDPVSNIIANTIAYYSAPPKTPRPEEEEEEEENDDDEETRCSILSKIQTNAFDRRVYNMFLSPKDMNGMTIARRSLQGLVCFLTFHFRYFSYTEAIRYLRLAGADLLVAMRLIKLDHCKSLDFNFTSSTARVAIYCAAMSSAHPRPTVLLRAASSLGSRMVKVNSLLSGDHCLPPASIKCLTKWIGQDAKTLKEKLTWLPMSLAESRHQGRQEKKRKRDVTPGPVQRNAPQRKQGTFVYMQSLKLLLLGKIHALYLEALALMPGDALRRRHHGNLIKGGYCYGPMDPVSNIIINTVWYGATHPMPQARAWYAPDSLTASSAAPSMALPPSSAPATPP >cds.KYUSt_chr2.36076 pep primary_assembly:MPB_Lper_Kyuss_1697:2:222884646:222885302:1 gene:KYUSg_chr2.36076 transcript:KYUSt_chr2.36076 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDESQAAVAAENGEASLLTENSSGQKMPPLGNRHGEHRLQKLPTPSPAPCRHEALYFLSLAAKAGQEKAAHLQITVEEIAALVARARSAELLIILPPQEQIKEAPHRPPRAAPWQQGGIARRHSFINGDACAQLLVRRRRTAVHAGDEKQPQDAPDLAGKIRSSTPTRHKGQTSTRPSPTINTTSCSASPPPLSDGKAAEEGFGPAWSRERRSQEL >cds.KYUSt_chr7.8795 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53290133:53296153:-1 gene:KYUSg_chr7.8795 transcript:KYUSt_chr7.8795 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYSKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFDKPWFLSEGKLAAIALSHPHHRFAAAPAAVEGGDGRQQLRTSHNDVANLGEAWESCATTVIAPLGRACDRAGCQAEARRHFDLTDCHSGNETSCSWTGECITNGCNYTFWITFPPAAAPVLIPELDESAGH >cds.KYUSt_chr5.30055 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190577882:190582608:-1 gene:KYUSg_chr5.30055 transcript:KYUSt_chr5.30055 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQPKHGAGGGAGGSGAGDHLPRLHSPRVGVGVGGSMTRRASSFKRCAPGGEIELQITGSPRSPRADALGSPPSDAAEPSSSSSGSAVGGGHHQSHQQQLRFRLFKRPGTGGSAGEVVLGLGIRERRKLGNLLFFAFCGVCLLLGVAKIWAGGRAALPAGDDLQDLSVSFSDKGHHHFGYMGGKERDRMLITVESSIAERQGSVGEASDVWSQPSSANFRQCIVSNSHKKQDSRTSGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSYWADDSEFKDLFNWRHFIESLKEDIDIVETLPPAYKHIEPMAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNELPSYIQKLRCRVNYRSLKYSHTIEDLGATLVSRMHQDGIPYLALHLRYEKDMLAFTGCSHGLTSDEEEELQKMRYEVSHWKEKEINGTERRSIGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALLDDFPNIYSHSTLATEEELEPFKNHQNMLAGLDYSVALQSDVFMYTYDGNMAKAVQGHRRFEDFRKTINPDR >cds.KYUSt_chr2.9917 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62718620:62722490:-1 gene:KYUSg_chr2.9917 transcript:KYUSt_chr2.9917 gene_biotype:protein_coding transcript_biotype:protein_coding MTREVHLGDASPKPKAVDGDGDPVILPPPAVAGHGKAAARNKLSLVPLIFLIFFEVAGGPYGAEPAVQSAGPLFALLGFLIFPFIWAIPESLVTAELSTAMPGNGGFVVWADRAFGPFTGSLMGTWKYVSGAINGAAFPALCADYLARVVPAVADGGPRVATIVTFNVALSFLNYTGLSVVGWSAVALGIASLSPFVLMSGIAIPKIRPHRWRVTAGEKDWKLFFNTLFWNLNYWDSVSTMAGEVENPGKTFPTALLSSVAMTSLGYLLPLMAATGAIDAPPENWGNGFFADAAGTIAGSWLKYWIEVGAVLSSIGLYSATLSSAAFQLLGMADLGLLPRIFALRAPIFNTPWVSIVVTSLITLGMSFLSFNNIVAAANFLYSLGMLLEFATFIWLRIKRPEMARPYSVPLGLPGNIVMCLVPSGFLVFVMAIAGWKVYAISAIFTAAGVGVYYLMKFCKARGFLNFGTVDGEEMMYERHQQESRNDGV >cds.KYUSt_chr3.36155 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227282299:227283987:-1 gene:KYUSg_chr3.36155 transcript:KYUSt_chr3.36155 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVAVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMESDHIGPFNLGNPGEFTMLELAEVVKQTIDPMSTIEFKPNTADDPHMRKPDITKAKQLLGWEPKVPLKEGLPLMVTDFRQRLLDE >cds.KYUSt_chr2.10015 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63403372:63417279:1 gene:KYUSg_chr2.10015 transcript:KYUSt_chr2.10015 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLDKPLNPNKLLKEQSQFHLKAPQHNLPSLRTDISSYRVSVILMTCLSILAVDFKIFPRRYAKAETYGSGIMDLGVGSFVVANALVSREARNITATRWKASLRSISPLVFLGFARLISTSGVDYQSLSIIVSEFPCFQIDSVRVHVGEYGVHWNFFFTLAAISILTSIIRIHPKYCGVVGLLVLAGYQVWLSFGLNEYLISNERSGDIISQNKEGVYSIFDLTGFCPSPFSGALPSLSLSCAFLHRFPSTLLATTEVRGSWVTDCSTFPTILVVEGDPGTGWSGMRIGEFLGSVGLKQKRELRVSGVARHHRGVVVEVMTNRWVQSTAWKRAGEEAPGCMISLKILTIILDGYVERISRRMCNFAYVMLVFGQNFQVISILTLAGYTSYDKNLILEDAFNQNMLGSFLLDDSCFEFLLYIDDDPLGIKRLPDMFAEFVDGVEPAHLQLREASCNFCRWAVEVLFDERGKMYLHTGWDKFVRDLALEPGFHLTFLYEGDGEMIVKSPPPLCKWFHWIDTEQPDWAREEVEEKQRRAWATFFEEERWEKVRANEKAERERQIQKLRAEQARNREVNQKRMDDEAARRFAEKEVRREARAAERKRLRERAAEAQAAEERGDKSGKWPRWTQGK >cds.KYUSt_chr3.39286 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247438141:247441661:1 gene:KYUSg_chr3.39286 transcript:KYUSt_chr3.39286 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLRILGVPPAKPSEVPPRCGYFNRERGVSLNAEDKGDDNTEDYEDALFDNGGAPAPPAIDPKLQPAELTEEEALELAITMGASDAHPSRGSTRLLGGSMHF >cds.KYUSt_contig_686-1.1084 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6684858:6688254:1 gene:KYUSg_contig_686-1.1084 transcript:KYUSt_contig_686-1.1084 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRLRAIATWLILACVCGAACFLPRAHGANDQGEALLRWKRSLTNGTGAGAALGTWSDSDASPCRWTGVACDARGNVVSLIIKNVDLGGAVPAGLLRPLAPSLETLVLSGTNLTGAIPKELGGYAALTTVDLSGNTLSGAIPAELCRLAKLQTLALNTNSLQGAIPDGIGNLTALTSLTLYDNELSGTIPATIGSLKKLQVLRAGGNPALKGPLPSEIGGCTALTMLGLAETGLSGSLPDTIGQLKNLQTLAIYTAMLTGTIPESIGNCTQLTSLYLYQNSLTGSVPPQLGQLRKLQTVLLWQNQLVGTIPTEIGNCKELVLIDLSLNGLTGPIPRSFGGLSKLQQLQLSTNKLTGTIPSELSNCTSLTDVEVDNNELSGEIDIDFPRLRNLTLFYAWQNRLTGGVPASLAQCEGMQSLDLSYNNLTGSIPRELFALQNLTKLLLLSNELSGFIPPEIGNCTNLYRLRLNENRLSGTIPAEIGKLKNLNFLDLGSNRLVGPLPASMSGCDNLEFIDLHSNSLSGALPDQLPRSLQIVDISDNRLTGLLGPGIGRLPELTKLSLGKNRISGGIPPELGSCEKLQLLDLGDNVLSGGIPQELSMLPFLEISLNLSCNRLSGEIPSQFGSLDKLACLDVSYNQLSGSLAPLARLENLVTLNISYNAFSGELPDTPFFQKLPLSNIAGNHLLVVGAGADENSRRAAVSALKLAMTILVAVSAFLLVTATYVLARSRRRNSSAINSGAAEAWEVTLYQKLDFSVDDVVRGLTSANVIGTGSSGVVYRVELPNGEPLAVKKMWSSDEAGAFRNEISALGSIRHRNIVRLLGWGANRSTKLLFYTYLPNGSLSGFLHRGGVKGAADWGARYEVALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPSNEPYLADFGLARVLTGVIEPGSSAKLDTSKPRIAGSYGYIAPEYASMQRITEKSDVYSFGVVVLEMLTGKHPLDPTLPGGTHLVQWVREHTQAKRAVAELLDARLRGKPEAQLHEMLQVFAVAMLCISHRADDRPAMKDVVALLKEIKRPTDSAADDDGKEQPCGAQAEQRSPAPRSALPPMGGSSNCSFAMSDYSS >cds.KYUSt_chr7.3188 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18941141:18943044:-1 gene:KYUSg_chr7.3188 transcript:KYUSt_chr7.3188 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDSWALSAIIVIDRVGCVGVALPMASLITLSLLPILLFTILSPTPTLCYVDSDIAGVHHVATGSSTYIILVEPPPTTSAEGEHRRWHESFLPSLHTDDGNPRLLHSYTEVFSGFTARLTDTELEVVARKPGFVRAFPDRRLGFVTTHTPEFLGLRNGTGLWSEGGYGKGVIIGFLDSGIDAAHPSFDDRGVSPPPARWKGSCTAARCNNKLIGAKSLVGGDSSDDFGHGTHTSSTAAGNFVAGASAYGVRVGTAAGTAPHAHIAMYKVGDASGSDESVVLAGLDAAIKDGVDVVSLSMEGDESHFDQDPIAIGAFSAISKGIVVVCSAGNSGPKMGTVANDAPWLLTVAAGSVDRSFGSGTSMASPHVSGVAALIKSSHPDWSPAAIKSAIMTTSDALDNTGGLIMDEQHRRAGAYATGAGHVNPTRANDPGLVFDLGVADYAGYICELLGDHALSVIVRNSTMTCKNLPKVSEAQLNYPTITVPMKPTPFTLSRTVTNVGPAESTYTVEVEAPRSLIVHVFPEMLDFSKIGEKKTFDVSVSSSRNMEEGELLVEASLSWVSEKHIVRSPIVVVANLGRPPPS >cds.KYUSt_chr5.22548 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147107010:147112848:-1 gene:KYUSg_chr5.22548 transcript:KYUSt_chr5.22548 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGPALAWQQYRSLLRKNVTLAWRHRRSSALQLLSSLVFIFLIFCIDRAVRSRFSYTTAYQNVPDPDALVAPPIPPCEDKFFIKSPCYDFLWSGGGSARVATLVDAIRNNNPGRPIPSDKVLGFRTPDEVDAWLFENPMRCPGALHFQDIGATQFTYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLIIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSIMGLYESAYWLSWLTWEAFLTLISALFTVLFGMMFQFDFFLNNSFGILFLLFFLFQLNMLGFAFMISTFVSKAASATTVGFAIFIIGFLTQLVTTFGFPYSDTYEQYYRTIWSIFPPNVFAQALNILGKATATPEDKGISWNQRATCQSFETDCVITVDDIYRWLISTFFVWFILAIYFDNIIPNVNGVRKSVFYFLTPSYWTGKGGGKMREGGLCSCFGSNHPADDATPTDEDVLNEENLVKEKAAGNEIDPGVAVQIRGLRKTYPGSFSMGCCKCRTTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDALIYGHSVRSTAGMSNIRRMIGVCPQFDILWDALTAKEHMQLFASIKGLPPSTIKLVAEQSLAQVKLSQAANVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFSGNGHAQSPNVVNGSTETPVNPNIEVVKQFFKERLDVDPKEESKTFLTFVIAHEKEPLLTRFFGELQDREREFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGASIQIPKGARFVGIPGTETEEHPRGVMVEVFWDQDDNGSLCISGHSDETPVPANVELTRPPSLSRRASVARGDPVGYIIEPNQVPTTR >cds.KYUSt_chr1.28744 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173788075:173792938:-1 gene:KYUSg_chr1.28744 transcript:KYUSt_chr1.28744 gene_biotype:protein_coding transcript_biotype:protein_coding MFASLCRRRLLLHIRKIPGGGGGTNPLQSILGTIPPARNSYSSSAVADVSNSEPCPDTVSYLISCGLSPTAAAAATTGQRLRICSTDKADAVCALLRHYGFANADIIRTLRSAPSILIVDPERILRPKLDFLASLGFEPRKIATAPFLLARSLDKHLVPSIQFIRGIIGSDDDLRLGISRIPRALMADVDKNMRPVVEALRRCGLTEAAISKLLVIRMGVLMASPDRIREIFEELKAIDMRIPDSRFVYCFSAMWGVKRGTWRRKLELFQSFGVSEGEVLEAFKKQPTIVLLADESIKRKVQFLLDELKLGITDIMLHPVILSYSLDKCILPRCAVLTVLMREGKIQRDIKLLQALLGSSKIFSTRYVLRHADDVPDVVKAYEGIEDYYCVMVNLCDEDIPTSLLPPSLQVEDDPAVKLKSNEVRIGPMTRARAKLLKLQVNLFLNDTLIDEKFILPKCYYLCMIRYEEGASIARGGEKQLDQKMDVKLDMELDMKTSHGRAREERKECARGKAEVQAGARSGPTGRAAGPSGPRPGPTGSSAGSVRFQPAAALVPSGHYLMCTHASIPVDSVGPPRAEVCRTAASFP >cds.KYUSt_chr2.52977 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330549346:330549957:-1 gene:KYUSg_chr2.52977 transcript:KYUSt_chr2.52977 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQHHRLVTGTREAAATGDAPPSYIGAGGVDVVSRDAAAQALGAVVQLHFDKTVEKKRGADAQKQELWRLYLAFLLVLSVLLSGVAASPPGRLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSAGEVVAAADVEVPYQEPHQGYLGKFRRSWAVHFAFLIATFAFSVAAAVAILCF >cds.KYUSt_chr2.10619 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67554366:67555607:1 gene:KYUSg_chr2.10619 transcript:KYUSt_chr2.10619 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPLSTTWIHRRRPFLRPLVLVLAGIQPASSQSIFPPPTDGATFIDALTPPPPPPAAAGGLGSGTFNVATSILFVGVIVALFLVGFFSAYLRRCADAATGGQRGRGGAANANAAVAAAAAAAFSSGVNRSRRNPGLGVAAMEALPVLTYARARAVKAGRGALECAVCLAEFAEDGEKLRLLPGCCHVFHAACIDVWLAAHVTCPVCRADLADPAVAAAGHVLAADLAAQEETSDHTVVNVEPSQTMAEEDTTSDQHQETAEERVDRFTLRLPERLRREIEEAKRLRRAMSAVTAATAASASSGRWVPSALRTMSAARSSRRWSALFRAMSGPRRSEVGGSDRRVAPLQSNAAGDNVELVVVQDDAGQTDKYYAHSLTFAGFVIDGDVAAGDWNPEVFQVSSTIPAAAASQR >cds.KYUSt_chr5.44 pep primary_assembly:MPB_Lper_Kyuss_1697:5:387094:388741:1 gene:KYUSg_chr5.44 transcript:KYUSt_chr5.44 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSTDATAEEMPTGGVLLILLLIIAGAVAVFVRLRQRRGDRSGSAPSPPSLPLLGHLHLLKKPLHRSLAALAGAPDANAAPLLSLRLGMRRALLVSSHAAAEECFTVHDAVLAGRPQVLAGKHLGYGRTSVVWVSYGDHWRGLRRFFAAELFSSSRLGALAAERRSEVAFLVENLLHDAVAASSGIITLRPKLFELVLNVMLRAITGRRHAGDVHRFQEIVEESFAVSGVPSVGDFFPALRWVDRVRGVEAALANLQSRRDAFVTGLIDDHRRTRDAASRDVEKKAVIDVLMEHQQTDPEYYTDTVVKGIVLVLLTAGTDTSALTTEWAMAQLLTHPGAMKKARAEIDAVVGTGRLVKESDITNLPYLQCVVKETLRLCPVGPIIPAHEAMEDCTVGGFHVRRGTMVLVNAWAIHRDASVWDAPQEFRPERFLSRDAVTTPMLPFGLGRRRCPGEGLAMRLVPLTLAALLQCFEWDIAEGCTVDMAEGAGLTMPMATPLAAVCRPREFVKSVLSAST >cds.KYUSt_chr7.14853 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91921370:91928042:1 gene:KYUSg_chr7.14853 transcript:KYUSt_chr7.14853 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLFYFPDADLYINTGRPPAKFAACCFMILTVASVSLTGCFGNVAACTNLLRQRRSPFQAFSSPSAALKSCTVAVACDTVVFIVRPAGRAGSVVRVRHRPQGRPTRHPEAFGEVRRLRCRQRAGRATSRKASYDSCRWPVDVLFNGRGKMYLHTGWEKFARYHDLEAGYVLTIFYLGEADMSVKMFDDTRCHRHYHGDSDEDDD >cds.KYUSt_chr4.8484 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50839242:50843126:-1 gene:KYUSg_chr4.8484 transcript:KYUSt_chr4.8484 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLLPVLLLLLLASHLAADGDADALLAAKAALSDPTGGLTSWTLPPPPNATSTAHCAWAGVTCGTRGTVVGLDVSGLNLSGALPPALSRLSGLLRLSAAANALSGPVPASLGHLRFLTHLNLSNNAFNGSLPPALARLRGLRVLDLYNNNLTSPLPAEVVRMPLLRHLHLGGNFFSGEIPPEYGRWARMQYLAVSGNELSGKIPPQLGNLTSLRELYIGYYNSYSGGLPPELGNLTDLVRLDAANCGLSGQIPPQLGNLQKLDTLFLQVNGLAGPIPSELGSLRTLSSLDLSNNALSGEIPASFADLRNMTLLNLFRNRLRGDIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLVDLSSNRLTSTLPPELCAGGKLHTLIALGNSLFGAIPDSLGQCKSLSRIRLGENFLNGSIPKGLFELQKLTQVELQDNLLTGEFPAVVGVAAPNLGEINLSNNQLTGPLPASIGNFSGVQKLLLDRNLFSGEMPAEIGRLQQLSKADLSSNAIEGGVPPEIGKCRLLTYLDMSRNNLSGKIPPAISGMRILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPATGQFSYFNATSFVGNPSLCGPYLGPCRPGIADTGHTTHGHGGLSNGIKLLIVLALLAFSIVFATLAILKARSLKKASDARLWKLTAFQRLDFTCDDVLDSLKEENIIGKGGAGTVYKGSMPNGDHVAVKRLPAMVRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGEHLLWDTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLQDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVKMMTDSNKEQVMKILDPRLSTVPLHQVIHVFYVALLCIEEQSVQRPTMREVVQILSELPKQAGNPGEEELPHSDEGSASDPPTSSSEAPTGGAKDQQHTSSESPRTPDLISI >cds.KYUSt_chr1.26004 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156397271:156406521:1 gene:KYUSg_chr1.26004 transcript:KYUSt_chr1.26004 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKPAGKPLPPDADAALPADDPADPEAANGVDGAGADGDEKEGAKRAVPVFREFGLAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRLSWPDPQQFLAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRLRVAHYIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLSSKCLQFEARDRPNIKYLLSSVGPLQKQKEVASHVLMGITKTTSVLPTILSPLGKACAGMDLTAVHDILLKTGYKDDEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKTAIDYYSKLVGMMGVPSATVFARRSFSYLMNGQSELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFESKKQNSWRDARLERGGFSRDLPSRPHGKNNMSPSDDETEAQPPKKHKATGSIGDAAKKPKASAQKTLSLEAEKRKTLLLIKDDADVSIKMPVVKDNKAIIRVLKKILKDKDFQIDKLKKR >cds.KYUSt_chr1.28712 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173587172:173587666:1 gene:KYUSg_chr1.28712 transcript:KYUSt_chr1.28712 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPPLKRVKLHAKQNLFFDHQQQLSSTSKIWTASSTAPPHLGTATPASHGHQPRHQAADAAPRSATQPQLPRSRIRAGPDSGHHEVHGELRPRPPPPAHRDASRSTRPADDASAARLSTHASTARSRPDAFGGEAPAATAATASGSGGEEGRAEGSPGGGGG >cds.KYUSt_chr2.2692 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16079083:16080526:-1 gene:KYUSg_chr2.2692 transcript:KYUSt_chr2.2692 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPEPHRPSQPRLPYADADRTLRALAAGAEGFGRHAVGGLHGALYHVTSLQDDGHGTLREACRAKEPLWVVFEVSGTIHLQTYLRVSSHKTIDGRGQRVKLTGKGLQLKDCHHVIVCNLEFEGGRGHDVDGIQIKPNSTNIWIDRCSLADYDDGLIDITRQSTDITVSRCHFARHDKTMLIGADPTHVGDRCIRVTIHHCFFDGTRQRHPRLRFGKVHLYNNYTRNWGIYAVCASVEAQIVSQCNIYEGGEKKTVFKYMPEKAADREETAAGSIRSEGDAFLHGALPCLVDGPGVESVFRPEDYYDKWTMEVASPALKEVIQLCAGWQPVPRPPDC >cds.KYUSt_chr6.28699 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181880149:181882236:1 gene:KYUSg_chr6.28699 transcript:KYUSt_chr6.28699 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGAVGGEAFIHYAVEIAEFLNSLLPGGPLAKARIDFIVSHLISLLPPPSVPAPDDDGSSDSDDDHFSLSSSSSSDGGADLPAVVTAPPVDGLDHIGRLPDDLLSNIISRLPTNEAARTMVLSTRWRSVWAATPLLVDDAHLKATNESREMSALCAVRAVSRCVAAHPGPVRAVRITRTSFDEQEYALQRLVASLAAKNVQDLILFNRPVPFAMPLPDDILSCASLTRLYIGLWRWPFPDTTAHPPAFPNLHELGLFHTIIEDKEVDALLAQCPKLKILSYAMACNFPSHLRVKSSSLRVVVEWRCSFDDVIIDHAPCLERLLFDSTGDLPIKIVHAPRLEVLGFLDLQLHTLEIGGIVIRAGMNVRPCAMLPSVKILAVQVRFWNDTEAKMLPTLLRCFPRLGKLHIMSIWSKSPDAGDDMDFWESLTSCSCLESHLKTFLLHGFQGRKHEAAFIRYIFKNGKVLESHGIVYGDSDDVVVKGSDGSSSSDDVVVEEGPMSGSVGEGNASSAGSGGGDDVVVERGPMSGTVGEVNAPSGGSSGKYVSAHLASPCWSFQKAIDLSVEDPFYVLGPVIALLNVVDDETAC >cds.KYUSt_chr5.13030 pep primary_assembly:MPB_Lper_Kyuss_1697:5:84928097:84935559:-1 gene:KYUSg_chr5.13030 transcript:KYUSt_chr5.13030 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAEGGGVEEGVGESSSPPRYAASAPAASGPAASGGSGGGVAPGDICAQVYDRLVADGNDEATGPDFRVQLEAHFARLPYSYQLDINVDKSADVLVHQKVLMEAKDPLRRPAFCVRFLRIEDMDSAYDSDASDEGADDGDELSVRQDTPYTHIHEIVFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTVDGYSLDVFVVDGWPIEDTDGLEKALEASVLRNEGSWSGSSHSSAAERTPPFQVKGGEWEIDKRLLKMGDMMASGSCGDLFHGTYLGEEVAVKVLKAEHLNKNVWNEFTQEVYILREVHHTNVVRFIGACTKPPKFCIITEYMSGGSLYDYVHKQRNVFDLPTLLKFACDICRGMCYLHQRGIVHRDLKTANLLMDKDNVVKVADFGVARFQDQGGIMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELMTSKIPYDTMTPLQAAVGVRQGLRPVLPKSAHPKLLDLLQRCWETIPSNRPAFPDILTELEGLLEEVQGTKGETSEQPKDVSSPTN >cds.KYUSt_chr3.27732 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173195074:173199824:1 gene:KYUSg_chr3.27732 transcript:KYUSt_chr3.27732 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWISKVKEGQHLAEHELQTLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPDTNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTIDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTTEFNHVNNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFSDNMEREVKFFTETEENNQMRGPRTAVPYFL >cds.KYUSt_contig_195.171 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1073151:1074933:1 gene:KYUSg_contig_195.171 transcript:KYUSt_contig_195.171 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTDLTFMLRGLEKHLKTVSQIRGFDKSEKWHDLDVTTWPIVEHIEKPLQTDGALCWSLEETFLLQLFCGALDDANGFSDEQGYSRHDPVFDNPNAMAILAVRFFHPVMPINTLMDRWNLSRQVLQGRSRGVR >cds.KYUSt_scaffold_1700.89 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:636012:638850:-1 gene:KYUSg_scaffold_1700.89 transcript:KYUSt_scaffold_1700.89 gene_biotype:protein_coding transcript_biotype:protein_coding MHNAAHNWAAEFGKQNNNPEGWVTEFGKQNNNPEGWITEFGKKNNNPEGWARSFEQQYGPNGWASEFEQLSQGADKWVNREPIVFMANSVLLYELHRFLTLPSRLFVKPAGRQTPGLLSLGFEQQDVSGVVTYVGPAEADFCAPSGMREIAIVDSGHNTIFLRFFGESAYLLGDQLLSAKRNNAVVVASNMEVVHQSSVRQYSVLRHQSGST >cds.KYUSt_chr2.33451 pep primary_assembly:MPB_Lper_Kyuss_1697:2:206524126:206524722:-1 gene:KYUSg_chr2.33451 transcript:KYUSt_chr2.33451 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPIAGVLQASPPRSKGQLLGSPLPTKICCRGPPPSCGEQITSQGRGFEHPRRGQIMASSSEVANSRVVELEKIAPFRQQPAPDGDLINFSSPSSTSMARQREEEDADRPHPTAELDEPGSCRSRERSSASPLSLASKAGREKGRSKIHQDLAFLLLAAAAGQEKDLILASTAPAEIHRRFIEDGGSAPPSAVLQP >cds.KYUSt_chr3.24999 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155154917:155159440:-1 gene:KYUSg_chr3.24999 transcript:KYUSt_chr3.24999 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDQAPFFTEYGEASRYEVGEVVGKGSYGVVAAAVDTHTGERVAIKKIDDVFEHVSDATRILREIKLLRLLRHPDVVEIKHIMLPPSRREFRDIYIVFELMESDLHQVIKANDDLTPEHHQFFFYQLLRGMKYIHAANVFHRDLKPRNILANADCKLKICDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGRPLFPGKNVVHQLDLMTDLLGTPSAESISSIRNEKARRYLGNMKKKHPVPFSQKFPGVDPMALHLLERLLAFDPNDRPTAAEALADPYFTGLANSELEPTAQPISKLEFEFERRKLARDDVRELIYREILEYHPQMLHEYLCGGDKTNFLYPSGVDRFKRQFVHLEELGAKGEKTCPQLRQHASLPRERVIGTDGELEKTNADYCIRLHVGEQQGHTSVTDGLSKPLLNARNLLKSESIGASKCVVIKEKREKDEESMSDYMHEASDVVPNKIAQVKSV >cds.KYUSt_chr2.28555 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175252198:175252533:1 gene:KYUSg_chr2.28555 transcript:KYUSt_chr2.28555 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVKPSATTTAAAALLLLLLLAVAAKSAESAGQCGTLPSSCKHAVTNYWIDAAQRTCCDGLFNSPAECYCEVVARIRAEGLDVATVSCLGDASCPGSSSLRGSDDSSSTA >cds.KYUSt_contig_319.217 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:1563538:1567006:1 gene:KYUSg_contig_319.217 transcript:KYUSt_contig_319.217 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGSFGSALLVRHKVEKKRYVLKKIRLARQTVRCRRSAHQEMELIAKVRCPYIVEYKDSWVEKGCYVCIVIGYCEGGDMLEAIKKANGNHFPEEKLCVWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAALKHAFKAFPPPPRYSLDQTGQATRRASLSPHMFKTLEKRRCADILTRLKSPDVSVNAPRIDRIAEFPLASSEDPLRPIMKLLPSSTIDQSITKDKCTFQVLRSDSESRSSTPDLNLISIDNSPLGGSSDWRQKRFDTTSYRQRAEALEGLLEFSAQLLQQERFQELGILLKPFGPGKASPRETAIWLSKSFKETGL >cds.KYUSt_contig_97-2.105 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:469362:472034:-1 gene:KYUSg_contig_97-2.105 transcript:KYUSt_contig_97-2.105 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGKSGDQTLRELDALSHTLYQAHSNRRHASLVLPRSSGGGGGGGGDDAATADAVRAEVARPRTRRLSMTSPFRSRSKVGKKDPDDDEDDNLGAVPSKSQSFAAATSTAPVALAATGGGEKKKGIWGWKPIRALSHIGMTRLSCLFSVEVAAAQGLPSSMDGLRLAVAVRKKESRDGAVQTMPSRVQQGAADFEETLFLRCHVYCSGGGAGKPPAKFEPRPFILSVVAVDAPELDFGQSAVDLSALVKESTEKSREGERVRQWDMAFPLAGKAKGGELVVKLAFQIMEDGGVGLYSQPASTKTAGSSSSAALFARKQSKTSFSITSPKVSRSEPSMTPAKGSTLPDLSGIDDFKLDEPSPPVVPEPKQEQKTEQEQKREPEPQPELEADDDEFPDFDVVDKGMEGQEEKDETNANADAGSENEAKKDEEEGDNGPAPAGEEVVKEVVHDSASMWRLNELDAITNQIKALEALMLGDMPEDEADKPAEPKEDEAAGLDADEEEVTREFLQLMEQGDIDNAKSAPQVSSLKSGAKPGSGEADESCFISDLGKGLGPIVQTRDGGYLAATNPFDIPVARKELPKLAMQLSKPFILRGQKLPGGGAEVFQRLCAGGCEALTAKLGALTATDEVVGKTAEQIAFEGMASAIISARGKDLGASSSAAESVSLLRMMSSAMNEGRKERIATGIWNASEGPVTVEEILAFSLQKIETMAIEALKVQAGIAEEQAPFDVSPVTDNPDDGHPLDAAVPPEEWAIACIGADAVTMLVVAQLRDPMRRYEAVGAPSIVVIQASRAATSSDDEPRFKVANMHVGGLRLKSADRRNVWDGERQRLTASHWLVAYGLGKAGKKGRQAAAVKTGHDVLWSMSSRVVADMWLKPMRNPDVKIAAK >cds.KYUSt_chr3.46719 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293576650:293589629:1 gene:KYUSg_chr3.46719 transcript:KYUSt_chr3.46719 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGVNHGSLYAGGGGGRAGCGNCCQAVSGAGGCGEGGHRSQTVEGAAAGGRELVELVELTIGQCHENGLLLANVRRLGLWIPRRPAVVVFVVTALGLGGLVALIVDVRVLVLVLVLLFFCRDLAIKVVEVAEVSISAACVELPRPERNPVIGVQRVRRIFPEIDRQDGSAASHLVTALSAVAWHEGGGTDTRLELRYQPPPGSRVNTVDSGNGVVGKMGAVSEPSKGSDAISPSMSCHCDATQQRTVAKAKARHYLRGPEKLQRAARKLGSAEDEASVPLACPTRQFKERRPRIHPNQPTNMAFSSRTLAVFVCVILASLAFLAQDGLAARILAEASGQLHQLLTDLKQFGIRHRVSLYADDVVVFAKPCLHELETVLGIHDFFGRAYGPMVNYMKSMAIPSCCSTNNIHEIVPSLACPIRHFPCKWLEERTVKQLRLAKALTLPEATTVYEACRTMATRLVDAALLTDANGILSGIVTAEDVSGRVIAEGLKPEETNAAKVMTRNPVFVEANSSATDALQKMVQGKFRHLPVVEHGEVIGMLDITKFLYDAIARMEKAAEQGSAIAAAIEGVERQWKSEFAGPHKFIENLRGQMFKPSLSTIITENTSVPSVSPSDPVTAAAKKMREYRVNSVVVMAGSTLQGILTSKDLVWRVVARSLSPEVTRVEKVEEASGPNNVANTMVEKFWDSALASHPAEEHDSHSDESHNGTVASDDAGGKLIPPHVGNAFSYKIEDTEGRMHRFSCVSETLGALVSAVTHRLGMENEKAHVNLLYNDDEGDRVLLATDSDLIAAIEHARSAGWK >cds.KYUSt_chr5.36256 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229174913:229177586:1 gene:KYUSg_chr5.36256 transcript:KYUSt_chr5.36256 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERKRESAAAGAALVVVLECVAGSSKAEEWGGGGGVVQEGDVVESVRVGVGSAAASLDAPFKGGRAGLHKALHAAFKRGDTSVEVRVRGGKDLQACVLPHPAGGRKQYVLRSLHDPNYVLGFGDRLESDCLALQGNRGTRVASALSKATLQDGYVAYPWEQKMRDSLCAPNSSCCLSILILPKSLDQNACRYESFEDTLARANTWLSSSQASGIPIKFMNLQSEALLTKISGETASPTVNSGSLSDMSNLVNATLYGFEDYHGVDIGVVKAARIWYRSTAGEIPLEIQLQEGDTRLGFSVSRTEEGFIYISSVVEDDKGNEAPSTRSGLRDLFNRAKEASKLLIISRVSNEKVLPWMISSSGAVRCFDTISLSQKLSLHRLALHPIQLHLLMWEKPVGSMILSPKLPPQAMLTQVPFNFIESIEPRGDSEDYSVGDASFRLLDSPTSSWV >cds.KYUSt_chr5.12288 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80149925:80156711:1 gene:KYUSg_chr5.12288 transcript:KYUSt_chr5.12288 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFGSSAKVYQPAAEVDLGPGSGELYISPNVKAPRVAGLLVKIFVWVLELPIVGWVLLYILKKDNLINKLVSEAEIPETPLFTATHSWEDTPEQNVSLTKPDLSPAERVQEAVSCLPERLESTLATDGLKRWTIRDFSNAYSSGEITPVQVAKRFLAAVKESSGPTLNMGFFISCDPEDIMKQAEESTLRYQRGAPLSALDGVLVGVKDEIDCLPYPTTGGTRWLGKARPCEADAACVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSARNPYNVGRVAGGSSSGSAAVVCAGLCPVALGVDGGGSVRMPAALCGVVGFKPTAGRLSSAGVLPLNWTVGMAGILAGTVEDAAIAYSAIADQSQPSYLRPELNLPLLTSTPSISNVKLAKSAKWFNDSSDDIRACCDKALQTLNTQYGWETLEVTVPEIEEMRLAHYVTIGSECTASLSKYLDKLKRSEIGWDVRVALGVYGSFSSRAYLNSQRLRNRQMFFHKQIFKTADVIVSPMTGVTAPALQDDALKTGELDYINGGNFLGLPAITIMVTDI >cds.KYUSt_chr1.40799 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250223985:250224589:-1 gene:KYUSg_chr1.40799 transcript:KYUSt_chr1.40799 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAHLSFLLLSVVVASLVGSSAGVFHIVGAGKGWTIAPNQTYYADWARTRDIRVGDKLMFLYRSGVYDIVEVPTKELFDKCSMNNVTMRYQLGPTIIKLTEPGPRYYFCGVGKHCEGGQKVAVNVAPAVAAPAGLPVPTPPAALAQPAKKKL >cds.KYUSt_chr2.26070 pep primary_assembly:MPB_Lper_Kyuss_1697:2:159623760:159628556:-1 gene:KYUSg_chr2.26070 transcript:KYUSt_chr2.26070 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLPAFSSCILLLLLLASRASACERCVRQGKAAYSPSTIPTGGGICGYGAMAVETNGGFLAAGGPRQHRGGLGCGRCFQMRCRDAKICSSRGVRVVLTDFHRNNRTDFLLGGPAFVGLAKPGMAQQLTRLDALPIEYKRIPCDYNGKNLSILVEEQSKKPSKLVIKFLYQGGQTDILAVDVAQVGSSDWRFMSRVHGPVWTMDRAPTGPLQLRAVVTGGYDGKWVWADKEVLPADWQPGQVYDTGARIDDVARESCIGCARLAEGQAPAVNFEVNGHAYNKGYYLADGIYPKYATTFVKTIPTPPSEMDAYFATCQEAARKDVEHAFEVLQ >cds.KYUSt_chr4.14838 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91532318:91533836:-1 gene:KYUSg_chr4.14838 transcript:KYUSt_chr4.14838 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGILNSGRARGDWVGGCCTKERDRVDGAQPEAADGGVGHRQRQTQALALELHVRRLGKLRYCRLKSIGGGATCFRDHLGGIPADARECPNVPRNVCVAMTKSRDEAMRKNKEKENRKLRIERDIIEGMYPRVINIEDDDDEHIQMSIREQLKGNNVSHAIERRRVSGNGVRVSFGK >cds.KYUSt_chr2.9905 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62656267:62658229:-1 gene:KYUSg_chr2.9905 transcript:KYUSt_chr2.9905 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRKKAKPPVEVDRLSALPDELLHQIMARGGIRAWEVARTCILSRRWRKVWASAPCVDLRVFCNGTHRPPPENFSRFVKAFLRRRNRRVSLDTLRLLHVPTECDHEMEDDEEHEQPSYDASDVARWVTHAVQRRNARVIEVTDHPEHLSCFKIKKHVSFASSHLQQLKLWHARLGGNTLEDVSFHCPCLQVLELKECILEGSTNISSNSLSSLTQEKCRIWLDLSVNAPNLVSLRCVKPFSRAPSFGTMGSLSTATIILLDSFLETDTYHICKEHPDSKLGLSYSGDDASYLYDDFYGCDTDSISTCQYGELPDDDEHSSADSEDRSKNGSNSRLGGGHRNTTVSGGEKLLLSISNVTSLDLIAAPGEVILNRELKTCPMFSNLKTLFLGEWCLADDFGPLISFLDHCPILERLSIELKSGKDRAVAMPVSNRQLTGRLLACAYLTKVKIIKCCGDDVRVGALVRLFVDSGMDSLLTSTTEVAL >cds.KYUSt_chr3.15078 pep primary_assembly:MPB_Lper_Kyuss_1697:3:91983189:91983533:1 gene:KYUSg_chr3.15078 transcript:KYUSt_chr3.15078 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGDDDDGCQCRPLGLLIGLPFAALALVLSLVGAVVWILGSALSCLCPCCVCCAAAANLAVSLIQMPVKVIRWFIRQIPC >cds.KYUSt_chr6.6560 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39574774:39577563:-1 gene:KYUSg_chr6.6560 transcript:KYUSt_chr6.6560 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLQSFLPPSAQYATSSSRRRTGRARFVPCSAVSAPSSSAPAEAVSADRLEPRVEQREGGYWVLKEKYRTSLNPQEKVKLGKEPMKFFTEGAIKDLAKIPMEEIDADKLTKEDVDVRLKWLGLFHRRKQQYGRFMMRLKLPNGVTTSEQTRYLASVIEKYGKEGCADVTTRQNWQIRGVTLPDVPEILDGLRSVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRPYTNLLSSYITNNSEGNLAITNLPRKWNVCVIGTHDLFEHPHINDLAYMPAEKDGKFGFNLLVGGFISPKRWGEALPLDAWVPGDDIIPVCKAVLEAYRDLGTRGNRQKTRMMWLIDELGMEVFRSEIEKRMPNGVLERAAAEDLIDKKWERRDYLGVHPQKQEGLSFVGLHVPVGRLQAADMFELARLADEYGSGELRLTVEQNIVLPNVKNERIDALLAEPLLQERFSAHPSLLMKGLVACTGNQFCGQAIIETKARALQVTRDVEARVSVPKTVRMHWTGCPNSCAQVQVADIGFMGCLTKNSSGKIVEAADIFVGGRVGSDSHLTGVHRKAVPCEDIVPIVADLLVEHFGAVPREREEDEE >cds.KYUSt_chr6.11961 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74469202:74470734:1 gene:KYUSg_chr6.11961 transcript:KYUSt_chr6.11961 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLACSSWAASADLLAAALFTLSALALVSTSRRCSSAAHSAFDMPGGFVFFARIFLAGAFGGIVVDEKTRRRVVVDEKTPPGTGAGRRGDWGISAGANGDMQANWREWGYASKLEGKSTGFGFPVADYAGALEPMSQIHFSLNKGIKGGNSLCTVDDRDSSAEYTVANADQRPGRAQPTSWRSSALQLWGATREDGRVGESREQESGAVALSWRGAGRE >cds.KYUSt_chr7.13961 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86230344:86235324:1 gene:KYUSg_chr7.13961 transcript:KYUSt_chr7.13961 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPEMALSSAADGSDDEDGDQCRICRFPADADRPLRRPCACSGSIRFVHDDCLLRWLATSRQSRCEVCSREITISPLYAPNAPARLPVSEFVLGLANKAMGWAVLLLSLVFAMVLWEFVMPVTTLWTWRIALSRSFAQVRSLLSIRLSASSILADGIYRFRFMPSVDTIVACVSARRAFVRDLGHFRQRNGLARIAADAVAPLALWIARLEARLQNRFGGMDSLQVLALHTVEASLMVVMVDIAIACIFGFIPFSLGRIILWCISCFSFGNTAEVNPNASTGYILFIGYKLIFSLGVTFAGMHTFRQYSRGERLLIAVFFKASVNVICWLLSPFTRLPGIHVMVRKAFSLGQKLFRGIANLITITNISLNLINMAIIFPLLFGWSLDICTSKMFDATVYERFKVLWASSFSSIALHWLTGCILYELRSMLPSLLRPILTGFNIPFVQLAEEENENLGLREPFLKFFLKRLPGLLVGIVYLGMVIFVPVQTACRLAPKLFPLDITYFDPPTKGTSLWQAPRNYAELLSGAILLRFLICNTLNYLKPGTLVEKILRIWFASTGRALDLLDLLIVQPDGACGHEVGNTVVPNDQYGSTYEAKAKSSSDATCNASMVDCCAVTGLRWFAHILISFLLLLKAHWRTDASFLAYFIDGRWDPKLTRAREDGFAGLRAMWVLQDVLVPITMKLVTALGVPYMLAKGLFPRFGYSDAVNSAVYHFAWLGSLAVCALCYLAKAFYRVLVKLHDSIRDERYIIGQRLQDYTDNS >cds.KYUSt_chr2.32959 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203320440:203321012:1 gene:KYUSg_chr2.32959 transcript:KYUSt_chr2.32959 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIGAATNPRRRRCKGRPPVLQPRVGGAAKAGRPQPPVAGAAKAGRPCCNLLSLELQMLAAGAAKAGRRCCNLPSPELQRSGDGASGGCGRWSDERCGALLLGAGGGHCRVRPWLDGARQQLELGASSDGLQGYERCAALLLGAGGGAARSCKNILAGSGRSGTKLIDSRNRVLQAMRTGATRVVRRCC >cds.KYUSt_chr2.42340 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263683537:263685135:-1 gene:KYUSg_chr2.42340 transcript:KYUSt_chr2.42340 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSPSSPISKSSSTPSPLSSMAPPPVPAHPVPSPPFAPPPPERDHTQDLPDEILTLVFASLTPPDRNACSLTCARWNEVDAATRHRLSLDARAMLGHAAPALFARFTAVTKLALRAARGSGADSLSDGGATAVAAALPSDRLSRLKLRGLRQLSDAGLAHLAAAAPALRKLSVASCTFGPKAFVAVLHSCPHLEDLSVKRLRGLPDTSGAGAAITEEILFPPASALRSVCLKDLYSALSFVPLIASSPNLRSLKILRCSGAWDLPLELIAARAPGLVEIHLEKLQVGDRGLAAISTCTNLEVLFLVKTPECTDEGIISVAQNCHKLRKLHIDGWRTNRIGDRGLMAVARGCPDLQELVLIGVNPTVQSLRMLGEHCRVLERLALCGCETVGDTEIICLAERCAALKKLCIKGCPVSDRGMGALNGGCPSLVKVKLKRCREVTYECVEQLKIVRGASFSISLDIVLEHVVGAPSENGAQQAGQAQVTELTGQMAAMDLPSNAAGAQSFAQANSRMRSVMSILRRRFGNPPPM >cds.KYUSt_chr1.6363 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39218279:39221377:1 gene:KYUSg_chr1.6363 transcript:KYUSt_chr1.6363 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIDGKAIAAEIRREIAAEVAELSSAHNIVSPFPPASTFHRTNQPTTELRIGVATLTTLIWFLLLLLLSQVPGLAVVIVGSRKDSQTYVLMKRKACAEAGIRSVDVDLPEDISEAALVAEVHRLNADPSVHGILVQLPLPKHINEENILNEISIEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKGCMELLSRSGVTVKGKNAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPNPEVIVRQADIIIAAAGQAMMIKGDWIKPGAAVIDVGTNSIDDPTRKSGYRLVGDVDFTEASKVAGHLTPVPGGVGPMTVAMLLKNTVDGAKRGIVS >cds.KYUSt_chr4.28498 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178939740:178949184:-1 gene:KYUSg_chr4.28498 transcript:KYUSt_chr4.28498 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHMERKEEATEIAPFDPTKKKKKKKVVIQDPADEVDKLAEKTESLAVAESGEPSFTGLKKKKKKQVELDPSLVEAADGEDTIDDQIGEDEQGEGIVLGGVTQYPWEGTDRDYKYDELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQVMDPEYAPGFLDLNEPIPEDVSVFDDLQEEHTPVNTARTSSNADVTKSSEQSKHASGSNIGASSGQSKHASGSNIGASADTNPITGETLSTEDSGGDDDDEVQSTPVSQTEVQTPYPGMIFDSWDEAKMHYNRYAKKLGFSIKCSTSKNSTLDGQKDKQMFVCNKNGKNEDINMQEAAPVRQRNKSITKKTECKARLRIKRKGTKWHVTYFIEEHNHNMIKKFSLKKYLRSHKGIPKEERDFVKLLHKVNLSAGRVMRIMGEVYGGLANVPYDSKSVSNFMATINEDQTIKDMSKLLSHFARIKKEDPDFYFNLHTDHADKVDRIFWVDGPAIAAYKNYNDCLSFDTTYMTNMYNMPFAPFIGINRYCQSIQLGCGFLKNENVESFVWLFQEFLEAMNGLQPQNFITDQDAAMRSAILAEFPNCCHRNCRWHIMQNAQAVLGNFLSKHEELRQELNAIIDYSMSVDEFETRWADMLRKHNVADNTHLADLYHLRATFVPAYFKDRFFPFLQTTARSEGFNAVLKTYSNPHYSMHHFFEQYLKLQEKINVAEDSVEFLDEDKTFRVWGDYPIEEQALKVYTRPIYLRLRAELRKVTSYNVHLIGGQSYDVLPIKAYVYGYGSRSYQVEANVETETYSCECCKFSRDGLLCCHIFRVMVQLGCINKIPEKYILDRWRVQEENIVEEKMDLPKQPVGRKMNNKERQQLRYGTLCNDYTRVARMASTSEKGKAIADKYMLALEKELLEMKASESAKRKKKKQAAPSFDEGPDVENVGDDGQGNSSKFDHVEDPVYIAKQGRPAEKRKKSGLHLKATKVVKCSVCGSIQHTAATCKDKITPGPESKEIDFFRDMV >cds.KYUSt_chr7.19471 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120765942:120771025:1 gene:KYUSg_chr7.19471 transcript:KYUSt_chr7.19471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 hydroxylase, Anther cuticle and pollen exine developmen [Source: Projected from Oryza sativa (Os08g0131100)] MAALRPRLPSAPAIATLAGVPRRHAIPQEEAPPPRRHANTAHLNALLTSYGRRGRLRDAQELFDRMPRRDVISWTALLTAYADNGDLASARLVFDDMPRRNAASWNALLSVYLRAGQPAAAHALFCKAPARNAVSYGAVISGLARAGMLREAEAVYAEVPRHWRDPVASNALLAGYLRAGELGMALRVFEGMTVRDVISWSTVVDGLCKHGSLSEARKVFETMPERDVVSWTSMIRGYVQHRMYRDSLLLFLDMRRAGVQVNTTTLSVALDACAEAGLVREGIQIHGLIIAIGFEIDVFLADSVIILYSRFGWMVDARRVFACMKWKDIVSWNSLITGYVQHNMVEEAHVLFKLMPEKDVVSWTSMVVGFANRGWMRESVELFEQMPGKDEVAWTAVISSLTANGDYLSAVRWFCRIAQEGCKPNTIAFSCLLSALASLTMLNQGMQAHAYAINMGWVFDSSVHTSLVSLYAKCGRLAEAYRVFSSISRPSLFATNSMITAFAQHNFAEGAFKLFTKMQIDGHKPNHVTFLGILTACSRAGLVQQGYKYFESMRSVYGIDPNPDHYTCMVDLLGRAGLLAEALEMINSMSQNDHSDAWAALLSASSLHSNLAYAKIAAQKLLEMDPYDATAYTVLSNMFSSAGMKDDEAVLKVYWRRFNRMRLRLPPGPPRWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEIFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTKRLESFAAHRAEEAEHLCQFVWAKSQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGLQSAGPGEAMEFMHITHELFFLLGLIYLGDYLPAWRWVDPYGCEKKMREVEKKVDDFHQKIIDEHRKAREARKSASLDDDCDDSKEEMDFVDVLLSLPGENGKEHMEDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRKIQEELDAVVGRSRMVVESDLPHLTYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYDIPAQTRIFINTHALGRNPRIWDDIEEFRPERHLPADGGRVEISHLPDFKILPFSAGKRKCPGAPLGVILVLMALARLFHCFDWSPPDGLRPEDIDTNEVYGMTMPKAKPLIAAAQPRLPPQMYGSCPSHGMQM >cds.KYUSt_chr5.21041 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136902936:136904559:1 gene:KYUSg_chr5.21041 transcript:KYUSt_chr5.21041 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRAKVEDSAWVADVEKALTAGDLSAEVALWRWHAIYRVPACIKDLNRKAYQPQVLSLGPFHHGEPHLLPMDLHKRRSLLHLLRRARKPLSEFVAAVAGVAEQLEGAYQGLVDDWRCKEEAEMRERFLELMVTDGCFLLEVMRTASGWEVNDYAPNDPVFSSHGLLYTVPYIRRDMIIIENQLPLLVLDKLLAVETGKDGNEDLINRMVLRFLSPAAWPPVTGIGLALHPLDILRRSLLYGPTQTAPPAPPHHSAPDDIIRSAEELYEAGIRFKRSQTSSLLDISFRRGVLRLPAIMVDDTTEYMFLNLMAFERLHAGAGNEVTAYVFFIDNMIDTAADVALLTCRRIVHNTVGSDKAVAKLFNGMSRDVVLEPHSALDDVHRQVNAYCRKRWNRWRANLVHTYFRSPWSFLSLAAAVFLLGMTVMQTVYTVLQFYQDATTT >cds.KYUSt_chr2.49591 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310325497:310325859:-1 gene:KYUSg_chr2.49591 transcript:KYUSt_chr2.49591 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRGLGAVSEDAAADVVVLSASSASPLPTEIMVDSGDEFFYKNFIDTFSDDESDDDFLTEVALLIHEHNVT >cds.KYUSt_chr3.15352 pep primary_assembly:MPB_Lper_Kyuss_1697:3:93857283:93861536:-1 gene:KYUSg_chr3.15352 transcript:KYUSt_chr3.15352 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDDPLDFEGDNDPLLSTPRPAKRKKVIGLDDLLVEFCETGKDELKASAAKAKAKGRPKGYNSDDEDEHVTEKEISFCKFVEECEEKAKALDPGEEVPQWGQQVFGCQKSPTILNGTGVENCQLLQSFCGHEHLGFDLEIEKGEGFLEGMLIDGWLLKLILLYGSVEDSIASWTLTKCTSDGGPPQNITAWLKLVSACCKISTSEWECSCLMVAESISKRVRMDLNCLRIVDCITGTNDRSKFLRSQLALQLLKNSFGLKVANVEKILKSVTSTNVKEKECNFFMLYVHLVLMDNLLFSSDAFRNKPAIIEAWRTYLRNCSTQIGCTDWRFYASKVRNKASYLLQGAISKKPAAGGGSVSTQ >cds.KYUSt_chr3.23946 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148582283:148583170:-1 gene:KYUSg_chr3.23946 transcript:KYUSt_chr3.23946 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVAILALFLGLCVSQLGGSVAQQYWTPANATFYGGGDASGTMNGACGYDNLYNDGYGTNSTALSTTLWGDGKSCGACYAITCDASRTKDCKPGTSITVTATNFCPPDNSKPSDAGGWCNSPRQHFDMSEPAWESIAQYRAGIVPVNYARTTCRRTGGIRFTITGHDYFDNVLVTNVGGSGAVSAVSVKDSTTDWTTMSRNWGANWQNGAYLTGQSLSFKVQTDDGKSIEADNVVPAYWKYGDTYESYNNFY >cds.KYUSt_chr7.25522 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159236547:159236882:-1 gene:KYUSg_chr7.25522 transcript:KYUSt_chr7.25522 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSVESKPRSNSIDPEMPPRADDIVPGVIDDDGQIIGQAVLSEEERRLVEQVVALNMAPNQYERSGEESRGRDTTEPIIQLPDEEGQKMGVEEAIVSICADMMAHVQRL >cds.KYUSt_chr3.17582 pep primary_assembly:MPB_Lper_Kyuss_1697:3:107793368:107799309:-1 gene:KYUSg_chr3.17582 transcript:KYUSt_chr3.17582 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGADYAKYKNPKQPLNKRIDDLLARMTLAEKIGQMSQIERENATAGVVREYFIGSVLSGGGSVPSTNATPEAWVGMVNEMQRGALSTRLGIPMLYGIDAVHGHGNAYKATIFPHNVGLGCTRDPELAKKIGAATALEVRATGIPYVFAPCVAVCRDPRWGRCYESFSEDPKVVQLMASVISGLQGEIPTGSRRGAPFVAGGGKRNVAACSKHYVGDGGTAGGANEGDTAATFHELLSVHMPPYYTAVAQGVSTVMVSFSGWNGAKMHANRFLITDFLKTTLRFRGFVISDWGGIERITTPKGADYMLSVKLSIMAGIDMIMIPYTYTEFIDDLSTLVKNGTIPMSRIDDAVRRILRVKFTMGLFENPYPDNSLASELGKQEHRDLAREAVRKSLVLLKNGKPGEKPLLPLPKNTGTILVAGSHAHNLGYQCGGWTITWQGVGGNNLTSGTTILDGIKRAVGHGTEVVYTENPDAGFMRQNEARFDYAVVVVGEPPYAESFGDSHNLTIPAPGPSVIRDVCGSVRCAVVLVSGRPLVVEPYMGAIDALVAAWLPGTEGQGVSDVLFGDYGFTGRLARTWFRSVEQLPMNVGDARYDPLFPFGFGLQTRAYGR >cds.KYUSt_chr1.32961 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200082454:200083273:-1 gene:KYUSg_chr1.32961 transcript:KYUSt_chr1.32961 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGMFLLLAAAATLAVVAAAAVAPSCERIECPAYDVVDGANGFEIRRYTDAMWVSTAPIEDISFVDATRSGFLQLFKYIQGKNAYNETIEMTAPVLTKVAPSDGPFCASSFVVSFYVPAKNQPDPPAADGLTVQRWAGARYAAVRRFGGFVADADIGQQAALLDASLQGTKWAASVSDGGSGAGPVSYTVAQYNSPFEFSGRINEIWMLFDAKATSSDM >cds.KYUSt_chr4.20236 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127199204:127201479:-1 gene:KYUSg_chr4.20236 transcript:KYUSt_chr4.20236 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMGATSLLLVMSSSESDMGSRCGTHNDARAFRQRYCKRGSVSRWIWGDGQGGGRTRGGAGVGDPRCKIGENGGVGDPSGGWGARWSRAEALAPEYWDARDWDSSIESEGDEPLTDGEEDLQFLVDGELEAASDDDLFSWEADLSSDEEEE >cds.KYUSt_chr5.4496 pep primary_assembly:MPB_Lper_Kyuss_1697:5:28710866:28719273:-1 gene:KYUSg_chr5.4496 transcript:KYUSt_chr5.4496 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGRVERIASTIRVIPDFPKPGILFQDITTLLLDPQAFRDTTDLFVERYKDKGITVVAGVEARGFIFGPPIALAIGAKFVPIRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVQPNDRVVIVDDLIATGGTLSAAAKLIERVGAEVVECACVIELPELKVQELCSIEEGDIRGGHPLAFASKNSKSYIHISMEAGGLISEACWTMFDFLQQGEESDIMAQLLGTFPSHEEEGQQDLPWYQASHQPYYDCNPNTSACSESNTSSLAIPSQSMGYYLGDSGETLGISSCIAPDGLNLVQEQGPTEYMNMIPNISHDLYGNGELSCEDLDSVGATNKRKHSTEEEIDGQARGRKCTRKAEPKRSKKARQNEASCCTSDNDSNASQAESAEADGVRPKGKARAGRGAATDPQSLYARKRRERINERLKTLQTLVPNGTKVDMSTMLEEAVQYVKFLQLQIKVLSSDDMWILELRLGHRRGSVEVSMASDGRVEWIVSTIRIIPDCPKPGPGQVGNRQIGIEDTLMDWWLQAKQQTPKQLGKGLASAVLLTPWMIWKHRNDYIFQGTPTFCPLPDIEDQGRGQALGTGRSQRALSSLATYLGCALS >cds.KYUSt_chr4.2633 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14736441:14746170:1 gene:KYUSg_chr4.2633 transcript:KYUSt_chr4.2633 gene_biotype:protein_coding transcript_biotype:protein_coding MGHWFCCNCRFRDEDIDEVEHSKAQGNKNDGKHKSSRPADQPEPDISPPTIDVPELSFEDLKQKTDNFGSSSLIGEGSYGRVYHATMDDGRQAAIKKFDASENEPNDEFLKQVSLVSRLKHDNLVEMLGYYVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWTQRVKIAIEAAKGIEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCIDPRLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALTPLLTQRAATPAASEPVAPVTEA >cds.KYUSt_chr1.1619 pep primary_assembly:MPB_Lper_Kyuss_1697:1:9023351:9024507:-1 gene:KYUSg_chr1.1619 transcript:KYUSt_chr1.1619 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVVVCRRRKSIKSSSKSKSGDILSIWNFDGKIAFEDIISATENFDEKYCIGVGGYGAVFKIELDSGVIFAVKLLHLMEEYSDEGTFHAEIEVLTKIRHRCIVKLYGFCCHSHCKFLVYDLIERGSLLSILHEQELAKELDWPRRVAVVRDVAQALSYLHHDCDDPIVHRDIKSSNILLDLDYKACVSDFGMARKLKNGYSSWSTIFAGTCGYIAPELSSTMVLTEKCDVYSFGVVALEVVMGKHPGDLLLPFFCRTEQPTKLKDVLDQRIAAPLSIDEEKAVILVALVAFACLQVNPKARPTMQQVYQALSNRSHIALRPLHEIRLQDLHDYCGSIKSI >cds.KYUSt_contig_6503.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001320.1:70962:73827:-1 gene:KYUSg_contig_6503.13 transcript:KYUSt_contig_6503.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGADKSGSGGGAAPVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNGHVSILTPLI >cds.KYUSt_chr3.10038 pep primary_assembly:MPB_Lper_Kyuss_1697:3:59227174:59235863:1 gene:KYUSg_chr3.10038 transcript:KYUSt_chr3.10038 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRRQQQSILSFLHPRRTPAGDPLGPAPGAGSTPERPPRPPAAASVDGIMERLARPPSQGRNKDASQVRHVEERALPGKNQRISDERPSALFSGPYSDEYSRETTLFADVSPLQEPLKRSTSSSMDKFVRASTLFPEPGSDEALFQECPKKFSSESPNNQYTKAASMFEDFDVQTPSQDPLRRIFSGPFRGADTPLSEYGSDPTQHPSKKLPLVSSSGEHVRAATPLGLGSDDSPTMNHSKKLFTGSSDSSYIKATNLFAEFDSNGTPLQNQSKKFPIFLNAKHTGAPDALFPELDSVSLKPETPLTRAVTPRAKRVQQDHSPLWGSNKKVKSAQCSPVEKMVHDEMAEGARSKFEWLNPPNIRDANKRRPEDPLYDKRTLFIPPDALRKMSTSQKQYWTVKCKYMDVVLFFKVGKFYELYEVDAEVGQKELDWKMTISGVGKCRQVGISESGIDDAVEKLLARGYKVGRIEQMESAVQAKARGPNSVIERKLVHVSTPSTAADSNIGADAVHLLALKEVTIASNGSRVYGFAFLDYAALKIWVGSLEDDDSSAALGALLVQVSPREIIYESSGLSRESRKSMLKYASAGSVKMQLTPLSGADFSDASQIKMLVHSKGYFKASTDSWLSALDYSVNQEAVICALGGLIGHLTRLMLEDALKNGEVLPYNVYQTCLRMDGQTLVNLEVFSNSFDGGSSGTLYKHLNHCITASGKRLLRRWICHPLKDVNAINRRLDIVEGFIQNCGVGSITLEHLRKVPDLERLLGRVRSTVGLTSAVMLPFVGDKILKRRIKTFGMLIKGLRVGINLLSVLRREDHGISALSKSVDIPTLSSLDELVHQFEEAIDNDFPRYQDHDIEDDDANTLAILVELFVGKASEWSLVINAISVVDVLRSFAAMALSSSCTMCRPRILVKEKVPILRMKGLWHPYAFAESATGPVPNDLSLGQDLSGHNRFAFLLTGPNMGGKSTIMRATCLAILLAQLGCYVPCTSCELTPADSIFTRLGATDQIMSGESTFLVECTETASVLQNATEDSLVLLDELGRGTSTFDGYAIAYAVFRHLVEQVRCRLLFATHYHPLTKEFGSHPHVSLQHMACMLRPRSGGSGEKELTFLYRLASGASSESYGLQVATMAGIPMSTVEKASVAGQMMKSKIARNFKSSEGRAEFSTLHEDWLRTILAIGGVKDGHLDEDTMDTMFCVAQELKSYFRKGGR >cds.KYUSt_chr7.29400 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182915770:182923370:-1 gene:KYUSg_chr7.29400 transcript:KYUSt_chr7.29400 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVVRKDAGGGAAFGISCLDIKSFIASLVLLTLIMALWQLHPYQPILAITRSSSSSCPILPPTTTTVTFTLPTTAAASVPASNSTADRGPSTTAATATRPPTSPPPRLLKDPNKRELRPYGSAAALFVQMGAYRGGPRTFAIVGLASKPAHVFGTPYFKCEWLPNRDPAGGAPRPVRTKAYKMLPDWGYGRVYSVVIVNCTFPSNPNAGNRGGKLLVHAYYSTASRRYERFLALEEAPGSYDEARFRPPFPYQYLYCGSSLYGNISAPRMREWLAYHAHFFGPSSHFVLHDAGGVSPEVRAVLDPWLKAGRVTLQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRTLEEVLGQLEQYTQFTIEQNPMSSKLCVEDPTKDYSRQWGFEKLVFRNSITGVRRDRKYAIQARNAYATGVHMSQNVYGRTTHNTESLIRYYHYHNSINVMEEPCREFVPKPTNGSTVMFEGVPYLYDDNMKRLAGDIKHFEEVTVGAVYS >cds.KYUSt_chr4.48622 pep primary_assembly:MPB_Lper_Kyuss_1697:4:301136238:301136883:-1 gene:KYUSg_chr4.48622 transcript:KYUSt_chr4.48622 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRMYPKIEATARHGARKENAKVRNRRHQKKFNGTETKPRLSVFCSNKQLYAMLVDDHGKRMLFYASTLQEAICGEPPCSTVEAARKVGEELVKACKELDISEVSCYDRNGFARGEKMMAFEDPIAQHGFLPR >cds.KYUSt_chr4.50696 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314058681:314059623:1 gene:KYUSg_chr4.50696 transcript:KYUSt_chr4.50696 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVTVYGPAISPAVARVAACLLEKDVPFQLQPVDMSKGEHKSPSFLKLQPFGQVPAFKDHLTTVFESRAICRYICDQYADRGNRTLLGREEDGAVGRAAIEQWIESEAQAFNPPSLAIAFQLTFAPLMGRATDMAVVEQNQAKLAKVLDVYEQRLAESQYFAGDEFTLADLVHMPNTEILASKTVTAGLITERKNLSRWWDEISGRLSWKKVVELQSAP >cds.KYUSt_chr6.32751 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206377982:206381011:1 gene:KYUSg_chr6.32751 transcript:KYUSt_chr6.32751 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQRAAGSLLRRSLGLAPPTSQRALSASAAPAAAVPAAAEGEAAKAKRAKKKNLFDVVQFLPDWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRHKAGVQVADAPIKISGVNKRGWKYIKASQKTVQDTPKVETPAAA >cds.KYUSt_chr2.53926 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336626194:336626889:1 gene:KYUSg_chr2.53926 transcript:KYUSt_chr2.53926 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVPVWFISLAALGALYLSAACIPLLAHLAHLALCLRRPIDLRRRYGTWAVITGPTTGLGKATAMELASRGISLVLLDLDAANLQSVSESIARTHPSIQTKTVVFDLSLVGTAAGDQSIQELREAVEGLDVGVLVNNAAVARPGVLYVHEADMERLARMIRVNVTGLTEVTAAVLPGILQRGRGAIVNVGSGSTVAVPSFPLYTVYSATKRWVPICIRENSFLDPLTFY >cds.KYUSt_chr3.15815 pep primary_assembly:MPB_Lper_Kyuss_1697:3:97129590:97130564:1 gene:KYUSg_chr3.15815 transcript:KYUSt_chr3.15815 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPKSSSARRSWHTLFPDDAPTPTAPSSPPPALRTLPQSQSATNLISSPQLINKSPGQKMDEAKASQQPRAGDPSANEVVQEVEASANDRVQEEKEAPKTDLPASGPESTPLDVAAGDKKKAVAKGKVAGIRVWSVEDEFGILESLAAFVKAYGKPPGRSQLCEVVRGHVVDKKEFTKTQIYEKVRGLRNKYYTMRTTAAAAGAPPPGDADDLRKYDLSSKIWGDSLMLPKREIKENSSGQPLLRREFEELRDMYPHLTLMVEEIAGGDRCFLKRAFEFIDDGTARQLDAKLKKQRILKMKIHEDRTSITREVLSTLVEYMA >cds.KYUSt_chr5.1259 pep primary_assembly:MPB_Lper_Kyuss_1697:5:8710734:8714058:-1 gene:KYUSg_chr5.1259 transcript:KYUSt_chr5.1259 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKKGENLGRALIRQQNRAALEAKERGEALASSRRRAPPLESVIDVGEIDAVLQRAAEEDRLHSALAASAPSDVVIDLDATGETDEQRRRLRKEHEKMHASSLGVPRRPPWHNQMTLEELDASEGQAFLVWRRNLARLEENDKIVLTPFEKNIDIWRQLWRVVERSDLLVMVVDARDPLFYRCPDLEVYAKEIDEHKRTMLLVNKADLLPLNIRKRWADYFKEHDILHVFWSAKAATAKLEGKMSGEHSGEDPVSLDMDTKVYGRDELLMRLQTEAKSIVAQRKISTVKQGHEASSDSVSSTAKHVVVGFVGYPNVGKSSTINALVGQKKTGVTHTPGKTKHFQTLIISEELMLCDCPGLVFPSFSSSRHEMVSCGVLPIDRMTKHRDAIQVVADRVPRDVLEQTYKITLPKPKAYESQSRPPTAAELLRAYCMSRGHVGHGGLPNETRAARQILKDYIDGKIPHYELPPGDEMELRETTSAADESASYDSNEQDDAAGPDMRHVLSDLESFDLATEGSKAAEKKQQQEASHKQHRKPQRKKDRSWRVGDDGGDGTAVVRAFQKPTVNTPAVSVSGDVQHDTPTVLTV >cds.KYUSt_chr6.5780 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34712345:34713559:-1 gene:KYUSg_chr6.5780 transcript:KYUSt_chr6.5780 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWAAEEDQKLTEYIVKNGHGSWRTLPKLAGLNRCGKSCRLRWTNYLRSDIKRGKFTPEEEQTILQLHSVLGNKWSAIAKHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRADFFAALPQLIALANLRKLVEQRPWEDNTSSHLQLQADAVQAAKLDYLQCLLQSAAAIVSSPTSSSIKTIPTDLEQIGLLSPSQMSSSSSLSSPRILESINGQDFLTGQLPDIQIPSSSFYEQPIINGGNQNSDYTANNGAGENSTHKPLFMSENSLPPLADFPICNFGDACSTSRSDADGHSAQLPIWSESFYDHFMSEFA >cds.KYUSt_chr5.10831 pep primary_assembly:MPB_Lper_Kyuss_1697:5:70069802:70072207:1 gene:KYUSg_chr5.10831 transcript:KYUSt_chr5.10831 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQISTRDRSLVPQIPQAVEIPEIPLLSVGLQTMSLSDQDQQQQSNNQEEEKFTLLMKIACTGGTPKFLSLISIQQAMTRAWRNNYHKVSQVNQFVFKAHFTSFEAMMFVFTKQPWTVGSDILLLEFESSGKEIEKGDYKFDFVYATVRAYGIPKKHRSFKILKDILNLVGSQSEFHELRQVMLDSRPDYIWGIAKLKIGVPVFDRVKLLYSVNEAGITYLNYEKIGRICLFCGVMFHTVGNCYLRQQIVTKKIQSGQEDQAQQVPFQRYGSWIIEPADIPSDFAAHGQGSNAYQITRVDNAQRVAGSTPSKQKTNEESSDAMTRKMLQFGGKSSAMAEGQQLEERIVHPPVRIQDGNRSQDGALINSEFHGGHVGWTVGTTQNAGQGTLEKIPGMNEKSGGPDTVIQQGQFSPSGSMCLTTLPYPLEEEARILENLGRTAGHGLLCLGKEPLQFENTYQIPRTPPVNLQSTMDPSAQMLPVASPNSHPLTHYNPTTPIPTPHSLSPAKSPPKRSGAPLELLSSPAPKRSATATGQDGQEGGADLKAVQIPPGGAANAANQILLPIEPSATFGAGGASGAAPSDVQGTIGVRGGGGGILGARPSFSNKSRSNPSLSTTRGRARRRPSGWDIPECANVGASHRAAGVTHHKPGASTWHRLRKPEEVALGSTGGRDSGSAVGIFGFTNTGSNCPSPALSSSTDRADHDPWPLHTPSPSQTMTSQDTRHGGFSPPPMASEYYVGVDGCFGSDAAGSQANVQGQVQLGSSSNAATQVMCDDSGRTSVDMDLEAAAPALKAPRAP >cds.KYUSt_chr3.15198 pep primary_assembly:MPB_Lper_Kyuss_1697:3:92796374:92797735:-1 gene:KYUSg_chr3.15198 transcript:KYUSt_chr3.15198 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSWIILGNVARVSGTDAGADDLPPGADLALALAVPPRVTLLTVPQRVSPDPDQYPMVLSLDPSGLILLSTPPPSSPRPDVQSLSSLSASSSDDSDGEEVTLNITDIAPRNPPPSSPRVSDGEDEDDYAVTLNITDIPPNGYYVCNPSSATATATATRLPDYGRGFLDDRCAGLIASPSSPTHYMVVELQPLNGSDEATLLRFSSATGEWEEKDVAYPPSGGLWCSDAVVAAGGRLCWVDLSWGVLSCDPFADEPVLDFGEFPQGGRLRDGLGCLHCADRELSTRRCAQVSAGRFRLVELSCGDHGSRRRAVAFRPWMRLRQSLSRSHRKAPRIVMWTLADSDAGEWKVEHRVNFADIWADESYKETGLPKKAPVLALVHPNNPDVVYFFLGKHLFGVDLRARKVVECEVYKLVGPGRKDVSSACVRAWELPAVLRAGTIIIHMLSAVVA >cds.KYUSt_chr4.10320 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62461690:62462992:1 gene:KYUSg_chr4.10320 transcript:KYUSt_chr4.10320 gene_biotype:protein_coding transcript_biotype:protein_coding MPMATAVLLRLAPLPLSLRPSPRALSSPRPALLLPLCRHRGRAAGAIRAPGDGLAHQTTVYTGVYGPWSVDDADVREVLLYRAGLVTAAAAFLVASSGAFLPTGNPAGDAVRQGADLLYAAGAAGLGLSLVLIHIYVTPIKRFLQALWAVGVLGSVGTYALAAQPLHEGLVRYVLEHPGAMWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPILLLGHLSGLMDDGAKLGLLGVWMALFTVFAARKFQQPIKDDIGDKSVFMFNALPEEEKKALLQKLEAPAEQKLE >cds.KYUSt_chr3.3670 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20770573:20771661:1 gene:KYUSg_chr3.3670 transcript:KYUSt_chr3.3670 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSQHQIRLRKALATKTRPKTKMLPGLGVKPSAAFSKPLQQTSAAAISRSPAQQASAVLSKPQPQPQQVVPAAQQPRRRVRIVYEDPDATDSDTDDEAPAAATSSKRCYELFLGKAPPKVLPKPLTPTAATAAAVCTSSANGGEGYRGVRLRKWGKWAAEIRNPFTGKREWLGTFDSADLASTAYQSASRSFIEEKRRRKAKAAPASSSAASTPTASSSSSTSAAPFAHASPSSVLEATKPAAAAKPLSPEPTPVPATVSTEAGQLPDDPEFYQDLLRGLQLPDIDPMDFRAGLDAMDVSEVPAYLDGEQDLLLGDFADEELELDIDLDLDDIGDDFLDMPGCDFGRGMDDFLRTADFCV >cds.KYUSt_chr1.748 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4007155:4008720:-1 gene:KYUSg_chr1.748 transcript:KYUSt_chr1.748 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAMQDAAIASDLAAVLSGDWPRRCFLGTSLHLCPRAPLPRCRPPALAAGEHDPVRGLCPLRPSRPPPYESPLTPPSGERPICRFQIMLIAKTGGEMDDALSAPAPGMHNAVLGQAADMPIHRAEEPESPSHTSVSLTGKEKNSRKHDRIDWTREEQPQTCSKLVSIEPRRV >cds.KYUSt_chr6.18816 pep primary_assembly:MPB_Lper_Kyuss_1697:6:118459021:118461619:-1 gene:KYUSg_chr6.18816 transcript:KYUSt_chr6.18816 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIELADPRVHLPGALQHEPADTASTLPAAQSLRAGGPQRPLPGALQHEPADTESTLPAAQSLRAGGPRRPLPGALRHEPADTASTLPAARAFELAVLGDHFRVLFSTSRRTPSPPCRRPVAFELAVLGDHFRVLFSTSRRTPSPPCQRPRAFELAVLSDHFRVLFSTSRRTPSPPCQRPRAFELAVLSDHFRHEPADTASTLPAPEPSSWRSSATTSGCSSARAGGHRVHLASGPEPSSWRSSATTSGCSSARAGGHRVLRRVVRLRLRRLAAPSRLVRLRLVRLRLRRLDQLRLRRLAGRAFAASSGCASSGCALSGCDLAASSGCAFVASPGRAFAASSAAPRPAAPCPAATSPLSSGCAFVASPGCALSGCAFVASSAAPCPAATSPPRRPRLRRVVRLRLVWLRLVRLRLVALRPRRLVRLRLVRLRLVRAAPRPAAPCPAATSPPRPAAPSSPRPAALLSGCDLAASPGRAFAASYGCASSGCALSGCAFVASSGRAFAALSGCDLPPRPAAPSSPRPAAPCPAARFVASSGCALSGCDLAASPGAPLAASCGCASSGCALSGCAFAASSGRAFAACPAAPSPPRPAATARLVRLRLVRLRLRRLVSAAPSSSRPGCALSGCDCRLVRLCFRRLVRLRFRCLVRLRLRASSDCAFAVGCAFAPRPAATVASSGCTFAVSSGCTLSGSDLSPRPAAPRPSATSSPRPAAPSPSRRPRLARLVRLRLRRLVRLRLHRLVRLRLRASSGCAFAVSPAAPSPPRPAAPSPPYAWLRSALAAPRLSPTPRHGLHRHRHRHLHQQHRVFDPPCDSIVLRVPCRLGGLMSGE >cds.KYUSt_chr6.12787 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79875253:79876233:1 gene:KYUSg_chr6.12787 transcript:KYUSt_chr6.12787 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPGISVKRRHGGVGFALGCGCKDAKSVSVSASPSPSGAGTSTTTETRRGGARSTNPSASTTTDTLTSASSSSFVWEDAVAEFGCIDDGGPFKMDSSAAATQSFSGLLRELSELEQSVASWGRKSHSEKKLSAPLQGRVDKKLPTPPQGRVDKKLSTPLQDRIDKKPSTPPREARKVTTKSGGDSTKGAKAYHRAGGVVQVAGLDGRVEVGLDGSVAVVKQSEDPLGDFRQSMVQMIVEHGMVAGEELREMLRRFLNLNAPHHHDVILRAFTEIWDAVFAASVDDPVVLSTATATVLTRREPSPARPPVPRTPPRHHHSPSAWRV >cds.KYUSt_chr1.33596 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204091713:204097329:-1 gene:KYUSg_chr1.33596 transcript:KYUSt_chr1.33596 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALSSHPEGFSLGGLRAPPAPPLPPAHGGDAGALGLVRGYLTASLGSPAAASAWRTSDWRYLLASPQFRRLFSSGSKKNYENYFPKGKKEAPKGEGSNKDSKQESNTDDQWSFQDGTWKQIQNILGPLLLLGLMLSSLSSGSSDQKEISFQEFKNKLLEPGLVERIVVTNKTVAKVYVRSTPQANVEGQKTTDIATSGAPGRQVPGKYKYFFNIGSVDSFEEKLEEAQETLGIDSHDYVPVTYVAEVNWFQEIMRFAPTAFLVGLLYLMGKRMQSGFNIGGGPGGKGSRGIFNIGKATVTKMDKNTKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFMSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLTKLKLDQEPTFYSQRLAALTPGFAGADIANVCNEAALIAARTDETQITMQHFESAIDRIIGGLEKKNKVISKLERRTVAYHEAGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDGFEMNKPYSNQTASIIDTEVREWVAKAYKRTVELLTEKKAEVAQIAELLLEKEVLHQDDLTRVLGDRPFKAAELTNYDLFKQGFLDEEGKSPEEPAKDAEVPDDDGSPALPDVVVPT >cds.KYUSt_chr4.49973 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309592344:309593954:1 gene:KYUSg_chr4.49973 transcript:KYUSt_chr4.49973 gene_biotype:protein_coding transcript_biotype:protein_coding MQASNRSYRYPDNSQIPYYNSSMHVGRNSTCYVQQNHVDLHYRSSDGGSQNSNSKVEMFQQRYCTLDSSSANCVYPVHTSTSPQCLSRSHISQHDRHSDHSHDSPASASCITEVPSLKFTTLQELENAMYGSESDIVSSESSLIGTALDQSNWRDLLGVNSGDLKQVIVACGKAVDENHCRKDLLISELEKMVSVSGEPMQRLGAYMLEGLVARRLSTGHTLYKSLKCKEPQPTNSELMSYMRLLYDICPFLKFGYMSANGAIAEAVKGENFIHIIDFQIAQGSQWETMIQAFAMRPDGPPCLKITGIDDSDSAYARGGGLDIVGRRLCSIAQSCRLPFEFNAVHAASHEVTLEHLGIRYGEAIAVNFAYQLHHTPDESVCTENHRDRILRMVKSLSPRVVTLVEQEANTNTAPFFSRYMETLDYYIAMFEAIDVACQRDDKKRMSTEQHCVARDIVNIVACEGAERVERHEPFGKWRSRLAMAGYRPYPLSALVNNTIRDLLSDNYHINYNLEEKDGVLYLGWKNRKLVVSSAWR >cds.KYUSt_chr1.41110 pep primary_assembly:MPB_Lper_Kyuss_1697:1:252175496:252179397:-1 gene:KYUSg_chr1.41110 transcript:KYUSt_chr1.41110 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWRTNGYLTRGGSPCRQHGWTGLHSTTRCAAASSSYRWCFGTIARTRARIFGVRSFLAWEHEAQRVIRDVWDFQPDENYDVLAAATSENEEDDEEENASQHLEDEAPPATVKLEALVPDDYDEDAATTTTMELSKAEEEARWSWPGLEDVLQAATVCHDGGPRRLSATATTTAGPRATAGCVGRPDGATSRTGSEGTAIRRAVKRLSFGASWEEKAEAAAEVGRLARSDERTKRALPELGVVPPLLSMLVDAEGEGSTGARTAAARALLELARGTHRNKVLIVKAGLLKKLPLLMADRDLATSHDLALLLRSVSSLANTDFPLPSADLLPFLVATLSGTTADVPADTKLSCLAALGNLSANLEHVRSVAASGAVRALFTLSLDEATSEAALGVLADVAAASPAGRREMAKEEAAAGALVEAVARQENARCQEHATYLVMALAHGGGDAGRELMRRMRRIGAVQALLQVSLLGSPLAQSRAAKILHWFKGDGQDRIRAHSGPRTESTTSCHGSHDDGTKPCQNAVDRIVKQSLDRNMKSIMRRATASVDMTAHATKMLVASSSSKSLPA >cds.KYUSt_chr2.25586 pep primary_assembly:MPB_Lper_Kyuss_1697:2:156524054:156524452:1 gene:KYUSg_chr2.25586 transcript:KYUSt_chr2.25586 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTPSCLGRAAVPVKRVWHGLSARLGLRRTTGELLFLLRSFCIYSCDGPHREQFSETDDGAGLGELRKEVRTCEYEDVHVMWEMLGGMDDAPRGPRDDGKKRKNKNKRKKAAAGVAAWGRLVSYCCACAR >cds.KYUSt_chr7.11424 pep primary_assembly:MPB_Lper_Kyuss_1697:7:70120174:70126964:-1 gene:KYUSg_chr7.11424 transcript:KYUSt_chr7.11424 gene_biotype:protein_coding transcript_biotype:protein_coding GASSGSAGGGSHHLIGSRIEQHRKYMSKSSCCPRCSHKIDRKLDWVGLPAGVKFDPTDQELIEHLEAKVRSGGTAAAETPSHPLIDEFIPTIEGEDGICYTHPEKLPGVSKDGLSRHFFHRPSKAYTTGTRKRRKIQPPATVDASAVSSAGGSANAGAVQPQQQRSETRWHKTGKTRPVAVGGRQRGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGESEEEREGELVVSKIFYQTQPRQCGVGDGASASTSAASTSVSAVERRRVDRRAGATPVVPGMTSSDVISVAFHGGAPVGIEDFSFTQLRNSFDEVGMGGSDHHVVQSRVDEGVMHRPAGLHHHHQQQHLLNEQDHRRRHHHYAGLQQEQQSAAAAAFHVSTPTDPIAALMAAPRAHQGSVVLTAPVGEPYDHGAASYHHQMLVSEEVVRRGIYNVDGVGSRQYGTTECRQQCDMMDESYAYLYLKMDQQYDIIGVFYSVCVRYTLSVFWTGYVLPARHMVTW >cds.KYUSt_chr4.41875 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258907736:258907990:-1 gene:KYUSg_chr4.41875 transcript:KYUSt_chr4.41875 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGPDAASFFPLTLLFSLGFFCARFVLDRLVYKVRNHRLLLLLLLLARPDSRRVPRDLSALAPLGLGGACCCSMLVDIATNP >cds.KYUSt_chr4.33876 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207973202:207976345:-1 gene:KYUSg_chr4.33876 transcript:KYUSt_chr4.33876 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAQQTTTPGGGGVSNIKHQRPRGPGRLKLCYHVAVSNAVYILLAPFVAVLALRASRLAPSDLAALRAYLLANLSLVVSLFSVASALATVYLARRPRAVYLLDFACYKPGPEHVVTRETFMGQSKEAGVFTDDNLAFQQKILERSGLGQGTYFPAAVLNSPPNPCMAEARREAEAVMFGAIDSLLAKTGVRARDIGVVVVNCSLFNPTPSLSAMVVNHYKLRGNVASYNLGGMGCSAGLISIDLAKQLLQVHRNSYALVVSMENITLNWYWGNDRSMLMSNCLFRMGGAAILLSNRGADKRRSKYQLVHTVRTHHGADDRAYRCVFQEEDKAGRVGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQVLFLASLIGKKIFGLKIKPYIPDFKMAFEHFCIHAGGRAVLDTIEKNLELSDWHMEPSRMTLNRWGNTSSSSLWYELAYTEAKGRVRRGNRAWQIAFGSGFKCNSAVWRALRDIHPAKEGGSNPWVEEIHRFPVEVPKIESVVSSSSS >cds.KYUSt_chr2.18778 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118173999:118174797:-1 gene:KYUSg_chr2.18778 transcript:KYUSt_chr2.18778 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFGTLARQDFVFIDINPSIHPGWYFTIAPKQLEDLAGRNVNGNTSNNENKGSQFVIGQDRAEPLQALQDSGQEVHENKREMTGDKQKRGTE >cds.KYUSt_chr3.42970 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271568849:271570825:1 gene:KYUSg_chr3.42970 transcript:KYUSt_chr3.42970 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAPPPPGPRLLVPKPDPDAPPQIPLTPELCAALRREYIKPDPDAPKPQADATPLTADLCAALRRELEPSPDDHSDFADRLRLTQQRLDHISARLSSAPPPPPPPPSSLPPPPPPPPPRPKRACSSSTARADPPRASSPSTMGRKRFRGLPSAEMVRATVPTEADIMQVRTLARRARLTFEALRGVYARRAASTPPTRGVRRNRADMRALSAMISANLCLYRDKRIVGPVPGVFVGDVFNYRAELLVVGLHSHAQAGIGFVPASLVSEGKPVATSVVSYGGYLDDHDNGDVLLYAGTGGRPRNGRADQELEGGNLALANSCKYGVEVRVIRCHVTDASPSGKVYVYDGLYRVESSTDIPGRRFKLVRLPGQDPLGSNTWHAARSLVDDLDAGLRRPGYLTLDLSRGKEAIRVPVCNTVDQDRSPLDDTKYIARPRFPPPRLESRRRCCGYRASAASSKSRCACVKRNGGGGPPYNTDGTLVKGVPIVYECGAQCACPPSCPNRVTQRGMRHRLEVFRSAETEWGVRTLDLIQPGAFICEFSGDVVAAGASTDWDGYVDPRKFPPRWKEWGDASGVALRDHEGPPLLAQCPAPPAYVLDVSRRRNFAPYICHSGAPNAFIQFVVCGDESDSFPHLMVFALETIPPMRDLSIDYGIDQ >cds.KYUSt_chr5.43662 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274936563:274942086:1 gene:KYUSg_chr5.43662 transcript:KYUSt_chr5.43662 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDSPSGSGSGGRPLLTDSDWDGSSREGSPDADADAASPSGEALRTTADAGWWLGEIERQRVRLVRDWVHMAAREGGDDHHQPPPSAAGLRIRGRQARLELVMRLAADRHAELQRLALRCPVSGFPHRNRIHALLRGRFLRNGGLPEEASRLPSVAARELGQLRQRHPVSGLRLDNLVRGQAASLSGSSSAQTATNDHSESTRPASEDSQDTHQQASENVDLQIIQGTATASDYGSITEGLSEPHSQEESWQEDLEVDRGRDWEHFSHAIATGEVSGRNWHDSSSSDERTEVGDHQNASLLETSDESTSLAEEQLAVNHLPQVLEELHSNNHHLQEPREEWNEDNDPAEEWQSDDHFPQVNEVWHEDNESNDTAHNWHDDNSDQPADPESSRIRRANTFTPGDDDNVYSTELRELHSRRSVSTLLDSAFRENLDRLIRSYVERQGRGPLSLHLEGTHAAAALDPPVLQGQEQQHGDDEEEQELRDATNVRPRLAIPPPPMPPRQPLWHSELHHNNWIRQNIHRSDIEWEAITDLRADMARLQQGMGHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGERESRETIDDGSKWINVRKGTCCVCCDTPIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIIEVIRAYFIM >cds.KYUSt_chr3.6843 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39315030:39317025:1 gene:KYUSg_chr3.6843 transcript:KYUSt_chr3.6843 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLERNPSKKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMVQLIEEDGDSFAKKAQMYYQRRPMLITHVENFYRMYRALAERYDNVTGELRKNIPSRMQSQGSLTGSECGSERLRSPSPSPSPSPELQRSWTREQSPRAAGFDFFLSSKSNDTPPSRKDPESASQSESDAKSEDGEDDSIAYTLHQKIIELEDDLSAANRKLLDANEKLEGFEEKSLRCHCDCKENGNGVGQATRARDIQGELEDAAVLTEEHSRLLEQNKALEAEIANLKEEMASARQRFDDKISESDAEISRYRLELAAASEKLLQEKSANIAEVGKLQETIKSTRRRLEELSEEKSLVEEQVKQLEEASAEAEKHIQELVHAAEMLSEDKFRHEAEVLTLRQSIEDLKPEFERISEEKSLLKSWFTDLERVVERGRSVVME >cds.KYUSt_contig_815.52 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:315496:322660:-1 gene:KYUSg_contig_815.52 transcript:KYUSt_contig_815.52 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTTSLLSRSAAGPRVTAAAAAAISKPAAVAEAASSPSPSPSAPAHPLQAPRRAASSPFASGLAGRLFGGRRAAARSSSSATAIFERRFASAATKNSYDEILTSLAKPGGGANFGKYYSLPALADPRIDRLPYSIRILLESAIRNCDDFQVTGKDVEKILDWENNSTKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFSRNKERFGFLKWGSTAFNNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPAVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGGGMGELSLADRATIANMSPEYGATMGFFPVDAKTLEYLKLTGRSDETVAMIESYLRANNMFVDYSQVQADRVYSSYLELDLDEVEPCLSGPKRPHDRVTLKNMKSDWLSCLDNDVGFKGFAVPKESQGKVADFSFKGTPAKIKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACDLGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLNQLGFNIVGYGCTTCIGNSGDLDESVAAAISENDVVAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDIWPTTDEIAEVVKSSVLPDMFKGTYESITKGNPMWNELPVSASTLYPWDPTSTYIHEPPYFKDMTMTPPGARPVKDAYCLLNFGDSITTDHISPAGSIHPDSPAAKFLKERNVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGAKTIHVPSGEKLAVFDAAMKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIVPLCFKAGEGADSLGLTGHERYSIQLPTDVKEIKPGQDVTVTTDDGKSFTCTLRFDTEVEIAYYTHGGILPYVIRKIAAEQ >cds.KYUSt_chr5.19336 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125431798:125433615:-1 gene:KYUSg_chr5.19336 transcript:KYUSt_chr5.19336 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDWEGERERGDQAVEVGEDDGLEGITWTRYLAKPCFAAATIAAGITGNRRATRQGRGGGGCGWQKIVLKLPLDGERNRRKAFKATVGMAGVTSVTMEGDKIIIVGDGIDTIGLTTMLRRSLGRAELVSVSSGDDKRKDVYGYGGEKNGYGYGGEKNSYGGAGNGGGKDSKGGGGYHQNAVVPMQYPAYHQYNAMPSYPAYSYPAHVYQQQEQDPGCSIM >cds.KYUSt_chr2.39843 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247267729:247273694:-1 gene:KYUSg_chr2.39843 transcript:KYUSt_chr2.39843 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYADAKRTERSFIIGDFVYLKMQPYRETALGRNNALKLSSKWYGPFRILKKVGTVSYQLQLPSEAKIHDVFHVNQLKKHIGQNAIPHPKLPSKNERWKVHLAGAPMAAPPAIPRRGLFIGGGWREPALGRRIPVINPATEETIGDIPAATAEDVELAVAAARDAFTRDGGSAWSRASGAVRAKYLNAIAAKIKEKITYLALLETLDSGKPKDEAVADMEDVAACFEYYAGLAEALDGKQHAPIPLPMENFKSYILKEPIGVVGLITPWNYPLLMATWKVAPSLAAGCTAVLKPSELASLTCLELGVICEEVGLPSGVLNIITGLGPDAGAPIASHPNVDKVAFTGSTETGKRIMTAAAQMVKPVSLELGGKSPLVIFDDVADIDKAVEWAMFGCFFNGGQVCSATSRLLLHENIAANFLDRFVEWTKNIKISDPLEEGCRLGSVVSEGQYEKIKKFVSTARAEGATILHGGDRPKHLSKGFFIEPTIITDVSTSMQIWREEVFGPVICVKVFKTESEAVELANDTHYGLAGGVISDDLERCERIAKVIHSGIMWINCSQPTLVQAPWGGNKRSGFGRELGEWGLENYLSVKQVTKYCSDELYGWYQRPSKL >cds.KYUSt_chr5.41361 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261032052:261033137:1 gene:KYUSg_chr5.41361 transcript:KYUSt_chr5.41361 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAVRFAARRFTGQQSQAILRSAVANEQAVLNRGGSPAYALRRFTSSEVSATKGHKINTEHPSVSGDSRIRIAHQKKQELFDLLTEIQMNNDFPYIMRMRDRRLLQRLATHVDRRAVNPEWRRYSREYLINSLLRKGATILAFLYMSDCWSSYFDRKKQVEGADSNSKSSGSGAETIVEE >cds.KYUSt_chr4.54999 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339654786:339659057:-1 gene:KYUSg_chr4.54999 transcript:KYUSt_chr4.54999 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPHPPLAAAHLRRLLVLRSSPPASPCRIFSRAMASSFTTAAAQQAAGGVAAATTARAEEYEEVLGRLSSLISQKVRAHTGNRGNQWELLERYIQILELEEPIARMKVIHVAGTKGKGSTCTFTESILRSCGFRTGLFTSPHLMDVRERFRLDGVDISEEKFLKYFWWCWNKLQEKTDDDIPMPPYFRFIALLAFKIFSAEQVDVALLEVGLGGKFDATNVVKEPVVCGISSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMVSLKERASELGISLQVVDPLKPHHLKDQQLGLQGEHQYTNAGLAVALASTWLEKQGHVDRMPLNCTDPLPDEFIKGLSTASLQGRAQIVPDSQVNSEEEPNSSLVFYLDGAHSPESMEICARWFSNVTKEDKRVPSYMEQSQTSGKSRKILLFNCMSVRDPMRLLPHLLDTSTQNGLQFDLALFVPNQSQYNKLGSNTSAPAEPEEIDLSWQLSLQTVWEKLLHGDKGLNSTDSSETSLVFESLPLAIEWLRKNAREDPSTSYQVLVTGSLHLIGDILRLLKK >cds.KYUSt_chr4.10792 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65496137:65496850:1 gene:KYUSg_chr4.10792 transcript:KYUSt_chr4.10792 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSNNLQLGVLPVAATPPRLYINLQRLAPPRLPAQPPPCVQSSSYLHELFPSISVRQNSNLSIFSTMGACNSCEATAVAAVTGDAAVGEATAARVVLADGELQRFPGGTLASHAVKAAAAAASGAGACFLCSADGLELGGAVAAVAADEELQPGQLYFVLPAAMRRRPLRAEEMAALAIRASAALGADHHGPLVFPDSAAGGAPVRSREKGSRRRSRRTHSLGRDFVTDLGAIAE >cds.KYUSt_chr4.54899 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339092837:339094697:-1 gene:KYUSg_chr4.54899 transcript:KYUSt_chr4.54899 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKTALLLLVVGAAAAGMGPPDGPEKVTVSSLEMYVDQLPQMHKIYGYNATTANLTVGMFETKWKFHRDLPPSTVFAFGTSAEAATFPGPTIEALQGVPLQVTWENHLPRRHILPWDPTLPAAVPKRGGIPTVVHLHGGVHPPQSDGSAMAWFTAGFNETGATWSTPSYSYPNAQGPGVLWYHDHALGLTRVNLLAGLLGAYIIRNPDVEGPLGLPSGDDLDRVLVLADRSFNDDGSIYMNSTGDNPGTHPQWRMEYFGEAITVNGKAWPFLSVARRRYRFRIINACNARYFNLSFTNGLPFHVVGSDAAYLSRPVTTAHLLVAVAEAFDVVVDFAGGNGSADAEMVNTAPYPYPRGDAPNQLNGKVMKFVITQQTTTDNSTVPAKLLEYVKVAEEEAVQRRYIVLSPYGNGNGTGGMGGMEGMGGMEGMGSPLHLYINGKRPEDPVTETPRAGTTEVWEVINLTPEDHPIHLHLASIQAVRARALDQLEEFMSCVTMLNDAEKCNVSQHAVGEAAEVPEHERAWKNVAKIAPGYMTTLVVNFFLVETGEPYPFDAAAEPGYVYHCHVRESPLCFYGFNFVLC >cds.KYUSt_chr7.11526 pep primary_assembly:MPB_Lper_Kyuss_1697:7:70806685:70807665:-1 gene:KYUSg_chr7.11526 transcript:KYUSt_chr7.11526 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLVLILSSATQPSLAQQSDGTRIAGGFTPTTMAMLVVVIAVLVLIALFSIYMNRCACTRTDLLPRRPFRSTAPDDQSLGSGHCRPRSLDRELVEAFPTAVYGDVKAHMAPGTKPVSLECAVCLAEFADAHELRVLPACCHVFHPGCIDPWLAQAVTCPLCRADLTDLTPKGQQEESSGEKGGECSVVSFKAESWRHELTDADYSLYRRTQSAMDAADRHTLRLPEHVMKELGAVWRHRRAASLAAEHPDAMDRRTSGRLTSFLRSMSWQRHHGTDSEAGEEHGGGNKRIHPVTGTRPVETPGGSGSGLDEKKESSNVDALSRV >cds.KYUSt_chr7.29002 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180381936:180384909:1 gene:KYUSg_chr7.29002 transcript:KYUSt_chr7.29002 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLAWLPWLVASLFSIYLLDLLANVRRCLPPGPLPLPLIGSLHLVGDQPHRSLARLAKRHGPLMSLRLGAVTTVVASSPDVAREFLQKHDAIFATRLVNDAMGDHARDSIPWLPHAPRWRSLRKMMTTELFAPHRLDALQGLRSDKVRELAAHVARLASDGAEIDVGRVAFTTMLNLLSRTIFSRDLTSLDDHGGSKEFQVLMAEIMEYGGCPNVSDFFPALARADLQGLRRRLARMFARLHVVFDEEVDRRREQGESRKENQDFLDVLLDVAARDGGKAGLDRDTLRALFTDLFIAGSDTSSITVEWAMVELLRNPSSMTQAHEEIAQVIGSRRSIEESDIDQLPYLQAIVKETFRLHPPAPFLLPRQAQETIRIAGYMVPQGARVLVNVWAIGRDEGIWPKPDKFMPERFIGREVDYKGGDFELLPFGAGRRMCPGVPLATRMVHLVLATLLNRFKWRIPMEVERAGIDMTDKFGVTLTKAIPLCAIAIPI >cds.KYUSt_chr2.17130 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107917927:107919803:-1 gene:KYUSg_chr2.17130 transcript:KYUSt_chr2.17130 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMLRMLKTLVVTAVVGKVYIDGDGRGLSELGFSSEKKSGQRRKKPRLGLVVELLYGARGASSRRRARRSCQHQGEAEHGSVLSSMRERERMRMSSSLRYLTKGYGGLGQAATGLRCWARMLGCYWAASVGKVQALAAKTNGTVRVWLDIDGRDCIFTARMSETAVTKTTNAVAPFGSFVASRGPVSIHVGPAHCPLMVQSSNQSQQPVNQISHAVIV >cds.KYUSt_chr3.31183 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195724111:195728409:-1 gene:KYUSg_chr3.31183 transcript:KYUSt_chr3.31183 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCGAQLEPPDDDPSLPLTYLASFPRGVSPQFVYQRSLRLRAPSDRFNFRRSASVWNTGGRDDLVAAMQKDASSSDVSASHVGRISADGNKANGPALLVSDQNKYKSMLIRTYSTLWMIAGFVFVIYMGHLYVWAMVVVIQIFMATELFNLLRRSSEEKQLPGFRLLNWHFFFTAMLFTYGRFLSRELVNTVTSDHLLFKLVSGLIKYQMFICYFLYTAVSKCNGSFPMVDVSKKGPVNRVASL >cds.KYUSt_chr2.27608 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169656517:169657379:1 gene:KYUSg_chr2.27608 transcript:KYUSt_chr2.27608 gene_biotype:protein_coding transcript_biotype:protein_coding PLFDLHSAMQFPGAPPKPWERAGAEGTSGPAPFKPPSGGSTSDVVEASGTAKPGETIAATNVSANVNNTVSRAMPQRPWQQQAGYGNSYGGAGYGSNMYSSVGGYGNTYGSGGLYGNSSMYSSYGGGGGLYGGSGMYGGGMYNSGMGGSYGGYGMGGMGSMGGMGGMGGMGGMGAMGPYGSPDPNSFGPPAPPPAVLCALKHGNY >cds.KYUSt_chr5.23030 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150238347:150238853:-1 gene:KYUSg_chr5.23030 transcript:KYUSt_chr5.23030 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPQGGGWNRLLLLPSPPCNKHCGLQRCALDILDIDLAGRGGEVEDEDGDDGVFFAFRRWEVTFLSSSKATPWPIQLPAMDSGVSTSVVRFFLRVAVAYYGCVEASGFVPASSHDGGVAALWLDGGEREGSDCFSSSFSEVFSANARDLYVILDLMGSFVTFCTATV >cds.KYUSt_contig_2071.61 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000249.1:453018:453332:1 gene:KYUSg_contig_2071.61 transcript:KYUSt_contig_2071.61 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAGSGSKERLRPGAVELAPSLSREVVATTFVAYADLRLLPSTGLALSGPDACLNIADSAWRMLPVAAAGSFGFGSAREVKPPSPSPWSRGVLIWDFAPPAT >cds.KYUSt_chr1.37127 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226844416:226844778:1 gene:KYUSg_chr1.37127 transcript:KYUSt_chr1.37127 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSWPWTIGLLFLASLLLLSSTLPPPPPPGRGSTTTADEAILARVCDPRGPRPAWCDELHLRRRFLRGVARHRHGHHHHQHHHVPVPQPPPGRDDGDEIDPRYGVSKRRVPTGPNPLHN >cds.KYUSt_chr2.18864 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118638500:118643831:-1 gene:KYUSg_chr2.18864 transcript:KYUSt_chr2.18864 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSNRPSPHAVTEDDGADSPAASGLRATPPRPNNRSSRAKSSSRRRRRSPNPNPRPRPDYYGTEPSRKSERKRKPRSFPDAALLSRALLPRASPSRAAGAGGGGNVQLWTDADELALLSGAVAFRAGSGRAPRLPDTPHGRPGCHCASPSRWEQLHLHPPPSPPPPGKARAVGGGGISFPAREAGCARHFIERRQGPAPDFGAGRRCFGEEVQGVAEARGSLRWGLIWASAGLRPGRRRRGLGSSRSGSWRPDLLPPAAVWPGRATPASGGRLVVGKEVPCSAGRAFCCEKILCPFGLINCMLLEDAAFDNNKELMLHLITTKNLSLVVYQVIKVRFPCDLLQRHDAWPRGDGEGGDGTAGRRWGEGIVQPMGDGEGGHGFRLRLAGGPWKSGSSLPRATKQREATFPRSWTSLASSWRLWMSVEAYPSSLTWISWRYEATPWGAIPASFSWRSCNELAWMIEDTPDLLFMEVDGSAHHNREDMDEHKRPPWHGGSG >cds.KYUSt_chr1.18310 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107003117:107008228:1 gene:KYUSg_chr1.18310 transcript:KYUSt_chr1.18310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain containing protein [Source: Projected from Oryza sativa (Os10g0487300)] MRSSNAFCGWESALSRFSSAWGVASPASRVAAAGERGAQKYYISAAGTYTVGRKGCDITQTDTSMSRVHAEIAVEKMVAWDPQSGAPASPSCVSLVDRSKYGTFVNKVQGSQGSRLRKDEDVMLSDGDLLTFGTGNTTFRLSFVPIVVFFHGKKSARIDRSLQAAMTSIGAYVTRKWNDACTHVLVDESSPLTPELLDAIVTKKTIILGSWFKAIAEKNIHAEIPSCTQYIPNLTLDGMDIKMVENKLMENCIEGHTFILGSSEKYKFGEKIQALLELAGAKYLNIEEYCADSENSGTGENDQQILLVPAKSPLEFSKIRALTPLAKVTDVKLFAAILSGRLEAAAIEPPAFIITSSETTDETIVADSDVETDTAISDHTVGASKSEHHIQHISDNKAEGKVISGTNAANLGEAEVNTSIHVDLEKDKISESMEEDVQVIEKTAIPRFKAGGEDVQVINKVLEDENIDTTREKTSHAVFVNKALKDENLGTSGEETCHVIFSQNLIVKRVLRPARAASAKTGGINFKRFKKRETVSGNSFRALIPFAREPYRESDQEKGTLTDFMREEKRRKQMESIAEDLFNNQKPKKKAAAGSSIQTLLTCCR >cds.KYUSt_chr2.24662 pep primary_assembly:MPB_Lper_Kyuss_1697:2:150854013:150855053:1 gene:KYUSg_chr2.24662 transcript:KYUSt_chr2.24662 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKEDAEGAVARCREQKNLLRAAVEARHGLSGAHAAHAAALRNVGAALSDYASGEAHEGALRHSASAAAVMSSSGGAGAQAAALALPPPPPPPGPPEDSPALVRSMSAPDLPLQPAIKKKPSGEAPIMEEDDEGEDPRRPEEEAQLQPPPPPPPTHQPPPTRAPPSLPPDAGHKAGSWDEFIFGPRDGISIPPLTLGSSAAASWEAERAEAAAPPPPPPDPEEQAQLPPRPPAPSTAAEEVAEGKKPAVEPVARRALTQKAARRTEGKKGRTVVLVPPQAARLGDILRDLDDHFLKASDSAHEVSKMLEAARMHYHSNFAETRGTTRLLLSSTWSDQEFVPL >cds.KYUSt_chr6.31555 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199429877:199435641:1 gene:KYUSg_chr6.31555 transcript:KYUSt_chr6.31555 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPFLLLTILLLSLQCNASAVDGNGKVQNLLFYLHNTHSVSDPSSVLVAQNTNATAHARGLVPFSSVYVFDDVLTEGTASTSKVVGNAQGFYIETGKDGYTILETIDCELTDGPFKGSSFLMSSRNQFSNPTRELPVVGGRGAFRMAQGSHNADPLVINGRSEDGGKQKLEALAHAMLDVSPGPVLRGSFRHNPDDACLEGTHTKTHKLPVKPYPDSGIVGMTYQWESYQLGPEGDLKFEKELKQLVDYLGHPYPKFFGMPLKAKAGEPPQWDVSTDLRRKLDAPMAPPTRNNSRAQANQIPPEHAKMTDAINILAMERKALRHQQAKKDYLIARLRVKIASLERLLPIPHHAPRANSDVTCYACGVTGHYSNKCPVKAANKAPRTGSNVVPITQNDKATVTCYACGTVGHYSNECPKKLAKIAANPAAFCTKSSADFATRRNFAPNYPNNRNGRYYNLEGHRNTRNISEYAKYVPC >cds.KYUSt_chr1.4124 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25127291:25129526:1 gene:KYUSg_chr1.4124 transcript:KYUSt_chr1.4124 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVMHQQPAPVLEVQYSRCVTKGVGMSAVAVPEVAVGIEVAVELPSVELASVDGATSVSAETLHFVPNIRSGSFADIGPRMYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGPDAAAYMKRHAMRFLFEDREFPQALQVDDIFLQSVEDCIRSAFLEADLALADNLDISSSSGTTALAALVFGRQLLVANTGDCRAVLCRRGMAMEMSRDHRANHVEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKVPDCSTSPLIAEPEFQQATLTQDDEFLIMGCDGIWDVMTSQHAVSVVRRGLRQHDDPERCARELVMEAKRLETPDNLTVIVVCFASELGSPPAQQQEQVAARPRSCKGLSAEALCNLRSWLETDR >cds.KYUSt_chr1.6081 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37321463:37322257:-1 gene:KYUSg_chr1.6081 transcript:KYUSt_chr1.6081 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLISAKDLKKVTVFSKMRVYAVASISGGDPRTPTHRTHSDRHGGRNPMWHAPLRFPIPIAADPRGLALHVLLRSERSFGDRDVGEVLVPVQDLFAAAPPAGEHRHLSYQVRRPMSGRKRGVLHISYSLTDAPAVGQGDARCAQQLTAAATKGGVADAVTVYPPSYQQQAVPPPYGYQHHSYGYGSSYGYGHAAAAPYGYGAPSAAPARHDDSSGGGVTSGFGMGLLGAAVGGMMLGIGEGVGDMIADAEMGMDGCC >cds.KYUSt_chr7.39409 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245046785:245048140:1 gene:KYUSg_chr7.39409 transcript:KYUSt_chr7.39409 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLAAVLLGVLALVAFPAAAEIRETVIRADPRTIIPLDEFGFSHQGILELNVSGIAFDPPASSDLDLSQFGFFLSTLDAWVHVLRQLQDLDVTCALQSDLVKLAYSFDRLKPAPNPAGVPVARSSSFTTAFRVSEPGQYTLVFANCLTGGNLKVSMDVSSAMYNVDPATGERAYLSVGSAALPSIYFLLCVAYAGLVAAWVSILLRKRAAVFRIHYFMLAVLVLKAFNLLAEAEDKSYIERTGTAHGWDVLFYIFSFFKGISLFTLIVLIGTGWSFLKPYLADREKKVLMVVIPLQVVANIAQVVIDESGPYARDWVTWRQIFLLVDVVCCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALVTITSYRYLWTSVLAGEIATLAFYVFTGYRFRPEVHNPYFAIDDDEEEAAAEALKLDDEFEL >cds.KYUSt_chr6.11391 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70671704:70672496:1 gene:KYUSg_chr6.11391 transcript:KYUSt_chr6.11391 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSPSGYPAAAAVRSFLAGQGLSPPMGLRSYRRSSRSIRQFSTNGDMKDTGTDNGMLVKLIKRFLKKIGHPSQFTGPELKEWGRNQKPFKDTNLSGPIFAMFSGALVVVWVNDDWRYIKASFNEFVGGLTGSVKRKD >cds.KYUSt_chr6.8627 pep primary_assembly:MPB_Lper_Kyuss_1697:6:53059557:53060317:-1 gene:KYUSg_chr6.8627 transcript:KYUSt_chr6.8627 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRVVSDTNRRVLSLARRPHPQKPSCREVMAHPIHAPGQGAGIRTDGLRRRRGDAPCRQIPIMFAVAVVVNPIAGHPLTAAAAAASGKQREAVVQNLSTKSKRFVAGAAPSSSSLEHVEPDGARYPH >cds.KYUSt_chr6.23396 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147748445:147750001:1 gene:KYUSg_chr6.23396 transcript:KYUSt_chr6.23396 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVSVVTGQLRHMPAGGLPHDIFALGIASKIRIDRNSTAMASSDFGRIVEAAPEAILHPATPSDIAALIRFSASSPAPFPVSPRGQGHSVRGQSLAPGGVIVDMPALGRGHHSRINVSADYVDAGGEQLWIDVLRATLEQGLAPRVWTDYLRITVGGTLSNAGIGGQAFRHGPQIANVQELDVVTGTGDMVTCSREKSSDLFFAALGGLGQFGVITRARIMLEQAPKRVRWVRLAYSDVAMFTRDQELLISKRASKARFDYVEGQVQLNMTLAEGPKSTPFFSEADINRLAGLASQSSSAAIYIMEVAMYYNDTTASYVDKKLEKVLEQLSFVPGFVFTKDVRYVQFLDRVREEEEVLRSAGLWDVPHPWLNLFIPRSRILDFDTGVIKGLLGGTNPVGVILMYPMNTAKWDDRMTAMAPLAGEDMFYTVGLLRSAVVASDLEILEGENEAVLSFCDKEGIECKQYLPHHKSEDGWKEHFGTRWSRIAELKAKFDPHAILSPGQRIFPSPHLLSDT >cds.KYUSt_chr3.14539 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88468764:88470167:-1 gene:KYUSg_chr3.14539 transcript:KYUSt_chr3.14539 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVWKTKVLPGLSKIFDKDGKKAAAAEFLKSFNKEDISKEIEDKKTELEPKVVEVIEASPPEIKGVIKDKKPAKIKKNSVAVTKFLDELAKIEFPGAKLVSDAVAKSGTTPLSPAIVFILDKVAPFVPEPKEEPKAEEPAAAAVEETTREVAVEEKKEEAEPSAAPVEAATPVEAAAPAAEVVEEKKEEEKAAETPAPVAEPEKK >cds.KYUSt_chr7.37935 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236546880:236547533:1 gene:KYUSg_chr7.37935 transcript:KYUSt_chr7.37935 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWSPPPPRDGEHFFPLGSHDAVSLSLFVACVAATVAIASSMCSACGRKPKAVSQEPDAAAAADTKAAGSEVEEEVVTLPPELATHGPIEPAPLPVAASRRKLSVSMSLSHGISTAKNLANIPDKMRLSKRERREKQDAPEDTLWKKAIILGEKCKIPGEREGEVSDPDAAADAADEMAAGTFRRSTYSRPVSRSNSFAVNQPLPEPPAFQSSSNS >cds.KYUSt_chr5.2209 pep primary_assembly:MPB_Lper_Kyuss_1697:5:15057278:15059044:1 gene:KYUSg_chr5.2209 transcript:KYUSt_chr5.2209 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVVPIFFLAAPAIVVLLCSSQEAMLSLSARPILFPKPRLRRLLLLRPLSSALTPPTPQTPTTPAPADPTPLFLRPPTHPVRPAALEAFRRRAAALVPPSAPHLHRHLRWFLADASNPDPSLHLLRAPLDELEALWLAHVRDRRPLQYVVGNEHWGGLVVAVRDGVLIPRPETEAVLEMVRAVQGFRDGWWADLGTGSGAIAVAVARMLGPHGRVFATDVSEVAVQVARLNVQRYGLQDKVEIRHGSWFEPLEEVKGKLMGVISNPPYIPTDDLPGLQPEVGWHEPKLALDGGKDGLDHLLHICEGLSSALKPGGFFVFEVVIDAFAYIV >cds.KYUSt_chr2.54994 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342900805:342903147:-1 gene:KYUSg_chr2.54994 transcript:KYUSt_chr2.54994 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQQNVTCNDPSIQSYITNLTSSYTDKSNEASMVSASVIMFVLVGLFFNLNLFSGLSDVSAILDPKVRLVLTSALSLFLPVMSYLFSEAKNAGAAMTMMSSHSASTGAKDFSLRAGLILIWMLLVELLRKKVDDIRWRGYSGTIQRAGRVVWLGSLVFFNIRSAGRKAVFAILWILCAIKVVQRIAFTEVAKRSYVHGKNARLITSYMAQMLKADQRAIDVEGAAAPLLSGEELLKRCNYIVTGEGYMVKEATADGYELNLDLDGPASAITVSKIWQLDNDYESDRTFIDFDGDQRLRRLCLSFALFKLLRRRLQHLEEIKEEEARDCKNLIFRGLCRCKNGEGSEEALFQLMNDELNFLCEYYHSVVPVVMASPLFLLANYLLLPIAVFGLCLMTVILCGYGDVSYAFHSIHTDNFALQSGLPTLARCLLDGARTSPPTFFSLVDLSITCLLFIIFFYEEIWEFVVFLLSNWFMISLIGNYASNPRWRVSPTITGTFRRLMWLRSKMSHESVCFRQFSVLNLRWPVIFGVPTTLSLMVRTVPVPTKVKHSIKEYLLAHDHDHGLTNGKSALQKNHMSSLSWACESKSISEVILTWHIATSLFEDRCPPKSKDGAAMCKAATTLSKYCAYLVAFHPELLPDNEEKTEAVFEVVKEELKAKLGCGEYYFTRRRFRVDKIMETGLAQGIWTEKKMVKNGATLAKALVVVANNDQGAVWKMLADLWTELVVYVAPSSDEDRIKGHQKVLVQGGEFVTMLWALATHIGLYRMATHLAVQPGQS >cds.KYUSt_chr6.31774 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200794961:200796475:-1 gene:KYUSg_chr6.31774 transcript:KYUSt_chr6.31774 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESATAAPPQFSLPVDSEHKAKSIKIFSFGNPHMRAFHLGWMSFFTCVVSTFAAAPLIPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGAICDLLGPRYGCAFLVMLSAPAVFCMSVIEGPAGYITIRFLIGVSLATFVSCQYWVSTMFNSKIIGTVGGLTAGWGDMGGGATQLIMPLVFDAILACGATEFTAWRLAYFVPGMMLVVMGLLVLTLGQDLPDGNLGSLQKNGDMNKDKFSNVLHGAVTNYRTWIFVFIYGYCMGVELTTNNVIAEYYYDSFHLDLRAAGTIAACFGLANIFARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCICLGRATTLPTSVTCMVLYSICVEAACGAVYGVIPFVSRRSLGLISGMSGAGGNVGGGLTQFLFFTSSQYSTGKGLQYMGIMVMVCTLPVALVHFPQWGSMLLPPSASATEEEYYGAEWTEEEKNKGLHGGSLKFAENSTSERGRRNSILAVPATPPNTTPQHV >cds.KYUSt_chr1.38924 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237974746:237976125:-1 gene:KYUSg_chr1.38924 transcript:KYUSt_chr1.38924 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKMSGAVVPHVRSDSESDDDRRPAPATTVRTIRRQRSIHRLLGGRKVADVLLWRNRNLSAGILAGAALTWFLFDVAEYNAVTLLCHAVLLGMLLLFLWNVAAPLVDRAPPRIPEVIVSEHAFREAALTVHRKLEHSVAVLYDIACGKDLKKFLTVVGSLWVLAVIGDNCSFTSLLFVGFLCALTIPALYERYETEVDHLVAKGGEDLKKFYRKVDSNVLNKIPRGPIKRRVN >cds.KYUSt_chr3.45956 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289368504:289369450:1 gene:KYUSg_chr3.45956 transcript:KYUSt_chr3.45956 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFVPGLPPPREDGAFEIAAVQATVFLGRGLCLGVSVHHAACDDASATLFVRTWAAACRLGVLEGADAPPAPVLDRSLVADPDDLLSKTLAGMNRMLASGPPPPPPPPAQETEMPAATSELTNGDGGMAAAAAAIGEANREMERGVLEGAEGWLGKVLSVLPERPMSVGGSPRHGVYEGTDFGWGRPCRVEMVSIEKTPGTVSLAEGPDGDGGVEVGVVLPPDAMEAFVSCFCDIVRRSI >cds.KYUSt_chr7.28215 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176186818:176191880:-1 gene:KYUSg_chr7.28215 transcript:KYUSt_chr7.28215 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQPKNKKKTLPLMYKIKDKINQGFMMDPMNIHSIFSSHRIMSKIKHMMLITLKKLRKLKLKKVSEALEDSDWLEAMHDELNNLKRNKVWTLVEKPKECRNVICTKWIFKNKKDEFGNVVYVKQPPGFEDLDFPNHVYKLDKALYGLKQAPRAWYKDLKELLIDCGFDVGLIDPTLFTKRVNGELFVCQLYVDDIIFGSTNKAFNDEFSNLMTDRFEMCMMGEMRFFLGFEIKQLREGTFINQAKYLQDMLKRFKMTEMKGVATPMVTKCHLALDPIGKEVDQKIDIYMADFGKPRVAKAASLRLFLFEFTNHDCLSASAACAVFFWIGDRFRSSPSCPRLVSGTVLLHIYDCRSFFLEANQHPDANCTTDSMIDRFSLNQIGSCTDLISSQGCFRSLLLRHIFFLVLFFFPTLHRSVLGNSLRIHCPLIVDVRCRGGCGFSIRLKEISRAGNHVDGDHLPVMYLMSLINSMAWLSYGCLDPQSNAVVIINLVASVVHFSYTVWYICLCEHGSWGFSTGFGLVVVLLFFGIMACIHAFFPAVFCGGRDSLNTPVTITAILMYIGPIPDMLMAMWNHDAARMSFPVVLASFGNALFWGEYGRLQHLQAIRGSTSLVASKIRNGNCPILQLAAYHWLKRNDIPAIYREEIPQLFHQFTETLNRRSELEIEGQEEGRKR >cds.KYUSt_chr7.13359 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82338644:82346193:1 gene:KYUSg_chr7.13359 transcript:KYUSt_chr7.13359 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHQSRKRWVAMMICVCLGNSVRRTPATQGLRGNALYAVDPFVFVRFTSTSPPPPTTTRTTGTITSTGSHRSSTTSGRPRRCYTEEIEAAGLNTELCAKSWFPWTFSLSQDTTGEGPWTARDHASVSFVNIDSLLGNSVRRTPATQGLRGNALYAVDPFVFVRFTSTSPPPPTTTRTTGTITSTGSHRSSTTSGRPRRCYTEEIEAAGLNTELCAKSWFPWTFSLSQDTTGEGPWTARDHASVSFVNIDSL >cds.KYUSt_chr2.19430 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122160469:122168469:1 gene:KYUSg_chr2.19430 transcript:KYUSt_chr2.19430 gene_biotype:protein_coding transcript_biotype:protein_coding MEALATPKSMEALATPKSMEAPEPSLPVDKAPISFSTVEDLLPTAAMSRRELTVTGSPFRDVSNLRTPKPNPNPKSPSPLFFTASKTPLPAPTPAPLGRSRRRPLAGAATPAPLGRRRPLAGAATPAPLGRRNTLAGAATATPLGHRLRALELDQSRSARRAESARERALRAFAASASSCLSLLLRDPSACGCSPAASATATHASAAGKRGGERVLGSSPKRRRGGDRCVERRKAMTPAMVAVLRESLREVCSLEDVEERMGKFMSAQACQEVLLMMCQICKNIDERRLKMKAHCPIATDLRLKENATRVFMCYNPEWLMIGLHIVLGGDLLMKLLQEKQLFCQNMPPKTSSGDGKRDKEELALSEYNFTIGNLFEDLQDGIILCRVVQLLLSDASIISRVIAPPDTHKKKLQNCTLAIQYIKQAGVLVSDADGVIISAEDIANGDKELILSLIWNIFIHMQEQSISETKSHMSLLYDWIQGFPASDILANDVLFDEKGAIVLTALLCSHLTNDKRLSYVKAYHVRKASKQEVADIRSRIQKASKQVDDNMRLISRLISALSHITGCTATKLSEKCCETLVGAGAVDILLKQIPNLNRGIPDQEVLKQVLYTLQNIARFPNLRPILVNNPQLVTTIFQELLRNKGEVFFIACGILKKLCESKEGRETAGALRHHIGRLGSMVQDLKKKVELDKRCGHTGARKEDNLRRLGEADTLFHFLTDDL >cds.KYUSt_chr4.38273 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236019761:236022317:-1 gene:KYUSg_chr4.38273 transcript:KYUSt_chr4.38273 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELGLLGHHSPAGSSCVSYEVFGSPIPASPRAKLLLDSSPSSCVSDDRSKGGRPSSPTRMGKALDSPASCVSDGRYVSPLRVSAEQEREAREAEMLLRAIAERYDDCFLRLRDATAEVAELRLERLHLREENVQLSLLLEELEATQGNLAYAAAPAPPPNPAEEAAARGGPPKSISIRSKCYLSQMKQPQGEAEAQRLRVRPPPPIEEAAEDEAQGDGEVEVEAYRQGTHKTELCNKWEQGACPYTDRCRFAHGLQEMRPVIRHPRYKTLPCQMFVAASGCPYGHRCHFRHSSAPAMESY >cds.KYUSt_chr5.39854 pep primary_assembly:MPB_Lper_Kyuss_1697:5:251955842:251968750:1 gene:KYUSg_chr5.39854 transcript:KYUSt_chr5.39854 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAHRGALESLTILGDKLTPRKDKTTHQGSGSKHRSKDSRDDITYSKIDKARRRRAAREGYDSDDSEETQEYDGELRGADCLSYRIQEAMSPKRFKPTPTDAAKTATTMDKRTSGEEGSSSGSRLAVKEAYIKKMQRSCDNQVYVLPKYNTYQPLVNIPRTETLESYKFSQHKTSVFRGYSLPQGVKSVIPSGFMVRSTILQSLGYPLPPPLVFELNGGLVGTFENDFGQFHYWEGFPFDDPYSGPGKPIWEQLGEQVIEKMSKSVVSIASFKGDPYSQHERFFACTGLLITWGPSTFVLTSASLVRTGDAPDEIDENLRFEVFLPPGQCVDGMLELYHSNYNIAIFSLGKDLSDISPVDILSAKVGIGGPLIGLDGKFMGMNFYDESRTTPFMPSTKIAEVMKKGFKLLFERPPEPCLSWTCTGEGQSGFWGRSGATTGITTSLSDDELLHNDNFFPDLSDFFDNLNMGDNEAAAKQINSSSVAAATRPPLFDGMHYKRWRTKAVLWFTNLGCFSATDARPEGPLSAEEQEKFEKVDAMFKAALFSILGDNIVDPCMAFDHGKDAWDALEAKFGVSDAGTELYVMEQYYDYRMTDERSVVERAHEIQSLAKELDQFKCTLPDKFVAGGIIAKLPPSWRNFATSLKHKRQEFSVSDLIGSHHVEEKTRAKDTRARSFEGGKFDEKNKASNSTNFKRKTPYKKKGNCHVCGAPGHWAPDCPERHDRRGNNGKSANVVIGVDTEMKDVGYGRKDLLRADGKRLTCFCSWC >cds.KYUSt_chr4.13817 pep primary_assembly:MPB_Lper_Kyuss_1697:4:85069349:85071700:-1 gene:KYUSg_chr4.13817 transcript:KYUSt_chr4.13817 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTQGAVDSLVGLLSTAITDERKLLGGVQGDMQFIKDEMESMNGFLLHLNKSDGTHDDQVRAWMKQVRDIAYIAQDCIELYRRDFAGPIDAGLWARLRYLPKLIACLPARHRLANKIRELKVRVHDVGDRRQRYDINIPPANTAAERLADSQSRQVEIDEDRDAFERALALDKEKKQPSFDGAIGLLASKDLRSAATTVRAALYKCLMGYHNGIITGAQRMFRVAQEDRLTKEETEVICIEVVLRALRAHSQDRRTAQTKEDILRIVKEADCTNLPNQLMIFCYSNLSRSQKSCLQYLYAFHEETSISRTSLVRRWLAEGLVERKVGRSLEEAAELCFKELLFRGFLLPHDRATATGKVKSCKIHKTIWEFVYTMSTSENFVSDLPTHLNNQLRLRGFVMKQQWEMNQRPREVDSPRSMCGFHRPTRHAAEPMEEMVKLLKSLPETYRLNVLDLGGCRGLKKSHLKTICQVQWLKYLSLRNTGISQLTKQINNLRLLEKLDIRQTALRQRDTEHIYLPKLKHLLTTWTETIYTGIPRNIGSMIYMEILSQVQVQHDHPTAKKELEDVSRLQLRKLGVVLGGSEAQENMDSLLQAITRLRDCLRSLSVRITSLPTSDHSVKMDMAPQQNVPNLLNSLHISGLGNTALPSWILQLHQLSEITLFDSFMSKEYLQELGKNLQHLRSLRLRSKSCGEEKLTFNNYMFQDLRFLIVESDTITTLEFEEEGATPNLKKIVWCNMPNKQPGTLSGVHHLRSLEEVVLKGHFLNLNDIQVSIGTKLRYP >cds.KYUSt_chr2.46318 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289385015:289388853:1 gene:KYUSg_chr2.46318 transcript:KYUSt_chr2.46318 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPNLGFAMAAALDGFSYRGFSVSSGEKQHISGNRANMPAPHGHAFSSSLNKVADAAAMHAPGEAAEAPTMWFPSPRSDKTDGDAATIVKDVAVAHSALLRCHGSADV >cds.KYUSt_chr6.29827 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189015742:189017665:1 gene:KYUSg_chr6.29827 transcript:KYUSt_chr6.29827 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFSSSAAAAGGKRPASAAAVKPPKKVITKDDATEAKNMRGIMRPLPVSEALSKFPGGGPEISRAGAIKIVWAYIKGQGLQNPANKREILCDEKLKNLFAGRDKIGMMEITGLLNPHFIKTK >cds.KYUSt_chr5.12055 pep primary_assembly:MPB_Lper_Kyuss_1697:5:78399023:78399859:-1 gene:KYUSg_chr5.12055 transcript:KYUSt_chr5.12055 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLVVGHVTTLVGVVVVVHFVVFIFRSAMFSFQCLLSPHHGIRTNTSFFFAESASGSNHRNTPCTYPLAQLSTRRIHKYPSRTHALLAKRATRTRTEAIAMAAAALIVLLLAVATPAMHPQTASAAGADKETHIKVYWHDVYSGPSPTAVVVAKAATTNSSKTGFGMVVVIDDPLTDGPDLNSSKIVGRAQGTYIASGKDSLALLMNMNFVFSAGQYNGSAVAIMGRNSVMDEVREMAVIGGTGVFRWARGYAQARTHTFDLKTGDASVQYNVFIRH >cds.KYUSt_chr3.43533 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274719425:274719817:-1 gene:KYUSg_chr3.43533 transcript:KYUSt_chr3.43533 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRRLLLAAAVSTLCVLASTVAGDGGKPLVTAITKDAATFLYSAPVIRNGQPGHHLVLDLSGPIIWSTCATDLRTLECNSVACMRAHRFHPPGCRHTGYGKPDDDNPYRCKCTAHPHNPVCGATVSGT >cds.KYUSt_chr7.11646 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71564271:71564882:1 gene:KYUSg_chr7.11646 transcript:KYUSt_chr7.11646 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQSEHLLLRRVYAFLKSRKLHRAAHALEKEARLRFDWPRVGSVIDEGRWRAADEYVSAFLEDRTTPEAAATLFIVRFQRFVRALKRGDEAWARRYLACAILPVLRAHPDRDAAAARCLAVLRDRAALDVHRDDAQSRRACNLAFLDSIFQNDGFVILTDDDADLKRLQRATSIGLRRHAPPRPRRSRPTSSASALPPAANS >cds.KYUSt_chr3.37231 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234108469:234113160:-1 gene:KYUSg_chr3.37231 transcript:KYUSt_chr3.37231 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSGCSNGAHKRLLQHDSSGGGYAQQHAKKKARIGMRTDYTYAPYHDGFQWRKYGQKMIRGNAFPRCYYRCTYHQDLGCPASKHVEQHNSGDPPLFRVVYTNDHTCRGAADAASEYMASSMHIQQIADASLRKVPEVETKPAPLTQLARCGRGFAAAIKEEKDAIISSLLTVITGGCDDNIVKSDHSARVNYSDSLLASNCYRDSPAMAGGSHDGSSGSSVSPVVLPAHHDLGLDFMVDSNWFEPLDLGWFQ >cds.KYUSt_chr5.28469 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180281082:180284331:1 gene:KYUSg_chr5.28469 transcript:KYUSt_chr5.28469 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLAAAAAVVVVLLLCCSSGVRGEESDDVKESLVKFLVKLAGGGDVGEERALALGWINSTDPCPGATGNGSVSKWGEQVVCFNNGDPNAGKIKYIDLQSQNLNGTIDASLLCAARALRRVNLQNNSLTGGLPASISACSGLPYLFLDHNRLSGSLPSSLGQLRRLRVLDVSRNDFSGELPDSLRQLPNLVRFAANNNHFDGTIPDFNLPNYRSFNVSSNNLTGPIPKKLGIIDMSSFADNAAGMCGKPLFPECPASPSPGKDGESKSKKTRKILMYLGYILLGSVIVGFVVYKLCSRKRKNRLGKKGRGGGKEIFDSSTDPTTTASKSAYSLPASSERSATAAGGAPSVSLVVLRRSGTASVTSAAAAAAAKDLRFEDLLKSPAELLGRGRYGSSYKVVVPGGAALAVKRIKDASVTDDEFRQRMERVARARHPAVLPPLAFYCAAQEKLVVYEFQSSGSLHRQLHGSIESSQAPLDWPARLHIAAKVADGMAFMHSAMRGHGASSYSSSSNSSSSDSAAAAEGAVAHGALKTSNILFTAGMEPCISEYGVIAPPLAPGTGGSSRSSGLRADVRAFGVLLLELLTGKATAAQGDGAELARWVTSVIQEEWTAEVFDRALLARDAVVNEQRMVRLLQVAMRCVDAAPGEAPPTMREVAGMVNAIRDEDDRSHSAEA >cds.KYUSt_chr2.4542 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28086520:28087042:-1 gene:KYUSg_chr2.4542 transcript:KYUSt_chr2.4542 gene_biotype:protein_coding transcript_biotype:protein_coding MASKADFFLAVATLAAACAVSSAQTKFGKLVITGVVPCNTGTLIDVATSPAFPDANVELRCGGNVVAGAKTSSNGSFAMEADMTNALAALVGGCELVVDTPLVKCDGKLPAAGALVSYLQGPLARLLSGVFQLAPAGFSFQMS >cds.KYUSt_chr4.47243 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292328079:292328774:-1 gene:KYUSg_chr4.47243 transcript:KYUSt_chr4.47243 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASYMMETRIC LEAVES2 (AS2)/LATERAL ORGAN BOUNDARIES (LOB) domain transcription factor, Crown root formatio [Source: Projected from Oryza sativa (Os03g0149100)] MTGFGSPCGACKFLRRKCARGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPIADRAEAAVTVSYEAQARLRDPVYGCVAHIFALQQQVMTLQAQLADLKAQGAPQQGMMQHEDAKGYVGGAEQYGHGGYPWCNDNGAGAGGAQPSWGNGSAGHESITALLTSDYMQQSLYHALEQADGQARGYEAMDQSPSFGAAEESGWRSSSSSGYQDSEDLQSVAYAYLNRS >cds.KYUSt_chr6.22669 pep primary_assembly:MPB_Lper_Kyuss_1697:6:143229335:143230855:1 gene:KYUSg_chr6.22669 transcript:KYUSt_chr6.22669 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPTMAPTQQALAAAATAKPHFVLVPLPAHGHLIPMVDLARLLASRGARASLVTTPLNARRLRDGGVDKELLLEIVDLAFSPADYGLPPDCQNTDKIADNAQILPFFLALHKLAAPFEAYLRALEPRPSCIIADWFNSWTAGVARSLGIPRLFFHGPSCFFSLCDLNAAAHGLHERVAAATGDDRQTHVVPGMPVPVKVSKGRVPGFLTSPGCKELREEAMAAMRASDGVVVNTFLDLEDKFVAAYEVALGKPVWTLGPFCLHNRGTEDTASRGGDKPGVREGAITAWLDEQAPDSVVYVSFGSVARKLPRQLFEIGHGLEGTGKPFVWVVKESELASPEARAWLEALEARTAGRGLVVRGWAPQLAVLSHRAVGGFVTHCGWNSLLESIAHGVPVVTWPHFADQFLNEQLAVDVLGVGLPIGVTAPVMVLDDDAPVPVLREGIARAVSLLMDGGEEAEERRRKAKAYGAKARTAMEEGGDSCEKLRQLIETFRYGTEAMNIGTL >cds.KYUSt_chr4.7900 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47061413:47066934:1 gene:KYUSg_chr4.7900 transcript:KYUSt_chr4.7900 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRIFLGQRVAIRASLKPPLPSLVEFGQSWWVKEEQAYRARSGGDGLGDCHEDKEMRLQQGHHGELSSRLQDEDLENMFSSLTTDDILSQGASGECREDKKRRLHEGNTRDLTSRHADEDLEYIFSSLTIDSILSQGTSGCTTSIECNHPADSRSLRSDEFIDRVNDQLLLHDGTGVHVFEEHSFILYTKKFALLKFVNLLILPSWDNVLAVAYLLEATPYLRRLRLEARSGQHHYVENGQVSLPVDFYLKKLRGIFVGGFAAQAPLIELLACLVRAAPGLLLLKIDPHHHLCKAMGKWARDDVGDEAARDHARNAARETIGPKLPPSVKLIIE >cds.KYUSt_chr4.52491 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325512469:325514565:1 gene:KYUSg_chr4.52491 transcript:KYUSt_chr4.52491 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLPLPLRSSRPSDGRRRGRLITVAVALLVSLLLALAYLSFPTASRARGPPPTAAGCTGIQGVELWGDALNWGSDHRTTSAAACCAACRATCRGDGGDCRCNSWVFCGDRRRCGNRFGECWLKKQTDVLAPSVIARGEDVMWTSGLVFGKSKLLPDCAPRSVDYFIELLGLHNCAGCRFYRAEGRGHLWDAQGDSIKNAASGPPYGLLQGTLEVDGVAFKEVPREGCSAVRRGSVAWVGSGPEFLISLANHEEWRDTYTVFGSVVPEDMAIAEEMAMLPTSTDVWSNVTVRLLKDPVYFKVKRKSNASAV >cds.KYUSt_chr4.44137 pep primary_assembly:MPB_Lper_Kyuss_1697:4:273411571:273418923:1 gene:KYUSg_chr4.44137 transcript:KYUSt_chr4.44137 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFPPHQTPQSAQLVVAVVSIRPPEYASLSLNRRWRPHPQLLSRLISLHSCLSLSLCSFPGRPNPTRNPDPGCLDPGSMELLFELLLTAAATLLVALLLANLFAAATADHRAAVGPAAAVIAKEEPEEERIIEVDEVMVPMQASPALSEGWVEVEKAPAQAAVAAEGKETAWVAVEEGVPAALAPELFLGAVLEDRKEEGEVGKIMPRDFTLAPAAAAATEAAAKGLGAAPREILGLELEQDRTRQDDSGAGVATIQVPEDAELQKQEVQPTEAVVVEQRHLVPEAAPAQVTDAGMEKEEGAQAAEVIPQELISETVPAEVLDDELEKQQQEEPVTEVDQHELAAEVAPREIPDEVARESASEVAAMEIPNEVASELTAEVAPRAIPVVVAMESASQVAPITHVLDTEEELKEQSLEEIVHQHEEVQNKEEAQCEASPVDQPEKPVPKENLAVTKADDLNVSQEGSSNEKAPIQLPEKEATLPGTPEDEVEFEEWEGIERSEVEKRFGAAAAFAASDAGAAALSRLDSDVQLQLQGLLKVAVDGPCYDSAQPLTLRPSSRAKWVSWQKLGNMHPEIAMGKYMDLLSEVIPRWMGDKTSSTKRHEGDVDSEGAAVTMTGHTSDPQAFRGNEGSTSIDEGPLTSPPNPEKANPVNFQARVVTSLLNEVVVGVASFSEAEYGLSCGQHGPVGFGWTPGSADGSLRRLTEKRGRLADCESGVTSARISGVNAWHGPCDDSTRDMSGTQGRHESAWLSGASTRQWNSQYVGGRMLRRSRCTSHMFHRTRAKSASRRVKGSPPVAMAVSGRRTPVNHRYKTHAKTYRHACSKAHGAGGHGLVSIPEAVDDAYYTAPPSDAFFSFLLHMVVVPCTRP >cds.KYUSt_chr2.5315 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32973999:32975916:-1 gene:KYUSg_chr2.5315 transcript:KYUSt_chr2.5315 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRLQLQALAAVLALVAANGVSQGFEFHEATVEAIHLGFTNGSLTSTALVQFYLDQISRLNPLLRAIIEVNPDALRQAARVDARRASSGGRLTGGLHGIPVLLKDNIATLDTLNTTAGSFALLGSVVKRDAGVVVRLRQAGAVVLGKANPSEWSNFRTVDSRTRTLRRPTPCGSSAGPSVAAAANMAAVTLGTETDGSILCPSSWNSVVGIKPTVGLTSRSGVIPITPRQDTVGPMCRTVSDAVQVLDTIVGYDAFDAAATGAASKYIPAGGYVHFLKQDGLRGKRIGVPNGFFQGYGEAELRVYQQHLDAMRNYGAVVIENLDVAANLTALAVDIASNEFMAVRAEFKLSLNAYLADLSSVRSLADVIAFNNANPVQERLKDFGQRNLIAAENTHGIGSVERAAIRRLEELSANGLERLMKEQQLDAIVTPNSSASSLRAIGGHPGIVVPAGYGEQGVPFGICFSGLQGYEPRLIEIAYAFEQATNVRRPPMFKP >cds.KYUSt_chr2.47352 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296237838:296240483:1 gene:KYUSg_chr2.47352 transcript:KYUSt_chr2.47352 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHTGWDKFARDLALEPDCQLTFLYEGDGEMIVNVVDDTSCRRQYNTGESGSDTDTIHGASAQALLDLLLFLVPLVLLLVGWKRWSRRRIKQRPNLPLPPSPPFKLPVIGHLHLVGSLPHVSFRDLSRKHGRDLMLLRLGAVNTVVVSSPRAAEAVLRTHDHALASRARSAVADIIFYGSSDVGFAPYGEPWRQARKVVTTHMLSTRKVHALRHGREEEVPIAVAKIRASAATAAAVDMSEHLHSYATDVVCRAVLGRHHGDDGRNKLVRELADINMSLLGGFNLDDYFPGFAIVGVFTGLVVCRRAIRVRKRWDELFLKLMDQQGRHREQGDDASSDFIHVLLSLQEEYGLTTDNVKAIVMVSKHVMAKLQEEVRRRHTLGPAVGAEDREEPQQDVVTEEELVDMAYLRATVKETLRLHPPTPLLIPHLSMADCEIDGYTIPSGTRLMVNAWALARDPASWDTPEEFAPERFLPGGSAATLNGKGRDFEYLPFGSGRRICPGINFANAAVELMLANLVYHFDWELPAKMKEVDMKEVFSLSIRRKEKLLLVPVSRSIPLSDKRE >cds.KYUSt_chr5.39343 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248999453:249004850:1 gene:KYUSg_chr5.39343 transcript:KYUSt_chr5.39343 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSRLPRSSAAAPLEDDDLLSEILLCLPPQPSSLPRASVVCKRWHRLVTDPHFICRFRRHHRRNPPLLGFFNSDRGRISFQPIMDAPNRVPSGRFSLQLDDGDGLRLLGCRHGLVLFLAYQRKQVLVWDPVTDDQHRIAVPTVFYMNTTRIQGTVLPADGEVHDFQVVLVAAFQDDRQVLASVYSSQAGVWGNPISAPLPPETYADVQFTHVCMPSVLVGDSLYWPLSRNFASSSHILEFNLERQGLAVIELPVYISEDYTDLTAMRAEGGGLGFLFVSEPDARAQLWKRKTDCDGVASWGLEKTIELDELLSLNSEEERQFLMFSGFAECNNVVFLKTRIGPFMVELESLQGIGEPPPGKRLAATAHGCQLVVFRIGAEAILGAGEEGGDISVDAGAHQEGAGVSAADQRAQQRSPRHPRPTLLPAAWAEEEGGEEGGRRGRHQRRGRHQHRRKQARRGGGERAEDAAVAEAIAGSLNDPVPADNALPEDAALAWSRRDREREEAEQQRRPMDPAAARQLAARAAPTAADDVARYRRPATLPFGVAVPFVDLEASDDEWYKPSPPRTSGRWGDAG >cds.KYUSt_chr1.31901 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193545165:193546514:1 gene:KYUSg_chr1.31901 transcript:KYUSt_chr1.31901 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSILMERYEIGRQLGQGTFAKVYYARNLTNGQSVAIKMIDKEKVLRIGLMDQIKREISIMRIVRHPNVLQLFEVMATRSKIYFALEYAKGGELFNKLAKGKLSEDGARKYFHQLISAVDYCHSRGVYHRDLKPENLLMDENETLRVSDFGLSALTESKWQDGLLHTACGTPAYVAPEVLSRKGYSGAKADVWSCGVILFVLAASYLPFHERNLIELYRKIAKAEYKCPRFFSAELKELLQGILDPDPNTRMSIARVKRSAWYKKPNEITAHKIQSLDRICNSSDGTLSLTNMNAFDIISLSSGFDLSGLFDERYSQREARFTSKQPPATVLVRLKELAKRMKLKVTKKEDGFLKLTTGKEGKKGVLAFDAEIFEVAPSFLLVELTKTNGDTLEYQKLLKEDIRPSLKDIVWAWHGDVRQQTQLPQDAEQQPQPSSLPPLPPRRLED >cds.KYUSt_chr1.19743 pep primary_assembly:MPB_Lper_Kyuss_1697:1:116115480:116115710:-1 gene:KYUSg_chr1.19743 transcript:KYUSt_chr1.19743 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHPKCDVGKLIDCRPAIIGGKPPSDSCCSNLKAQKGCFCQWYVKDPDYSQYINSPNAGKTLDSCGIKVPACLL >cds.KYUSt_chr3.33265 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208777141:208778601:-1 gene:KYUSg_chr3.33265 transcript:KYUSt_chr3.33265 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNMSIGYMPVAPVAPDWLNKGDNAWQMISATLVGMQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWAYNMSFGHKLLPFWGKARPALGQSFLIAQAVLPQTTQFHKDGSVETGWINPAYPMASMVYFQCVFAAITLILLAGSLLGRMNIRAWMIFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGLLWMGWAGFNGGDPYSANIDSSLAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWFTMMVVHKRSKLLQHVDDTLGVFHTHAVAGFLGGATTGLFAEPVLCTLFVPVSNSRGAFYGGTGGIQFLKQVAGALFIICWNVVATSLICIIVGLIVPLRMPEEELAIGDDAVHGEEAYALWGDGEKYDSSKHGWYSDTEGTQGRKAPSGVTQNV >cds.KYUSt_chr7.16663 pep primary_assembly:MPB_Lper_Kyuss_1697:7:103266786:103269617:-1 gene:KYUSg_chr7.16663 transcript:KYUSt_chr7.16663 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSWVVVGPAGGEGRVGATNIGRLRQGKAARGGAGGVTSWDLRVFAGVVGFMGCLLLVASLLMSAVHQVQFRNGAISVNFRGLQEVKQNLVRKEQAEQIMHERLIQMATLATTKNESDSDNFALWEEPYQQARKWKPCAAKHSLADEEPGENNNGYILISANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDKSQFGDIYQEDYFVNYMKSDVRIVKDLPPHLQSVDFEAIGSQITDNDITKEADPSEFIKTALPVLLKNHVVHFLGFGNRLGFDSVPVHLQRLRCRCNFHALKFTPEIQKLGSLLVQRLRGVSEMQTEMDKQLFGGNMIDTSSGVEHTDDPGAPSKYLALHMRFEVDMVAYSLCEFGGGEEERSELHAFRETHFPALATRLRNTTVSPEELRSQGRCPLTPEEAGLILSALGYDHRTFMYVAGSQIYGGATRLRPLTRLYPNLVTKEDILSSDELAPLKNFSSRLAALDFIACASSDVFAVTDSGSQLSSLVSGFRVYHGRGRAPTLHPNRKRYAQILSEEGGIEWSGFRKRVRTMVEEYKRVRARPRGRTVYRQPRTPGCMCRAGGDSSVDF >cds.KYUSt_scaffold_3611.150 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:862649:869353:-1 gene:KYUSg_scaffold_3611.150 transcript:KYUSt_scaffold_3611.150 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGSISALIAKRWKVESHPGPFPCLFDLTISVVFSLSLYWLGLRNWSNGKQREKVNNTVLFYQATHDKLIAEFPKYKLITPFVLSKRFRRYAEMNVCSCLSFPFCNVDVASLPIGSEDLEVQSNIGVQATDSSGVDAMLGFPTGFYCLLSSCRCAAAVVVMTFSASATPHSCELFRLPPSASSLWYHILEPWTFCGGAKLMFICTVLGYIKKWIPVLGCVEISCGSGNGNGFHPCDVVLLAGGEPYMCHAAVAAPTLMQQLFIGNKQDTPLRAFDLGFSMHVVTRISLKKKKTELLPIVGARLQKSGIFGCLNLANILGEAMSFQGLDFGVRRHSRCLNLRES >cds.KYUSt_chr1.30989 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187730305:187732561:1 gene:KYUSg_chr1.30989 transcript:KYUSt_chr1.30989 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGLARSMSLGKERKAGEEKEEEEQGTVLRTSGTLWGEGSETFAAVCSRRGEKGTNQDCSIVWEGFGCQDDSIFCGIFDGHGQWGHYVAKAVRDSLPPSLLCHWQEAVALASLIDGEKMLCDYQFDLWRQSYLAAAAAVDEELRRSRRLDAFNSGCTALSVVKQGDMMVVANVGDSRAVLGTTSDDGGLAAVQLTVDFKPDLPHEKERIRQCKGRVHSLGDEPGVHRVWLPDRDAPGLAMSRAFGDYCVKDYGVISAPEVTRRRITARDQFVILATDGVWDVLSNEEAVRVVAATPDREKAAKRLVECAVRAWRRKRRDVAVDDCSAICLFLHSPAS >cds.KYUSt_chr5.19235 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124674237:124676088:1 gene:KYUSg_chr5.19235 transcript:KYUSt_chr5.19235 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRLFGKPKEQANAGALATLDKLNETLDMLEKKEKVLEKKAAGELEKAKDFSKAKNKRAAIASLKRKKMYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAKAMKAMQQATNIDDVDKTMDEINEQTENMKQIQDALSAPIGASADFDEDELEAELEELEGAELEEQLLEPIPYHPVHVPDNRQQVRPVPQKASAEEDELAALQAEMAL >cds.KYUSt_chr6.29974 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189821081:189823444:1 gene:KYUSg_chr6.29974 transcript:KYUSt_chr6.29974 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRDAVLRELGEAWDEVEEAEEDRRAALRALEDDCLALYGAKVAQVRHHAARLRAEADAARAELDALRAAIGHDDPRDTVRSSGSRRSLREQLRVVASELEDMRRRRDERRRELADVTVLIDRLKQEMRPTEPLHVDADGNDLTMKRLQDLRAQLRHLQSEKVRSDQSTTLLMCKSDEGDQSVLCFWQENRIKKMAELRDSLHASSSVLGMEPAETTTSLQEAGAGGDISDGALAKLESESERLRETKRGRMQRLQDLVVAMLELWSLMDTPAEEQSRFQGVACNVAASEDEITEPGALSAEAIGEVEAEVARLEGLKGRRMKDLLARKRGELREIQRRARIVSAVAAAEEDHGEEMLVLDDDGEGVAERTLVLARLDTQISEARDEEISRKDVLERMERWQAALEEETWLEEYSRNENRYNVGKGTHLVLKRAEKARAIVRPKEAAGAKHGGVAGGQSSPEEHQERDEDTIHGWRQEDGCVIVIISIVFIEANHSKLPQICVIIT >cds.KYUSt_chr4.27593 pep primary_assembly:MPB_Lper_Kyuss_1697:4:173399896:173401156:1 gene:KYUSg_chr4.27593 transcript:KYUSt_chr4.27593 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPKVVIDNAVCPTATLVQLFADLDLSVKKAYISCDGWWFMYLFHVTDRFGRKLTDGVTAYIQQSVGAWTEPSQPVALAGLTVLELTGVDRTGLISELFAVLADMGCSVVAASAWTHRGRLACLVYLRDVDAVRVARIESRLGALFRGVSDDASAGVVAVPAHSVPHPDRRLHQLMCAARDPDRAFPTSSVSVESCGERGYSVVTVQCPDRPKLLYDVVCTLADMDYLVVDGTVDTSRGEARQEFYIRNADGSPTSSEAEMQRVSQRLQDAIERRSFEGVRLELRTPDRPELLSDVTRTFRENGLLVTQAEVSTKGDMATGEDI >cds.KYUSt_chr4.44399 pep primary_assembly:MPB_Lper_Kyuss_1697:4:275088785:275090244:-1 gene:KYUSg_chr4.44399 transcript:KYUSt_chr4.44399 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTKDGRRVASTCAQVVLRTFWEFYKLSSGMDHQEATTVLEKMTKKNLRDALYHVRKLAITHYFAEKGKRRNKDRVIAENLTTEDDAGWQSICPTWCVNKKDGWCALVCYWTDDEEFKARSIQNKANRGSGGTHNQGNKPFPLYEKDMVAANGGQEIPRIQVWQAAHKKKELVEGKVVYYGKTDDYVDSYKKALKLLHGENSDPLSEPFDEMAVMISGHGKPHGRTSILNEVHKPTITLPRIRNMASSSGVFMPPRPRRSTQTSDDARMTEAYEQLQEEFQHKMHEYEVVNSASREHMQEQTKALFAALTAGAPPPQYVEPPVVPPLPKMPTKAEFLAQFHGG >cds.KYUSt_chr2.51297 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320705129:320709720:-1 gene:KYUSg_chr2.51297 transcript:KYUSt_chr2.51297 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLLRKVWGTVLARAGAPRDPESGSSSSSSSASSPRRRGPTAAAAEYGSLGALDAVATDVLAQILRLLGPADAARSSAVCRAWRHLASDNALWAFFLSLGPEPWDLVVFAETHLASGPAVPRSVYYSSSAARVPPQLSFKHIYGQRALVPGSIVVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFFSTIYTRMHVKPSARPIVVVLPLCHPDDTESARASRKQYKETLYSVLFDMNVPAVCAVDQALLALYAARRTSGIVVNIGFNATSVVPIFQGRVMHEIGIETVGQGALKLTGFLKELMQRRNISFESLYTVRTIKEKICYVAADYEAELCKDTQASCEVDGEGWFTLAEERFKMAEILFQPHMGGVVAMGLHKAVSLCMDHCYNAEVLGDHSWFKTVVLAGGSSCLPGLPGEHFPRCMVRKQEAVPPEVTPQRSISSERMAIEPDAPQL >cds.KYUSt_chr1.23594 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140412991:140415775:-1 gene:KYUSg_chr1.23594 transcript:KYUSt_chr1.23594 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSALASAGCVANEAVSFVVFVLLDALEVLLCGVYKVADYVAEGAWRPCYCSSSAEAAAPGKIVVSERGGSKVVSVLSNTKVHLEDISDTLYTRPSVLSSTAATSPPSSSYSSRCGGNVRASASAVTVHSAIVQILRGKAGVGDGEHKPYPSPRWSDCHCANCNPADTDRLFVHVEGPPDGGAAEEDVLFIHGFISSSGFWTETVLPHVSREARARRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIERYKVGPFHIVAHSLGSILALALAVKYPAAVRSITLVAPPYFPVPKGETGTQHVLRAVAPRRVWPAIAFGASVACWYEHLGRTVSILLCKNHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIEQCLEVVREQLTCGVTIYHGSDDELLPVSCSYAVQSKIPRANVKVIDGRDHVTIVVRRQKELARELEEIWDTKRT >cds.KYUSt_chr2.53027 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330868847:330871527:-1 gene:KYUSg_chr2.53027 transcript:KYUSt_chr2.53027 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWAIAIHGGAGVDPNLPEQRQEEAKRVLARCLQLGVDLLRAGAPALDVVEAVVRELETDPCFNSGRGSALTRAGTVEMEASIMDGRGRRCGAVSGVSTVRNPVSLARRVMEKSPHSYLAFAGAEDFARDQGLEVVDNSYFITDENVGMLKLAKEANTILFDYRVPLSGADTCALAAAASDNANGMVMNGLPISIYAPETVGCAVVDSNGHTAAATSTGGLMNKMTGRIGDSPLIGAGTYACGLCAVSCTGEGEAIIRSTLARDVAAVMEYKGLPLQEAVDFCVKERLDEGFAGLIAVSGTGEVAYGFNCTGMFRGCATEDGFMEVGIWE >cds.KYUSt_chr1.18629 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109111599:109112174:1 gene:KYUSg_chr1.18629 transcript:KYUSt_chr1.18629 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVRALATMAIFLMVALSTSHIASSLRPGLGVCRASGYLPGKSGNCERSNDPDCCEDGKKYPQFHCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDKEMVVALSTGWFNNMDRCGHRIKISANGKSVYAKVVDECDSVYGCDEDHNYEPPCANNIVDASPAVWNALGLDQNIGMEGITWSDGDS >cds.KYUSt_contig_786.249 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1392803:1395232:1 gene:KYUSg_contig_786.249 transcript:KYUSt_contig_786.249 gene_biotype:protein_coding transcript_biotype:protein_coding MALHATRRAAALLRPAAAPLLRPTPASAARFSSKAEYKAFVACLRDISASAQADKNYSGDSVRDKVDSVRAATHDGEILRVIDNVIRSQHRGLVEENSSDFPFEISEKAGLTELTLTRSLKGEKIEVLVSMPKLDQDGKGDEGLLSSSKENQECEGNTPPEKYSLPVRVTVSKGDGSGLVFTCTANPDDIVIESLSMRRKSLGADEGDAVTYDEGPDFHELDKNLQETFHKYLELRGITPTATKLLHEYMISKDRRVLPKTASKDKRNNLVFLTKLCSFLKKD >cds.KYUSt_chr2.49554 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310120111:310123611:1 gene:KYUSg_chr2.49554 transcript:KYUSt_chr2.49554 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIKGVGMVMHINLASLPLQRKLHIPAVSSSSRKGHLTISGMPSCQFPRLIARTWCFLISSPEMSPRCLETVVCWASLVLVSGDFTGLNISRVVLAAVKVLVSEDLMCLNFARVVLAAAVKV >cds.KYUSt_chr5.28253 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178851307:178857429:1 gene:KYUSg_chr5.28253 transcript:KYUSt_chr5.28253 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAEIPAALRGKGRGNLPFRLPSPLVPSQIFPSPNFCTSGAYGDPDLAVRIFFGLRRPGSLFPAAAEPVHICGGFRMCPSSAMTKDCIGTIDGTNVTAKVPRSMSAAFRGRKHYTRQNVLAAVDFDMSSKHDLEDLNTQLDKVYPVLAMDKIPADCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHVIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRLGYHCDLLTRGMGGAEMESRKAEEWASQLQCWSLQLINCFAFKPEFLHDICKPEFLAKLPGMWGGLVNENSPAGVGLLRTICQSKLGRGHVASISSVLEALCNIARSSDDWQYMAVDCLLWLMQDPSTYHKVIDKIAPTLIDLADISTLGDYKKLGDTIVTVLQECMQQNGSSRNSISSHTKEEIDELLSFKQKMKSEKSMPKEDLHIKQAAALVVKLEGNSLFSSGNIEGAAAKYSEALALCPMKSKKERVVLHSNRAQCYLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFRESSLKHGGIHCEGDASDAFGQEADDSEWETVSESDGENDERREADDETEWKSGGHREDAYEKS >cds.KYUSt_chr4.42325 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261901067:261901928:1 gene:KYUSg_chr4.42325 transcript:KYUSt_chr4.42325 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFFRKSSKQTGKLKSLLKLAISRVAIARRPRLARKSIASGDVCQLLALGQIDRAIHRAEQVIKEDNMLEALGNVEAYCKCLIQKSAQLDKPQECSEEIREAAAGVIFAAKWCSDLPELQLTRDILADKFGNEFAAQAKEGTAFVDPAVRIPILHFRTSVDRFGVAKRKCDTDMLGLFRQLPLQLVWKLSGDTANMELKKKVTKAIAAENNISVDFS >cds.KYUSt_scaffold_1259.423 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:2844051:2857856:1 gene:KYUSg_scaffold_1259.423 transcript:KYUSt_scaffold_1259.423 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTKAAEEGAAAAADWSDEAVIYVNGVRRLLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMVSCYDQPTKKSQHFAINACLAPLYSLEGMHIITVEGIGDRQRGLHPVQERLAVAHGSQCGFCTPGFVMSMYALLRSSKHPPTEEQIEESLAGNLCRCTGYRPIIDAFRVFAKTDDSLYTGSPSENANGQAICPSTGKPCSCRNETDVGAYESSVSSSVKSYLPCSYNEVDGNAYSEKELIFPSELQLRKVMPLKLNGSNGIRWYRPVKLEQLLHLKSCYPDAKLIIGNSEVGVETKFKNAQYKVMISVTHVPELNTLRVEEDGLQIGSAVRLAQLQKFLKRVVAERGSHETSSCQAILGQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGAKFQIIDVNNNIRTTVAKDFFLGYRKVDLKADEVLLSVVLPWTRPFEYVKEFKQAHRREDDIALVNAGMRVHITEAEGNCVVSDVSIVYGGVAAVPLTAAKTEKFLVGKKLDHGLLNETFNLLKEDIPLAENAPGGMVEFRSSLTLSFFFKFFLYVTHEMNIKGLSKEGLDAANMSAIQSYTRPVTIGTQGYELVGQGTAVGQPMVHASAMLQVTGEAEYVDDTPTPPNTLHAALVLSKKAHARILSIDDSVAKCSPGFAGLFLSKDLPGANHIGPVIHDEEVFAADIVTCVGQIIGIVVADTHDNAKTAANKVNIEYSDLPAILSIEEAIKADSFHPNTTRCLSKGDVEQCFVSNTCDKIIEGEIRVGGQEHFYMEPQCTLVWPVDSGNEIHMISSTQAPQKHQKYVANALGLPLSKVVCKTKRIGGGFGGKETRSAIFAAAASVPSYCLRRPVKIVLDRDVDMITTGQRHSFLGKYKVGFTNGGKILALDLEIYSNGGNSLDLSLAVLERAMFHSENVYDIPNIRVSGKVCFTNFPSNTAFRGFGGPQGMLIAENWIQHMATELKRNPEEIRELNFHSEGTVLYYGQLLQNCTLHSVWDELKASCNFVEARKSVEVFNNNNRWRKRGIAMVPTKFGISFTTKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKIAQVAASSLDIPLSCVFISETSTDKVPNSSPTAASASSDLYGAAVLDACQQIKARMEPIASRGNHKSFAELAEACYMERVDLSAHGFYITPDIGFDWIAGKGSPFNYFTYGAAFAEVEIDTLTGDFHTRTADIVMDLGYSINPAIDIGQIEGAFIQGLGWAAMEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVPNPRAIHSSKAVGEPPFFLASAILFAIKDAVAAARAEEGHLDWFPLDNPATPERIRMACVDSITKKFASVYYRPKLSV >cds.KYUSt_chr1.107 pep primary_assembly:MPB_Lper_Kyuss_1697:1:677255:679679:-1 gene:KYUSg_chr1.107 transcript:KYUSt_chr1.107 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGSIAFFSTYRPPVPLDIFSSTIPGSPAGKELLLTDGVSYNYDCRPIPPAALKELLTWLGKNNAKLARDCGATPDDADKGRVTGLIFVSERDNGLETLHVSLRTNNQVKVYRLADIYGADTFGGVRMEDGGCIAGGFKVGPRTVGHSLVYVSTKEPAKTRRTPWTVVYKTNLADGKTQRLTPQGQYDLSPAVSPSGTMVAVASFADSKWNGEIENLKTNIVVMNVDGDLGRRLLIKDGGWPTWGSDSVIFFHRGVNTTLRDGTVQTAWGVFRYDMTTRVTVRVTPAAFNCMTPAAISSTKVAVATIRKRSGFSDVRDEAQYRHIEIYDTAMPGQVIEVTRRGTNPKADHYNPFVDDGGKRIGYHRCRTSQAPDEPTRRVDKLQSPGKDVGLFRVSGVFPTISKDGTKLAFVDNEFSAVWLVDKQSPPRKVYETGGPDRIFSPVWNQNLLLDSLYVCMGPSFHPDNALEICNIPGVSGTFGVQGSLQLTQGGFNNAFPSSNPQGNKFVFRSTRDGGTNKYKNLYIMDNSVVGANGLGKVTRLTNGPWTDTHCQWSPSGDWIVFSSTRDKPATAPPKDFGLDPGYFAVYLVKANDPTVVVRVMRSGSDLSGHVNHPVFSPDCRSITVTSDLAAVSVDPISLPLFVHSVRPYGDIFLIDIDNKDITKNKDVQSYKRITHSRYENSTPCWTVLSPDDPRAPWTTMAGKGPAAAFRPGCPYAESFKMTGHLIVPKRCC >cds.KYUSt_chr7.12018 pep primary_assembly:MPB_Lper_Kyuss_1697:7:73901364:73901756:1 gene:KYUSg_chr7.12018 transcript:KYUSt_chr7.12018 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTGPTFRRPRTSTELLYPADVLVEKTLRVWAKSRWRTEVELTRDFLDEGFSHLPPGSPVMYYVNESREGVALKLLTVTFANRFDAYALLGEVFWCGCESIFFTTYNVFTDCDAIFFSGKPMHCLPYYK >cds.KYUSt_chr6.23265 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146908776:146912756:-1 gene:KYUSg_chr6.23265 transcript:KYUSt_chr6.23265 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKAIAPPLLVAGGGRLLLAAFVALSLAASAANAAVSYDHRSLVINGRRRILISGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPVKGQYYFSDRYDLVRFVKLAKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAEMQRFVEKIVSMMKSEKLFEWQGGPIIMAQVENEFGPMESVGGSGAKPYANWAAKMAVATNTGVPWVMCKQDDAPDPVINTCNGFYCDYFTPNNKNKPTMWTEAWTGWFTSFGGAVPHRPVEDMAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLRDLHKAIKQAEPVLVSGDPTIQKLGNYEKAYVYKSKNGACAAFLSNYHMNSAVKVRFNGRHYDLPAWSISILPDCKTAVFNTATVKGPTLLPKMHPVVRFAWQSYNEDTNSLDDSAFTKDGLVEQLSMTWDKSDYLWYTTHVNIGPNELPKNGQWPQLTIMSAGHSMQIFVNGQSYGSVYGGYENPKLTYDGHVKIWQGSNKISILSSAVGLPNVGNHFERWNVGVLGPVTLSGLNGGKRDLSHQKWTYQVGLKGESLGLHTEAGSSAVEWGGPGNKQPLTWHKALFNAPAGSDPVALDMGSMGKGQIWVNGHNVGRYWSYKAPSGGCGGCSYAGTYREGKCRSSCGEPSQRWYHVPRSWLKPGGNLLVVLEEYGGDLAGVALATRTT >cds.KYUSt_chr1.28923 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175012307:175015355:-1 gene:KYUSg_chr1.28923 transcript:KYUSt_chr1.28923 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFRCGVHWQSEWSVHGPASLSPARIQGARKLCLEWSGLGRTSSADHSGSAKPGRNNIDPLMNLRAYDIFVNELLCIGTGCPYSSVKRAPHAFAYADDIGTARAISQGNGDNYLVQLAVGQCPRNCIYYVTPCQGAILEDVLARSFESFQNDIEPVKHVIFSLSHFSSERKELAILDT >cds.KYUSt_chr2.31282 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193124789:193126070:1 gene:KYUSg_chr2.31282 transcript:KYUSt_chr2.31282 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSTLAAINVVALLVLCGLPVMTEAHTQFGAYNKTCPQAEEIVFKEMTAILAKSPDLAGPVLRLFSVDCLLGGCEGSILLNSTANNTAEKDAPLNKGLRGYDVVDSIKAKLEAACPGVVSCSDVLALAARDSVRITEGPYIPIPTGREDGNRSSAADVAPNSPKPGATVTDLIALFARFNLTAKDLAVLSGAHTIGRAHCSAFSPRLYNFNGSTNASSDPTLDANYTATLRGQCKAGDLATLVDLDPSSGTTFDLGYYRDVAGSKGLLSTDAALLLDADTRAYVLRQANATANQEFFADFAVSFVNMSKIGVLTHHKGEIRRQCSVVNPPSAHSAAPLTAMSAHAVLLATSLISVLALALVL >cds.KYUSt_chr3.39780 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250654422:250654772:1 gene:KYUSg_chr3.39780 transcript:KYUSt_chr3.39780 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPARLRCLLLLLNGDLQFFIVDLEPQLEFLLYCYYVLLLLYLYLDVGGMVGCLQPWRPCASGAAVMRMLLLPPHPRPRRRRNGGVVATSSSTPAGWCRRRNPGVDLFLYSPEPL >cds.KYUSt_chr2.19666 pep primary_assembly:MPB_Lper_Kyuss_1697:2:123738523:123746272:-1 gene:KYUSg_chr2.19666 transcript:KYUSt_chr2.19666 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGPACQSFGGPACQRLVGPHVRACWAYILCLSYMGPTCHSHRAHKPFDWRYRTRHNRRLHLRRTTCGGPHLSPGLLNLRHSYGRLHLQHNPRRLHLRHSHNPGRLHPQHRWRPPREGTSSRRRRRDDDDDSDHESVPSDNTSDSDFVADTEDEAGDDDDDFASDQDVAPAAVPAVVAAPLPVVLLPPPRPRKEKRRAKKKRKGEADEDGPRLPWKEWEEANAKWLDARDEAAAAAAPDDSAPTALATAEAAPEVLLSLLRFQKEWLAWALAQEASVSRGGILADEMGMGKTIQGIALVLTARALRPPPSPSPSLAPTMRRVGCTLVLCPPVAVGQWVQEIEKHTAKGSVRVLQYTGAKRALNKPDFNKYDFAVTTYSTLEADYRKHIMPPKIRCQYCNKLFYPDKMKVHLTYFCGPDALRTEKQAKQKSKTRGDKKRTRKGKKSGGVDDDDEDLEELEQLATQSRGKSPLHSVRWERIILDEAHTIKDRRCNTARAVFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCDCEILDTQMKKKCDCGHSSVRHFCWWNKYIATPILHGSASFEGRRAMTLLKEKVLKGIVLRRTKIGRAADLALPTKTVTLRRDSFDKNEMEFYEALYTQSCTQFDSYVVAGTLLNNYAHIFDLLTRLRQAVDHPYLVAYSQTAVLRGECKKEGNDATEMQCGICDDLAKDFVATSCDHVFCKTCLLDYAATLENVTCPTCSIPITVDFTTESSREKVPANLKGSKRSGILGRLQSLADFKTSTKIDALREEIRNMIERDGSAKGIVFSQFTSFLDLIEFSLQRSGIKCVQLNGRMNLVEKGRAIDTFINDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIRSMRFVIKDTVEERILQLQEKKQLVFDGTVGDCQEAMTKLTEADLKFLFQN >cds.KYUSt_chr5.21694 pep primary_assembly:MPB_Lper_Kyuss_1697:5:141677787:141686680:-1 gene:KYUSg_chr5.21694 transcript:KYUSt_chr5.21694 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPVDPPEWLRTLPVAPEYRPSPAEFADPIAYILKIEPEASRYGICKIVPPLPAPPREATVQRLKASFASNAAASAVGDAVPTFPTRLQQVGLSTKNRRGANRRVWESGERYTLEAFRSKARDFELPRHATPPKHATPLQLEALFWGACAARPFNVEYGNDMPGSGFVKPEELELETGNAAPAPRDVGETDWNMRVAPRARGSLLRAMARDVAGVTTPMLYVAMLYSWFAWHVEDHELHSLNYLHFGKSKTWYGVPRDAILAFEDAVRVHGYADDLNAIMAFQTLNQKTTLLSPEMLLSAGVPCCRLVQNPGEFVITFPGAYHSGFSHGFNCGEATNIATPLWLQVAKEAAIRRASTNCGPMISHYQLLYELALSLRQREPNDFHTVPRSSRLRAKKKKDEGEIMVKEEFVGSVIENNNLLSILLDKSSCIIVPEIVIPPTSFTTMMEPDFSVKQSLTGGHCSIIRQAVENMSVDVALDKRIMVENMSGSQSITEASFSACNRRKVYETKYGELDTAAFSSSTSKVQNGIIHKDISPWGGGLLNQGRLPCVQCGILSFACVAIIQPREAAVQFVMSGEDIPLSAKLGEVSKSDDTSNWITENCEMVPQQGQASGIRGVSLAQVSDRCRQLYSRNNNGCTSALGLLASAYESSYSDEEKSDNVSTDSEKNDSANQGTDIRFLETSVSFSSTVQCQRENLHLYEEGCEARATASMKSIEHNSRTITRSTWDTGINHFTKLGEQGTPYDQCSVYVDLGNDLTISGVTASLDTHVNTVKPSVEPDMLTKLKYNKDSCRMHVFCLEHALETWTKLQKIGGANVMLLCHPEYPRAESAAKFIAKELGLKHDWKDINFEEAAEDDIRRIQLALQDEDAEPASSDWAVKMGISIYYSAKQSKSPLYSKQIPYNSIIYEAFGQENPDNVIEYGRQRSGAAKKRVAGSWCGKVWMSNQVHPFLACGREERNHAMVWSKPMFGAKSHDKIQEERSTKCNAVINSSPSKRPRRKGGDSIEKSGAQKKRCSASDETTLHRSSRCMNSGTISDQPGNFNDHDTKHKGVEIVEAPSTQRYQQHTLQSMITELSTNKQNDDKRNNNFHELYDEDNDVDCWLNIDAGDNAAIGNLEEVQDQGLHAVKAKSPGSLQGSKRKSSKGKGRHDSLNGYKKVQKMNKKSICWKQKTIEINRQFQEDYNEDNTLDNLLNVERIGEAAIDNWDEVLEEKSDDVVVESRGKRRGKDDKRSSNSNALHAEDNDVECWHDIHSGDNATVGNLDNSPQQRLEAVKVKSGCKLECCKGKSSEGKANYYFLNGDKKLQMVNIKSSSRKQKNDGITGKFDKGHGEDKAAQYLLNEEYEDTQDSWYEVPDEQMDEVKVNSRGEIPDEQMDEVKVNSRGKMQTGKRKIKRQAGDNAAAFPCDIEGCDMSFSTEQDLLLHKRDICPVKGCKKKFFCHKYLLQHRKVHMDERPLQCAWKGCNKTFKWPWARTEHMRVHTGVRPYECKIPGCGQTFRFVSDFSRHKRKTGHFSDKRNKEIT >cds.KYUSt_chr2.16589 pep primary_assembly:MPB_Lper_Kyuss_1697:2:104308416:104309186:1 gene:KYUSg_chr2.16589 transcript:KYUSt_chr2.16589 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRLRASAEAAAQVIEGRTRQQQALVARRVEYYDEESVTGEARYDVFINHRGVDTKRTVARLLYERLARDGVNGFLDNMSMRPGDRLEDRISAGIRECRVAVAIFSPSYCDSEYCLRELAMIVESRKAIIPIFYNIKPSELILPQAIADSDVYLPRDLERFRFALREAKNTVGITYNSATGDMAELVSAAAEAVFYNIEKMEKVQRREMIVSRI >cds.KYUSt_chr4.3594 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20422962:20428095:-1 gene:KYUSg_chr4.3594 transcript:KYUSt_chr4.3594 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVVAVGGEDVLAGELVPALSSLEPVYGAGSPLDEARLRFGRLGDRFRDVYGARPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRKADGAQVRVANVDDKYPTCVYPADPNQEIDIKNHKWGHYFMCGYKGVYEYARSKGIDMGEPVALDVVVDGTVPQGSGLSSSAAFVCSATIAIMGILGKNFPKKEVAQFTCQSERHIGTQSGGMDQAISIMAKPGFAELIDFNPIKATDVQLPSGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMDTNKALSSVTTLSDVEGLCVTYAGKEGSSDPGVAVKNLLHEEPYTTEEIEKITSQSLASVFKSSQTSLDVLRAAKHFKLFQRAFHVYSEARRVYAFRDTVLSKLSEEDMLKKLGDLMNDSHYSCSVLYECSCPELEELVKICRDNGALGARLTGAGWGGCAVALVKEGIVPQFILNLKEKYYKSRIDRGVIKQSDLGLYVFASKPSSGASILKL >cds.KYUSt_chr5.8055 pep primary_assembly:MPB_Lper_Kyuss_1697:5:50896715:50904600:-1 gene:KYUSg_chr5.8055 transcript:KYUSt_chr5.8055 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHLKLDVEMVELEYGMEFEYLFGVPDEISDITRSSGMVRRIRFIYRKSYSKFGNDPVHLWQVLEDALMRLEDAEETDDDDEERGAEEETDELACPFCGDEFDGVGLCLHIDDEHQVETKAGVCPVCTDSVGMDLIGHMTLQHPTFFKPRWRSRRVSSGSHSSTYSALKKDAAYIQYRYGGSSRAASFNTVPDPLLSSFVGGFIDEDVPKDAQEEFLDQVIEKSESLEQKAPESVEEPLLPEVKEERTRRSQFVQGLVLSLMFDDIL >cds.KYUSt_chr4.10972 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66620217:66622134:1 gene:KYUSg_chr4.10972 transcript:KYUSt_chr4.10972 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDDTEDEKDDKNADTPEGNKKAKERIRLEGEDALLRDKFDQMMKSKEVIATKTLETKRVIIETKKEVSLAKLETSREEAKSKAKLEEMRINVKKAKAMNQLLAEERDIMMMNTEEMNEVQLEWWKETSAEITASQTELKATAPPRLHCSLGKDSRNSADRSMAARYVEMLDMGVRIAARFHSHCPQTARMYYKPPQSTSSSSSSAASTDRKAAGFDHEAAAAAAFRPFAATTSSAGFGSGVQPGFCFNTAQVLIYEVV >cds.KYUSt_chr3.36671 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230648143:230649650:1 gene:KYUSg_chr3.36671 transcript:KYUSt_chr3.36671 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHRDDIMRHKVSTASIVHALMVLWCNYIIVLVQQFFSTPVIKSDDAHTIRWMSGYPSRGFPRWIEVAKLCLLVPRPTPARPAAKNQPQSSNPPARGSKRASGEIGKSLVPAPARQKSKNTAQHNESPEPRPKRIRRVWAPDDEVLVLEALAQHRRQHGSLPACGDSDFFESIREGLEEKSFQALRYQG >cds.KYUSt_chr5.37998 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240023601:240024194:-1 gene:KYUSg_chr5.37998 transcript:KYUSt_chr5.37998 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLSAKIWCRLLVLLSDAVLPWSEPEATERGATRTSTNKVSGGVLDLVGNLRVACRGGRLRRLPTRSGALGKIDEAASRSSYTAARCSRGDQWSAWRPLLTPVQFLRTSVFNLLQWRPTNLDATIQAHLRPSGVVPGAGMGRRAREFIGPSGDEEEGPDGFLSVFCRGFFERSWDLVIISFFGKVLYVTCKSTADI >cds.KYUSt_chr2.26531 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162531180:162532673:1 gene:KYUSg_chr2.26531 transcript:KYUSt_chr2.26531 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSCKATAKQFLNLSVQPRNLSALLVQALPKLMCLRPTLHKKFSIDPPPMDATLPELPQDILMDIFTQLEIPDLVRASSVCPSWSSAYTSLCNLGQYKQSQTPCLLYTCGTDSESDARLYNLAEKRSYKLTLPGPPIRSRYLIGSSNGWLVTADDRSEMHLLNPITVEQIALPSVITLEPVAPILDETGAVYKYNFWNRATRPPTTFALDELRRYLHRKAFVFYDTSAKRYIVVLIHNPERQLSFSWLGDDKWTLLPTPKGIFHFHDCVYLDDLLYVVAARGEIFAFNLRGPIVTKKLIVDRAKNNICENIYIVQAPCGDLLQVWRLEDDTVYDEDVDDATYETHTGKIDIFKVDTTAEKLVKINSLDDHVLVLGHNQSLCLSAEEYPQLKANRVYFTDDHELYIFGWKNNRRDIGVFDLENNSREELVSPQLWSNWPPPIWVTPALTELCPAKVSPYPTPASTLQFYYPASLRAAYIFFCITIISAYLISWCSIL >cds.KYUSt_chr1.5975 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36732745:36733272:-1 gene:KYUSg_chr1.5975 transcript:KYUSt_chr1.5975 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAAAAALVFVSAWGGADATIESTCRVAAAGDRRVDVAFCTRQFLAFNGAADADDWGLAKTAALVGISLGDDAIFDLTHGKLFPPPADKKAEAAMDVCVKAYDQVGLAFAKTSDELRSRRCAPAKEQVARVAALVQRCDAGLAKVGLASPLPKYSADCLQTAIIAIAITNLIK >cds.KYUSt_chr4.28052 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176210937:176212144:1 gene:KYUSg_chr4.28052 transcript:KYUSt_chr4.28052 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTMYTGSMSLAVGLTGSFSAVESFVVHSSVPAAGALGFAASNFATLPHIWGIEVRPRNLPYYRVKTRATQPSRISFSPTHRLAASATRPIPRAYSVAPPILAKNVSRGDPPAFLALLPRHTFAPQPASATDFRDIYQRRSSRYAADITRDDDHWWMGIFDRAEEATHAYDFAGFRFGVPHHHLNFPDTRDEAEAKFVALGTSASYPWRKRRRAAGRRSSSATHKPTRPTWPASAMGTLRSYRAELDFLAGMAVAMKKVEARPSMTVKEEAGPPMVITLESDSGYDMYQWDW >cds.KYUSt_chr6.3248 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19014189:19016206:-1 gene:KYUSg_chr6.3248 transcript:KYUSt_chr6.3248 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSRVGLQIVLGDEKGLGDVQVLSDNKVPGGGDKVLGDDDKVLGDDDKILRALGILSDDLQAPHSAVPATGETVQLGALPPDALAPTTDDDDSKCLFFPVGLRTLRDSPDAPLKEEDPQQTEEDALLAERMRRSPFINWKAVAKREKERAKEEAMQKTKLDKWNAMSELEKQEEEEKEKEKKEMELAALQARLDKDFEEGEGKTRWCMGA >cds.KYUSt_chr5.16303 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104880949:104882897:1 gene:KYUSg_chr5.16303 transcript:KYUSt_chr5.16303 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTLSKTKKKPGLERKGKVVTEIKDAIERHSSAYVFTYNNMRNQKLKDLRDQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKYLQGNTGLLFTNLPRDDVERLFREFEGLDFARTGSIATETVELKEGPLEKFSHEMEPFIRKQGLPVRLNKGVVELIADHVVCEEGKPLSPEAAHTLRLLGVQMATFRLYLVCRWSSDDFEVYKEGLAQLTAGDADESS >cds.KYUSt_chr5.32841 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208173010:208175155:-1 gene:KYUSg_chr5.32841 transcript:KYUSt_chr5.32841 gene_biotype:protein_coding transcript_biotype:protein_coding MEACTSTSSVILPLRAAPPRSAAAGAVACYRSRAGTRRRVRLVTARASLDRAAVLLDAAVGTGYSQASYYTSLGLFVLSVPGLWSLIKRSVKSKIVQKTFVKEEGQPMAPSLVAGEILSFFTRNSFNVSDRGEVITFEGTMTPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGAYYWTKASRTEEIKVKMVLADDGNVSEVLVRGDDVQVEQMRKELKFSEKGMIYVKGIFET >cds.KYUSt_chr3.39308 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247607265:247608329:1 gene:KYUSg_chr3.39308 transcript:KYUSt_chr3.39308 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRWWLQLLCLAGGILQALAQTDSIGFISIDCGLPGEGGYIDDTTKLAYVPDTGFIDSGTNHNISAEYLRPIQSRRSYNLRSFPDGTRNCYTLRSLVRGLKYLVRASFTYGNYDGLSRPPASFDLHIGVNFWTTVNMWSWSDPLGGAVTVEAIVVVPDDMVQVCLVNTGGGTPFISSLDLRPLKMKLYPQVTAAHGLVLYARLNAGQANVSYIVRYPDDPHDRIWPPWFDATIWTEMSTTGRVLNGDEKDFFVAPTAVMQTAMTPRNASGSIDFSWDAEPRPDDPTPGYIAIMHFVELQLIDTNAVREFYVSINGKPWYYSSGYTPVYLNSAAAYDSLAFSLAFLSFSQRPTT >cds.KYUSt_chr7.12152 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74666795:74669078:-1 gene:KYUSg_chr7.12152 transcript:KYUSt_chr7.12152 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKAFHLTKAFHLTKAFHLTKQHDRPLNIYLAELKAISDELRDLGYPLSTHALLIQLVSGLHERHETVGKLIQQDAETLTFAAAVDKLSMDEKMSGTAPTGPATGTALLSYRPRAPAPAPTHGGQGSFGSPGSPSPNQGNQKRKRFSNHGGYNGGSTSQAPPPRMQQRPTWTGMVQAWQMPMRGVLGPRPASLPQAHTAFAPAQYGGLPPYYYAPPSVANSPGYGQPPPPPPSYMLPAHFGAPPTSTVPAPPDTSFQQQALINALHEMSRQNQGAWIADSGASSHFTANKGDNLISWSAKRQLTVSRSSAEAEYRVVAHVVAETCWIRQILQELHRPINKSTVVYCDNVSAVYLSANPVQHRRTKHIEVDIHFVRDKVQLGEIRVLHIPTSSQFADIFTKGLPSGPFRDFRSSLTVRQATVDTAGDVRLDLVFALSAPSLSLYPCSAWIVRHSSPSL >cds.KYUSt_chr4.9637 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58184090:58188006:-1 gene:KYUSg_chr4.9637 transcript:KYUSt_chr4.9637 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPVLHATETLLPRFRQRKNDYRTFVERSSLKKAEKSVLLFLAHIATTASATIDFRFSTAAVIRQCRVELHCCCDSSVSAFHVELHNFVESLAMAKAHVMVLPFPAQGHVTPFMELSHRLVDHGFEVTFVNTEVDHALVLAALPEALRGIHLESIPDGLAGDEDRKDLNKLVDAFSRHMPGYLEKLVGDMEASGRPRVNWLVGDVGMGWSFEVAKKLGIRVASFSTASVASLAIMLKIPKLIEDGVLNDKGI >cds.KYUSt_chr1.3538 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21530829:21532841:1 gene:KYUSg_chr1.3538 transcript:KYUSt_chr1.3538 gene_biotype:protein_coding transcript_biotype:protein_coding MANMKHASLPSSILPLLFVLIVATVAAGDDQFVFSGFTQSSLTLEGSAVVTQGSLLDMSNGTNNVKGHAIYPAPLLFRNSSTGKVKSFSATIIFCIVGTFPGVNANGMAFFIAPSKNFTDALPTQYLGILKQQNNSNLLVIEIDTFQNLELKDINENHIGIDINSVFPVQSNMAGFYDDSSGGAFKNLTLNNGMKLQLWVDYEEEETRINVTLAPLHVGKPLKPLLSATYNLSTVLTETAYIGFSSTAELMNARHYILGWSFGMNGQAPYIDISKLPKLPRVGSKPQSKLLAILLPIATAALIISIVTIVILMVRRRRRYREVREDWEGEFGPHRFSYKDLFDATEGFKNKNLVGAGGFGMVYKGVLKLSKKEIAVKRMSHDSTQGMKEFVTEIVSIGKLRHRNLVQLLGYCRRKGELILVYDYMSNGSLDKCIHCEQDKLTLNWAQRFHVIRGIATGLLYLHEKWEKVVIHRDIKASNVLLDDDMNGRLGDFGLARLYEHGTDPQSTHMVGTMGYLAPELVRTGKASPHTDVYAFGMFLLEVACGQKPIKHTTEEKQVFLVDWVLEHWTNGSLSKTVDTRLRGNYNTDEVCLVLKLGLLCLHPFPSSRPSMRDVMQYLNGEIPLPELRPTPLSLGMQGLMQDNGFNTSVMSYPQLMSSFNAMSDLSGGR >cds.KYUSt_chr5.26453 pep primary_assembly:MPB_Lper_Kyuss_1697:5:167450585:167453061:-1 gene:KYUSg_chr5.26453 transcript:KYUSt_chr5.26453 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGSMPLSSAPTPLLRSLYGATDNIDGAIASGLGLMIVGLNPDKLAAVSEEIGFKHPKTEVNKEK >cds.KYUSt_chr2.392 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2384442:2384723:-1 gene:KYUSg_chr2.392 transcript:KYUSt_chr2.392 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCAGGKGRAGGRRQRQVRQGQVVAAVGHVHGEDDQDADRNADVDSGMGTGRPRSSAVMVRKAAEKVTKSAEESLPTGLFLYIWGGGARVGE >cds.KYUSt_chr6.29977 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189853193:189854912:-1 gene:KYUSg_chr6.29977 transcript:KYUSt_chr6.29977 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDLKKLEQELKECESLLQARQAELDRRLAEMGKSVDNTTTSPNPSPTPSSYVASIKSHVPVTLDLQESNYAKWRELFLVALGRYGLTAHVLGTTGATPSDTSPTSDWARDDYTVLSWIYGSISSELLGIIMAPGSTARQIWDALASLFHDNKKSRALAIDAEFRNTPQGDMSVHDYCSKLKSFADALADVGQPVSDETLVLTVLRGLNEQFSHLRSFLPCQVPFPTFLQTRSALVLEEAQKKTDAKNAAASALWASGNSINPHAGGERAPSAGRGGGSGSTDPRPPSPYQPGLFINSGRGGGYGGRRGRGGGRGRGRDNNSPWMYNPWTGLLTRAAQQQQQLQLAPWQPRWRAPTAGVLGPRPGHQAYTATGQQLPPMTPTWNNGLMIPPQQHVSQQQLDPALLTALQNMHLPGNQEWFMDSGASSHMASDHAPAHSTPSDHQTLKLSSPLHPSRFGTAALDILATTPCSVSTNINKFH >cds.KYUSt_chr4.1233 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6395407:6395736:1 gene:KYUSg_chr4.1233 transcript:KYUSt_chr4.1233 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGHARLGLFREFVALFLAMVDDGAVVVDAVAAAAAFTASAGAGDLALAWEVHQRVLLVGVAPLVDMHAKCGDTAAALRCFHTMVTAGQERGDLEHDDLRARARRRAP >cds.KYUSt_chr3.4951 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28168698:28172741:1 gene:KYUSg_chr3.4951 transcript:KYUSt_chr3.4951 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRMMKKPKLEETAGQGDPALPVYMLVGHGSMRPAYSVFKVDPYAGGAKGSPGRLLARLKCKHSKSFLPVRSKHGSWIVGVGGSSTKSRYGAEETIIFDTESHEVITGPNPGSTKPNPVLLAVGERIYAMARRPSINGRVDDAFDGRINFLPWFEVLDLSQAKVVGGCLTGCGWKALPSPAPVFPWGQNLHQYISRTTSDFAVRSYASVGCYILVSVSGQPGTYAFDTETEQWTTVDEKNDLPFIQLAIPHGPELFLGMSRATRAITAYKITVVAGVSLAIMEIPVLSDLPGDEEEGMEVFTNGNIRLLKHERSIIAEDDLERWWQYIDRRIDGGGSFCDVCKMCSLRVYTLLSCRVRHRVRLRAGILVFFSDVIAWVLGYLELDDVFGVLPRHDSFNGNGFTFGKLYWRSAKL >cds.KYUSt_contig_1145.73 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000108.1:366229:368239:1 gene:KYUSg_contig_1145.73 transcript:KYUSt_contig_1145.73 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSKATAAAAGDDDQNLSPNTPAATGAGGDDDVSAVAAAAAGRRPFTALSQEEADLALARVLQEQERAYMMLTGHGGEGSEYGDSDAGSYDDYDDDEDGEGSEWEEEGDGDGAGVLPDGDGEDEEVGDADPAAYEDDEAYARALQDAEEREVAQRLMALAGITDLGEEMDHDDGQDGDSAQDAWEDVDPDEYSYEELVALGEVVGTESRGVSADTLASLPSVTYQAQDKQDSNMEQCVICRVEFEQGESLIALPCKHSYHSECINQWLQLNKVYPQLVPSFISGNLTTKLLMKERDGL >cds.KYUSt_chr2.2033 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12247232:12249782:1 gene:KYUSg_chr2.2033 transcript:KYUSt_chr2.2033 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVATTAAGARMVAAAMTAVVARTVADAKMVLAVKMGAADDDLPYRDQERGRGFDYGPPPPWWDEQRWRQEALANRRLDGAPARGGERSGAAGQGDRAGQGQRAQHKNKAKKPQGEGGQQQAKGKAKQNPPPRTGAPAAGQCFKCGREGHYQSDCTFDPLCVVCSGEGHSSANCPSRGKGLRLQTMGHAITCGGFYNIDVEPLRAGLGNGDVFAAIIKFNSTPLTETQLSDELKHLVDELWDWQVQRLSDSEFSVVFPTRQTMRLSTGSGKLHLPLSKTDTEIREAFLAPKPSLVLPSTWVRLTGVPEDLMTRDRLMAGFTMIGRPIDVDELSIQKRDREPIRMRFHCRFPDRIKGSVQIFVNGEGYMVGVQAEAPPRGTPGGGSGGPPPPPNNGLDDEDSDDIPSDSEWNKHRRSQDKHKDAAKDKGGASGPSSSTHQVAELGALGLPTAPAPASPLTASGLNQYGSNLVAAADAPTLSLLEPARGKLLVHLVPTGVEGSTPLDDISMDSADLDSQHTDPAPSWVDDSQQAEGPPAKLARLSPAKGQAAGEDVEVLDASDDDDLPRPGEDAARKNLLQEMSEATPLVQARHSKAVYSKRATPSSAVRKSSRSQGVAAGTSALLRAQRLTAEKNLEGKACTDTVKNKGSRCCQGGCSSGASVEAPRVELTGASAEAPRVELAEGEEARTAEPPTGVVGPPGELGRPGAGAQAPASADPALTAGPDAVSPPPRGRPKRSCVKVPALAVSKRQYKKRAAK >cds.KYUSt_contig_1467.285 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1735190:1735573:1 gene:KYUSg_contig_1467.285 transcript:KYUSt_contig_1467.285 gene_biotype:protein_coding transcript_biotype:protein_coding MRESPPAHAFFTTPDDSARRPAPPCFQIGRRSGEDPHRRRIDQIEESKMKSEVEEEGQVADGTVVEEVQPVVHGFVKDWDAMEDLLGCVLYRNIGWEMGDEGQIIFTEPLFTPKVMFMPCMLNSKLV >cds.KYUSt_chr4.13484 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83125164:83125667:-1 gene:KYUSg_chr4.13484 transcript:KYUSt_chr4.13484 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDKKMITLKSSDNEVFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNAKILSKVIEYCSKHVQAAKPAAADGAADGAPAPAPAEDLKNWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTAEEEEEIRRENQWAFE >cds.KYUSt_chr3.37435 pep primary_assembly:MPB_Lper_Kyuss_1697:3:235245796:235246407:-1 gene:KYUSg_chr3.37435 transcript:KYUSt_chr3.37435 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVHPNLVPAAPASAVVDRAEEAPTTLTVWRKSLLFDCKGFTVYDAKGNLSYRVDSYATENGDEVVLMDAAGRPAFTVRRKRFSIQGEQWLVFAGEETRRPVYAIRRNGRGKTMANVTACAGVASPSYEVEGSYARRCCVVYDGERRAVAEVRPSPKEVVGTDVFRLVVQPGVGVPLAMAVVVALEQMFARPSLLRSWSTAY >cds.KYUSt_chr7.39883 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247738778:247740203:1 gene:KYUSg_chr7.39883 transcript:KYUSt_chr7.39883 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTSFLKVLPVAVALGFFMSVYFPIAITPKLQCGILPWSSSFSVNSSFSDSSIVARLWAPFSNTTTSNATSGVARNPQGSERLPPRIVVSESDLHLRRLWGSPTEDTPTRKYLLALAVGYAEKVNVNATVHKFSDNFDVMVFHYDGRTTEWDEFEWSKQATHVSARQQTKWWFAKRFMHPSIVAPYDYIFLWDEDLGVENFSAEPYIDIVKKHGLEISQPGLDVTKGKKQYDITVKRDAGEMHK >cds.KYUSt_chr7.1291 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7008025:7011756:1 gene:KYUSg_chr7.1291 transcript:KYUSt_chr7.1291 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVATMVVEPLVKIIMEKASNNLLDQYKVMKGMEKQRDILNRRLLAILDIITVAEQAASHKEGVKAWLEAIKKVAYQANKVFDEFKYEVLHREAKRNGQYKELGFDVVKLFATHNRFIFRNRMGRKLWKIVKAIEVLVTEMSTFHFERQQQPPVSNQWREMDHDIFDLKEITNRSRAKDNKNIVDILVGQANNPFLTVVPIVGMGGLGKTTLAQLVYNEPEIQKHFDFLVWVGVSDAFDVDSLAKSIIEAVPEKKVGRKATHSKTPLDSLQNVVTGQRYLLVLDDIWKQQVHIWEHLIARLQHGGRGSVVLTTTRDEGVAEILGTTEAYNLAALDDTFIKEIIETRAFSHLHKEEQRPAVLVNMVGEIVKRCVGSPLAATALGFVLRTKTSEEEWKAISSRSNIYIEESGILPVLKLSYNVLPSRMKQCFAFCAIFPKGYVIDVDKLIQLWIAHGFIVQENQVCLETVGKQIFRELASRSFFQNVKQVKGTVRETEHMGVCYSITTCQIHDLMHDVAMSVMEKECAFATEEPSMLKSVVVTEERSQSEWLPNTARKLFLSCEKPERKLNRSLKNSSATIQTLMCDIPLSSSLQHQSKYRSLQALQLRLHIPSFPLNPNHLHVLRYLDLSRSSIRAVPEGMSTLYNLQTLNLSGCRLLGGLPRQMKYMTALRHVYTQCCPALKSMPQDLRKLTSLQTLTCFVAGSGSDCSKVGELGHLNLGGQLELSSLENVTEKDAAAANLMEKKDIRELTLKWTRRLYYTLSNVNDARVLEKLKPHEGLYAIRIHSYGATTFPMTLARLPNIGEIHLFNCSRLKWLFNRHNDTSFAFPNLKELTLEDLDSLERWWDTDDDTMQGDEIMFPLLEKLYITGCGKLKKLPGRPTFPNLQKASLHRCQELTTTAKSPKLSALNMEGREGQLLLWVARHKTSLTNLELSNIEGGGTDEQHLMEKTMAEHTLREVLDGKEKWNYLDFPLAVLVLKYFNSDVIELCACFVHLQDLSIYWCYALVYWPEKEFEGLVSLRRLRIDRCSELIGYRQAPAESSTSSQTKQLLPGLESLTIWCCDSLVEVFSIPPSLRRMKISGCSKLKSNFGRRVQQAHSALSIHHGSSNILEVSSLPSPEAWVEHLEELKLDMCDGLTGVLHVSPSLKEIDIRRCDGLTSLESCSGELPLLELLKLRDCNTLSSLPDGPQAYSSLQRLTITECPGMKTLPTSLQQRLGSMQEEDIDAHHYGSKHTAITFS >cds.KYUSt_chr2.11860 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75428653:75429402:1 gene:KYUSg_chr2.11860 transcript:KYUSt_chr2.11860 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPPPPPSLLAWITATLAQEARERQDLANGVDDVIIRCESAIATLQEAAEMLVQEPQDAADTIYGDILEVVPPGLDSPLVGPAATLVDSFFNGPPPLANAIDMARDLVNQTFQAAPEGGPLRSAVRDLGFLIYVSHAEAGRLFTAYARAIGVPPGQNWVVNYVLAGLQAMVALEWLHAAARDSLVAERFIRFEHLAPCERMAAAVVMLRRAIRWACRALSRLRLMRRNLVALDQIVINATGQAPPLP >cds.KYUSt_chr1.32244 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195831624:195832106:-1 gene:KYUSg_chr1.32244 transcript:KYUSt_chr1.32244 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRYADLDDSNFALHGRAVPMLAGLLALALVALVAACLYLNWACNRRHRRRRADLEATAAAAVLPGLDADAINALPVTLYSPTRSNEQNDGGGEEEQAAEAECSICISALVAGEKVKALPPCGHRFHPGCVDDWLRSHPSCPLCRTTLLPVTSAKQDAC >cds.KYUSt_scaffold_6468.280 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1358632:1371790:1 gene:KYUSg_scaffold_6468.280 transcript:KYUSt_scaffold_6468.280 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSSHHLAAAAAIAAAGVSYPPPLPPTPHASAAAPHDAGAGGAARQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLDALLRWRESESPKGAHDASTYQKKLAVECIFSSACIRFAEYCPQEGITEKLWNGLESFVFDWLINADRVVSQVDYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNNRRVDTPVARSETLNIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGRSYWPPLGVESALSLWYDAVSRIRVQLMVWMDKQSKHIAVGFPLVTLLLCLGDSHTFNTNFSQHLEILYKYLKDKNHRSMALDCLHRVVKFYVNVYAEYQPRNQVWDYLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAESNLDFAMNHMILELLKTDSLSEAKVVGLRALLEIVVSPSNQQIGLDVFQVFGIGHYIPKVKSAIELILRSCSKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDRMTEIIPQHVTSIDPVVREEAVLVLNRIVRYLPNRRFAVLKGMANFILKLPDEFPILILNSLGRLVELMRLWRGCLSEELLAKDVQNFKRSSLGGDTLQRSSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDCSTNEWGDNKLKLEPEPIFIIDIIEENGEDIAQSCYWDPGRPYDLRREMDPIPLDVTLQSILESADKSRWARYLSEIVKYAAELCPISVHDARIEVVRRLEQITPSELGGKSQQSQDSDAKLDQWLIYATFACSCPRENKESGVKSAKDIFHTIFSSLRHGSEGYALAATAALGHSHLEVCEVMFGELASFLEDVSSETETKPKWKNARFRREDLRTHVANIHRMIAEKVWPGMLIRKPVLRLHFLKFIEETYRQINMSSSDSFQDLQPLRYALASVIRYLAPEFVDAKSERFDNRIRKRLFDLLLNLSDDSGSTWGQESSTDYRRELERYKATQHNRSRESLDKLAFDREIAEQMDAINWASMNAIASLLYGPCFDDNARKMSGRVILWINSLFGEPALRAPFGHSPVDPRTPSYSKHTDGGRFGGKDKQKASHFRILLAKTALKNILQTNLDLFPACIDQCYSPDASIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEEPIRPGKVDASANVVLEFSQGPTTSLVASVIDSQPHMSPLLVRGSLDGAIRNVSGNLSWRTSTVAGRSVSGPLSPLAPEVSSIPNPTTGRSGQLLPALMNMSGPLMGVRSSAGHLRSRHVSRDSGDYYFDTPNSNDEFLHPGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSSSLLSALVQSMVSAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCVHRCLGNPVPAVLGFAMENLLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTNENVLLSSMPRDEFDVNGFSSDLHRLESRTTSERLLSVTETGKVPAFEGVQPLVLKGLMSSASHGSAIEVLSRITIPTCDSIFGNPETRLLMHITGLLPWLGLQLTKEASSSLGSASPLQEQNQKAYYVASNISGWCRVKSLDVLAEVFRAYSYGEIVSLEDLFARASPPICTEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVEGALCAEALNVLEALLRSCSGVSGQQADELGGGFGGENGGHGGGGMAGEKVLERMLLPQSSFKARSGPLQYAAAGSGFGPMMMATQGGGSTPADSGVVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >cds.KYUSt_chr5.22835 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149129869:149131962:1 gene:KYUSg_chr5.22835 transcript:KYUSt_chr5.22835 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRRHGHHLTIAAAKSHATLLKSRVISPTPWNQLLTAYSHSPLGLAAARRLFDEIPRPDAVSWNSFLTAHVSAGAHHAAWRLLRAMHALGLASNTFALGSALRSAAASRCPALGTQLHSLALKCSLADNVFSASAMLDMYAKCGRIRDARRVFDGMPERNTVSWNALIAGYVESGKVEQALELFLHMERQGFVPDEATFAALLPAVDGSNHLLMRQLHGKIMKFGSMLGLTVLNAAITAYSQCGALAECRRFFDGIGDSRDLISWNAMLGAYTHHGMACEAMRFFVRMMQESGVQLDMYSFTSIISVCPEHDDQRGIVVHGMVIKNGLEGVTPVCNALISMYTRFSDKCMMEDAHRCFDSLLLKDTVSWNSMLTGYSQHGMSADALRFFTCMHSENIRTDEYAFSAALRSCADLAVLLLGRQIHGSIIRSGFASNSFVSSSLIFMYSKTGILDDAKMSFEEADKSSSVPWNSMMFGYAQHGHAQTVYNLFNEMVELQVPLDHVTFVALITACSHAGLVDEGSEILNAMETRYGIPLRMEHYACGIDMYGRAGQLDRAKELIDSMPFEPDAMVWMTLLGACRIHGNMELASEVASHLLVAEPRQHSTYVLLSSMYSGLEMWSDRAIVQRAMRNRGLSKVPGWSWIEVKNEVHSFNAEDRSHPRMNEIYEVLSLLFQVATMFSSSEDDEAITAISSDA >cds.KYUSt_chr5.31355 pep primary_assembly:MPB_Lper_Kyuss_1697:5:198703687:198704190:-1 gene:KYUSg_chr5.31355 transcript:KYUSt_chr5.31355 gene_biotype:protein_coding transcript_biotype:protein_coding MRYWSALSAEGGRPSTAGALHLVTAKEARLEEHIVAILLIDGQPLEQGWPGEAGEGRCRMTMRVAEDQEMARDAWGVVAGAGRHERLGFACGGNWRWGTNASEGESNGERAHELRWRATAPGRPVRSGDGDDATRTVAGVGIEKNGGEWRWRSVGEQRPGRWKRDQG >cds.KYUSt_chr3.44981 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283369969:283375185:1 gene:KYUSg_chr3.44981 transcript:KYUSt_chr3.44981 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSSSGRSSLLRPPRRRPPPATAPTPHGLQLEVETKLAETDLRARLESQALEVEGAVVVWVGLAGMDAEGLLASATINLGLALVALSLFSMLKKQPGNAPVYRPLRMAEGGGGVLPLGTGRLTPSFRWIGAAFRLSEDDVLRRHGLDALAVIRLFKFGFKCFSVCSIVGVSILVPVNYTSKGPPDIGWSNSMELFTVSNVPRGSDRLWVHFSCLCFISFYVVYLLHKEYKDMSQKRIEQLKYHRKRPDQFTILVQGIPLCADHGTYGCHADHFFSKHYLTYQSYQILHDVGNIESLQKLASSLERQIRRKRDSRGCNFLQRIWFKFTLGAIDAHSQEQKLKEVQESIRLQQCKNMLKQKELPVAFVSFKSRLEAAQAAEMQQHVNPLALVTRYAPEPTEAIWSNLAIPFYRLAVYKLGVFIAAFLLTVFFTIPVTAVQGIVQFEKIKKWFPLARAVQLIPGLNSVVTGYLPSLILNGFIYLIPFAMLGMASFEGCISKSQNEIKACNMVFYFLVGNVFFLSILSGSLLDQIGESFNHPKDIPSRLARAVSAQSDFFITYILTNGMSGFSCEVLQFGLLTWHFFKAHSVGHADEPYLYGFPYYRVVPIVSLAVLIGMVYAVVAPLLLPILVIYFLLGYAVFINQMEDVYEITYDTCGQYWPTIHHYIFLSVTLMQTTMIGLFGLKSKPGASFATIPLLVLTIMFNEYCKVRFFPTFQCRPVQVCKESDELDKTDRTAQGSSDHAIKAYTPPWMRVAKRGYMKNSIGIWTVAGTTRERLTAGVLLWLTAGAFAFAGDHLAGGNASSPAPSNSPVVVTAGAFPFRQQ >cds.KYUSt_chr7.30542 pep primary_assembly:MPB_Lper_Kyuss_1697:7:190129637:190131241:-1 gene:KYUSg_chr7.30542 transcript:KYUSt_chr7.30542 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRVKVRKWHNTELEAGVTLTPPASEAGGGPDQISNLPIDALRSIVSLLPTKDGARTQSLSTRWRHLFRSAPLNLDVDLRREDEPAPSSLVPRILADHQGPCRRLSLTWYGYKCRRPSSGVIISEADLHGVLAGCHVLESLVLSELDGVSGVRISSSTLRSLGVSSGFGHEPEQLLEQVIVEDAPNLEKFFLDGAEYCLSICVVWAPKLEFLGSLPRGFTTAKLQAAFLQRTAAASLMSVMRTVKVLVLRMSPPSVDDLINFVTFFPCVEKLYVVLSRDGAFKRKRHHFPLGYIECFELHLRKIVLLNYYGSKKDVDLANFCLLNARVLERMEFATRLQNHPEKLEPKWIDDQVAMLQLDNMASHGIEIDFSGDSYNADAIHISHIHDLTADDPMDRSLCRCSTVDIL >cds.KYUSt_chr7.8051 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48676527:48678023:-1 gene:KYUSg_chr7.8051 transcript:KYUSt_chr7.8051 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRRDDRISALPNDILVNILDRLNVPDAARTSILSRRWSQLPAKLSRLIINAQDFLPKGVSNATVSDDEMVRVNAAAVEATTSILARRSPGEHTIRFLSAAFYLRDDAPISIGKAVGHTMETHLVEMTRFSLMTGKVGVDDLKDDELVVCGREFMRFFDACHNAFRGLTSLDMEDLRFSEPDISNILVTCKRLKHLRMTNCDSGDPSTLQIDHSHLTELSIAQSAFEQVKLNWLPQLTQMTFDNWLDYQDPLVLGHVPLLETLSLTNVGHSFHRLVKLSEFLSGTSIRVLKLVFKCERIWVQPERPTKSLASVFRQLRFVNLVTLPEGYDLTWTMFILEAAPLLKELCMAVWDHACIMQTDEETRKEELYSENKGVEWDSAAADFKHHNLVTLIIFCFESDDHMVSYLRRIMAAAVNLEDVFLYSRLECDNCQDEKPIRFPWTKRQRISLKKRVTEGIESFAIIHAYSTVRADHLAKYMYPECSLDKSRKMLLNRQ >cds.KYUSt_chr6.28218 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178812560:178815055:-1 gene:KYUSg_chr6.28218 transcript:KYUSt_chr6.28218 gene_biotype:protein_coding transcript_biotype:protein_coding MTNWEHLTLRFHILLVLQMYLLIQLSPWFRISEERQDGMLSLHESTVGNQQSMGVLLALDRIEQEAELTYEQLRVLETNLLLGAFASQDQHREMRMDIDNMSYEEEFVKGEEVGRLRCEHQYHVCCIRQWLLQKNWCPICKASALRSLN >cds.KYUSt_chr3.29386 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183960530:183960772:1 gene:KYUSg_chr3.29386 transcript:KYUSt_chr3.29386 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAISGGAARAAAIVCWSQRHQRLPPQAELLPRVLTSRALELAHKIAAAAAGPVLLADLVCSAGPTSLPDLCDDLIGK >cds.KYUSt_chr3.38040 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239405024:239417106:-1 gene:KYUSg_chr3.38040 transcript:KYUSt_chr3.38040 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSVDEVLDAAAAGVHYSAFRLEELNLQASLSGVEQPTTSGLENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRGVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTDLLLSCIENLKHGKAVDIPNYSFKTYKSAPSERKVDPSDVIILVGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNNVAIDLIVQHIRTKLGQHDLCKIHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFQEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQEANIIFLNLISAPQGVHVVSKKFPRLKIVTSEIEFGLNDDFRVVPGMGEFGDRYFGTDDYESSTPFFCDDKNRVR >cds.KYUSt_chr7.36479 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227980041:227981504:-1 gene:KYUSg_chr7.36479 transcript:KYUSt_chr7.36479 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTSCGKEERLRVLLIPFFASSHIEPFTHLAISLAASRPDGAVEATVAVTPANVPVAQSLLERRGQHGRVRIATYPFPAVDGLPRGIENLGKVAAADAWRIDVAAMSDTLMRPAQEALVRAQAPDAVVTDVHFAWNVAIADELRVPCVAFSAIGAFSTLAMRRLMDDDDAVVGKDVLTVPRFPAPDILVPRIELPDFLRSSSRSSEFTVVSIPDCFGLAMNTAPHLEQQYCEMYVSEGQAKRAYFLGPLSLASSPAPAAEVHAGGVHSPCIGWLDSKPDRSVVYLCFGSLAHVSHDQLDELALGLEASGKPFLWVVRAAEKWAPPERWMGRVDGRGLLVTTWAPQTAILEHPAVGAFVTHCGWNSVLETVTAGVPVLTWPMVFEQFITERLLTEVLRVGERLWPHGAGRRSTRYQEHEVVPAEHVARALTAFMCPGGAGDEARDRVTELAAKSRAAVAEGGSSHGDLRRLVDDLMAAKLATGTS >cds.KYUSt_chr3.22181 pep primary_assembly:MPB_Lper_Kyuss_1697:3:136647059:136649025:-1 gene:KYUSg_chr3.22181 transcript:KYUSt_chr3.22181 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMPEEPLVRPDPAYPCFLSDLPPTLDGDGSSFDDLSLPYISRLLMEDNLEDRFFYMYPDHPALLRAQLPFAQILADDSGSSNGGGGSNSDSPSCSDATAPALSGSGAAGDIHGSAFLDVERDQSKIVAFPSQTTSGFFKCLLPADQEMLNLAFLKGMEEAKKFLPNNGSFAVEGEEVVDRVLAGGRRKSRYDGEAEVGRASKLMVPELEEDGASKRPAAHRHRQEEEEREQQEEEGAAAKRRSGDSDEMVDLQTLLLRCAQAVSNDDRRSTTELLKQIKASSSPKGDAAQRLAHYFVEGLEARLAGTGSQLYQSLVARPIMVVDFLKAQHLFMSACCCKRVAYTFANKTIYDAAAGKSRLHIVDYGLNYGAQWPGLLRALAAREGGPPEVRITGIDLPQPGFHGDYNIHATGRRLSSCARMLGVPFKFRGIGAKRETIKVEDLDIDRGEVLIVISLCHFRNLMDEQDLGFAGPNPRDQVLSNIREMRPDMFIHGILNGSYGATYFPTRFREALFHYSAQFDLLDATVPRESNERMLLERDLFGRSILNVVACEGADRVERPEIYKQWQLRNHRAGLRQLPLVPEVVQLVLDKVRDNYHKNFVVDADQRWLVHRWKGRVLYAWSTWVADDVASDS >cds.KYUSt_chr2.52606 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328205395:328210354:1 gene:KYUSg_chr2.52606 transcript:KYUSt_chr2.52606 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKLLWRAALVAVVALAGMADAASAQLRQNYYGSSCPSAESTVRSVISQHVQQSFAVAPGTLRLFFHDCFVRGCDASVMLMASNGDDESHSGADATLSPDAVEAINKAKAAVEALPGCAGKVSCADILAMAARDVVSLTGGPSYGVELGRLDGRTFNRAIVKHVLPGPGFDLNQLNTLFASNGLTQFDMIALSGAHTIGVTHCDKFVRRIYTFKQRLKYNPPMNLDFLRSLRKVCPMNYTPTSFAMLDVSTPKTFDNTYFDNLRYNKGVLASDQVLFTDRRSRPTVERFAANSTFFNEAFVAAMAKLGRIGVKTGSDGEVRKVCTAVN >cds.KYUSt_chr7.32918 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205288612:205289307:1 gene:KYUSg_chr7.32918 transcript:KYUSt_chr7.32918 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPAAEGGPSASSSMGMPEPPLGEEAAKLRCRPARVISMGVQCCMTAALLSAFGVFIVMMPLVLAARALRRQGRRYLAFSPDDHPPPWPRQRHVSLASEQISRLPSFESSPYDRNSACVVCLEASRGGERWRALPPCGHAFHAACVDPWLLLSPACPVCRATVAVPPTGESQAQAADDMETPPPPIYLSLSPPHGGLGPFMCSTRGLPACLAVFVFVLAALGFVWIVLLL >cds.KYUSt_chr2.18126 pep primary_assembly:MPB_Lper_Kyuss_1697:2:114243916:114244671:1 gene:KYUSg_chr2.18126 transcript:KYUSt_chr2.18126 gene_biotype:protein_coding transcript_biotype:protein_coding MANHPPAAVVVNNGGANPPAAGVVNNAANPPPVAAAAAGAAAERAARHIRWKKKDKTMAIIFLVVAAIAVVLLFVLCLHPWRK >cds.KYUSt_chr7.8973 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54528256:54544772:-1 gene:KYUSg_chr7.8973 transcript:KYUSt_chr7.8973 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPSPSVAAPRLAPGLSLSAAAVHHSSHFRPRPRAAAARRPSLALPPSAIAAPANPLRCSYRRAVSPRLRKRAQGLGSASASAAGVLGEERDGGCLSCFPRTRRRGRPGLASFAPCALPHASGLSLHGRWGRPKTRHSHILRAAGPDEPHVASPTWSEAALDKPYLDQGIENEELEAVLDTPLPSHPKLIRGQLKNGLRYLILPNKVPADRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKRERLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEALLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSNRFPIGLEEQILKWDPDKIRKFHERWYYPANATLYLVGEIDDIPRAVREIEAVFEHTLTGNEAAPMSTAGPFGAISSLFAPKLPGSLAASLSGDRSPATDKIKPVKRERQAVRPPVEHKWSLPDVYQDAKPPVIFKHELIQSFSVNMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDFGKPNAPLPAAIVACVPRKVHIDGVGESDFEIHPEEITESMKAGLEEPIYPEPELEVPKELITQSELEDLKLQHQPSFAPFGKEQGVVKVFDSETGITQRRLSNGISVNYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRAAQLYLSYYRSIPKSLERATAHKLMVAMLNHDERFVEPSPHSLQKLTLQSVKEAVMNQFVGSNMEVSVVGDFTEEEVESCVLDYLGTVRAANSSNTAEHIEKISFLPFPSDLHSQQVYIKDTDERACAYIAGPAPNRWGFATEGKDLFNVIRSSSANEISEPANSGLMEKTHINVRNHPLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHCNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKDISCIKEMTTLYESATIEDLYLAYEHLKVDDSSLFSCIGIAGAESGEDMNDDEPDMGVPVLRRLVGGLAFRFSDTVVITEAALSVRDSADQFGRRSSLTSSAPSGGHKALLQIFQIFSRWTVAWVGSSDVPAMSILLPSYLEKGLGWSVADLGDRDFLYYFRVAFRPRKALFGSGVFVRISGDNPLENWVKPLSSPNPH >cds.KYUSt_chr6.30649 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194296216:194299131:-1 gene:KYUSg_chr6.30649 transcript:KYUSt_chr6.30649 gene_biotype:protein_coding transcript_biotype:protein_coding MINTPSRFAPHWRASVFYRWLLGTREEGAEQNARRSLLQNDPNAIEFYFEVRLMGGEISIKDKEPGEAGTCFGLNVFLKISDAEEHIEHGRAVPSLFREPGCFKGGQCVLLVHGDETRRILHSWMENVGMKVWPVPRAELLAQTMEKARATVGASQSRPASISSSQGGGDDLEGVADRCFSSKEMVTQVLRNSSGSHVGHLHPFGLLVVVDISGGRLNEILQEAPSLARIKHQVPCRVACITDLKTSSEDLRMLKEAASCDMDLRKPIHGSRLRQLLQVMRELQASPFPQQLPHQVGVTINELPTADQAAAASSEITSAVTVPQEPPRLGDDKPLEATAASSETTSAAAVPQELPKLEDDRPLEGKRVLLVEDTRVLQFIQKKMLSTLGATVMVAADGSEAVAMFINALEIASGGASSEERLVLPYDVIFMDCQMPVMDGYEATKRIRMEESRYGIHTPIIALTAHSEEEYLQKTIQAGMDLHLTKPIQKEQLVEVVHQELLVTFQWEVDASNSAWGLTS >cds.KYUSt_chr3.49118 pep primary_assembly:MPB_Lper_Kyuss_1697:3:306835237:306835728:1 gene:KYUSg_chr3.49118 transcript:KYUSt_chr3.49118 gene_biotype:protein_coding transcript_biotype:protein_coding MIENNLDADADLLDVMVKGLCNQDKVDAAHTLFVEMVDKGELSPWKHTYKHIIRELLRVRKLEEALGLLKSMKARKFPPFADPFPPKIAEYGTLEDARDFLKALKGSVNTYPAYTVYLLMFKSFFAEGRYSEAQDLLYKCPFHIRKHHEVTKLFESIKAKTTS >cds.KYUSt_chr2.4641 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28676023:28676869:-1 gene:KYUSg_chr2.4641 transcript:KYUSt_chr2.4641 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIFVATEVHPWCKTGGLGDVVGGLPPALAAMGHRVMTVVPRYDQYKDTWDTNVLVKVNVGDRTETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGSKLYGPTTGTDFQDNQLRFCLLCLVR >cds.KYUSt_chr6.22610 pep primary_assembly:MPB_Lper_Kyuss_1697:6:142870819:142871595:1 gene:KYUSg_chr6.22610 transcript:KYUSt_chr6.22610 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRLLALLLIGVVAASAFHQAAAAGRGLAAVEKLPEPEPKPVPYPEPKPEPKPEPMPQPEPKLEPKPEPMPKPMPQPQPKPEPKPKPEPKAEPKPEPMPKPLPKPEHRHEPKPEPLPKPEPKPKPEHKPKPEPLPKPEPKPEPKPEPKHMPEPKPEPKPEPKPIPQPKPEPKHEPKPKPEPKPMPKPEPKPEPKPEPKPEPMPKPEPKPEPKPEPKPEPKPKPMPKPEPKPEPKPEPKPEPKPEPPPKGKPPSTDI >cds.KYUSt_chr2.16823 pep primary_assembly:MPB_Lper_Kyuss_1697:2:105868989:105869738:1 gene:KYUSg_chr2.16823 transcript:KYUSt_chr2.16823 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYGTVMHGFSVRLTDDEARRMSRTPGVSGVYKSRVRYTHTTRSPEFMGLNETFGAWHDSNFGDGIIIGFIDTGIWPESASFNDTGLGPVRSTWKGMCVDAEGFNASLCNNKLVGAKVFTAELDGALTPRDKVGHGTHVSGTAAGSKVRGASLSGFSSGTAHGVASNARIAMYKACNTDGCDEHGLVAAIDAAVSDGVDVISMSVGVEGSTNPQFYDDVVSIAMFGADRSGVFVVVGAATAARRHRPW >cds.KYUSt_chr4.53273 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329896922:329897335:-1 gene:KYUSg_chr4.53273 transcript:KYUSt_chr4.53273 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRKTHALSCSCCAEMERGQGASSSSRRVHGVQSVVCTFVSRVHQSHIKTAVMQEIDMDMRKRREELTGRLLAEDECAGKESIRNEQERHANLLSTMAVMRSDSRQQFRQFWGQQKNVGYILILIQTEDKLTRKR >cds.KYUSt_chr2.9201 pep primary_assembly:MPB_Lper_Kyuss_1697:2:57911667:57912793:-1 gene:KYUSg_chr2.9201 transcript:KYUSt_chr2.9201 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTSDDGEYVEYSFDSVSDMPYTEEDKDRMLMQAILESLKDLDKSNSKDTKTVASDAVSKESSVAKDDNHTTDVATLETDASSIYVSATDVPAKDVAACNSVAKKADVQSADCSAATYAAVSVNAAGASESNGSTQAVNGKSGPAESQKSKQNSSGEDGTRATLVVQKSRTGSLMDGLSQKWGSFFKNND >cds.KYUSt_chr3.9304 pep primary_assembly:MPB_Lper_Kyuss_1697:3:54505341:54510087:-1 gene:KYUSg_chr3.9304 transcript:KYUSt_chr3.9304 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSTLSARLGPGPAPSPAPARSSPYARPSEPRGAKASRPALSLDPATARLLGPARKAPPARRSSYAAEAPAPTRRRPADEESDQKTDPKPAETNRRPNQEPRVRESCGGFAFLCALAGHTEAITGISMPTGSDKLYSGSTDGTVRLWDSNSGKMGGKISCMITHDKWVLFGIPKSVEAWNIQTGMKLSLQGPSGLVCSMTIKDEMLFAGTGDGRIMAWKFPTKENNLGPVAILSGHERQVISLSVSATRLYSGSLDKTIRVWDLKTLQCVQTLSEHKAAVTSVLCWDQKLLSCSLDKTIKVWSASESGNLQVTHTHSEEHGLRTLFGMHRVGKTPVLFCSLHNSNCIRLFDLPSPIKPTLHLSFRFDERGKLSSKKEVKTIELVAGGLLFTGDGAGELKTQDAPGQTVNAL >cds.KYUSt_contig_60.500 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:3031067:3031648:1 gene:KYUSg_contig_60.500 transcript:KYUSt_contig_60.500 gene_biotype:protein_coding transcript_biotype:protein_coding MITIALFLVSTAPFAAAVLRGIVRTINYTSPVYTVAIDSVGGLDADLGAPVSPVFNLTLRIDERTGRRDACIGHRAAAAVDYRGLVLGRGPAPEFCVDEATGAAEAPAVAWGTDIKIPEFLRGQLREELSRGEAAFSVAVRVPNDRTAGNGPDVVFECRAKVGEESAPCAETYISARDRDDTFHYRFPIRSIR >cds.KYUSt_chr3.46137 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290467765:290472740:-1 gene:KYUSg_chr3.46137 transcript:KYUSt_chr3.46137 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVASWGLTPLAAADPDVFDLIEREKRRQRSGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNDVIDEIENLCAARALAAFRLDAALWGVNVQPYSGSPANFAAYTALLSPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSAADGYIDYAKLEEKAMDFRPKLLICGGSAYPRDWDYAKLRAVADKVGAMLLCDMAHISGLVAAQEAANPFEFCDVVTTTTHKSLRGPRAGMIFYRKGPKPAKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALKQTMTPGFKAYAKQVKANAVAVGNYLMSKGYKMVTDGTDNHLVLWDLRPLGLTGNKVEKLCDLCNITLNKNAVFGDSSALSPGGVRIGAPAMTSRGLVEKDFEQIAEFLHQAVTICLNIQKQHGKLLKDFSKGLVNNKDIENLKVEVEKFATSFDMPGFTLESMKYKDKQPPTAGEPERRLLIAERDEALRLEWARRFPEDVAATAAFYAEKEQKEEEKAKKKASRDKRRAESAARKAARAAKAARKEEEKKNGARPSTIVLSSSSSFEWTMTPVSETTPSSSDFDWESDE >cds.KYUSt_chr7.35274 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220405419:220408532:1 gene:KYUSg_chr7.35274 transcript:KYUSt_chr7.35274 gene_biotype:protein_coding transcript_biotype:protein_coding MCASFSSIMQRLRNQLCRPANTVVIVLLMLVIEATQQETRPSGSPEDDDDVVEDLVRIPQLRRPPYGRAASTAGVTAGQTDGSRRPASGEAAAFQDGVSNGSQHPMHGRHASELAGGNAHHEEDKDALPIKGASIHHGKQLNKPTWMESFITMDGGKGWEISVAELVEDALGAHALWHLRKFRLGKISLQARGRLHLL >cds.KYUSt_chr2.8662 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54384354:54388916:1 gene:KYUSg_chr2.8662 transcript:KYUSt_chr2.8662 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNNNSGKKKERAVNVSGKPRHSLDVNRPNDKKGAGAGGGAGGSRSAATVRRLQMYKLRPKRDRGGKIVKHDMQSKELPNTRIEPDRRWFGNTRVVNQKELEFFRDELKDRLANNYNVILKERKLPMSLLQDHQKDARAHILDTEPFEHAFGPKGKRKRPKLSSLDYESLIKKADDSQGVFEEKHASSNLPKDEEEDGLRDLVRHNMFEKGQSKRIWGELYKVLDSSDVVVQVLDARDPMGTRCYHIEKHLKENAKHKHMVFLLNKCDLIPAWATKGWLRTLSRDYPTLAFHASINKSFGKGSLLSVLRQFARLRSDKQAISVGFVGYPNVGKSSVINTLRSKTVCKVAPIPGETKVWQYITMTKRIFLIDCPGVVYQNKDTETDVVLKGVVRVTNLDDASEHIGEVLRRVKKEHLQRAYKIQEWSDDNDFLVQLSKMSGKLLKGGEPDLTTAAKMVLHDWQRGKIPFFVPPPQQNEDGPSEITEPVERSGEEAVSSDRTAAAMKAIAGIISSQQTMNVPCQREFGRNAQDSDLAEQSE >cds.KYUSt_contig_988.509 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2963075:2968157:1 gene:KYUSg_contig_988.509 transcript:KYUSt_contig_988.509 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVSAADMAAVKTSSNGMWQGDDPLHFAFPLLILQTLLILLLSRILAFLLRPLRQPKVIAEIVAGILLGPSALGRNKAYLRALFPPWSAPVLESVASLGLLFFLFLVGLELDLRSVRRSGRRAFAIAAAGISLPFVCGVGVSFVIRRAIPGADEAGYAPFLVFMGVALSITAFPVLARILAELKLLTTSIGETALAAAAFNDVAAWVLLALAVAISGSGDDRRSPVTSLWVLLSGAAFVAVWMLAVRPLMSWVARRSDAGGGGDAVWVAATLAGVLASGLATDMIGIHAIFGAFVFGLTVPKDGAFAGRVTERVEDLVSELLLPLYFASSGLKTDVATIRGGGALAMLALVIGTACAGKIVGTFAVAMACGMGAKEAIVLGVLMNTKGLVELIVLNIGRERKVLNEETFAILVLMALVTTFITTPMVMAIYKPARAAGRRRLHPRKLHGPTTSAPSSPSSSAAANAKELRVLACIHGGHDVPALINLIETIRGHTQPRRLVKLYILRMVELTERTSSILMARAARRNGLPFLRPSRRGGGDDDQIDVAFGTYAQLGHVQVRPMTAVSALHTMHEDVEAVAEDKRVSLIIVPFHKRQLAGHGDEIENLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSEQVAHGVCVVFFGGPDDREALELAGRMAEHPGVQVTVVRFLDGKAGHEEHTEVTLRPSEAKNVEKSYTFATATVNGHREKELDEAAVVDFQQKMGAMVRFEERVAAGNVVEEVVAIGKSREYGLVVVGKGRLPSAMVAELAVRPAEHPELGPIGDALASAGHGVASSVLVVQQHDMNTDEVPVTVVQIDGHAHDGELGKDDNVAVP >cds.KYUSt_chr1.37054 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226272403:226273950:-1 gene:KYUSg_chr1.37054 transcript:KYUSt_chr1.37054 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPSSREPSPQPRRPASSAAGAAAAAAGNGKRGGLLLGRYELGRVLGHGTFAKVYHARHADSGETVAIKVLDKEKALRHGLVPHIKREITILRRVRHPNIVRLFEVMATKSKIYFVMEFVRGGELFARVAKGRLKEDTARRYFQQLISAVGFCHARGVFHRDLKPENLLVDERGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGVILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSKDLTSLLNRLLDINPETRITMAQVMESRWFQKGFRPVRFYVEDDQVHSLGDTESKELGLEPTEPPPPPPLPPPPPQQEDDDSGWESDSSVASCPATLSSEERQERQRPAGRLTRPASLNAFDIISFSKGFDLSGLFEERGSEMRFISAEPMQTIITKLEEIAKVKSFSIRRKDWRVSIEGTREGEKGPLTIGAEIFELTPSLLVVEVKKKAGDKEEYDDFCNKELKPGMEPLVHHQTGSAPNVLSYTH >cds.KYUSt_chr7.12701 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78243664:78244902:-1 gene:KYUSg_chr7.12701 transcript:KYUSt_chr7.12701 gene_biotype:protein_coding transcript_biotype:protein_coding MFQAEPDAPDGADDGRLELLPFSDGTETGYVPSSDHGAFNAYVAAFHAAGARSVGELLDALAARGRAVTRVVYTMLLPWAADVARDRGVPSGLYWIQPPAVIAVYYHYFHGHAGVVADHRHDPSFLVRLPGLPPLAVRDLPSFITDSTDTSDFFHSIYTTTRDLFDALDRETPKATVIVNTCQELEAGTLAALAAYDVLPVGPVLPAGDEAGLFKQDDAKYIEWLDTKPANSVVYVSFGSLARMAKEQLDELLQGLEESGRPYLCIVRKDNKAELAEAEAQIKNGMVVEWCDQVRVLSHAAVGCFVTHCGWNSAMEAVACGLPMVCVPQMSDQRMNAWLVECEWRVGERAEVGSDGVLRVAEVRRRVEEVMQGDARVAAAEWKRAVVEALGKGGSSDHNLRAFMECITSDVQ >cds.KYUSt_chr7.31829 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198127453:198128624:-1 gene:KYUSg_chr7.31829 transcript:KYUSt_chr7.31829 gene_biotype:protein_coding transcript_biotype:protein_coding MASAESDKYRSFMHGEGEKSTVWRLGAPPNYDVVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMEMVHKVRPEDQKSVHSHGFSASTNGMKPLTRNEISAIGGYNAFLATTLPPEHRIYDPEKESAESGMSTFLTAFPRGFAIEVLDVYSGPPRIAFKFRHWGYMEGPFKDHPPHGQRVEFFGVCIFHVDEDSKVEKAEYFYERGNFLASFLSAPASASASASASAPAPLASASGCPVMRAD >cds.KYUSt_chr5.4262 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27266530:27267657:-1 gene:KYUSg_chr5.4262 transcript:KYUSt_chr5.4262 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGGSMDSLTDDILADIISRVPYKSTCCCKCVSTRWRDLITHPDHRSKMPQSLLGFFYETYDIARFPRKARYFINALAQGFPPLVDPSLSFLPKHESLHILDGCNGLLLCLLLEEGTDADEIQYYVVCNPSTEKWVVVPTTDWSRRAEVYLAFDPAVSSHFHVCELVDSDTWHADGTGTIGDIAAVVIYSSKTGVWSHKADAWTGSSVDIPIGAKSVFFRGELHLCAYDNQLISIDVEENDWKSIHLPETVTIYFGIYLSHGQLHFANIGLSALSIWVLEDPSNENWTLKRYISHLQLFGTEYSAYADDYSVISIHPEDNLIFIVAGHMQTLMSYGMDSMKQRIIRPLGSVSSNRVHCIPYVPLFLESLADGH >cds.KYUSt_chr2.39794 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246978644:246979519:1 gene:KYUSg_chr2.39794 transcript:KYUSt_chr2.39794 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWNRISGARFLKPLAGVSLAFLPSAGAAYFVVGSAIGFLAMLHASEPDVGGQWASAARWIALSRSVSAHHLFLAMLLLFLAAKVWRLSKRFDAVEGIVANAGGAAQPLRVRGVVCTVCGTTARAPKKGSLGPALERVGSTASGCSSKPVSRSLASELELEAAETEEEDNASEANGAEEGNVEWLRRRLARERRLKEVALEELEKERRAAASAADEAMAKIACLRNEKALVEREARQFREMAQQKQMYDRQVIESLQWMISKFGMQSGEPEYSFDRGVSETSEDDRDKRL >cds.KYUSt_chr7.41111 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254691359:254697348:-1 gene:KYUSg_chr7.41111 transcript:KYUSt_chr7.41111 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRRGFFLPVLLALLLLAGPGRAAADDASRSFRGNAASPGCSNKFQLVKVKNWVNGSEGTTLVGLSAAFGASLPATVNEARKEFSVLTDPLDCCSNVTTKLTNSIAIATHGGCPFTSKAENAQAAGAAGLLVINDSEELYAMVCNENDTSINVTIPVLMIPQSAGKNLKDLLDQGARVELQLYSPIRPVVELSAGFLLIMAVGTIVCSSLWSEFVACEQVDEHCNQLTRQEGPNSGTNHRQEKEIFEISAKRAAVFIVVASVFLLLLFYLMSSWVTWVLIVFFCIGGIEGMHSCLVTIFSRIFKEWGQKTVEVPFLGEVLTLSVGILPFCTVFAILWVVYRRSSFAWIGQDILGICLMIRVLQMARLPNIRVASALLSAAFVYDIFWVFISPLIFHESVMIAVATGDSSGEAIPMLLRIPRFFDPWGGYDMIGFGDIILPGLLVAFSYRPFPYLSCALPNGRARSTSVAIPSSMYIRAYCCAWLDKR >cds.KYUSt_contig_817-2.34 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000077.1:237551:237995:1 gene:KYUSg_contig_817-2.34 transcript:KYUSt_contig_817-2.34 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRMAASALLLLVLLVATEMGTTKVAEARHCLSQSHRFKGTCIRNGNCANVCMTENFPDGECQTRGLERKCFCKRVC >cds.KYUSt_chr4.49896 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309123192:309125203:1 gene:KYUSg_chr4.49896 transcript:KYUSt_chr4.49896 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSISALAKYKLVFLGDQSVGKTAIITRFMYDKFDATYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVTDKQSFLHTSKWIDDVNTERGGDVLIILVGNKTDLVDQRQVSTDEGEAKAKEHGVMFIETSAKAGFNVKALFRTIATSLPGMEALSSAKQEDMVDINLRPASGPAGSRAAAGQQEQKAGGCAC >cds.KYUSt_chr1.32109 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194822632:194823663:-1 gene:KYUSg_chr1.32109 transcript:KYUSt_chr1.32109 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRTGATALEDLPGEIIDMILTRLPPKDVGRCRAVSTSWRGATSTSEFMLEHHRRQPLLPIIDGQGRPASFVVLRDAGARASTQPLLSFLPGARNRSKNHLCASCDGFLIITYDRSRFYICNPVTCKQAPLPQVGQDFHNTVIGFYRHHPTGEYRVLSVSLSENFSKCSLYVLTVGSNEPRHVAVTMPAVLSPSVEHKLLVELRSSIHYQPPVHHNGSLHWCTYFSKDIMGGGGTTGGGGDILVFDTEAESFRLMRGPAQTGMNRKLFNMKGKLAFWGSSALSSYDISVWVMQDYKAGIWVLKYRIDLLKVEASRQLYFTSSKKKTNTRLDSAMQCCCAQRA >cds.KYUSt_chr3.39504 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248899930:248901455:-1 gene:KYUSg_chr3.39504 transcript:KYUSt_chr3.39504 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKKFAQMAKKWERMADLRRKRLNLVAADDECCTSVAPEGHCIMYSADGRRFKVPLAYLGTTIFAELLRMSQEEFGFGSDGRITLPCDAAETECCNMLTFELPEDVALISGKIMSWPVSQGLEFCNSLASAKVVQQSDQSFRADSFTVYQGLCGAPLPHYGIVRRNEGSGCRRSAMPLPYGCRCRVRGGLVLPAAVGLLR >cds.KYUSt_scaffold_1259.428 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:2880575:2884085:1 gene:KYUSg_scaffold_1259.428 transcript:KYUSt_scaffold_1259.428 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPHRILLFVAVFLASATSTLSISTAPVELTVASHPPASIHLPPAAPVDGEGSGTAGPFCTRVHLRGHASRLRDPSRFFHALRVRANASRPNALELCFHRNATVAPCKCAASQWHKVPKSGLWAQSISPYDHRIIDFRMPGDPSRSVVVSTEEEFLLHRVVFLVLGMVLMTVAHTLSQSVVFYYGGAMTIGIFLVVLIILFQGMKLLPTGRKSSLAIFAYSSVVGVTTYFLHYLSGLLRSMLVEIGIAEDMHNPLGIFLLVCVILAGAWFGYWGVRKLVLTEEGSVDGGVAYFVEWAILIISAVMILQSSLDYLLAFAALVFCIIIKTVSRIDGMSGFVRHLLSGLSKGITRPFRCEDLGEGYSNTNGTHQDGFSKLHQYLKRTPRQNSPLSGSGEKTLSQGLARDSYYSTYHTTPERRKFSKEEYEAFTREETKKGMKELLSSPAFNRWALANADRISVTPPGTGINKSSQQRQRFLGLF >cds.KYUSt_chr1.19346 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113637856:113639262:1 gene:KYUSg_chr1.19346 transcript:KYUSt_chr1.19346 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLGVSTPLATLVLAIGAVALALTATAPRGCDAAGGVVRSIFVNNKQPADFKSVQAAIDSIPLGNNQWIRIHVAAGVYFEKVLVPLNKSFILLEGEGKDQTFIEWADHAGGDTVTASSPTFTSYPTDFMARDISFKNTYDGVSNMAPAVAALVAGDRSSFYRCGFISVQDTLSDLFGRHYYENCYIEGSTDFIFGNGQTIFQGCEVSTGRSTVTPGFITAHGRNSAQDGTGFVFNGGKVSGVTPAYLGRAWRAYARVIFYQTDMSDIVVSQGWDAWNYKGQEGSLTMVESGCTGKGSNTTGRVPWEKTLSSQEIANFVNVSYVSADGWLAAQPR >cds.KYUSt_chr1.32199 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195536068:195540351:1 gene:KYUSg_chr1.32199 transcript:KYUSt_chr1.32199 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCRRRGPAALCLLLCFLLGFEPCAALSHEGLALLRLREMVEADPSGALAGWDGADASPCSWFGVACSDDGRVVGLNLANLGLKGMLPPEIGQLTSMQSLILHKNFFYGIIPTEIGDLRELKVLDLGYNNFNGPIPSELVHIISLEFIFLKGNRLYGGLPSEFNELISLCESQVYQDWTLSNRMSTARKTSSVVPLSSSRQLQTETTILGDEEPFTRMMVFEYVSNGSLFEHLHVKEAEHLDWQARVRIVMGVIYCLKHLYQEIPPMILRNLDSSCIYLTEDNAAKISDDSFGGDKRDSEDEFDEPEECAIVYKFALLLLETISGRRPFSNDNGLLILWAHRYLTGEKPLLDMVDPTLKSVPEEQVRALTELVKLCINDNPWLRPTVAEVTRWMQEITGFSEDHSTPRNSALWWAEIEILTS >cds.KYUSt_chr5.38778 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245490775:245492396:-1 gene:KYUSg_chr5.38778 transcript:KYUSt_chr5.38778 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMKRAAGMARGASAAPARKGEDESLVLFGELYKHDQVKDMNLLEPMFSMEFEAIQGDRRMYKLASGKRNYLLTDGEKNDYDWLKTPPATPLFASLEMEADSCQMVFQRELPILQVLKTSRLSGKLEAASSNTSTKSESPTTSSSSKSATPTARPNSSSSKKNLTTRASPAFSKVETLAYKIDKRSSYTPLGSRPHNAVAVPTTDTKAAKKTSSGKKPAAPGSTNAAKNIPNKPLTKKVGAATPRARTKDHSTGANDLKVDAGNGSATRRVSRQPAAATSIGKVPSIPAAARGRSRAGGEPATGNTVHVAEAAAVGKGRRRAGGGNEQPRQQKLGSHAKDLVA >cds.KYUSt_chr4.38575 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237858894:237859665:-1 gene:KYUSg_chr4.38575 transcript:KYUSt_chr4.38575 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTLEFESPVAAPRLFRAAVLDWHTLAPKLAPHIVASAHPVEGEGNIGSVRQFNFTSAMPFSLMKEKLEFLDENKCECKSTLIEGGGIGTAIETATSHIKVEPTASGGSVVKVESTYKLLPGVEVKDEITKAKDSVTAIFKGAEAYLIANPDAYI >cds.KYUSt_chr2.5306 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32928977:32930770:-1 gene:KYUSg_chr2.5306 transcript:KYUSt_chr2.5306 gene_biotype:protein_coding transcript_biotype:protein_coding MWSERLAMELKHRRNQGCVGELKIIVQNPYVLSSTPCGSSAGPGVAAAANMAAVTLGTETDGSILCPSSWNSVVGIKPTVGLTSRSGVIPTTPRQDTVGPMCRTVSDAVQVLDTIVGYDAFDAAATGAASKYILAGGYVQFLKQDGLRGKRIGVPNGFFQGYGEAELRVYQQHLDTMRNHGAVVIENLDVAANLTALAVDIASNEFMAVRAEFKLSLNAYLADLSYSPVRSLAEVIAFNDANPVQERLKDFGQRNLISAENTHGIGSVERAAIRRLEELSANGLERLMKEQELDAIVTPNSSASSLRAIGGHPGIVVPAGYDEQGVPFGISFSGLQGYEPRLIEIAYAFEQATNVRRPPMFKP >cds.KYUSt_chr5.9002 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57228731:57230336:1 gene:KYUSg_chr5.9002 transcript:KYUSt_chr5.9002 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDAQPPLQGTARYALYQFGTSGAAVAVATTVTHPLDVIKIRLQMQLAGQRGNLVGMGAIFTQMVEREGPRSLYLGFPPALMRSLIYGGLRLGLYEPCKHVCSYAFGSTNFAFKFASGVVAGALATALTNPMEVLKVRSQMSTSRISTIGMVRKIVAEEGLKALWKGVGPAMMRAGCLTASQMATYDEAKQALLKLTPLEEGFQLHLMYCEFFS >cds.KYUSt_chr1.20290 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119516986:119522302:-1 gene:KYUSg_chr1.20290 transcript:KYUSt_chr1.20290 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTPVELETGWRDMDAGVARLKRILHGVDGVSFSSQEYIHLYTTIFNMCTQKPPHDYSKQLYERYKKTLEDYIKSTIGLHWIAASVLLVSENRVLPSMKEKHGQFLLRELVQRWKNHKVMVRWLSRFFHYLDRYYVSRKLLLPLRELGTTCFHDLVFSELKTTLATIIIDMVDHERDGQLIDRALVKDVLDIYLEIGRGSMAVYEQDFEEAFCKGTADYYSKKAQTWMLEDSCAEYMFKAEECLQKEKERVAHYLHSTTEPKLLLETLSELISKRAEQILNKENSGCRVLLLDGKTERKELAGSQEQDFVRKAIELHQKHLTYITNCFQNQTAFHKALKEAFELFCNKDVAGCSSAESLAAFCDNILRKGGSEKLSDEAVEETLDKVVNILTYISDKDLFVEFHRKKLGKRLLFDRSANNEQELSLLSKLKQYFGGQFTSKMEGMLTDIATARERQTKFEAYMDPWPESCPRVDLSVTVLTTGFWPSYKTFNINLPTEMIKCVELYKNFYNENQKHRKLNWIYSMGSCNIVARFEARPVELIVTTYQAALLLLFNEADRLSYSDIVTQLNLPDDDAVRLLHSLSCAKYKILDKEPSSRTISPSDMFQFNNKFTDKMRRIKVPLPPTDEKKKVIEDVNKDRRFSIDASIVRIMKSRKVMTHQQLVTECVEQLSRMFKPDIKIIKRRIEDLISREYLERDLETANTYRYLA >cds.KYUSt_chr7.25443 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158760516:158770319:1 gene:KYUSg_chr7.25443 transcript:KYUSt_chr7.25443 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEVEEALGFREDDAIRFIFGEGVAAAGTEEDGAFDRSLLELQVFKDVFSSRGGHHLYVGAAGFMDSGGGGRFKVAETSLLPGAHFAPQHADAPHAQAGPRAEEPLWFCGQRVGAGQAPRGAAGPGGHSHSPGEQNRRMDVRVDLGVEGHAHCHTGRNGYRDHMDVGVQEHAHCRSEQNGVDGLALELDSVLQGFLGYWPGEAPRATDVAGSCNQQQAFDAALVQNNVDMHMQAVVQDGAAGLCDAAEFGGSSSTSGVEDPMPSYVDALAEFSQFQSAASLSDPFLYQWLHDQQPFPTATASCLSYDQGQMVDASQMLYTFSGADLSESGAREEYPLFSKSACDTAVPPCLSHGCVGSGQFVEPERICEKGTPDANVSSLDDADVAQCSSLQSVPPVYSYKKTSSRDLPDLLEAHAHCLFKDAGWIIKPRIRNDRAKISSYFTAPHREVVLSSLTQAWKFCGNKLYEASVGSERGKYPMEWSDVDRFWKDLTDTMEYIQKILVNQQNALTLLQRWELLDPFIAVVFVGRKITALQQCKTVRAVDSSTFVLNDNRNMSSENKSAQKASDLLTIHKVQSTPVITETGCRKQAIESCSRSQAVPSCHDLEGGLNRDIILKTGHTQSQNSGASDRKENHINESTETQQFCSAAALISNSAKKARKKPKVISDIDANGFDELYYQSFMQHTMENVFNQESNVAILDFSNPENINFSKKHGISSAAGTLKKHLKPKSRSAKVTGNCQSDKPGTLLPLEGRKMSMLRGEGTVKEPTEHAVSEPDSNARQPGANDTVPMEMVHKKLPSGSKHMDILRGESTIKEPREHTVSEPDSNARRLGAIETVPLEMVHKKVPSESKQSMLRGEGAVKEPTEHTVSKPDSSARESSVNEIAPVEMVHKELPSLKESSLGIHPKDSHKVSNCTDGPVELSHESSAAFLEIDISHESQICKTVTAIRNPEGCYKHAKKRPRVLRINDDDLLIAAIVKNRDVASYHKFAASSVFSGAKYKKLKSQKRDTKMLARTSGKGGTNLLGGKRISLARKTVLCWLIATGFLTVKDVIQYRNLKSNEVIKDGQITWEGILCKCCAKTLSVSDFKAHAGCCMPKSSLGLFLQSGKSYTLCQVEAWSAELMSRRSDACGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHEACLSAQGLSFVALAQAETMTHALSMKCCPVMLKDLTHGFVGDTARRLHGTKAAELPFIATSLDYRRQGMCRRLLDIIEKMLRSFHVEMLVLSAIPELVNTWILGFGFKPIEDDEKKQLRNVNLMFFPGTSLLTKRLDGTITAKPEKENGACNVSGLTNGKCLPNEKSHEHLELREPELLEQLNPEPATNGSFRTLKRECSPAAWFSSAKPKCRAVDVHKHTNQAATFSKGITRAIHSKDMLIREGLLDRREDSARYGCKQIVDTLRMFQFELSANEQLQSGLHTRTYVIAEVSFLSNAHT >cds.KYUSt_chr3.48504 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303408807:303409247:-1 gene:KYUSg_chr3.48504 transcript:KYUSt_chr3.48504 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKAVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKSRIVPRHLLLAIRNDQELGKLLAGVTIAHGGVLPNIHSVLLPKKAAEKAEKAEKSPKSPKKKTAAKTPKKTAE >cds.KYUSt_chr2.32316 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199222139:199224817:-1 gene:KYUSg_chr2.32316 transcript:KYUSt_chr2.32316 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPPADEEEETAALRRRLRKLVATVTAGCAGADAFDEAAAALAALRQAEVGGSGKGARGPGEEARPAEDADAEAVPAQFLCPISSKIMADPVVVESGQTYDRRFIVKWFSAGNQMCPQTKQVILNTTLIPNLLIRSMIAQWCTENGFALPPLENQEEDYVSNSERRTFDEIFNKITSSSNSTEQKQAIKGLRLLTKRSSEFRALLEEKPESISQMTFARFSTPGLQNDPQVVEDMVTIILNFSLHDSNKKIIGDDPEAIPFLIWALKSGDMGSRSNSAAAIFTLSALDSNKQKIGELGAIEPLIDLLDHGSIIAKKDAASAIFNLCMLHENRSIATNCGIVDVALRAIGDQSLVEESLAILALLSSNYDMVELMIEFGGATCMLQAIRESECKRSKENAAVILFSICMYNRKKVKEVEEDENTNGSLASLAQNGTPRARRKATAILEMMKRAKPMHNRHSSC >cds.KYUSt_chr6.17246 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108602185:108603657:-1 gene:KYUSg_chr6.17246 transcript:KYUSt_chr6.17246 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGSMGTSVAATVAVAVTVVLVLAGVTHAEIRTTLIVSDARPLILFEQFGFARGGKAALSIRRSAWRLRPGSRLTGVDPTLMGFVLISGAQFPKINNASEYAAADPGGNSGGGGFCVLTSGYAVPMIRLSDVPAGGATSILPIDDPDEYAVVFNNCQEGVEVTLDVRTEMYNVQGGVPDGQRDYLPVGLQPLPTIYTVVSAVYFAFLVVWAWACLRRRATAERIHVVMGALLLFKALKMACAAEDTWYVERTGTPHGWDVAFYVFGFFKGVLLFTVIVLIGTGWSFLKPYLQEREKNVLMIVIPLQVIENLVLVVIGETGPTGRDWVVWNQLFLLVDVVCCCAVFFPIIWSIRALRDASKTDGKAARNLHKLTLFKRFYVVVVGYLYFTRIIVSAFLALLSYRYQWGVNVAVEAASFAFYLFVFYNFHPVEKNPYLYVGDDEEESAGGQLEMDDRAF >cds.KYUSt_chr5.14539 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94276922:94277257:-1 gene:KYUSg_chr5.14539 transcript:KYUSt_chr5.14539 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSASGGGDQSAWISSDLGFFLLSLFLRWSEVGVERTATAQLNKDVAAIHLLQIDCSGRRFSLASLGGEGGKASLPATNGVIELLAGHGGEEEHSRARSSSAADGWPYLR >cds.KYUSt_contig_1790.83 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:504275:509330:-1 gene:KYUSg_contig_1790.83 transcript:KYUSt_contig_1790.83 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFGVRRNAIEPLANTDPHRSAMDVPSSEMPCPQKLFAMAAKFIEPLQVFVAGDTLGYIHVYSYEKEEKLQSFRGHAEHVTLLEVHPSKPLVLSASWDNVIKLWDWKAGWQCIRTFEGHSEDIEQAIRFNPHTAGNTFASCSEDCTIKIWDMYSPSPTPVASFECGSRHARGLDYFSPGGDLQYLVARLYGRAQIWDLQEKKRIKRIKGLQDIGCNIAVVENLSGRPILLTVSDDHTVSFCDSTTHRYENWVNFNLGRVRGCAYINMTKRWEKNSLFLSHVSADVCGESSEGSPSRLKNVVFFSVQSDFIKCWPDRVGYVAPPKPPSGPKKAHAEVEESVGNSRMVIVEDLS >cds.KYUSt_chr5.43008 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271176434:271177711:-1 gene:KYUSg_chr5.43008 transcript:KYUSt_chr5.43008 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCHSPRIHLRIPSSEDGVGTTRRVRRRRGKSPEALESMPLHEDMLREILLRLPPQPSSLLRASAVCKHWRGLVTDPCFLRRFRAHQGKPPLLGVFEPHIWGIKFRSTLGPPDRIPQERFDLQRQIGHRGRINLRGCRHGRVLLLDVNPNKIIVCDPITGEHHRVAAPPPFGELHSYGVYGDVLCAAADDGHVHGSCHSSPFKVVLMCLEGGKGLKPIACVYCSETGVWGNVITTTDQCELVNCNPGILVGNVLYWSSKSVTPNRTFLDLYDLTDDIVEFDVDRQSLGVIKGPPCLNISFKHQIIRAEDDALGLAIFSHDELQVWKRMVSCHAGTTWLLHNACEVHTLLGLPPQIEGSMRKMEILGYDEDNRAIIVFVDGNVYMVQPMSMQFRKLYESAYPIKCHPFASFYAPGECSSLLRVFC >cds.KYUSt_chr7.41090 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254602851:254606008:1 gene:KYUSg_chr7.41090 transcript:KYUSt_chr7.41090 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLSVSAPIVSTPPRYLRRPVVAASGAMLAALLFLTAAAAIWRPDYLPAALLRRSAPAAPAARFYSFDLVREYPHDPDAFTQGLLYAGNDTLFESTGLYHRSSVRKVDLQTGKVLVQHQMDGSMFGEGLTLLDDRLFQVTWLKNDGFIYDRHNFSKRERFTHKMRDGWGLATDGKVLFGSDGTSRLYQLDPESLEVMKTVTVKYQDNEVSYINELEYINGEVWANVWQTDCIARVSHEDGLVLSWIFLHELRQHLLDTDYRAIDVLNGIAWDEENQRLFVTGKLWPKLYEIKLRPIDGPPDGSVEKLCPRASFYR >cds.KYUSt_chr1.40826 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250429875:250431398:1 gene:KYUSg_chr1.40826 transcript:KYUSt_chr1.40826 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMNLNLLLSFPSPPAALHHPPPPPPKSLFLGASLPLRPLAAHPPPPPPLRLLRPRLAVVAQAAAVKRRKEVPFDNVIQRDKKLKLVLKLRNILVSSPDRIMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEIVEEGVYSLRFRLTPAAERLYLDELQLRNESEGLAVTKLRKLLMMSLDRRILIERIAHLKSDLGLPPNFRDTVCVRYPQHFRVVRMDRGPALELTDWDPELAVSAAEVAEEDNRIRDAEERNLIIDRPLKFNRVKLPKGLKVSRGEARRITQFREMPYISPYADFSHLRSGSAEKEKHACAVVHEILSMTLEKRTLVDHLTHFREEFRFSQSLRGMLIRHPDMFYVSLKGDRDSVFLREAYRNSQLIEKSHLVLLKEKMRALVAVPRFPRRGGPRTGEETEGANEGSDMEDDEDDDFSDMDDLIGELGGKSDNDYHWGDGWVGESGATPPDFGDGDDSSSEEAEVTMKEPVNSINGDSSDPVFPDGRQRERW >cds.KYUSt_contig_686-1.1128 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6967845:6969808:-1 gene:KYUSg_contig_686-1.1128 transcript:KYUSt_contig_686-1.1128 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVVAKAGQAYMKQLGAHPLRTKAITSGVLAGCSDVVAQKISGVKKLQFRRVLLIMLYGLAYAGPLGHFLHKLMDKIFHGQKGKETTAKKVIVEQLTVSPWNNLMFMMYYGLVVEGRPFGQVKSKVKKDFANIQMTAWKFWPIISWINYEYMPLQLRVLFASSAASCWSGSN >cds.KYUSt_chr5.6624 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41097502:41101079:-1 gene:KYUSg_chr5.6624 transcript:KYUSt_chr5.6624 gene_biotype:protein_coding transcript_biotype:protein_coding METSPLVMLTLLLACWFPPAKAVPSLQEQAGALLAWKATLQSQPAQLQSWKTGNTTTTWPCSWYGIKCSKHQAWHQEVVITKISLRGLRLKGELDALNFTALATLTSIQLSHNRLTGRIPPSIVSLRELRFLLLQRNQIRGSLSPALASLTQLRCLMLQQNQLSGEIPRQIVQLEGLVTLNLSANHFSGPIPSELGYLKKLLRLDFSINNLTGPIPRNLGNITKLTILYLYSNQLSGYLPRELGYLVNLEELDLSDNELMGSIPNSFGSLIKLTGLALWDNQLSGCIPQELGYLVNLKLLYLNSNKLTGSIPNTFENLTKLTSLYLHGNKFSGYLPREVGYLVNLIKLNLSSNFFMGSILDIFGRLINLTGLYMWNNQLSGRIPRELGYLVNLKELDLTSNKLMGSIPNTFGNLTKLTGLYLSYNQFMGSIPNIFGNLSKLAILHLDDNHFSGHVPQEIGTLMDLEDLELDSNNLSGPLPPELCVGGHLKYLIAFDNYLNGPLPSSLVHCTSLVRVRLEKNQIEGDISELGVHPNLLYMDMSLNKLFGQLSSRWMESRNLTMLRLSNNNIRGTIPISMGKLPQLGLLDLSSNKLEGKIPSELGNLKKLFNLSLAENFLHGSIPQEIGALSSLELLDLSSNNLSDLVHESIGNCLKLRSLNLSRNNFKGNIPAMLGVLHNLQYMLDLSDNSFSGAIPSQLSGLIMLDTLNLSHNELNGSIPQSFQSMESLISIDVSYNELEGPVPESKIFHGAPIKWFMHNKMLCGVVKGLPPCSSATKSEGKNNGYRTLVLVMVLALVLLVLVAAIFMFQHERKKSKPINTDKVTQEKVFSIWSFDGENMFKQITEATDNFSELHCIGTGGYGSVYKARLGTCEIYAVKKIHMVEDECCVNELVFNREVEALVQIRHRNIVKLFGYCSSNQGRFLIYEYMERGNLAEILKANETAIELNWKRRIYIVLDVVHALAYMHHDCSSPIVHRDITSRNILLDQEFRACVSDFGTAKILNVNGLNLTKLAGTKGYLAPELAYTENVTEMCDVYSFGVLVLELFMGLYPGDLLSSIYLMTNKNGVCLKDLLDSRLVLPDAETAREMHGVLSVAFQCLEPNPLRRPTARRASDQLSAGTKTCEDQHVDYLHVVFTIPAQ >cds.KYUSt_chr1.18181 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106100636:106102562:1 gene:KYUSg_chr1.18181 transcript:KYUSt_chr1.18181 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLGLGNIGGEGNGRSHCSGGKAKLLALGKALPKNVLHQDKFVETYLHGTSCDDPATRAKLERLCKSTTVRTRYTVMSKELLDEHPELKTEGTPTLTPRLDICNAAVLELGAAAARAALGEWGRPIADITHLVYISSTDIRLPGGDLFLATRLGLTPNTVRTSLLLLGCSGGAAGLRTAKDIAENNPGSRVLVIAAETTVLGFRPPSHDRPYDLVSAALFGDGASAAIIGASPIRAEEDPFLELEFSRQEFLPGTDKVINGKIAEEGSDFKLGRDLPEKIESRIEGFCRTLMDKVGIKEFNDLFWAVHPGGPAILNRLEVCLELEPDKLKISRKALMNYGNVSSNTVFYVLEYLRDELKKGAIREEWGLILAFGPGITFEGMLVRGIN >cds.KYUSt_chr1.29650 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179554969:179557269:1 gene:KYUSg_chr1.29650 transcript:KYUSt_chr1.29650 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDA >cds.KYUSt_chr3.32247 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202541596:202546407:-1 gene:KYUSg_chr3.32247 transcript:KYUSt_chr3.32247 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRQLPSPSSKPRDHVETNGTDASTAGGGGGGLHLVPGGGAANRVTDPQPQRMSYGSMSPHDSVGKHLQIIRLIYAERFDVNFTQLEAYMNCFLHRFILVKLAEIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIATLYPDIDKYEEEEFAFSEEEKTRNKKIQATIEETVRKQSQAMVKKSSTAKATANAFARKYRRNVRTRGRGRTVARDIVHTVSDDEGRKEVNSNDASKDPSSADNHSPDLKQKRGRKRPAPLPSPVRTMGIATSDHGSEENDELVSVKENFTTSPLRGEMLAWGKNGTRSQTRYGNVGGLNGRLGKSGRVAKLVEHLRTTDEMDKELIALQLSRQVEELEIYIRMDLHRRGVATDSSSAETKLQLLDGLERLREDKLLSDLHPSFSSGRNDLELLYALKTQD >cds.KYUSt_chr2.39691 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246316972:246319727:-1 gene:KYUSg_chr2.39691 transcript:KYUSt_chr2.39691 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLSRRRLLLIVPFTVLLFSVCGNGETSAEAEDGVANVRLLLGLNTLGPRPLPKHRHGHGVAPTPAPAPARGRAHLPLLHKDARLPDPVPGKVTHNHKRGNGTGQSPRDGGAVAHGGKKSMQLVVVAAAAALSGAVVVLLVGLVVFLTCRKVQRRRDGADQNGATNKVSFDPGPNLFYLDAVKPYVEPGHDDGKAPETAAPKEDEPKREENEKDGAACSDDDDGGGSVHSSCCFQSSHFAYSELPDAKLPTGVSPSPSSRSRRRSSAPVTPADKNKVANAYSPQCPRTPGTPSNRDRVRRAHSPSSSESTARLFVGGSLKFPEVQSSSTHQSKEAKAEAGTVRSDASSGKTAPPPPPPPPPPPPPPLLQPACGPGVVPPPPPPPPPPLLQPACGPGVVPPPPPPPPLLILKEQNFRRNGGPPPPAPPGMSRLSAPVGKNGTALPKLKPLHWDKVRAAPNRRMVWDRIRSSSFELDEQMIESLFLYNSRLSAKHEEAQSRSPSLGHHVLDPKRLQNITILMKALNATAEQIYAALLHGSGLSVQQLEALIKMAPTKEEVEKLAAYDGDVEGLVPAERLVKLVLTIPCAFVRVEAMLYRETFADEVGHIRKSFAMLEDGCRELMSSKLFMKLLEAVLKTGNRMNVGTARGGAMAFKLDTLLKLADVKGTDGKTTLLHFVVQEMTRSQKSPTRAAEGTDIATGLAAELANVKKTATVDLDVLTTSVSNLSQGLSRIKELVGSDLSGDERNGCFVSYMAPFVSHADEVIRELEDGERRVLGHVRDITEYYHGDVGKVDEASPLRIFVIVRDFLGMLERVCKEVRGVKSFHAWNPVPSNA >cds.KYUSt_chr4.18285 pep primary_assembly:MPB_Lper_Kyuss_1697:4:114956593:114961695:1 gene:KYUSg_chr4.18285 transcript:KYUSt_chr4.18285 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARRRRRTAPAAGDDESAPSSASDLLALAITLLPASASASTSAASLKTPPHLKHTVHSLPDAHPVLLSLPQTLAHAISHDADPSTPTSPRAAAAAVLLHLLLTHPTHAPRWDDLLRPLALLHDRLTLLATNHPPLAALAAVCFELAWRGAAPGRDALVAQTLPYLFSHALTSNSNSNARPLLRRLFALRDALPLLDYADDSISDFKMLLLRCFVSPHFLKAEEGRKLLALLLGVSEGLAAEGLQLVRAQVGMMRGRRAAVVAYGEVLFRAWKDGGWVRGQIGEHFLQGMVETAVHAANKDVAKATRRILWAFVEQKAVPGVEKLVFRLCEPVVFRSLQVANSNVRLNALHLLLDVFPLEDPDVTKDVNDPLLEKQFFLLDKLLMDDCPEIRAVTVEGLCRILNQFWEVIPSPTISKNLSKIVDDMSKDSCNDVRLSTLNGLIYLLDNPQSHDILKILLPRLSDMVSDPALSVRVAAVDLLLAIRDLRSFQYNKVVGLDTLLSSLADDHPRVAQKITKLLIPSYFPSKLSLKEACARCIALIKRSPAAGARFCEFALSEGSSSRSLVEFIKLAVTLALSPSGLKSEQTDGLIIASAKLIKSLSDEGSSLVGLRECFANAKLKLLFKTAVSDNAQAALLSMVPVVSPDDLHVLHAECMDTVINAAVSSKQEECQEALLAAHKLVHLSGCSDEMFEALTNILQSKASCFSEIYGLEPPLCPVASSKRKKGKSLKKTPARSDNVAGNKSSTSILNNEELAAAGGASWQINEILKAEEMRAAFLQSYAEIAFSSLKVISQVYIEQCLHFESLDLTPVSAYLSLATHSALQDVDQTYIGCSESTTVNQSLDHLLKCYDKFANGSSTSSTTALNKNEKSAQHEHPQHGTLGENSVKGTINVIMLGTSILKFIVDTTTIKLVHDSKVRCVRFASSYTKYAVSAMKGHHESSSFNGDDLKDILILTRSSFTYAAKLLHLVLAGSTESSSPSPLEEAFLLANNLLDLVPAVESFAGPRFATPLVSVVKQWLPVLILGLGCRWLIGAENEMANMWNLGDSDLPLWVVALAKSELLDAEEAREDDQSEQGSEHEDSPSSRKLAEIMVILLKKGSPRILDSVGGILVSAIQLGLQRAQHGVVLGLTRFICAKLLGSDSSASEKLQLTCDSLRENFFEIDRHCRDNLVDDEGPRQQLESAKVLIQSVLSDL >cds.KYUSt_chr3.31206 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195905718:195908271:1 gene:KYUSg_chr3.31206 transcript:KYUSt_chr3.31206 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLQRAGYAWDNRVVFGDRMTVSFPHVAKLDTAKGTGGHPWSRGPLLSVDSASGASHDLHTQTSLRPLAMSQAIFPWCAAFHRMACDICYYVWSSDDDVNLSSEVDDKKLYMVLKPQTDMLLQYQNKHPYLQFVVYLAVKDASGFKYATVSIPIEFNSKSTPGGSSTSEAPNTAARADSTVLLPGKEMEKRRSGRGSVTAQKE >cds.KYUSt_chr2.11540 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73374003:73376655:1 gene:KYUSg_chr2.11540 transcript:KYUSt_chr2.11540 gene_biotype:protein_coding transcript_biotype:protein_coding MASIALGCHLHPAATFSAQPSNASNQQHRHCPPQRTHAAGRRCLLRAKSSNGRPQIGASFSDGVLDGQSSGAGAVVPEQEENTVSITVVGASGDLAKKKIFPALFALFYEDWLPKHFTVFGYARSKMSDEELRNMISMTLTCRIDQRENCSDKMEQFLKRCFYQSGQYNSEEGFCELDRKLTQKEAGKQPNRLFYLSIPPNIFVDVVRSASRTASSRAGWTRFIVEKPFGRDYESSGELTRSLKMYLEEEQIFRIDHYLGKELVENLSVLRFSNLVFQPLWSRDYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLAAEDIRNEKVKVLRSMRKLKLEDVVVGQYKGHTRGGKSFPAYVDDPTVPNGSVTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYRGNVGTDLDMATNELVLRVQPDEAIYLKINNKVPGLGMRLDSSNLNLFYSEEYQREIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLRELEEKRVAPELYPYGSRGPVGAHYLAANYNVRWGDISSDGSF >cds.KYUSt_chr6.19515 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122808417:122811050:-1 gene:KYUSg_chr6.19515 transcript:KYUSt_chr6.19515 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPETIGPLLTRYAAAQSLLPGAHIHANLLKSGLLATFCNHLISFYSKCRLPGSARRVFDEIPDPCHVSWSSLVTAYSNNALPRDALSTFRAMRACGIRCNEFALPVVLKCAPDAGLGTQVHAVAVATGLNGDIFVANALVAMYGGFGHVDEARKVFDEAGSQRNAVSWNGLMSAYVKNDRCSEAVEVFGEMVWSGLRPNEFGFSCVVNACTGSRDLEDGKKVHAMAVRTGYDRDAFTANALVDMYSKLGDIQMAAVVFGKVPETDVVSWNAFISGCVLHGHDQHALELLLQMKSSGLVPNVFTLSSILKACPGAGAFNLGRQIHGFMIKACADSDDYIGVALVDMYGRHGLLDDARKVFDWIPRKDLLLWNALISGFSHEGCHGEALSLFCRMRKECFEVNRTTLAAVLKSTANLEAISDTRQVHALSEKIGFLSDPHVVNGLIDSYWKCNCLHYANRVFEEHSSDNIIAFTSMITALAQRGHGEDAMKLFMEMLRKGLEPDPFVLSSLLNACASLSAYEQGKQVHAHLIKRQFMSDVFAGNALVYTYAKCGSIEDADLAFSGLPEKGVVSWSAMIGGLAQHGHGKRALDVFHMMVDERIAPNHITMTSVLCACNHAGLVDEAKQYFNSMKEMFGIDRTEEHYACMIDLLGRAGKLDDAMDLVNSMPFEANGAVWGALLAASRVHRDPELGKLAAEKLFVLEPEKSGTHVLLANTYASAGMWDEVAKVRKLMKDNNVKKEPAMSWVELKDKVHTFIVSDKSHPRARDIYAKLEELGDLMSKAGYVPNADVDLHDVDRGEKELLLSHHSERLAVAFALISTPAGAPIRVKKNLRICRDCHVAFKFISKIVSREIIIRDVNRFHHFSDGACSCGDYW >cds.KYUSt_chr5.2041 pep primary_assembly:MPB_Lper_Kyuss_1697:5:13984407:13989669:1 gene:KYUSg_chr5.2041 transcript:KYUSt_chr5.2041 gene_biotype:protein_coding transcript_biotype:protein_coding MARICLNGSGFGEQRCLNSELWHACAGPLVSLPVVGSRVIYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPEEQKEPFLPIELGAGSKQPTNYFCKTLTASDTSTHGGFSVPRRSAEKVFPPLDFSLQPPCQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIWNDNNQLLLGIRHATRPQTIMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRSSPSEFIIPLAKYVKSVYHTRVSVGMRFRMLFETEESSVRRYMGTITTIGDLDSVRWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPTAFPLRLKRPWASGLPSMHGMFNGVNNDDFAHYSSLMWLGDGDRGTQSLNFQGLGASPWLQPRTDTPLLGLKPDTYQQMAAAALEEIRAGDPSKQSSALLQFQQTQNLNGGLNSVYANHVLQQMQYQTQQSSIQTVQHGHNQYSGNSGFLQSQLQQLHLHNPQQLQKQQELLPQQQSHQVMRQQSHQEMQQQLSSSCHHISDVDSSMSGSETGSQSQSPFYQQNLLEGNNDPSLHLHNGFRNLSGQDASNLVSLPRSDQLMSPDGWPSKRLASEPLGHIESRSVQPKLENINHQTNISHFAGTLASQSARDMSSVQAYGSNVENQLFSSSFALQDGMASARGGSGSGMVSMAIPLLRYGGEDLPPADTLATSSCLGESGAFSSLDNVCGVNPSQGETFVKVYKSGSPGRSLNITKFSSYYELRSELEHLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFVNSVWCIKILSPQEVQQMVRNGEGLLSAPGARMMQGDSFDGYSGSHDLQNLTGSIASVPPLDY >cds.KYUSt_chr3.31336 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196869190:196869459:1 gene:KYUSg_chr3.31336 transcript:KYUSt_chr3.31336 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLAKATIALVILVMLFLPAAMAAASFDATRSQHLPLPRGTVRGPESVAFDGQGQGPYSGVSDGRVLKWNGDKLGWTTYTHGPG >cds.KYUSt_chr2.11773 pep primary_assembly:MPB_Lper_Kyuss_1697:2:74938013:74939176:-1 gene:KYUSg_chr2.11773 transcript:KYUSt_chr2.11773 gene_biotype:protein_coding transcript_biotype:protein_coding MACAASAAAAKPSGRTEEPWRTPARLVRRRPGSPFPPTVFPTPSQGRFDPLVELDVEDGCSVAEEVAWAGLEDDPEVLPIDKDLDREALLEDFWAKIGYPAAATRSWGRNASTEAKAVPRARSSSPPRAAAKFRRALSSSPPGRRLPRQPVRLKGWKGPLPPKRFTPPAVFGDFLDAAAKGAKLSPGDDHRPASEAVSPPSFQTETAGSSRLGPRPCWAKLGRALVGLQRGVRRVGVSVCDHAITRPLSRRNHVASASYPSSPPPLPPEHRLIRSPSSVPVGCALLPRSFAEVVASGATGPMAGPPRSVPPGDGARSFGAGSSVSGGSVAPVAGGYQGPRGSKDGREGALMPPAPAPRPGPKWGIARRSPRMPTAPFAPPQRPPYPP >cds.KYUSt_chr3.45333 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285626502:285631858:-1 gene:KYUSg_chr3.45333 transcript:KYUSt_chr3.45333 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDEIARLGVLVSKVDRPVQPLLPRYATSIMMSGLTEEEALRRVLQNSAWQPPPPTQPPPPAYNPWVTPPPPQPPVGCSTSTSTTGVGCSTSTADGTDIFVLIVVRLKLLEAVTVSCVCSGCQFTVATFLSTIGYMVNACRRQEAQPHLDTFLSLTTMLPVDRIMEQSQKLIMSNKEECGKMHELAHRSSELEPEMHAFDSMSKHLDEADSKSSPHRRNYEQEKGQKEKQLDLLFDLVDQANAKQKLELEIKQLHSKLEAMKHKGSEDSKSKKKVVEQEREDHQDIKPIFHTLMIKEPDANDELQLARKALIHGMQDLTTPRANLGIKRMGEIDLKSVENACKYQLSKHDNLRNHAALLCARLQDDISNPLWHPFKVILVDGNEMEILNEGDEKLRALKQKHGGEVYDLVTKALRELNEYNPSGRVPVLELWNRKENRKASMKEAVEYIMEQWRNIKLKRKRTEDAESIRDDMTH >cds.KYUSt_chr5.42713 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269040106:269042295:1 gene:KYUSg_chr5.42713 transcript:KYUSt_chr5.42713 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKRCFPTVATSTDQYSTLWTTSLPGDLLLLIAWRVLAGDFLDYIRFRAVCRPWRDGTVSPHGRSLVDPRFHPRRWLMFPEGHGLHPGHNKLRGHLRFLNLDIGIYVHVKLPHFNNHCIIDSVDGLLLLQRDHDSAVLLLHPFTGDLAELPSLSSLQNKMQRYMHNAQYYHLNDEHDSWFFSRIGMVASISCDANAAIITVMILFHRVFVVAFATTEDTQWNVPSWFIPPVSSPLSFRGSIYVVVQMPIFDVSMIGGSLVFRIDPPPQAGSPPPLPELVATCPPDKLFCGYHLVVCGSEILVVGFTDNSYMDILMYKLEDIMLERFVPVTSIRDRALFIFNQRSLSVSTKAMPTVTAETVVCIDPKTNHDSQYHLDTRTWSLPVDEHGIRGYRPVINTSKKLVDRSTRPNQGA >cds.KYUSt_chr4.54952 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339394407:339395725:1 gene:KYUSg_chr4.54952 transcript:KYUSt_chr4.54952 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEVPPAETMLGAAPAPAPAQAERCGEEALAAFRARRKKERETEPEESRTDVNAYEAHLYRVFWNNMFAGECGSYEDTTSIPPMCFTGGSRSDFVHERDTMQIFSIKVEAIRGGLQWPLNVYGMVAARDVVDHNRNIIFHRERDNCQRITEEDPYLALTGPTRAILLSVDPTYVEVDLKVKGALKLEDKHLSSLALAYRNDGPSRSYMMHRNNTTSKLSKLELAVAHIMDSVEATIRVEVINGDWPRGFRGIFTANTASMDDMKATLLAFGDGRLPMDGRSKVVKLSRRVVSVELRRPEMEKGKKPKLKISAQALRINKENDMWRHGLVFTPEQAGKSRGTLKVGSCEMEVTVAWSLLSTFKCSYDRRG >cds.KYUSt_chr6.22159 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139984743:139986429:-1 gene:KYUSg_chr6.22159 transcript:KYUSt_chr6.22159 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHQPGLAPTCSQSRADAAAAARHGVEELACIRTEAEEEGQQQRHFLHPLPCAKDDDVLLQLPPSTWRLHLLRARRKSEEAELDGDDDINVQVGGRAGKRKKWRESKVGDDPRRLGDASTRRSSSGADSAVPCRSSLRDAPACTARSTQGNALNLPKDPWAIFILGRLSAARAEGLLRTMAMILDMRSPQPNGQKAAIMELDMVITDLCTRCIDLVGICDIPLCSRNATFMLKAMTIVC >cds.KYUSt_chr7.29912 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186214618:186229901:-1 gene:KYUSg_chr7.29912 transcript:KYUSt_chr7.29912 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYNAWLPPPVAEAARAEPPAFAAAVRAAQAAWRPGADPDPAYATLKWISVFDLFIKAKSDVAPGDVHALVELGFGIFHASRDKFVVQIKWGGLLVRLLKKHVKRLSLDVQWRPLYETLIRTHFKRNMGPEGWKVRQQHFETITTLVRASRVFFPEGAAAEIWSEFRPLLENPWHNSAFEGVGFLRLLLPANSRNQDHYTMDWVAECLDIWDSVTNCNFWDIQWASIIARSIKNSRSLEWENFLPLLFTRYLNMFEVPISGGNGSYPFPVEVPSNTRFLFSTKTRSPSKAIAKSVVYLLKPKSLALEQFEKLINFLEQFYHPSNGGRWTYSLERFLRYLVFYFERRLQDEQFYTMDEKNKQLCLGKEERVSFIKVVLKLLDRGQYSKDDSLAETVSIATSILSYVEPSMVLPFLATNFQLALETTTATHQLKNAVTSVAFSGRALLLSSLCSTQSDDSSTVDTLNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATVGVSDDVPAFLQSSSLSDWLDEFFCRLFSVLQNLESSSPISEGYQASIMSGTFLVEDSPHYFCMLEILLGKLSKALFNQSLKKIAKFVNSNILPGATSEVGLLCCACVHSYPEEASVYLLKPILMTIMSSFEGTPTTGYVGRAVPNNTATKATLSPALETALDYYLRVLAISISYAGPALLNYREELKHVIMSAFQAPSWKVNGAGDHLLRSVLGNLVSYYPLDQYKPFSCHSIANIIEPWGCSKAHQGSEAEMLKFSPKWHDPSQDELSFASELLDFHFQSALEDLLAICQAKVHSDKGDEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGRSKVVEPSFFIAGSSGSTVGSSEMREKAAELVHLACRYLLKDRTDDSILLALVVRVIDALANYGSLEYDEWSSHVQAWKLESAAIIEPPCNFIVPFHAQGKKRPRWALVDKAHLHNTWRCAQASYQRYRTNADVSPSSIMVTMVNDLLDLSLHNYETVRSYAGRSLTKLLKRWPSLISSCVLILTENLRDSKAPEHVVLGSCSILSSHTVLRHLTTDSLSLSSFIMGILESSHHESLKCQKAITELFVKYNIRFSGISRGFFKSSESQPDKPGFISLFSQINALGFETNSLHWRYNLMANRVLLLLILASRSEPDIYSQILAETAGHFLRDLKSQLPHSRMLAISALNTLLHGTPDKASVKDSQQPLDHLEESSILSTGNFLNDIIQEEGFMSDTLTSLSHVHIISDNDGSSKASYGASSFQSGSDKAMTYFYFDFSASWPCTPSWISLVGGGTFYSSFARIFKRLIQQCGMPVISSLQIALEEFLSSKERSRQCVAAEAMAGMLHSDVTGNLESGSDWLMLQLQKIVLAPSVESVPEWATCIRYAVTGKERSGTRAPALRQRVLDCLCTAVPQSIATSVLAKRYSFLSVALIEISPRKMSPAEEQYHVKILNELLDNMSHSSAQVREAIGVAMSITCSNVRLSGSFGPSCSSGELCGDVSMTEQTGNEYWSKRLTDGATELSVRIQNNIQSKQLELASDLGTENGLDNKDDADAKRMETIFHFMIASLKSGRSSVLLDVIIGLFYPVLSLQETSNKDLSLLAKAAFELLKWRIWQRPFLETAITAILSSVNDPNWRTRSALLSYLRTFTYRHTFLLSGSEKSQIWQTIEKLLVDNQVEVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILDTRRRTPKSGHPVATIHGAVLALTASVLSVPYDMPSWLPGHVTLLARFIREPSPVKSTVTKAVAEFKRTHADTWSIQKDAFTEDELEVLRDTSSSSSYFA >cds.KYUSt_chr7.7143 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42995635:42999790:1 gene:KYUSg_chr7.7143 transcript:KYUSt_chr7.7143 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFQRKHSELVEEEHIDEASMKMLQIKHPELIEEERTDEAYMEMLWTKHQEPVEEEHAAIARIVLAMRSRGGLQLRPRQQSLILAAVVGVLLLATAARLSEAKLSRSYYASTCPNVEALVRGVVTQKLQETFNAAPGTLRLFFHDCFVRGCDASVLISGPGDEHSAGADTTLSPDALDLITRAKAAVEARCANTVSCADILAIATRDVVSQAGGPLYPVELGRLDGKVGTKAVVKHSLPGPGNNLDQLNKLFATNGLTQTDMIALSGGHTIGVTHCDKFVRRLYPFKGAKPQYSPPMNLAFLRQMRGTCPLNYSPTTVAMLDTVSPLKFDNGYYQTLQQQKGLLSSDQVLFADRRSRSTVNYFAANQTAFFDAFVAAIAKLGRIGVKTGSDGEIRRVCTKVN >cds.KYUSt_chr4.53809 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332890869:332898165:1 gene:KYUSg_chr4.53809 transcript:KYUSt_chr4.53809 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPVTAATAIGWGVKALGWVASPVISELYKKYSSLLSFDEAEKLRQLAPKILLLERVVEVVEESPHRSRLEPLFADPKSALYEAEDILDDVEYSRLKKQVQDFNKSEHLHKKLKSAMSSLVGKNKEVGMSKKELKTSLENIENITNEAHQILKSLNLSDVSKGNEKEPIATTSPTTATSLVKVIGRDEERKKIVAMLHEKAGHGQINTESGLCYSVVGIHGVSGSGKSTLAQFVYAQEEKEGHFDCLMWSHVSKKNSVGNIYRQMVLALAAQACDEKRKQLLNTASLYENHEGCFLGSLQDKLKRELSGRRFLLVLDDVWCSKDVVEQNQAQLLSVLEGGKRGSKILVTSRNEDAFSDLGPDVRYITVPIGQLDGSTFNQLFMYYALGEGGDGSTLRHIGESIAEKLKRSPLAARTVGEQLRKRQNVEYWRRTKDRDLLNETMGALSWSYQHLNEQLRRCFAYCSMFPKGHHFRRNELVKLWVAEGFIRSTNGGEEMEDVGQDYFDELVSISFMQLGKESWGEDCYVMHDLVHDLAERIAGSDCYRIENGSRVKVPPGVRHLYVDTFDAEEADVETVELENLRTLIIKNVCYTKNSMKILKTMFGRLRKLRVLNIRFINPYLAQDLVSIIGLLKHLRHLTVKIHSGPSTRVTLPASFKKLHQIQTIDFGSVGFFRLSEGEDKINLVSLRHVETDKFWNVVNIVSSTSAQQLGSLALSEEHGHNIEQLRDLNKLRGRLSISRLGIVKSKKEALQAMLADKQRLIGLALSWDTEDTHSRHRYDKNRCSPEVKLEVLEGLCPQKDLEELILIKYSGPRYPNWMVGRKNGGPNRLQVLDLRGCSLLGLAPVFEVFTELRVLSLRGCDWVTLPDTMVHLKSLKKLSICHFPNIEYLPVLPQSIEEFELKRCNEVFTRSCQTIENPNWEKIQHIHKRDINMKGVMFDDDGLIIGERGRNLTAERFTWRRQSDDF >cds.KYUSt_scaffold_719.569 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:3914354:3915961:1 gene:KYUSg_scaffold_719.569 transcript:KYUSt_scaffold_719.569 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLPLCAMEMDWFFVLQFFMSSLCLLGIILHRYRALQKTNKTKQRRRRPLGLTQWPIIGVVPAILSNIHRIFDGVTGLLALSDLNYQCRFWFAGFRYFITCDPANVRHIFTSNFENYPKGDAFAQMFDILGGGIFNSDGERWRRQRTKAQMLMTTPRYRAFVERSSLEKAEKSVLPFLAHVADTAGASCDLQDVFMRWSFDTTSNLVYGVDPGCLSIGLPEVPFARAMDDALRTVFLRHIIPMTCWKAMRRLNVGHERKNAAAQRTADSFVAATIASRRAAYQKQGADKSAADLLSSFICDEEISDDPDADAYIRDMTLNLLVAGRDATSSALSWFFYLLATNPRVEQKLLEELAPIAAHKKTSIGSMVAFEAGELKNLLYLHAAVCECLRLYPSLPMEHKAVVARDVLPSGHEVRPGDKILVFNYSMGRMKRVWGPDCREFKPERWISDDGKLRYVPSNKFVAFNSGPRTCLGKEMVLVQMKVTVAAVAWNFAVEVVPGHVVEPKLSILLHMKNGLLVRVKRRSEVVMSKQQ >cds.KYUSt_chr1.5967 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36694497:36695942:1 gene:KYUSg_chr1.5967 transcript:KYUSt_chr1.5967 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSVTRIMSLGHLDLTILHKLLALSPGSHMKFRKDPPLMEAETLVPNSYRKFRKDTLLMETGTVVEILDRKFREDPLLMETETVVQNSPELPLDVLMDIFAQLEIPDFIRAGSVCSAWRSAYTILHSQLVQYKRRQTPCLLYTSESVADNVACLYSLAEKRAYNITLPDPPIRSRYLIGSSHGWLVTADDKSELHLLNPITGQQIALPPVITIEHVKPILDDAGAISKYELWDDLDVEIHDLDKLRDCLYFRAFVFPDPSTGSYIVVLIIFPYRQLLFARVGDCKWTLLPPGEDYEQCIHMDGLLYAFTRTGQIDAFDLTGPTFPRNVIADEMENHISGMDGLMYVVQAPCGDLLQVCRGAEVTEDILVETDKIMVYKADMSAKKLVKMNGLHDHVLFLGRSQSQCLSAEQYPQLKTNCVYFTDDDTYISRYKNDRRDIGILNLENGGREEIASKLWCNWPNPIWITPNITRMNMGVYK >cds.KYUSt_chr7.8883 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53993683:54001827:-1 gene:KYUSg_chr7.8883 transcript:KYUSt_chr7.8883 gene_biotype:protein_coding transcript_biotype:protein_coding MLECYYRKEKGHRKRNCSKYLADLKSGLVKKKKEARLVAKGFRQIQGVDYDETFSPVAKLKSVRILLAIAAFFDYEIWRMDVKTAFLNGDIEEELYMVQPKGFVDPKNADKRDIKVIQEWNNWTAVKNIPKYLKRTKDMFLCYGGDQELVVNGYTDASWNTDPDDSKSQSGYVFILNGAADKLGKLEAMHGGEVFNGIEYIAASEASSKRSNENTTKPYRESLPAKLEDDVHSTTSMLSFGVAFQERAEKPIVSRRRDESGTQGKEEAELTCLGAAAMRILRRGEHETVENKLRNVVDVRDVADALLLSYEKSDMSGRYICNSPPVKVSDMINILKTLYPTYTYPKSFTEVEDNMIFSPEKLQKLGWTFRPLEKTLRDSVESYRASGVLN >cds.KYUSt_chr6.1279 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7989917:7991307:-1 gene:KYUSg_chr6.1279 transcript:KYUSt_chr6.1279 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISGSPRWDRRWRRLRKVHAWLFHSKDSVAGNCKTGPSFWGQIAATFNSTSDPARNRTSKQLKDHWNAYNKEVSLFNAYHIQEEALRQSGADDAMVMKAAMARYANEKRVTQPFRRHHWCEAVRNEAKWKGQHGPGSGTDSTAKRSRLGVSGEYSSSEATTEEERPTGRDRAKAATRKDRRKGKKSSSSSEVASKSFAMKNMWNGLVKAKLLKQWNKMKDRSTADMNEAEKRKHAKAIQMVEKEIGLEDEDDDNEEEEED >cds.KYUSt_contig_1358.22 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:107469:111364:-1 gene:KYUSg_contig_1358.22 transcript:KYUSt_contig_1358.22 gene_biotype:protein_coding transcript_biotype:protein_coding MELEASAELQARRPPWRRTVAVQAALCLALYAAFSLGEPQLRPRGGDALGNGGRAGGGVSFITVAGGARPPADQARLLRQGSLYFQALNIPWYSTTSHGQIVDNFLKKVKMPYDQILEIIGVDTGPLQLLCAASCGYLNLRVVVHISAVAGTTLSDTVLRRTGQSAGLGTYLSACCTRSPTPSKMGSAGSFAGERSRLGKE >cds.KYUSt_chr3.33392 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209659433:209663293:-1 gene:KYUSg_chr3.33392 transcript:KYUSt_chr3.33392 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNYGDTIPLNASSAQSDMDEIESLMYDAPSATATVLPARPPSPPRASIPISSSPPPPSSKPPLPTSSIPIFVPQAPPSAANAPPASVSVAIASDGFGAPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPNREDPGKALRDWDLWGPFFFIVFLGLTLSWSATVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMLKDNVILKTIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLIRRNLENAREDTGDLEQKQKDPSRKEKLQTRPCKIFLPPTTRPRPAAARGRRRRPRATSKPNNRGRTIANNWLCESKKVPAATSEAQPLWRVDRGIPRASSATKPAAPVEHVDGATPDPPPRATNLAPRHHVTRAPAQAKPTPATKLLQKLPDPQAESEETLPRRRRIRSTKAQGLADAATLPAKPEHTTTRSAPGDARQGLA >cds.KYUSt_chr1.7822 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48088456:48089968:-1 gene:KYUSg_chr1.7822 transcript:KYUSt_chr1.7822 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGRPRSGRERGQGLVTDPLESVTHLVVNLRDTKSGDQNEYVSLQLELANVSPDTIVETTLKFLIYDQLFGKHHEQQVSHNFETGSTCSGTSCMIPLAALKERSSGFLVNNSCVFAVEFITVVVAKANDTLEALFVQKTNNVCSEPQVYTWHIEDFFVLKNPSCSPEFELCGHKWCIRIYPSGDDDTNGNYLSLYVVRNGPDTLDENLAYLIEQTISIKTQETGKGLAKKGRIEYSNKCTCWGWEKFISLEDFKDPANGYLVKTKCCIEVEVAVIGSSKTK >cds.KYUSt_chr3.1884 pep primary_assembly:MPB_Lper_Kyuss_1697:3:10858398:10860730:-1 gene:KYUSg_chr3.1884 transcript:KYUSt_chr3.1884 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISKGGQRLQITCFPNYVLSWRYNFEKDLDELIQYHNFYSNVSPNYASRYWKKASSKFLARRGSSKVQSGSSTPGRSASSNKLGVIKSIMIELKVEEDQREVSA >cds.KYUSt_chr7.20485 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127020273:127027621:-1 gene:KYUSg_chr7.20485 transcript:KYUSt_chr7.20485 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIVSASMGAINPLLSKLSRLITEEHAKLKDRERKEVIFLKEELGCMVGLFRGSAMVEDPDVQVKEWMRQVREVAYDTEDWLDLFLHHQLKREQNFLGRLSHKARSRSKRLVEQIRQLRDRLVAASERRTRYKLVDGGMPSASEAAVDVFEASSVAIDPRLLHREEAAPVAIDGPKTELVKLLVDDERHLRVVSIVGMAGIGKTTLAKQVYRSMMMRKRFNCQAFVYVGQSPSVRAVLMDILRQVLPQTQEEGGCSSGMPGSGEVQQAIAQLRDFLQDKRYLIVFDDVWSTSAWKAINCGLPANSAGSRIVATTRNHDVAKSCSNYPDDDVIHVMEPLDESDSKKLFHSRIFGSEEEKCPEDCSPVHVNVLQICGGLPWAILVLASLLARKYVEPGGWNMVNRSTLARLEQYPPLKGLRKVLHMSYADLPLPMKSCLLYLSVFPKNYTINKDRLIWRWVAEGFIPSKGEENTLETGRSYFNELINRSLIQPVLADDGDDEPTGCTVHAAVHDFVVSLCSEENFVTLGESMQRDVIRRLSLNYAKQEDGPLASDMGDVKINLSKVRSLTVFGFAQRMPHLANFLLLRVLDLEDAEKLESKNIEDIGRLFNLRYLGLGGDHVTELPDSIGELESLETLEARRTTVSRLPPTASRLRKLARLLIKLLDDIPDGLEKMQALQELSMINVNSRRSLDLMAELVKLRQLRALGLKWCFRDELHGEIQTYRSDFVSSLNKITSSSLESLFLHSGDACSLDFLKDAWVPPRLLQKLVVKSLNYYFPATPVHIASCTNLTYLEIAINLSREEDLSIIANLPELTTLKLFAKENPIIIRHGFLCLKVFWFDCRGCGLNLVFKEAAAMPQLRKLHVSFKLLAQHQAQQGFDFDLHNLYALKQVHANIDCDGASVSDVVSMEDAIRKNVSMHPNKPALELSKDNYIEEKVTTDENI >cds.KYUSt_contig_2197.37 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:203609:217869:1 gene:KYUSg_contig_2197.37 transcript:KYUSt_contig_2197.37 gene_biotype:protein_coding transcript_biotype:protein_coding MPHTAHTACRIGAADLVVHTAAATTLCHRSLTMVLHQLPAWPRRARSELHHQPDTNNRRHHQPSGTSRSGLRSGPQPPGPEPRSGPRRTQIWAQRLRTLLLVGAIGERGRLSSSLAAGRPPLRQMPRGFDFWFGIDLNEPADKDFAPGSNDNEAGSSHAPPVWESTPDQGFVPRSNSNAAGTSHGGPNWENVDATGPFGPYSAGQSSDAQVDPKDTLYRGTNAGSGFQIGVTLPSEDSGEEGEVQSTPEGFSPKTPFLGMKFYTWEAALSHYNRYAHHVGFSVRIESSRKSTKDGEKDKCLFACNKMGNNSLPPTPVKIRNRAITKLADCKAKMRIKRSGARWEVTLFVEEHTHEFVEKFALKKFLRSHNKIPKEANKFIDLLSDVNLSSGRIMEIMAELYGSKQNVSYSTKTISNYSAQHSEERKIKDIPELLKYFEKLKEDDPRFYYDYKLDDDNRVENIFWVDGAARDVYKLYNDCISFDTTFMTNQYNMPCAPFIGINRYGQSIQLGCGFLRNENVANFEWLFRTFLVAMDGFHPLNIITDQDVAIRTAIEMVFPDTIHRNCRWHIMQKVQEKIGPMAAKREDLRIDFNDVIDYSVTEEEFETSWAEMIQKHDVVDNDHFKDIYDLRKCFVPAYLMKRFFPFLQTIARSEGFNAILKQYINPRESLLSFFMQYMKLQEKIDSAEDGHDFMGMDKVLELRKITSYNARDCGGGVFEVFPVQVSEKTIEIADKHMIAMSKELAALKKANAAALKRRKSKSVATEDISDSSPYTVFINIFPGATESANCPRNPSKEIFSELDEMNAQDLIFPRSFQKSERETKWGDEAPTQQGGAAQALAARPWRVGPSWRPLTYPSAYISLHRFHVGAGIPGVAPHYTPPPSTFNVLLGSYWTNVDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYQNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRNTPPGIEAMLKEFISKQTALNKSVEEKLEKIDILASKVDSLAADVDLLKSKVMPNENKDIKSFATSNAIQVRINENIRLMAELRARWEKEENAKEDNIAKVWTITTTSNANAPHVAAPPTINGKIIGVGNVSTSNAKPAKLPETVNTRIFKSECLLCHTS >cds.KYUSt_chr5.20618 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133936144:133937599:-1 gene:KYUSg_chr5.20618 transcript:KYUSt_chr5.20618 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSLVKWFHTAPRSQDDDNCNVDVGDRMFFAITNQCPSCLTVSTRPKSEEEKERSSRTVYCTNIQKTVTPDELINFFQIYFGQVSHVRIMGHENHATKIAFIEFMEASGAIAALSSSSIYVQGLIIRFDP >cds.KYUSt_chr3.7295 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42267887:42269514:1 gene:KYUSg_chr3.7295 transcript:KYUSt_chr3.7295 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQYPKFLLYGLLILGSWLISCFLHFQFLHIYLLSANPNSGATLDVVLPLSVPIALNASFLPLAPSPVGADRDGALPPATAPSSASCEGRYVHMLDVSSRFDVLSACVEGSPAFQDEGSMCSLMVNAGMGPELPPATGNGSDGDTGIIPNTGWYNTNQYALEVIVHNRMRLYECLTDDPAAATAVYVPYYPGLELQQHLCDRNFTVRNGLSSEFLGWLSSRPQWAAFGGRDHFMVAAKTNWMFRQSAAVKCGNDFLDHPESGNMTVLTYESNAWEPLDFAVPYPSYFHPTSAGEVAGWQERARAAERPWLFTFAGAPRANGMLVIRDRIIDSCTSSSRCRLVDCSHDKTCKSPRRVVSAFGASRFCLQPNGDSYMRRSSVDSVMAGCIPVFFHEASTFKKQYRWHHPDPDSSDGEERRYWVLIDPDELLEEKVDIEEVLARYTDEEVAAMREEVIKMIPRFLYEDPRVRFKGDMRDAFDVSFDEVMGRMRRIKNGQDLG >cds.KYUSt_contig_528.204 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1308244:1311166:-1 gene:KYUSg_contig_528.204 transcript:KYUSt_contig_528.204 gene_biotype:protein_coding transcript_biotype:protein_coding MSLETSVQAKVYGKEAHIPNESVLVVRRAEDNIGKNLIQQFDEESDDDVEDINVGKEVMVSSKPDLPVPPMAWKEKKQWGPVQATRMSSRIPRDGKSVIEKAQDLKKEKNLEIPKGNKTLGFSNSFATLDNHLLLDRAKNAGISLGVKTKNADSVIDKIKEGEIKRLEDFHLSNPASFLPKDISLSMEELRAGLEDENEMVDEQDDHLSDVPDENESWTLVRSRKIDDFWDPEDMVSQEHNAMLNADFSEKEVKDAIFGSYAEGAPGPDGFSFLFYQHFWELIRADFMTMVKDWNEGKLDLYRLNFSLLTLIPKEADAVTIQKFRPVALTNCSFKIFSKCATNRLETFQSRRHREAKIWGTGVSVPARCRSGEVPPEGFSIDTAAISTAIFITAAAPMRRE >cds.KYUSt_chr4.16972 pep primary_assembly:MPB_Lper_Kyuss_1697:4:105758144:105759922:-1 gene:KYUSg_chr4.16972 transcript:KYUSt_chr4.16972 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLTAPTSVSIHRHTPRPSTGRPNSVRVTARAVSSAPSGAATRAPVPSHFSPAKPAIAAPKAPAPVRRDDKKKLNLFQRAAGMALDAFEEGLIANILERPHGLSKTVDPAVQIAGNFAPVGETPPTHALPVTGRIPPFIDGVYARNGANPHFDPVAGHHLFDGDGMVHALRIRNGAAESYASRFTATERLTQERALGKPIFPKAIGELHGHSGIARLALFYARAACGLVDPSRGTGVANAGLVYFNGHLLAMSEDDIPYHVRVGADGDLATVGRYDFAGQLSCPMIAHPKLDPATGDLHALSYDVIKKPYLKYFYFAADGTKSPDVEIPLDQPTMIHDFAITQNFVVVPDHQVVFKLQEMLRGGSPVVLDKAKTSRFGILPKRATDASEMVWVDVPDCFCFHLWNAWEEPATDEVVVIGSCMTPADSIFNDSDVPLQSVLTEIRLNTRTGESTRRPILAPADQVNLEVGMVNSNLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGDLTKFDYGEGRFGGEPCFVPMDPALSRGEDDGYILTFVHDEVAGTSELLVVNAADMRLEATIQLPSRVPYGFHGTFITASELESQA >cds.KYUSt_chr6.30060 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190534164:190536233:-1 gene:KYUSg_chr6.30060 transcript:KYUSt_chr6.30060 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMAAAAAAALLAAVVAITAAEAALVEHTFVVRQMHMQHLCKDTLVTLVNGQFPGPAIEATEGDTVVVHVVNESPYGITIHWHGVKQRLNCWADGAGMVTQCPIQPNATFSYGFNLTGQEGTLWWHSHVSSLRATLHGVIIIRPKSGAYPFHKPDMDVPIIIGEWWHKDLTEVDKVYLNSNDNDPAAATINGKLGDLSNCSGKAEESYVLEVEPGKTYLLRLVNAALYSEYYFKVAGHSFTVVASDANYLRPFSTDVVAVAPGETMDVLMVADAPPCRTYHMVALAIEAPEPDPQLPLYISRGLVRYKNIDVQCGSNQGAVMPEMPDHHDTTTTFHFHGNLTGAAPGHPLLPQVRGPVDEHLYIALGQGSVCRGNRTSCKRGGSSESMLVAYMNNVSFHLPDKVALLEARYRGDASLSMVEELPSRPPPRAFNYTDPALIPVAPGGKEEAIEPTRKATTERRFRYNATVEVVFQSTAMMQSDSNPMHLHGHDFFVLAQGHGNYDAARDARSYNLVDPPVKNTVHVPRLGWAAIRFVADNPGSWFLHCHFEYHIAPGMATVVVVDNGPTPETTLPPPPTHLPKCSKMQE >cds.KYUSt_chr7.30813 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191819504:191822113:-1 gene:KYUSg_chr7.30813 transcript:KYUSt_chr7.30813 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAGPATERFPESSSAPLLPTKQLAVPSDEAAAFHEFNGASFPGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVMIVLAAFLTDASIELLMRFSRAVGAPSYGAVMGDAFGWWGRRLLQVCVVVNNVGVMIVYMIIIGKILSAMLCCLGDLVSSIQNDSLSYTSAVSVALAVVFVVITAGIAIVKLIRGQIPMPKLFPEVPDLASVWELFTAVPVLVTAYVCHYNVHPIHNELKESSQIKPIVHTSLALCSTVYITTSFFGYLLFGESTLSDVLANFDSNLGIPYSSVLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFSSARPLSSDNTRFGVMTAVLLLVIFVSANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPHSIAKKWDKILAVFMIVLAVTSNVVAVYSDAYSIFHKKSAPSQA >cds.KYUSt_chr1.26167 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157415067:157416451:-1 gene:KYUSg_chr1.26167 transcript:KYUSt_chr1.26167 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHESPFKELRLKNRRIMGGGAPEPDEDETETQAQVAAHGDEHQQQWPRWLRPLLSARFFAQCKTHVDSHRSGECNMFCLDCAAGAAAGAAALCSLCLAHAHRGHHTIQIRRSSYHDVIRVSDIQRFMDIAGVQTYVINSARVVFLNERPQQKQTGKSAGGGGGGGSANLCEVCSRSLLDNFRFCSLGCKIAGCSSDAGGAKARTNRLGRTKGSSESEAASSSSSLRNAANHSFTPSTPPPPPPPAAKRRKGIPHRAPFGNLIVDY >cds.KYUSt_chr3.12312 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73517611:73518219:-1 gene:KYUSg_chr3.12312 transcript:KYUSt_chr3.12312 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEASAGGAVAAAPQSKAWEWEGRVVSAVPAATAEEAWALLSDFLAFHRWHPRVLICRLASGTAAPAAGCVRYCEGTTPDDGTPADWAHETLLEHDQARRFFRYEMNDNNMGFGAFFAAFRVVAAAAPAAGCELRWEFQCEPVRGTPKEALVARLQAGLDGMAARVREHVLSARAAAAAGPSVAAGLEAADELRLDNSIAV >cds.KYUSt_chr3.21208 pep primary_assembly:MPB_Lper_Kyuss_1697:3:130020810:130024411:1 gene:KYUSg_chr3.21208 transcript:KYUSt_chr3.21208 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKYRLGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGISNVKWFGVEGDYNVLVMDVLGPSLEDLFSFCNRKLSLKTVVMLADQMINRVEFIHSKSFLHRDIKPDNFLMGLGKRANQVYMIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSIEVLCRGYPSEFQSYFHYCRSLRFEDSPDYQYLKRLFRDLFIREGFQFDYVYDWTILKYQQSQMTSVPPRAIAAAAAQSSGMAPITSNSRLLVLQFDFFGSVRRILKATCCF >cds.KYUSt_chr3.14892 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90557897:90569127:1 gene:KYUSg_chr3.14892 transcript:KYUSt_chr3.14892 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLPLLPRRISMAALARASDIFPVFVGAATAVPAADAAASSGVVGWKGACFYENEAWLEFKNDSGTAYGGGTVYIKGHWTKALDYIFPRFLPLEPPCTPSLEASVLVKFLRVHHLFQKLVCCKFSRDWRPMWAKAAKIVGDLANRTPEFKDRLLLPDGLMGPQNVLPIGFGMPSSSHCSQELSPEIFAKARDDWFQIIFGASVTGNSQPQIGKCPQPQTQSPVPNWRPSKMMTRTRAVVCGQELQLSVPGEYPCVRRFRYRRLLTFLRLQGYGSTFVAMLKQTNYYFCVFHLARLVSQGLWNEALDYIFPRFLPLEPSSPPSLEASVLVKFLRVHLLFQLEVASKISQDWRPMWARAAKIVRDLANRTPEFKDRLLLPYGLMGPQNILPIGFRFCNLPDYVKF >cds.KYUSt_contig_60.342 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2010623:2012010:-1 gene:KYUSg_contig_60.342 transcript:KYUSt_contig_60.342 gene_biotype:protein_coding transcript_biotype:protein_coding MVERTRWTRSVAAALAVPGSTIFLEPPTDGAIWKEGDAWLLLVSTTGRRLMDGRRQCWCFKEPNEEVDRRRKGPFFPQDSDFAAPVGSGGGYGSLMTKFSVVVSSQVDSFAAEPFKGNPAAVCLLEEEGAATAANERWLLSVAAKFNLSETAFLVPDSSQ >cds.KYUSt_chr4.21757 pep primary_assembly:MPB_Lper_Kyuss_1697:4:137011166:137011732:-1 gene:KYUSg_chr4.21757 transcript:KYUSt_chr4.21757 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAVMLLVLFMALAAFSEAGQMRHGSRALARGHRRAADPDAPTHLHFYFHDTVSGASPSAVRLAGPADPSSRTFFGMVNVMDDPLTEGPEPGSAAVGRAQGLYMATDQAQLGFLQAMNLVLTSGPYNGSSLAVLGRNCPLADVREMPVVGGTGAFRFARGYAQARTHWLDLKTGDATVEYHVYVMH >cds.KYUSt_chr3.28334 pep primary_assembly:MPB_Lper_Kyuss_1697:3:176768119:176771382:-1 gene:KYUSg_chr3.28334 transcript:KYUSt_chr3.28334 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGHWPISRRHGRPIAPLTPTIVACCAKTSAPSSLVAVATRADRRRVNVPKTRKTYCKNKECGKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQRAIKRCKHFEIGGDKKGKGTSLF >cds.KYUSt_chr5.3763 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24309061:24310140:-1 gene:KYUSg_chr5.3763 transcript:KYUSt_chr5.3763 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVDRFQELKAFDDTKSGVKGLVDAGISTVPAIFHHPPGSLALDNTHDHHSGIPVIDLAGAWTPSRRAELVSEVKSAAETVGFFQAVNHGVPEAAMSQMLAAVRSFNEEPVEAKRVYYTRDNGRRVRYQTNFDLFQSPAANWRDTLYFEMEPNGPTAEEIPPACRGVVTDYARSVRTLGGTVLELLSEALGLHRGYLEHATEGLEGLNIAAHYYPACPEPRLTMGTTRHSDPSFFTVLLQDGVGGLQVIVEGEKLQPVWVDVSVVAGALIVNIGDFLQLISNDRFKSVEHRVVSKSVGPRVSVACFFQSHGAAVYSPIIPEGDPSPQLYRSTTVEEMLQHFREKGLDGSSTLQHFRI >cds.KYUSt_chr4.34993 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214954238:214954726:1 gene:KYUSg_chr4.34993 transcript:KYUSt_chr4.34993 gene_biotype:protein_coding transcript_biotype:protein_coding MGELGSLQLPPRRLPHWVLLHVAQVFHAADEGVIHFLAVQATRNPSALGTPCWTEQERSMAEQCAEVLGGGGVVGGEDGGAAGSCTGGEGHGRMRDDAGMRGGLDDAGLDERMSGGGAKEDTVGKKRSARWRRDWSAGRGGGEARWRRGEEYRPARSRLDRA >cds.KYUSt_chr2.6557 pep primary_assembly:MPB_Lper_Kyuss_1697:2:40973494:40974040:-1 gene:KYUSg_chr2.6557 transcript:KYUSt_chr2.6557 gene_biotype:protein_coding transcript_biotype:protein_coding MERFGNALGTLAFTWATVVLLGGYPTVLRPDRDFLFAAIIIFLEALRHQGFGSRQNFFPEGARDSRFPR >cds.KYUSt_chr7.14167 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87633931:87639759:-1 gene:KYUSg_chr7.14167 transcript:KYUSt_chr7.14167 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMRLAGQEGGVGSLIKDLEEPAESVLFRMDIVGEPKNAKQRGVQAVETFKNYIEEGKLNGWVLDDCGDCSVDQGCLVDNNDHPIDVDAYIYSDNPYIIDYKERLINAQECTHNLQEPVEEEVDEPESSLDEKEEEIDEPKSSLEEESDEQKEEEWISYLICVQHASVGNPKRKV >cds.KYUSt_chr5.28230 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178702425:178716093:-1 gene:KYUSg_chr5.28230 transcript:KYUSt_chr5.28230 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVEEARDEDLALLLSESDQEAENKGKMEAMSSFNKAKLCIVEKNLDKGLDKGPLSTTKLRSLSGGSSLFMVQRNMTIRRLSFGKWKTWFHLGAGIPGVAPHYTPPPSTFNVLLGSYWTNFDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRNTPPGLEAMLKEFISIQTAFNKSVEQKLGKIDILASKVDSLAADVDLLKSKVMPKENNNNNIVTTANAIQVRINENIRLMAELRARWEKEENAKEDKIAKVWTITTTSNANAPHVAAPPTINGKIIGVGNVSTSNAKREKLPETAKTAEIACDKTAEIFSNIGDNDPIALDYNGLDFDDCHISEVIKFLQKLAKSPNASAINLAFTKHITNALIKAREEKLNREASIPRKLEDGWEPIIKMKVNDFDCNALCDLGASISVMPRKFYNMLDLPPLKNCYLDVNLADNSTKKPLGRVDNVRITVNNNLVPVDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEAISADLHAVAADMRAAAVLTSGLPRHLPLAIAAELQAGPPHGALILSIAHERFWASRFAAASPFHPAIAIASELQDGALHGASILAIAHGRFGAYRFAATIFFKTYVDDLGEAMRFMAMSMSWQYFIQAELKLSGAND >cds.KYUSt_chr6.29537 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187210866:187214313:-1 gene:KYUSg_chr6.29537 transcript:KYUSt_chr6.29537 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSYIPVMLIMATSLLLIPFMAVSSPAPSNDTDLAALLAFRSQLSDPLSILRNNWTSDVSFCHWIGVTCSRRRKGRVAALVLPDIPLQGELSPHLGNLSFLHEINLTNTALAGSIPADIGRLSRLRYLDLGHNNLTDTIPSTIGNLTALQFLVLNFNQLSGGIPGELRNMHSLRYLSLDRNYMTGLIPNFSFDSMPAISHIYIQNNSLSGTVPSGIGSLLMLQVLRLNYNQLSGPVPPNIFNMSRIVDMRLADNENLTGHIPGNTSFSLPMLQILDLYKNKFKGQIPLGLAACKHLQILSIPGNLFVDVVPTWLAKLSQLTVISIGGNELVGQIPAVLSNLTMLRVLDIAVSNLSGEIPVELGKLMQLTYLHLSFNQLTGPLPDFLGNFSQMSYLSLMSNQLTGPVPSTLGNNRFLRLLDIRDNHLDGDLSFLASLCNCRQLQHLDISNNPFSGSIPSYFGNLSTNLLVFEADKNNLVGGLPATLSNLSGLLAISFFDNHLTKEIPESISKLENLQALGLSGNSIVGPIPEQFGMLRSIIRLELQDNILSGSIPDGVSNLTMLEYLYLSYNHLSSTIPSSLFYHSNLIALDMSHNSLTGTLPSDLSHMQNMDKLDLSSNLLSGSLPNSFGQVAIPLTYLNFSHNSFKDLVPDSFARLTSLATLDLSSNNLSGTIPNYLANFTYLSYLDLSSNRFEGQIPNGGVFSNITLQSLIGNVGLCGAPRLGVSPCVDNSRLTSGRQILKFILPVAIIGAGVFVACLYLMIKKKNKKQPHVTASNGMADLISHRLVSYHEIARATKNFSEDNLLGAGSSGKVFKGQLDDGLVVAIKVLNMHVEQAVRSFDAECQVLRMARHRNLIQILNTCSNLDFRALLLQYMPNGSLEAHLHEENREPLGFTERLDIMLGVSEAMDYLHNHHYQVILHCDLKPSNVLFDEDMTAHVADFGIAKLLLVDENSLVSASMPGTIGYMAPELAFMGRASRSSDVFSFGIMLLEVFTGKRPTDPSFVGESSLRQWVLQAFPAKLIDVLDVKLHQGEQMRQAFHHQKYTISPSSSSIGYNANFLMSIFEIGLECSSDPVDQRPSISEVVMRLKNIMKDSSAFMAATRIVQQRH >cds.KYUSt_chr1.42315 pep primary_assembly:MPB_Lper_Kyuss_1697:1:259051280:259053993:1 gene:KYUSg_chr1.42315 transcript:KYUSt_chr1.42315 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPTAARAFAFPAKPSSASSFSLPRAASSSSGRNGRAFTDVCAIFTAETSRPHYEEDLRRNRNLLQRLSMLPCGATAWLSLAQSAQSSEGAKLNMVYEVGELFELGIQLSYLLILLGLLGTGTFYVIRQVLVRRELDLSAKDLQEQVRSGEGSATELFELGAVMLRRKFYPAAIKYLQQAIQRWDRDEQDLAQVYNALGVSYKRENKLEKAIKQFEKAVELQPGYVTAWNNLGDAYEQQKDLKSALRAFEEALLFDPNNKVARERRDDLRSRAGMYKGVPVKTTDKR >cds.KYUSt_chr2.30214 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185998274:185999314:-1 gene:KYUSg_chr2.30214 transcript:KYUSt_chr2.30214 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLVAPRHFALRPARSQVKAAIMDSEIEYELPGLIRVHKSGFVERLQGTETSPPSPSGDLATGVASKDVVLGDPTSKVSVRLYLPSNAAAEPGKKLPLVVFYYGGAFVVSTAATLIYHRYAASLAAAVPAVVVSVNYRLAPETPLPAAYDDVFAGLKAVVAACGPDGAEPWLATYGDASRIVLAGDSAGANLAHHTAIRLRKEPIEGYGDEVRGVALLYSYFWGKEPLGGEPADAAVRGRFERSWEVACDGKFGPDHPYINPFSAPQEEWRQLGCRHVLVTTGELCWFVERSRAYVEAIKACGWDGELEFYETKGKGHVYFLFNPDCEEAIKDLAVVADFVRRC >cds.KYUSt_chr2.49729 pep primary_assembly:MPB_Lper_Kyuss_1697:2:311161803:311163406:-1 gene:KYUSg_chr2.49729 transcript:KYUSt_chr2.49729 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSNPLLSDRVARSKKVPSSHVRAVGDRPDLANVDHESGAGIPLIDLKQLEGPGRRRVVEAIGSACENDGFFMVTNHGIPEAVVEGMLSVAREFFHLPESERLKCYSDDPKKAVRLSTSFNVRTEKVSNWRDFLRLHCYPLESFVDQWPSNPPAFRQVVGTYSTEARALALRLLEAISESLGLDRGHMVKAMGRHAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRDGRWVAVNPVPNALVINIGDQLQALSNDRYKSVNHRVIVNSASERISVPTFYCPSPDTVVAPADALVDDAHPRAYRPFTYQEYYEEFWKMGLQSASCLDRFRRIE >cds.KYUSt_chr4.16731 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103960474:103964521:1 gene:KYUSg_chr4.16731 transcript:KYUSt_chr4.16731 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHPHLNDTLGTHFHRCHPRARSSTALPRQLFPKKQFKVGKARRRPCRLPDPANLAAMAATAPLLLALALAALATLAAGDNNPAYDPCSDTRIQRGDGFTFGLAFAGSGAFFSGSTQLSPCDRRLNLNSPSQLAVFRPKVDEISLLTVNTTTGFSPASAGGYMVAFAGRKYAARSLPVFVSNSSVTVSSFTLVLEFNKGRLQNLHWKKDGCGACSGKPNFICLGKQTCAIRTNICKNNNQGSMDCSVGIQLAFSGTDKHEMVLNSWYEVSNLQQYSLYGLYSNVKGSLSGQFDKFF >cds.KYUSt_chr7.40541 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251733320:251733619:-1 gene:KYUSg_chr7.40541 transcript:KYUSt_chr7.40541 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEDLGGDDSARRHGLGAPPTAISGLGCSTLAARAPPSAHRYGVGRPSPPLLLVENLVGYGGAMALCLLQLGPARSGMSIVSNKEGKVWLIISSLKLL >cds.KYUSt_chr2.47023 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294060896:294067803:-1 gene:KYUSg_chr2.47023 transcript:KYUSt_chr2.47023 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLNGRTAELSNLDINGDALHASLGLPPALAVERARVGKLQITLPSVSNVQVEPIVVNIDKLDLVLVEKDDSENLSSPTSNASTPSSAKSTGYGYADKIADGMTVQVGIVNLLLETHGGARRQGDATWSPPLAAITFRHLVLYTTNEKWQAVNLKEARDFSNSTGFIYVFKKLEWQSLSVDLLPHPDMFADARFNSSSSQDNKRDDDGAKRMFFGGERFLEGISGEANITLQRTEQNNPLGLEVQLHITEAIVPALSEPGLRAFLRFMTGVSACLNRGDLDPKSQQHAEAAGSSLVSFIVDHIFLCIKDAEFQLELLVQSLFFSRASISDGESSKNLSCIKVSGLFLRDTFSRPPCTLIQPSMQAVLQEPLPIPDFGQNFCPPIYPFGNQFLEFAAGIPLFSLYCLQITPSPSPPKFASKTVITCQPLMVTLQEQSCLRIASFLADGVVPNHSATVADSSINSLSFSLKEFDLSVPLDAEEIAKCSGTKTACSQSAFSGARLHVEDLYFCESPSAKCTLLNLDRDPACFLLWEYQPVDASQRKWVSRASHLSLSLETSSTSKEQRVVRDPSPNSLQCIELDDIRFEAAMVTADGSPLLDLPPPEGVVRIGVAFQQFTSNTSVEQLFFVLGLYTYFGQVGERISKVSKGNRSGKDSEASVDNFEKKLPSDTAVSLTMNSLQLNFLEYLSAGNLYMPLVQFGGEDLYLKVSHRTLGGAFAVTTNLMWKTVSVNCLEGESATINENGTAVTGEHNIGVCENGHPKMRAVFWVDHRSKHQVKDAQFIDINITHVIPYDMRDMECHSLNVSAKVSGVRLGGGLSYTESLLHRFGILGPDGGPGEGLLRGLNDLSSGPLGKLFTPSHVTDKEERISEDNDSNSKFDLGVPDDLDVSVELRNWLFALEGTEEVGDWSSPHSGDHISREDKCWHTTFRNLHVSGKSNDRPNLGGAEKILDRSKFPVERFTAGIEGLQAIKPHLSNKLIGNGKLNNNQSGVGFNNANAIGDQGVDVEATMVLGEDEIEGSKWMMDNVKFSVKEPIEAVAKKEELEHLAMICRSEADAMGRITAGILRVLKLDKSLGHGTIEQLKNLGSGGMDNIFTPTRLSRQNSFGSVGTPRTPRLNPLTTVGAKETLEAAIASLQSDISESKARCASLVSHSTSEDDDKSLAAEDIRQLSDRLESMQSLVTRMRTLI >cds.KYUSt_chr2.33083 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204162148:204163204:-1 gene:KYUSg_chr2.33083 transcript:KYUSt_chr2.33083 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRDVGGAAKRASSSSHSTMSFKSPARQLLSSVPLTEKTTDPHNGLIPGLPEDIAEICIALVPQRHFPAMGAVSRRWRSFIGSREFSTIRKEVRKIEELVYVLARKSGANGSRWEVLGEHKNRAIPPMPGLTKVEFGVVVFYGKLYVMAGYTAVHGKDYVSDEVYQYDARLNRWSTLAKMNFARRDFACTEVNGIIYVAGGFGSGGDDLSSVEAYDLQQNRWTLIGNLRRPRWGCLAYGLNNKLYIMGGRSRFTIGNSRFIDVYDLSHPCWEEIKRGCVMVTFFR >cds.KYUSt_chr6.18899 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119021250:119021693:-1 gene:KYUSg_chr6.18899 transcript:KYUSt_chr6.18899 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRGEAGGEVEVDEHDVAGALDEDVVRLEVMVHDAEAVKVLEHQHQLGSVEVDGSIGEGLPGLAPAEGVQVPTGAAVDGEANDGVGGHDAAERGEERVVEREEDVTLEPDPPEAVATHRGGLVARGGAGHGLEGHMLVAEAALACG >cds.KYUSt_chr7.31852 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198241515:198241907:-1 gene:KYUSg_chr7.31852 transcript:KYUSt_chr7.31852 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALGGDAASMVAVGLVWGATNALMRRGALVWDRRSRSLPAGSGAIRRWADLLLTWQYSAPFLANLSASATFFRLLGDAPISVAVPVTNATTFAATAVAAALLGEDTRAAPAALGTALIVLGVWVCIS >cds.KYUSt_chr2.48053 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300359293:300360933:1 gene:KYUSg_chr2.48053 transcript:KYUSt_chr2.48053 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGPDRISALPDELLHHLLSFLRAHELVRTCAVARRWRHLWKSAPALRVTGVKGCLNSGWFVNFVRTLLQRRDASAHLDSFELDLDERDFDFVKPFLPANEWHVNGWFQLAVACGPRVLLALRTTSGIYENPGDYETLELLNLPLISRHITRLELQMVSVRGRTLNFSGCPALADLKMEECDIMGSISSRSLKRLSIILGNFRTDPFRARICLPSLVSLELIGAMRRAPVLVESMPLLVSAIVRLDSTCVDSCPKSDYGDCHDRQCYGCYGAGAYDRRGQSVLLNGLSEAAELELSVDSQVFVINRDLKLCPTFSKLKTLLFSEWCPDIASDLNVLSCFLQHSPILEKLTLQLSEVPKVPVGTQRSYTPSKQYFAGSHLKIVEIKCDEDDARARKVMNILSTYGIPLKKVNIQINKKTSVS >cds.KYUSt_chr4.44838 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277496042:277500589:1 gene:KYUSg_chr4.44838 transcript:KYUSt_chr4.44838 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGALSGVKRSIGGAPILYTDRVGADGCRTRHTPRSGGCKVGCGPPDMASSDPKENPRKWKHTADLWPSNFPEGTEQARCWCGDLCVSKRCDDWDAKHGRRFWMCPNYAHDKAKPRNPYDYPPSPPPLCQFVKWIDLEQSASHKEEVAYEEGRKWNYMFNLIREEEREKKMKIRLEKQRLEKEKKEQEEKDLHEMTLFEAQRTPRFKVRPRKGPKRFTPSDFDKKKATVQEEEDGDVSQDDIDGSTEEEEEVMAAKIRRTTRRRKN >cds.KYUSt_chr4.40444 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249548284:249549841:-1 gene:KYUSg_chr4.40444 transcript:KYUSt_chr4.40444 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRAEKGKTAPPDDDEEEDPSVLQEKLYRTRLELQRTLAVSADADETICRLAAVARRVKQERDEAYVKWNALMAELQARRNAQAMMAALASGPPGAAFSPSSCYANRAFVFPAPFGRPTTTQEQYYARATGASYCNASSSSRTSGQDSFDPNTFLVDAAEGDSVAPAKATATGSDAPGMAGKGKSSRSELGLVAEQILRLSRKKEEVAEAPAHDDDDDAGTSAEETVADSESTEVGGSVDEKEECCGGGGCSLGDVVSSAGKKRGDDA >cds.KYUSt_chr4.11898 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72294772:72296175:1 gene:KYUSg_chr4.11898 transcript:KYUSt_chr4.11898 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKTATAPRSRPDAAAPLHLVFVPFLARSHFAPLAAAAAAAATCGGNGTTSAVIITTPHFAALAPPSVLVRMVPLRFPGGHADFSLLPDEASAAAFFAAAEDALAPALAETILNNSYRPVAVVSDSVLHWAPRVARRCGVPHVTFHTIGAFAAASMIAVHLHGPEALSDPAVVPGGFPSPAKLHGVHVNEEALAHLPLFRAAEAKSYAVAFNSFAALEADFAEYYRTVDGSSKKVFLVGPRRPGTPRSEAAAGGAQRDPILRWLDGQAAGSVVYACFGSTCGLSADQLKELGAGLRASGVPFLWVIPTPTEGIGMEERASGHGMVVAGRWAPQAEILAHRAVGGFVSHCGWNSVLDAVCAGVPLATWPLRAEQFLNEAFLVDVLRVGVRVREVASKEDVEAVVPADAVATAVGKLVGDSEDDEAAARRARVRELGVAARAAMAEGGSSCSDWARLVGELRALHGHE >cds.KYUSt_chr6.1009 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6399202:6404870:1 gene:KYUSg_chr6.1009 transcript:KYUSt_chr6.1009 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRPPHRRPRLSTRRGGKYDHINVLPDDLLRIIISRLPLKDAVCTTSIGRRWRHLWHSIPLVLKDGHLPEPERAAAVSRVLADHPGPFHTGHLNHCRFDSLSPELAEWPRLLADKAVQTLFFVNRKPSETQAHPHPRLPADILRCASLEDLFLSGWTVPDHLSHSPLAFPCLKMLNMLNMVMSDQDLDYLLAASPVLETLVLACSIKGFHIRSQSLRSVLVFPLGDFTVVEAPLLERLIFMRPQQSNARAHPVTVKIASTTNLQKLGYMEPMIHKLQINGNIIQVNLGMRLLQYVSMRQPDTVACPSTVVPAVRTLALKVNFCVLEELKMVASLLRCFPNLSTLHIQSVMSDPPESATGGEHHAQFWREASPIQCLRSSVKRIIFHKFHGHQNEFEFLKFLARDNANALESLLLVSPKEKLLSEDEVNEMIDKLGCTWFTAWASEVLLLSPEVENYWSPTKACNLTVNDPFC >cds.KYUSt_chr6.30329 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192142344:192143907:1 gene:KYUSg_chr6.30329 transcript:KYUSt_chr6.30329 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEPAAAKPKRESHGTTPMRPQSCGRDDTDGDLISRLSDDTLGTIISLLPTKDGGRTQALSRRWRHLWRSAPLNLEVHARPQDFRRSAVPPSAVPKIISQHPGPARRLSFTCLRAGDLSERWFRSRALANLQELSIAYEHHGSAPTGKIGHPLPLSALRSASTLLALKVSNCDFPDENAPPGLSPASSANSIGTIKCLALRCSNHRLNAVLDVLRWLPCLEKLYVSFLDCYLRQTDKHEYDTLHPIECLQTHLKEVVLKLYSGYEQQVDFARFFVLNAQVLNKIEFEVCAAYSSELVAQQHKLLQVESRASRDAQFEFRATCFYIDYHLSKHIHDLSAGDPFRQP >cds.KYUSt_chr4.41559 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257160530:257163344:1 gene:KYUSg_chr4.41559 transcript:KYUSt_chr4.41559 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKPYWIPSGTRAVQERYYRNALRYLARYRGRYYRSRTPYLGTVVHRQTKGKSGPGLAASDVMAERLRIPGLSSVVVPAKPWTILSIHST >cds.KYUSt_chr5.41068 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259161112:259166387:1 gene:KYUSg_chr5.41068 transcript:KYUSt_chr5.41068 gene_biotype:protein_coding transcript_biotype:protein_coding MADFALGLTKTAVEGTLSRIQLAIDEESKLRVTAKQDLRYITAEFQMMQSFLKVANKERANNEVVRTWVRQLRDLAFDVEDCVEFVVHLDSNSAFVWLWRLVPSCMAPPRTRDLDRAVAELKQLKVRVEDASQRNTRYNLISDSGSLAKTTTPTEQAALATANPSAFHMLTEVWEAAGKRRGMTNLDKLIMGEGSALQIISVWGSTAADLGITSIFSMLYGDPEIRRAFKRRAWVKLTHPFNPHEFLKCLLTQFYMSSHQENIDVDHLTKAELMQQVKAHKYLIILEEVSSVVDWDAIRVCLQDNENGSRIIVSTKQLRTALLCTGGTYQVSELRRFTNDQSLCAFAKKVGRRSGMGDLVWQIRCRGVISVWGLSDGKSARINEVFNSIVYKCNQFEGVEFQKHSWVDVPVPFNLDVFSRRVLVSFRSKDLQAEEIAMVGMMGEQSLTQECYKFLQEEDCLVVINDLQSTHDWDLIKNAFFSKPIKGCIIIITNDSSVATHCAEKDGVLNIEDLEASAMLRPLIKGCQNYGVEGNKATQRGRGYFFSTRLGETREWFAHFWFSKFGDTHSEQMNQLKINLSNPGVTSLWGPDDADDLRAKKAAAVGMMEGQDPIQKCTKFLREYKCTVVIEGLQSTDDWDLIKATLLSYPITNRIVVITNVATVATHCVDKGDRVIKMNCLEADAAPCPPIKGCASRVFSNRSAEARDLINTFQLVGGQNQSAFEIRCSLFFLAPSVSSVWGIAGIGKSAVIFIQMILKLRKRQQLVSWKGKIRFKSVEE >cds.KYUSt_chr4.4441 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25435230:25440778:-1 gene:KYUSg_chr4.4441 transcript:KYUSt_chr4.4441 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGEAGGGRPEYSIIVPTYNERLNVALIVYLIFKHLRDAKFEIIIVDDGSPDGTQDIVKQLQQVYGEDRVLLRARPRKLGLGTAYIHGLKHASGDFVVIMDADLSHHPKYLPSFIRKQKETGADIVTGTRYVNNGGVHGWNLMRKLTSRGANVLAQTLLRPGASDLTGSFRLYKRSVLEDVISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGISKLGGSEIVGYLKGLVYLLLTT >cds.KYUSt_chr1.36561 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223079832:223085690:-1 gene:KYUSg_chr1.36561 transcript:KYUSt_chr1.36561 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLHGQSTYLRPPLTGACSAHAMVGENFLYVPAAAPGRSLLSRAMAELALAAPRGARPRHPIAELVSAGTSPWSPAPDLAELALAAPQGARPRRPIAELVGDGTSPWLDRRNGRAIRSSHDASLHGYLGEVEDTPGRKVALLDAGAILSLPMLFLHGVVLFPEANLPLRLIETRLVASVEKALRHVDAPNTIGVVLMYRRPNRRYYSGASVGTTAEIRQLGRLDDGSVNVKARGQQRFRLIRHWQDVDGVSEIAKRSDVVAMSSDGPLGTYVNPHGFVHATITVNNATGLALEGSPSKVHSWFPGYAWTIASCAECGSNIGWLFSTTKKHLQPKSFWGIRSSQIADIVEEEQGKE >cds.KYUSt_chr2.16425 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103318396:103318738:-1 gene:KYUSg_chr2.16425 transcript:KYUSt_chr2.16425 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFNQQQAPVTAYPPPQANYVVVAPPAGKTAYLPTAPPPPPGYPGNYDVAMGAPQPAKTQSRGDKGFLEGW >cds.KYUSt_chr4.4600 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26411303:26414111:-1 gene:KYUSg_chr4.4600 transcript:KYUSt_chr4.4600 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPAEAEPEVPSAEEEDEGEEAAAEGPEEIVPIGAQKHDPAWKHCLMVRSAGRVRLKCAYCGKHFLGGGIHRFKEHLARRPGNACCCPTVPADVQAVMHRSLDEVAAKKMRKRSLAAAMPLSYTTAPSPSNGDVASPIHMVPLNQVLDPRAAPQLGETPPSEMGWSADTATATKRRKKALAVRRAPATPPLAPQFQQQPAPQTHQTGMVALDADAAGSDKDKACMAVGRFLYDAGVPLETVNSVHFQPMIDAITASAKGGPEALSYHDLRGSILKKSLDEVTAQLEFYKGSWTRTGCSVLADEWTTDKGRTLMNFSVYCPEGTMFLKSVDATETVTSSDTLYELLKSVVEEVGEVNVVQVITKNSEVYAAAGKMLGETFPTLFWSPCSFLCIDGMLEDFSKVKAVSDIIGHAKAITGFIYNNAVALSLMKKYLHGKDLIVPAETRAAMNFATLKNMYSLKEDLQAMVNSDEWIYSLCVLPKNPGGVETSNTVNNLQVWSSWAVVVRATEPLVHLLKLVDSNKRPAMGYVYAGLYQAKAAIKKELVRKSDYMPYWNIIDQRWDKQMPRPLHSAGFFLNPLFFDGIRGDISNQIFSGMLDCIERLVSDVKIQDKIQKELNMQQYKAKAFDPISVDNIDIVDDWLVDRSALSSGPTEQRNWMDINQPFNWVETAGLGDEFESFIEGVADEMVQGASQGTQDDDDDDDDDNSKDEPCL >cds.KYUSt_chr3.8733 pep primary_assembly:MPB_Lper_Kyuss_1697:3:50790664:50791542:1 gene:KYUSg_chr3.8733 transcript:KYUSt_chr3.8733 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKPNPQPPAATNGAGAGGAPKMYQRPIYRPQGPAKGRRGGGGRSSCRFSCCCCFFWAVLVVLLLALVAAVAGGAFYLLYRPHRPAFTLSVARVNKLSLSSSATAPALTDSIDFTLTAKNPNKKLVYLYDDFTVTAATAANAVPLGEATVPGFAHEANNITVIKATVSAAALGVDPTAASSDIKKSGTFAITLDLETKAGVKVGGLRTKKIGIQVHCDGIKVSAPAPAPAKKGIKLTTAKAPAKSPAVVEAPAPSTAAAADATTSPPAATTVARVCQVRIRVKIWKWTF >cds.KYUSt_chr3.30143 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188603548:188609730:1 gene:KYUSg_chr3.30143 transcript:KYUSt_chr3.30143 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVRNETRTRSNLQAQPGSTRGFRAGLPMPSCSRNEGQIGTAATDPATAAGVSGDHVLTGHLPLSSRPPSPPPHAVIPAPTDRTAQNPSHHPTAPSSSPFPTFPRMLLASLFSRNPSLRFLPAAVRAARAAMSASPPAELAPRAAVTVEYAKSARSACKGCSAAIAKGALRLGAHARDPRAGYDTTKWYHVACFPASSHPLGPLDKLPGFLWIKESERDELRALAKNNESDGTELGPSEEPSAKKAKADPSSPEAAVADKGTVSVEYAKSARSTCKGCNVSIAKGAVRLGVAAHDPRGWDSTKWYHVACFPASSHPLGPVEMLSGFDSIKDEDRVELQELEKNNKRDQAAVGPLEGPSPSKADLSSPESVVAEKGTVSVEYAKSARSTCKGCNVSIVKGALRLGVAAHDPRGWDSTKWYHVACFPTSSHPLGPVEMLKGFDSIKDDDRLELQELEKAAVGPLEVPSPEKTKSCISLPEVEVAEKSSPGNKGVGTVIPFSASHVKKEYKDATLPAHWKAFETVIFREQDDGLRASAKIAAFDFDGCLAKTSVKITGADKWSLQHKSIPSKLQSLYNDGYKLVIFTNESNIDRWKNKRQQAVDSKVGRLDNFIECVKVPIQSATVVHDIGNTTSCLLKIT >cds.KYUSt_chr6.21861 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137937710:137940564:-1 gene:KYUSg_chr6.21861 transcript:KYUSt_chr6.21861 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGAAIQVPFFKQFYNSVGKPDYQVLRAAFVQRHYPNRPDFDFHKYMVRALEHDFKEIVGISWYLWLFVIVFLLLNINGWHTYFWLAFLPLILLLIVGTKLEYISTRLAQEAAECPDEATANPWNKPAKEHFWFSNPRVVLHLIHFILFQNSFEMGFFFWVLATYGFDSCIMENKSYALPRLAIGIVVQVLCSYSTLPLYAIVTHMGGDIKLQAFGEHVHVSVHSWATDVKKKSTSLPAHTHAHAHQHQHPHQHLRIPFLSKKRHSSRRGDAEEAAAEARAAQHLAESSSMPTERPQPIDDLEEIVTTTEEDHRRRHG >cds.KYUSt_chr2.39830 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247217254:247223941:-1 gene:KYUSg_chr2.39830 transcript:KYUSt_chr2.39830 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYGPDDEDDGAIRWGVHELPCRWSSSSICIGYLPRKLELISPFESMPPSRPPPTPNALGLLYHFLASPSAAAPPPLPALLRLHAAAVTSGLSSRPDFAAKLVSAYSSSGRPGLAALAFSASPCPDTFLWNSLLRSHHCASDFAAALSAHRRMRASGARPSRFTAPLAASAAAELAALPVGSSVHAYSVKFGLLYGDGSVAVSSSLVYMYARCGSVGDAVKLFDEMAERDVVAWTAVVSGCVRNGECGKGMGYLVQMVRLAGDGGARPNARTMESGLEACGVLGELSAGRCLHGYAVKEGIGDSVLVISALFSMYAKCDMTEDASILFPELPEKDVVTWTSLIGAYCRRGLAGEAMELFQEMGESGLQPDEVLVSCVLSGLGNSGNVRRGKAFHAVIMRRNFGDSVLVANALISMYVKFELMDMAGTVFGMSHQRDEESWSLMVVGYCKAGLDVKCLDLCREMQCTYRDEFVCDINSLVSTISSCSRLGKLRLGQSAHCYSIKRLLDESSVDNALIGMYGRCGKFDIACKIFGVAKLRRDVVTWNALISSYSHLGHSNDALLLYDQMLREDVKPNSATLITVISACANVSALERGEQIHSYVQNMGLGSDVSISTSLVDMYTKCGQLSTARGIFDSMLQRDAVTWNVMISGYGMHGEAKQALELFNEMEGGRIKPNSVTFLAILSACCHAGLVDEGRKLFTRMGGYRLEPNLKHYACMVDLLGKSGHLQEAEDMILAMPIEPDGGVWGTLLSACKMHDNFEMGLRVAKKAFASDPGNDGDNPENLDQNAADQLVSPVSMEKAIWDAHYLRFFCDICMEEVNANNRDGGYLSRKGYKNLEDKFAEKTGKRLTKKQFKNKWDSLKKEYTGWMELLNATGLGWDPETKTMDADNDWWQTHLLYRPEHGKFRYGPPANLTQLDVMFNKAHVTGESSAIPGEEETGADKEAAIPVDDDDDASKKTPNFVKRLKFGGGEKEKESPFYRDYKTALTSLVSFGRGDAGGSSGMGDSVPTMKEFLSMVRECGIKEGTDLMFTASKLAVKREHRELLAAFETSEGRLDYLQRMHNEMNK >cds.KYUSt_chr6.1623 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9915801:9918202:1 gene:KYUSg_chr6.1623 transcript:KYUSt_chr6.1623 gene_biotype:protein_coding transcript_biotype:protein_coding MIMCERDQSFPWANELFRDPFASTGYYGPPNGYNDGYYCDHHYTRDASHPDETHLHSSALTLKLYELVEHKVKGDDQLYQTPDHHEFVREQIISQLKSNRDAYDGYVPMAYDEYLDKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVVKSNKVVLLSFWAEVHYNSISPQNGE >cds.KYUSt_chr7.3898 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23221781:23224028:1 gene:KYUSg_chr7.3898 transcript:KYUSt_chr7.3898 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRTSPKIIFRRRVVVVSLLVQNPTAAKPKETTAEPAPGVTAREARSRRRLHRLAAFVVAALPEEILLWEIFVRLPVKDILSCRAVCRQWRSLTSAPDFILVHHRRQPSLLLLTLKFSKDPIYMPSYGKAYSKADIDRIFEQAYGKVRTDPIFERGHLLTLYGTASTNPILEHDYSSPGFKIHASCNGLLLLSLSDAYCSDGSFSISNPATRQCAPLPCLTAAGCINVVAFYYHHPSSEYRILYWKGRHQGHLNDGYYILTVQQGSLPRCIGVPSHTPGTEKVMLALNETTTTDLAPPVAFRNCLHWDPGYQAGMLVFDTVVESFRLMRRPADAATSGTCLCDMEGSIGFSCFAGWWREDVKIWVLEDYDREVWSFKYHVKFPVGILSIHSDRQYFVLSHKGDVLVYSPGLLYMIHCDNTGKLIEEFQLNSWSKSIIGPWVKESLVKPDFYLDSVHAFCC >cds.KYUSt_chr3.28655 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178870090:178874069:-1 gene:KYUSg_chr3.28655 transcript:KYUSt_chr3.28655 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPVDEEATGFEVGIVVPKLPRAAAADGGEHCLALLVRELEDVGLLVDRVRGVPAEFIKLSAPMGTLGRAAAEMQMKKLTYIGMELQFEWDQVAAFVTQPDGSLFSWRERFTCFRYLIYGIVNKMDSDISLKFDDKEFHWKQNESLLRRLEDEGVVKLVFPLHDEIKRKQLLRNWALNWHDFTWQPIDEVYSYFGTKIATYFAFLGMYTRWLFFPAVSGLATQLIDFGSLQWLVLPAFFIFVISWAVFFLQFWKRKNSALLARWGINYSIAEYKASANELDPLRHPQSVECVEEKKFDDAPAEKRRLQRNEWSGVLLRIRNNAIIVLGIICLQLPFELAYAHLYEKTETEAVRYVLTAAYLVAIQYYTRIGGKVSVILIKYENNQGEQSSADSLIYKVFGLYFMQSYIGLFYHASLYRDILRLRKVLIQRLVVSQVLENLIENSFPYLKYSYKKYKAVHKKRQEKESPSGKSVRLTTRVEKEYLKPSYTASIGEELEDGLFDDFLELALQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPVPRAAATIGAWLNIFQFLIVMAICTNCLLLVCLYDEEGKWRIEPGLAAILIMEHALLLIKFGFSHFVPEEPAWVRANRVRYVAQAQTVCSKQLLRSISKLDTKWE >cds.KYUSt_chr2.38117 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235977818:235979785:-1 gene:KYUSg_chr2.38117 transcript:KYUSt_chr2.38117 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVRRTLEPRASPADILGSTRYYVFVMSGVRLDSAEHGRVLCSFVVSPRHANSQGYLLSGVTATLADQLGAAVFYASGIGSSGVSLEINLSFVDTATVGEEIEVEGKLLRAGKSIGIVSVDFRKKRTGKLMAQARHTTYLAVSSKL >cds.KYUSt_chr7.23610 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147196910:147197280:-1 gene:KYUSg_chr7.23610 transcript:KYUSt_chr7.23610 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRCALTSLPARLRSPAAVASGTRRLLSGGKGGVLKEEERAKETVYIQKMERERQEKLKKQLEKEKAEAEKAKSGADGKVCTAR >cds.KYUSt_chr3.29063 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181739508:181741784:1 gene:KYUSg_chr3.29063 transcript:KYUSt_chr3.29063 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASGLLELPNHDTQDPWSAQPAPSDLTSIPTPGYYSSTSPYDSSCQMREASQILTHVDPNWSPTAPTPIHGDHHHQFSPEYHAATRVGSSAAPLLEFPDANSPVLMEVEPVLTTSTAQQNTLSVSPTPGLFSTSTGPTTKPVAEAQARAKAAISKNMPSVLCDINQRLDEHQTQSRRYFASLQSELSKLMDMAFSLNNSMEKVISMVPSSRSRTATTPTPSVGFASFHGSTMMDASAPAVFCAVPSSTTTSATIVSAAPPYVASQAFTEIPQPYGFNNLNLQTVFRADGTKHKYFTLPANYPLERDVPKPVFVVSDDESDGCSVESVQVFAEEKQHESRQLVERFGKAQEIEQKTACMNYISDAFGFILDLQQQDDEMAGNCSVLKASNSFVTWSSQLSIDHSLRELRLLWDPGATKLPIMFMTTAGATKLPCVDIYKPTMVQILAVQFCARRVSIFGSAMKCSYAFGLGWTEVNLQQLRDPSLKVLVTHESRKEWDPGDKTVSSSALNRDTVVVLQTLMLTTNGIFTLPYVITVRVQFGLPTSVPQQSCYGRVLYSQENYGIQFLWDPGILRWRTISAQHILESGTIFSTERMLPWNQESGYSILLLHHFLLEFSMERVLSKSAVLNLMNLKRFCAQLSLQCHSWCTILDVLLHVQYYCLPIVLDCLYPEPVQQLVCSSSLFSSTAMSMSTATTVDMRLVLCSGKISQEGRDAAVLYKVLKILLPTSNTAHIWDPGIESFPFPNNRLNITELIQI >cds.KYUSt_chr4.26793 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168349795:168357046:-1 gene:KYUSg_chr4.26793 transcript:KYUSt_chr4.26793 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTRSTSSPPSRAAALGAVVPDLEEELYEIRLHHRWPGDAEARMEGEDRSARLVCGEAPLVEFDLNEGKCTMEYFLEDGIYPQWATFEKPMSKPRGLEINERPMKKAMLDFSSLSISQPSSSTAQEEPRVKKLLVQHVETVHHSGAVEDVLHSLLQSDASAKEIKSKTKEWNERMKKDNKQDQLRSAARQRHENLGRNARFAQIWKSRKGDNNETDETLREMCHLYDAVQVDSDGEKHSAEPEMTSFEENAILCNFLPLIREHLPSAAEEIESDITSLAHSEDSDVYDIYTVKEVDDADMEETSAASYPLLQVDDEDGECYNDDEYPYDTDDSNAEDNPLYDYPEEVESSEDEENSDIDASGSDYENEEVEKDDDDDDE >cds.KYUSt_chr2.8149 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51285847:51291774:-1 gene:KYUSg_chr2.8149 transcript:KYUSt_chr2.8149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial disproportionating enzyme1, alpha-1,4-D-glucanotransferase, Storage starch synthesis in rice endosper [Source: Projected from Oryza sativa (Os07g0627000)] MALARTLPLPQLASAAPCRRPGRCRLLRAMAQPQARGAAAAATTTPAAVAAVGVGKELPEGYEQMTPVVDEAQRRRAGVLLHPTSLRGPHGVGDLGDQALAFLSWLRDAGCTLWQVLPLVPPGRKSGEDGSPYSGQDANSGNTLLISLEELVKDGLLMEDELPDPLDLEYVEFDTVANLKEPLIAKAAERLLLCPGELRVQYEEFKKNPDISGWLEDAALFAAIDNSIDAVSWYEWPEPLKNRHPGALKDIYEKQKDFIEIFMAQQFLFQKQWQRVRSHAQKLGISIMGDMPIYVGYHSADVWANRKSFLLDKKGFPTFVSGVPPDAFSETGQLWNSPLYDWKSMEADGFAWWVKRIKRALDLYDEFRIDHFRGLAGFWAVPSGAKVAMVGSWRAGPRNAFFDALCKAVGRTNIIAEDLGVITEDVVELRKSIGAPGMAVLQFAFGGGPGNPHLPHNHELNQVVYTGTHDNDTVVGWWQTLPEEEKHTVLKYLPEVGKSDVSWALISTALSSVARTCMVPMQDILGLDSSARMNTPATQKGNWRWRIPSCVSFDSLSSEAAQLKELLAMYNRM >cds.KYUSt_chr7.21707 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134652545:134655950:1 gene:KYUSg_chr7.21707 transcript:KYUSt_chr7.21707 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKEGEVHGDAMKMEVEEVDGKVQDVHDMVKEVRAEVEEEDAEVEEVDAEVEEADAEEVEEDFEEHAEKAKTLPESTTNEDKLVLYGLYKQATVGDVNTRKSKEEAMSDYITKVKQLLEEAAAAGTS >cds.KYUSt_chr7.1449 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7977035:7982833:-1 gene:KYUSg_chr7.1449 transcript:KYUSt_chr7.1449 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPRSNLGFVARDMNGSIPVSSANSSGPSIGVSSLVTDGNSSLSGGAQFQHSTSMNADSFMRLPASPMSFSSNNISGSSVIDGSIMQQSPPQDQMQKRRSSTATSQPGTEAGAAFHAQKKPRVDIRQDDILQQHLIQQVLQGQSSIHLQGQHNPQLQALIRQQKLAHIQHLQQQQLSQQFPQIQQSQVGIPRQPQLRLPLAQPGMQLAGPVRTPVESGLCSRRLMQYLFHKRHRPEDNPITYWRKLIDEYFAPRARERWCVSSYEKRGNSPVAIPQTSQDTWRCDICNTHAGKGHEATYEILPRLCQIRFDQGVIDEYLFLDMPNEFRLPNGLLLLEHTKVVQKSIYDHLHVTHEGQLRIIFTPELKIMSWEFCSRRHDEYITRRFLTPQVNHMLQVAQKYQAAANESGPAGVSNNDAQAICNMFVSASRQLAKNLDHHSLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNKLGPIEGLKNYPRQTGPKLTTQNMHDAKGLVKTEASSHVNNDGPDAGPAGSTPQNPGAQNNYQNMLRSPSPNQGLTHQEASQNAAALNNYQNMLRSSSANQGLLQQEASQNAAGLNNYQNMLRSSSANQSILQQEASSIFKGPTGVHSGIQLEAARSFRAAQLGPMSFQQAVPLYQQNSFGAGVSPQYQQHVMQQLLQEANRSTNNRVLAQQQPLSSPNANGGLAITNSGASGDQAQHMNNNGAAKGMAAPMGTVGTSNLINSGAAGVVQRCSSFKSVTSNPAAAMAGNPPTPKAESMHEMDELDHLITSELTESGLFMGEQQGGGGSYSWHM >cds.KYUSt_chr4.9470 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57129523:57134727:-1 gene:KYUSg_chr4.9470 transcript:KYUSt_chr4.9470 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAFLRLPPLPLQARDGVKRALGVGGEAHSGDEESQAGGSGGTSNRRPALAAGPPGECYACTQPGVPAFHSTTCDQVHSPDWDADAGSSLVPVQPQQPHSSSSHPTTSPAAAARWLFGPVLDPRSKRVQRWNRWILLGRAAALAVDPLFFYALSVGRAGAPCMYMDAGLAAAVTALRTAADLAHLAHVLVQFRLAYVSRDSLVVGCGKLVWDPRAIAAHYARSAKGLWFDLFVILPIPQIIFWLVIPKLIREEEVKVIMTILLLIFVLQFLPKVYHSIYIMRKMQKVTGYIFGTVWWGFGLNLFAYFIASHIAGGCWYVLAIQRVASCLRAECQKTNNCNLMSLACSKEMCFQFPWSDISELACDTNLTSFGQTNLPACLSGNGAFPYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAITGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDELILDNICDRLRPLVFCGGEKVIREGDPVQRMVFILQGKLRSSQPLTKGVVATCVLGAGSFLGDELLSWCLRRPFLDRLPASSATFECVEATQAFCLDAPDLRYITEHFRYKFANDKLKRTARYYSSNWRTWAAVNVQLAWRRYRARKMATVVVIPPAGAEGTEDGDRRLRHYAAMFMSLRPHDHLE >cds.KYUSt_chr4.41044 pep primary_assembly:MPB_Lper_Kyuss_1697:4:253781995:253782303:1 gene:KYUSg_chr4.41044 transcript:KYUSt_chr4.41044 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVDEKWKFSKKSRNNGSCRRVSGGASGGDPFLKRSASTRDQAIGRRSSGGAGASSSSPASGCAASSFSSRCAGLVKEQRARFYIMRRCVTMLVCWKDCS >cds.KYUSt_chr2.47992 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300115177:300115788:-1 gene:KYUSg_chr2.47992 transcript:KYUSt_chr2.47992 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTHNTTALSDSQDLRRIDSPAAPLFSTREAYRLLSPSQPRDASACISWGLRLPSKVRIFSYLADIDRLSTRANLFAKNCAPSAICAACHLPETGRHLFFDCALAGEVWDRLGTSIPERSFSLWELQPPAGAMTHIWHSGLATILWSLWKARNDLVFNAKSSTASVVLRRAADDLTLWRWRYSIIDRGRLDALRSFLLSSAM >cds.KYUSt_chr7.29143 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181378250:181378729:-1 gene:KYUSg_chr7.29143 transcript:KYUSt_chr7.29143 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGPTGLPNSEHAHRINRGTLTFSYTCAGLTGTALLFVILFFCYKSRNRAPVAAAVAAAAETGTEGRRCVDLSKLPEYAYTLSARHGGSGDGAQCSVCLGTLQAGEMMRMLPLCKHLYHVECIDIWLVSHDTCPLCRSEVEPPEEDGKPATTTELPV >cds.KYUSt_chr5.33876 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215257855:215258397:-1 gene:KYUSg_chr5.33876 transcript:KYUSt_chr5.33876 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVWNPSAGVPRPLACLCPLPLAGAKVRQDSAAEMVRGYAPGHGRLGGHPGESRGKATSTSVPGAARRGHPPHEADMHIHRPPPPPHDLLSARTPSARALPGRTLPYCEIPLPSSSICGGYGGDRSCSSVTATSEVLRLPRYNSSPGLVAFRTALPGRARCSKPPARFWRSTTQTSYGA >cds.KYUSt_chr4.45536 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281819844:281820107:1 gene:KYUSg_chr4.45536 transcript:KYUSt_chr4.45536 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEHRFSNSPSHNRQRRRPSAFPSVSNATFGRLLLMINPRATSCLSSSCLNSRNSQRLHHISLDATPIPSFQLLDPVGPCKPKLVP >cds.KYUSt_chr3.47124 pep primary_assembly:MPB_Lper_Kyuss_1697:3:295847655:295848752:-1 gene:KYUSg_chr3.47124 transcript:KYUSt_chr3.47124 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPLLAAAALMAVAVASWSLAAAEQDGAGNLRQPPVAQGLSFDFYRRSCPRAESIVQEYVKDAVSKDVGIAAGLLRLHFHDCFVQGCDASVLLDGSATGPGEQQAPPNLTLRPSAFAAINAIRDRLERECRGAVVSCSDILALAARDSVVSTGGPSYRVPLGRRDSPRFATVQDVLSGLPAPTATVPSLLAVLRSINLDATDLVALSGGHTVGLGHCTSFDDRLFPRPDPTMNPDFLGKLKQTCPAKGTDRRTALDVRTPNAFDNKYYVNLLNRQGLFTSDQDLYTNAATRPLVERFARSQQDFFNQFGVSMVKMGQIKVLTGNQGQVRRNCSARNPGTVAGDLSWSSLAQTVGEAAAESLGF >cds.KYUSt_chr6.21751 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137274364:137274651:-1 gene:KYUSg_chr6.21751 transcript:KYUSt_chr6.21751 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDADDGGKRSKSPSPQPHTPDITEGNGSSHHVSSGDHQQQGFESNLIGEIGRDLSMNCLLGLSRSDYSRAPGSPPRPHSMQEEQRRDTSPTYL >cds.KYUSt_chr3.2504 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14342348:14349807:-1 gene:KYUSg_chr3.2504 transcript:KYUSt_chr3.2504 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQGLIILLARLLASSPCILVSTGSVAIRTVMDLPLQPVVDESIEILCLRIIDGLIIPILFDARIKLDSQDKLMMVLARWRLMLLLNSFRICCRMSYSSFAASPCISNGPTKIINGLITCLFFTFPFTFPFTLPTFRILHETITEMIKIVVIDEIIPFFIFFHYNPSFSMLGPTIIAWHETLPKQVMDFGKPRWVQTTVNVDDHIIVSRLDPAAVACDPEKAVEDYVSSLSMLPMDRSRPLWEFHFLDVPTSEATTTTVLRLHHSIGDGASLMTLLMASSLSTADPSRLPAMPPLPNRTGPIYQRRPRPPLSSGEALLAWVWSYLVLAWNTLVDGVLLLATMLFLSDPHTLFKRADGGSRSHRHMRFLHRSLSLDDVKLVKTAMNCTINDVLVGVTSAALSQYYFRKSGDINAKGICLRSFLLVNTRTVSSRQTYVTKVATGNKLSSLICPFHIALHDDPLEHVRKAKKAHA >cds.KYUSt_chr1.25431 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152546075:152547583:-1 gene:KYUSg_chr1.25431 transcript:KYUSt_chr1.25431 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAEKKAVVFRLFGVEVHGEAAAAEEEDEERFMELKKSTSMPNLTSIAPLLLPGEASNDKGYASDDEGHGSTPQLKRRRRKAHERKKGIPWTEEEHRKFLDGLKQLGKGDWRGISKNFVTTRTATQVASHAQKYFLRQTNPGKKKRRASLFDVGIVAGHSYDDQVPSYAGFTRGNNMQVDELTNHVMKRSKFSAGMSLAAMAASGLELAMASSASILELSIAPPHRSTIGGIKAL >cds.KYUSt_chr2.38542 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238957497:238958673:1 gene:KYUSg_chr2.38542 transcript:KYUSt_chr2.38542 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQGGTEMLKNVSEFELSKIVYDYYTSGTEDKWTLMENWFRPCVSVDVSSIDMVTNILGFDVYHDLSLSHEDNDSS >cds.KYUSt_chr7.40425 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251003804:251004157:-1 gene:KYUSg_chr7.40425 transcript:KYUSt_chr7.40425 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAKEKVKDGASAAKAKTKVAQAKAEEKAETATARSHAERELAHERGKAKVAAAKMELHQDKALHREEAIEHRLHKHGHGLAGGYGHHNKHGVAAAPAPAPGAGAYYPPAAGTGHY >cds.KYUSt_chr6.30126 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190939806:190940558:-1 gene:KYUSg_chr6.30126 transcript:KYUSt_chr6.30126 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAYAAQAPPRHRLSRRSCNLVLLGISITFLAILLLYLLYRRCRSRRSERRARHHDEPLPTPHLGLSMHHIAALPAFTYGAGAATPSPQRSKRRSDSKGRAAAAQCAVCLQELEHGDVVRVLPACTHLFHSSCVDPWLRANASCPVCRAHPEPERVRPGEAALPAPMQQLRPCELSPERPTSTTIFADILLRNGASTSGSNDKVMSSSPSQAPMVGGYVMSRSPSQMPLTHVLVDEACSLERIDAVVI >cds.KYUSt_chr3.37485 pep primary_assembly:MPB_Lper_Kyuss_1697:3:235620209:235622276:-1 gene:KYUSg_chr3.37485 transcript:KYUSt_chr3.37485 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRSFPWRLNTYKSGLLCLPSPVPFPPPAACNPSIDRGRCVDRSTHQPAGGGGDTPRRDMTAGSRMRACAAAAVLALALLAVAVRAEDPYLFFEWKVTYGTRSPMGVPQKMILINDAFPGPTINCTSNNNIIVNVFNQIDKPLLFTWHGIQQRKNSWQDGMPGAMCPIMPGTNFTYKMQFKDQIGTFFYFPSIGMQRAAGGYGLISIHSRPLIPIPFDPPAADFSAMIGDWFTKDHTVLEKHLDTGKTIGRPAGLLINGKNEKDASNPPMYEVEAGKTYRFRICNVGIKASLNVRVQGHITRLVEMEGSHTVQNEYDSIDVHVGQCLSVLVTANQKPGDYFFVASTRFIKEVNTITAVIRYKGSNTPPSPKLPEAPSGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLSTSRAMVDGKERYALNGVSHVDAPTPLKLAEYFNASNGLFQYNLIGDTPPKAGTPIKLAPNVITTEYRTYIEVVFENPEKSIDSFHLNGYAFFAAGMGPGLWTPDSRRTYNLLDTVSRHTIQVYPRSWTAVMLTFDNAGMWNLRSNLWERYYMGEQLYVSCTSPARSLRDEYNMPENGLRCGKIVGLPLPAPYIIA >cds.KYUSt_chr1.37305 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227983796:227984539:1 gene:KYUSg_chr1.37305 transcript:KYUSt_chr1.37305 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGEAAAAAAAAMVAGDERYCEAEDRELTVRKTTLFSPGDGLEAYDHRTGELAFRVETYGRGGVCGGAAAAGDLALLGAQGEPVLTVRRRRPSLHHRWDGFLGDGGAAQQKPLFSARRSSILGGAGSGVLVDLLAPAPAAEFRVEGSFPRRCCRVVVVAGADDEEGAEEVVVAEVRRKVDEGAHVLLGRDVFVLWLRAGFDAAFAMGIVLVLDRITGDELDGVLSDDLLEATSPVSASLASSTRVA >cds.KYUSt_chr4.51836 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321864914:321867858:1 gene:KYUSg_chr4.51836 transcript:KYUSt_chr4.51836 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLISTSVHDKLPDSYVRNEAERPRLDEVVADANIPVVDLANPDRATVVSQIGAACRSHGFFQLMLAAMAVANDFFRLSPEEKAKLYSDDPAKKMRLSTSFNVRKETVHNWRDYLRLHCHPLEQFVPDWPANPPPFRDIMSEYCKEVRNLGFRLYAAISESLGLEDDYIKNVLGEQEQHMAVNFYPKCPSPELTYGLPAHTDPNALTILLMDEQVAGLQVLKEGKWIAVNPRPNALVINLGDQLQALSNGRYKSVWHRAVVNSDRARMSIASFLCPCNSVTLGPAEKLIGDETPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRT >cds.KYUSt_chr7.16014 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99359935:99362873:1 gene:KYUSg_chr7.16014 transcript:KYUSt_chr7.16014 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQTTILEETLLQRSPEWKQEESLSIGEEVKRQLWLAGPLIAGNLLQNLIPMISVMLVGHLGELPLAGASVANSFATVTGFSLMQGMATALDTLCGQAYGARQYHLLGIYKQRAMLILTLVSIPVAVLWFYTGSVLLLVGQDEDISMEAGTYARWMIPALFAYGLLQCHVRFLQTQNIVLPVMLSAAPTALFHLPVCWLLVHGLGLGSKGAALSNAISFWINVVILAVYVRVSSTCNKTWTGFSVEAFHDLLSFFRLAVPSALMVCLEWWSYEALVLLSGLLPNPELETSVLSITLNTATLVFMIPSGLGAAISTRVSNELGAGRPQAARLAVRAVLMFAASEGLIMSLLLVSVRHIWGYTYSDEEEVVAYVAKMLLLIAVSNFFDGIQSVLSGVARGCGWQKIGACINLGAFYVVGIPAAYLLAFVLRVGGMGLWTGIICGSTVQVLLLLAITLRTDWQKEEVDVAATRNRVVDMRSGIQGINVLP >cds.KYUSt_contig_49.209 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000023.1:1474913:1475260:1 gene:KYUSg_contig_49.209 transcript:KYUSt_contig_49.209 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGSGGGGGVWVFKSGVMQLQAEQPAAGRKALRYVPTGETMSSLELLERRLGAHGWERYYENRHIVQLHRRDGGIDLISLPRDFTQFRSTHMYDVVLKNREHFKVVDVPAATC >cds.KYUSt_chr4.29768 pep primary_assembly:MPB_Lper_Kyuss_1697:4:186862729:186862977:-1 gene:KYUSg_chr4.29768 transcript:KYUSt_chr4.29768 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGSLYLGGGREHASVAGQKRKRSPAAAAAGECCGLRVKRQAGGPDYLDGIPDDLVLTILSKVAATASSPADLLSVHLT >cds.KYUSt_chr3.48181 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301502404:301508079:1 gene:KYUSg_chr3.48181 transcript:KYUSt_chr3.48181 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFGLYRECPPQWQDTDKALHRLDRQRQRKITNWPVHHSGHVAAFQHCLEATWTAGLVEIVPHDLAAFNNYLQWFHENRRIELVKHAYAEDILDDPIEFDEVAQSQHDIFARRGGSTSIASELNFVRTEIKKTADECEIMWDQSGRDEKPVGPLRHFIKNTARKMRRLANLLGCREGEIPTSSSFEEREVLYFISSYNGDLPTEVNANRAVLKNTIMAPPVSLLLLLLAAAASVHAAAQQQWPPIARPGCRDKCGNISIPYPFGIGSGCFIAEQFQVFCDDSASPPRAFLVNTSGSYQKNAEANLVPGVTKYPFVIDRETIPPSPIELIDISLATGEVRAYGAVSSYCAKSPTSQQIKLQVTVLTPSKTTHWPFTLSMERNALVGVGVSAEARLATNLYMDWPSLDSWLYTTCNSDASSNFRQAINGSCMGNGCCQVPFGGNWDFKVPLFAVSFMPMNTTPVVDPKRPTPCQYGMVVENGFYNFSSLDLYGDEVLPKKFPRGVPFVIDFAVMADDTYYPGNGSCPVEGQQAPPGYACASSNSFCANGTSSDRYLCHCKEYYEGNPYVPDGCQDIDECKDLVKNPCHGVCKNRLGGYDCPCKRGMKGDGKAGTCKEIFPLVAQVIVGAGCAIGFIVVIFLIILLKERRKTRDFYKKNGGPILEKAKLIKLYRKKDLKQVLLDSNIIGQGFFGEVYKGFLGNEQVAIKKPKISGVLEKEQFANEVIIQSQVTHKNIVRLIGCCLEVDTPMLVYEFIPKGSLHDILHDHNSNNKVALSLDLRINIAAQSADGLAYMHSKTNTEILHGDVKPANILLDDNFSPKIADFGLSRLLARDTKHTEFVIGDINYMDPTYQKEGLLTEKSDVYSFGVVLLELISRRKAVHSDTDNLLNSFREAHEKDMEGIELFDEDIAVAEDLGVLKSLVELAMECLNLQVDERPTMTEVAERLFLMGRSRQQ >cds.KYUSt_chr2.14403 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91109867:91112003:1 gene:KYUSg_chr2.14403 transcript:KYUSt_chr2.14403 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLTRRRRRPDEDVGAATALPGSIQLRAAASPPPISGMPAVVAGGDGRLSLRLSPVRGDDLGLLPRYEDTRGSSLGFDGGGGPLLRRREHTRVLANLPQEIQKLQLHSYTDTHHYQLIRSVWSSLPHECMRSMAGQRFLSFLLLLALLTTAVVGEDGTTAGAGTNEAGAEAHPPGVAFDVRARKWWPRYPPTEGLVRGSERRVPNSSDPLHNR >cds.KYUSt_chr3.28478 pep primary_assembly:MPB_Lper_Kyuss_1697:3:177705293:177705981:-1 gene:KYUSg_chr3.28478 transcript:KYUSt_chr3.28478 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLLVALILAAVLAASTDARAIHPLFLPFGEGGRRLIGIDSCDECIALCQQVHYRTLCRRLATLPAVTTPKQLLDVALRVSAAKAAMAAMRLDGAIRSGGRATGRAMMSSLQTCRESYASLVDSLKTSRTTLKNGGSHDDLMSLLSAAGTYSTDCKDVFDERPELKSPISGAQRHITRLVSNCIELAATIKQP >cds.KYUSt_scaffold_719.434 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:2940620:2946517:1 gene:KYUSg_scaffold_719.434 transcript:KYUSt_scaffold_719.434 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVPEEPVLSKKSGLLFERRLIERYIEDHGKCPVTRDDLAMDDLVTVKTDKIVKPRPLQAASVPGLLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDESRTLLAQAERQIPISAAGPAHVSVTNGKRALEDEVGPDGKRIRPGINPIMIDELTECNSMLSAQRKKRQVPPSLAPIDALERYTQISSHPLHKTNKPGILSIDIDHSKDIIATGGIDTNAVLFDRPSGQVLCTLTGHSKKVTSLKFVPRDELLITGSADKTVRIWQGSKDGSYSCRHTLKDHGAEVEAVTVHATQKYFVTASRDNTWCFYDISTGSCLTQVGEASGQDGYTAAAFHPDGLILGTGTSEAVVKIWDVKSQTNVAKFDGHVGAVTAMSFSENGYFLATAALDGVKLWDLRKLRNFRTLSPYDPDTPTSSVEFDFSGNYLAVAGADIRVYQVANVKSEWNLVKTLPDLSGTGKVTSVKFGTDAKYVAVGSMDRNLRIFGLPGDERMEEAPQSPEK >cds.KYUSt_chr5.42581 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268258874:268260025:1 gene:KYUSg_chr5.42581 transcript:KYUSt_chr5.42581 gene_biotype:protein_coding transcript_biotype:protein_coding MVLADGVAGYVRFRAVCGAWRRCADPPRAHGGLDSRFHPRRWIMLPAVFAADVDRRDFLNVSTGERIRADLPELRGQLVIGHTSGGLLVLLCRNGTGDMRLLNPLTRQLTALPNAAPLLNSQDKLEVLSAGLAYSSTVALHLDDREMELAVAKPGDERWSYRARPKPASYWETDSDSIFSALSFAHRFYCVTRNGVKAVDAAVTAGRQPQLVVVVEEGRGVHENYLVDNDGELIRVRTMPGLKMPRYEAHRVDVDAGRMVLVDDLRGRAVFVRNGGRSVSVRAGLSPSVKANTVYRCTCNDDNRPTIGAYHLKKGWKTFDMRVRRGSTIDYLSRYVCRSKDAVVPAPLSRCRGAWAAAEAAARDPRKRKRKANSMVIGDDWVN >cds.KYUSt_chr6.24074 pep primary_assembly:MPB_Lper_Kyuss_1697:6:152097524:152097925:-1 gene:KYUSg_chr6.24074 transcript:KYUSt_chr6.24074 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQTQVKSLPRLIFLVLGYRVLFLPLIRLCRSTDSWDGYGAARRRADAVGWLRGVFPDVPLPTPSDASDNDLHAALSGGRLLCALLRKICPGALLDDASTDNVGRFRAAVERMGVPTFSAFDLERVGCLTAN >cds.KYUSt_chr6.1523 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9284021:9285295:-1 gene:KYUSg_chr6.1523 transcript:KYUSt_chr6.1523 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSAMLMPRSSQEEKGDGAVSSIISRLMAKGGSLLTADDLQRLRRLEVAAAEIEELVAEHKSLAVALRPHTSSLDTLKPTLSQAEDVLDDVVERQRRIVDLPADTNPFFEPSWAGKKLHTVLSTVFHAGHAHSAAPELKNLAKKVHKVQGFIEEADKHFTTSFPPDLKSAPPKVIGRDAECRKIVAALHLVDGNEDEDDGRPYSVLGIHGAAGSGKTTLAQCAYARAQAADEDRSGSFDISMWVHVGRRFTQHKIFHDMLEAATGRPPSSALVDRDSDDTVALLQDELRGKRILLVLDDVHSNGNDTDLKQILSPLDVVGAGSKVLVTARSVDALLVLGADISSCLAIRDLDDDVFARLFMHYALADDGVDERDRGILEFIGAGIAAKLKSSPLAAELVGTELRARPQIDVWKNFRDTISAA >cds.KYUSt_chr5.4644 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29603545:29603778:1 gene:KYUSg_chr5.4644 transcript:KYUSt_chr5.4644 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCTIVRLLHLHLRPHPADESTIGAADISDDPTYWKLHRRFFFSGCGDDNNWLFRHAGSLLRIIPRPESIREVRDR >cds.KYUSt_chr4.49900 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309157056:309161684:1 gene:KYUSg_chr4.49900 transcript:KYUSt_chr4.49900 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAAPATVDDERPEEKEMDLLLSEIPQVTAPQGHRLGGGPPPRHHGNSTPRHHGHGGDAYYAIAMTSRRDDGGHLPGGGGGFRVYPAPPSPFVGGAPSPLPQAADDPAEKLWLASQFRGLLLEDGAPAPANTAPPDFSAAHGAYFGYHFGAPGSSFHGEPLPPDQARYAAPPPQQRFALGGGADVGLVGGHGAFPRALDASMGGFVYNRTGNSTGIGLMHPGHGHAHPDPFMLPGQQAAAPAEHNWGYLGSTGPIAFDPRGRSPKQQCEYGVPVPVAGNRYTKGGFNQVEVFRREDGQNFDGKKNVPFLNRAKERRFQHHGNSRALELESPRMLRYENMVGVKGYIYFMAKDQNGCRFLQQKFEEEKHHVDVIFEGIIDHIAELMTNSFANYLIQKLLDVCDEEQRLRIIVVLTEDPVKLLRISLNTHGTRAIQKLIETVKTRKQIALIVSALEPGFMHLVNDLNGNHVIQKCLSNFGAEENKFIFDAAANHCFEMAIHRHGCCVLQKCITSARGENQAKLIVEVCAHAFQLAQDPFGNYVVQYVLDQRIPSANAHLASQFEGSYVYLSKQKVSSNVVEKCLKVFSDEDKAAIVFDLISVPHFEQLLQDPFANYVIHTALVNSRGHVHNALVEAIRPHEEALRTSPCCGFGHKKARLVVGL >cds.KYUSt_chr5.32469 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206046882:206048976:-1 gene:KYUSg_chr5.32469 transcript:KYUSt_chr5.32469 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGGPRPLSPRLPSLSTACKRQGGSLHPIYRPRVQSHLPPTKKKEKQPDARGEPGRTQQFPSAHQPKLDMASAVTISSVSAQSALVSKPRNHGSTSFGGLKASSASISFESGTSFLGKTASLRATVTPRIVPKAKSGSQISPQASYKVAVLGAAGGIGQPLGLLIKMSPLVSELRLYDIANVKGVAADLSHCNTPSQVMDFTGPAELADCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVKSLIEAVADNCPEAFIHIISNPVNSTVPIAAEILKQKGVYNPKKLFGVSTLDVVRANTFVAQKKNLSLIDVDVPVVGGHAGITILPLLSKTRPSVSFTDEETEQLTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRAMAGDPDVYECTYVQSELTELPFFASRVKLGKDGVESIISSDLEGVTEYEAKALEALKAELKASIEKGIEFAHKQGAAASV >cds.KYUSt_chr5.23122 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150834337:150834567:-1 gene:KYUSg_chr5.23122 transcript:KYUSt_chr5.23122 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVSDFWPALAWADLQGQRRLMARLFARLHVVFDAEVDGRLLECQDGKPRESLLRPMARKCSSPAPRPILTNSVS >cds.KYUSt_chr1.25580 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153571538:153581352:1 gene:KYUSg_chr1.25580 transcript:KYUSt_chr1.25580 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAAAAAAPTEVGAPASPLPPAAEEADAAAEAVPEAKRWPGWPGDNVFRMVVPVLKVGSIIGRKGELIKRLVEETKARVRVLEGPVGATERIVLVSGKEDPGLELPPAMDALIRVFKRVNGITDGAAEGATQTATAPGVCAARLLVPGAQAINLIGKQGASIKAIQEGTGATIRVISVDERDRPFYVTDDERIVEIQGETEKVLKAVQAVSNHLRKFLVDHSVLPLFEKTNAPVSQDRSADTWSDMPHHSIVSAQVNHQPEVRDEYVLPMKREHLYLEREPLVEHNIHRSGVSLYGRDPALSALRPSGMHGAGPLLTQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESMGSPDDITVEIKGTSSQVQAAQQLIQDSLAAHREPVRSSYAGLDPLYRSSYTHCEKLRFSCGTVGGLSWYCFSLLLSRQAATMPAVPTMTMGTIATPSSFLSSSSFSLSALQIARRRTAHLLKHPDAKIISLGIGDTTEPIPEVITNAMAERAHALSTVGYGAEQGEKAYADSSIIMGQTDLYQQDISAGYSETDITFFCSPNNPTGAAASRDQLTQLVKFAKDNGSIIVYDSAYAMYISYDSPMSIFKIPGAKEVALETASFSKYAGFTGVRLGLTVVPKELLFSYGHPVAKDFNRIVCTSFNGASTISQAGCLGCLSLEGLKVESQCFKSFEGFYAAQPHRCMLHAARFITAVVVGNSAGQQPSSTRPRRRDKSDDHGGLMCKATQPNLRQKEAALQLGDGAFCNFRRSNSAANHGLPCWPGTPSEKSCASGFGHLQLRFRAQRQPPATPPLPRPPAASSTCSAATAGDIASASATCSLFRRHRLCLGPMLDRLGRAALLNLHGCGSSWPKIDQLRHDGPHRRRPEP >cds.KYUSt_chr4.50808 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314879025:314880059:1 gene:KYUSg_chr4.50808 transcript:KYUSt_chr4.50808 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTMEVEQDLPGFRFHPTEEELLGFYLSRVALGKKLHFDIIGTLNIYRHDPWDLPRMAKIGEREWYFFVPRDRKAGSGGRPNRTTQRGFWKATGSDRAIRSTADPKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDAGIATEGPPKEDMVLCKIYRKATPLKELEQRASAMDEMMTQRPSGCGGVARTSLVPATAGHDYVSSSDHVQDNFLFHSSSSSSAALSGNSTNYGTCREAKKEADVTTVTVASTPSLPQAANQSYNFQLPAANQSCTFEPPAANAPCSLQLPVASNGMFELPSLQLPASSHGVFDWLQEPFLTQLRSPWQDQHCLSPYAHLLYY >cds.KYUSt_chr3.5314 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30178470:30187162:1 gene:KYUSg_chr3.5314 transcript:KYUSt_chr3.5314 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVHCTSGVPFLGYYEREYGLQILDIFYGNASLRVSDVHKLGDFVFNGSGDGKPCHVPTANTASKIGSPFEVSRLNRNLVFYNCTKAPSPRTVRREGLVETVCRNNTFVRAGGRYGELGEYGIEGCNDTALTVRGTEDGEVNPGDYRELFSDGFLLTWQQPPAAAAGKLAHSSIFRQCNQWSISNPSPSPSPAVLPPPPRRTCRWSNHQFHFPSMPLALLLPLLAVASFLRLPPPATAACSPKRCGNLTVAYPFWLEEPGQPPCGSPSFQLRCNGTQALLSRSMFGLYQVLEIFTENSSFLAVDNNLPLDDGCLPRWFNISLGLGLSPFVISTQNSELLVLYNCTTPQEVVPDGFLRMPCASESFIRHGGGYGAHHDYPGNLPPACRLSVVPVLGVPGGVDEYVGSMKGGFLLEWTVPSDNCPRCVASGGQCRYRNDGSGFSCNCSGAVYPDQCGLGAGGGGIVIGCLAVFLWYNRKKRKEARTSSKLMRSGSSMHSSYSKDLELGGSPHIFTFEELEEATDGFCASRELGDGGFGTVYKGKLKDGRVVAVKRLYKNNYKRVEQFLNEVDILSRLLHQNLVILYGCTSRMSRDLLLVYEFIPNGTVADHLHGSRAAERGLTWPLRLNIAIETAEALAYLHAVEIIHRDVKTTNILLDNSFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMSRSHSEINLANMALNRIQNHEVVQLVDPELGYDTDSETKGSIDCVAEVAFQCLQLERELRPSIKEVVEILNCIRDGDCPSKKMGKDASLKEDTHLLRGSLQFSPDSVIHRFHSQSTNHSVASNGSGETKFEAISMFDASYFGENSLSFAKQPAIDLARVRPRKLWAALLSLPCQCRSRTRRRRRLKVEVEVELEEEEEEEAGGGGGGPRWSRRRRSLEEDEARGGVGGGIESTVRGL >cds.KYUSt_chr1.30977 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187613753:187614355:-1 gene:KYUSg_chr1.30977 transcript:KYUSt_chr1.30977 gene_biotype:protein_coding transcript_biotype:protein_coding MACSADHATRRNSMDDEDQAAAELDQILARRKSRAAASGAKIRMPDSDINFIMSLQRSDIPSDDDDMLDYLSQQHPDKYTPEVVEKRRREHKELVAMFRRDDEEIEARQAEIMREVGEKGYVEADEIDQTYIDEVNEWTRVQWEKLGMSSERLRLLRIADEENDEAFRSFLIKQAEEAEEEEDYYGDEDDDEALTFESSE >cds.KYUSt_chr4.40306 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248751569:248753950:-1 gene:KYUSg_chr4.40306 transcript:KYUSt_chr4.40306 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVDKLPFFDRRASPMEEADDIPRSGLLHLHGGHHHYNHNQPQQGAMMAPEPSPPTKHSSSTLAQLLKRVNDARSDPASSANSSPSHYTIELGASVPGSTGSDSGRTSHAGVGGDVGALLPFVLKFTDLTYSVKQRKKGPCLPALPFGRGDATEPEAPRMKTLLDNISGEAREGEIMAVLGASGSGKSTLIDALANRIRKESLHGSVTLNGESMDNNLLKVISAYVMQDDLLYPMLTVEETLMFSAEFRLPRSLPGKEKKKRVQALIDQLGLRNAANTIIGDEGHRGVSGGERRRVSIGVDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGQTVYYGSPGSLSSFFHDFGKPIHDNENPTEFALDLVRELETMPEGASELVEHNKSWQKRMGPKIKHADDGGKPSLSLKEAISASISRGKLVSGATDGNVTVPSESSAPDSAVTKFANPFWIEMGVLTRRAFINTKRTPEIFVIRLGAVLITGFILATIFWRLDDSPKGVEERLGFFAIAMSTMFYTCSDALPVFLNERYIFLRETAYNAYRRSSYVLSHTVVGFPSLIVLSFAFAVTTFFAVGLAGGAQGFFFFVAIVLASFWAGSGFATFLSGVVTNVQLGFPVVVSTLAYFLLFSGFFINRDRIPKYWLWFHYASLVKYPYEAVMMNEFSDPARCFVRGVQMFDNTPLAVLPVPLKVRLLRAMSSSLGINIGTNTCITTGPDFLRQQAVTDLTKWDCLWITVAWGFLFRILFYIALVLGSRNKRR >cds.KYUSt_chr3.12713 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76128343:76128585:1 gene:KYUSg_chr3.12713 transcript:KYUSt_chr3.12713 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSARTPEITIVPAPRPVAVDAVKAASKEPISPGSPSQVAAGRRKENQGVVSLPGWKLDSLCKESGPSPLMMARFPYF >cds.KYUSt_chr6.8797 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54074736:54076700:-1 gene:KYUSg_chr6.8797 transcript:KYUSt_chr6.8797 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSGADNDHAKEAALYEQQLSKIGQVRAALGKLSGKSALYCSDGSVARYLIARNWDVKKATKMLMKTLKWRSEYKPDEIRWDDISDEAATGKIYRSDYFDKSGRSILVMRPSCQNTKKAKGQVRYLVYCMENAILNLPPGQDQMVWLIDFAGFGMSHLSLHVTKLTADVLQGHYPERLGVAILYNAPRVFESFWKMASPILEPKTRNKVKFVYPDNPETDKIMEDLFNMDELECAFGGRSQASFNINDFAARMREDDNKMPLFWSPENSALASEPYLMKNHGSQQCSSGLKTEEIALDKIEETENLSEKSEESESTSEEKEETEVASEERGQTEILSEKRHETETGSVKDETETRSEKKEETESSTVKLITSKGEGITSSEKGTSSSDP >cds.KYUSt_chr3.29619 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185330548:185331385:-1 gene:KYUSg_chr3.29619 transcript:KYUSt_chr3.29619 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQNPAPTPASGTGGTAPPPGRPTTVSSQAIDMGAQVMQPLKPVRQMKQHACSFALYAHDMRRQIEVHHFVSRLNQDVLQCAVYDSDKPSARLIGVEYIVSDAIFETLPPEEQRLWHSHAYEVKAGLWTDVGVPEMLQSSEMARMAKTYGKFWCTWQVDRGDRLPLGAPALMMSPQAVEPGRVRPELVRDRDQRCKVDSSACGLKAERVEMEEPEWINPNADYWRLHGKGFAVDVTEMKQHAPFP >cds.KYUSt_contig_528.154 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1042505:1045124:-1 gene:KYUSg_contig_528.154 transcript:KYUSt_contig_528.154 gene_biotype:protein_coding transcript_biotype:protein_coding MGANTVASCSLPIVAFMAASFLATVAVVAASVPAVYVFGDSLADVGNNNHLLTLLKADFSHNGMDYPGGKATGRFSNGKNSADFLAEKLGLASSPPYLALSSSSNANYANGVNFASGGSGVSNATNKDQCITFDKQIEYYSGVYASLARSLGQEQAASHLARSIFAITIGSNDIIHYAKSNAAAKLRNPSQQFVDSLIQSLTGQLQSLYNLGARKVVFLGVGPVGCTPSLRELSSTKDCVAEANAIAVQYNKAAEAVLSGMSSKHQDLHYALFDSSAALLRYIDQPAAYGFAEVKAACCGLGDLNAKIACTPISHYCSNRSDHVFWDFYHPTEATAQKLSSTAFDGSPPFIFPINIRQLSEI >cds.KYUSt_chr3.27686 pep primary_assembly:MPB_Lper_Kyuss_1697:3:172916588:172917466:1 gene:KYUSg_chr3.27686 transcript:KYUSt_chr3.27686 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLRLLVFVFLVVHVSHVSPLPLSTYDDSMCSESFSCGGVEIRYPFYLSTATRAAPDYASNYSCGYTDLKIFCEGEGKAIAPLLHLHGDTYTILNISYDDRHMVLADTDVLRGNLCPRVSHNVSLGQAWLSYTDSLDNLTFFFDCYNPGDQSPPDLPDYQINCKGFSGDGVSFVFTSEKVDVSQEYDLAGHCNHTFEVPVHKDPLLGSGLLKLPTAYGAVLQRGFELEWNPGTEQVCNLCEQSGGRCAYSASNQFLGCLCPGGKVGVQDCYGATPASAYPSPSKSFNLDN >cds.KYUSt_contig_7622.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001616.1:33896:34272:1 gene:KYUSg_contig_7622.2 transcript:KYUSt_contig_7622.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREALMDIKLGGLDVPREQSSDPIAVLHLDRDVWGQDAGEFRPDRFANGAAAACKPGHMYLPFGHGPRIWYRHAPLFRLTIEPGFGMPLVVPAAMIN >cds.KYUSt_chr5.3505 pep primary_assembly:MPB_Lper_Kyuss_1697:5:22665534:22666727:1 gene:KYUSg_chr5.3505 transcript:KYUSt_chr5.3505 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTMNRRKPLLPSPLLLVLLQLLAVSPQLMLIPSADTFTNHTTALPECLRGQAAALLRLKRSFSTTNRSTIAFRSWRSGTDCCRWEGIRCTTGRVTSLDLGDRKLESPHLDDAPFVELTSLRRLNLAGNDFSMSAIPSTGLERLTRLTHLNLSTTNFSGQVPRSIGRLTSLVSLDLSFGFQPSLGPFHVDGKFHADLTRRRQLTLPNLSALLADMGGLRELRLGFVDLSGQGDEWCAALARYTPNLRVLSMPFCELHGHICAPFAGLRSLSVIDLQHNRLTGPFPEFIADFSSLSVLQLSYNDLHGWVPLAIFQHKRLVTIDLHHIPGLSGTLPNFSADSNLENLLIGNTNFSGAIPSSLGNLKSLKKLGLGAQGFAGDLPSTIGELISLTAPKAG >cds.KYUSt_chr4.16202 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100421780:100422862:1 gene:KYUSg_chr4.16202 transcript:KYUSt_chr4.16202 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDEDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPQGHALDAAIEEARMAMTEEERADPRHHPENYTRWNSFFLRRWEQELPSYDGPPPPPPRNNAAGRRRWWSAPNRTLHNVLEHIEGGNTPALTMPPPSRASANCRRGNSWQPRRMAASSSSSDTASRSLSRSAPSLAPVKKEPASPPSNRTRGGGGIFIREPSAAQGRLRPKREQDTSGERKRKPAKVKVEEAESTEDAAILEAVIARSLQDLVPAQNDMPLDQACAWSREQWEKEEAERQTRLLQDVARYRRPATPPSGNAVPVVDLEASDDDLYKPSPSPPRTSGRWGDAGQGSSQGPSAPP >cds.KYUSt_chr4.39855 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246078689:246080683:1 gene:KYUSg_chr4.39855 transcript:KYUSt_chr4.39855 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKSRYEEIVKEVSSYLKKVGYNPEKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTIKPGMVVTFGPTGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKEIEKEPKFLKNGDAGIVKMIPTKPMVVETFATYPPLGRFAVRDMRQTVAVGVIKGVEKKDPTGAKVTKAAAKKK >cds.KYUSt_chr4.16635 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103404841:103405878:1 gene:KYUSg_chr4.16635 transcript:KYUSt_chr4.16635 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLTKAVVSLAIIIMLLMPSALAATMASIDATRSQHLPLPRGTVRGPESVAFDGEGHGPYSGVSDGRVLKWNGDKLGWTTYTHGPGYDSQTCTATKFRPETATESRCGRPLGLRFDQRTGDLYVADAYKGLMRVGPGGGEATVLVNNVDGVPLRFTNGVDVDQTTGQVYFTDSSMNYNRAQHEMVTRTGDSTGRLMRYDPRTSDVTVLQTDMTYPNGVTLSADHTHLVVASTGPCKLLRHWIKGPDAGRSEPFADLPGYPDNVRPDTRGGYWVALHREKNELPFGRDSHLLAVRVGANGKILEEMRGPKSVRPTEIMERGNGKIYMGSVELPYVSVVKRK >cds.KYUSt_chr7.40357 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250575370:250575928:-1 gene:KYUSg_chr7.40357 transcript:KYUSt_chr7.40357 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAGPSSRRKLPGVYIVKPKLEPGDDSLPAPLGDGEDKETNPSSRPSCVLGSYMSRLQKPCRLVIRLIHFIAPSLIWVLLMVPEDRPRLGGPDVLVGSRVSKSLIHPWITSFQSMPLSIFEWCQTGRDQNTSGHGTKMKLKPADVFADWSRP >cds.KYUSt_chr2.35116 pep primary_assembly:MPB_Lper_Kyuss_1697:2:216591535:216594269:1 gene:KYUSg_chr2.35116 transcript:KYUSt_chr2.35116 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPKPEMSMPPPRPAACRRSLAVGGLGLAAAAYVGVDHLSHMSPSLHGWLQPALWATLALATAARAPFYRRWDAEIRAAPKFLAAMIFMLAALLCEAVSVRFVSAVLGLQWHRSTAPLPDTGQWLLLALNERLPQAVVGLLRARIITLHHYLMLFIMLGFSALFDCIKGPGLGIATRYMFTMAVGRFLRTITFLATILPSPRPWCAESRYRIPEHPHAWAQKYYAPYASDPRAIRRVILSDMPYATVQDYTVEYRPEWGHMSFLIDILRPTAGDGPSWYHLLKKASGGCSDLMYSGHVLVAVLTAMAWTEAYGGWISVLIWFLVLHSAQREIRERHHYSVDCIVAIYVGILLWRMTGFICSTWDSDRARRLAKLDEIQNKLFRAAKDSDIDEIRGLLNEVELAGQAKKAFSRRVILSFAASMIIFTLLFVLLTFTLASDG >cds.KYUSt_chr3.6557 pep primary_assembly:MPB_Lper_Kyuss_1697:3:37702823:37703227:-1 gene:KYUSg_chr3.6557 transcript:KYUSt_chr3.6557 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLSGCAQGDRHGRPQKSVHHRCAGRSAAHHGYAQRARLAEEEQEMERHRVPLWRKKATSLLWPVGINVSRELHSRGVQSLNLGLQRQKLRLQLTNFNLVVATLLRHLYLPADECTTLQLQLLLFLAVWDLF >cds.KYUSt_chr7.39842 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247504041:247504568:-1 gene:KYUSg_chr7.39842 transcript:KYUSt_chr7.39842 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGRFYGSGLPRPRIFPGDRVDPPAPVTDALLCWAREAHWSMGGLGAKRLRLQGRIEGNLVKLRRTARRDAKARAAGEKPASLDALGSDDDEESDREEVQAQERALRREVVDDEEDDGSGDSEDEELVALVTIAAAAKRKRARKLFDEFDRIAPQKKKQKVAAATPAKAV >cds.KYUSt_chr1.36270 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221139101:221140537:-1 gene:KYUSg_chr1.36270 transcript:KYUSt_chr1.36270 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVVLNPGLGVGHLVPMVELAKLFLRRGLAVTVVVNGPPAGKETDTSAAVARAAAANPSVHFHVLATPPDVDADGTAPEAAEAPNPFVLLRRMNAPFRDYLRSVLPSVRALVLDMFCFCVDAVDVAAELGLPAYVFYTSSASSLAVNLHLPHMQSQIGATSFGEIGDAPLCFPGNRPFKPTELPKLALDRNNEVYRSFLHAFERIPESSRGILVNTFEWLETRALRALRDGSCVPGRATPPVYCVGPMVSSGSGAGEGEKRQHECLAWLDAQPESSVVFLCFGSMGTFPKRQLQEIAVGLEKSGQRFLWVVQTPRGDSGRPDMLAGAPAEPDLAALLPEGFLERTGGRGFVARSWAPQADVLRHRATGAFVTHCGWNSMLEAIVSGLPMVCWPLYAEQRQNKVFVVEEMGAGVEMAGYDGDVVAAAEVEAKVRWVMEAEGGRALRERAMAAKEKARQALSDGGASQAAFAEFLKDF >cds.KYUSt_chr3.26383 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164277241:164285869:-1 gene:KYUSg_chr3.26383 transcript:KYUSt_chr3.26383 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRFYRHLIPRISAAARPPFPPAPAAASCAPRPIRSLPSLAMAAYGTGASADRRLLFRQLFEKESSTYTYLLADIADPEKPAVLIDPVDRTVDRDLNLIKELGLKLIYAMNTHVHADHITGTGLIKTKLPGAKSVISKASGAKADRSVEHGEKIYFGNLFLEVRATPGHTAGCVTYVTGDSDDQPSPRMAFTGDALIIRGCGRTDFQGGSSDELYQSVHSQIFTLPKDTLLYPAHDYKGFTVTTVEEEAAYNARLTKDKETFKTIMENLNLSYPKMIDVAVPANLVCGIQDPPSKSSPSLPRLDSPTLPATSAMGGEMPAADGKDRSASASASGFAPSAPPYPQQPQYGTFGPPSASGQFPQPAVGVPQPAPPHGFQNYQPPPQASYAVYPPQQAYSAAAPYYGQGYHAVQGYIPVVEGRPVRMRRLPCCGLGMGWFLFIIGFFFAAIPWYVGAFVLICVRVHDYREKPGYVACTIASASTVSGANFLLYYRIGDRLEMLLLLKISGMGSLAPGVSDECPANHAVNDDGEQLLASPPLLPWPRRGVAYECSVKVLRCCP >cds.KYUSt_chr1.42626 pep primary_assembly:MPB_Lper_Kyuss_1697:1:260812999:260813316:1 gene:KYUSg_chr1.42626 transcript:KYUSt_chr1.42626 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAMREASTAAAAEAADGAGAEAAEAVEHASAAGLPRRGGGSITDRGSWAGDCAAAASWRDMGRDGGGASDGEGAAVTSYHDAGDKLGMGGERRRRGPQIWSE >cds.KYUSt_chr5.34028 pep primary_assembly:MPB_Lper_Kyuss_1697:5:216103160:216103441:1 gene:KYUSg_chr5.34028 transcript:KYUSt_chr5.34028 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRAVDDDDGAMTAAEFKEWLRGFDADGDGRISRDELRRAMRVLRVRFTGRRARRGISYADTDGDGYIDDSEIDGLVEFARRNLGVRIVAC >cds.KYUSt_chr4.24444 pep primary_assembly:MPB_Lper_Kyuss_1697:4:153885759:153886558:1 gene:KYUSg_chr4.24444 transcript:KYUSt_chr4.24444 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSTIIKLAQQIAKAAETARRNRDMCRHLAGRALTIGNNLRDFKDAAASGDTASRRSALGRLKTALDDALKLVESSRRQSRWLAWLSSGRTAARFQDVDGRITACVVDLGLVELLHAGAQGRPPANTKAGQSKKKETDRSGKKKKKDGPKNGGAGKNSNKGKKKEIGAKNGNKSGGAAASNQKQKKNGGEQQGWNGSRQVKGKPAAAPAPRRCAAHSMEDDPGSCSVM >cds.KYUSt_chr1.36768 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224416369:224421589:-1 gene:KYUSg_chr1.36768 transcript:KYUSt_chr1.36768 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASGGGGGAPPFSIRYHPPLALLPPPHCAAASSALTLFSCCIPRGFAARARAGAADEGDRCWPLGGEAGPLPPIEVRRFRWWADEARRAKEVVEEEEEEERRKAAMCRKRSVVELFAAVPRVAPTDDGRRVRRKVDKGKLPAGVLPSKDKAPSSENAARKKGGLVGIVIQAFQEWWRRVVQASQQTFQRDSSQSNKEEPHLVHRRIDAISETVEQDSSSLSEKVDSSGASHTVPLTNSKEKTMMSKSMDLNHCIEISKRGNVNRLSSPAALSSQGLAQKFVDVDFPVQEGLYLGIGRQAEENRRIIPQGSSAAAGLAHDTRPGNFMRIPLPQPSSSCYVASLREASDRNRKTIPQERLVANCHLTEVQSSVVRSGNDMVSSINTSTGSSKSTDAQATDSVSACRNISFSGGYMGLPLNSHGEFVRVQPGGTLNPDELFKRQCLGQDSLHPSTSPTFVTPNACLDYSHLRINHQGQAPPFFTVANFGIQPDTHLNPKVPTAYSMGFRQSASSQRMEVHNYAVSSNNYSCSNQQASSEQCSCAQCLGHDNQLQKSLQMQSCFPRQNYEQNMQPAVDTTMRLMGKTVNLGTSSKQCGGLDNWGPCSSKQIRAEDHYFPGMRTQAFPQLFLGGLVDLRTRIGVSNGGRTPSEYVSCFSSVPAAEQGSVPYFSSVPGAEQGSVPYFSSVPAAEQGSVPYFSSVPAAVQGPGFRESNHSQQPQLAVPNKASVQPVSRYNEVGLVHQRPAVQVGRVQSTATHLQPGAVDCRGTPSVPAMSYYDPWNKFKNFVETTPRPPQSSFFPRNSSNMAQRPPAAPIMSGYSVQSTPALTTPTKFTSLRPLPPSMVSSHVYSSEGAQPHGSTPFHPPAPFSSQPGKVSAPGAIIKDKGMKQAPIGSNLESSKQMDRSFKRPVGKDNGLLALPKKPSIAVVGKNPNQSPLPEKGIDPCGSTPHRQPQYIPVRLSTEPEKNLRVINNDTPTRTAWTESVNSVGTRPVQLKSGAKHILQPCASASMDQEDSWPVHSVVQFEVENNSCTVAGSSKKID >cds.KYUSt_contig_1158.167 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:1088329:1089059:1 gene:KYUSg_contig_1158.167 transcript:KYUSt_contig_1158.167 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWGDGKESSPEQSSLGSDPPALQKSLSKLWDLYGQEKEGRVNDALDNMEKRFKLKDDIEKMHIDLRNAQEEMKKIVEEKQVILALKAQAEQGLIDARAELEQKKAVDASTSNMHKCMRIKAEKERDQKKRRERLST >cds.KYUSt_chr6.32470 pep primary_assembly:MPB_Lper_Kyuss_1697:6:204793152:204799587:-1 gene:KYUSg_chr6.32470 transcript:KYUSt_chr6.32470 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYSVSGLRNRRSSVSRESPGSPRLAEAMDAPIARRRIRESPPTACIKDEMELCRSGRWREGRRPRHRGNGSMDPGEGMNNYCHNSIQSVGIDVSFTDLLNMVAKDAEMSKYPCCSDAISNASLSRTFSNFQLAEDGGAVDVTSHAREFPGGLIKESMRKYCEDKKTLMFQPEVGMEFSSTEEAF >cds.KYUSt_chr3.3818 pep primary_assembly:MPB_Lper_Kyuss_1697:3:21698375:21701305:-1 gene:KYUSg_chr3.3818 transcript:KYUSt_chr3.3818 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRVPSAAWAGFASFRTLRPSAFAAVAVVTRLSLICGEVCDKDGATASTGFHGVAISCVSAALEASSFEDDGAALEMFGQAIPNLDLISSHAWSASMPDSRRNFPYIFQQSRKVEFVDDATASGDAEDADRLPMLVGISHGATVSSEDLRLLLAILFWDHFNTSNLPRHHPSTATPPRHHLEDLLCLPIFS >cds.KYUSt_chr5.43007 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271160968:271173783:1 gene:KYUSg_chr5.43007 transcript:KYUSt_chr5.43007 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSSLPPDLIRRVGDLFLVDNAIDYYMNMRAVCHNWRSAAADPCAEGADDSRFRPRRWVMLDEAPKDDDTRLFVNVDTGRFLRRRLPLLRVHVLTGSSDGLLVLGDRPYPYRARVFNPFTGSMVKFAAAIRPYLPVLVAVTDSDPTLLFAMDVYSGLDLWCADSASQRFWPQPSRPDPLHGTSILSYRGDVYMVDVCASVIKIAGVVRHSTTGELIAEIVDVFVSLEGEGTGVKKFRGCNYLAESDVDLLLIRLLPSAWGVMEVYRVDVERKVVELVKSIGGRALFLGARCVSVDAAKFPSIDGDCVYYTTCRSSCCKGVYRYCLESLSLRRPAARQTHVGVASVGSSMSVRHFTVAVHGVLPRLLPVGTKHKQQGNYVGQVGGGLAATRSGATPASTVPAAKGNVLGGDDVDGGDSVDVGAVITQWLVMEVAMTTQCSVMEVAHWPSSAAGQLHKRKHSLDSALEELAVPSDTSNDDNNTEMVPNSISSECTSLDVHVSQTGEFSRLEDLAATVFQSAFRSFLAQRALRALKGIVILQALVRGHIVRKQTAETLQCMHELVRAEARVRAREVGVALKSQIARKKVPEQDDSENHVREIEEGWCGGTGSVEEIQAKVLKRQEAAAKRERAMAYALTHQRQAGSRQQKPTNLHGLEPDDNHWGSNWVERWVAARPWENRLLENNPKESMPTCDDNQHEETKSQAIPKVKLPTSNTPNGLNKKKGANHKKSYSDVNFTSFGRSRVLPSTSLGSSKQKPKLVDEGFEEVSSQPIDIASSSALNQKDKRLQLNTSAKKRLSLPNNGVIEQVLLFPTVSEGAAKGTNSKKPMNRSASAKSDLKPRIFLVHISIPGISLYADDVVLFCHPSCDDIAAVKEILLLFGCASGLLVNYGKSSAALINGTDEDTAVVTAGLGCPMTTMPMTYLGIPLTIRRPTCAQLQPLVDRIAARLPAWKAHLMDKAGRLKLVRAVLCAIPIHQLLVYAPPKKTIKQIEKIQRGFLWAGRKEANGGSCHVNWNRVCRPIQFGGLGVQNMAKAGLALRLRWLWFSRTDTSKAWHVLDLQFSAEERALFFASTTISLGDGHSTQFWEDRWIDGRSVSEIMPRLYACIPKRRRRATTVAQGLQNRQWVWDIHGTLGIEEIGDYLLLWRRLEHVQLSDQADTMVWKWSSNGSYSASSCYRATFHGSLLCDAWQLTWKTWAPHSVKFFHWLAHLDRCWTAEHLQRRGLPHHAKCLLCDQEMETIQHLLIGCGFARQVWADILSWLRATCRPPAQDDTLLSWWLRARQATPKTLRKGLASMMLLIPWMIWKERNDCVFDGATPSVPGVVTKIRDKASTWARAGARGLRVVLPSTWDVH >cds.KYUSt_chr7.11287 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69351534:69358270:1 gene:KYUSg_chr7.11287 transcript:KYUSt_chr7.11287 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVEMAARKGNGNGAVAGEPYYPPPPSGQGGDVDVDDDGKHRRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWVVGPVTLMLFAGITYYTSSLLADCYRTGDPATGKRNYTYMDAVAAYLSRWQVLTCGVFQYVNLVGTAIGYTITASISAAAINKANCYHKNGREADCGVYDSMYMVVFGAVQIFFSQVPNFHDLWWLSFLAAIMSFTYASIAVGLSLAQVISGPTGKTSLSGTEVGVDVTSAEKIWLAFQALGDIAFAYSYSMILIEIQDTVKSPPAENKTMKKATMLGVSTTTAFYMLCGCLGYAAFGNAAKGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFCQPIFAAVETYAAWRWPNSAFIAREHTVSAGKKVSFSLNMFRLTWRTAFVVVSTVLAILMPFFNDILGFLGAIGFWPLTVYFPVEMYIRQRRIQKYTSRWVALQTLSFLCFLVSLAAAVGSIEGVTESLKHYVPFKTKS >cds.KYUSt_chr7.37898 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236339226:236340450:-1 gene:KYUSg_chr7.37898 transcript:KYUSt_chr7.37898 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKARRRSAQGRASTRLKSSFETAAERLPDDLLVDILSRVPVKSLCRFKCVSKHWLGLIDHPEHRRKLPQTLVGFFCNNDESVIQFTSVTESSYPLIDTSFAFLPNCGQLELLDCCDGLLLCGCYTQGNEFRYVVFNPATEMWVMLPDSGYNGEVGTTRLGFDRAVSSHFHVFVLLEGNHDSDRYITGAYLYSSTTGRWIYKEKGWDRYIGLHTGGSGAVFLNGYLHLYCYDHDVLCPCVAMVHKEGETWMFFDSPSFVQDAILQQSQGHLHFAGFKSDYGEDDDYDDLSVTRIVVYALKDYDKDEWILKHSIEALHIGEIDDLILIHPYRNTIFFSMGPVMCYNMNRQQAKVVCNDGECEPPYLPYVPLYAKLESLHM >cds.KYUSt_chr3.26002 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161619825:161624624:-1 gene:KYUSg_chr3.26002 transcript:KYUSt_chr3.26002 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAERRLARVNAHLLPSLPLSLASAPLLAPSPTAASSSPAGDSYRRVHGDVPSEPPEWRAATDEDGKDFVDILYEKSVGEGIAKITINRPDRRNAFRPLTVKELMRAFSDARDDSSIGVVILTGKGSEAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLSRFYAADEAERMGLVNVVVPLVDLERETVKWCRQILRNSPTAIRVLKSALNAVDDGHAGLQELGGNATLIFYGTEEAKEGKNARRTTSTVGPPPHNLHLCHEQRRPRPGYRASTSDSPPPVSSSDEELEDADSTDDLLDPVNDAKFLADAEKEAEEERAAHAAFDAEMERRREAAAAEDDSSDISWSSDDPDAPTPEEKAVEQRALVDSFETLKMAEDASTRRCGGAC >cds.KYUSt_contig_319.497 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3123678:3124326:-1 gene:KYUSg_contig_319.497 transcript:KYUSt_contig_319.497 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMVALSSFAGAAVVGRSAVRSPVAPRRRALVVRAQAEPDMDPIKETTAQTSTPSSTPTPTPIPAAPKPKAKANPSVWDALAFSGPAPERINGRLAMVGFVAALSVEAARGGGLLDQAGSGAGLSWFLTTAAVFSVASLVPLLQGQSVESKSSGVWSADAELWNGRFAMLGLVALAVTEFITGTPFVNV >cds.KYUSt_chr4.91 pep primary_assembly:MPB_Lper_Kyuss_1697:4:568589:573582:1 gene:KYUSg_chr4.91 transcript:KYUSt_chr4.91 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSFRDRTNEFRSAAKTVAPSPSADSRSAHSDFRSRASNIALGIQLTSQKLARLAKLAKRRSVFDDPTLEIQELTALVKNDIGALDNAVIDLQVLCSSQNGSGNLSSDTTNHSANVVDNLKNRLTSATKELKQVLTMRTENLKVHETRRQMFSSSLSAAKDTSNPLMPQRLLVASEASHSAPPAPWASESASTSLFQRKKTNGASSSSSSTPAFMQQQLAVQQDSYMLSRAEALRNVETTIHGLSSIFTQLATIVSQQGELAIRIDDNMVETTANVEGAQGELLKYLNNISSNSSFVLDSSSTQACIQKIFISKRNPTDPEDNKLDLTDTHPPNKPTKMARALFLVALLLAAVAVAPFAQARNVVTEKKPAAGDAESPAPAADSPGEEPAASEPSAADAPEPSSSD >cds.KYUSt_chr1.30628 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185432866:185433324:1 gene:KYUSg_chr1.30628 transcript:KYUSt_chr1.30628 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPVEEEPAAEPAAKAPATKKPKAGKSLPAGKTASKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >cds.KYUSt_chr1.536 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2879453:2880432:1 gene:KYUSg_chr1.536 transcript:KYUSt_chr1.536 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSLVSITIWSIKALGGPICGFSYVYVDQNGGAIHVGPWGNADPEHTITNIQMGPGEYLYELSGTADDSALLSLKLVTNQHTYEVGAPLEQTTFSMPLTNGKVVAFFGRSDNDHLTALGIYVPVMKGSPVNVGPWGDSGGVPVDITTPVQLKSVTVYSTDSSDGRIYGFSFTYVDLTGQSIHVGPWGTIKGEKHTFDLSLQGEYVNKITGTTAGDNRVTSLKFTTNQERDYGPFGSDRGNAFSVPLPDGEHNGAVVGFFGRSGKSHVDLGVYVGLAPNEP >cds.KYUSt_chr2.13366 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84784673:84786246:-1 gene:KYUSg_chr2.13366 transcript:KYUSt_chr2.13366 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPVQHMAPQNPPAQPGQGKKRRKKKNTPAVGVAPGGVVPPVHPLAQPAPAPTGMPVTTPTGDPLTVPVQVPLLIPTPAAPAVKQKKVGRCWKCAVNTHATKDCKVLHYCLVCDSGAHPTIRCPILKLPKPMSFFVGCGNDATLDLQLPDSVFKPQLISLGAPTALVKVSGEGSVTAADIQSLMARMCPGNPTWKWEAVGHGADSFLIGIPTAEDLLRLDGMQMSVPNVNAQALVTSWTHQGVTPEFVMEPVWVHVDGVPDSVRHFLGLWAVGTLIGSTLDVDLCTLRSKGIVQILVAMRDVAALGKDKSGDKPPCLEVIALLHLSGYRFRFKREAVGFKPDSRFRPFFWKEDGDDDSHGFEEERDDAGTDVTPEAAHMDVDGHPPTHPSGAAAPPVTQGGVASSRRFSHDYVQDFLSVSGSDLHARSDSAFYLDLAFPDTSGLVPYSTYSVFYCSSDGGAETEGATASVDTRGYAAADAVVFSAAAPGLGFFGVAAAG >cds.KYUSt_contig_988.309 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1862907:1876966:1 gene:KYUSg_contig_988.309 transcript:KYUSt_contig_988.309 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSCVGRASLGMEEAAAALPRASPQILMLAVTAVLACWCAGRALEWAWWRPRRLGAALRSQGLRGTAYRPLAGDEPLNGRLNREARSRALPLRCHDIAPRAMPLIHQLIKENGEMFISWFGPVPRQWYGSGGGKTCEVRSLTFRGENPRPTKLKPQDLPMESYYINPPKASRFASSPFSPNETPKMAARLLIPILLVLLVVSHVALASIVKETCAKVENISLRKEPEAVRVTTLRAAPGSATADTHGLAVIATNPTLVNYTAAVATIKDLQRHGGWTVGQQAALATCRERYTEGLNAMHRAIQALATMQKQAYEDNMIAAVRASTDCAAASVAADKEESPLRKVNADAEHLTVVAMPSAGHCPPSLNSGVTTVARLKPSSRLTLYVARSSVECTASCTTVLGGDEPVSQLVGVLQKVRATTLPARMLDGPDTENSRAAVDHLASADLWEHFAESSAYGLAVLVHLLGHVLPLRHPAIHSHQPHHLQEKHGECNGFLEGCWLAGLLVVAAGHACGAPGCGRRPCSRGSWWWPLAMPAGLQVVAAVFARGAPGGGRPSNKDNKGGQTMWLSAPPDTARGIDGGRPGVERLDGPDTEKSRAAVDYLALADLWEHFAESSAYGLAVLVRLLGHVLPLRHPAIHSHQPHHLQAIKWF >cds.KYUSt_chr7.2014 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11508797:11509478:1 gene:KYUSg_chr7.2014 transcript:KYUSt_chr7.2014 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAALAAVLLCAAAAMAAAQSATNVRATYNYYSPQKINWDLNTASAYCATWDANMSFAWRSKYGWTAFCGPAGPTGQASCGKCLRVTNPATGQQITARIVDQCSNGGLDLDYDTVFSKIDANGQGVNDGHLTVNYQFIDCGDN >cds.KYUSt_chr4.45054 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279033215:279034786:-1 gene:KYUSg_chr4.45054 transcript:KYUSt_chr4.45054 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPTFPRRCLHDPKLSSFLYALATVSSSPSSSPAPGSLPAARTPAAYNALMSAYSRAGRPDEVLRLFRSLPFPPTAPLYTTLISSLAASGRPRAARAAFSSLLVSGLAPTASAFTALLKCQDGSIDSMYRVFLAMAAASCSPDAAVYNCYISMLCDSGRLEEARGILDHMVGEGVRPTARSYTAILRGYCEQGKVLEAERLVDDMADAGCPPDVVSYSVLIQGLCGAGEFHKVELILGESQDKGWTPNAVTYNIYMSALCRTGFLDEACYQVDIMRSRGLLPTVETVGILFDCLCRDSRFSEALCMLEHSEELGWHADVFCYNTLMGRLCDVGDFARVFKLLVDLLKKGVGPDMFSFTIAIRGLCGAGKLQVAKCLIHNEAIGYDVVAFNTLIHGLHNAGDLLGVKLTYENMCNRQVSPNSFTNATLVDSLCKDQKFVEEVKSLACKSTAPDHVVYLRNRLVKGVKFTKVLSLLDEIRSRGIELATRKFSDPLLNELCWEEGNEPRDINQAAYILTCLGIR >cds.KYUSt_chr6.9191 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56688160:56693085:-1 gene:KYUSg_chr6.9191 transcript:KYUSt_chr6.9191 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLKNSRSSLSRLLRYNLAGRPPPPPLPAGPTARHYYASPRLPGLLRPSSRGLLRHDPTAACRPPPSPPPRHYFTSSRRPQVIHFSRRRGGSGGGGARWYHDRRKLTAVVLISGGAAVVVYFGNLETVPYTNRTHFILVSPRLERQLGESQFADLKKELAAKILPPLHPDSVRVRLIASEIVRALHRGLADRRADDFSDASYGDISSDLAIRNRDMDAEDVMQRASPDKDAGAAAAAQRNDELLDDRWVAESRRRGKARGAQPQTKHLNELNWEVIVVRDNMVNAMCLPGGKIVVFTGLLDHFKTDAEIATVLSHEIGHAIARHVPEMITKGMWFTILQIVILQFIYMPDLINAMSNLLLRLPFSRRCSEELYACSPVVNLVISLSNLCKKLLLEAFLMMLPVYRMEIEADHIGLMLQASAGFDPRVAPTVYEKLGKIAGNQSVLKNYLSTHPSSQKRAELLSRAQVMEEAMQLYREARAGHGTEGFL >cds.KYUSt_contig_1181.605 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:4166529:4167251:1 gene:KYUSg_contig_1181.605 transcript:KYUSt_contig_1181.605 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMCASDVLPPLPSYPACMSEVDYPVFTDEEYQALMLAEFGPLMPLLSRDEIQATILPDDAAIHAPPLLPEDESKTMIADQNSMEVRPPIQPCPDDSKEVRKKRKNPPPPPSAKEDAGDEPSPRPCKRAKLPSPRRTNRMMATCLLERSHSAPEPWESALWCHCNELPRPCALHQNAPGWRWMKEHDQKPPVGSDDGITVPKSRTDGKRLALRYMRWRCRVWMPTRFYVEYAQLIKMEL >cds.KYUSt_chr3.15351 pep primary_assembly:MPB_Lper_Kyuss_1697:3:93854498:93855853:1 gene:KYUSg_chr3.15351 transcript:KYUSt_chr3.15351 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSPRDADVDERRRHGGRGKNQEEVPALDLLDDFWFFSNSLGGRDDGKQGGTRRPPLLPKSPSTSSSGRAKTGASDDQLQMQGRKSRFLRTPSLPSPRIRMDPPPSSSRHVEEVPEEDTTAGADQAAPAEAAEDDDMNWSKIYEGVLRTRIAEEGRGSGSSSPLLHRAPSTPVPYSAMMGVDGRGRGEEAVTRSTPSLPRLRHSHSTLENHCRSHTPTKPDRDHRTPRLASISSSRPERGHPRRDLRSFGASQHPGTIARTKSEFLDKRWKSSSALESIEVQGFKDLGFVFDQEELRESLADVLPGLKTRGSSNSGSGSASDNDDANDAGQDEEVVPRPYLSEAWQDGARSAPPLTTSASAIKLQQADARSAAEMKDQLRMWAQAVACNVRQEC >cds.KYUSt_chr1.26604 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160357604:160360099:1 gene:KYUSg_chr1.26604 transcript:KYUSt_chr1.26604 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSRAAAFPHATLPSARPRPPAAVSLRPPPPPRSVRLDHVAPADLGLGGHGLLAAAIEHLERDPADDDKAPLAGLSPRELQLVLVYFAQEGRDAYCALEVFDWLRRADRVDGETMELMAAIACAWIERLVGAGGDVADVSALLGEMDCVGLRPGFSLVEKAVALYWDRCERARAVEFVRDVLRRGAVGAGPDYDGERGGPVGYLAWKMMMDGDYRDAIKLVIEFKDSGLKPEVYSYLIGLTALVKEQKEFSKALRKLNLSVKDGSVAKLDIESMRSIEKYQSELLGDGVLLSNWAVQEGSSDVLGLVHERLLSLYTCAGCGLEAERQLWELKLVGREPDTQLYDVVLAICASQGEAAAVRRLLAGVESTSAGRRKKSMSWLLRGYLKGGFCLDASETLMRMLDMGLCPDYLDRAAVLTALRRNIQESGNLELYMKLCKRLSETDLIGPCVIYLYVRKFKLWMMHML >cds.KYUSt_chr6.12006 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74747716:74748266:1 gene:KYUSg_chr6.12006 transcript:KYUSt_chr6.12006 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPSIEYRPIQPSDLEVLEKIHLALFPIRYEREFFLNVVNGHGIISWGAVDTSRSDEGRDELIGFVTTRMIAAKDSEIEDLFRYNNSHKDLTLLYILTLGVVDSYRNLGIGCFLVSSS >cds.KYUSt_chr4.12962 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79682387:79683550:-1 gene:KYUSg_chr4.12962 transcript:KYUSt_chr4.12962 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSGLHEDFLLLLVPRLPSLDLRRFRAVCASWRAAAATFTSAHGRPRPDRPWLALPTDAPDPDGRRFVICRDREVPVVTLPARLGRAHDRRFVPLGSSRGAIVAADDRGDMHLLDLVTGKRMPLPPAATLPLVDRVERTPAGLLTLHHQRAGVSGADPVDGLIHKAIPVPTPDGGVLVVAIYRQPHHRNQWATARPGDGAWKSVKPSSIPAVVDLALHRGQLYANTHYGMVYVYPELCGLGSASPEIIPSVTRRPTSYVERSFLVETPGGAGLMQVELLRPVLAAGGEGFVVRMLDECGETWEEEEDIGDVAVLVDASGAVAASTRDCPGLRPSTVYYAVDLDGETRVWAYSLAGKHKKIEVVESLPRAEGYKPPCFWYTPVYAQ >cds.KYUSt_chr1.29795 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180358591:180363534:-1 gene:KYUSg_chr1.29795 transcript:KYUSt_chr1.29795 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVAALEAAAEKTAGAASSAKEAAVAASAAATEAAGAATAAKEAAMAALATAEQAAAASSAAKEAAVAAEAAAKESAAAAAATARELAAAMRCSKKRRFHQIDDGGSPSGNRDDRGNLDLISALPDDVLGSIISRLPTEDGARTQVISRRWLPLWRSAPLNLVVDFSGSVSKLIAFVSKILSEHLGPARRLSLNLGMPYRADVPLCGREHKVEDWLRSQALNNLQELNLTDIRDMPLSVFRFAPTLCVARLIHCDLPKSISTLRLNFPCLKQLTLHGIYIMQDALHNLLSGCTALESLELTSIFGIGAICISSQTLRSLAFSPDVLSSILQLVIKDAPSLERLLPLYPQWAPVIQVIHAPKLEKMIAVSLTTKIHTMKVLVLHSIGPNLDAVVDFLKCFPCLVRLYVISQPTNDMNNAREYDPLDPIECLELHLKKVVLMNYNGSKRPSVEFAKFFVLNKELPPFFPQLQLLLFPSTTMPPKKLAADGAPKARKLRAPKQRPPGWTNAQWAADVERRRNETRGRAEREKKFNAKKVAAADEQARLVSINFSQPRVGQFPGPWPTQGMIGSPSTFSPASPATAMFQDTYATGMVGFTPSPPEYDGPMYEGISSSLRRGPLSFSNTGMPPPNEPVMNEMITSSPGFFTQEEARAMEAIASRGGIGDQNNGTQDVDEEDEHAGLD >cds.KYUSt_chr6.29604 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187632881:187633327:1 gene:KYUSg_chr6.29604 transcript:KYUSt_chr6.29604 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASPSISLVAGDRADRRQWRYTWETLAHLPLLRLYLSHPALSAAAPVSGLCADLRLDASLLLLSFSLSGAGPVSLRVPVPRVLVDPSAPPECRAAGDHLEVRLTLVLPVDHPVVAAAFPGADPPAPLSLRDGELLVTSISLPT >cds.KYUSt_chr5.1365 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9422119:9425088:-1 gene:KYUSg_chr5.1365 transcript:KYUSt_chr5.1365 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPSCYYLLTIILLLAALALATTTTSAVAAAANGSCVAAERAALLSFKAGITSDPANLLGSWHGRDCCQWSGINCSSRTGHVVKLDLYNHFFEEDYKGNVLSHSLSLRGQISSSLRSLRYLKHLDLSANKHLGHGMPIPDFVGSLDRLVFLDLSFMNFSGRVPQNLGNLTKLLYLNIFNYYGATHSDISWLPRLQSLQSLELGGVNLSSAVDWFHKVNALPNLVALSLDNCALNNSLTHTTTASPPLLHNLTLLQLLDLSQNHLNSRAANNWFWGVTSLKSLYIYDCGFAGAFPDELGNLTLLETLHMPDNNFVGMIPATLSGLCNLQSLTLSNNIISGDIADLIYRLPTCSWKNLQVLSLEGNNITGTTLEAVLHLTSLQVFNVISNDLRGRVPVEIGTLANLTTLYLADNGFSGVLSESHFAGLTNLKQIDLSNNHLEVIVGPDWVPPFNLNWAEFGSCHLGPRFPQWLRWQKDIDKLHIPNTGIIGELPIWFWTSFSRATSLDISLNQISGKLPLSLEFMSVRRLLLQSNQLTGLVPLLPRSVEILDISRNNLTGFVASNVGAPSLQFAILFSNSITGAIPKSICQWSKLLVLDLSSNLLTGELPDCGTKQLKHWDTSSNSTSIAKSKSSSSLEVRTLLLRNNSLSGGFPLFLRQCRNVVFVDLAQNRFSGKLPVWISKEMPALVILRLRSNNFSGEIPIGIAKFPALRILDLSNNNFYGAIPQHLAGLEALAATDKALVPAENPFEEEYQEMYWSSDTGLFNDSLPVVIKGKVLGYRENVIYLMSIDLSCNSLTGKIPEEMSYLAGLINLNLSSNFLSGQIPYKIGNMQSLESLDLTKNHLAGEIPWGLSDLTSLAYLNLSYNDLSGRIPSGRQLDTLQTDDPASMYIGNPGLCGRPVPKTCPGDQPTQGDSVEWHKHGLFQMDFLVSLVVGFVAGVWMVFCGLLFAKKWRGAYFRLFDKLCDKVYVISVVTWHKWSGINGEN >cds.KYUSt_chr4.22385 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140662733:140665603:1 gene:KYUSg_chr4.22385 transcript:KYUSt_chr4.22385 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMSEMVNIRKVIESFFLVMKEDIYVLIRIQSLVALVTAMFFVMFIVDFYRCRSRSSFLNKVFKAVDSLSDRIVVYLIGSMQAASFGNQMFPVWAVVLVSLRASLGYLSGYGIPDQSRRLSELGNVIKFMGAGVLTGTRALQFTRPLWSLWALLLLKSLYRFVAHEMAIRSLLHGRNSEFIPEYMRNRPRDREQGGGEQDSNNDKNYLVCGESDKNIILKRPSYTLQLEGVEKTSLITLDKISGCKEPLLSSSSGEGDRYKDISVAFTLSRLLRCRFEDVNLQRESIDSTRNLIKSKITGSQKQTAAEAAAQTATIDTVAAVQAARETETMAASAMAVEIEMSQMAGVAAVETETVPEAETAGMADNVSPETEGIDLEREKAEKEGERVFKILELELAFTRDYFHTLYPLVFWSGLSSMFFSLLLSMATFAVAFWLAVDIRTVYHLQPVNKEDNFMLHRNGRNVDVLITWLFMVFMMFKEVWEMVTYLLSNWTRLLLVSKYVQSRSWFSWFYRDGRFIRSFYNSNIAEAWHGCMDQYDFLESYNYKPFFRKMANAISLGKMPQDLDGRKRGEAIKVPNCVKAAILQVLRDVNLNNTQLPKEIPSLSGEKFKRYSWACRELHTCSQVILVWHIATSLCEIELARESKIDMEKPGFLRSTWSGLKTFATCTSKPPYLVNEKIAGQLETDYHIAISLSRYCAYLQVFMSTLLPDSFVVPDLIFEETLADVRKQLKDCNLRKCSYSKLIAIAEEAATDNLDRITDMNIVQQGATLGKALIDNEENTEDRWKILAEVWADLLVHMAPSWNVADHKHHLESGGEFITLIWALLSHCGIEKSSLWDKDETPGINAQVRQENNGETSGNQHGTEQQDSETSAPVHQVNNGETSNNQPGPEQQLSETSAQVPQENNGETSNIQPGPEQQEPETNAQVPQENDAETNDIEELDEDGTEGDEEPLD >cds.KYUSt_chr4.2436 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13585127:13589140:1 gene:KYUSg_chr4.2436 transcript:KYUSt_chr4.2436 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRLCSRDADGDLATPAPVTARRRLFDGITPEHSLGGRFWVLSPSDDEDEDDVAMDVTSPLPAAGSWRYLCHTPEEADDRDLCESIQGMARRSIKRIHRRRMQRQAALEFMAIGGVDSTMVAAVIILVVDGLAMPCAGVRGKGLAVEDKVSMADVDIKRWSGQNNGGRGGNTQPSFRGNGDGAMTRGPIDADLLHQTVQAVVAAVVAAQKAPDVSNIAVSKAPADAQNMSVPVVNQTVGTNEVETHGVQGNAKELEGAGPAKKKKEDKEACFRCKKPGHYIDDCTTPFCDICESVHHVSTACHLLHAPKPTAILHGYANEALMFFEMPCGAFKSKVENPKLAKVSVEGEVLTIPEIIEHMKRIVPYDKFHWEVFHYKDNIYRVKLPSKHEVQRLKNFGSYVCPQKDTVLFFDFWSSVEEPLYMLPEVWVRVDGVPSDMRSDYLSLWGIGSLFGKTLDVDMPFTRKNKLLRIKIGCLDRNLIPADSDVFIRRGFYKLRFEVEPGHVAQEVNMVEATNDKDGGGDPNNGLGDFEGHNDMDMDARGGEDEATSNKDGQSGNVTKNGVEGMQEHIEQMDEIDIGTLKVPLSPIDSGSVLFSSGLLRGRTTGAHAGGLSADSAHSTGVQPSGRPGKLLAVQNSYGASPRAHQQDSAAVRAGVGTGSANPELGTAPGLSADSIGSTAAQPCGRPGQLLAALSNGATPLVQQQEAAVVGAGAGSCSPNRELGRASGGCRADSAHSGAVTKAGLNVAMHYDSRKLGSPVSPQKIPPMPHSVAPAVRGAQANHEALIVSVDKNMGGSATLGNGMAFGVSAVCSNFKSSSCDLGTFMTTELSLQPDDKGCSMVQKKGEKFGVDLQNTMRDASCMKANGEIPLHFQYSPKNNIHSCALSLVENDSISHCPSIGEVIAFGGIPKPISAVRSSTRLGGQPNADMPQMEKAMKMASLRDESPTSGKFTIPRHSIINIPDSEIVRRAERLGISLGKSAGEIDKSVKGLKMVEEERILTILEKNNIDNENMKEGLETLVLSKVSNLCEDLGDEDDTTLELNDHLEHLKPMVKVKKSRQRKIYDTNNIRKSTRKRINKQW >cds.KYUSt_chr3.40985 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258704856:258707172:-1 gene:KYUSg_chr3.40985 transcript:KYUSt_chr3.40985 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSLPNRRCLGGGILTRGRRSRGHRAECTRDGGTRFTQLRNTCTMTGPTAACLELSGRFRDVTMSCGCSSRAPGIRLIKAHGSRVYMESRSRIQVCLTTVQCLAVHVKDPPSSIRRTGSGFLTGLHGSGFLLRSVGSGSLLLGRTSSFRINSSWATRCATCHITIYGPPELAGSRHCRCYKILNIDTKIVERVSTIKPDDDGGHGGADQAAPLAPPPGHHVGSPPPHHQSHDRPASHAPRLSRHHHPWTLTRHSEGKSPVILSPDRRKKRRRPVAFCFTLCCILFWLAVILIGAAVLTVYLVYRPQPPRLRLTDASLNAGYVDDLTVPGGPPRGLALNADLTVLAAITSPNTKINVVLWYMQLDLYFEGHMIGTHTVRPAPVRESPGGYVLRSVDIVISEVPISRQNAYAWRNATTHGGPVVLQLAGRFHTQLNFGHWLPYRYWVNPRCTLWLDPPPNGRLRRARC >cds.KYUSt_contig_1948.198 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:1167576:1167893:-1 gene:KYUSg_contig_1948.198 transcript:KYUSt_contig_1948.198 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLHCSPPGSCLQALDAAAPRICDPSACPALLAVASSAHDVLPDKPSVFIETMLVCLASKFIGADDNSIRARIIGVLLPAKGGGWTAAEQILGVGARVPGRSR >cds.KYUSt_chr7.35507 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221880389:221884283:-1 gene:KYUSg_chr7.35507 transcript:KYUSt_chr7.35507 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSPPLLVPILAVLLATAATTQCYADKHHGHAAKAALAPPATVRAICHTTPNPASCLASAAVHLDAAHIAASSVAVTLLPANILSVLLASLRGALTAVSSLSPVLSSTLSAPPSPSSTPLRRGAAQDCLELHAATLESLSRSTSLLTSPGESLPAVRAHLSAALTNKATCLDGLAGASGPRMDDLLSSLDDAYEHVSNSLSLVARRGAGGPASSSFQATVAKIIHHNRRLLQDEEEEDDDDNSGGGDDDNSGNDKDQNGNGDENGETVITVAKDGSGNFRTVGEAVAAAPSRSDARTVIKVKAGTYEENVEVPADKTNIALAGEGRDVTVITGSRSAADGWTTFRTATFGVSGEGFLARDIAFRNTAGAARGQAVALRVNADMAAVYRCAVEGHQDSLYAHSFRQFYRECAVSGTVDVVFGNAAAVLQACALVAGAPVPGQSNVLTAHSRSDPAQDTGFAVHSCTVEASPELLGSGVSTRTFLGRPWGAYARSVVIESHLGPLVDRAGWTGWPGAEVGRSETVYFGEYGNDGPGAGTDGRVGWAGFHEMEYDEAAQFAVDKFIYGDDWLGATSFPYDDGI >cds.KYUSt_chr1.37047 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226213380:226217393:1 gene:KYUSg_chr1.37047 transcript:KYUSt_chr1.37047 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRCFWRRRWPSGEDGGSGAGWWLDGGRFAGFPRSGRRVTADLRSDLQHGEDPRSTLPNAHGSSVCEELLLRSVKPATAMVLARIRRRLEKTMDAGSYRSFSLFFPCWGVFLHLFLDVYPFQSLPEGRNNSHTNPYDSFAASEPVYNEIGFVRGCGLGIGYRYASQNVRCCINHMLIHLKDWKMAMWYGKSVLADPVTTDAANAVRFVQHKPYVNTLEGLEAGHVIIPCRFKERLENLCPSNILKAKRYAAWDPEGDCDDDDEECRVYSMHHIILDDRGSHFAMIGPYYRSMLSAFDVEVGDIITLDLVKDEHIFNIYVHSSTGVPKLWIRTPDKAPKDLEASLVGGNNYKEDLGFENNSTRVQFFYKKEPRKKNKKAIGSFFSTASTGSWAMVSGGDAGDCATWFVTRMGYHAKIKDLPLQLNGLEEVEIPRSWNLRGAERPSWPRIAAADDAGA >cds.KYUSt_chr4.11689 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71076000:71083918:-1 gene:KYUSg_chr4.11689 transcript:KYUSt_chr4.11689 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGCVGAEGNAEPEAVVSEEAVTSAPAPARELVVGYALTSKKAKSFLQPKLRGLARKKGIQFVAIDQKLPLSDQGPFDIVLHKLTGKEWQRRLEEYRETHPEVTVLDPPGAIEHLLNRQSMLQEVSKLDLADCHGKVGVPKQLFVNTDPSSIPAAVLRAGLSLPLVAKPLVAKSHELSLAYDQSSLTKLEPPLVLQEFVNHGGVLFKVYIVGDAIRVVRRFSLPNVDAGDLSNNAGVFRFPRVSCAAATAEDADLDPHVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGTVGRPRLLNTSAPLAQLSVCSGVHPRRVALAAPLRAGADEGLRARLAAIKDSLELEKSKATLEAGGKDEANACALRRPPGRRPSRSRNPRRLALHAEDCRMRPPSPAADTQTMRRLGPLPAAVLLAHCCHRPVPLFCLLSAAA >cds.KYUSt_chr5.17913 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115798744:115805044:1 gene:KYUSg_chr5.17913 transcript:KYUSt_chr5.17913 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAPKEAPPTQPQPAAEEDGTLSATAAMARDAAVQFQSRRYAECAEVLAQLLLKKEGDPKVLHNMAITESFLDGCPDPKKLIEVLRNVKKRSDELACSSREQADSANGVGNNTSEPRGSGIAPLISAAHNATAYGDEFDTTIITFNTALILYHLHDYETSLSTLEPLYRNIEPIDETTALHVCFLLLDITLALQDASKAAGIIQYLERSFGVAITVNHNEVTSTVQPQSAQLKSPARSSTPPDSDSNTCAAGSEILSVGSFSDDTLEFESLYSTLDGGNHLSRPILNEFPRPSADLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRTEPVMLAMFYNNLGCILHQQRSYHTSILCFSKALKYSLALRSDKPLKLSTLSQDKSCLVSYNCGIQHLMCGKPLLAARCFREALPLLHHRPLFWLRFAECSLLALEKGLLTARGATSCNDEIEIHVVGSGKWRNLVINPVNSASHYSDSGGSDENGNLISLRFARQCLLNAQLLMDACEQKKMVIASDAEDCNQGAQCQKSSGQKNTISLESKVPSGPTTNANGEQKGVAILNATMQSSLAMYDDICRKENLRIRQAILGDLAFIELCLENPLKALSTAKSLMQLPECSRMYLFLGHVYAAEALCALNRPKEAAEQLTVYLRDDNDVELPYSVENHEKAPVEKDSDGEDTVAPTVTKLTLEETQHSVSLKPEEACGVVYVDLGMTAAVQGDLEQASYMVGRGLALLPNNPRALLASVYVDLLQGKAQEAIGKLRRCRNVRFRTSSVATSR >cds.KYUSt_chr7.711 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3902414:3903856:-1 gene:KYUSg_chr7.711 transcript:KYUSt_chr7.711 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSGEPLQATLGRFWAIAENSDDEGSSGEATVDPVLLPGADIGHSESYLCCTPAEEECDLSAMAMSVIRRKEEKRRRQREAAHLLRSGKSPISVVDSFLPIYACRSQLRRSPVLLPVLSPSTFLLESFNAKEWVYVHRKKRRMQFERRVNKRRSSPVSFSSTSQRSSVRFQTQTDECLGQLGQRKGLLFRYAQVQGHMALELSIDCESDRRLPRFASGKRRSLGFGNAKFIPEPAAPVSSMGILAAAMANRGGGANRGRGPSPGGRGGNRWDGGPNAGRGNFEEGGPSGTAGQEGGGVGQGNTSTVFGDGVFRADESRPNNYGGGNRNHQVRFNNGAGDSRRNFGGYHAGYNSRRFNNGNYNGRYDANRSSYNSGGLSAREQQLVKETAQALARQFVDHPSQRDSVLPTQPTLQNVNEVLPSNTANFTRQSYVPKAVPSGSQSQAVGQVQKQNAMEPRVVDTLMAGTEIDEQEGRITS >cds.KYUSt_chr1.41951 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257226194:257226985:1 gene:KYUSg_chr1.41951 transcript:KYUSt_chr1.41951 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSTGEQTPASSSPIGSPSPSDGVGRAGTFAELLAQRRCGGSDQLIPYALLTPAPCGHCGKIDDVRRARLSEHDTGGGVPDGSTPLDRRVTVSSEASLVEQVAFEGNSCSTCVRMIYRKNRWLDDGGDTPLHYAARCRNLRMLFHFICLVGDEYGHAGAALVLRKLNGRNETALHEAIRLGNNGMAGLLMWVDPELALYPAPGQGTSPLYLAVSMGDELIASMLHRESGGNLAYSGPDGQNALHAAVHHAYGQYVSPDISY >cds.KYUSt_chr3.38671 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243669795:243679895:1 gene:KYUSg_chr3.38671 transcript:KYUSt_chr3.38671 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKEVCLEGFKSYAGRTVVPGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSIVFDNSDRARSPLGYEDSAEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKESALKTLEKKQNKVEEINKLLDDEILPALEKLRKERCQYMKWANGNTELDRLKRFCIAYEFVQAEKVRESALSGVNQIRAKIVELDESTEKLNGEIHEMDKNIATLAAEKEAKLGGEMKVLSEKVDKIQHALIKETSLMNNEEETLRSEEKAADKILKSIEDIKRSIVERDAAVKKAEDGASDMSKRAEDLTKELDESEKEYQGVLAGKSSANEKKCLEDQLRDAKAAVGEAESGLKQLKTKISHSEKELKEKKTQMKSKRDEATAAEKELKARTKDLEAIKASMGSINYEEGQMEALQKDRSSEVEVVQKLNDKVRALTGELGNVHFSYRDPVKNFDRSKVKGVVARLIKIKDSSTATALEVAAGGRLYNVVVDSETTGKQLLQNGDLRRRVTIIPLNKIHTGTIPDRVQQAARRMVGAENVTLALELVGYDGEVKNAMAYVFGSTFVCRNMEAAKEIAFNRDVGSTSVTLEGDIFQPSGLLTGGSRRGGGDLLRKLHELAKAEADLSDHKDRLSIIEQKIAALLPLHKKYAELKSQFELKSYDLSLFQNRVEQNEHHKLGELVKKLEQELEESKNELTDKQVQYKKCVSTVSELEKTIKTYGSEREGRLKALEMKIKSLKSEMQSMSKQLKAHQSERERLIMEKDAVANELALLEEQLITSKAQITALSETWGTYQSKVASTKLDLDQAESELNIGRSKLKECDTQINSLSKEQQKLQQLLSDSNVERKKMENEVKRMEIEQKDCSSRVDKLMEKYTWIATEKQLFGRSGTDYDFASCEPHKAREELENLQAQQSGLEKRVNKKVMAMFEKAEDEYNDLISKKNIIENDKAKINKVIEELDEKKKETLKVTWLKVNKDFGSIFSTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPQSQHQQLVPMRQCVFKVLQASRAHANLSAAEIERLADRIIAKSKETYDAVAAVSFDKVCMQYL >cds.KYUSt_chr3.9218 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53871469:53872116:1 gene:KYUSg_chr3.9218 transcript:KYUSt_chr3.9218 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPSAAVLAAAALAARKSGAAVQGRLTKRSLLSKAAKAPAPAPSPVASAALAGGSSGQRPTTVQLLPAGMRSEPRLAVSDLPKTPAAASALGQMLTVAAVSTASGLLMSPSNLVSDTAMAGATCSSSLASDPVVCVEGLGSLSLSPVASGGSAEVPRADEALKALSLLRVASLDSDEDDDDEELVPQSPLAGSVHVEEVVAEPCDTSQTYL >cds.KYUSt_chr1.36405 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222104502:222107188:-1 gene:KYUSg_chr1.36405 transcript:KYUSt_chr1.36405 gene_biotype:protein_coding transcript_biotype:protein_coding MLINMKMTTVPAPITTTTPVFVLGRLLEQQTSKPSSTSPISDVQVVGAGTLHADAVFSSAWPPDVGQTCGKVIQSIFVPNDSRSRTWDPRGSRLDCFRAEYGVSPFGILPVLVSFRSGSYHKLPVSTSLPGDRSSNGDRDTYARNAAIRPYTL >cds.KYUSt_chr3.30522 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191299829:191300158:1 gene:KYUSg_chr3.30522 transcript:KYUSt_chr3.30522 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESSTSPYSPPSLRHKLRTTVCGCFGSPDSDGERPQSGGRAKWRRRVAATGEFRYDPLSYALNFDDGGSDDSDEGADAAFRYRNFSSRLPSSPKPAAARRLTAVPIA >cds.KYUSt_chr2.41463 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257955382:257959303:1 gene:KYUSg_chr2.41463 transcript:KYUSt_chr2.41463 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLSTMPGSSARLLDTAGRKDMNFFRNRYVLGLTGVAGIGGFLFGYDTGVISGALLYIRDDFPAVKDNLFLQETIVSMALLGAMIGAAGGGWINDAYGRKKSTLLADMMFAIGSLVMCAAGGPYILILGRLFVGLGIGIASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLVNLGFTEVPGTWRWMLGVAAVPAVVQFVLMLFLPESPRWLYRKDEKAKAIAVLEQIYDSDRLDEEVELLASSSMHEFQSNCTGSYLDIFRSKELRLAFCAGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNRSALLLSLIIAAMNASGTIVGIYLIDRCGRRRLALTSLAGVVLSLVILALAFILQSSSSLCGSLFSGSCQGVLGWFAVGGLALYIAFFSPGMGPVPWAVNSEIYPEEYRGMCGGMSATVNWVSNLIVAQSFLSIVGWVGTGPTFLIIAGIAVMAFIFVALYVPETKGLSFEQVDLLWKERAWGKQGSHESLLGAAP >cds.KYUSt_chr1.40993 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251414847:251423292:1 gene:KYUSg_chr1.40993 transcript:KYUSt_chr1.40993 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPVTGKLIAPLRPPASVRYGGGGVGFRSTRPSLTAGAVRFRVSASASDSDVPDFLSSDWLETRKKKPFGPRLNYNAEEAVEYQLEALKYNDKPRPDYGVEVMYRFAGFDPFERSTYFGRQFDLGQFERFRRIFHHSTYRVLLAHKEREILSRLWVEENQFKQRVWVRGARPEEEEIFQFTMIQRVGGSWDGYWLTESLTNDDGDAFTGGVAY >cds.KYUSt_chr5.35434 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223882628:223883563:-1 gene:KYUSg_chr5.35434 transcript:KYUSt_chr5.35434 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPVLAGCLLLVLVAMAASPVGAEAPAPAPEKSKTTSLPAAALAKAHGAAAGVVGTLEAGVAGTLGILKNTLGAHPGAKGAKGPAAAGAPQEDVAPAMAPAGAPAAAGSRRHGKGAANAPASGAPSGAPAGAPEHGSAAMGPAAGAPEYGASAMAPEAGSPAHHAFTMELGAFGPTAESEEAEEAPAGAPEAEAGAEGEATGPSASEGPDAAAGPGSEASSEEAAFPPDAPIAGEAPMAEVTTSDNSAPATEATPAANTAEGPAAATAEAPGPDSEEADASGASVVVASGGLGAVVAFLVTVVSVVAAL >cds.KYUSt_chr7.18769 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116285856:116288379:1 gene:KYUSg_chr7.18769 transcript:KYUSt_chr7.18769 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIATRLHHSPPALRRGGPPSNPFLRFASSPRIVIRSRAAATPPLGPVAARGDGDEDEALLYPEPRRNPLSLAPLLVAAAAVAATPQTALALSGGSCGGSDSSSSSSSSSDDSSTDSIDWSSSSWSSKQKKKKEGVEATRSRVETLDTLRRHQSFCISSCLSVVRKNGWEDEDDSWKAHFDRVSAQERRKFDEETLSNWNGVKQKKTYSMKTDGSKNEYVVVTILVAAEGTMELPEAIRSAADLDAVAARLNSTPESELRGVRVLWTPQDADDVLSEERMRKDYPNLKPLAR >cds.KYUSt_chr7.11802 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72468417:72469169:1 gene:KYUSg_chr7.11802 transcript:KYUSt_chr7.11802 gene_biotype:protein_coding transcript_biotype:protein_coding MLESAIPALWSTVHGWFTPAVLFLVLNIVIGTIAVSSKVTSAAAGGEGGAAEAAAGAAAGGEKRGLSRVPSMALDRLRSFRLSRHAAAVPEPPVAGFVDLGLDEYLPPLEKEDAALEVGEHQGEQEHDHAHIERSMSEAAAEPELPRLPARLRKSASDKSAFAHFVAEEDVEAVESRRPATTRDRPRRPLVVAEPEEPVSEEEPEEAAGEVDARADDFINKFHHQLKLQRIDSFMRYRDTLRRGQATAQQ >cds.KYUSt_chr1.24782 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148382207:148389791:-1 gene:KYUSg_chr1.24782 transcript:KYUSt_chr1.24782 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTAMGSRVLGGHGAAARAAASALRNRAGMGLPAGRHIVPNKPLPENDELVWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLSFFAVLGLAAVVNDKASKIPYMEDNIIDGRDRGGRRIRTNPLLLGLRRRARGGACVGKGGTTRRFARVVIEAVHDLGDIATWTTTHFVKFCSEANTVAKGPTSFFFYSGGRRGGKEGATASSNNEVAEYLLKRCGSSGRRPFLAGLGGEGERVCLLVRSVVKLLAGRGGEEELAQAGADSSVSSIRSYLLWFRLVVTGHRIGFSLACRGGEEGESTDPSLSAYRSQALPK >cds.KYUSt_chr6.23078 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145826692:145829776:-1 gene:KYUSg_chr6.23078 transcript:KYUSt_chr6.23078 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTEDSTRPVTANSMSLTTGEEVLPDKLRLSCFHDAGAEAEASILADLGRPMCMDIIMRLYEEALGRLPVADMPELSACLLRGGHCIGLLDPVSNIIFNALNLLCRRRCTGATTGGKKNRGKGKERPCDAAPSCLLIALQSNAGLVAFMRTYFRYLSEGQARRYLRLAGADLAVAVQLGEHQQFTPHATTGAPRDLRSGRTQFALKVAAARAEHPVPDDLAMLARLLVPLNKDHAAPLAAVLEKGRPLSVGDVNGILDFFRLQHCAASPAVQVTFLRSPQPTDAEIRSLFGPEAAGRPAHFFCPVGENHVADVVISRRDDVLPVSTICDLRTPDEMKQMLSACLDAAAATIARCKCSPPPPPLAIGRRDLPTTTPSTAARECDHTRYLKACLADTIHALYIKAFSLLPHKALHRHLRGILVAGHCYGPMDPASNIILNAIWYDTVFPLPEIDAGFEPDILDSESMLRAEVRALESLVALVTTATGFSEHMAVEYLCHKQCDLSAVLQTATEEVCYKAYVCAGQAGKHPKHLELAAFLMSMAHNALKDTLLTDKAMKKGYIISDAVMEQVYKIMEDQTSSISPSVDNPRLCPPARKMLASRKDEFVKKQKFLGRVLGEFLLHYSNQHPWEPVPRLDVICGVKKDYSRHSKFYHVNFLVYYDDVSSARRCTSCEPISCKIVHPPSGNHTGANYSQLGKLFEYLNLRDYKPSAADSITESDFVYFDCHRDTKFAEGLNDRSSLEKKKALIIR >cds.KYUSt_chr4.8610 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51717838:51719153:1 gene:KYUSg_chr4.8610 transcript:KYUSt_chr4.8610 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPGPLHVRRFLHYVATCGSENMQLLLDGGSGGHNAAIQAFKCLWPSETFPRTVSMPSVPGLRNVVPGFMNGDALPHVLLQTPLCCLFAHGMKTWTWMLWPRSTLVLLLTPIRFLPPSAASELTKWPTHYGSVSTFIPAPEDFEDAQSDDEVEETAEDDFVP >cds.KYUSt_chr1.20624 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121804611:121806621:-1 gene:KYUSg_chr1.20624 transcript:KYUSt_chr1.20624 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSTTVPSIVVYVTVPNREAGKKLSESIISEKLAACVNIVPGIESVYWWEGKVQTDAEELLIIKTRESLLSALTEHVKANHEYDVPEVIALPISGGNLKYLEWLKNSTREN >cds.KYUSt_chr6.28878 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182999689:183000117:-1 gene:KYUSg_chr6.28878 transcript:KYUSt_chr6.28878 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPATTTSTAYGCAACGADLNLSAAHLYPAGCYFEAGNKGTLSFSWVDESRLRFAAEDRIRPFFETLDYWGIQRKRTRISCDACGRLIGYVYDDGPPLMEGTGQFGMGPSQVIPRRPRYRIKIKAVTTTTGNGASAAAAAR >cds.KYUSt_chr1.4055 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24684358:24685877:1 gene:KYUSg_chr1.4055 transcript:KYUSt_chr1.4055 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRKFFYRKPPDGLLEITERVYVFDSCFSTDVFDDDDRYRVYIGDIVAQLRSHFAGATFMVFNFREEDGLGRPSLLGGILSAYDMVVMDYPRQYEGCPLLTMEMVHHFLRSAESWLSLAHHNVLIMHCERGGWPALAFMLAGLLLYRKQFIGEQRTLEMVYKQAPRELIQLLTPLNPMPSQIRYLHYIARRNVSSDWPPGDRPLTLDCVILRNAPGCNGEDGCRPIFRIYGQDPLFSTDDIPKVLFATPKRSKYVRHYKRADCELIKIDIHCHIQGDVVLECISTDAAQEREEMMFRVMFNTAFIRSNILMLNRDEIDLMWDAKDRFAKEFRAEILFSEMDTPDELDPMEMMAGIGEKEGLPIEAFAKVQEMFSIVD >cds.KYUSt_chr7.34256 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214056428:214057837:1 gene:KYUSg_chr7.34256 transcript:KYUSt_chr7.34256 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRTIPRDRAALLRAIAGRPGRREEQALHCLACKLGLASDVVLATALLARYGKRGLLAPAQRLFDEMPRRDGIAYNAMLAALGASGRMADARRLFDRMPEHDRTAASWNTVLTCYCRGGDLVSAREVFEASLRAKMSSVVSWNAMIDGYCKAGRMDAARELFDRMCLSLRDVVTWNTMMAGYLHRGDPSAAIAMFRRLMQRQDDQRTPRPTAVTMSTVVTACVQVGDFALGRAVHLSIRKLRLTPDAVLSNALMDMYFKCGSVDRALEVFDTMPGTPNLFCWNTVIAGLGRNGRGEDAVRAFRDMVETSRNTKRSEARPDAVTFVALLSACSHSGLVAEGRELFAEMLPVHGVAPGEEHHGCMVDLLCRAGLLRDAVQLVRTMPVRPNAKILGCLLLHARNSGEEGVRVSEWAAERIAELDLRDGAAYGLSNMYASLQRWDHVERHRSELANAAAVANGKEPGRSTTV >cds.KYUSt_chr6.7430 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45138330:45138803:1 gene:KYUSg_chr6.7430 transcript:KYUSt_chr6.7430 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNEIARLGVLVSEVDRPVQPPLPWYATGFMPPGLMEVEARRRALEDLAPQPVQPPPPPPSYNPWAAPPPPPSYNPWAAPPPPPAWAAPPPPPEWAAQSPLPAWTAPSQPPAWTAPSQPPAWAAPPPPLSAAPAEHRRLPTAVAGTGARRDDDGDE >cds.KYUSt_chr4.7904 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47086761:47090125:1 gene:KYUSg_chr4.7904 transcript:KYUSt_chr4.7904 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGSSWASAVCWRAAVDVRGDCRYDKKRRLQQGHAGESSSLLLPDEDLESLFSSLTIGNIVSEGNSGDSCKNRKRKSGQEPAGYYLTNRLPDDSLEKMLSSLAIQDAAVTSSVSGRPEEQDYSHLVFSGHNLFSEESSVCTTSTECNDPESWSLRSDEFIDRVNNRLLCHDGTGVNVFEVHFDLNSTHAAHLDKWVQFASKSNAHSEPNFKLYAKKFTVLKYINLYILPSWNNVLAVAYLLQATPFVTRLRLEMKAYGGEQHHLENVQVSWPEDISLQKLHLILVGGFAAQPPLIGLLACLVGVAPGLKFLTISPRYHRLKRMGTWGREKDGAKAARDHARKVAREAIGLKLPSSVRFALH >cds.KYUSt_chr7.4564 pep primary_assembly:MPB_Lper_Kyuss_1697:7:27598657:27600459:-1 gene:KYUSg_chr7.4564 transcript:KYUSt_chr7.4564 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTPKRRRRSAKQPRGVDYISALPDDLLLLILAGLGCTAAAARTSGLSRRWRGLWARLGDISLRDVAFESLAAVLSLVARPPASAISLLEIRVPRQKRRVPREHWPVREDVASLARVAARLAPEKIVLALPPKGSIPNPADFHLPCFRRAVSVVLESLPFVLRAPPAAGGDFAALHTLRLLDCIVVDTELSALLSRCPRLRVLELRHKVTTWPGVRARRRVRSATLQELVLHSERPWLSSVHIVAPMLKQLTMSFWAHKEATISVSASTMQKVSWRCSYAQNAIGFGLWTLHKLKLETAETRPGQLPSLQIYAGNYKEACHANCPCEPTDWRTQIIALTALEEVGIYGFQGEDHEYDLLKLILGSAPMLRRMTVKLSHELSSAKDDPRTKIHDLVAYSSVECLAYLGPHVVPFDMPITSSYAAEFM >cds.KYUSt_chr2.50579 pep primary_assembly:MPB_Lper_Kyuss_1697:2:316190329:316193805:-1 gene:KYUSg_chr2.50579 transcript:KYUSt_chr2.50579 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDQQQPPPPQPPRLGSPPATAGVMMPQNAYGPAPAMSPGSANVMQGMPLAFNPMASPTASPAMKPAEMPVAMYRPDSGQPGIQQQHPGSGAGAIVISGSGGELVKKKRGRPRKYGPDGTLGMGPKPATEAGRQSGGAGSKSNSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHIITVKPNEGHYEILSLSGSYLLAEDGDTRSRTGGLSVALAGRDGRIVGGSVAGMLMAATLVQVVVGSFIAEGKKPKEEQVKREPTSAPMQTAGFVAAASAASPASDGTSSDHSDDPGSPMGPSPNGSALNNAGHPIHASYAPVGWSLSGNQSRYDPDLKIMND >cds.KYUSt_chr7.30730 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191261041:191264453:-1 gene:KYUSg_chr7.30730 transcript:KYUSt_chr7.30730 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLTTGEGRGPWLQSKNGFLGRQVWEFDPDAGTPEERAEVERLREDFTKHRFQRKASQDLLLRLQVGSVPAALGSATREVPPTSEKVEALLWLLFGGEFGDADKWTGLTGGVVASVTARLCPRASWQGCFFAVVRGLGRAVLRGVRLRRRRSWLMASTWRLGGGLGRSGMKLQLDGGGSLGAAARWLG >cds.KYUSt_chr4.9288 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56032934:56035099:-1 gene:KYUSg_chr4.9288 transcript:KYUSt_chr4.9288 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLVGLRLAASAVPQPRSRRSSCAAPAQHRFSPLRRGRLCARAAVAGPPEVDEDEAMSIDNLCRFFDLNVGKWNGIFYQFDAHGRIQQEITTRLSVSTYGEGDLTSLMQSLYIKQASSEITFVGEEDSEPEWAEYKIKETNMFTVDKYQQIGFFPEQKAFALRYQTAGMLETVLRAGVLGEDDTGEESPKNLKLPSRKPSIVCENCLYSLDGNGRVRAFHLSDPNGVLDTLIVFHENQGSVVPLTDSLTDDPEIPSNDRINAVLGRWEGHSVTKRSGVYGATLDEADTVVLLEMDNGGQLIQDNISTKTGTSTTTTIHWTGVANNNLLQFDGGYEITLLPGGMYMGYPSDISKCIQQLDSFHLEFCWMESPGKRQRLVRTFDSAGLAVSSTYFIETKV >cds.KYUSt_chr4.25804 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162310040:162313695:-1 gene:KYUSg_chr4.25804 transcript:KYUSt_chr4.25804 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSADLCFDDLAASLDYGFACTPTAKPSPPLAWSPPAWCPRRRVFSFLLLDAGGIVPRPQPRHRLHYLLPLLRCSDQLDETSSTLSRSFDPIPQQWSSDTNYDLLISAMVS >cds.KYUSt_scaffold_6468.380 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1896890:1901103:-1 gene:KYUSg_scaffold_6468.380 transcript:KYUSt_scaffold_6468.380 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAAARREAEAPAGDLAAQMEKNNISRQALQGIYLKTRRSYNIKAMQNAAARHDRLSELPDNILLDILERADTLDALRTCILSKRLMRLPAMFSHFDINVGSLTRHHDTASHGNLTITHLVRYNNVLASVTEKILSARSLKIPTIHKLRVTCYLRPDECLPITRAFADTMATHKVDNAEFVSLVEKPFSECNFGDLLCHAKRFNICLGDCPAAFAGLTSLWLCNMRFRELDISNMLSTCKRLKHLRLSCFDGGYRCVLLVEHDQLVELHIEKGEFKTVHLIRVPKLQRLTCAGWCYPAPLAFGYVPELSKLSLVKRGISSTFVLQLSQLLANVPSTRDLHLDFQSGKIWVVPECSKLLTPMIRKLEIVNLDNLPEGCDNAWTMFILEAAPNLRELCIRVWDHWCKMVTEHDDQRKHGYSEKANVEWQPLAPGFKHKNLLKLTIYGFQPDENMVRYVRIIREVAVNIKEILLHDRKACERCGDLDPKTKVITRSRVYPNNLVDSFCDDFSQALVCVGDSQIPSQVPDSQPTYESKVTPLPVSDLVAQVAAEVAARLATTKKNKNCREVEKALKSGQERNATMKWLPFMSSFVLEKMCGLTQSGVRTDKGFKEVHLNSVAKGLAEHYGVSVCSTQVYNHLRKWRQRWLTISRLRDLSGAQWCKDTKCIVLEGEHYCGHVADHPKDAEFLNVPIANYDEMHTIFSFGLATGKYAMGSSEPLGSAAANTAPEDALVEKRALVPVRNCH >cds.KYUSt_chr7.34273 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214188557:214201155:1 gene:KYUSg_chr7.34273 transcript:KYUSt_chr7.34273 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLASILPAACSTSAPALVLRALPRTPLRRALASPPRMSSSSSSSASTAPSPAAAAGEKPTAAPYGSWKSPITADVVSGADKRLGGMALAGDGRLLWIEGRPEEKGRMVIVKEGDKPVDVIPQEFAARTLAQEYGGGAFAVQDNVVLFSNYEDQRLYKQLTETASLPAPLTPDYGAPDVSYADGVFDPHFSRYVTVMEDRRTSSLNPTTTIASVNLSGGDVHEPKVLISGNDFYASPRIDQNKKRMAWIEWGHPNMAWDKSELWVGYFSESGDLSKRVCVAGGNPMLVESPAEPKWSPKGELFFITDRGSGFWNIYKWVENTNEIVPVYTLDAEFTRPLWVFGISSYDFLGRSNHIVFTYRQLGKSYLGVLNCDSGSVSLLDTPFSDLYNVVTGDDFFYIEGASASVPLSIAKVTLDENKTKAVNFSIVWSSSPDVVQYRPFFSTPEFIEFPTSSPGKNAYAYFYPPLNPMFQGLPDEKPPLLVKTHGGPTGETRAVLDLSVQYWTSRGWAFLDVNYGGSTGYGREYRERLLEKWGIVDVDDCCSCAKFLVENGKVDEQRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYIDNLVGNERAYYERSPINFVNKFTCPVILFQGLDDKVVPPDQARKIYKALKERGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGKFEVADDITPIKIENFD >cds.KYUSt_chr5.22654 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147831514:147831897:-1 gene:KYUSg_chr5.22654 transcript:KYUSt_chr5.22654 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGGGPLLLCGSFVRGWQPAGCCWSSTAPWRWSPDRARSGPSTSSAARSVVALLRDGAVVVAGVCRPVELQAGFGQLRGDGGLEVPGESLPGYVRPAVAAPPGVVPSLEAPLWSTLGLQARIRLFG >cds.KYUSt_chr1.28284 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170923656:170924306:-1 gene:KYUSg_chr1.28284 transcript:KYUSt_chr1.28284 gene_biotype:protein_coding transcript_biotype:protein_coding MTREFVMEATWEEFARGIGYDLPDNETNFFGIHLQPKPMAKEKMANLYIPGRMLCGSAYHFLPVYDIMNRIYRSTINPKHTNHDEVHVFLVNLLVRTDEMKVRGKQLDVMDYIWHEMRDCAFLRKLPQYAPYITRLICLKWDEAGRWDLMEKCRPLTVHKEKSPLVKKHDSPRFGKKAPKDTEEEEADSDDSDFVPNSVKTKGLFAKLTARLKKSF >cds.KYUSt_chr2.8604 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53981817:53986295:-1 gene:KYUSg_chr2.8604 transcript:KYUSt_chr2.8604 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWMISAASVKNSSSDSSSDDVSMKFLKNRSRGRWILQRLRRRSYTAVGVGDAVDFDSPNADAFVAFDSSSADAFVAFASPSADAFVADGFVALAVDIFVFGFASAVPESDAFEDQWEEERYLQSIEIPHPQEIARGEEQEVDQLKDSYGSTDLFSESEEFCHISESDDDGVFSNKFKLGDKGYESVVNLVEQGLAEGELYQMDMNEDVTSRNNRIVCAVIPLLRALTEIEICTRPEFYHGFLIPGETYASVFEGEANNMQVHALAHALGIPVILENLDVNTPGQLNTLHIGRPVESEAEAPLALTLLYRSGHYDIIYPK >cds.KYUSt_chr3.15887 pep primary_assembly:MPB_Lper_Kyuss_1697:3:97630041:97632721:-1 gene:KYUSg_chr3.15887 transcript:KYUSt_chr3.15887 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPILIPLIGLWESLDSKVLPNPKDRLRHLGQSCSPHDNINNAQGALSTQHLKTRAFAHVVFSDFNIDMQIMLLAWCPRAWRNQLTLKIPYVLIAAIMTLILNDHVATKDATAAVKVLEILPRLRS >cds.KYUSt_chr4.8387 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50125250:50127687:1 gene:KYUSg_chr4.8387 transcript:KYUSt_chr4.8387 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGVASTSDELQATREASRRRLRVRASAARAQPTPLADVLREHALVHLTPAAAARLRLVHPSWARRISSPIFAVAHAAAPRRLSGLFVPSAGFLPFDGADDAVPSPSLSFAPASSDVTVLSSSHGVACCFSPADDALFVCNPATASWSAVPSPPCRTWPRPAVVVLFDATPYNFRGDYALVCAAECDPGSGAYCFQVFTSGTGSWQFADAVAPAEGLVAASGVAAGGTAWWRTSVGTAVGYCPETGRVEVVLCPGDSAKWEIGSAGGKLHCAVRDGDGVAVYQLGEHGCWEVAAAWVPVEELLPRSQIKPAQHENAEGEADGEATGNELVAQSPVIVESEVQKLDDTVQLLGFQGTGLEVVVLAGRRLVAFDTETRRRREVRVPVRTEEKQKQWDGDEYAVHTNTLALVAPAVLAGEPVLVESPDDEFTDFVAGNKPASLHLRGAACDCCRLPVEVLFDGRGKIYLHTGWEKFARYHDLEPGYVLTFSYLGEGDMSVEVFEETRCHRNYHSDTDKEDD >cds.KYUSt_chr7.31145 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193952114:193954430:1 gene:KYUSg_chr7.31145 transcript:KYUSt_chr7.31145 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWRSREAAVGEVAARRGRVPERGGADRQAAAQNLVRLLGCCVEKDEKMLVYEYLPNRSLDAFLFGVKIGFDLVTHGTCLHMTEPNCRDCTPGLEDEVEHHPGHLSRPLYLHEDSYLKIVHRDLRASNVFLDNKMNPKISDFTIAKIFEDEVIKVNTGFVITCLGLFQIVANLCASRRLLRKNLGGPGEPGLGVAWAGGDCPLGALGREDAWAGGDCSPRAPGRGDRPGSEHLGEEIVLHEHLGEELPGLEVQGTEEELQRTEIELLQPEELMGLDQERPDEEIILHEHLGEEIGLHKHLGEELPGMKMQGTEVERWSCWCWRWSRWRRCERAEMELLVLEVESLAEMKSGKGTDSVQG >cds.KYUSt_chr6.3038 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17803105:17806163:-1 gene:KYUSg_chr6.3038 transcript:KYUSt_chr6.3038 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPMTCDHVDTASAGEEDDGLTGLPDDVLLDMVQRLVNVGDVHTVGKTCLLSRRWRHLPRYHIPHVSLDVGDFFLASGWCPAEVARARRGRRDWFLPCQHHASQGLVQSLWYFLEAPPSVRVIETLKLKMILTKYELLRRVGRLVGEAARCGRIKASGAIELELLTERRDLCGTWDATAELMLGYGARFTQFLRGCPRAFRVLTSLAVENLRFTDPDAITNLVRHCCALEFLSMRFCGFVPPTTVMVVDAPPESRLRTLLCLECNVPGITILQAPSLVEFYCGWRVLVEEDEGAQPPPASFGCTPQLKKLTLQYEQYEEAYDDEEYHSEWRLSEFLMLEPHQLQVLTLSFEATKIWVQPEYPKYLRPALGGLKELNLEKIHPTNDFTWLVFHLLGAAPRLQTLHIEVFNHVCHPDWHKKLDIETDTVCMPPLGFKHRRLKEVSIRRAFHVFKDMPFARRILEMAVKLEKLTLGVEDLGCDGCTVTLPRWPALASSRHTFNRASKDVDMLVEKLKHGIATSAQIQLL >cds.KYUSt_chr4.50712 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314188263:314189373:1 gene:KYUSg_chr4.50712 transcript:KYUSt_chr4.50712 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSVKVFGSPTSAEVARVLMCLFEKDVEFQLIRVDAYHGAKRMPQYLKLQPRGEALTFEDDNLTLSESRGILRHISHKYAKQGNPDLIGSGALERASIEQWLQTEAQSFDVPSAEMVYSLAFLPPAMPQQHQNGNGNGNGNGYGNNNGNGNGNVNGMGKGIVNGTGNGAVNASTKRVLAGAPPGTTKEKEEEMRRLFEKSQRELEKLLDIYEQRLEEAAYLAGDKFTIADLSHLPNADRLASDPRSRRMFERRRNVSRWWEDVSRRESWAYVKSLQRPPSSNGADADADAKHAQNGQKRVDGDNDSKLQRNQYGDNDNNHQRNQYGEAKDYQQGRPSADGRY >cds.KYUSt_chr4.7288 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43148410:43148721:-1 gene:KYUSg_chr4.7288 transcript:KYUSt_chr4.7288 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr3.10509 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62428487:62429743:1 gene:KYUSg_chr3.10509 transcript:KYUSt_chr3.10509 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVAGAMLHVEVAILSVVLEMLPVEVPMLPVAGEMLQVEVAMLPVAGGMLHVEVAILSVELEILPVEVAMLPVAGAMLHVEVAILSVLLEILPVEVVMLPVAGEMLHVEVAILSVVLEILPVEVAMLPVAGAMLHVEVAILSVVLEILPVEVAMLPVAGEMLHVEVAIPSVVLEILPVEVAMLPVAGAMLHVEVGILSVVLEMLPVEVPMLPVAGAMLHVEVAILSVVLEMLPVEVPMLPVAGEMLQVEVAMLPVAGEMLHVEVAILSVVLEILPVEVAMLPVVGEMLQVEVAMLPVAGEMLHVEVVILLVALEMLLVEVAMLPLVEVAMLPLAGEMLRVEVAMLPVEVAMLPVPGEMLHVEVAILSVVLEILPVEVAMLPVAGAMLHVEVAILSVVLEILPVEVAMLPVAGEMLHG >cds.KYUSt_chr6.5697 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34179194:34181745:-1 gene:KYUSg_chr6.5697 transcript:KYUSt_chr6.5697 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCSWIHGYTAKSNGGGNSGFTCGYAASCIPAAFQCKEQEQHELLINREMNVDDEQAAAYSQHCSSVVDDFDFLTNSYHATGGGSFPSSSPTSSSFRSASLSCSQEISSTAAPATGLQFPEVSSLVALAPGVVIPYDDQYVANLHETPAAMAPGGMSRSVSAFRRYELHLAPLRRLTKPACGQRMFKTAMSVLAKMHTGMRYNHQQQQQQHHSYQQQQASAAEPSGDKLLHMISERKRREKLNDSFHALKAVLPPGSKKLDKTSMLIIAREYVNSLKSKVRELEDKNQALQSQLARRATGAEEEEAGAGEKVEIQITRSAVAEHDQTGEVCTVHISTTPARSTTTDVVLRTLQCLKEQIGEDVSLVSMSTDDRPHRANLTLHLKLASGVSWEEEAVRKSVEKALMIGRDDDSTTTTCRSGPQ >cds.KYUSt_chr3.14920 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90806656:90812099:-1 gene:KYUSg_chr3.14920 transcript:KYUSt_chr3.14920 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITAPPHPPLAVFSAVPCRGLRFRRAPLTRVAASSSSASFSSSSSSSSYGTGGSSGAGGGGEIHYVSPPPPPTTPPGAPVYVTLPADAVGPGGRVARRRAMAASLAALASAGVTGVAVELWWGLVERGCPGEYDWAGYLDLAAMARRYGLRVRAILAFHQCGAGPQDPFWVPLPQWVLEEMEKMPDLSYTDRYQRRNKEYISLGCDILPLLKGRSPMQAYSDFMRSFRDAFKDYLGGIVTEVQVGMGPGGELRYPSCPTEKLNQPGSSSELGEFQCYDKFMQASLNARARILGIQEWGDGGPAGIDDTRQNPEETNFFRADGGCWNTPYGRFFLEWEGFWHTLALLYMFTPI >cds.KYUSt_chr2.6942 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43358749:43364361:-1 gene:KYUSg_chr2.6942 transcript:KYUSt_chr2.6942 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTIDFGRKTRSQVNWSGPLRPVNVIRNKFPTYKNGSNGIVIKLADDPEIPSLKETVAKETADLLDRRQRPSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKSVLESLRGQVSGKFKDEIEESVSMVDILAIQLSKRENELLQQKTEVTRIATSLKLASEDARTIVDEERANARTEIENARAAVQRVQQVLKEKENCSQRIGKQDVDELREKVQEARRVKMLHCPSKAMDMKNEIHVLRDQFAEMASSSAHLSKELELHQKFEENDAPSYELEGLESLGSMLRLVVRNNVSLSNASVQWFRVQPEGSKTEIISGATKLVYAPEPHDVGRYLRAEVNLGGEISVAKSAGPVDPAAGLVDYVETLVRNPETEYNVVVLQVNGISQPTDSIHVLCIGKLRMRLATGTTVVAKEFYSSSMQLCGVRGGGDAAPQAMFWQPRKDLSLVLGFETARERNSAIMLARRFAIDCNITISTSSPHSSDYLSPNFYSQPVMARYSMGFLVALALCACMLSALAARDLTDDSSTIARHEQWMAKYGRVYSDAAEKARRLEVFKANVEFIESVNAGNDKFSLEANQFADMTKDEFRATHTGYKLPVGGSKSQKMTGFRYANVSLDAVPTSMDWRTKGAVTPVKDQGQCGCCWAFSTVASMEGIVQLSTGKLISLSEQELVDCDTSDNGCGGGLMDNAFEFIVQNGGLTTETNYPYTGTDGSCNSNRASSSAASIKGHEDVPANDEASLQKAVAAQPVSVALDGGDDLFRFYKGGVLSGDCGTDLDHGVTAVGYGVADDGKKFWVIKNSWGTSWGEDGYIRMERDITDKQGLCGLAMQPSYPMA >cds.KYUSt_contig_1181.1316 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:8531153:8531722:1 gene:KYUSg_contig_1181.1316 transcript:KYUSt_contig_1181.1316 gene_biotype:protein_coding transcript_biotype:protein_coding MACFMKAVVFGAAVLAAFALAAEGRAARKDLGLGLNLGGGGGGGLGVGTGGGLGVGTGGGVGIGSGIGVGVGGGGSGSGSGSGSYSGSGSGSASGSGSGSSSAGSGAGSYAESGAGSNAGSGGAGSHAGSSAGSYAGSNAGNGGSGAGSYAGSEAGSYAGSGAGPHGGSGAGSYAGSRAGSYAGGGHGK >cds.KYUSt_chr3.3422 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19489461:19490920:-1 gene:KYUSg_chr3.3422 transcript:KYUSt_chr3.3422 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRWALLLFLVQLPTLATSSTHGDRNLTPWCHPDQAAALLQLKQSFLFAATTATLLPSWQNGTDCCLWEGVRCDASSGFVTELDLNGYGLYSRHGLDPALFSLKSLRRLDLSMNYLGDYSCNICGNQNFESLTSLTHLNLSNSGLVGQIPLGISKLVNLVSLDLSSHAVFGDGGDLTSVDGSSNYLQEANFESLVANLSNLRELYLDELQIMSSSGEDWGKALAKSVPHLQVLSLRRCGLNGPIHHSLASLRSLVAIDLGSNYFPGPVPEFFADFLNLSVLQLSDMELQGWFPQRFFQLKHLRVLDLSSNPNLSGHLPNFSHSSSLDTLRLEGTNFSYYKPSSSANFKLLRELTLDGKFISKDFLSSVGVLGSLCQLKVTLMDSQKDLGSILSWIGDLRNLMSLELYGCNFSWTMSSSIGNLKALRSLKMIDCNLPRPILQPHKFKKLVYDEL >cds.KYUSt_chr4.16638 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103426665:103429661:1 gene:KYUSg_chr4.16638 transcript:KYUSt_chr4.16638 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEEQAAAAPVAADCAMEEDASAEVAISPAGEEDAAAAAAAAAAYSWPELRFDLPPRRVHHFADQFRAPRSSAGNFLKGVKWSPDGSSFLTSSDDNSLRLFYLPEDAYSADTAAEAAVGGQDSYGASLQVNEGEPVYDFCWYPYMSVSDPATCVFASTSRDHPIHLWDAATGELRCTYRAYDAMDEITAALSISFNSTGSKLFAGYNKSIRVFDVHRPGRDFEEYSLAKGGEGPTGIVSSISFSPQNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVEIVYKLYRSCDTTNQRVQFDIEPCGKHLATGGQDGMVHVYDLQGGEWVTGFQAAADTVNGFSFHPYLPLATTSSGHRRFGMEDESKEESSLSGDENCCSVWKFSCSQET >cds.KYUSt_chr1.23905 pep primary_assembly:MPB_Lper_Kyuss_1697:1:142400045:142402525:1 gene:KYUSg_chr1.23905 transcript:KYUSt_chr1.23905 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGGGGLGIGDYLDRPNAIHRRTASLAIARSGDDGPRIVDGAGREGRRARSSRRLSLSSWLGPRSRHPPHPHADDDDAASADSRSREPTPEPSGTAAKAAPSPAWRSWKPVRALAHIGKRRAGCLFSVDVAAVRGVPASMDGLRLAVAVRKAETRDGAMQTMPARVSRDGSAEFDETLFVKCNLFFTGGPGTGRPLKLEPRRFVVSVVPVEAPDIRLGTYAVDVSSLVRDSLEKSNEGRRVRWFDRAYGLAGKAAGGELLLRLGFQLMEDAGLRLYTQAAAGPAGTGRSSSRDVSVSPARARAHSKNSFSISSSGPKLSASDASISPSMRAYRQLLDRLNVDKRADDDDELSTASGAGDGDYASIPEYEVVDKGVESVKEVVHFQAQRDVLRELDSIADQIEAVEALMASGGKKSPRVAGQQPSLDADEEMVTVEFLRKLEAVDDDKYRKLKQPMTPRSREAAAATPPVVPDLGQSLGPAVQTRDGGFLLSMNPFNVPLASRDVPPMLAMQLSRPFVLPSAMAATGFDVLQKMAAAGGPNEVRDKLVSLGGMESLTGKTPEQVGFEGIAQAVIGGRRTEGGASSSAARSVQLVRKFAAAVSEGRRERVATGIWSAGSDPETLEEVLAFSLQKLEAMAVDALMIQADMADEEPPFEVAPAAGDVDVFDELVPCEEWSDARGVSDGRVTLVAAIQLRDPSRRYEAVGAPMVAVVQSARMLGAAGISGGRFKVRSLHVGGVQTRSSSGVGGSASWRAERQKLTAMQWTVAHGPGRAGKRVQTPPSSQAAKARQQQQRPDVVWSLSSRVLAGMWLKTVRNPDVKIGSA >cds.KYUSt_chr7.36257 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226677620:226677916:-1 gene:KYUSg_chr7.36257 transcript:KYUSt_chr7.36257 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDQRQWKILPNVQELAAAGRDEVPSRYVVRDNDRPTAAVASDDVTDPIPVVDLNRLSAGAADEAAKLSYALQNWGLFMARKLTTPSINSASSSIR >cds.KYUSt_chr3.22878 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141463546:141464520:-1 gene:KYUSg_chr3.22878 transcript:KYUSt_chr3.22878 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVVTLLLILAAVSPRPSSARHVVTFAPSRGVSPSSLAWDPTAQHFVVAGGGDAVLSVSDAGVTESIASSGASAVAVDDRRRRLLVASAGSFSAFDLRSPRPHRLLFSTALPDPAAPGGIAVDPQSGVAFLTVGARIYTVSPDGDLATLPASPVYGSEPLSSLAAHLSRGFLFVGVPTTGHLLRVDMEDGIARIVSGPFTPHTPVAVAVRSDGLVAVGGAATLRLVGSNDGWVSCAEHDVGLPDGAVDVAALAVRERKRVYTLVEAEVEGRREWRIEEVAWKKEGEGEMVAGFVLVGAALAIFMFWRFQMKQLAGNMNKKIR >cds.KYUSt_chr4.17250 pep primary_assembly:MPB_Lper_Kyuss_1697:4:107924376:107927838:1 gene:KYUSg_chr4.17250 transcript:KYUSt_chr4.17250 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKVDPKEALRTSKREMSVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAATKILARQLVRLRQQIVNLQGTRAQIRGVATHTQAMYAGTSISAGMKGASKAMAAMNKQMEPQKQMKVMREFQKQSTQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIGASNKKAENNQARNVAPARNAAPESDAAEVDDLERRLASLRRI >cds.KYUSt_chr7.15492 pep primary_assembly:MPB_Lper_Kyuss_1697:7:96390990:96391430:-1 gene:KYUSg_chr7.15492 transcript:KYUSt_chr7.15492 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPTSWEERNHADTPFLADKSDMKGEKVVGQIDFTVGSRGPERTCSAGGVAEEIPTCWSPVASLLQVARPAPSIPSAGQLCTGLLPPFWRAASMLLLLQPPAGSRLPSPRPPAGPCCLTPWRSLAAPSSRASMCASSLQGCCKR >cds.KYUSt_chr1.21780 pep primary_assembly:MPB_Lper_Kyuss_1697:1:128558830:128559408:-1 gene:KYUSg_chr1.21780 transcript:KYUSt_chr1.21780 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPPTPNNGDTLSLGNLYEHMPLNANSGAAPPPAPASHPSPAILNVHITNYIQFKVTSTGKNFSKWRQIFIFLLTMYKAMDHVTEGAAPSGPDDDWCVVDIQISLWFMATLPDDLHRLIASTDGLAYNTCSRLHRFFYDNQTSRYMYLSKALLNCPHGDMSIATYTSKLQGIADDMAAIGRPLDERDLTL >cds.KYUSt_chr3.46882 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294572058:294574507:1 gene:KYUSg_chr3.46882 transcript:KYUSt_chr3.46882 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERLPRKKPRKAEGEATAKAEVDATATATEIDFSVLSAEFDDWRKSVATPLTSAELRQREKETEELLSGTWERFRDNWFYGIFPFDAVTTIPCMRFTDADLDHPYYAHRCEPSDTLQIVSVQLRAFNASLPSQLDVYGFIAIHDVLDRKRIMVFNRERKDCQTIDKQDSYLRLTGPTRGVLVSIDPSYIEVVLKAKGVTESEDKDLSKFARTVRVGCRYPIEYTSKLCTLEMQHYTVYSSVEATVSVKLFQGQWPLCFRGVLNASTAGQKDIQIALLHLNDDELPVDDDGFIKLSRRVVCVEHDGKLGVSLFENGAGEEGDVWFAAEKSRRTTHYMHVKKYSLWLQIIIAWSVCSRK >cds.KYUSt_chr3.47738 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299212540:299212935:1 gene:KYUSg_chr3.47738 transcript:KYUSt_chr3.47738 gene_biotype:protein_coding transcript_biotype:protein_coding MLREPLAAPPVRRRFLNSSTGECIQLDVPELRDHEVLAPTAEGLLVLLHARKHVRLLNPLTDQLLQLPTLTTLLPAMYHHRLAVHNAHFGTDFAAWGSGIAEDDSTFVLCFYRLHILGGPRSSDMLWACHR >cds.KYUSt_chr3.11553 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68881247:68883763:-1 gene:KYUSg_chr3.11553 transcript:KYUSt_chr3.11553 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVNSLFFVLIAIAGLAIQAHCDYSADYPPGPSANLSTVWKSGTNHQPGSFYTVDPLITCPVPGNQSLIFAAGFYCAKPCNSYVFGVFVAVFSNHTGEFLPNYQPIWIVDPIVVVWSANRDHQVQLNATLNFTSGGDLVLQDDDNSLVWSTNTSGQSIAGMTITELGNLVLFDQHNRSVWQSFDHPTDTLLPRQPVLEGMILRPNSSFADWTVSNQFYFTVLSDGLYAFVGSAQPQQYYAFAASIGNNRYFTLVNGSLAMVDASSSTLKPQLLLDFKLPLSSSFQCIRFEYDGHLRVYEWVADERLQMSLVAHDLFQLEYCDYPTACGEYGICSRGQCSCPTAPDAAYFRQIDYRTPNLGCALETPISCQSMQYHQLIAIPNISYFYYNYSIEAELGDEESCRRSCLTTCSCKAAMFRSYGNINGGGGCSLVTEVLSLQVDQFKQVYHNDSAYLKIQVRQPHQTAKKRVLLGLLLAGSIALSILLIFSLEIIRRRRKQEKDDEDEFANIPGMPMRLTFEKLKVATKEFSDKLGEGGFGSVFKGQLGDETVAVKQLDRAGQGKKEFSAEVQIIGCIQHINLVKLIGFCAEKTHRLLVYEYMSRGSLEKWIYYKENNAPLDWCTRRRIVTDIAKGLSYLHEDCRHRIAHLDIKPQNILLDDSFNAKVSDFGLSKLIDRDESQVVTRMRGTPGYMAPEWLTSQITEKVDVYSFGVVVMEIISGRKNLDYSQPEGSVQLITLLQGKAENGQLEDMIDENSDDMRLHKEEVVEVMKLAMWCLQSDKNRRPAMSLVVKVIEGEKDVEANLDYNFFDLSPAISVPVCRSTSSAPPAASILSAPR >cds.KYUSt_chr7.13431 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82878646:82879824:-1 gene:KYUSg_chr7.13431 transcript:KYUSt_chr7.13431 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKSLGITKIMEEVTGDGRSGSAVLKILLRRQDVTLPGFEVDLKEVAMEAKEIALGHLEQPKEEADSPRSSDGGDSGTEPEDSDGEEEAGERGAGARARARARAAAALVSGPPLKKGPWTPEEDRRLRTYVEAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPHLKKGPFSEEEEQLIIELHAKNGNKWAQMASKVSEFETLTLLFYACSY >cds.KYUSt_chr2.4104 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24807914:24816953:-1 gene:KYUSg_chr2.4104 transcript:KYUSt_chr2.4104 gene_biotype:protein_coding transcript_biotype:protein_coding MACASCLSLLVLVAMASAASGQLSSTFYDTSCPNALSTIKSAVTAAGCDGSVLLADTGSFIGEQGAAPNNGSIRGMNVIDNIKTQVEAVCNQTVSCADILAVAARDSVVALGGPTWTVLLGRRDSTTASKTNAERDLPPPSFDLTNLTTAFANKQLSVTDMVALSGAHTIGQSQCLNFRDRLYNETNIDTTFATSLKANCPRATGSGDGSLAPLDTTTPNAFDNAYYTNLMSQKGLLHSDQVLFNGGSTDNTVRNFASSAAAFSSAFATAMVNMGNIAPKTGTQGQIRLTCSKKHSKTPKSQQKHSKFKRMKERLRDKFVSQGVQEEPVPLQTVPPSDEPAIDVPEHPVHDDEKLDEEDNVVDKKNNLFNRSSPMKVVRVCKGMTSKQRALIRGADFGAILSMKCSKLIPELCRFLMEHFDPVACVLDFGERGKIPVNVQSVVSVMAVPMGTHPVPYKQNIDATSSVLEMMGINNGRQPTLSEVEKQLERSYPADDAYLRKFIIFLMSSVLAPTTGIYVSPKCYPAVINIEAIRRNWYKTEKSVINMCTALEDGLSQFIVSFAPNQVKEGNPDVHQAQDEVDNMSKHKHRRRATKVQHTVRDDGEQKDVQVDKMEGEANPRDNEVKSKKRKPDDRIIAAGRPKKKKMKVSAVSEDCHVEGPAVFLKKKHRMVKVLTLHATCLLEEKASDGQSVDITCNMFAEDDQTLNKEPAKLYEEDGTDEGNVSLEELVQASEEVLPIVPVETEVSLPHTNTVDALRILQGYGTGSQSSTETPQVHITGEGMQVEQEDERNRADRDSTCPKKLKQVQKEQDSQVDEVIVISSNDSGDSLDKIFASIEMPISGGKAINLQNSSVVSPTTPGSSTPIPQTKRILKLGPQQKSPFVANDKKPSVPKSDTELYNKVCMYGGVNRDKLNEERIIDYGSFFVELRDLSDSVKPGGWLSNTTCEIALQVLSAEMAKQKKFIMPLMIAIMFSVLQDLTPEIKKMTGHYYLIVLNLTSGRFEVMDSLRREGDKAPMADARTIIGSIKHLWATNYSESKIDISKYKTVHITTPRQLTTYDCGFFMLKYIECWNGRRMAPINPSDMPALRKIFLKKWMDYVENRIDWEELLFPVRKC >cds.KYUSt_chr3.5310 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30169391:30170125:1 gene:KYUSg_chr3.5310 transcript:KYUSt_chr3.5310 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSFWFLVFIWVPWLPLMLAEAQEQPAETCSGYDGRCGNLNTISHPFWLVDADTGRSCGSGSLDFEVVCYNNTPVLRSFGLDGFAIINITYEERSLRAIDLGKQNLLRASNSCDILPSRNTSTKLGRPFQISNNNLNLILYNCTEAPRGLVETKMGCGNQHKVFVGAVGSYVETSGNAGYAIKGCNACVLPVLGSSGKANASDYEQLINDGFLMSWENPPPPLGRKLTHPNHLVSKFLYVART >cds.KYUSt_chr7.36602 pep primary_assembly:MPB_Lper_Kyuss_1697:7:228721670:228725122:-1 gene:KYUSg_chr7.36602 transcript:KYUSt_chr7.36602 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDTVAPAAISVKKNRAAMAWRSSLDLGKIVPPAGFLLHGRAAVPRTPFLRERSVRGRRGLSFSSSNGAAARSPLSDSEKKGPVVMEIPLEDIRRPLMRTRANDPAKVQELMDSIRVIGLQVSLDATATRLTNA >cds.KYUSt_chr5.31080 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196799262:196804275:-1 gene:KYUSg_chr5.31080 transcript:KYUSt_chr5.31080 gene_biotype:protein_coding transcript_biotype:protein_coding MSRESHGKVELATMFGNGAGGMEEGQADGVVNGAAAKGEAGSAEEDQAHDVLRHAIPIVFYIWMEEESDQWRSAGIAGDSNEAAVLPALHGRFWSLDQGVDDDDGPSPRSSSPGDYRRSPSERALKTSSRYVKRITNRLQQRSAAMALSVQLDPTVSNFTAAKQAPPPAVDLKVPVLEPTVFFLEDFNAAEWTRVVRKNRLRKVSYGWGEHLPGSECRRSPEREFSLSGRRSRSRQFRINGPTYAFGPRPVLASNIHYGPQLRPGKSIYRKRGVNIASGLPAPIPRGTTASVTIAPPLAARVPGRMSRAPLPPNSGAPPPNPLRQPMLPGRTPVRADGRDNGARGDEGALTGGGSAGFQNFGNQGQGDQHSRRPQQQNSRFEQGSNSSQGGSGTWQEGGFNGQGFGAYESGYFEGNNGYGNGYGSMNRGNHRQRPYRPFYAGNRARNNNYRGGNGRFNGNNNRYQRVFNNAENSLATVGTQAQSPDDAQVAVVTEVANASTNLQNMETSSVESLSVRAQKKIDKRQCLRCGENGHLAETCSAVLCLYCEKTSHESKNCPLLDMPKPVAVTYGVSRNELMFHEVPVSSDVTFRHDSGKVGRISVTGGVLSAQEIVKELEWIIPGNHQWDLVPTDDGAFKVTFPSKADLVRMTKIINVPVPDTTMFLLFEEWSAADLDKFYLTSVWVRVHGCCYKERCDYLSLFGVGSLIGKTKEVDMAYTRAHSEARMLVEVSRVQFIPTTTIDHTYDGQGYDLIFKVEEQKNKDKVDVVMQEANDDEDPKELGEKEKKLTKKDDPPHPPPSTGQVKTPVVSNPR >cds.KYUSt_contig_2528.57 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000367.1:471717:474129:1 gene:KYUSg_contig_2528.57 transcript:KYUSt_contig_2528.57 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRPTGTAHMATVAGDVSGFPARDVFAGSVLFLFFFPLFRSLHRGDLHICLHRRRLASPQRRPLRPSAPDPTVRLSSFSRTAPSRSADTPPFPASRRTISCSYCRTAGPPSQQHPSRPSKRALPTDASSPFQRGLLMEFDDSDLVFDFEADLQDAGSDSSVVVGAASASGALDPAAAGQHHDQSTVRRTVCKYWLKGLCMRGESCGYLHQYDLDRMPVCHFFRAGGYCDKLDCIFKHDAEDAKECAMYNMGFCPNGPCCRLRHVKKPGPPPPAEEVVKKLQQMNPWNYGSSNATYQARHNNSNQPEKESQNLAANATPVLKQPAAHHAQTENPQHVPPPHTQQQQQHAQVQGVPNGSSDQATITASPLLQGKSRWVGDL >cds.KYUSt_chr7.18525 pep primary_assembly:MPB_Lper_Kyuss_1697:7:114738809:114759827:-1 gene:KYUSg_chr7.18525 transcript:KYUSt_chr7.18525 gene_biotype:protein_coding transcript_biotype:protein_coding YAMWELPELWVITKMVEITSTDLKNKSSLDVLCSSGNSRTTTNMTGRPESSATEACLKLHYRTHKYNEQVHHVYQLNLNDVDLHVHPSVVGQINKFLRSLDALSPAGNVVVSPALDHSSMKSKATTSKFPKLSLSNFCPAESTSYGGVSVDHFPFLRADIISDFGCLETQGVQALDIASSKSKQCDESSGLNGHCGSELASSILCKTEHSNCSSISPNATNNVSATILDLSLVSVRVHFHESCGILATLTVPESIATLSLADTNSWDLLLSAKDIMLASSWTSPSINEQLWSAYSHEFVKRIPKEFFSSECMLSSRSDVISLCSRNASISLVFLNEQRNIILKFDEDMPTRIHSLVEKLDAGIWIQVPCKELSCSEQPLLPTFIMSKISKCNLIAEDLNFMDGLEAVFRITDELSSIVKESRMYKGNARQFLECGSSNEESVESNEPTNITIFAKDLMILFGHSKDKDLPLENVATANLEFGISAVMVGENPERVDMDIVSLALQSSGGHTLVSIVSDGPSSPVLIKFTKHLAGRDEILIAVPLFETWLYLVDWDIIINHFHSYIRKEDNSLDAGHPAALPHFSDSATSSFLASDFSSQDNSYLVVTCENIVVVVHVPIWEKEQIQTNNYTGVDGSSGSNSMHCTDDTQCIEPRGCKFITLTVESKHLVVMFGESWAKFKCDLDRGEVILEMIQVDKGASVPFMHISKIKVSGYIDQSEMKSPHLSVDLQAEYMDVSFSHQIFSFWRSMELRFPKSSSSASSFCSVTFKAGLRKGSLLLNDGRWSSHGPVIETLVKNLLLQFSQMRDGTEVSVSVDFLINYNNIDKVMWEPFIEPSSIQLNVLQKCADDALDVSPSTEVSLNSSKQLNVNISEPLIEAILRLSEMITDSLNPSSGGGLREDPGILRLSRDVHTRRYAPYILSNDTSLPFSFKVYRGAVNSDDIDSFSVVDENSVPAGYSVPIYVEETLDEFFFQHREARSSEHLIEKWMSAVSHYMISIEFDGTSGSSKPISIDLVGIYFFEVNFSSSKKPVICEESLEAFGSNRKGSHHDGLIVPVVLDVSLQNYSKLVRVYSTVILHNATSMPLELRFDIPFGVSSKVIGPILPNKEIPLPVHLSEAGHIRWHPVGRTYLWSETHSLSSLLSRESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYTVPSSSSSQRGQYCTDHLNAQPNLGNPASKASKQTSTRIHFIRQVRLSTPLLIKNYLPVCISLTIDNGGVAHQVSLKEVGTASIYFVDPSNDLGITFHIQDYRSLAIKFPRVESFSTGTTSNGLQFSSTETIAFYSNVSKCPFNVTLEKATDARSGARELYLSVPFLLYNCTDLLLTVTESSYERSGSTLVIPCNFELDGHTRHVLGKNGLSLVSEDPSMQGFANKIPKLDFIDGCSSHSNRRAINSSEHVQKECDKDAKAYMFAPAGHTPATELLVKLNASVPNSGTEANRRDWSSPFLLVPASGSTSLTIPQSSTSSAFLVAVTSVPVSTELFGRTKAVAFQPRYVICNACTNDLFYKQKGTRFSKHLSSGQHSFLHWAGTARELLVSIRFDGPGWQWSGSFFPDHLGDAQVKMRNSASGVSNMVRVEVQNADIDIHNNKIAGRNNSTTGTILILLSDDKTGFVPYRIDNFSLEKLRIYQQRCESIETIVYPYTSCQYAWDEPCYPHRLTVEVPGERSLGTYNLDVLSDDVHVSLPSTPEKAERKFSISVHAEGAIKVLSIIDSNCHNMDTKETGFLGSREPKDDQKQEIELNLTEVIRIHLPFIGISLISSSPQELLFASAKDMRIVAMQSLDQQRFTVDIQSMQIDNQFSDSPYPVTLSFEGSHKGKSANFLKGIDTKLKSQNESKNSSNTLEPVLQFAAVKWRTRDASFVSYQRINISVAPFCLELEERLVLSMIDFFRSVSSRVHFGQLDKSVDSNILYGGADIFGEYEKISKNLSDKPQSSYTVDAYQDSGLLPSVIPIGAPWQQIHLLARKQKKVYIELFELTPVKLTFSFTSTPWLSRNEGGSYSSTSFNNSTAIQRGLMALIDVEGVPVHLGEIMVENLMASWQSIQDILVRHYSRQLLHELYKVFGSAGVIGNPMGFARNVGFGLKDFMSASRKGKLQSPVELLNGIAQGSKNLIGNTVYAVSSATSHFSKTAYKGLVAFTYDEQAASKLDERERQLGLHGEGVLNGFLEGLTGLLQSPIRGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFSRPVARDRPLFPYSWEEAIGVSLLLQADGGRLKDETFVMCKTVREPGKFLVLTEKLLLLVSSRYLVDLGSPQFAGVPPDPQWVIETEMKLKSIVHLDRAQEVVNIVGSNGETSPRDKRGRSRDIPRSSAFIPLFHFSVELPNIEDAEGTLQFLTALIEKGKARRWDKNILHRSNIS >cds.KYUSt_chr4.12940 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79532073:79532279:-1 gene:KYUSg_chr4.12940 transcript:KYUSt_chr4.12940 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRQDPRLNVGAKVERSSWSPSRGVILSSGFSKDGGRSNTKYREVQTEDQLKDDEVIDAAARRFAVD >cds.KYUSt_chr1.38104 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232709135:232716344:1 gene:KYUSg_chr1.38104 transcript:KYUSt_chr1.38104 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDEFDFGDITDAPAEVQAAPKFRPNVRAKPRKPSVPSRPVVPNRSVETSSEKVGALSQDNLSQGLATNQEIASSTVLGSETIFDSEAREATVHTPSDDVLTVSAVDTISQSEEHDDDQSEVAAHQENLVVSDTQASSTYSSIKTFDDLADFGGLCDTHIEEERVAKFKPNKKVKLSKAASRSRKTDQKAVASAVDVASQNEEDSTNNLTGCSDEQLQAPRHQEHVQISDSQPTLGMDGSTVDNFSYREEPIQEETAAELSPKSQRKPGSVSSRVVETSDNSAAANLEDINDTVDLDSQDGLINSHTDDTQAIFGESSAEATGKFLPNDGRKKGKRKSVTFVLPGDSEVVAPTDTNSEDRNNIRTDESLNTLPQQTAQKHRLTEELSDDGEYTDKESQYHEGELSDHGVKEQSKMNGEKLDLSMRLRTRRKEVGVSEHITDDIFDEEYAEPSAAEQDNDSGDEYTGGEKQKPQRKSREKDPNKAPVKGSRRTSKNSTTEKPAQQRQQKNKSEGQSRGRKRALKDALTEQPEKKLTHRIRQKRTKEVQTLLAKPDHEIDRMKLSVMHLRLLQEARERIQSKTVPSGPSSSNQSSSLYGDTDDFDPFGDNYANDRTENDASENGIKLNYHSYMNRKTRARWTKSDTDLFYQGLQQFGSDFAMIQQLFPDKSRDQVRQKFKSEEKKHPMQVHDAILHRSKDNVYLKQVIKQLNIEDLQRDINSADKKEAASNEGDTGNENVSHVIDEEEDNGPNWSDDELGTHQSEVKEGDHASGNADDDDLDVFD >cds.KYUSt_chr3.26841 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167450191:167452212:1 gene:KYUSg_chr3.26841 transcript:KYUSt_chr3.26841 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGQRARSKEDVPTMSTTGMEVSSSYAARRSVEELRIWKRVRTIKGAAAVTAAQQHHKRQQENEKELRRKI >cds.KYUSt_chr7.22900 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142154318:142155979:-1 gene:KYUSg_chr7.22900 transcript:KYUSt_chr7.22900 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPLAILLLVCLFLSFHENTCSGAGAGTSINYSKSDSPPAAAGSNFSSCLVSNGVINFSLPTSPSYAALLNSSIFNLRFTLPDVTGPAAIVFPRSRDDLRRAVLCTRGSSLAIRTRSGGHSYEGLSYTTENHVPFVVVDLANLNRVRVDSGSATAWAESGATVGELYYAVGRSSRSLAFPAGSESTAGLGGLVSGGGFGLLSRKFTLAADNVLDAVLIDPSSQVLDRSSMSDDVFWAIRGGGGGSWGVVYAWKLRLVPVPANVTVLTVGRTGPIELVAELVHRWQYVGPDLPDEFYLSAYVPTGSSNGNVSVTFQGQVLQSKEDAFSVLNQRFPELGLAEADLSEMSWVESAAYFAGLSTADDLPNRRLQAKQYSKAKSDYVQTAISMGDMVEIVRYLSTGPTGSIQLDPYGGAMARIGRGETPFPHRAGILYSIQYGVNWDRSQEPRAEEYIGWLRSFYGYMAPYVSTDPRAAYVNYLDLDLGVNNWTRSAGGSSAGAVARARSSFGAAYFGENFGRLVRAKTKVDPGNVFNNAQSIPPLALAVISLHKSK >cds.KYUSt_chr7.31284 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194829443:194829976:-1 gene:KYUSg_chr7.31284 transcript:KYUSt_chr7.31284 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFNSTPYISPYSEDWVVPSTDVEAEKRAVAVVHEFLSLTMWRKILVIKLEHFRWEFGLPEDTGRLLLRHPCLFYVSNRYKLHTVVLREGYEVSELRVKDPVVAAKDRLGELMQEGLHEYNQRRRAANLENKRRRGNVDVKKKEEDQEDDAAQLDSAEKREERRRFYKVLFGDDNR >cds.KYUSt_chr2.6058 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37710198:37721802:-1 gene:KYUSg_chr2.6058 transcript:KYUSt_chr2.6058 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRSEISKGGACHGQNTLPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGHDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVIGHNGIFRSDTTDAYEGAAPNWREKRPTKSLVVGRPILLALEDIDGSPSFLEKALCFLEKHGIKVEGILRQAADVEEVDRRMNEYEQGRTEFALDEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAFRLESKESRINSMRIAISETFPEPNRRLLQRILRMMHTVASHTAENRMTSSAVAACMAPLLLRPLLAGECEMEDDIDMNGDSSAQLIAAANAANSAHGIVTTLLEEYEGVFDDEHPRCSLSPDSRIQDSGSEESTDDETVDAKDNGFHDAENDVDQELDERLLSGKLSESSACTGGDLYDYQVDHGDSEPSVEDKALETKLDLKDAPHILLTQNGTINVKRPLNEKDPTNLVCSHESPLSMGEILSSLDAGVPLPGSGPEYSVDRHSIKSNETQPHVKRSNIWGRTNARKIQQSESVDSSGEEELAIQRLEIAKNDLQIRIAKEVSRLQEQLQAERDLRSALEVGLSMSSAQFSSSRPMDSKAELEEIALAEADVARLKQKVAELHLQLSQQRQHQYGSFVDENDRYQHRPSHFPQNFVQPGFDMKLAFCNQEKKQRNEESLSGTSHWRSIKQHVLTQASSKPFSRKHSLDTCSSDSREVATSMPVESGSLSTNIPRAMEAVEYGRQPPVPSSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSAPQGDVGTRLVVHGRVAVHVSVIAYNYPLPPLFKDALQFSPLTNLLVAAAFPLPDLVRSAPKMSSSSSRKIAAANGFGHGSLTVSEAWALYNARYPVPPDMRLPSSGGWKMAVNGIGVPPPPKPRTDQWRDAIKARRAQLTAEERLDPTWAANDNDAWWTTYFKAKYDIEMHNTDGLVGGPNSWNKDGRALFWGVPGRTLEKVIRGIRNGAPRLEMPSSPPPSPQWQPRRTTYSSSSHSSSSGPARSTPSSSYRSAPYTVPKREVKEEPATPVNTRRGGSGSRRQQGRRGGALLIPKPEVKEEPEEPSHAALLAEYEQQQRLIANSDDPEDCPGLRAAFLASMNDKDAWRGDLDAAIALSIRDSDKPLVDLTDDGEAGPSGLVKDERVDERVKQEVVTDEMYNFQQYYDTSGRRKWF >cds.KYUSt_contig_2825.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000528.1:58642:63763:-1 gene:KYUSg_contig_2825.10 transcript:KYUSt_contig_2825.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAHSAPKSIASSCSHLHQGEHKNGEKIAVKVLKEGLDLNDEEFQKEYHNLANLHHKNVVRLVGYCHETKREFVPYKDGVVFAYNIKRMLCFDYMHNGSLDSFIYDEFNDRNWCTRYAIIKGICEGLEYLHEKLKPPMYHLDLKPANILLDENMSAKIADFGVSRLFLEEKTRKTNTVLGTLGYIPPEYRKEGHGNTWREEQIDCRRAWEAMPELLTVSVSGQVSCGFDAALMIVRHCQGTCGVCVRDWMQD >cds.KYUSt_scaffold_869.1095 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6998676:7003493:-1 gene:KYUSg_scaffold_869.1095 transcript:KYUSt_scaffold_869.1095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Respiratory burst oxidase homolog [Source: Projected from Oryza sativa (Os01g0360200)] MADIEAGMVVTDSDSSRRSRDDTATLIPNSGNLEGSSHRATKATRFKDDDEVVEITLDVQRDSVAIQDVRAVDDGGSAHSGGFDGLSLVSPSSSRGGKLSSKLRQVTNGLKLTNPSKKAPLPQTPAPKVVRKRYDRSKSTAAVALKGMQFVTAKVGNDGWAAVEKRFNHLQVDGMLLRSRFGKCIGMEGSDDFAMQMFDSLARKRGIVKEVLTKEELKEFWEQLSDQGFDNRLQTFFDMVDKNADGRITAEEVKEIIALSASANKLSKIKERADEYTALIMEELDPNNLGYIELEDLEALLLQSPSEAVARSTTTHSSKLSKALSMKLAPSKEMSPLRHYWQEFLYFLEENWKRIWVITLWLSICIALFIWKFIQYRNRAVFHIMGYCVATAKGAAETLKFNMALVLLPVCRNTITWIRSKTKVGAVVPFNDNINFHKVIAAGVAVGVALHAGAHLTCDFPLLLHASDAKYEPMKPFFGATRPPNYWWFVKGTAGWTGISMVVLMSIAFVLAQPWFRRNKLKDTNPLKKLTGFNAFWFTHHLFVIVYALLIVHGTSLYLTKEWYKKSTWMYIAYPVFLYSCERIVRLFRSHDAVKIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFINCRAVSPYEWHPFSITSAPGDNYLSVHIRTRGDWTSRLRTVFSEACRPPADGESGLLRADLSMGVTDSNARFPKLLIDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQRGGSVGGTEPEASGRAKKRPFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDKDGVIELHNHCSSVYQEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRSVFKRVAVNHENQRVGVFYCGEPVLVPQLRQLSADFTHKTNTKFEFHKENF >cds.KYUSt_chr4.50747 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314469412:314471247:-1 gene:KYUSg_chr4.50747 transcript:KYUSt_chr4.50747 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGTRCLALVFFLLGVAAPAVLAVADGLLPNGNFAQAPGKSQMSGAVVTGRYAIPCWEISGFVEYIQPGHKEGDMILAVPEGASAVRLGNDATIRQTISVIRQMHYSVSFMAARSCAQAEKLNISVGDEFGVLPVQTVYTSTGWDTYSWAFKSRHATVSLGIHNTGVEEDPACGPLIIAVAIKTLTPPHRPKGNMLRNGDFELGPYIFPSTPWGVLVPPILEDINSPLSGWMVMSDTKVVKYVDAPHHKVPQGARAVELVAGRECALLQEVPTVIGWSYRLSFSVGDAANGCSGSLAVEAYAARGTLKVPYESHGTGGSKPAVLEFTAIANATRVVFQSSNHLMKSDATLCGPVLDDVFLVRVRKPAARRRLRL >cds.KYUSt_chr4.39594 pep primary_assembly:MPB_Lper_Kyuss_1697:4:244412888:244416232:-1 gene:KYUSg_chr4.39594 transcript:KYUSt_chr4.39594 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKSVFGSAPYAMKQAALGAGVAARKNGTPLSMAAVVFSLFVFATFLYNEDIKSIADFPFSAGALRAKSPDLHLLQEAEAAAHQAVTTLARRGEEVIVRVLDAPATATLRPAANGSITGTVVVARANAALAKANANAAAKEEEGQEKDRDVTLPRVLGGGGAEEAKRREDEEVAERASTAKAAAATAALRTVVSVPETCDLYRGGWVHDEVNAPVYKEAQCEFLTEQVTCMRNGRRDDSYQKWRWQPTDCDLPRFDALLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGHKTLTKFVNNGSSNIFYAHDYNATVEFYWAPFLVESNSDNPKVHSVPNRIIQWHSIAKHAQNWVGVDYLVFNTYIWWLNELNMKVLKGSFEEGATEYEEVDRPVAYTQVLKTWAKWVDRNIDPNRTTVFFMGMSPNHITPEAWGNQGGIKCAMETQPISNRSATLDVGTDWRLYAGAQDVLRTFRRVPVHFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPRTYADCIHWCLPGLPDTWNQFLYAHIVSRPWTTTQEA >cds.KYUSt_contig_915.106 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:694065:695300:1 gene:KYUSg_contig_915.106 transcript:KYUSt_contig_915.106 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTKPPPAAELLDELVFEILLRLPVKSLLRFKSVSKVWHAIISDPVFIRAHLRQSASRWRKDPSLLVTPHALSYVIEDEAWPTTFSNEIRFYQWPQQPSSLEEEEPQEARLLMHGGNFLGEFNSVCSFVHCDGLVVAPTNTNVYLFNPATRHTMTLPHSRRNKMHRYQVCLPVGLGRDPHTGCHKVVRAFYRSRDPRTGIYAMGMEVFTVGDASASWRETTADPPYPVADWITAVFANGALFWVIEKRGLDPSPHSLLRLSLGDETFSVTRLPDSLDPALVESYSFMLDEMHGELCLTAFSSSKPAEQQPLKIWTLVEEDGRWEHRYSLTISGLVHPMALLPGGGAMIVQRSQYICRYDLQTHELDTVCELDRQRYKSTGTFKAAARREIFYFNVIPYTESLVRITAAA >cds.KYUSt_chr1.22225 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131414792:131417176:-1 gene:KYUSg_chr1.22225 transcript:KYUSt_chr1.22225 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRGDQKPLELAIKRIVSAKLLVKPRDNNGGSLLRLFLASSVSGAIHYGWGMQISILTPYAQLLGVPHMFASLICSSGPLAGVLVNPTLNYHSDRCTMRMGRRRPFILVGCLLLSISAMVIGYSPDIGHYLGDPKIKCGVFSVRKGASAMVIFGFWLLDFANNTVRGVYIVITMALTMAFADEQPIEKKDVDTSHVQSFSNPFKCLKNMSPSTTQLFILTGLSWLALYPFFIYNTDWMGREIYHGNPRGSREELHMYTNGFRQGCIGLILSVITRTITTAFIPKLCHKLTARTVWALSNFLMCLLMLTAVIISVLSSNGYRPSSRHSRTEPDPTLVAIALGIFALLGISGSITQCVPMALAFHIAATEGGDSQGNAVGAISIAIVMPQVLAVLTTGPIDNACGDGGNTPGFALSAALAFLSWFLGLLLLSRKSDISYSMPLL >cds.KYUSt_chr2.2946 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17351766:17358836:-1 gene:KYUSg_chr2.2946 transcript:KYUSt_chr2.2946 gene_biotype:protein_coding transcript_biotype:protein_coding MCTQKFPVPSYMAHQSGTNFPYYFPNNSPHISAHPPPPPPTPIPSPSPPPPPPPNPRAAPRRAMESNAYTLHLAVAALVGASFAAASAYYMHRKTLDQLLRFARSLDRDQRRRARLPPDGEDSDCDLTDGEDDRAPPARDYDRRTLPIPPGLPPLHTGREGKPTISPASKRVGSLVRPTTPKSSVPNVSTFESIEDSDDDVDLASDSKNDDAVYVGTNGAIGSDPVPVQASQNGDGKPVSSTNMIRSHSATGSLHGVQLNPIAADILRKEPEHETFSRINITAVETPSHDEIEAYKVLQKCLELREKYIFREEVAPWEKEIITDPSTPKPNPNPFNYEHQTKTEHHFEMVDGVVHLYPSKDSKERLYPVADATTFFTDMHYILRVLAAGDIRTVCHHRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFADLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSDNVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTIDPASHPQLHVFLEQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRDSKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSVAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYRRGPDGNDIHQTNVPHIRIEFRHNIWKEEMELIHFGNVELPEETDRNNTN >cds.KYUSt_contig_7440.19 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001529.1:97038:98498:1 gene:KYUSg_contig_7440.19 transcript:KYUSt_contig_7440.19 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKKGIDSSEASREPRPVPGSYGMFPFISAVRDRLDFHYFQGPDKYFESRIEKYGSTVIRINVPPGPFMAPDSRVVAVLDAKSFPVLFDLTKVEKMNILSTYMPSTSLTGGFRVCAYLDPSEPTHAKVKQLLFNVLASRKDAVIPVFRSHFSSLLATVDSQLMLGGKSNFNTLSDTTCFEFLCDAYYGVLPSASGLGTSGPAKAAKWLLWQLHPLVTLGLPIILEELLLHMVHLPPFLVSGDYKALYKYFSAAASEALDEAERLGLPREEACHNLLFATVFNSYGAMKLLLPGILAHVAEAGEKFHRRLATEIRAAVADARGKVTMAAVEKMELTKSAVLEVLRLDTPVKRQFGRAKADLNIESHDAVFAVKKGEILFGYQPCATRDPRVFGATAGEFVGDRFLGEEGSKLLQYVYWSNGRETENPSVDNKQCPGKNLAVLVGRLLLVEVFLRYDTFTADIAKGPAAPTVEFIGVTKASSGPDLA >cds.KYUSt_chr6.10473 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64695714:64709981:1 gene:KYUSg_chr6.10473 transcript:KYUSt_chr6.10473 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPYNPERVMRQFDKHQDIPPPSPRRLVADVHTRYNGEILPTYNEWYRASTRTLLTGPPPSSPTHLTWASAYHRDTSVDEFRQIAQDAQDTLNLRNMDSTEGKSMVKRIFNTAIKGLRRLGCSRHDDVVSRAFDMPEAPSNRPSMESVDPTWSNMGAGLGHNMPPLRGRDHMQSQYPAQQPQYYGSTSQQPRDDVTGIVEEFFGGAIFGTNASLIPPNLESPYIFQTPSPADETRTEDEENQFHVGAGIPGVAPHYISPPSTFNVLLDSYWTNVDPNNVPLASLVAQEEHVDVNFVKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRNSPPGIEAMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVMPNENHHNKIVTTANAIQVRINENIRLMAELRARWEKEENEKEDNIAKVWTITTTSNANDIQVAAPSTITNKRIGVSNVSTSNAKREKLPGTAKTAETACDKTAEIFSNIGDDDPIALDYNGLNFDDCHISEVIKFLQKLAKSPNASAINLAFTKHITNALIKAREEKLDREASITRKLEDGWEPIIKMKVNDFYCNALCDLGASISVMPKKIYNMLDLPPLKNCYLDVNLADHSTKKPLGKVDNVRITVNNNLVPVDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEVKSLIVRFILDLDYCAETDFFAVTNLGKILCRFHVGAGIPGVAPHYISPPSTFNVLLDSYWDTMKTSSDDRFAVLRSIGEEFIYEDELRLLLKRKSAPICYVWFEPSPMMDVEQRHYKIGTDLKKIRTIGYYNIEMWKAAGLLERDIFDLFLPEFDKPWVIHLRETCSCSTNLCSWRPNTIYRNRNVRRHQSAVCTLLGEKERSMGTEETTAVDVDQSRACNNPDSPTMDIIIVRSSSTNCGNSQGTHCNVPGLEMIEG >cds.KYUSt_chr3.8048 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46350093:46350443:-1 gene:KYUSg_chr3.8048 transcript:KYUSt_chr3.8048 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEFKDSFRDVMGTLKSQDDKIEVLRQKTELLENSLQNARADAAENRGSFHTAPASATEFVDKGGGILPRPSRPPPPVLPQLNIPVVAAQGREHNLEVQSGGEPPWLGLLQITCW >cds.KYUSt_chr7.10252 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62767580:62767951:-1 gene:KYUSg_chr7.10252 transcript:KYUSt_chr7.10252 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEITPAEAYAKSKVIDDDNLLNEILIRISFPTTLVRAAAVCKRWLHLTSSRKFLRRFRELHLPPLGFYQGRSTSPRFVPMLAQPPELADVIRRSSFIRVDLHHGLPGQQHLHRAPRQERP >cds.KYUSt_chr2.13009 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82450556:82452749:1 gene:KYUSg_chr2.13009 transcript:KYUSt_chr2.13009 gene_biotype:protein_coding transcript_biotype:protein_coding DFPAMYPSILGHEAAGVVESVGDGVVEVAVGDMVVPVFSAQCGDCPDCLSDRSNICSGLPDRPGMPRDGTTRFSYPATGEPIHNFISISSFTEYTVVDVAHVVRLEPGVSPAMACLLSCGVSTGVGAAWKVAAVEPGSSVAVFGLGAVGLAVAQGCRMRGAKRIVGVDLNPEKRVIGKRLGVTDFINPNDIGGKSVSEGWGKTILLGLASGASTIGIPWHDIPRGRSVVGSLFGGLKPKTDIPILAQKYLDRELELEEFVTHEMGFDEINTAFELLTQGKCLRCIIWMDGARENVVEVKPKENVRACEAASLQECGDMPRNPRHSLLWPSA >cds.KYUSt_chr2.3539 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21186094:21187750:1 gene:KYUSg_chr2.3539 transcript:KYUSt_chr2.3539 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSLYPEKKFPLKGQGGAGGDSGLILSTDAKPRLKWTPELHERFADAVSQLGGPDKATPKAIMRVMGIPGLTLYHLKSHLQVQRHLQLRIEAQGKYLHSVLEKAQEALAKQHVVNGLAAVPEVAFSARRYPLQNDGSADGSCLTASEDILSIGFSAAGADAARRGSAAPFEEEECYLFLGKPERRRQERKSEVTTNGCDGGVAFETAAGLDLSIGVVADSRRRPRGGERIDLNGSGWN >cds.KYUSt_chr2.9453 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59567126:59568556:-1 gene:KYUSg_chr2.9453 transcript:KYUSt_chr2.9453 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVTLPDDIVLEILVRLADEASLFRCGATCKLWRCLVTDSSFLRRRWPADKSRHPSCLIGFFANDWFIRWEIKELPVAVQTFVPGPRSVLGLGRRSLAALVPVADSLFDRVAPLASHGGLLLVRFVPAALCSSRSRFIHLAVSNLFAGTCEVLPPLLYKSHYDNVHLDGFAILTGADFCSDDDGQQRRTPSPGFSALFKVLIIGTSQDAEHYELYMFSSDHPSWSAPRRCLDRLKHKCCHLLQRNAVVRRGTAHWLCWDYTDLYSFDVNADTGHVSLAKLALPFPQPLIFFPYDVPQLTIGANGELVLVRLHIKHLRLEIWTRQESTADTGAHRWLLARVTEIEKLPKQHKPVDRAECVCFGDKSGTLLLVDDRNRNVYLVDVETGAILEEMTDRFSGLQHKNAIPVDIDWPAFFVSRLRGQYAYRPPLHGVHHVLAADHGRHGGGPKRWSLCWALWGVVLVLTLAARIDGEE >cds.KYUSt_chr7.27018 pep primary_assembly:MPB_Lper_Kyuss_1697:7:168871120:168879998:-1 gene:KYUSg_chr7.27018 transcript:KYUSt_chr7.27018 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVPCLCARPSLAVPARRPPGTAPRPRGVARPCPNAGRGVAAVRATLVTAATAVEEEQDEPAPAEERYALGGACRVLAGTPAPLGATALAGGVNFAVYSGAATAAALCLFAPDDLSAVRSSSLNRVTEEVLLDPVINRTGDVWHVFVEGELHDMLYGYRFDGTFAPFSGQHFDASNVVVDPYAKAVISRGEYGVPGPGGDCWPQMAGMIPPPYSTFDWQGDLPLRYPQEDLVIYEMHLRGFTKHDSSNVQHPGTFIGAVAKLDYLKELGVNCVELMPCHEFNELEYSTSSSKVNFWGYSSINFFSPMTRYTSGGIKNCGRDAINEFKTFVREAHKRGIEVILDVVFNHTAEGNENGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGSSLWDPINVYGAPIEDDMITTGTPLVTPPLIDMISNDPILGGVKLIAEAWDAGGLYQVGQFPHWNVWSEWNGKYRDIVRQFIKGTDGFSGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNNKYNLSNGENNKDGENHNLSWNCGEEGEFARLPVKTLRKRQMRNFFVCLMVSQGVPMFYMGDEYGHTKSGNNNTYCHDNYVNYFRWDKKEQSSDLHRFCCLMTKFRNECESLGLEDFPTAERLQWHGHHPGKPDWSEKSRFVAFSMKDETKGEIYVAFNTSHLPAVVELPERIGHRWEPVVDTAKPAPYDFLTDDLPDRASIVYQFSHFLNSNLYPMLSYSSIILVSCPDV >cds.KYUSt_chr4.2085 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11388311:11390868:1 gene:KYUSg_chr4.2085 transcript:KYUSt_chr4.2085 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLAQMLSRLTKIAEEFNVTVYITNQVIADPGGASKIMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHISGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQKITLTWLSKLLLETPNVIAIIAP >cds.KYUSt_chr3.3119 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17915192:17921436:1 gene:KYUSg_chr3.3119 transcript:KYUSt_chr3.3119 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQKLGAQGERDERVCIDFGFKAQDVMEAAESLDEADQHEVEQLGYSPFLNLKLGQISQRKETYLCMKLVDLEEDRIKVQLQNDVIVYIRPDEIHHLLMLPQVMYTRDLASLKEFDMCTIVYDHLRDSIKIWKKQRQDARNKASLTMPGCPIIIAPAAASLDGVNFVSRHLKVLKLSYARLHRRNLQQLSSGCTALEELDLKDCVIAGPEIASASLKTLIMLKCKITCAFSIAAPNLRLLRLITPYVRVPSFTNFGSLVTCTIILDDSYLSDDFEHISDKDDCDDVMWLLGDNVGEDSSCSGVGDGGSSCCSVPVGKNLAGCWRRGTPGVEVGPGQEMVDNGSGDCSSLASGGGDNSSSVGWSGPAIAAEATCENDDRSNNYKIHDDSSLTDDDFGYISDEGDFGQFAYGHGFPKQRFGHGGYKDKYDYGSDIDSDDNTYEYSEIASDAKYGYKADAQNPSKGGNYGENSLGNDSKILGGHHILESLPNSTSLELLTDAGEVVLSRELKRCPTFSNLKTLSLGE >cds.KYUSt_chr6.9807 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60469828:60473704:-1 gene:KYUSg_chr6.9807 transcript:KYUSt_chr6.9807 gene_biotype:protein_coding transcript_biotype:protein_coding MGARATAVSALLVATLLLGAFAPPADASSYPQKVVTGFLSNAASAVMKRLWSLKSTAKTASGAKSMVKYEGGYTVETVFDGSKLGIEPYAVEVTQGGELLVMDSMNSNIYRIALPLSRYSRPKLVAGSPEGIPGHIDGRLREAKMNHPKGFTVDGRGNIYVADAMNMAVRKISDTGVTTIAGGKSSRGGHVDGQSGDAKFSTDFEVRYIASSCSLLVIDRGNQAIREIPLNFDDCVYQYEAGVPLGVALLLAAAFFGYMLALLQRRVLGMVSTEDEPQIQPKVSIASIPPYQIQKPLKPSLRPPLIPTEEESEKQEAEEGFFTSIGKLIGGAKSSIVEIVGAAFSRKKRVNMHHYQQGRASSWPVQESYAIPRDETPPALDSRTPTPRKNYAFMSKEPEKIHRIRQDGRATQYSGWNGESPQQQQQQQVHHQQYLQHNRQYSLGPQTFYEPSCEATNEIVFGAVQQEGDGARRASFSDVVYEQNGLRYRSGYMG >cds.KYUSt_chr5.6525 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40505875:40508512:1 gene:KYUSg_chr5.6525 transcript:KYUSt_chr5.6525 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGICRLWHQLVRRGSEKLKVIMKNILLIGNTLNEGTPRGQAVGFRLDSILKLVETRATSSRTTLMHFLCKSLAGKSPELLDFHEDLGSLEAASKLQLKALAEEQQAVVKGLEKVEQELTASESDGPVSDAFRKTLKEFLDASGADVRALSALYVEVGRSADALSLYFGEDPAKYPFEQVASTLLTFVGLFRKAHEENLKQIEAERKKALKEAEKEASQDRTPVKSKDGFADRSPRSPFK >cds.KYUSt_chr3.30539 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191404005:191408112:-1 gene:KYUSg_chr3.30539 transcript:KYUSt_chr3.30539 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTPVLGLPHDNGRVPNDSTPAPSKGKRGRKGSQSTESKSYPLRSAHSSARVLRSTSKNDSKTPIEPVSPLRSAHSSARVLRSTPENKRKTAKKPVKPLRSARSGARALRSTSKKNSKAPNEPVSDNTAVQPTAKKRKRGRPSSAESPKNENQCIKIRQRVRYVLNRMNYEQSLIQAYASEGWKGQSSEKIRPEKELERAKAEILRCKLRIREAFRNMDSLLSEGKIDESLFDSEGEISSEDIFCAICGSKHVTLKNDIILCDGACDRGFHQKCLNPPLLAEDIPPEDEGWLCPACDCKLDCIDVLNELQGSKLDIHDSWEKVFPESASLANGAKQIGSADLPSDDSEDNDYDPTLAEGNMVDENKSSAEDGVEGSDSDDLDFMTSSDDSEPSTKKSSKSKKKTAVDDLGLPSEDSEDDDFDPEGPDSSEDQKTKTNSEDSDFTSDSDDFCAEISKSCGKDEVLAPPFSDQTDGVEIMEAELEQDLVLPASSRRQVGRLDYKTLYDEAYGKETSDPSDEEEWSGKGNLEDSDSDSLDGSLRPAKTCSSKRGRGRPRNVEHTPMSEERTEVLHSNGSNSMGRKGHGPIVRQKLKAHIEKDPYPSRSTKESLAQELGLTLHQVTKWFASTRHYSRVVASKKEKQPENHTAENNDRMAEDIRQIEPNGRVLKNPTVDGNGNVSEDRMAQDNPREGKKEDASFRQDISCEQMVVTPTVNQNRTTNSREVGSPNRVPGGDQYSGNSRNAENTPSRQDVGLNQTPLTPSVNQKFTPDTSNVGSPGVSGGKKRRGRPRTVGSPRGRSAEKSIPGLEHVDEARRKAILRELRKMKTGR >cds.KYUSt_chr2.15272 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96303522:96305157:-1 gene:KYUSg_chr2.15272 transcript:KYUSt_chr2.15272 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAALPPAVEPKKTGNVKFAFACAILASMTSILLGYDIGVMSGASLYIQEDLKITDTQVEVLLGILNVYSLIGSFAAGRTSDWIGRRFTIVFAAVIFFAGALIMGFSVNFAMLMFGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINFGILLGYVSNYAFSRLTLHLGWRVMLGIGAGPSVLLGFMVLGMPESPRWLVMKGRLADAKVVLARTSDTPEEAAERLDDIKTAAGIPLDLDGDVVAVPKTKGSSQEKRVWKELIFSPTPAMRHILISGIGIHFFQQASGIDAVVLYSPRVFKSAGITGDKRLLGTTVAVGATKTVFILVATFLLDRIGRRPLLLTSTGGMIVSLAGLAAGLTVVSKHPDEKITWAIVLCIFCIMAYVAFFSIGLGPITWVYSSEIFPLHVRALGCSLGVALNRLTSGVISMTFLSLSKAITIGGAFFLFAGIASLAWVFFFTYLPETRGRTLEDMSQIFGSTATHKQAGATAEAAAKDKKVEMAATN >cds.KYUSt_chr2.6217 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38741957:38742592:-1 gene:KYUSg_chr2.6217 transcript:KYUSt_chr2.6217 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSANPFSDDDDDTADQTKTRSTRARLSSPGAAAAAPPYVVPRIIRTAAASSRYRRSSTWGYSPKVSVDMAVAHSWAPYAAVVRALRSLSLLSLKDDDREAARAAVAELCGHAAPFSGVRRFPAGEVFVCLDRPPFARKMQGIQQPLIKAEAAGSYGEEFVSACSIYMSGIGDALDELTRVRRDDDRSAPVLYDRAVFESAFLLRWTEP >cds.KYUSt_chr5.7849 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49506989:49511913:1 gene:KYUSg_chr5.7849 transcript:KYUSt_chr5.7849 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAKTVDYAGERALAKDFLTNFAGPHGEPKYQNILQDIANRKIRAVQIELDDLFHYKDVDEEFLQRVTENTKRYIGIFAEAVDELMPEPTEAFTVDEDRDILMTQRVDEGADGGSDGTDPLQRMPPEIKRFFEVYIKAFSKVTPLTLRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTAHLRGELTRKVAPGDVVEMSGVFLPMPYHGFRAMRAGLVADTYLEAMSITHFKKKYEEYELKGDEQEQIDRLAEDGDIYSKLSKSLAPEIFGHEDVKKALLLLLVGAPHRKLADGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPITNEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVIAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMETDLEMARHVVHVHQNLESPALGFTALEPSVLRAYISAARRVTPSVPRDLEEYIATAYSSIRQEEAKSNAPHSYTTIRTLLSIVRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTSSMDVKFAHALNLISRKGYSEAQLKECLEEYASLNVWQIHQSTFDIHFIDA >cds.KYUSt_chr5.27821 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176115231:176116691:1 gene:KYUSg_chr5.27821 transcript:KYUSt_chr5.27821 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPDALVTEILKNITRTSDLNSLSLVSKQHYKMEGNQRGALRVGSGLCTTTEALTSLCARFPNLLKVEIDYSGWIPGHGNQLDNKGLFVFSSHCSSLIDLTLSFCSYIDDSGLGCLAYCKTLVSLTLNSAPQITSIGLFSVAAGCTSLSALHLIECEKIDSVEWLEYLGRNGSLEELVVKNCEGINHHDFVKFGSGWMKLQKFEFERKREKYDHRLGGEVYDSSFDAHNTDIYDFCCESLKDLRLAHIKTWPEKGLRVILGKCKALENLCLQYVRALNDNDMIALSRSCRNLKSISLWLSLQRYHSEGSHYEARTSFTDNSLYALALNCHMLQTVDLKFLGCSPSWPSEIAFTQRGFLALIRSCPIRVLVLNTANFLDDEGMKAISSLPHLETLEIVFSHAVTDAGMRFIAHTPCLSNLTLQMCHELTDAGVAELGRAHKLESLVIEYCGVVSLQAVQGVAKSVHYSKDCSAALRKKIGFGNGY >cds.KYUSt_chr6.7413 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45051194:45051955:-1 gene:KYUSg_chr6.7413 transcript:KYUSt_chr6.7413 gene_biotype:protein_coding transcript_biotype:protein_coding MACNKRRRVEEPIAAVPELPDEMMTEVFLCLPIKAIFRFRAVCRSWATALSSEEFFGLHEAKMAEAESVSPKLFSMSPMPRFDAAGLYSCSSPTTSGSLLLALDDVRGDFADMTTAPCRGLNLLHDAVAPAYYVFNAATGATTRLPPFQHSGFATAGLGFDARTKEYKVVRLFQGDYLENRKIKCEVYVLGGDGWRPSPTVGVPFRVAMAAFSAARDIGVKMQPVFADGFLHWLLNPAELSTTQKAAVNNTLA >cds.KYUSt_chr4.19886 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125051728:125058473:1 gene:KYUSg_chr4.19886 transcript:KYUSt_chr4.19886 gene_biotype:protein_coding transcript_biotype:protein_coding MNHMHSTHTTRSWDFMGLPYGQPNGVLASAGMGEGIIIGVIDSGIWPESPSFSDDGYDPPPARWKGTCQSGKSFSSKSCNKKIIGARWYADVVNETQLVGEFLSPRDLNGHGTHVASIAAGNIVHNTGFYGLASGVARGGAPRAQIAVYKACWSVGAPPADATCSEAAVMKAIDDAIHDGVDILSMSILSVLGHIPAFHAVAKGIPVVYAAGNFGPYAQMVGNVAPWLFTVAASTIDRLFPTAITLGNGQTLTGQSLFADMERANQFHKMKLYLDTMCNLTVANSTDVKGSIVLCFSTTSVLPIAQLYGLASAVINNGGEGFIFTQQSADFLVAWQFRAMSIPCVSVDLEVAYKIIQYFSTSHNPVAKVSLTQTTTGSVIPAPKIAAFSSRGPNSVYPTILKPEIAAPGVNILAAAPQVGIYKELGLYFFDSGTSMACPHVSGIIAVLKSLHPDWSPAALKSALMTTAYITDNNGLPLLADATPNKIADPFDYGAGFLNPTQASDPGLIYDIDASDYQKLFNCMLGSDTNGSCIATERSLFDLNLPSIAIPNLKSSETVSRTVTNVGQPDAVYKVSIEPPAGVDMLVEPMTLVFGTDTSSQSFKVTFKAMRKIQGDYSFGNLAWHDGGSHFVRIPIAVRVVIEDSYSTVS >cds.KYUSt_chr2.41783 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260023015:260025888:-1 gene:KYUSg_chr2.41783 transcript:KYUSt_chr2.41783 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRPAASPASKPPPAAPAPTQKPSPAPAPKPSPPTPSPPPPAQKPAPPTPPPASAHNSSPKSSSLGLSPSFYALSCPGVELAVSNAVRSASTLDPTIPGKLLRMVFHDCFVEGCDASVLIQGDGSEMTDPANLSLGGFNVIDEAKRLLEAVCPATVSCSDIIVLAARDAVTLTGGPSVPVSLGRRDSVVSLASNVRRDIIDTGFSVDAMAASFASKGLSLDDLVTLSGGHTIGLAHCGTFWERFHPDANGSMVPVDGTMNTDYATTLARTCVASGSATVDCDEGSAATFDNRYFSNLLDGRGLLRTDALLVQNPTTRATVAAFAQSQESFFRSWVGSFARLTSLGVKTGSDGEIRRLCSSING >cds.KYUSt_chr7.19680 pep primary_assembly:MPB_Lper_Kyuss_1697:7:122034073:122042331:1 gene:KYUSg_chr7.19680 transcript:KYUSt_chr7.19680 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQGERSFFHAGYVGSMGRQGERSFFHAGYVLLLLLLPPPLFQGGRHHDVGDGPSVNLGQQHALDASWAGAYDAMIHVVIDTELDDEALKAQYLKAHPYGEWLKRQKMVKMPMRLMLSFPKMAPLEWISCIAISYREHGILITRSWCAFVSSPLNQEIFGIMLISTSSRPLVITVKNAANSPTATKDYSNTEGWTDGHGPAIAPASTSATSPKSHVEDLFFPNDLTRLLMGYRISKTSTMYPGGASDYRRKVGEPSEDFATYIQQRGYDLHDSTYGKFASMFAMLEDANFHSVIAQDRTDQFLGVLESATGHLVNIATRIQQAEKRTVPPERRRPARLPPLARPSPTAFCQGPDAMTLVRASCRRPGPCRSLAPLQQAHASFR >cds.KYUSt_chr1.18194 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106210629:106213506:-1 gene:KYUSg_chr1.18194 transcript:KYUSt_chr1.18194 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGSGSGSHDGRSTDGCSSCLPFCFWGSRVPAQTERRRRRRCRLRLRLKLSESWFSWPWLRKRRGGTNSEAAGKNKGKSRRKLLLLLLRSLQAKKELASVSSGSSFLAKVSSFGGAKKRNRSKPRQTVDDDAAPTTSCAEETAPSQVVTTSQRFPRSADGIWRAPSSRFHSLQLNRGGVGPCPGGMWTAATTLGVIVFLGRITAMFFLCSCMYGARWWFEVARTETKGGTPGGGSSRRLGHRVALDYLCADEHKKKIAMYGLLDTAGSKRPSSRFCIY >cds.KYUSt_chr2.29258 pep primary_assembly:MPB_Lper_Kyuss_1697:2:179865910:179866365:-1 gene:KYUSg_chr2.29258 transcript:KYUSt_chr2.29258 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHTADVADLAGELAAYDVVFLVALVGMAAEEKAKVIAHLSAHMADGAALVLRSAHGARGFLYPIVDPEDIGRGGFEVLAVCHPDDDVVNSVIIARKSNDDVHADGLQNGRGQHARRTHPACATHTRQVPAAARRSRTATRRSRMCRPRP >cds.KYUSt_chr7.31872 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198379033:198382438:-1 gene:KYUSg_chr7.31872 transcript:KYUSt_chr7.31872 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRETIGGRGGETTAAVERAVRSLGMGVDMAGDLRLRHCKAAGGCLVARSGGKATVTMPGVGVVLDVPADVKCSKGGRTRLRSDVLEFNKMTELFNHRSSVPGKIPSGLFNASFGLDGGSWAQDASSTKCLAVDGYFISLLDLRLDCQPLAIADHVVADVPDSWDPPAIASFIEKYGTHVVVGVSMGGQDVVYVKQEKSSLLPESEIKEHLEKLGDQLFTGTCTLRPSHFKSRDHKIKVPEAFNVFDAQQSQQRLEGMTTQVACKEGVTVIHSKRGGDVAARSHAEWLLTLPAKPDAINFRLVPLTSLLRGVVGLGFLSHAINVYLRYKPPVADLRYFLEFQHHRSWAPVLITGMRLHLEGKKNNRLGLHLQHLASSPTFINARPDQAPRWRGSEAISDERYYEPVQRRMFAHICTVPVKYNSHWCSGHRQTAFIVSGAQLHVKAHDSTNVLHLSLLYTELLGYTVVQSKWEHSTASLPGKGTSFLSKSFATSSATAEKDRQDRQEAARFGIDSGVFARGPPVPVGDQKLLKFVDTSQITMGPQDAPGYWLATGAKLVVDKGKISLHVKFSLLAPVS >cds.KYUSt_chr3.17720 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108641187:108646072:1 gene:KYUSg_chr3.17720 transcript:KYUSt_chr3.17720 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARIGAVGSRIEAVGGGRWEWWRGWRWEAISIKSLAFRGEDLVTKSTLKLEHGRKYGLVSSSRSGKSVLFRALTDKSMKIPLHMEVCPPLYNPEHSHLSVREYVTSCNEEIDKIEHICGVLAIEGVGDTEAANLLSWRHAMLEDSLVEHRAFQVLHGLGFDRDMQETVIEKLEDRWHMAIALAKALVVNPAILLLDEPTHYLDLYALIWLSSWLNKLNNNCILIVKSNDVEFLSEVCDNFIDLHNSKLKQYDGTYEDYSKSKEVDLSYQSPPFHLANAEKIMPPFLQLVALEKTYPPGKVIFNNANLDIDLNSRIALIGVKGAGKTTLLNILAGAVVGGMSRSSNLRIGHFDQVLVEKLELDQSVGDYVQKECHAEKKSGMLQFWLSSSMRRKKTRTLMHDQKASLAFACIAAKKPHLLLIDDPTKFMDFESIESLAMALKNWEGAFVLVSNNIRLINAALCDVWVCGSKELLKIESNITELSQEIKDQNENMKLLEDPTAFRGSYPRFAIFTNSKNVTKFAEAVHSSLVYEEYIDGLKCSYKPNCIRFGEYKKQIAMVTSAPTCSLAKGDMQIQGEMFVWKTYRMTTDPGKLICKSLLYILHESESMVECLSDFDESHVWVSPDGRALLRGPRRKRLCKIQLRRNRMSIHKIISDMCSEGGAIPPDTSYLLDMIKNKPEMSYMYHIQCSLAPISQTAPLFMRMQEHLCRDIKDVALKEHILLAIPYVEFGEWHGLFTDNYILRETYYYPDRNHVHSAYILPPLPLLPTPQEIRERQIKEADLFLLCLRNRAKHRMDYLRRVTHYLAQGSDLATHARFSLVNCVLQEELERAKETKDLKLHEFL >cds.KYUSt_contig_988.402 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2381744:2381968:1 gene:KYUSg_contig_988.402 transcript:KYUSt_contig_988.402 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEGVAMAEQRPHAGSAAGQSSGLTRGFGGAEHGLTRGFGGAEQRPHARILIETESRGNEQEIEGVGEEEIKK >cds.KYUSt_chr6.12775 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79791959:79793730:1 gene:KYUSg_chr6.12775 transcript:KYUSt_chr6.12775 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSIFLASPIATAPRTRTNATPSPLSPARSTLRLRQQPLAVAAALQAEHQPAVAAAPKPKPPALPFRVGHGFDLHRLEPGLPLIIGGINVPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDTDPRWKGAESSVFMREAVKLMHEAGYELGNLDATLILQKPKLSPLKETIRSNLCDLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >cds.KYUSt_contig_2197.49 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:298235:302075:-1 gene:KYUSg_contig_2197.49 transcript:KYUSt_contig_2197.49 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLSYREHVHEGSHRRCFTTGALHHNNPIQDGYARVTVEEIVQRFEDLDIDIATPEGVKRLGDVKRQFILWQKKFIKFPGEAPTSPPPYGGGGGGGGDGGGGGGGDGGGGGDGGGGASPNTPHSRRPTPPPPVLVRRVIGHRFHWILMVIKVQTSSVLVHDSLNMDPALWGDMRKMMQKSCALVLACAALMAAVAGATQYNVGGDNGWAVPGAGAESYNTWAEKTGFQIGDQLLFVYPKDKDSVLLVQPADYNACNTSSYDKQFSDGSTVFDLDRAGAFFFISGVDANCRANEKLIVMVSAAAAAKGAPAPSQGSPTTTTPPPSPPSSSDAGGAAQSPPSSPKTPATPNAPAGASNSTAAKGTPPGAANGAGLTVAGLAGSFVAWVGYAMLAF >cds.KYUSt_chr2.9940 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62913494:62914180:1 gene:KYUSg_chr2.9940 transcript:KYUSt_chr2.9940 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEPAVLPDDVLVEVLRRLAPHSVAACRWVCKAWRDTIDARLRRRLLSQSVRGIFINFTAHSFSEFFSRPSTGPAICGGLDFLPCRGVRIRDHCDGLVLCHDWLREYVVNPATRRWARLPQRPPPPGHMPGLDQTAYLAFDHAASPHYKVFLIPCLPYGGLEDNSSLESEWPPASYAMHVFSSMTKRWEKTTFLREGEAAGILANMLGVRKGIGIGIGIVPSTGEA >cds.KYUSt_chr1.15948 pep primary_assembly:MPB_Lper_Kyuss_1697:1:92714527:92716925:-1 gene:KYUSg_chr1.15948 transcript:KYUSt_chr1.15948 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQRRAIPLHLQRRAISSAPPCIPNAAPPVQLTRGRNRLRTPLHTAHPSRTTSEGLIKILKKYDKRPGALICLPIIQNVLLEPFFTTDILYQIEKDCEAMLD >cds.KYUSt_chr5.17995 pep primary_assembly:MPB_Lper_Kyuss_1697:5:116348628:116349020:1 gene:KYUSg_chr5.17995 transcript:KYUSt_chr5.17995 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTGPTFRRPRTRTELLYPADVLVEKTLRVWAKSRWRTEVELTRDFLDEGFSHLPPGSPVMYYVNESREGVALKLLTVTFANRFDAYALLGEVFWCGCESIFFTTYNVFTDCDAIFFSGKPMHYLPYYK >cds.KYUSt_chr4.49249 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304916232:304924025:1 gene:KYUSg_chr4.49249 transcript:KYUSt_chr4.49249 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAVPCGDERIRSFEDFARVHQFLLSAAGIPLPLHRRLYRKLADEVFDGGERFSVEPCEGGRQRRLILASETTLGSESDVFLVDHAWSFRLADALKQLREVPGLAERMAALMCVDLDRGTEVEESDEQDTEKTGSLEHVLQVLEKEKTRIQERGSDFAAWLELEELGIDDDMLVALNLSANFPNLVALNLWGNKLQDPEKVLQEIGKCGRLKALWLNENPILNQGADKGVFDALPELEIYNSHFTSKAEEWALGFCGDIVGAENPCLSVESISLENVVALDLSDRSIDKLPEVFSSSKLSSLSNLNIRGNPLDQVSGDDLLKLFSGFTYLQELEVDIPGPLGNHAISILESLPNLTLLNGVSASNIVETGKHVVDSALKPRVPEWSPEESLAERVIGAMWLYLMTYRLADAEKLDETPIWYVMDELASAMRHSDGANFRIAPFLFMPEGKLASAISYTILWPIRDVHTGEECTRDFLFGIGEDKQRSARLTAWFHTPENYFIQEFRKYQEQLESTSICPSTKETPSTKSVRPSDGRALRVLTDISQVEEFLTRPEFVLTTDPQDADIIWLGAQVDSELKSSLGLTDQQYTNQFPYEACLVMKHHLADTIHKAWGSPEWLQPTYNLETHLSQLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVSGDLSAIIRLIETGPKICQKYIEYPALFQGRKFDLRYIVLVRSICPLDIFLTDVFWVRLANNQYTLEKTSFFEYETHFTVMNYTGKMNHMNTPEFVKEFEKEHQVKWLEIHENIRNMIRRVFESASVVHPEMQNEFCRAIYGVDVMLDNKFKPKILEPIKLKIQTNGKRLDQLNGPPCIDLVMARDHFATSALSRPTDLDTAFRARGMVHFMRNLDTATPAVVMSGFSSQSPGAQLVPFSAFRMEPLLPEEVVKETFRITGQHVQCRGSKGTSVDHCEEKNIDSLFASI >cds.KYUSt_chr7.15693 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97445006:97445679:1 gene:KYUSg_chr7.15693 transcript:KYUSt_chr7.15693 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEMQMSIDCDGCADNVRKALLRLQGVHYVDVDRARDKVTVTGTASQKKVLRAARRTGKLAVLWPSAYNPEYHHQNHHAYTQPALYHHQQLKPAAAAHAHRYYNSVPHGSNGGGGKGTSSYNYHVHGYYDSDLHGHYGHEQHVAAGSYFSDENPHACSIM >cds.KYUSt_scaffold_1259.393 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:2611730:2615505:1 gene:KYUSg_scaffold_1259.393 transcript:KYUSt_scaffold_1259.393 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKTEGFQYSGAFAAMGVDNSVSVEKFCTDFKIKINRLTEDDMEFDMIGVDASIANAFRRILIAEVPTMAIEKVLMVDNTSVIADEVLSHRLGLIPLDADPRLFDYISNDTPSERNTIVYKLHVSCPKGSQRLTVKSGQLEWLPEGSQLTIASPAQPGEKPKTYTSFGQSQKKTSERPLGVKYEDITIARLGPGQAIELEAHAVKGVGKDHAKWSPVATAWYRMLPEVVLLKEFTDGDAEQLVKKCPVNVFDIEELGNGKKRAVVAKPRACTLCRECIKVAADKVKLRRVRDHFIFTIESTGALPPEVLFTEAVKILEEKCERVISELS >cds.KYUSt_chr2.70 pep primary_assembly:MPB_Lper_Kyuss_1697:2:440548:444731:1 gene:KYUSg_chr2.70 transcript:KYUSt_chr2.70 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSETCHLGSSSTNQVIDQQSLLASNPTVDENDLLPDTLESENYPHYALNSHEVGMPSGQQNTSLSLWDSAGSSSMGFLGDHDSLLQAKRENFVPSLSIGGPLIIEGRRHESSSSLPSHLNIDLNLNEADQFESGNVDMGQSNGQSGMHVFPLNRGLSTAEHVLRHREFSPSLIRNSSHTGNLFDDTAGQEAGGSSSRSVNHFRSSIKRKNIAGSIAESSANGSSRNRRQNNNMLLSLPSSPESNDNLSMPTSTHYGFSFPPVEQLNQDNDTSEDAIFPGPYTLSGHLHENERFLRNTRMRMSANEYDESLPNLLPEGSIRCSARQPAQQLSSITPVQPRAMSSSASSHNSAHVPAVAQFSQSLCQPPSNGNFGSRIGSSSSSADTINLRSASQDHGSSLTRSNLHEPFLLGPSMFSTDSTNLLAAPGSRSNQQNSSSSSTLRAAANVGLQQVPGLNVPQPSSAARGSTEIPRRSSLAASVSHSRSSSIALQHRGHSSSLHENRSQQQGTSSRASLQHYSRAVPSSIDRQNTGHLDLQSFMQTIAASREGSRSISELRSVFEQLRQGRSAARLEDFLADRSLIRRASLIDRHREMRLDVDNMSYEELLALGERIGHVSTGLSEEKVMGGLKQWKYLHIPLEVEPPTGVEPCCICQEDYADGEDMGRVDCGHYFHTACIKQWLVIKNTCPICKKAALGT >cds.KYUSt_chr6.30528 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193422201:193424590:-1 gene:KYUSg_chr6.30528 transcript:KYUSt_chr6.30528 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSDCSSCATSRLLPLRRALHPPPPRFRPSPAPAPALTRPLSASPGPLPLLPRARRMAALSAAAASSADSATVLADASAKIIDGKLVAKQIRDEVGVEIARMKEATGVVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDCSEYEVIRNIATFNEDPSVHGILVQLPLPRHMNDENILNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSQTKNPEEITRQADIIIAAVGVANLVRGSWIKPGAAIIDVGINPVDDPSSPRGYRLVGDVCYEEASKVAGAITPVPGGVGPMTIAMLLSNTLESAKRIHNFK >cds.KYUSt_chr5.13080 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85241705:85243673:1 gene:KYUSg_chr5.13080 transcript:KYUSt_chr5.13080 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVRLQPQTTKAACMGNMEQNAESMNVHVQLDSRKQNTALVSDGKANDGKYKSKMTEPLLEEKLGVHVDDDSAKEDSRPKKRMRDGTEQKLVGAQSGTAVITETAVMKDCPTEVSHHVVAESFKLQQYSSLPIDESVWSGIIKTQSKECVSLAAHLSTKYCDMVSKLSRSLLPMLEVTKLSRLEAWPKSFEASRPTDDNIALYFFPATTRQDEDGADPDQLVKEVVENDMVLRAVVDEAELLIFSSVLLPEQYKTFQGEPYLWGVFRPRNKKFATVDEQHGIGHCPQEEMGKQHAADHGVGKKGYMVAGLNVCAGLETQEEAEGQGMDQEQTPSVARPNTEIPVEDMVAGVNVGVGLETPEEAEGQGMEQEQTPSVARLNTPIPAEDMIAGLNVGVGLETPEEAEGRGREQQKTPSMARPNTPIPDEDTTRATSDPTMPSAVSANNVQGATSVPTVPAAMSANDDGQMHSSFSVPTGAIVGFVVRRTPRIEHLIEEMRREGSVVVAMRGEMIGSGLGQATATG >cds.KYUSt_chr5.33701 pep primary_assembly:MPB_Lper_Kyuss_1697:5:214006549:214012311:-1 gene:KYUSg_chr5.33701 transcript:KYUSt_chr5.33701 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQKGNILMQRYEIGRLLGQGSFAKVYYGRNLKTSQSVAIKVIDKEKIFKCGLMDQTEDVVPVDMDPVFDPFSRSTTEATRAEDNLTNFNAFDIISLSSGFDLSAQIKVGFTLEKPASFLTAHMDRIGSDIFEEIGVPNSKVSIVSMNSLTSKYSTHVVFGVLPYPKDASISLPALSVLRSSLVGMMLQQLNLSLTPSLFGHPSSIELLRFPGGITVVPVQSGSVWTSTVPLFNFVLNNSVYQILGNLTELKDQLELGLNLNSNEVRNEIGSSVEAPAIIEASVLDGTLLPYRLKQLAQLIKEPDVGNLGLNHSVFGKLSIPEVMLGFLQMFVLHCLQSCPYYEVAVVAVLLLGTS >cds.KYUSt_chr5.2354 pep primary_assembly:MPB_Lper_Kyuss_1697:5:15895786:15896757:-1 gene:KYUSg_chr5.2354 transcript:KYUSt_chr5.2354 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQALLMYLLLFILLFLLLRYLACTTRSRSHGRLPPSPPKLPFIGHLHLVGSHPHVSLAGLSEKHAADGLMLLHLGQVRNLVVSSTRATEAVLRTHDHVFASRPHSLVVDVIFSGASDVAFAPYGEHWRQARKIITGHLLSAKKVASLCAAREEEARLVVAKLREARGPVDLSGMLFAFSNDIVCRAIAGKFFRAEGRNDVFRELINMNVAALGGFNLENYFPSLGNISMLRRPVLGKLERLKKRWHDLLDQIIDEHAAASNSSSLDQDQERDFVDALLSLQHDYDLTREHIKTILMVRQFSIIIHSQALAYVFRDRLYLVY >cds.KYUSt_chr7.25387 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158384596:158385834:-1 gene:KYUSg_chr7.25387 transcript:KYUSt_chr7.25387 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTCCFPEREMAPSLRRRRQRRTGQDKATPTLPIADDLLAEIFLRLPTPTDLVRAAAVCPSFRRVATDRSFIRQFRKLHAPLLLGLVTCDGFHQVVPPHPSAPASQALALAADFSFSFLPSLGRWCAHDARDGRVLLRRKPENKKASVIFTEVVVCDPLHRRHLLLPPVPKDLQDLVVRQIDVLIGPSLAPPSEEEEVEETSFRVFYMARCKTQPVALIFSSNTGQWRGAASQSWSDLEPSTEPITAWGDFLYRSHYACGCFYWEMDCRGKLLVLHIRSVEFSIADPPPGAGEWRHLAIVEAGEDRPGMFALADGTSSDLYYSTIRRNSLWQAEKTISLGRGLHYIAGGTERYLILGRNRESLQLDIPDYQRFTLDIRTLQLERISEMKRRYIYELLYTNFPPSFLSLPTV >cds.KYUSt_chr2.29385 pep primary_assembly:MPB_Lper_Kyuss_1697:2:180737242:180738279:1 gene:KYUSg_chr2.29385 transcript:KYUSt_chr2.29385 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDDEWVLLDCDDEMALPEAASAVPVPATCASDDAMAVVTAADNAECSGVVAVDASEDDDDEEDAYSDYSNEGRLERAYESDGELDQVDAAEVVTAVAAADIKWSYGDSTDEEDAYSGDDDEDAYSDYSNEGRLERGYESDEDLHQVGHESCQAAFDMRKLPDKPRSIADGHKASAPSAGVYNLSRQLYATRLQEYLHGSGSAYRHGHECSGVAVDDAGNTDDEDDDEEDACSDYSDEGRLERAYESDEDQVDMTKLLVLEKIMSIIDPSKAAPGGGISDYDYGGLSDVDYEILSDPDYGILSDVDWGQGLDWGSLYDFDYGNLDSVDYGSLYDFNYSSARGH >cds.KYUSt_contig_686-1.590 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3585622:3587371:-1 gene:KYUSg_contig_686-1.590 transcript:KYUSt_contig_686-1.590 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGTREEMVYMAKLAEQAERYEEMVEFMEKVVAAAGTGELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGAAGHASAARSYRARVEAELSNICAGILRLLDERLVPAAVAVDAKVFYLKMKGDYHRYLAEFKSAAERKEAADSTLGAYQAAQDIAMKELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDSLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDAGDEMRDASKPEDEN >cds.KYUSt_chr4.9930 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60040722:60044130:1 gene:KYUSg_chr4.9930 transcript:KYUSt_chr4.9930 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTRKNKVLRIKIGCINPSLIPLDSDLFIKRGFFKLHFEVERGLGEHDADTIESNGGRKDDDGSDEANKDREDDTDGKGNEMDMDGANGHTDKEDSINMEINENEGHKGKQVALHRSEMEFKFGAFVSQVKLSDLDTILVLPKYFGGEAKKISEKSDVAQEEHLLSLLTETGYTGKDTAQTEFLAAKLSPMLRATTAPSSPRAAAESVKCMRIDEESLHRLSDSASSLHVTRDKQTEDGHISLDEQIKTGQSNTTTVHTSDVAKTNVKFVAKSTENEDDVHKNVQSVSPKPSVEDVVAFGGIPCPAANEVRISKRIGAQSDADLTQMERAMKKTQLRNSVNSGSDQSHYGDCPKSDKTYPVGIYAGS >cds.KYUSt_chr4.9571 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57807206:57808588:-1 gene:KYUSg_chr4.9571 transcript:KYUSt_chr4.9571 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPHVLVLPFPAQGHVIPLMELSHRLVEHGVKVTFANTELNHGLILGALVSKDGSSSLGGVDMVSIPDGLGDGEDRKNLARLAEAFSEVMPGELEKLIGRVSDCSVSGSEKLTWLLADASMAWAFSVARKLGLRTAAFNPSSAAMFAMRMSIPKLIMDGVVDEKGLPKRRGTFRLAPAMPPLDTTELSWNRAGDPKGQPVILDLILRNNAATHLAEAVLVNTVQELEHGAFALFPEVVPLGPLVSAEYKPAGSFWAEDDTCAAWLDAQPAGSVVYVAFGSFAIFDRPQLIELAEGLALTSRPFLWVVRPDSASEQWLEDLRHRAGPRGRVVSWCPQQLVLAHASTVCFLSHCGWNSTLEGLVNGLPFLCWPYFTDQFLNRSYICEVWRTGLQVASPPGIAGEEAQIVKREVIRGRIEELLGDKEIKVRALALRDVAQRAVSDGGSSRRNLTQFVDLIRG >cds.KYUSt_chr6.7433 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45168503:45168964:-1 gene:KYUSg_chr6.7433 transcript:KYUSt_chr6.7433 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIKSNRLVGRDHNPIFNSQQSNIICQTATSDTCAYKPSFASRPFDLSLAAGVHMEEAFMLSPELRDVLAKVAVFVLVQGLVYLILSKSSSVFSKDKTLRSPSFRPMRSMSVRRVLAPLSDVPVGTDESGSAPSPSDYSRSWSSRRWGNRED >cds.KYUSt_contig_2097.286 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:1502317:1505288:1 gene:KYUSg_contig_2097.286 transcript:KYUSt_contig_2097.286 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAAATVASSVASKIAPKLLDFFKKNHKLQADLEHEINYITRESEMISAAIREDGQRPQMSGEEVHKEWIKMVRDLAYAIDDCTDRFIHRVMMPTDASWVHRKLHRLKTVQACNEFAAAILRLRKRSEDACMLRTRYITSGECRRGGGKSNILDSSDEETDTFVTAVGMEAARDELMELIMVRTTKDELKVISIVGFGGIGKTLLAKYVYNSPAVVGKYPARAWVRAAEKDAGDVLQEILQQLGVHSISEGIVGSSCRQSNRKLCSTLKTCLHTIRFFIVIDDMRTEFWHHIKDAFPVIPGVSNRVIVTTATQSIANACASNDGDVYVMRTLDAEHSKELFCKEASLEYPPPPGDTQLGSEALRKCNGLPLAVVTTAQFLQSRGNPERWAYLCENLGKHLETNENLARMNRVLVRSYTNLDSQDVKTCLLYLGIYPNGHPIRRASLVRRWLAEGLITEDPKCRTLDTAIENFDMLVNQSIIQPIDASSGNRAEVKIYRTHGIMLEFILHKSM >cds.KYUSt_chr5.40043 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253002047:253004583:1 gene:KYUSg_chr5.40043 transcript:KYUSt_chr5.40043 gene_biotype:protein_coding transcript_biotype:protein_coding MELTHGDSLRRVLRAVSLILASTVLSEVVSAAAATTRADFPEGFVFGAGTSAYQEDVKLMHDMGLDAYRFSIAWSRLIPDGRGAVNPKGLEYYNNLINELLSYGIQPHATIYHFDLPQALQDEYNGLLSPRIIDDFTAYADVCFSSFGDRVQHWTTVNEPNIEPIGGYDQGYLPPRRCSYPFGFDAVPCTGGNSTTEPYIVAHHLLLAHTSAVSLYRRKYQAEQRGRIGLTLLAYWYESETEKPEDREAAARANDFSIGWFMHPLVYGDYPPVMRRNVGSRLPELTPDESTRVRGSFDFVGINQYGAILVEADLSQLKRKLRDYYGDTAAKFVTPPFQSSREQLRSREAPWGLSKMLEHLRTKYRNPPVLIYENGVGHEPDPSGEFMYDDEFRAHFLQVYIEAALSSIRNGSDVRGYFVWSFLDVFEILFAYRFRFGLYGVDFGDEQRTRYARSSARWYASFLHGGELRPVATGRDRASFYSE >cds.KYUSt_chr2.2719 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16265297:16270235:1 gene:KYUSg_chr2.2719 transcript:KYUSt_chr2.2719 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRIRTFEKRLLRPLLRLPARSSRGFQTLARSSPPPPRAPSLLLLLRTRHLPAPPPRRAALPILRSFASLSPLPAPHCNTDDGTPPAPLPPPPEELASDDDAYYQEQLLEYTQEDQTRLVPVKAYFPCTSINLKSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKTGVIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVERHASGLLPEMRKDDYAIVEKPTLETWMEGGLDFIILKDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFNMEKKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEFLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVHEQM >cds.KYUSt_chr1.3859 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23607311:23610014:1 gene:KYUSg_chr1.3859 transcript:KYUSt_chr1.3859 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPGTRSRARLPLLPAAADVLRCLLLAVAAVTAGADSSAASPVILATVCGARTAPNPEGFDVSFVNTLELIYQNVTRSGFGAAASGSGNDSVYGLGQCLAYLSPTDCQLCYAQSRVKLPHCLPADGGRIYLDGCFLRYGADNFTAAASDAGDAAVCSNDTAPSTPAFAAAAASLVRNVTASAPGAKGYYYAATASASAMPSGARVYAAAQCWRSLNATSCAACVASARDRVVGRCLPGAAEGYGLNAGCVVRYSTQPFYLPANAGGGGGGSSTRHIIIVVFASVLSAMAAIGIAFIWTRMRRGRNHLHHDDMDGSGELIRAIAASQLGFRYEELRKATDDFNQINKLGQGGYGSVYKGVLADGREIAVKRLFLNTRQWTDQFFNEVKLVSQVQHKNLVKLLGCSVEGPESLLVYEYLCNTSLDHYLFDAFKKNALDWERRAEIILGTAEGLSYLHNASEIRIIHRDIKASNVLLDEKFRPKIGDFGLARNFMEDQTHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKNHNSMASSAEGLSLMALIWKHYNTGTLMELLDPNLREECSEREALKVFNVGLLCAQASPNLRPPMWKVVEMLGRGDRVLPHPTEPPFIDVKGSNANSYGSGSSFSLKSNSDKSPLSTNQLSVSGVQAR >cds.KYUSt_chr5.19425 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126003610:126015947:-1 gene:KYUSg_chr5.19425 transcript:KYUSt_chr5.19425 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFSSSSSSGCCISSGGSSFEPPLFPDTFKLYTGRTYPGSLVFEAARDGSIPVMKTMAELCRKLGESLEVVEEIQDFNGRSLGALHVAALNGKLEMCEFLIDELNLDVNAAAQHDPLGIANILLSRGANVDSMSSEGTPLHFAALCRNLEMMEVLLNLVFMVKRSRGQKPNSVVQSSYAPLTLALFASSLKCVELVIQAGADVNAARPATPLIIAARYGLSDCIKFLLEYRADPNIPDESDIMPVEIAAIHGRKECVEILFPVTSRVDKFADWSIDGIMQHVKSVGLEGHLHTIVHSSSEVQGDAAFEIKDYAQASALYTTMKYQWESYKLGSGDDKSFEKELKQLVDYLGHPYPEFFGIPLKAQSGEPPQWDVSTDLRRKLDAPVWETIWFSVTGSTWKEGLDKAMQEAISRLCGQNEDKIKNTRFIYYPRHDSMGRPMTMPPPQPKMNPYEAPQDFRQYKTRRDLDNTLASRQAPHP >cds.KYUSt_chr5.29383 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186215832:186217334:-1 gene:KYUSg_chr5.29383 transcript:KYUSt_chr5.29383 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEANGGGDGLEHELGMVVLPTGWVAWVPAQAVAGGMAAIAAAMAQNGWIPAEAAARTGWVPRPDLLVMGGEEARALAQQHGWISPGARLHRIPAAARRNVPDNMEAYYASMGNPALYLALLCLPAQEIARCRSVCWAWRAIIGSGAFRRHHHDHHFRTPMPLLFFLDPSLAGLNLSAVDARDRVPRPVLRFHRPLNNEVLRVHGSCDGILLLSSGRRLYVCNPCTRRWARLPHLHVDHDIVGFYVITGPGGDSECNVLYHTRRMLPNCQYWIVTLGRDGWTCDTIGRPGPDLPSDELDLVLAKGIVPSYKIPPVFILGSLYWPPKAAQVQSNTDLLVFDTAAETFSLFTPPSIQVGGEAVPVVARQLFEIDGRLAMTAISFYPPTVDVWVESHSTTELWSHRYSIRVPVDEISLNDSCHHNGSVFALAQDRHDLVQCPRVLLHCDAQGAVLQSYRPTPQLPHHWTALSGHTIQESLLQHHRMLRMRDADAVDGDPPFF >cds.KYUSt_chr5.9671 pep primary_assembly:MPB_Lper_Kyuss_1697:5:61439968:61440742:-1 gene:KYUSg_chr5.9671 transcript:KYUSt_chr5.9671 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPVAAAFAVVFFFSFICSVQPPPFVSSAGMSRTSSFGMDRSPRKKPRLGAAAADANLEVILSGADVEIEVESDACLYGSAVVKVDTAPLTKASTADAPPAPSSLPEHEHPLAGEALAWAYRKGRHIYADPVMQRLEAIGALLDLELMIVPPVPRSEIMVALDELVTGLLPTLDPAPGPQEEIDIQWLDGNLQPPPLSP >cds.KYUSt_chr5.30746 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194889774:194896548:-1 gene:KYUSg_chr5.30746 transcript:KYUSt_chr5.30746 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQRPRKVDCTGGSAAGDVAVVMAVSSGHEGGEEWASGAPGAGHALVCSVPRSRGRSSARGCLVDLGTSCGVDLLKNVGVRAFVGPQTATQAKFLAHLGNKSSVPIISFSADCPSRSGLTPYFIRTAWNDSSQAEAIAALVQRYNWREVIPVFEDDDSNIKFIPDLVDALRQVDTRVSYRCKILPLDKEDDIKRAISSLRDHWTSVFIVRMSNTLALKFFQLAKDEGMMGEGFVWITAYGLTDIFDVVGSPALDLMQGVLGVKPHVQDTMELQNFRQRWRKKYRSENPGTSLTEPTLFGLYAYDTIWALALAAEVAGFVNSDFGLPVNNGSTDFDRIDTSRSAKKLRDSMLKVNFSGMSGKFQIKGMQLASVNYTIINIVGRKGRVVGFWNPVSGISSSLWPGDSDTRPRGWILPWNKTLKIGVPVKGGFGEFVRFEGELLPKGFCINVFEEVVADLPYKLPCSYLPFEDRIGESNGTIDELVYKVYLKEFDAVIGDVTILVNRSLYADFTLPYTESGVRMLVPVLDRRKKTAWTFLKPLKTDLWLGTGAFVVFTGFVVWCIERNEQFKGHPASQIGSVFYFIFSTLVFAHREGMVHNLSRIVVIVWLFVVLILQQSYTASLSSILTVEQLQPTVTSLEEVISKGSHVGYLNGSFLPELLRSLKIDDSKMIAFDSPEEYNEALSTGKVAVIVDEIPYLKVFLKNYCHNYTMIGPTYKFDGFGYAGILHLDSTELSGWSIGLTTIYEPPERAEIRTPSWYT >cds.KYUSt_chr2.5655 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34893581:34894480:-1 gene:KYUSg_chr2.5655 transcript:KYUSt_chr2.5655 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCAPTPPPSVVRPQTPFATTAGPPPTAGGKGGGVPVHHYRGSSAVRVRLAAPVFWATTPSSSGRGWPGQSNGYGLRLLGGAEPWIPEPSTERVSSSPGTRLSTPAPAPSPPSPTRSTPPRASASDSEGCSAISSDITIIYCVCLVLRSLGALDANLRLK >cds.KYUSt_chr2.53890 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336372753:336375070:-1 gene:KYUSg_chr2.53890 transcript:KYUSt_chr2.53890 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPELLMAARSGDIKLLGDLLNGEDDAAAALAGPVVVDVLEGSLPVRRDPTLPALPAAMAAALSGVTSRGDSVLHVVAASGDGQEFLQCAELICNKASNLVRDSCNRNGDTPLHCAARAGNVSMVSHLISLVRRDHGAVAAKALLRKQNKNGETVLHEAVRLATDGDLVKLLMSADPELARVPETGTSPLYLAISLGLDGTAQLLHDTDGGLSYSGPDGQSALHAAVLKGKGMTKMLLEWNKDLAKQADGVGDTPLHVAASVERSGMETVLGSSFWFFFSSSMCGMTELLVGANISCVYQPDQDGSFPIHVAASMGRLNVIKILLDKCPDCAALLDGKARTFLHVAVLKKRQNIVAFACKDPGLASILNMRDDDLNTVLHLAVYSGDLHSFGYLICNTKNAQSWIRRLLASTTKGGCNSGRQDRFRKAHVPRLDYAEESRKMTDSTQYLGISSVLIVTITFAAAFAPPGGYRQDDHPNAGAATLAGSYAFDAFVISNTMAFICSSLATFGLMYSGMTAIDLGIRAWYFTGSLILLRSSVRSLGAAFAVGIYLALAPVARVTAVMVCVITSALVLFGNRYTVHTVTQTVSLHLRLGTRRACMGLAVTVLVNILFEYWSYIIIFGLPACIKYHNGN >cds.KYUSt_chr2.50965 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318839364:318844950:-1 gene:KYUSg_chr2.50965 transcript:KYUSt_chr2.50965 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSDKRQRQSEPTTLMSLGDDMLAEILCRLPSLPSLARAACACPRLRAVASSPSVASRVRSTSPAPLLGYFISVAGGAIPSFHRALRLPDSDVAGVLRRGDFHLAGLEDYDWRLMDCRHGLLLLTGDRCMAVFDPVANSRARIPHCTNVSNGGGGKSSFHCFLPATGGAASFRVLCLESTGGSRVRPHVYSSSTGEWCSHSLAPKGIRPPRRGDQHSFHHYLPVHAGGRVYWRTSAETLASLNVGSMEFSHVPLPEKLCRYFPYVVGDTEDGTTCIVSVSAKTAGLDIRVWFLKGDKWDEQRRVDASHMLGADRISAAKVCNVTAGVVLLSTGNKHKGLGSSERLDRLRRGLGLVTLAAVLWWQELSQAGVLGLGISANKVAEEAEASPRYKCVFLQHAELETWPLPLAGRGGEEVEQLYLEFFHAARRGNCDLLKLSCRSEAASLRSSYTEDVFAEVIQGPLEATPRRLVHPCTAVHLQPPIWRPLSEPCVGARLPRVFKWFVPEGVVVTDVVGSSLV >cds.KYUSt_chr6.7469 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45454002:45455207:-1 gene:KYUSg_chr6.7469 transcript:KYUSt_chr6.7469 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTSPARSARLAAVVTAAVAAVTGCGWSSSSSASMTSVVDIPSSPVEVVDIFQVPYDSTMGTVLAVDSSTLTVVLPASSSGSPASPTSVLCVAPLTLVRSGVCFNIGFKEQRMKKIAADVLAFAGVHVTTLQLYNHIRNWRTKWSVIMKMKSDRILDWSEDGCCFYGGDEGTADEYIQRYPKHHQYVGTSITNYAQMKTIFTPRFMCKAQNQPNLLVRATDFIADNEVEYDVYRKL >cds.KYUSt_chr4.22365 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140508739:140515489:1 gene:KYUSg_chr4.22365 transcript:KYUSt_chr4.22365 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILSSPKVYKPASEVDLGPGSDELYISPNVKAPRVAGLPVKIFAWVLETPIVGPVVLYFLKKDNLVNKLVSDAEIPEPPLFTATHPLKDIPEQNVNQVKPGLSPAERVHDAVGCLPGLADPLSPCFRRWTIRDFAKAYVSGEITPVMVARRFLAAVEDCSGPELNMAMFISYNPEDIIKQAEESTLRYQQGTPLSAMDGVLVAVKDEIDCMPYQTTGGTRWLGKARPCVADASCVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPYNTGRVSGGSSGGSAAAVCAGLCPVALGADGGGSVRMPAALCGVVGFKPTVGRLSNSGLLPLNWTVGMPGILAASVEDALVAYAAMVDQSRPSHSQPQLNLPLLTSTRSMPNIRLARSAKWFDDCSEDIRSCCDKALQILRAQYGWETLEVTIPEVEEMRLAHYVTMGSECSASVAKYVEKLNKSEIGWDVRIALSAYGSFSSKAYLNSQRIRSRQMYFHQKIFEMADAIVTPMTGWVTPYAYTTIIKAKANKL >cds.KYUSt_chr4.10143 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61374952:61375765:-1 gene:KYUSg_chr4.10143 transcript:KYUSt_chr4.10143 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWTTHSPRWSARPDALPSAGASRRPWCSAAAAPTCSRTPCATATLPVAADQGVDDPPSSTTPPPVAEAGPAAKAATTPVVVILDEEEEVAAAHASSVVKHVAESSHSSQSSDALPDFSWQGMSAFDEVAAHPTIPVPKFEAEQFPFFFDDGAYESLDSLFSERGARTLEEQLCADAREERRRDLTRCDAKEATCDGRHESAVPTTSSGLQDQSVHHWSIDRLILLLLLSKLRAASSSLM >cds.KYUSt_chr1.34208 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208104715:208106341:1 gene:KYUSg_chr1.34208 transcript:KYUSt_chr1.34208 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGDASPPAAVVDVPVGATPNAGVVSAMIFATIPSKRKRFPKQFFEAPSTAAASPAAASPGEAPPASKKAGRMKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKATPSPPSVPFDAPPAAPPSTMDVDKVFDVESTTSYMDMLNGSAVNLDAGIDAFDGDCNVEEIDEEEDEGDEEEVVEVDLAAAVSSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQQRYKDMAGSKNKEFQFQHCFFILQHLPKWKLRDNEPKCKKEALLTMDDEVEDMSGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVHARREDAKLKAELDMKMIAAKEAKAMKELLAEEREIMMMRTDSMDEDQLAWWNETKADIIARKKAARQARAQGESPASGGAGGDGFVDA >cds.KYUSt_chr5.40760 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257333297:257337627:-1 gene:KYUSg_chr5.40760 transcript:KYUSt_chr5.40760 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSTVLGFSGFGFGFTAGIVIGYYFFIYFQPTDVKDVKVRPLVEYDSNSLDGILPEIPMWVKNPDYDRIDWLNRFLELMWPYVDKAVCRMVQDIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYVTEEKELIMEPCLKWAANPNITVVAKAYGLKATVQIVDLQVFASPRITLKPLVPTFPCFANILVSLMEKPHVDFGLKVFGADLMAIPVLYKFVQDTIKKQVASMYLWPKTLEVPIMDPSKASKRPVGILLVKVVRAQNLKKKDLLGKSDPYAKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPENQSLEINVFDWEQVGKHERMGMNVILLKELPPEETKVLTLNLLKTMDPNDIQNEKSRGQIILEATYKPFKEEDMEKESMDGTDEVQKAPDDTPAGGGLLYVVVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPANDKMHVEVLSKAGKKGILHGKETLGYIDISLADVISNKRINEKYHLIDSKNGQIQIELQWRTS >cds.KYUSt_chr1.11803 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72799518:72802804:-1 gene:KYUSg_chr1.11803 transcript:KYUSt_chr1.11803 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSRHGTSSRLFHSVCLLLLCFSWLCSPSHGKRSTPPPPKSSSRLPVRAVCLGGWLVTEGWILPSLFDGIPNKDLLDGTQLQFKSVPWGVYFAAEQGGGTVVVANRTQASGWETFKLWRINETTFNLRVFGGQFMGVATDGAVVATALSPGQSEAFQLVRNPDKTRTRIMAPNGFFLQAKKDGSMTADYGQSTSWGDDDPSVFAVTKVGQLQGEYQICNGYGTSKATPVLKNHWKTYIVEEDFRFISESGLTAVRIPVGWWIASDPSPPAPYVGGSLKTLDNAFKWAEKYNLGVIVDLHAAPGSQNPWEHSSSRDGTQNWGTTDANIAQTVQVIEFLASRYAKSPSLLAVELMNEPLAPGTSLASLMKYYRDGYHAVRRHSSTAYVVMSNRLGIGENTTELLEFAGAFPGSVLDVHYYTVFNSIFNNLTVDQNIDFIRTNFSGELSKVTTHNGPLTFVGEWVAEWLVPNATKEEYQMYAKAQMDVYGQATFGWAYWTLKNVNDHWNMQWMIKNGYISLKS >cds.KYUSt_chr7.10895 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66954873:66958556:1 gene:KYUSg_chr7.10895 transcript:KYUSt_chr7.10895 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKDSRPSYNSGYDYGNSSAGYNSRYSANTPSGYSPRYAPSAGNNVQQPEAQARLQRMYSRIGDDYRSVGQVTEALAQAGLESSNLIVGIDFTKSNEWTGKISYNRRCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENQPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIADSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVKASHFPLSIVLVGVGDGPWDMMHKFDDNIPARSFDNFQSSPRNTSKNSSAPTNKESLFKVYQL >cds.KYUSt_chr2.42468 pep primary_assembly:MPB_Lper_Kyuss_1697:2:264573089:264574331:1 gene:KYUSg_chr2.42468 transcript:KYUSt_chr2.42468 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRGGDSGAEPQPASAQADEDKQRDGARRRVRRREQSNRSGARKDLGCGRREDDGKLGRGRREDDGKLGRGCRKDDDELGRCNSDKSSGAEGRMTTSSGVTARRREVDGKIGRGRREDDGKLGRGRREDDDELGRCNSDKSSGAEGRMTTSSGVTARRREVDGKIGLGRREDDGKLGRGRREDDDELGRCNSDKSSGGEGRMTTSSGVTARRRQDKSLGSAAKMTSQRSRGVLAGNGFINIVAPDSR >cds.KYUSt_chr5.34700 pep primary_assembly:MPB_Lper_Kyuss_1697:5:219889298:219893333:1 gene:KYUSg_chr5.34700 transcript:KYUSt_chr5.34700 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRPRTSPLAAAAAAAAGGAPSLLAADPSHRDAVLHAARGAMANCLGETHLDLVVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNRTAHITPNAVVSCPDKNVTIAKRCSVFPVEFVARGFVTGSTDTSLWTVYNNGVRNYCGNVIPDGMVKNQKLPANILTPTTKAADHDVPITPDEIVKSGLMSKDDFDEARSKALSLFEYGQQVALENGVILVDTKYEFGKTADGTIVLIDEVHTPDSSRYWIANSYEERFKSGLEPENVDKEFLRLWFKNNCNPYEDKILPEAPEELVSELAWRYIFLFETITNTKFEIPDTQEPIHERISRNVAEALRNL >cds.KYUSt_chr4.8514 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51135485:51137845:-1 gene:KYUSg_chr4.8514 transcript:KYUSt_chr4.8514 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAARSGLRSLAARAKAPAPARRPMSSSAHDDAYETAKWEKITIVAAVSCTLLAAWNLSKGHPHYDEPPAYPYLHIRNKEFPWGPNGLFETKHDH >cds.KYUSt_chr4.11904 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72329549:72330097:1 gene:KYUSg_chr4.11904 transcript:KYUSt_chr4.11904 gene_biotype:protein_coding transcript_biotype:protein_coding MDILDHSEDEEERSYYGSGSRFGCRWQKPRSKSHHQLLLMDSVGNGNGNGGVEGDAASEEQEIVPLPEYERLSQSARLPQDPDPKNPLLPEYRVASPPPPPPPMPRPQKKPAAWRLIEYVRSRNKSGVPGGCGSSDGDSRSSDGEKEGEEGGGEEGKKEEKTKKRSSWLPDRERRWPVQGFY >cds.KYUSt_chr6.27433 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174206001:174208573:1 gene:KYUSg_chr6.27433 transcript:KYUSt_chr6.27433 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQWQKPMVYDWESASWKGCDSAQVGSSLSSVLSELWGAEPQAVHLVPVPSSAQTPSASSGSGSGWSWLWLSITVGVGLSAGMCYAAWENYQRSGCLRTYGRDMTASARRMDPVIGRDDEIDRVVCILCRRTKNCAALVGAAGVGKTAIAEGLAQRIAAGTVPAALAGARVVEVDLGAMVAGTVLRGMFEKRMKSVIKAAEEAHGKVILFFDEMHTLLDAGSSRVSDSGNMLKPALARGRVRCVGATTYDEYRKYVEKDPALERRFQKVHVEEPSEQATVAILQGLKQRYQEHHGSEIQDDALVAAAHLAARYVTDRQFPDKAIDLIDEACSTKKLQQDGCEPEKKSTIGPDDVARVVSRWTGIPVTALDQDEKHKLIHLADRLHERVVGQNEAVNLVAQALLRSRVGLRQSGKPIGSFLFLGSTGVGKTELAKALAEQLFGSEKMLVRFDMSEYVGAGSALRLIGAPPSYIGHEDGGQLTEKVRRRPYSVILFDEVEKADPSVQKFFKPEFLNRLSELVIFQPLLINNLRQIVKIQMNSVVAIAVEKGISVLTSEAALGVILSESYNPMYGARPIRRWIEKNVMTMLCEMLVKEEAMEGSTILIDATDNKKSLKYEVSGQEPSRR >cds.KYUSt_chr6.1896 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11345729:11352198:1 gene:KYUSg_chr6.1896 transcript:KYUSt_chr6.1896 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRPLAAAPAPASYFRPLRLTPIRRRLPATRAAVSTASHAHDAVFLRRAADVADRSAGLTSPHPNFGCVIARPQLETDDLEAWVAGEGFLYAQGTTCAELLAAQEAGEHARGATAYLNLEPGDCYGDSTAVSSLVQAGITRVVVGLRHPLKHLRGKAIQSLRSEGIQVEVVGEDLQSKLFEEALTSCLIVNAPLLYRAAYHVPFSVLKYAMTADGKIAASSGHASWVSGRASRGRVFELRGRSDAVIVGGNTVRRDDPRLTARHVKGHVPVRIVMSQTCDLPEEANLWNVHEAYTIVATQRGARRDFQKKLAMKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLSAAAISARVIHKVYAFCAPKIIGGINAPTPVGELGMNQMTQAIDLIDVSYEQIDRDMLMSGFIQPIPDLSPVIPSADEIPSVDPEVSPYETSIISFYKTWDTFGAFSNFSPHPLHMPDEQGHCLTWLTVEHYYQAHKFVGVDNPQARDIVQEIKQARSPEEAARIGRTRQREFPELVRVDWESMKINVMYRALKCKFSSYPHLTEMLLSTAGSVLVESSPHDLFWGGGREGEGLNYLGRLLMQLRSEILGTVRTSVEVADSA >cds.KYUSt_chr2.37343 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231236516:231238316:1 gene:KYUSg_chr2.37343 transcript:KYUSt_chr2.37343 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPVERPHAVMVPYPAQGHITPMLQLAKLLHTRGFHVTFVNNEFNHRRLLRSQGADALGSVPAFRFAAIADGLPPSDREATQDIPSLCYYTMTTSLPRLKELVAKLNEEAENSGGALPPVTCVVADSVMTFALRAARELGLRCATLWTASACGFMTYYHYKDLRDRGIIPLKDEAQLSNGYLDDTTIDWIPAVPKDLRLRDLPSFVRTTDPDDIMFNFFIHETAAMSQASGVLINTFDELDAPLLDAMSRLLPPIYTAGPLHLTVRNNVPDESPVATLASSLWKEQDAPLQWLDGRPPRSVVYVNFGSITVMSNEQLLEFAWGLANTGYAFLWNVRPDLVKGDEATLPPEFSAETEGRSMMSTWCPQAKVLEHEAVGVFLTHSGWNSTLESISGGVPMVCWPFFAEQQTNCRYKCTEWGIGMEIGDEVRRAQVEGMIREAMEGEKGREMRRRVMELRDSAVASARRDGRSIRNVDRLINEVLLA >cds.KYUSt_chr1.3597 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21873148:21874671:1 gene:KYUSg_chr1.3597 transcript:KYUSt_chr1.3597 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAAAGVAAEGEEKPAGRELLYCGTTRFDIMGRTVKGVMRGSRGNLVSPARLRPLVGVDIRFVASGCAACHCVALGADGRCFTWGRNEKGQLGHGDTLLRNLPAVVSQLSKYNITSASVGRNHTVVVTDDGKSFAFGDNKHGQLGTGSLRKAQQAFPSMANLVMEATMRLIATL >cds.KYUSt_chr2.13802 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87453508:87456060:1 gene:KYUSg_chr2.13802 transcript:KYUSt_chr2.13802 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAERLALAFLLAAAAALAASAVDTKLTLQNLCPFPVHPLVTPNGNFSSISDNTVHLDPNRGLVSFPFPDTFWAGSVVARTFCRTPTSCDTGSSPPRTVVQLAVHSTEDLATYSVSLEDGFNLATVVTPLFSGGGQCPALGCPLNLTNGCPVDQVKFDDCGVMVACKGDPGYFKRRCPLTRVNGTDREPLPQSCIAPRELKVVFCQKELAHLTMVGAASAQTELAHLTMVASSAVWQSDVAAAVVLMSNDQEVTTVVEIADVQCNAAVAGCEVITGGDTVDVLHNAATAGGEVDTGSDVSNVQYNAAAAGAQPVSSFTVDSSHDHVAMATSSPLAGDKTIHAPDVRASTFTAIESSSNLSTLKASSTMANSNEVQN >cds.KYUSt_chr2.49463 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309585569:309589096:1 gene:KYUSg_chr2.49463 transcript:KYUSt_chr2.49463 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRPPPKPGRRSTMPPPPRLLLLLLLAMVASAAAATTTPPASPPVARTAEVQAEIDALLAFRAALSDPYAAMSGWDAASRSAPCSWRGVACSPTTNRVVELQLPRLRLAGPISPTLASLPSLQKLSLRSNALTGAIPPSLSTIPALHTIFLQNNALSGPIPPTFLANLTVLDTFDVSANLLSGPIPPTLPRGLKHLDLSSNAFSGAIPPTLPASSPGLQRLNLNANRLRGTVPASLATLQDLHYLWLDDNLLEGTIPSALANCSALLHLSLQGNALRGILPAAVAAIPSLQILSVSRNLLSGAIPAAAFGGGQNNSSLRILQLGGNQFSMVDVPTALGKDLQVVDLGGNRLAGPFPAWLVEAQGLTVLNLSGNAFTGDLPAAVGQLTSLQELRLGGNALTGEIPPEIARCGALQVLALEDNRFSGEVPAALGGLPRLREVYLGGNTFAGQIPAALGNLSWLETLSMPNNRLTGGLPSELFLLGNLTVLDLSDNKLAGDIPPAVGSLPALQSLNLSGNAFSGRIPSTIGSLLNLRALDFSGLKNLSGTLPSELFGLPQLQHVSLADNLFSGDVPEGFSSLWSLRHLNISVNSFSGSIPATYGYMASLQVLSASHNRISGEVPAELANCSNLTVLDLSDNRLTGPVPSDLSRLGELEELDLSHNQVSGKIPPEISNCSSLATLKLDDNHLGGEIPASLANLSKLQTLDLSSNNITGSIPVPLAQIPGLVSFNVSHNDLTGEIPAVLGSRFGTPSAFASNPDLCGPPLESECSQYRQHRKRQRLQRLALVIGAVAAASLLLVLLCCCCVFGLLRWRRKFVERRDGVKKRRRSPGRGSGSSGTSTDSQTKLIMFNSRITYADTVEATRQFDEENVLSRGRHGLMFKACYSEGTVLAILRLPSTSADGAVVVEEGSFRKEAENLGRVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVIHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAAAAAAAASTSATTPVGSLGYVAPDAATAGQATREGDVYSFGIVLLELLTGRRPGMFAGEDEDIVKWVKRQLQRGAVTELLEPGLLELDPESSEWEEFLLGIKVGLLCTASDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTTQASPA >cds.KYUSt_chr4.34120 pep primary_assembly:MPB_Lper_Kyuss_1697:4:209306517:209308523:1 gene:KYUSg_chr4.34120 transcript:KYUSt_chr4.34120 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPTRLLRGLIAPLPPSDWLPCTASLLYHQWWLPTLALPLPRWPSCSDGLKLLLALLLVSAALAEVRLVASSSMAPTLRSGDRAVAEKVTYMFRRPSVGDIVFFRVPTALQNCGLNKDVVFIKRVIATPGDFIEVRQGQLIVNGVAQKEHYTAIHGASYTMEAMVCRVSFLSVSIVSLNNIEELLLPGPEIVPKLLSQIDYTTARG >cds.KYUSt_chr2.48676 pep primary_assembly:MPB_Lper_Kyuss_1697:2:304493873:304495102:-1 gene:KYUSg_chr2.48676 transcript:KYUSt_chr2.48676 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHTPPTPNQTKPKKKLNPGQPARGAKICSTATQDRVTPATMAVDLMGCYAPRRADDQLAIQEAAAAGLRSLELLVSSLSAAAPSTKAHQPQPFGEIADQAVSKFRKVISILDRTGHARFRRGPVEPPPAPKAAPPPPAPPAPAPVTVVAPVSVAAPSHPQKSLTLDFTKPNLVSMSAATTSVTSTSFFSSVTGGEGSVSKGRSLMSAGKPPLSGHKRKPCAGGHSEANTTGSRCHCSKRRKNRVKTTIRVPAVSSKIADIPADEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERALDDPAMLVVTYEGEHRHSPGPSLMAPSPLPMPMPMSVSAGNGHA >cds.KYUSt_chr3.38714 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243901659:243902171:1 gene:KYUSg_chr3.38714 transcript:KYUSt_chr3.38714 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQFHLSWSAPPPVKQAAHAWIIQDAYMAVMSVSFIILLERISHDELESPWSLSSMAGFLGFGYMGLMALHIRWRWVRNLGAMPKAVACVLVNSPAAVFSILATTTLWGLCFNDLHFVSCLWWILAVMLVVFLWWCISIDRAISANSPPHPKGKTDDVLDIWAFDCSEV >cds.KYUSt_chr7.37384 pep primary_assembly:MPB_Lper_Kyuss_1697:7:233357622:233358601:1 gene:KYUSg_chr7.37384 transcript:KYUSt_chr7.37384 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDRDPLVVGRVVGDVLDPFIRTTNLRVTFGNRAVSNGCELKPSMVTHQPRVEVGGNEMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGASFGQEVMCYESPRPNMGIHRFVLVLFQQLGRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAGSGGRRMYN >cds.KYUSt_chr7.41052 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254348294:254349661:1 gene:KYUSg_chr7.41052 transcript:KYUSt_chr7.41052 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAHVVVIAFPFSSHTVMFLRLARALAAAAPAATFSFVSTASSLARLRTAGALQGNLRFVEVREGGATGEMAAVQLLSRVLLFMASAEAGGLSDALEAARVSAGGARVSCVVADAFMWMSADAAAAVAAPWVPVWTGGPSALLAHLRADALRRDFGEQADASRADELLTSHLGLGSYRVRDLPDGIVSGDPNYPFLQLLRRIEQQLHRASTTVALNTFPGLVPQEVMAGLAAELPNCVPFGPFHLLPGTEDSAAAATDPNGCLAWLDGHPARTVAYVSFGTVVLAGPEELRELAAGLEAAGAPFLWSLREESWPHLPPGFMEHGAGLVVPWVPQVQVLGHASVGAFVTHAGWASVLEGVCAGVPMACRPVLSDQRTNARSVHLWGFGTTIDEPVTRAGVEAVVSSLLNADEGATMWARMQELRGKVASAFAPGGSSRNNFDKFVKMVCALSP >cds.KYUSt_chr4.1560 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8219273:8221077:1 gene:KYUSg_chr4.1560 transcript:KYUSt_chr4.1560 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPPLPLVEDLIREVLLRLPPDDPACLPRASLACKAWHRILTDARFLRRYREHHRAPPMLGFLRNTDGRGDFSYTHVARFIPTSSFRPAVDTRRGLYAIAARHGRVLLHTDPYAGPPSLVVWDPVTDEQCDVPPLPEAAQSRVCFNAVVLCAAAGCDHLDCHGGPFAVAYVGLPDQDRSTFACLYSSEAGEWGEPAMLEEAAATSVKSPGVLVGNAVYFTCIHQVTPRIVEYDMGRGELSVIDLPSTHRCQPGAVLTVTEDGKLGFAGLLRLSLYLWSREAGPDGAVAWTQRRVIQLDKMLLHFHLGTVAVWHVPSLIGFADGTGVVFVKTETGRVFSVELESGRYQKTSFPRFHNGDPGQEPIIIPYMSFYTPGMTKRKLLTHCSVGSLYLVMLYPYWSIALKEDLSIALRRHDSCININLLMSVFASWKPDASTVL >cds.KYUSt_chr2.44832 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279241656:279245407:-1 gene:KYUSg_chr2.44832 transcript:KYUSt_chr2.44832 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKNKVLPVYLYPYPHKSPTPTKEDGDADGDEKEKEGVEVTPPRSPSPILCATVGVIPCLNVLRTVVGAPYNPYFNGEDSVAYRPYDTEYAFSIPCTFYAWLEHLQ >cds.KYUSt_chr6.6306 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38194063:38194539:-1 gene:KYUSg_chr6.6306 transcript:KYUSt_chr6.6306 gene_biotype:protein_coding transcript_biotype:protein_coding MACVNMYNPDGAGSAFAGQRISFSSDFSMEPPPPVQNRAMGLRCQEEDQNFEFSVGTQPVMDSHPMMAADQLFSKGRILPFKVEGRPPTTLRDELRGEEERASSKVSSRWREMLGLRKSLCVGGGTNAAAKKSDKVAAVDAEIATDVAASKLQVRPAW >cds.KYUSt_chr5.31573 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200091173:200093149:1 gene:KYUSg_chr5.31573 transcript:KYUSt_chr5.31573 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSGGGSKRRRMDDAAEQGIGDREAATAEEDRISALPEALRLHILGLLPYKSAVRTGALSAQWRALWTHRWPAPSSLDLRLDTLTAAPPILESLERLGHRRFDRFSLSFQIRNGELKPDGVQRILDYAAACSVADLNVDNAHAAFAFLFKLRLPRGDPYLTRLALAGIRVGLSKPFSAQSHTFSALEAISLHGVKLSDYTVENLVAASPLLHTLELCYCEGLRFVSVAAAGSQLRSLTVAECEWVRDVSTMEASTLRSFRYSGCYIRALTIPATCPLADLYICFGGSAGHSREDRPGTPLFCFGWPPEVPRNWLQALTNLSELTVLTLCSSALRRVSAKARERSLAGSAAPCKLQNLRELQLLMFAMYDSSLEDIYAFLVACCPSLLERLFVELPTNTHYYRPDLEPSESEDELLEPVEELPVKEPPEEDGPEEESSEEEEEPLEEEASEEMESEEDWSDEELSDGGQSEEEPVKGFLENLKLLKMMNFKGSDNEMILARLVLRNSTSLNQLLLFASRSDRPEWLREKHVDTSGILEKILPLKKAWPNAQIILGESDGDAVQPLHRKVYARV >cds.KYUSt_chr1.26227 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157946116:157948323:1 gene:KYUSg_chr1.26227 transcript:KYUSt_chr1.26227 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWTDDNASMMEAFMASADLPTFPWGAAATPPPPAAVPLPLPHPQQQPAFSNDTLQQRLQAIIEGSRDTWTYAIFWQSSADATAGASLLGWGDGYYKGCDDADKRRHQPTPASAAEQDHRKRVLRELNSLIAGGGAAATDEAVEEEVTDTEWFFLVSMTQSFPNGSGIPGQTLYAGQPTWIATGLASAPCERARQAYVFGIRTMVCLPVGSGVLELGATDVIFQTADSIARIRSLFNLNGGGGSGASSWPPVAPLNPPPPQQQQQPVVVDQAETDPSVLWLTDAPVGDMKESSISPPSVEISVSKPPPPQPQQPSQIHHFENGSSSTLTENLQQQQPPPPPRQNNNQYQLQQQHQLHQQHQLHQQQQQHLQQQLQHQQAQQGGPFRRELNFSDFASNASVAVTPPFFKPESGEILNFGADSTGRRNPSPAPPAAAASLTTAPGSLFSQHTAPPPSDVKNSNNPKRSMEATSRASNTNHHPTATANDGMLSFSSAPTTTRPSTGTGAPAKSESDHSDLEASVREVESSRVVPPPEEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRGKMTALESDKDTLHSQVEALKKERDARPAAPPPSSAMMHDTGARCHAVEIEAKILGLEAMIRVQCHKRNHPAAKLMTALRELDLDVYHASVSVVKDIMIQQVAVKMATRVYSQDQLNAALYGRLAEPGAAMPIR >cds.KYUSt_chr7.7887 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47681760:47691042:-1 gene:KYUSg_chr7.7887 transcript:KYUSt_chr7.7887 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLQSRHLQRRKDAAITRPNRSWSSVFTGCHASRTHIRLGHRWVVQISSALASADNEVEKLLPAAAAWPGGGGIAMTIALGYIRCDLPCHPKKKCSGLIDPGRLWHHGLSPPRSNPQSVAGQPLGCPGNDKGARGSRRLKVFSLALFVYFDYKAVQKRVQWVSAVKKNSIWAKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYITVLKQLQDSLPPRPLEEVRGTIEKELGKPMSELFANFDNNPLATASIAQVHRATLEDGREVVVKIQHDGIKEIILEDLKNAKSLIEWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRTVSRNLSQKTEGGTGSVSSDVDVLIPEVIQSTERVLVLQYMDGIRLHDNDSLEAYGVDKKRLVEEITRAYAHQLYIDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDQVALLSAFAEMGLKLRVDMPQQSMDIATIFFRQSTTADEAKENIKALNEQRERNTKALQEKMKLNKKEVKHFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSITRGPSTNSQWIYDSPVNSEVESKLRNLLLEMGSEKILGIQVCAYKDGKVIIDTAAGSLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVDKGKLKYDETVADIWPEFGTNKKELIKVHHLLNHTSGLQNALGDVVKTDPMSVCDWEETLHQIAKSTPETEPGSSQIYHYLSFGWLCGGLIEHASGKKFQEILEEAIVRPLQIEGELYIGIPPGVESRLAALTVDMEEIKKLSGLKPGPDVPPELLSSIVQMAAGVPALFNTLNMRRAIIPAGNGHISARALARYYAALGASGTIPPPHSSSSKPPLGSHVHIPTLPTAAPKKKKKGSAKKGGSTPDKGEYAQLRTSDADSEASAAAGSTGGTMFTNSSGSILDAFMGVGEYSGMIHPDGKFGLGFRRYGKAGCAPTGFGHSGMGGSNGFCDPEHAFAIVVTLNRMSLGSVTRRVVRLVCEELGVPVPDEFSVDGEKGPDMVFGKRSARLLKFFITGRLPSKSGGAAYRRLQRRLLRPVVPVVNNVVINNVTAATSSATPSPPPPPDRQFPELTMAGFADALRPDKFTGVHFKRWQIKATLWLTHLKVFQVSNGLPEGTISDQYQNKFKEDNTLFIGCVLSILADRLCDVYMHIVDGKEIWDALNAEFGATNAGSELYIMESFHDIRMVNSRSVVEQAHEIQCIAKELELLKCALRDKFVAGCIIAKLPPSWRNFATTLKHKRQEISVENLIASLDVEEKARAKDTTEKG >cds.KYUSt_chr2.29432 pep primary_assembly:MPB_Lper_Kyuss_1697:2:181041577:181045362:-1 gene:KYUSg_chr2.29432 transcript:KYUSt_chr2.29432 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLPRSHLHLHLPLHTPSPACPRRRRLVPTSSRLQNPTTTTTTHYPILPPAPSPSLLVAEEASIAPRRTHRFPGSVAPPRLPDRSADLDVPADDDVLRRALEVRRAVAAEALVAALSGGKAGGLTYVKNLTSRMGAFVDHVVVGAAAMRRHRPDLAHQSFNARARTYIQESGVVDLVKWFKHNSLTYPQISRVVCSCAGDLEKVRRMLKWLRSIYVKGEFLGRVLARGESLMSRSFEELEEIVGYLESCGVRRDWIGHVVSRCPQLLTLSFDELETRVRFYTDMGMNENDFGTMVYDYPKALGFLSLEEMNSKVQYLKEFGLSTEELGKILAFKPQLMACSIEERWKPLVKYLYHLNVSKDGMKRMLVVQPTIFCLDLETVIAPKVQFLQDIGVRNDTVGNVLVKFPPILTYSLYKKLRPVVIFLRTKAGVTQDDIGKVIALDPQLMGCSIVHKLEVSVKYFRSLGIYHFVLGQMVADFPTLLRYNVDVLRPKYQYLRRVMVRPLKDLIEFPRFFSYSLEHRIEPRHKVLVANRINMKLRYMLPGSDEEFAQRVQDAVERRARFEAGEATPETSIAPDTPTGDGGVAAHCEDSMEED >cds.KYUSt_chr7.28969 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180220386:180220676:1 gene:KYUSg_chr7.28969 transcript:KYUSt_chr7.28969 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHQLQPTLKPRVFTDIFHNNTPCHHLNIIATKLTTSDGRRRPPAPLNETSRATNSGARSPHRDDDKHRRMEGITSERGAPWDPPPSSNRGRAA >cds.KYUSt_chr6.3931 pep primary_assembly:MPB_Lper_Kyuss_1697:6:22752422:22755126:-1 gene:KYUSg_chr6.3931 transcript:KYUSt_chr6.3931 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRNPSKEIFSKLDEINAQGPILPRSFQKTGESTKWGHEVARRFHVGAGIPGVAPHYISPPSTFNVLLGSYCPLDASVRNHTQESDGGLRRSLAVTRSAKEGRSLLPALADLRPLRCFRTTAVSALRQASCNKFV >cds.KYUSt_chr7.24134 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150410523:150412768:1 gene:KYUSg_chr7.24134 transcript:KYUSt_chr7.24134 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTWRDGHRLLRIPSPPLLLPNQTPKPRLRHCHGKTTARAEMATSTSLTAAALPSRLASPGRRAPLSWAIARARPLPHPARAAVSSRCRLVVTCNAQAISIGQGTPVRPTSVLVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGVHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTEKFIQDTGLDYTIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFVALRNEKASKKLLTFAGPRSWTTQEVITLCERLAGQDANVTTVPVGVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTVFSAPMNETYELLGVEAKDILTLEKYLQDYFSNILKKLKGLKAQSKQSDIYF >cds.KYUSt_chr1.9431 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57510760:57511068:-1 gene:KYUSg_chr1.9431 transcript:KYUSt_chr1.9431 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLPTMNTTSSKLGSPSALGTQVVINTIPSQIQLITIKAHISVFLDLHKSNYGQWTQSIPNVVFGKFGLTNHIDCSQTALSDSNWVQSNLSIDLWFYTMV >cds.KYUSt_chr6.15306 pep primary_assembly:MPB_Lper_Kyuss_1697:6:96017474:96019361:-1 gene:KYUSg_chr6.15306 transcript:KYUSt_chr6.15306 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLLGDQAGIIAPSPARFRSSPALFGPAPARIGTCSDEEDDAGAVRDSLKMDTSEMNSSPAPSSGSFLDLLNTGSGDMNWGDTQNFSPIGEQVTTPIENIVASGKPKPSRKGVPKGKNWSSLEDKVLIESWANTSLDGVVGADQHSDSYWARITEYYNQHKNPAWQVRNVASVNGRYTTISSATSKFCGCLQQVLNRNQSGRTLDEKKEEAHLMFIQNDPNKKPFTFMHCYLEFVKYPKWELRELEVSQKKQKKKSDASPGVSAAAIIDDDLSVSSKMLEREEAPSGTKHEKEARKGKNPMSDGSSCKLSLQSVWAQKQEKDEMKEASKSARYAQVIELQKEKVALKKIDVELRQFEIDERVMLVDTSGMNILQKQFYEGKQKEIIARRQGM >cds.KYUSt_chr3.10280 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61026725:61027211:1 gene:KYUSg_chr3.10280 transcript:KYUSt_chr3.10280 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPPWHAGEGGAQGARPRRGHQRWHANRLHRILGLEIFSSKTRGASMARNMVNDSRLIFSLLLSAPRGRSGSGDVELQAKVTSKFFFEVEIGGKRVGKVVIGLFGEVVPKMIDNFRALCTGISG >cds.KYUSt_chr4.22581 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141964829:141966133:-1 gene:KYUSg_chr4.22581 transcript:KYUSt_chr4.22581 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTNLPPGNTMQGTPYGSLNVHGSSMQMHAPNSGKQIFSQSQMPGNFTMPINQATEPDNLGLQFGEHGKNDHHHHRSHHSKNSVSDDEEHDMTEETDTHSGKGKKGSAWHRMKWTGSMVKLLITAASYTGEDPGADPSSGKRNITIMQKKGKWKAISKVMGERGCSVSPQQCEDKFNDLNKRYKRLTDILGRGTACTIVDNPALLDRMNNLSDKMKEDARKIMNSKHLFYEEMCSYHNNNRVNLPEDLALQHSLQVALRCKEEHDLRRDASGDAEEDEHSADSDYEEHDEEHHTVHTNTRGTSMKKRMCHAVDHGDVGFVSPSSNDNSGRFDPHSITLDINKVCPDGTNLSSGLKDLASQAVELQKRRLQIEVQELELTKQRLKWERFRKKKDREIERMALENEQMMLETKRLELQLRHKELELKLKANENHA >cds.KYUSt_chr2.55595 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346808893:346809264:1 gene:KYUSg_chr2.55595 transcript:KYUSt_chr2.55595 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCTFLVVVAAMIYAMATPATGCGESYGTTSIEGGWELIPNINDQHIQELGRWAVLEFLKHANCVLKFNKVVSGKEQVVSGMNYELVIEASDPSEKLGRYKAEVYEQARTKARKLLSFSKAN >cds.KYUSt_chr1.36231 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220896754:220901770:1 gene:KYUSg_chr1.36231 transcript:KYUSt_chr1.36231 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLFSVIVLLLKIYANKSCSGVSRKTQELYLAVFVARYLDLFTDYISLYNTVMKLVFITTSAAIVWYMRRHPQVRRTYDREQDTFRHAILAAAAFLLALIFNDRFTIREICWAFSIYLEAVAILPQLVLLQRSRNVDNLTGQYVLFLGLDRWFGPNRSICRFLLLLFLKLEEQREARVARLSMLDHVREGTLRLFRTPPNPTPNSTHNPRPPPPTMCTLEKRGRVFVLTLTGDGEHRLGHALIASIRAALASVAAQAAQSGPGAALVTVAEGRFFSNGLDIGWAGTSRAKLGELVAALRPVAADLLALPMPTVAAVTGHASAGGFLLALCHDYRVMRADRGVLYMSEVDIGLPLPPYFVALFRAKVTAAHALRDVLLRGRKLRAPEAREMGIVDVVCPGAPETAAEALRLAEELAARKWDGAVYASIRVSMFPDACRKVGIVEESDEDKARSFASKL >cds.KYUSt_chr7.20039 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124162581:124164044:-1 gene:KYUSg_chr7.20039 transcript:KYUSt_chr7.20039 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPGPGLRYPHAGADRDRPASCFLDLTAVIDSRTNASTACCDTDDIQRVLTIQITFVVADPPQVSYFCAYCIGSIFTEKPIIVHTEGNLALLYIGVEKWNYVGLDPCAFYVYHANGGSDGEPSLTVLPQPSGRPIIQRNQIGLLPGGGHDHGYSHSHSHDYYVAALSNASEEPLHFELDLYSSKTRTWTVKKPVLILNEQQQQAGEFCHMTSKVITVGGDYGTMAFVDHSRGILFCNVLHGDRPHLYYVPLPTPPLHHSWLDCSNALRVRDIAVDAKAGRIRFVELCLHGETNGWQVQVVTWSRSAACNNQEEGWRQERDLFTAQLLLSGDLPEQLPRESCWDGRGGPPPPPTQDLKRMYAEFPILSLHDDNTLYLMISERIVAWDRWVIAVDMANMTLQDVAHFRRSENVRLKFRQSNISSHLNMGASPAARKRPGVEVLESSREKQCVLSTLDDMVSSWDAGTIKGAQYGDDMDLDMTTLLNEH >cds.KYUSt_chr6.33727 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211576613:211581328:-1 gene:KYUSg_chr6.33727 transcript:KYUSt_chr6.33727 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEAEDGGGGSWEEMMRRILPPGTPIPEAPPNLDYSIALEYDGPPVPYELPRADPVDIPAIPTAEPASGPQSLGGGGGGLPVAPVVVDPIPLPVSRIARCAEPEAAGGGGGGSSESVNSVLQNGEFDDDEEEDSRSQSHGSAQSSPGPRSGREAHGGRRGGPVVTFGFTPDSKYNGESDGMSSEQYDVAAVTKKEKRRRRRRMACNRCGKRKWESKEACIVCDARYCGYCVLRMMGSMPEGRKCVTCIGQPIDESKRSKLGKSSRTLARLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLRSEELTDLLSCSRSPQKLKPGKYWYDKESGLWGKEGQKPDRIVSSNLNFTGKLHANASNGNTQVYINGRQITKSELKILKVANVQCPRDTHFWVYDDGRYEEEGQNNIKGKIWESALTRFACALFSLPVPPPGDSNGTKDDIPYVPRAVPDYLDQKRIQKLLLLGPPSAGTSTIFKQAKYLYGTRFTEEELDGIKLMIQSNMFKYLGILLEGRERFEEEALSRLHDTISEDESGEKAENKANGSDSCIYSINARLKKFSDWLLDIIAMGNLDAFFPAATREYAPFVDEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDVIFAEGVTQGNGLAFIEFTLDDRSPMSEPYIDNPEAHSQPLTKYQLIRVSAKGLNDGCKWVEMFEDVRMIIFCVALSDYDQVGPPVNGNGRPLLNKMMQSKELFEATIRQPCFCDTPFVLVLNKYDLFEEKISRAPLSACEWFSDFCPVRTQNNNQSLAQQAFYYVAMKFKEVYNTHTNRKLFVWQARGRDRQTVDEAFKYIREVLKWEEEKDESYYQEESFYSTTEMSSSPFIRAE >cds.KYUSt_chr4.26511 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166475475:166476371:1 gene:KYUSg_chr4.26511 transcript:KYUSt_chr4.26511 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTVSIDAECWVQAPDQPRRCSRRPAGCRVQAPNQPRRRQISRGGAVSLGGGEKETGGGAAGRCRSKRKRCFPASSPPMVEEPAKKRQRRATPARDHVEIYPRELMQRLDDGGVSAAGSPEFDVLSASRDPVAPPPSEIQQRMIDDGKSANKARGRVVVYLTSIRGIRKTYEDCCDAATILSGYGVRVDERDLSMHAGYKHELRDALGISGALATPGLPQVFVDGRHLGGAEEVRRMHESGGLGEALVDCEAAFVAESCHGCGGLRFLPCFDCSGTGAFRTCTECNENGLVRCPICE >cds.KYUSt_chr4.13047 pep primary_assembly:MPB_Lper_Kyuss_1697:4:80256505:80257911:1 gene:KYUSg_chr4.13047 transcript:KYUSt_chr4.13047 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPKQLLLLLVVVVTAASYGCPAAAAAARERNTITHVKGFDGPLPFSLETGYVEVDETHGAELFYYFIQSERSPQEDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPRLVYFEDSWTRVSNVIFLDAPVGTGFSYAREEQGLNVSLTGTGRQLRIFLQKWLAEHPEFASNPLYIGGDSYSGYTVPVTALEIANHPEGGLNLKGYLVGNAATDDKYDTGGKVPFMHGMGLISDELYDAAQGSCMGDFVSPPANAQCANALQEISQATWAINPVHILEPMCGLAMRPPTTTSNVLTRRSARMLVQEQDMLRLPVECRDNGYRLSYIWADDAEVRETLGIREGSIGAWSRCTTLIHFRHDLRSTIPYHRNLTQRGYRGLVYNGDHDMDMTFVGTQAWIRTLGYPAVAPWRPWYANRQVAGFTTEYAYNLTFATVKGGGHTAPEYRPKECLAMLDRWTSTVGKL >cds.KYUSt_chr2.31091 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191826925:191829966:-1 gene:KYUSg_chr2.31091 transcript:KYUSt_chr2.31091 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGVRDRIGAALTQVWRRTNHQRLSLVLPRCTPHVYLFSYYSVARPIAVADQEASHGRRPGEIPDGPDTSASPGCRGSRRDINLKSKAAVGGEESCHGRRGGKLPVGAEATCEFHGWEDRCLLFASLPGSVSKLRCLLNHFGCPKLSSVYRAPESADIYSQCKVGPVCVCGSASLLQFHAGVVFF >cds.KYUSt_chr2.25129 pep primary_assembly:MPB_Lper_Kyuss_1697:2:153780765:153782439:-1 gene:KYUSg_chr2.25129 transcript:KYUSt_chr2.25129 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKKVTDLAAKAGKAIDWDGMAKMLVSEEARKEFANLRRTFEDVNHQLQTKYSQEPQPIDWEYYRKGIGAKVVDMYKQAYDSIEIPKFVDTVTPEYKPKFDALVVELKEAEKTSLKESERIDKEIAELREMKKKMSTMTADEYFEKHPELKKKFDEEIRNDYWGY >cds.KYUSt_chr3.7022 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40535789:40540768:1 gene:KYUSg_chr3.7022 transcript:KYUSt_chr3.7022 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGKWLRSILAGKKDGGRRRGNKQGQCDATPLAELPAAASPREKKRWSFRKPGKAATPSPLTPEPSAAGGVSVSVSERELEQSKHAVAVAVATAVATDAAVAAAAAVIRLTAAEAEDELYACPIEEAAASKIQATFRGYLARKALCALRGLVKLQALIRGQLVRKQAHATLRRMQALLMAQTRLRAQRMRMLEDDDAYAANVATVERRSPQHPRRRRSYEMDRSGEEHAKIVEMDTWGPPRPGRSSCSVATSESRERRQAEYYGAAQCSPAPSAAFTEHFEYLEPATARVGPYVPASVDDDGESVSEFFPNYMANTQSSRAKARSQSAPRQRPYSPSPLDRQPSRRRGGAAPVPRSVKMQRSSSHVGVPSSAAGDYAQYQHQYHPWPVKLDRSSVSLKGSECGSTSSVLTAATTVGYCRSLVGFEGQRTKRYTLGRRRSDLQQRLAVLMTLLSMDEEVDALPACEVHDDLVEGPLPHAYEGNNLVYVGVVFVAQEAKEPS >cds.KYUSt_chr1.8484 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52142478:52143551:-1 gene:KYUSg_chr1.8484 transcript:KYUSt_chr1.8484 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAPFLVHHATPSPSLKVHTSPRKASLHARHSTTGVRCAVATPTSNTLITTPTGSQGEDVFSFDRYMACKAVTVNDALDRALPLVQPERLSESMRYSLLAGGKRVRPMLAIAACEMVGGDEAVATPVACAVEMVHTMSLIHDDLPCMDDDDLRRGCPTNHVAFGVSTALLAGDALLSLSFEHIARGCAEHGVPADRALRAVAELAKAVGTGGLAAGQVVDLASEGADVGLATLEYIHIHKTARLLEAAAVCGAIVGGACEEDIESIRRYARYVGLLFQVVDDVLDVTQTSEQLGKTAGKDLATDKTTYPKLMGVDGARAYAAELVASAEAELDRFDRARTEPLRHLAHFIAYRQN >cds.KYUSt_chr1.10559 pep primary_assembly:MPB_Lper_Kyuss_1697:1:64618497:64620794:-1 gene:KYUSg_chr1.10559 transcript:KYUSt_chr1.10559 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQTIPRPPNMHIVNPTSIRVRTPGGSAVGGRRKRSWELEEANHICRPVRVNGLSCKDPAAVVPDDFFFSGVDKLGGTASKRYGFSALSVPIPGLNTLGQSHARVDVAPGGVFPPHYHPRASETAVVLEGSVYFGFLSSYPDNKLFAKVLRKGDVFAVPHGLVHFLYNNGTAPAAIYATLSSQNPGLVLLGDALFAGALPDDLLAKTLLTDKHTVDHIAANFRRS >cds.KYUSt_chr5.38308 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242262775:242263305:1 gene:KYUSg_chr5.38308 transcript:KYUSt_chr5.38308 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKATSICKSKTSLIAARLLVLATLQRRRMAAVAMISNKIHTLIVPDRERGNCHKAVAMRKVESRQAVVHGDDMAANFAHQLVMFDQEDGHGGCPDWTFVHPLFSDDIDEKCCYIHDVDLLLDACDAGNDETSVMEEIRSNKEVEGLEFNMEQDIDQAADIFIKKFRQQMNHDF >cds.KYUSt_chr5.30632 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194280404:194281945:1 gene:KYUSg_chr5.30632 transcript:KYUSt_chr5.30632 gene_biotype:protein_coding transcript_biotype:protein_coding MREYWTALASLMGAVAFMQGFLHAVFPPELRAAVARLLGRMTRSFSPYCYFDVTETDGMSNNEIYDAVQLYLSSTAAPSSGARLSLTRPHNASSFTFGLAASDRVVDAFLGAAVTWEHVVAPRQSGGFSWRPLPEEKRRFTLRIRRGDRDKLLPAYLDHILATAQEIRRRSQDRLLYTNARGGAMDGRGLPWDPVPFKHPSTFDTLAMDPDRKESIKADLRDFADGSAFYERTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDVYDLELTEVSSNAELRKLLMKTTSKSIIVIEDIDCSVDLTNRAAVPPPGPKPRPSIDGSVDQDAAGAAAGRSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHVFMSYCSFPALKILLKNYLCFQGDAADCAEVLSGLEEWIDAAEITPADVSEVLIKNRRNGEKQAMEELLDVIKARAEKRLIGTAAARKDGEVEEEEKRAVGSPKEGKEPAAGNDSCEVGQDEETDAKKQL >cds.KYUSt_chr5.9120 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57821395:57825986:1 gene:KYUSg_chr5.9120 transcript:KYUSt_chr5.9120 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRWSKDSDADSSSSLYQLRPDCASNVPDTKFKIKGVHPAIRNEVWEFLLGCFDPDSTFDERDHIRNTRRMQYARWKEQCRDMDSHVGSGKIITAPIITEDGVPIKDPLVLLEATSDQGTSQGGSTSSNNGNGVAESVDRLTDKQIIEWKLTLHQIGLDVLRTDRSMVFYEKKENLSKLWDILAVYAWIDKEVGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLRGNFRCTQQSVGVENQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDMFSTYEEAGAATDRTQGHKPRVKPTRQFGKYERANLKNGANAVDGPVPISVFLVASVLKENSQKLLQEARGLDDLIRILNNVNGNLDAKKACEAALKLHAKYLRKLSLRVHVITDHLSLGITKAALPYIWHSKASNPFANLNKEYNGIFRQEELMIPFFQSMALPKEATTVHKNDSLIYLFYLLLLKF >cds.KYUSt_chr3.45612 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287345733:287349882:1 gene:KYUSg_chr3.45612 transcript:KYUSt_chr3.45612 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHPADADQDQLPRMVYGDEDFVMSSFAGVSMLIGDEVHTTPAVDTCGDSGYHLLVVNDYSRTLREIPNGKVNSSGDFMVGGHKWCICYCPNGADPSCADFISLFFARCCDDDGIEEPVEAKVEFSFVDQVEHQKQMHIRASKSYSFSSKSPSWGFPRFVRRDILERSGNLKGDCFTIRCDIMVCKDLNTKYAGGTVSDIHQHFDYLLQNKVGTDVTFEVSGETFAAHRCVLAARSTVFMAQLFGPMSEGTTSSAIQIKDMRAKVFAALLRFIYTDSFPEIDKNNYLEGDKAEVVEQRQEEEVDYVMWVQDLFVAADRYDLQRLKLLCEELLSEHIGVSSVASTLALAEQHYCCGLKNACLKFIQVQSPMCVEKIMATDDWEHITMTYPSILNELISKLVSNQKDKKRNHERM >cds.KYUSt_chr3.3504 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19862513:19863163:-1 gene:KYUSg_chr3.3504 transcript:KYUSt_chr3.3504 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPTPYLLPPPAATSSSATTPSPAFLARDRRASPTSSHRAVAHSSAPTLSSGGRRPRGQRVLGRRGRSRSQATPPLAARSCQGTASFFGAASGGGFCYKGGRRLLCSGGVCYMSCWRILHQVEDAATVGVGGVCYMSQRRLLLWASTSATCGGVNVYEGRVCYMPRQQLLQWASVIATRVGLGCYKRQRTLLQRSASAAANGARAEVMPMRGRR >cds.KYUSt_contig_528.315 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1921295:1922293:1 gene:KYUSg_contig_528.315 transcript:KYUSt_contig_528.315 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAVTAGDDAVGQQQQQQQQRKGSTGGGSGTPPPPPTEQGLRCPRCDSPNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRNVPVGGGCRKNKRSRSAASSSARGLSLNLPAAVESIDAASDQHAARMGGAFPGGDFHGVVGMLPGLHQSPAVVSHYVPFGEWPSGGDVNSGHAMNGTGAGNGAMGSSTIASSIESLSFINQDLHWKLQQQRVATMFLGPPSSSSQSHVDGGGHVAAGAQFGGAGTFLQMAGVSGMDTVPAATSWFMDSSYALPSPPPPAAAVGTTSSNINSGRSSGGAGDDNATSNNNNNCGSAIPSWGDISTFAMLP >cds.KYUSt_chr7.18866 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116958730:116960208:-1 gene:KYUSg_chr7.18866 transcript:KYUSt_chr7.18866 gene_biotype:protein_coding transcript_biotype:protein_coding MAATETEKPIIRTSAPPPPHFVLVPLFGQGHTIPMGDLACLLAERGVRVSVVTTPVNAARLQGVADRARRAMLPLEIVELPFPPADDGLPPGSASGDNVIRLFLALYRLAGPLEAYLRALPWRPSCIISDSCNPWTAGVARSVGVPRLFFNGPSCFYSLCRHNVATHGLLHDGGDTAYVVPGMPLRVEMTKETWSAAFLNCMPKWEAFLEDVREGMRTADGAVVNTFLGLEEQFVACYEAGLGKPVWALGPFFLNNRDEQALATRVDKDKPSAVDQSAVTAWLDTMEQSAVIYVCFGSLPRMLLPKQLYEVGHGLVGSGKPFLWVVKESETASPEAQEWLQAFEARTAGKGLVMRGWVSQLAILSHRAIGGFVTHCGWNSLLESVALGVPVVTWPHFGDQFLNELLAVEVLGVGVPVRDAAVTVAPVLRGHIARAVSELMGGGTVAQERRRKCKEYGERAHRAIAKGGSSHQNLTQLLQSFMPSGSKEFLDT >cds.KYUSt_chr5.18615 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120383053:120383819:1 gene:KYUSg_chr5.18615 transcript:KYUSt_chr5.18615 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDQLRRDQEALQAGREQLRQDYEQLRKDREQLRQDREQLQQELLRRDDKALEDAQNQLRRDQEALQAGCEQLRQDLEQLRKDREQLRKDREQLQQGLLRRDEKALEDAEAGDVPIAGLEFVAVM >cds.KYUSt_chr4.44503 pep primary_assembly:MPB_Lper_Kyuss_1697:4:275695054:275707068:1 gene:KYUSg_chr4.44503 transcript:KYUSt_chr4.44503 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAPNFSEDDSITHRHALNNERVRDTKKRFTPRTNETIRGACNTSNTSIGISKIDGSVDGPSTRGPGGSEISPQNPGDAEWIDKDQRPGQIYKANARRSEIARGKQIRRIKKKRVRDMPKTSSSASPTVSAMNPLLPSSASSYLQSHHPPDPDPLPSPCSYLLHVDADDEALIHFPGPNPSPFSLAASPPPIDPTPHVSSQFYTFTAASHALMLRCVLAGRAAAADEVRAATSPSVLASWRAVWKDRNEDTAYLTAWKRIQDKLAASADGRHLHFKSNPAQRVSHVAQWRDIVAEAHQDPDLLRHLGVKDTVDRIRQAWTVGAKFYGIPESFVRVCVAACPICKASPAGQPDSAISSPGRGKRRRRFEYTDTLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGVPTSSSGNNASSSSATASEAKKARGLKREPYQSKRCGCGFRIRAIVPIANYNEKDKSFVYLEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGTFEFDPDIYDVNDEGDPNFTVKGDVDVDIDDSHQAVLQQVRDLRAEVVSLEGKVPKMHPELLGSLSSELSEILHRIRKFNLDGNAYQPDEALMVCNDVVGWGTGDVPQHLDHHDVGFCKDDEMLDDDDTDFGSSLGPIVSWDRMAAECEDRKMLLGDSPKCDKWMLKENVGDFDEKSILNCGDEDGVQDSKIIKPLMHDDTMVTDSSLLGINVDGFYSGPKWYTCCNSTVPLVRCSFTVTLVILWAGMKIQDDVAASSKTKREATKRDYQDTASWITSEIVIAILVIDVCCSWKGLRKLEEASLGLAAPMSLICPHDMLLPAKLSGKQPQWMKYRYTASWKIEMIAMLNRLSALATDLNALMSVHWRNVEQSVFAHEIAGLLTCIAFSTHPHSCSAAVRVGLGETERGSFLRKTTSSMASTTATSPRLIAVAFMVMCADSIAERRSAGII >cds.KYUSt_chr7.14450 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89315825:89316655:1 gene:KYUSg_chr7.14450 transcript:KYUSt_chr7.14450 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSASCDGALPRAAACRPWSQCGSTPSSSSNPSGYLPSRGRPHPLPLQSSSPPNFASCRWRLARLLAHRLLRGPTGGCRLLLHTRSSMDPGKVTVPIQDVDDLQSQMLNRTGSARKIEEKPHTEQEDGGSAHGCGTRRPHPPAVAWNRRRPCSSLVSVAGRKPLVPRMMQGLREMVVTAAPVALPHPPRDPAVHQDRIVLIRSSPYRSLGTGGGPAAGPFSCRPRRAKDASGAASDVGDARRLWPPPPLRRRTILELPPSVLSFFLSWTGRPNRF >cds.KYUSt_chr2.26399 pep primary_assembly:MPB_Lper_Kyuss_1697:2:161648225:161650695:1 gene:KYUSg_chr2.26399 transcript:KYUSt_chr2.26399 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKGLLKPRPTPQQLLREWQRRLRNERLVLDRRIREVQREEKKVEKAIREAAKRNDLASAKILAKELVRSRHGVNRLHENKAQLNSVSMRLGEVIGTERMVGCLSKSAEVMQIISILMKAPALAATMEQFTKEMIKAEVMEEMTSDMIDSALDFEDMEDEIEEEVDKVLAELAAETSSQLPAAARAQGIKQVSVGRAQGEQQAVAKGANDEEEDLKLKEI >cds.KYUSt_chr2.26449 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162040365:162041330:-1 gene:KYUSg_chr2.26449 transcript:KYUSt_chr2.26449 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRISSKAEVAQGRASHAEAGFGFSTASTTGSHPNMDVVQASAPRGMEEHHPDTISSTLVSWMRASPLGEEQVGLDLEVEGHGVGECGVLVPGESRMAAHEQYRGAQEERGGGRRWRTGYTTKQGLYPHPDIGIQKMCCVEANLGLPTRPPTALAIPVRAGHFLLTQMADINSTIRTISYNAYE >cds.KYUSt_scaffold_1259.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:33014:35961:1 gene:KYUSg_scaffold_1259.4 transcript:KYUSt_scaffold_1259.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERLEAAYLPLNRHPTENSEKVGSENSQCLSFILTRTQGKAAPREEEQARAAHPGKSTAATAVGDEPPASAFALTSLCSLRLSWPSAPSEAAVGCCGQSREWQSAIISAVRKKGVRQQLVDSASCPDTDSPPKIKLREVAQPTHLEISSGSHCGHQDARSQRSCYA >cds.KYUSt_chr1.25484 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152869279:152871363:1 gene:KYUSg_chr1.25484 transcript:KYUSt_chr1.25484 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRSLAHAKPPRSAHARSRSQLAQVRPDPRQDFEFHFDFSSEAFCFDMDGDGGGAKAAPVPVAEKERVLAGFDKVRLSIASSEDDDDEAPPRCSFSGASHPPEPVDEVDPAFAAADRGRRGDTDLKAASAAAAKPVIVWDASPPVGGAAAKPVIVWDASPPVSGAASPHSSTGDSSSGGTAAATVTCTSMAPSCTVTSQSAKTSVCSSGASDWSSGTASGCGGSGGGKPHKGGDPRWKAVLAARARDGPLGMGDFRLLRRLGCGDIGTVYLSELSKGGGGCGAARATWFAMKVMDKASLESRRKLSRAETEREILQLLDHPFLPTLYAHFETDKFACLVMEFCPGGDLHALRQRQPGKLFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAASPTLFRPSPPCSTSGPNAACIQPTCFMPKLFGHRSSKKSASRSSRTGEHQQQQGAMPELVVEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVFLHELMYGKTPFKGQTNRATLFNVVGQQLRFPDGGPPTSGASRDLIKGLLAKEPHARLGVKRGAAEIKQHPFFEGINWALIRCSTPPGVPRAVEPIAVAAAMPAKSASMDRVQTNYNSSKRMPPAGGDHVESGGKFLDFEFF >cds.KYUSt_chr3.19922 pep primary_assembly:MPB_Lper_Kyuss_1697:3:122818440:122821983:1 gene:KYUSg_chr3.19922 transcript:KYUSt_chr3.19922 gene_biotype:protein_coding transcript_biotype:protein_coding MEARFLQEGGQTGLEKMSSGLKNKKKGHEWDLNDWRWDSNMFLATPSSNSDAPSGRGSRDADEGTSFGVADKRRRVSTANNHEGCSIAVVTNGDHDRIVVRRGQSSEEERPLNAAGACSSSAPYCQVDGCHADLRNGRDYHKRHKVCEVHTKSTLVRIKNIEHRFCQQCSRFHLLQEFDEGKKSCRSRLAKHNGRRRKTPAQAGNSSSENHSLTNTLLLLLRQLAGQDSGGSSEQINGPDYLVNLLKNLAAIASTQTYQDMLKNASSAAISSNAGNYVVNGFTIQEQAGQPIPGTESSAEEPPVKRYMKNFDLNDAYVEDDESRTDKIVFKLFGKEPNDFPTDLRAQILNWLSHYPSDLESYIRPGCVILTIYLRLPNWMWDKLNVDPAPWIENLCSISTHGFWDIGWLYARVQDHLTLSCNGRLMLVSPWQPIIGDKHQILSVTPIAAACYSTANFSVRGFNIVQPTTRLLCIFGGKYLIQEATEKLHDDTMMQQGPQCLTFSCSFHSTSGRGFIEVEDYDQSSLSFPFIVAEESICSEIRMLEEKLNIITFGDTLEEQEVLMASRSRALEFLHEIGWFLQRSHTRATSEAPQFCTEGFPVARFRWLLSFAVDQEWCSVVKKLLDTLFQGNIDLDVPSPLEFVLGEGLVFTAVNKRSKPLVNFLLTYTMKSAPVDSGAVAPVRFLFTPDVTGSSNITPLHIAASITDAAEVLDALTDDPQQVLTLNSHV >cds.KYUSt_chr6.29230 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185166967:185172748:-1 gene:KYUSg_chr6.29230 transcript:KYUSt_chr6.29230 gene_biotype:protein_coding transcript_biotype:protein_coding MLCALLRLDCDLATAFEDALVAHDVAANHQDPSMEPMRGRAQTWMLLWLAFCLLEHSYSQVFPFPIPFFTPPHTNQQNVDAVNKLYVSLGSPDLRGWAATGGDPCEEAWQGVQCIGPNITAMDLSSNNLNGKLPDSMAMLKSLSTLHVQNNRLTGTLDMLRDLPLKDLNVENNVFSGSIPEKMLNIPKFLRNGNHFTDALIPSSSPPTTPSPSPAAHPQINVIPEVTPQGTAHGSGPPRHSMKVSPAKAAGYSVLAAGSLTIAILVIVLVVSRRRQERSIHGGYLRGSAMKAPSWRRDATKLGAAVNSDKERITVAEAIVGSPGNHTRTSGSWAHPSLQNNSMPSIVSGYSVQGSSEEGQSPRFSFRFFTVASLQQCTNSFSDQNLMRETRFGKMYLAEHPEGKFAVLNLHDMAVKMAVGAFLKKVQAIAGLRHPNVEELVGCCVEHGQRLIVYRHFSENTLDDMIHGDTNDGSGVSFPWESRIAVALEVAKALEYLHDGDGGDVAVVHGLFRPEHLLVDAETMRVRVSGCGLASFAPTPPSGSTSEDYWHDNNYPPEEAAAATDDVYGFGVLMLQLLTGRRPYDGARPRDERRLVPWASARLHDLSTLRRMADPRLGGTLTPVPVRSLSRFADIISRCVQREAEFRPAMADVVQDLRRAMEEARAVSDGGEMPLAWNCSL >cds.KYUSt_chr4.48680 pep primary_assembly:MPB_Lper_Kyuss_1697:4:301483617:301490172:-1 gene:KYUSg_chr4.48680 transcript:KYUSt_chr4.48680 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDELGRRLAVVGVSDPGPDDAAGTSNLFQVMRAVEDAETTIRQQLEENGRLKEELMRKTRELDAIRSEATNQTSFTGLDQDRAIEAYRNSYSTDAVGNKVEDPKSFKNGAGDQMLQDAMNQKYLDANHSNEMLRKLPGDQATAETGVRSQFSTPPSRSLSPARRQREGEYDSRLNLAQQGMEMSSNIIWKQDLIAKVKEHEEEIAHLRRHLADYSVKEAKILNEKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVSSLLPLLSEYNLQPSVLDAQSIVSSLKVLFTHLQEKLIITEEKLKESQYQITPWRVESSNNTSGPGQSPSHPPGNALVASSQPSLDIVPQQAYSHVQSPISSPVRTRRDWDLLANENNQVIPTEVASTNTEHGNVGMASPPSSSQDTKDVQAQGAERDSRAVRFNFESKDQNPSFKDLVRSDVSESPEGAETQISQEPLTEWGPEGPPDLASGLEEGNLPYPYLPTVLEEPNSSFSEAAEDDPLPAIDGLRITGDAFPGREIQASGYSINGTTSCNFEWVRHLEDGSVNYIEGAKQPTYLVTADDVDSLLAIEVQPLDDRKRKGEIVKVYANEKRKITCDPEMKELIRKILSIGHVSYEVLLPVRFIDMWEPAVLAIKREGYSIKCNGQRGVVVTEKFQQATAINIPYGRPIEFLIQSADGAEYNLKPAESSPSRDNIVLILRLFRMKAVEKSKGRKKGIFFK >cds.KYUSt_chr5.38139 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240960288:240969289:1 gene:KYUSg_chr5.38139 transcript:KYUSt_chr5.38139 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHMSVEEDYHLPAEEDQGGALAEGALPLPATCLTRLVVAVCRPPSSTRTQLDVAAMTWMKKMLGQVLRRLRPAAAAAASTEATRAFSAGAKEITVREALNSALDEEMSADPSVFVMGEEVGEYQGAYKITKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWFAHVPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFALPIGKAKIEREGKDVTITAFSKMVGYALQAAEILSKEGISAEVINLRTIRPLDRATINASVRKTNRLVTLEEGFPQHGVGAEICMSVVEESFEYLDAPVERIAGADVPMPYAANLERLAVPQIEDIVRAARRACYRASSRSSSRALPAWPRARPGSAPPTVSPALPRARSAPLVAPLALPQARPAQFDLVPSRSTLPCVGPASGRLAPCRYELAPPRPTLLRARRPAPLHIAHELAPPYAGHEFG >cds.KYUSt_chr7.18023 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111840916:111842598:-1 gene:KYUSg_chr7.18023 transcript:KYUSt_chr7.18023 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEMVEQVESLDEQLGRVAGIRRVPCSSNTVGDEADHQPRCRAVPDPAPSTHAMAATSSSLRRLRARPRASAMPPLPCASATWHVRHAGAPATAVGGAEVVEQVEALEEQHGRPAGRRRVRRAAAPSPAPSSPRRRPKRVPSPPLNSAPGIRLIKAHGSRVYMNSPSRITGCLTTVQCLAVYVKDPPSTYVILDPGSSWAFMDLASSEGRLGSGFPVLG >cds.KYUSt_contig_824.194 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:1232949:1234212:1 gene:KYUSg_contig_824.194 transcript:KYUSt_contig_824.194 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSCTTLLYDALRYAHVTSQHSIVSVIPSSQPTFECAGGALLVPKPEVKEEQDGEDAMKAVQIVEYEQRQLLITNNDDPEDWLGLHAVFMASMNDKDVWRGDVDVAIAMSIRDAGMPIVDLNNDD >cds.KYUSt_chr1.10881 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66527874:66531365:1 gene:KYUSg_chr1.10881 transcript:KYUSt_chr1.10881 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAPAMGEEKDGVSPCSHLTATAIGAPTTAYTSSPRHDEEALGSHRRCGPQGRATRLRGNYDETRGDWAEEGWGAPAPDDAAGVDVLHNDAAGVAVVAAAEPAGDPDDVAARGGVAASWGFGVLAADPADVAAETAAEPAGDPADVAASWGFGVLTADPAAVAAAAAAEPADPAAVAAAAAAEPAGDPADVAASWGFGVLAADPAAAEAAADPAGVAVVLAVGPSAVGAALRADPDGVAAVTLGADDGLPVTRKVGRRIRVMLCKVLVLSLIVLLALLLCPFDNED >cds.KYUSt_chr5.21666 pep primary_assembly:MPB_Lper_Kyuss_1697:5:141441290:141443167:1 gene:KYUSg_chr5.21666 transcript:KYUSt_chr5.21666 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPLLSSVPTTTFVAGKGSNVHLPVLSRNSSITTSSNASFDHRATFGSFVEDGKERHNLASECEATASSGIHDIFMFDKFDELNEASCCQQRSLSPTRSGPEVSSSIEDYVKGSTCDCDMESSQIANQSSSDVASSSESGHGKMASCARCGKLFNVMDVDGCHYCEECTLKFGVFSANPEIHTTEETHHQHHKLCIPSEACLAVPGCVEDCSEASLDHRPVINEPPSDCSSRCPTQLTVDTTEEVLLRQRMKDLPENKRLHTIVDSSVGNSNDTSSNGVNIGGCQLAISTYVAYDHFRDQNDNLNHGMPQGLSELYCQGNEVVSDIRTTDSHKSTWPPSNKVNYTEGTGISVILLQKSSSNTWPVLEGRPLVATSILCSEPYYTRDNDNLISHINRCDSSSVTSSVDVGSSRQYEVHFEHLKSSKHGDCDKSQIGSTVSHQSIASVSDMSISDSSVSFCPLNDACYPIDNSENNASRIMISAEEYGSCKDALSSAIECWSVAQAIVNDDSETARDVVIQNQSADRMAHNDDICTSMSLDADGNCIKISEENVAITNYAADTPEHPHPWGENCCYRHQMQSEVVLVSDEANRLDDYFVSTISEEDVQVSATEAKIANLPNDGMNS >cds.KYUSt_chr1.22875 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135520726:135524842:1 gene:KYUSg_chr1.22875 transcript:KYUSt_chr1.22875 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTAKAAPAMPFASRPHGGGILLRRPSSPPVPAAALRLGPLFWPWEKVKIGPLSVSPMGFGTWAWGNQLLWGYEESMDSELQDCFNLALKNGINLFDTADSYGTGKLNGQSERLLGKFISEGPNRSPDDVVIATKFAAYPWRLTSGQFVNACKSSLERLQIDRLGIGQLHWSTANYAPLQERVLWDGLVEMYDKGLVRAVGVSNYGPKQLLKIHSYLESRGVPLSSAQVQFSLLSMGDEQMELKTVCDSLGVRVIAYSPLGLGMLTGKYDASNLPNGPRSVLFRQILPGLESLLSCLESIADRKGKTMSQIAQATSMMISMKNGYGCFKTEHSEVDI >cds.KYUSt_chr5.3067 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19898190:19899929:1 gene:KYUSg_chr5.3067 transcript:KYUSt_chr5.3067 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAPPVAGDVAVGVPSPRAVPPPPPPPRVEPSTSVAVKHAPEAPPAAPYRLPAHLRLGGRGPAPDARPFIMDRLGGAGDAARGSVDAGGPVPRTAHAREPNLEVETPFERGVRMERAIRNASAGRTAEEQGESSYARVMWQEHELREAALASVVGVQRASSGGRMVDVPAEISAASPARESCISYRSHEVDEAEHMLKWGPVAFVSGTRRAMSCSAASAAVLERFPTPDAHVYVHIYWSFDMLIVFDSRAKCNVLLAADPFDERGFSLPIGVWNRQRQATRPTIRYRVHMEVVGLPAVAWNLTTARMILGSSAWVERLGTETASREDMGSFMITAWTDDPKLIPKSKEIWVVEPLCFGDEDDDLLLPVEALIPEEVALFGHEATVHLMRVEDPVGVVGGPSPDVDRGEDHGDDRGDDCGRGGFGHPGDEGARPPRGEPPDLDRGGRPKGGSAQHPPRRWRGGSEHRVAVGFSTTVQPWPRRDGIDIDYDGGLRQQQQVDEVPASVGMDQSLVRWPVGGAGRCPSPASSSSFGRSSPSDVAASANRPQCEPHQFHEESSPWFHEVDMGPHWPKGSPVL >cds.KYUSt_chr7.26742 pep primary_assembly:MPB_Lper_Kyuss_1697:7:167006060:167007445:-1 gene:KYUSg_chr7.26742 transcript:KYUSt_chr7.26742 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSFTISNHCTQTIWPATMAGAGTPQLPTTGFRLDPGQTVQVPAPAGWSGRIWARTGCNFSADGSGAPAGAVACQTGDCGGGHLECGGTGGTPPATLFEITLGKGGPADQDFYDVSLVDGYNLPVIAVPRARQGSCNTTGCNADLNLSCPKELQVDGGNGGGAVACRSACEAFAQDKYCCSGAYATPATCSSTAYSSIFKSACPRAYSYAYDDGTSLFTCNAVDYTISFCLPPTGYQAILSFIHFDIVKKLDTPGDANIVPPANNNDAGSTYVPPTAGNSGVGSVYQPPPTGNSAAGSSYLPPPTGGNGVGSGYQPPLATNDGVGNYYPLMGNNGLRSAYQPGMVPSSASARYNQLLLLPAALFFLI >cds.KYUSt_chr7.4229 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25259689:25260545:-1 gene:KYUSg_chr7.4229 transcript:KYUSt_chr7.4229 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKNVILLALVLSIRHDYWADGASGTRELYPNLVSSPQLAPYEDVIGGGASDPAHDVTRPPYCAHPPPANPASPAAPSIPPPAGVLPPSTAPAGSPPANAPTSPVAAPPAGAPTTGSPTTGTAPPDAHAGLWCVANPTVESVDVQAAMDYACGSGADCGMAAPGGPCYLPDTLMAHASFAFNSYWQRAKAAGGTCDFAGSAMLITKDPSKYLVFFSLE >cds.KYUSt_chr6.765 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4863475:4866469:-1 gene:KYUSg_chr6.765 transcript:KYUSt_chr6.765 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGMLPGKLRHRRQGGDGQGAEAITARSSSSMSESSTRCVIGGNMEEVTGELRHRPVANMEASHRRGGPHVVVHPEGRMYDRIEWGHGSRGGWGVAEEDTWQKLPKPMAVDELEETRTRRRVTARRGPVAIPRRSDEYRNPLHEIPIRCLRAIHTVPGSEKNGTNLKPRKT >cds.KYUSt_chr4.3548 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20201109:20202497:1 gene:KYUSg_chr4.3548 transcript:KYUSt_chr4.3548 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACVTASRTFVPLSINKGVRVLESVKGDHACEKVLELSRVIELGVSILQESILQEKNSSLDRQLEDAKANMQEEIILLQR >cds.KYUSt_chr4.26483 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166311114:166318796:-1 gene:KYUSg_chr4.26483 transcript:KYUSt_chr4.26483 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFFDLSLLPSSTASSRLLAAARALELGYAAVALDHPHRGLLADADRCRTAPFPVLSSLPLPSSAALHRSRNGSPTDEPFRQYTRITLSLDSPAAAASALAPSAARLLRTYDVVAARPLSQAALDHLCQSATELDVISIDFSHKLPFRLKLPMIKLALQRGIHFEIAYSALIDDVSSRRQVLAEAKLLVDWTKGKNLIITSAARNANEIRGPYDVINLCAYLLGLSTQRAKAAMSVNCRSLLSKAMRKKYFYKETIKIDRLLPNELLDSTKCKLSDWIGWDPISSKGDLQSLEKNLEHSPNKDELLASPINCSTKVLHKKLRDADVSLFTERPEQSTGDSEMPVETQEETLQAHGIEADIAASHTSLDESENNEMVMAHNAQAFVVPSVDRKCIDEHAELTLDAMELDATELCSLNHIAGDSDPLSSDVELACSSLPQGMELSDPMLEDKDRDQASDILDYARADATYGTSCTSGEMEDQAPLDHKILSCSDVSLENKGLDKPDDIPVHSKDHRSTAESVGCPPGGGDDETPLNPAVLLSADLWKDIVLPIQQVMEGKIEQNVDESIEHATIYKAEPVDINARGMISVENTVNSQEISSAAIVSDKGSIDGTRENCDMKEQNAKKPNACLNKDAKIHGEPVNFPCAVSKVEVGELRVVRLLSGVLNGSGSSNGPLLPSMASNSYGDLLLQEQLQHYYPSPPMVQRVMSAGDLQQAPAHAPAPAARYSAEERRERIEKYRTKRNQRNFQKKITTLADSRPRVKGRFARNVDDDAAADQPEDAMTASMAEVSNFVNDGSSSSGGMPPEWWPAMQGELAIEDEELIASYLAVSSINLY >cds.KYUSt_chr4.10985 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66736570:66737445:1 gene:KYUSg_chr4.10985 transcript:KYUSt_chr4.10985 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQSPLLSLSIASSMGKILLASPPPDGIRSSANLQLQPADDISYNILEVHVKKRVKLGRFKKRSKRRKVFALFCQTTDYHENTPSLSTYVTTGITYSVYEGDCLVLLLPCFLIVSLCRRSTKTLMASRLLHLRRLLPAARPSAAAAFSTSVTPTPRVSGLVDEICGLNLLEASSLADALRGRLGVDQLPPLAILMGGAAPLAGDGAAGAAGEEAKPKEEKMAFDVKLEGFDAAAKLKIIKELRAFTSLGLKEAKELVEKAPAVLKAGVPKEEAEGIAEKLRALGAKIVLE >cds.KYUSt_chr2.36084 pep primary_assembly:MPB_Lper_Kyuss_1697:2:222933954:222935048:-1 gene:KYUSg_chr2.36084 transcript:KYUSt_chr2.36084 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRSDDEGGPSSSSRRHHHHHQIHLVVDDWTKGYSIYKVDLADLDGVDPGADLDSLATPLPGPPVFRLESYHANYSHPWFSSVGGRIAAMHYNEEEEDAPVLLYDTTTGGLVVGPRAPAEARFACTFIPNGARDRLYMMGTSKFHGGEDHFEVLAEEEGGRWAWSSVRKPPFSTWKVACDAACHAAHPDGRTIFFSVYGKGTYSFDTETQEWKRHGDWMLPFRGRACYDARLDAWVGLDSTGAGIVEGVVRSCDVVPTGGDHNNGPPPACKFVKEKMVCEDWNRTKNVALTHMGRGNFCLVEQRFRKGVDANIGDNIYLFYITTFKLRYDKDGELQARARRTRSYTMPNESTGYNWWVFGM >cds.KYUSt_chr5.7708 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48525797:48531213:1 gene:KYUSg_chr5.7708 transcript:KYUSt_chr5.7708 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQNFLFSNFLSLLFHGSGGGAPPAALRPHSSEKVCIHRRAPDAARLAEATALVVDVEGGLLLSHSLFPYFMLVAVEAGGFFRALILLLLYPAIACAGAIGGGDVAVRAMAFVAFCGLSAARFRAGRAVLPRWLLEDVGLEAFDAVRRRPSGAAGKRAVVVWASRMPRVMVEPFLKEYLMADAADVVAAREMKVLWGFYTGLMEEGDGEAASKARKKLMEGESAFVGDDVVGISGGSMEFFLGNTLSSSCKEVYVVSAEEKSKWRPLPRREYPRPLVFHDGRLAFLPTPPATVAMLVWLPFGAALAVARLTVAIVLPYRYATLILAATGQSWRLRGSPPPSSRSPSRGQLYVCNHRTLIDPVYVSIGLDRPVRAVSYSLSRLSELLSPIGRTVHLARDRVHDGAAMARLLDGGAHVVVCPEGTTCREPYLLRFSPLFAELSSQGVVPVALAVETAMFHATTAGGWKSMDPLYYMANPRMCYTLEFLDIVDTTPVRNGAAASTDVANRVQRMMAAALGYECTMLTRKDKYLMLAGNDGLVGAKGPTRFIIVAAVDKALLSKVLRYLHGLRGLRLVFLLLLHLRLRDKEGPATGTRASPLLPRWSTRHRPQIRDAAGSDDRTLMVPKPEVKEEEEEEEEEEEEDDEEAAKLLEYLG >cds.KYUSt_chr1.24706 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147900505:147903483:1 gene:KYUSg_chr1.24706 transcript:KYUSt_chr1.24706 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPSNFEEIDEDDKIEDVTSRKSTVKKLMEEELGHANLLRTVPNNEVQRRLADRANDLSLDGNSEHTNNTTGTLNHDTHILTSKFSESVDSEGSKSLNHTEYDLESALASFLGEIYRCHNECPHGDCKSNNELCPSLKSLIHKRLNDLSSSNCNIDHEQSQESKGEKLLEDNDISSSRAVQSKEFKDALEIMGSNKELFLKLLQKPNSHMLENIRNHQNSRLTTKLEPNKILGETNCLEEKRGSNNHDLSAQPHAKESKHMFFWRKDWSKRKQMLPEGTNRSQPVSKIVILKPNPGKGIDQTVTTSARYLNQQPSTSQTPEYSGRESSKFSIKEVRRRFRIVTGERRERNAVPADDLQRVSRWHSMIATKKDSGNHTQGNLADKSASNFKNDIVRPSTSSKQKQQNGSETQVSDHIVASQDTSIFYKEAKKHLTDMLKDNDMSSNYPSAQVSKSLEGMLLLPHCDVSSPRSRPSGECHIDLTLEETDACLVPIVDGEESTQEMSQSLDDSGSSTAQCTSAGDDQVAVQDKCSMKEEPREGQIDGTDVVDTLTVGGIDKMDCSETMCNAQLIPAEQYRGNPQPDILEEAEQGKEPAHMLLSYPESIVELEQQEPETPEPRASTKFISDCSPELSHDKQEQPSPISVLDSFYEDVADIECETIKQCDLCEDLRGALYFPDNESDLKVFWEDKNVRLDYIKLVLELSELCDEENLEVWYLEDELISPCMLEELPNEGGQIDDLKILFDCICEALTEIQDRYFRLSFLKHDIRAPPMGENLISEVEKYVENGLRHSFPSTLDHTIKMDLEVRTWMDIRSKTEGIVVEIWEFVLDELIDEAVFDLWI >cds.KYUSt_chr6.9039 pep primary_assembly:MPB_Lper_Kyuss_1697:6:55831692:55836433:1 gene:KYUSg_chr6.9039 transcript:KYUSt_chr6.9039 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTLRASPSTASPLLLRHPGRRAASRVRASAASNGTGAGEPDLSVTVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAGKVINVTPRYARLRADPNGSAKSPIIGWQNIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCGLLEEVSGWINEKATVPVWAKMTPNITDITEPSRIALKSGCEGVSAINTIMSVMGIDLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARLIKAEFSDGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYPLVKKLCAELQDFMRAHNFSSIDEFRGASLPYFTTHTDLVQRQKEAIKQRKAVRLGLQSDKDWTGDGFVKETESMVSN >cds.KYUSt_contig_824.52 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:318647:327693:-1 gene:KYUSg_contig_824.52 transcript:KYUSt_contig_824.52 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO (small ubiquitin-related modifier) E3-ligase, Abiotic stress response, Stress adaptatio [Source: Projected from Oryza sativa (Os05g0125000)] MSLWDLIDRVLALLSDEQGQRHQGWGRKTSFTKEAVAKIVDDIYSRKMQIQSAPDLATRCHSGSDFNSFRPKEEINDSLQPATKVRCVCSSTLLNDNMIKCEEDRCHVWQHMSCVLVPDKPTDGVSPEVPPNFYCELCRLSRADPFWVTTGNPLPPLKFMSSGVANDGTSVLQTVEKSFQLSRADRETVQRSDYDLQVWCILMNDKVQFRMQWPQYAELEVNGIPVRVVTRPGSQLLGINGRDDGPLITTCSREGTNKICLRRVDTRTFCFGVRVAKRRTVPQVLNLVPREADGESFNDALTRVRRCLGGGDTADNADSDSDLEVVTESVTVNLRCPNSGSRMKTAGRFKPCIHMGCFDLDTFVELNQRSRKWQCPICLKNYSLESLMVDPYFNRITSLLRECSEDINEIDIKPDGSWRVKGDASTRELSQWHMPDGTLLEDVKPGVENFNELKLEGTSDGHNKGLKIGIKRKNGIWEVSNKVDDKKPSVVGNHTQNVITFRTPNTFPVSNSPTGSYRDGDDTSVNQEGSMHIDLSLNNGHEFDSFPLNFGHTYNAEDTSQQQHNVGDVIVLSDSDEEDDNVVCPPTVYDNTPAHDTGFPFATNGAGFTGRYQEDAGVGTSGLGLLSNNADDFEMNNWPMHSYPQPEQGFQFFGNDSDTANTSVGLHSSFSIPPNDYSLGCNVGSEEASVAHDLPVCHNSNEMHGSLVDNPLAFVGDDPSLQLFLPSQPSSVPLHEEPSERVNASSGLQSDDWISLTLAAGGGGNEESAPANGLNLQQQIQSNEEEVEPSIDAGLSPFYAF >cds.KYUSt_chr7.31786 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197923541:197923951:1 gene:KYUSg_chr7.31786 transcript:KYUSt_chr7.31786 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFLGSPFRRLLYARPYASATAAMDWVETPTSHIIKINVPGLGKDDVKVQVEDGNVLTVRAAAMENGKEENEETVWHVAERGKPEFAREVALPEHVKVEQIRAGVENGVLTVVVPKEPAPARPRTRPIAVSSKL >cds.KYUSt_chr5.13156 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85825532:85825747:1 gene:KYUSg_chr5.13156 transcript:KYUSt_chr5.13156 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIAAAPMAAIGAGSTPLHYAASGGEVKCCQILVSRGADKMAANCNGWLAVDVARMWNCNWLEHVPEIYK >cds.KYUSt_chr1.9181 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56173608:56177696:-1 gene:KYUSg_chr1.9181 transcript:KYUSt_chr1.9181 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPGGEWCSRKTMVALGLGQIVSLIVTSTGLASSELSRRGINMPTSQSLLNYMLLGVVYGGILICRRKPLQMKWYYYLILGFVDVEANYLGGTSPVKGDILVIAGATLYAISNVSEVRIVFERNEIKSVQWSTDAVVPFIGFAVAMFMLYSLVPILLKISGSTLLNLSLLTSDMWAILIRVFAYHEKV >cds.KYUSt_chr7.34294 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214329548:214335471:1 gene:KYUSg_chr7.34294 transcript:KYUSt_chr7.34294 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKQGSGLGREEDDGAKERGHHLLASSPSSRPSGWVFDSTTGYYHDKSTGLYYDSNSGFYYSDGLGKWVTQEEAYKSVETSKTDVAQSSTSQAKPPGGGGVISSIKGGPAPGLVVTKPLNPMRAVKGAPSGVAANKRKREEKKPKVVTKEEEAALRAREAARKRVEDREKPLMGLYKTY >cds.KYUSt_chr1.3888 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23756402:23757988:-1 gene:KYUSg_chr1.3888 transcript:KYUSt_chr1.3888 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLGGGGGDITVHVELLARSLMRKQEGAAADEQHRATASSHRLSRVPVHLRDNNANDYTPGFVAIGPLHNREDRRLRPAERLKVAYLNGLISRGHPDPAHHLTIIQDYVRIVAAREQEARAMYVSEELADIAADDFIQMMVLDGCFIIEHLINVATGRDEPSLHATPFGPAQLSVDLVLAENQIPFFVLVDLITASRLPEFEATGYPPPVLLLKLVLFYLAGEKGRDMSDDALPAAEGVSHILHLLYEMVTAARTRWEPPPRAIQDGAVMEMAQEAARLLRRIPLLLFVPLLYPILPEDKKWSASYGKEDVPSASDLKRMGVQFKKARGGSGSKPVVGIASVLGPVPFAVKLTQHEDRLHLPQLRLEFRTAPLLLNLMAFEQSSSSSSAATTTTTTVAPTVVSAYASFMAKMLQSAEDAGVLSAAEVVQQHGGAGNESKEEVARFFRKMGAASEAAGGDLLLEKSYLGRLLEKLRERSRHPLYVMWADVQRNYFTLPWAVVVEFVAVVTFVSSMVQTYTSVKYHG >cds.KYUSt_contig_605.1902 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:7525191:7533110:1 gene:KYUSg_contig_605.1902 transcript:KYUSt_contig_605.1902 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCVPLVGRTVEEMKIDAAAAAAAGGDLVEIRLDFIEGFSPREDLPRLLRSCPLPALVTYRPNWEGGRYDGDDAARFETLRLAMELGVDYVDIELKVADKFINFLSGNKPDKCKLIVSSHNYESTPSCEELANLVARIQTVGADIVKVATTATDIADVSRMFQVMVHCQVPMIGLVMSERGLMSRVLSPKFGGYLTFGILDATKTSASGQPTLEELLDIYNIRCIGPDTKVLGLIANPVKQSKSPILHNKCLQSVGFNAVYLPLLADDLARFLDTYSAPDFSGFSCSLPFKVDAVHCCHEHDAVAKSIGAINTIIRKSDGKLVGYNTDYVGAISAIEDAIGGPGSKDAAVSPLAGRLIVVVGAGGAGKAIAYGAKKKGARVVVANRTYEKAVTLANAVGGQALRLADLENFGPKEGMILANATSLGMYPNVDGTPVPKEALSFYDVVFDAVYAPKDTRLLREAEECGVKVVSGVEMFIRQAMGQFEHFTGGIEGIIFA >cds.KYUSt_chr1.16267 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94542037:94549430:-1 gene:KYUSg_chr1.16267 transcript:KYUSt_chr1.16267 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPISRLISHVILDLDGTLLNTDCVVSQVLKPFLVKNGKKWDSKKAHKFIGKTPSEAAAVVLEDYGLPYSTEEFLSLINPMFSEQWGNIKALPGANRLIKHLKSNRVPTAVASNSSRSNIDSKISCHQGWKEYFSAIVGADEVEKGKPSPDIFLEAAKRMNADPSNCLVIEDSLPGVPAGKAAGMHVIAVPSVPKRTDEFSSADEIINSLLDLRPEKWGLPPFNDWIEGTLAIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSDILSEHTSGVYFGWAGLSTRGVYKMVMSIGWNPYFDNTEKTIEPWLLHGFDEDFYGEELRLAIVGYIRPEANFPSLESLIERIHEDGRIAEKALDLPEYAKYKDSPYLINPLQQGTTVNGNEAEDRCRLGERGGRLQPFPPAAPARDLIEEVEEEAGDDINIQSQRLGALDNMFLVSGAGIEVVQNFHHGVHGKGVSGKISDGCGGSKGSSYSMPKKSVLMLLMSPGDTSAPYSNSVHHVDIETGKVVTGWRFQNYGTNIKMRDITNDSKIVQVEPSESTFLGPDDNLLCRWDAGSSSESLVLHCSH >cds.KYUSt_chr1.28125 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169649856:169650056:-1 gene:KYUSg_chr1.28125 transcript:KYUSt_chr1.28125 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRWEIQRIENTPRRQVTFSKRRRSLFKKAKELSVLCDVDVALIVFSSTGKLSQFASSRWVLNF >cds.KYUSt_chr7.13605 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83935769:83937370:-1 gene:KYUSg_chr7.13605 transcript:KYUSt_chr7.13605 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQLEVLSALDTAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRVYYYRAGSLDPGSLPPNVAAAVNGVAFCGTLSGQLFFGWLGDRMGRKKVYGMTLMCMVLCSLASGLSFGSTPSSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGVVTLIVSAAFRAAFDAPAYQDGAVASTPPQADYVWRIILMFGAIPALLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVDIAADSKDPADDGGPDRNSFGLFSSEFLHRHGLHLLGTATCWFLLDIAFYSQNLFQKDIFTAINWIPKAKTMSALEEVHRIARAQTLIALCGTVPGYWFTVALIDRIGRFWIQLGGFFFMAVFMLGLAFPYHHWTTPGNHIGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKLGAIIGSFGFLYLAQNQDQKLVDHGYKAGIGVRNSLFILAACNFLGMGFTFLAPESNGISLEELSGENDDETTAPAHARTVPV >cds.KYUSt_chr3.45408 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286057450:286059767:-1 gene:KYUSg_chr3.45408 transcript:KYUSt_chr3.45408 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAESRFHVLAVDDSIIDRKLIEMLLKTSSYQVTTVDSGSKALEVLGLREEGDDSSPSSSSSSPDHQEVGVNLIITDYCMPGMTGYDLLRRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKLADMKKLKSHLVRRKQPQLQPQEKPLPQQQKPEPLPQQQKPEPVPQEQKPDQASQQPAEDAPTPEVVAVSECSKKRKAAAIEPEVLSSPVTTNPRLPSSGLAVET >cds.KYUSt_chr2.39220 pep primary_assembly:MPB_Lper_Kyuss_1697:2:243109316:243114105:1 gene:KYUSg_chr2.39220 transcript:KYUSt_chr2.39220 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLQLEKVQRVLSLMGSRGLSDPTAAGGGGDRFLAHFLLFMVQPFDSLTMEKKILLVSELLREVNSDTLEEVQHLASLEGAKSEDKCRSYFIFHGLDINKPQAVFKFLPFLSFTESYIYQLDASNEDSLLSVPDNYPSRISIEDVMKAIHLKSFDYRVLNLIMYQLTGQQVNELHMEFLTVSEFLVEISDDLYDYEDDVINNTFNILRMFAAIYGPLDAPKMLAKCIGEAEEKYERFSKKLDPSLSRSYWRRCEEATREGGKISSHTYGTWNIPPLIRDEESFRRDRMNKDDDSAVTIR >cds.KYUSt_chr4.15041 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92907558:92907997:1 gene:KYUSg_chr4.15041 transcript:KYUSt_chr4.15041 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSLTPPRRRVALATANERPNPAGHDVPNAVVGPLRVATTSCTDRRCRVSCPELVTGNYSSIGVGAALGRQDNVKKKH >cds.KYUSt_chr2.43011 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267701150:267702490:-1 gene:KYUSg_chr2.43011 transcript:KYUSt_chr2.43011 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSPVPIEIEATRSLMAEEEAIMAEQEEEAMTSVAKAAPVTAQRPVRADLEKYIPKPYLARALVAPDVNHPEGTKDGHQHRQRSVLQQHVAFFDENGDGVIYPWETYRGLRRLGFNIIVSFIVAIGITLGLSYPTMHSWIPSPLFPIYIDRIHRAKHGSDSATIDTEGRFMSVNFESIFSKNARSRPDKLTLREIWTMTNDNRAPYDPFGWIASKGEWILLYMLAKDDEGYLPREAIRGCFDGSLFEFIADQRKKKAHAKQH >cds.KYUSt_contig_554.162 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000053.1:994892:997386:1 gene:KYUSg_contig_554.162 transcript:KYUSt_contig_554.162 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRSILRDFRESFGNLSRRNFEAKISSIPGLSDHHGGKSVESPNELQDSWASLPPELLRDVMKRLEQDDSNWPSRKDVVACASVCTTWREMCKDIVRNPEFCGKLTFPVSLKQPGPRDGLIQCFIKRDKSKLTYHLYLSLTSAVLDDNGKFLLSAKRSRRTTYTDYAISMDSKNISRSSSGYIGRLRSNFLGTKFVIYDTHPPYNARTLCSHDQNSRRFSSRKVSPKVPTGSFPIAQVNYELNVLGTRGPRRMQCTMHSIPTTAVDPDGIVPGQPKELLPRLFEEPFRTSASSFSKYSVADHSMDFSSSRFSEFGLQDGRDVGEKDMPLVLRNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAPPPLAATGAVAASEPPSLPPQQPVQPQPSTSSPSSSSSNHDTVLLQFGKVSKDTFTMDYRYPLSAFQAFAICLTSFDTKLACE >cds.KYUSt_chr7.6906 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41655181:41658119:-1 gene:KYUSg_chr7.6906 transcript:KYUSt_chr7.6906 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFKAIPLIDIGALVEKIDDPDMANDEGLLDVIRLLDNACKEAGFFYVRGHGISESLMTEVRDITRKFFQLPHEEKLKIKMTPQSGYRGYQRVGENVTKGKPDMHEAIDCYTPIEPGKYGDLAKPMEGSNLWPENPSNFHTLLQNYTSLLRDLSRKIMRGIALALGAPLDAFEGETAGDAFWVLRLIGYPVSADIPQEQLTDTGCGAHTDYGFLTLVNQDDDICALEVRNLSGEWIYAKPVPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAVEPVKFCREKTGGVAKYEKVVYGEHLVQKVLTNFVM >cds.KYUSt_chr4.43951 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272414966:272417808:1 gene:KYUSg_chr4.43951 transcript:KYUSt_chr4.43951 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYVRNPAAVAVPVPVADATDKKERERADRKKEKKNEKKALKLAGGEPSKHSKRSHKKRKHEEVSIAGQESKTASKELVEQLEKSGLSEEHGAPFFTQTVHGSPESSQDSSKRRKDWLTIKDIAGTVFRIKVKGYQGPPSPMLDSSMVPQQPPVQQTPPGSSLLSTQNTIQPQREATAKSAAVHRQSIKSDSQGVLKPVDAQLPAKVFPRGNLSKEPSVQKVAPLSTSAKIVQKIDPRLGSSSAKVMRSADPFPVQPTQRVVPPLAKVSQRVDLPSAQVLQRVDLPPAKVLQRADLPPAKVLQRADLPPAKVLRGVDPLVSSKQLQRDASSVPHKEIGATALHQPDRQQLPEMQRPELPVVKQQQANSLHKEEPCSSGRNTEKMAAPEVKLSKSDRKKSRKSEKKERKFGDLFVTWNPPSLEMEDACGLGDQDWLLVGTTKPDARIGGCAASDGSLPVQSAEQFSWQPRAIHLPDLDLYQLPYVVPF >cds.KYUSt_chr2.5398 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33445857:33447472:1 gene:KYUSg_chr2.5398 transcript:KYUSt_chr2.5398 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSGATVFDDLPEWLVVDEILFRLPPKDVLRCRAVRRSWRSGTSTDKFIHDHHRRQPSLPIIEHHKGICHLPYLSGAAAGVSTDKKIRLFIRYTPATIVDVIHHGACDGLLILSEQADFYICNPTTHRCASLPHPPLRPGFSTVTVVAFYRHHTSGEHRVLWVVMSHARVEYESPDYFVLTVGSDQPRLIQWLIASPAADSSTCPPVHHRGSLHWPMGLSITVFDTVAETFRQMSRPAQLGDNDMVALLDIGGALALSSTTLECVTLDVWVLQDYDAETWGFQFRINLRAVVAAPPIDLRLKYVPRMVAINERELLIQVGWRLLHCGIDGTFLGNVEIEEHENYLTLTKHRLQESMISLPLFETQHEEEAVNNVPPFLIVLTHFGLIASGTRSLLSLCGDVFAQAGISGKLAVM >cds.KYUSt_chr4.38610 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238154849:238155163:1 gene:KYUSg_chr4.38610 transcript:KYUSt_chr4.38610 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQALAAALLLVLVVSLAATEGVHGICGLSNGEFKLCQPAAAVNNPTNGPSSECCAALGKANLSCICRYKGVAGVWLRMYHIDANRAMALPGKCGLTMPRNC >cds.KYUSt_chr4.20665 pep primary_assembly:MPB_Lper_Kyuss_1697:4:129977561:129981772:1 gene:KYUSg_chr4.20665 transcript:KYUSt_chr4.20665 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESSRQQGPKGDSNSIAAASEVFVDWRGRPCEPQKHGGMKAAVFVLGIQAFEIMAIAAVGNNLITYVFNEMHFPLSKSANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPACNMMAAASSSAEQCEEVGGVKAGIFFAALYLVALGSGCLKPNIIAHGADQFRRRGGDDGKRLSSYFNAAYFSFCVGEMVALTLLVWVQTRSGMDVGFGVSAGAMGIGLVSLVAGVFFYRNKPPQGSICTPIAKVLVAAVTQRKQVCPASTSTVQPFTGTCAREILLDTPSIRRINKFMFLDKACVKPQDGGSSKESAWGLCTAAEVEQVKVLLCVVPIFACTIVFNTILAQLQTFSVQQGSAMDTRLAGSFHVPPASLQAIPYIMLIALVPAYEAVFVPAVRRLTGVSTGITPLQRIGVGLFSVTFSMVAAALVEARRRHTSVSADGRALSIFWIAPQFLVFGLSEMFTAVGLIEFFYKQSLSGMQAFLTSMTYCSYSFGFYLSSVLVSLVNTVTSRNGGDGWLSNNDLDKDRLDLFYWLLAGLSILNFFNFLFWSRWYTNSVETVQVAGVGADGGEERD >cds.KYUSt_chr4.7839 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46688601:46691538:1 gene:KYUSg_chr4.7839 transcript:KYUSt_chr4.7839 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLASSRKNESFSAHFVYLPPCKINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDADDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYVLDEILIAGELQESSKKNVARLIAAQDSLVEAAKEEASSISNIIAQATK >cds.KYUSt_chr5.38306 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242245302:242245904:1 gene:KYUSg_chr5.38306 transcript:KYUSt_chr5.38306 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQCSSLVGVIDRELSTKRMKIGKAPALLKKAVSMCKSKTSVLAARLLVLASFRRKVVMASVISNKIQSLMMAADRVMVRVDSCHKALVLRKAEKSLAIYGCEIVDDLSHQFKLFDQEENSDGGCPDWTLHPIFNGDDNCSYTEEYYEGDDDELSAMYVISSNRDVERLEFNFNIEDDIDQAAEIFISRFREQMNKSF >cds.KYUSt_chr1.34708 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211367589:211368857:-1 gene:KYUSg_chr1.34708 transcript:KYUSt_chr1.34708 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVSTVVASLGVLSAILGFSAEGTKLTFLLGVDVVSRSQLRDLLETEDGVCIYPENSALGLGICAAIFLIIAQATIATVGGCCGCCKSRAIPSESKRIIGVVCAVFSWIAAVVAFALLVEGAAWNANVERDASAYGFCYVLKDGIFAGAAVLTLVATALGLTSYLLLRGQPDAASATAAAKTGVEQPPAGISMGQPQFPHTAAPTSGEAPTRIPPPPPTGGYGAEAPHQQKQQFPSAPPAQGYEPPHVPNQQYYPPPQGHEQV >cds.KYUSt_chr2.54125 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337768517:337772849:1 gene:KYUSg_chr2.54125 transcript:KYUSt_chr2.54125 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNDVNTDKSDEILLPGFRFHPTDEELVSFYLKKKIQKKPISIELIRQLDIYKFDPWDLPKLASTSGETEWYFYCPRDRKYRNSERPNRVTTAGFWKATGTDRPIYSSEGTRCIGLKKSLVFYKGRAARGMKTDWMMHEFRLPSLTDPSLPKRPIDKNIPLNDSWTICRIFKKTSSMAQRSLSHTWGSPMPGAAEQDPFSGMQSVQASHFSSESSSRSLHVAAAPASQLITSKYGFQGRQQHFQKSSNTQDGSSCKVISFNYSPPLEVQKAPIILPFQTQPSQKPTQATPHLFNLQFGKPEQIAGFVGYSSADISVGMGCRNQESSTINPDNTFSMNSEWEAPERLNFPFDSGAGSLDDWKGDKELISMARSESSTSSIASNTMDKGKQIETGLVDFLPDPPSRLDAYTYLEEPMEMTFGRFHFRIGKEGTYRLEIPRFSGFSAVDPESSSSASSVESSDEEISSPRFISTRASEKLAKIFSDLSFESSANSNISSDSDSVDSFDFIDKSITIGKVFTNLYDGVTKTSKVQNSKYHQIYAIGEASRDQEETSEAFDDLGNPYVDPSDLRRGLGNKYVEPTPRMRVQLPQAAWDKAARAMDGSEPMATTATTEELQTYQYRLARAGRELEKQTTALNRRREAASASSRRRAELSRHSGTSGDSHREARNRARSRLQNIPKREEKI >cds.KYUSt_chr5.42833 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269920524:269923280:1 gene:KYUSg_chr5.42833 transcript:KYUSt_chr5.42833 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSKKPRLGLTPNGQAKQEAADRETSRAVVVEDDAALSVNKMAIETDRTMYDCPLCYRPLKPPVLKCRAGHGACGSCAKNHSRKCHLCADGGEYEHVHWLDPWVMAAKVRCPNEPFGCQTLVTYFLVEDHRLECPHAPCYCPEPGCTFPGSPPMLYDHLKVHHDWLVTSIAFGKKLDLEIDEAQRRHLLATKNGEHLFLLVITEVVGGGREVRLVRVCGKDAGPSGYWCKVWTHAPMDPGNGYRKPVLMLEDRARSCAVPSEEAAMEVGGRYLPVQLLDMHPRGGFALRLRITRLLLDSVNALVADEGRQDGQAAFVSAGEGSQEATESGNASLAATSPSVMEKAPLDIAESQNCPSPPTSVPLASLTSITPKVLSSEVGDPKHDVFVLAGEGRQDVQTAFVSGGEGSQDATESVNALVATALLSVMEKVPLDTAESKSCPISPTSAPLPSPGSTTLKALTSEDGDTQLVATVSPLGMEKAPPDTAESKNCRSSPASVPLPSLASTTPEALTSKPGDTKLVRAKHPKKNYHTSNPRRSARLRECFNNPVEELAADHRRSCMLDPAEESVASIDNA >cds.KYUSt_chr4.53310 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330125769:330130107:1 gene:KYUSg_chr4.53310 transcript:KYUSt_chr4.53310 gene_biotype:protein_coding transcript_biotype:protein_coding MTDASALAAALLDAATPPAAAAATSSVLDYLARHAADHPRAFFADAFPSLLYRLFVSSPSSPSFIDLAAADPDLATLLLNLLAPSGPLLAAAAAADRLALIRFVFPSERLPYWLRVALAEDPSPGLPLASPLLAARVGSDLHLSVFEYYLFWFAYYPVSSADPAASASASNPTHKSRSRIESWVSTLAPTPTAAGTHKPGNKPEPCLYLKLLYAYLRDFVPTAASTPPPRRPSGTLLQRTASEQTAAEADPFARAEFFLHTLIQFWLVGDDFSPLPVQTYRAFGLKLPSRARAQLSDQPPSPGLGDAVKLLIMYLNCCCARSPPPADARMVVSSGSQVGFWNPLIQRPMYRFVLRAFLFCPIGAVVKNATQVFSVWLAYMEPWKLTQEELDEYDVSLMNNRTETKQAGVPKKEKLMYTLAWKNYVLSNYLFYSSMVVHFLGFAHKFIHSDVSSVLLMILKVLEVLSSSSELADLLYKVDVAYHSRPSCSSESDDVVKFVPSIREQLKDWEDGLTETDADGSFLHENRNSDLRLFSSGEDGAYHLLQGRIEGAHHKNTSLDESQQQHPGRGEIFTPKHPVLGRSKFSEVKYRGDWMKRPISETEVAWLARILIRVSDWLNDALRLGCDDGADDSSARPTYIKFDRSELATIGGPKDAARMALVAVCSLLALVGQALLTFMRAHRVKINLRVFASKKLLAGLVLLYAVVAGTRSAFS >cds.KYUSt_chr1.6943 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42653320:42654063:1 gene:KYUSg_chr1.6943 transcript:KYUSt_chr1.6943 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPTLEALSICDGPNRTCRPGFAFVLASTTLPSCRPLPPRTGIPGPELARRTTLRIAALLGLPPRGLTPALLLLVATAGAMPLGTKAILATSSLEVPGLAIRAELTSLVLTEGAASSGRLAKRSAPALGVALLAEGAASTVVAAALLTVRTAAATTLLAEAASATAKAASLLTKGAGPAVASSLLAEGTAAAALWTTAPVRPAKTRPLPVVGAEATATLLLPVAAATATAASLGPVARTAAAAPS >cds.KYUSt_chr7.38840 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241711542:241716390:-1 gene:KYUSg_chr7.38840 transcript:KYUSt_chr7.38840 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKAGGEDALVMCKERLRRIKRAIDSRDALSAAHLSYTHSLRSVGTALRRHAESSSISTPESSLSISEAGRSPSHSSMASPSPSRAAAESTGSPMQHRASPLARVHCMKAAGTTPLTFTVDPSAAEFVGQGSPVSAFVPMPPPLPSEWDFFDGAGSAAPNNVDGLTLDFNRLKGLRESWEADLVPLSEEPENRSVRMHSDADIVDDSAVQKQETKATKREIGQTSGLADASNKGASSEQVVAKADEGGKKKESHTDTEDPSEFITHRAKDFVSSMKEIEMRFIRAAEAGNEVSRMLETKKIRLDICAKVPGSPGKSTARFASALRVCCNRQIHLNQETAQHVSNVVTWKRSVSSLSSSSRSRLTTSMIQDDVDDSNNDFVEEFAMVSGSHSSTLDRLHAWERKLYDEIKASENVRKTYDEKCKLLRNQFAQGLNAKLIDKTRAVVKDLHSRVSVAIQAVDVISKRIEKIRDGELQPQLVELIQGLIRMWKAMLECHHKQFITISLAYHVKSSTSMPQGEHHRQATVHLLNEMDCFSSTFRIWVTAQKSYVEALNAWLQKCILQPPQDRRRRKRKVSFPPRQALSPPIFVLCSGWLAMMESLPTDELCKSIKEAMQLLRDSIEHQTDQTKMGNEPHSLSESQECGMLENNEQEASGGVAAVEGLHSKLTTVLDRLTKFSEASLKRHEELKQNYERAYDAYKTDSPNAHPAQPDIESAV >cds.KYUSt_chr5.16639 pep primary_assembly:MPB_Lper_Kyuss_1697:5:107066744:107070548:-1 gene:KYUSg_chr5.16639 transcript:KYUSt_chr5.16639 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTATPPSTTTSPAPSTPAPAPAASKPRPRHPLGLDTLASHARSLFAAGRRSPVTTLVCAIALLALVMYGEDARTIAELSIDDYLYPDADIYNVSGLPPVALPPPTCDLAHGRWVFDNASVPLYREKDCTTLTKQVTCLANGRPDHTWQYWTWQPSNCSLPTFDARRFMERMRGKRLMFVGDSLNRNQWESLVCMVQSVLNKGRTKLVKRGNFIVFHAKEYRATLEFYWAPFLVESNSDNPNFHTIVTRIIQPESIEVHAQNWRGVDYLIFNTYIWWLNTADIKVRRPESRHWSDHDEVPRVEAYERVLKTWATWLNDNIDPARTSVFFMTISPHHDSPDKWGNPNGIKCAKETLPVNYTQPLDLNHDMRLYDLVANTAKSMKKVPVTLIDITRMSDYRKDAHTSIYSVRQGYLLTPKQKADPQNFADCIHWCLPGVPDVWNQVLYTRIFSKSPPSPPTPTPTPTPTLPLPPQ >cds.KYUSt_chr1.29857 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180811522:180812283:-1 gene:KYUSg_chr1.29857 transcript:KYUSt_chr1.29857 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLGGPAAPFLGEEDDFDAALVPPPPGIFLDNGMDAGVAFCPEHGYGPCPARRGIAFCPMQGYGASPPPLATVFDPPTPTPSAELEEYEFLPGLGPDAYMDLPTPTPEHFMPPGYNPVPELDSPPMDEETSAPVAAAPLAFDLNVEPEDEETRAPVAAAPFALDLKAEAEPKDEETGAQAPQPAGPATPPPEARRLLRRFAAAMASRQPSFRAGSWNPASLGFSNLAMPNTSLPDGSTDEERRGGSCSRRW >cds.KYUSt_chr3.3479 pep primary_assembly:MPB_Lper_Kyuss_1697:3:19729204:19730922:-1 gene:KYUSg_chr3.3479 transcript:KYUSt_chr3.3479 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLAPPRSSRLPPAGRFRKENRGVVVPKPATTAALGPTLCVNAASSSKARPVAALAAGVDTPASSAAPAPAATTLLAEVHVPPPRSNVDKLVPVSSIQEQRPQHLTAPRPVSWSSAVDDDEFDDEEELLAPCTPPAATKTFDASSDPAVLIEGLGFLSLPPASSGGPISAGVCSVEDHDGEEFASPLASSKGTVSDSEQVIAEPCRGLSAAAAAFDDEEGWTQVGRGCRPSRAPLSPLREGLDRSLAFKRWARGRCFRCLERGHQVSSCRGSFRCIRCRRPGHRERFCRARSPAARSRSPEARARSPVARAPCQRSRSPSAQPRRPSSPLSWAGVLGHSSLRPMVQPCCKDSISSVESQFASLRMEVLRSLSCFAPRFGMLLPSFELRRLCLYHPRYGSVTLMRGSSACFGEFSPRALHASSSVLTTVVATEVVAPVVENLHELRDHCGKSSVVLPVELGLLEPLAVDIAPSPSPSESCELPSSVDSGGPSIRLPLFDREAMLARIEQAVFVKKLGGLLACLEAASPGSGEAIACLIAEEASTGKIKKVKKALRSIGKKGGAIGKAPATA >cds.KYUSt_chr2.54487 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339941784:339945713:-1 gene:KYUSg_chr2.54487 transcript:KYUSt_chr2.54487 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVMVVARNFMDMVAALPASKLDMLYDSAFICEAVLRSFPPLAKKYVLQMLYVSAPVPAAAIEEWVLDEYASKHKVAIDRLVQLRVFVEVRDRRKEVSYRMNNKFQANMQKYLVSGGSLPREPVPSSITSRLPTVTELENYALEQWECFLLQLINSSQVEKGTSFSSSMMRTFQRGLLSSRDNEAPKLSENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHTLGDAYSLNTLTDVQRIAIRDLAELGLVKLQQGRKDSWFIPTKLATNLSSSLSDSSASKEGIVVVETNFRLYAYSASRLHCEILRLFSRVEYQLPNLIVGAITKESLYGAFDNGITAEQIIAFLQQNAHPRVIDKIPIVPENVTDQIRLWENDRNRVEMVLSHAYEDFPSKDMFEQCCDYARDNGFLLWEDAKKMRLIVRGEFHEEMRVFLRRQR >cds.KYUSt_chr5.13872 pep primary_assembly:MPB_Lper_Kyuss_1697:5:90210308:90214356:-1 gene:KYUSg_chr5.13872 transcript:KYUSt_chr5.13872 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTPLGRRWRSLARGCGRWIWLLLEGSLDVSPDPELESSGNEAGDWLTVAMEVAEKTECLDLYFLFLQDDYSTAASVEHPDDWEQRPFDPAILYQINGGLPHGRLPMGNGIVSKSVVQAAAKSKSIRPSNSSSYRAIYRENQELRLSQKTILSENQELHRNQELHRQVIVTLLEKSNLPTPPELLQVRAHAQEQAMEDGTDFSHVSPLSKKNAVGDPDYADGGANNVHDGRQNGDGQNQGSADAGSNNEHNGDLDQDPAEGFMQSSTAIPVRLTNLAAIVRQI >cds.KYUSt_chr5.27469 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173921673:173933020:1 gene:KYUSg_chr5.27469 transcript:KYUSt_chr5.27469 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAGSDVVTIRLPSLSQDDPLYHDKKKILESRKLSCLFPLPLSSSAPDSFKLLDQMIHAARVAHMDELELYFAGDDDYGPFSTRNELESLNQLLKIINTLLVTANDGAKGVLQVLEGEIVVRLRSVGLTDNDQMVLQTQNHDTEDSLLKWGEHHGVKSKLQIAFFEGAGRGMVASESIGVGDIALEIPESLIISEELLCQSDMFLALKDLNSITTETMLLLWSIRERHTPSSKFKMFFETLPSNFNTGLSFGIDALAALEGTLLFDELMQARQHLHQQYDELCPVLCTKFPEIFKQDIFTWDNFLWACELWYSNSMMIVLSSGKLTTCLIPVAGLMNHSVTPHILNYGRVDQATKSLKFPLSRPCEAGSQCFLSYGKHSGSHLITFYGFLPREDNPYDVIPLDLDTSIDEEDGTDQSVSTSLTTHMVRGTWLSRSEGPPTYGLPQPLLLHLRAALNCDHDESLPEADIKENDRMVLGTLISIFTPMLEGLGKADDYNRESVSWDVALALSYKDLNRRIVPDCKPGIDKDLPFRFAGCGGRPAMAAPGGLEQWQKDGFFQAAEEVQESADLMESTYRTWVHERSSGANSDELNDLQRELQTVLGTAKWQLEQFERAVRLSNDKYSLEAGTVSRRRQFIVAIGDQISRVEKATNVSKIENGSRGLNWVKLDEDEQNDLVAFLSAPAQLSRETRKREGSYHSPPRQKNVLVGANNHMDMAGISKDRRTSPMEISNVESEVRGLAEQLNVHRTNVSSSDEHWKINIGDDKDDERKLSPKRVEVSSKTTTLSGILKGSEYLTRMRWLRNSLWKSKSDEHLPLRYDVPNQLDFRGVTLLAQYPFSSALHDKAQIVCVLVKLHRNI >cds.KYUSt_chr6.4963 pep primary_assembly:MPB_Lper_Kyuss_1697:6:29284142:29293772:1 gene:KYUSg_chr6.4963 transcript:KYUSt_chr6.4963 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYFSRAFRFVFAAEVDPTGQRHAHRLLRALKAVAGQLAADASHSTRHLIAGTSRAYIPRSTRRDTGIDQSASTELNAMAMSIGPVLAAVLIVFVVAATAVAEEAKGAQSTYIVHLAPDHPALALSAARSGSHGALLGRVLQLPRHLQAPRPRLIYSYAHAATGIAARLTTAQAAHIATQPGVLSVHRDEARQLHTTHTPAFLSLSQAAGLLPAASGASGTASDVVVGVLDTGIYPIGRSSFAPAIALGPTPSTFSGGCVSAGAFNASAYCNTKLVGAKFFYKGYEAGLGHPIDESKESKSPLDTEGHGTHTASTAAGSAVPNAGLFDYARGQALGMAPGARIAAYKICWSSGCYDSDILAAFDEAVADGVNVISLSVGSSGLAPSFFRDSIAIGAFGAVKKGIVVSASAGNSGPGEYTATNIAPWILTVGASTVDREFPADVVLGDGSVYGGVSLYSGEPLGSTQLPVVYAADCGSRLCYLDALDKEKVAGKIVLCERGGNARVEKGAAVKQAGGAGMILANTEESGEELLADSHLVPATMVGQTYGEKITHYVKTDPSPTATIVFRGTVIGKTPSAPQIASFSSRGPNYRAPEILKPDVTAPGVNILAAWTGEASPTDIDIDPRRVEFNIISGTSMSCPHVSGLAALLRQAHPDWSPAAVKSALMTTAYNEDNSGETIKDLATGVASTPFVRGAGHVDPNRALNPGLVYDADTADYIGFLCALGYPPSLIAVFTRDGSDADCSAKPARSGDLNYPNFATVFSSYKDSVTYHRVVRNVGSNASAVYEAKIESPAGVDVSVTPSKLVFDEENGSLAYEITLAVAGNPVIVEAKYSFGSLTWSDGVHEAMDWFNLHGIDLNHPPGYDGFIEPDGTEAPVQGTSVDGTGANSGFMSVDDTTDGAPTGQTLSSEESGSDGEVQSMPEGFAPRKPYLGMKFDTWEAAKVHYNRNPSNKIFSELDEINAQGPILPRSFQKSEEETKWGHEVATP >cds.KYUSt_chr4.21966 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138130295:138130903:-1 gene:KYUSg_chr4.21966 transcript:KYUSt_chr4.21966 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTQGQPPLPTPSAQTYRRHRGASYSSSSSSSSSFSTVSSAASSPSPSPRTTSVPFSWEHHPGIPKNHTFLRGAANPAASQPTPLPLPPPLRARPSRRRANRPATGAPGIIDPFAAALAECTKERANAIDIDALFPPTPESVVRSAPRRWSITAGGMVGLLDLYGCKSAMGVAEGAFVMRRPVAVVGRAGQGRTGRPGRR >cds.KYUSt_chr1.38622 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236053926:236056496:-1 gene:KYUSg_chr1.38622 transcript:KYUSt_chr1.38622 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHGYDGDEGGQEGLPDVKRHAGVVPTVPEDNPIYTLARHRKRNPSAQAQAREQRTNHHIQFATTQRKEKKMSMAVSKQWTRLRTLGRGASGAEVFLAADDVSGELFAVKSVCASGAAALRREQAVMAGLRSPRVVSCIGGQAGRDGSYQLFLEFAPGGSIAEEIARTGGLDEHTVRAYAADVGRGLAYLHGQSMVHGDVKARNVVIGADGRAKLADFGCARKAGCGPIIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSGMNGDALAAMHRIGYTDAVPEVPQWLSADAKDFLGMCLVRQASDRWTTAQLLEHPFLASAVVLDTKLEEDVKGKWVSPKSTLDAAVWESESDTEDELSASCSSAERIKALACSAMALPDWDSGDGWIDVLSAAPTDAQVVAVAVPAVSTTDTDDWIISQEPSIAIATCGLLNIALEYSIDGAALNMGEADHGSVEGGRDHHCLEISVYHELAACKLLQFCSSRSVNNEVDFVLAHNRALFLLILLCFSSHVFSSPLRHVGLANSELGRHAEGSMSERMEPTRKEQT >cds.KYUSt_chr1.25032 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150013622:150017170:1 gene:KYUSg_chr1.25032 transcript:KYUSt_chr1.25032 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSVVVPSPSGSKLLVVRNGEKGSCTKLQIVDQSHVDKEIHVDQSTHGPLFIDEWFQGISWNQEETFIAYIAEESPRPTPAFDDAGYRKEGSSEKGCNSWRGLGEWEENWGETYSIKGRPSLFVLDIASGEVRTVRGIAKSLSIGQVVWAPPSSTGHQKHLVFVGWLEHNGFQKTARKLGIKYCSNRPCSLYAIRCPFEEPNADNASLSGGKSDSASVVTNLTPSISSAFFPRFSKDGKLLVFLSAKCAVDSGAHNATDSLHKINWPSDWKTDEHLDMIDVVPPVMCPDDGCFPGLYCSSMLSDPWLSNHCTIILTSAWRSTQVILLVDVLSGKVTRISPELSDYSWSALAVNGSDVLSVSSSPIDPPQISYGHEASKEDHQTRGWVWEEVTSPLMAGSNKVKALLSHHKFSILKIPVTNPCDELSDGGQLPFEAIFVSCEDSSQKPTVLILHGGPHSTSVSSYSKSSAFLASLGFNLLVVNYRRGTLGFGEEALQSLPGKVGSQDVQDCLMALDYVIKEGLIDASKVAVVGISHGGFLSTHLIGQAPDRFSVAAARNPVCNLSLMVGTTDIPDWCYVVACGTEGKHLASESPSIDHLRTFYQKSPISHISEVKAPLLMLLGGADLRVPVSNGLQYARALRERGGDIKTMMFPEDIHEINIPRSDFESFLNIGIWFKKYLK >cds.KYUSt_scaffold_1700.128 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:843272:843826:1 gene:KYUSg_scaffold_1700.128 transcript:KYUSt_scaffold_1700.128 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAAGGDGKVKNACELCGAAARVYCGADDATLCWGCDAQVHGANFLVARHARALLCRGCARPTPWRAAGPRLGPTASICELCVGRGRGRAAHGVAGDGYGEQDEEEMIEDEDEDEEEEEGEGENQVVPWADDAEATPPPVASSTSSSSREAAPNAKVPLLPQPLFLDLLSLPLRLSLCWQ >cds.KYUSt_chr5.13005 pep primary_assembly:MPB_Lper_Kyuss_1697:5:84810662:84812449:-1 gene:KYUSg_chr5.13005 transcript:KYUSt_chr5.13005 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTTATLTPLLIHLLRGASDHASVAATHAKLLKVGTASAVSSCNHIIAAYCRCGATADANYLFDRMPVRNVVSWTALMSGYSSAGRPRVAVSLLRAMSHSGVPPNAFTFSTAASACAHLADAGLGRQVHARAEVEGYASDAVVATALIDMYGKAGSVECARAVFDGMADPERNVVSWGSMLSVYAQNALGREAIQLFAEFRTKSTVMAPNHFMLSSVVNACAGVGRLGVGKSLHGTVLRFGHGCNGVIAVALVDMYSKCGFYEYSRKVFDRIEQPSVICYTSIIVAAAKYGLARCALNLFNEMIDQNVQPNSVTLLGVMHACSHSGLVDTGLHLLHSMQTKYGINPCANHYTCAVDMLGRAGRLDEAFELANKVQVEGRDTLMLWSSLLSACRNHRRLDLATRAGHILSEFNQDVAGALVVMSNAYTSAGQADNAAAVWSNMRQQGIRKDPGCSWIEIKDVPYVFYAGLVSPAGARADEVMMLLDELEGKMREKGYNGRLGSTRVFDAHEEDGEDGKGVMVGVHSEMLALGFGLLVIPKGMTIRVMKNLRMCCDCHDGFKLISDIMEREFVVRDLNRFHHFKMGSCSCNDYW >cds.KYUSt_chr4.44501 pep primary_assembly:MPB_Lper_Kyuss_1697:4:275674126:275680064:-1 gene:KYUSg_chr4.44501 transcript:KYUSt_chr4.44501 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEARKMRYPTTGTEGLLMGILVEGTSGAAKLMRANGISLLTVRDAAAEVLGKSEMFYFSPMHPPLTESAQKALDQAVNEKLKTGEDGEVTANHLFLAIWSDKESAGHKVLASLGFDDQKASLLAKTADEEAAMGPR >cds.KYUSt_chr1.6744 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41509062:41509556:1 gene:KYUSg_chr1.6744 transcript:KYUSt_chr1.6744 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPASSMPLLFTLGGGVPVLGGGFCHNVLVGGDVPALDHSDVPALGRGFPTACSTTAPSPRSAVLAPCYGRTPSLRARRSFPCAGEGRLLVELIVDAPAEPSSRWSTAWRRCPALAAARLLVLFNDGGLLLSPASRSSSLPAWLPWRYERERERGYMVMRGS >cds.KYUSt_chr1.28837 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174465488:174472786:-1 gene:KYUSg_chr1.28837 transcript:KYUSt_chr1.28837 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPAGSAGSPGSPVAAPDQVAARDAVIGWYRGEFAAANAVIDALCGHLAQIGGAGSAAEYDAVFAALHRRRLNWFPVLHMQKFYSVNDVAAELRRVADARAAVAAAAACCSDEEAASTVIQEPMMDEPVAAVEQAPAPVPEHVHEPVQQVEDAAAAVEEAHPEEDADNAVPVVDAEQELDGEDSSGDSSERKAASTEDDAVPDGPDNTDQGSQGSLPESYPICSDHEDCIARPERIKIQKGFMAKESVKGHMVNVVKGLKIYEDVFTTSELVKVADFINGIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQHTTEESNCHIEPIPVVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETSMAFGRSLVTDNNGNYKGPLTLSLKHGSLLVMRGNSADMARHVVCPSSNRRVSITFVRVRPSTPVDLSPLPSPTKAMTLWQPPPTAAAAGMQKQPHGGNGAIIGYGPAPQAMLAPAWGMAVRAAPMMMVAPARPMVMAPSSNINKRMGRGGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIETQG >cds.KYUSt_contig_686-1.669 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3976523:3982547:1 gene:KYUSg_contig_686-1.669 transcript:KYUSt_contig_686-1.669 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKGILGFDYGVVQAPLGPDISGPELAAAVANAGGIGLLRLPDWPAPDHVRELIRRTRSLTERPFGAAIVLPFPHEENLRVVLEEKLAVLQVYWGEFPRERVDEAHRAGVKVLHQIGTIEEALKAKEAGVDGIIVQGREAGGHVIGQEGLLPLLPRVVDLVSDSATLVIAAGGVVDGRGYAATLALGAHAVCLGTRFVATEESFAHPLYKKKLIEMNCTDYTNVFGRARWPDAPQRVLKTPFYVEWKNLPDHETEETQPIIGHSIIHGVHKDIRRFAGTVPNATTTGDIDSMAMYAGQGVGLIREIIPAGEVVKRLVAEAQRVIGEKLSDSPKSSD >cds.KYUSt_chr4.2947 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16867701:16868354:1 gene:KYUSg_chr4.2947 transcript:KYUSt_chr4.2947 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSMEWLLGGPSEGWLVDDPECDFSDDELENQPPLICYFKRHGNGQCLPSPTPSDEEPEHFAPPGYAPVTEVFQPPAAAPVDALPPGLTTNLQTEMEENEAVATARVRPLVPDLTLPAGEEMEEENEDAPPAPFLALPTPSPEARVLLRLGHGGPPRRHPQRDLVPEGPRPHQPRRGAPPQRGRPPLLLLSGGVEQPLKGSTPNLALCILVFSSKF >cds.KYUSt_chr7.22665 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140573227:140573565:-1 gene:KYUSg_chr7.22665 transcript:KYUSt_chr7.22665 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYTSQIESLMEEDSRACGSVTSTFLLQLRRQLDVGRVEEKSPVVQTRHHTAGAGEVMGEGEEDEQRRGVRLNRPLTSAASGHQILDGKGRDGKVKLDFCSQHPYLSDAKF >cds.KYUSt_chr2.39291 pep primary_assembly:MPB_Lper_Kyuss_1697:2:243527652:243533070:-1 gene:KYUSg_chr2.39291 transcript:KYUSt_chr2.39291 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASSLVGAGELSLKIWPSFAASAQGRQGVHRPPLVKGKAARAKSRALTKAYEKTDQAILSHTPDLGPGGSTAVTAILINGRKLWVANVGDSRAVLLKGGEAIQMSIDHDPNAERGAIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLKSEPDVTVEDIDHTAELVVLASDGVWKVMNNREAVDLVKRFKDPQTAAKQLIAEAVKRESKDDISCVVVRFKIYSRQVRWDDRLTSSLLVLFKYQGMISRAKILGVTCAKDELLAFSLLKAFPGDSSDCLEGDQN >cds.KYUSt_chr2.53752 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335337484:335338144:-1 gene:KYUSg_chr2.53752 transcript:KYUSt_chr2.53752 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAIVCEAVAPPPPSQQPRREGGGKIVLQPRLCTLRSYGAGSGGSDAVTRKRLPGEAVEGGIGDMGRGAPFFASLADYIESSRKSQDFETISGRLAMVAFAAAVAVEATTGDSLFKKLDTVQIEEATGACLVVVASAATFAWASSARGRIGQVLTIGCNSFVDSLIDNVVDALFAEGQLQDWSDDV >cds.KYUSt_chr6.30210 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191479503:191482515:1 gene:KYUSg_chr6.30210 transcript:KYUSt_chr6.30210 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALALSSGHQMPAVGLGVWRMDSPAIRGLIHSALRAGYRHFDCAADYQNEAEVGDALAEAFETGLVKREDLFITTKLWNSDHGHVLEACKASLKKLRLDYLDLYLIHFPVATKHTGVGTTASALGDDGVLDIDTTISLETTWHAMEDLVSKGLVRSIGISNYDIFLTRDILAYAKIKPAVNQIETHPYFQRESLVKFCQKHGICVTAHTPLGGSTANTEWFGSVSCLDDPVIKSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKVERLEENFAVFDFNISGEDMEKIKALDRNYRTNQPAKFWGIDLYA >cds.KYUSt_contig_1991.139 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000237.1:737543:739051:1 gene:KYUSg_contig_1991.139 transcript:KYUSt_contig_1991.139 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFTTAGAERAASTDQQRPHVVLLASPGAGHLIPLAELARRLVEHHGFAATLVTFTDLISSQEALSGVPACVSTAALPPVPLQDVPAATPMETALFELVRRSLPHLRTLLRSVAQLAALVPDFFCSAALPLAGDLSIPAYLFVPSNLTALALMRRTVELHDGVPPGEHRDLPDPLKLPGGVLLRRSDLPRAFRSSNEPVYAHHLEEGRRYRQANGFLVNTFYEMEPATVEEFRQAAAEGAWPPVFPVGPFVRSGSDETGDSACLEWLDRQPTGSVVYVSFGSGGSLSVEQTAELAAGLEASGYRFLWVVRMPSMDGGDEEEEHGRCKNGNPLAWLPEGFLERTKDKGLAVAAWAPQVRVLSHPATAAFVSHCGWNSTMESVSCGVPMIAWPLYAEQRMNAVVLEGSVGMALRVRPRARDAGGDGVVVSRREIADGVRELMEGQEKGRALRRQAGDMQQAAAHAWAPEGSSRRALEEVTAMWKAWRACRGEAVTDVSASGKP >cds.KYUSt_chr2.44583 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277391837:277392226:1 gene:KYUSg_chr2.44583 transcript:KYUSt_chr2.44583 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSMKKKRTEPPEYTAATMEFLKKQTPVTFRVEPPGYRTHEFVLPASHLRSPHFEPLIPKADVEYGGAKGIVFVITCKLDDFLHAMVETDMDIRAPCKPSRPPLLPFGGPNSCPGRRTLGWFLARTH >cds.KYUSt_chr7.13228 pep primary_assembly:MPB_Lper_Kyuss_1697:7:81543235:81544819:1 gene:KYUSg_chr7.13228 transcript:KYUSt_chr7.13228 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVVPLPAAMAAAPRARALGAPEPAPFVGIRLRLFHSPRAVACALRRPSKYKTKIQNEVVVAKDDTDGDDDDDGDDDDEGGLEALFKQLEEDLKNDDLSVEDDDEEEISEEDMARFEQVLAEAIGDIEGADEPAVDSASGSIVDGSDEVTDAVERPELKNWQLKRLARALKIGRRKTSMKNLAGELGLDRGLVIELLRNPPPKLLLMSDSLPDEAPSKPEIKEMPSPAVDEVVVDDVDVTETEPMTDLPIHVMSNEWSAQKRLKKVQLETLERVYRKSKRPTNTMISSIVQVTSLPRKTIIKWFEDRREQDGVPDRHAVYKRSISETIAS >cds.KYUSt_contig_1301.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000125.1:21772:24914:-1 gene:KYUSg_contig_1301.3 transcript:KYUSt_contig_1301.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVGVARKVIDLILRRRPLAFPRSDVWFVQLVNGLVRVLLWIFWIGNSGLLVSGLVYLPIEGLAAFNKATADLLLELIIPPSSKDGYCHAVLAIALVILGLSGILISIYWGVSLPYVQLTLFSNHSYCNHKNIFNDARVPWSGVPGFASGSLDEDGHSVRLLLSVVMFVVQSYSKNLGLYAERIGAINVICSAPEVGDRVKSQLKWLARPMYSNPLFMVLEDRPDWQGTGSFILEPVGMFSYTGLNRTQSDNMTDKWHIYMTKDGRISLAGLNLAKCEYLADAIIDSFHNAN >cds.KYUSt_scaffold_869.254 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1542241:1545643:1 gene:KYUSg_scaffold_869.254 transcript:KYUSt_scaffold_869.254 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAGEVGGSGSKLVVGDEILPTSGNASNSEQQLHDTGHLLLRIILANWMSVLGIAPSKASTVNQVPKNGQQPTQHETSEDAKKLAANALAAVRDAAAASGKGKVEITEVRDFAGKDIEIKKLVDADSKEAVEKAKAAGASPSAVDNILEQIRKKQKLSVLDKTKKDWGEYKVENKVEEELGAYKKSSNQYLDRQSFLQRADYREFERERDARLSLMAKRKSEGMQDDDV >cds.KYUSt_chr5.42518 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267905499:267907469:-1 gene:KYUSg_chr5.42518 transcript:KYUSt_chr5.42518 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAMQWWEEWQLRILVLGSCFIQYALYFSKFVRRAPILRRLRVLVWIAYIGADAVAIYALATLFNRRKQSFDGESSTLEVLWAPFLLIHLGGQPWISAYSLEDNELWKRHTITLVSQVTVALYVFCKWWSGEKMLLAAAILLFLLGILKFAQKPWALRTSSFNSLQGSTTVLPKAQIEGHIPPLEEYVQAAQKCVLETKVQYMCHYRTIIDCMFFDESAPHFCRVSYLPSFLMLDHKRAYNVLQNYIACTFNIMYTRLQSVFNPVGTGLMFLLPFLALASMVIFATSHKDHHIEKDIMVTYILFGCTIMLEFLLPGMILSKEIPCVHSFIDKYTDGWQDMVSQYNIMSFCVRKKKPTFLMKLATFNFLKEFINKHWYIQHVPIAFQIISVVRQHVEDGWKKYIRDAASYRGFSKLRGQWALRRHQELGWSLKMRYDESVLIWHVATELCFYHPNTSPQGQQGEVTQHSREISNYMFYLLLICPEMLMPGTRSDLITLASDTILENKTGLLDMTEEICVQEILSMPMLPTAPDLVSSASKLAKALMELSNEKERWTVIQGVWVEMLCYSASRCRGYLHAKSLGEGGECLTTICLLWSLMGMETLADRHQRPESPREEEGEGEEQRGQNEEPCTSGAQGRARARASPSEDDYSPV >cds.KYUSt_chr5.32118 pep primary_assembly:MPB_Lper_Kyuss_1697:5:203759901:203761232:-1 gene:KYUSg_chr5.32118 transcript:KYUSt_chr5.32118 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADGKKGGVLQGRYEMGRVLGHGNFGRVHVARDLRTGQSVAVKVVAKEKVVRAGMAEQIKREIAVMKRVSHPNIVELHEVMATRSKIYLALELVRGGELFARIVRSGRVKEDVARLYFRQLISAVDFCHARGVYHRDLKPENLLLDEAGNLKVVDFGLSALADHARADGLLHTLCGTPGYAAPEVLLDKGYDGAKADLWSCGVILYVLLAGSLPFQDDNIVTMYKKVQRGDYRCPPWLSTDARRLIPRLLDPNPATRITVAELVEMPWFKKTSIARPVSVEPPVDPARAKEAGDKDEPETMNAFHLISLSEGFDLSPLFEGRSSAGRREGGMLFATREPARGVVSRLEDVAARGGGQMRVTKSGAGGVRFEGAGRGGPKGRLAVAAEIFTVAPSVLVVDVKKDGGDTLEYRSFCSEHLRPALQDIVWAADPPASVALAV >cds.KYUSt_chr2.9708 pep primary_assembly:MPB_Lper_Kyuss_1697:2:61380863:61381505:-1 gene:KYUSg_chr2.9708 transcript:KYUSt_chr2.9708 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKIVLKVDITSDRCKAGAMSKIAGIEGIKSITVDGDKGTLTVVGDVDVICLASVLRKAKFQAVVVSVGPAEEKKPEPPKKPEEPKKEPPKPPHCSCSSGPCSSGPCNPCCPPPMPPYRGATMVCYDEEPDSPRCIIM >cds.KYUSt_chr3.38157 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240169706:240174396:1 gene:KYUSg_chr3.38157 transcript:KYUSt_chr3.38157 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQGYMQQPGVPFAGVRPPILPAPPGPPGPQGLCPIAWPSQTELEGAAADLAVPLPSPLPELAAPVPCRSSRGHPLLQLARGRLRLISPAAVTARARRDRHCHGPRTGVLPELAAAIPCTSLPAAAACACSLARFARPLPELAALARSRSSPMLHLARGRWSLLRPSLPELARFRHPGLLARVRRSSPVGHNRCCWLSLADAATRGPSWFHAYADGTSPKASDATASNVWSPRCPHVQQRSTSRSSTNVSAKSTTKCRPSLWPSSPGSSSTGYILLCTTL >cds.KYUSt_chr4.1631 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8622088:8622943:-1 gene:KYUSg_chr4.1631 transcript:KYUSt_chr4.1631 gene_biotype:protein_coding transcript_biotype:protein_coding MADVKAEKKEKEARKKRHERRVALLLQSSAEKYWYPNQEESQEGGWNAGDYFAARVWNTDKITPIPPMYLTDDPSSGRPRGCLQIVSIKIASIRGGLRWPIDVFGMVTARDVLDLDRKRNIIFTRARSNCQTITEKDPYLLLTGPTRAVVTCMDPGTIEIVLKVKGATESDDRDLSFLVLTLKSGGYCSFDGDS >cds.KYUSt_chr2.41054 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255180359:255189284:1 gene:KYUSg_chr2.41054 transcript:KYUSt_chr2.41054 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTSRDTRGMTPVFANPDVVHDLRNGVAALENQILPFILDLLLGIEVSHIEKTVAMAILAVRFFYLFMSTDTILDRRNRSRLYPAWMLIQFWASCNGWRPRCFDGAPSDYFLLLFQRGVLQLSLRGRRYRLGLIGQCASAPGDHPAPEGTAAATAAYVQLFKLQLLSHSRLCGAENTREKRALWQAEICRGNSLPEGEIDAIVTVIELDIIGIIITIISIIITAISTAAPRHRCNI >cds.KYUSt_scaffold_869.1968 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:12285526:12289448:1 gene:KYUSg_scaffold_869.1968 transcript:KYUSt_scaffold_869.1968 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCWDPENVATWDAFFANRREMELVRYEGDGPPPVGNNEAVWWLWWGSWTFEGVMNHILAGDYPGLQYPHFHPPKKEFPSQRVAIRASLEPPMPSRWRLAGPGGRRRSRPTVDVVAMTAKVAVDAAIYPRRVRRHNLYGCIQHRRLVGDDVDRVVKLVDVAPSRKPKTIEATRSSIGAVLLLGSTAGQEKLHLGASFLRPASASKFSCALPRQTNISAEKKQLRFMVSLEMKKTRSHLFI >cds.KYUSt_chr2.49545 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310063528:310066250:-1 gene:KYUSg_chr2.49545 transcript:KYUSt_chr2.49545 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPAKGKKRPPSAAAAAARSAMLLLAAVVLVGWLVVWAVYPTRTYSSTWAPKLATLTGFGKQAIRMMLFVFPVLFVYVAACVYLHLHKDGDHDTRSRTSRRMAAWRRPLLVRGPLGIVTPIELAFLLMLLALLVWFYSAYVTAEFAKIRIKLPGEKLWQARLEKAARRLGTAGSLCVALLFLPVARGSALLPLVGLTSEASVKYHVWLGNLAMVIFTAHGLCYISFWASTDQIDEMLKWAKTRMANVAGELTLLCGLAMWATALPRVRRRMFELFYYAHHLYVPFVLFCALHVGVTAFCFVLPGVFVFAVDRCLRFLQSRARVRLVSARLLPSQAVELNFAKSPCLKYSPTSTLFVNVPSVSRLQWHPFTVTSSSSLEPDTLSVVVTSRGSWTHKVYETVSSLPPSGGHLGVSVEGPYSAADASTRFLGYDSLVMVSGGSGITPFISVIRELVHQSGAAETVQAPRLLLVCVFKTSAELDMLDLLVPISGGLSAISRLDLRIEAFVTRESEPSAARKQPGREIWFKPWPSDVPVAPVLGSSGWLCLGAVVASSFAVFLVLVAALQRFYIYTVDGDTNHVYPWAARTMLNLLFLGVSVAGVTGAAFLWNNRGSADEEMKVKSVDGPTPGMSPVALFHWAGGVERELESLPAQPLLQATNVHFGHRPDLKRMLLGIEGENVGVMASGPQGMLEEVATICSSGPPSNLHFQCISFTW >cds.KYUSt_contig_2323.15 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000299.1:77954:78484:-1 gene:KYUSg_contig_2323.15 transcript:KYUSt_contig_2323.15 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAFQPGVERRRRRPTIYGTGRWSQAGAGGRRQEAALLGQRGRGGGGFRRSSLGQAVGDVQLLWSWTSASSCVYCGERRRGSGGFRCDQPGTSCRRGAARVVADVRVKLRPPRVEGSSSAGEEQQGRIDTDEEAASSGGLRCHETAILSSWFPTSLLPLLCVILRFLLLMECLR >cds.KYUSt_chr6.30581 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193825925:193829071:-1 gene:KYUSg_chr6.30581 transcript:KYUSt_chr6.30581 gene_biotype:protein_coding transcript_biotype:protein_coding MNALLGKLGTLMGEEFSKLKNLRKEVKFISDELGSMKGTLEMLGDVDNLDPQTERWRDTLREMSYDIEDIIDDFMHHIGEKSKNRWFARKIARLLKEFRARYRIASRIKEIKALVLETSARRQRYKFDIPSSSDVSIDPRLATLYENAANLVGVQAPMHEIISWVNDKEKQLKVASVVGFGGLGKTTLVNENTPPLSEFKFLRVLFFDLDHATVDLIGLCKLYQLSCSSVPSDIVYLAGLVHLNVDTWLPNGIGNMKSLQHLCRFDILVNSLYNIRSLRELTNLRYLIISCDYYAEDMDSRMDALRSSLESLCSLQCLFISLTGFIDGLVPLFPPLAPYRLERLIVSWKCRFSRVPRWMGELCNLRELQCTFCELLNDGVGILAELLFLTDLDLKVGRSIREPIIISGEGAFPALKRFKLRLSRASYLTFQAGAMPKLEMLKLMFNAHAPEQNRIAPTGIEHLLALEELHAEIDCDGIRESEKSSVESGLMSAINMHRNRPRVIIDLWDNN >cds.KYUSt_chr3.34889 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218760201:218766254:-1 gene:KYUSg_chr3.34889 transcript:KYUSt_chr3.34889 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWRSLPFLLHCVNAGAHLLLALAVAGRQLFARRGKDTAAAGSSIGAVGGFRGYGVAVRTTWALAAFELLLAAYSCYAGTGAGWALDAVADQADAAARAVAWLLLAAYLQFEFRRHRRREERFPTPLRFWWALFLLLSVLTVAVHAATSLDGIPVPAHSWALDAVSVLAAGVLLVAGLLGNREADGSATEEPLLLDDTAGENNAAYASAFAGAGFLSVITFSWMSPLISVGHKKTLGLDDVPDLDPGDSVAGLLPSFQANLESLASDGTTGPKVTAFKLAKVLVRTFRWHVAVTALYALVYNVATYVGPYLINSLVQYLNGGEERLATKGQLLVLAFVAGKVVECLSQQHSCFRLQQVGIRARSALVAVVYEKGLALSCRSRQIHSSGEMINIVGVDADRIGNSSWYIHDLWLVPLQVGMALFVLYSNLGLASLAALGATVLVMLVNVPSGKVQEKLQQKLMGCKDVRMKATSEILRNMKILKLQGWEMKFLSKIIALRKTETDWLKKYLYTSTMVNFVFWSAPTFIAVVTFGACILMGIPLESGKVLSALATFRVLQEPIYNLPDRISSMIQTKVSLDRIASFLCLEEFPADAVQRLSSGSSDVAIEVSNGCFSWNASPEMPTLKDLNFQARQGMHVAVCGTVGSGKSSLLSCILGEVPKLAGVVKTCGTIAYVSQSAWIQSSKVQENILFGKQMDCEKYDRVLELCSLKKDLENFPLGDQTVIGERGINLSGGQKQRVQIARALYQDPDIYLFDDPFSAVDAHTGSHIFKECLLGALALKTVVYVTHQVEFLPAADLILVIKEGRIAQAGTYNEILGSGEEFMELVVAHQDALAAIDGIDVANGASEAFSSSGVTSQSRSLASAEKKVKQNVKQDGGNRQSGQLVHDEERERGRVGFWVYRKYLTLAYGGALVPFVLLAQILFEVLHIASNYWMAWAAPASKDAKPPVSLYKLIYVYVALALGSSLCTLVRALFLVPAAYKTATLLFNKMHISIFRAPMSFFDSTPSGRILNRASTDQSLVDTSIANKMGSIAFYVIQLSGIIAVMSQVAWQVFVVFVPIFAVCLWYQHYYIDTARELQRMVGTCKAPIIQHFVESITGSTIIRSFGKEIQFVSTNSHLMDAYSRPKFYNSGAMEWLCFRLDMLSSLTFAISLIFLINLPTGLINPGIAGLVVTYGLNLNIMQVWLVSSMCNLENKIISVERILQYLSLPEEAPLSISGDDLPRNWPSEGEIQLHNLHVKYAPQLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQSLFRIMDPTVGQIIIDGIDICTIGLHDLRSRLSIIPQDPTMFEGTVRNNFDPLGEYTDNQIWEALDHCQLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILRRTKILVLDEATASVDTATDNLIQNTLRQQFSGSTVITIAHRITSVLHSDIVLLLDNGLAVENETPAKLLEDKSSMFSKLVSEYTMR >cds.KYUSt_chr2.52992 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330644913:330656321:1 gene:KYUSg_chr2.52992 transcript:KYUSt_chr2.52992 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAYGGHNGSESRQTKEAVVVGAPEMGLGAMGVVHKVAPPPAQSTASKMKGKVKETFFPDDPFRSFKGQPQRAKFLLAVKYLFPVLEWAPGYSLSLFKSDLIAGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPSAEPTLFLQLAFTSTLFAGVVQASLGILRLGFIIDFLSKATLVGFMAGAAVIVSLQQLKALLGIVHFTTQMGIVPVMASVFQHTNEWSWQTILMGACFLVLLLTARHVSIRWPKFFWISACAPLGSVIVSTLLVFLFKAQNHGISIIGQLKCGLNRPSWDKLIFDPTYLGLTMKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNVVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAAYHIWKMDKMDFLVCLCAFAGVIFISVQEGLAIAVGISIFRVLMQITRPRMMIQGNIKGTDIYRNLHQYKEAQRVPGFLILTVEAPINFANTNYLNERTKRWIEDESSSGNKQTELHFVILDLSAVPAIDTSGIAFLIDLKKTTEKRGLE >cds.KYUSt_chr3.41399 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261160009:261161294:1 gene:KYUSg_chr3.41399 transcript:KYUSt_chr3.41399 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQYSSMAAFNKLGSLLRQSPLTSRASTASAPALFNAARYMSSKLFVGGLSWGTDDCKLRDAFSGFGEVTEARVITDRETGRSRGFGFVNFAEEEAAKAAVTGMDGQVSRNLISALLLYSRVDGERDLQAVADMAAAVVDMVEVVEVVVVAMAEEATEVVVVTVALVEARTPTEKMTDYLKLQ >cds.KYUSt_chr7.38024 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236999078:237000478:1 gene:KYUSg_chr7.38024 transcript:KYUSt_chr7.38024 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGDSLVDVGNNDYIHTIVKANLPPYGRDFEGNVATGRFCNGMLLSDMIGWSHGLIPLSQQLKNFKEYISKLVAVAGSSQAQSIISDSLYILSSGSNDFAFNYYINPLLYKTLTTDHFADLLVGISIRTVTQLYGMGARRIGVFSVPPFGCFPLAITVFGFGSSKCVPGLNDDALRLNKKLNAAIDLLSKQLHDLKIEFLDIYTPVYILSTSPGSQGFTETRRACCGTGTVESTILLCNPKSTGTCPNATTYVYWDVLHPSEAANKVIVDSFAQSINQLVI >cds.KYUSt_chr5.33313 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211425725:211426000:1 gene:KYUSg_chr5.33313 transcript:KYUSt_chr5.33313 gene_biotype:protein_coding transcript_biotype:protein_coding MEFELVASQHGALLKVGLFVLVQALVYLILAQSSSVFSSTKTLGKFRPSRSLSARRMVALLSDLPLGGEPSPRAVSGEPSPVAVPAHKKKD >cds.KYUSt_chr7.14547 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89857567:89858215:1 gene:KYUSg_chr7.14547 transcript:KYUSt_chr7.14547 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVIRADLISKKCMAKILSTVAKLEEIKSIDMDQDKCTLTVVGTVDPVRIVQKLRKARFAADVISVEDDKPKEPEKKKDPCQEACEKACSYNEGPWYRYGYTPGCYSSPYAQPSSQYYGHGYGMRPLPPMGYVCYEEISHGGGQECVIQ >cds.KYUSt_chr7.8074 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48837665:48845620:1 gene:KYUSg_chr7.8074 transcript:KYUSt_chr7.8074 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQQQHHQQPATTSAAGSAVTVAVAAPGCEGEKKPPAINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQVAASMQKDVDAHVPNYPNLPSKLICLLHNITLHADLETDEVYAQMTLQPVTSYGKEALQLSDLALKQARPQNEFFCKTLTASDTSTHGGFSVPRRSAEKIFPPLDFSMQPPAQEIQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDERQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKAVYSNQLSLGMRFRMMFETEELGTRRYMGTITGINDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPPFFGAKRPRQLDDESSEMENLLKRAMPWLSEEICIKDPQTQNTVMPGLSLVQWMNMNMQQSSSFTNTAMQSEYLRSITNPSMQNIGSADLSRQLCLQNQFLQQNNIQFNTPRLPQQMQPNNDFSKATLPLNQPGGSTKSQEQTQEASNLQRQQQSMNFALPLSQAQTSLAQAQVLVQNQMQQQPHISQNQLPAVSQPLLSHQQQPQQQQQQDHQQHQQQKFLHQQQQQLLLQQQQLQQQQQQQFNKMPTQLPNLPNQQLQLSDQQLQLQLLQKLQQQQQSFLSQPGVTLAQLPLIQEQQKLIMDIQQQLSNSHSLPQQQMMPQQSTKIPSQAALLPAPVQADTQQKLPQKQALPADALEATIPPTTSLKFSSANGSPLRMPGATHSVVTEEIPSCSTSPSTANGNHLLQPVPGRDQYCSMINTEKATAPMSVPSSLDVGTGTPRMTKEFPKLNSNVKQSMMASKLPNAEASPQNFVNNAPPTDYLETASSATSVWLSQTDGLLQQNFPMSNFSPQQLFKDAPPDTEIHAEVPTNNALFGIGNDGHVGFPLGTDDFLTNGIDAVKYQNHISTDIDNNYRIPKDTHQEISSSMVSQSFGASDMAFNSIDSGINDGAFLNRTSWPPAAPLKRMRTFTKVYKRGAVGRSIDISQYAGYDELKHALARMFSIEGQLEERQRIGWKLVYRDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLDGDLGASVLPNQACSSSDGGGNAWRARCDQNSGNPSTSSYDQFE >cds.KYUSt_chr5.33607 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213288678:213291467:1 gene:KYUSg_chr5.33607 transcript:KYUSt_chr5.33607 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKTYHMMSTASPRPILNQKELKMEKPDETMTTGVVATLLKFVRKCVIAVLSYGPMPEHIAFIMDGNRRYAKVRSMKKGTGHRMGFSALIASLLYCYEMGVKYITVYAFSIDNFKRDPSEVQYLMELMEEKINELLEKRDVINKVNCKINFWGDLDMLTEPVKLAAQKLMESTAGNTGLVFSVCMPYNSTSEIVNAVNELCAERRDIAHKEHLGNCNGHAAINGAHSDISIADLDRHMYSHGCPDPDIVIRTSGEARLSNFLLWQTTFSHLQNPDPLWPEFSLRHLSWAILQYQRVYPYVEQNKKLAKKQL >cds.KYUSt_chr7.17708 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109652431:109654872:-1 gene:KYUSg_chr7.17708 transcript:KYUSt_chr7.17708 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDVEATDLEDVKVSKDKDGPSRFLRLPNMQNKSLLSGLAYCIASCSMILVNKFVLSGYGFSAGISLMLYQNIVSVTIVSTLSLSGVISTEPLTWKLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKHHGTQVWISLMLMIISAIAGGITDLSFHKVGYTWQILNCFLTASYSLTLRHVMDSAKQATKSGNLNELSMVLLNNALSLPLGIILVLGFNEVEYLLETPLLRMPMFWLVITASGVLGLAISFTSMWFLRQTSATTYSLVGSLNKIPLSMAGILLFKVRTSMENSISILLGLLAGVFFARAKLRDSSQS >cds.KYUSt_chr5.27767 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175795079:175796566:1 gene:KYUSg_chr5.27767 transcript:KYUSt_chr5.27767 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALMTEIIKKITRTSDLNSLSLVSKELYKTEGNQRGAIRVGSGLCTATEALTSLCARFPNLRKVEIDYSGWIPGHGNQLDNKGLFVFSSQCSSLIDLTLSFCSYIDDSGLGCLAYCKTLVSLRLISAPQITSIGLFSVAVGCTSLSALHLIDCEKIGSVEWLEYLGMDGSLEELVVKNCEGINHHDLLKFGSGWMKLQKFEFERKRGRFDRLPGDKVYDPLYDAHGMDIYDFCCESLKDLRLAHIETWPEIGLRVVLGKCKALENLCLQYVRALNDNDMIALSRSCSNLKSISLLLNLQRYYIDDGYCETRTSFTDNCLYALAQNCRMLQTVDLKFEGCSSDWPSEIGFTQKGFLALIQSCPIRVLVLNTANFLDDEGMKALSSSPHLETLELIFCSAVTDAGMFFIAHTLCLRNLTLRVCHQVTDVGVAELGRERKLESLVIEYCGEISLQAAQGVAKSVHYSRDCSVLQPPLCGKLVWVAPVDEGSSV >cds.KYUSt_chr2.53330 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332760308:332764201:-1 gene:KYUSg_chr2.53330 transcript:KYUSt_chr2.53330 gene_biotype:protein_coding transcript_biotype:protein_coding MKRELCLLQHRSNHGHVRTNKPPPHQDCNPRGTQRRADHLTELEEPKEEGSCGDASKKGNGAGVRHRHRQRQKVLQSFHPDLGATTPGARARNLTKLEIDLSDNSNLVVDVNIPGWTPLFQLKELLLSSCDLDKNIISEPHFLRTQHHLEVLDLSNNKLSGQLPANISSMFPSLVVLDFSDNSLFGQIPTSLCEISFMSFVDLSNNKLFGEVPPCVFTNYPMLSTLRVSNNKLGGVVFGGMSNISIESELLLDGNEFVGTVPHYLSGENLKVIDLHDNKLTGKLNTSLWSMSSLLVLNLAGNSITGKISPEICGLTSLRLLDISSNNFTGSVPNCSFMSLNFVNMSGNSLSGDISLLIPNASSLITLDITQNQFTGNLHWVHYLDNIRLLLLGGNKFEGQITPKLCKLLYLRIIDLSHNRLSGSLPECIANISFIGATDDQIFKLAHEASLESYSYSYSTSYALRGFSFATKGNIYTYGRSFFVSMSGIDLSANMLNGEIPWELGNLSHIKSLNLSYNFFVGPISTTFGGMQEIESLDLSHNVLSGPIPWQLTQLSSLGVFSVAYNNLLGCIPNSGQLGSFAMESYQYNTNLQNITQGKMCALDRDPVP >cds.KYUSt_chr2.618 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3763293:3772794:1 gene:KYUSg_chr2.618 transcript:KYUSt_chr2.618 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTFVRDEEGEEAVQRLIYESARGPEPDDGDDNEYPDFLNDFGEGLESEQVRKDNEVSITNSGEGWTQRQGLIVAKGKWKEKIEAIVPKLVDAIEKVEKGEYIPDRENDELTLACGNPEHVGRDPQADAAPSQRRSSVGSSHLDGCGGSYPVDYVTEKTDCELHMLIRTAYVKVVVGYVYPSEDGAMHHHMPIPPGCVRVGVDEVVSGFEKVELDIPRGEDERTLGDVKHGFALWPKKYVVLLQRPPTPTHEQQMPSTPPGGSPGEQPSPHLPERDPGVSPPSRDPPRKTASVKRNGTPPRKKARKEKQLPPPEKLPWEKTPEENAEAVQAEVKKFFAPKVPEIPFEKTLDREKVVRTVDNLYDPVPSPPSDYARSIERSYDKMIEATKPVKSGIREIKGIHSVYQLGQQPVQSVAPLKVFDGKTVQSSRQDATDYALAERAYQFVQGKDLVENLRKVPTCMRNLHSWYLKASKEGIETIMVRVREEHYFQEYCVNVDFAELFQLYNLRALDKSIIGCYCPSKMLECKRDDITDIGFIDPNTMHVKTIDDPLYNKDTPQTLLRFHFILLVINLEIGEVEVLDSLSKEKDLYVSCFLMLGRGIKNCLCAKEETGMEDEQSARATATTSGLGKHDNGVHDNREDLGSTSNGISSLEQPLLRRNTTLTANHLAIVGAKVSHIESLDYEIIENDLFKHDWRSRSNVEVLQYIFLKWALAFLVGLLTGAIASLINLAIENISGFKMIHMVQLVRDKRYWTHLRGRRALCGLCAHRRWPGHPRDQGLPQRRRHAQHVWLLHAQIIGSICAVSSGLDLGKEGPLVHIGACLANLLSQGGAGRFRLRWKWLHYFNNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEGGLIIFDVGDVTVNYHLNDLIIVTLVGVIGGLLGALYNYLLHKVLRLYSLINGKGRMAKLALALTVCVFTSAGLYVLPFAVPCTPCDPALGAACPTNGMSGNFKQFNCPAGHYNDLASLLQATNMDATRNIFSTGTSGEFRLDSLLVFFAIYCVLGLFTFGIAVPSGLFLPIILMGSAYGRIVALVLQDVAHIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPMTMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPNPEPWMKDITVGELAAAKPRAISLQVVERVSTIVHVLRNTGHNGFPVVDRPRPGLSELHGLMLRSHLVAVLNKRWFLTEKRKTEEWEARERFSAVELADKSCKLDDAKLTQEEMDMYVDLHPFTNTTPYTVVETMSVAKAVVLFRSVALRHMLIVPKYQGPELKIFAYLADIDRLSTRANLFFKNCAPSAICAACPTEETGRHIFFDCTLASGVWARLGVDIPADRFSFWDLAQPRDFPVDVWRVGMAVLLWSLWKARNDLVFNNRNCTAQLVIRRACEDLAVWRWRFKEEDRLPLDSLRSFFLSCNM >cds.KYUSt_chr2.54075 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337505866:337506081:1 gene:KYUSg_chr2.54075 transcript:KYUSt_chr2.54075 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLQPAASAASKPAMPAAGAVKPPASGAAHRPQARRLSGGLTESTEKAKMIRRAEKSENVMHLVCWGPN >cds.KYUSt_contig_662.466 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:2554256:2554699:-1 gene:KYUSg_contig_662.466 transcript:KYUSt_contig_662.466 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNMGSVGNCIWFVIIEPPKEEEDCPGETMVKVWAMDRLSQEGSWKLHSEFKMQTIWELDGFRKHGLPRTVPKFPILREQDHGILYMLLPEPYNGGPSYARLVGIDLSSSRAGMRLVVHRRLVIPWMDRPVVLDSDFFRPGSNVTV >cds.KYUSt_chr4.7207 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42624363:42625857:-1 gene:KYUSg_chr4.7207 transcript:KYUSt_chr4.7207 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRLMGRPKGTLPVRMRHAQSSAVRTTPATALQHCCVGEPPPLVLPVTKLRHGVNVLISDAVHDVATACPDTDIATDDSNSTAMATTAALTIAPSRLMPPDFWHTLSTRSMGAGYDVAALGLGLALVAALLACQCAAQGQAPAPAPEPAGSGGSGCMPELVSLSPCMGYMSGNATAPGATCCTAVSGVLGSSPRCLCMVLGGTAATLGVAMDSARALQLPAACRVQPPPASQCDSMGVPAAFSYPSIAPAESGSKATPTLQYSHGNVHEAGRTVVFAIGVAAIALIRGA >cds.KYUSt_chr2.38819 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240648059:240649834:-1 gene:KYUSg_chr2.38819 transcript:KYUSt_chr2.38819 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTYVVMNVVLLILSYTKEKDAIIFTHPPAGSFNSTGLVISSKLPRFSDMYNLSIASADPQSISAHKTVQFTKSVTKWFTKDGVLVEGLFWKDVERLVDDYNNERKSK >cds.KYUSt_chr5.9522 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60524960:60525519:1 gene:KYUSg_chr5.9522 transcript:KYUSt_chr5.9522 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHMKMAILRQEHTFRQQVHELHRVYKVQKQLMKDMQVVKMNPAQARKDTQTKPWLDTDQQQYDVNSDKKKAPFIEDFDLELTLATGSDKTKQEIIFNSESGATLSSSTSAESESGQQFPDSSVNLSFQNESNRHDDQLMQSPWLYQCLSLKMA >cds.KYUSt_chr3.43767 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276165558:276167449:-1 gene:KYUSg_chr3.43767 transcript:KYUSt_chr3.43767 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYDASSDEEDAGEPPAAGPTPPPHLSPAIGPQPRPPPPSPSVRAAPQNPAPPPAPSQNVVSTSSSNVSLPTPSFELPDVADLFDSPSLPSRGAAAMVGSSSRKRESNGSAIPPRSKFPRAQSAQPRGGRNAATSTLVPPQLSGRSNVVTEDMGKLFVARRTD >cds.KYUSt_chr1.4300 pep primary_assembly:MPB_Lper_Kyuss_1697:1:26327939:26330549:-1 gene:KYUSg_chr1.4300 transcript:KYUSt_chr1.4300 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTRLPPRVTVLSPFAEDSSPTKVGVEVITTITADEYAAPGLKTIFSFVVPDQKSGKVELQYLHDYAGINASICLTANPVVNLSAAFGNSALAVGADVSLDTATKNLTKYNAGLSYTNKDLIASLNLTFMFLVQRPQLKRNQSSPPSQVLEGAWMGRPLKIWKVPKKDAKEKEDPKKHERKFSAFTGAAQAGMPYWLI >cds.KYUSt_chr7.36723 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229498742:229504241:1 gene:KYUSg_chr7.36723 transcript:KYUSt_chr7.36723 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSSRHRQESGCGCWAVLTRGLRGSCFRPAAAAAATPAGAAVKAGLVHDAAEMTYLNSSNRDLGDQFQRNFGDENGVNASTEKKTPHKLLEFTFQELKSATVNFRPDSILGEGGFGYVFKGWIEPDSTAPAKPGTGLTVAVKSLKENALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDEQRLLVYEFMARGSLENHLFRRTLPLPWPYRMKVVLGAAKGLAFLHVGPKPVIYRDFKTSNILIDVDYNAKLSDFGLAKAGPQGDKSHVSTRVLGTYGYAAPEYVMTGHLTTKSDVYSFGVVLLEVLTGRRSIDKKRPLGEQNLVAWARPYLSDRRRLYQLVDPRLGLNYSIRGVQKERLVGDVQIVMPFFPFLDAAPVTDVATPRPTSPPSGPMTRARVKALHDEVNSLLTTLDLGTPLDGLLPHADVLCVIRYKEHQEHEEEDTPWSRGGEEQLDAKMDMELDRKSPEEHNEEKLAGRSRTRSDRPPDRATRSSTRSDRPPDRATRSQTGFPACAIRAPSRGPGTSSGYRPVPGPVWTGLPGPRPGLTGLLTGLHD >cds.KYUSt_chr1.26294 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158424537:158424968:-1 gene:KYUSg_chr1.26294 transcript:KYUSt_chr1.26294 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISSQKIQIAVLDMITEISSNKRSAIALESVLKKICGLVVGIAYSGLIGLREAAIRALTGLACMDADLVWLLLADVYHSLNQRDMPLPPIQDLVELCDLLPPPMSSREYLFVQYGGDGVRYDIDPSSVHEVFKRMEDAVFK >cds.KYUSt_chr2.38698 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239839218:239839706:-1 gene:KYUSg_chr2.38698 transcript:KYUSt_chr2.38698 gene_biotype:protein_coding transcript_biotype:protein_coding MVATPIQLQTGRLRFSSPSTASRGRFAAVRVMASAETVTTEKLGVRVERNPPETRLSELGVRQWPKWGCEQSKFPWTYSAKETCYLLQGKVKVYPEGEEGFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYKFE >cds.KYUSt_chr7.1394 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7646368:7647566:1 gene:KYUSg_chr7.1394 transcript:KYUSt_chr7.1394 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLSIWSQTLGGASVDAAAAHTRAGKNYIAACRGGSSEARRILKSAPHLLPDQGAPDRRRCGRVGWHSGGRAREVARGGGMSDLGVEPEIELELFLLPAGFLYFFATAGAGSPGRRAPGLRLRPEAGSAAVAASGGLNADGQPAALLEGLVAAPLDALIPAGQLHCSLSAGQLLLEVVLKCPTSCRSVPAFSSNSPAPTAPPIGPRGLDRLLYNPVVLIEGLGSLSLPLVASGGHAEVPRCFAGPELASCCFFGVR >cds.KYUSt_chr5.22253 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145256142:145257185:-1 gene:KYUSg_chr5.22253 transcript:KYUSt_chr5.22253 gene_biotype:protein_coding transcript_biotype:protein_coding MLSITGFLSIDCGLDAARSPRLDSETNITYVSDGSFVDGGENHKVEANQNNSAKSVISLRTLRSFPYGIRNCYTLPTQSGSRYLVRMEFMQGNYEGANNASSMSFDLHLGSNYWGTFHVYDATYYWAFEAVFTAWASWVPVCLVNTGNGTPFVNTLELRLLGELYPDVNIDQPMAMFDRVDMGVDDFQSVLIIMYKVQCAVL >cds.KYUSt_chr5.18247 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117851891:117853064:-1 gene:KYUSg_chr5.18247 transcript:KYUSt_chr5.18247 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTYGALKSNAPEMVELPLDYEASSLQEEQCNGQGHASIVENADFMPVLEGADGGSVQNTNIISDQGNAEHGSPRSGDGEDSKVQATSSDVGDDPVGPLP >cds.KYUSt_chr4.3591 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20406107:20407417:-1 gene:KYUSg_chr4.3591 transcript:KYUSt_chr4.3591 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRCASRLLGSAASSASAPSLPPSSLPAVHDAGFVAPSGTTPSSLVPCELSLSPWDLMDQLHLSDPQEENIFFQTYSVAVAWRPSWLFQPSMPATGSSKEEEHKQEDMAVDMIDGVTFELQVCKKNAKPKRKRATDVGEGFYYYTGFGPSRSKRLQISNSSAAVPAEPQPVAGQKEEAPPKEEMHIDSSAGQAQADEPMSDDGTAGIVGYDEESSDEDVVKFRSLKSLMYS >cds.KYUSt_chr1.8418 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51822513:51823931:-1 gene:KYUSg_chr1.8418 transcript:KYUSt_chr1.8418 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSVVTLNVGGELFQTTAATLSRAGASSPLASLAPSPPTAPHFLDRDPRLFALILSFLRRGRLASPPPSPALLAEARHFALDGALLASLSPASAFSPLSLRPAALLPLTGRVAPSAVAISPSPSTASLLAAHGGVVTSFDAALASRTSVLTPLPAVDSLVAVSPALALAGARDFPGVHLCRFPRDTPATASEALSWPDSPSASVLSLAASQAAPQCLFASFESARRNSSAVVAFDLNSLTPVAEIGRKEVFGADVEAAIPPTKLGWLAGHSLLLAAGSHSGPAGMVGDIRLWDVRASSTVPVWEVREKEDCFADVAASDSLSALFKVGAASGEVFMADLRRLGGDGVGVEPWVCIGDEKRASAATASRRKDGNNCRVECYRDWVFVARGADVEVWSQVELTSEAGTKKAMRRNWVGNGPSMSTAGSEEGVMEKAKIVSWAFGGSRMALARADQRSIEVWDSASGAMSVNL >cds.KYUSt_chr1.8005 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49134507:49139383:1 gene:KYUSg_chr1.8005 transcript:KYUSt_chr1.8005 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYLAASNKIINIVIMVERKEEGHGIAGRATLDLTTRELAPEGQAGEESHGRGRSAHMPNIDEGGGGALGGSIRRNYDLLLRHNKLHIVGEVRLAVRHCLLANRGVKIENLRSAMGHPQALAQCEQTLTKLGIDHREAVDDTAGAAKDDTDNVTRFMMLAREPIIARTDKPFKTSIVFSLEEGPGQLFKALAVFAALRKINLTKMESRPHNKRPLRVADDNCSTPLKHFDYLFYVDFEASMADPNAQNALSNLKLTSWTLFRNANRVYNLENTMKYIGDGIPIGMSYGGSPVTNTAGTDAGMVNNDMIVMRGTISDQNSFEKRNRKKRAAKATTHERNMPELVIDHFIEAFNNKHLRVNRFKKPNQ >cds.KYUSt_chr6.10012 pep primary_assembly:MPB_Lper_Kyuss_1697:6:61736866:61737395:-1 gene:KYUSg_chr6.10012 transcript:KYUSt_chr6.10012 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHHRWRVASAGRALVDESVEARAAGRGSPEHWLMACEAGEGVSDELWQMNPSRADRKLSLTSIPVDRSMSIYQVRHDRNLTPPGTILNITSERTILAPQRGGRRQFLDADCVISFSRLPIVCAGDFQAEDVGEIGSAGIRPA >cds.KYUSt_chr1.28807 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174260000:174262575:1 gene:KYUSg_chr1.28807 transcript:KYUSt_chr1.28807 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQLRPFHSLALPAARTSTNPNWLHLPAKPRAGSRSARLALLVCSASNPATPAAPSTSSSSGDKNGAAARWAAWIPRAAVGGVGPEQVLRLISGAAATPICQFVDSPRTFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVAGLALLSMWVLPNHVWKDQLGRVTLLSGIIFIMLGFGADGAPSLLQTRTPPPSVLGVPNIPCSLSGYSYTIMKLGPLQFTRKGLAVASTSACLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKLIGVPVPEIVLTLLLSLRFINLVFDEASVG >cds.KYUSt_chr1.28144 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169757768:169761342:-1 gene:KYUSg_chr1.28144 transcript:KYUSt_chr1.28144 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAARSLALFSSASLGLGRASSRLAVSSSSSGLLPRTTLAVRGRSAFAATAVVMGKSGTADAEADAGMDAVQRRLMFDDECILVDEQDNVIGHESKYNCHLMEKIDSGHALHRAFSVFLFNSKYELLLQQRSTTKVTFPLVWTNTCCSHPLYRESELIEENSEGVRNAAQRKLFDELGIQAEELPVDQFIPLGKMLYKAPSDGKWGEHELDYLLFMVRDVKLNPNPEEVSDVKYVNREELKQLLKKADAGEGGIKLSPWFRLVVDNFLMGWWDHVEQGTLKEAADMKTIHRL >cds.KYUSt_chr4.22292 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140041236:140041604:-1 gene:KYUSg_chr4.22292 transcript:KYUSt_chr4.22292 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPADCDGRTGKKLGILEWNCGLSNPVDEIKRQEKKLYVRCHEGKMQSRPRMKRGRTEVEKKRLPQTNLSIFLRGATGLLHKLEESGRTKGQDLGG >cds.KYUSt_chr3.31406 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197221038:197224383:-1 gene:KYUSg_chr3.31406 transcript:KYUSt_chr3.31406 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKGSRQVPTWWPRSRQRKHRPRSHLLKARLRSRASFLVDGDGDGKVRPKRRLEPTCCQPPSSRGLAARAGGARGEAQESSNICESAAGAGVLRELQRSSICTEEKTVGSNGDGGRELELEVESMEVSTMEEALPEPRKKGGLRTIPFIISNEIFEKVASFGLHPNMILYLTTRYQMTAVDGAMVIYLWNAMTNFLPIFGAVLADVYLGRFRVIALGSIVSLCNLGCAPLNLSLVRTWNLHPALLLGRASGHQEISLPVPNVNTMLLSFHACLLGEHSARKPRAWAFFSRAGATRSIWPASLARLPDMPPPDGPLDELPCAAPSGRGLLLLPLPGQALDALLSARGLAAGHLAPVLGAVVGVDEASEPVAFPSVQVPVARSSACSELLLRDNSKNIAMYRFRRVPNP >cds.KYUSt_chr1.27783 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167438407:167439936:1 gene:KYUSg_chr1.27783 transcript:KYUSt_chr1.27783 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGPAAASQDLQLPPGFRFHPTDEELVTHYLCRRCAGLPISVPIIAEVDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRSAGAGYWKATGADKPVGTPRPLAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSATARKKNSLRLDDWVLCRIYNKKGAPERPSAGAKASPVHAAVSSPPEQKPALLPPPYAPPPFADLAAYYEVRPSDSMPRAHADSSCSDHVLTASCGSDRPAEEVQSQPRISDWERTFATSGPGVNPAGSMLAGQQLDTAGGIPAGDPLLQDILMYWGKPF >cds.KYUSt_contig_1181.130 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:849796:855234:1 gene:KYUSg_contig_1181.130 transcript:KYUSt_contig_1181.130 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHIATEVTKYDRFFEQRRNAAGELGHSTYQKVTAALRMLAYGIPADLVDDHLAMGESTSILCVKRFVIAIANVFGSTYLRAPNAEDTTRLLEMNANRGFPGMLGSIDCPLVEFEANGHKYNYGYFLADGIYPRWQTFVKPIIQPREDDREKDVDHTHYDLMGVPVQCQGPEIYAVCIGKVLVPIAMGTEEMEAVILAGVLRRAGADVTLASVEDELEVEASCGTHIVADKPIAACADLVFDLVALPGGMPGSVRLRDNEILQSITMAQIDADLERSTEVNKVEWSFDHNPQVLIPIANGSEEMEIIMLADILRRANINVVLASVEKSTSIVGCQRMEIVADKCILGASESKYDLIILPMCLGLKLNVTLLDTAKH >cds.KYUSt_chr1.8322 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51219502:51221559:1 gene:KYUSg_chr1.8322 transcript:KYUSt_chr1.8322 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMAATAASSSSSSVSRAWAAVRRCPSPSLALRHAAFSSSASCCRVAGGGAPVLPLSIRGGRLLLPCALLPEGGKNGPSTRRMTVAAAAAAASPSAEGGGKPAIPRTVQLGAMILVWYLLNIYFNIYNKLILKAVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLQQYAKILPLAIIHVMGNAFTNMSLGKVAVSFTHTIKAMEPFFSVLFSALFLGQAPSILILGSLVPVVGGVVLASMTEVSFNWIGFWSAMASNVTNQSRNVFSKKLLADKEVILPL >cds.KYUSt_chr3.39299 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247561182:247562556:-1 gene:KYUSg_chr3.39299 transcript:KYUSt_chr3.39299 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGTTVVAEQTAYEGNFRDIAAQCLQRLPFARDDRFTYACDGHTFTFLVHQGYAFCVVATEAAGREVPLAFLEMIKEDFNKRYAGGKAATAAANSLSRDFGPRLKEQMQYCMDHPEELSRLSKVKAQVSEVKGIMMENIDKVIDRGEHIDGLVTRTEQLHEQAADFRQQGTRIRRKMWFQNMKVKLIVLGIVVALILIIVLSICHGKCH >cds.KYUSt_chr7.14253 pep primary_assembly:MPB_Lper_Kyuss_1697:7:88045075:88045485:-1 gene:KYUSg_chr7.14253 transcript:KYUSt_chr7.14253 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr5.6209 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38369901:38374163:1 gene:KYUSg_chr5.6209 transcript:KYUSt_chr5.6209 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGFVVLATFAAFTLKRGKGPNKDNGQASKRKDRARGSEHGEKEEEAEEVKTISGIINSAPSVDDDDDDMFSEIESLLGGEIDIPIPSDRFDVKERSRYNAHMANNAAEMERLRSLVQELEEREVKLEGELLEYYGLKEQETDVTELQKQLKIKTVEVDMLNITISSLQAERKKLQEDVVRGAAAKKELEASRTRIKELQRQIQMEANQTKGQLMLLKQQVMGLKAKEEEVAKKDAEIERKLKKLKDLEVEVLELRRKNKELLYEKRDLMVKLDAAQGKITESDVVAHARDEINNLRHTNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYQIPSGKMSARDLNRTLSPKSQERAKQLMLEYGSERGQGDTDLDSAPSSAPSSPRSEDFDTMSIDSSSSRYSFLSKRPNLMQKLKKWGRSKDDSSYLSSPTRSLTSGSPKRSQKPKGPLESLMIRNAGDGISITTFGKREQDSNEMDDANIASSFQLMSKTVEGFADEKYPAYKDRHKLATEREKAVKEKAEQARAQRFGGGYSSALVPSPRAALPPKLAQIKEKKVPAANVESGEQSSDNQNNPLAVTQLKLAQIEKRAPRVPRPPPTASAVASGATNTAGGAPLPPRPPGGPPPPPPPPGRPGGPPPPPPPPGSLSKSVAGGDKVHRAPEVVEFYQSLMKREAKNTTSLGSKTSNVSDNRSNMIGEIENRSTFLLAVKADVETQGDFVESLAGEVRAARFVNIDDVVAFVHWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYTDLQKLENKATSFADDPKVPCEEALKKMYSLLEKVEQSVYALLRTRDMTSARYKEYGIPVDWLSDSGKVGKIKLASVQLAKKYMERVASELDAMQGTEKEHNREFLLLQGVRFAFRVHQFAGGFDADSMKVFEELRSKMSTQAPAPPPSET >cds.KYUSt_chr6.29488 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186884037:186887812:-1 gene:KYUSg_chr6.29488 transcript:KYUSt_chr6.29488 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLIVAVITWPRKAVNTGLSCWNLCIFFDKPNVMHLVLMLLRTDGCSSHDERRDHRLDFEISEDEKKTRMGSLKKKAIDASSKLRHSLKKKNRRKSGSRVLSVSIEDVRDLVELEAVESFRQALILDELLPAKHDDYHMLLRFLKARKFDIEKAKQMWTDMLQWRKEYGTDTIVEDFDYTELDTVLEYYPHGYHGVDKEGRPVYIERLGKVDPNKLMNVTTMDRYVRYHVKEFERSFLIKFPACSLAAKRHINSSTTILDVQGVGLKNFSKTARELIMRLQKIDSDNYPETLFQMFIVNAGPGFRLLWNTVKSFIDPKTTSKIHVLGTKCHSKLLEIIDASELPEFLGGTCTCPEYGGCLKAEKGPWKEPYILKKVLNGEAQCARQIVTISNGEETIISYAKSKHQMIRGSDTSTAESGSEADDVTSPKALRSYISHPKLTPVREEVKMIRATSFTTRMPEYDIPVVDKAVDATWKREVPRKAPFPSTESSPKSTTKASNRSLDQVLPALMAFVLAIVTLLRSVKDIGTKRLSDKNEPEEQGPELYHDSFPKPEFRPPSPSPGFAESDLFSLVLQRLAQLEEKVQTLQEKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYVDRKTIAKAQKKKKTMSCY >cds.KYUSt_chr1.25584 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153597609:153598391:1 gene:KYUSg_chr1.25584 transcript:KYUSt_chr1.25584 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGHVKLLGAAVSPFAVLARMALELKGVSYEYIDEDMGNKSELLVKSNPVHQKVPVLIHEASPSLFPAYFGIHRAATEEERAEKTNEAIGVIRQLEVALAECSNGKPFFAGNYVGYLDIVVGCHLFWLEAFSRMFGVALLDAAKTPLFAAWAGRFKEVEAAKEVVPDADKVVEYFKNKRQG >cds.KYUSt_chr6.24378 pep primary_assembly:MPB_Lper_Kyuss_1697:6:154075198:154075511:-1 gene:KYUSg_chr6.24378 transcript:KYUSt_chr6.24378 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVSHRIIARVVSFCLLPGGSAAVAPRVLLLLLHEHSAGGTLEHHLRRTASTTKPLCWHHHANIANALAYLQVDDALA >cds.KYUSt_chr1.29935 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181292744:181294540:-1 gene:KYUSg_chr1.29935 transcript:KYUSt_chr1.29935 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWCSCLECIHNIPPLNLLFPHFDSTSAPGHEEEEEAQAAPVVSMASISVPDPVPSPTEDAENIRKAVQGWGTDEKALIEILGHRTAAQRGEIAVAYEGLYDETLLSRLQAELSSHFKGAMTLWAMDPAARDAKLAYKALRKKNGERHAWPLIEVACASSPDHLVAVRKAYCSAYDSSLEEDVAACALYKDPLRQARRRAFLVRLVSSYRYAGEHVDDELAMAEAAELHAAVVTKKEVLHGDVVRIVSSRSKPQLKATFERYKQEHGKAIDEVLERNSNDKLSALLKTAVWCLTSPEKHFAEVIRTSIIGLGTDESSLTRGIVSRAEVDMKKVKEEYKVRYKTTVTADVVGDTSGYYQGILLALIGPEQP >cds.KYUSt_chr4.24283 pep primary_assembly:MPB_Lper_Kyuss_1697:4:152914561:152915589:-1 gene:KYUSg_chr4.24283 transcript:KYUSt_chr4.24283 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVAASDADHAASPGDDPLAGGGADSACSTPFVSAPSSPTRDPFSGHHHAACFFSAPASPTRGASSKDFVCGGLDFDFDFSSRFPSPSAAAMSSADELFHNGQIRPVRLSAMLLQPHQPHQASLADDASSPSSQAAAEAGERGRFRSRSVHRKSRSLSPFRAHWRSPSPAPPPESESVEPTATPPASRSSSSSSTASSASSSSSRGSRRWGFIKDLLHRSKSDGGKDNQHPSAPAPPAFSATPKRSSSPSPATPSLSPSPSPAAARGRGTGRGRRRSAHERLYEARRAEAEEMRRRTYLPYRQGGLLLFGCIGIGNRSYGTAVHGLARGLNTAAAVSTRS >cds.KYUSt_chr2.1434 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8581790:8583154:-1 gene:KYUSg_chr2.1434 transcript:KYUSt_chr2.1434 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLGSLAVQRTSLCSLVFRVLQRLVGFTSGSLNKFCKDVHQPLTETIVGTSQELPQDFLMGIFATLEMPDFIRAGAVCPSWHSAYTSLRSLGQYKLWPCLLYTCESAGDSSACLYSLTERRSYKLTLPEPPIRSRCLIGSSHGWLVTVDERSEMHLLNPFTCQQIALPSVTTLEQVKPIFDEYGVLHKYELSWLTGRTIHYSPPSIFALDKLRDEFHYKAFVFPDTSTGSYIVVLIHSPVRQISFARLGDDKWTWLPHHYLYCDCIYKDGLLYAVSITGDLHTFDLSGPTVTMNTIISIHRDDDFEYAYIVQSPSGDLLLIWRLFEDFKLEPDPGETVFWNTTKFRIYEVEAAGSKLKEINCLRDHVLFLGHNESLCLSAEEYPSLKANHVYFTDDNMLWTLGLKNNHRDMGILNLDDNSKEELVSSQLFSKFPAPIWITPDLKNMNLASGAA >cds.KYUSt_chr5.16497 pep primary_assembly:MPB_Lper_Kyuss_1697:5:106121345:106122994:-1 gene:KYUSg_chr5.16497 transcript:KYUSt_chr5.16497 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAHEAIASAKRGFASEHSSDGIGSSTAWIGYDRYREKPASSMKMQALLSAVWGVGLLLVLCGARMGSARHNSHAPRTLYAFGDSFVDTGNLQRSPELGATTRQWYFPYGVSNDQATTDEEKATGRFSDYLVQSDFIAKMMGLPLSPPPWQNTHGQTCGPTGMNFAFSTSGVFGISFWITLREQVDFFKTMIKSRIISKNHVTHSVALLASSGNDYKQFRFITNTWQVTDLAWNITTEIATNVERLQNLGVKKILVNNLHLLGCTPSFCRKHNYKACDEWANEAASKHNYFLEHKLGNKKGVLIVDLSSAFDHIIGHGNQDLAQGKQFKHIRKPCCESADHKGYCGQQDKNSNPLYSLCEDPRQYFFWDDAHPTHAGWEAVMAQLQNPIKEFLGVA >cds.KYUSt_chr2.19401 pep primary_assembly:MPB_Lper_Kyuss_1697:2:121952822:121954333:-1 gene:KYUSg_chr2.19401 transcript:KYUSt_chr2.19401 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSALASPSLVKLVDTYKKAAATAASVTAYAVLARGMARELLPDDLCALVSWGASLLRARMEPPPVERCTVVVSRIDVDSSNCDDYNAFYTDVHDYLATRIDPRSMRRLRLSGGGASKVMSMDRGDSMTDLFEGVQFRWASVPGDGDHGVDSLELSFDAEHMDMALGRYVPSITAAAEQARRLNCALRIYMNESTSWRGISHHHPATFDTLAMDPPTKQAVIADIDRFLKRKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAVANYLRFNLYDLDLAELRCNSMLQRLLIGMPNKSILVIEDIDCCFTATSREDGKDRKTPELPEDGSDDCSSTQQNITLSGLLNFIDGLWSTSGEERIIIFTTNYKDRLDPALLRPGRMDMHVYMGYCGWEAFKTLARNYFLVDDHPLFQEIQGLLAQVEVTPAEVSEMLLRNEDVDVAMQGLVEFLLAKMQGKEDAGKA >cds.KYUSt_chr1.6101 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37458627:37466082:1 gene:KYUSg_chr1.6101 transcript:KYUSt_chr1.6101 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAPGSGSGAVVPMGGNTEDWTPSFGDMVWGKVKSHPWWPGHVYSITLSDDPEVHRGHRHGLVLVAFFGDGSYGWFEPHELVRFEDHFVEKTSQGGSRTFPAAVAESLDEIARRSALALLCPCRGPDTFRPHKEDAGILLVNVPGFDSNAEYLPDQVTAARDKFVPQTMLDFLQSAAVGQRDAAETAARTLPGIEMAAMLMAYRRTRFEKYDLTYAESFGVEPKKALEGEIKAEAERSQRGIEVRWLISPVHLEGRNQVKLVKLCADQLRLPSHVTGATSSSSQFQLDAFGISSRAVLASGVSLGIEGEDGLVIRHCRARPLKGRQRVPEKENAPAAKGRRGAAGAAARLMEKIVPGAPAIKLKASKKDQYLLKRRDDARAPALPPAVLPDATPIPDDGGPPPGFPSAEPQTPPLPSSAGGGDDEEFMLQRRTLPPAGQASDAGATADDAAAAPKKAAKPKKARKREREVAAEATADESAAAGEPKTKKKKKKLAELNSGAPSADHSGGGAKAAAFSPPKVDLDGLDLKQVISDLENLPLLPSYGAGRSISDGSHSFLLAFRSKHYKKSYENDPSEESKKSLDTKPNAAVAAAVDGQPPKPVKKKPVMRPIDPSIAGVKRGPSDRQEEMATKKKIKLEKIKTLAAEKKAGLEPKVVTAPASAVGGVTPAAQQPRAGMKEKAQGLVKKKVPAAAPARRMASPTALMMKFPPNSTLPSVASLKARFARFGPLDIDGIRVYWKSYMCRVIYKYKSDAEMALKSAKSTAMFGQVVPNYHLRGIESSSAGADMGPEPAPPAQQRSELRLMETTPFRPGSSGNGAPLTLSRAAPARAVVGQPKSILKKNNDDGGASALRDSPRVKFMLDSGDSKLEPPAIPVSGNSPDAAAPVSKVARSVGFAQPPLQPLARPAQPNLQPAMRAQQQQLQPPRALDTQALPPPPPLPYQPRASEPLPYQPRLSEASPYQPRHTDAPPSFNNMQLPYQARHIDVPLMLSGQTTLPYPPRASFARSDDMPPPSHFDNNAANAMPAPFDRNAVNAMPVWKRGEKEFSEELMRVMLGIAKLVEPLMDKNGNFPYHLFGRSA >cds.KYUSt_chr6.11749 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73103784:73107053:1 gene:KYUSg_chr6.11749 transcript:KYUSt_chr6.11749 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEVPFLLTGSVDDAGEVPLAGVSDFRGRPVYRTSSGGWRSALFVTVLEIASNFASFGLSANLITYLTGPLGHSTAAAAAAVNAWTGTATLMPLLGAFVADSWLGRYRSIILACTLYVLGYGMITLVSTLPELPSSSLDDGDLSPRPSSMKIVLFYASIYLVAVAQGADKPCGLAFAADQFDPGHPKESASRSSVFNWWYFSMAVGIAVAISVVSYVQENVGWAIGFGSLCAMMLCAFVIFLAGTPTYRLYAPNSDAESPFVRLARGFAALGRNSSFSFGRKSRHHFADEDSTDKVLSDEARAVLRLLPIWATCLAYGVVYAQVTTLFNKQGRTLDRRIFGGLELPPAALQTFGPASILVFVPIYDRVLVPALRSTTGNPSGLTLLQRMGTGMVLSLAAVSVAALVEAQRLETAREHGLVDDAGATVPMSWAWLVPQYVIMGVSNVFTLVGMQEFFYDQMPSELRSLGLALYFSVLGISSFISGALISLLDRVTASGGGDSWFADNLNRAHLDYFYWLLAGVSAAELALYLYFVRSYVYSHKRLY >cds.KYUSt_contig_1253.206 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1413066:1414505:1 gene:KYUSg_contig_1253.206 transcript:KYUSt_contig_1253.206 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSPPRPLLLLLLLALLCSHIALCSSAAPAAKPKPKLSAGRKDLVSSSDDDEGDEPVKAPKVTAASKIKKKVSPDAKNQTAKAAVKAKKPEPATTAKVTKKTTTKAGADVATAKPKVPKLDKAAAVAKLGKGATAKPDKAATAKPKSTADSTKSTKTGAAKAVKPVKSEGGASKPKKPSNSTADAGAKPAAKSTTKKAKVVADVKANTTTTVSKEAAAGVEEDVVLAEEAEGTEDLMSEIRGLPTRLHETLMPDLARLSYTSKAYLSAANTGIAGSVRPILGGRWASAAASAASVALLLLPLFMLTALVRRLGPYLPLLHRALLLSQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLVLQMVDLVAIFSGAAAPEEDSGADATKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFVIVCACARAEKRKKAYLPGSDGADEEWKKS >cds.KYUSt_chr3.47767 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299337771:299340939:-1 gene:KYUSg_chr3.47767 transcript:KYUSt_chr3.47767 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISATTSGYNSPCRTALHQPYGPWPLIARVSLPPAMATAAAAAAATPRRRRGKGGKGPNSDLSRTLTDCTRRGDAAAAMAAFDAAVSGPDAASDLRLAAHQYNQLLHLLASADRASFPAAPPAAAARRVFAHMLDAGAAPSEATITSLARAVAPSDPDEAFQLVATMHSTYGLAPRLRSYSPVLSAFRHAGDSTKAYAVEAHMTSSAIAPEEPELAALLEVSAKAGEADKVYEYMHKLRRAVGCVGKETAEVLEGWFHSDKAAMAGKDEWDARQVKDAVVANGGGCHQLGWLRTGPWMVQRVKVGAGGDCGGCGCRLASVDIDMEETHTFADSVSGLALERETKANFSQFQEWLEANKQYEAIVDGANIALYQQNFAEGGFSLVQLDAVVTELRDRYNGKWPLVILHNKRVAKLMENSNNRHLIETWRANGALYTSPSGSNDDWYWLYAAIGLNCLLVTNDEMRDHIFELLGSSSFFYKWKQRHRVKYTFNKGKAVLIMPPPYSSEIQESETGSWHVPIEEKSGDERARTWLCIDRSGVTKRPHESCIANGVAQGLTPSEASNGAGQRQPEDQAAPVTGKRKDRD >cds.KYUSt_chr1.1859 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10710431:10714015:-1 gene:KYUSg_chr1.1859 transcript:KYUSt_chr1.1859 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFREHESYYGPASNTGITLAAPEVQQEGGHDDTLNPVLVPTSGGSLIHNNAQSQGEEKEDDSDSCQGDTGDATRTLSHPLQGVESPMHEDPGFDANSPSSVSPTSTTGQGDNQPTNHTPEDVPQNNLPIALRKPTRTRNTPGHLKDFVGYKHDIAKFVSYKYCSPSFRSFIASLDSVSIPSRWEIAIEDPKWKDAMLDEMKALEKNKTWELVNLPPGDDSQEITNLKKYLAQEFDVKDLGYLSRVGEVILSDLWHREGLRIWKRVWTVKEDVAVTAAQQHHKRQQENEKEVWRMILGPIGEVVLQWG >cds.KYUSt_chr7.33015 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205897884:205900021:1 gene:KYUSg_chr7.33015 transcript:KYUSt_chr7.33015 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAMSLTTTTTTSHLPICKAQDGSKQAPPQRRKITPISSPDAAGLDIGRRRLLQSTGLGLGLGLAVAKPSRAQSPPVLAPEELTSSRMSYSRFLEYLDAGAVKKVDFFQNGTAAVVEVDDPALPAKVHRVKVQLPGLPAELVRKLRDKGVDFAAQPAEPDTGVDVLGLLLNLGFPLLFLASLFLRSSSGTPGGGPGLPFGLGRSKAKFQMEPNTGVTFDDIAGVDEAKQDFQEIVQFLKFPDKFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKDNAPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFSGDSGVIVIAATNRPEILDAALLRPGRFDRQVSVGLPDVRGREEILRVHSANKKLDPDVSLSVVAMRTPGFSGADLANLMNEAAILAGRRGKDRISVKEIDDSIDRIVAGLEGTSMTDGKSKMLVAYHEIGHAVCATLTPGHDAVQKVTLIPRGQARGLTWFLPGDDPTLVSKQQIFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQVTQVARQMVTTFGMSEIGPWALTDQAAQNGDLVLRMLARKSMSEKLAADIDTTVKSIIDEAYEVAKAHIRRTRPVIDQLVDVLVEKETLTGDEFRAILSEHVDIGKEQRDTAERTDMVTA >cds.KYUSt_chr5.39884 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252086201:252089758:-1 gene:KYUSg_chr5.39884 transcript:KYUSt_chr5.39884 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKVLLAEADDSTRHVISALLRKCGYHVSAASDGVKAWEILKEKSFKIDLVLTEVELPSMSGFLLLSTIMEHEACKNIPVIMMSSNDAVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLANGGLDVPHTQQEENVAERPEKKAKVAEAERLIENVAACRNRECSEQESDAQSSCTRSEVEADSKHTNSFLEFKQLAGRHSSAVPKRDLSPKRWTFPNGNNCEKASRDVEVVHIIDEEEKANTQNEVNVVTRASEGNTDKWFSIPAHQLELSLRRSDYSRSEDAEKSDKRTLNHSTASAFSLYNCKPTSSFGNATDAQLCSTSANHEDLGNKNGEPAAASQDKANTTRPPIRVVPFPVAVTGLTFDGQPFWGGAPVAPLLYPQSGPPIWNSETAMSQQASTQETSSQQKRQQNDPTEMDSQQTENTQGQEVLPAPNANDKHLHVEIPSDNQRHVSLMTGESGSSNVLNNSGNGLSGSACGSSSNPITSPTDPCNASSGVPENPSMEGSHHLSQREVALNKFRLKRKERCFEKKVRYQSRKLLAEQRPRVKGQFVRQDQSVQGS >cds.KYUSt_contig_1181.1318 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:8534853:8537936:-1 gene:KYUSg_contig_1181.1318 transcript:KYUSt_contig_1181.1318 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHNKVYNRYVKRTSKFMAHDEADDCNIGDRVKLDPCRPLSKNKHWIVAEVLRRAKMYVPPPAATASGPRAATTQQAATKSSA >cds.KYUSt_chr6.8618 pep primary_assembly:MPB_Lper_Kyuss_1697:6:53011200:53013430:1 gene:KYUSg_chr6.8618 transcript:KYUSt_chr6.8618 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQGEGKDQVGLGLAQLGWCRAIVKEGTKEGRRSHATLQERCIRFPTKQLLYCGTMEFPSTWWWCGAAALVSIIIVVLSTKITRARYRGTQLPPLVSGAALLALIPTLVKNGMPAVFNHLYAKYGSVFMVSPFGLKMTFLAGPEVTTHFFQGLDSEISHGDLFEFTVPMFGLAIAYAVDVATRNEQKRFHLEALRPSRLAGHVSKMLQEVEGHFGKWGKEGIVDLKLEFERVLMLIASRCFLGKEVRENMFDEITTLFTELGNGMSLGSILFPYLPTPANRRRDRARIRLTEILSEVVESRKRSGRVEEDTLQGLIDSKYKDGRSTSVQEVVGLIISLLFAGKYTSSVASTWTGACLLSHPTFLAAAIEEQEQIAKKYKDGLDYSAVMEMEILHNCIKETLRMHPPALALARKAHTHFTVQTREGKQYEIEPDHPVATLVLVNNNLPYIYKDPQVYDPRRFGPGRKEDIALGKLSYMSFGGGRHVCTGEAYAYMQIKLIWSHLLRNFELELISPFPETDWGNYVAKPKGNLFVRYKRTGSMKSI >cds.KYUSt_chr7.38789 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241343819:241347834:-1 gene:KYUSg_chr7.38789 transcript:KYUSt_chr7.38789 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAVDRIAARLSAVDGLYYPAAFLRPSPDSSPPSPPSRKSALHALLSRDASLFLERYGAALRPDELACFDALRDDYEVGWHLRRLRAAASGEAPAPARVRNRRRAYLHRLVREGDYFSEEAMREREPYLHHEYLGRFQDPVGRAMARPGEKWSETLMRRAEEAVIVEKIRGEQIMRGVDPGEWVGGPVERPMQEQEEEEEEDEEEEEDEEEDINMEEIRRATEVVARENTGQGPNGTVGPPAGTFKQILSTEEMQDQLDQFTSVMHEKFLLGQDSEHMDYPQIDNDEMLDDHWSREANYDAEDKYFDED >cds.KYUSt_chr4.14433 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89062066:89063244:-1 gene:KYUSg_chr4.14433 transcript:KYUSt_chr4.14433 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEVLFGVSDGIQDITRRSGMVRRIRFIYRKSYSKFGNDPVHLWQMVAHEHERSSCISYDHIGDDTVDLLVENDLNTVYALAAFAAALLPPWTSPTVKRSRPAPIMPAFGSPVLRSRSALTPLLHVSVDKGS >cds.KYUSt_contig_1275.103 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000124.1:271549:279823:-1 gene:KYUSg_contig_1275.103 transcript:KYUSt_contig_1275.103 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLKRPNNVVPRSDPSRMSPPSPASAQKLTTNDALTYLKAVKDKFHDNRAKYDEFLEVMRDFKSTRIDTAGVIIRVKTLFSGYPELILGFNAFLPKGFAIKLQDLDSDKKPVDFTEAISFVNKIKARFQHEETVYKAFLGILNMYRMHNKSIQDVYQEVATLFCDHADLLEEFKHFLPDTSTAPHVLKGVSVKQDDDKTQVMPPDRKVQSIKRERPCPLTAERDTSVDRPDLEHGPDRKRVDKEKGHKIDRDRRYHDKDGEYDSKDLDGGQLRRKTFPKKLEADTHQGAASISASSYNDNDAHKSEYLAMLNNIKMSIRVYTQELHFCEKVKEKLEPEAYQEFLKCLHIYSQEIITRSELKNLVNDMLQRCPDLMNGFSEFLEQCENIDGFLDGVISKRQTSRTTKTLEKERDKGRAGEDRERDNEKPSENERQRLDKVSKDGATHKAPAFSSKEKYLGKPISELDLSNCQRCTPSYRLLPKNYPIPSSSSRTDLGVSLLNDLWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVAIKRVEELIEKMQDNSIKSDNPIRIDEHLTSLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQDEWSRCRSDFNRVWAEIYAKNYHKSLDHRSFYFKQQDSKNLSTKALLSEIKEINDKKRKDDDVLLAIAAGNRRPIVPNMSFEYVDSEIHEDVYQIIKYSCGEVCSSADQVDKVMRTWTTFMEPILGVQPRAGCAENAGLVKLKSRTPTASVKQADGDESVPKEQAQSSRASLANGATEDAQNGFHDADRAVHRGEGPSGQNISTKRSVESAHLSQTKQNQRRTNLELTSGTSTYRSNFSGGEAVVEAMGGNEAIPSTGRGETGPHKNSKIEREEGELSPNVAVDGENGADVDDEGEESAQRSMEDSENASEAGEDASGSESGDGDECSRENHEDEEDVDHDDPDAKEESEGEAEGNTEAHDADGGISLPISENAVKPLAKHVPTVLHDREEKFSRIFYGNDSFYVLFRLHQILYERILSAKTNSSTTEKKWRTSSKDTNSPHQYSKFMSALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAVASDEMDNKLLQLYIYEKSRSPGRFFDLVYHENARVILHEESIYRFERRSDPTRLSIQLMDYGHEKPEVTAVSIDPNFSSYLYDDYLSSSDMNICDDVFLERNKRKHGGNDDIQASLEAMDGFRVSNGLEHKISCKSSKASYVLDTEDFLFRVRKRRRVSSIGTIPGKADIAKAADAVKAQRFHRFLSRP >cds.KYUSt_chr5.34753 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220157165:220157536:-1 gene:KYUSg_chr5.34753 transcript:KYUSt_chr5.34753 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCVCWVQAIAREARAFELGEARYYTVLVWSAILWQFYFLGSVGVIFCVHTLLTGIIIAAFIPVTEVLAVVFLHEKFSSEKAIALVLSLWGLASYSYGEYVDAQANKKKTTSSEPQALPSSN >cds.KYUSt_chr2.53980 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336929850:336931049:1 gene:KYUSg_chr2.53980 transcript:KYUSt_chr2.53980 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDFHLDEGLVEEILVRLPAKSVLRYRAVCKEWLRITKCPAFLAEHARRRPLEVLLYTRTTAAVTDGVGRQVLALDAVAVSGGPRRPVARHPLMAEPRTYRSIQYCPLLASCDGLLLLGVGGIEPEQYLICNPTTRQWSDLPRPSGYAGREEHRESGFYFHEPSGEYRVLYYVSDRHSTTAYYCVLSAGADVPRRLAVQATPIEQTVACHGGHEFGRLHNLMTPAVLHGHLHWLQHMEAGLTGQMVAFDTVAETFRRMPPPPVTWKKNSNLLAADGSLMTCEPGHLFVDLWALDGYAGAATTGKERWERRHRIKVPWKAYWLVLTAGDDEGHVVVGTKLGVLAYNVRSGAVRLLTGIDASGGGQAVDPSRHVLRESLVRHDFFERRPHPGLPFFSFCT >cds.KYUSt_chr4.10132 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61312259:61312831:1 gene:KYUSg_chr4.10132 transcript:KYUSt_chr4.10132 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPTLDGDHTQARVFDAAEPVAPSDADVLKLVYGGGGGVVGEQHDSKAKNGGALETAANGAVGDNPVERADSVAVATGQAISGALRDPYYLIEYMSCEDVPRDLAAFCDAIRDDHISDWDEDQWHV >cds.KYUSt_chr2.33631 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207761499:207764509:1 gene:KYUSg_chr2.33631 transcript:KYUSt_chr2.33631 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEVDNPSNIETTKRLERQDSLFGDAEKVSNITGHGSKENWTQVLHLAFLSIGIIYGDVGTSPLYVYSSTFPNGIKNKDDLLGVLSLILYTLILIPMIKYVFIVLYADDNGDGGTFALYSLISRYAKIRLIPNQQVEDSMVSNYSIESPSLPLRRAQWLKDKFESSKAAKIGLFTITILGTSMVMGDGTLTPAISVLSAVSGIREKVPSLTETQVVWISVPILFMLFSVQRFGTDKVGYSFAPIISVWFVLIAGIGMYNIMVYEIGILRAFNPMHILYYFRRNGKEAWVSLGGAILCVTGTEGMYADLGHFNIRAIQISFTAVLFPSVALCYIGQAAYLRTFPENVADTFYRSIPAPLFWPTFIIAIFSAIIASQAMLSGAFSILSKALSLGCFPRVRVIHTSKHHEGQVYIPEVNFLMGLASIIITITFRTTTEIGNAYGICVVTVFSITTHLMTVVMLLVWKKNIIFILLFYVVFSSIEWIYLSSILSKFIQGGYLPFCFALVLMALMVTWHYVHVMKYWYELDHIVPIDDVTILLEKHNVRRIPGVGLLYSELVQGIPPVFLQLVQKIPSVHSVFLFMSIKHLPIPHVVPAERFLFRQVGPREHRMFRCVARYGYSETVEDSGEFARFLADRLKIFIEDESVFAADKPENEDTEGQTRPRQSARSVVHSEEVIEPQMSSHVGNISTYSLQTIEEEKQLIDVEMERGVVYLMGSANVIAGPKSSAVKKVVVNYVYAFLRRNLAEGQEVLAIPNDQLLKVGITYEI >cds.KYUSt_contig_60.347 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2058431:2062978:1 gene:KYUSg_contig_60.347 transcript:KYUSt_contig_60.347 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSCGHFASSSAAEMMRAARRSGSSSEDSGLGSSSSGGGCGNAAPPRAASPMWRPPRFPHDGRSAGGRRRLLLASSSLAPAKTRFGAMAAVSLREWSGDWSGGPDPLQSPFNRLPWSPTGAPKGDEATSARTRADGACHPRPRKPSPDLGRVCVVPDAAAVRFCGASPRWAGFLSVSTHPDARARDGSPGWRCPYDVQHGHARLSKWLKEADADWTDRVTAVRRMEDEVRCEKLRLKAKEEEEKNKEEKGKGKVNEDEEKEEEDEKRKGKHEEKELSHGCFGSAILPWNYGRRARGDPKDMSSSALNETLLTPQQSKPMPTPSGAANPRIADATAMTMRTSKPKPKVYQFETRLMVPRFRRPTTYNVGACPGLDQSTSGSKDPQMVKITLCVLTRPAENFSERDLVSTIHETLKAVIAQYNASQLINESELTHDTEETKQMTTQEAEQESRSAMIKSQLEDLVCPRLHGVRLDPYDQEKVYPSKMLAGMLVMIYPGILCLVFSSENKNSDTFPGILL >cds.KYUSt_chr6.7720 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47391037:47391896:-1 gene:KYUSg_chr6.7720 transcript:KYUSt_chr6.7720 gene_biotype:protein_coding transcript_biotype:protein_coding MLARCSPGDAHTPDGPTRVDQVPVLARRRRTMGRHRPMNGPILFVLLASTPSVPIDTPPLRFGCLGFVFVPHHRPASAASAFVTETKIVLSVIKHLSQSLFFQHLTFRSHPSENALIDILSTYG >cds.KYUSt_chr3.42540 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268981744:268985125:1 gene:KYUSg_chr3.42540 transcript:KYUSt_chr3.42540 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAFFSLFLLLLCAHGGAPVLGFTRSDFPPDFVFGAATSAYQYEGAVAEDGRSPSIWDTFTHAGKMADKSTGDVAADGYHKYKDDVKLMSDTNLEAYRFSISWSRLIPNGRGAVNPRGVEYYNSLIDALVQHGIQVHIMLYQLDYPQILEDEYGGWLSHRIVEDFTAFADICFREFGDRVSYWTTIDEPNVGAIGSYDAGIIAPGHCSDPFGIIKCTIGDSTVEPYIAAHNMLLAHASATRLYREKYQAIQKGVVGLNIYSLWAYPLSNATLDLEATKRLVDFTFGWVLEPLISGDYPETMKKIVGSRLPTFTRTQSEAVIGSVDFIGINHYFSVYVNDRPLEKGVRDYAADLSVSYKSNASSNDTLDDTDRLEYMKSYIEGTLAALRNGANVKGYFTWAFLDVFEFLAGYQTRYGLYRVDFDDEALPRQARLSARWYSAFLKNKGIHVQSDLNNPGSAAEQ >cds.KYUSt_chr5.29714 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188425443:188428472:-1 gene:KYUSg_chr5.29714 transcript:KYUSt_chr5.29714 gene_biotype:protein_coding transcript_biotype:protein_coding MANRATREVVPASSRVAIIGGGISGLASAKQMAAYDPVVFEATPSIGGVWKHCVYRTTRLQTPRPDYEFSDYSWPNRDDPTFPTHTEIVDYLEGYADEFDLWRYIAFGSKVVDIKYLGGPQAGFTELWSGTGKAPLQGRPMWEVGVATGGVVQYYKFEFIVMCAGKYGDVPRMPVFPAGKGPEVFKGQVMHSLDYCKLSEEESVELMRGKKVVVVGYKKSAIDLANECAQANQGEGGQACTMLVRTLHWVVPSYSIWGLPFFIFFSTRFSQLIYERPNQGFFRFLLCLLFSPLRTAVSKFIESYLSWKLPLGKYGLTPDHPFVEDYASCQMAILPDGFFDMADRGLVRFQRASGGWSFCEDGVVLADGTKVEADLVFLATGFEGKDKLRAVLPDPFRSLVVNKSSMMPLYRGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLSGLLEGRFELPSVKAMTAHVEDEVEAMRRTTRFYRRHCISTYSIHDSDGMCADLGAATRRKANWIAELFAPYNNKDYQEDQ >cds.KYUSt_chr3.996 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5334584:5338310:-1 gene:KYUSg_chr3.996 transcript:KYUSt_chr3.996 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRGSLQSPLLPGGGLSPDEPPRRRVRRPCAALAIAVALLALAGVLLLLSSPGAGPAADRSRSGVPVGGARLSTHEVESGAGVVAADDGRCSEVGAAALRAGGHAVDAAVAAAFCLGVVHPMSSGIGGGAFIVVRNASSGEAVAFDARETAPAAATPNMYDVDPTSKSKGALAMGVPGELAGLHAMWSRYGRLPWKSLLAPAITLARDGYTIVPYVANALKEIEQDVLADPGLRGIFAADGKLLTAGELCHNPALADTLEAVAERGVEELYGGVVGMRLAKDVRQLGGVVTSEDLMGYRVAVGDAMEADAMGFTFLGMPPPSSGTVGMALVLNILGGYKSTEFLNGFLGVHRLIEAVKHMLAARMDLGDPGFVNVAGYVSEMLSPAFADKIRQRIADNTTFPSDYYLPKWRQLSDNGTSHLCVVDGDRNAVAMTTTVNSFFGAHVLSPSTGIVLNNEMDDFSVPQQIPDQLPPAPANFIAPGKRPLSSMTPTIILKDGQLAGVLGASGGTNIITAVTQVFLNHFVLGMSPLAAVQSARVYHKLVPNLVKYEDETVNDGEVIKLSLEAREFLRSRGHVLEGTASGAVCQMIVQDLLEPVSSGGGGENVFRGMLTAVSDPRKDGSPAGV >cds.KYUSt_chr1.17424 pep primary_assembly:MPB_Lper_Kyuss_1697:1:101202403:101204532:1 gene:KYUSg_chr1.17424 transcript:KYUSt_chr1.17424 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRATMDSNQEQPASSYKIYVKMLKTVALDVNCTDTVDQVKSKFSAMEGIDKSAQELFFAGIHLKNADKLADYNITTNSSVDLHVTDGMQISVKIPSVGKTIKLNVKKSNRVADLKAEIEQNEGILRDNQILMYAGRQLEDNQTLSQCDLRNDQPLHVLVSPTDKLRVFVNVRGERAVSLDVKSWYTVADIKLMVETKEGLPACTHILARTQSGFDVVLADSQTLQDQSVKNNDILMLKQNDSVVLQQNDSVQVFFRTWEGKTLTMSLKMSNTTEEVMEKIGERLPVREDMYYLCYKGHVLSSGDTLEKHQVESNSTINIRLRTSAPVAPKPKQGKGASARTLLSVNRQK >cds.KYUSt_chr1.19714 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115871562:115872730:1 gene:KYUSg_chr1.19714 transcript:KYUSt_chr1.19714 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGASDGDGAAAGGGEPSQALLSSDQFDFEVYAGQYSGRTRVARLLFIAGKCESEQMRLDALRLAYEGSLKGEDTALHRDDVMPIGSRDAF >cds.KYUSt_chr3.46221 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290880889:290882356:-1 gene:KYUSg_chr3.46221 transcript:KYUSt_chr3.46221 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQGHIVEVEIIPFPPDALGCHSEHFYEYWFPPNTLVLLNHFQLAAPVGRACPAHRSGHSGGGGDDGEAELREVEVGVIRELLDVPGAVRQRPLPFLRPDHALVQLLPPESHVQRPSISTPPPHHHQARPTPFPTAWRNNRMLPIWSLVSAFGGTGAATSSANDGSGSDQAGMVLGELEARRWGGL >cds.KYUSt_chr4.16321 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101438450:101438761:1 gene:KYUSg_chr4.16321 transcript:KYUSt_chr4.16321 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGGGGASLSEMYQSARRLLLSARDGVARVERLASAPTSSSYSSSSYSSSSAPLVGGGGAGDPAAAEEVRRVVAQIQGLCAQMDRLWRSIPAKGQRDLWKR >cds.KYUSt_chr7.15744 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97737897:97738394:1 gene:KYUSg_chr7.15744 transcript:KYUSt_chr7.15744 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAGTAQEFRYLGGAGQPEVAGGAFLLSELLEDTPAPADQPQEVVDDRLSRVMRSLEAEIGGWPAPAPAAAEDETMSDDGGLEEMLSEFDGSPKAEAPPLAAVPFEYWEEEVPPVVLGNDMGGWYVHGEGMVAGYEFREPCYYTYGEASAVEQVYSPICLWE >cds.KYUSt_chr3.42459 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268547907:268548575:-1 gene:KYUSg_chr3.42459 transcript:KYUSt_chr3.42459 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHSAPVVGKRMWSYLRAVFFMMRKSKRKLLLGMHLLMKRRNKAVARTVANLLSHHHGHHGHALRRRREYEFSCSDSPDTGSFSMRRPLAYFPCLGAEAQLEEPLHADPEPAPLRRIEYYAAASPAPSSPGALMMHEELAPGEDMECTPGRMSPLVPTAADGGGFSVRVSNFSAEEVDGDQMGGEAVDDEAEEFIARFYDQLRRQNMVGLLPYHVQEAVVA >cds.KYUSt_chr4.36698 pep primary_assembly:MPB_Lper_Kyuss_1697:4:225621734:225624860:1 gene:KYUSg_chr4.36698 transcript:KYUSt_chr4.36698 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTSLSLDGVAMADQPVGGAGPEEIWRRRPKTKIVCTLGPASRSVEMCARLLRAGMCVARFNFSHGSHEYHQETLDNLHKAMEITGILCAVMLDTKGPEIRTGFLKDGKPIKLTQGQEITITTDYTIKGDETMISMSYSKLAVDVKPGSTILCSDGTITLTALSCDTEKGLVHCRCENSALLGERKNVNLPGVIVDLPTLTEKDKVDIMQWGVPNKIDMIALSFVRKGSDLQMVRSVLGEHAKSIILMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFFAQKVMIFKCNQQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMSNICLMAETYVDHDAVFKLINKAAPIPMSPLESLASSAVRTANISKASLILVLTRGGTTARLVAKYRPAMPILNAVVPELKTGNDFDWTCSDETPARQSLIVRGLIPMLSAATSKASDTEATEEAISFAIDHAKKLGLCKAGDSVVAVHRLSASSLVRILTVE >cds.KYUSt_chr2.40787 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253384783:253385788:-1 gene:KYUSg_chr2.40787 transcript:KYUSt_chr2.40787 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEPEREGRGETFSPDLMHAIFKHVWSRRTERGGGVDEAIEIEPAPETSRRTRSTTANASALQVSCELLRMFVAEAVQRCAVIAEAEGTTIIEPTHLERVLPQLLLDF >cds.KYUSt_scaffold_2697.141 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:998368:999720:1 gene:KYUSg_scaffold_2697.141 transcript:KYUSt_scaffold_2697.141 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGIHGRRHLSAELSMDHEDGHGGDAQAGLEWQQLEQEEMSDSESGSESVEISDLKKRMWKDQMLLTKLEGRAGARGVAVPARASTSSDGAGDEEPPDVRCRRKAMLRAQDGVLRHMLRMMEACNARGFVYGVIDEAGEPMSGSSDSLRGWWKENVSFDRAGPMGLVGPMGGSPLGLASCLHRLQDIQDSTLGSVLSALIQHCEPPQRSFPLERGLAPPWWPTGQESWWGTQGEMQAHQGVPPYRKPHDLKKAWKVSLLSAVIKHMSPRFDQMRKLVWQSKRLQQKMSARESETWSKVLRQEEALSSRLKTSLRITPLDQEEEERDSDGLGGVVRGEHDKRKRESARSSNSSGKCPRPTGGKPSELTIMLPDQLAAATTEDSRSPIDELMKLYYSCIHGGESYGSPGKDDMALIPPVVLDGIDEVAQDVLFDIIGSCPEVEDVLRLMGE >cds.KYUSt_chr7.15276 pep primary_assembly:MPB_Lper_Kyuss_1697:7:94770377:94801960:-1 gene:KYUSg_chr7.15276 transcript:KYUSt_chr7.15276 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGVAAAAPAAGEPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVFGACRPVRRLASSAMGRIIQKGDAISVYARASTLQGWLVDGKRADPMACAGAAQCLGEIYRLFGRKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGISDKAYIVRVAAARCLKAFANIGGPGLGMAELDTSMSCCVKGLEDNVSAVRDSFAEALGAILALAVNPDAQVTKGGKKQNVSAKKFDDGLQKHLILPFLKANGANAKKLRIGLSLSWVFFLQMIHMKYGTLDSELQNYAVQVMDILQGNGSPDPHALACVLYILRVGFADQMTEPTQREFLVFLGRKLESSNYTAPMRVATLRILSYLLRSLGQVPSEFKDVLDNTVVAALSHSSANVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALRETLSFDKGKNLNHELDSLHGQATVLASLVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAATAEREAGWLLLASLLASMPKEELEDQVFDVLLLWASPFTGNPESYLSQIQDWTSELRVLSVAIEALTAFIRSFVSPIITTANGGILLNPVLAYLGGALSLISSLSTKQLPHLKSALNLFTTRTLMAYQSLSNPMVYKSEHEQLLQLCSSPFSDPSGWEESSCLKFLLDKGDASLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDDEISNFPQPESVSKMLVNQMLLCYGSIFACQDNTVKIRLLNNLDQCLKAGRKYSWYMLLVSNACVALLSGLKELLTLRGAQSLPTDIFSMVQSIFKGILLLSEISIAQRRAACEGLGLLARTGNDILTARMARSLLGELITPVDLSYTASVALSLGCIHRTAGGMALSTLVSPTVSSLSHLSKSSNCNLQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDFRQEIGHLINAVVAVLGPELAPGSIFFSRCKSVIAEISSSNESATLLESVRFAQQLVLFAPQAVPVHSHVQSLVPTLYSRQPSHRYLAVSTLRHLIDRDPAAMINENIEENLFSMLDGETDSEIATLVRATIIRLLYTSCPLHPSRWLAILRNMVLAASVTRNTSEGLSSSGHDSVYSTDENDAHYGADEDNMISGPKQEQAHSSAPIASQFSRRNKHLRYRTRVFAAECVSHVPIAVGTEPAHFDLLLARSAIAKGTYFSNDWLVLKLQDLVSLSYQISTGQFEGMQPIGVQLLCLIMDKFGMAVDPEFPGHILLEQFQAQFVSAIKMAISTASGPLLLEAGLELATKVMTSSVIGGDRVALNRLFLLISRPLSDIEDLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRAKENVPDEHQQLAPLLENSSSLLGKYWVGALKDYMCISFGLHSKINHKPFLDGIQSLLVSSKVHKYLDEVWALILQATAVDAAPLEFGANDSEDVHEHTFIAGRSMVKLETSDFQFLWGLSVLVLFHAHQSTVNTCVKMKLDCSKEKKFGDIVFHGLDNPRPCDKVSPVLLSLTTEVFFSKDFLSVDTCQELLQALTYADCSGASIVSLFSQIIRLCPDKFFEVEDFVLVALELYSHCLAMILQ >cds.KYUSt_chr2.32639 pep primary_assembly:MPB_Lper_Kyuss_1697:2:201288034:201289618:1 gene:KYUSg_chr2.32639 transcript:KYUSt_chr2.32639 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWRNSARLPTIAEEPEAEDDETELADLGIATLSSRYIHAPPVPVQQPVVEESLKMVLREKDLPFKKRKRAMVQDQDGNEIAPLPTVKVSKVSPPRICDEPAGPSQPTKDSCLMQDDEVHLPNASPVPLKRKKAQDISPVQMKRKKWATVKVSKASPPRICNEPPGPSQPTKDSCLVQDDEVHLPNASPVPLKRKKAQDIPPVQMKRKKWAAPFCVVHREIVPVLPTQNTRSEDNKEKAEIGLTSEATPFAPTRLEENREMAEIGLPSEVTQEEAEEPAHLGIVSPAPTIVLQQEDKQVIMEEAENSQTPIAPTGLEENQEKGETASLLIEVTQEEAEESAHLGIVSPEVIMEEAGNSQTPVAPTRLEENREKGETDGLPIEAMQEETEESAHLGIVMDVDADVDVDVDVDADADVEAIRRNKRKRRMLGKAAIAAVVAVAVAVAVAVAVAVDVGVGVGVDAALRICRRRLLRAQKIVGCG >cds.KYUSt_chr1.5387 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33248726:33248983:-1 gene:KYUSg_chr1.5387 transcript:KYUSt_chr1.5387 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGNNGEVRVEKVDKIGYVYNAVTRPSVYANRGPATVTKKLAAANVAISRKNSGTTLVRGVASPEDIDEYIARKKREFVLGL >cds.KYUSt_chr3.36530 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229664512:229664730:1 gene:KYUSg_chr3.36530 transcript:KYUSt_chr3.36530 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIGTRRSCETRGSALAKLPAGVAPGEVHIGERKNRGGVAGAHPRMEDHGCRYREKHGVVSGSGEEKVGV >cds.KYUSt_chr6.29541 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187236585:187237707:-1 gene:KYUSg_chr6.29541 transcript:KYUSt_chr6.29541 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSRATKPIDSDVERAATFNILHTKLFKKAAEFSTLTGARIAIVLESENGQMFTFGSPSAESVVDDFLSGNPCTDFDGEQNAGIMQLQSKVVELERDLPGVKEMGKASEKVGNELAHVQVAPQMPPSHTSLMRLGSSTQGERAGADGGYGHDVGSRGGVSGTGRQLSYQDQQEQGHPGSD >cds.KYUSt_contig_352.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000036.1:157713:164431:1 gene:KYUSg_contig_352.10 transcript:KYUSt_contig_352.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPCLELADGDTRKDNVKKDKKKKKEGKRKEAETKGQEQTSDTAFEDSAAERCEVEGKQSSKSKKSKHKRSDVGEDSAGDRVVPEEDKKRKKDHPAVREESSRKRTLDRTVDNSVVERDGVEGKKSSKSKKSKHKDTDAGDASAADQVVVKEDQKRKKKHPVVLEESSQKETLDTTVENSVVERDEVEGKQCSKSKKSKHKDNNTAADQVVANEDKKRKKKHPVVLEKSSQTKNTNRDENGEIKERGKEGDKSSPELSENVSAGRAEAEVDGKNDRKKKKSKEGTRDGIKEKVKAAQSKNKGKRVSFADTTEVFRTEAGDGEGNEGGKKKNKKAAQLKNKGRRVSFADSEEVFGIGGGDNGEGGSSDESKFVHGQRFSPEEDATLMEAIRDFIEMKQLGEKGFEMIQSSRKHKELRGCWDVIGHWTQDEHQKLFDMVNLDLRIKAHQIKDPDNRKLRDNISWEEISDKLTTRNHKNCCMKWYNTLASPMVRKGIWADVDDYLMVEALQKDDAVCVEDVDWDSLLDHRSGEVCRQRWNQIVRGIGGHREKPFIEQVEVLSRRYCPEMIEYRQPRE >cds.KYUSt_chr4.1017 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5288979:5291801:-1 gene:KYUSg_chr4.1017 transcript:KYUSt_chr4.1017 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRKNFRRRTDDDDGGATKGDDAGPPSRPAAAKAQTPPAPRPRSQSASRLSFAGDEDEDDAEEGPFAQQQRRRPSASVRAASAASPAAPALHRVTPARDRARGAPAVAAPPKPSNLQSHAGEYTPERLRELQKNTRPLHGRAPAPVAESRQHRLAGATASTSSAPVAAAAREPVVVLKGLVKPMAQATIGPRKPLQNADESDESGEEEEDDEEKGAAIPDKATIDAIRAKRQQRQQPRHAAPDFISLDGGGVLSSRDAAGASSDEDDNEMQGRIAMYTEKSGDGQRSSKGVFQGINNRGPAASLGVINDGFMEVQDDGDDDDEEEEQRKWEEEQVKKALGSSAQRAVNGAPAPMQVQQQPSGYSAGPHYQPSFSGAVPGASAFAPGSAEFLSISQQADVASKALLENIRKLKETHKTTVDALARTDTHLNEALSEISSLESGLQDAEKKFVYMQELRNYVSVMCDFLNDKAFFIEELEEHMQKLHENRALAVSERRAADLADESSVIEAAVNAAISVLSKGSSSNNLSAASLAAQAAATAAKESANLPPELDEFGRDINLQKRMDLKRREENRMRRKVRSESKRQSSAGKSGNSDHVEGELSTDESDTDSSAYLSSRDELLKTADLVFSDAAEEYSSLTIVKDKFEGWKTQYPLAYRDAHVALSVPSVFTPYVRLELLKWDPLHKTTDFFDMDWPNILVDYDVQDIDPNDSDINLVGILAEKVALPILHHRIMHCWDVLSTQGTQKAVDAIFMLITYVPASSKALHQLLASVCKRLTEAVGDVSVPAWGSMLTRTVPGAVQYAAYRFGVATRLLRNVCLWKKVLAANVLEKLAIEELLVGKILPHMKSIILDVHDAITRAERIAASLSGVGSSPSEKLKPFKDLVVEISWKVEQRRRAGISDEEIRGMARRLMNIFVALNDYDRARDIRKTFELKEAL >cds.KYUSt_chr5.7714 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48576852:48577502:1 gene:KYUSg_chr5.7714 transcript:KYUSt_chr5.7714 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPAEVRAKAEIYTGDAAGQEKTRLMLAETELPSGLLPLKDIIECGYVEETGFVWLKQKKKVDHYFAKAGRYVSYGAEVSAIAEKGRLKKITGVKAKEMLIWITLNEICVDDPPTGKLHCKAIGGLSRSFAVEAFEADVPLPVPVTGRLKKKTEAESKDGKKEEEDAATEEAPEEEKKKTKDAAVAPAVVEEIDLKMKEMNKEAPVQAEAVAAKN >cds.KYUSt_chr2.399 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2436040:2438987:-1 gene:KYUSg_chr2.399 transcript:KYUSt_chr2.399 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQLQPTAATTSTCFRTSSSPAATVRCSSWSPTPPARRSANYAPSSWDYDSLLMLSLNNRGHANQVGPDGNFDKLKAGVGERLAAASGGVADQAAMLRLVDTVQRLGVAYHFEEEIATILSSIHRKPHRCNGDDVGSEALRFRLLRESGFPVAFHKESLENIRHVSKSYQTSTLVNDDVKALLSLYEASYLAFGGEETLDEARAFCANALRKMLPTIDPHSRRGVVHALNLPLHRSSTRLEARWFIDHYARDTSNSDPLLLQFAVMDFNNVQSVHQQELARLARWWKETSLVGKLGFARDRLMECFHYSNGIVWEPNHGDCREVLAKVANLIVQLDDVYDVYGTIDELVLFTEAIGRWEESPSERLPEYMQALYSVMYNTSGEVAESIMKQHGCDTRSLLRKAWHDMAKSFLVEAKWHHGNHRPTLHEYLKNGSVSSSAPLLLQHAFPLLSMEQKLTSESLGKVGSCPRLVESASLILRLCNDSATHSDELERGDAPSSIAIHMLENRSREKESRKAMEDLTMDTWRSINEDAFKHCQFPRPFAKACVNLARISHCVYLGGDGFGAPDGQKKRQIRELFLEPFMSVKP >cds.KYUSt_chr5.4206 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26897458:26915545:-1 gene:KYUSg_chr5.4206 transcript:KYUSt_chr5.4206 gene_biotype:protein_coding transcript_biotype:protein_coding MGLADAARARLLGALRPWLAADPGELRVDLGPLRCRAVARGLDLGAAALSAPGPSSFPATVDRAAVAEVELAASPWAAPALNAVLRGVHVSLTLREPAPRRQRPDIKEWISKEKKRVIASMDPQVRIDDITIQVRYLDDSHVLVLRATDLQFGPELVSRSSLFRGLVGSSISSRKKNHLLVKCDDFEFMLKENDRTDGTASFTGLSACVNLNNLQPADFSIHVPNACCKISPKAITSLMVVLDITSQKEDYGIRSGRELWKIAAQKLDSSIVGQRFSLSKALRCATFWQSYVHAYVLLLSLVGYPSDKVIKENCSRVSRNRKVLEAIRDHWVNVLELEEKIPVEAIARARRVARSKLIMSQQQNKQESSKTFLMNDKSCIVLSLAYVECAMWPASLSTPLRSNSYVKETHNTFCEKAVQEPAYLAAESSARHFYSGNIVLDAYFKLAGFSLLIDNIEANQQCHVFGPMSANFQLSTDRKYVYSFFVARNVLSMNLGGGIAGCIALFYMDELFTVCQLVESMQLVALNSDLVNVKYSEDFIGRLVSFCNKNTTGSTRDLGVDIISQEAPFDSHTELVVEVELELESTCIIFSASRGGLFPNPAAFVNNIITYISGSPIFEGISTQELLEMLALGVGFCIRSSSLKLLLGGQSADIHVSISGIQSLIIENELECTTALDGLPYNKNQLIITECIFHVCIDPTKDRLTNEEIENESRSGRISDSWGICYSIEIEFTEIYIGDRRIHKYLTEVNQPSKQKISLVIHDNLKIFKCKIQGGLIFLETMLLAKLVSCCKFYFWLLMDLPLCATSNIAEDSVTAVSTRSDPNVRNTYTEGEVSPVSVVFHSQSEESQLSAVKCLDIDLSRISLTFAVVDESGIYQGLTLEVDASLQLLNFGMKMFFEVKHLSVSTISSMPKTTREQYRDVPAPRFRSSKSIALTSQSEIQEYLPSNGVDNATRDRDAPPSSTSSVEGSTGNTSIEFSSHKSFILSHFSTSLKIEKKELDGDSNLMCLSGDWFGKGFVSGLEVAISLSSIEMISSLLAPFQGMLSSTSSQKEIEIGDTVQQEQLDNIDYTIPDGAIVAIRDLDQQMYVSVKKTGIEYEVVGAYHYSLAGEHALFRVKHHKTWRSDTPYISLLSLHAKVDEGKELALSFSQGSDLVEVSSFVDKPCSLWNTSPLGFDSFEDDDDDVKSCKVISSSSYHLVNKKNNYGIAFVDGLLEFVKKPGNPFKVKVLDESLFSDVARLIVPNTNLDGNSYLDLEDELPSALMDRLEAGASSQHITMSIDKISFTITHEVFDTGDVFPLVQNCISDIRVVTQIYPSKTRILSSFKVSGQYYDARKDMWENLISPITSYVFFRFRFSNEDPVTGRSRTPLRFYFHLKQVDIFINELSIDVLLYLVGKLDLMGPYAVRNSAIFPNCCKIENNSRLALVCHFQNNGDAIVPGQQSTSVFLRHLIVDDNRPHDRSAVSFSLFKEGAFSTAPINISLHEPGIFAWRTLVSSLKDSRRFSGPFVVVKVSQNSVEGLSLSVEPLLRIYNKSDFPLELRFQRPQKTNEEAAFVTVRCGDMVDESTGVFDAMDLSGGPKKALMALALGNFMLSIRPEISEHSDLSRETIVQWSEDITGEKAIRISGVIDKLNYNLRKAFSIDSMKSSFSSLSCPVSIDGQHVTDLHFLIHTLGRDVPLQPTNGSRVSGRSASVALQLQREIFIYPTVQVYNFLQTDIHVLLTDSQPENIREDNYGLVGKQATITSGSSAYFYVNPAMFNFSVTLISYGSKSKVANSGDWVKRMQKQTAQAQFLDLELEFGPGKFHSSLRLLRQEKGLLEVALFTRYTLQNASDYSLLCTASDQKSLPASVTGKDNINLPPQNGCILSSMSMSSWFTKSNKLRISLHEEKGSEAFIDLEALSGFTEFFLEIHDSVLPHRVAAFGMSLQPVIYGLPVSSQVVLIVPRYVISNESATAVAVRQCLVQDDMDGLTIEAKQRATLQTWKPGKKREGNYFDLFLKKHKNISEDSHIFIQFSPKETGYSWSGPICVSSIGRFFMKFRRSEGMETDVIKGDTLQDGKLKKFASVDIVQENTSFVLHFTKPPKVALPYRVENCLNEASIMYFQKDSDESDMLHPQDSEQYAWDDLSLPRKLVVRIVDTPALREIKIDKISPWKPFLKMRQTSRLNLDFSFSNGLSSGKQGYDDSFGLRVFKIGYEVYADGLTRVLRICEHVENPKAEKVQRPIARAQFRISYMCIHLLDKSQSDERLQSPSTILTARLQHLSADSVITDRYKHISVAIYSLNVDEKWDGASFGSVLRMNKLQGDALSESILRIVFVLNSTNSSVKQVHYCAIILQPIDLKVDERTLMKLVPFWRTSLAPTGTPSTQFYFRKFEVHPIKIIASFRPGSPHTSYSSAQEALSALLHSVIKVPEISNSSVELNGVLLNHALVTFRELFLKCAQHYSWYALRAIYVTKGSLLLPPSFASIFDDSASSVLDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGTKRYLGDLGKTVKTASSNALFAAITEVSDNVVKGAETNGLNGMVTGFHRGILRLAMEPSVLGQAIMEGGPDRKIKLDRSAGLDELYIEGYLQAMLEVMYKQEYLRVRVVDDQVFLKNLPPNSALINEIVENVKSFLVSKGLLKGDASTVRSWRRLRNEPEWKIAPTVITLCEHLFVGFAVRVLHREATKAIAEITSKVKQSSTGSEGEAESSSSEGALVKRGRLWTVGRFAASGVVAYVDGRLCRHIPNPIARRIVSGFLLSFIERRDDE >cds.KYUSt_chr6.22646 pep primary_assembly:MPB_Lper_Kyuss_1697:6:143088240:143089772:1 gene:KYUSg_chr6.22646 transcript:KYUSt_chr6.22646 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTETEESIVATSGPPPHFVLVPLVAQGHIIPMLDLARLLAERGARASLVTTPVNAARLHGVADDALRAKLPLDIVGLPFPPADDGLPPGCENIDQVKDNDHFLPLYQAVYRLAGPLESYLRALARPPSCIISDWCNSWTAGVASSLGIPRLLFHGPSCFYSLCDLNVSTHGLRERASSSAEAEDHERYVVPGLPVRVEVTKATCLGFLDGPGFEAFRKAALEAMRTADGAVVNTFLDLEEQFVACYEAALGKPVWTLGPFYLNKNRDAASMASRGRKPSVDQSGVIEWLDAMDPGSVIFVSFGTLAQKLPKQLYEVGHGLEDSGKPFLWVVKDSEVASPEAQEWLQALEARTAGRGLVVRGWAPQLAILSHPAVGGFVTHCGWNSLLESIAHGVPVVTWPHFADQFLNERLAVDVLGIGVPVGAALPVMLFGDEALLVMRGDVARAVSELMGAGEVAEERRRKTREYGERAHIAMEKGGSSYENLTLLIQSFRRSGGRVTDINGLQKE >cds.KYUSt_chr1.29329 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177496906:177499515:1 gene:KYUSg_chr1.29329 transcript:KYUSt_chr1.29329 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEGAGMRRRLPGTSSTAPASPLEVDDLLREILLRLPPQPSSLPRASAVCTRWRGLVTDPNFLRIFRVHHPKPPLLGFIELGHMGIKFTPILDPPDRIPPERFHLGPCSRGTEVLHCRHGLILVIDMAWKEVVVCDPIAGEQRHVAIPPVLRRYDLNGVVLCSASEQGHLHGSSHSSPFKFKVVLVGMYKQDLMACVYSSEAGVWGNLITRTAPSDLFADRVPGIVIGNALYWLSFSDRIVEFDLDEHNLAVIEGPPITCDFHDGSSQIIQSEDGVVGLAILSYTRLQMWHRNVNCHGVATWVLWKTIERQNILGLPRTMVKQGLLQLSVVN >cds.KYUSt_chr2.16901 pep primary_assembly:MPB_Lper_Kyuss_1697:2:106426374:106426751:-1 gene:KYUSg_chr2.16901 transcript:KYUSt_chr2.16901 gene_biotype:protein_coding transcript_biotype:protein_coding MKGETKQDGSTSKEMVVGEDGIAGESLNCQKLAVGDKADAGKGKRMAKVSQKYIDILLKEKATGTGMYSKSKSRAMEFIENSKHCAHLAPFVAGLDAIKEEVRAGDAKILDQYHSQGYAFGEVEE >cds.KYUSt_chr3.35232 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221270159:221274507:1 gene:KYUSg_chr3.35232 transcript:KYUSt_chr3.35232 gene_biotype:protein_coding transcript_biotype:protein_coding MVFASLPQRRKAGSVRTRRGRIRSVKDDSIHLDPSKIEAPPYSSYLDSTSGQLEPASGARASIPGEEYWPEGTAARVRAARAPAPVGESSGTPSLGKKPGSRRKGYKGQVGSASAADGDGDGEFIVATEVPLDDTLEETKDSLDEYVTYEAPKEETLSEYEMDKMMGRPHPFVDPAKAMLAEEPKSSEELWWNWRRKSETEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKTRRHLHKEERLEAEQKRLEEIGPIAYYSEWVEAYKQKDTSWEAIQKHYEETGEDETTQLITMFQHQTAGEFRTMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTVNYVQDPDVVIDYRGPEFHEPTPEVIPYLMEHGIMITKEEMDARLSEEQDEINQDIMYIPEVKDPMATAVDIGEHSYNEDSDDEEEVDKAAAQPGSLEDEEDGGDEAEEVEGHTTIATSIPDDNACLFSFQEKLKKDQLSLKDAINDSENLTDFLMDFEEDE >cds.KYUSt_chr2.42674 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265779890:265780603:1 gene:KYUSg_chr2.42674 transcript:KYUSt_chr2.42674 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDEVDYWDVFLRTSIFLLAAAVACGIGFLFYVAWLGITSGNTEYTVTITGVDGLDAAALRQRGDLAALSPVFDIAVRIDNTLNKAGNQCVGKHASAVVSYRDAVLGKGTVPEFCAQTLGVGETTATAWAMDAQMPRFLRDRLAGELERGEAVLDVAVRAPGSGSCDLQDCVDGIVVRPRGTGSCYVYRCMDLLLDCKAKIGGGASPPCLVTDVEPSPPCLVTDVEPLSTGRESF >cds.KYUSt_chr5.23410 pep primary_assembly:MPB_Lper_Kyuss_1697:5:152479734:152485617:1 gene:KYUSg_chr5.23410 transcript:KYUSt_chr5.23410 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIATYRESLSRLAGEVDDATADEVPAPAPSREGEHAPTPPSSGRRRRYSRPDSAEPDEISKLKEDIEKLQASEAEIKALSFNYAAMLKEKEEQLGKLREENCSLKRSMESSNSKAVSGNSNGTLQRSPSRTQRNTVPENSLNGLKQNGYGGGASQGIQQNGLHSLTGHHKGDVFEEDQSYFASKQASFETEIKQLKQKLSQYSNKEDETKRRLEEENKRNELLQQQLNDLKVNMERVCPFSDELHQELSEKKAELRCVQDELSTRDNERASDGSLQSLRNMLMALQKENSDLKIMKGRLEIDPTSMKSISQKVDDTASDFNNFPDVQKVKEEMDSLKKALQDTSHERDRALQNLSRLKQHLLDKDLEDQEKMDEDSKVIEELRVICEQQRGHIVQLERALKIEMAKQEESKKIINDEHLRSNEQIEDLKYKLANCMNALESKDVELVNLQTALGQYYAESEAKDRIGGDLAMAKEELAKLSQSLMVANQAIEVSRREKDQTVAKLSQAERMLADGKRSMQKLEDDNSRLRRALEQSMTTVNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGSAQSNAGKGVVRGVLGLPGRLVGGIVGGNSVGKSTHASQDNQESFADLWVDFLLKETEEREKQKASEAAARLSEEENQPASTSNASTFQPSQHPANLVPGPSTKSHQFGRPESEFGTVPLAPPTYTSISTQQPFSRPPLR >cds.KYUSt_chr4.16328 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101498559:101501525:1 gene:KYUSg_chr4.16328 transcript:KYUSt_chr4.16328 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSIWCWLLLNVEALVAAGPSGDQELGWADHCAASSSWSELPWDLLDCILQHLELPEALAVASVCAPWRSGAAASGVPRSSTPWLVLQPGVLSPHEKTATGETPHSQFRNLLGTNKKYTVSLSSQGRSHLAWCGASHGWLIASEEKLPRCSNLLLYNPFTFAAIPLPPITDLGGAITTVYHDDGVNIIGYHYEEYDEILARLPLKFTSKFFDKVVLSCDPSRGGADCIAMAIYGLNQVSFARPSESSWRLAPIAQMGTDTYADCIYHKGRFYAVTMNGTVETWDLGMGPAQDPKKHVLIDTEPGIKKYMGIHARFLVSTPWGALLQIRVLLHNHIKFRNRRMKVEVLQVHVEKHKLVKLSPSTAFREHAVFVGLNESACLHPKDFPELRSNCVYFTTPMVTHKEEDWSCIFHLESQTFERVLTRTMRTILCPREVWFIPNI >cds.KYUSt_chr4.19331 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121456957:121460808:-1 gene:KYUSg_chr4.19331 transcript:KYUSt_chr4.19331 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIDAELAHAQDERRKLEEALAAGAPMAVSSVTFDKDLYGGGSSGADRFAGYDTSIPASEDDAPDDEPAAAANPAARRLASYTGHALAAADIPRSDDDDGAAAKKSQRIIDREDDYRRRRLDRIISPARHDPFAAGEATPDPSVRTYGDAMRESKVQQEKEHVLREIAKKKKEEEEKAKDKKPAPDLPPAAATKRRNRWDQSQDGDAAAAAAGAKKAKTASDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGITPGATPSGAWDATPKLPGGLVTPTPKKQRSRWDETPASMGSATPGGTAATPASYTPGPTPFGAENLATPTPGHIARGAVTPEQWQLMKWERDIEERNRPLTDEELDAMLPQEGYKILEPPASYQPIRTPARKLLATPTPLTTPLYNIPEENRGQQYDVPKEMSGGLPDMKPEDYQYFGPLLDEDSEEQLTPEEQKERKIMKLLFKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKICQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRSDILPEFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVTGIVGKIVEDLKDESEPYRRMVMETIEKVVANLGASDIDPRLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNFVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVASYPALGDDGDNIFSRPELAMFV >cds.KYUSt_chr2.54508 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340083709:340085961:1 gene:KYUSg_chr2.54508 transcript:KYUSt_chr2.54508 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFRCHSPEMGGRRGLYGFVLFFAAVLLRVHDGHALNQTCDANDLAALRAFYDGLDGKGAGWGGGGSCCSWTGVSCHLGRVVGLDLSNQSLRGVISPSLASLHHLATLNLSRNSLRGQALPGLAALPGLRLLDLSANTLSGAFPSSGGDGIFFPAIEVLNVSFNEFAGPHPTFPGATNLTVLDFSRNALSGSINATALCGAAPLSVLRLSGNAFSGGIPAGFGRCEVLAELCLDANGLTGSLPGDLFEMPGLQTLSLQENSLSGGIDNLGNLSQLVHIDLSYNRFTGFIPDIFGGLRRLESLNLASNGFDGTLPGSLSSCPTLSVLVLSNNSLSGEIALDFSLLPRLNNFDAGTNKLSGAIPAGLAWCAELRKLNLARNKLEGEIPESFKNLTSLLYLSLTGNGFTNLSSAMQVLQHLPKLTSLVLTKNFHGGEIMPMDDIKGFRSIQLFVLASCALSGMIPPWMQSLESLRVLDISRNKLSGKIPPWLGNLNNLFYINLSNNLFSGELPESLTRVKSLISSTYLRENASIEDFEFSIKGLQYNHVSSFPPSLILSSNLLVGPVLPGLGHLVDLHVLDLSWNYFSGHIPDELSNMSSLEVLNFAHNNLTGSIPSSLSKLNFLSRFDVSYNSLIGDIPTGGQFSTFSNEGFVGNAALCLLGNAPCSANAPSVGTGNDGMDTLSATPAMTYITVEVGFTFGLLIVCNVLFFARAWRAAYFLAVDRLFDMLYVMTMVKVNKLRRKWDGKDHP >cds.KYUSt_chr3.24707 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153341950:153351010:-1 gene:KYUSg_chr3.24707 transcript:KYUSt_chr3.24707 gene_biotype:protein_coding transcript_biotype:protein_coding MSEISHHDAEDLHAFREEQHHTAGQVERPREPPSERHGCPLPCAASASMALAVRSNAWMFAARSSSMLLKSFMLAKTEKTPESNKDTSNEPAKEADATYKAEATDAATVLKAKEEIANRTATHIQQVINDGNVALNTKEELIEGSAEVMGKIAEEVSTKLSKVATEHAKLALGGVVAALKLKQEILKKVAQQVKEVSTNAALAANAKQEALKKAADDVSAASADMATSMAMMVDVAAGVAGGAAAGVATGIAGGLKGAASGGARVGISGGIHANVNIGVGAGVGAGVGAQAGKSGSANVAGGAEKYAGGSADTGGGAGVGVGAKAGVHANVGISGGAKIGAGVGGGIGGAGSANAGVGTNANVGAGGNAGIGAGVGVGANAGIGAGVGLSGGAKVGGDVGGNVGGGANAGVNAGVGVGVGAKVGGGVGANVGGKTNGDVGIGANAGIGAGVGLSGGANVGGDVGGNAGVGGSAHTGVDAGVGAGVSGGATVGGGVGANVGGKANGNVGIGANAGIGARVGLSGGAKVGGDVGGNVGAGGSANTGVNAGVGAGVSGGATVGGGAGANVGGKANANVGVGANAGIGAGVGLSGGAKVGAGVGANLGGGGNVGLGGDANANVGAGVGVGAKVGAGIGANVGGNVNANAGGHAGLGGGIGISKSVNVGGGANADAGVGVGANVGVSKSIGVGIGASGGASGSGAANAGVGS >cds.KYUSt_chr4.45483 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281493813:281496095:-1 gene:KYUSg_chr4.45483 transcript:KYUSt_chr4.45483 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGGGGVSGRFSVSWLSETDEAINIRIDRTGQDYGEVGFLNGAAGAGAAPPPLPTAAAVAATLPLARPCSAAAAGRARRRVPRPRFIPGGQPRRAMMLDVARRFFALPQEERAQHRSPGIKAAVPRVPVLVVLQLGQWMEWGSRFG >cds.KYUSt_chr4.22833 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143590494:143594329:1 gene:KYUSg_chr4.22833 transcript:KYUSt_chr4.22833 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYHGGAGTDIHQAGSDGLQTLYLMNPSYGAGGFGDAAPSGTNMMFLNSAVSSMTPASFGAHQASPSSGQHFVGIPLQAAPSGYNLWTPATTSGTDVMSPPTHQAHGVSAVLSLSSRETPPVTVAAVAGDEGRYQQLGVTTAASQGQMVMNSKYLRAAQELLDEVVSVSKGVDDVEAARAASAAKSAASVRKKEDSEGMSGGGGEDGAGGSKSSGAPEMSTAERQELQMKKGKLVNMLDEVEQRYRQYHQQMASVSSSFEAVAGAGSARTYTSLALRTISRQFRCLRDAIASQVRAACRALGEEDADMGAGGGRGVGSRLRYIDHQLRQQRALQQLGMMQSSAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKEKQEGGAANADEAGRSGPSGKADVNDGANETPRSMARASAVGTEGGSKLDGGGAVHASLLGLTGDHRVPMGFYDAEEDEDEGLQGRGFKKARAANVEQQQQQPPPSYDVAALHHAQAAAAAAARQQHDEVSHRELLMKFMESGAGARDHHQQDDVDGGGGGYSLFAPSPYGQFGSDQAPFAYAGQHGGVSLTLGLPHGAGDQTASFLMGGGSSNGADNGGATAGYDMNMQTTKSFAAQLMGDYVA >cds.KYUSt_chr6.5103 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30196438:30198589:1 gene:KYUSg_chr6.5103 transcript:KYUSt_chr6.5103 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKHTATTGGVRAVALVLAALVLSGDVAAHGTSFNYKDALTKSIMFLEAQRSGKLPHNNRIKWRGDSGMEDGKLAHVDLTGGYYDAGDNVKYGLPLAFTVTTLAWAALAFKPELQKTGELKNVHAAIKWGTDYFLKCATKKNHMWVQVGDPNLDHQCWVRPENMNTPRTLYQIDDKTPGTEIAAETAAALAAASLVFRNEKAYSRRLINKAKLLYQFGKSHLKTYDGECPFYCSYSGYNDELLWAATWLYMATKRQVYADFISHEAISSSVAEFSWDLKYPGAQVLLAELNMTASGGLQSFKTQADNFVCAVLPDTPFHQVFITPGGMIHLRDGANSQYVTGTAFLFVVYADWLHRAGEKVMCGDTPIKPERLREFAKQQMDYLLGANPMGRSYVVGFGVNPPTQPHHRGASTPVLKPGTVVNCGMSFGDWFAPDRPNPNELTGAIMGGPDGADKFIDKRNASACTEPCTYINSLAIGPLAALAGRGPKLVASH >cds.KYUSt_chr6.14528 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91122476:91125711:-1 gene:KYUSg_chr6.14528 transcript:KYUSt_chr6.14528 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCSDRGLVVLDCRHGLVLLRYPVLDRDERAERLIVWDPVGRLQWEFPPPDFAANITYDNAVVLCAADRCDHLDCHGRPFLVAFVGTNPSVAHASVFSSETREWSPVASCIPPADPLFQVDVDQPTALVGNVIYFKCDSTILRFDYTAPELSIIHGPDKSYVLMKAEQDMLLGCATMQESGGLCLCLWSRDASPDGSMAWMQRRVVKLDEDISRVYGISDVIGFADGVGVFYLKAHRGILTIDLKSGRVKNIYRIRGVSIIPYMSFYTPDQARAITLPSIMASSSEGNGEEWGGWEEVGNGEKEGQQPEEEAAQKHFDNGSKAFEDGHFGRAVDSLRSSLEISPRNTQICFPFKFPKNGDAKAISLCRSRIQNLKRTNVAFLADNGDDASATEVGLEGSSLAKDIQFFTNILLTALEEKLEDLEQAR >cds.KYUSt_chr6.7815 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48011225:48013921:1 gene:KYUSg_chr6.7815 transcript:KYUSt_chr6.7815 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAEEPAPPGEWLEERGAVSVYLPRLIAGIISGTLTGLFALAGALTGAVTGALAGRASDSGALRGAGLGAIAGAVLSIEVLEASRAYWCSDRLGTSSMVGISDLGQDDVYDIFGEISSRGLSRELLKKLPQFVVTDQAQGTFGENLPCTICLQLVVSDD >cds.KYUSt_chr3.2951 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16961780:16964182:1 gene:KYUSg_chr3.2951 transcript:KYUSt_chr3.2951 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLPNTLQNFSFTAGDEHVKRLTLRLESDREAWIGPFLNRHGNEDRNLVDLMLSHPAARRAEELRLLLEPNEWSSDADYDNETYRRPETIYTVTIDALRAERRFVQPSRSSHLTAADSVDSSPSGGRSGGGRTGDPRIRRVYSVGLSRDPAGGAVERAAVLDGLLRAAVLSSDGGAPVELGRGAAVISVLGALRSGGARLLFPVPASVGRRIRRIKFLRRRIQPEGTAVVLPRLSSLRLRHCAQDLNSLQRVIDAAPALADIRLESVVIDATKDAPSRSKHGREDWFRQYEYNNGDRQAYMDRQGNWVSLSPYKEEKDDDNDYNNDYETGDEYDDDYETDDDNDEGFTPPHPKEAAPRGLRCPTATVLVLDRCKWEEKDHDKNKYGYHSTKRDTVIDMVIHAPRLRRFTYKGLLRPFTFSRPPPELEHVDLHFFMDDHNYRHRNRAQNRDLATFWQFSQSFTSTKEMRLSVDHLEDIAVLREETQVELLPTFRRLERVEFQGVHRPKGKTAAVAIGNLLRCCPVLSALRINLTTEHHDVSKDHRWTDEFLERKFRSDCSKSVDRLDRCGFQPNTISQEGDYDDEVCEITTLSPGQFQCLRNSLKRVSLQFRLEQSNCLGLRLIKFFAKNARVLEEMHIDGGNRKLHEHMNPKIEKWVTNSAERRNSGATSFVVLPLER >cds.KYUSt_chr5.14054 pep primary_assembly:MPB_Lper_Kyuss_1697:5:91262591:91271847:1 gene:KYUSg_chr5.14054 transcript:KYUSt_chr5.14054 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDVAGLTSLRLWRLLWFLRRQKLFDTALALERETGVSFLPAHLHLLLFQGKWEEAEAYVAGPIDFAGCSWMASLIIARIRVFDVMSRFAAGEASTVSDALFRRAEEHLLANPVLHGTYRLLHSMRSDQDKASKLYRRFHQEAVEAIMRWVAKCPELKSKMRPKPSRSFDPTYFVSLGPRRGFRRRSGRAMGPFHGDGACLTSLRLRRLLWFLRRRNLFDTAVALEQETGVSFLPSHLRLLLLESKWAEAEAYVSGPIDLAGCSWMASRIIGRIRVFDVMSRFAAGEASTISDDLFRRADAHLLGHPDLHGASRVLHSMRSDPYHRRASVHYRRFHRGAVEAIMGWVAKCPELKSKMRPPPRCSFDRTYFVSLGPRNGGLFLKSSDEAIMSKSIAASSSEA >cds.KYUSt_chr1.16050 pep primary_assembly:MPB_Lper_Kyuss_1697:1:93301637:93302524:1 gene:KYUSg_chr1.16050 transcript:KYUSt_chr1.16050 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTKLVALGFVVLVSIGFADAARMLASSSASGGGGGGGGGGGGGASGGSGWGGGSGSGGGAGYSESGGDWGNRWNFAKGAGGGGGAGGGGGSNGGSGSGSGSGYGSGSGSSGSASAPSGNGHANADGKGGGGGEGGGANGSSGSGAGSGLGKGYGESGITTSPAPTAGGVSYSDAGGNGNGGGGGNNGNGGGEGKGAGQAGSDDTSGGNASGNGSGSGGGIAKGVAKGPSLGVGSGSGSGAGQTGSTRSYGSYGSGYATGIGGGMGSGYGAGQNGGTGRGGGSGSGSGSGGFH >cds.KYUSt_chr6.20962 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132184248:132185350:1 gene:KYUSg_chr6.20962 transcript:KYUSt_chr6.20962 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWKSKVLPKMKTVFAKSAGKKAAVAAEIVKSFDESKDGINGEFEEKKADLQPKVVEIYESTTAAVKVLIKEKKVSWIKKNSAGVTKFIEDLANIDFPGAKQVSEGIAKVGPALLSSPLFATFDKVASLLPGAEETHREALAVVEKEAAAPEERKEASEEKEGVAEDTKEAVETQVAVAEESISVAAEPATKESAVPAADAVAEAAAPSELQEPAKAQEETPKA >cds.KYUSt_chr1.26817 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161790215:161792469:1 gene:KYUSg_chr1.26817 transcript:KYUSt_chr1.26817 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTMTTMESEWRLAAHILLLSAAIGGITYLLHRALRSFHLRQENRSPPPGGIGSWCRGFVGAETLSFLADNSSGRGFYHFVHTRALRYGAGFRTSLFGRTHVFLLSAKARAAAACLLAADPPHFSKRYVRTIADLLGEHSLLCTSHDTHRRMRRAVAGLFASASTAAFAETFDRLTTSQLLAAHFQDRVVVLDVALDVTFRAICEMLIGPQDDSHKLERLQSDVMDVTQAMLAVPLRLPGTRFYKGLQARKRIMDVLRQEISMRRQNSLKLDRRDDFLQTLLLKSHTDSPEEALTDEQILDNILTLIIAGQVTTATAITWMVKYLGDNTDLQEKLRSVQLDLASKHHGSPLTLQHLNTMDYAYKTVKESLRMATIVSWFPRVALKDCQVAGFHIKKDWIVNVDARSIHYDPTIYHNPTMFDPSRFNDDMKPYSFLVFGAGGRTCLGMNLAKIMMLIFLHRLVTNFRWEMADHDTSLERWAMFPRLKNGCPICLTPIHKKMY >cds.KYUSt_chr4.40258 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248531548:248532420:1 gene:KYUSg_chr4.40258 transcript:KYUSt_chr4.40258 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIIDSLVSGFTKVLADILSKPLDFLSGKTCSSACGSTWDVVCYVENFCVASLAKMAAMLFLLYLVLVFFYLVYKLGICRCVCHGVCTIVWSCISCSFSACKNGCAIACVKMRDVRRARRKRRRRSHGDIEENSYLSSSDSESEDCTRHRTARRGDKSGRSLSRRSGDRKRVYLERSLRTRNHRVTVGIGRRCEVADEKGHGTVLQHHGVKVTHTSPFAHKGSCRRAYRAN >cds.KYUSt_chr4.21251 pep primary_assembly:MPB_Lper_Kyuss_1697:4:133736131:133740813:1 gene:KYUSg_chr4.21251 transcript:KYUSt_chr4.21251 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEVGKPLSMEEVEVAPPQAMEVRVKILFTALCRSDVYFWEAKTATRVTPSIAGALAHGTRRLQGRGRREPAVATSQRSSVARRGSTPPAAHAGFALPRSRVTLSSVCKGAGARNSPRLHPGAAASLAAATSRRSSPPPPTRASPCQGLHNLETPRFVREQKKNQSLRPGAEGNRPEAQSQLLAQQHSLTPFPCKEECMWCPASCGSNKDRSRCDVLAYGGDLGFGIRERGDTNLGAKSISRLGKQELAAPLFDLRSNQEGIESQWKREAPRISKARESQS >cds.KYUSt_contig_1537.66 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:375796:376239:1 gene:KYUSg_contig_1537.66 transcript:KYUSt_contig_1537.66 gene_biotype:protein_coding transcript_biotype:protein_coding MESNISTCAICNGDMRRGVGGSSFTADCSHQFHFRCVLASSTSQACPLCSARCRELPSFRSSKSTPPPPASRVPAQPFFRPKEPRVFDDDDPLVRAPRPLGDRHHSAAGSTSSSGSIAVALNTHCEYSSLPRDQHRRRAPEGRQGAR >cds.KYUSt_chr1.7611 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46747942:46749190:1 gene:KYUSg_chr1.7611 transcript:KYUSt_chr1.7611 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGVMVALLLAAVAASCATAQLQEKFYSESCPSVEEVVRKEMVRALSLAPSIAAPLLRMHFHDCFGCDGSVLLDSANKTAEKDALPNQTLRGFDFVERVKAAVEKACPDTVSCADVLTLIARDAVWLSKGPFWEVPLGRRDGSVSISNETDALPPPTANITVLTQLFAAKNLDIKDLVVLSAAHTIGTSHCFSFSDRLYNFTGRVNPSDIDPTLEPFYMAKLKSKCASLNDNTTLVEMDPGSFKTFDLDYFKLVSKRRGLFHSDGALLTNAFTRAYIQRHATGAFKDEFFADFAVSMVKMGNTDVLTGSQGEIRKKCSVVNH >cds.KYUSt_chr6.8086 pep primary_assembly:MPB_Lper_Kyuss_1697:6:49741943:49747717:1 gene:KYUSg_chr6.8086 transcript:KYUSt_chr6.8086 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVSDELLGTFVPIAVYWLYSGLYVALDGVDRLRSYRLHPKAEEAAKNVVSRAAVVRGVLVQQAFQVVVALTLFAVIPLLLLAGPPPLSAYNLACQCPDTPEFLMLNQLALSFGVIGDDSGIAQKQPSAVVIALQFVIAMFVMDTWQYFMHRYMHVNKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLASENVGKEMDKRYTLNATVKENVSMAIEESEPFIRKKKPHKHYVPCEIEFLPSVENLVEPADYNNFTQFSLSYILKEEHLAGNGSLFGGHQSLQEREGTYYAKNQSLHCGFVEGPDGYPSSGFDLYEHDRAYMDTCRVVVSSCIFGGSDYLRRPTKSKISSYSKKNVCFIMFLDELTLATLSSEGHVPDETGSIGLWRIVVVKNLPYKDMRRAGKVPKLLAQRLFPSALYSIWLDSKLRLNADPMLIIEYFLWRKKAEYAISVHYDRTCVWEEVLQNKRLNKYNHTAIDEQFYFYQSDGLLKFNASGQEPLLPSCK >cds.KYUSt_chr1.36130 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220248036:220248323:-1 gene:KYUSg_chr1.36130 transcript:KYUSt_chr1.36130 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTHTRRSISNGAPSVWSFLTVLLLILLSCSPCQGRKLLGPQEQGKVMHFEGGLVLRVSPTQSPNGEAVAAAPRGFSRAARSMRSVPSPGVGH >cds.KYUSt_chr7.10586 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65031027:65033494:-1 gene:KYUSg_chr7.10586 transcript:KYUSt_chr7.10586 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDGPGATAVQVLGHLSTYPPSRRPLMPSPPPAGIGSLRTVGLHYALVQWTLLSLCSATTSRRYPQGVAAEELGAKARTPESDEAPSLLDTKEPLGTNHLVEAWRRAPAAWGKDLHTGGRIDAAMPAEGCGGKQAICVIELDEETHIAPRRKVSSMLTLESSRSAAPFLLAATAGRESAQQRAPPPRTAPATRTSTSQIQNQWILLLPLQTTMASKERNRNPLHRTRSALLLATTSGQESFTDAERSWKNRARSHRFIGEDGVADAPLPSNRRSRRPGSSNPRNTMDPVAGIQGSASSYGQTAQNADRGRKTQRNYRS >cds.KYUSt_chr1.25385 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152273332:152274385:1 gene:KYUSg_chr1.25385 transcript:KYUSt_chr1.25385 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAVNGRDTEQYHLGLGLGLSLGMAGTTSPVEPAAPQRTPTGQQQSWTGSGLFSPVSSGEQRTHADDRRLAALACHEMPFLRGIDVNRAPAARGSCGGSEDEEPGASSPDSTLSSLSGKRGAPARSGGGGGELERAGAGSDDEDDSGAAGGAASRKKLRLSKDQSAVLEERFKEHSTLNPKQKAALARQLGLRPRQVEVWFQNRRARTKLKQTEVDCESLKRCCETLTEQNRRLQREVQELRALKLLAPPPPPPAHLYMRAQPPPPTTLTMCPSCERVAPSGGKPAVVESRPAPTGPWGPVQMLPVFVGRPAQRS >cds.KYUSt_chr2.18106 pep primary_assembly:MPB_Lper_Kyuss_1697:2:114064365:114071786:1 gene:KYUSg_chr2.18106 transcript:KYUSt_chr2.18106 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPAARVVINVGGNPPAARVVVNNFVANPPAARVENNNVGANPPVAGVVKKVANPPAAAAAAATAAETAIRKKKDMDNPPGAPAAPVANPPTPPAVIAAFNITVINPPAAAARGPKDLNTKTPLIIGSADDIPLAETAIRKKKGMDNPPAAPAALVANPPPPPAVVAAVNITVMDPPAAAARGPKLILMPLILSTRLVPFSISVDASPVALVVVIGDVVPADAVAKKVARRCSDCLHWKWEALPPGEKKSLISVPSFDDLDKVDGIQVVVMSFSSTISISAWQSSDVPHKAKLEKVAMFNFRVLDRSLDDIGVFAKYDAVVKLKAYELPFRKELFDYVSGPDFVPLVWVKKDDGDEGGDGNGDGVELSYWIPLRLGWVLQRPLCRRVTGDQPHPGETFYTLVVP >cds.KYUSt_chr2.52782 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329308071:329310311:-1 gene:KYUSg_chr2.52782 transcript:KYUSt_chr2.52782 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKLSLLVSLLPFLLFNLVAAGDAGEELGTYIIHVQPQENHLFGSTDDRKAFHQSFLPEHGRLLHSYRHVASGFAARLTRRELDAISAMPGFVTAVRDVIYQTQTTHTPRFLGLDTARGTRNLSVGFGEGVIIGVLDTGVFPIHPSFSGKGMPPPPAKWKGRCDFKGSACNNKLIGAQTFISGSRARSPPTDEEGHGTHTSSTAAGAHVPGAQVLGQASGDASGMAPRAHLAMYKVCNEEGCASVDILAGIDAAVSDGCDVLSMSLGGPSMPFYQDSLAIGTFAAAEKGIFVSMAAGNSGPLHSTLSNEAPWMLTVAASTMDRLILAKVIIGGGLSFDGESVYHPAFNLSGPLFFPLVYAGASARPFAQFCGNGSLDGFDVKGKIVLCDRGNNVGRVDKGAEVLRAGGAGMILANQFIDGYSTLADAHVLPASHVSFVAGLEIKAYIKSTSNPEAMISFFQGTVLGTSPAPAITSFSSRGPSIQSPGILKPDITGPGVSVLAAWPFQVGLPRFDLRPTFNIISGTSMSTPHLSGIAALIKSNHPDWSPAQIKSAIMTTADATDRSGTPILNEQHIPADLFAVGAGHVNAEKAVDPGLVFNISTDDYIGYLCGKYTDHEVSVIARRRVHCSAVTAISEYELNYPSVSVPFTQETQMSTKWVYRTAKNVGEEPAVYYAHVDMPANSCVSVSVFPSSLSFAQTNHEQRFLIIVSATNSSATALQGAVRWVSERHTVRIPISATFAAE >cds.KYUSt_chr7.2683 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15823933:15824273:1 gene:KYUSg_chr7.2683 transcript:KYUSt_chr7.2683 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGCSTCLEIIFAAVLPPLGVFFRYGCCSSQFFISLLLTVLGYVPGIVYSVYVILKTAPELPGIDGDRPYYILA >cds.KYUSt_chr4.5491 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31788787:31794222:1 gene:KYUSg_chr4.5491 transcript:KYUSt_chr4.5491 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAANLAGRPGVRVVVIGDPGTGKSSLVVAVATEQFPENVPKVMPHTRLPADYFPDRVPITIIDTSSSPEQKPKLIAECQAADAVVLTYACDRLSTLERLSTYWLPELRRIQLKAPVIVVGCKLDLRDDQQNSLEQTMAPIMQSFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFILCDHDRDGALSDVELNDFQVRCFSAPLQPTEISGVKRVVQEKMPEGVNDSGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNEIKLRDDFIPTSIKRAPDQTLEFTNEVVDYLKGIFHMFDIDNDGALLPSELEDLFSTAPENPWTSDLYKDCADRNVLGGLSLEGFLSKWALMTLLDPANSFANLVYVGYSGDFNSAFTITRKRRVDRKKQQTQRNVFQCYVFGPKGAGKTALLQSFLGRQPSEAVPINSDRFAANTVELSDGTRKTLILREIPEGDVRSLLNNKESLAPCDAAVFVYDSCDEFSWQRARDLLVQVATHGENTGYEVPCLIVAAKDDLDQSPVALQESTRVSQDMGIETPIPISVKLKDLNNIFCRVVHAAQRPHLSIPETEAGKSRRQYRQLLNRSLMVVSVGAAIGVVGVAAYRVYAARKSSSS >cds.KYUSt_chr2.18894 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118851113:118851538:-1 gene:KYUSg_chr2.18894 transcript:KYUSt_chr2.18894 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQPKDDIDIFEPTVTLDQTHYQEGYKNGYDDGLVSGKEDGRQVGLKMGFQIGEELGFYQGCLDVWMSAIRLDQDAFSARVRKNMEQLAALLSIYPLSDPENNQVQDMMEKIRMKFRVIMASLGAKLDYEGRPTSSKEDF >cds.KYUSt_chr7.11562 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71092049:71100624:1 gene:KYUSg_chr7.11562 transcript:KYUSt_chr7.11562 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDRLGLISDAMLNRPHPYRESRSSRCCCTLVYRQRGRRVRAKGFPLQSTSTRLLNHAEPAIDRDLTTGPEYGAATSDFTESVAEFPLLRRRTPPALLKSFPHPGDCVHSRPGEQAPKTPSVEILHRETGVRFLGSVSARLLAAVRALLRRIGCFIDRSDYSMGDINNSHGGAGAAAGATFPVAMRGLGLLGWIQASLVVLLLVVVLRRSEPEALGRCAAGASVNKAHGSCWFCHVGLMVRLSFSAHGDLERTEFLAVGCCGMDWRCRCWEAQLRSEGVQAKLQFSGSEIYKLKEKFQADVSEVAIGSAYQQRRLFGSYAAHGGGRRAAFSEGYAALCCGRLAVFRPPLPLLVEWRPFDFLPASEPEGRQCLFIATSKVLLGSFIAPSDIVPGGGEVLVRKKLWARLLSPLVSSKTAKHNPGDAETRLVVHGRVAVRASVIACNHPLPPLFKDALQFSPLTNHLVAAAFPLPDLLLAAPKMSSSSSRKIAAANGFGRCSLTVAEAWALYNDRYPVPPDMRLPSNGGWKMAVNGVGVPPPPKPRTDEWRDAIKARRAQLTAEERLDPTWAANNNDAWWTSYFQAKYDVKMQHTDGLVGGPNSWNKDGRALFWGVPGRTLENVIRGIRNGAPRLEMPSSPPPSPQWQSRRTTYSSSSHSSSSGPVRSTPSSSYRLAPYTVPKREVKEEPATPVNTRRGSSGSRRQQGRRGGALLIPKPEVKEEPEDASQAALLAEYERQQRLIASSDDPEDCPGLRAASLASMNDKDAWRGDLDAAIALSIRDSSKPLVDLTDDGEAGPSGAV >cds.KYUSt_chr4.7718 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46061895:46067643:1 gene:KYUSg_chr4.7718 transcript:KYUSt_chr4.7718 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLSCAQRGWVNVDIDKIECESCGAHLIFSVLTSWSPAEVANAGEAFAEQLDASHKNSCPWRGSSCADSLIQLHLTQSALIGGFKDRCDGLLQFLSLPVIASSAIENMRLTRAAQINRLLTQSITFLSGELGYKADSTPGVDIHQGSSCGYSRAQKLISLCGWEPRWLPNVQDCEENSTRSAKNALSNEPDEHQKSSFSESAKKDKGKGKRPLKDSGCSMSSPLLDCSLCGATVRIWDFRSVSRPHFSPNNIDAPETGKKLTLTRGISAASGINGWVNDGVVRDQAEGRDEAATYEGKLLSNAGVDLSLTMAGGLPPIHSAMPVASEGFNGGMGRDLMIAQPTGSEVGDRATSYESRGPSSRKRNLEEGGSTADKPQDGVQHADSIEGTVIDRDGEEVDDDVQDSDIQNKRSRGFNFFDANLPSCSGAGPSRNLCIDSDVDTSIFGHSRAVGLGPVEHPSARDSMRASSVIAMDIRSADEDSMESVEYHPDAGIDINMPSSSGYRNIEMNDAFDLNDSNQAQQSACAQPAAGSDGREIGGSSTNEGEEVLNAGTTSAFARDQLSLGISGGSVGMGASHEAEIHGIDVSVQRTESVVGDAEPITDLTETMGHTGESVPGPGLMDEFVPEEVDREVNHGDSQDIVFRSAGRADSGSKNYGCNKADSGESGKKIGHAIGQESTMHPSLSCNAVMYNGFDVFKEEVTQAGKVPTTDDVLMGLDYDPQNELGATNGENDYEPGLPDFDPIKHHKSYCPWVNGTVAAACCYDASSSSSSSELSGWQLTVDALDTFQSLGQNQTMQSESAASLNMDDQVASNRKLARSFYASTSLPVAKPHSLPSSTKLPSTAVAAITTVQQTTSPVLAEATTLPTANASTAAEVLSLHLPELPSSTRDKILSLELMGVDYGRALSLNPDLRDASPESIHAVVTFLQSRGLHFRDLGRVFGMCPSVLTASVRADLRPVFAFLTDDLGVPEDAYRRVVVKCPRLLACSVRDQLRPALFYLRRLGFRDNRALAFQDPILLVSSVERTMAPKLEYLRTELGMSKDEAVAMVLRCPALFTFNVERNFRPKFEYLVQEMGGGVEDVKAFPQYFTFSLEKRIAPRHRAAVEAGVDLPLPDMLKATDDEFREMLERKRS >cds.KYUSt_chr7.32576 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202863342:202865035:1 gene:KYUSg_chr7.32576 transcript:KYUSt_chr7.32576 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNFPQPHHHQTTHFSLPKKQFLTYALYALLPLALLHYLLFNPLAEPKPAALVREAAATVVPSQPGHASANAHEELPPPPPPDQGDEVVLGEMQAGEVSATASPPPCDYSEGEWVPDARAPLYNGTSCGTIKDGQNCMAHGRPDTGYLHWRWRPRGCDLPAFSPEAFLRWLRNRHLAFVGDSLARNQGESLLCLLASRSRPDLVYRDGEENKFRRWVFRDHNATVSIFWSPLLVKVAEKAERAGVRHNNVFLDAFDERWMSQLGALDAVVLSVGHWFLIPGIYHDGGEVVGCHDCADLNRTETAFFGVFKEIVHRTLAEVARRHGNDRKVVAFTTFSPAHFEGDWDKAGACPKKEPYKDGEKELGYTEMEMRKTVVEAVAAAASSAGALRFAALDVTKLANLRPDGHPGPYMRNDPFAGGMDARVQNDCVHWCMPGPIDTFNQILLQTISG >cds.KYUSt_contig_1790.394 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:2007620:2008153:1 gene:KYUSg_contig_1790.394 transcript:KYUSt_contig_1790.394 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVCARLSVGLPVADLWPALSGAFQVAGLPPDLPVKRVLFARLMALPVINLVEGEPPRLVQLPDKDVEVAEWRGALLLATPDLRDNFLGLYDHRHSSSRLSDTQRKMLEYIGEARFVLIKVDC >cds.KYUSt_chr1.22990 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136336912:136338057:1 gene:KYUSg_chr1.22990 transcript:KYUSt_chr1.22990 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSMMDQKINSEERIGEGEMSKRRQVQEDYCRIHPGKRLRPVQQRQKFLYLVVDDWKWGFTIRKIDVDDNLETSTDLDLEPSVLRLRAPVRGHGMDFTALGSNIFIAARKHTGTFVYDTETEGVAIGPNLSDSMMPGVHIFLATPSMQLYALKNNILEREHSFEVMSTVGGPNPPHMSTPTMDWSWKSVPSPLPFADNERVTAYALHPDGSTIFMTAGSRNRFRTFSFDATQSEWRCHGEWVLPFEGQAYFDVELDAWVGLQKDGYICSCQVPSCSSSSTSTTQPDWRMVKEKMFRNDRRSTATLTYMGDTKFCIVESVLREGLELEDAHGVRDGFNLHITIFGLKYNREGELQTTIDRTTKSYQLSKHLSFSPVAFWM >cds.KYUSt_chr7.10711 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65885934:65887784:1 gene:KYUSg_chr7.10711 transcript:KYUSt_chr7.10711 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRTTFTAPHHQVIRLLDSHDLPAAARLAAAHPSSPVSLAAVLLRHPPPRLGYCLHARAARAGVLADRYVANALLAFYLRLPRHLPHALRAFDDLPRRDVVAHSSILAAFLRAGLPRRALHSLRSMVAGADGVSPSAHALSAAVKACAMLRDRNAGACVHGSILVRGFDDDDIVLSALVDMYGHAGSPGDARKAFEEMRAPDGICYTSLISAFVRNDWFEEAMRWFRTMVMTRGVEPDGCTFGSMMTALGNLRRISQGREAHAQVLTRGLCGNNVIVESSTLDMYAKCGMMLEARKVFDRMQVRNAVSWCALLGGYCQNAEYEKVLALFRQMDKEYDDLYSLGTVLRACAGLSSVKPGKEIHCRFLRNGGWRDVVVESALVDLYAKCGALDYAYRIFEASSVRNTITWNAMICGFAQNGHAEGAINLFNRMVREGARPDYISFIGVLFACSHTGMVEEGRNYFNSMSKDYGIAAGIEHYNCIVDLLSRVQLLEEAEDLINKSPFRDDSSLWAAILGASATHSNPDVAERVAKKMMELEPHYHLSYVLLENVYRTVGRWEDASEIRKLMQSRKVKKEPGTSWIDVNRSKLHVWNAKGAPSELVASEDIITDGRSP >cds.KYUSt_contig_7513.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001572.1:89278:89748:-1 gene:KYUSg_contig_7513.10 transcript:KYUSt_contig_7513.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPGEAPEMLDPPSRRTRIRAILDGGKAQAGRRVVVGGWVRAGREQGHGAPDPFAFLDVNDGSCQGNLQLFVKGEVVGYPLARLTATGTSVFVEGVVRRDERAKHGVELAVTRVLEVGEVDAAAYPLPKTKTGHSLDPAYIRDFVHLRARTYLV >cds.KYUSt_chr7.23590 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147101978:147103605:1 gene:KYUSg_chr7.23590 transcript:KYUSt_chr7.23590 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVADGGTPEVTLQTSMGEFTVEMYHKHAPKTCRNFIELSRRGNYNDVIFHRIIKDFIVQGGDPSGTGRGGESIYGAKFEDEIRPELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVCRGMDIVKRLGSIQTDKNDRPIHEVKILKTSVKD >cds.KYUSt_chr5.17752 pep primary_assembly:MPB_Lper_Kyuss_1697:5:114585717:114589312:-1 gene:KYUSg_chr5.17752 transcript:KYUSt_chr5.17752 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRTPPSSCHAAKPNSVDLPRRPQLHCLATSALTGQSLVAQLNPIAVAVQEGAKAQSGAPSRGARPAAQHHVACLGEDAALVVGRRRPGPNGFHGHGEGHAAHHNRIVDRQQYRGVFGGPQARLASGSAGMHSMLHNAHAHLVVRIRQYDDCKCDGYEENHNSMNYNRYQEHSAADAVQPMKGGAIVTEPASTAESRAPEDP >cds.KYUSt_chr7.38206 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238214300:238215937:-1 gene:KYUSg_chr7.38206 transcript:KYUSt_chr7.38206 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLSLSLPSPPPPLQPLSLLPQHAKVLSHSLALSSRPPPSSICSVVPARHSDYFDPRAPPPPPQRDMYGTPPPTPAQGSPGARVFASYSIYKGKAALAFDPRPPQFVPLESGALKVVKEGFVLLQFAPAVAARQYDWSRKQVFSLSVWEMGTLLSLGPTDSCEFFHDPFKGRSDEGKVRKVLKVEPTPDGNGRFFNLSM >cds.KYUSt_chr5.18496 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119493547:119495892:-1 gene:KYUSg_chr5.18496 transcript:KYUSt_chr5.18496 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGARKKKPSPPAAAAPTATATAKSPPVAAEQKAPPSAPPAANGAAPHELPLAPAVLLRSAHELKEEGNRLFQSRDYPGALRQYELALRLAPRGHPDRAVFHSNRAACLLQLRPVDHRAVADECSLALQAEPRFPRALLRRARALDALGRYDLALADTLALLALDPDHRDALDLAHRLRSRISSSTSTHEPTSRPSPAALGASAVVAGLGPSLPSRPFPKKQSPPSPPPAQQQQPTPAMSKFNPSPAPKLVPFSNSPSSSAKASAADISQKTVPALSVPSSQPATRTALIDRKVVTRWRPLKLVYGHDIRLGQMPEKCTFQTLREVVAKRFPSSKAVLMKYKDADGDLVTITCTAELRLAEASGVGAGVTEGDNKLPTLRLHVVEVSPEQEPALPTEEIKLEEEEEEGELLVAAEDSSSHTSAEVTNAEVAKPDLENGVAEQSTLAGKKDCGHSECKEAEIDDWLLQFAELFRNQVGIDADAHLDLHELGMELCSEALEETVTSEEAQSLFEMAAAKFQEVAALALFNWGNVHMCAARKRIPLDESSPKEIMSAQLRTAYDWVRERYALAGHKYEESLKIKQDFYEGLLALGQQHFETAKLHWSFALADKVDLSVWDSSETFKLFDSAEEKMRAATDMWEKVEEQRMLDLKTPGASDKDEVLKKRRKQHSADGQGELTPDEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLSVGDWKKNLDASVERFKLAGASESDISTVLKNHFSNTVSESGEKNVAPSGTESSQTSENIDDESVVES >cds.KYUSt_chr4.988 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5098861:5101702:-1 gene:KYUSg_chr4.988 transcript:KYUSt_chr4.988 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRWRPQPRWQRALRPHTGQPHPAPDLTVVSLAVNYFSGTLPTGRFPALLVVDASDNLLNDTLPIDLGGCLYKAVLVDGDTLVVPKFSSDDAGVRRFCEFDVQMCAIAKLRHSNILRLSGFYLDTEEILLIHYLAANGWGRSLCRDIDH >cds.KYUSt_chr6.5128 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30324464:30331681:1 gene:KYUSg_chr6.5128 transcript:KYUSt_chr6.5128 gene_biotype:protein_coding transcript_biotype:protein_coding MKALPRRIKAFLLGCFAPRGADPAAVGDQDETTTTTAKTPSRRTEKRSMRRVQSSTARLRTLPLDDLSRTLASSGMHAFTLAELRLATRNFSRSNFVGEGGFGPVYKGFLDDSIVPGIEPQHVAVKYLEVEGPDGHRQWLAEVLYLGMQLSHPHLVKLLGYCCQDRHRMLVYEFMARGSLEDHLFKNLLGATLPWATRLKIAVGAAKGLAFLHEAKTPVIYRDFKASNILLEADYTAKLSDFGLAKEGPMVGESCIWPSTIMGTNGYAAPEYISTGHLSARSDVYSYGVVLLEMLTGRRSLDPQRREREQNLVDWARPYLRRPDRLHRIMDPSLEGSYSEKAAEISAMVAHNCLHSVPRSRPTMLEVVEALEPLLDMRADVPVGAFVYTVPLEVVNERAEGSREKDGGRGATVKKKTSLYADNALQRVDRRRGLVVFVFVVGDVVMLVTGVTDGVMVVFVFMVGDMPILVTGVNGGLMVVFVFMVGDMVMLVTGATACVLVVFDFVVDNLVMVVTGVKSSGVVVFVFVVSDVMMLVIGVNDGAMVVFIFVVGDMPMFVTGVTDGVMVVFVFVVGDVVMLLTGGAIADVSKLVNGCAIMVL >cds.KYUSt_chr1.24534 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146647847:146650005:1 gene:KYUSg_chr1.24534 transcript:KYUSt_chr1.24534 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWATAAEAEAALGRAMTAPEALWFRLTATTPDYYLYCLNILFLLVVFTLAPLPVALLELRAPRAVQPYKLQPRVRLTRAEFLRCYRDVMRIFFLVIGPLQLMSYPAVKMVGIHTGLPLPSLGEIGAQLLVYFLVEDYLNYWLHRLLHGEWGYQKIHRIHHEYTAPIGFAAPYAHWAEVLILGIPSFTGPAIAPGHMITLWLWIILRQMEAIETHSGFDFPFSLTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYLYGTDRGYRFHKAYLAKDLAPSDGEKEDANGFSYTKFD >cds.KYUSt_contig_1658.132 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:831748:835681:1 gene:KYUSg_contig_1658.132 transcript:KYUSt_contig_1658.132 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAQHGHGLPGGGGGGGGHGRRAPFMTSYALTLAFITFVSLLYFKDFSSTLHQPFLHHPPQRSHRPHVRRPRPLQLPHVATAGGATVEIVEKAASRAPERRRRLPFAVGPAAAGCDISVGEWVYDEAARPLYREEECPYIQPQLTCKEHGRPDTAYRHWRWQPRGCDLPSFNATLMLEMLRGKRMLFVGDSLNRGQYVSLVCLLHRAIPESSKSMETFDSLTVFRAKDYNATIEFYWAPFLAESNSDDAVVHRVADRIVRGTAIEKHAKFWKGADVVVFNTYLWWMTGQKMKILQGSFEDKSKDIIEMETEDAYGMVLNAVVKWVENNMNPRNSRAFFVTMSPTHTRSKDWGDDSDGNCYNQTTPIKDLSYWGPGTSKALMRVIGEVFSASKVPVGVVNITQLSEYRKDAHTQIYKKQWNPLTPEQIASPKSYADCTHWCLPGLQDTWNELLYAKLFFP >cds.KYUSt_chr2.1973 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11892710:11893817:1 gene:KYUSg_chr2.1973 transcript:KYUSt_chr2.1973 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGDVFDHGRHGTSLAQVQEAKTSRSPPKPLLVAAPCDAGEYPVVVFLHGYLANNYFYSQLLQHVASHGFIVVAPQLYTFSGHDTTGEIHSAAAVIDWLADGGLSSSLPPNVRPNLTAVSISGHSRGGKVAFALALGHGRTSLPLAALIAVDPVDGMAPGRQTPPPILTYREASLRVPAPVMVIGTGLGALRPPCAPLGVSHAEFYRECAAPACHLVARDYGHTDMMDDVTGGAKGLCKSGGARAPMRRFVGGAMVAFLEKWVEGRPEWLDGIRERPEVAPVVLSAVEFRDAGTRIHGVMLPA >cds.KYUSt_chr7.15771 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97903338:97906217:-1 gene:KYUSg_chr7.15771 transcript:KYUSt_chr7.15771 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAMSPDSLLFFYAVVASVTAAFGLFSLYKHLTQHRRRHFGTGDSEEHRPLTVAPSLLVPQFMYNRLVRHSGKGAGSTECAVCLGVIQVGAMAKLLPACTHVYHVDCIDLWLASHSTCPLCRSRVGDHSRAGDKTVQDLAYLSPWDEEGDNTPTRIIQKLNAFPNRPIAGEKHIQSSTAHANAHATGVAPAVFLDSIFKRDHRINLARSIVDATMTPDGSTILHVYIIPAAPCH >cds.KYUSt_chr5.43269 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272771861:272772394:-1 gene:KYUSg_chr5.43269 transcript:KYUSt_chr5.43269 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHAEVRPSLCLEAAARAYINSSTNSLEKPHRASRSLIAMANSTKVAVLLVLLQVSCAVSRPHISPGKSLLVNTPAVMTVNGFQEGEGSGPAACDGQYHSDEEFIVSLSSEWFDGGARCGKLIRIQDSANLHITAKVVDECGDCDNEVGASAHIWRNFNLDPSVGEAKISFSDVVE >cds.KYUSt_chr1.33017 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200394276:200398962:1 gene:KYUSg_chr1.33017 transcript:KYUSt_chr1.33017 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLPRPPPPILPTIPLAQHRTNRADASGDLSGRRLPRGYAFPRRPPAHPPLRRWKCAGTDLTAIALLQDSRFGITASRPARRRGSIQIATSFDDDFGDFSLVHDDGDDDVFGLDLYSSESDWSDDDVVLALGDVELPMMTDRRFKGAEGAITVAAHRLATIDKGHRKSRTQQGLMNNLGLIAFLAMLLLFVDWCSWRIVRLPLDSFYLTRPFLISAVLSTVAGFIFAPIADSMKIHHFRRRGKPISPSYGKPTPAMGGLFFIPIGILVARRHVGSNSSGVNGAAIITLIFAIVGLLDDISNLVMDHDHKIPQWLRVLVQIAAGIYFFIWLGSANISTPYNMKFLVPLPPPFGLAFMGKLYLVLATICSLSMGTGVTLVDGLDGLAGGVAALALAGLSVAALPVCSGYLGLVSA >cds.KYUSt_chr7.36904 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230538961:230544716:-1 gene:KYUSg_chr7.36904 transcript:KYUSt_chr7.36904 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPTAATGSNVFLLPFPGFQGHANPMLQFGRRLAYHGLRPTLVTTRYILSTTPPPGEPFRVAAISDGFDAGGMASPPDAEYVSRLLAAGPETLRELLLSEAHAGRPVRVLVYDPLLPWARLVAQAAGVPTAAFFSQPCSVDIVYGELWAGRLALPVTDGHELLARGALGVELGPEDVPPYALVPESQPLFLKASIEQFEGLEEADDVLVNSFREIEPKHMDNVTADVTSVGKEAGKMRFRIAARLNNTWEADYMESTWRAKMIGPTLPSFYLDDDRLPSNKSYGFNLFGSDSPCMEWLQNQSVSSVVLVSYGTFSNYDKTQLEELGNGLCDSGKPFLWVVRSNEAHKLSQELKVKCEKNGLIVTWFSQLEVLAHKAIGCFVTHCGWNSTLEAVVSGVPLVGIPHWADQPTIAKYVESVWGIGVRVQKGKNGSLKRGEINRCIREVMDGERKDEYKRNIVKWTQKAKEAMKEGGSSDKYIAEFAAKEYDLVHGPPFIVGGPRRLLEVFDWLHRTDYVDGETMELMTAIACGWIKRLVGAGGDVSALLEEMKSEAVWSAGRIGGK >cds.KYUSt_chr5.14058 pep primary_assembly:MPB_Lper_Kyuss_1697:5:91294352:91294909:-1 gene:KYUSg_chr5.14058 transcript:KYUSt_chr5.14058 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGNERGSSTVAFPLPHASLDFVPIDPPYHQTYSREEAEEEATLSVLRRARALLLDMELRDDDDIDDDDERFGPPIPRLGLLIAYHNVFPSRAGRVASPAVAVKKLKLETKTYGGGPEEGMSADGSTGCVICIQDYEVGDEISVVPCSGSHQFHQRCIDEWFTRKRLCPLCRHALPEIQRGLN >cds.KYUSt_contig_319.1685 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:11107840:11109477:-1 gene:KYUSg_contig_319.1685 transcript:KYUSt_contig_319.1685 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTEERKTFEERVTVRRLLDSLRVAFLERDVYMHPHPSGASSARCSLQGVVALHEQSGSRFKGSTQAIYFGVAVK >cds.KYUSt_chr1.9487 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57851543:57852067:1 gene:KYUSg_chr1.9487 transcript:KYUSt_chr1.9487 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEAERDGEEQRRPLLSSSSPPAAAASAAVHGSLSALRGGGGGWAGGGPEFCAAEESVATFSSPNYYPPAPEPHHDAVYPLYIPGDVLSPSPSPAPTPPHTHGSNITMFGDPSSRAVSVP >cds.KYUSt_chr4.25032 pep primary_assembly:MPB_Lper_Kyuss_1697:4:157381525:157384609:-1 gene:KYUSg_chr4.25032 transcript:KYUSt_chr4.25032 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGDPHPHTPNPAEEKARKKSIKRARKRELQKLKLQQGPSELHKEDPQISPPGALLQTPALRLAAFLKIKQGPSELHKEGRPETSGPAIGEQAGVEDNAGKVSGSEGPKVTDLPEFKVLALNEGHPKPELTDSSKEGDTANSETESEVVVEEEPKDESDCDSEEEWRKFREYKKRMFDKDFAPQSATQAAASDKNSAVENVTEYSGVAENQGVYDLMHPATGGFERGLPGTVFPYM >cds.KYUSt_chr7.13810 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85297916:85305020:1 gene:KYUSg_chr7.13810 transcript:KYUSt_chr7.13810 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAKRGAKGTKWTRDPQLGDLVLARVKGYPFWPAKVSRPEDWNQVPVLRKFFVLFYGTKEIGFVALQDLMPFTEEVKNDWVNQAREKRLPKRYAKGLEEALVEICNAYDELPNSSETAKSSETGNGLLPDQTLDLIEKPTEHLVKPPDDGGPQKLEQMEGDSSMKNLNSLGHISGTEEDVKDGGHDRKDPFPTASKRKISVEKDSGHPKKKKPVASKSATDLHFEQEHSSIFPCSERETEDQKIGKENHPAEDLILDRTVQIVCALAVPKKCKTEKQLKDADRKENKHVDVTGISMGTAPEALPVSVPNNGADKESTGFTKLKTMMKPSATDKSERKDHNSKVILDKPNKQLTGKSPAVFSPNKKSMPASGQRKPEGSADMRPAKRPKLTDRANETVKSGAHSEPNVPVDNKKDISVKNEKSTSAGTGNSTFPEIVTTGDKTRKSGVILSPLPRLHSEGLEQPPGSATKLSVFDSAKKGSSIKENGSRVGRPLEKPRRRACRFDDDEEEEQRTPPHKTVAKPTSKHVAPTEKIHQTGIRGIPSSQVGNISAKKSGVAREEKPRSVGRSPVKHEPIYSPSQNKVLARQGRKSTTTSINASAELGNKINLADRKSSGQSRMPAPSELKKPQGSSSKVLYNQTPGNSHSQSHAALEKNLLLSKSENAKAKAKPSTQLPIAVESRLSANFSGERNVKLDHSKEDRSNFVDKADVAEPSADSVKSMKNLIAAAQARRNLLASGQGNSDGSFADNAVLASTPYGLPGLSPSPGFHIRSASKIAISEGDIMQFQDSIAEPSQQVDLKKPAETHREHEKSPKPKQSSGSLSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKHGIADEIVELLIRKMESEPNFHRRVDLLFLLDSITQCSHSQKGVAGASYVPSIQAALPRILSAAAPPVAGARENRRQCLKVLKLWLQRKIMPEEVLRRYMNDFEGPNDNTSTGLLLRRPSRAERSVDDPIREMEDMLVDEYGSNTTFVFEIDEDFPRINGLSTVISLQVERGEIQEGVEIIAPNSVEEHTTVLESVTDDTVMEDAIDLPRNMQLIDGSVLIEHDSRQEASSEEALNNQYELPPLPAGPPPLPLDSPPPPPLPEGPPPLPLDSPPPPPPLPPSPPPATPPPPPPPLSPSSPPPLPPLPSGPPPQPAPPPPHPSIPPPVLSSPSSLGYQPAVPEYFRPPSVNIFFNALHFADPVVFLV >cds.KYUSt_chr5.13766 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89512145:89512638:-1 gene:KYUSg_chr5.13766 transcript:KYUSt_chr5.13766 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCCAMTSLEASPGEMCGCSRAVVVWPMRCALLVMAVVLQEVLGSRAQLFSSSRVSFSHVRWFDASEPWREGRGPLRHENGKVWCSSSRVLLLAQGFLSAHGSDSSRPAGLASSVPWSGGLRFCKTCACAVA >cds.KYUSt_chr3.42267 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267099677:267100042:1 gene:KYUSg_chr3.42267 transcript:KYUSt_chr3.42267 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPLPPPSADVFFEILSWLPLRSLSLSRCVCTSWRAIISNPAFVAVHRSRAQPLIIAATDSDDRATTSTLQIMDMEGDIARVLDLCRFWKFRASLDSLVLFTCRLEYQYTTPAAFVSSI >cds.KYUSt_contig_2402.81 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000325.1:494334:495620:1 gene:KYUSg_contig_2402.81 transcript:KYUSt_contig_2402.81 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAATVVIQHQGGGRTELLYWALPAGEVMANNPGHYVAAVITVPQTPAAAGATATKGGATVAAAAPVKHLKLLRPDDTLQLGRVYRLVSFEEVLKEFATKRHVKLSRVMVKAKDEHEEVAQKPAKPRRRRGTSGGGAREESDRSLAKVMRQSEQQEEDATATAAAAPKTGSDAASEPAVDEDDCDLESLLPHGLALGRRMGRQWKPALQSIAEGRD >cds.KYUSt_contig_815.275 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1656910:1658523:-1 gene:KYUSg_contig_815.275 transcript:KYUSt_contig_815.275 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDTRAGTSAETLDEEGRSPTDEIKRTRTENGRAPSSSPAPPPPPRRGRVALHPNGLSVDGIPRADVIEYYTHRDGAIYNRSTDSLAKLYRLHDTSETGLEPMMQSEPRENCSRNWRSCETHEPCTMMQIFSLKLAYPPPAGGQVQVYGFMAVRDSLDPLRNYVFNRTRDDPFTVEQDGGVIPMSGPKRGIWWHDMALVEFDMRIKRGDNEADDLQLIDGGVWFNDRTSTHARVATKRIDGDYGSVDICYALLHSAMEATVQIEVAELLSGFSLYASVFYISGLVQQEMQLFDGVIPGPDGCQIYKLDKFVLGSGGRTEVAARKYMVSSSDGRHRYEPENCVVAAGGGGQRYELGKYVIAFPKRTKLGLTLKICQSGGSSDCDEVVRFCISPASTHGCDTFAFDLGFATIHVKISWSTLDVGMCEDESG >cds.KYUSt_chr1.10949 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67021237:67025039:1 gene:KYUSg_chr1.10949 transcript:KYUSt_chr1.10949 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVMPETAPAEGGSSSSGGEEIAYVSYGGEQHLPLVMSLVDEELSEPYSIFTYRYFVYIWPQLTFLAFDAKDGKCVGTVVCKMGEHRGSFRGYIAMLVVLKPYRGRGIATELVTRSIRVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLYRHDDNGIVGVLDNWRILQGR >cds.KYUSt_chr1.35708 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217620802:217621782:-1 gene:KYUSg_chr1.35708 transcript:KYUSt_chr1.35708 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLLPRAARLALLSGVPRAYSSSSVAAPTRPTTTAPVPRGEAPAPMSKAAEFVVSKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIFVPGCPPTAEALLYGVLQLQKKINRRKDFLRWWEK >cds.KYUSt_chr2.50505 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315794153:315795330:-1 gene:KYUSg_chr2.50505 transcript:KYUSt_chr2.50505 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCTDAACSFGLIEIHAVQVEGGGSRGSDHRDEATAGYTQEFYFHNLLLESYSFYWFRRYLTKVFIIGHIIWMKLS >cds.KYUSt_contig_444.237 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000041.1:1583519:1624744:1 gene:KYUSg_contig_444.237 transcript:KYUSt_contig_444.237 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDGSGDEDDGGVDGDAFRGNFPVPAACRNRDSCPPDLGFAMAAALEENRNWHFGISSIAISSSSCNDGGSGSAGMSSSFDLDPKVLYLLRKYLGEYVEGLSVEALRISVWKGDVVLKDLKLKAEALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDIEKLFEAKLQQIEAAETATLEATSRSSKGGPIPGGNSWLYNLISTIIGNLKVTISNVHIRYEDSASNSGHPFASGFTLSKLAAVTVDEDGNETFDAGVALDKLRKSVELHMLAIYHDSDSSPWKLAKKWEDLNPAEWSEVFQDGIDDRAGNSIWAMNRRYLVSPINGILKYNRLGQQERGDPNNPLEKASLVLSDVSLTVTEAQYYDCIKLLETVSRFRARVDVSHLRPVVPVKEDCRAWWRYAMLADLRQKKLCYWFSWERTRHLCQLRRRYVQLYATLLQQAPCVDISEIRQIEKILDSKVVILWRLLGHAKVETVKSKETLHKKGSSKRRWWPFGRNSTGLPSEAGSLLEPQLDEEEQLTKEEWQAINKLLSYQPDDDMSFPVKKVLPNTIRFLVDVSIGQAAARIINIDQTEVLCGRFEQLQVVTRLYPKSTRCDVTLKYCGLSSPEGSLAQSVISVGKSNALDISFVREPIGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSNAVSPTVAMETATALQIKLEQVTRRAQEQLQMVLEEQSRFGLDIDLDAPKVRIPLTGNEHFVLDLGHFTLRTRDGTRDEERQSLYSRFYIAGRDMAAFLVCDVAEDRYYVPENLSHSVLSGPTADATQFCSLLDPCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYCKIVELLGVLYQLKGNNTEESNSYENGNLVPWYPADLAGDARTLVWRGLGYSLAEWHSCHVVLSGMYLYILESELSQNYQRCCSMASRQVFEVPSTSVGGSVYSIAVCSRGADMQKALESTSTLIVEFHNEIEKANWMKTLVQATYRASAPPEVNIFGDPISRTPETSTPRLSSLGSVDLLVNGSVIETKLSLHGKLDRKNKDPEELLMLELLGNGGKVNVVQSSRGLSVKTKLHSLKIKDELHGRLSMSTKYLVCSVINEDLDSIPKKKEDIESEGSCTPDGEGHQKLFSMEEDSFMDALADFTPDQCPNLHDLDTPSNSTSDVNDYTIYFDGDQPKMKHTEIFYEAQDNNVTDFVVLTFLSRTPDSCLYDGIDSQMSIRMSALEFYCNRPTLVALIEFGVDVSMVNSLPKSDPDMAGAAQSAIPAGKEHSSRTVVKGLLGYGKRRTIFNIKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPSSFSIDGMLGNMRFCDMSLGPDHRWGWLCDIRKPGVESLIKFSFQSYSVDDDDYEGHNYSLTGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFMDKVGGFEWLVQKYEMDGSSAIKLDLSLDTPIIIVPKNSQSEDYIQLDLGQLKVRNDFSWHGGDESDPAAVRLDVLHAEINGINMAVGVNGTLGKCMIRQGHGINVEVRRSLRDVFRKVPMLSLKVEIGLLHAVMSDKEYHVITSCISTNLSETPNLPPSFRENVNRTKESIRLLADKVNLNNHLLLSRTVVIMVVNVQCALLELRNGSESPLAELGLEGLWVSYRTTSLFEMDLYLSILKFSVRDIRPDTKSEMRLMLGCYSETSKLSTPDPSTDVGVSNLTMLILDYRWRSSFQSFVIRVQQPRILVVLDFLLPVVEFFVPSLGTITGRDESLNPKLDPLMTSNDIILCGPVFLQRENVIQLSPERQLIVDGCDIDEFTYDGCGGTISLCEEFDKKGQLYSGTIIIVGRGKRLRFKNIKIENGALLRKCVYLNTGSSYSIAAEDGVEVSVIETTFNNDEDNRFHTEEYNKQISALQTAADTHSNQMLSFTFEAQVVSPEFIFYDSSKLLMDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTVEAGSGLLVLEPVDFSWKYTSVSEKTNIILTSSEICIHLSLSVASLMLKLQNQTLAALQFGNINPLVSCTNFKRVWTSPE >cds.KYUSt_chr6.3471 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20025207:20031294:1 gene:KYUSg_chr6.3471 transcript:KYUSt_chr6.3471 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAVRGKNPKLRGAAGSSRRGGGRRDVDWKQSRGSWRVPGRAADRQQAGRSRHGPADVDKQPSQDEKDDDKQESGEKDNHLSPPIPVRVWMVLLVVGEAAGSCAAAFASALATPP >cds.KYUSt_contig_402.97 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:489860:493038:1 gene:KYUSg_contig_402.97 transcript:KYUSt_contig_402.97 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTGMCVFQLTRNVFLNPDVRISKSHRQSAVLENAEEGERYSQHAFRRYVSAHRPEGVMHSPGSTFLLLCVMFQAAAACSGGQCELGDRCSSSADCGNELYCYNCWVEFAGKRCVRKTVSDPFKIVDTSLPFNKYAFLTTHNSFSIHGEPSHTGVPRITLYNQEDSVTDQLNNGVRALMLDVYDFRDEVWLCHSKGGKCFDFTAFEPAVDTMREIEAFLSSHPSAIVTLILEDYVGADHGLSKLFNSTGLTKYWFPVSSMPRHGEDWPRVRDMIARNHRLLVFTSDESKESTEGIAYQWNFMVENQYGDGGMSSRSCHSRSESLAMGNRTRSLVLVNYFHTVPLGVTECAEHSLGLAGVLRACHAAAGNRWANFLAVDYYKRSDGGGVFEATDMLNGMLICGRDDVRACRRRTLKDALQGLLGKLGLMPGRRGARRT >cds.KYUSt_chr6.28494 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180544955:180546190:1 gene:KYUSg_chr6.28494 transcript:KYUSt_chr6.28494 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMAIFGQAPDRIVDGFSDEFLDEFLTLLRRAHRSSRVAATVIYNEFIADRNHVHMNSTRWQTLTEFVKFLGKAGHAKVEDTPKGWFITYIDRDSEQAIKARLKRKRVKSDLADDERQELMIARQIERAQRAQADFGDDASPNAAEDDDLGSEEDDYSGSDDDDQEEETTANKPKEKIAIALQRAAPAPKVNPFDDKPKMKFGFEEEEETANKKGKATDARRSAIEDLMKEEEKAKERSNRKDYWLCPGIVVKVMSKTLADKGYYKQKGLVKRVIDKYVGEIEMLDSKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTERFSAKLQVEKGLYDGKVLRAIEYEDICKIAQ >cds.KYUSt_chr4.10287 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62335894:62337608:-1 gene:KYUSg_chr4.10287 transcript:KYUSt_chr4.10287 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAMATAAGMATGPGSRVTRYAKSTAASVTPVRPGKTHTLSALDNAMERHAVHLVLYCRAAPGVDRDPLKESLSEVLSLYPTMVGRLTRGEGDAPGSGWIVKCNDAGVRMVDARAAVTLDEWLATASADDEMDLAYFEQMGSEPYIWSPFYVQLTEFTDKSYALGLSCTHLHNDPTAAALFFHCWAAAHRRSTSPYSPFLHSPSFAVSPAATPPPAPPMLAAKSTSAPPRADAADSMSSATFHFPADAMRALLSSLAPETTPFAALAALFWLRVAGASTTEENEKDLTLALDFRKKMHAPLPTGYYGSAVHFTRASADLSSGLSAVAAALDRRVATVPEEELWAAVEWLHARQADGGEPFQMYGPELTCMALDHLPLYGAEFVAGEPPARVACRVAGAVGEGIVIVLPAAEGDAARDVVVTLPAETTARICRDDEVLRYGAEVVAGTKVETGAKAK >cds.KYUSt_chr4.7050 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41727598:41728425:1 gene:KYUSg_chr4.7050 transcript:KYUSt_chr4.7050 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHDKHHHHHHHDVEAAYPAEHDGYMIETPELRWAFIRKVYVIVSLQMLVTVAVAGAMYLTPAVRNFFLSQTPAALAAFIVILISPLLVMLPMVYFRQKHPINLVFLFIFTVCISASVGLGCLTKRGPIIFEAAAMTLVVVAGLTAYTFWAAKRGHDFEFLGPFLFAACLILFIYALLMVLFPLGKTAALVYGCIASLVFSAFIIYDTDNLIKRYTYDEYVAASITLYLDIINLFKAILIALEGAD >cds.KYUSt_chr6.29760 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188694281:188694730:1 gene:KYUSg_chr6.29760 transcript:KYUSt_chr6.29760 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPSSSHPPPPHSDVPAVLSPAEITAALRDLTTAVQEIRLFLAGPYGPPLPAALLPWQPTHQAASRPPDAGLLQSPLPLSTISGQSPTSTPGVPLLQQPAAFFPTGTLQQQQQLPPPPTPPLQLLSSPTLSLPFGGQLQQQQQLPS >cds.KYUSt_chr4.45234 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280165281:280166011:1 gene:KYUSg_chr4.45234 transcript:KYUSt_chr4.45234 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHVGLAGAPQQNLEVVNPIDPILGVVDLDVFEEIQAELPLPPLQLSLAWAVPPAFDKVLQEVVPPGEQQQAQAQPSNDMMMVEVALPSSSPVQVQFEGDSRCVERRSGRLDKKNKDCNIPITKRAEYRLAESFGDPPKGPAPKKGSAEDVQEKMKTLLRLCKKHVSPLDTQATHELILANV >cds.KYUSt_chr4.5471 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31581933:31593768:-1 gene:KYUSg_chr4.5471 transcript:KYUSt_chr4.5471 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSTSLPHLQPRRLPSSPAAAVSLYTRAVRRREAPTRLAAVAAASELLDSTNGAVTAPSSGTASGQQQYGREYFPLAAVVGQDSIKTALLLGAIDREVGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSISNADPSNPEEWEEHLADQVQYDADGNVKSEIIKAPFVQIPLGVTEDRLIGSVDVEASVRSGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPLNFDDRVAAVNIATQFQESSKDVFKMVEEETEVAKTQIILAREYLRDVAISTEQLKYLVTEAIRGGCQGHRAELYAARVAKCLAAMEGREKVYVDDLKKAVELVILPRSIISDNPQEEQNQPPPPPPPQPPQNQDSAEDQDEKEEDEEKEEEKEDDDEENEKQDDQIPEEFIFDAEGGLVDDKLLFFAQQAQRKKGKAGRAKNVIFSEDRGRYIKPMLPKGPVRRLAVDATLRAAAPYQKLRREKNIDKTRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDYAEVLLPPSRSIAMARKRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKKSNDPEAAAASDAPRPSNQELKDEILEVSAKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDADNAWLPQWLQPHRQQTVGEHRRDSAGVSSPPCENCVFIWDPAQDQLDCQNATVNAGAYSGFRLHLSGSEATPAASTPTSREALPFSLHLSSESAAQLSSVRADVSPRILNSGTSKGPLKGSYVAGQAQEVKAVDEAKCPKDDKLPEVCRVARKDITKPVGARRHQPSGGKVDVQKLRNADANDAVELSIAASEAMVIAEMLLNDCQPDKLTAAALEAALRVKEARKQCFLEETEHDCGSFENGLDESDWLAELDEVGMLDAFEDVGLSTVQAACSSQDRDTTDLKQLMSPSCAPRDLEAHNLDICSSGEQNRKWHSQDASTNDHVPDSLANNNSAGTLLKESTSGCDSAKQIALDEAISCSRNKETAFQMLTQNNHVTPVRGPHIIKEGGRTEEAAKVIGGTRKHIRTSFISESMDSIYECSPAPRVISTEMVASSRASFPQDTQGFYGENQSADSGYEVRNGEEREPIRSKESLKKDLDVEATPSSEPLDKVSTAKFLYGCATDCWILNPNWLFDSLQADVLLPPGKYFIRQRHTMKESSTFGQPLHLRKFQLHVPITDLDLPIAHALRLTPDAFAITDRCLTLKHL >cds.KYUSt_chr1.38035 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232241987:232256151:-1 gene:KYUSg_chr1.38035 transcript:KYUSt_chr1.38035 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDEVEQEEEEVAETGIDSQVHMACVMQGRRVGVAYYDSNTRQLFVLEIWEDSTEGFPLIDLVKCQAKPSTIYASTKTEEELLSALQRNDNNDEAPVVKLMKSSIFSYEQAWHRYLKLDAAAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKCVTPMGRRLLRAWFLRPIIDIEVINNRLNTISFFLCCEEVMSVLRGTLKSVRDIPHMLKKFNSPSSICTSSDWHTFLKCLCSLLHINKIFEVGISEHLANKLQHMNIDLVEKANSSITEELDYVSNLVIGVIDVQRSKEKGYETVVKENFCDELDELRMVYEGLPDFLEQVSGNENASFPFSLQCRKAPLIVYVHQIGYLMCFFDEKISDALLVGLPDFEFAFSEDREERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVLQFLPQLTKAVNFAAELDCILSLAIVARQNNYVRPILTEDSILEIQNGRHVLQEMTVDTFVPNDTKMRSAGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAVVGLTDRIFCAMGSKSMTTEQSTFMIDLHQVGTMLRHATSRSLCLLDEFGKGTLTEDGIGLLGGTISHFANYDYPPKVLLSTHLTEIFTENYLPQSEHIKCYTMSVLSPDGQASNEDIIFLYRLVPGQALLSFGLHCAQLAGVPDEVIQRAASVLEDVHSKRPIRRMICDKLVAKDQQYQDALAKLLAFDPRSGDLNNFFEEIFPPEP >cds.KYUSt_chr2.1962 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11782572:11795225:-1 gene:KYUSg_chr2.1962 transcript:KYUSt_chr2.1962 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTHLPIVGLNASTTPSLSTLRQINSAGSTFQSPSPSRSSKKKSRRVKSIRDDGDGSVSDPAGHNKSIRQGLAGIIDLPKEGTSVPEVDISHGSGDHMGSYQMNGILNESHNGRHASLSKVVEFCMALGGKTPIHSVLVANNGMAAAKFMRSVRIWANDTFGSEKAIQLIAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTGVSAVWPGWGHASENPELPDALTAKGIVFLGPPASSMNALGDKVGSALIAQAAGVPTLAWSGSHVEIPLELCLDSIPEEMYRKACVTTADEAVASCQMIGYPAMIKASWGGGGKGIRKVNNDDEVKALFKQVQGEVPGSPIFIMRLASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKELEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQVPEIRRFYGMDNGGGYDIWRKTAALATPFNFDEVDSQWPKGHCVAVRITSENPDDGFKPTGGKVKEISFKSKPNVWGYFSVKSGGGIHEFADSQFGHVFAYGVTRSAAITSMSLALKEIQIRGEIHSNVDYTVDLLNAPDFRENTIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTITTNAETVSEYVSYLIKGQIPPKHISLVHSTISLNIEEIKYTIEIVRSGQGSYRLRMNGSLIEANVQTLCDGGLLMQLDGNSHVIYAEEEAGGTRLLIDGKTCLLQNDHDPSRLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPAAGVINVLLSEGQAMQAGDLIARLDLDDPSAVKRAEPFEGSFPEISLPIAASGQVHKKCAASLNAARMVLAGYEHAINKVVQDLLWCLDTPELPFLQWEELMSVLATRLPRRLKSELERKYDELKLNIDHMKTKDFPTEMLKETIKENLAYVSENEMATIERLVEPLMSLLKSYEGGLESHAHFIVKSLFEEYLLVEELFSDGIQSDVIERLRLQYSKDLQKVVDIVLSHQGVRNKTKLILTLMEKLVYPNPAAYRDQLIRFASLNHKRYYKLALKASELLEQTKLSELRTSIARNLSALEMFTEERAGFSLQARKLAIDESMVDLVTAPLPVEDALISLFDCSDQTLQQRVIETYISRLYQPQLVKDSIQLKYQDSGVTALWEFTQGHPEKRLGAMVILKSLESVSTAIGAALKDTSHYASSAGNTMHIALLGDTQMNTAEDSGDHDRAQDRIDQLSLILKQDTVTADLCAAGVKVISCIVQRDGALMPMRRTFLLSDEKLGYEEEPILRHVEPPLSSLLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTLVRQPSAGNRFTSGHISDVEGGPAEESLSFTSSSIMKSLTTAIEELELHAIRTGHSHMYLCILKEQKLLDLIPVSGSTVVDVGQDEATACSLLKEMALKIHELVGARMHHLSVCQWEVKLKLDSDGPASGSWRVVTTNVTPHTCTVDIYREVEDTESQKLVYHSASSSSGPLHGVALSNSYQPLSIIDLKRCSARANRTTYCYDFPLAFETAVRKSWSNIPRNNQCYVKATELVFADKNGSWGTPIIPMQRAAGLNDIGMVAWILDMSTPEFPSGRQIIVVANDITFRAGSFGPREDAFFEAVTNLACERKLPLIYLAANSGARIGIADEVKSIFRVKWIDDSNPERGFDYVYLSEEDYGRISSSVIAHKTQLDSGEIRWVIDSVVGKEDGLGVENIHGSAAIASAYSRAYEETFTLTFVTGRTVGIGAYLARLGIRCIQREDQPIILTGYSALNKLLGREVYSSHMQLGGPKIMATNGIDHLTVRDDLEGVSNILRWLSYVPANIGGPLPITKPLDPIDRPVAYIPENTCDPRAAISGIDDSQGKWLGGMFDKDSFVETFGGWAKTVVTGRAKLGGIPVGVIAVETQTMMQLVPADPGQPDSHERSDPRAGQVWFPDSATKTAQAMLDFNREGLPLFILANWRGFSGGQRDLFDGILQAGSTIVENLRTYNQPAFVYIPKAAELRGGAWVVIDSKINPDRIECYAETTAKGNVLEPQGLIEIKFRSEELQECMGRLDPELINLKAQLQGAKHENGSLSDGESIQKSIEARKKQLLPLYTQIAIRFAELHDTSLRMLAKGVIRKIVDWEESRSFFYKRLRRRISEDVLAKEIRSVIGVESSHKSAMELIKKWYLASETAGGSTEWDDDDAFVAWRENPENYKEHIKELRAQRVSQVLSDVADSSSDLQALPQGLSMLLDKMDPSRRAEFIEEVKKVLK >cds.KYUSt_chr5.33431 pep primary_assembly:MPB_Lper_Kyuss_1697:5:212051709:212053300:-1 gene:KYUSg_chr5.33431 transcript:KYUSt_chr5.33431 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLLSVCISLLCIPLLCFLWRKSTTKLPAGAPAPPGPTPFPVIGNIPNLLRGGELHRALAGLSASYGPVMSLRLGMATTVVLSSPAAAHEALHKKDGAVSNRWVPDSANVMGHSGISMVWLPSSSPLWKHLRTVASTLLFTSRRLGASRAIQEQKVRELVAHFRASSGSPVRIALPVFSAVLNMMSSVLFSEDVVKLGSVTGQEFKELIADSVAETTKPNISDFYPFLRGLDLSRRRRAVTANLDRFYQFFDAVIDRRLSSTTETHGDLLDSLLELHAKSQLERPVIRALLTDLFIAGSHTTTTTVEWAMAELLRNPIKIARARAELKEAFGSGNAEEGDLAKLPYLQAVLKETLRLHPPGPLLLPHEVSESGVTLGGFSVPKGARVFINVWAIGRDPEVWPEPEAFTPERFLEREVDFRGRSFEYIPFGSGRRACPGMPLAVAVVPMVLASLLHEFEWRLPDGMVPGDVDLSDRFGAALELAVPLRAVPIWAKGSQDLGP >cds.KYUSt_chr1.24107 pep primary_assembly:MPB_Lper_Kyuss_1697:1:143751124:143753502:1 gene:KYUSg_chr1.24107 transcript:KYUSt_chr1.24107 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPGSAGGGGSPMARKPPRHQLTSIRHCSSSARIAAASTDLELESGTLSLISPTDIRPAFLPIFRSGSCANIGPKSYMEDEHVCIDSLIEHLGMRTPGIPAPGAFYGVFDGHGGTDAVCFVQKNILKFIIEDGHFPNSMEEAIKSAFVKADHAIADSHSLDRNSGTTALTALIFGRTLLVANAGDCRAVLGKRGRAIELSRDHKPNCKTEKLRIEKFGGVVFDGYLNGQLSVARAIGDWHVKGSKGSISPLTPEPEFQEVRLTEEDEFLIIGCDGLWDVMTSQCAVSMVRKELMAHNDPERCSRELVQEALRRDTCDNLTAVVVCFSADPPPQIEIPRFRVRRSISMEGLHMLKGALDSNA >cds.KYUSt_contig_2773.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000491.1:30997:32806:1 gene:KYUSg_contig_2773.5 transcript:KYUSt_contig_2773.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVTQPSRITSSATDMSMSRSPRTALMSRSNVASANTIPPMPPPATSTRGFLPLVPDGAGAVSAAVAVFAVTILTPRACYSYRAARCRPVWCDVGCSSWQKRCIFAAEVAERNTMGMDPSSCELGECVGQVEELAALEAIDMSGTVPVAANTRGHRNLRNMHLSVGLMDTYLTSSNTTCAGCSSWQKRFIFAVEVAERNAMGMDLSLRELGECVGQVEELAALEAIDMSSAVPVAANTRGHRNLQNMHLMCVRRHLLVLHFALAAQAEKAGSSVDLSTCILSHSLGVLGLRRGGD >cds.KYUSt_chr4.54231 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335318219:335319683:-1 gene:KYUSg_chr4.54231 transcript:KYUSt_chr4.54231 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRAPGFASASLQRPSSSAWLVRRKGDAGLHAGSPSTDIVVADVVVTPRVCHGIDGATLHTSSLVADVAVAPRRYHGDGAARRAVSPGTDVVVAPRGCLGAALHAGSLLAGVVAAPRVCHGIDGAALHAGSLVADVAVVPRQCNGDGAAWHTVSPGTDVVIAPRGCHGVGAALHAGGLLADVVAAPRVCHGVDGAALHAGNLVADVAVAPHGCHGVDATLHSGSLVKVVVVAPCGCVDGAALHAGSLLADVAVAPRWCHGIDAALHGKPRQGRRRRRASPVPRRWRRTARRQPGTDVVEAPLVCHGIDGASFAHVAGLWGVSSQLRLRLLRVQRRELCVRSSGARAASPLAAASSPPQSYA >cds.KYUSt_chr7.33741 pep primary_assembly:MPB_Lper_Kyuss_1697:7:210614271:210615381:-1 gene:KYUSg_chr7.33741 transcript:KYUSt_chr7.33741 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSSGENGLKKGPWTTEEDEKLMSYIQKHGHGSWRALPQLAGLNRCGKSCRLRWTNYLKPDIKRGKFSQEEEQTILQLHTILGNKWSAIAKHLPGRTDNEIKNFWNTHLRKKLIKMGIDPMTHRPRTDFFAALPQLIALANLRQFVEQQPWDHTARLQTEAVQAANLQYMHSLLHSAASIATSPTTSSSLNTPTTDLEQISPQQTLSPTVPEGICGIDLTGQVHQNQMPSITFDHTIGNIDPNSITNVEQLSCEGHNSSQKRLFLSENSLPPLTDMAVSNMCNSICISNYNAVSSPLPSWSEFLLDEELLKEFS >cds.KYUSt_chr7.14124 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87306839:87307144:1 gene:KYUSg_chr7.14124 transcript:KYUSt_chr7.14124 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGRRRCRGSAEGVPQRKVFGGGRGTIKGAPNTPPSQATGLRAAEVSGRGRRRCRGSVEGLPQRKVFGRGRETAAGAPRQGFGYTVSMAAVIGGGRDRP >cds.KYUSt_chr3.43518 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274624080:274625336:1 gene:KYUSg_chr3.43518 transcript:KYUSt_chr3.43518 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLIEAAILDLRSNNSTLLPTKMVIADLGCSSGPNALALVSIAVKAIHSYCIEFQQPPPEVCVLLNDLPDNDFNTVVKSLVTLHSNDPVVLTGVSSGSFYERLFTSGSLHLVCSSNSLHWLSKAPEVLTSNQIPAYGMDEHARRESLSMVIEAYGQQFRKDFTLFLELRAKELVPGGRMVVSLPGRRSDELASKFSHIWEALAQILRVMASEGVIDKLKFESFYVPMYAPSDEELREIIQEEGSFSISQMRVHDLTSGGDSTLIVPSRFITLLRVVFEPIIVQHFGNVMDEFVRTAEQRWSQPGSLQEELAGNPRVMLAVSLAKA >cds.KYUSt_chr5.7974 pep primary_assembly:MPB_Lper_Kyuss_1697:5:50288250:50289554:-1 gene:KYUSg_chr5.7974 transcript:KYUSt_chr5.7974 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPWKPWERDWAELPADSISCVLHKLRLPELLLGGVAEVCRSWRRAAREEPELWRYINVRWLPKVPPFTHRATLENIMRSALRLSAGQCRSFSGEHLDDRLFMLLAQRAPFLKSLDLTGCYRIFRGGGFANAIKKFPLLEYLTLVNCYCNEEALQLIAKACPCLTHFELTHEISCCSYRIKGSADNRKAFAIARMRGLRSLKLVDDNLGNQGLAAIVDNCPNLKYLCIRDCWNISMDGNLTVKCAHIIMDYHEYFPPSEPCDCDCVSPMSYGQLSDYDYDDYHDLSLYSYLGDEIDAANLDDYERTLDVKGMRRYLS >cds.KYUSt_chr7.26547 pep primary_assembly:MPB_Lper_Kyuss_1697:7:165754060:165754778:-1 gene:KYUSg_chr7.26547 transcript:KYUSt_chr7.26547 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRSKFRVVFLKEEADGAALPSAAPRREGVRGGSARCREAPAEGPPADRFSFPCEGLVGDLTELLFLDTNCAVEVVRRPSRFGLLFLETHSAAAAPPSSALAAVPSVPLASWSGTSPCSAVDGGHAGAARNGCCRRSIVLLLLHSATNPPGTGALPVRTLSLQK >cds.KYUSt_chr2.26635 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163109098:163111846:-1 gene:KYUSg_chr2.26635 transcript:KYUSt_chr2.26635 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNGKPPQGKEEGKAAEGSIGGYESLHRLLQSNLSPELFKEASRLLLGLNCARPLEAISLPGATTDLAEAHDFDVQAFRFNADKEQMRQPRIVRVGLVQNSIAVPTTCHFADQKKAIMEKVKLIVDAAGASGVNVLCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQDLAQKYNMVIVSPILERDINHGEIIWNTVVVIGNNGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPVEARNAAIANSYFVGSINRVGTEVFPNAFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLMISDMDLNLCRQIKDKWAFRMTARYDMYANLLSEYMKPDYKPQVISDPLINKRKA >cds.KYUSt_chr7.7405 pep primary_assembly:MPB_Lper_Kyuss_1697:7:44569513:44569830:-1 gene:KYUSg_chr7.7405 transcript:KYUSt_chr7.7405 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTAATPRTSSLRLDLTAHRRDLAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRRDAIITAYHDHCLYLARGGNLVGARRTAQGRARGGRGARSPRAARSR >cds.KYUSt_chr7.14596 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90170175:90175602:-1 gene:KYUSg_chr7.14596 transcript:KYUSt_chr7.14596 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMARTSIWGVVVSIMGVEVSSRVVGMTALMAVQPTQGEAARVREEAADKVLVVARISVETENRFRANPHGGVARGGIDADLLHQTVQAVVAAVTTVQKAPYVAGASQVHIAVGTEAGVTNRDAMVSVAAPTVVQQQGDVAIQEGTAPQMAASKGKEDEGSGPLKKKKRGEDGLFQEGFFKLKFEVETIQGSQEMNMVNVNNGNDVNGDAHNGEGNNGGGNAMDMDPKRSDVDATSNNNDNALQLANVSAMQSTTSGGAVQHGPTSDCRGCICRRGPAQQLPAGCRTWACRPGRSRGGIFCDAWGADGCAWPVLAAKDPGDRSRGPGLQKVDGHNNATMIGNDERSTVGSSVSAVAAANGMSQGSKKLSDMFFDEHSMSGFNVNSLLDDGNTVDAGLAMKPKIVSSLEQTKGDILEDLLVNASEGQGNIGLQGSMGIASSPKTNEEVIAFGGIPKPTLGVRSSTRLGCQPNADMPQMEKAMKNAQLHDDSFNTGVKAHLGRNILKGVVILHETIHEFHPKKMDGVLFKIDFEKP >cds.KYUSt_chr5.28071 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177633134:177634720:-1 gene:KYUSg_chr5.28071 transcript:KYUSt_chr5.28071 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDQGISDLILLWLKLELVDPGGSNTKHSHSIPKLSCPGGRHGTIISTARLNQTVHIDAAKRLMTVESGMLLRDLITAAAAAGLALPNSPYWYGLSIGGLLATGAHGSSLWGKGGAVHEYVVGLRIVTPAPAIKGFAVVRELGADHPDLDAAKVSLGVLGVISQVTLALEPLFKRSLTFVKRGDSDLADQVLPWGLLHEFADLEWHPQDGTVMYRQDDRVDVSTPGNGLNDFLIFRASPTGRTIGARVAEESMQMNNVTDVARCAAVQLQVSAKDQQALGFTNDGVSFTGYPVVGYQHRMQAAGTCIDGPEDGLLTSCIWDPRIRGSFNYNSGFSVAISKAPAFITDVQRIRDLNPDMFCTGVDGRVGLVLRYVKASSAYLGKPEDSIDLDILYYRSRSYGIPRVYGDLVDEIEQMALNKYGGLPHWGKNRDFAFDGAIAKYPKADEFLLVKDRYDPDGLFSSEWSDQVLAIRGSPTVVKKGCAIEGLCVCSDDSHCAPEQGYFCRPGKVYREARVCSFIKENGK >cds.KYUSt_chr4.42049 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260017202:260018552:-1 gene:KYUSg_chr4.42049 transcript:KYUSt_chr4.42049 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKDYNIFIDGIVAVPVDLPGFVFRRARLAGERLRRLLAECARESKARMRAGGKPECLADYGIHEMVRHIDEAANAGLPPPANTSDENVGSYLFDFLFAAQDNVTSLLCSAVSALETHPNVVARVRAEVVTVWSPESGELITAEMIQEMKYTQAVAREVVRHRPPGPLAPHIALQPFQLTEWYTVPKGAMVFPSVYESSFQGFHSPETFDPDRFFSDSRREDVTYIQAQLPGLRRRRRAPVRRPEKHHALAVRRLLAMRRCTQTRHLAPSKALSPMPPARPAAILPWLHRRELELIEFSYIDTYRGQLV >cds.KYUSt_chr5.38273 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242047061:242055385:-1 gene:KYUSg_chr5.38273 transcript:KYUSt_chr5.38273 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKQMQSIEEGAELLRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVENMLNDKLLQFVDIDLHDVKDARKRFDKASLLYDQARERYLSLKKGTRADIATSVEDELHSARSSFEQARFNLVTTLSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNSMTDSPNGDGIQAIGRSSHKMIDAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDNRGMLYYYRKQNSRPSSGYSNQRSSTPTEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSGHHRTNSESSSFSSSTELEHSISEDCMMEKIAGSGYFEHSSRVTQHHRTSMRPDKPIELLRKVAGNDICADCGATEPDWASLNLGVLLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTIWEEILPSASSVDHSETSRADEIENTPSTLIVSKPKHSDPIAVKEKFIHAKYAEKEFVRKHNMEDTQLAQQMWDNVSSNNKMGVYSLIVGSNADVNLTYGQTSFNSALTLGKALLLQEQTGSPSNGSSRCFDRGALEKISPRGSLSPASTSARVDELDGCVEGLSLLHLACRVADIGMVELLLQYGASVNSTDSRGRTPLHHSILKGRRVFAKLLLSRGADSQATDGEGRTALQYAIDSGTIDDEEILVLLEDPAADTSNHAFYEGLQSLHCAFPCGSAIDRVQNERALSSYMS >cds.KYUSt_chr1.9661 pep primary_assembly:MPB_Lper_Kyuss_1697:1:58979411:58983634:-1 gene:KYUSg_chr1.9661 transcript:KYUSt_chr1.9661 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKTLFKGVSEDFRGRAACYKQDWQNGFSSGLRILAPTLYIFFASALPVIAFGEQLSKDTDGTLTTVEALASTAICGIIHSVIGGQPLLIVGVAEPTIIMYTYLYNFAKNEPNLGPRLFLAWAGWVCIWTAVMLFLMAMYNAAAALNRFTRFAGELFGMLITILFMQEAIKGMFSEFSVPEGNDQSLPIYQFQWVYINGLLGLVFSMGLLYTALSSRGARSSLYGTGWQRSLIADYGVPLLVILWTALSYSLPSKIPSGVPRRLFTPLPWEPKSLQHWTVAKDLFSVPPAYIFLAIVPAAMVAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILILSFSVLICGLLGIPPSNGVLPQAPMHTRSLAVLRRQALRKKMVQTAKEGMMNNASSSEVYGKMQEAFIKMDDKMNEDSVHKELKDLKDAVIPEGDGSGSVPEVFDPEKHLEGYLPVRVNEQRVSNLLQSLLVAGCVGIVPVIQKIPTSVLWGYFAYMSIDSLPGNQFWERIQLLFVAPQRRYKVLEGAHASFLESVPFNKISAFTLFQLIYLLMVWGVTWIPVVGILFPLLFFFLVIIRQHLLPKFFDTRHLWELDASEYEECEGVRRDPSVSEAEDESIARGIEAPPDYASEILEEFTTHRGELKHRAPSFRDERLIRVLSRPFSIAALKLQNITPC >cds.KYUSt_contig_7536.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001584.1:21244:21489:1 gene:KYUSg_contig_7536.4 transcript:KYUSt_contig_7536.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQLRSLFGGRLQGTLLGGTPLLPGPTLGPTTDDSADTPGESFAPLVPMLAVLLGTVFPVEGFIGELGLMLSRVLAFSG >cds.KYUSt_contig_815.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:137902:141263:1 gene:KYUSg_contig_815.26 transcript:KYUSt_contig_815.26 gene_biotype:protein_coding transcript_biotype:protein_coding MFAADCTVEGLEMKRHEATTSSRRRVLLLFFLLLSSSQLPRGARAAPGEAEALVDWKDSLKLPWAGALATWDRKAAANSTVAACWWQSVSCDAFGRVIGVDVAGSGLAGTLDALDLSSLPSLASLNLSYNSLTGSFPSSNLPLLSITSVDFSNNNLSGPIPAALPSYMPNLELLNVSSNQLTGDIPPSLANLTKLQSLVLGKNLLSGGIPPALGSISGLRVLELHSNPLGGAIPASLGNLLSLERINVSMALLESTIPSELSRCTNLTDISLAINKLSGELPVSWAKLTKVREFNVSKNMLTGEIMPDYFTAWTGLAVFQADNNRFTGGIPTEVGMASGLELLSFATNNLSGAIPAIIGSLTNLVLLDLAENEFSGTIPRTLGNLTRLKILRLYNNKLTGRLPDEFGNMTALHKLSVSTNMLEGELPAGLFRLPDLIYIIAFENFFSGIIPPISSSQLTVISMADNNFSGELPPGLCLSADRLQFLGLDRNQFAGTVPACYRNLTKLVRIRLAHNRLAGDVSDIFGSHPNLYYIDLSGNSFDGKLTEQWAQQLKNLRYLNLDGNKITGTVPPGFGNMAALNDLSLASNLLAGAIPPELGQLPLLSVNLRHNKLSGPIPPALGNVSGMLQLDLSGNELEGGVPVELTELKRIWYLNLSSNNLTGEVPALLGKMNSLTDLDLSGNPGLCGGIAALKPCGSDGSAGAGVGPRRYSKRLILAIALSVGAALLISMAAAAFLLVVRKKRKRRTGVHHDTGGAETTTASGSGTNVPLLQTSIWSREVEFSFEDIVAATEHFNEAHCIGKGSFGRVYRAEVPSGHSLAVKRLDVSETGDISEKSFENEVRALTRVRHRNIVKLHGFSTTDGFMYLVYERVERGSLGKVLYGGGDERFDWPARMRAIRGLAHALAYLHHDCSPPMIHRDVSVNNVLLDADYETRLSDFGTARFLSPDRSNCTTVAGSYGYMAPELAYLRVTTKCDVYSFGVIAMEILTGKFPGGLISSLYSLDETQAGVGKSSALLLLRDLLDHRLQAPDGELAAQVVFAFVVALSCVRTNPDARPTMRIVAQELDTRQRSALDRPLGAIMIGDLLGSRV >cds.KYUSt_chr3.7067 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40756942:40757337:1 gene:KYUSg_chr3.7067 transcript:KYUSt_chr3.7067 gene_biotype:protein_coding transcript_biotype:protein_coding MENNYSYDYGYATEPVWVDMKRGHEGSEGKLDAAVMEKRYRCDDGKDDDPRWKNVAAYAPSAGAKRGYEQSEDDAEDDKRYKCNYWEDPACAALLQARGGRKAKIAAVLNRADRLIKATDEWIERRYGVIF >cds.KYUSt_chr1.39521 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241777231:241779915:-1 gene:KYUSg_chr1.39521 transcript:KYUSt_chr1.39521 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPYPHRRLLLLLALLLIPAAAAAAGPLYTAHCAAPGHAPDLLDGDDGSSAPAHALQLPTGYFSGGGDRLFGPDASFIPRTFSLLPTSALRTADPSLLHVAATLSLSGGRRGPNLTRAGRFLFQHDPARSHNRPRLPRFFGRRGALSFDLDGYYSTATGYLCMVGTGAGRAADGAPVAPLPAVLRLRFPAPAANLTRPFVTGRLEPTTPSPILDPVALLAYAEDGYAYAEAASCPQPPANALQPFDGRTFSCANIKSLLKTGFTLHHSNGTASSSLGIRQRYMFVNRVQCAADGAVRAYVVFSNQSDFSRYYFMVAEKAVVAEGFWDRKANRLCLKGCHVESAGPSRAEVAVGECGIGMSFWFPAVWSIQERSFSAGMVWNTSLKTEDAIVGYSNPLAPQLRGNSFAGLKYNYTKVDEAKQYYYKDSSLTKKRKGKFPDSNTYLDLAFRFYLKQGGGSGYASPITIGSMLYDGNSVMVDSGTFSRPAVAERKQGLLNVSYDIRYVGNWSIESFQRQHIAAEGVYDTETGSLCMIACRGINVSSDCKILVTAQFASLDSKVAQHVQGKVRSLREKTDPLFFETLEIASYGMYIDQVEESIWRMDLESTMALISMTLSCVFIVVQLFHVKKVPEALPAMSITMLVVLALGYMTPLVLNFEALFKNNNKQTVPFSGGGWLEMNEVMVRIITMITFLLQLRLLQLAWSARSVDGNKLETWAAERKVLWICLPLYIIGGVVALIVHMRPSHNGRMLRGRVSRMRPPPHAFWEDLVSYGGLLLDGFLLPQVILNVFSASKVRALSPGFYIGSTLIRALPHVYDVFRTRHFVPSLRPSFMYASAHDDLFSLAWDIVIPLGGVLLAALLFFQQRLGGAFFLCSRARRAREYEMVSTTSS >cds.KYUSt_chr4.12206 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74286217:74291710:1 gene:KYUSg_chr4.12206 transcript:KYUSt_chr4.12206 gene_biotype:protein_coding transcript_biotype:protein_coding MAENIDLVLEFLKKNRFAKAEAALRGELTANGPTVQRRAAEPKGDDEHEDSEVGSAAGPRGAASVRSADSSREFIVKEIDVGGLPNGSEGKKGVGIGLPLESSTGDLYPWNFSIANSTAEQLAELLVSEEVPRHRRGAVLPEKRDRGVGTEQPGPVLEQKVSFGRGKGKVDAAGRSEANEPGHSSDKNPVPEKEEPLNGYAVKTVLPFPTEIPSSSYHSTHHDGNERKDTKKKSVSSDGSGKAATRQVDHVADRCFDLQLMGNSQREEFPRLPPVRLKSEDKLVNMNWEEKIDHHGSGTNDPSTDHVFMIGSYLNVPIGQDITSTGGRRTVGSSWLSVSQGIAEDTPDMVFDSIGDDLLGYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTSGPDRHDRPTKDDDDDQSFVEEDSYISGEQYFHGKSIGQIGTSEGPRGHGIPDNDMIAQYDGQLLDSEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNDSERPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESNLSVSGKRHSQQETEKKRVNANGTRQDQMNYDIQKGNMPPGAAYADGGFSFPPPLHSGKIAESDAKSSWSKKDDSYSINDPDDCQNGTVSDDTLATWKKKNSVSSIRSSRDEMTSDVIRSRNSSASSALNNAYDEVEETMNARHHKLDDAQEEETGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLESLQFLHGLGLIHCDLKPENILVKSYSRCEIKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDVWSLGCILAELCTGNVLFQNDSPATLLARVMGIIGSIEQAMLAQGRDTYKYFTKNHMLYERNQESSRLEYLIPKKTSLRHRLPMADQGFIEFVAYLLEVNPKKRPSALEALKHPWLSFPYEPISS >cds.KYUSt_chr6.28402 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180019102:180023473:1 gene:KYUSg_chr6.28402 transcript:KYUSt_chr6.28402 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIGKLAVLVGDEYKKLTGVRRQASFLKDELSTMKALLEKLELMDDLDPLAKNWRDHVREMSYDMENCIDDFIHDLGGNDVKAGFIKKAAKHLKTLRKRHRIADRMEELKLLAIQANERRMRYKIDDCISPTTGIIPADPRMSATYKDAAELVGMDGPKKNLLCWLADSQRNLKVVSIVGFGGVVSQRPDLTRLLSGLQLKLGMKEESSPHCEVQDIIDSLRGHLTHNRYLIVVDDLWNQSMWNTLSCAFPEVGNGSRVIVTTRVEDVAIAVCQNDRGCVYRMKPLKEHDSRLLFFKRVFEREDRCPPEFKEISAEILKKCGGLPLAIITIASLLANSQARSKNEWESIRNSLGANFAKNPTLEEMRSILNLSYMNLPLHLRPCLLYIGMYPEDQEIRRADLVRQWVAEGIVSTSHGSDLENVAKSYFNELINRSLIQPGETICYEEVVSCRVHDMMLDLILSKCVEENFISVAYNCEDVARMHGCEYKVRRLSLTSSASSATSGIVDTRLSQVRSFPQFGDPKYPIPLLLFKYLRVLLLQFSSQETPYDKVMKVDLTPIGRLFQLRYLKVTALGCRIDLPTEIRELVYLETLAIHGPSRLAIPSDIVSLPRLSCMILPGGTGLPHGIENMKSLCIVHCLNLGWSSLNDIKGLGELANLKELRLSRSHSYKWGDNVVAQVDALVSSIRKLHELRFLTCDFMTPKYDDDQLYSLSNPPLYMEQLHLPKWLLKGVPKWIGNLCCLRGLSLRVEHLSTDEVHAVGKLPSLVWLSLNVLCIPKNISSSSAISARCKTLNQRAVSACSARSPRALRRKLQNGAGQCSAHAALQQALHSTARSTSANNNPTYAYILLDQTNMK >cds.KYUSt_chr5.39560 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250325300:250326993:-1 gene:KYUSg_chr5.39560 transcript:KYUSt_chr5.39560 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEDCGASWLLYLSLAAKCGGDNPLRLAGLLAVCAAACVLTCLMHWCVPGGPAWGRWWWTRRPGLPKAAAVPAGPRGLPVIGSMWLMTGLAHRKLAAAADRLGARRLMAFSLGETRMVVAAHPDVAREILNSPAFADRPVKESAYGLLFHRAIGFAPHGAYWRALRRVASTHLFSPWQVAASAPQRAVIARQMVAAITEAGAGVEVRQILRRASLHNVMWSVFGRRYDLDHGKESEEVRELSHLVDEGYDLLGQLNWSDHLPWLARFDLQSTRARCARLVPRVNRFVGRIIDGHRASPSAVKDFTDVLLALDGADALADADMTAVLWEMVFRGTDTVAVLMEWVLARLVLHRDVQARVHDELDRVVGRGRAVAESDAASLVYLHAVIKEVLRLHPPGPLLSWARLATSDVHVDGFLIPAGTTAMVNMWAITHDPDVWADPLDFLPERFLATTEVSVMGSDLRLAPFGAGRRSCPGKSLAMATVAFWLATLLHELEFLPAADGVRLDERLRLSCEMAAPLAVTTRPRRPA >cds.KYUSt_chr2.48333 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302214220:302216985:-1 gene:KYUSg_chr2.48333 transcript:KYUSt_chr2.48333 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSFKKLKKTRKSALQHCGSADGSWMEFPWSIDEFFFKNFIDTSSCEESDDDFLTEATLIIHEHNVAQIPVYQGSLPERATVLDRKREHGHDMLFNDYFYRKQQQ >cds.KYUSt_chr4.50766 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314661819:314665038:-1 gene:KYUSg_chr4.50766 transcript:KYUSt_chr4.50766 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASCRASSSTRVNPPVVQSWHFHSLLPSSTMPAPSPSPPASLAVPATVLLLLSLLVATTSAANFTCAAPAGTTCQSAIGYRVRNATTYGALASRFNTTNLAGLLGANNLPLTTPPSYPVPANDTVSIPFRCLCAGNGVGQSDHLPIYTVQPQDGLDAIARNVFDAFVTYQEIATANKILDVNKINVSQELWIPLPCSCDQVDGNDVMHFAHIVGGGESTSGIAATFGVTESTLLKINNIADPKSLLKDQILDVPLPVCSSSISSTSADHDLRVPNGTYALTAQDCIQCSCSSNTFQLNCTAVQGKKGCPAVPSCSGGLKLGEMSGSGCGSTMCSYSGYSDGSSLSIQTTTASNQTKSCQSSAGSDGSRFAGSMWRVSAISFHMVLIMICFL >cds.KYUSt_chr1.29842 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180740526:180741164:-1 gene:KYUSg_chr1.29842 transcript:KYUSt_chr1.29842 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCSCAPAVHGEEAEARLVFWGGPTRLAAISGPIATTAGDVTAEVPDHLVCSGDSFFIGLPIPALPSGEQLQAGRTYFVLPAARFSCCQALTAASLASLSPAPTKVSLASASSPFEYVTGDDGMSLIRVLPEFIEKVITCGGGKSKCGAVSPDQLCSTPELRKHYMQLVGSRQQRPWSPGLETISEARKRRPMPSPVRLIGLAKNSR >cds.KYUSt_chr2.47818 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299051758:299052417:1 gene:KYUSg_chr2.47818 transcript:KYUSt_chr2.47818 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIKHTLQVLPWLLLFAQQAAASGGCDCTTATDGADKQGATKLKLVAIASILTAGAAGVLVPVLGRSMAALRPDGDIFFAVKAFAAGVILATGMVHILPAAFDSFTSPCLHKGGGSRNGFPFAGLVAMTAAMATMVIDSLAAGYYRRSHVSKAQPVDSIDIPDHAGDEEGRTDHVHTQGHSHGEVVIISSPEEASIADTIRHRVVSQVRAATQSHRNP >cds.KYUSt_chr2.44890 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279598081:279598575:1 gene:KYUSg_chr2.44890 transcript:KYUSt_chr2.44890 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPRGPQPGQARPRAPLPPGWTGDSDSRRGSGPGGSWSYDWEWASGPGGGWAYGHSSEQGPGGTAFGFGYGSGGGGGGGGGSGRGRGSFGFGGPGGHAGRYGWGGGYDWAGGHAGGYGWGGGPGGWGAGGGAFGGDRGGWGARAGFGGGAQRPPRGGRGGGGN >cds.KYUSt_chr4.9210 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55634177:55636312:1 gene:KYUSg_chr4.9210 transcript:KYUSt_chr4.9210 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVARCCGGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLVMEDQAQVLASPAATLVAVYDGHGGPDASRFLRSTLFPHVQRFAKEQGGMSTEAIRRAFGAAEEEFLREVRQAWPKRPRVAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVVGAGGVAVAERLSTDHNVANEDVRHEVAAENPDDEQIVVHTRGAWRIKGIIQVSRSIGDFYLKNQEFSMDPLFRQIGPVIALKRPALSAEPQIQVRKLKPTDQFLIFASDGLWEHLSDNDAVQIVFKNPRTGIANRLVRAALKEATKRREVSLNDLKTIERGVRRHFHDDISVVVVYLDRHRGRRQTRVVDSSMNCTSSPVDIYSSSIQTVQPRKDYRDSG >cds.KYUSt_chr7.17890 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110809668:110811643:-1 gene:KYUSg_chr7.17890 transcript:KYUSt_chr7.17890 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSAADENVGANRGVFHDFMRSRTGAHGPVCWAAATARVWSVSAAGLAMRSVCCRLGAHESRHKYFVWAGAWSPQARRKTNSWRCKAQLECDMVIMGFFPPLGYQDHIAINRWTKALKPEVPGRVEDVLMPPPTARCQPKLGHLTKAMMTHSFYVQLSTVDLHVLVVLPWFQHELRDWIKIPLPRKQRSSRQPAAHLPEFGAPRMHHDSCMHVKKAAPYRSLLCCVADTQPRSGLLLSEDLNFEYTSTAVRSTEK >cds.KYUSt_chr7.7032 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42336347:42337593:1 gene:KYUSg_chr7.7032 transcript:KYUSt_chr7.7032 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCHHYPNLILKILPPEIISEMNVMYKGELRGFLDMISGNEGKESNSKFLVSPAVDQNSAPDRNAKLYGYGERRDSVHLGKQNDIKVTIDQEVQAASASCSRACELGDTNSVTRLDFMPNSLSQADFTVLQELPEDVKVDLFNVLPLPRSKDPTCSTSNVTQSKSPNGEGTDDPTCHLPGSSINWVEQLKVSSSVILNAIAEQHTYSIFTYEFFPELHNSLLPLLQESSWTQQG >cds.KYUSt_chr6.15077 pep primary_assembly:MPB_Lper_Kyuss_1697:6:94382579:94383331:-1 gene:KYUSg_chr6.15077 transcript:KYUSt_chr6.15077 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP I protein, Transcription activator, Stress response, Panicle developmen [Source: Projected from Oryza sativa (Os02g0649300)] MESDCQFYMFGAPPVDADDGHGQFLLQQQQQQPQLSSGGERKRRFTEEQVRSLESTFQSRRAKLEPREKAALARELGLQPRQVAIWFQNKRARWRSKQLEQDFAELREHYDDLRARVEALKQDKLTLAAQLEELKGRLNERQDQSASSDGGAVAEVDDDKRNNVCCFVESGAAVADVSDDSAAGWYEDDHVVYGGGLHEPFCAMPELWETTWPVVEWNAVA >cds.KYUSt_chr7.1916 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10961258:10963014:-1 gene:KYUSg_chr7.1916 transcript:KYUSt_chr7.1916 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLQRACQDGSAVTLAEGDSIMQVVTLRGSNLIEVTDGKGVKSLALFPAKFQKSFWIKNGSFVVVDVSGREEALESGSKIGCVVSQVLFHDQVRALEKSGEWPAIFKSTPNGWATGTASQVEEEPGSDGEDDDLPPLEPNMNRYNPFDVLSDSGSGSDS >cds.KYUSt_chr6.10095 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62218860:62220235:1 gene:KYUSg_chr6.10095 transcript:KYUSt_chr6.10095 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNNIGSIDELFRNGAPRDEPGLIRGNKVGDESPEARKELATAGTTKRPTCCVLVMTKPAKGEISEEVKEKLQTEYNKVVTEVAQVTSEMF >cds.KYUSt_chr5.15180 pep primary_assembly:MPB_Lper_Kyuss_1697:5:98089425:98092927:1 gene:KYUSg_chr5.15180 transcript:KYUSt_chr5.15180 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAADQQHGRVDKEKEKELLSSVVDDIRCYSGSDPLRPWLRGIRRLEGALPPATLREKLPRFLQKCAQEFQDEPRYRDDPRYLRVWIQMMDYVKDAKPLLKKLERNRIGLKRAAFYMAYALYYEKRRRFQDAEKMYRVGIQILAEPIEELQKSHDQFIYRMELYQKRKDKKGMPSRVKPLGTCANQVEGDNKYLPRCNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINMKEAMQDINSMFLEPVEPETMLKKRSKRGQPKFNQQAGALHIFVDEEQPNSNEPSILHDNNAKSDRPMFNQQASAFDIFVDEDGPNDNNQNVGRNRNSNKENNQKTTGLGIFIDENGPKGNGQSAMCHKNTRGPPRPLRESSRQQDLGGFQKPFVGGFAIFADDEDEQCENNYDGSRINSRSGHSTHNKDTLLHPEQADSESRNGEYENPLMTGLGEDTIIHRFVGSAVVDEPKVENACHHGLVDPTINLKEAMDDINNMFGKPLNFKDGKRLNRKTNVVPERKAAPISGFSILSDDDLSKNPAAKDKHISGFSILSDDDLRKDSAAKLKPNNSCKFGSESGLFEPTITTRDVMSEINDMFGMSLDF >cds.KYUSt_chr5.8854 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56118260:56121472:-1 gene:KYUSg_chr5.8854 transcript:KYUSt_chr5.8854 gene_biotype:protein_coding transcript_biotype:protein_coding MERQVGTGNGGPPPEYKGPDGKSASRRSTRFKEEKEYLEVTLDVRGDGDAVAIHSVKDSSGFEMSEAALLPAATPGSGGLSSRLKAELRRIASVKKPQPPTVVPARLDRSMTGARQALRGLQFLNQSVVTQGSWPEAEKRFNRLAVDGLLLRSRFGQCIGKLTRSLARMVGSDEFSVQMFDALARRRGIVAQVLTKDELREFWEQLSDPGFDAKLQTFFDMVDKNADGQITEEELKEVLTLTASANKLTKILDRVDEYTALIMEELDPAQLGYIEIATLESLLLLPPSQAPSGLQVPHSSNISQLISQRLVPARDANPLRRGLTAARYFLEDNWKRVWVMSLWLAINAGLFAWKFAAYRRHPTFDVMGYCVCVAKGGAETTKFNMALILLPVCRNTVTWLRSRTKLGAIVPFNDNINFHKVVAGGVAVGVALHGVTHLTCDFPRLLHASDEAYEPMKRYFGQTRIPDYWWFVRGVEGITGVIMVVLMAVAFTLAHPRFRRSKLGEGNPLKRLSGFNMFWYSHHLFVVVYIALVVHGVCLYINRTWYKQTTWMYLAIPVLLYAGERLLRALRSHGLTTVRIEKVAVYPGNVIAIHMSKPHGFRYKSGQYIYVNCGEVSPFEWHPFTITSAPGDDYLSMHIRCRGDWTSKFRAIFSQSCRPPAMGQSGLLRADFSSMEHNTKTFPKLLIDGPYGAPAQDFRKYDVLLLIGLGIGATPLISIVKDVLNNIQQKQPGHGYTDEDDAPFMTKKVYFYWCTREEGSFEWFRGVMDEVAERDAAGDVVELHNHCTSVYEEGDARSAMVLMLQALHHAKSGVDVVSGTRVRTHFARPCWRDVFKRVACDHQGQRVGVFYCGDQKVTPELRRLSQDFSHRTTTKFVFHKENF >cds.KYUSt_chr2.18371 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115758684:115763489:-1 gene:KYUSg_chr2.18371 transcript:KYUSt_chr2.18371 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHRPPMAQDVEYNYYHYLLLAAIVVLPLLLAKLRPRRHGGGETNLPPGPWRLPVIGSLHHLVGALPHRAMRDLARRHGAPLMLLHLGELKVVVASSAAAAREVMRTHDAALATRPRTATIRALTRDGVGVAFAPQGEHWRQLRKLCVTELLGAWRVRSLRGSREAEAASLVASVASAAASSMPVNVSALLATYVTDAVVRAVVGDRIGNHDAFLECLDEGVKVAAGFSLADLFPSSRLARAFSGAARRAELHGAKMSRLMNGVIEEHRARRKSAAGAGDDEEDLLDVLLRIQTDGGLHVPLEIGTIRAVITDLFGAGSETSATTLQWAMAELMREPRALHKAQAEVRGALAGESRVREEALPEMRYLQLVLKETLRLHPAVPLLLPRECQERCRDVLGNDVPVGAMVLVNAWAIGRDVESWGADAEEFRPERFEEAATSAVDFRGTDFELVPFGAGRRMCPGIALGLAIMELALASLLFHFDWELPGDAAPRELDMTEALGITARRKGDLWLHARLRVPLPSLQTLDTRMWTPFL >cds.KYUSt_chr7.4077 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24428802:24430140:1 gene:KYUSg_chr7.4077 transcript:KYUSt_chr7.4077 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGGGMGGGGGRGKLEKESNGFYDMPYYHKVGESSHMSVDSSDNMNSMNFVGGSVAMSVDNSSVASNESRTVMLNHPGLRDAPTPNYSVCNSVIYPNRAAASVLKEDALARVLMDPTHPTEILTNYEEWTIDLGKLDMGAPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDLERAQLMEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQTKSVPLRLAVKQALDVARGMAYVHALGSIHRDLKSDNLLISADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELMTGMLPFTNMTAVQAAFAVVNKNARPPIPQDCLPSLSHIMTRCWDANPEVRPSFNEVVTMLETAEMEVVSNVRKARFRCCISEPMTTD >cds.KYUSt_chr2.4159 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25195132:25197713:1 gene:KYUSg_chr2.4159 transcript:KYUSt_chr2.4159 gene_biotype:protein_coding transcript_biotype:protein_coding MAACPASFYLLGHRFWSSCWMLADFGGVVFIYHIVGAESQWHGAAGYRRQMRDDVYVQEGGSVWRHGDIDSRRDWANLADGPAGLIADRVLAYDVADYVRFRAACRPWRQCTSEPRTHSSGMDRRFHPWRWTMLKEQLTTPDRRCFLNTSTGECVKVHIPELHDHRLLALTPEGLLVLVHDCKYIRLLNPLTRHLTHLPPLTKLLPPEDHHKLSEDYICFDVEFRAWGSGIANDDSTVLLCFNKLCIIGMAKPGDDSWNLLTYPDGTTMAALMFQGRFCCVNRSGVMVLEMGAHQPPHLKLAAKLSMRVSPIADSVHLINKCGELMLVHRRCGRLTARNKSGRRYDAYRVDFDSGTLFPVKTLGGTAVFMGLYCSLSVPLGGFPSGSLSADTIYLRFDIRERMMLKAGAYHLADTSVQLPSSLVPRPHTLIDCLSFSKTVSE >cds.KYUSt_chr2.4392 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27140066:27141513:1 gene:KYUSg_chr2.4392 transcript:KYUSt_chr2.4392 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGNDGADAKEEEEKKGTGGGDEGEDDDEGNGKANKSKQQQKKKDEDGGDGKKKAATGAVVLRVDLHCDGCARKVVKAIRAAQGVECVAADVAGGTVTVTGKGADPWDLKDRIESRTHRPVAFVNPPAPKKKPNGGAADGKKLPDDDTAKSKTGDDKAKKNKEPPPESTVVVKIGLHCNGCIDRIKRTAHKIKGVKQVTVDTAKEHVTVKGTMDAKALPDVLRRKLKRDVALVAPAPPAKPKDGSGGGDKGKKKQQQEGGESAADKVAGEQQQGGGGGGGGKKKNKSNKQEDGGEEDAGGAAAAAAAQQAFPMAVLYGSGGEGSSASYRVEMLHAPQLFSDENPNACAVM >cds.KYUSt_chr3.33673 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211430328:211433014:-1 gene:KYUSg_chr3.33673 transcript:KYUSt_chr3.33673 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTKRPSESMCYRAEDSELYVLPTSMGGSRREMPEAAAAPIGLSWAPKMPSLPAACGSSSRKGSAPTSSIDAQGSLWKPSNELVGGLFVPPRDPRKVNKMARKNVKDTTGKGWFDMPAPTITPELKKDLEILQLRHVLDPKRHFKRSGKSKALPKYFQVGTVIAPASEFYSGRLTKSDQKTNLVDEILSDPKLKNYRMRKVREIQETRTPGGNQKWKNKGRQTFKRAKDRRK >cds.KYUSt_chr1.32512 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197436648:197437994:1 gene:KYUSg_chr1.32512 transcript:KYUSt_chr1.32512 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVHVHQASKPLLSPPRHRKIAQSQKESQAVQNPHLSTSGSMLRGLAGAVSAPLRRSLSTAASRPPWAMVYSRAALDASAAPSPGARASLDLDAAPYVSHISVPAHLADGMDFAAASVRATSSDGLLLLDLAEARHLFPPGPPGARESLDRNIQVELAAVGASFQLDVARFVCNPLSGHIFRLPVPAMGAARTSTVFGLLTQSSDGSHGPPDRYAVAQLSCRAADNGIVLRRFLSETGEWDERELLVPSMWPGWQHMQVDTNHTVLAFGDRLWWVDVTWGAFSVDPFSDRPEVRFVELPQGVLSDFDTTENLMLGKRRLMGVSEGKLRYIEVSTEKEFVVSSFSLDGEGCYWKPTRETTVKLVLPNQRKPLEKDMPWIAAVDPFNADILYYQSGHDIIAMDMANGEVMGKRAFPDSITGLSPCNTAFFLPCVLPTWLESSHVPGAGM >cds.KYUSt_chr2.18105 pep primary_assembly:MPB_Lper_Kyuss_1697:2:114058328:114059349:1 gene:KYUSg_chr2.18105 transcript:KYUSt_chr2.18105 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPPPAGEVNNAGANPPAAGVVGNVGANAAAGAVNNVGANPPAAGVVNNVGANAPAAGVANPPAAAEAAAVAAAAAQARLRREKRERMVMCVVFVVILAAFITLMALLMTHPWDGQ >cds.KYUSt_chr2.44435 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276438039:276444331:1 gene:KYUSg_chr2.44435 transcript:KYUSt_chr2.44435 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPARPLTDYWRRPDAMAMAVTPAGDGQRSRKLAAALSRTSAAFLFFSVVAVGVVFASARWITTATTLQASLPAAAAIPAAAALHHQTQPLKPSPRSPSRAPRPPPAAYSISCPTPSLRPNISRAATAPESSQTLALALSSSSSCRRSYPDAPPATNTTAAPSSSNSSCPSYFRFIHEDLRPWRAARGVTRAMLARAGVTASFRLVVLRGRAYVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGKHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQKDLKNSNSRVTWSDREPYAYWKGNAAVAVSRQELVKCNISSAQDWNARIYTQDWFKESKAGYRNSNLGNQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVEWGNSHKQSAQRIGKEASNFIQEEVNMDLVYDYMLHLLTEYAKLLRFRPTKPPEAVEVCPESLICQAEDIEKKFLTESMVKSAHDSGPCDFPPPFSPLELKVLKQRKENTIRQVEMWERRASAA >cds.KYUSt_chr2.8259 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51927694:51931741:-1 gene:KYUSg_chr2.8259 transcript:KYUSt_chr2.8259 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYHVLNDQPIDLWKVTELKDELCRRNLPISGLKEDLVKRLFEDLQGDILVREGTVNGSSPDDTRKEDEATGCVDASILQAAMEPSVDENPSRVSTQSGDLVVSVTEASKESEVATGNVSQKFVVTNEEVSQKTLAAAEVNDAPLVDVVTTKKISLSGEATTKQDDLESAPSDSTMVKDVQEEAAGHSEFIAEKAVEEDAAKKTIVDHLFATTDVKLDVSPAKGKLDDDILEHEVVPSPLDAIVPRADHWDVDAVAAALGHDGDAMIPKISSVDNDLMNCKDHEDSGLTSNACKLTVSGPIDQTIGTLPATMPTYPVLNNQAIDQWKVTELKDELSKRNLPVKGLKDDLVKRLFKDLEGDILGGSPCSYDLKVDRTPGSADASVCQDVMEQNVDEGPSQVATQEGSSDTTTDVSHDAVATTEEVNQTTLLAATDSDSPLVDSATADTISLSDAVATKRDDLESAPSDGAILKGASLKADCHNKMIAEKAPEEGTIKEAIVDYLPYDVASTNVKLDATSAKGKLDADIVEKEAVLSLPDAIALHTDHLDVHAIATAPGQNAETLIPKIDSGDTFMSSKDREDSGHTNDACKPSLSGTKDKVSEANPDIGSQIKCVLISHEDISTNVKGDLNADNSDLELEAKRDMVKPQPCRIPSVGDDLQALDDEKQLCKKGTQLQEIRSMTNLDLDKKEDSPNGVSPEKVNLERTSGNESIEEDAMESKHVIPNIRSDDVGREIEVTSDHEVKEVLLFDTVDSSVQTKDNVAKEKSVAPSEKRKPEVDEAVATRNAVYNLQWPLNNGSYLLAEFVDPREVKLRLEHPPPPPAPMSLNEDTTQQAVGFQQSKPTQTMLPDGAGASRGLLPTPQPLKLFPASKPGSDRDMLTPAPKEVKAPAMLLADLFKRTQASPMIYYLPLTEEEVAAKLAARSRRRKRG >cds.KYUSt_chr6.27124 pep primary_assembly:MPB_Lper_Kyuss_1697:6:172077492:172080252:1 gene:KYUSg_chr6.27124 transcript:KYUSt_chr6.27124 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLARVCPKPSLGPKGAVAAKRDLSMLPNGKRPVGLLCFASDALIVCCCHLSTYSALDTRWPFEIAPALCGPASWTLFTSKQRSTNGQAQARFPSDTGTQPLEEASAMAAPAKEAAVATGKRDELADSLAELFTNVSLMVRGELQGTNSQLALLEKMNQRVAEEYNSYGDVASGLRVFVEQLNEKNQGFEEYTSQIDAIDHQVTEFEAVVSMLDKHVSVLEKKVKSAYHIASTQ >cds.KYUSt_chr5.7107 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44195353:44195832:1 gene:KYUSg_chr5.7107 transcript:KYUSt_chr5.7107 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWDSYAARRAEGKAASCGDGAVAPEEESTPVRAPAAGADGGFHTPPPPVEHGGGTPEEEDEGYSTPTSPSSRLRVPDVCPGAPTIRLEEEDEEGYGTPTSPGSRLRVPAVCPGAPRIPLVGPSTSMVETTERAIRAPLRMWVCAVLLCVYRHIRKST >cds.KYUSt_chr2.19482 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122564835:122565269:-1 gene:KYUSg_chr2.19482 transcript:KYUSt_chr2.19482 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLLSMQRSRSLRDPSTRRFVDSASNRLAADPDDPPGLDQLAEDALQPRPARRPRPPVQAQPGPPRRRRRRARSPAAAAFSANSSSQEAVCGNKYFFGAGADDDGSEEMQPRPSPHPQASQDSRSVCGIPWNWSRLDTSPTYL >cds.KYUSt_chr6.33484 pep primary_assembly:MPB_Lper_Kyuss_1697:6:210295857:210297573:1 gene:KYUSg_chr6.33484 transcript:KYUSt_chr6.33484 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMDIPEEVTVKVSAKMVSVTGPRGTLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGFRYKMRFVYAHFPINASINSGSKGIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKKKDIRKFLDGIYVSDKGAIKEE >cds.KYUSt_chr4.34809 pep primary_assembly:MPB_Lper_Kyuss_1697:4:213928697:213929986:-1 gene:KYUSg_chr4.34809 transcript:KYUSt_chr4.34809 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRRLLAAGLSAASVRLRLRSLSTAASHPPWAMVNRISASYKSRTPTFHLAEPPRYSYLELPAHLIHTSDEPGPDSDLEQLLGGMVSCSSGAGGLLLLTCFDGRLSSPILAQQGGKQVRHQTGKPVDGHETDFTYLVCNPLSGQVSRVPIIGYTTNILRDTHMGLLAQSDRGHGLPDRFAVARLYSENKMVRFLSDAGEWDLAQGAPGPCQHRLARRIGISLFHEVLAFAGRLWWVDLTCGAMSADPFSNWTETHFVELPKDSVLPEAARRAEAKLLFNYRRMGVSDGRLRYVELSQREPFLLSSFALDEEGSGDWTLEHRVALSRVWAADGGAHPWLPLQGDTTPHIGVLDPLNANFIHIIVGQHVIAVDMQAGKVTGSSVLPSYMHHLGFIPCMLASTRIPSSTGNDDMHFLSAKKVDPGTVSKKE >cds.KYUSt_chr1.38099 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232689264:232691555:1 gene:KYUSg_chr1.38099 transcript:KYUSt_chr1.38099 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYLRQQLPTDATDTVHCLREGNALPTAIDAMHYVGVALTIDAMPWLPMQCLDDRCNALATDAVPAMPCVTRGISALPTDATDAVYCLREGIALPTNAADTAHCLREGNALPTDAMHGYLRQQLPTDATDTVHCLREGNALPTAIDAMHYVGVALTIDAMPWLPMQCLDDRCNALATDAVPAMPCVTRGISALPTDATDVVHFLREGSALPTDAADAAHCLREGSALPTDAMHGYLMQQLPTDATDTVHCLREGNALPTAIDAVHYVDVALTIDAMPWLPMQCLGY >cds.KYUSt_chr7.21344 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132305654:132317703:1 gene:KYUSg_chr7.21344 transcript:KYUSt_chr7.21344 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAAAPVSGQVVQRFRARLREEAGEEPGAAAVVAVYDEVLADLTFNCKPIITELTIIADQHAARAARGIAAAICARIFEVPAEQTLPALYLLDSIVKNIGREYIAQFSTRLHKVFCYAYRKVHPNQHGSMRHLFRTWSQVFPSSVLRGIEDELQFSPSENKRPATTNNARQSESLSPKPSHGIHVNLKYLEEPHQFKHGSKVDQLATLGRQMIDVEEDHINGLTSNNLRGFPAASSKLQKSTILYADDPDQQETFRSRTGMTRRDMSRSPPRDVLPRNASPMRPPERLPLSHSVLGHDPRRLPDRNGWFERQWAFEDGPQRPSMSMLDEEHRKRSARELIDAYGNSQANDTDERLPKMQRLESNGMSGRSSAQNWLTSEEEEYSWEDMSPTLSIRNRNGMSLPSSETLRAGFPGPNSGQMDSDIGMRSWQGQASQSAADRPALILEDRIPTAGHVDMATRRYTGNFGPRNGAISEYHSSENTLDTGRILAMQAPPWQQTNGLPVRVQAPHPSLNRLPLPTDGEMPVKRLAAGGTYDAMNIDIENHRPPLTPAPIEWPPLHHNQPPPDTKYIRHATDSLEIRPFISQGVNSSVFVPRRQYDSLDRKTGVIKLDPPSQPQDAVGVNFNVDLKVRNESVINALYQDLPRQCKTCGLRFKCQEEHRVHMDWHVTKNRNSKNRKQSSRKYFVTVREWLRAAETVGTDGVPSFEHMEPVLDRNEEKEMAVPADEDQTTCALCQETFEEFYSDETEEWMYKGAVYMNAPDEPDEVTPTEDDGIEERTRMVSVEDHAVEDIAPDRTCDTMGKSAIEEEHVPSDWMNPNDRVEISVKVGSSKSVMDQEVISPETEKSVIEVEEAVKEAEEGAILVGMDSIGGESLTRTQAKEVARKRPDKVEEIWQMEWLVEEILQVERHIALLGIKEKLAKKEAALLAGIYSTDGESLMLKRAEEESGNKCEMERQAEKDMMNYFSCLPTERGARAIEQYYGMKYEAAEWKAFVAKAEKRKALLASQEKSARREKAKAKAKAKAKANRARIAEASVTEARRPEQFVTEATTEAKNFDLYRRCWESTWSETRGSFEHMTALSSMQFTYYTPGCRRRNPAASTPATLQIFSVKLAEIAGGLGWPLSVYGVVAVRDVVDHNRNFLFSCDRDNSQELTQNDPFLRLIGPSRAVVYTDMVHFEIQLKVKGTTKSQDVALITEGCDYHEPFGDGPSTFCFKNCFCTMELCMQVVRITTQATILGVQVVGGGQWPFEYGARVTCSALPGKWVVTDNRLTCVTYPASGEIVMVDSKDGAMLGGSDGYLHLPRNVISVETQGRLDVKIQAYSKSGEIAAHENIRFQPKLSKISLGKCFIRGIAVVITVAWSRVAMDKRRLMALGRLACDC >cds.KYUSt_chr4.2855 pep primary_assembly:MPB_Lper_Kyuss_1697:4:16257033:16257928:1 gene:KYUSg_chr4.2855 transcript:KYUSt_chr4.2855 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVGGGVHINQQGWYGSGFVGQLWNHLWRAFDGSTKEVKDSTTKFSDVKGIDGAKAEFEDIIQYMRDPKRFTRLGCKLPRGVLIVGAPGNGKTMLARALARVVGVPFFACSGSEFERDYVGARAKRVRELFSAARKRSPCIIFIDGIDVIAGSRNINEPTGQRHTLNQLLTELDGFKQNDGVMVVAATNSLDSLDDALVRSGRFDRHIKIPYPDVEGRRQILEAHMSKVLKANDVDLKTTAKGTRGFSGADLANLVNYAALKAVGRT >cds.KYUSt_chr1.23040 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136694619:136704358:-1 gene:KYUSg_chr1.23040 transcript:KYUSt_chr1.23040 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLPETASRLLTPETLRTAAKQSQGIHLVPISLRRAIKRYLRDQDKTHMHRKVLLLSSSFERAKGTGVELAAAATRGALIDDPHAPVGAEQRTARWKVRSAYGDIGLQYRADETVAYVASRMPAIYAACHRVLREVRRRSPDFAPKNVLDFGAGPSSALWAMRAVWPKSIERVNLIEPSKEMQRAGQTLLDNLKGLPLIHSYGSIQELNRSIEKHERGHDLVISSYALGEIPSLNDRITIVRQLWDLTKDVLVLLEPGTPQGSKIISQMRSYILWMEKRKCRKIEKSTSGAPSETKSIVAQEALLKNGAFVVAPCPHDGRCPLENSDKYCHFVQRLERTSTQRIYKRSKGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFDTLKERHAKRNPEDFIIDYDEQFPSEEDEEAVAGDEDSSVPDDSLVPYASDTQELSLFHESGAEEEDEPIRAGLGGGFALSVYLSATELVSKLGLKFSVSRMCQSSEGTQIPGLLIAQAGSGRRMGKFRFCIDRGGTFTDIYAEVPGRAEGYVMKLLSVDPSNYDDAPIEGIRRILEEFSGETIPRSAKIPTGMIDWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVLKSSNLYEEVVEVDERVELVLDGERDDSCIEGISGELVRVGKPVDVELLKPSLKGLLDKGIRCLAVVLMHSYTYPHHELLIEKLALEMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSAFMSRFDGGAEPVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFGLETSKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPESVGAHPGPVCYRKGGELAITDANLILGTVIPEYFPSIFGPNENLPLDYEATRKAFEDLAVEINSYRKSQDPLAKGMTVEEIALGFVDVANEAMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAIARSLGMSELLIHRYCGILSAYGMGLADVIEDLQEPYSAVYNADSANEASRRAALLVKQVKDKLTEQGFGEESIRTDSYLNLRYEGTDTAIMVKQPEKESGCDYADEFVKLFQQEYGFKLLHRKILICDVRVQGVGATNILQPRELTPISTKPVQESSCKIYFSYGWQETPLYKLENLGYGHVLEGPVVIMNGNSTVIVEKDCKAIITKYGNVKIEIIASLSTVEISEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGSDGGLVANAPHVPVHLGAMSSTVCWQLSYWGDDLHEGDVLVTNHPCSGGSHLPDITVVTPVFNDGKLIFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVEKGVFQEEGIVQLLQSPRSDEFTNHKIPGTRKIEDNLSDLRAQVAANQRGITLIKELINQYGLITVQSYMNHVQKNAEVAVREMLKVVASRVEKETGSCVIEDEDYMDDGSVLHLKLTLDSVRGEATFDFEGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKIIIPKGSFLSPSDKAAVVGGNVLTSQRVTDVVLMAFQACACSQGCMNNLTFGDDTFGYYETIGGGCGAGPSWGGTSGVQCHMTNTRMTDPEIFEQRYPVRLHRFSIRENSGGSGFHRGGDGLVREIEFRRPVVVSILSERRVHAPRGLKGGENGARGANYLVRTDGRRVYLGGKNTVTVNAGEILQIFTPGGGGFGSP >cds.KYUSt_chr6.4279 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24753189:24753584:1 gene:KYUSg_chr6.4279 transcript:KYUSt_chr6.4279 gene_biotype:protein_coding transcript_biotype:protein_coding MKALASVLLVLAFAVVTAHGCSKTDLCANNECCSQWGFCGIGGDYCGTGCQSGPCYPTILRAVLGEPSCGREAGGRQCPGGDCCSQYGYCGTGGAYCGYKCQSGPYYGAKLPANVAGAVTEALIDQVVPTV >cds.KYUSt_chr5.18501 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119517429:119518576:-1 gene:KYUSg_chr5.18501 transcript:KYUSt_chr5.18501 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEPELRGMPQQQRCDSCRSAPCAFYCRADSAALCAACDADVHSANTLASRHRRVPMGAAAVAAPAGGAFVVRPAGGVNSSWPIREGRRRYYDDDVGGGEEEDEEEATSWLLLDPLKGSEGHAAPAYGDALVADFLDLGGAGEKDESIKECRVVNEIDINEGSSHEFVVPGEQLPERQCFTGETAYDAHNFEQGYGYGATFQRSVSYLHEFLLGMISIYLQLRSLHNSMHKCKLSMSSSPDNSSTVQDVSSSYLRRSESSVDLFSTAAAHMSPQFMAMDREARVHRYREKRKMRRFEKTIRYASRKAYAETRPRIKGRFAKRADADLEVDQYFSAAALSDSSCGVVPTF >cds.KYUSt_chr4.8682 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52103732:52106235:-1 gene:KYUSg_chr4.8682 transcript:KYUSt_chr4.8682 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKVGGLDPRATKFRNVPIAVTPEGFWCCPSQTVLQKTAKNQNQQPRPKAGASPPASKASSVQRAPTISSEKRTHSTPTRSRANSEEQRCLPAENATPNPPKVVSERPQKQHKISVGFGQIEMSDLRVVLYGKDGVAVKMSVHKNILAENSTLFADKLSRQSPVSNIEVPDCEDVEIYVETVGLMYCNDVKQRLIKQSVPRVLRILKVAELLGFRACVLSCLNYLEAVPWAGEEEENVVSSVRHLQTEDYGVTPVLKRVCSDLTSPPNDTFVRIIELVLKSSDDRGRREMKSLVLKLLKENSSCTSSSADLCVDTLYRYCQNSLESLLTLFKQASDSDFSEQSLDLKEAVFRQITLEADNLLWLTEILAGRNAAEEFAVMWSNQRELAGLHSKLPTKSRHLVSCITARLFVAVGKGEMLPSKDTRQLLLDVWLQPLMDDYNWLQHGCRSFDRTLVEEGIGSTILTLPLEDQQTILLSWLGSFLKVGNSCPNLQKAFEVWWRRTFVRPYVEQQGNQLQSGQS >cds.KYUSt_contig_1658.101 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:553035:553683:-1 gene:KYUSg_contig_1658.101 transcript:KYUSt_contig_1658.101 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQQPRKRLTSSSLPPDHHRSRQKRPKLVDQSSLLSLNPHVRLWWDGASRRVIPAEDQIGIPWSRLAPFVDGPPRRRASRLADVLSVPKDVFLLENLRRVLSYEVWDKYLTEADMKFLAQFLPTGTNTEETVHSLLTGKNHHFGNPLLSWQVLLPVSVHILFDHWP >cds.KYUSt_chr3.23710 pep primary_assembly:MPB_Lper_Kyuss_1697:3:146578285:146590808:-1 gene:KYUSg_chr3.23710 transcript:KYUSt_chr3.23710 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPPKEANLFKVIVKSYETKQYKKGLKAADSILKKFPDHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFAVAHHLSSNSSKAIEVLEAYEGTLEDDYPPENERYEHGEMLLYKISLLEECGMLDRALEEMHKMESKIVDKLSFREQMACILLKLRRFEEAEKIYRSLLFMNPDNYKYLIALQKCLGLYSENGQYSADDVERLSALYKSLKEEYSWSSAVKRIPLDFLEGDKFQEAADNYVRPLLTKGVPSLFSDLSPLYEHPGKANILEQLFLKLEDSIRTSGCFPGSPQMEPPSTLMWTLLLVSQHYDRRSQYDIALDKIDEAILHTPTVIDLYSIKGNILQHAGNFSAAAALANEARSMDLADRYLNSECVMQMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKNFLSVEKHYTDMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDSPSKSSAEENDEMSKLPAAQRKKLRQKQKKAEARAKREAEEKQEDETTSSNSTKSGKKQQSRPVDLDPHGEKLVQVEDPLTEATKYLKLLQNNSSDSLETHLLSFELNMRKQKVLLAFQAVKQLIRLDENSPDSHRCLIRFFHKINSLPAPGTDSEKLIWNVLEAERPDLRQLHGKSLVEVNSNFLEKHNASLTHRAAAAEMMYLLEPDKKLQAIKLIEDSTNNTASGNGVVGPIKEWGIQDCINVHKLLDTILAEHDVANRWKVRCAEYFPCSTYFEGARSATSYIADSSFESSTENGNVLNPEAKIKEGDAGTLNGTVHIVDDLSNLSMR >cds.KYUSt_chr5.20447 pep primary_assembly:MPB_Lper_Kyuss_1697:5:132881948:132882532:-1 gene:KYUSg_chr5.20447 transcript:KYUSt_chr5.20447 gene_biotype:protein_coding transcript_biotype:protein_coding MSACPYFSFSCDNNHWNCCGEAKDPDQHGDDNFSKKQPAPQHPPPPMQPPPHVVAAPPPTTQASAYAPPSDLVPPHELSPAPKTPMKTPHAPVPPCCNKTPTPAAVPSKKFEPPAWPAHSDANGGVPAMSAMRPPVPHRIYEAPAPGVAPPQLATSTPTRACASHYPLAHHPQHDDTDSRVESYSQAHLQEYYY >cds.KYUSt_chr6.368 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2260281:2264060:-1 gene:KYUSg_chr6.368 transcript:KYUSt_chr6.368 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMKSKEPSDEVPSDRVPHLPWMRHPVDIDSFSGYPVTTLPRLDPRLAAAVQRIGIESFFPVQVATWLETVGPGAFQRDICINSPTGSGKTLAYALPIVQMLSTRKVRCLRALVVLPTRDLALQVKEVFDAIAPAVGLTVASAVGQSSIADEISLLIRKSKQGLYPTLDEEFVEMEPQTQVDILVATPGRLMDHINMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQFTRSTNQDHLRLDTAGKTLLHPFTTIRRSGVERGFKGKCFPRLVKIVCSATLTQDPSKLSQLELHHPLLLNSGKKRYRIPTKLESYKLVCTSNLKPLCLIVLLQELRGEKCLVFTSSVESSNRLSTLLGFFEDLPFKFSEFSRLQRESARRKTLAAFKEGEIDVLIGTDIMARGIHIDGLKYVINYDMPPYVKTYIHRAGRTARAGESGSCFTLLRKHEVKTFDKMLKKADDSSCSLRSLPEESIESLRPTFSIALKKLEESLQPEASKKSNSGDKVPGGSKRKRTKQT >cds.KYUSt_chr6.30737 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194888164:194888751:-1 gene:KYUSg_chr6.30737 transcript:KYUSt_chr6.30737 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLATLWFIVFLSIGLANAGRVARYSSSQGTGSGGGGGGGEVNGGGSGSGSGAGYGQSSRSGAHASSGGGGEGGGGSQYDGTGSGSGSGAGSGSGGYSEDMYEGDVAGSSNSGGTGGGGGGGQATGDHYGSSGYGSGSGSGSGSSDATNKYYEENTYANAYSNGGGGGSGHGTNGGSGSGSGTGSGFGNANP >cds.KYUSt_chr6.4052 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23454875:23457835:1 gene:KYUSg_chr6.4052 transcript:KYUSt_chr6.4052 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYAATPSAAAVSLPSAAAPPLTPDAAAVLSRAAGDASRRRHAHTTPLHAAAALLTGPAPLLRDACVAGLASPHPFRCRALNLCFAVALDRLPTSTEHHQNHQDGFRGAAPPPLSNALSAALKRAYAHHRRIGGSAGIDAGGEDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPAAPDSGVYVSATRSLQQHRQASGNRDEEVAKVVDVLKRGKKRNPVLVGDTADVDAVVQEVVAMIQRQRLGNARVIEFSNLVDMDRSELVTKIRELGEAIRSELLAPSMASQSSGIVVNLGNMQWLVEERSAVAFGEPQETRRDMVLDTARAAVAEMARVLEHSGGEGERQRVWVIGTATCATYLKFQVYHPALESEWDIQAVPITPRPPQPPSSLGLSPSGGINRGILSSSVEVLSSAMTGATTHRPAPSMCNACMDGYERERAQVASSDASSLNPAEKTMSRWLQIGTPASARPPSFDRVQVQEKEWEADELRRRWLDRCAQLHSYGRPSAAVTCSEWNGASVLGSMQAAMPVRPSAPPSGRVVDTELALGPVSSTRPAASVCDTDVKVLVKRLTEAVRWQPEAAAAVADTIVKARLGDGNRRCVAAKAGTWVLFAGSDVVGKRRMAEALSVAVFGAEAVTVRLGCTPTVDGGEDSVVSCRGRTALDRVAETVRANPFGVIVLDDLDHADDIVHGAIVRAVESGRLVDSHGRDVALGSAIFVVMSSMSSSAPDHPADSPWNLELRVRQHSTPKRRPEQPLDGYRRVRARKALPLDLNLSMSDDHIHDDGDDSGGEGSRNSSSDLTVEHEQEYAQPASAATCSAQSNVQELIRAVDGTVLFRPVDFEPLKRSVSDIMTTKLGDDGWSVQVDAGVLNRLAGAAWTAAGASSATSLEAWADEALCPSIRHLKRSLSTNDADGATTVSLSAVGDIGRRRMDGGVFPTSVTVAVD >cds.KYUSt_chr7.24036 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149682967:149687598:-1 gene:KYUSg_chr7.24036 transcript:KYUSt_chr7.24036 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASRPPTATPPAASSSRIDSPSLKAALAMALMHYNRLPSRAAAAAGTSTPPLIHWKRKAKDRKREILRLREELKLLQDGVRGEETEPPVASCRCHFFDGCGDLQPPGGGEHWVDEVLRRRFLRLARWKEKRRRVDRPLPVTSFMEFNREDEVQQLSLSTDFLVELSDGIFAKRDSMSSFATFSHQAVDFILGSVDCSDAQFSVQHLFRKLGQEEFIGQRIILVVSQKISNLSEMLLLVDPFDDSFPDMHGNMFIMIQLIEFLISDYIKKWLCCEQFDK >cds.KYUSt_chr5.37219 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235358689:235363174:1 gene:KYUSg_chr5.37219 transcript:KYUSt_chr5.37219 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPYIWKLHLQVVFLGLGQGHLYAAELEAEKAHREKAEALLTQNQQQMAMQQQMMLWMTRKLSAHDAHLSASMPSGTTLPTDPPPFDINTWLHASGGSNNIELHGPSTQDGNDNLMTPPTGGQPSFNNLGIVRRL >cds.KYUSt_chr4.40602 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250658103:250659455:1 gene:KYUSg_chr4.40602 transcript:KYUSt_chr4.40602 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLSYTRRARAQATMHQVGDPAVAHRALIENADDFSNRPAAIFPVSLAIWPNGERNDSITTTNYGPHWRALRCNLTAEILSRLGSLEPLQQEAVRALVADVSTAAGGQVTIAGPITAAVFTLASRMCFGDNVDDDCIRTMGQVARDAIVAAEELSPRFNGSTLSKLANWRGFRRISGLVDRQAELYLPLIAARRKSRSPLCGGGVVRPYVDSLLDLRVPEDGGAGHHALRDGELVGLVFEFLGSLSGSTSACVEWTLAHLVDQPEVQSKLRCEIDKVEVLSSKSIRSMPYLNAVVLESLRMHPPVPYILRGAHGKGGAKAIGATAGAVPVHGLRVRFNLGDIGRDKKTWTCPDEFRPERFLAGGEAEDIGAAPGPKEIRMMPFGAGHRHCPGMSMGMLHIKHFVAALIREFEWAPSAEDCRGGVDMTEQNGFIKRMKKPLSARITRRK >cds.KYUSt_chr2.44809 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279052675:279056661:-1 gene:KYUSg_chr2.44809 transcript:KYUSt_chr2.44809 gene_biotype:protein_coding transcript_biotype:protein_coding MKQERRRKHLRPSLPPGLELAMAPRAREGRFEEIRELDGDSELGAAAHGPGRVPPWRDQLTARGMVASLAVGAMYSVIVMKLVLTTGLVPTLNVSAALIAFVVLRGWTKALARLGVVARPFTRQENTVVQTCAVACYSIAVGGGFGSYLLALDKKTYEAAGEDTAGNVPGSFKEPGIAWMTGFLLAVSFVGILALVPLRKIMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKKQVNGFTKYFGISFFWSFFQWFFSGGDNCGFSQFPTFGLMAWKNSFFFDFSLTYVGAGMICSYLVNLSLLLGAILSWGVMWPLISDLEGDWYPSNIPESSMSSLQGYKAFICIALILGDGLYNFAKIIALTVKNLIEKSKLKNTKKEEDIPVLDDLHRNEVFVRDSLPTWLAYCGYIALSVLAVIAIPMMFREMKWYYVVIAYVLAPALGFCNAYGAGLTDMNMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMQDFKTGHLTLTSPRSMIIAQAIGTAMGCVIGPLTFMLFYKAFDIGNPEGPWKAPYALIYRNMAILGVEGFSALPLHCLQLCYGFFGFAVVANLMRDLFPAKYGRWVPLPMAMGVPFLVGASFAIDMCVGSLVVFIWHRFDRSKAAQMVPAVASGLICGDGLWIFPSALLALAKISPPLCMAFRSTH >cds.KYUSt_chr4.28169 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176991002:176991934:1 gene:KYUSg_chr4.28169 transcript:KYUSt_chr4.28169 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSWFHKLRRKRRKGRPSGACPSPSPIHGAAADEPIAVVTTTVASTDDQRTQQRQRPIAEPRSPAGPAPAPCAGYSPNRPSYYFPTADRAARPDGGLRCIAPRGGDDDSTVLDVRVDVVHRRAGRLGGIDAPPATPELNLRRIVTRPLAKNEPAGDVPGSSGSTTTSAATTPSTCRARGFHVRPASTRTRRRRRRGHDDDNNNVRKHKDKAVEAAPAHVGSTTTTRGRRWLYESLVVVKTSSDPEREMAESMAEMVAANHIRSSDDLQELLACYLALNAAEHHRAVVAAFRRVWLHIASQRLLHHPRH >cds.KYUSt_chr7.35712 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223085406:223088256:1 gene:KYUSg_chr7.35712 transcript:KYUSt_chr7.35712 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVPQDQERPRASGSRSGKKPQIGRYVRIDFACRLSEENRPVPWTDANLPGGGWYLNSKRVPVPKCRARAESTGRRCAAVRSGWSKQKTRKGLFVQQQHDVGIIALGHLAARVQALQQMQFLESRPTKKCVPPEAAFISTMKPYQFGIRRKVVTAFRRAASSTKYTHRRGQELIHSSHGAVEDARGMKSEAQNHEDITESRSRLSRLSSAVQCRTMPS >cds.KYUSt_contig_1181.1004 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:6635032:6635541:1 gene:KYUSg_contig_1181.1004 transcript:KYUSt_contig_1181.1004 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKRPASLLGTLRTAVNKVRFLLSFRATRWILTSIAGRSPGAAGNAATQRRLSFGSRQPSLLDAEDDRSSPSTSTSAGPSRTASLGATTVSRTSSAAYSRSASSGAETSSSTSGSGSSPAGDDDIDRRAELFIANFYRHIQMERQVSLQLRYCRADSMQDRTPPRLI >cds.KYUSt_chr7.37232 pep primary_assembly:MPB_Lper_Kyuss_1697:7:232349863:232354358:1 gene:KYUSg_chr7.37232 transcript:KYUSt_chr7.37232 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLVLYVAIVAVAAAVLGPCAEGYPWQACSDSSLAPGSNYLANINLIASFLPKNASTSPFLFATTEAGAAPDKVWARALCHGDSNSSSCFSCLTQAFRDLPNVCDDTREATMYYSSCMLHYSNASKDYVPDNTFWYWEYTNVTSNTGQFNSLVAKLLNATADYAAYKSARRFASGEADFDLEFPKLYSWAQCTPDLTPARCRDCLAQLTKLYLPMFADGTGGKALRVTCSFRYQTYSFFDGPALVRLPGSAPLPSTGAPAPAPVPTVVRPSAATTTGDPSYLSAAEVTEGIDSMLIGISTLRAATENFAECNKLGEGGFGAVYKGTLPDGKEIAVKRLSKSSRQGVEELKNELASVAKLEHKNLVTLLGVCLEQQERLLVYEFVSNGSLDNILFEAEKSTQLDWVKRYQIINGIAQGLEYLHENTELKVVHRDLKAANILLDMDMNPKISDFGIARIFEQDQTQGITNRVVGTNGYMAPEYVIRGNYSVKSDSFSFGVLVLEIVTGRKNNHKSWEAQDLLTAAEMEERERRHQEEQMQMQQQLRENMQMQQQMLQQMQQQQQMFQQMFMNQTVLTSPPGSSGPSTSCPPMFPHFIPTPDPAVMALLQQAPSRASPTPGLTVNNTGIIRSLQQFLGGQGGGEGQGSGEGQGSGEG >cds.KYUSt_chr7.29655 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184562170:184565379:-1 gene:KYUSg_chr7.29655 transcript:KYUSt_chr7.29655 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRERRLYSLLGLLLLLAVLYLNWFPGRDPAAKLKLPVPWLQPRMSFAGRDGTHFVDAATGAPLYFNGWNSYWLLSSRNPALVSEMLRRGRRMGLAVCRTWAFADGGPGALQISPGRFSEAVFQELDYVIYEARRNHIRLILCLVNNLNNFGGKAQYVKWAQAAGANMTNSTDSFFYHPIIKGYYRDYVKNSAVKHIDFASVHAYPDSWLPKASMEDKIRYLSSWVDSHLNDSEHILKKPVLFSEVGYMQQHVVDSNSTADRDVLLKVVYDKIYNSARKLQAGGGALIWQLMVEGTHMYRDNFSLVARDHPSTYKLIMEHSCRLQMLYKKEGDPGWQCSVPP >cds.KYUSt_chr7.4950 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29706324:29709175:-1 gene:KYUSg_chr7.4950 transcript:KYUSt_chr7.4950 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMSLAAKGLLPFAALPSSGRPVSVTASLEHKPSDSKRKLLKLALGGVGLPALLSAKKALADDQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHNQQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRGSGGMGGPNGPGFPLGFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVVAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDTDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRTGISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDPVQKVTLVPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGDSEVTTGAAGDLQQITGLAKQMVVTFGMSDIGPWSLMDGAQSGDVIMRMMARNSMSEKLALDIDSAIKQLSDQAYEIALQQIRDNRVAMDKIVEVLLEKETLSGDEFRAILSEFTEIPVENQIPPTPQAAVPV >cds.KYUSt_chr1.1345 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7305811:7307683:1 gene:KYUSg_chr1.1345 transcript:KYUSt_chr1.1345 gene_biotype:protein_coding transcript_biotype:protein_coding MALACAGDGVTCGCRALVEGVPMLALLFEMMDSIPPPQSAPLGGLLRPSHNVGLAAFTRFAAVADFFPSALGGFARSLSADDDDAFLRHSPSWRRRRKTLPPLVPQALTGGRPVAEFPLELQSWVAYLLLEGGSHDAVFIGVLFGRKAPPPTSSDGDGSLLLLRASCLLQALGYEATVEAPCYRRSSMCRKRLCPR >cds.KYUSt_chr2.33913 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209689927:209690678:-1 gene:KYUSg_chr2.33913 transcript:KYUSt_chr2.33913 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRRTVWISSGLLARLLMIAVLLMSVRFILDNRVVFRNADYGEYGYYSDPGVYKLQSHKYVLSQSHVQLQGVYKIQSHKDFIWCVVQAISVVLASGVGAGFGSTDDVMRLIRNGPWSYLPQVQQDLLSYYKTAIIAVVFLFIGMLLSLCATVVSARLRARAINDSEDV >cds.KYUSt_chr7.18317 pep primary_assembly:MPB_Lper_Kyuss_1697:7:113498910:113501188:1 gene:KYUSg_chr7.18317 transcript:KYUSt_chr7.18317 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVTHAWSGSEQQLSAPAGALPIRTLVARLECAVDGRARVNGSPYRGWQYVLKSLGSCMHSTPSNSMHEAKVLVRSFISSARIVWRPVSVGTCRRLQKQQGRHEIDGKLASGDQMDGLAPHPTRAHRALKPRCYRGRPKLDGASQADEGLDALVDEMEKAIWNEHYTTVFCEICKDEVDANNRPLGCLNRRGYKNLGEKFFAQTGKKLTKKQFKNKWDLLKKEYTQFMELKNAATGLGWDYVRGTIEADEVWWKVHLEKYPKHAKYKKKGLANLDELDAMFDEAA >cds.KYUSt_chr5.7604 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47968198:47970918:1 gene:KYUSg_chr5.7604 transcript:KYUSt_chr5.7604 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAGKSEQHHGDASNEVTAPAGVAVASLRRDFSPVLPPNPSPSKKRRKEPPFGHTAVQKRRCRRWRGRWPLWCARASPMTPDRFGALFRVVGLTDLRGKLQPKSRTLSPSIWKQMWFKFCKANKDVVDYLFSILALPIGTAIKLLGKERPVGLGGSTRSLYASVEKLDRAYLESAVAKAVLLGPTTAPPPAAASKASFLPFRTKSLVRGVVRYTVLDDLTVTPTSAVSSIALLNTFAVKDLGALQEKHVQLAYKEGLEILRASLESKTVLTDVFLEKKP >cds.KYUSt_chr2.18960 pep primary_assembly:MPB_Lper_Kyuss_1697:2:119321954:119324076:1 gene:KYUSg_chr2.18960 transcript:KYUSt_chr2.18960 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQTPSAAGDPLFDELWHACAGPLITIPRVGDLILYFPQGHIEQVEASMNQVAGNQMRLYDLPSKLPCRVINVELKVDTASVCMMVSKRIQKEIKDLQKDPPTSCNADLPAQDIAWCSGGGGDGEWPCLHGFTSRRMPDGSGPPGREVKLSRQHRHP >cds.KYUSt_contig_1358.45 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:294314:295863:-1 gene:KYUSg_contig_1358.45 transcript:KYUSt_contig_1358.45 gene_biotype:protein_coding transcript_biotype:protein_coding MPARIASARCNGPACHGPTRHCWSAARLGTDCLGRPTFAADSPRPLTPASDENRRLTRIAAVAVPLLLPLRRGIEIVLSPPRPFRMSTEDSKDMLKNADWKTVSGSVTTESSQPVVKKRLPKKIRQVPECYFLPRRSLPSALAIYGAICVAGVGAGMLVEVWINKKIKEDGGVVWEMGK >cds.KYUSt_chr1.40302 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246793205:246795699:-1 gene:KYUSg_chr1.40302 transcript:KYUSt_chr1.40302 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRPDRDSEVVAASLAGSAGPFAAPPKSVLRSGRSSSSPPLLPAAAPAAVLNGSRPRLQSIVPRRPPQKATEARHCANPHLVGQALEKELRLPPHQLRVTRHHPEAFFVLFDIPSHRDRAIAMGRLHVDGSTFLLQAWRESDHAVHLTYNLHVRICIERMPMHMWNREGAQEVLGNHVLVDRLDSRTYSQDNTELFSCWVWCRNIDRIPGHHGFSVFPQDAGRIVEMNGYSPPRREVAPPPECLRYDALIHIDLVEDWTVREARTPPSGQSGVPSSTSSDEPPYPAVQPYTWRFGVPDGEERGSGGRRMDGCRYFPTYQRREDEGDSSGQRRNWRDVAAAPAQPRGQVSSSSGRDGARQRSRSPTSHRQRAASLPSPTVNVMRGSDEVLPPPPPLPTMGPLPLRLFETAAEAPALPAKEPTPVPSNAPTTATPGHVAFDPLIDLVAPAHHVDMEWGPVGVDPMCLELEAACAATICQPLSFTSSPSTMPDLPMVPHPWATADFGVAPAEDAVQVSVVASQVDDMRLAGEPAYEEEVQREPAEDFLQMLFKVPPTAILGISPLNPASPAQAAATPKRSLRQANTASSIPVAQRATLRLAKEMNVISGDGRRVEEAAAGLVERFKEPLSEVDIDGLAILTRVDRDAVHRAAQQAVAGRAAALAN >cds.KYUSt_chr7.12807 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78998859:79001771:-1 gene:KYUSg_chr7.12807 transcript:KYUSt_chr7.12807 gene_biotype:protein_coding transcript_biotype:protein_coding MELTKQRPHPPLPPPAHRAAQVPYPAAVFYPDNRTAQAPTTSYPIVPASQQPGAPTPSAHQSGSRPSSRASSLRPPRQAPSVQTDFPEMFVPPPSAGKKKAVSPKVQVLKSPPSTQVSAAKRSVLKGLPPKAHQQEQFDSVRSKFREALAAALSMGSDQQTGQQSARNVPPLGSVQTGGGAMQGSTTAFLVAGKGDDENATKKVAASRRSEHGGMLHSNLSPDMTAQVRDDMQQETEHVPSGNRAWLCWDPDIAIGASRSFSQPNPKRARMSDVQAGVNVSEIGSESNRASTTDETTGEKKVRRIREAHSLAFAIEEELFKLFGGVNKKYKEKCRSLLVNLKDKNNPVLRERVLSGDITPKCLCAMTVEELSSKEFPEMFTPQPSPPSAGKKVAVSPKVQMLKSMPPQLSAGKRFLQKELPPKVHSQMQLFESVRMKFRETLAAALSMESYGQMGQQCAGNVPPLGSAGGNIQADRGRMQGFTTASQVAGKGDDENVTKVATRRSEHGDMSNSNLRPYMKIEVRDDMQQQTVHVPLGNKVSGNSSVVLDDLLQDQGLCWSPIGASQSQSQSMSQPNPKRAKRSDVQAGVYASEIGPESKRAITTGETTEEKKVRIHKAQSLAFQIEEELFKLFGGVNKKYKEKGRSLLFNLKDKNNPVLKEQVLSGDIAPKYLCAMTIEELASKELSEWRMVKAEEFANMVVLPHREVDVRRLVRKTHKGEFQIVEETDVTEVGLGGESPSYVPSKHVAVQTKSDDKTSVGNEVNGSDNSVQDGVAGTCNNNTSNNLDYPPNGKSDLMQELMIDALKVTENLPQIMTLDEFTQDPHYQPTGALQDDSRIDKADKALKSGFFPTAKDKAAASDFLFHSNLPSPQANCESKLESAMNKSVSILGPVEEPKGDVLVKSPPEKAVAEKPDTVNGSVPESTMHCKITPDAALTHDSIWEGSIQLSSSTLTNMVAIFKRFVSSPRL >cds.KYUSt_chr3.17342 pep primary_assembly:MPB_Lper_Kyuss_1697:3:106177876:106183256:-1 gene:KYUSg_chr3.17342 transcript:KYUSt_chr3.17342 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQAKVGVTVASRQHRQKKLYPSPSALSPLHPPISAPSYSSIARASHLSFYSSDQSDHYLVQHNRRSAADVPAHVDAAPPDAASNTSAAPSGLVQPPVSPHDGCCAPNMVQRRGSKDCHCVYPVRVELFLHNVSLNSNWSNEFLAELASQLNLWVTQFEIVNFYVVGASGLNMTMNIAPHTGNSFSSDQVSAMNYSLSMHTVQINPILVGDYNLLNLTWFRSLAPAPAPAFMISPKASPFTSSSLPRTSENSSNGKHLSLITVICICIGALIGVLVILLFICFCTFRKGKKKLPPVETPKQRTPDAVSAVESLPRPTSTRFLAYDELKEATNNFEASSVLGEGGFGRVFKGILSDGTAVAIKKLTSGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRELSQSLLCYELVPNGSLEAWLHGSLGANCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENDFHAKVSDFGLAKQAPEGRLNYLSTRVMGTFGYVAPEYAMTGHLIVKSDVYSYGVVLLELLTGRRPVDMSQSSGQENLVTWTRPVLRDKDRLQELADRSLGGQYPKEDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSTEFQESIQTPPARPNIRTAATYESDGTSSMFSSGPFSGLSPFETENISRTAIFSEDLHEGR >cds.KYUSt_chr1.28433 pep primary_assembly:MPB_Lper_Kyuss_1697:1:171753637:171763637:-1 gene:KYUSg_chr1.28433 transcript:KYUSt_chr1.28433 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCILEKKNYVCFGNEHSLELFKLKPEEWMGQKDRWASSRSSTPAGPASPRSPWSSSRVIQEKDLDGHAEKGIQHNQKVTFRGEDNEAPDTVIETLSSSSSREETPECLYGGAPKGSQLRELERRAHIVVATPGRLDGILEMMKLNACLALGRGTAVTGRVQQGTIKTVDHVEIFGLSEMNKPLQEQERACLYFVETFPCSHTSISVTLGLGSGSRTRTSPPVVVPQLCSVGLPELLLVVASADGGTTYGNADLPCELGNGSGNDTAGSSTAGGGITVLGLWEGGSSTDAVTNASVGPGGGSGGILLFMRTLSLAESSILSSDGGFVRAGSSGGGGEGFTSIGLTFLLEMNMFLLQLLKDQYLHGLM >cds.KYUSt_chr2.16721 pep primary_assembly:MPB_Lper_Kyuss_1697:2:105162732:105163509:1 gene:KYUSg_chr2.16721 transcript:KYUSt_chr2.16721 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPRSTSLQLLGLRSGGVADVGAAAPACSFHASPFWPRHLSCKVDSVSGIEAFSIHRASMSWWCGTSVSSWFTMGIMFVTSELAVSASCAGRKTKHSVS >cds.KYUSt_chr2.16668 pep primary_assembly:MPB_Lper_Kyuss_1697:2:104768042:104768959:1 gene:KYUSg_chr2.16668 transcript:KYUSt_chr2.16668 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASAASQAVSTGWVLFDAGVGLDEQLANTDTAAACATRTGGVVGVSLRLASPPAFSTVQLYSTERAYARRVLAADRDLLLINMVVPVQNHHPGNYYPDNFFVYKADAHAPWLRPLPSPLDWIDPSWPWMPHLPGLLHCIGRHENAAISRSGDEFFVANLSMNYVCPTGLLNTGDREEVADLLLYSSENNTWEAKRLNLPADARKEYANSRWRTDAVFSSGGFACWADYHRGILRYDLSSDGSNLGFVRFPGIQMWHDDHGVPSPLRTVSVVGDDGGFLKFVESTMAAIDPARAPLAAQSVPGF >cds.KYUSt_chr6.24507 pep primary_assembly:MPB_Lper_Kyuss_1697:6:154800850:154802909:1 gene:KYUSg_chr6.24507 transcript:KYUSt_chr6.24507 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAAASLEVFPYRGSRYWKGHPDTIIAAIGSLGNIGQTFGAACIVIVGKKLGNLHDALSNTRADGIGVLIREGAAAYLNSIVNKKFPFTTQQVKDCILVAVTSDGAASAQAGVFKKANESHYKF >cds.KYUSt_chr1.34881 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212567567:212568438:1 gene:KYUSg_chr1.34881 transcript:KYUSt_chr1.34881 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEHTNKGAWTKEEDQRLTAYIRANGEGCWRSLPRSAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDEDELIIRLHGLLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLSRGMDPSTHRPLTADGASANAPSYRPAQPQPIAVPARALFALTTKAPPSPAPVQSSPSSDGGSSGATSTGEPRCPDLNLDLSVGPPAADTPTSHSQLPICLCNHLGFRGGDACSCRQADSAASQSGFRFFRPLEEGQYI >cds.KYUSt_chr7.11056 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67943329:67944761:-1 gene:KYUSg_chr7.11056 transcript:KYUSt_chr7.11056 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCPTGDDVGIAYQPPLVLYVPRRFTLGEVSAHTGLAIHKYGATTSEDGLVKGCVVMDVPPTPGRPPETRRVFYGQPRGDLDSALESAAEVALHHLWDEYGIVVESWNHPALAEYRQSLLVALEASRERTAEIKAQEEVIRRAHDRLIQRSRDICLQFADVLPVRAGGPSGIEYVGPPSPPIGGIDMLALDLVQTIQSGYDALQLSASSPV >cds.KYUSt_chr1.18185 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106122923:106130175:-1 gene:KYUSg_chr1.18185 transcript:KYUSt_chr1.18185 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFAAAWPHLVISLLLVALQSKAASSSGATAGRPEWQVLTRTNFSSQIRLHPHVLLVVTMPWYGESRSLMAEIEHLVATDKQELGRLKLMVVYRNSEKLLSDAIGASEGTKFIYYRQSIPFKYQGKLRSRDILYSVRYTMSLKHEEAPFVPLHTKEEVEAFIQSTDKAVLLSEFCGWFTRLASGGSNTSSGATPSKTHTDNVDISAKTLTRESDGRLELVVEDEELSFGGGGQLTGSTWKGGFTIANQSVPDQIKIRTDENRKLCTVQKFQQFESFYARLTSLSREYFLPPEIVRFGLITDKSSLPSPDVVNESNSETWFLSVHYVGCTTCSVIAKEGDDLRSLLQSHHNLDVKEIDADESGADVTFPANRPSAILFVDRLSDSLKTRDESKLSLKILREYVQKNYPPYVISGDLNNGNSKMVPSVPSTTRGAPDSRSGTVSLHALTSKYMELGDKISVMVVKDGESISYEGASQDGPSSPLYDILTKLIHKTRSAHSSKKTRISFVAKDVGIKLLSDESEVKVGDFVSIRESQHDRIDDLFASSDSVNDGITEVVHENKATEEKYIDDGQSPTITEKTPAYYCGNDDLDCSDTRMKEQQEAEAEEASDVSPDLHEEVSIDVHSSNEVRGMLQKHTDEKTVSEGLDILEPDRRNVYSNNEKSGSPNQQDDVFSFPSHESERIEGFIGEDDVFSLDDEKEKSDSKYSPHATFSSSSILGSDNTEYAEQVTSSISDNRFVGSFFFSDGGYRLLRTLTGGSRIPSLVIIDPVQQKHYVFPQENEFSYPSLANYFDSFVNQSLSPYYRSASPVVVSKELPRPPFVNQDFHEANSIPLLIASTFCPLVFGFEGCESKYETSFLNAENIASTWKKDVLVLFSNSWCGFCQRTDLVVRELHRSFKSFISLNSQVANAQDLQTKESTSEGLPTIYMMDCTANECHQLLNLAGKEEFYPTVLLFPAENKSAISFEGGVSVGNLIEFLESHVTNSRHILEYKGYLRKKRMVMQHDAPQTIQFHINDKSSSSVGSHPPTDLEKRKVHIVTGSILDATEKLGGTVPFDNARVLIVSADSHEGFYGLIINKRLSWDAFKNLDSSMEPIKLAPLFYGGPVVVQGYHLVSLSRIAFEGYTQVIPNLYYGNIIATSRVITGIKAGGQSAEDLWFFVGYAGWGYSQLFDELSEGAWHVSGEPIERLDWPDS >cds.KYUSt_chr6.31547 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199375856:199376329:1 gene:KYUSg_chr6.31547 transcript:KYUSt_chr6.31547 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPVSLRMRRARASWWRPRGREAPGSASLSAPPPLLAITRSKAFLRMSSRSCKCKNHAKKSSLDARGGGRRGPGGGGGGRVRQRADDEAAPPARRTCAVIRADDEADNGGQHEKQSATMLLDRAQGGVAAERAAVPAAAAEAALHRRAIHTVIAN >cds.KYUSt_chr1.745 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3976116:3976628:1 gene:KYUSg_chr1.745 transcript:KYUSt_chr1.745 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMQYSLKQLAAVLLLALASAMLVTAQDTLQEILDAHNTVRANVGVPPLMWDDTVATYADAFAQKRSADCLPIFSPLGHPYGENVFVGTGSEWNMLDAVNLWVSQKQYYDHATNTCSAPSGQSCDAYKQVVWRDTKAIGCQGVVCDGNAGVYIICDYSPPGNVVGQTPY >cds.KYUSt_chr3.13005 pep primary_assembly:MPB_Lper_Kyuss_1697:3:78110124:78123856:1 gene:KYUSg_chr3.13005 transcript:KYUSt_chr3.13005 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCSSRPAVHLKAPGSAVPAPTAGICRPHADSRLQLLLRQPRCGGKGGWLGETAAHLVQGNGGLLLSRSSKTELRASSSGLLFSCSSETKLPGISMDEVRKKLFSAFIVWTAVCFQLINEKEGSSQVSSVVSENKSGYADKLPFKPLPPKEGNEEKEEKKKKKGTKKKKKKKKENKKKEVTAYPRVNEITLSNRKYVAPNDYCDNESEYDDLPMPFTYISNHDLNERTTFDIANLWETNSENDDDNNCHSVSAIHASSHNDIGSSKLGEEVFENPFATGHYVLDASPSNNNDGVDTDKPTVKDNYSISYDDTVPPIFDDYYKKCYDIGLHERDIFDLFLPEFDKPWVIHLRENLLLFYKPLLLEAQHCLQEKEGEVDISVVCPQGAGRSRLATTDNKLLQRMLKMVGVNTIQEVGQP >cds.KYUSt_chr3.24778 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153804412:153804984:1 gene:KYUSg_chr3.24778 transcript:KYUSt_chr3.24778 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPYRFPALCEDEPARRTSARQSCGTCGAAAVANCVALCCCPCAVVSCFTLALVKAPYVAGRRWVRVAKTRRRKTKRVRNLDDQLDHVEGLGGGGQCNGTERASKESWGEPGGAAAPRWWSSTIDESVAREGRMRVSVTEKAWIEMYEVGHWGFGRLSFSVAGDAAAAQVVRSDPEEDGSARAGAGR >cds.KYUSt_chr2.13261 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83990053:83992687:-1 gene:KYUSg_chr2.13261 transcript:KYUSt_chr2.13261 gene_biotype:protein_coding transcript_biotype:protein_coding MYSWEVGFGIRYGRSRINGSSIRTRKDIVCSCEGRDKSEATRSARCDCRCMLSLLRSDDDSCRQSVRAMCAKLAQESIDGDMSKTIEVFNMIKTRDPGFIVAMDLDEKKRVRSLLFAHGTSRKDYASFGDVVTFDTTYRTNLYNLPFGLFVGVNHHFQSVVFAGVLLTEETIDAFKWTFRNFVAAMGADAPKTILTDQCHQMRVAIDAELPLTRHRWCKWHVLRKAKESLGPVYSKNSPFKRDLHELLDIIVDVEEFETRWSSAFTCREDGGPNRFVMVYARASASNPAGRQEYVVDSNEERTEFFCVCKSFEHCGIPCRHVLKVLVHIGAVEIPSSLIMKRWTTDAREGVESAIPGLDEAVAASADSTSMHGMLHAAVMELVVMGTTSRQAFEVTVDYVSHAKAAISAMKVDAPVNVGFSVQSTELAGEDVLQFDSGVAAPPRVRSRGRPKELRFKSPIESPGGSKRPTNLKRSMKSSGDDAPRRSTRFLKTGVYVIEHCGSCGLSGHRTSECAEEVEDEQGGAVRRRCKSCGEVGHNRSTCGRKSTYVPKVVLS >cds.KYUSt_chr7.37138 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231791315:231815030:-1 gene:KYUSg_chr7.37138 transcript:KYUSt_chr7.37138 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAIALPLALALAAAATCATAPAGPGAHVTRRQRSCHLKKPLVGVGLACHSSTSTSKSTPSSSSSGLRVDATGAPMADLRSEYLQVLLSRRRDLQVPLSVEQGSPVKEPMYQGDGRPLVPSEAMESCPRKEVENFTEKLVEENFYLITESGEQGRVPVLLLRLNDPAPKRKPVAVLLHSSYKCKEWLRPLLEAYASRGYISVAIDSRYHGERASNKTTYIDALKSSWKNGDTMPFIFDTVWDLIKLGDHLSAREDVDPSRIGITGESLGGMHAWFSAFVDTRYSVVVPIIGVQAFRWAIDNDMWQARANSIKPLFEEARVDLGKSEIDAEVVAKVWDKIAPGMASQFDALYSVPLIAPRPLLLLNGAEDPRCPVPGLQEAVSIAANAYEEVGAAEKFMNMAIDNFYAGEGTDHSGVPTKMVTVNCSLNMVVSNPASMFGIHVTSGPVRLLYSQIAIGVGQVREYYQPKNSRRKVSAVIHGQKVPLYGAGGGLDDDGLVPLTVDFKLISRGYIIGQLVRVTHKLQVTCNITVRAQTTRPIRFPKDACAVNKG >cds.KYUSt_chr4.50636 pep primary_assembly:MPB_Lper_Kyuss_1697:4:313648861:313649097:1 gene:KYUSg_chr4.50636 transcript:KYUSt_chr4.50636 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHLLALALAQKHCTGCEPAWAQPWGWAKTAFFLVAMLVSMLLVCAPPLLVVLLDLALPQGRSYVGAYLGRGPPRI >cds.KYUSt_contig_7534.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001582.1:64416:64742:-1 gene:KYUSg_contig_7534.10 transcript:KYUSt_contig_7534.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAAQSSSRVAGRAVELPVTRHATDRAVEIPITRRAIDRGVELPVARPAAGYAGDLQGMILSDPAMLHNDFEKEIERLMKMMMSTRKIWDFLATSQYPCLGTTQRQK >cds.KYUSt_chr5.34973 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221233678:221234379:-1 gene:KYUSg_chr5.34973 transcript:KYUSt_chr5.34973 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPCTLLVHFDKGSAAMANEIKADLEGSDVAAKVEAMKRAVMLLLNGETLPTPARTSTTTCSTPTSTFAASRCSSSAASPSRRCSSRSCPPSSTTSTTATTSSADTRSPRSRPSTASCLTLAHGLHHRAVTTPCTRASALGRTPSGCDLAGAEAEGAQQLLDGDGNLLSDDDLLGDAVERAGEGNDKGRGTSMTVPWWRGGHTCAGAERGEAGLVLRADNGDGVVRRGADEG >cds.KYUSt_chr7.38779 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241294424:241295903:1 gene:KYUSg_chr7.38779 transcript:KYUSt_chr7.38779 gene_biotype:protein_coding transcript_biotype:protein_coding AILSSAARRCRSGRKQSNQARAMVSSVLPHRCCFIRSILPRKTRRPSSSFTETFASDKTIWYIQ >cds.KYUSt_chr4.25882 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162787255:162788185:-1 gene:KYUSg_chr4.25882 transcript:KYUSt_chr4.25882 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVQDEMRKGPWTEQEDLQLVCTVRLFGDRRWDFVAKVSGLNRTGKSCRLRWVNYLHPGLKHGRMSPQEEHLIIELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERTTDMSPSSSSSSFITYQSCLVETAPIIRMDGGRTHIGSTCISSVLKSNQSVMDGYSMDQIWKEIEAPAILTPNDKIYCNLPCPLVPSPPMGDRYCPEVVWKMDDDETEMLAPKFGYGNGGDPCY >cds.KYUSt_chr4.20249 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127358204:127359598:-1 gene:KYUSg_chr4.20249 transcript:KYUSt_chr4.20249 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSAPPPLPVYESPSDRRRALRESVRVTRRGGQEGVRPGYAAISSASRPIKSPGQVTPDRKSPSLTNPPVATNQESDSLCAGLVVEMASQGRRKEAVDQSAGKDGKVAASGAWHRGGRHVAEDGIRAATNHAGLLMAPPAGWGDQGGLVERPSRPKPVGDPEVARLLRQRALLTADREVANHGNWDDGESEKNAGFRQGEETKIGGYVDMEDDVFLEFEEEEEVKKDPDEAPTWQLMARYMANFKPNTKAMFTRFVEEVWFLRTGIDYAEKGKNYYMITLYSKGDYDFVRRGGPWIYKKNALIVKDFDSTVQPSEIKLDAVPVWVRIYDVPFQKQDYTWGMRYGNGLGEALEVDVPESEIKKQEFLRVRVNLPYDRRLQTHIAAGIKGKPEQTKVFKLMYERVPYYCSHCGFMGHKKDDCEKSRLGIPSLEYDAIELRCSPYKNSNIGVILFLQLGTPRQNAG >cds.KYUSt_chr2.10094 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63892260:63892854:-1 gene:KYUSg_chr2.10094 transcript:KYUSt_chr2.10094 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTAATSVDDNRHGGSGADAPPAAKGGATISITIVLLVLLVASVAAFLMSPPPGGKPPAPMEGPGSRSGSEPVEHAVGHGTPGFNSRLDAFRAWAKLTWMKLQRLRSDEPRYDGGGIAGSAAEAAKKSLEMGKETAEQAGAAVAGAAEDAVGMAKDTAKA >cds.KYUSt_chr5.12191 pep primary_assembly:MPB_Lper_Kyuss_1697:5:79450082:79456336:1 gene:KYUSg_chr5.12191 transcript:KYUSt_chr5.12191 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIRKLALEALEIHNAGHHDLALACANELAAANPRSALALNLAGTLHVRASAVPWNEMATAVSASLSASDHYRAALAAFSAAARNAPNCATTAVAHAEALAANRRLSDAQAELFRVFNPGTIHADPADHLVEVGGGHNPRARTRDALGKAGAALFEVTAIIDNIIVPREAGELLLLGGDAPAADQVRARAKRLAERFPYSARARLLRVYLELEGVPALDLAADRRRLLRRALAQASEAAAHFADSILIALFHAKVLFALDEFDGSERECRRALCIQEPTDPNSEDIPPAVSVPGADCDARVSSVRKQLRVLLKQIVVAAAVCWCSIKATQHPDRARSGRVDRVLSVRVDTLQGHYDRVDKSAAKTISDALRFRKQQGSWSFLVCPNHRCDGKKFVDTQSLWQHMSSKHRDELWKKLQSVLGPDLYEDTPKHDHLLDGITLSQDSEQHDIFHLPRVQDIFESLLLSPSIGIQAEPLAEMRQRKSREGAKILENIKEELRMLPEDSTEFEEFRFAIQNLWLEFLETSALDYREIILPLARSFQWIQMKTVIDLSAKDLGTIIGCASIDIVFGKVPVAPGRIVSVEHGSEPSHANNTDHQSGDDTQTENIQPSCSDETLKDGEKPEESEVRIVDSNSETTVDQRSSDPRLDVHESGLNCAARIAEVELENKDNIGTSGQSVKEMASTSSCQRSLNAFNKNNADKGLSILSLIIRIKSFAELPVLLDEQFYKENSCEHCGIPQKIDLFLSNAPHFFTIVLKWLGNSESPDTLSEVLADITSPLDIEFFCKSAHSGTMYSVTSMICYVDERYVCFARDKDRWLIHEFETVETEDTWEHLLERFRDCKLQPEVLFFEVIK >cds.KYUSt_scaffold_869.1973 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:12307829:12309496:-1 gene:KYUSg_scaffold_869.1973 transcript:KYUSt_scaffold_869.1973 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLALFIKAANGAAVPLSRTKQVHARLLLASPSISDDLRLLLLRSYAAGGDFTSAHHLLEGAPRPASALLYNAVIRAHARRLDLPSALTLFASMRRSATPPDAYTFACLLRACADCSCPGTAKIVHGIASSSGISSHPVVSSALVSAYSKFLLVDSARHVFDGLHEPDLVLWNSMMSGYGYMQMWLDGLQLFSAMRRAGQEPDGYSMVSLVSSFCHREALAFGQAVHGVCIKGGYDSGHHVRSALVSMYFRCGCMESGQNLFGDLQDADLVTWSSLITGQLQTGKYDESFDLFRQMCYSGRRPDSILVASLLSACASTATISCSMEIHCYAVRLGVDKDIKVSCSLIDAYAKCGFAELGYLVFRQTAKKNSVMYNMVISNLGSHGFAMKAIEVHDEMVRDKLRPDSATFSALLAACCHSGFLDEGWKLFRRMRDEFHVVVEMEHYVYMVRLLATFNQLKEAYDLIQTMPMAPDCGVWGALLWGCCVHRDSTLGRVVAEKLSEFYPDKTAYKIMLSNLYASQEMWWDAEEVRAEMFKEGMHKNTGISWVGEVRK >cds.KYUSt_chr2.10856 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68968655:68969375:1 gene:KYUSg_chr2.10856 transcript:KYUSt_chr2.10856 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYSAGPEQRIAVCRALGIEEAGKDTGQGPVGAVSEQEQKELDETKLDRTLTSRGREEELAAMAEEQVCDGFGGWERPGTRQMALRVRLDVAVQLQVVGWPGMHRSVAGDELLRRMPAVNVGSPKEDGKKNNEEVQEVGNVTRTTLVRSRSPGED >cds.KYUSt_chr5.10718 pep primary_assembly:MPB_Lper_Kyuss_1697:5:69333238:69341187:-1 gene:KYUSg_chr5.10718 transcript:KYUSt_chr5.10718 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTPTDTTGSPTVDKEVQDELDVEVSVVDPRAPLMDGDQSFLDTVGFGYSPRFMKALSVIGAVAFRLVLQRAQAALFSSLFRFSLLKRLCNRCGRPPQCALARMALNERLSKFKQQQERCQTTLSSIAATQASTSKLQISSRSRPVNAPLAPAKQTPAIKFSNDTERLQHINSIRKSPVGAQIKLVIELLYKTRQAYTAEQINDATYVAINSNKAVFDSLRNNLKVQFDGKRFSYKSKHDLNGKDQLLYLIRKYPEGLPVVEVKDAYPTVLEDLQSLKASGDIWWLSSMDSQEDIAYPNDPKSKIKVDADLKQLYREIELPRDMIDIEKELLKNGHKPATDTTKRRAAAQINGQPKKPKAKKKQKQITKRTKLTNAHMPELLVTVSLRGRLARGARWRFRPDLGQDGPPWSVEMRVEDVVGAYGQQSCRWKKNRGRTVFVQELDVKEVKEVRQSVIQVQWHADDVGGKGSIWV >cds.KYUSt_chr1.7425 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45510367:45511809:-1 gene:KYUSg_chr1.7425 transcript:KYUSt_chr1.7425 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKWLVRLWVAEGFIEASEHKTLEEVAEDYLTELINRCLLLEVKRNESGYVDDFQMHDILRVLALSKAREENFCIVLDYSRTHLIGKARRLSIQRGDIAHLAESVPHLRSLLVFQNSLTFGSLRSFSRSVKLMSVLNLQDSSIEGLPNEVFDLFNLRFLGLRRTKIANLSRHIGRLQNLLVLDAWKSKITNLPVEITRLCKLTHLIVTMKPLIPSMQYVPSIGVPAPISGMCSLASLQTLLLVESSSEMVNYLGALVLLRSFSISKVQGRHCEKLFIAITNMVHLTRLGIHAYDDEEVLQLDALNPPPLLQKIFLQGKLDNEPLPRFFLSIGTLKSLSILRLVWSKLQEDMSCYLEELHQLVKLQLYDAFDGNKINFRATSFQKLRVLKIWGAPHLRQITIERGALPSLVNLKLLLCPELKLLPGGIEHVSTLEELTLNSTAEELVERVRQKREEIISHVQRVYVGFVRNGELAAERIQ >cds.KYUSt_chr1.35137 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214228597:214237555:-1 gene:KYUSg_chr1.35137 transcript:KYUSt_chr1.35137 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRASPAVSFLLAAAAAAALVGGAYFWLVVASFRLPDAQARGCLPDGEGSWAVGVYYGNTPFDLRPIELEGRSSANGSAWPVANPVLTCASATHAGYPSNFVADPFLYLQGDTLFLFFETKTTATMQGDIGVARSSDQGATWEFLGIALDEAWHLSYPYVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLINKPLVDAALVQYEGSWWLFASDFTRHGTEKNAELEIWYSSSPLGPWTEHKQNPIYKADKSVGARNGGRLFIFEGVLYRPGQDCSGTYGRKVKLFKVEKLTKEEYKEVPVNLGIEEPKKGRNAWNGMRYHHLDVQQLDSGGWIAVMDGDRVPSGDSTRRSISGYMGLFLAILLVIFVGFVKGAVNCYIPPSFWASPARRNELSRILPVHRLNQKVRRFSTSCGRYVSSTKARLNEKTWSNTLFFSVIALVGTVNVCIAVHFLCSGNGAEQAYTHQGQHSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNHPTSDAFDSTVPVRIRVEELNSLNNRFRVDPLIKTRAVLELDDDIMMTCSDVEKGFKVWREHPERMVGFYPRMIDGDPPQYRNERYARGKKGYNLILTGAAFMDSAFAFKRYWSEEAREGREYVHKNFNCEDLLMNFMYANASSGGRTVEYVHPAWAIDTSKFSSVAISRDTQKHYDVRTSCLAKFTSLYGPLPQKWEFGRREDGWDNGFLIVNGLISKLQYYNYAASMAGLSLALDMDFLETLPMFHVGAGIPGVAPHYTPSPTTFTWPSSPTGLNFSSRNLLDSAAGGTFMSITLGAATKLLDDMMINYSEWHTERTPQGKKVNSVEETSSLSDKIDAIMSMLVNGRSNVDPNNVPLASLVAQEENVDVNFIKSNNFNNNAYRNNSGNNYRPYPSNNGNGYGNSYGNSYNNSRSVPSGLEVMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLAADVDLLKLKVMPNEDKDIKSFATENAIQVRINEIIRLMAELHARWEREEKFAKANNVAKVWTITTTSNVDASHVAKPPTINGKIIGVGNVSTPNAKRAKLPRKR >cds.KYUSt_chr3.9938 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58476231:58476705:1 gene:KYUSg_chr3.9938 transcript:KYUSt_chr3.9938 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDSSGGYVGTSKFPCFCGESADLWRSGTDENPGRLFVKCGMDKRCKYWEWEDEICARLPGKVKQPGRVGASGRFRAAGIEEETATSQQIRADMTVIRYSLLAIALASVWIASRV >cds.KYUSt_chr5.23495 pep primary_assembly:MPB_Lper_Kyuss_1697:5:153000806:153004624:1 gene:KYUSg_chr5.23495 transcript:KYUSt_chr5.23495 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGERHGWGRNSSDSDDRRGGGDELCIQALERILQDHPLDYDSHIEGLPLCSCELDTLDKMKHDYKSFADGLAEYLNEASSSKGLFARYVLDVNVERVEDIPAATRGDGVEDHGTK >cds.KYUSt_chr4.27031 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169796424:169799917:-1 gene:KYUSg_chr4.27031 transcript:KYUSt_chr4.27031 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGKMNQIPAPASRLWEAGIRKLNTTMAIRRGSSVFPATGPAVIDGDPAIALSVVASSNTIYGYDVVENDADSAATEENDEDEDDEDEEDEDEEEDDESAVSVTHSEQLLPSGDFYQGGVSEDLPHGSGKFLWTDGSMYEGSWRRGRASGRGKFSWPSGATYEGDLAGGYMHGHGTYIGEFGDTFAGHWANNFRHGRGTQAYANGDVYDGHWRDGRQDGHGRYIWRYGHEYIGTWKAGEMHGCGTVIWADGDRYDGAWEDAKPKGQGTFRWADGSMYIGVWWQEQESGETNAKSGVYYPPSGGPAVPMPPREPREAITKLLEELEVTEGKVASLLPSQKIVTWPGVEAVLKKPVWRPPEPEQIQGRRSSVHRMSSVSDMDNIPEAEEAPAPAQTQSGQFLAGGSEDAQARAASVERAWLRATSCMRAPPKPAKKQGETISKGHKNYELMLNLQLGIRHAVGRHSAPNTLDLKSRAFDPNEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDDALRELSSPGKSGSFFYLTNDDKYMIKTMKKAEVKVLLRMLPAYYKHVRNYEHTLVTKFFGLHCVKITGGIQKKVRFVIMGNLFCSHYAIHRRFDLKGSSQGRMTDKPLDQIDEHTTLKDLDLNFIFRLGGTWFQDFCRQVDKDCELLEQERIMDYSLLVGIHFKDRHCTNADNGAAEDSEQNRKLPLKLGIAMQSRVENVVRNPESESPLIGDPTGEFREVVLFFGIIDILQDYDISKKLEHAYKSQLYDPNSISAVDPKQYCKRFRDFIYRAFTEDV >cds.KYUSt_contig_1407.185 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000141.1:858405:860857:1 gene:KYUSg_contig_1407.185 transcript:KYUSt_contig_1407.185 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCAPSPPAAAVVVLFLLLLHFLVIGECTAAAAANVTFRPGDELRRYKRVQALLRRLNKPSLRTIQSPDGDVIDCVPAHLQPAFDHPRLRGQKPLGPPVRPSGHHHRPNDTADGGGQLWAASGASCPKGSVPVRRITEADVLRASSARRFGRAPTARVRRDSVSGGHEHAVGYVAGEEYYGARASINVWAPTVSTATEFSLSQIWVIGGSFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTSDAYQTTGCYNLLCSGFVQTNSRIAMGAAISPTSGYKGGQFDISLLIWKDPNHGNWWLEFGSGELVGYWPSFLFSHLASHASMVQFGGEVVDTRADGAHTATQMGSGHFPREGFGRSSYFRNLEVVDWDNSLIPLTTFRVTADRPNCYDIQGGVNAVWGNYFYYGGPGRNVRCT >cds.KYUSt_chr3.49044 pep primary_assembly:MPB_Lper_Kyuss_1697:3:306440484:306441836:-1 gene:KYUSg_chr3.49044 transcript:KYUSt_chr3.49044 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQVVAAQSNWVSAVTPLLKLLCLAVIGLVLANPRTQIVPKATFKLLSKLVFALFLPCLIFVHLGESVTLDNVLQWWFIPVNVLLSTAIGCALGYVVALICRPPPQFFRFTVIMTGFGNTGNLPIAIIGSVCHTADHPFGPGCHRKGIAYVSFAQWVAVILVYTLVYHMMEPPMQYYEIVGEGNEIKEEPEEQVSNFSRPLLQEAEWPGMADKETDTSKTPFIARIFMSISGSSQATFPDIDFTEEGGVSGAGPSSPKSLRCLAEPKVVRRMRVVAEKTPIQHVLQPPTIASLLAIIIGMVPVFKAFVFEPDAPLSFFTDSLEILAAAVVPSVMLILGGMLAEGPNDNALGIRTIVGIIVARLLILPCIGIGVVTLADRLHLLVEDDRMYQFVLALQYSTPSAILLGAIASLRGYSVKEASALLFWQHICAVFSLSIYLIVYFKLLSYI >cds.KYUSt_chr3.1920 pep primary_assembly:MPB_Lper_Kyuss_1697:3:11051812:11052976:-1 gene:KYUSg_chr3.1920 transcript:KYUSt_chr3.1920 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDARDTLMQPWRYLQNPPQYVGNDDRCFRAMVMWWTCPQYLKKHEEGKAKRAEMQGGSHIQGSIPISLHLRRRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQYTSYVSKFKQKYGEEANPEAEDFDPEVAVLAGVGLKHGRLWFGDGCVDPARVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEQRARDGAADSAVPASSGPRDDAADATAAADDAAAAGTDELADEPDGSVFSTGESSSSTLLHVDAATAHSDPGDTYHRQQHEHHPEHEPR >cds.KYUSt_chr4.35370 pep primary_assembly:MPB_Lper_Kyuss_1697:4:217116133:217116756:1 gene:KYUSg_chr4.35370 transcript:KYUSt_chr4.35370 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPLVLGPLFGAPVSRFDFTKREFVKTPSPSPETSPEKEEAPGVHVDLAALDIHGDTPEMVDKTQAGDKAKAPAQATLDIRGEGDGRRASSCNMVAEAAAGKKPATRMHRVSQKSIDFLLAKAKEPRPVLRVNHELLDSLKHLTPEERERKRAIRTKAVENLQALRDWEADLVRRYHTHGYLEVELDEEEDSDYGEIWDLLPNNL >cds.KYUSt_chr7.31377 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195361654:195366292:1 gene:KYUSg_chr7.31377 transcript:KYUSt_chr7.31377 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAEDQAKWTSKDRFAAKRLRGVADELKPEKRAIIEQKSAFSSLLNVSPFNIPNELIDYVAQHITPSLREFRVGKKRIVFTRDMITKVFGIRSGQTPVVELKKFEQSALRDVYRGSNPRPDIPTTIKVLKGCDDTDEDTIVRSWDLLCMATVVDPKSSNHVGMDYLGSMLHPSRTHEYAWDEYILEQLMQEVKKMQKKRLKTPSLKKGNSKFEFWISGPFAALGIIYLDHLQFPPSNHVIDYSLPRVCHVKCSDFVFAVQCDLSKLFLHNTKVFGRRPFLDISETPYANVLPGRAEGAPVEPEVNPSASLNDWLVFPSSQELEVPDRYKHLHEKHKSLFAAEFDIANKNYVAGVKRMQSQRMAALLCDVDAASQTQEGPSVTFQSMHGDVNTDLGEDVSADDDEQSDKEDSSDEDVDEEESKGADIAVDDPEEDGSADDDDDDIPTAYSEDCDFELAPRHNDVPSHRAAPDVPQPAIIRDSSFPSEDAREHQSVDSPVRSPVLDDAAKRCREIALAKAPDAPPFDLFEPGTPEWEDFNNITILVPDAGGGSAVGIPAACNAAKLPAQNMSAPEIPSAESPPTQSCVAKMPLPESSAHESPAVIVVPTPADIPTAVHAAVPADIPDDIPTAVHADADTPADIPAAVIADDAIPADIPAVIPDAVIADAIAADIPAAIPAAIPADIPAAIPDVILAADIPAAAVDVIAEPVTPVHPKSTGATVVETSSAKNISTSSGPETHDKKNRHKRAAKGPADSTPPKMKKIKVSQDTRDIYDKFICHGRKLKRQPKDRACPEFVRIGRYYCSYKSFLDSLKPCHYLSSEVMNVWIEKFNREAKIIGDNNPRAKKKFAFTQLMVDKLIVDPAAFEIEGCLKEFKTLNSKFKLLKDDLLYFPIVKNNHWAVPCINLCLKKFHIFDSMRSAKDGSLLEQFATNLFINFNKLLIECNLTRFNLDDFTLADIEHPQQTTLFDCGFFVQLFMENFDSKVMAQFDNNAIPDHRRLVAASLIENRDNGQDAVEKLMEDELMKRKKYPA >cds.KYUSt_chr3.35128 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220423464:220424321:-1 gene:KYUSg_chr3.35128 transcript:KYUSt_chr3.35128 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLLEVHLVDAKGLAGTDFLGKIDPYVIVQYRSQERKSSTARDAGRNPSWNEVLKFQISSTAPNVQHKLVLRIMDHDNFSRDDFLGQATINVTDVISIGMEKGKSEMSPAKYSVVTADNSYHGAIKVGITFTAATKVKKFTHGFVEEDGVQVGGWVHSYRD >cds.KYUSt_chr1.38925 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237977815:237978105:1 gene:KYUSg_chr1.38925 transcript:KYUSt_chr1.38925 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAQLLLAFLAVWAVVVLMVAPAGAQAATCNAMQLTPCAGAIVGNAAPSAACCSRLKAQQPCMCQYARDPNLKQYVDSANGKKVMAACKVPIPSC >cds.KYUSt_chr3.13915 pep primary_assembly:MPB_Lper_Kyuss_1697:3:84265217:84268186:-1 gene:KYUSg_chr3.13915 transcript:KYUSt_chr3.13915 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTYTAMDVSSLFAVRHSPLFLLLLTLLVPAFSFLVLFSTKKKKLPPPSSNGAQRLPPSPPGLPILGHLHLLGSLPHRNLQSLAEAHGPVMLLRLGRVPTVVASSAAAAQEAMKTRDLAYASRAHMLMADKLLYGQDMVLAPYGEYWRQARRVCVVHLLNPRRIRSFRRVREQEVAALLGLVRRSPPGGAVNLSDVITSYSNAVIRRAAFGDGDYWIDGDDGGEKLRKVLDDFEHLLGTATVGEFVPWLAWLDTLAGVNAKATRTFQALDGLLDRVIAVHRQRRLAGGRPVGDDGEDDRRDFVDVLLDVNESGEEAGGVHFDEIRIKAIMLDMFAAATDTTYTALEWAMADLINHPLEMHKLQDEIRAAVNGANHVNEDHLEQLRYLRAVIKESLRLHAPLPLLLPRETLEDTELLGYRVPARTRVVINAWAIGRDPTTWERADEFVPERFMDDPAEYGVVQDFSFVPFGAGRRGCPGAGFAAPSMELALASLLYHFDWELPNGTSKVDMREQFGISVRLKAPLHLVAKPWSP >cds.KYUSt_chr2.42090 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262052795:262057021:1 gene:KYUSg_chr2.42090 transcript:KYUSt_chr2.42090 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFRSAAGDPRAAQLGAGGVPRASQPDTGGVPHPSQPGASGVPRPSPPGVGGVPHPSLAGAGGPPGEAHRPTPVGWRPPTLRVGNLSQTGAARARGVEVEDPDGVEAGNLAVEDQLLKLLEPVQDMVKGTRGVQDNKRKRINNKKRLQYDDPLILSDDYMKEQIDGGKLYMLVSKRKKAPLSAKYVWRYSRMRQEDIFSKPLIHMRLGFPRMRVHCDKIQEQQRGKDAIIDLNLSPSHQMQVHERGKDVVIDLNLSPSQQMQVQDRGKGDETMLEQDRGKGDETMLEQDRGKGDETMLEQDRGKGDETMLEQDRGKGDETMLEQDRGRDDETMLEDRGKGDETMLEQDRGKELRDEERYGLYFALEVIRRRDGGFTKEDKQLIAEMLNTSIRTVERVWKLGKDQIAEGKRRVDVSNQKKGHVGRIDKLIPCQLAPSVGIRGVKDLISMARSRSSTSSTASNAMDRGKQIATGPVDFVPHPPSRLDAYAYLEEPMEMTFGRFHFRVEKEGAYRLEIPISLGLSAVDSDSSNSTSSIESGEEETSSPRFISTRASEKVAKIFSDMSFESSADSYISDDSSSVDSFNFIDKSTTMGKDFTSLYDGVTKPRKDLNSKYHQIYAIEEPSRDQEETSEAFDDLGNPYVDPSDLRRGLGNKYAGPTPRVRVQLPQAAWDRAARAMDGSEPMATTATPEELQAYQYRLTRAARELEKQTAALNRRRRDAASASSRRIAELSRQSGTSGDSHREARNRARSRLQNIPEGEREHLVQNLDMSFMSIDTRGNIIPKTPEAGYMATQAFILASRPPPGDSREALYNMAMAGVGAMGTTFASTPPRRFSKAK >cds.KYUSt_chr4.32581 pep primary_assembly:MPB_Lper_Kyuss_1697:4:199908771:199910687:-1 gene:KYUSg_chr4.32581 transcript:KYUSt_chr4.32581 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVYLVPEIQMKRKDDYVGSHHLDIGLAKRRIDLMSLSCRPMKASIIGAGLIALFQYKFKLKGGHTAREIRQLT >cds.KYUSt_chr7.35614 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222572329:222573276:-1 gene:KYUSg_chr7.35614 transcript:KYUSt_chr7.35614 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTTKLSFDSRLLRVYEDGRVERLFGTETTLPGFDAATGVSSKDVVIDGTTGVFVRLYIPDLPGSDSQCKNLPILVYFHGGGLVIESASSPTYHRYLNSLVSKAGVLAVSVNYRLAPEHPLPAAYDDSWTALSWAASRDDPWLSEHGDAGRMFLAGDSGGANIVHNMAIMAGTGGVLASGAPLEGAIVLHPMFGGKKPIDGEVMYMREIMAKFWPLICPVSVEGLDDPKLNPMARGAPSLEKLACRKLLVCSAELDYARPRAAAYYEAVKASGWRGTVEWLESVGEEHVFFINKPEGDESMKLMDRLVHFLNGN >cds.KYUSt_chr4.37630 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231989285:231993260:-1 gene:KYUSg_chr4.37630 transcript:KYUSt_chr4.37630 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPRLEGFSIPRPTSYSFERSQPAPRLYVPADDDLDDIAFSDDAAAPSDAAGGGKAEDDEIDPLDAFMAEIQEEIRAPPPPPKPEALRRADSDEDDDPMESYLRAKKDAGLTLAADVMNAGYNSDEEVYAAAKAVDAGMMEYDSDDNPIVVADKRKIEPIPPLDHSTIEYEAFNKDFYEEKPSVSGMSPEEVADYMKSLSIRVSGFDVPRPVRNFEDCGFPVQLMNAIAKQAYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFAKPYNLQVAAVYGGVSKFDQFKELKAGCEIVVATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILSDPIRVTVGQVGSANEDIKQLVNVLPSDVEKMPWLLEKMPGMIDDGDVLVFAAKKARVDEVENQLNQRGFKVAALHGDKDQASRMETLQKFKSGIYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGIAYTLITQKETRFAGELVHSLIAAGQDVPNELMDLAMKVERKVAKEKVVEAAVEAEAVEAAVHVGAGVAYVELILALALATVIPGHKYLPQDLPLLHDVNDKIVVPTPVVKLSIPDLKHSYLIRSKCGDRYDTISYGLWMIMQPCGI >cds.KYUSt_chr3.7340 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42492940:42496597:-1 gene:KYUSg_chr3.7340 transcript:KYUSt_chr3.7340 gene_biotype:protein_coding transcript_biotype:protein_coding MSESESESEGAELSRRLAGVSLAAPASPLEDDDLLREILLRLPPQPSSLPRASAVCKGWRGLVTDPKFARSFSAHHRKPPLLGFFEIRAAGLVFTPVMDSPDRIPPERFDLGRCSGIKVNDAYSCRHGRVIFIDWQRFELLVCAPITGELSRVAIPPEVERGSLNVAVLCAAGDQGHVHGGCHSSPFKIVSLCLGRKDSQLLARVYSSQTGIWGDLISAAAPSRLFSDLTPETLVCNSLYWLSTGVDVVKFDLDDYSLTVIKGPPVTYDHGRQIIKAEDGDVGFNIFLYPECHMWRRDINCDHGVHTWVLWKTIQMHTILGLPQVDEKRTNFLLGYCEDTNVIFMYHFSLAIRVSVPEKIPLNPLMLPSYLYNLSEQKRKNRIIG >cds.KYUSt_chr3.34621 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217139616:217140317:-1 gene:KYUSg_chr3.34621 transcript:KYUSt_chr3.34621 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAMAKLMLGKIIVETPKHEVLRTGAGYEIRKYPPCVLAEVTYDPKDMKGDRDGGFQLLAKYIGVFGKPQNTKPEKIASGGEPEEIAMTAPVITSSGGAKPEAIAMTVPVITSSEPEPVAMTAPVITAEGREEQAGKVTMQFLLPSKYAKAEEAPRPTDERVVLREVGERKYGVVRFGGLTGDKVVAEKVEGLKAALEKDGHTITGPFVLSRYNPPWTLPPLRTNEVMIPVE >cds.KYUSt_chr5.8901 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56565328:56568689:-1 gene:KYUSg_chr5.8901 transcript:KYUSt_chr5.8901 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGPSVMGVFISGKLFGGTHKVMSRHLGGELVPMLKNAGALWLDHSNTTHHILRNKIRVKNTSAKYGVNHRVASPYHPQTSGQVELNSISTISHVFMVEHHNGRIPMCTHQVLVVELPTERSQLQREEEDLRDNDV >cds.KYUSt_chr3.14117 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85442338:85450323:-1 gene:KYUSg_chr3.14117 transcript:KYUSt_chr3.14117 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAVAAALEENSNWPFGISSIVISCFENVSNESQRCHLSSWKAILLFQKNEGVDVCLFAKYVQEYGSASPSPNRRHVYLAYIDFVKYLRPEIKSTSGEAIRTFVYLDYFKKQGFVSLSIWACPSTKRNDYVLPSLGFLMNLLRYQNFVNKAVKEGVVVERNTLACCRGVYVDDKPEKLILHHFVEELSYLASKWPLPPYKGVFQSLLKDNLVDLIDVKYEAQLVDNGTTLSGFPQV >cds.KYUSt_chr6.29787 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188799649:188800041:-1 gene:KYUSg_chr6.29787 transcript:KYUSt_chr6.29787 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTGPNFRRPHTRTELLYPADVLVENTLRVWAKSRWRTEVELTRDFLDEGFSHLPPGSPVMYYVNESREGVALKLLTVTFANRFDAYALLGEVFWCGCESIFFTTYNVFTDCDAIFFSGKPMHCLPYYK >cds.KYUSt_chr1.28718 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173620258:173624027:1 gene:KYUSg_chr1.28718 transcript:KYUSt_chr1.28718 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTLTVSPVERDGLGVPDSVYDRAGQKYPLGRAPGRGTGYQKKDGFRDPLDHSLVPSVPIGTTYLDFVPTLPPTLQRQRGSSEIPTPSTSLLSMSSPAPVPASPGSSSQRKRGSTESVGMYAVQCCECYKWRTVPTKDEFETLRANFTDDPWFCSKRPDCSCEDPADVEYDSSRIWVIDKPNIPKPPPKTERLAIMRGDLTKMDIYYVLPNGKRARTLGDVQKFVDTNPEYKDSLSAESFSFTVPKIVDETVSHSSARKTKKAKKEDKTIASSSKN >cds.KYUSt_chr3.36640 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230443346:230448610:-1 gene:KYUSg_chr3.36640 transcript:KYUSt_chr3.36640 gene_biotype:protein_coding transcript_biotype:protein_coding METVHAMAAGCDANDFSCASRAAVPHGRWRRAGRGSLPRQAQHPASESTAVASVGRMMEESRCKVNAEADRTEHRRDGATAPAVEGDSGCALGGLVCVTGGSGFIGSWLVRLLLDRGYTVHATVKNIQDEGETKHLQALDGADTRLRLFQMDLLDPASIRPAVEGAHGVFHLASPVILQPAQDPEKELLQPAVEGTRNVLRAAKDCGVNRVVLVSSQTAMVPNPDWPADKVIDDDSWADVEILKKLELWYNVSKTLAEKAAWDFAGEEGLPLVVLNPSLVLGPTLTPAATPSQRLLMQLLGGQKLDMEPYYIGCVDVRDVAQSLLVLYENPSAQGRHLCLESTERLVDFIDKLANLYPEFPLHRIKEDKQVWVVRSKVGSQKLIDLGVRFTPFEKTVRDTVDCLRSKGLI >cds.KYUSt_scaffold_2697.398 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2593351:2596648:1 gene:KYUSg_scaffold_2697.398 transcript:KYUSt_scaffold_2697.398 gene_biotype:protein_coding transcript_biotype:protein_coding MWTWGRRNRGRTSAPPGASSGSLASTTTTTSEGDQTLQEDLLRHVEKEIEERTGASLRAGAQESSSSGQPSRFLRGARKAAKKVLGVGKNPAPSSPRSAARTLREQNTASGIAGTSGSVPVAAPATGIDDEARSEQQPATRTRSEFAAAMRSALAKIQEDAAAGDAQGEAAFAEMEQAMTGLMDLSYKKVEPPKLPRDFATKWAPDNADALHGGAMDDPVILASGYSVDQSYQQWFISQNNTCPVTGHSLPHSLAVPNHLLRDMITAWFLEHSDLPPSTTADTLSSPLIPPSEEQMQDILDKFSGHSLLQKEALHSIHLMSKTSKGVHPCLDKWPGLVPVLVNLKKKWKSTWARDVEEDRISVFLNLSMHRPNREILAGQNKLPAVLIKVVKKAEKLGTSDSFLAMVASTVAILSEFDVFRKRLLDTGGMKMLSDLLKIEDVLVRKETATAILAVCTDEEANASAAENDVPDMLLECFMVTDEFLLLLDRLPKSPDVLDRICDHSVELVNIVIEEHASGTVTAQGIHSAISLIFIIAHRDVSKLKVKNVEDFKERLQELSSKRIPMQTMFQVEGIIKILSDMFPSCLQPHE >cds.KYUSt_contig_60.178 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:994777:995325:1 gene:KYUSg_contig_60.178 transcript:KYUSt_contig_60.178 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSGSSTRTRRACSRAASSGTGHWGIFRYDGQPKFAMDLSGHGRNTALVPAKGVQYLSRTWCALNPKASRNDLGKLLGAKIDYACTNADCTPLGYGSTCNGMDAKGNASYAFNAYYQAQSQKDEACDFQGLALPTETDPSTAACNFTIQIATSAAAAVRLSVAAVAAALVVASAQLLLSW >cds.KYUSt_chr1.6058 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37205599:37206690:-1 gene:KYUSg_chr1.6058 transcript:KYUSt_chr1.6058 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLNYYSKKELRTVNNPVKMKHTKQCLFIKEPVKTEDADKDCQREAQEKPAKIGESTAGSGPTESALAQHLLVAAHRYGMERLKLLCEERLCKDIDVGTAASILALAEPLGCRGLKDACFDFLGRSPANLKAVMASDGFAHLSATCPSVLKELVTLGSARRLVQ >cds.KYUSt_chr4.41572 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257209821:257214539:1 gene:KYUSg_chr4.41572 transcript:KYUSt_chr4.41572 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRVVAAGGIGIAPSSPFSTTSAVLPSAGHAAAARQVASHKLGPRSSSVQSKFFRYDPCDLFSGKLHPLPAYWDGLHQDDKPTGASFELSEDPDSTERHASCKGNPATNEWFPSPADELTR >cds.KYUSt_chr3.48516 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303480070:303486086:1 gene:KYUSg_chr3.48516 transcript:KYUSt_chr3.48516 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTATEPLLLRQQQCHRPTANRASRLTVMAATVLVLLLLLTPASGHLHLGLFSPPPAEPPVNLTIVAGATEKGAVCLDGTPPGYHLQRGSGDGSDSWIIHLQGGAWCGTLKDCYDRSMTDLGSSSFMKPVMFAGAGIFDGDQLQNPNFYNWNKVYARYCDGASFSGDGEGQSEAILTGCSAGGLSTLLHCDDFSARFSQEVSVKCLADAGFFLDVKDISGKWSFWAVYDGVVSLQCFFPAELIKSIHTPTFILNSGYDSWQIQNVLVPDSSAPDESWSSCKDNIQNCNSTQIDILDGFKNTMVDALNVAGDEEDLGLFIDSCFTHCQTLSDVSWNSAVSPRLGNKFLRRAAGEELHPNQDHRHRLLPTLPDPAADSSSKFPRPPDPVVCRRLQRNLPRPPAVPPTLEGWLSLRLVSYQVISFPQEKIVQVAEVVSSLSCSMSSRSSEKLMSDGLAAKNTLVTAKDDQKKMIGNLASSRHGIVPGFGIGVWGSKG >cds.KYUSt_chr2.13315 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84396146:84398953:1 gene:KYUSg_chr2.13315 transcript:KYUSt_chr2.13315 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPGHHLSAGVVEDDHIVLTSGHLPEGEELTYIELMGNGEGCPPLVDECFAVFFPRSHPPAATSVAANDDVRRDGSELLDKLGRDCELPEGGLEDDPEEVVRPYAVVEVAYGSLPSSMILGRSLVGNLRRLLDGSQDPRLVSSRRGDVEEGLLHVVCDLADLQQMASINLRDSMPTKRPKPCLKSMSLIGCADGHTLSSMASSFDAFQAALKCLNSNQFAADESKENLKKLQALFFDASMLHSDGEAMCKILINSTKEWSAIQLILYLHTRVGCSTCGAAQGSRFFAQFTKMMPTRWRPSGVVGCNLLKLAGEDVGLLIWFCFGLMPVLLQIKWHNRALRFMNANELPKLQMDQTSCYTLDLLQADDHAAYSIFVPLELIS >cds.KYUSt_chr7.15353 pep primary_assembly:MPB_Lper_Kyuss_1697:7:95285532:95292824:-1 gene:KYUSg_chr7.15353 transcript:KYUSt_chr7.15353 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRASGGPSYEFAFNSVNFSDRVLRIEIAPGDDAPGAKGGAGEGCSSVADWARHRKRRRDELRREKECGKYMLETAKVKVEAEERDTYEETSEEPVAMIEESPPDVGQDGEDGESSDSSLNMECNQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASEENALMELLSFIYSGKLTTNQPALLLDILMMSDKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMAAAVQPLTDAAKEFLANKYKDLTKFQDEAMNIPLAGIEAILWSDDLQVASEDAVYDFVIKWARAQYPKLEERREILGTHLLPLVRFSHMTCRKLRKVLACNDVDHEQATKSVTDALLYKADAPHRQRALAADVLAYGKYTERAYKYRPLKVVEFDRPYPQCIAYLDLKREECTKLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQGAFHCFGLFLGMQEKGSTSVTVDYEFAARSRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFINGVLHLRAELTIKQP >cds.KYUSt_chr3.37153 pep primary_assembly:MPB_Lper_Kyuss_1697:3:233620624:233621627:1 gene:KYUSg_chr3.37153 transcript:KYUSt_chr3.37153 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTPTSVVLELMTMGQQSAAHLGELLMAASPPMVAERGQALTAEILRCCDRVIAAISGGATSRKRKATMEHGVLMPSKRRSRSGAEVRREVRSRTTADGFVWRKYGQKDINGSTHPRFYYRCAYSANGCGATRRVQQSQEHPAAFVIAYYGDHTCGAGVGDACQRAAPPLPPAAIYPNAGGVAGFFDQYQNVESPQPLLAAEQSWRRQGEAPCSETSGGRWSSSSSTSSSEAELGTSPVMEFLEGDLGLGWECAVNYLCFTDLAQTGVI >cds.KYUSt_chr5.31485 pep primary_assembly:MPB_Lper_Kyuss_1697:5:199513482:199518085:1 gene:KYUSg_chr5.31485 transcript:KYUSt_chr5.31485 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGRRSKRRRCPAYRLTNDLVVEILSRLPARSVCRFKCVSRTWRDLISHSVNRKKLAQTLAGFFTMHDDDTDTMPWSVLHFTNVSGRAPPLISPSFDFLPVHTRVFPLNSCNGLILCACDPIGDMTEFHDFVCNPTTKEWVALPDSKLGHMRRIRFLGFNPAVSPHFYVFEFFVDYERFPPLLNRVEVYSSETGERVHSEDNDPERSELWAVKQILGLFGEASGLKVNYRKTSATLIRGTQEDEVRTTRILGCELAQFPIRYLGLQLALRPLTKAEWQPMLDQVIKSVPSWQRGLIRREGRLVLVNSVVAARAVHQMVVAEAPVWLLEEINKWMRAFFWAGKEEVQGGQCLVAWKAICKPKVFGGLGVKDLRLQGLALRVRWFWLRRTDRTRPWQGLPELKDPEAEEVFQSLAHFHVGDGLSTYFWKDRWIGGFTAADLAPEVVARVPTRRKNERLVGEALQGDGWIDDMTGEMTEELWRECLTLWEAVESVPREAEGPDHISWKGVESGRYTAKHTYGMLCQGSVRWSMCKPVWGSFSPMKCKMFAWLALRYRLWTSDRRARHGLQEHPNACYTCLQEEDNVDHILTLCPYARQVWCRVLHSASLQMADPGYIGNLQRWWTEARKRVRRIDRKRFDSMVICTTWTLWKQRNARAFGDTRKQKNVEQMLQEIREEFQLWERAKGDDEDVMTRLVVYVLEDYENQQWALKHTAEASYVLGRTCSKHARRKSKQSAVLEKTLRSNIATDFAFFAKKYKGKLPMLLNDKKKKKRTCYNCDEDTHFANECPYKKMVDKPKFIKGVKPRLKPNPINDRYKKNKGRAFVGAEYLSDEEEEDEEKEAGVAGLAFSKPGSLFTYDYSKDYSTENDVGSSFMARTTQDDDSDDFLSSTIIGSCLMAREIVRLRSSKALSASSFH >cds.KYUSt_scaffold_6468.159 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:716823:717614:-1 gene:KYUSg_scaffold_6468.159 transcript:KYUSt_scaffold_6468.159 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPVPVWLAVSTVRCRCRCRWPQSINHYPPKSQPLPIPITGPHSPGKSVPMTTHGGGDADKQMVPVATTANANGSGTTALAVRKAPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIVAATGSGTVPASFSTSSPSSSSSSFIPGKRARGAADDEQVNVASMGPTPGFWAALPARDLGQLWSFAPQQELMVSGEASAARVGNYLPIPMAQANLNLLASFSGGPAGAATPGRVQAEEETTAH >cds.KYUSt_chr2.3281 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19469179:19478457:-1 gene:KYUSg_chr2.3281 transcript:KYUSt_chr2.3281 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAAAAAAFPARFAAAPAVAAAEEMRSPLLRVFGTLRSGRSSALRTRVRFCSSSSGSDSEAAAAAAAAEAKAEDAVPAEGEAEGKASSAIVSTNPRPEDFLSVIALPLPHRPLFPGFYMPIYVKDPKLLQALIENRKRSIPYAGAFLVKDEEGTDPSIVASPDSDHKSIDNLKGKELLKRLHEIGTLAQITSIQGDQVVLLGHRRLRITEMVEEDPLTVKVDHLKENTYNKDDDVIKATSFEVISTLREVLKTSSLWKDHVQTYIQHVGDFNYPRLADFGAAISGANKLLCQEVLEELDVDKRLKLTLELVKKDMEISKLQQAIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIEAKKDKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSNENFDVHHAQEILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRQFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNINFLDHYLDVPIDLSKVLFVCTANVIDTIPNPLLDRMEIISIAGYITDEKMHIARDYLEKNTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPAQVAVIATASDELTVGGSETMVKDENLKDPAIEDAALVNKTLVTEQTLSVDKLNTSEFCILQGNKDAEEAQEEAAGKAVEKVLIDSSNLDDFVGKPVFQAERIYEQTPVGVVMGLAWTSMGGSTLYIETTKVEEGEGKGALVMTGQLGDVMKESAQIAHTVSRAILRDREPGNQFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMGKPARKDLAMTGEVTLTGRILPIGGVKEKAIAARRSSIKTIIFPSANKRDFDELAPNVKEGLEVHFVDTYSEIYELAFKGEAETS >cds.KYUSt_chr5.27364 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173239399:173240160:-1 gene:KYUSg_chr5.27364 transcript:KYUSt_chr5.27364 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSSTSPNGSGAAACAVCGGTAVVYCPADSAALCAPCDAAIHAANPLASRHERVPLAAAMVATSGVYDLFAADDEGVSSWPGQGLAQGSPNSSSSSFSNYSGAETSLFHLLSDVDLMATGAAGGSLSDGMPIHGAAAPLWLQPGIAVDASTWSSPLESAVVAAAAADRRERVRRYREKRKNRKFQKTIRYASRKAYAEARPRIKGRFVKRAAGSDDDSTSAAAEGAKYWLSFSDDSVVFDAPSYGVVPSF >cds.KYUSt_chr6.26044 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164995900:165008755:1 gene:KYUSg_chr6.26044 transcript:KYUSt_chr6.26044 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRSIGREHGSQHKMGSGRVAAVAVAAGDDHIEVAAAATVAVASSSGQHDDDANGGAQQGPRWKRFLGHVGPGFLISMAYLDPSSFLGTALAYNILFDIPFWAGVLICGATIILLLGLQSYGVRKMEFMIVLFMLVMASCFFIELNQVNTPVSELLEGLFVPRLRGHYAISDAVALISALVLPSYYPLSCHLLSFLSSNSVVVPQCPRHPFSTADAPDEVGEKPAASNGQAAQAPCRSSSAISILEPSPNDGCELAIRRTSDGSEVDQIIGCYHCGFVHRHEYGIYKLDVDADPDEHVGSDSARLRSSWEIRYAQAPSTTFGSFIITMGAGSGEHPQENHYLQYNSGGR >cds.KYUSt_chr7.14597 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90176569:90177144:1 gene:KYUSg_chr7.14597 transcript:KYUSt_chr7.14597 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFNARRSWASWIPSQRNLPRISRRTSVGSSWRSKQAGERPSELGQPPRSWKKSGIKANEHIIATHAEANGDMLAQKAAQQIVMMMKKALNVAFDQGVVIPLAPSGHAMAEESSRSSVTSTPSGRPPLQPRLPGCALSRLGDPRITSSETYGQGIDLNRTPKAGQSSLTVAKKQVCISAANMPPPVNDWR >cds.KYUSt_contig_1253.274 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1818841:1824065:1 gene:KYUSg_contig_1253.274 transcript:KYUSt_contig_1253.274 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGSSVGGSRRHWLEEEQPGFRSGMLQKITIKLAPVYEPRYAPYSKEVQTFVAKFEEDAFSGTNSKEDYMRNISNKLLSIEKRKDEQIKSWKDAYYSQFVELDHRFAVPALTEEQFSSLPIEKANAYRRRVGTKKLIREILKLLQLRKSDVHEGLKLEFPNAQQKQPADASILQSNQTTKARTPPAHQHNNGNHLLGIASPSSISSLGTLQSWSTSMLECLSPSPVTMPVVAPASPCAPVMSTSSKDVDRTSALLLGDNAGAAALKSNSSNQVTPSKLTTSASPLQAEITAGQEEDQARGGDRTPVTKKPIDRLIDAMRSSSPAALRSSANSIWSVLSINDIVTSGKVGTILDCKSSRQQQQGEPNAVNKMKRVFNNTASHSESVLLGNIDSSCMPFECDSSDSGSTSKLSIKRQKKENSYVALLEEIKSINSTLIDTVISMSGDCGTDGISSYHGGAMIKLSYSAVSISPIMKSLFATSEMSLVLPAKLFVPPDYPRSSPVLINDGGDEVLRKNSSAIAISVDVAFRHALADLSEPWSIKETARAWDACVRKAVTEFAHRHGGGTVSSMLGRWERCAAT >cds.KYUSt_chr3.4077 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23158010:23158650:-1 gene:KYUSg_chr3.4077 transcript:KYUSt_chr3.4077 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSGAAILMMMLSLTAAALHAADATVVADVDMDIIRLPSDGDEGSLVAGQVMVVAATDAVEEEKKGKQDGATAAERENAGGVVNEEVRPWACCNETLCTKLYPPTCRCLDMVDRCAGACRQCEPSTLNPSRLVCNDEYKGLPGPTCSEDDKDYPSGAHGRSSPSLGAVAQLLLAFVVVFFIHSHY >cds.KYUSt_chr3.6465 pep primary_assembly:MPB_Lper_Kyuss_1697:3:37100295:37107238:1 gene:KYUSg_chr3.6465 transcript:KYUSt_chr3.6465 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQRRLIDARGEFQGNGGGLMPRMLASPGAGAPSREEHAVTASGGGGVALRGASRPRGGAFSAAKATGRRRTAPGTPLWRVAVFASVALNVATLALLLYHYAVSHQHHPAAASPDQRHDTCVQPETSGAAARSVDLKDRDTRAPSTGKPAVTHDSVINLDHGDPTMFEAFWRETGDAAEIVIPGWQTMSYFSDVTNVCWFLEPGFDQQVRRLHRTVGNAAVDGYHVLVGTGSTQLFMAALYALAPPNADGAGEPMSVVSTAPYYSSYPAVTDFLRSGLFRWGGDADTFVGDAYIELVCSPNNPDGAIREAVLNSDAGKAVHDLAYYWPQYTPITRRADHDIMLFTVSKSTGHAGTRIGWALVKDREVARRMTKFVELNTIGVSKDSQLRAAKVLRAVSDGYDDEHSSGGAMRSHRLFDFGRRKMVERWSMLRAAAAASGIFSLPDETTGYCKFANETAGNNPAFAWLRCDREDVVDCAGFLRGHKILTRCGSQFGADTRYVRVSMLDRDDAFDFFVRRLASLK >cds.KYUSt_chr4.23532 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148121028:148123726:1 gene:KYUSg_chr4.23532 transcript:KYUSt_chr4.23532 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKATAFLALAAFVVVLMAAGEASAAVTCGQVGSSLAPCIPYATRKSATLSQGCCSGMKSLNAMARTSADRQAVCRCLKSLAGSVKSVDLGVVAGAPAKCGVNVPFPISMSTDCNKSKVLILSCYWSIKHRKRGPVELLSREGEDGEKCDLNQL >cds.KYUSt_chr2.27207 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166802756:166804099:-1 gene:KYUSg_chr2.27207 transcript:KYUSt_chr2.27207 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPAQPSTHAGDPDGLLLAISEYPRLRLPSAFPYLASSISQFPIPACSSALKPYTATTNQASPELPPFPRRKARPAMSPAATASASAIIAETASGYHHLKIHGYSSLTALPVGHRLSSCPFTVGGHLWRIDYYPNGDREDSAGHISVYLVLHDNVTNNVTAQFRFGFEPSKKRALFFLNNNHNKVKPPPPATPSYSFASQGALGYPKFVQLGALENSKFLKNDSFTIRCDVVVIDRVRVEGKGSADKKEIPEFVKVPPPDLSRHLAGLLLAERGADVVFEAGGETFAAHRCVLAARSPVFSAELFGSMKEGNTANGLGSVVRVHDMEAQVFKALLCFVYTDSLPEMEKEEEDVMCQHLLVAADKYAMERMKLVCEDRLCKYIHVNTVANILALAQMHSCAGLKSACVHFLTSPGNLRAAMDSDGFHHLTTTCPSLLKELITMSVPN >cds.KYUSt_chr1.42157 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258218012:258219226:1 gene:KYUSg_chr1.42157 transcript:KYUSt_chr1.42157 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRCAGAGRMLLRRAPGLLRQAAVGGELHLQPIRALAPSSNRYISSYLLDYEKKQLATDLMKERSPDPDKEIENMDALLNLLSSEDACSDQHEMQAALARSEIQDKKLELISLLLRADGGKEYTKKMEELAALARRHRESLVLEDLKARSERLEEHQAKLIAGILLWGLPLTLITFARWH >cds.KYUSt_chr3.34611 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217061711:217067484:1 gene:KYUSg_chr3.34611 transcript:KYUSt_chr3.34611 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADKVKPAASPAAEDPAAIAGNISFHAQYSPHFSPLTFGPEPAYFATAESVRDHLLQVRLPRPQRNTQDHLLLSRPALPELDPSSFVRAQRWNDTYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLNITGAYAEAVKKFGYELEALAGQERDMALGNGGLGRLAACFLDSMATLNLPAWGYGLRYRYGLFKQRITKEGQEEVAEDWLEKFSPWEIVRHDVVYPVRFFGHVEISPDGRRKAVGGEVLNALAYDVPIPGYKTKNAISLRLWDAKASAEDFNLFQFNDGQYESAAQLHSRAQQICAVLYPGDATEEGKLLRLKQQFFLCSASLQDIIFRFKERKPDRASGKWSEFPSKVAVQMNDTHPTLAIPELMRILMDEEGLGWDEAWDVTNKTVAYTNHTVLPEALEKWSQAVMRKLLPRQMEIIEEIDKRFRELVISTRKDMEGKLDSMSVLDNSPQKPVVRMANLCVVAAHTVNGVAELHSNILKEELFADYLSIWPNKFQNKTNGITPRRWLRFCNPELSEIVTKWLKTDQWTSNLDLLTGLRKFADDEKLHAEWAAAKLASKKRLAKHVLDVTGVTIDPNSLFDIQIKRIHEYKRQLMNILGAVYRYKKLKEMSAEEKQKVTPRTVMVGGKAFATYTNAKRIVKLVTDVGAVVNNDPDVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGQDNFFLFGAKADQVAGLRKDRENGLFKPDPRFEEAKQFVRSGAFGTYDYTPLLDSLEGNSGFGRGDYFLVGYDFPSYIDAQARVDEAYKDKKRWIKMSILNTAGSGKFSSDRTIAQYAKEIWGITASPVP >cds.KYUSt_contig_2097.235 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:1181890:1184255:1 gene:KYUSg_contig_2097.235 transcript:KYUSt_contig_2097.235 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRNEAQLLVQQHPELLMAAREGNAARLTHLLGNGRAAEPGPVGSGVVVNIGDADTAMDESARPADAVGVDVAVDVEMNKILHVIASTGDSPDFLESARVVYGKANHLLDACNANGDTPFHCAARAGMVEMVSELISLARTEGGDHRVKAVLGKQNKQGETALHEALRLADKETVVAMVNMLMAADAELSRVPPTDGTSPLYLAVLLGHDDIAQNLHYNDEGLSYSGPNGQNALHAAVLRSTCFH >cds.KYUSt_chr6.8882 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54641497:54645882:1 gene:KYUSg_chr6.8882 transcript:KYUSt_chr6.8882 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLLLLLLFAAAAVLLCPLAAAQSPRNVQTRFPSTRTPAIDLPPIASPSPSPTGPTPTPTQPAASSSSSSSTKRSDIAVAVVSTALSSFALCGLAFFIFLRRGKKKDLTNPAAARYHDGAFPGKLPERAPKQPPRGGMVDENGLDAIYWREYEKENTGGGGGGRGRRPAGSSSGRPPQPPSSSSRQQQNRAERRSDGAASQGSHSPAPSPPRPLRKGRVDHEPLIPRGSLDSASELYNDAPGASASSSSSTFSVEYYARKPPPAPMAMAAVPRPSPPPPAPPAPPTASASLAAPPGRASPPPPPPPPIPSTSPPPPPKGPPPPPAPRGPPPPPPPGGKKGGPPPPGPPPPPGGKKGGPPPPPAWASSRPPASPGGSSAQEDQFAKLKPLHWDKVNVAATDHSMVWDKLTAGSFNLDEGTIEALFGAGAANNRKTKSADSKDASSSLGRANSEEKIFLIDARKSHNISIILKSLTVGRDEIIDALRDGHTELTTDVLEKLSRISITKEEEATILKFSGNADRLAPAEAFLLRLLLDVPSPFARVDALLFKINYSSEVAQLKRSLQTLEMASQELRTKGLFLKLLEAVLKAGNRMNAGTARGNAQAFNLAALRKLNDVKSTDGATTLLHFVIEEVVRAEGKRLAVNRNYTIRRSGSLAKSSTDGGISASGSTVQGPSREDRQNEYLNLGLPVVGGLSSEFTNVKKAATVDYDSVVNECSVLGSRLTNIKRLLETCGDDGFSRGLRGFVKAAEEELKALTGEQEKVLDLVQKTTEYYHTGATKDRNAHPLQLFVIVRDFLGMVDQACVDIKRRLQQQKKPPVSSSQPPSQAATAAAAAPAAKGPADVAKGAADGAAAPSQKPPEEADSKRKRVMPRFPNLPAHFMKDNADSDSSSDEE >cds.KYUSt_chr5.15260 pep primary_assembly:MPB_Lper_Kyuss_1697:5:98550502:98554552:1 gene:KYUSg_chr5.15260 transcript:KYUSt_chr5.15260 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIWVALAVMLVATAQVAAAAPVTAPAFLWAPKNYGLSSSEAKEVVHYQSLSPKSLAKSVLEEGGWSNLVCSKDGPQKNVDVAVLFLGSKLQSSDISKDKQADPALADTLKLSFSSSELSMAFPYVAISEDEALENSLLSGFTENCEDESRGNHITYTDTCAASSESMKKHSSMDSIQDLVKSRMGNNPTGQTELIVFCSGGFKDNVKSEGELLSEVVSVLNKSGAKYSILYASQPYGLLENTSELPLARYLAEKTNETKPILEKCDGECQLKSTLLEGVFVGLVLLIILISGLCCMMGIDTPSRFEAAQD >cds.KYUSt_chr4.54593 pep primary_assembly:MPB_Lper_Kyuss_1697:4:337296154:337297599:1 gene:KYUSg_chr4.54593 transcript:KYUSt_chr4.54593 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPAQQSKPSYHRRHPNSGPRQQQRYIPKSAAPPAPKPSPPPSLTTALRSSAAPSASGAGGSSSADGFVAYLPHDEAVAAGLGGLDAQESQVVVDLLKDALASLLRTKPREFWRQASRFAERARVAVNPIGRRLFEVMEAKHNNLCVAADVQTAKQLLELANKVQKS >cds.KYUSt_chr3.10274 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61004617:61006506:-1 gene:KYUSg_chr3.10274 transcript:KYUSt_chr3.10274 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSVITDGKHCTTSAVDTGTDSGYHLLVVKDYSRTVQEIPNGEMISSGPFMVGGHQWCIQYFPNGCALQVYDCFTIRWDVMVCKDPSTQDVGATISDIGQHLDYLLQSKVGADVTFEVSGETIPAHRCVLAGRSTVFMALLFGPMKEGTTSSVIQIKDMEAKVFKDLLSFIYTGSCQDLEDGKEEEDVEYVMWLHDLFVVADRQLFLLLCPFSLAVEALHSFMQDESELRH >cds.KYUSt_chr2.54884 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342262174:342262847:-1 gene:KYUSg_chr2.54884 transcript:KYUSt_chr2.54884 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMTPDAVAVVAAVLFLLVAVRVAGVTFPLDSDAAAAGAPPAALLDCPPSPCAPCRPCAPEPPCVNGERQPPVSDRAVSVSVRCPACPACPPFNPCPPATTDGGSSSISNIVIIASVVSAVAALLTAITALITALRRRHRRHDDSSDVESSSAATVSRSSSSSALILVRLLFVCLGCSCSSASSSSATSSSA >cds.KYUSt_chr1.33659 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204479990:204487322:1 gene:KYUSg_chr1.33659 transcript:KYUSt_chr1.33659 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFGTPPEKLGKLLGCRFWNCTGGWLLGENKQMAESFVLNTGASIPSIGLGTWQLEPDVVGNAIYAAVKAGYRHIDCASIYCNEKEVGLALKRLFDEGVVKREDLFITSKLWSDHHAPEDVPEAIGTTLKDLQLDFLDLFLIHEPIRLKKGTTSNPEDFLPPDIPATWEAMEKLYDSGKARAIGVSNFSCKKLEDLLAIARVSPAANQVECHLIWQQDKLRKLCQSRGVHLSAYSPLGSPGTSEVNGPSVLTNPIVISVAEKLQKTPAQVALRWGLQMGQSVLPKSSNTARIMQNFDIFDWSIPEDLMAKFSEIKQA >cds.KYUSt_chr6.15886 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99878142:99881424:1 gene:KYUSg_chr6.15886 transcript:KYUSt_chr6.15886 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFARLSSAAASRRVISGVSGAVTRPCYRTWRGKAHAAPLSSQEPPKGRKRDTVPKKERKARIEEFVEIYQASNDGKFPTIQMIRQHVGGSHYTVRDVLSEVKYNQTKFPFDMSKVAQFHETSESAEQSMPEEESGNSSFNPQSINGKQDEDETLSSQEDSATGTVIMNEPQRSQESQDSSHYNGQTEVTKQADANDLTVSEQAELVSIKVKLQLDDSKTAQLETAECADQSRHEEESGNNPQDFIGKQDEDDRLLSLKDSSTGTIIIENTEAPIPSEVETQSDSENCQGETEVNKLHVNNVENSQNLSEPTVSDHQTESDKVIKGNVPDREENPVVEQQGSTKTSLLGSLQSFASGIRNFWRNL >cds.KYUSt_chr6.30536 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193465099:193467203:-1 gene:KYUSg_chr6.30536 transcript:KYUSt_chr6.30536 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLSKVEELRPCSQGYNLLLKVLSAKPVAVQPSRDRQRQQPQMRIAECIVGDDTGVVVFTARNDQVMIFSFSQPSLNNMETDVKPCVFLPVDLMKVGAIVELKNAKVDMYKGSMRLAVDRSGTVKAAESPASELKVKEDNNLSLIEFERITMVM >cds.KYUSt_chr6.20858 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131530069:131542331:1 gene:KYUSg_chr6.20858 transcript:KYUSt_chr6.20858 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPCPQSLRHPSPRTGRGLSAPPEAESAPIWTCGGPIPTSNGHACVTRPWPVEHEFVFLWVRRAMLVYRIVAGQVVCGSGVGDGNMVAYNSIVHVLPAGGVRQVEDDAKLLVFNSKVLGERRHVPEKLAGFRRVPRRRALARPETSLPPTVNAFYGAPEKVLMKLQIKVVGGTTADVVDPSKMTNKELHAHFTHLLGGNAQDVGARLGDVEAKLTEALDKIDGLEAAFNSNLDLQVSGDLDSVVHPHGLRDNQCHHLMELHRHLLPPSTSASRAPPAVTPPPSAGPPRSSSSMTSMGKTRDIQCRKCLGFGHIERECITKRVMLVHEDGEYDSGSDFDEDTLALIAARDGANSNSEREMEVMEADTADQYRSLVTQHVLCVQLSKSEHDQRHNLFQTRGVVKERDIRIIIDGGNCNNLASVDMVEKLSLSTKQRTHPYYIQWFESSRKLKGIEVDLAKIEAFESWPQPKTVTQVLEKINDNAYKLELHAELGLVGIKTLAGQADRASARSVTPGDCPSDCIGARALHV >cds.KYUSt_contig_1991.44 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000237.1:224064:234827:1 gene:KYUSg_contig_1991.44 transcript:KYUSt_contig_1991.44 gene_biotype:protein_coding transcript_biotype:protein_coding MMERVAQGKIWSKDPERYYRPRYRSWYRNRVQTLLDPERYPGRYRSELIHLNTKRYYLSRYRSRYRNGLQSILDPKRYRSGEVRQGRYEGSASAHTIYSDTDSDATVPPLPMTRSALSARARALGTTAAALMLTLVACAAPSSPPVGLPGCVTSCGDVEVPYPFGIGPANCSLPGFNLTCVDDRGRGQGTPRLLLGVGDLEVKGIGLKSALMTVVYSGGIEMSGSDALEGRGTLFGGLPDDGPYRLAGRNELTVLSCNTAATLRNGDVTMSGCFTFCHESWNLELASGCNGMGCCRAPIVTNPYEEAEGMLVYGGSYDVQIKWFGFNHSADLRLAPVHVLVAAQGWFDQHFGSDVLFDNPPNLARMPVPVILEWGVVGDSAVPDTHSDPTRDCGRTGRRRGYTCTCNYGYQGNPYLPDGCKVLPMDVIYFLDINECEDPAKNGCFGRCVNKNGTFECVCPPGTQGNHQMPGGCVNSSTVTGECQRFCGHIRVPHPFGIQGTAAGPAASCYMPGFNLTCDTTTHGAPRLLVGDTGFFRVIEFDLPNSALRVAHSGPILNISSHGPAFYFEGEYTDDGSEPRIFERREAPYSLSTRNELILMGCNAMASMYEDDLDQIAISGCASLCPDNVTDSRYIDGVPRCYGTGCCQARISMSTNGMLPSLVSYEQIDKKRSPMPAYVLIAEAGWFDSQQNVSGKSRSVAAQDVPMVLQWEVLSLPSGLPRPDAKLHPNCTHEVRRQLCKSAHSTCRAGTRGYTCHCEESYQGNPYSPGDDGCRAHHGIQLTTGAYIAIGVAIGAGLILSALSVVFISNKLKHRRAKMLKWKFFEQNRGRLLQQLVSQRADIAERMIIPLEELEKATNNFDKSRELGGGGHGTVYKGILSDKHVVAIKKPKKVIQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPMLVYEFISNGTLYDHLHAQGPSSLSWDNRLRIATETAGSLSYLHSTASVPIIHRDIKSGNILLDDSLTSKIADFGASRYVPIDRSGVTTMVQGTRGYLDPMYFYTGRLTEKSDVYSFGVMLVELLTRKKPFSYLSSEGEGLVVDFATLYAEGNLSQILDPQVMEEEDQNVQKVAALAVECIKLRREDRPTMKQVESILGSIQSDKQHVPDTTLDNAHGDGIAMNYPSTADGSDESARRYSMEEEFMLSSRYPR >cds.KYUSt_chr7.8133 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49223137:49224603:1 gene:KYUSg_chr7.8133 transcript:KYUSt_chr7.8133 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTSAGAERAASTDQPRLHVVLLASPGAGHLIPLAQLAQRLVEHHGFAATLVTFTDLASSPEAQSGIPASVATASLPSVPLHDLPADTPMETVLFELVRRSLPHLRTLLRSAAHLAALVPDFFCSAALPLAAELGVPAYVFVPSNLTALAIMRRIVELHDDLPPGEHRDLPDPLELPGGVSLRHADLPCGFQSSKEPVYAHLVEEGRRYRHADGVLVNTFYEMEPAAVEEFRRAAAEGAFPPVFPVGPFVRSGAAEAGDSACLEWLDRQPTGSVVYVSFGSGGCLSVEQTAELAAGLEASGYRFLWVVRMPSMEGEGEHGRGKDNPLAWLPEGFLERTRDKGLAVAAWAPQVRVLSHPATAAFVSHCGWNSTLESVSCGVPMVSWPLYAEQRLNAVVLEGSVGMALPVRPRARDVDGDGEVVLRSVIADALRELMEWPVKGRTVRRQAGDMQQAAAHAWAPEGSSRRALEEVAVAWRRGAAGEGKQ >cds.KYUSt_chr4.50210 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311135919:311136914:1 gene:KYUSg_chr4.50210 transcript:KYUSt_chr4.50210 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSGTERRRSKRRRGGSSIDSEQYSEPNSSEKRSDKERHDSRMNYDRCYKRTVSYRQTKGTNEYTPERDRSGDGGSYRSRADPRTDHRYYTPENMSRHRSNGEEASSWMNEQYADSLNRKSNVSYSQSKGAHEYTPERDTSGDGRSYRSRADARTYHGYYYPEPMSRHRSNGEVASNRINEQYADSLNRRENRGGYKRNSEDLLHSGKLHPRSKSSLRTLLVKQENDASHQAKRSKQSDREEGSPGSFTVSEVERSIMIEGRVLKKKLKGLKRDESKLKRIYDLDPQSIGKINYKGRQWYPQVETGPVLREDRYVAKRHYHDNPNLDYEQ >cds.KYUSt_chr3.30532 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191323362:191328548:-1 gene:KYUSg_chr3.30532 transcript:KYUSt_chr3.30532 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLRRILCPAPALSGRLFFSTSRRTPHRFRRSHRAPTSSPSPDAVSAAIASLPTRLTPPLLASSLAATSDLRLLFPLLTHSLNLPAFRPSPAPFLVAVKRLGTAALYHEFDRTCALFFSLLPSLPSPGPLLRAALYFYCEFRRPGKAFHVYTLMRASADPAARPDAGTYHTLFTTLLARGGSDSMVHYMYMDTVAALFRQMLEEGIPPDTRSVNVLVRGYAQSLHLNDALRVFHQMAPHYGCQPDAFTYSYLVHGLSAQGRTKNARELFDGMRAKGMVPTEQACNAFVSALAVAGEVAEAERVMWELAKAGTVVDHITRRAVVEELGRAGKREDADRVVRKMEEMGILRVAERQELLGSILDECGDGDFSTGESMRGGDWRRRRGCNKKKLMKDIKCQVCLEDEDEVALKLLQDINMMKNEEMKCKFKMRQLKEIICLKLAIHMATSVSFQLHQRVAAFGCCRWIVNVIFDARGKMYLHTDCEKFVRYHHLQASFVLMISYLGERNMSNKVFDEMCCRQHYHGDNAEEDDD >cds.KYUSt_chr7.30304 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188734136:188738996:-1 gene:KYUSg_chr7.30304 transcript:KYUSt_chr7.30304 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHGADSANKDSLALFNAFVQLEVRSGVSVLFWEDAWINGLTAAAIAPSVVLSVRPAVQRRRSVMEGLAGNSWTIDIFGTLTIEVVTQFCDFGWQFKRQASPQMKGQAGTSFAGNDPLVSFEVSNPSRQVPTHYTLAPPLSQENVVASDRCRTVDNIQKGLGFSMEGTHRYLQHDPQQLLHQFHF >cds.KYUSt_chr2.3999 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24217537:24217890:1 gene:KYUSg_chr2.3999 transcript:KYUSt_chr2.3999 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLEPKEMKNKEKEAKWITLREDAKRKGDIEERRACAKENRAMAELIATENATMMMNPTHMDEASLEWWKLMKTQILARMREAARAAMTAMMNAGGDDATASGGGHVDAPVADGDV >cds.KYUSt_chr7.29248 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182033116:182033436:-1 gene:KYUSg_chr7.29248 transcript:KYUSt_chr7.29248 gene_biotype:protein_coding transcript_biotype:protein_coding MASAREIERDERENNRELGRRLTTSREKVGEDGVDGGAKRRSSACTAALQIRLGGGGVLEQLRLRFSEEEEGATAASSPRSAQRTAANSNGRDGDFRPVFFGLRTN >cds.KYUSt_chr1.27866 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168040669:168042381:-1 gene:KYUSg_chr1.27866 transcript:KYUSt_chr1.27866 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESSTKKRAKATGESSSEDRLSGLPDDVLHSILGGLSLKSAVRTGALSTRWARLWIHAIAASAVLDFTDRDFVRGQSPAQIAATVARCIAIHGAAPIDVFRVALSPVGALGQDVLEWIAAALGRGAREVRVDLARPGILHNDDASLLQLPDDLFQVENSLAVLSLGRCSLRAVRPGAAGLAGLTSLSLDRVDVTDDALRDVVSGCRLLEFLSLRSCHLLRSVRVNGERLRGLEIVCCLAMRDLHVAAPALESFALHGDILYSRDDYLTEPIVFVGKGNSRSTWNADTPELRDAYLSHLGFGEYDPVIHDFAYSCALLKVAHARILTLCSKGLLHIDVERNCLELDVDTPNLEELQLLMGSMGDDDLALFAGFFELTVPPLLERLFVQLPAACNGTTTGEDEDIVLDEEIVLDHLTFVKMMNFRGTTHELMLLRFVLRRAPVLEQLVLVTPEEEGTPTNHGKLLKIVQEHVSQIRKASPDAHITVCRPQEDDSRSPAHTKYYHDDQENSS >cds.KYUSt_chr4.50854 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315244993:315245751:1 gene:KYUSg_chr4.50854 transcript:KYUSt_chr4.50854 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPFLLRALLPHTRSPSPPPQHHEPTMVAAAAASASKVDSMMDRVALECGGDISASQEEILANAPFLGGDNGLPASAPDDDEEVFSTPPDATQQQDDTVTMCTLPFTPTPSETPAPPSDDAEAVLAPPSSKPQSPAPPSSKPHSPAPASSSDDDAAPVNPRRKPRICTRKVRGARIRTPTPSPEQQQPEQQPEPEQPPPQPEQPVHVDPLVRAVLMIPTRTTTAAGTAPASSGKQDAVEDFLALARQKGLI >cds.KYUSt_chr4.38682 pep primary_assembly:MPB_Lper_Kyuss_1697:4:238728497:238729948:1 gene:KYUSg_chr4.38682 transcript:KYUSt_chr4.38682 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVFSSPECAKGITNFKTTPSKGDTTKNRRRRPIPRDLGFHLKTWTRGSEGRRAPRPPPKKDGDIHRRRAVRLSPGANEPPHPHAAAQRDEDRKRCQPALRRHTSCAEAAPPPHTSHHRHQNQTKELRELRCHHPHRAGLKSRVAPLLPTKLTEKSTADAANRHREEASTDQTPSPLFQHKHRSLRGASPQELANHQQAGAAAAPHDTAAELAELTAGGGGGRETEEQRCKFLVLRLYEALNARDARRAQELLAPDLEWWFHGPPAHQHMMRLLTGADTNAEFTFAPRSVDAFGSTVIAEGGADAGGQLYWVHAWTVGPDGVITQLREYFNTDLTVTRLSAAVATKNASAAVSLSPSNASSSSSTSTSSAPSSPAPTPKWPKCLWQSRRADRARKSLPGLVLAI >cds.KYUSt_chr4.14162 pep primary_assembly:MPB_Lper_Kyuss_1697:4:87211247:87211771:1 gene:KYUSg_chr4.14162 transcript:KYUSt_chr4.14162 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLTLLLVAIAAIAAPLAYGACNGEASLKGVANDVLWEYGLPKGLVPDSVTSYTFINATGDFKIELASSCYVWFGDHYTYFDKNLSGTMSYGAISNLSGIQAKKLFFWVSISSMVARPETGMIEFHAGFISEDVPISLFEKVPVCGNGFGQQLRGAAGVIREMNVLPVAEV >cds.KYUSt_chr3.24601 pep primary_assembly:MPB_Lper_Kyuss_1697:3:152697294:152700447:1 gene:KYUSg_chr3.24601 transcript:KYUSt_chr3.24601 gene_biotype:protein_coding transcript_biotype:protein_coding MNASPEKMNGEGKKKKALDILAGSDDDSDIPAEDNSKIQINEEYARLEEQNKKGLVAESEDDDESSDEDVAAASRRIDRRMLQLISRIRSGDSAILQKNAKVYSSSSEDEGEAGAEGKPKKERPLYLKDVNAQHLLEEGPEFAAQTGRGSKYDRIAYDERQREGLKEFFEAEKKALGDGDGEEDDLFMEKQTVGDGGESEEDEDEKRTEELVGEVFGKDEQLDENERFLKDYILNRPYLEPGNNNFSLDDMQAEEAEIEKWEEHEMKNCTKGYNYRHEEMAASEALVTGRVMGHPRHIEGSVRKKESSRKKQRKSKAERNAKAKQEQAEELKHLKNLKKKQIAEKLEKIRMIAGIQGDAACKLGADDLEEDFDPEDYDKKMREMFDDKYYGADEVDPGFGSGEDLDDKPDFDKEDKLLGLPEEWALDDKEGSAASGDKSISEKKKKSKNKELADGDGAGEKRKRKISLKDRLEIEKEFDEYYKLDYEDTIGDLKTRFKYRQVQPNSYGLNTYEILQADDRDLNQYVSIKKLAPYREDEWYVTHHQKKNTDTILGGHKIQGKKVKAHKKSKSGEGPISEKTRKVKLTSNEETYAKTKSEGKKVKTGKRSRRLSEDYVYEDANGMHLVHEVCSRGELFYMIIGHVRYLDFDAPAIIRQIAGWLKALHKEEIINRNLKLENCHFTDTKEDSTLKIMDFDLSSVEDFSDPIVALFGSIDYVSPEALSRQEVSAASDMWSVGVILYILLFGYVGNPFS >cds.KYUSt_chr3.25952 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161308152:161308988:1 gene:KYUSg_chr3.25952 transcript:KYUSt_chr3.25952 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISRAGNIAVYWGQNVGEGPLADACNSGLYTYVMISFLSTFGNGQTPAINLAGHCDPPSNNCNVFSSDITTCQSNGVKVLLSLGGAGGSYSLSSTEDAQSVATYLWDNFLGGSSASRPLGDAVLDGIDFDIENGNPAHFDELATFLSQYSAQGKKVYLTAAPQCPYPDVSLGPALQTGLFDDVWVQFYNNPQCEYPGGDLQGAWNTWTSSVKVSGSFYLGVPASTAAAGSGYISPADLTSTVLPGVKTAGNYGGIMVWDRNNDVQNSYSSQVKDSV >cds.KYUSt_chr1.28250 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170626224:170629918:1 gene:KYUSg_chr1.28250 transcript:KYUSt_chr1.28250 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIVELGVVPSALDPLIIYCDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEDGEVKICKVHTDPNVADPLTKALPRAKHDQHRNAMGVRLLLPLIFIRITCISLSLRRTSAPIHLTSVLGFLNPKTAKNNNWLFGILSIDTFETYPANYLDYDVYDLELTAVKNNTELRSLFIETTGKSIIVIEEIDCSIDLTGKRKTKKKKKDKSSKKKKKMAPPVAKDEENKVTLSGLLNFIDRLWSACGGERIIVFTTNHKDKLDPALIRRGRMDSHIQMSYCCFESFKVLAKNYMHIANHELFHEIQQLLGEVDMSPADVAENLMPKSKAKDVDASLGKLIKALKELKEEALAKASIGAENEEEAEEEAEEDDEEDDSSSSSEEEKTGKNKND >cds.KYUSt_chr4.23329 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146900329:146907241:1 gene:KYUSg_chr4.23329 transcript:KYUSt_chr4.23329 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTRQRRVNNSNPDPHGCRPVGRMSTSPTPHWLRARAEAAVASMVVVRPHEMAPLMSAASTFFFILSAYFVVLPLRDEGAISLGLGALPGLFVGSLLLTVLAAPVASLVFSLPSIPKTKALVLIHRFFSVSLLVFFVLWFASKHGSASISQSTEGSSDKPAGWGNHSWFYIVVRISFFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLVGSLFAASLAWMGPFLLLFSSILMELAALSSKGICTDANHDSTELPSIGTEQSPNTELGDEISSLVTSPITPSNSQKTKPGILVMFEGFWLIMRSPYLIYISLFLWMSAVVSSFFYFQKVTIVATTISSPAARRRTFALINSFIAVFILAGQLTLTGHILTIAGVTVAICASPLIAASNMVALAVWPTWVAVAVTETIRKVTAYVVTRPGRELLFTVVSQDEKYKAKVCIDVIVQRLGDATAAGIYRFLFSSFEKKTSMVNLYGLPLIETLTALFYLQFKCSTDTNHRILTQYKLECFQNAEHGMLRSLVNIR >cds.KYUSt_chr1.22446 pep primary_assembly:MPB_Lper_Kyuss_1697:1:132770513:132770743:-1 gene:KYUSg_chr1.22446 transcript:KYUSt_chr1.22446 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEDGYPYSWSLSPTLEIRRPQRSGHPYPGSRPSMEKILHCEEATLLFDRRRRRSSRDDLRLLFPHPEHAAAPR >cds.KYUSt_chr3.26689 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166378039:166381380:-1 gene:KYUSg_chr3.26689 transcript:KYUSt_chr3.26689 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFNDVRAVENNLATAAAVAAAKKQHQHQQEQPSLVRTCPSCGHHAQYEQLQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDTRKLHPLIDEFIPAIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTDGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGGSTAAAAAAALAAGNIIKGGGGAAATDHHRHHHEGGNNNIMLKEAAGMVDFYSPAAAAALIGYSSQAAPPNNRAAATASAHLMPNFEVHTGGAGFGP >cds.KYUSt_chr4.9143 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55013655:55016643:1 gene:KYUSg_chr4.9143 transcript:KYUSt_chr4.9143 gene_biotype:protein_coding transcript_biotype:protein_coding MYYPSPLLLSVDISLSPLLVSSRAAEKQPEPGVEETRAAVSCSPSAELWPVPWRKRAFIARGTAAGADREWDKAICACDRELLGAASPSDFDGPDRDGSLDKVPVPPLWVGKVFDCEVYHRRQKQTMWVDPNYGFDSSYRPDRTIKDITENACSEAFLCCIIQQRRFPPPPASEDEWDDDEEEDAWDDDEEEDEEAEEQAEEEQEEDDEEAEDADEEEDSTSSDEEVMSRKRRRHDDEAGPCRKK >cds.KYUSt_chr3.8148 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46977083:46978649:1 gene:KYUSg_chr3.8148 transcript:KYUSt_chr3.8148 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVDFIEVRDDFIDLTSDEETVQQDNIATQPQATLLDRQAVFVVADEGKQDGQAAFVSAGEGSQEATESGNALVATTSPSVMKKALLDMAESQNCPSSPTSAPLASPACATPKVLSSEVGHPKQDVFVLAGEGSQDAQTAFVSAGEGSQEDTESANALVATATPLAMEKVPIDTAESKSCPNSPTSAPLPSPASITLKAVTSEDGDTQLGATVSPSGMEKPLLDTAESKNCPNSPASTPLPSLVSSTPKVLTSEDGDTQLGATISPSGMENALLDTAKSKNCPTSPESAPLPSKFVSIPLDAAGMASTTPKTPTSEDGDTKLVRAKHPKKNYHASTPRRSPRLRECCNNPVEELTTGHKRSRMLKPAEELAAASDDAESTKTFSTDNAN >cds.KYUSt_chr3.29128 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182208084:182214101:1 gene:KYUSg_chr3.29128 transcript:KYUSt_chr3.29128 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKARKQNQVWRKTVLRKWLNIRSSDSDFSADEGDTADEADSESEVCVGTWNVAGKVPPQDLDIQEWLDMKEPADIYVLGFQEVVPLNAGNIFGAEDNRPVSMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSGDTLAMEDELNSDSDSEIGGEVHPWDEQDLVVDDDIQSNTHENSTSAPDETILRDDNFSRLPSLKTFNRSHNISFKDYTSHLEEPVNQKMLTKTLSHSERLGLIWPEPPLDMLAQCLPASTQSLPSGKALKTYLSFKSVDGDACAFPEDSILHGLNIDSVVAKGKRPYFVRIISKQMVGVFLTIWVRRSLRKHIQNVKVSTVGVGAMGYIGNKGSIAVSMSIYQTQFCFICCHLTSGEKDGDEQKRNADVQEIHRRTIFNPVSRAGTPKTIYDHERIFWLGDLNYRINLSYERTHEFISNHDWNGLFEKDQLRLELRKGRVFDGWSEGVISFAPTYKYKFNSKKYISDEPKSGRRTPAWCDRILSYGKGMRLLSYETVDMRLSDHRPVKAVYTVDIEVFSPKKLQRALTFTDAEVEDRLSSEDECIAGIYSLGLS >cds.KYUSt_chr7.33839 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211318452:211318679:-1 gene:KYUSg_chr7.33839 transcript:KYUSt_chr7.33839 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAESVRPSAGDVEVLPALLAMEKDMTVDPVIWGDEERMKRELMAWAKAVASMALNASPAPPTPTRHGAKCTT >cds.KYUSt_chr1.5784 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35630670:35635203:1 gene:KYUSg_chr1.5784 transcript:KYUSt_chr1.5784 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGGVDGCKDKGNPPATGHRGDDRDAPSPSSPFPSPEGSSEDEQQQHPEVSLPEDAIVEILSRVPYRSLRRFKCVSKAWLALCSAPDIRRRSPQTLSGFFHFTTDGLKFHNLSGRGPPMVDPSLPFLRERFKLVTVEQCCSSLLLCQCVSEGQEYDYVVCNPATERWVVLPPIVLPDGRLFEFYEGNIFLGFDTAAASRFVVFAPLADYCYEFQEVAIYSSETGRWTSVQSDWGFGTILVGNPECVFLNGVMHLTTHYSASVVTVDNELKVWRKIRMPDITASSYGNAIASIGHSQGCLHAWWIDNHHDYQLYVWVLEDYDTGKWILRHTVNVLELFGRHRRKASLLSLYKGTPLTRHNSDQYQCTHGKSSVRLSNMANAKLVVVVATLVILLEVSTCTLARHHGKPDPCSGEDDGSAVGTLHKHKKPGHCPSPGGGGGTPGIMTVNGFQKGEDGGEPSECDGKYHSDKTLIVALSTRWYAGGRRCHKPIRITSKQNGRTVVARVVDECDSNHGCKDNIVDTSQAVWDALGLDSNIGEVPVTWSDA >cds.KYUSt_chr6.3112 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18225619:18226733:-1 gene:KYUSg_chr6.3112 transcript:KYUSt_chr6.3112 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGGDDKDYKEPPPAPLFEASELTSWSFYRAGIAEFLATFLFLYISVLTVMGVVGNPSGSKCGTVGIQGIAWSFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFQTTLYMGNGGGANSVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKKQSWDDHWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD >cds.KYUSt_chr2.34395 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212412842:212418286:-1 gene:KYUSg_chr2.34395 transcript:KYUSt_chr2.34395 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPEAVALEIPAEEGSPAARVPPRIRRRLAQAREPSVGAPATAEEIEAKLRDAHLRRQQFHDALSCKARRAVRSTSQPSQEEDPKQRLEAKLVAAKQKRLSLLEKEQNRLAKLDEVRQAAKNDAEMRFNREREELSMRVEHRVRQAEENRIQLLRARLQRRAALEERTKRFLGQRVAWENKYRERTTVVLARAFDILGINQESVDSMPFEKLALCIESPEVLQTTKALLDRLESRFILSQSSSSSTPENIDHLLKRLGSPKTRILPSSAGRARVTPKRATRNSDAGKLPRYSPRVVLCAYMILGHPSAVFNVRGEREKLLVESATNFVKEFELLMKTILDGLDGACILRQSTLDAVSPGSSTYQESSSIAADRKKFRSQLVSFDKAWCAYLYHFVVWKAKDAKSLEEDLVTAACKLELSMMQTCKITTEGRSDNLNKNNLNAIQKQVMVDQKLLREKVWHLGGEPGVQRMELALSETRSKFFGAKGNGSPLSTAAANVASPSGKSLLSDIKESLDKDAERPGRVVQSLSRASSSLSRSNTGDNGSQMSITLPEKLPTEDELAQSLFNVPSLPSESSSDDKAISSQMSITVPEKLPTENEQMVNEILHGSFPDSFEDVGKVEGDFKAKVRETMEKAFWDVVLDSMKGDTPDYSYLVNLVKEVRDALHQMAPKGWKEEITNNINLEMLSQVLESSTQDTQYLGHILQYSLGMVRKLSSPAKEDEMKISHDKLLSELIEHSESNNGGPYAFVIAVIKGLRFTMEELKALQSEVSRARIQLLKPIIKGSGGFEYLQRAFADRYGSRSNALVSLPSTIQWISASKDLVEEEWNDYVSSLQTLPATDHVQPFVTTLRAGRGIPDQQHVVPVAEECTELPACTGEELEKLIRIGLLRLISSMEGMQRKSVPETFKLNWLRLRAVQSQFQQVIVIATSMLVQRQVLISENSETTPSELENATLELFNTLTELLDNFSDVSTDKIIEVMIHSATSTGSCSDEVIENRKQILTRVFLKSLQTDDTVFKKVSGSVYCAFRAITLGGSGAKGKMLAEVALRRIGATRLTDRVAKAAEVLQKVATVSEQVHGPWYKHLL >cds.KYUSt_chr4.1717 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9158210:9161662:1 gene:KYUSg_chr4.1717 transcript:KYUSt_chr4.1717 gene_biotype:protein_coding transcript_biotype:protein_coding MLETRPSDQLCSADALGLTEASQALLRLSSRRGDGDAQPLLHALRLVGLEFSDHEGVLVIEATMPTDLADVFPGAAIVPDDLYPTPPETRQEKVDAALLQIKLNRYKCGGLVIGSSCHHHTADGHSMGTFYAVWSRAVREGKDFVAPSPFLDRASTAVPRSTPAPVFDHGSIEFRREANRCSSRAVLPVDKDKIKSITVHFAAEFIAELKSRFGARCSTFQCLLAHVWKRITAARCLNPEVFTQVRVAVDCRGRAKPRVPMDFFGNMVLWAFPRLQVKDVLGLSYGGVVGAIRDAMARIDEEYIQSFVDFGTLAADEELVAATSTVDTVLCPDIEVASWLGLRLHQADFGTGPPSALLKPDMHKEGLIIFVPSPMAEGAVDIIVALSEDHVAAFNKICYSLDDTIFLPSKM >cds.KYUSt_chr3.9790 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57560409:57561167:-1 gene:KYUSg_chr3.9790 transcript:KYUSt_chr3.9790 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAAAPFLEPREPAPPKTVQLGQPGWAVWAILSLVTGGFAWGLYRARHDAHDLVSVVGDYCITYYGLWLLYVCLRKHQLLRGDDDDPAAATELRRVRIVPWAISLFLSFPMALSVPSTVPSLQPKFGMWVLAVLAIGLGLYFAVAARRSDVARVGDDNPAAATELRRARLVPWAISLYLGWWMWLLVLNAVPSLAPPFGMWVLGLLVMGLGLYFLGAACRSDARVDDDDDGRRPEPEEVLHDHEVSPEHRV >cds.KYUSt_chr2.14197 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89784151:89785484:-1 gene:KYUSg_chr2.14197 transcript:KYUSt_chr2.14197 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNGRTVQDCSFICTGSSHAVLSDADGAFTAERHRWSAPAGTAEPEAKVGGHAKRKGKEIGRKKQSKSGFDVPAYRRHRRGGWEVQYLNLGAAAPAAKGRRCRTEHAQEVGLNSVTASPPFPCAAAPIRVRARCPVRVRRRFVGRYARVSTRTQAPAATSGPDRAKTRPRCCLLHLSGTTATAYCICASVARFRWLAGDGVLYLRVGDAV >cds.KYUSt_chr5.28034 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177373587:177374012:1 gene:KYUSg_chr5.28034 transcript:KYUSt_chr5.28034 gene_biotype:protein_coding transcript_biotype:protein_coding MNNASSSSSTTLGCTAKVASYSNGLGRRSGHCRCTVKAAATTTASRSTDYYKVLSLDHSVPVGEEEVKRAYRRLALQYHPDVCPPSRRAESTELFVELRRAYETLSDTSRKRGFRSPPLVPEIFEPRLKGALVAVREATRD >cds.KYUSt_chr7.6841 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41258445:41260618:1 gene:KYUSg_chr7.6841 transcript:KYUSt_chr7.6841 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDGLDTASDANSDANPHVLLTRFPPSRHNLRRCARRVPRLELSAGTSSAVADTHDAYSNEGYEDYEGDADDQIRFTNQQQHTPPAIVKPIHPTPTMDPRKHHVFGDQNIRREILLRINSTASTTRSMRAHKCFMEEIQEPDFASAYSKIQDPASIGFLVATGSGAGRITWLADTEDTPGDDTAARLRARISRPSLMRERVVGCRCGLAVVERSEGYFTTMPCIGEKQLSPVAPLAPNIVRSPEFVSSNTYGKFALMMDSVQGGIAFFVQDSSAEPTHTDIGVSCGSSPHTSVHLCLYSKGHWRCYATPQFKHPHQVIFNTNPTCIINNGRLFMQYIIGVIVTFRLNDLSFSHTALPAEVNNASVTDTDYAIGEHRKADLLLVHLKSGILSTYVLVQTLDEHTWMKISSTCLLDTFVSQFGMSFWQRLIRTGDGETGQTGANPLQLRATARNGSHVFITLADDGGYFVYDTKSLKLTEVYRGPKGKIGSVLTLTEPWPPLF >cds.KYUSt_chr3.32404 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203588721:203589956:1 gene:KYUSg_chr3.32404 transcript:KYUSt_chr3.32404 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAVAWWRPKSPTFLFRGQASAPGFLSEVGQARQFGTAKQRQRQTTKLHLYLVLDDHVDGFSIHKLDIDDDLGCGGSSARNPLRFSEPPVLRIGPPTIGNRAQFAALGSHIVATCPYALEIPTVQEAHDSVTLIFDTKTAKLIRSTILPSGLIECYDAAIAVRNRLYVLESYTDSNTGLDSLYFFGGFHCLSADPNDDDRDWSWLPLFKSSQFSWSWYKTPPDFPFDPKSITSLAVHPRSGTIFVSACRQQVWGTFSYGTRGGGQWKRRGNWVLPFKGPAHYDDVLGAWVGLHTHSVVTNDADGYLCACHIMSGRQPPKWKVGREKLFLKHPHWRHVDAKLVHMGEGSKYCLVERLVPEGGHRMNYVLRVTTFIVKYTEDGELSTTAHRPACFYKAPSYHYCFDVQAFWM >cds.KYUSt_chr3.30437 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190686579:190689097:-1 gene:KYUSg_chr3.30437 transcript:KYUSt_chr3.30437 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGLDLGLGGSDLGLGGAGARRRRQAGAAAPGTRWWKVWGGRLRLGTKVVRRTADLGVSRRGGRVEAAPSFVGVPVAVVGHCVWQAAIAGTCKPMAEVSHGAWTAARRRGILVDRALFSQRPGDGGRFGMRSWPTVRGGRSGGSGNKACCWDVDRAVAALAVAVVLVPFGGAPDLVYPDRVARCDVLSLAVFALLNELLFHGHMDQM >cds.KYUSt_chr1.25140 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150770860:150771234:-1 gene:KYUSg_chr1.25140 transcript:KYUSt_chr1.25140 gene_biotype:protein_coding transcript_biotype:protein_coding MGELSPQNITMEGYMKQVLDSLQGMQTKIDEIQDSLVETTTAVVAGKQRADDIATRLAVVESSTSTALKHITVTPPAAGDGLGVESHPMGSTRPSPSGAAAARPPGAGYELRVCGPPRSIASPG >cds.KYUSt_chr4.44538 pep primary_assembly:MPB_Lper_Kyuss_1697:4:275847339:275853315:-1 gene:KYUSg_chr4.44538 transcript:KYUSt_chr4.44538 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFPPKDTNTHTVFKWPWRRESPLSAQLLIDIPPEIELSDYQRLPGSISDSPSRLLHGESVKEEPIPDLDIFFERLYEYFCAKGLRCIVIKWIIEILNVLFMVCCIGFFFLYVDWNALGHLKCGVEALESGEKPCDLMEAIKRNPLVPFTFPKMITVGSMVILATYGLINFLKFFVQLRSTLNARDFYYNSLRVTDLEIQTISWPKIIEKVVLLQKSKKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGIISFPIRPWLPGAGPTVKSHVHDRRNYVILPKALEWTLNWCIFQYMFDSNFCVRKDFLTSPAVLKKRLVFVGIAMLVLSPCLVIFPLVYLILRHAEELYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNSAVHSLNYLKQFPTPLISIIAKFVSFVSGGLAGALIIMGFLGESILEGHIFGRNLFWYTIVFGTIAAISRKVVADELQVIDPEGAMCLVVQQTHYMPKRWRGKESSELVRREFETLFQYTVVMLVEEMASIFITPYLLIFELPKRVDDILRFITDFTIYVDGVGDVCSLSVFDFRRHGNRNYGSPFDAPKNLRSSQGKMEKSFLSFQSVYPSLVANVHGKQFLQNLQKFKERQIRQQAIAQYQAMEASGFVDSTGQRDDIFRQLLPSIIRNHADAIPPAAYNFGPLGLLDTDQRTHPYILDWYYMCHSPHTDRAEASLHFDEESPEFFQNTSPPGRETSEIEEAENNYSEVYGRAESHMGASTSSTLFRHAPTKHHGKEDSSAGNWWGQAPAYPHDPQQDSFVEPPEFEKHNMAALGSFLEPPEFGNRNMARDSRSSHHSGDISEGSEGDLEQGDNRSTSSSSWRNPQTLARTRYMDDSYMEEEDGLDLHFADKDEDRRPGGADAYDRAPAGLPVRIIPRSSDPLPVDWQK >cds.KYUSt_chr2.35573 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219713749:219714707:-1 gene:KYUSg_chr2.35573 transcript:KYUSt_chr2.35573 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPCSYTVRPPRAVRPRGQRPRGSRPALTVVAAGARASGAEARSSLVLALASQALAASQRRAVDLVTEATKYAFPSSRFEPRTLEEALMSFPDLETVPFRLLKREVEYEIREVESYYVAETVMPGRSGFDFNGSSQSFNVLASYLFGKNTRSEQMEMTTPVFTRKGETGGEKMDMTTPVITKKACSIRLPHEPYSSGMSLFS >cds.KYUSt_chr3.12380 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73969329:73972666:-1 gene:KYUSg_chr3.12380 transcript:KYUSt_chr3.12380 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAYSIRGHLKLAGGHGLYALANDRLSSSLKPSVSYPSSRQWSNISSNAARHTACHSHLIVGNSERWRSSLKASLEDGTIVAEGRITVLVIGGGGREHALCFALNRSPSCEAVLCAPGNVGIAQSGDAVCISDLDISNSDAVISLCHKRGVGMVVIGPEGPLVAGLTNDLVKAGIPTFGPSSEAAALEGSKDFMKKLCDTYNIPTAQYHAFTDPAKAKQYVRDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLVEESFGPAGSRIIIEEYLEGEEASFFALVDGEKALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKHTIMETIIIPTVKGMAAEGCKFVGVLYAGLMIEKESGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACKGELGKVSLTWSPEIAMVVVMASEGYPGSYKKGTVIKNIDKAEQVSPDVKIFHAGTALDGDGNLVAVGGRVLGVTVKGKDIEEARSRAYDAVDVVDWPEGFFRGDIGWRALKQEQMANY >cds.KYUSt_chr5.37969 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239824737:239825093:-1 gene:KYUSg_chr5.37969 transcript:KYUSt_chr5.37969 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKPGLITKTLDRCRSTPVRQKPAEGCFSVYVGAGRQRFVVRTECLNHPLFRTLLEEAEEEFGYTAAGPLELPCDADAFARVLEQIEEEKQRAAGLARRNSYGLLGTSQPITVGRS >cds.KYUSt_chr4.10513 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63813142:63817666:-1 gene:KYUSg_chr4.10513 transcript:KYUSt_chr4.10513 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAELEAVEGLRWPWHSWPPTPSAAEALVVPTAVLCAPLHPTAPDLLPILPYPPLRCATRSCAAALNPFSRVNHAAARWSCSFCGAAANPYPRHLSPDAIPAELFPTHSSVEYALPPDPAEGPGGPPAIVFVIDAATDGDELAALKAEVLRVVQGLPERVRVALVTFAASVWVHDLGFEGCARVVVLNGERELESEKMSALCKLASLMFQIQQLLGVRHSRYSKLSALKDTEVQRFLLPVSECEFSITSAIEDLSSMSACPRGHRPLRATGAAISTAIALLEGCCSSNTGGRIIVFTSGPTTVGPGLVVETDLGKAIRSHRDIFNANVPLIEKAQDFYKKVAKRLTDSALVLDLLACSLDQVGAAELRYPVEVSGGLMVLAESFESEQFKSCLRQTFKREGTDYLNMNFNATIEIVTSREVKICGALGPCISLHRKNSSVSDKEIGEGGTNCWKMSTLNSKTCIAFFFRVDCSHSTERNSEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAGPRSPEIAEGFDQEAAAAVMARIAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVVNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLADRFPVPKLIKCDQHGSQARFLLARLNPSVTQKSQLSEGSEVIFTDDICLQVFIDHLQELAVQG >cds.KYUSt_chr4.20570 pep primary_assembly:MPB_Lper_Kyuss_1697:4:129500806:129501432:-1 gene:KYUSg_chr4.20570 transcript:KYUSt_chr4.20570 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGAAQGTTSAPRCSPARTRPSSASRPSASPSTEGTLAPGSRPWTTASAAGVRSREKRASWSISTHIGSRDASCTPPERSTGTSIASGRRRTGARLCMVTDAEEGLQLWVRGDGRGSDNGWVLAVFCDDAGVPDLPSDPTYRTHVVWPSDMDAGRTGKVFIKTWGFRRFSFHMDTGNIERLSTKRGKEYGQPIFAYFLSWPSLAGP >cds.KYUSt_chr2.45330 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282748524:282748907:1 gene:KYUSg_chr2.45330 transcript:KYUSt_chr2.45330 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRRPEFVRTGPFLTIVTAAAFIVVGLAALLGQGLISTAASAAAGIAARFGRSSIIFTASAALAVASFFTIIVVGDAVATFSSNAGGLELSSSASDGRQAIVHGYKLRLFSIAFRAPSWSHALPRT >cds.KYUSt_chr3.45130 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284299590:284300998:-1 gene:KYUSg_chr3.45130 transcript:KYUSt_chr3.45130 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIVLDRRSYRRLRPTSSIGLTLPPVADGPGSMLNTFDALSYAAALSVPGNSVQFYVKKKGNSVQSAAPCQRPPHVTMPCQRPGLNPLRFPPPDLLVTAAAADEHADHCPRRRAPRTSAPSGHHPSPLTPSSESPLRLGPRDPGEPRVCGNRGSGGGGGREGGREGGMSIVPKETIEVIAQSVGIPTLPADVSAALAPDVEYRLREIMQVRRPAPCPCAYS >cds.KYUSt_chr1.36410 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222141740:222144011:-1 gene:KYUSg_chr1.36410 transcript:KYUSt_chr1.36410 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSLPVAVPKRQALVLVAVSGQRPGPAKLGSHACRSFFFLRWRIFISLHAASQAVARPSGFVPGSGNGGRVWRLLDVGEMQGLDHVFAITSSVFYAKLQDLVVIFFFIQVHAWIFNSKDSVAGNCKIGTSFWGQIPETFNSTSEPARGRTSKQLKDHWNAYNKEVSLFNGYYIQESGLRQSGADDDMVMKAAMERYAVDKRVTHPFRRHHWWEAVRNEAKWKGQHGSGSVTDSTSKRSRLGVSGEYSSGDATTEEERPPGRDWAKAAVRSARRKGKATSSSSEVGSKSFAMRNMMKGLVKAKLFKQWNKMKDRSTDDRTEAEKRRHAKAIKMCEKELGLEDDEDEEEQQEEEEEEEEYNFYLLCTFINYYVIRNIFS >cds.KYUSt_chr1.41979 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257357059:257357679:-1 gene:KYUSg_chr1.41979 transcript:KYUSt_chr1.41979 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCTSRGSRRVNINCWASIKYLHDARGPEAQQGGFPWPTDQDDKAGRTQERRGKRSGSDQRVFRCGGRTKSPSPPPTKIPNHHSPSPSPSPSPARNHHPDATAAMGGGDASGAGAQAEDTQEQKRAAAAAYDYEGDARWADYWSNVLVPPHLAAKPEVVAHFRRKFYQRFVVSRPAPPRSARPLLLLLNCSPESAVPRLLLPRIR >cds.KYUSt_contig_1467.191 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1266689:1267192:1 gene:KYUSg_contig_1467.191 transcript:KYUSt_contig_1467.191 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDLKKMIMLKSSDGEEFKVEEAVVMESQTIRHMIEDKCADNGIPLPNVNSKILSKVIEYCNKHAQAAKPVADGAADGASALSPAEDLKNWDAEFIKVDHATLFDLSLAANYLNIKELLHLTCQTTADMMKGKTPEEIRRTFNIKNDFTAEEEEEIRREYQWAFE >cds.KYUSt_chr3.255 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1480548:1491657:1 gene:KYUSg_chr3.255 transcript:KYUSt_chr3.255 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIHDDAADGNAAAAGRPELTPMERVQQKLIACGVPEEQLHEHQEGLLMYLEEHRGRVSEITAAILSAGTELAESRKPSSKKDDDSSSSSEGGDGTYGESMSWLQWMMFDSEPDAVLDDMEAACAEERAVCGSVWGQNDLAYRCRTCENDSTCAICVPCFQNGNHEDHDYSIMYTGGGCCDCGDATAWKREGFCSRHKGAEQIKPLPEELAGSVGPVLDELLLFWKERICLVDAPPLRSKTSCKSVAEELTDSIADMLLRFCTCSESLLSFVSQRFRECPDLLEALTRTERVLDKKVVKKLHELLLKLITEPAFKFEFAKAFIRYYPVTISEVIKGSSDSLLEEYRLIPTFSVQIFTVPPLTTRLVREHNLLGVLLESLTVLFLSCVGEDGRLQTSKWTNLYDSSIRLLEDTRYVLSHEEVSKYVAYERPDLLRSWIKLLSLVQGMDPQKRVTSIHAEDENENLSAPFMLGQYLGIIHNLLMKGAFSSPEQQESTDVTVCSTAIKGMESAENQRHAKVGRVSQGSSGCNLSMRETSLSSGLPSPAACLISQCLKAIDNWLEPGPRRKKLSSLDASSSDARNFLALLEDTLTFNKGGSSKQISEVGVKVNEGTPSDDVADYDVFGSPVQESDDAMQIDQGGMTGNVAGKGKIQESSNAPDIQLHSENAISVTLTDGSLLYAHPDSKIDEVGILNMTGWPRVVFDVSSQETSFHIPLHRLLSLLLRKAMKKCFGEDAKQEECSGVQSSEFFSQVLRGCEPYGFASVVMEHPLRVRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVRTIQERFGLSNYTSLELAEQNEHEPVLMQEMLIFLVQLVKERRFCGRSTADNLKRELIYKLAVGDATHSQIVKSLPRDLSSSEQLKNVLDSLAVYSNPSGMKQGKYVLRKTFWKELDLYHPRWNSREIQIAEERYHRFCKVSALNAQLPQWTPVFAPLRSISNIATSKAVLQIVRAVLFYAVHTDALSASRAPDNVLVMGLHLLSLALDICESESQMYADQHGMDIVQHDAESWVVLSSYADEAFPILIYSTEPVSTESDKGKNESLLTLLVSLMRKYKEENDSTFSGSKYCNIPSLVESLLKRFAKLSKQCTSVLRQMAPQVLPSIPDHASTKPSLGSSESMDKKAKARQRQAAIMARMRAEQSKFAESMKSSGTEAHSVPTFEPDASSSTAVASEESRPVCSLCRDSDSKSPLCYLILLQKSRLATFVEMGNPSWDNLSQANKTSGSIRREKSTDSSGTGSSSSEELVMDETVEVVSTDLDNMEVEALVDFSNEQHPLIRYISCFPSGHSSGNADDNISLETIEADVYKSIVNDLVGIQDGEETLPTSKLVAGSKKNTSPRSSVLGTYVTCLSTKYRLSSLPDGASRSSASATIRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYIRRLGFEGGHIVDPDRGELLCPVCRRFANSILPASPDFSSITRKVMPAVQTMPHEAAATTSDVTINYLQFPRALALLESARKIVGQSSFLKAFPGNVNDTIEPALDPSLRRLTMLYYPRSKSSFSQSERLSPSLFLWETLRYSVVSTEIASRGRMSSYSAQSPSCLESLRSELNSSSGFILSLLFRVSHSARVLNRREVLLRYEGIQLLAGSICSGISGDKDLLDATKRKGTLLPVVDRESEGEIFPDIQFWKQCADPVLAQDPFSSLMSALFCLPVQVVASTEFFVPVVHLFYIVCVIQALITCYGEESFDRSSFRDCLLNDVCQEMSGYDVAREYFVSKYIDPSCNPKDVVRRLTHPYLRRCALLWELLKSSSSAPLYDSSNIWEGSHLYSDSSSAEGNSSLSVELDEVRELEDLFQIQPLDLIVKDECVHMLALRWSQHFYEDYRSRKYRGTLFSTPAVPFRLMQLPAVYQVLLERYVKMQCPDCGSVPDEPALCLLCGKLCSPSWKPCCRTGKCLNHASQCGAGVGIFLLVRKTTILLQRSARLAFWPSLYLDAFGEEDHEMHRGKPLYLSQERYAALTYLVASHSLDRTSEVLRQTTISFYTSD >cds.KYUSt_chr4.22417 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140847684:140850619:-1 gene:KYUSg_chr4.22417 transcript:KYUSt_chr4.22417 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRNEAPISDNEIRITALGRMRNYIGYGMSLLEENGHDEITIKAMGRAISKTVMVAELIKRRIVGLHQNTSTESIDITDTWEPLEEGLLPLETTRHISMITLTLSKKALDTSSTGYQPPIPAEEVRPAFDYEHEAMSNGPPPYDWGEEWEEDGYEYTSRGRGRGWPRGRGRGRGYYGGGRRGGYGYDYGYGGRGGYYEEQGEYFDGEPDEYYPPPGRGRGRGRRMEQSEYFDGGPDEYHPLPSRGRGRGRRVEQSDYFDGEPDEYFPPGRAASQVVAGEEGEDHGEPEAVGVVRC >cds.KYUSt_chr3.26986 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168368841:168383922:1 gene:KYUSg_chr3.26986 transcript:KYUSt_chr3.26986 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTFNRIDDEEEVPTLDVAARTSTSHTLIVSETPVEGEESSPPQQNVGVRTPPSSPLVPSPKRTRVETIPEPTLQLGSSSNSLLDDPMIKELVRIGAQFIGYCEYASKTEEKLAEDNKFVDTLAQKLEQSETARKKAELDAGQAKAEAEKAKEKAADVEDLQKRLDDAETALNEHKAAQATREQAIIKRLNTQNRRFLAGKTNQEFELEDPDNDPLLDALSFLEFHGTEVREGMEHADTGLSRLFPYFFPKKEEPKTFLALAKDFNPPEDLGLKMRQENMKIAVESTVALVADSQQTIDWAKLIFDNYSASPRAADTSPASSFSKKTLVNDNLIEGSSSKHLSQDLQELRQQLQSMKKQTLAMMEQSRKASEREKIALQQAKEAIAAKDAAVSEAEKATTRENSMLELMIEASADMLGSVLDTAAEDERVNVRTNLLVNLSLNHGCLFWATPERTQQIVRFQDRACQLDLTKIVADCLAKKSRRKNNIDSINEMVTHVAEAMMDELLRMDSEFFIKGTYAEHKTNRGLRNPASREPVRVRGNQVFGERFGATTDITTSSSDDEFLHNDNFFPDLSDFFNNLNMGDNDAVAKSFSFGGGSLALHDPEPEVVEVSPEVASGWTSVRQKRKRAQVVPCEIIELDDDPDVVTILEAKILDHKNKQAVGHPMNWQENAKSGFPEDIAFPSAIPAKVGFPWDGLGTYHGGTVPPAYLNGVLGAVEEEDYAYDEDELSNYLYNSPPMEYGGSFDLNADHYMGFPPIEGSYLPREHVAPSDLTQQQKQVKIVESEVDEHYKAFKQFDTVGDHSDHFYLKFRAVKKPLKEWVKRIQHEWKVIGKDLPDTIFVRAYEDRMDLLRAVIVGPAGTPYHDGLFFFDIYFPSQYPSKPPLVNYRSGGLRLNPNLYACGKVCLSLLNTWPGSACEMWNPSTSTMLQILVSIQALVLNAKPYFNEPGHAAYANTPGGEKQSLLYNEETFLLSCRTMLYSLRNPPKHFEHFIGGHFRKYGRDILVGCKAYMGGAQVGCLAGDGVQDVDEGDKSCSQNFKCSLETLFKGLVDEFTNLVVDCHEFQAQTATSVVAAADTTLRL >cds.KYUSt_chr2.46366 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289724035:289727462:1 gene:KYUSg_chr2.46366 transcript:KYUSt_chr2.46366 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFRRGQKYLSDGSRRIDIYSAIRGLKGSEDFHRIMATDNDRNHGVEAIYASRTSIKALMEEEMANGTQPLKQPQRNTFGVFSNDTKSMNLHEGSDIDLNLAASLKEIYRSHNESQGSSDSTESGNISYSIDQENNANAAAKPNQIPYIIQKALEDVAEAVINHQSANAEYITTSGEARSKELVDALQLLSSNRELFLMLLRDPSSRLLQCLQNLYTSLGGPKLEPAEPDEVTKLQGVTNNIEQSEVSPCKGQRKNSSFLKEDKLVIRKPSQLNDISRGHSTIVILKPSPARNQSSLISSSVTSSPLSNHSNLQGQVESGKPGHHFSLRELRRRLRLATMSSTFQNADPPKQLAAESMSISSESTDSSDCEIAKQPSIDDKETKFGDSGIGMGNDATHGVGSFSYEKARKHLIEKLDNQDEYIYQTLRKSESIGRLISYPDYDTFSPSHLPTEGTTVSQEAADSGLQIIEHDDSSAKLIPPSEYQETVSTDASTSATKQLDELRADVLEEHTISQELINEDVKNMQGAVENLQLCNEVEDSTESFEEINSNQFSSEEPHPMNGLPEVTLHSLEDPVEEQDDRSPSAVVGLAKPAMLAFDCLPENPDDKEAKLSPQSVLDSSLGNSSSPSQKTRKQDELSMPSSKVLFEELDTPSSPTLQNRSETTILCDKYERVSFIKSVLEASELLAKGTSERWYMDVSALETSVLAEIGMSYCLTDDVVLLFDCVEEVLLKISDNFFGGNPWVAFLKQNVRPAPLGAELIQEVAKCIDSIVDAELPKTLDQVVMKDLEVGPWMDLRCDTENVAIEVWDGVLDDLLEEMVFDLWL >cds.KYUSt_chr7.33022 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205964771:205970120:-1 gene:KYUSg_chr7.33022 transcript:KYUSt_chr7.33022 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSPTAAAHAPGRASSIRNRKSPKRFADEPLAVVTKRPRGGNGASASRKKKAIKPNAGKKTRSTTTRRKKDASAAESDECADEPDLDALADDEADELAALEEDAGAGRAATRRVAKRPASKARKWEEGDPEFVGAQVPADVARAKWPKRYQPDGNSTIGEEEEEEVKARCHYEAAKVEEIIYNLGDDVYVRARGKKADYIGRINEIFEGTDHGRYFNCCWFYRPEDTVILTAKLVDDHTHDPKRVFLSDEGNDNPLDCIVSKVKIVQIDPKLDQTATAQLVEDYDLYYDMTYTMAYSTFANAVNALLDLYSGCGGMSTGLCLGAGLAGLKLETVRNMKAEDFLCLLKEWALLCDKYVHGNNTGAAPPTEDEEEGELEKDEFVVDKLTEICYGGADRKSCIYFKVQWKGFGPEEDTWEPIENLWRSQNMLFRLITPLYEENIVAYDETQKPNLERALVLDDAISDLPEVGNDQPSDVMEYLVEPKTEFQRYLRLSRKEMLDCSFGDIAGPVEGKLLDHRPLKLNKDDFERVRRIPYKKGANFRALEGVRVGPNNVVQFDPNIPRVYLESGKPLVPQYAMNFVGGKSLKPFGRLWGDETVSTVVTRAEPHNQAILHPSQARVLTVRENARLQGFPDYYQMDGPIKQRYMQVGNAVAVPVARALGYSLGLAYLRKHDGSGDPLFVLPTNFFNPGQTEAIARASSVGLPAGEVDEE >cds.KYUSt_chr4.14266 pep primary_assembly:MPB_Lper_Kyuss_1697:4:87884185:87886164:-1 gene:KYUSg_chr4.14266 transcript:KYUSt_chr4.14266 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRPRPRLVRARRRRADLLRLNSAAVAATGSGTLDATSTHVSGMCVGAPSTTPTEPVFPTPPVAADCIQDAALREASGLILDAPPRQLIAAPAAALAAVAMLAHMRSDPAIGGRMRRSPRSRPATEASLAVQPTASATSGRLFQPAPPRSLLPVGCCLTPADGQPAALLEGLVAAPLEALIPAEQLHCSLSAHQLVEAVLECPKPCQSVDMQASNSNSSAPTAPSTGPRRLDGLLYDPAVLIEGLGSLLLSTVASGGHDEVPAEDVLPAPSLLQDSLGFGEDVDHVKLAPQLLLASSKDVVSRSVRDTTDVRHVMEVPAEPCGSLSAADVALGDEEGLVHVGRGGRPVGEPSSLLQKDGLERSLAFKRWARGRCFRCLGRDHHVNTCREPFRCIRCRRPGHRERFCRARFPAARSRSPDSCARPSDDCSLCQRSRSPSAQPRRPSMPRSWAEVVCRSSSPVSSHPRPSPRCCEECNVNTSLDSRFQCQFSLLRMELTQLVEEASRPLREEVARLMLLLAHVGDSLERTEAYSSSGKELTTVQASLPLGSVEQMSSVVEEEDIYGCFSPRGSPCQSLLPVVPAASESEGIDGILAPMLQTTPDIGFEKSDVIDATVSLSPESGKHVVPSGDVPGAVVAREVCDFLATLVAAYPGSAVD >cds.KYUSt_chr4.53880 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333359344:333359784:-1 gene:KYUSg_chr4.53880 transcript:KYUSt_chr4.53880 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRSTTLTAAAAALLLLVSLTAAYMSIVSYGERREEEKAREMFVGWKARYNKTYSSIGEEEHRYAVFKVNRRRVGRRHIDADAQRYSYHGLNFFGDFTREELKRRFWDCQMEEGAGRQVRPGRQQRGPGGTRLVDEGRWDGCRT >cds.KYUSt_chr2.46833 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292800292:292801653:1 gene:KYUSg_chr2.46833 transcript:KYUSt_chr2.46833 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRVLERIRVAPAPPTPSAAELPITFFDAAWLFTGPVERLFFYRHPDPRSTLPLLASSLPHALRRFFPLAGTLSLAAGHRFAYAHGDALALVLAESSADEDDFDRLVATGPRDLRKMRALVPGLPPPREDGAFEVAAVQATVFPGRGLCLGVSVHHAACDDASATLFVRTWAAACRLGGLDGADVPPAPVLDRSLVADPDDLLGKTLAGMRVLASGPPPPPPPPAQETEMPAPVIASFPLTRDQIDGIKDAASEPAGTRPRPSSFVAASALAWVCLLRSRAVGVDGAARSHMLFSAECRSRLSPPLPAEYFGNCLRPCFVEAATSELTNGDGGVAAAAAAIGSAIREMERGVLEGAEGWLGKVLSVLPERPMSVGGSPRHGVYEGTDFGWGRPCRVEMVSIEKTPGTVSLAEGPDGEGGVEVEVGVVLPPDAMEAFASCFRDMVRLSGKSV >cds.KYUSt_chr3.31726 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199398142:199403680:1 gene:KYUSg_chr3.31726 transcript:KYUSt_chr3.31726 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDRNPFDEDDVNPFAGGSVPPASNSRMPPLPHEPAGFYNDRGATVDIPLDSSKDLKQKEKELQSKEAGLNKRERELKRREEAAARAGIVIDNKNWPPFMPIIHHDISNDIPIHLQRMQYLAFCSLLGLTLCLFWNIIATTAAWIKGGGVIIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLLYLIHIVFCVWSAVSPPFPFKGKSFAGFLPAIDIIGSNVIVGIFYFVGFGLFCLESLLSIVVIQQVYMYFRGSGKAAEMRQEATRGAMRSAF >cds.KYUSt_chr7.11870 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72879003:72883842:-1 gene:KYUSg_chr7.11870 transcript:KYUSt_chr7.11870 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGGPRGRLLVVPLLVLAAVVLASSPARAFYLPGVAPRDFQKFPLSNSDAGLVLPSVNGNGIAQRREDDDLQVKVNKLSSIKTQLPYDYYFLDYCKPDAIKNSAENLGEVLRGDRIENSVYNFKMRRDESCKVVCRPKLSAEAAKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQAPGFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDLNSPEARIVGFHVIPSSIKHEYGDWDDKNPIVQTCNANTKITPGSHTPQEVAPDAYVVFSYDVTFEASEIIWASRWDVYLLSSDSQIHWFSIINSLMIVLFLSGMIAMIMMRTLYKDIANYNQLDNQEEAQEETGWKLVHGDAFRPPVHSGLLCVYVGTGVQFFGMTVVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGNEWKKITLKTAFMFPGIIFGVFFVLNALIWGEKSSGAVPFGTMFALVLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFSILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILLVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKLVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >cds.KYUSt_chr2.38173 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236327409:236328824:1 gene:KYUSg_chr2.38173 transcript:KYUSt_chr2.38173 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTHAGHHQHALPRRRRLLPAAAAAAALVLLALIILLPTAPPGGPASLLRAAIAAHPSPTSYAHPCADHLSLSLHRLRAALSSLESGDLPGALHLASASLQYQYDCSHLLSLPAFPSYPLTSRFLNSLTPQALTADPKPSSSHSTAAAFPARIRPAATVCKPSPAETPCDFSNVQDAVNAAPNYTDGHFVIAVAAGIYMETVVIPYEKTNILLVGEGMGATVITASRSVGIEGLGTYDTATVAVTGDGFRARDITFENTAGAGAHQAVAFRSDSDRSVLESVELRGHQDTLYARTMRHLYRRCHITGTVDFVFGNAAAMFEECMINTVPRAEGTWKTARNVVAANGRIDPGQTTGFVFRNCTVDGDRDFVALHRSKPQSYRLYLGRPWKEYARTLYVSCYLGKVVRPEGWLPWRGEFALGTLYYGEFDSRGPGANHTSRVGWSSQTPEQHVRFYSVENFIQGHEWIGYQM >cds.KYUSt_chr5.34273 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217345520:217350091:1 gene:KYUSg_chr5.34273 transcript:KYUSt_chr5.34273 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDWRSDSDDDDNFEWESDGDGEPSSTPALRNLDAAGPSTLVRQDSNGWGNGEAPPPSLIEGYVGMGFPEEMVVKAIKDIGHSDANALLELLLTYKTLGDDAAVGNCSTSGFIPQSTEDDDDDLDSEYWEGDDDADGSDGSSDEGFLRELSEMDKKINSLVDMGYPEDEANLAITRCGVDAPLYVLVDSVCASQAAGEYEVTGRCFDSFGGRKKARLMEDSKKKRKRYGGGSQGSRPPLDEESMPLPNPMVGFNLPGDMLRTVSRKLPRQAIGPPYFYFENVALAPRGSWDAFSRHLYDIVPEFLDSKYMCAAARKRGYIHNLPIETRVNLLPPAPKTIFEAFPHYKKWWPSWDNRKHLNCLQTCIASAKLTERIQSALAKASNPPPPSVQKYVMKECRKWNLVWVGKNKVAALDPDEFEYLLGFPRDHTRGIGKTERYKSLGNSFQVDSVAWHLSVLKAMYPNGVNVLSLFTGIGGGEVALHRLGIHMRTVISVEIGEVNRRVLRGWWDQTQTGTLIEIADVQSLTNERVDSYVERFGGFDLVIGGSPCNNLAGSNRHHRDGLDGEHSALFFHYVRILSAVKSAMRRM >cds.KYUSt_chr6.26731 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169443518:169445285:1 gene:KYUSg_chr6.26731 transcript:KYUSt_chr6.26731 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQAHYLYLFLALVVPLLLLKLLRKHGGGDDGVRLPPGPWQLPIFGSLHHLASSPLAHRVMADLARRLDAPLMYLKLGEVSVVVATSPDAAREVMKTHDAVLATRPWNPTMKIMMADGQGVVFGRYGALWRQVRKICILELLSPRRVQSFRGIREEEVGRLVSAVTDLASTGQPVNVSERIAVLITDSAVRTMIGDRFKKREEFLQILEEGVKLVSGFTLGDLFPSSRLAGFISGTARLAHESHRKCFELMDYAIKQHEEQRAAAAAKGAVEEGEDLVEVLLRIQKEGGLEVPLTMGIIKAVILDLFSAGSETSATTLQWAMSELMRYPVVMQKAQAEVRNNLKGKPKVTEDDLAHLKYLRLVIKETLRLHPPAPLLIPREAMESCKILGYDVLKGTTILVNAWAIGRDPKHWADPEEFKPERFDSATVDFKATDFEYIPFGAGRRMCPGIAFGHASMEIVLASLLYHFDWGLPGGMRPSELDMVEEMGITVRRKNDLNLHAIVRVPLT >cds.KYUSt_chr5.22348 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145875739:145878831:1 gene:KYUSg_chr5.22348 transcript:KYUSt_chr5.22348 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCAAGAGDRGVVSLQETRVLPAASAPLEAVGQLRAAVAALNADPPASSSGIIRIEVPIRQRGDAIEWLHAQRQSSLPRCFFSARAPLPDTPALATATADSNNGNGNGCHKEHLEQPVSVAGVGSAVFFRGADPFSLRDWRAIKRFLSRDCPLIRAYGAIRFDATSDSSVEWEDYGAFYFVVPQVEFNELEESSVLATTIAWDDSLSWTWRSAVEELQATLHKISPSSAKVNRSNLQTAIVNLNHVPTKASWDLAVTKALQMIKGRQTELVKVVLARCSRYITDTHIDPLELLACLKVEGQNAYQFCIQPPDAPAFVGNSPEQLFHRKYLNISSEALAGTRARGKTRGDDFQIGQDLLLSTKEDTEFTIVRDSIKKKLEMICNEVVVNPSKALRKLPRVQHLSAQLAARLRNEDDEFDILNALHPSPAVCGLPTEEARQFIRDYEIFDRGMYAGPVGWFGGAESEFAVGIRSALLGKGHSTLVYAGAGIVEGTNPSFEWDELDLKASQVSLSNDMDSVADIYFKC >cds.KYUSt_chr4.4594 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26354317:26365843:-1 gene:KYUSg_chr4.4594 transcript:KYUSt_chr4.4594 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVPREVEKLALHNAGFLAQKRLARGLRLNYTEAVALIAAQILEFVRDGDKTVTDLMDLGKQMLGRRQVLAAVPHLLYTVQVEGTFMDGTKLITVHDPISSDDGNLELALHGSYLPVPSLEKFSGSDDKDSPGEVHFCSGRIILNLHRRALTLKVVNKADRPIQIGSHYHFIEANPYLVFDRQRAYGMRLNIPAGTAVRFEPGDAKRVTLVSIGGHKVIRGGNGIADGAVDSSQLNEVIRRVTENGFGHEDYPDASEGLIGDGTLDCSIDHEKYSSMYGPTTGDKIRLGDTDLFAEIEKDFAVYGDECIFGGGKVLRDGMGQSAGYPASACLDTVVTNAVVIDYTGIYKADIGIRDGLIIAIGKAGNPDVMDGVHSNMIVGVNTEVIAAEGMIVTAGGIDCHVHFICPQLVNEAIASGITTLVGGGTGPAHGTCATTCTPAPSQMKLMLQSTDEFPINVGFTGKGNTAKPDGLSEIIKAGAMGLKLHEDWGSTPAAIDNCLSVGEAFDIQVNIHTDTLNEAGCVEHTIAAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVIIRTWQTANKMKVQRGSLPGSGGSDPPKDNDNFRIRRYIAKYTINPAIVNGFSDFVGSVEVGKLADLVLWKPAFFGAKPELIIKGGAVAWANMGDPNASIPTPEPVMMRPMFGAYGKAGSSNSIAFVSKAAKEAGVASEYKLAKRVEAVGGVRGLTKLDMQLNDALPKINVDPETYTVTADGEVLSCQPAAAVPLSRNYFLF >cds.KYUSt_chr5.12377 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80707065:80707562:1 gene:KYUSg_chr5.12377 transcript:KYUSt_chr5.12377 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGRGAARIRGLLEARDGGLAEGDRPEEISGAARLWVVVDSNTDGYNCKKLRLFFCTRGLGEPIRDVVEFYRISSTVMS >cds.KYUSt_chr1.24526 pep primary_assembly:MPB_Lper_Kyuss_1697:1:146579038:146582951:1 gene:KYUSg_chr1.24526 transcript:KYUSt_chr1.24526 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRSCWGPASSPDGRPRKGVDAAGRQEGLLWYKDAGQLVAGEFSMAVVQANNLLEDHSQVESGPLTTNDSDLQGTFVGVYDGHGGPETARYINDHMFNHLKEFASEQKCMSVEVIRKAFRATEDGFLSLVSSQWSMRPQLAAVGSCCLVGVICAGTLYIANVGDSRAILGRLVKGTGEVIAMQLSAEHNASFEEVRREMQAMHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKRPEFNREPLHSKFRLPETFRRPLLSSDPAITVHPIQLTDQFIIFASDGLWEHLSNQKAVELVHSSPRNGIARKLVKTAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVIRYKVLFLFATSRLIVDM >cds.KYUSt_chr7.25222 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157315638:157319393:-1 gene:KYUSg_chr7.25222 transcript:KYUSt_chr7.25222 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPEQIGLTGIGAGIDCFLQPVERLPIFADAVGENTPGLGSTWSHGMSSHEVESDSSAMADIRWTAEVDFGRRRKGHNSNNHGPADSQESIDGLNPEAPGWIKRTPCATRVGALEQSIRGFAERKSQVVVYPETGMTFDSIGEAYDFYNLYSWEYGFGIRYGKSRLNVERTKCMQEIVCGCSGKPKRTNTRSCRCECPAMIRLLRTDDNGWYISEHRSTHNHSLSKTCGELVHWPSHKHIDIYTKDLVKQLRENNINISKVYNIISSFFGNMENVPFTKRSLRSLCGQSRNQADDDVKKTMEVFAELGAKDPDFCYRVQPDKESRIKNLMWTNGTSRDQYKYFGDVITFDTTYRTNLYDMPFGLFVGVNNHFQSIILGGVLVQDEKVESFEWVFAEFVRMMGGKAPRTILTDQNRAMEIAIRNVLPDTTHRWCKWHVLRKANECLGQLYAKRNEFRSDFHKVVNHMLTEDEFETGWQLLIDKYSVKDNPFLTQIYEVRKKWAKPYFKGVFCAKMTSTQRSESANHMLKSYVPPGCAMHMFVKQYIRLQFDRDTDESYEEKMTKIGGVVLKTKTLLERHASKIYTRAMFTQFGKELYEASAYEVTEAEKDKLYVAMHNQADKREAWCRVSFKVQVVDNGRKFVCECGNFEHTGLLCCHALKVMEYLKVKEIPPDHIVKRWTRDARDVLPEHLQLYQRDKNAARSITFRHSNLYVNAMELVRIGDANVAAYEKAMEILKNGIVTVTPLSLERDGLGLEDREGAKARDRTSEGNAAYHSETESAAPMRLSSLLAPMKNRKAGRPTTSRDKTPYEDISKRSRFCSICRSRERGLMLVVLFSDVVAVDL >cds.KYUSt_chr7.28328 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176871783:176876763:-1 gene:KYUSg_chr7.28328 transcript:KYUSt_chr7.28328 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDLEPLRPGAAALPSSSDPGSPYTPRGNRVRELLRNLDRRLSSRGRHGHADGAAASPSAGEAGTPRREEESDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVHVIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGIDFMGAIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCAIGCAEMMENNRERRIALIAAGSAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYEIKSAAELPLYLILGMLCGVVSVVFRQLVVWFTKTFDLIRKKFGLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAQLINSIIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVLSHSSNKEIFEATSPRHGYSTLLPPADRNETDGRRQDVDDVELAILEDDYYGSNSEEMLLDELKVSRAMTKHFIKVTPTVTIKEATLLMHDKQQGCVLVLDNEDFLEGIVTVGDIRRKGFESSEDANSTGGNSPVLDVNSSLVTSCLTRGFQYHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRGAGRRNDGRRKVLGLLHYESIGRCLREELERWKALYQREFPAASS >cds.KYUSt_chr1.37907 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231513883:231516931:1 gene:KYUSg_chr1.37907 transcript:KYUSt_chr1.37907 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVKYPEKEQVLFSIDLLFLFGLFMGMKLTTKSHSFFLGKMPVVVKNPEEGQVSQFFKDIYETNQYIVNLKNRFPLDTEDSDVHNRLIIHDIIQKMGRKLIHEVRRRYGDPIIDTISQAGKNPTFSDMVESKGKFTLDELHKKTVEELMVEDPKRKVRVPSRFCSFHLAGFDLDEESTAQLGPPYEPSLSSPRTGLTNYVTADAHYKLANYCTDVVSIRVIKAGPRYRYPVKVYGKVIARDQIDYKCVYLFNRERKDAQTITSEMDMLALTGPFRALVTLGFMYFEFDLKVNDEDDPDNEVQFSKGVIPYYCKADRERIILQLPSFQSTVKLVLQHVDLPVAASIEVCVVKQGHNDPLVHFNGKITAGTTRSYRQHTVLYDSSVPSSKGLLRENGALALNRNLVAVKGHVRDPALKEGEKLVLYVCFLDADCEIEDEDEDYPEPEDDDDDDEEEEEEEEEDDDEEGEEEEEEEEDVSKKVVALQCPLREAVCEYHGRKLEVKVNWTAILAIPKDTDFFQRHACLPAGCSFDYRWGHVFE >cds.KYUSt_chr7.31126 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193823594:193826980:1 gene:KYUSg_chr7.31126 transcript:KYUSt_chr7.31126 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRKGGFKKKIKAVAELVGLSSGSSSQTRPPSAPPSPPPRRKNAQPRRLRTPSPSPPPAEDDDEEQWGGEDEEDGEEHGGQDEEAGGEDGGEDGGEDSGEDGGEDGGEDGGEDLEEDEGLGGMPQELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKYGNMQERVVRHFWKYFKRAEGKEIACDVILHELCRVRVTGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQHPPQYVGGRQGVLSCDGHMVDIPRVRPEARGGQAKRSEMGGGSHVLGSKNLALTLQDEAEMEERERRHQEEQMRDAETSLGRTCEMQRQMLRQMQQQQQMFQQMFMNQTVLTSPPGSSGPSTSCPPMFPHFEVEKDKEVEVDKEVEKDKEVEMDKEVVKDKEEAKVDVACGLMGKQENSGKICTIFAVHMHTAKATAHGKGTLCRAHAHGKGCPRCPPDVAVPTFAVHGGREAHGKAKSLPCELEMKRTAKKLARQRGQTHGKGIRTATRPQLTATLRQLGKAFAVRLSC >cds.KYUSt_chr6.11817 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73483087:73487967:-1 gene:KYUSg_chr6.11817 transcript:KYUSt_chr6.11817 gene_biotype:protein_coding transcript_biotype:protein_coding MERSHGGYGVGAGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRMGKQSKKDTGFETSREAFAAHGISFASAVPPNVPSAGNNNMGETPLADALRYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQTILEKAQKNLSYDASGATNLETTRSQLTDFNLALSGFMDDATQACEQNSGDFAKAISEENHRTSNLGFQLYHGVQDGEDVKCTSDEDQLLLDLNIKGGYNHRLSSHGMRRSEVDLMVGQHRR >cds.KYUSt_chr3.5415 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30757435:30761934:-1 gene:KYUSg_chr3.5415 transcript:KYUSt_chr3.5415 gene_biotype:protein_coding transcript_biotype:protein_coding MMYDHLPPVFDLSFSTAPTPPSPPPLCPLPLPLLHDGEADDAIYHHLPSLIPEYDLGGEGDLFKAPQPIIEEPLRTLDSVAAAISITSCYENTMDDTIQVSDMGLSEVMYECEKELMERSVIEETISELLDAIPMLQVGKVPGELRASPLASECSLQKSVSSECLNPADWMNGSVRPNFLDFQGLDFKAAFELRKKWNLGANTPGLVTISDLKTEERKQKLSRYRMKKFKRNFGRKIKYACRKAMADSQPRTRGRFAKMHRGDMLKPSK >cds.KYUSt_chr2.6018 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37462725:37463858:-1 gene:KYUSg_chr2.6018 transcript:KYUSt_chr2.6018 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDDDGAAANGFPRRSLHQWEGHLLHQAGYPCPPDTRPPGGGWRLSRGGVPIPPPPRDDALDAAIEEARLTMTDEERTDPRHHPDNYTRWNSYFLRRWERELAAYDGPPPPPARNNAAGRRRWWSAPGRTLENVLEHIEGGNFPVLTMPPPSRASASRRRGSVWQPRRMAASSSSSGSAPRPSLAPVKREATSPSTPAPARVKKEPSSPPPTRGRSGALVIRDQPSSPQRGRKRKSAKKEDGAAAANAAANRLAEEEAKRAEDAAVAEAIARSLKDLVPADNALPEDAALEWSRRDWERQEAEQRGEADGPGRRAATRRPRRPRRHSNRRRRRRSLPPACDTSIRRRRPIRRPRSVGRRMVQAIPASVGRRRPGQ >cds.KYUSt_chr4.11327 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68862931:68863539:-1 gene:KYUSg_chr4.11327 transcript:KYUSt_chr4.11327 gene_biotype:protein_coding transcript_biotype:protein_coding YVKRSKENKEKNDKERRDEVYKRNYKDYFGFMEGPVREKKEEDLTESEKGILAWLDKNK >cds.KYUSt_chr7.30849 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192117164:192118595:-1 gene:KYUSg_chr7.30849 transcript:KYUSt_chr7.30849 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLTLLTVALCFLAFLLFFERSYNRRSRAQTTIHTIRDPAVAHRALIENADDFANQPASIFPVSLAVCPNGDRNDNITTANHGPHWRALRCNLTAQIIHPSRLCSLAPLMQDAALALVADLSARAARLGAGGEVAIRVPTNTALFGLVTLLCFGDTVDGQNRRALGHVVRDSMDAVGELSPRESRSKVCGGIVHPYVDSLLDLRVPDGDSKNGDVRRALRDGEMVPLVFEFLGAGTGSITTCVEWTLAHLINQPEFQTRLRREIDDVAGGAPLSAKSLRSMPYLNALVLESLRMHPPLSFVFRGAYGEGAKAVGAAAVPADGLRVMFKLGDIGRDKAMWTDPDEFRPERFLEGGEAEHVGPLPGSKEISMMPFGAGHRHCPGVGMAMMLIKCFLATLVREFEWTPSAQDCSRGVDMTEQDGFVKLMKKPLCARVARRT >cds.KYUSt_chr1.21935 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129571419:129576085:1 gene:KYUSg_chr1.21935 transcript:KYUSt_chr1.21935 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRVPFLCVASRERICLRHAPWELGSFQDLGVESSRAEPARGSAREGSRKIEPSQAWLAPNVNLKSRLEARFGGLDRLTSRPPKSPKSPNPLHIVFSFGLLLWSLLSTKPAASQHPHRTGAANPPPLPPATTASISVDLPWIPTSRTKPVDESDEEENSYDKNDIMSEEDGFGDGHIEISDDGNDYRSSLKPALVEALVCASSWIKGAHNDNKVSLGVVPDPPDSKVRRRHWHLNDDDHNEASSSDPIRRLPAEVWASSRCGATIATTMMVIDLLLLSPDGCNDTWDRLLLLC >cds.KYUSt_scaffold_869.958 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:6090616:6090954:1 gene:KYUSg_scaffold_869.958 transcript:KYUSt_scaffold_869.958 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSFHRCPVKGVDEVVVVRAAVSPPPPTVASPKADSLVDLLEVPDLSMPHSSITSSSSFVAEVGEDGIGRCGIKAFRVTTTTR >cds.KYUSt_chr2.49190 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307887968:307889699:1 gene:KYUSg_chr2.49190 transcript:KYUSt_chr2.49190 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYGTVPVKLFIDKVNKKVLFAESDKDFVDILFSFLTLPLGTIVRRLGKQSQLGCLDELYKSVESLSEDHFQTKACKSMLLRPVNAAAFQCDRLKVQIDDENSRRFYVCCASNCKKMTPVKVNYCNCGSGTSSWECPHNVFGTVEGNDDGTFLKSGLKFIVTDDLQVSPASTTLVFSLLDRFGLQEQAKIEEKIFQLNAHMRCTSSRRAMPKKSDFQLLEVLSYDKSSMHYPYKTIKRNCEGDESKLLDEFGLLATGYEASESCIGDSRCT >cds.KYUSt_chr1.25864 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155603976:155608355:-1 gene:KYUSg_chr1.25864 transcript:KYUSt_chr1.25864 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMENVIELVNRIQRACTVLGDHGGDGAAASLPALWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTNEGQEDYAQFLHNPKRRFTDFALVRKEIEDETDRLTGRTKQISPVPIHLSIYSPKVVNLTLIDLPGLTKVAIDGQPESIAQDIENMVHLYVDKPNCIILAISPANQDIATSDAIKLARDVDPTGERTFGVLTKLDLMDKGTDALDVLEGRAYRLQHPWVGIVNRSQADINKNVDMILARRKEQEFFESSPEYAHLASRMGSEYLAKLLSQQLEAVIRARIPSITSLINKTIDDLESEMNHLGRPIGSDAGAQIYLILELCRAFDKIFKEHLDGGRSGGDQIYGVFDNQLPAALLKLPFDRYLSLQNVKRVVSQADGYQPHLIAPEQGYRRLIESGLNYFRGPAEASVDAVHNVLKELVRKSIGETEELRRFPTLQRELAAACYHSLERFREDGRKTTVRLVDMEATYLTVEFFRKLPQEVDRAGTENPPSPSSDRYTDAHFRRIASNVSSYIGMVSDTLKNTIPKAVVHCQVREAKRSLLNNFYTQLGGKDATQLSLLLDEDPTLMDRRQQCFKRLELYKSARDEIDAVSWSR >cds.KYUSt_chr4.34305 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210508170:210509283:-1 gene:KYUSg_chr4.34305 transcript:KYUSt_chr4.34305 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKELLCSLWMLNEYELFVALTRKKIVQKMRAVLYFPRYESAKKAPTKAMEPKVQIKRKGGVKLDGTRAKEAVRAVEAPGVVRK >cds.KYUSt_contig_7440.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001529.1:27420:30627:-1 gene:KYUSg_contig_7440.5 transcript:KYUSt_contig_7440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGRADAARDEYVVDVEDTARPLAAAEKRQWAAHCIYRVPARIKNLEAGPYAYTPNTVSIGPFHHGELELLPMEGHKDRALQHVLRRAGKKKGDFIAALQEVAGELENAYVDLGQDWRHDRGRFLEVMVTDGCFLLEIMRTAAGENVQDYDDNDPIFGPHGQQYTVPCIREDMHKIENQLPLLVLKRIIDVEPENMSDTELNMMVLKFMSSSDSFPTKAAGKKLGLHPLDIFRQSRLIHSYMEVEPRSLDIEYSTPESNDGVSSRFSTVKLYKAGIKFAKSSPRILENIKFDPKRGLLTIPYLEVYDTTENMFLNMMAFEYLHVTATYGVTRYVLFMEEIVKSAKDVKRLRKEGVVRNNIGPDEEVARMFNRLTKNVIDDPLDDVLKQMDDYLGHLLRRQINKCWVHFVRTYFHKPLRTFSLLAAIVLLMLTVVQTVCAIMSVH >cds.KYUSt_chr4.51885 pep primary_assembly:MPB_Lper_Kyuss_1697:4:322165051:322170992:-1 gene:KYUSg_chr4.51885 transcript:KYUSt_chr4.51885 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRFSPRAQTGEKLFLVLEFCDGGDLEAYRQAHGGARNRLPEAVARDFTRQLAEGLKVLRGQRIVHRDLKPQNLLLSTNGDAITLKIGDFGFARSLMHENLAATFCGSPYYMAPEIWRGDKYDAKADLWSVGVILFQLVTGELPFLGENRVQLREKVLTSNGLSFPPDMEADLHPDFIDLCRRLICLDPAERMPFEEFFNHKFLATSRESEIISESHHDLDLKDTCQTVSTAIIKVNSETADPKVFDSWEWIEREYVLVHANTTSVEMLSLLEKSMKDFTGARSRGDDRSTCKESVQNQNRSSLCRVVTMKNHGCTPQSASHESISMENLRGRPLDCYTRLQLLNQYIVILTELAQEKLLKGLDLEALSLELVILAVWKEALNASSLLVDASDDGQFSTSADKNFLPKSEDRLSPNVAQGLDFTRLASVCYWAESGFIKAYDRAEKISHRLRENNDNTEMPDAMEIIFQNALVYGRGGAEQIFVATHLKVLNFALNCVECYS >cds.KYUSt_chr4.45098 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279279764:279280684:-1 gene:KYUSg_chr4.45098 transcript:KYUSt_chr4.45098 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKGVEARRRRIAGAADRRVRAEGLQELRVPQPSIRSSGRGTPNTTGGSFFRTLLASDSTRKPQLDCSSLIPQRPSNLLDAVRNKLEELHSQRSKMGLQVGVPNGGTVRGIPANSRWGRWQHRCTRRRMAHNLSPAPSLGRSRRTNSRRAALRGRRGAGRRVTAAVALPMTRTTAANATEGFPTGADMVATLKAVEAPPASPPLEGEAPLQSFPPRRWRRQGSARATTHMLPALLFTWAHVSSRGAWGEAQGSSGSCVFVAGAGGFGSRRGAASTRRRRPSARTGRAEGHQAAAADEEEQGARQP >cds.KYUSt_contig_973.502 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:3669853:3670698:1 gene:KYUSg_contig_973.502 transcript:KYUSt_contig_973.502 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIAGAPGAGRRDVVAAIAARTALVASADRRLSLHGVVAVVGGMQPAMEVARVAELFAARFCAPPSCVEATVHEPGKFLLYFADPATRRAALAVQGPVVMGGASFLLTPWDRLRRAMPALLQYKVRVCIEGVPEHARDIISLAPIFAGEALIDSVDEMVLCEQETACFCLWVWMENVERLAIGGVLKLEEPIKIESPLVHYPELGIYADIPSRSGPVSVFEHEVLIHLDKVVDHSTRSEGTPDSHRSYHGDVSGIPSETSSGSDGVVTWSYRWTLGQED >cds.KYUSt_chr7.33542 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209221431:209222569:1 gene:KYUSg_chr7.33542 transcript:KYUSt_chr7.33542 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAEPFVGRPRRRGGGKVRLLRIIDVSKLLAGRGGEEELSYVVIIFSSPWRVYLYCFCGGGSTSKLLLSAGHGGEGEDGNDDAATTSLKRRIKCVPWEAIFLSRSKATLWPIQVPAMDSGDSTSVVRFFLRVAAAYYGCVEASGFVPASSHDGGVAALWLDDGEREGPDCFSSSFSESLHSRVHRSMIWHIDCVLFTGYIHLIDCCAPTWIKSS >cds.KYUSt_chr4.9921 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59989225:59995211:1 gene:KYUSg_chr4.9921 transcript:KYUSt_chr4.9921 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSALTYITILILLFLPMSAPDDRLAPGKPLSPGMTIVSDGGAFTLGFFSPANSTLANLYLGIWYSAIPELTVVWVANRETPIRNDTSSQPTLYLTNTSNLVLADGDGGHIIWTTNLTTTTSSSSSLLPMAVLLNTGSFILRSPNGTTLWQSFEHPTDTFLPGMRIRANYKTHARDILVSWKRPGDPSPGSFSYSSDPGSLLQFFLWNGSRPVYRSAPWTGYQVSSQYQANNSNLVYQSIINNDEEIYLTYNLADGAAQTRYVLTYSGKFQLQSWNKSLSVWAVLGEWPNWECDRYGYCGPYGYCDNSEVVPTCKCLQGFQPTSMGEWSSGRFSEGCQRKKALHCGDGFLALPAMKVPDGFVHVLDRSMEECAAECARNCSCVAYAQVNLSTSATGDSTRCFVWAGDLIDTEKKIDGNAAGSETLHLRIAGLVDSEVTILREFLRFTNHNYLKNEVPVVSGVKISSTGHIMEFENLISYAWNLWKERKAMDLVDPDIVKSCIPDEALLCVQIGLLCVQDNPNDRPLMSSVVLILENGSAAIPIPSQPAYFAHTDEKTELVRGSTENSKNGLTITVLQGR >cds.KYUSt_chr4.54650 pep primary_assembly:MPB_Lper_Kyuss_1697:4:337572998:337573303:-1 gene:KYUSg_chr4.54650 transcript:KYUSt_chr4.54650 gene_biotype:protein_coding transcript_biotype:protein_coding MKENLNKHVCLMYRSWEKSSGLSNQSVVAGSKKTVAGVVVDEVTEGQGMVAQGLDYVRICRSGRRPRGVVRDLIGKTADAADVSLAGSGHASSRLGPTFGP >cds.KYUSt_chr4.28627 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179930977:179931324:1 gene:KYUSg_chr4.28627 transcript:KYUSt_chr4.28627 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEVEVAMVMKVLLSLCCVGACCLALYLYYNVWVVPQRLLTGFRRQGIGGTRPSFPYGNLADMREAVAAAKLARQDGRGGGGIVHDYRPAVLPFYEKWRKEHGMYLSMAFRILY >cds.KYUSt_chr3.48172 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301447687:301448910:1 gene:KYUSg_chr3.48172 transcript:KYUSt_chr3.48172 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTSNAEVMSMDLSPKMPAKAYGGEGGAYFDWSPADLPMLGAASIGAAKLHLAAGGLSLPSYSDSAKVAYVLQGAGACGLVLPEAAKEKVIPVKAGDALALPFGAVTWWFNAQDSSTELVVLFLGDTSKGHTPGKFTNFQLTGATGIFTGFSTEFVARAWDLDQDSAAKIVSTQPGSGIVKVAAGHKMPVPRAEDRVGMVLNCFEAPLDVDIPGGGRVVVLNTANLPLVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGIDGTRVLETRAEGGCLFIVPRFFVVSKIADETGMEWFSIITTPNPIFSHLAGKTSVWKAISPAVLESAFNTTPEMEKMFRSKRLDSEIFFAPS >cds.KYUSt_chr3.42952 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271448729:271449748:-1 gene:KYUSg_chr3.42952 transcript:KYUSt_chr3.42952 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVQRQKLSDADAGGEDCLSALPDDLLIHILVLLDDAAEAARTSVLASRWRRLWLLLPELNLNSIEHHRIGAVLAAHEAPDLTRLIARTKDASPESLSAWLPLAARVLSGHMRLELEEVQRGAEERGAAVDLPCFHKATSIVLNLGLLDLALPPNGVFARLQELQLIGIRLHGQSGFGDLLSSQRCPYLWFLRVSDARGLDSLNIQSDSLMCMELLDLHRLPQLTVVAPELRKLQLSTSMDPRNTELAVANISAPLLMSLEWMDVYYPSSIQFDAMAHLESLGIHFYILDEEEEEAFEHNHYWLTFLQRYEHIRSLDLMISYPSVSPSSCHHCSVSI >cds.KYUSt_chr7.7734 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46620991:46621663:1 gene:KYUSg_chr7.7734 transcript:KYUSt_chr7.7734 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPPADVVAPPAPAAAGGAAAAVNAAERPPGYKWRRVLSWGGLTPVLLCIAVMVSAFALLASMFSPAELAYVVPALTLLMGCVIAQVGQAGAALIVTPPSTIKGYLAGFANLLGLVTLAHLLAIARSCFTGKFCKVEYSFDVIFANAFLLAFIFCISVLRVCAL >cds.KYUSt_chr3.15021 pep primary_assembly:MPB_Lper_Kyuss_1697:3:91537424:91537633:1 gene:KYUSg_chr3.15021 transcript:KYUSt_chr3.15021 gene_biotype:protein_coding transcript_biotype:protein_coding MITAPVMKIMPEILELCGGVLTPPSVEEVRSDSHVSSDVASPTCLGFEKCDVVDAVSLSLDSDGHDGSY >cds.KYUSt_chr3.29821 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186563445:186564130:1 gene:KYUSg_chr3.29821 transcript:KYUSt_chr3.29821 gene_biotype:protein_coding transcript_biotype:protein_coding MMASPAFLLLLLAASIRGSAAAWCVCRADANETALQETLDYACGQGADCTPVATGGSCHSPDSVVAHCSYAANSYYQRSSQTKGATCDFGGTATLTTTDPSTGTCKYPASASSAGTSTGNGTAPGAGAGVGTNPSSPGGATNPATPGMGGTFTTPIGGASGPSASIIGPESSDTPAATAASIGLRVLLGVASVLAFFVQ >cds.KYUSt_chr3.42075 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265756138:265758408:1 gene:KYUSg_chr3.42075 transcript:KYUSt_chr3.42075 gene_biotype:protein_coding transcript_biotype:protein_coding MLWMLVETRLTNLSTDMECNRDDAIRSKEVAERKFKENDFAGAKKFALKAKALFELEGIDQMILALDVHLKAQTKFEGEHDWYGILEVSTFADEETIRKQYKKLALQTHPDKNRFIGADGAFKLISDAWNALSDKNKRILHDHRRHMSSVGVHQNNSQANVQSTSSSSMPSMNGFCRQNTGPASPAYVPCHNIPMASTFWTFCNSCGMSFQYPSKYFKVFMKCPVCPNVFEAVEVPPPPTPIYANEPRTMDTSTSMGGAAVPDKAMPRKGVASGSQNRDPMLQRCSSTKSAAGAHTSSHKVQQTHGTVPGSSFGSSVPATSVLKRKVAEAKGKEAAKKRYKKVVSQSTSSGLDGDSSSQTHPAKRKARSTDRASGTKRRKEISNCLNDEAIGTSLIKGMEQLDMRGILIDKMKLQYRDKLEEFNRRKANVENQQNRQTSQKIKQGVGCSTAVDTKKIKRTRSSNSVHPEEDNGKGLANKKVVLEGKEKAQNSKCAGSGELESREWKKPEIRFVYTRRSREEQKPSPDEMLVPDADFRSFGDHPASSFRKDQVWATYDEEDGMPRYYALIRKVHSSHPFNVRLAFLKADDCDEFGNSDWLSCGYSKTCGDFRPGASKDIDQLNTFSHVVAWEKGPGRIIRIFPKKGDIWALYQNWSADWDELTPDETMYKYELVQVLDSYSPGEGISVMPLEKVPGFVSVFKPLVDPTKSRRIRKEEMLRFSHQVPFHVLTGEEADNSPKGCYELDPGSTPKELLHK >cds.KYUSt_chr1.11468 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70315307:70319980:-1 gene:KYUSg_chr1.11468 transcript:KYUSt_chr1.11468 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPDAGDYTIFMGLDKHENEDLIKYGFPEDIWFHVDKMSSAHVYLRLNKGQTMDSISEGVLEDCAQLVKANSIQGNKVNNVDVVYTPWYNLKKTPSMDVGQVGFHNSKLVRTVQVEKRLNEVVNRLNKTKVERTPDLKAEREAVSAAEKAERKVQLRDKKRKEEMERMEKEKQAEIRSYKGLMVQDKMTSNKQIASGSKTLQELEEDFM >cds.KYUSt_chr2.30023 pep primary_assembly:MPB_Lper_Kyuss_1697:2:184905752:184906122:1 gene:KYUSg_chr2.30023 transcript:KYUSt_chr2.30023 gene_biotype:protein_coding transcript_biotype:protein_coding MARATTLVLVAALAVLLILPSGPARAAAARTAPADVAAATTKASANEKVAAAEDHECETVAGEQQREDCLARRTLAAHTDYIYTQDNGHN >cds.KYUSt_chr3.40356 pep primary_assembly:MPB_Lper_Kyuss_1697:3:254176050:254177195:-1 gene:KYUSg_chr3.40356 transcript:KYUSt_chr3.40356 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQGILGVPGHLPAPLRLSRRQCRVSAVAVTAAPPSLAQRGVTHSMPPEKQEVFRSLQGWAAGSLLPLLKPVDDCWQPTDFLPDSSSDAFEHEVRELRSRAAALPDDYFVVLVGDMVTEEALPTYQTMINTLDGVRDETGASACPWAVWTRAWTAEENRHGDVLNKYMYLSGRVDMRAVETTIQHLIGSGMDPRTENNPYLGFVYTSFQERATAVSHGNTARLARARGDGVLARVCGTIAADEKRHETAYARIVDQLLRLDPDGAVLAVADMMRKRITMPAHLMHDGRDVDLFDHFASVAQRLGVYTARDYADIVEHLVKRWKLDTLEAGLSGEGRRARDFVCGLAPRMRRAAERAADRAKKDEPTKVKFSWIYDREVVL >cds.KYUSt_chr4.5636 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32656128:32656949:1 gene:KYUSg_chr4.5636 transcript:KYUSt_chr4.5636 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALLPEGATALEIHSDADRPELVNSFAGLGVAPAATAEHLVFRRSLRRRRGRPERERVTMRMNMPEDAIYEQRFAYAHITPATAPCRAEPGAFVRLVFRTLALDLPQTFELFPTDGDGDVALRFRTPAEREAAMCRQPFELDGATVRLSRDEDEIEVPDVPDHRHRYMVHAALHEYPIEQRTAKDIEKHCRRFGILREIDPACFARPDLATVRVILQLEHPRETPHEIVIEYFDGTTSRIPVTICRVWDCSESYDATGRYVPLFQDPAAAA >cds.KYUSt_chr6.2683 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15678311:15681500:1 gene:KYUSg_chr6.2683 transcript:KYUSt_chr6.2683 gene_biotype:protein_coding transcript_biotype:protein_coding MADPITAAVAVGWGLKAAGWIASPIMSEIFKKGSSFLGFDASKKLEELEPKVLLLERVMEAVEESPDRPRLEQLFKDLKTAFYEAEDILDDVEYHRLKKQIQDGKLKSDGDVPIRKRDWVKKKLRSAIPSSPLKDQESGMSKSQLKNNFDKIEKVINDACEILERLNLPPVTDYNWRQVVPPNSRSAVTTAAPPLKVIGRDEDRDKIIAMLHDKECDGHESTNIGLCYSVIGIHGIAGSGKSTLAQYICDREKKDKEEEKVGHFDLVIWIHVSQKFDLQAIFTEVLEGATGRPSSEFKNRNTLRENLVKELRGKLILLVLDDVWYNIRDAGHHGELEQVLSPLEVAKTGTKILVTSRSKDALVAMGAVGERCIPISDLNYDVFLQMFMHYALRVAVVPGHDGIKLQMLGDEIAKKLNRSPLAARTVGAQLCLRPNVEFWRRTRDRDLLNETMGALWWSYQHLDEQVRRCFAYCSIYPRRRRLKRNDLVQLWMAEGFIKTTNAEEEPDGVGQDYFDELLSASFLQLAERKMEHGCEVDYFTVHDLLRDLADEAAIGDCFKIEEGFIEVPPDVRHIFVGSCDRKMITEQIFPLQNLRTLIMDHPLQIELSDEKLLESMFTRLQNLRVLVLRLNGLLVGGIFSLPASIGLLKHLRYFYIEMDIKMKLILPDSITKLYHIQLLHVFGPKRTNFSRAEHASQLINLRHVSRRVDFPNIGRLKWLKILEGFSVKNKLGYEIRQLKQLNKLEGSLIITGLENVRGKEEATEASVAQKERVTELAFSWDNDRICSPEVEAEVLEGLCPSKYLERLEIRNYQGSTYPNWMVSKHNGGPEHLRNLWLDNCSRLEPAPEVFEVFVHLRWFRLWHSNWDALPDNIEQLTLLQLLDITRCPNIRLLPALPQSLEKFHLRASNEEFTRSCLTTGDPNWQKIQHIPMKTIRRD >cds.KYUSt_chr6.25736 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163025709:163026365:-1 gene:KYUSg_chr6.25736 transcript:KYUSt_chr6.25736 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKCAGAGAITRCELCGGVAAVHCEADSAFLCAACDAKVHGANFLASRHLRRRLVLAPNDDDSEPGSATDLDSDSSSSCVSTADSCAPARRAGRRKMTTGGRSRNRRARAEAVLEGWAKRMGLARGPARRRAARAARALRALGRGVSASRVPLRVAMAAALWAEVAGAGCGDAALLRRLEASAHVPARMLVAVASWMARAAGRAAAPAEEGWAECS >cds.KYUSt_chr5.28728 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181993208:181995918:-1 gene:KYUSg_chr5.28728 transcript:KYUSt_chr5.28728 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDDASYLLCAEDAGGAFFFADVAASTCTTATDDDWCSRAEDEESAAASFVAELIGGEADYSPRSDYPDRLRSRCIDPTARADSVAWILKVQEYYGFLPLTAYLAVNYMDRFLSLHRLPQEDGWAMQLLAVTCLSLAAKMEETLVPSLLDLQIESTRYIFEPRTILRMELLVLTALNWRLRSVTPFMFIDFFVCKVDPRGKNTRYLIGRATQMILAAMHDIEFLDHCPSSMAAAAVLCATGEMPSFALVNPRLAVNWCIGLAEEGVRSCYKLMQQLVSGKRTATAAAVAVNLCSDEVLSSNSSSCTTPPPPKRRKRSPPPVT >cds.KYUSt_chr1.4989 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30691185:30691448:-1 gene:KYUSg_chr1.4989 transcript:KYUSt_chr1.4989 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGKEELERREHLIRDDSIVIRCDVGVTEVVNSWLALDELNAWEDGEESEEEGYEAPGGYGAPRRRNRRKRRADDKEYVKWCLAQR >cds.KYUSt_chr2.41110 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255507162:255511472:1 gene:KYUSg_chr2.41110 transcript:KYUSt_chr2.41110 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGPQPPTPSLLLPESSGEDGGGNGAAAHDHDSSSRASAPKKRAETWVQDETLCLIALRREMDDHFNTSKSNKHLWEAISAKMREQGFDRSPSMCTDKWRNLLKEFKKARSHARSSAAAGGNGSAKMAYYKEIDDLLKRRGKAAGSPGGSGGVAKSPTPTTKIESYLQFADKGFEDANIPYGPVEVEHPLSLTAADAVATNGVNPWNWRDTSTNGGDNQGTYGGRVILVKWGDYTKRIGIDGTAEAIKEAIKSAFGLRTRRAFWLEDEDEVVRSLDRDMPVGIYALHLDNGITIKICTFEDAERMTVRTEDKTFYTEDDFRDFLSRRGWTLLREYSGYRVADNLDDLRPGVIYQGLRSLVD >cds.KYUSt_chr1.25088 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150402572:150403821:-1 gene:KYUSg_chr1.25088 transcript:KYUSt_chr1.25088 gene_biotype:protein_coding transcript_biotype:protein_coding MTALFSKAVALAALSSLLLVSYAAGARPGNFSASDFTADPNWEAARATWYGAPTGAGPMDDGGACGFKNTNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCTNDPSCSGKPETVVITDMNYYPVSKYHFDLSGTAFGAMAKPGLNDKLRHSGIIDIQFKRVPCEFPGLKVTFHVEHGSNAVYFAVLVEYEDGDGDVVQVDLMEANARSWTPMRESWGSIWRIDSNHRLQAPFSMRITNESGKQLVADKIIPANWAPSASYRSIVQFS >cds.KYUSt_chr5.28727 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181982418:181986775:-1 gene:KYUSg_chr5.28727 transcript:KYUSt_chr5.28727 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTARHIKLNVDASFHEDAKAGAIGAVLRDYKGEFVAASTLYLSNVASVVMAEAIAMTEGLSPAILMGCNRIMAESDSAEVIETCTGTEGWWSEPSAIYADCIDKVASIGRRCGDRLSSPFWLAGSSSFSAAAARPSSHPQLLTGACVHLSPAPHASDDELLFHLMMQEEADAAADQRILTCLKALQVELNVSEPCHRGMVENRTDNANDMVEQEESMGSAPGQVFSGRNPKRLRSKVWDDFTPIYINGKVARAECMHCHQVFNNNGTSKLLKHQAKCSPSAQKRPVQPKLPFLLTSQNKSPDKTDVLPKKKARVLPGILTGTNMESQKVDQNVYHQELATHEQKNLASFDSPTDKDQKNQSHVQLTVPEQDIPTNINQNNPKVDQSEPYEELVRIFSVHGHLPSVGMDDRFSKFVACLNPVVKMPTGMYMHFRSLFEEEKTKLKEKLAALRCQVCLHAHVWHYDLVSPFLCLTVHYIDDEWKKQKHIIKFRSVDPSCNAEQLSQAILSAIGDWGLRDKVFSITLDDAFLDDSVASAFKASLQDWNLRLANLRSTMSANQSSSMSANRSFFVIRYATHLVNQVIQVGKDELHKVMEKSTKFSKYTKGHIPSVVLFPNRAYAPSPEDWSNHAEN >cds.KYUSt_chr1.26008 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156467168:156468865:-1 gene:KYUSg_chr1.26008 transcript:KYUSt_chr1.26008 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPGFRFHPTDDELVGYYLKRRVDNLKIELEVIPVIDLYKFEPWELPEKSFLPKRDLEWFFFVPRDRKYPNGSRTNRATTTGYWKATGKDRKVSCDGGAVCGVRKTLVFYKGRAPGGERTDWVMHEYRLCQDLLHGASNFIGAYALCRVSKRTEAGLLHGGDTAAAPAKAKGHQQQMRKVGSSSSLVTTDHQLSCNASSFTPSPPRLELEIVGNAFQLQSSPSPLFGGEVVSDMAAPLSFPQQDASAFFIGGDLAGAAADDSHMPFFGDMGMIPGHELRWDTLPPYANTLSTAAATGAAELWNPAPPNAAAPLLCRETSDDLTAWFTSLDENMVVY >cds.KYUSt_chr5.15928 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102638224:102640406:1 gene:KYUSg_chr5.15928 transcript:KYUSt_chr5.15928 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQRYVPGVDGGGTPMPFTAIFQPPLLGRHMSGGTGDPTWYSAHAFFTVRLPRPKPFAAAILREDDDIAWNDEDKIWGRRREDLGAARDWDELQSLLMYNGGSGWLHAIKPVRTATRPCTARRVLALLGKTETPLTSLEQRRLTNSSKLLLLKENIAKELEKTELEIDSLECDLKLVTTEPENRPLENAQNPLPSSGTPKVPVKPETCETSSPLKEQGELSPCKISMEVETAPVHNVMAVSPEGSVARPEQ >cds.KYUSt_chr5.2821 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18602445:18603092:1 gene:KYUSg_chr5.2821 transcript:KYUSt_chr5.2821 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHQDTEQSVVHRDVKPSNIMLNASLKAKLGDFGLARFVCDGRGSLTTGAAGTLGYMDPKCVYSGKATMESDVYNFGVVLLEIACCRRPAVARDDDEGAVIHLVQWVWEVYGQGAILEAADVRLDGKFVEQEMERVMMVGLWCGHPDPSLRPSIRQAISVLRLETPLPSLPAKMPVPAYMRPPLGDDSFGSPGTTGHISSWDASTTHSTRNKVE >cds.KYUSt_scaffold_1700.674 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:4264123:4266595:-1 gene:KYUSg_scaffold_1700.674 transcript:KYUSt_scaffold_1700.674 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIPTLFLAASAGQEGKDQQHAIRETPGWMVETLMEDEGELRHGSSTDLLPPRKPRRARDHARDGGPPAAAAALISRLRRAPSPPRPDPDPSRRPLPAHPDIRRVRRRVAADALAAMLPAPPAQARRRDLPPPAAPSRPRTSLPAAAPRRSSRLPPAGRFRVENSGALVSPADVHAPAAPCRPLGSSAGAISGLLPPSSASLPPGGLPSAALASAVLGAGEAVKMLEAASATAAPAAVQHLDSAAPATPTLSTVPAPAPSSPELSATPQPALWVSFADDAGDSDVGSDEVLAPQTPPDVTKSYCGADVPCSADGDGVAGIGTLPLAAMQWPPSWVSGADNIDEDGEEELVPRTPPATKTFDLADIMEVDCAAGEHDGWHEVLPRRGPRRPTLSGPEIARRPVPAWLKGRCCRCLAPGHRAAICCNPFRCSRCLENGHRARDCRNAWRPLSFLEGHVVSPPRQANAPHGAQVEVPLPSVVHRHRSWASVAVAPVSSLASVDMRSALEVQAGLIQEAVRPLHEAVDSLLGWMLAIGGFLERAEAVLDRLSRSPADPLVLPVVDKVGATGTSLHGCFSPRARASSVITAPVMKIMPEILELCGGVLTPPSVEEVRPGSHVSSDVASPTCLGFEKCDVADGSY >cds.KYUSt_chr6.8587 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52799194:52799940:-1 gene:KYUSg_chr6.8587 transcript:KYUSt_chr6.8587 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSVALKVQDRYATEEYDRVVLPHELHALRPGWRRSPQLNAVTTLLMHADGAVEAVAHAMAPGGATWRVKENAAAVLNLASVHTYRRGLGRNASAVEKLAQLARADQASMRKDALAVLLSSPFRSVRSLARNLSTTLASITSREMSSAAVREPTALRTASDALARDLSGSRWAPALARSALATRSTMTGLRVTRSSNQQVRRMLRLLHLVSHENRNAELSGEELVGVASPGTSLGTLRIGVAYGLY >cds.KYUSt_chr6.11473 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71175620:71179813:-1 gene:KYUSg_chr6.11473 transcript:KYUSt_chr6.11473 gene_biotype:protein_coding transcript_biotype:protein_coding METVQEDVEEYSWREVVLPRLIPVVQEPAPELDRETGERRRGRDLLVAVDFGPNSKHAFDWALVHLARIADTVHLVHAVSSVHNDLVYDKSQELMDNLAVEAFKVSLVHTKARIVAGDPGTVICREAERLKPAAVILGTRGRGLVQREVSVSIASTTVKQHQLSLSQAKGSVSEYCFNTVKHHQLSLSQAKVSSSS >cds.KYUSt_chr6.33011 pep primary_assembly:MPB_Lper_Kyuss_1697:6:207899019:207901665:1 gene:KYUSg_chr6.33011 transcript:KYUSt_chr6.33011 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEDQLAKKVNGLTELPGKIKRIEKELRMMNSVIQDLGAIYLSNNVIKEWIAGVRNLAYHVEDVIDKYSYEALKVSEDANLYRYALGGSRNIMVFTRIVDEVEGIEMEIRQVKELQAYWSNAVEPIYWAPEGGSFPEFVRDEDLVGIDENRNKLTEWLSTNEKECTVIAISGMGGLGKTTLVQTVYDREKANFPGAHAWLVVSQSYDVLDLLVRLLAEIGHAPPAGAKPDVFELTKAIQKTLEDRKCLIVLDDVWDKEAYTEMCSSFQGLQGSRVIITTRKEDVAALAHKGRRMKLQPLGKDESFKLFCSRAFHKKSQDRKCPPELQTMAAAVAERCHGLPLAIVACGSLLSTKQPIEHAWNQMYNNLWSQLRKNKQLQAIVLLSYHDLPGNLKNCFLYCTLFAEDYPMSRETLVRMWVAEGFAVKKDYSTAEEVAEENLMELIGRNMLEVVERDELSRVTTCKMHDVVRVLALDIAKEERFGYANDEGDMMLMDSEVRRFSTCGWKTESSSSKTLQSASWPASIGNLLSLRYIGLRRTNIQALPDSIEKLSILETLDMKQTKIEKLPPGIVKLEKLRHLFADRFADEKQTDFRYFVAVEAPKMISNCQDLQTLETVSASKELSQQLREMTKLQTVWIDNINASNCEELFDALSHMPLLSSVLLSASDEKETLSLEAFKPISTRFHRLIIRGSWARGILKCPIFHGHARSLKYLALSWCSLGTEGPLQLLPFQLPALTYLSLNHVSSAAVLVLSTGCFAQLKLLVLKKMPNVKQLVIQDNAIPSIDGIYVVSLPEMNIVPHGIEYLGSLKKLWLLDLHKDFKADWSLNQMHSKLKHVPELRL >cds.KYUSt_chr6.29155 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184727270:184738388:-1 gene:KYUSg_chr6.29155 transcript:KYUSt_chr6.29155 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGPASRSNGGCIDLSTSGLLAHGAGSSVVVSDPRSMQLLCVLPMPSSAASSLASFVTAVRWAPAGSLAAGLADDADDHRPLRLAAGDRHGRIAVWDARARAVLHLLSLDESRSVAPGTSGGVQDLCWIHHASGWLLASIHGPSLLCIWETGANPRVLWMFDASPEYLSCIRRDPFDDRHLCALGLRGFLLSAVPRYDSDISLKEHRIVCGAGDVAELQRLEKEMAAPAPAPALAAFPLFSARLCFSPQWRHILYATYPRELVVFDLNYSTALSVASLPRGFGKFSDVMADPDLDLLYCTHADGKLSIWKRKEGEQVHLLQAVEELMPSIGTVVPPPAVLATTVWQSESIFRNIDKLSQDLAQTQSSHSVISDTNSDKNVYQGAMSYITSISEDGKIWSWHLTFDDKSADSKKINLGANQYSQYSRNPIAAPTVKSTDDSVSVTNVGKEPVRFLPTVMIVNFLTGLTGTDPLANAILHPQSPGTLVLELDWLSTRTRKDEPLVLCIAGADSSFRLIEVNMDTKINSGSKPLITKERFRPMPLCLPILFPTSHALALRMILQLGVKPSWFECNNTDKVGSNSFKAAPATFGDLRTCMIETALPPIGDSVVPELLLKVLEPYRKEGRIASRERSTAGNVAKDTEVIFESGVQSFPASLDIFDFGEISAVQNYGQLCTMAFKQDQLWFNANERIPWHDKLDGEDALQKRIHELVSLGNLEAAVSLLLSTPPEGSNFYPNALRAVVLSSAVSQSLHELAVKLQDAGCWTDAATLAATHLHGSDYARVLQRWAGYVLRGEHNMWRALILYVAAGALPEALETLRKNQRPDTAALFLLACHEIYSQITAESEPADETSGSAPERTQKLRFPSKNVNKEDLIAVSEVFGQYQQKLVHLCMDMEPTVN >cds.KYUSt_chr5.32209 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204360392:204362245:-1 gene:KYUSg_chr5.32209 transcript:KYUSt_chr5.32209 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPARGVRWLVSADQVSRKHLPCLRRQTWFAKGLFPHLVLQSWASTTRPYRPKDRRKPKIITISDPAPCDKEGSDFAHPTPAFPKTLSKSPAATVVHAQRRFAMARRLLSLRPQLVDLPPRLLHSRQYMSAMRRSAFLDRLLRSLRSEISSCRAEPAPPLPPSVAQFTVDDRPGEQWVRLRRAFSASKGGDQEEVKVDATLVDGAVAPTRSGAVAEDGGPSRMHISVHVEVSKPARPDLALSFECSAWPEEMDVERVFPVRRSGPTPEQQYMGCAFRELDDEMQSAMRDYLEQRGVNDELAAFLHTYMENKEQREIVRWLKNVECYLKK >cds.KYUSt_chr5.18932 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122515035:122516165:1 gene:KYUSg_chr5.18932 transcript:KYUSt_chr5.18932 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAVIRRAALACRVQRRLLSHSAASSPSDAPAPSSLLGYFSHPFHPRDPKVPNPYRHPPTTAPTFHPLTASSPRLSLDFIPDISDLFLCDSHLGLLLLRHKVDDLDSCNRSFHVCDPVSRRHALLPPPPIDSFSGGKVIGAALRSRGAAADDGLQFEVVYVAVDGDRPRAWVGSFRDGWCRWNALPRSREVTIDFDLMRFERICVHAAGGMYWHILNSHFVLALDAATLEFSRLPPPAMMWGAGESCKYRVGERPEDGQLCVASLEEDAMMLCVRGNGEGSDNGWVLERHAPMRKVFDTVPSLPKDPLTRRAKLWLSDVDAGRTGRLFVHTIGYGSFAYHMDTGKLERLATEDGLAYGRPILAYFSAPDASSSA >cds.KYUSt_chr1.8644 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53007132:53009772:1 gene:KYUSg_chr1.8644 transcript:KYUSt_chr1.8644 gene_biotype:protein_coding transcript_biotype:protein_coding MGTARPGLPRLLAVTFALVALFGVGVHGRNHIHKKPHGGGGAGHRGGAKGGSTVVSSPAVPPDDDATPLVAPPPPASGSIVPSDPATPAQPAEQCVFDVREYGATGESTADDTEAFRAAWSAACAVESAVLLVPSDGTFTISSTTFSGPCKPGLVFQVDGVLMPPDGPDCWPASDNRRQWLVFSNLDGMTLRGAGTIEGNGEDWWNLPCKPHRGPNGSTLHGPCDSPTLIRFFMSCNLVVQGLRVENSPEFHFRFDGCSDVLIDGLFIRSPANSPNTDGIHVENTERVGIYNSKISNGDDCISIGTGSYDVDIQNVTCGPGHGISIGSLGVHNSQACVANVTVRNAVIRNSDNGLRIKTWQGGMGSVSGITFDGVLMENVRNCIIVDQYYCMDKRCMNQSTAVHVTDVSYANIRGSYDVRSAPIHFACSDTVPCTNVTMSEVELLPFSGELVDDPFCWSAYGIQETPTIPPISCLQDGIPDSLLDNPDLKCR >cds.KYUSt_chr5.4490 pep primary_assembly:MPB_Lper_Kyuss_1697:5:28680376:28681536:-1 gene:KYUSg_chr5.4490 transcript:KYUSt_chr5.4490 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGLISEAAWTMFDLPPQGEESDIMAQLLGTFPSHAEEGHQNLPWYQPSQQSYYECNPNPSACSDSNTSSLGVPSECMSYYLGDSGETLGISSCIASDGLNLVQEQGATEYLNMIPDISHDLYGNGESSCEDLDSVGGTNKRKHSTKEEINGQAKGRKQCARKAEPKRAKKAKQTEASCCTSDNDSNVSQESAEAGDVSPKGKARAGRGAATDPQSLYARKRRERINERLKTLQTLVPNGTKVDMSTMLEEAVQYVKFLQLQIKVLSSDEMWMYAPIAYNGMNIGLDLNM >cds.KYUSt_chr5.2566 pep primary_assembly:MPB_Lper_Kyuss_1697:5:17165825:17168359:-1 gene:KYUSg_chr5.2566 transcript:KYUSt_chr5.2566 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAEGMDRLDMDGDFVGGRFGRDGEFYYEARRERAPQTRDDALYGVFADGDSDYDSDEDEASRRRRRFKRRRDEAEPDLTRPVQFVSKGVTDPQQPDEPEEEQRHGLGQAASSSRTAAVASEEEDQEEGYIDLPTGFGQRIAEGARARREEKERQEESAKRRRKAQSAGFEPGKPAPAPGSLESNAKVAKMMAMMGYKRGEGLGKNAQGITAPVETTLRPKNAGLGSVEGFKEPKAFTPKEKLPAPPPPPPAKKEKQRWSKKASVKKDQVMTKNELLARRAEQEHDEQPAFVQKVIDMRGPQARVLTDLKGLSTEHEMEANDVPMPELQYNVRLLVEETEADIVRLDGQLRREQEKVASLVREKEKAAKQEALQKHQLQVMEAIAGVLEQVRVDDTTGLLTLGGLLKTFQELKLSYEEEFKMCSVAWVACRFAHPLLIRVFQGWQPLQNPSFGLEVMSSWKDLLQGDQPYDFSDATESMAPYAQLVSEVILPAVRISGTNSWEARDPEPMLRFLESWERLLPPIVLHSILEHVIMPKLTAAVDSWDPRREKVPIHVWVHPWLPTLGQRIETLCHSIRYKLSSVLHVWQAHDSSAYAVLSPWKGVFDTASWEDLILRYIVPKLQMALQEFQINPASQKFDQFNWVMIWASAVPVHHMVHMLEVDFFSKWQQVLYHWLCSPNPDFNEIMNWYKGWRGLFPPELLANERIRMLLTSGLEMMNQAAEGLELVQPGARENVGFLRATEKRQFDAAQQASHRAVPGAAMSDMSFKDSIQEYAVDQGLLFMPRVGKFYKGMPVYEFGTVSVCIDSAKRLLYAQLQEGAERWSSVSLTQLLEMNRMARPR >cds.KYUSt_chr6.18211 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114582590:114585707:-1 gene:KYUSg_chr6.18211 transcript:KYUSt_chr6.18211 gene_biotype:protein_coding transcript_biotype:protein_coding MWPKHQRIPKSETRKRYILYSSEAVTESLARFRVVHPNLSEHRRRIRRPRRPQLLQVVYAASSVHSVASAALSFAATGDSTPPALLSAAVAAAAAGVRRLLHLSGKRNCSSGKWWTAAVDRSVVRSKVGNQAPNGPIYQLDHRVALCPNPTRCLHCLFFGHSARRCGRRHLPRTPLSCLDSGAPPPYTHTPDQPFRGRLTFPAVSSAPTTPPPAAAVVPPTTLPPPPPHPACPLPALAFPLPSASMPPTFPTAALPLAPPDAPGSALRRVARGACVLDLTPEMVEEETRLREIAIVVLVAGVSLKHPDAFFVRFSDKRWCDLVAVQPLFHFRGVPLVIRRFTILCLTEVFRPRFSVRLFIEGLPEQAWSTSTVKAMLPSCHIFCVAKESDEKSDMSYFVADAWVEHPDLVPREVDFSIHEPWMDVNQLHGLELLTGFISAPGQDDLTEGWLPRRPRLLRKTVLVHLDMTTYVPPFPPTRIRPTRSDSNDDDEPAPDRWRHPWGRGVSDDAWHNASGGYVGSFDNGSSGSVFRRLGDPGSRRHDLADAGGVAAADAQAPPPRQAAWGPKPSVLCPNGQLTDVGNGISTLPMLTDVPLTGASGEEQEPPAAELLPNRGADPPVAPLKETAVHQLETVEDHAVATLMSPDATVIDLGSTLTVQTVLMTAATADKEEAIVGPLVEAFTAIAEFVHHISEPVTPALLAAPARTPRAPKASRAVASASRRSRRNAITAVGGNLSMRLARQLIMSKRGLAIAEPGEIEVDEEAAIAKYKGAFVKPLRQTQICALSALAKGAGGRGARKQKVA >cds.KYUSt_chr3.14271 pep primary_assembly:MPB_Lper_Kyuss_1697:3:86660489:86661163:-1 gene:KYUSg_chr3.14271 transcript:KYUSt_chr3.14271 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVRVDGGRDGTEKSTGGALENEAHRCKVGDDDTDVSDILEVMATARSSAWTQRGRSARRGSKGTRADEGAVQNLDTLLAATSSDGSNKEKRE >cds.KYUSt_chr7.37773 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235512060:235513436:1 gene:KYUSg_chr7.37773 transcript:KYUSt_chr7.37773 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPQQEQQLLRVLDSVKLSPANQPPASSLPLSGLDADRNMLDVSFRTVRFFPPHPVSLDPLDALQDAFAAALALFPQLAGSIRHDDGHLVFSGAGDDAVTLVLAASELSLADVDADAPDSPLLDRLAPRDGDGGPAALALQATRFACGGVALGMRVAHALCDGAGSTKFLTAAALLARGQEPAVMPVWERRELLGPRNPPRVVTPFDAVLATDEDVARRGPYGDGHERLARVCFHVSDARVETLRARLAADAGVKLTTFEVLAAFIWRARVKANQTPPDETVKMVYSMNIGGLLDPPLPEGYWGNVCVPVYVALAAGDLVGQPLAETVSLVKKSKRGVDEEYVRSYVDFQELHRGEGVTAGRRGVSAFTDWRRLGHSDVDFGWGGPDAVLPLSWRLLGSVEPCFLLPYGATDERRRRGFKVFVAVREEAVPRFKEEMEEILLQPEHSDCISVGKL >cds.KYUSt_chr1.10189 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62479823:62481193:1 gene:KYUSg_chr1.10189 transcript:KYUSt_chr1.10189 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNGSGDDESGLELSLGLPGYFSGSQGQTGSKEERNRGATGAKGRTNGSKTRTLAAAAPVVGWPPVRSFRRNLASSSASKRPPADPRNGADDKAGYKGLFVKVNMDGVPIGRKVDLKAQGDYGNLSVAVDRLFRDLLAAQRDQRSCAEGNQPSITGLLDGSGEYTLVYEDDEGDQMLVGDVPWDYERR >cds.KYUSt_chr4.28119 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176776265:176780359:-1 gene:KYUSg_chr4.28119 transcript:KYUSt_chr4.28119 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFGRSPQVRAFYPLNRCAIYGAEQTCRVCSSSLSDKVKQGLCFFLQRDSWKTTMLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLERNPETADKTRVKVWLEKHKNLHTALLVMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKQHHEYAVIPITCVILAFLFALQHFGTHRVGFIFAPIVLAWLLCMSALGLYNIIYWNPHVYQALNPYYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTALVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSEKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTFLTSLVIMVCWHKPPLVALAFLVFFGSVEALYFSASLIKFLEGAWLPILLALILMAVMLVWHFTTIKKYEFDLQNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHNVLVLVCVKSVPVPYVFPKERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELIESLARFIKLDASYRCSEASEQQLEEWEPGLSVIGSNPLRDQASYDLQDSVQHSAASVEMMAPADSPRGTGVELAAHANSAKQVRFFIDSLVASPDADKHVTEELEALSAAREAGTAFILGHSHVQCKPGSSVVKKLTVVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >cds.KYUSt_chr2.10460 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66348585:66351078:1 gene:KYUSg_chr2.10460 transcript:KYUSt_chr2.10460 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAPVRKSHTSTADLLSWPQQADGTATPSPARRSHQPSEAFRKVVFGGQVTEEESDSLNKRKPCSAPKWKEMSGSGIFAGEANGDAEEPAAATPGRAASRNYQAVSTVSHISFAEDGSVPPKKPTSVAEVAKQRELSGTLQSDVDGKMKKLTSNAKTKELSGHDIFAEPQDPRPNRARNSENGSSASHTPVKNANVSTFSFGEANTDSMSKTPKKMASKKSADLTGNNIFKGDEAPMSADKHLSSAKLKEMTGSNIFADGKAPVREFLGGPRKPPGGESSISLV >cds.KYUSt_chr1.29205 pep primary_assembly:MPB_Lper_Kyuss_1697:1:176712712:176715099:1 gene:KYUSg_chr1.29205 transcript:KYUSt_chr1.29205 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLAPPASTRGILPPRRPSPRALTARAARSCYRYRFRTDDDGVVDVAVAANDSNGYTVGVEVPPRPGARGRPDGNLLLHAADSGDAVPLASPGHGASLSASLSIHASRAPFNLSFLLTDPAGTEIRTHRRTAFRVPVGVGQGSPAPLGLTLSDSGAANFALYSKTAQGVVLCLYTAAAAADEPALEIELDPYVNRTGNVWHASLESVAGYASYGFRCGLFGTGHPLLDPYARVIGDLVAGDSVYEEGAALPSMSCLGSLASPPSYNWGRDRRPRLPLENLVVYRANVASFTKDRSSGLPDDVAGTFSGMAAKVQHFKSLGVNAVLLEPVFPFDQAKGPYFPYHFFSPASSYSAEGSSASAITAMKDMVKAMHRNGIEVLLEVVFTHTAEGEAECQMISTRGIDNSSYYISGEIAGCKSGILNCNGPVTQKLILDSLRHWVLDFHVDGFCFINAPFLVRGPRGEYLSRPPLLESIAFDPVLSKTKIIADPWSPLDISNVQFPFPHWKRWAEMNARFSIDVRRFLKGEALVSDLATRLCGSGDLFASRGPAFSFNYVSRNAGLTLVDLVSFSNDNLASESSWNCGEEGPSENSAVLEMRLRQIRNFIFILFVSLGIPVLNMGDECGHSSAGSTSYKERVPLNWKGLKTTFVKEVTGFISFLAAFRSRRGDIFQRREFLKLENINWHGSDLSEPQWEDPGSKFLCMHIIAENDGNKSDSIRGDLYICFNANVESVSATLPVPAEGTLWLRLVDTSLALPGFFGTESNPKRKQVLGCSSYEVKAHSCVLFESTRDLS >cds.KYUSt_chr2.2283 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13841432:13841986:-1 gene:KYUSg_chr2.2283 transcript:KYUSt_chr2.2283 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSCEGEDTIGVKAPMMLIMPELQELCGKLTPPPSVMHLEVDSLVASTKPSVDPSFDDACESVDTIGVKPPVMLFMHELQELCGKSAPPLSVVHLEVDSLEASTMACATPSVEPSQLLVSDALFAKELFDLLVSLEAASPGSAKEIACLLSEKPTGNKVKKMMEYLRSKRKKNGTTRKASTAA >cds.KYUSt_chr2.43555 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270978913:270979425:1 gene:KYUSg_chr2.43555 transcript:KYUSt_chr2.43555 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAKDGKIEAEEEPSSPKNDNTTDYLGYLTYLAGKAKEEGPKDHLPVMAKGVDGEEALRLAMKASELQGTLGGQRLHLTGHMPKGMDEEEALRLATEASHPPAPPAPKSPWDQWHAPYGGSTTDIPYGCSSAGVPSSGVGAQLTSVIVDLTMRTTRRSNRLGFWPVFF >cds.KYUSt_chr4.3298 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18730575:18731651:-1 gene:KYUSg_chr4.3298 transcript:KYUSt_chr4.3298 gene_biotype:protein_coding transcript_biotype:protein_coding description:PCF2 [Source: Projected from Oryza sativa (Os08g0544800)] MESHQGHDSPPETEPPHPQQQDHAPGAMAMVPMPVPMRGGGYMVVPKPEPVELYGGGGAGVAIARKAPPRNRDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETVRWLLQQSEPAIVAATGTGTVPAIATTVDGVLRIPTESAASASSSSSAVLDAESSAKRRRKLQPTRATGAGAMLPLAAAAPHPGGAAYYSVVADPLLQGAAGGAVSVASGLAPVAAAQQGLVPVFAVPSAAGANQPNMATAVWMVPQPAGGANQPTQFWAFQPAPQLIQTMPAYPTVADYNHQHQQQSASTVVQTSNSDHQRHHHFNNNHHPTGAESRDEQGRQGDHHPEEDDADDDDEPVSDSSPED >cds.KYUSt_chr3.146 pep primary_assembly:MPB_Lper_Kyuss_1697:3:831821:836169:1 gene:KYUSg_chr3.146 transcript:KYUSt_chr3.146 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSAALRGKHGLDCRPSRGRRLAAEELARDLLSYGGEEHAQHLLVLSPPRTIRVVVNLPSDVVGALGDGLGWRRHRAVEVTMERSPGEVGEPEDLLPDEERRCRSLAPTIAKIQHGEQIRQTRQRQGFPNPVWDEELILVVSEPLEGNLIVTVEECVGPTTREPIGRMIIPVHSPYVSRNDLATSVRYHWFSLTPPIKDRGSRTLDAKISLKMSLETAYHVLEEPTQYASDLQPANQVGILGARDLVVGMRNRPYVVAKYGTKWVRTRTLLGTTQDLQWDEHYTWDVFDISTVITLAIFNLATHGVDGARDQTIGKVRVRLSTLESDRVYTHYYPLMALSSSGLNKTGELHLAIRFTCTSWANMLALYGRPLLPKLHFTKPLSVVQLDYLRIHAIKMVAARLGKAELPMRKEVVEYMLEINSHMFSMRRLKANFYRITSLLSGAVTVGKWFDGICKWHNPLSTILVHVVFVHLVFYPWLILPMAFLFTAMITAWNYRHRPQLPPQIDTALSYLDQALPDELDQEFDTFPTSKPDDIVRMRYSRLRSLAGEVQTEVGDLAKQGERALALLHWHDQRATLIFITLSLVLAVLLYITPTRVVAIIMGLYFLRPPCFRSSTNLIFNMWSRLPSDDDVML >cds.KYUSt_chr4.53760 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332602863:332603641:-1 gene:KYUSg_chr4.53760 transcript:KYUSt_chr4.53760 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLQRACQDGSAVTLAEGESIMQVVTLRGSNLIEVTDGEGVKSLALFPAKFQKSFWIKNGNFVVVDASGRDEALESGSKIGCVVSRVLFHEQVRALEKSGRSTCTSEKGRSGRVGRAWAALCVRQCRATGAGVARVHAAPPPGALHYRALGPVRPRWHACRAETYGVAQTIATPCLQARHARVISAK >cds.KYUSt_contig_319.584 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3722732:3723784:-1 gene:KYUSg_contig_319.584 transcript:KYUSt_contig_319.584 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVAVPVTLTYLVATDSKSIKKLMGLEIDIALHNARHVLLREILENGADYHWMPSSVSLLFLLNKIM >cds.KYUSt_chr2.51953 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324308603:324310416:1 gene:KYUSg_chr2.51953 transcript:KYUSt_chr2.51953 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTIPYPYPLYPMGMTSSDPKENPRKWKHTADLWPYNFPEGTEQARCWCSDLCVSKRCDDWDDKQGRRFWMCPNYAHDKAKPRNPYDYPPSPPPLCQLVKWIDLEQSTSHKEEVAYEEGRKWNYMFNLIREEEREKKMKIRFEKQRLEKEKKEQEEKDLREAEREKKRERARRAREDAEAQEDATKRKGKYPLSRFMC >cds.KYUSt_chr4.37764 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232838680:232839878:-1 gene:KYUSg_chr4.37764 transcript:KYUSt_chr4.37764 gene_biotype:protein_coding transcript_biotype:protein_coding METGPRRFPYHELVEATRNFAEEEKLGQGGFGAVYRGNLKALGLPVAIKRFSKDSSMQGKKEYASEIKVISRLGHRNLVQLIGWCHGRNELLLIYELMPNRSLDIHLHGNGTFLTWPMRMKIVLGVGSGLLYLHEEWEQCVIHRDIKPSNVMLDESFGAKLGDFGLARFIDHAVGMQTMTAVSGTPGYVDPECMITGRASAESDVYSFGVLLLEVACGRRPLSLLQNKAENGGLFRLVDWVWELYGRVALLDAADERLNGDYDKVEVERVMVVGLWCAHPDPSARPSMRAAMAVLQSKEANQLPVLPAAKPVPMYAPPLAMPSGLSLSSVSVTQSTSTSGYATHTSCSSDVSSTGSKVSSSLLKHQHS >cds.KYUSt_chr3.35323 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221846020:221847655:1 gene:KYUSg_chr3.35323 transcript:KYUSt_chr3.35323 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWHDDGEGDGDGGRGLGGVPDLAGRGGGEGAHVGTRRVVQSRCHTEEVEPGRFVRKCEKTEQLLRDCVGRPSELVESKTEHTEEDVTDEMTGASHSLGFPTKEPFAFPGLRSDIEAIEKDFTGNLSSFMEEAERMTNDFFRSFGFPSTHDEESRALPRRPVERHVEEGTAKKAKENEYSEFGSQITDV >cds.KYUSt_chr7.30345 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188935247:188936683:-1 gene:KYUSg_chr7.30345 transcript:KYUSt_chr7.30345 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSVRAPRIVLLPSAGMGHLAPFGRLAAALSSSAHACDVSLVTFLPTVSSAESAHLDSLFAALPAVRRLDLRLPPLDDAPDLSGADPFYAHYEAARRATPLLLPPLLAAAGADALVADISLASVAVPLARELHLPCYVFFTASATMFSFYAYFPTYLDAAGNGDADVPGVGRVPRSSFPQALHDRGNIFTQQFLANGRSLPRADGLLVNTFDALEPEAVAALRSGTVVPGIPPVFTVGPLTPVTFLATKEPSAPADYTAWLDAQPGRSVVYVSFGSRKALAPEQLGELAGGLEASGCRFLWVVKGAVVDRDDGADLGELLGEGFLERVRGRGMVTKAWVEQGDVLKHPAVGAFLSHCGWNSLTEAVASGVPVLAWPRFADQRVNAGVVARAGAGAWAEAWSWEGEDGVVKAEEIAETVRSMMADETLRTKPATVRDAAARAVAGGGTSYRSLAELVRRCATGSSHEHLEEQSTDAE >cds.KYUSt_chr5.35775 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225951280:225954848:1 gene:KYUSg_chr5.35775 transcript:KYUSt_chr5.35775 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVARGRRCRGAVLLLLLASVLAPLALYGRSPVSLPDSTVARGAFDREDGSNLVWPHMAASEVSLAKDLTMERLGEHKNRVLSAADDWQAVEIAKRTDTSVRWKEPVSRDADEVVAEGNGSSQSRQDGVIKEVVSTNGKGAEEGDGQGVKEKLAQDTDEVENRDGSGAAAENNSISGMTTTGNLSSSSLQKEDETTRATPREETSTGEVSINADLGTSLSSAGQSATSPDATIRIIKDQLTRAKTYLGLLASRGNHGSAKELRARMKDIQRALGDATNDGMLPQNVHSRIKAMEQTLGKLKRTHDSCSGAVSRLRTAIHSTEERLQSHKKDANYLAQIAAKSLPKGLHCLPLRLTNEYYSTNSNNKDFPNMEKLEDPKLHHYAIFSDNVLAAAVVVNSTLVHAKKPANHVFHIVTDRLNYAAMKMWFLANPLGKAAIQVQNVEEFTWLNSSYSPVLKQLESSSIIDYYFRSGKARPGENPKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDTVVQQDLSALWSIDLKGKVNGAVETCGETFHRFDKYLNFSNPLIASNFNPHSCGWAYGMNMFDLSEWRKQNITDVYHTWQNLNEDRLLWKLGTLPAGLVTFWNRTFPLDRSWHLLGLGYNPNVNEKDIRQASVIHYNGNLKPWLEIGLSKYRKYWSRHVNYDQVFVRECNINP >cds.KYUSt_chr2.32917 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203013969:203019692:-1 gene:KYUSg_chr2.32917 transcript:KYUSt_chr2.32917 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRLAGDMTHLMSVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDLFTSFISLYNTAMKLIFLGSSFSIVWYIRRHKMVRRSYDKEHDTFRHQFIVLPCILLALLIHEKFTFKEKLLPFFLNSYYYSFEEQCEAHSASLTSNNNSNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNISNNNNSNSSSSSSTSSTNNCTSNNTSNNTNNRATTATTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTTAAAAANNNNKA >cds.KYUSt_chr3.34544 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216732313:216733177:1 gene:KYUSg_chr3.34544 transcript:KYUSt_chr3.34544 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHTVRTSLLPSPRRSSRHRQHALPSRRRSSICACSSEDAGSDTPLPHGGDGRQQEVLAKIAMLQTQKVRITNFLDERSAYLTKFTKDADSEFDMIGQNAMKELDQIGDQIMERLDSKMQAYEETAEMERQEIEMNERVLEDFEDWIEVEKNEGMFFKSLGKKKPKNKEEIKVKAKIEAQKIREITKESAGSKARMNIYLALMTILGLTIANAVFATPEVEWRKVAALGLIFIGLVTQVIYEQDMSPPEAEKKEKRDE >cds.KYUSt_chr6.30442 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192786404:192788926:-1 gene:KYUSg_chr6.30442 transcript:KYUSt_chr6.30442 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTVDHLKRLCIDQYFQDEIDNVVDSCLNLLHSDDLLDATLSMRLMREAGYCVSADEVLQKFTNGNGDFNLANSKDIRGLLSLQDMSHLNMGEALLYKAKDFSSRHLSVATKYLEPNQASYVKQSLDHPYHVSLMQYKARHHLSYLQSLPTRNIAVEKLALAEFQINKLQHQREMQEVKRWWMQLGLAQEIPAARDQVLKWYMWPMTVLEGFSFSRYRIEITKIISLIYIVDDIFDIIATQEELSLFNEAIKRWDLVAADSLPSYMTSCYKALYTITNDIADMSKREHGSNPINHLKKGWATLFDGFMIEGKWLSGNQVPTREDYLTNGVVTSGAPLVFLHLFFMLGHDLTEADSDYIPPVISCPAKIMRLRDDMGTAKLHIHNVVDKTLILSCHDLVQDEAQEGLDGSYKELYLRENPHGDADEHMLELIEDEWIELNRECFSRTKSSFSPSFVGASLNFARMVGVMYGYNNEQRLPALEDYTRMLLL >cds.KYUSt_chr7.16703 pep primary_assembly:MPB_Lper_Kyuss_1697:7:103508667:103509827:1 gene:KYUSg_chr7.16703 transcript:KYUSt_chr7.16703 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSDTFNRDALRLRALSGSSSKQSDLTIPSTGTPLVALPGGSEYHVTVGYGTPVQKLTVGFDTATAGATLLQCKPCAAGAPCGKAFDPSRSSSLAQIPCGSHDCPLRACSGPTCTIAVTRKGTVLVNATFVTDTLTVAPSIPVNDIRVACLEMGARTTDSSSGVIDLSRESHSLASRVVLSPETVAFSYCLPWDPATQGFLTFGATRPERAGRGVTYAKLQRNAGRPNLYFVKLVGVSIGGIDLPVAPASIAADALVEVHTTFTYLKPDVYAVLRSNFRWWMKEYVVAPSSGELDTCYDFTHLNAIEVPIITLRFEGGASLELGIEQMMYFKDRRNIFSVACLAFAPAPAYVPAAAVIGSLVQSETEVVYDVLGGKLGLVPNRC >cds.KYUSt_chr6.12046 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74962239:74962868:-1 gene:KYUSg_chr6.12046 transcript:KYUSt_chr6.12046 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKAAAVAVVAVGAGAGGGDEWRCRRHPAARSGGGVCPYCLRDRLLRLCPACARVRPCPCASAPAPAPACAASPSSSSSASGDAVGRVHSLIEREHRIARSRSVAASSSAAMGSVAAAAGVGGGTRKARVWGWAPFWRASAKDVSSAEEDEDRLGLARSSSVSATAVEAKAVAAKAAAAKARWGWHFPSPMKAFRHRRSSASLPERG >cds.KYUSt_chr4.17144 pep primary_assembly:MPB_Lper_Kyuss_1697:4:106956173:106960462:-1 gene:KYUSg_chr4.17144 transcript:KYUSt_chr4.17144 gene_biotype:protein_coding transcript_biotype:protein_coding MELATSMEGIHARARELGVDLDSVDLDSITLPPGENFDIVSDDEDLLQNEDIPELEMGFSNIIVVDNLPVVPPEKYEKLENVVRKIYSQIGVIKEGGLWMPTHPETQKTYGYCFIEYNTPQEAEVAREKTNGYKLDKSHIFAVNMFDDFEKYMKVPDEWAPAEIKPYTPGENLLKWLTDDKARDQFVIRAGTFTEVYWNDARRLIPELVYQKQYWTDSYIQWSPLGTHLATVHRQGAQVWGGDDKFVRLMRFAHPQVKLIDFSPGEKYLITYSSQEPSNPRDTHRVLLNIFDVRTGKVMRDFKGSADEFTSGGSMGVSGVSWPVFRWGGGKDDKYFARLGKNVISVYETETFSLLDKKSLKVENVVDFSWSPTDPIISLFVPELGGGNQPARVSLFQMPGKEEIRQKNLFSVSDCKMYWQNNGEYLAVQVDRYTKTKKSIYTGFELFRIKERDIPIEVFELENKNDKIIAFAWEPRGHRFAVIHGDGPKPDISFYAMRTVKDGVSVSRVAKLATLKGKQANSLFWSPAGRFIVLAGLKGFNGQLEFFNVDDLETMATGEHFMATDIMWDPTGRFLATAVTSVHEMENGFVIWSFNGKQIYKISKDHFYQFQWRPRPPSLLTPEKEEEIAKNLKRYSKKYEQEDQDVHNQVDEAERKRRMQLQEDWEGWLAKWKQLHEEERSYRMELRGGEDSDKEEETEIKEIEAEELVDVTEETVAFDLDQD >cds.KYUSt_chr3.38067 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239527025:239537272:1 gene:KYUSg_chr3.38067 transcript:KYUSt_chr3.38067 gene_biotype:protein_coding transcript_biotype:protein_coding MENKRWREDDARDEEKMKTRWGFSRHLGSGSPDDHRWDAHKDKSPSCSGSSADERWDAHKLGRGSSGSSAGERWDAYKLRRGGSSSCSGSSAGDRWDAHKLGRGTGSSAGSSADERWDAHKLRRGGSSGSSAAEDHDEKTKMKLKLEDDEDVEDDDDVEEEAFAGPTFMLSPDPSEKHIQSSRLSAHANTHATGVASAVFLDSIFKRDNRINLARPAVDATMTPDGSTIHSSNIRQHPAAAPKTMPQEACADILVKKAYEEWMHDVGYDGKTLQSFKHNLSQVIEQQLQQLTMLQMVLSMHTNNYLRNIYPNFRTYYKAILQARAGTNVDGLDLNLYQQSLSSYDNMKPDY >cds.KYUSt_scaffold_869.333 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:2112234:2116335:-1 gene:KYUSg_scaffold_869.333 transcript:KYUSt_scaffold_869.333 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPASAVYRHSLPPWRRAVAISMVFLVLSFLPIANARTLLRYHRKHRRHHHGHHSHISQPPSALPPYVVDGDSPVKPPDLSPGFRDAPPPRSRNTRPCSLSHPPSKPPAFSPVGAPRSPTLAKPPSHSHAKPPSIYLTKPPALPPAEQPTLPHVNPARIPPAKPPIPSPAQSPAKSTPQHNAIPPAASNGLAFSAANPPAFSPAQSPPRPSAKPPIHYPVQSPTAPHHAIPPAAAKPPPFAPYQHPALSPPAQPPAIKSPTPPFAPTKPPTLSPPAQPPTIKLPTPPFAPTKPPTLSPVQPPPLPLPKPPAVPPAMREAKPPSALPPSAPASCRNVFDVRAFGASGNGSSADDTRAFRAAWKEACSAESATLLVPSDGVFTVTSTVFTGPCKPGLTFQLIRFFVSNNVSVQGLRIENSPQFHLKFDNCDQVRVDGLFISSPAFSPNTDGIHVENTKSVQIHNSRINNGDDCISIGAGCSAVHIENVTCAHGHGISIGSLGVRNTRACVSNVTVRNTRIVDSDNGLRIKTWQGGAGSVSAVEFAGVRMENVRTCIVIDQYYCLGNGCANQTSAVRVAGITYQDIRGTYKQQPHGGGGPIRFACSDTVACTDITMTDVELRPAAGGSSSEATLARPYCWNAYGAVATLTVPPVNCLQEGRPESLQDQLDTCSLAEHHMGTPVS >cds.KYUSt_chr2.28789 pep primary_assembly:MPB_Lper_Kyuss_1697:2:176815359:176817393:1 gene:KYUSg_chr2.28789 transcript:KYUSt_chr2.28789 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRFLPRVMPGTYPIIPIILATFPFTSGLPGLAGGTWYLSQIRRPLPSLLDVLHPLLLLLLDVGGLERMRYRSRCPSLAGASSLARSSIAGLQAATHSRGNARPCSSVLARQQASPVVVCLVAAGSPARAILHGDAPPTTSGLVAAGSPAGASLHGDAPPTASGLVVASPVALAGGGMSEPSLLQPMDVTLVDGMGTSTKH >cds.KYUSt_chr3.7213 pep primary_assembly:MPB_Lper_Kyuss_1697:3:41768424:41772959:1 gene:KYUSg_chr3.7213 transcript:KYUSt_chr3.7213 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGSLPSASSSPTTAPSIPANATLGRHLARRLAEVGARDVFTVPGDFNLTLLDELEAEQPSGGGVRLVGCCNELNAAYAADGYARAGRGVGACAVTFTVGGLSAINAVAGAFSENLPLVCVVGGPNSNDYGSNRVLHHTIGLPDFTQELRCFQNVTCYQAVVNNLEDAHEQIDTAISTALKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMSLEAAVEAAAAFLNKSVKPVLVGGPKMRVAKACKSFVEMADASGYPIAVMPSAKGLVPEHHSRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLIKKEKAIIVQPDRVVIGNGPAFGCILMKDFFHALASRLKKNTTAYENYSRIFVPQGEPISSDTGEPLRVNVLFKHVQTMLSGSSAVISETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISFIGDGSFQVTAQEVSTMIRWAQNNIIFLINNGGYTIEVEIHDGPYNIIKNWNYTGVVEAFHNGEGKCYTAKVRTEEELKKAIEASLGPNKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >cds.KYUSt_chr6.14658 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91886023:91888939:-1 gene:KYUSg_chr6.14658 transcript:KYUSt_chr6.14658 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDALSWLLPLLLLQPAAVRASGVKRSQPANGAALPSTATLAGCQKSCGNLSFEYPFGIGPGCFRSQDFELICKNHNSSHAPMLFLHDGITEVAQDTEYISTYPHQLIEVSFSRSIPLRPEVDVYSMSWNLGRSFRFDDLQLNFTGCDFDMHVLDKNNTVRQCSATCPDEDIAGWVARENCNGTGCCSTYVRFGSSETAAAFEIKFVRQNIGEPKFKEHNNNQRSIWDSIDVTADADISWGIVVDQPGPASTFRRRTDYACLGNHSRDVTDDQSNYVSRYYCICEAGYRGNPYITDGCSGDKVLSSSSCVPQINVVVVFLKFTRMPEAPPKRLSICLTAHSSACSGLRKMMTSSAYTEILCKCEMEAKFPNNPSSAALVIIAERASMTATNSMGDVGSPCLSP >cds.KYUSt_scaffold_1259.274 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1696236:1699211:1 gene:KYUSg_scaffold_1259.274 transcript:KYUSt_scaffold_1259.274 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSGEPYHHSLPVCTLAFAHTGRVAREAGEKVSAQPGRITELNRGEVNLGPLLENAEKWNQAELSPATRGPGKDKLPVVVTSGSLSYSFNNRPLFCFGHLAPDGTVASGEGAAHAGNGCDERTGELPDSDADNSGTPRPGNREPGTDYSGRGNQSRNRQNHLRRARLGPEMPGTLTPVMKPKRRRGAPDTGGEAQTGEGADGSTTCMPACSMSATMGWRRRVARLARREVQSTGIGDVDCGALDQGEAWKGQRRQGRGQDGAAWMPVVMAAGPGGEDAGGEDDARRLLVCRSQAKLDVAKPVGAGDYKAGQGEAGKSEVGHGEAFAGGAKPAAAGARRGKPVAGRPAAVMP >cds.KYUSt_chr3.3173 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18229881:18230336:-1 gene:KYUSg_chr3.3173 transcript:KYUSt_chr3.3173 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFSLQTMRPAAGLGLRHGSPLCHALSLQSRRPQAAVTVRCGAFQRDHYGGLLVDEGMTVLRRKIREARMAETNYEAPTGWASWEKRYYPAYVSDVSALTGTLQLMLMGTRPGVAVAVAALVLGGVPVSAAVALHLLGQAAGTVLQHVS >cds.KYUSt_chr4.38154 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235202156:235206793:1 gene:KYUSg_chr4.38154 transcript:KYUSt_chr4.38154 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKVGLKRGRWTAEEDDALANYIAEHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRDGVKRGNISKEEDDLIVKLHATLGNRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTFRRTYAAGNDTTVTIDITKLTAAGKRRGGRTPGQSPRSVAKNQQTEPAKTKTKDVVGSSPAVPAKAKDVVVSTSSASSSPPQSDGARSVVVDPDQNQPSNGVSGSVSHSLSSDGPCSEDETWPLVMEPVDQTGLLEANSAVDQTGLWEANSSMNWEGETEMEALLYSGVVPEGPAQVDDLLDMDWEGLAADLWDAPAQYDVAKPAEPQAAATTGSNPEELESFVSWLLSDAS >cds.KYUSt_chr1.39609 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242386878:242396196:-1 gene:KYUSg_chr1.39609 transcript:KYUSt_chr1.39609 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKKLWIRLRRITDLGVFRSITKVSVGDGTSTAFWTDLWTGNTPLCERFPALHSHSTRLNISVATALTSNLRDTLGPRLSLAAETDLRTLPNEPSSVVLSYDSPDSRCDRLTNKQLSKKSVYINSFRHLQIDEVAEKPMLDAPIYGRIATIELFRPHNETQDFIFIATERCKFCVLKWDGEKSELLTISMGDVSDPLGCPTDNGQIGIVDPDCRLIGLHLYYGLFKVILFDNKGLLMEAFDIRLEELPVLDIKFLYGCVKPTIVVLYQDNSDARHVKTYEIALKDKDFVEGPWSQNNLDNGAGLLIPVLAPLGGVIIIGEETIVYCNGNSAFKALPIKQSIIRAYGRVDPDGPRYLLGDNTGTLHLLVLTQEEERVTGLKIEHLGETSIASSISYLHNGVVYVGSRFGDSQLVKLNLLPDATGSFVEVLERYANLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSIRVIRNGIGINEQASVELQGISGLWSLKSSFSDPYDMYLVVSFISETRFMAMNIEGVLEETEIEGFDAQTQTLFCQNGISDLLIQITANSVRLVSCTSRELVDQWNAPEGFSVNVASANATQVLLAIGGGHVIYLEIRDAKLVEVKHAQLEHDVSCVDLNPVGENPQYSSLAAIGLWTDISVSIYSLPDLKLIRKENLGGETVPRSVLLCTLERVSYLLCALGDGHLFSFLLNASTGELTDRKKVSLGTQPITLRTFSSKGTAHVFASSDRLTVIYSSSKKLLYSNVNLKGVNHMCPFNTASFPDSLAIAKQSEFSIGTMGYIQNQKLRTIPLNEQPKRICHQEQSSTLAVCTSKYLDSGEQSEAHFIRLLDHQTFEFLFTHPLDQYECGCSMISCSFSDDNKFYYCVGTSYILPDEYEPAKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKVQLYKWMTREDGLHELQSECSYHGHILALYTQTRGNFIVVGDLMKSISLLVYKHEESAMEELARDYNPNWMTAVEMIDDDIYIGAENSYNLFTVRKNSDAATDEERGRLQVVGEYHLGEFVNRFCHGSLVMHLPDSEMGQIPTVIFSTINGVIGIIASLPHDQYVFLEKLQATLVKFIKGVGSLSHEQWRSFYNGKKTLDAHNFLDGDLIESFLDLNRGKMEEVAEAMSVQVEELLQRVEELTRLHETMPRHVHKE >cds.KYUSt_chr7.355 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2032153:2032497:-1 gene:KYUSg_chr7.355 transcript:KYUSt_chr7.355 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSLTPSFCQMESRGQQASNESLFHGRSREVEDGAVLLHIGSRSSIQWESSKVEDSATLLHIGSRSSACCTAYARVRRLPRELSSATAAGTSVVSEEMPKQASAIAAAVPHD >cds.KYUSt_contig_2792.161 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000505.1:737443:740633:1 gene:KYUSg_contig_2792.161 transcript:KYUSt_contig_2792.161 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPLVPVLQSRGRHQYFDGTVSKSTAAASHKDRLIDIHRPSLLVTHSASATTILLASYLVQGEHKNGETIAVKVLHLHNNPGLYDEQFEKEYLNIASLQHKNIVRLVGYCHETRRECHPFDGKMVFAENTQRALCFEYMQNGSLKKYLSDESTGHDWCTRYAIIKGICQGLKYLHEELQSPMYHLDIKPDNVLMDENMDPKLADFGLSRLCGGEKTHVTKSAIGTLGYTPPEYIDAAVISNKFDVFSLGVVIIEIMTGPGSRFRNEDMSSQEFIELVHAKWMKRLHATSVYLLDSYSEQVKTCIEIALTCVEANRYKRPSIGIIISKLNETETMAQSPEKDIGSSTYKV >cds.KYUSt_chr7.34682 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216524623:216525324:-1 gene:KYUSg_chr7.34682 transcript:KYUSt_chr7.34682 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAAANNGGAAAWRQQLEGGHGNGGKMRTYKGVRMRSWGAWVSEIRAPGQKTRTWLGSHSTAEAAARAYDAALLCLKGAGAGAELNFPVRFQFDLPPAAMSPKSIQRVAAAAAASSNAPVDFTCSGSTSPSASDNCIAPDCSSSNASVVSSPETVASCSDTADHDGYDIVGGLPDYSALADIDAFFQSPKCMEYAMMDPCSSFFAPAPMEMDDGCGWEEEGHIALWSFSSSF >cds.KYUSt_chr5.18463 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119208385:119212212:1 gene:KYUSg_chr5.18463 transcript:KYUSt_chr5.18463 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYYKDQQSESSIVLKEILSLRRERRELLSSLTPGVSSWKRLGQPETLLAANNFTTGPVQEIERESASTDEQLDWYLDSILQDMDDLVHRMLSMAYVVAKPDGAAISSYMADELLNSTSGLKDACEKFCIDTMCVPNKPEERVHEFALLDFCHKFLSSPLGFENKLTLVITKKMEEDYRDEVERETKRVWEARKRAIAQFKQWEKRQKEMKKYDDDDDDDEEEEEEEEEEEEGNKWKKFLFNDGGPEYQDQDQEQDQDHENLDLDPDTREYLVEGMKVERKFFMDDRDGWNSIWGSKSVRCGDFKDKTTLSPMHFTHTIQSSCGVIGSTLEIYYIKILELKGELKWPLKLYGMVSARDTVDRNRNLVFFQSSLDHQELDENGSLCLTGPSRAIVALDYVDFEVELKIKEGEKSQGKELITLSKRYDGTGTSLMFENSLCKAVLKLHQLSRAVQATIVGVCVVEGEWPFEYGCRVACSLEAAADQVGGSLDVTADEVVLLDCCGGPGGGSKNKEVRVGVGSHGYLHLSRNVVSVPSGGGLKVSIYSYSKSGPVDREWNIVFPAQKCQTIEKEWSVRTCKIKVVVAWSLLVKQKLDLLVDCPAVET >cds.KYUSt_chr2.13981 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88462195:88462503:1 gene:KYUSg_chr2.13981 transcript:KYUSt_chr2.13981 gene_biotype:protein_coding transcript_biotype:protein_coding MACSKNARCAALLLLAVLLISCSGMGNAARVLEEMAPPVPEGDYPAEAPEAPEQEQSSLFPDFEIPPFPEPAFPKVELPPMPEMPAIPGFHFSAAQPEADEP >cds.KYUSt_chr3.2806 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16179034:16181187:-1 gene:KYUSg_chr3.2806 transcript:KYUSt_chr3.2806 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGAVQWWELWQLRILVLASVLNQGILLVASVVRKSTIRPSWFRQFLIWLAYLGSDAIAIYALATLFNRQKKHSGHGVLEVLWAPVLLIHLGGQDSISAYNIEDNELWTRHLLTSVSQVTVAVYVFCKSWPGDDERLLQAAILLFIPGIFKCFQKPWALSRASINNLVSSDEPIVRSIDTPELKIDPLEDFIEKAKAASSAGPNDTPVTPADFSPYKLFVDLPSPSPDDRIRMLLSFSTLNDIYAHNKLQFWLRQTFELLYTKVKMFPLSSVMPIRGRDKSDNVSFFLQINVVVRQLAMYLPFAAIALFHQSHREAYSKEDVVVTFILLSCTAVLEIYILVLHMFTNLPENRRSTQQAVVIEGLVSQYNLIGLLVRNKEHCKKMAILGYIGCTDFLQQRWPMKSCRSSFKITKAVLKYVKSGWHHHICDVSTYRKFSDNRGQSTLERQGCYQELGWSLEGAFDESVLLWHLATDFCYYIDTGASPTQCTQDSCPGVYACPAWCRGSEHHEGAVQCREMSNYMMYLLYVNPEMLMAGTRRNLFTAAYDELKGIITVGDKSPVEERDLTQRIIFAVDGGGSPPPDGTQRQQGGITHLAWSIAKVLSGLPEEKMWNVIEGVWVEMMCFSAARCRGYLHAKGLAMVAEYLSYVWLLQYYMGMETLAAKLQKVDHHRKGVEHGDDPLTSHATGPSGSSGAHAEGQSAGYRASEDPMEEIL >cds.KYUSt_chr7.37638 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234774644:234777893:-1 gene:KYUSg_chr7.37638 transcript:KYUSt_chr7.37638 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIPRSTFNFDFEYERKILAEAEKENPNWGKFVVERKTPLPPQQQQQQQPLTPRGPRHTTPTTSTVAPVDPVVEKYISMGLGREAVSFAVLNYGDNPTKVKEFVKSYNDLHEMGFTTGNVPELLAIHDNDPDKVIQHLLSSP >cds.KYUSt_chr2.51664 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322750463:322773221:1 gene:KYUSg_chr2.51664 transcript:KYUSt_chr2.51664 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAHRAAQLLLIVKDEVQPRIREPDPSRSSEEAGSMEAHEEPGSTHRRRELAVRNKAVGTISGIGSDVARGGALLYGKSGLEGIRGGLWEGYGMTETCGIISFEFPTNGKARRFGSTGVLATGVEGKVVDVETLKHLPPNQLGEICVQGPHIMQGYFNNVQATDFTIKQGWLHTGDLGYFDEEGQLYVVDRLKELIKYKGFQIAPDELEGLILSHPEILDAVVIPFPDTEAGEVPIAYVVRSPSSSLIEVDVQKFIESQDPIPTSLLKVTNDLVSHSIKLFHVILKYMGIDSPSIISLEERIELVAKLYKHTLKRSELRDELFAQLSKQTRNNPGRSWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNVLKRSVKAGPRVTIPAREEIETLLTSRKLTTIVFFLDETFEEIKYDMATTVADAVEELAGIIKLSVYSSFSLFECRKIVNGSKSSEVGNEEYIGLDDNKYIGDLLSEFKSAKDRNKGEILHCKLVFKKRLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLTALQIWVEIGFIENPESCVYVHFFRPVPKEYLHSAELRDIKQFGSSNTAVFFKMRVAVFFKMRVAPVLHIFQFETKQGEEICVALQTHIKDVMLPRYSKARSGSATIMVSQNDVSQADKPGNVEMYDKRVQELSREIDESQKKADQVALVGKSRLESRLTSGHGQENNSKLEVIGNHSERDTLTTVGSVNNSIEMVEREGEKKRLLHETGYSSGTCAMPDEKVSQFDRRVEDESQFDNNHEDDYQTATPEQAGEIDNLAVSLGQSTWFVLCRFHVGAGIPGVAPHYISPPSTFNVLLGSYWTNVDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRNTPPGLEAMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVMPNENHHNKIVTTANAIQVRINENIRLMAELRARWEREENEKQDNIAKVWTITTTSNANATQVAAPPTNTNKRIGVINVSTSNVKREKLPETAKTAETACDKTAEFFSNIGDDDPIALDYNGLNFDDCHISEVIKFLQKLAKSPNASAINLAFTQHITNALIKAREEKLEREASIPRKLEDGWEPIIKMKVKDFDCNTLCDLGASISVMPKKIYNMLDLPPLKNCYLDVNLADHSTKKPLGKVDNVRITVNNNLVPVDFVVLDIECNASCLIILGRPFLRTVGAIIDMKEETDFFAVTNLGKILCRNPSKEIFSELDEINAQGPILPRSFQKTEEETKWGHEVARL >cds.KYUSt_chr4.11507 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69968260:69970445:-1 gene:KYUSg_chr4.11507 transcript:KYUSt_chr4.11507 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLVASIVCRGRSSSRSAAVSRSFCKNLHRVHVRPVRNRSVVRCSLQEQQEQATENRRASSIAVAPDEQKVEEAKASSHHASVGGGDHQEGGDGEDGERKSREEQQEVDWRSDEEFRKFMGNPSIEAAIKLEKQRADRKLRELDREPDASPVSGLLRGFIKNTLEREKQRLEEAEKTFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPIEEVRPKLEKKIAETAGTEVTLWFMEERNDDITKQVCMVQPKAEIELQLEVTKLSTPWGYLSAVALAVTTFGTIALMSGFFLKPGASFDDYVSDVLPLFGGFLSILGVSEIATRLTAAKYGVKLSPSLLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSVVLAVSAFIVDGSFNGGENALFIRPEFFYNNPLLSFVQLVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPIGRLEGGRIAQALFGRSTAALLSFGTSLLLGVGAISGSVLCLAWGLFATFIRGGEEIPAQDEITPLGSERYAWGFVLAVVCLLTLFPNGGGTYSSSFLGEPFFRGGI >cds.KYUSt_chr4.4492 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25693828:25698526:1 gene:KYUSg_chr4.4492 transcript:KYUSt_chr4.4492 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSTTTVASSSSYHCDPLFPSAARSWCRPRSRGGGGRHRARLSVPSARLSAPSSASASASSASAGERDRYSYEVDSLIDRLSNLAPRGSIAKCLETARHRLTLQDFAAVYREFSRRGDWQRSTRLFKYMQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFHDLPADARTALSYTSLIAAYARNALHEEARALLDQMKAAGVAPTAATYNTVLAACARAADPPVPFHALLGLFAEMRHDASPAVRPDLTTYNTLLAAAAVRALSDQSEMLLRTMLEAGVSPDNTSYRHIVAAFAGAGNLSRVAELFGEMADTGHTPDPSAYLGLMEAHTRIGATAEAVAVLRQMQADGCAPTAATYRVLLDQYGKQGRFDGVRELFREMRTAVPPDTATYNVLFNVFGDGGFFKEVVELFHDMLRTGIEPDMETCEGVLAACGQGGLHEDAREVLDYISKEGMVPTAKAYTGLIEALGHAAKYEEAYVAFNMMTEIGSLPTIETYNSLANVFAKGGLFQEAEAVFSRMTNSAGIQKNKDSFDALIEAYCQGAQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEEIRSDMTVPSIIAYCMMLSLYARNDRWADAYDLLEEMKSNRDSNTHQVIASMIKGEYDDSSNWQMVEYVLDSSNLEGCDYSLRFFNALLDVLWWFGQKARAERVLEHAINYGLFPELSRDTKLIWSLDVHRMSVGGALVAVSVWLNKLHTRLKAEKDLPQLASVVVLRGKMEKSTVTRGLPIAKVVYSFINDTLSSSFHYPKWNKGRIICLKSQLKKVQAAIDSSNGAATPGFVQMTDSRLPSPGSKIYTREAQVENGSAHATAESLVEEKESELLAL >cds.KYUSt_chr3.45112 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284205409:284206589:-1 gene:KYUSg_chr3.45112 transcript:KYUSt_chr3.45112 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTMTDEERADPRHHPENYTRWNSYFLQRWERELAAYDGPPPPPARNNAAGRRRWWSAPERTLANVIAHIEGGNFPVLTMPPLSASRSSASRRRGSVWQPRRMAASSSSSGSASRSSLAPAEQQRRLLDLAAARQLAARAAPTANDDVARYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQAAQPKANDDGSDDDGGDYTVFYRHFGM >cds.KYUSt_chr3.36295 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228281417:228291094:-1 gene:KYUSg_chr3.36295 transcript:KYUSt_chr3.36295 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLGEATKLLDNMMINYSEWHTERAPQGKKVNSVEETSSLSDKIDAIMSMLVNDRTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYLNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRSSPPGLEAMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLVADVDLLKSKVLPNENHHNKITTTANAIQVRINENIRLMAELRARFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFDKPWFLSEGKLAAHKLQAIKAKMGSAEDEFTPLSQLKYGIGNCKVRVRISRLWESFNPKNDISFGLDSLLIDDQGKTMQARVDPDDIDLFEDQLVEGKVYALSDFTVDVMREDYMCCSNKWTMYFKRQTVVKEIQGDIDSIPLHSFEFVKFKDLRSRCDDKSLLTDVLGHIVYVGELQEVSKKSRHIEICNASIRDLRGRNLSVTLYGDIACGFAEDMLEKGLESSVVAVFAGMRVESTHSVCSTTCSKYYLDLDIPEVQEFSESLRIQQANPAPEKSRAQKLAESWRTIEQIKSLDPEEYDEDTMFLCRVSLLDIDCTSGWCYAGCEFCQKSMGRNPRKYRCIRCGPVKRPVQMYKLKAKVQDATGTMDLMIFCDVAEKLVGVSAEELVDEIEDDDDWYTLPEEIEDLLGSTHTFQVFDKYGHGSFSVNSIMDHVSPPVAAAAAATQSKEEPDLEDSVSLTPTTTQCKEGPVPEGSAPAEEARSKSARLQKPNKRLRGDDWIN >cds.KYUSt_chr3.12836 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76959802:76960518:1 gene:KYUSg_chr3.12836 transcript:KYUSt_chr3.12836 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVASHLIRRPELQPHLAVSVQGVDEELAGAVFMGEVAEAVMAISLGIFVLCLHLRFGSGNSVAVEERTRNLYRSPAGGNHFVLKWERWCFQVDSSSSSGCKEEGGRIQWLLTNLFGWWRRIWDLRCSGGCPGRRATRRRAMAFVARPLHRFTKRLVRDEVLQVQGLLVARLHLRWVLPLLRSLVMADGELSTEDPRGFSVSILSSCEVLCAVGLVRVSYLVTSGEFLRVVRISWME >cds.KYUSt_chr3.30317 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189920519:189923860:-1 gene:KYUSg_chr3.30317 transcript:KYUSt_chr3.30317 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGVNEKEAKRLAMKVSQEHTPTPRQAPPRSRPPPSQDAPDKDKLAASSNSSVSATPVARVDLNKVIEAKRFAVFQAQHEGCLGSFKSFDSLFGNYLVPVTPSDDFFEQISKK >cds.KYUSt_chr4.20829 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131066451:131068289:1 gene:KYUSg_chr4.20829 transcript:KYUSt_chr4.20829 gene_biotype:protein_coding transcript_biotype:protein_coding MRLETMGHAITGGGFYNIDVEPLKGGKGSGEVFAAVIKFAAAPLSEEQLSDELKHLVDELWDWQVRKISDSEFSVVFPTRQTLKLSTGSGKLHLPLSKTDTEIREAFLAPRPSLILPSTWVRLTGVPEDLMVRERLMAAFTMIGRPIDVDELSIKKSEHEPIRMRFHCRYPERIKGSVQIFVNGEGYTVGVQAEAPPRGSAGAGTGGPPPPPARKDREDDSDELSSDSEWNKHRRSRRGGKEKGKGDDPPSAAGGASGPSGSKSVGASLGVALVLDQYGSNLSASAEVLPSLPLLDASKLMPGVQSDHLGAFEESLESGEMESHLTDPLASWVEDSQQAEGPPAKVARRSLPSPPPAVGAEGVELVEMETVEESDVEEQVEGGDLRAEVAVVTPIAQGPRSKAVYYKRAQATPASAVRKSARNASVAPGTSALARAQQLIAEKNLEGKTAPASTIGKEKGNVFSVLDILSDSHLTSVVTDSCMMFVPSAREPGEALSIVRAKERVQAAMAETARRLAREAETAAANQEVAGSPTAQEVADEVDPALLSPCVQGAGLSEAGASTARTPATRAKSRPAVAEGQSAVTRSRPLRKCVKASVRAVSTRQYKRRSSK >cds.KYUSt_chr1.17577 pep primary_assembly:MPB_Lper_Kyuss_1697:1:102119492:102129551:1 gene:KYUSg_chr1.17577 transcript:KYUSt_chr1.17577 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATATVKEALSALYHHPDDAIRTAADRWLQKFQHTLDAWQVADTLLHDEKSNLETLIFCSQTLRSKVQRDFEELPSEAFRPLQDSLYGLLKKFNKGPPKVRTQICIAIAALAVHVPVEDWGGGGIVNWLGDEMQSQQEFIPGFLELLIVLPQETSSYKIAARPERRKQFENDLCSSANVALSLLTACLGFDEMKEQVMEGFASWLRFCHGITASTLASHPLVHMALSLLNTDQFLEAAVNVTSELIHFTVSRDSSGVTEQFPLIQILIPHVMGLKEQLKDSSKDEEDVKAIARLFADMGDSYVDLIATGSGDAMQIVSALLEVTSHSEFDISSMTFNFWHHLKRNLIGRDSYTSCGSEMSIDAERNRRMQIFRPPFEVLVSLVSSRVEYPEDYNTFSEEDRRDFRHARYAVSDVLLDATDVLGGDSTLKILFMKLIQACGSGAEQNQNWQPVEAALFCIQAIAKSVSIEEKEILPQVMPLLPRFPHQEQLLHTVCSTIGAFSKWIDAAPAELLILPPLVDILNKGMSTSEDTAAAASMAFKYICEGKDMWEVYGNHYRCNASRNPNTVSETQSVLLPLPIQ >cds.KYUSt_chr3.39660 pep primary_assembly:MPB_Lper_Kyuss_1697:3:249962103:249965445:1 gene:KYUSg_chr3.39660 transcript:KYUSt_chr3.39660 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHDWYLKAYRRCESYITVYLRDEHYFRGEDEINLELEELFQLFNQDALDKAVISCYCLMKKLEYKRGKLLPLGFIDPNTVHEVTVRRFHFILLIIDLHLGVVNVMDSKRKEYAEWADMAAILRRAWKRFINTVPGEWKPELTYRDYPVAGSGLSVLVLCCGKACVGAAMENGEGYAQTVLPAAELADKAGEDTSIVADVLGARRPEHAGLGSEALATPKMTRTAPPCGPASPLEATSSTRPAMHGSGISMTTLVNQSTSIKTVENHKGLATNKVIEPVSVLSVTQEDHSHSQYLTFDKQGESTPNEILVSGKKNMPIAHTFSHKQILDFGGIEKETSQNVRSSGRLRAQPNYDATQLERAKMLLQKRDELPVIGMSKSQPTSLLSFSDEQFFDHATSLGVSLGSSHAENIASVKQIKDIELDRMVTMLGKSDKTKGDTDFDASCLLVSRASNLCEDLDDEEDLDGDELQKVTPIIIKNKKRRKKSYDKNNVRRSNHIRVKTLKS >cds.KYUSt_chr4.38772 pep primary_assembly:MPB_Lper_Kyuss_1697:4:239354552:239355164:-1 gene:KYUSg_chr4.38772 transcript:KYUSt_chr4.38772 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTTDASAATADAATKQPDTTDAADKEYSSYNGASTAGGGAHRARGGGGVVDSVVARWRREDMLDKSPLALHAAAAAFAFVALVLVASNQHGDWMQFDRYQEYRYLLAIAALAFVYSLAQALRHARRMRGGADPASTPSWRIFDFVADQASSFALFLVCCYLIAR >cds.KYUSt_chr3.13327 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80240638:80240871:-1 gene:KYUSg_chr3.13327 transcript:KYUSt_chr3.13327 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATMQLALLAVVLVGLVLAGQDADGARITPSAGVISYSGLPRGNRVNFSDEAAARPPGEANRYTRGCSKIAGCRG >cds.KYUSt_chr7.26217 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163726889:163728147:-1 gene:KYUSg_chr7.26217 transcript:KYUSt_chr7.26217 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRVSGRKRSVRDRLGSGGGGGGSRPRLDSAKSLCSEIRNRVEMGFAKLMGLGGVSSIRILNLQAVQKSHFRQSTEVVRRSSVPDLREKLSGVRRPQLSSTIQVPEPIAEIAKSAKPVQKRKLPAAAAAAAPPPPALPVTQKVNTSTAPKQSQEKVR >cds.KYUSt_chr2.11607 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73837242:73837940:-1 gene:KYUSg_chr2.11607 transcript:KYUSt_chr2.11607 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPIANISAVVTGAVQAAMAFPIGNISAAVTGAVQAAMAFAVEMLPTDVTRDAARETVRASVAWFLSHLWAWLVAARAGAVDNFPVAAKAARRAAGSAVEASEPWVEMASKLLLHLYGRLITASGGNLPDGADATAMGGRAEQHVLLLALILVVFVCGAVCALSCRTMKGPGLGGARVPRAMFEASPRRYYSTVRAGRRARRDASGAGWTLLVAAAVAYGAYAYLAGKTLY >cds.KYUSt_chr2.37639 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232945199:232947045:1 gene:KYUSg_chr2.37639 transcript:KYUSt_chr2.37639 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSAFTPMTHHGNLVALRPAGISHDRLLGSPPLAAVSRLVAVRSGFLIPLPFYFPILQHVFLDLPGCGLARKMLDILAPILELAVLPDFLKKHGMVPSAFTPMTHHGNLVALRPAGISHDRLLGSPPLAAVSRLVAVRSGFLIPLPFYFPILQHVFLDLPGCGLARKMLDILAPILELAVLPDFVQFIAFSWLFA >cds.KYUSt_chr3.5572 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31456836:31458167:1 gene:KYUSg_chr3.5572 transcript:KYUSt_chr3.5572 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFYEEESLRVVDVCKLTLLQASNSCQVTVWNTSDKLGIPFKIDPINLNLVMYNCTSASMVRRDRELEQTRMRCGNESQVFVRTEGSYDETSAMEGCDTLVVVPVLGGANGKANTSDYEQLIGGGFLLKWQRAPVSVHLPRLPHLHPSPTLDMADATMTNPSERAGKVRASQPALGRQGLNRQDEHPPVKPTKPERTITRQTLDLDLGPWPGSDTWPGRGWGMKPTHGQLCQTPSMCFPAILTPHRGTPDEISAAVVHR >cds.KYUSt_chr5.29763 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188745875:188749343:-1 gene:KYUSg_chr5.29763 transcript:KYUSt_chr5.29763 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVKLGYHYLLSHGVYLATIPVIVLVCGAEVGSLSRDELWRKVWDEATYDLATVLAFLAVLAFTISVYIMSRPRPIYLIDFATYKPADELKVSKAEFIDLARKSGKFDEESLAFQARLLAKSGIGDESYMPRCVFQPDANCATMKEGRAEASAAMFAALDELFEKCHVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGTGCSAGVISIDLARDMLQASGAGLAVVVSTEAVSFTWYAGKRRSMLIPNAFFRAGCAAVLLSNRRRDFRRAKYQLEHVVRTHKGADDRSFRSVYQEEDEQRIKGLSISRDLVEVGGHALKTNITTLGPLVLPFSEQLLFFAGVLFRHLYPSKTSTPPPPTAEGGTSAAAPYIPDFKRAFEHFCMHAASRDVLEHLQSNLGLRDTDLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPSRSPWLDCVDQYPARMDA >cds.KYUSt_chr5.30091 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190855082:190859457:-1 gene:KYUSg_chr5.30091 transcript:KYUSt_chr5.30091 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGIHSLFLSLDAIIFVADERTTRRNYPAAQHHRRLPERPPTNMSGVMTRRILATHYKLEPTHPHDTRLRAAVEWRRQEAIENLSLEGKAVYETVNNANDKFKAEKRILIASTVAKTVNSVVDLAVDKAVGAKVNAAVPNAVHGWDRVGPLCHLGSHLPRRRLGAAHQDAEGRHGEQPRRAPRCKTRWGTGIGANQPYIPPPA >cds.KYUSt_chr2.37681 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233193972:233202501:-1 gene:KYUSg_chr2.37681 transcript:KYUSt_chr2.37681 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKKLQERVVLGRTAWLLAEFAILFLLLALIARRAASPQGATSPWLGTLALVCEAWFAFVWALNMNCKWSPVRFDTYPDNLLPEELPAMDMFVTTADPLLEPPLITVNTVLSLLALDYPDVGKLACYVSDDGCSPVTCYALREAADFAALWVPFCKRHGVGVRAPFMYFASAPLEIGTGDEFMESWAFMKSEYEKLVTRIENADEGFILRDAEFADFIDTERRNHPTIVKVLWDNTKSRRTGQGFPNLVYVSREKSLKHYHNFKAGAMNVLTRVSAVMTNAPIMLNVDCDMFANNPQVALHAMCLLLGFDDEIESGFVQTPQKFYGALKDDPFGNQLEVGFKIGWVYGSMTEDMLTGQRIHAMGWKSALMDTNPPSFLGCAPTGGLASLTQYKRWATGLLEILIGRNSPILGTVFRRLQLRQCLAYMFLYVWPIRALFELCYALLGPFCLLTNQSFLPKATDEGFRIPLALFLTYNIYNLMEYKECGLSARAWWNNHRMQRITSACAWLLAFLTVLLKTMGLSETVFEVTRKESSMSDGGASPNDVDKGLFTFDSSPIFIPVTTLSILNIVAIVVGACRVVVGTARGAHSDPGIGEFVCCVWMMLCFWPFVRGLVSSGRYGIPWSVKIKASEKHIQSSRLSTHANAHATEVATAVFLDFIFKRDHRINLSRPAIDATMTPDGCTILHAYIIPHPSSMPCSTMPPRLNANNVVDEYHSTNIRQHPTTAPKTMPQELEENIRHCPLPNQHVCIVHPKDLICKKMCLRSTLTPSSFISRHYLSHRNTQRANPLRAPSNAVRIPDDTGYQERSCCARRITKGREADSRNQKAELLTTDDTHDNTLDSGHRL >cds.KYUSt_chr3.17955 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110409762:110412208:-1 gene:KYUSg_chr3.17955 transcript:KYUSt_chr3.17955 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPAPDGDGDVDRQEQLQIVLSSAAVVAPAPSSKPEPAPTVATHTRTIGIIHPPPDIRVIIEKTATFVAKNGPDFERRIVQLNQGNAKFNFLQPSDPYHAYYQHRIAEIAAQPPATDAAGAAVPEDGQQQLPSDPADGSDDKPDHSAPFRVAPPTKVLVPPKAELYTVHLPEGITGEELDIIKLTAQFVARNGKNFMTALAQREATNPQFNFIRPTHSLFTFFTMLSDAYSRVMRPDEGVPALIRELREGSKDLTTVLERCLNRLEWDRSQEQARQQADDEVELERMQMSMIDWHDFVVVETIEFADDEYEGLPVPLTLEELKRRKRMETLREDDEPAELAEPAKDDAMEMDDDEMQLVEEGMKAARLQENEGGAQVMVTGDDEPPMRIVKNYKRPEERMPAERDPTKVVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMMAKIKETTLAPDDEISRNIIGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGWTATQAMSMGGEEQQFDASNVRGPAPLPQPGMSLPRPPQPLPLINVPRFTPNPMPYHIHPPPHHMQGVPHMMPNMHQPPPPGQQQMIRMTGPMGHMPNSIPPPPGHTTQFMPGPPRFPMPPPPHMQTMPTMVNPIGIPQPPPPLPPQPPAEEQPPLPDEPEPKRPRTDDASLIPAEQFLAQHPGPARISVSVPSLDEGNLQGQVLEIPVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLSLAYYNVGPGVVINLALRERGGRKK >cds.KYUSt_scaffold_1854.215 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1173080:1174347:-1 gene:KYUSg_scaffold_1854.215 transcript:KYUSt_scaffold_1854.215 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGGVDSAGGGGGDIVLDQQDEQAPEIPGSSNDVVLVTKVTSSMAMVLDAFASYVADMVKQVAEDQVGMMLGVFGEIDKMGDKLQDFQNFLVDADRRNITDERVREWVGQLKRAMYEATDILDLCHLKAMEHGSSSFDAGCFNPLLFCMRNPSHVREIGTRIKALNKRLDSIKERSAAFSFINLVSYEDRHSSKVHASSHGNPGRETSGDLDRSSVVGEKIEEDTRALVAQILQTTNEVNNNIMVIAIVGVGGIGKTTLAQKVFNDEAIQGNFSKKIG >cds.KYUSt_chr2.14208 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89862478:89867837:-1 gene:KYUSg_chr2.14208 transcript:KYUSt_chr2.14208 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATEPEPEVFDVVIFGASGFTGKYVIREALKFLPPNASPLRTLALAGRSRDRVAAALRWAAAPGPAPDVPILVADASDPASLAALASRARVLLSCAGPFRLYGHQVAAACAEAGADCLDISGEPEFMERVEADLHEVAARNGSLIVSACGFDSIPAELGFFFNSRQWDPPSAPVSIVAHLSLESHKKIVGNFATFESAVLGFASASKLQALRRSRPRLAKPRIPGPPPPTGPLIKHDKALGLWVMKLPSADTVVVKRTLSKVTQHPEGLPGVEETPDFAERRQEFWSSVKPAHFGVKIGTRSILGVIPMICTGLFIGILGGFSFGRSLLLKFPALFSMGWFRKSGPTEAEVSSASFKMWFVGHGYGDSTRAAGRGGKPDKEIVTRVSGPEIGYITTPIVLIQSALVLLSQRGNLPKGGVYTPGAVFGPTDLQKRLEENGLSFDVISRRTLP >cds.KYUSt_chr5.19473 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126301328:126304292:1 gene:KYUSg_chr5.19473 transcript:KYUSt_chr5.19473 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRGAQPTIYMARTTSSEGAAVDMDLLEQLLSDDNGCLEGATNASCSSNYFASPSTFLSDATTTTTMPPTSANNTFWVQSCSTFMQRLDQALAYIFKTLIDADVLSQFWLPVKGNDGQLVLSTTGMPFFLDKSSESLRRFRDLSTRYTFSTVVSSESSPVPVGLPGRVFMGKLPEWSPDVRYFSRYEYPQVNHAQHLNLHGAMWLPLFEEGNNTCLGVMEVIMTRQKLNFTSEMNNIFSALQLNNEILDVLREACTTHRLPLAQTWVTCAQQGKHGSRNSDENYRYCISTIDAACYVNDPKMQKFHDACSDHHLLRGQGVAGKAFTTNQPCFLQDIGSSTKMEYPLSHHARIFNLKGAVAIRLRCTRTGTADFVLEFFLPTDCEALEEQKAVLDSLSGTLRSASQTLRVVTDKEMEDEAMLEMSELKLFGSQGKNKVEELSFGDKAAEHREEASWTSLAGYSQRESDLAEQSIHGRQSSSLAGIQTSAQGSKGKRRRKTEKTVSLQVPQQYLLALIMQTLYSGCIYVCRV >cds.KYUSt_chr2.6475 pep primary_assembly:MPB_Lper_Kyuss_1697:2:40340652:40347954:-1 gene:KYUSg_chr2.6475 transcript:KYUSt_chr2.6475 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHRLLAFRRWCPASTALPNRRSWGGGGAVGDVCEGAVFWEADRQARGGQGEDASGQRSASAVTRGPEDGSDLANDNKLDGNPASPMDHSMASTPGSNIAALESEHCVVNSAPDEPTSSFSKRRQNNAQQLDSFTHGNLASARRPRSSLGADHRTRDSCGLNGPNLPSVDMILEDKQEGSSRHKFIGDAKSNSDFLSAKKGVMLFSCSQGTSSQSGTSGNGNDEKNSSSANVENTLNVEVCQTVMDREDNLNEVQDIPTSTTVTFKRKRKPDTNDINNSVISMVPHMDEELQPKSSGNTPDSPNSGNEVNKSDGDDHLPLVKRARVRMGRPQLEDSIVDEPDISDNKTELAMPVDQCYHQDLSSVAGKDYPADEAPPGIDPSPEVDISLASGKDHSADKVPPSLDPSPKVDLSLASGEVQTACSNKECQSKVLTLDEEAALPPSKRLHRALEAMSANAAETITDLPEVNKPKDFILKPSTTSIARSPSNNSADAPLKSPKTAPTKSSEICATALDTPTSQKYDPLPVIVNNDSPLSVSLEFTNGDNHDLPKDEVCNRVDDVCGKSPTCSLESKEPAVVSELDQLPSGKASRNELADPITNSSQDFSKNIDGSSYPLGPAKTVVSGANEDCNTLPHDEPVLAEPTVCVVDRTSVSSLVTKVTCGQSVAGAQAFETLHSSFIGSSCRHGSSAMSLKESDRRMNPKDTSLSPDSMPMKELIAAAHARRLSQPTSFIDSFLDSNVISPSANIPSAKEGSGGRCSPSNNNTIRSASDRVHTQQNSGTILFDDMEQKSLNKLPGHDEARSARRAFENFLGTLTRTKECIARATRLALDCAKHGIAGEVLKLWLERKTLSEYIIRHHIKELEALNEAAFGTSRRPSGTERALNDPLRDNEGMLVDEYGSNTGFHLPNLIGTKLLDEEGSSSEDRSFEAVTPEHESAGANEQEGTSQVDGAKHRLVFEEVNGDLEMEDVAPSSEAKVRMFKISTLILFQTIEATWILVWFRFSLRCPILLDVQGIQIKYFHRHRCLHHLLHHPSHNSILLDPMEIFLAPQYRTMEITFTIHHQHHCLIMHTICIHHHRIHQVLQISSHICHPNPIKEYNLGIVILPIPRDISIMDMTEDPIHSTGDITLMIDGITLTIEGGISMMEGIILMLEDITSMMDHITMMIEGITSMIEDKCTLKLWIEEGFLHILDQIPRIQTILIMGGPWTIRQVLVPGGQCRLGDRSFLLAPDIQWIHRFPMKEVGGGKEDTIMIDFIDD >cds.KYUSt_chr1.28465 pep primary_assembly:MPB_Lper_Kyuss_1697:1:171926553:171932096:-1 gene:KYUSg_chr1.28465 transcript:KYUSt_chr1.28465 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPPPTRASCGSFLQELQVRFFRVSSGSRFRFYLLMQELWGEIGQDELERDKMILQLEEDCLNVYRTKVEQTRKQKAELLQAMSLGEADIEKILSALGERESFSRVEKLGGTLMEQLAKVEPVLDDLRRRRDERVNEFLAVQLHIVRLQAEISGTINHGDPAAPLVDETDLSIKRLTELKTQLNELQAEKNLRLQKIDVQLKCIDEMCNMMSLDLKKTLYDVHPSFVELERSKTMSISDSTLDRLAGKVHALNQEKKQRLRKLQNLGSTLIELWSLMDTPLDEQKCFDHVTSLISVSPNTVMPQGCLAHDLIEKVEAEVKRLKYLKASKMKELVLKKMTELEEIYKSVHMDIDRDHEWRTLTDLIDSGRADLSELLTNMDDRITEARELALSRKDILEKVEKWTLATEEEGWLGEYERDQNRYNAGRGAHVNLKRAEKARTLVSKIPSLLENLTARVKAWEKEKGIPFMHDKMRLLDSLEQYTSGRQQREDEKRRLRELKKLQEQFTAEHGSTYGAKPSPMRPLSARKPLGQSSNVNIIGGTPNSRRVSTPISRKGGLSCGKMKDAGKTTASIPANYVALPKDSSDNSTL >cds.KYUSt_chr5.18915 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122362026:122362622:-1 gene:KYUSg_chr5.18915 transcript:KYUSt_chr5.18915 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKTAATEQLKLLALAFVLGNAYRVLLRASPDNASCGRDLLLRVDAGHDLLVLPTLAAGTLLLAVRMAVDAAEASAVAAARASAALKGIGSVVAAANKAFDADVDAAAAAARARGIGSVAARWKRLSLRALLLLAVGLSLAASFLAVAVFEDGFFYRTGCPAVDGWVGPTSPVAVALMALLALLHGAVAWVAVSKD >cds.KYUSt_chr6.8276 pep primary_assembly:MPB_Lper_Kyuss_1697:6:50738062:50738469:1 gene:KYUSg_chr6.8276 transcript:KYUSt_chr6.8276 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDRLATRQPRSRCAIKLPQWRSTLRELMVKKEEGITEREEKRREEKEATAKSFVDLQVRALEVEEDLAKSKLVEAEFKARLMDVDAKSKVLEVEAKITAEENQIMLTDLATIIDPVQRAWIEKRQKMILTHED >cds.KYUSt_chr6.19 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136653:139377:1 gene:KYUSg_chr6.19 transcript:KYUSt_chr6.19 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRWDGARHAHFPDHGLAMDAAATAPSSSNPSFSASGTAAARRGRRRPSAPPHPHLLDPPRAVISSPAVLPDAASAAAANGYGPLGEVHGDLHPHVLSEKGGAKSIAFEEITWFRRRSPEESPSQRRMWSTRLLKDIMLTWTAQPLIMSRYNAEDWDQLKIASEGLKHRVFKVSLADLNNDEDKAYRKIRLRAEDVQGMNALTNFWVLFERVDDAFW >cds.KYUSt_chr3.39920 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251593456:251594892:1 gene:KYUSg_chr3.39920 transcript:KYUSt_chr3.39920 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESSNGGGDTADVGTVDPASSQFIVMLKECHFGLKQHQYLVSHVPADFHKAHGYTERRRVVLQMRGKSWTVNLKHTKLVRSGRRRTALRYGWHQFCVDNGLGLGDTCFFHALPEGSGEDHVLRVEVRKQDGTIVK >cds.KYUSt_chr2.47050 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294356756:294357115:-1 gene:KYUSg_chr2.47050 transcript:KYUSt_chr2.47050 gene_biotype:protein_coding transcript_biotype:protein_coding MCCYVGKATKIFLCIVTALLLAGLVLGFGLARRTLWGARKAEPACQWPRCGQQQPIYGEPLLPATTTTSPPSNPLTEPAVAVFPGFVASSTAVPPATTTVPVFGPPSPFSVGPGPSSRP >cds.KYUSt_chr3.29094 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182062626:182064012:-1 gene:KYUSg_chr3.29094 transcript:KYUSt_chr3.29094 gene_biotype:protein_coding transcript_biotype:protein_coding MELEADKNAALPAASDDAIQEESPTPAQSGKPGSESAAAAPEVDVQLFRRGRGPVAVFRSPLGGYTQDQLEVGDILEKHGLKSVFAFDPASRARGVAIRFNPRNGRSLLPYAAESTIFLDGEPKDPLVKPITKVMISFCAMVVVAAVLLKEAKMPEWLKESKLGNLNFPPWVLACIVFVFMRLRKRTKDVMKKVGLSS >cds.KYUSt_chr4.624 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3332387:3332715:1 gene:KYUSg_chr4.624 transcript:KYUSt_chr4.624 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDTAATAAARVCRRCKEKYSPSDNTPQSCRFHPSFFVCRRHDDQKRYYELKDGDPPYAARFYDCCGAEDPDAAGCATGFHLSYDDPE >cds.KYUSt_chr6.3054 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17886873:17890326:-1 gene:KYUSg_chr6.3054 transcript:KYUSt_chr6.3054 gene_biotype:protein_coding transcript_biotype:protein_coding MWKHSRFFTSVCGGCYELWCLGSPTIVLTATDFCPPNFGLAGVFGGRCKFPKRHLKMTEVAFLWVTKAKSQHYPDTISKAVVGWILLNFKIQHIGNIDKLVLMGKVCYLTV >cds.KYUSt_chr2.34496 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213069641:213070604:-1 gene:KYUSg_chr2.34496 transcript:KYUSt_chr2.34496 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGPGAASPCEYSLMACPGVAAWSTFPFQSLTSADLGVRRRAVCLLVLLVVSIGLLGFCGKQQPPGRSTNNPMANPLRKATTSFPHPTKPYPGGGQSPDGGRKLQPHTKDDDGASAVDSVCAALVLFVRAHASERRHRLGLFRPKSVGFQAPPARACAGLGRTARSVVDEEEPNTAMDVAAGARRLGHGTKSRVKEIDKVGSEISKQCQRAAAVETETGGRA >cds.KYUSt_chr7.17156 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106302186:106303502:1 gene:KYUSg_chr7.17156 transcript:KYUSt_chr7.17156 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFHAGVLPPPPLLAMGRKGGHRDRNPIHPMTGRPLDLEGVTIVDDPNATEGDAEESAEPLLEA >cds.KYUSt_chr2.35754 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221006443:221007000:-1 gene:KYUSg_chr2.35754 transcript:KYUSt_chr2.35754 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGAAFSTKAAYRLLQSDDSADTSSCIWVSRLLGRVKIFGWLLHLNRLNTRQNLHHKTIIDYDGRRSVAPLLRLPRRKERLATSSARPSNPSNLRHLDTTIQRLTSFLSLARPPWHSQFGKSGTVGMPCTTDAMIFINEDNTAQSTISNVISDLFLWLYRLKNPSQKEGAVLWPNHLSTCNSEH >cds.KYUSt_chr1.1391 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7580202:7581581:1 gene:KYUSg_chr1.1391 transcript:KYUSt_chr1.1391 gene_biotype:protein_coding transcript_biotype:protein_coding MTREQYNLVPPQWCASKKACYAQIVDGWVSPAFLASHRDAQRRRRLLVGGVHRQGPLAFGAYVEKQRKEKGEDVHEFDILCQSRMKKVVKEGESPWLNENFNNKRIAYCDKFKQVKGKDADPYKEPIDAEAKWDEERRRAEEAERELAEVKATLQSHDERFASYDQLFAMLHAAGAPGMAGAPPLPPLPPLPPLSGPFGIPSAGSHNPSHQSEASPVTPAGSTSMPPPANPDLHSLRRQLCEFSCSSPYLACRNYA >cds.KYUSt_chr6.27262 pep primary_assembly:MPB_Lper_Kyuss_1697:6:172906375:172908195:1 gene:KYUSg_chr6.27262 transcript:KYUSt_chr6.27262 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHHRPSRLRRILTAAGAATVAGLVLFSGRQAVLSKAPVFSPGLFPGGLAVESWPLPQELLRPPTFLFPHPSEADIDFALPRRLLPLRPHSPPQHDVFLPDESDAAFLPDSDAVLLPDSEVLVLADEPVDDAICAFQGGASSPARALGTLPGPGRHAYLCAMPETEQSIQPLQAPLLLSSSSADSPAAAPADFHVRPMLNWSNRLVFDSAVLDGGDVLVFAKGVIRRQWANTANPPVQCVYRGRDDGASASLPAITAAQQVARCPPPPALLTSSNTQLRVTLSVTGEEPIPSLAIYRPQQSDLAAVAPPTRSTICACTMVRNVSKFLREWVLYHDALGVDQFFLYDNGSEDNLVGKVADLRSTGVNISTVAWPWTKTQEAGLSHCAAVHQTSCQWMAFIDVDEFIFSPDWKNVENPSKSMLEAVVSVDPQIGQIYLPCFDFGPSGQTAHPQEGVCQGYTCRLKTQQRHKSFVRLDAVEPSLQNSVHHFSIKAGFTNMWTRLARINHYKYQAWTEFKLKFKRRVSAYVADWTDPVNLKSSDRAPGLGVEAVEPPGWADKFCEVKDTLMQELSVRWFGTGFAGHGSSKSKGSHETHTGDVALSSSLP >cds.KYUSt_chr3.9622 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56523330:56529764:1 gene:KYUSg_chr3.9622 transcript:KYUSt_chr3.9622 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGVEGEKAPALKLETLLALGLDQRTAENALVNSKVTANLAAVIAEAGISGCDKSVGNLLYAVATKYPNNALVHRPALINYIVSTKIKSPAQLDAALSFLANVGPESLDIGKFEEACGVGVVVSIEEIHSTVAEVLKGNMEAILEQRYHINVGSLCGQVRKKHPWGDAKATKEEIEKRLAEILGPKTEADNVKPVKKKKEKPAKVEEKKVAVATAAPPSEEEQNPYTIFPQPAENNKVHTEIFFSDGNIWRAHNTKEILEKHLMETGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVQWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTGEQIKEYREKKMDSPWRDRPIEESLRLFEDMRCGLIAEGAATLRMKQDMQNDNKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSFENITHSLCTLEFDIRRPSYYWLLVALGLYQPHVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSATAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTASRTMVVMHPLKVVITNLEEGKVIDLDGKKWPDAPADDASSYYKVPFSRVVYIEKTDFRLKDSKDYYGLAPGKSALLRYAFPIKCTEVIYGDNPDEIVEIRAEYDPSKTSKPKGVLHWVAESAPGVEPLKVEIRLFEKLFLSENPAELEDWLGDLNPNSKEIVKGAYAAPSLATAVLGDKFQFERLGYFAVDMDSTPEKLVFNRTVTLRDSYGKAGPK >cds.KYUSt_chr4.8459 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50640339:50643839:-1 gene:KYUSg_chr4.8459 transcript:KYUSt_chr4.8459 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFRGPPGRPSALVSWWRRRPPLGFAAKVSIAIALGLSFVITWTTLSPTSSSQQISTERTYFAADIADPPPTSRNATSTSGGHRKKPSPRGHKKRHAPARSHRPNATPSPDAAAAKANRTEPDSMPEQESNEKEREPASEWETEPGEEHEQEQEQEPEFAVPEENVESNGKAPKEEEDGNAPELELGDDSSELDGDEDDFAETTKSKDSRKKKKKLPPLFSPAAHYHWKLCSAKSGHHYTPCVDFDGDGSQRHHERSCPRSPVTCLVSLPKEYKLPAPWPERKEKIWYGNVGHPRLSSYVKDNSWLNRTGEHLLFPAEESEFKGGAKHYIETIDEMVPDIDWGKNIHIALDIGCKSAGFGVALLEKDVITLSLGLTNDQTDLAQVALERGIPATIGSLGSRRLPFPSGAFDVIHCSECNIPWHSNGGKLLLEMNRILRPGGYFIISSRHGDLESEEGISASMTAVCWNTIAYSSDDVSELGVKIFQRPASNEEYDLRAKKDPPFCKEAQNKATAWYIPIKHCLHKAPAGIEERGSDWPEEWPKRLETFPDWLGDLQTRVAADHSHWKAVVEKSYLDGLGINWTNIRNVLDMKAVYGGFAAALSSEKVWVMNVVPVHAPDTLPVIFERGLIGVYHDWCEPFSTYPRSYDLLHADHLFSRLKNRCKEPVTILVEMDRILRPGGWAIIREKLEILDPLEVILKSLHWEIVMIFRKDKEGIMSVKKTTWRP >cds.KYUSt_chr5.27498 pep primary_assembly:MPB_Lper_Kyuss_1697:5:174109643:174116139:-1 gene:KYUSg_chr5.27498 transcript:KYUSt_chr5.27498 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAGPMELVAALLRGITPAELAGAVPAGERAEVRALLATLAAAVLGCALLVLWRRTAAGKKRKDADKASAALATQGKKARQGTEEQPADDGRKRVAVFFGTQTGTAEGFAKALAEEAKARYDKATFKVIDLDDYAVEDEDYDKLKKEKLALFFVATYGDGEPTDNAARFYKWFTEGNERGVWLNDLQYAVFGLGNRQYEHFNKIANVVDEILTEQGGNRLVPVGLGDDDQCIEDDFNAWKESLWPELDRLLRDENDVSTGTTYTAAIPEYRIEFVKPEEASLLDRNFSLTNGHAVHDAQHPCRANVAVQRELHSPASDRSCTHLEFDIAGTGLTYETGDHVGVYTENCPEVVDEAEKLLGYSSETYFTIHADKEDGKPVDGGSLAPPFPSPITVRNALARYADLLNSPKKSALVALATYASDPADADRLKFLASPAGKDEYAQWIVVSQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPSMAPTRIHVTSALVRETTPAGRVHKGVCSTWIKNANPSDDSWAPIFVRQSNFKLPVDPSVPIIMIGPGTGLAPFRGFLQERLVQKESGAELGRSIFFFGCRNSKMDFIYEDELNNFLDQGALSELVLALSREGPAKEYVQHKMAQKASEIWDVISQGGYIYVCGDAKGMAKDVHRVLHTIVQEQGSLDGSKAEGFVKNLQTEGRYLRDVWGNITSSKQRRNKDDPVKQFFAELRYDHHFGTPMAEICTIIDCLEKQTSAYQAGLGGSVPNKKEE >cds.KYUSt_chr4.6845 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40457633:40459288:-1 gene:KYUSg_chr4.6845 transcript:KYUSt_chr4.6845 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGQPRRAPAARRPKPSASSSAPSHDRKRKRASAAKTVTLKNQIRSTERLLRKDLPNDMRVAQEKKLEELKKQQELQNQLAVQRTVQLRDRKIKFFERRKIERMIRRLDKQQRTNGDDASNKLSTLREDLEYVRFFPKNEKYFPLFTGGNTPDIVEKRNAWRKQIKENLMAAAANGKDLEETASDDDALDVSDDDFFMSGSSSDEEADDELTDKSAKYDSRLLDIVFGCLLLSSQ >cds.KYUSt_chr7.9627 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58916506:58918271:1 gene:KYUSg_chr7.9627 transcript:KYUSt_chr7.9627 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFAFKSGKNKAEKQRPRPAGAAKSPAPTSDGTGPTSKASSGSTPTRSIQELSEERGAARLRAFGLEELGSATNGFSRSLKVGEGGFGSVYRAFFRSAAGGRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVKLVGYCAVDSDAGNHRLLVYEFMPNKTLDDHLFSRAYHPLPWRTRLQIMIGAARGLDYLHQGVPEVQVIYRDFKASNVLLDGEFRPKLSDFGLAREGPTDGRTHVSTAVVGTHGYAAPDYVETGHLTAKSDVWGFGVVLYEILTGRRSLDRNRPAEEQKLLGWVRRHPPGGGSFRTIMDPRLGGRYPVAAARETARLADRCLGRNPKERPSMGDVAEELERVLQMEPAPTSAPATAKK >cds.KYUSt_chr5.28382 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179763829:179765463:-1 gene:KYUSg_chr5.28382 transcript:KYUSt_chr5.28382 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAARSLAAPLRRPPPRRALPVPLPRLSTASPQEDPCPDPGPGPSPDIDPGLVSALSRVLSDFRGPLHDLPAALRGFAPRLTPDAAAAVLRRCRHLPVPSLRFFLFAAALPGFSHLPDSLLVLANSLSSARLFPLLRSLLSDLPPAALPRGLFPRLFRAYSRALLPDDAIRAFSSMAGFGFHPTLADYHSLLFALSHNGLVEHAETFFRESATSFDVSAKTYTILISGWAVVEKPESAQKLFDEMVERGVEPDVPAYNALIGALCRGGDIARAEEHLKDMQQSRGLVPDAATYGPFIRAACASKDARAALRVLDRMRMHDLTPNVFTYNAIIRLLCELGEIEEAYNILGEIIARGEKPDVWSYNTLLNAHCKKNEVNKALRLIARMDKGLCVPDRHSYNMLLKMLIGIGRIDKAVEIWDGMEERGFHPGAATYAVMIHGLSCKKGRLEEACSYFVMMVDEGIPPYQATCEVLRDRLTRHGLRDQLEVLTDRMRRSTSCTIQEMTSIMCTSKKADETRSVSIEQELTGHVLDESEWRGKWKLGD >cds.KYUSt_chr2.40978 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254612638:254618270:-1 gene:KYUSg_chr2.40978 transcript:KYUSt_chr2.40978 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPPAKALSAGGDARISLFLDTDLGTHLALNVAADSTIRGLKSQVATEHADAFPDLGTVVVKSFQVRRKGAMYHLSDSMPVRSAFAKVKAGYFLHVKMVAAETDTRYSRDEGRGKSSDKLPPMLKGGEDAGAWVTVHAHDAHPSSSLQQNTERKSTTFCVATDVQTVSVPVIDKSESDKQGQKHKDQTEGVYVNSTSVANINVLTNQSNVTLAGKEVHAREEDILHSVGDRELGFVSSNKQMGMKEDMSAELHALDDLSQEKDCKKARVTGSFDMSAIDPTRETNDSKTTDVENFDKLLLETITTSCEVISNTSLQQQVDGNVKEDSIQLEIPSLTGKNKKRKIKSKDVSAPKMIESSTGAVEVPKDTGEDLLQENKGLEGDGASEVEMTCRDKTKVIPPDMLLLPSQLNGVSQVTKLVQLGTDAQATCDLAADQSNIYLVHEEYRNPIGRDLGISTCKVVDGEEKSAKGTNDGDHDESAVDLGNTEKGSKRGNVLETLDNISQEVNCKQSKKVTSVGLTSMDTDEAKNQCGYDKKADKSDIIPTQQEIVNDPSKRQIASNVQQGIENPNGDVKRKKRRRQHPESSKDDPTREAAESSGFIMNASSTQNTSSCCLDANQITLGNIGEETADEKDQCLREKVIELVVCTQGVSVNDPSNVQQGDSDVKKNPDGDGKRKKKKKRCLGSSMDDPTQDVTKSSGLITNGSSIQNISADPLNAEQTTPGMAGETTESECKKVDETVDLATTNVINEVLADLQKKSKRSSKAQAPKIEEVDHSTHGHENQFANDNQDKHVSDIGVTNNIKNTVGAPRESSLVHKDDTTVTCGKPNARKGRKKSSKTELRSQDTNLGHGSDADLMNCVARQGAIIPEGFSDAVQPNDHAAVRPGNEKINFIDHFSPSVMNDPSDYAQNDDETIREVKAKKKSKRKADTHSQHAGSTEPNDLPESLVHTAKTSLADHFGTGNVGVPSVSAENVNREDGNVKKAKGKNKRKGKQDLIKPESLNPNGGDQDTGNCTQDLMHSDVQEGRMEQCNAKENNDKVIHNDSMLQQETVDATHDSTLEKKVPQSLFGADGQTDLPIGKDHAPKNKEQRNSTSQTKRHAMRPEASNESANGTPAIKRVRVAVRKVPRKMYEHAKDKSKKDNIKRGTGTIFGDDVSESSDEVLNTMSAKAAMGNSSSTSADSGISSAALYESDVPDDDGIASLSQKSDILSVLRGSTSYKKARLKPTELFDDTEVPDSQPPI >cds.KYUSt_contig_3398.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000656.1:40665:41755:-1 gene:KYUSg_contig_3398.4 transcript:KYUSt_contig_3398.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYIYKLARATSFLTTQHDPSHIPPTNQRKSSNGRAEAHGGAFPRRDPGGGPGRLLRCGCWLCPGHPGYSRGPGYCRHPRHPGNPGYSRAVPSGKATTEEQKLIEKINAGFKAAVAAAAVVPPADKYKTFVVTFVAATNKAFVEGLAWRHSRGQVRCLRRHPHRGAPRHRRHPEVHAVKPAAEEVKVGAIPAAEVQLIDKVDAAYRTAATAANAAPANDKFTVFENTFNNAIKAYAAKQATAPEVKYTVSETALKKAVTAMSEAEKGGHARRGYRHPNTRGCHRHRNPCRCLRHRYPRRCHRHPAAAGGYKV >cds.KYUSt_chr3.48900 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305536671:305538240:1 gene:KYUSg_chr3.48900 transcript:KYUSt_chr3.48900 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWTAPRPASGSSPAPSIGRWRRPEFVRTGPFLIIVTAAAFNAAGIAALSGHGFTAAAFNAAGIAALFGQVLTTAAFNAASFVSAPALAAAGFFTIIAIAAGNAAATFPVDAAAGFASAASLRLRATPEGLELGSERLRGAGHRSWLHAAPLLHPLPRALVATRSRPHLAASLRGGGGILAEGSSKLRAACARHLRPSSSSSAHLHRPARRACGERNWKQHISWRRRAPLAAAKLACARVSTKEKEKAQAG >cds.KYUSt_chr4.42759 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264790010:264793291:-1 gene:KYUSg_chr4.42759 transcript:KYUSt_chr4.42759 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRAAHSLASRSLLLSARAPRRHRLPRRLGRGRGRRPLGNNPPPPSPAPSSRALPGGIAGAVSFSLTFATVAAAEVQDKERLPADLLPHNVVLYQYQARPFCNKVIGKNYGVFMDHEAQLRHNMHGKSGEPDGLARKG >cds.KYUSt_chr2.46212 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288543981:288547818:-1 gene:KYUSg_chr2.46212 transcript:KYUSt_chr2.46212 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELIGVWGFECREEAVEVEGFDWFDIVGAVDINPRNFDDLTDVAYVSYLALTNQTTYEIARRRRITYLRGVPSKVYPFSNGICRNLYDLCLSREKGYVLEAVPPMEELEARARPYTCRDVICCRCC >cds.KYUSt_chr4.42904 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265773245:265781578:-1 gene:KYUSg_chr4.42904 transcript:KYUSt_chr4.42904 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAGPAAAALLLLLAFAVAGVLADGSDHRYKSGDPVPLYANKVGPFHNPSETYRYFDLPFCSPEEVKEKKEALGEVLNGDRLVDAPYKLGFRTDYDSKAVCSKRLTKEDVARFRASVAKDYYFQMYYDDLPLWGFIGKVDKGNKLPPSEWKYYLYKHIIFDILYNADRVIEINVHTDQSALVDLTDDTERDVEFLYTVKWKETATPFEKRMEKYSSSSNMPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAVDDQEESGWKYIHGDVFRFPKNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATAFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKSEFQAPCRTTKYPREIPPLPWYRTTVPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLIGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMIAQAHPPMPLAARLPLQPSQSQLKPQVKSQAMGAGKTAAGMPRIGMGTAVQGPKPDPVRRAVLRAIELGYRHFDTAAHYETEGPIGAAAAEAVRSGAVASRDELFVTSKLWCSDAHRDRVLPALRQTLRNLQMDYVDLYLVHWPVTMKAGRFKAPFTAEDFEPFDMQAVWEAMEECHRLGLAKAIGVANFSCKKLETLLSFATIPPAVNQVEVNPVWQQRKLRAFCRGKGIQLCAYSPLGAKGTHWGSDAVMDTGVLQEIAAARGKTVAQVCLRWVYEQGDCLITKSFNEERMRENLDVDGWELTEEEHRRIAEIPQRKINLGKRYVSEHGPYKSLEELWDGEI >cds.KYUSt_chr5.35533 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224542590:224543288:-1 gene:KYUSg_chr5.35533 transcript:KYUSt_chr5.35533 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAFLLFLMLALAGAVADAKDDRPALIVVNLHGHAPVDGKTSVGMQTHDLSLPGFTNGRGDWQAFPGHEHLFATSTPLPFGDSYEELIGGLANLPDVPLGRDAMQHAAQVMFAYDPATTADHQPLKRALASLKVMLSEAQRLQPIHETVSSGWESGARVNAEHLPYIEHWDTMSYEILRSNRTGKWDGPFTKMLETRANIRSEEEALAVVKVLLNPSFERVLMAHATRIN >cds.KYUSt_chr5.5158 pep primary_assembly:MPB_Lper_Kyuss_1697:5:32534378:32537340:1 gene:KYUSg_chr5.5158 transcript:KYUSt_chr5.5158 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCDCIEPFWPTDELLIKYQYISDFFIALAYFSIPLELIYFVNKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFATHSRTVAIVMTVAKVATAVVSCATALMLVHIIPDLLSVKTRELFLKKKADELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGSSLQLSHTLRHQIPVGSSVQINLPVVNQVFSSNRAIIVPHTSPLARIRPVQGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSYAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDSARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEISAFNLHAVFKEVMSFVKPIAAIKKLSVSAMLSPDMPLSAIGDEKRLMQTILNVCGNAVKFTKEGHISLLASVVKSESLREFRSTDFHPIASDGHFYVKVQVKDTGCGISPQDLSHVFTKFAHTQSSGNQGYNNGSGLGLAICKRFVSLMGGHIWLESDGAGKGCTATFIVKLGVCDAYQQPPAMPLVWPSHANSDPSGGPAAAAARREERGMSNLKPRYQRSV >cds.KYUSt_chr1.31011 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187880727:187893798:-1 gene:KYUSg_chr1.31011 transcript:KYUSt_chr1.31011 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSGAPGLGTAGLSGSRRSSRSWGSSISHSFRQPGMDDDPFRRGSASSSRRHDDDEENLRWAALEKLPTYDRMRRAILLGGDHQLQDMAGLVEIEHLASGDGGRLLLERVFQDDSERLLRSLRDRVDRVGIELPAIEVRYKDLSIEVDAVVGSRALPTLWNVTTNFLQSLIGRLASSNKKTISILQNVNGILKPSRMTLLLGPPSSGKSTLMRALTGKLDKSLKVSGSITYCGHTLSEFYPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGVGARYDMLAELAKRERAAGIKPDPAIDAYMKATAVEGQDTNIVTDLTLKVLGLDICADTMIGDDMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYVKQLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESSGFRCPERKGVADFLQEVTSKKDQQQYWYREQEQYHHVSVPEFAERFKSFHVGLQILKEMQIPFEKSKTHPAALTTKKYGISSKESLKAVMSREMLLMKRNSFIYIFKVSQLIILGLMAMTVFLRTKMPSGQISDGGKFLGALTFSLITILFNGFAELQLTIKVLPTFYKQRDLLFFPPWTFGLANILLKVPVSLMEAGVWVILTYYVMGFAPSAGRFFRQLLAFFATHQMAMAMFRLMGAVLKSMVVANTFGMFVILIIFIFGGFLIPRGTNRAKTRPTRSQIDLPFQPLSLSFNHINYYVDMPAEMKEQGFAESRLQLLTDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGSIEGSITLSGYPKKQETFARISGYCEQSDVHSPNVTIYESILYSAWLRLSSDVDDKTRKMFVEEVMTLVELDVLRNAMVGLPGVDGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRALMLLKRGGQVIYAGELGRHSHKLVEYFEAIPGVAKITEGYNPATWMLEVSSPLSEARLEINFAEIYANSALYSDSQQDLFNLLGATYAAVFFLGAANCFTVQPVVAIERTVFYREKAAGMYSPLSYALAQTSVEIIYNILQGCLYTIVIYSMIGYEWKADKFLYFLFFIISSFNYFTLFGMMLVAMTPSSLLANILAGFAVPLWNLFSGFLVFRVAIPIWWRWYYWADPVSWTIYGVVASQFGESTGSISVPGGSPVLVKKFLHDNLGMQHDFLGYIVLGHFAYIIAFFFVFGYSIKVLNFQKRPRGIPIRLRGRAGPVPDLNQTPRSGDSCPAMIHKTRAVPEENMSVPHNLYDEMPPSAPMMDDTTYWAGRREGDIAAMTYGGGFICEGGVRIGTQEPIPET >cds.KYUSt_contig_815.138 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:781534:784321:-1 gene:KYUSg_contig_815.138 transcript:KYUSt_contig_815.138 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLVLGNLQIPVSMTRIMLALSIIVKGDFNPHVPDNKKNLVQVLTAFYIMVLCQGIFYIVACILESFSYFPRTALALSCGLVDKVGSESISLYYEQAYDTFVQESVLDAKDMSLVTFAIDSLNSEVSHDKKLAAVRILHSFLQQRHQRQQVGQIGQDEQASNTKVVSEIIGSTKAVTTLISMLGSVVPEDADIRLFAAKVVADFAQYLRISGIPGTVQMVSSLLDSGNKPGREDSSPQIANAADQEVREASGSLGVEGNEGNTADQEIGHAPNSPTMDPEQEISRATEVLPKIIGLIRNITSTTTPQQKKVTTSALVLVAKLASVDWKSGVTLRKELSENPFLLSKLAEILANNCSGPEQWKPTMDIIAKLAMNEETRQEIGGIQVIISKLMHVFLGRDEPSNRYDPSLRTAAGQALAMLVMESAGNCSAILEEPVYNTILDLNQMLIDYENEYLVVTIMQSLWAHSIVEPRHRNCLNNQMLPDFIAEVLGRIMSAEGQRMEALVGLASQICNVVPELFIHGLESNLGAAAFVQELVYKLNACTRPTPEFPRMRRSLVELTVSMAQSCDRYPAIFKEHGMVEALLKVEKTPSKVEKYRLFYGSTGVVPEGPMTLSNLVAIAKGLIM >cds.KYUSt_chr4.1297 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6848482:6849138:1 gene:KYUSg_chr4.1297 transcript:KYUSt_chr4.1297 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLPRAAVLLLLLAAPLLCAAQGPISQPPSPAASPLLHPDSTAAAADAWGAKSASPSTAPAAHSPALAPAGDEDKAASPPAPAPIETAPAEAPAPASSSSSPHSTAAATPAPAPAPYDGGDYDDAPKAPAPAPATMQVKSATGTGASDVDQHPQAVDAAANERRAEGMSGGKKAGVVVGVFSAVAVVGLFVVVYRKRQANIRRSRYADYSARLELV >cds.KYUSt_chr5.11910 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77363829:77367206:-1 gene:KYUSg_chr5.11910 transcript:KYUSt_chr5.11910 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDRDRYQRLPLDISGGGGGGGGARRPASSCASATIILFVGLCLVGAWMMASTTTSNVAMSVSSENSSDEDMPADMTRSVVNEGANGDAPQTTKNAGKEEEDGPGDTTRTGDFAAGDTGKKDDDSGAGQTTEDAAGTDDGERRQGGGSGEGDTPQTTENASKEEDDGPGDTARTGDAAAGDINKKDDDGGAVQTTEAAVDQSGTVVVELTDAASNGTPVAGAGESPSTNVTFSDESGMTEGGDVARPDDTDKKANSGTEEATTGVQTDKSAEDAATGTDQKGGDKNTVEAPRDTTNTGDQVDKSSDKASPDTAETGGQDEKNNEAAPTEKKEEAGGQPDNITDKSSAEETPAYAKDAGDDGTAKNQTAFDDRNGNLDGDQNGDKVVTSDDTAASNNQTSSVDQNDAPKNQTSAALDDTISQEAGMVPTNSTTTTTEGEEKPVTEPVTGGDKETVELLPSGQAELLNETTTTAAQNGTFPSQATESSEEKKKARSRSSSSKDSNGNVVVGETSTEESSYVWKLCNASTGADYIPCLDNEAAIKRLRSTKHYEHRERHCPSPEPSCLVPLPEGYRRPIPWPRSRDTIWYNNVPYTKLASYKGHQNWVRVSGDGEHLSFPGGGTQFLNGASHYIDVIQEALPAVAWGTRSRVVLDVGCGVASFGGYLFDKDALTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPGGAFDVVHCARCRVPWHIEGGKLLLEANRLLRPGGLFVWSATPVYRKDEENVGIWQAMAALTKSMCWEMVTRTSDTVDQTALVIFKKPSSNECYGKRSHPEPPLCEESDNPDAAWNITLQSCMHKVPTESAARGSRWPEQWPERLTTAPYWLSDSQVGVYGKPAPDDFAADTEHWRKAVNSSYLTGMGIDWSNVRNVMDMRSVYGGFAAALRDMKVWVMNIVPVDSPDTLPIIYERGLFGVYHDWCESFSTYPRSYDLVHADHLFSKLKDRCKLRLVVAEVDRILRPEGKMIVREDRETAEEIERIAKSLHWEVRMDVSKEGERLLCFEKTMWRPTQVETQS >cds.KYUSt_chr3.36628 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230388792:230392646:-1 gene:KYUSg_chr3.36628 transcript:KYUSt_chr3.36628 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSALSFLSDRKRPIAISVALFILLSSLFLLLSPAPSALPFFFPTSRLSSSSSFSPVAASASASAPPQTPMPVSGSAAPQTPIPVPADASPPETPVGISGRSGNGSADLPPADTAASAAANALPQHRSTPPPAAAEVSTSADTKEIPTGVSVGPGAEGGGGGGGREEEPAELPSWELCKVGKRVEPADYIPCLDNVKAVSALKSMRRMEHRERHCPTEPRPRCLVPLPAGYRLPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGDYFVFPGGGTQFKAGVTRYIRFIEQIMPQIKWGTHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPALLAVIGTQKLPFPDNAFDVIHCARCRVHWYADGGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEDDWNAMVTLTKSICWRTVVKSKDVNRIGVVIYQKPTTNSCYIERRNNEPPLCSRMDGHSPWYTPLDSCLLLPVLSTSREEKGWPISWPERLKMRYSTTSSNFSIQFSQEKVDSDTKHWNGLVSEVYSNYLAVTWSSIRNVMDMNAGFGG >cds.KYUSt_chr3.49222 pep primary_assembly:MPB_Lper_Kyuss_1697:3:307693403:307696166:-1 gene:KYUSg_chr3.49222 transcript:KYUSt_chr3.49222 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMAPRTRKLVCTVLLLLTLLCFQLHSARAHGGIDHGDGDEDQDHEGAPAVDRSVLRSKGLIAVKVWCLVILLVGTFLGGVSPYFYRWNEAFLLLGTQFAAGIFLGTALMHFLAGSTATFHGLTKNPYPFSFMLACVGFLLTMLSDVAIVAVTRRGQRKNQVDQEGIKEEGVDASSSVATAAHGQQHPMLMTATSSFEDAILLIVALCFHSIFEGIAIGVSATKDEAWRNLWTIGLHKIFAAVAMGIALLRMIPKRPFLMTIVYSLAFAVSSPLGVGIGIAIDATAEGLAADWTYAISMGIATGVFVYVAINHLMAKGYHPQQPNYFDKPIFKFLGALSGVAVMAVVMIWD >cds.KYUSt_chr1.42165 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258236165:258237526:-1 gene:KYUSg_chr1.42165 transcript:KYUSt_chr1.42165 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKSEEQPVKASPWNHYRLVDRDAGHGGQLASAKDDVPGKCASFTCFGCTPARLEGASPPKLSSSNTPQKLSSSTNGNRIANGSINGIGKKGCLKSNSKRDSSDRSSIVSDGEEPRESLEEVQTLRTGMERRKVHWTDTCGKELFEIREFETSDEGLSDDEGENDGFRKCECVIQ >cds.KYUSt_chr4.42973 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266177294:266177973:-1 gene:KYUSg_chr4.42973 transcript:KYUSt_chr4.42973 gene_biotype:protein_coding transcript_biotype:protein_coding MLITEWRTGAPVFASADDPVWRLAPSPDGVEDAIHHDGQFYSVSYTGVVEAWERDADSGAYTSTPIAPRLAVEEHKGESGAPSCRKYLAVSPGGRMMVVIKYPEVVKERYGSDKWSCSFKIKACCIYYTDDELGPAELRKHSGRDNSDLRTVGVYSLKDGTVENIKALGKEQRSFYPPPAWITPSIP >cds.KYUSt_chr2.32271 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199042472:199046127:1 gene:KYUSg_chr2.32271 transcript:KYUSt_chr2.32271 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPQAGRFTQGVAARAPPPASVEGPAYRATLQRCVALVDWWLVRGEDDKIRVAGYPERNRAARLFYSDSITMRHADGTLETADHKILLIRGPLNIRQMHCNGFPYEMNENTQSPSKSTEYYIEKFLRGSFINSAEYSFMEADFKSSKGPTGNTDGPPSQGLSDLSNGIPKVQEPTGDGADYHNSVSITTASEGLCNGRIGMPDESCEDSGPGETYSGRTSQAAKPRELQVLAKGLSPAFGLLQCSKDNTGRRLRSGKVCEMSNGASFKKGNTKRKTMQHETLNVNVEPIEETTSPSDPTCHENVVFCHFSFAPIRITILSWVAQLLKSLLQMNFTHNIQVIKDEEDATAVKRLAEQFFAGQLSMYVGASGDYVTNQFTCADDELKYNSFRCISS >cds.KYUSt_chr3.43354 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273796774:273797355:1 gene:KYUSg_chr3.43354 transcript:KYUSt_chr3.43354 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEIAIAPVVYGDNGGGGAAPCARYRTEMEAAREHEALASPALAVVGDGDHGVAGDGGHVLRAKSTCSATRDRQSCKGYERYSAELRLKGGGAAKASRRSCRVCSPELRAKGTGVAKAYRRSCKGCSLELQTLLVRATSEGWQCCKSYYPEMQRLLAGAASEGRRCCKLCLTELQRSSVPATKTVMLASRGN >cds.KYUSt_chr7.22750 pep primary_assembly:MPB_Lper_Kyuss_1697:7:141253216:141256143:1 gene:KYUSg_chr7.22750 transcript:KYUSt_chr7.22750 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNGKPSRGEEEAAAEGSIGGYESLHRLLESNLSPELFKEASRLLLGLNCARPLEAISLPAATTALAQAHDFDVQAFRFNADKEHMRQPRIVRVGLVQNSIAVPTTCHFADQKKAIMEKVKLIIDAAGASGVNVLCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQDLAQKYNMVIVSPILERDINHGEIIWNTVVVIGNNGNINGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNAFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLMISDMDLNLCRQIKDKWAFRMTARYDMYASLLSEYLKPDFKPQVIADPLINKRRA >cds.KYUSt_chr7.10713 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65895293:65901009:-1 gene:KYUSg_chr7.10713 transcript:KYUSt_chr7.10713 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGHKKGCTVVLEEVATHDLWIWHSFFGTPGSNNDINVLNCSPIFSKLVEGHAPPVNYVINGRHYNKGYYFADGIYPKWATFVKTISKPGTPKHSEFVKKQEVCRKDVERAFGVLQQRFAVVRFPAMTWSKDQMWELIWDEVGETDDDRDKMLLQLEQECLDVYRRKVDQASTSRAHLLQQLANSRSELTRLLSSLGELSISGVIIPDKTTGTIKEQLAATSPFLEQLCRKKEKRVKEFADVQLQIQTIRGEIAGSLQVGDHLETPHVDEDDLSMKKLNEFLFELQALQKEKSTRLHKILDLVSSVHDLCSVLGMDFLNTVTEVHPSLSDSVGADSKSISDETLSRLSKMVTELKEEKVKRLEKIQALASQLTDLWNLMDTTVEERQLFDHVTCNMSSALDRVTAPGALALDVIEQAQLEVERLDQLKASRMKEIAFKRQTELEDIYAQAHIAIDTSAARDRILSVIDSSMFEPSELLADMESQILKAKEEALSRKDILEKVDRWMLACEEESWLEDYSRDDNRYSATRGAHLNLKRAEKARLLVNKIPAIVDMLVVKTQAWEQDHNTAFTYDGVALLAMLEEYRILRQEKEEEKRRMRVFLYAIPLSCMIVGFGNNLHKSHTHPFYLPFQDQKKINDQLAAEQEKLFGSKPSPARPQSAKKLPGPRANCGAVNGTPNRRLSVLQSGGRSASRDGRRETVRPSAPVNYVSLTKDDVASQASST >cds.KYUSt_chr6.24915 pep primary_assembly:MPB_Lper_Kyuss_1697:6:157769741:157774076:-1 gene:KYUSg_chr6.24915 transcript:KYUSt_chr6.24915 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSSSRFPSPGRPDQWACARCTLCNPRSLAACDACGAEAPDLGAVAGGSSLPPQWICARCTLSNPKSLATCGACAAARPAEVDDADALDLSAIAGAAFLPLRGCSRKRARAASPDAVVDEGARSDQKEETAAEKEEVNAEAHLDKKTIKVMTYNLWFREDLELSKRMKAIGDLIQHHDPDLICFQMSKLPVISVKCLPFGNSIMGRELSISEIKIEGAIKLVLATSHLESPCRWDQMYSKERVTQANESMRILGRFRNVIFGGDMNWDDKGDGPFPLPDGWTDPWDELKPGDEGWTYDTKANGMLTGNRKLQKRMDRFVCKLPDFKIDAMEMIGKEAIPGLSYMKEKKVGKNVRQLELPVLPSDHFGLVLSISYGPSG >cds.KYUSt_chr4.24324 pep primary_assembly:MPB_Lper_Kyuss_1697:4:153203242:153205170:1 gene:KYUSg_chr4.24324 transcript:KYUSt_chr4.24324 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTTAPCRSNSNSNSSHKPAPPAPTVAALSALLARCTTLAAAAALHARLLRSSRLFGPPIIANCLAAAYSRLGAAPSAVALLLHAPANRFSRNILLAALLKSRDLPAARALFDRMPERDAVAYNSMIRGYVDSGRADEAFRLVRAMRELGVRPSDFTFSILASAVCSARHGMQVQAAAIRHGSAHRSAVVGNTLIDMYRRVGLLKYALRVFRSMNQRDIVSVNSVMSVYKDDGQSSQLFECFQSIRSHGLSIDEFSVSTALSMCTDVEDLAKGDQILALCIKTGLLSHLIVSSAVIDLLCVCDRLADAVRFFEGMAAWDSETCNAMISGYARGGTMEPALSLFLLSLRKGICPTEFTFASLLRCSSCFGLMEQGTQIHALVSKLGFEDDVIVATALIDMYCKLGSLNHARILFSRVSVKDLVLWNTMIIGLSHNGRGKEALQMFWQMLKSDIRPDRITLFGVLSACSLVGLVDEGMRLISLFKSNYHVVLGLEHNACVVDMLSRAGLFSEAVDFAENKLHNCNVAAFSNILEACVIQGDFDMAELVAEKMVKLKPRSSLPYIVLAQTYGARCKWDSMARMWRAMEDQGAKKVQGYSWLCIKNEIHVFTSSQILPHGREVTDAVLDLLFWDMMDHKYAHGE >cds.KYUSt_chr5.17896 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115607195:115608846:-1 gene:KYUSg_chr5.17896 transcript:KYUSt_chr5.17896 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPYGFSVYIASTIANAVSSLALWMGPVLLMVKEILSPMLGFSLFLKAKPHCPLFLTSLNELPDDVLLEIFLSLPAHPTCLVRTSLVCKRWRSLIQDRQFVCRFRKLHQKLPVLGFFSNSTRIPRFIPTGDPPNSVATAAFSLPDSCWNVLGCRHSLVLLVSSTWNQLQVWNPMTGSRKYVPVNPDADSRFNYGIVPESQATVVCAAGHKDTGDCHSCPFLIVWVFTCTRYAYASRYSSEIGSWHQLVSSPTPSEVDSRPSILIENILYWPLKSKYILAFELATSKLYHIECPPETHSVFRRNVHIMKAEDGGLGLAALTEFNLRLWARETDAAGVTEWVLRRTIQLDALLPLKVSSAPPVDNHSAGGRPARILGLVEDDDLFFIWTRIGVFEVQLKSMQCKKVFETDVSATVLPYTGFYSTGTVDRPRESIGDVDDI >cds.KYUSt_chr3.47277 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296608826:296609065:1 gene:KYUSg_chr3.47277 transcript:KYUSt_chr3.47277 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLHPCGSLHPQQTPPPANATTGAGRPVAPAAGRRSESPGWRAPLGQQGPIDAGPEQAFNGGRYLRLQGGSEMTMVG >cds.KYUSt_chr4.35813 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220198172:220200277:1 gene:KYUSg_chr4.35813 transcript:KYUSt_chr4.35813 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKKSQSPAPDPPAAAQLPWRPPAPPLATALLISFAALLVRALVSVGPYSGQGTAPKFGDYEAQRHWMELTLHLPSSDWYRNTSANDLAYWGLDYPPLSAYQSWIHARLINASLPDAVALRSSRGFESHESKLLMRWTVLSSDLMVFFPAALWFVWTYMKDGLGDGVRRDGWMWLLAMVLINPCLVLIDHGHFQYNCISLGLTLGAIAGVLSRNELVAAALFSLAINHKQMSMYFAPAFFGHLLGKCMKRKYPIVEIMKLGFVVLGTFAIVWWPYLQSYEAAIQVISRLAPFERGIYEDYVANFWCSTSVLIKWKRLFAIKPLKLMSLSATIMAFLPSFIQQVKSPSNLGFLYSLLNSSFSFYLFSYQGMFNC >cds.KYUSt_contig_7442.23 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001531.1:158181:162605:1 gene:KYUSg_contig_7442.23 transcript:KYUSt_contig_7442.23 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELAEPFESFKIPVPRSGKLGWPTDGDEACGPSGGPVAVDPDGRSPAQEQGAGSDRPRGGTRIPEGPLRDPDPPSAPTFRVPAPTSATTAAATLPRIDDIARPAEDFVVIHASPEMNAEAALLLSSAAYARFDREPARDAKAILKRAICSTFGALDEAVTITEHFPGPYLVRFIFPHIRAAAVARHSFLFEGHKIQIRPWRLEDNADQVELRQHVRLCVENVPMYLWNDAVAVQQAIGRACSLDYVEDACKLKTYTKALCLWAWVGHPGLVPRVRWVTLPGPSSGAAEHGRRGLQHRCIVHLDILEDMTLEAAPMPGKFSWRWGVIDGERLMRDRAEWLLDGGSNNGRGRRDDEDDAQGRRGRDGSRGWGQALRRSLSRGAGFRARDAGQEGQRHRDRSNHRDEGRRRHGDLSVQVHADLGSPSAVEQVEMHQVQMEPILTLALSNGASSSTVALGSPTLVSPGLGMPRDWGFGLGSGDALDAVLGVGTGRLGRGWRRPASPRAARRRSRAALTPPVTPDPPSSPTSVIPSSPTAKGCGQELLRVSSEGHGDDTITLSPHVPSTSGAAMALALLAPDFMVDGSPTSRPPGFEASPEPRTPPLDIDGSPARTPEPAARSRRPNAAPAPVVTTSTRVPLAPLFVAAQPPILSPPMSSPPVYPLARRKTLAGADITRTVRFSLRKAGARNKQHKAAAPIVRKAETMVCKGLGIIKDGEEVTERALAEFASRFKGRVEEEVIKAMIALFKVGTAEDDAFDEAMLAHGGAAALDLDGPEAG >cds.KYUSt_contig_1253.675 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:4157998:4161217:-1 gene:KYUSg_contig_1253.675 transcript:KYUSt_contig_1253.675 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLASIWSAETNTFLFPWGEATVTLEDVAVLGGLPLLGRAVRAPLDDAPRGDVEALQAVRSALYRSKNRKPDHPAWAMRFLEPPSGEGPAARDGEAARLLEHGAFLAMWLSLFVLPAPPFDVIRADVLPVAAMLARGDALFFSLECVALAPAALASIYSDLSALNRYMNLAKRYQPFVAWAPLHILQLWVWARFPELRPEMAITARSQPWAARWHEVHKEIDPMYLHAVLMSPREFECRPYGSSSFAPPPDKACSWVYGRDIARSKQLQTFAQCLRACELVGLRCIEQYNPHRVARQLGFDQDVPASVARVNSNWEIAWGTYMMEPRKFAFIVPQYMLGVTIDYAQWWEPYSSACATAVANSAKLKEFRALNSSTEHGEVAHNHLVKGAVSTTSSKATRSAAIAHEQSSLEDIMVISDDESDELVVKEQGLGAMEIEGNVEANKDASDPNKQSGLHLEDCAVVNRKSSGNNKMSSSNPVDANPELPKGALSTTSNKASGSAAPLQSNLEDIVVISDGESDELVGEEHEVGATPIEGNNKMSSGNLVDANPELPRRVVSTDTLYYLMSKVMREPYLASYGLHVNI >cds.KYUSt_chr5.2812 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18576256:18577294:1 gene:KYUSg_chr5.2812 transcript:KYUSt_chr5.2812 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAGQCVCAHERDGVVAMVEAQHSVIAAATELQDGSAVLAKDGHAGRAPLGVGYPVNNLGLVGKVERVLDIDLVAACEGLLAHARVVCFAGCHGLATGNSKAVGSGSDIRAGDEAVYFRGKTVDQDELEQGTGPVLCDSRMMSLQPPLTVSLTGTS >cds.KYUSt_chr7.12897 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79538501:79539242:1 gene:KYUSg_chr7.12897 transcript:KYUSt_chr7.12897 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSNESPAVSGLDYDDTALTLALPGSSPDDRKPAVGWPPVRAYRRNALREESVCKLVKVAVDGAPYLRKVDLAAHGGYEALLHALHGMFVPCLAVVRGDGELGCRLLDASTGAEYVPTYEDRDGDWMLVGDVPWKMFVESCKRIRLMKTSESVSSAPSPSSQ >cds.KYUSt_chr3.17974 pep primary_assembly:MPB_Lper_Kyuss_1697:3:110522558:110527672:-1 gene:KYUSg_chr3.17974 transcript:KYUSt_chr3.17974 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQGCSVVDALLCCGVFCIGLDLIEVAQSAIRFPSASIIIVEFIVDGGASLRYSQRVDFGRLMMAGRRARQAMFFAMLLSLAIYSSVVSCKIQEPTTVIQTERIQIEANHGKKTSESIGMFSRNPRSVIDTTESHKITFPSDEEKTNEHGVQQPKEDGHHQGGIVDKVDFSTNKAKPEDMQPASVPIQMWKTLEFPSDHVLLFQCSGDKLTVRIRPRLDGFEEYYVRISSGHLSSVCANRLEMPSMFDANPHYHALFWLLPEEEDLVPVPPTELSETTLTEEETTESFTFSTDVVVVFYRSATMEAKRKIDIVAREAVEFRPATGEKQGAIRPFSPDSIIFWTNAPYAPHATYFA >cds.KYUSt_chr7.33685 pep primary_assembly:MPB_Lper_Kyuss_1697:7:210163026:210165190:1 gene:KYUSg_chr7.33685 transcript:KYUSt_chr7.33685 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEVVFPTDMGGIAAAAAMPGSSGRGVLFACRGAASTSLRSAYGGAPAVDDHYRARPPPAPCTTSWVVDAIRASSPARSPAVDEYAAWTRRHPSALGSFEQIVAAAEGKQVVVFMDYDGTLSPIVADPDKAFMTGEMRAAVREVAEHFPAAIVTGRCVEKVYSFVGLPELYYAGSHGMDIKGPTSNEETTTAALLQPALEFLPVIAEAYEALVERTKGTPGARVENNKFCLSVHFRCVDEKSWTPLAEQVKVVLRDYPDLKLTEGRKVLEVRPSIMWDKGKAVEFLLQSLGFDGRSDVLPVYLGDDRTDEDAFKVLGKSGHGVGILVSKFPRATDASYSLEDPTEVMEFLLRLVNWKRQPPSAAPRSRV >cds.KYUSt_chr4.44245 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274161522:274163471:-1 gene:KYUSg_chr4.44245 transcript:KYUSt_chr4.44245 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLKTMLAKPIKLADQVAKQAGSGQCFRPECKDLRARAEKLAEVLRQAARADLYERPAERIVNGTMQALAKAGAMAKRCFDSHSRLRRFLSFNPVSGFPRTLALLDTAVEDVAWLIRISSPRADADDGDDDDLRGMPNIAQNDPILFLIWDHIARLHTGSLAVRADSASTLASLARDNPHFAKLIVEEDGVVPLVRLLKEGSDDGQEAAATALGLLGRDDASVDRLIHAGVCAVYAATLKEPPMRVQAAVAEAIASLASHSKICQDLFAQTNSVVRHLVSHLAAGTIQEHSRYSVAGSSSRSTATPPPEPMRSLHSVVLASTPSMLPGTSTYSANEPPEASNAAQQPARNNQMQPAAAGRTTTTNRVTAPPPTRPQLSSNGSSGRGSREAEDPATKARMKAMAAKALWKLAHGHPGVCKNITESRALLCFARLLEKGDGGAGTHLQFYSAMAIMEITRVAEHNLALRQSAFKPSSPPAKAVAEQLLCIVRNGEHDDDDLLLPCITALGCLSRTFTASETRVIGPLVRLLDDREPPVTKEAIVALTKFACNENHLHVTHSKAIVDHGGARHLVQLVYLGDQLQVEALILLCYVALHVPESEELAQAGVLAVLLWASKQAQLVQDLRVDPLLSKAKGRLDLFQSRGSII >cds.KYUSt_chr7.22533 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139726761:139730659:-1 gene:KYUSg_chr7.22533 transcript:KYUSt_chr7.22533 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSASFLEIQPSELAFPFELMKQSSCSMQLTNKTDQYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVTMQAQREAPADLQCKDKFLVQSVAAENGAATQDITAPMFNKEPGKVVDECKLRVIYVPTSTPGSISEESEQGSSARSFENGTPNSTMPQSVFRSSVDTTKEKSSEATSMISKLTEEKMSAVQQNQKLRQELDLLRKESSKSNGGFSITFLIIVGILGIVAGFILKKT >cds.KYUSt_chr3.24691 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153238847:153240255:-1 gene:KYUSg_chr3.24691 transcript:KYUSt_chr3.24691 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRVNSPARAAAATLLLALLCLCSAISSSSDAAGASAMPGSVSYLLTVDQSGKGDHRTIQDAIDAVPANSSAATVIRIRPGVYRQIVVNKPRITLTGASARATVITWNEQWLSFDSPTVSVVASDFVAKRLTFQNTFGDSKAAVAVRVAGDRAAFYGCSFVSFQDTLLDDAGRHYYRGCYVEGGTDFIFGNGQALFDKCHLHSTSRIGGAFTAQYRLSEAEETGYSFVGCKLTGVGVATSILGRPWGPYSRVVFALSYMSSAVSPRGWDDWGESDRQRTAFYGQYQCYGEGSRTDRRVAWSRNLSQAEAAPFITKAWIGGQQWLQ >cds.KYUSt_chr4.35802 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220105005:220109249:1 gene:KYUSg_chr4.35802 transcript:KYUSt_chr4.35802 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGWNCQGKGKDLGSGKMCYLANLVFSTKAQIVFVSEIKSSKVKSSDLIQRFDMDNSVVVPSRRRSGGLWLMWNADLRVTVQVANFHVILALIVNVVSGQEFGLVCIYGDPYHRQTNAIWDQIANFVYDNLGALKRWCRKKKPIQQELNTLEEQIKQIQMQPPHTQDHAQEAALVNRNKVNPGLAMYLQEQKAYYNRNDCLFNKKDGIPRQINHAAQAIIEAQALADVVLTSDNSNAEQESHVPQGPQALPKTGETIRSDLMIAGAKVFTDASWKNTKVPSAIGHDRTGIGVLLQFSSVGNGFNLMLQVSAPMANSALQAEAKALLFGAAVASSLQIHKPTFLTDSFLLAKAAAIQRPTSDHLNWDIRGIVADFFQITSDMEPTIYHISRDINGVAHNCAHQVVRESLKQPVFSCVNQNHGHNSCPVVSILQNFLFQGYVIHSVLCT >cds.KYUSt_chr1.35014 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213331854:213337617:1 gene:KYUSg_chr1.35014 transcript:KYUSt_chr1.35014 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMDKLKIFVVKEPVVAASCLIAGFGLFLPAVVRPILDSWAVEEQVAPTPLKDLRSKAFVWLGEVCSRFRFVRKEMTTVPGSLVWELVKKNNCFLIKQFGNSNAKVQFSKEPNNLYNVHSYKFSGLANSKTVTVQPSAGDDKAVVLSTTKTKKQNAPAKLQHKNVMRKEFRKMAKAVKNQVCNNYYRPDLTKPALARLSSVYRSLQVSKSGIKKKNRQPTKL >cds.KYUSt_chr4.8838 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53061318:53062272:-1 gene:KYUSg_chr4.8838 transcript:KYUSt_chr4.8838 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGERAGAGAVGSAGSLGLRVGQAVFSSAALMFMSVGVEFFSYTAFCFLVTIMGLVIPWSCALAIIDVYSVLVGHPLRMPGVVAIVVVGDWVLSILSLAAASSSAAVIDVLLEFHGSQCPPRLCERYQLSAMMAFLSWLLTAASSLFNLWCLASG >cds.KYUSt_chr6.20544 pep primary_assembly:MPB_Lper_Kyuss_1697:6:129510974:129514452:-1 gene:KYUSg_chr6.20544 transcript:KYUSt_chr6.20544 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMSLREEGSFILVAFHGHGRPCKQGAAAFRGEVEEESVREWRKRNESQRSSDVMVVTEALTWSAPPPLLPSWTVALDPAVWFALWAYHHDHQCSRLLWIRGTALPPGRPAATNTYDAVGKA >cds.KYUSt_chr6.29902 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189389981:189391121:1 gene:KYUSg_chr6.29902 transcript:KYUSt_chr6.29902 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAMAARPSKRTRTSTSARGWSNLSSEIGGVILSRMPSLADRARFGCVCRQWRHAAKQQRRILPPPLPWLTTSHKCVFVGFPDGARLSLPVHIHARSWEAFDRIVVCPGGELVAAMGYFDGHHTIAFCRPGVARSWSPAPPPPEGADDLLEIVLHRGKLYALYDRGTLCAYDLAAAGEPGASVRLCIVGAEVPKSEAEARFLMFDEWERHYLVPSPSGGGRLLLVRSKWGESFEVFEADEACGRWSEVTSLEDDEALFVGAHGSRGLRTASSHGGGGVRGNRIYFADETYRRRIVFAGDNPDSLGVYDMDTKITSGIGLPRGSFFGDCKPTGSWLFPSTADL >cds.KYUSt_contig_1181.685 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:4738162:4740229:1 gene:KYUSg_contig_1181.685 transcript:KYUSt_contig_1181.685 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQSWKSMFCCVGGAAAGVAGADEDGPSPSSLPQGRRRGERRTLLSSSSASSASRVSLSSLGSTGTLTPEDLSLTLSGSNLHAFTYAELKAVTAGFSRSNYLGSGGFGPVYKGHVAAELRPGLEAQAVAVKYLDLDDSTQGHKEWLAEVFFLGQLRHENLVKLIGYCYEDQHRMLVYEYMGNGSLEKHLFKSLDGCMPWATRIKIAVGAAKGLAFLHHADTPVIYRDFKASNILLDEDYTTKLSDFGLAKDGPQGDATHVSTRIMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGRRSIDHARRSREQSLVDYARPYLKKADKLHRIMDPALECQYSGKGAQQAALLAYKCLSQNSKSRPTMGEVVRALEPILKMDDYLQVGPFVFTVILEDPNEIHESKRKLVDGKTKLDMRNESNVEEKHKSHQDRHRQKYPNSTIHADVALNRDGAIGPYTTALQRHRRASSHTEERGA >cds.KYUSt_contig_723-3.56 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000066.1:301687:302538:1 gene:KYUSg_contig_723-3.56 transcript:KYUSt_contig_723-3.56 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPAAGDASPPAAVVDVAVGAAPNAGEVSAMISATIPSKRKRIPKVFFEAQAAAAAAAPAAASPAEAPPAAKKGPRLKTKAAGPRGVAPAKVRTKAINRIGLAPPPPSKATPSPPSVPSDAPPAPPPSTIDVDKVFDVESTTSYMDMLNDSAVNLEAGIDAFDGECNVEEIDEEEEDEGDEEEVVEVDPAAAGASSTPKPRTANYSEIEDTILVRAWSKVGMDACTGVDQGGKRYWQRIEVSLRRAVAPVVMDPLMVDHIWEFRGLNIAYDRVVILKL >cds.KYUSt_contig_988.502 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2897002:2897981:-1 gene:KYUSg_contig_988.502 transcript:KYUSt_contig_988.502 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDILHLVCSLWSKNWDDTIILTAASIEKLLVGKELKSVKVDLTTEKVGRSKDMVYNFCKEISRYLYYHESNQRNIVNEVAVDPNGEEAVEIINPTANSAEGEIMGRDHTPADSENDTSSSEEDNLGVDNNDDPSLNSHTTEEQEGQRKD >cds.KYUSt_chr2.37678 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233171017:233174561:1 gene:KYUSg_chr2.37678 transcript:KYUSt_chr2.37678 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIEHGNLLVSLDEGHRHGYSLTAVAVGLGIGIAGLCKALHSSLVIPWILRKLFSESERVYYTAGLRNLGNNCFLNVILQALASCDHFVSSLDDLLGSDDVLPEDQSERMPLIFALSSLLEDLSTVRDERIVLNPQSVMHALRFYVSHFNLTRQQDASEAFGHLLTSVRDEFSHCYVPYKSSLADITMFHSKVYKQREGNQSECERWKQNIFGPFDGTIGSTLSCRNCSSVLSLDFENFHCLPLSPVPDINGDIISGCSLVDCLKHFTVLEQLDHYRCDHCWHNAAAKYFSLKSEVDEEKVNKLRTCVDYDSCDCKQLFGPDKSTWSVSSKATKQLAITRCPKILCIHLLRASVSLSGELVKRQGHISFPLLLDLSPFAGGTFSTGQGPGPSAMNKQIYDLPSLHLYQQLNAQMPINTFPTGGNSSSQPRKCWCGYEYFVIVVNIILHKGVIHTLSCG >cds.KYUSt_chr2.3096 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18231046:18231513:1 gene:KYUSg_chr2.3096 transcript:KYUSt_chr2.3096 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGKQGGAPGDRGKPSGDGDKKDKKFQPPAAPSRVGRKQRRQKGPEAAARLPHVAPLERVKDYLLMEEEFVAAQERVRPTEEKTEEDRSKVDDLRGTPMSVGSLEEIIDESHGIVSSSVGPEYYVSMLSFLERTSWIPLHDSTSTMDGSSNCCI >cds.KYUSt_chr2.33137 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204578363:204580249:1 gene:KYUSg_chr2.33137 transcript:KYUSt_chr2.33137 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTVHLAGTDLLLAGTPPSPSPSPAVVQLACAGMSSHLVAVPIAPEKTGQLALKCVPEARSPNAVDGRKAADTETEDQKLAGSARPGGFDFGHDNIRSDLMVCALASVRTYVLSVPERKEEVVSGPVLPASEANAVDVSRKDPDGENQHEVALAPAAGSEAPEDEDVIAAEDDQVPAQETDVDFSLDPAAEPWASSSSDTDDDADDELYYQGVAAAMEMDEETLRSEVAKYFRREANQERPLRRARNAAAANLAPAVEFSLRLSYQEAMEDVAAVRPAQDFRLKRFWSMGRELKDEALGVVYAESLTTLVNTDQ >cds.KYUSt_chr3.18871 pep primary_assembly:MPB_Lper_Kyuss_1697:3:116060827:116065407:1 gene:KYUSg_chr3.18871 transcript:KYUSt_chr3.18871 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAAEQEQEEVIIVGAGPSGLAAAACLSLRGVRSLVLERDGCVGSLWRNRTYDRVRLHLAKQHCALPHLPHDAAAPTYLPRDDFVRYLDGYAARFGVRTRLGREVQEARFDETRGVWVVEALDRATGKVERYAARHLVAAAGENDEKVVPEVPGLEGFPGKVLHACEYRTGKGMEGKAVLVVGSGNSGMEIAYDLAVAGAATSIIVRSEITTPAYPVVDVGTYAKIRTGEIQVLPEMRSVQGNVVEFTDGKRRPFDAIVFATGYRSTTKQWLKVSTALATRHVILFQSDDDLIGEDGMARRSFPGHWKGKNGLYCAGMVRRGLYGSCEDAEFIADDISSKKKHQTQPDDGHEKSN >cds.KYUSt_chr7.36118 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225747929:225750102:1 gene:KYUSg_chr7.36118 transcript:KYUSt_chr7.36118 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAPPASDVSAQGGHTAATAARALRRRQYAVLALTFAAYAAFHASRKPPSIVKAVLSTDWAPFSGPSGTHHLGELDLAFLSAYAAAMFAAGHLADRADLRVLLGAAMLASAATSAALGAAYFLDIHSLAFFLAAQVASGVVQSAGWPCVVAVVGNWFGHASSRGTIMGVWTSHTSVGNIAGSFLAAAVLEFGWGWSFLVPAFFIAALGVVVLVFLVAHPSDAGLELDAMEVEMNGEGGEEVELLVDEKKEVHVDDDEFEVEMGSQLPLPRAIGFLEAWRLPGVAPYAFCLFFSKLVAYTFLYWLPFYIRNNAVAGQFLSHKASGILSIVFDIGGVLGGISAGYLSDAIGARAITSVLFLLLSIPSLILYRAFGSISMRHNIALMFISGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLILSISLALVFLIRIARDEIVAKIGARH >cds.KYUSt_chr1.2628 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15774426:15777081:1 gene:KYUSg_chr1.2628 transcript:KYUSt_chr1.2628 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTPTPTTQGACPTAKTRRAPRTACTCAGVGCCHVDIPPGLTDNFFKFTTYDHSGMMDYSPCDYGFLVDRTRYTFKRSDLLRDPNRTSLVWLDWAIRGNNSISSDILSCTQAAKTTTPKYACISNHSKCVDSTNGPGYNCSCSDGYEGNAYVVNGCTKRRKTKEFYEKNGGHTLEKAKVIKLFKKEELKKILKSGNIIGKGGFGEVYKGLVDNELVAVKKPIRINVMESTQFANEVIIQSQVIHKNIVKLIGCCLEVDTPMLVYEFIPKGSLDDILHKGDNKVPLS >cds.KYUSt_chr4.9062 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54486011:54489741:-1 gene:KYUSg_chr4.9062 transcript:KYUSt_chr4.9062 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVVLIVGAGPAGLATAACLTQFSIPYVIVEREDCSASLWRNRAYDRLKLHLAKEFCELPHMSYPADAPTYIPKALFVKYLDDYMERFDIQPKYLTSVESSKYENDKKCWSIVARDMAEGTTMNFVAKFLVVASGENSAENIPDIPGLHSFPGEAIHSSRYKSGKSFSGKTVLVIGSGNSGMEIAYDLATHGANTSIVIRSPIHVMTKELIRLGMTLAQHLPLNLVDNLLVMATNFIFGDLTRHGIRMPKLGPMNLKSKTGRSAVIDVGTVGLIKRGIIQVQGSISKIMGNIVKFQSGDEIPFDAIVFATGYKSTANMWLKNGESMLNENGLPTKEYPNHWKGENRLYCAGLARRGLAGIAADAKSIADDIKSVIEVPDQ >cds.KYUSt_chr5.36247 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229097055:229100851:1 gene:KYUSg_chr5.36247 transcript:KYUSt_chr5.36247 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAVLLRRAPTPGGHEVRYDQAANAPRSKMSKHKVCHRCDKEADLLLLSSDDNGNNVLLLTGQPTVGLSYTLKAVTSAPAAGYVPHMLPQPASHYSDMPLSYLWLRLLVLRPTETWGFWLGIDERCGGAAGVAREDATVLASCC >cds.KYUSt_chr7.12543 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77304625:77305000:1 gene:KYUSg_chr7.12543 transcript:KYUSt_chr7.12543 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFARAGRFLVLLQIALFLVFSAVIMSGSAAAARDISAGGGALNPNRPGCIGGSCPSPGRPYTRPCTYKFCPPPAGGQP >cds.KYUSt_chr5.16970 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109265894:109266580:-1 gene:KYUSg_chr5.16970 transcript:KYUSt_chr5.16970 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAVLVADGAISPHAPPSAAAFLESTPGAYTTARASSTGLLWWPRHLLRLADSARILAHSHPHLLGLPVPPARTLSTSSLEPLVNQSVRVGVREMRRRMLAPGECCSGQDMALTALVRAGGSPEELEVCVHLGVYVPPAFGDAGARLAVAGSGREAAAAKYAPWVRMRKSLEKMRPPGTMELLLTNDGDRILEGSITNFFVVCLKVRCLYFNDNVKNSDFLKCIHV >cds.KYUSt_chr3.6352 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36402427:36405710:1 gene:KYUSg_chr3.6352 transcript:KYUSt_chr3.6352 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSCSSFCAKSPMSEECTLCPSAARSSSTASSSSAAADKVQPGEENQWMHVRKEWERGCWRRKTDFGAPLHEPCPGVVVDAAQVGKHDPCVFCTQFGLGVCLFGLDVQPLDIEDLLGEVLVDGSCCLLLASFPVDSVGPPRAEPLASMENYSLLMGDSSGDGDGGGVDGDAFRGHFPVPAAYRNRDFCPPDLGFAMAAALEVSRTVAYSCRRFG >cds.KYUSt_chr7.11739 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72138655:72139821:1 gene:KYUSg_chr7.11739 transcript:KYUSt_chr7.11739 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTAVMTTDIKQEEPEMVVLDDDDGDDCCLRAPTPLDLAAAAAVAPFLAKTFDMVEDPATDAVVSWGAARNSFVVWDPHAFAARLLPLHFKHANFSSFLRQLNTYGFRKVNPDRWEFANAGFLGGQRHLLAGIRRRRGADTGRRPAASSSASSCAAEAGVIGVVDGELERLRRDREALKQELARLRVQQEASRATLLDMERRVLGTERRQEQCKAFLVRAIRNPSFLTSLARRNALAAGDAVPVVKGKNKRRLLDDIPSPPPPATDDGFTFEELALAAGVAVEAGVPAVKTESAGGITTDMIWYELLGDEQAEMDVEVEDLVSAAAAAAEEMEPWEGMGEEEVQDLMLQIDCLAGSPSS >cds.KYUSt_chr2.349 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2168174:2176840:-1 gene:KYUSg_chr2.349 transcript:KYUSt_chr2.349 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQLQPTMATTSTCFRTSSSPAATVRCSSSSPPPPARRSANYAPSSWDYDSLLMLSLNNRGHANKVGPDGNFDKLKAGVGERLAAASRGVADQAAKLRLVDTVQRLGVAYHFEEEITAILSSIHRKPHRCNGDDVGSEALRFRLLRKSGFPVAFHKESLENLRHVSKSYQTSALVKDDVKALLSLYEASYLAFGGEETLDEARAFCAKALRKMLPTIDPHSRRGVVHALNLPLHWRSTRLEARWFIDHYARDASNSDPLLLRFAVMDFNNVQSVHQQELARLARWWKETSLVGKLGFARDRLMECFHYSNGIVWEPNHGDCREVLAKVANLIVQLDDVYDVYGTIDELVMFTEAIGRWEESPSEMLPEYMQALYSVMYNTSGEVAESIMKQHGCDTRSLLQKAWHDMAKSFLVEAKWHHGNHRPTLHEYLKNGSVSSSAPLLLQHAFPLLSMEEELTSESLGKVGSYPRLVQSSSLIFRLCNDSATHSEELERGDAPSSIAIHMSENRSREKESRKAMEDLTMDTWKSINEDAFKHCEFPRPFAKACVNLARISHCVYLGGDGVGAPDVHHHQILHHMDAAAAPSKRPRTSAASVAGTPRKPKNAPAASALLPVPGQAATPDKTERRPLLRRAAGKGAVALSVKEVRRAALQLRRADKGPAVAEEEDALESVARELGVGAGAGRSPVKRRPEVKLPQSYETLCEFFNCFESSTRLLRMKGSKATFPNICASVQNLADRRFTYGHLAQLKYIMPEAIVINKILLRDEKTCCMKPDLQVNLLADAVEGSVLQKGETRYAALRRIFRQRLVDFFRNHPEGDDIPEHELPHPFTQTKPSVAQITPRLVPEAVCAVASPSLAEQQPVGMSHMSHSFKRRFSQRSLNSLGTASTTSPLANAESAASSPSSRKSVPSSVSGGTEKGGKDVAPRFGASEGTPAKFVSTPVRLMAATPGLDTPKRPTSGTMCDTPQLQTVKRSARAKLFMTPTKDASTTQEEIQSTSTSTIDADDELLSFLPKSLLQSVKQKEKRALAEKETGFADQVQRQKLISSLPNTFDIIFLIYQSRQRSVLAKHELVDRIIDRSPKIVDKGEIEEQLRLLQEFIPEWISEKAALSGDVLCCIDTSLSQSEIRQRLQGVE >cds.KYUSt_chr2.46410 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290010302:290014184:1 gene:KYUSg_chr2.46410 transcript:KYUSt_chr2.46410 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRFLTWNDGFYNGEVKTRKITNSTDLTAADQLVLERSEQLRELYVSLLSGECDHRAKRPAASLSPEDLGDAEWYYTVCMTYAFRPGQGLPGKSFVSNQHIWLCNAQLADTKTFQRALLAKVLEDTNIVNRIGTSFWEIQFPTCSESEEPSSSPSQNEPGDEANIVFEDLDHNAVEGMMSGEIECLSDGNLELITKEINELYNIGEELDVRALEDNWILGGSFEVMSSLEAEPVSDADRITDDVLTRSCSFESSRSSCFTPWKRSSDSSEDMVMSIAGESQKLLKKAMAGGAWTNGGGGDMARGQESNVKGHVMSERRRREKLNEMFLILKSLVPSIHKVDKASVLAETIAYLKELEQRVEELESSRAPSGQADTAGRRRHNVVGKKVSVGSKRKASELGDGNIERGNKPGNIVNITMMDKEVLLEVQCRWKENLMTRVFDALKDLGLDILSVQSSTPGGLLALKIRAQYAGCTAVPPGIISEALQRAIGKG >cds.KYUSt_contig_1790.192 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1062585:1063552:1 gene:KYUSg_contig_1790.192 transcript:KYUSt_contig_1790.192 gene_biotype:protein_coding transcript_biotype:protein_coding MTREIREFAVFRWPMGRPSTAAGHQTESGAAGVGSRARSPELMLAHTTLAKGQSKERWSSVSSWTLHSGGVLWLQASGSYSLTCIKLSSRIAELVFAARGRLGVPFTPPQVFGDDDDDPGIIGSN >cds.KYUSt_chr7.6860 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41381592:41384469:1 gene:KYUSg_chr7.6860 transcript:KYUSt_chr7.6860 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITCNACNAAFDEEEEQRLHYRSEWHRYNLKRKVAGVPGVTEALFVARQTALAEETNSTTTTVAPMSYSCTLCGKGYRSSKAHAEHLKTRSHLLRASQDPNASTAAVVKPLPERAPRGKGPSAMEEDEDEDEDEDEWVEMDPSELESTSNMQVEEDSKSDDDMDDLEVLDPSFCFMCDLKHDNIEDCMIHMHKKHGFFIPDSEYLKDPSGLLTYVGLKVKRDFMCPYCNERCQPFQSLEAVRKHMDAKGHCKLRYGDGGEDEDGDLEDFYDYSSSYVDVEGKQLVAADDVDNSIELGGGGSELVITNKNEKGTRVTTLGSREFIRYYRQKPRPSVAADRALALSLASSYKSMGLVTVQSKQQMVRLKVLRAMNRGGVETMRSKMGMKSNVIRNLPNNVPY >cds.KYUSt_chr1.26123 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157072628:157073572:-1 gene:KYUSg_chr1.26123 transcript:KYUSt_chr1.26123 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLAAGTVGGAAQLVVGHPFDTIKVKLQSQPTPPPGQPPKFAGAIDAVKQTIAAEGPGGLYKGMGAPLATVAAFNAVLFTVRGQMETLLRSEPGVALTINQQVIAGAGAGVAVSFLACPTELIKCRLQAQSALGTAAPAAAAAVPAGGAAATATVTAAAAVKYGGPMDVARHVLRSEGGVRGLFKGLVPTMAREVPGNAVMFGVYEATKQYMAGGQDTSELGRGSLILAGGIAGAAFWSSVYPTDVVKSVLQVDDFKNPKYSGSIDAFKKILAADGVKGLYKGFGPAMARSVPANGACFLAYEVTKSLL >cds.KYUSt_chr5.11650 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75494426:75499299:-1 gene:KYUSg_chr5.11650 transcript:KYUSt_chr5.11650 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAGRNQNLNGGCSAQCAANGSGTSVSDGVGARKDFALPDSLLRDARVLYCASPAMGHNKESHPESNKRVPAIVDALEKLELTPKHRGSQVLEIQNFYPASLDDVARVHSRTYITGLEKAMSRASDEGLIFIEGTGPTYATHTTFQESLLSAGAGITLVDSVVAASKLGPSPPLGFALVRPPGHHAVPEGPMGFCVFGNIAVAARYAQHQHGLKRVMIIDFDVHHGNGTCDAFYDDPDIFFLSTHQLGSYPGTGKMNLIGQGSGEGTTLNLPLPGGSGDYSMRCAFDEVIAPSAQRFKPDIILVSAGYDAHVLDPLAGLQFTTGTFYMLASSIKELARELCGGRCVFFLEGGYNLQSLSSSVADTFRAFLDEPSLAAQFDDPAVLYEEPTRRIKEAIEKVRHLHSL >cds.KYUSt_chr2.50434 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315416275:315427375:1 gene:KYUSg_chr2.50434 transcript:KYUSt_chr2.50434 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKRAAAAAASKKPPPPSQPSQPAKFGIRHFFERQSQAAPSQTTRRQKPDASPDPMPPPPPPPLPLPEEKLSEVSPEVTKTLAPKRVKFSPGMLINQSQDDGGGEVITWKISPVNDRLRTRQLPGMALHPCSNSEKHSSLEAMKKWHSSPLGLSRCTASARNPNACAIGPGGCDGMEDTQSPFRTPPSLPYSCNEQLTAGINGNGGPDQLEAGQHKKALLDLLDQVEDAITEEELPVDAGNKGQAISGDSTNSKCSSILSSSLNVESSTPLDAPYFDSFLVLEVSEKHKGDTSSCDRYPVKVLRLLNEHCGKEYAVHLCDEWFHSTVGLGDTVSVIGEFGDQGECIVDHDSNLVIIHPELLISGTRVASSFHCPRRSVLDERLKSTEFATSALMGTLLHQVFQAGLLEDVPSRQFLEQQAKEVLLNNIESLYACGASDSNTYSILIEAIPKMLNWFKSFMKGSKCTNVSFGHNEEQKTVGVTEVMDIEEMAWAPRYGLKGVIDASVISRVNSCDGGSHDSVMPLEFKTGKSTSGQTAMEHSAQVILYTLLMKERYLNKDIDLGLLYYLHTDQTLGIKVKRSDLIGLIMRRNELASEILKASISQSFPPMLQSLSSCTGCRHLTSCTIYHKAHGGNTATSGLGDLFDSLVNHLTVAHHDFLKHWDRLIDLEARTSQVKKKEIFLPRHSNSGSKNSPPSFFVLDIKNEHSIDSSGKSKRYIYNFVRHKTHPEIAGHSQPLVESLDFSLKCGDYVVLSTQSGRIAVANGSIRDISRSHITVSLSRRLRLPGSSSLLEQGDLQRELWRIDKDEFSSSFATMRLNLVQLFAQKPQNTKLRKLIVDLEAPRFDSGGLLSQDPALSYIRSLTSLNNDQQRSLHKILAAKDYALILGMPGTGKTYTMVHAVKSLLMRGESILLTSYTNSAIDNLLMKLKAEGVDFIRIGRQEAVHPDVRANCLSTTEVQSVDAIKARMDQVQVVGVTCLGVYHPLLAHKKFDTCIMDEAGQITLPVSLGPLMLATKFVLVGDHYQLPPLVQSFEARESGMGISLFWRLSEANPQAISALRCQYRMSSGIMELSNSLIYGNRLCCGSLEIANAKLKFSGKEQVHLKFKEILNPDRAVIFANTDQVPALEAKEHKIVNNPTEAHIVSWIIKQLLKRGVAQDEIGIITPYNAQADLIRQQVDALVEVHTIDKYQGRDKECIIVSFVRSSGNSRASGSSLLGDWHRINVVLTRAKKKLIMVGSAGTLSTIPLLKLLVEKVAERGGVVDLTNKDVQSIPELRTSRLNVQ >cds.KYUSt_chr6.24544 pep primary_assembly:MPB_Lper_Kyuss_1697:6:155023460:155024581:1 gene:KYUSg_chr6.24544 transcript:KYUSt_chr6.24544 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKINSVSGQHISTAGKRWPRHPDCSDRTAKRLRPVQQQKHKHLYLVLDNWSKGYSIHRLADLDSSTDLDLEPTVLRLVNPEPTYPMKFAALGSNIFMASNQHRATFVYDTDTAGLASGPRLPESLLNGLQIFVATANLQLYALNYHLDELYHYLESMSTVGIQDPWSSSPSRDWRWKSVPSQQLPFREGEIIVSYAVHPDGHSIFMSARRKGNLYRTFSFDTTQCEWKFHGEWALPFQGQGYFDRELDGWVGLHEDGYICTCQVTSSTTMDMEPKWKMVKEKLFRKVPQRTRDATLTYMGNTRFCLVESVLREEVEPQDALGDSDSFMLNITIFGLKYSHEGELQTTLDRTTKSYVVSKYIPSFSPVAFWM >cds.KYUSt_chr2.10383 pep primary_assembly:MPB_Lper_Kyuss_1697:2:65789455:65789802:-1 gene:KYUSg_chr2.10383 transcript:KYUSt_chr2.10383 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWPAGEQQYGDADPAAIDTENKRKTSGVRLGTENEYSCHKGEASSIAIRCVRGLVKGLDDAQLLTRKSHALDLANMEADGNDDLEARMEKAGTSDCQRTRRDVVQRLAVALGR >cds.KYUSt_chr3.35441 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222518587:222536910:-1 gene:KYUSg_chr3.35441 transcript:KYUSt_chr3.35441 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSRLQELCQQRRWAPPTYTVQREGPSHTPRFSATVAVNGAEFHSPDEDAGALTAKKAQDLAAMVAFDHLSALPPPPPPPQPETQLAYKSQLQIYTQKRHKGLPLYHTIQTGTPQASLFRSTVTVDGQTFESPQDYRTVKEAEFSAARVALMSLPQESKPPEKISAGSTTCTSAAGIQIGPPQAMLFRSTVTIDGQTFESPQDYRTVKEAEFAAARVALMSLPQELKPPEKIPAGSTSSLSLPGTQVNYKTQLQIYAQKRGKDLPLYSRIQDGPSHVARFKSVVTIDGKTFESPQYFPTVKEAESAAANLALMSLTQEASSQEQLPVQAMPHKNPRHELAEKEGSPLPVYNTLSDHSNHSFVSKSTLDTRGGSFQGEPENSKKQKQMTTAELAFQHSTERSQMQQGTENVAEKEIKIVEPDSSLPQVSVITIDHDSCSVGSIIHPPVAEKTQSLDQPIQYGYMEKDKPAGPESSIRAEAMDLTPQCKSLPIASKPPTNTSNLASTVTSTRHAALQSPVEPIQPVKMENDEPATPELNIKAEAMDSLRIGSRPPANTSSLATATSTTHVALQSPVEPIQPVKMENDVPSIPEPSTEAEVMDVTEPNAEAEVIDVSEPSIEAEKLDVPERSIKGEAMDVPKPSIKKEVTDVSEQRVEEEAMDSTPEHTSLPIASRPATNLAGTAIAVPFPSDGCGQSMSTNRIQVYPRRPDMVFPEGVTVLPFSDDQWVAVGHCDRDIRRFSEMVRPSISWGCILLLLCFASMGDAEYMKYKDPKQPVNTRIKDLLGRMTLAEKIGQTTQIERTVASADVMRKYFIGSILSGGGSVPAPQATPAMWVNMVNEFQKGALSTRLGIPMIYGIDAVHGNNNVYNATIFPHNVGLGATRDPDLARRIGEATALEVRATGIPYAFAPCVAVCRDPRWGRCYESYSEDHKIVQQMTDIILGLQGEIPVNQTKGVPYVAGKDKVAACAKHFVGDGGTHNGINENNTIVDERGLLGIHMPPYYDSIIKGVATVMVSYSSVNGAKMHANHDLVTGYLKSKLRFRGFVISDWLGIDRITSPPTANYTYSVQAGINAGIDMVMVPFNFTRYIDDATSLVNKSIISMSRIDDAVSRILRVKFTMGLFENPLADLSFADQLGKKEHRELAREAVRKSLVLLKNGNTPNQQFLPLPKKAKRILVAGSHASNLGYQCGGWSIEWMGGSGDITVGTTILDAIKATVDDSTSVVYSENPDDSFMKKNDFSFAIVVVGEPPYAETVGDNSDLTILDPGPDTIRNICSRVKCAVVIVSGRPVVIEPYLPLMEALIAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDPHYDPLFPFGFGLTVNSSQPGFSEARSHGDKKEITVCVALSLVLSMVLTFDLGIGISIPHLVFSSTTIPPKARKPWPSKEWPPGLSNAQGAADELRRQIKTSGRAERDKKTLREEGGGVRPGRTSEEDLHGHEHGPCSRRRRRRHVPRPMADARYKQFPVDFLPLELLAVVACHVPRGHLWPNVQVHAVAARARRRQPEREPVRGHLAGPATRATPIRCDGGAERRGDPRDDQLRLHGRRCEPGVLHARGGEDLVRGPLHRHPPTHSGQFFVVSGSYLVFLTVDCRRCDVGRRFDHGV >cds.KYUSt_chr7.9292 pep primary_assembly:MPB_Lper_Kyuss_1697:7:56473868:56474195:1 gene:KYUSg_chr7.9292 transcript:KYUSt_chr7.9292 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLDREPKDIVIMPGDYMPSFIASARPFDFARAVDASEPSNADAAEDIGSRPERESSRSLVSTSVIVKANLFS >cds.KYUSt_chr7.4080 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24436585:24437160:-1 gene:KYUSg_chr7.4080 transcript:KYUSt_chr7.4080 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHGLRPLPRSLIASEIQQAGAATERHGLVASSRNDGSRQEMSRRNPSKTSFVRFGLEDELFSIMAGPQWYPGPHHDESRLAELHGELALACRKDESVEIWICEDVNLPRWFRRHVLNFPLCTSAFDDRNVFRRTSHFLWRHISEGSKHMIGMKTLKYHNPDTDTVFECSNTTFNGFDVIPYIPTLVPI >cds.KYUSt_chr6.92 pep primary_assembly:MPB_Lper_Kyuss_1697:6:583265:584177:-1 gene:KYUSg_chr6.92 transcript:KYUSt_chr6.92 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAPDSALKRLGGLLQPIFLIGLDQFYGASGSPFRNRRRLQRGEHQQHRRQGSGRGPAGSSAGEGTGDHVRSSITRRRPEMPEWSCMPCGLKPGDYQDQFIRDHKHNCLVYQAAMKAARLAAEAAAEKARLEAETAKKSRKAKK >cds.KYUSt_contig_2197.54 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:317439:318443:-1 gene:KYUSg_contig_2197.54 transcript:KYUSt_contig_2197.54 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAGYGLGFACFALVATMASATQFQVGGNNGWSVAGASAQSYNTWAMKNRFKIGDTLVFVYPKDTDSVLVVQPADYNACNTSSYDKKFADGNTVFTLDRAGAFFFISGVDANCRANEKLIVMVLAGRNGNSSGGASPSSPVAPPPASSAPSASSPPPAASPAPSASSPPPATVSPPAPAPKVPSATSAPPPASVSAPVTPPSSAPAASSPPSAPPSSSPPSTTPSAPAGAPPMPPPATAPAGADGGSTNTTGASPPTGSTNQNSAGFMVTGAAASAAACIVGYAMLAV >cds.KYUSt_chr4.47692 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295266220:295274513:-1 gene:KYUSg_chr4.47692 transcript:KYUSt_chr4.47692 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSTMERPMMDIGAGGTIGRTRSEQLPPTAPAQSLSRTASAETVLSTADVTSLSRKSSFGKRSASGGSGAGGNSHGRSHIRKSRSAQLKLDMEDLVSSGAALSRASSASLGFSFTFTGFTPPPQHGFNGSSADLAPFSDDDVDLEAAATTHRGKNLMTEPTLPIYLKFAEVKYKVVVKGVEREILSGISGSACPGEVLALMGPSGSGKTTLLSMLGGRAAADDGCISYNDEPFGKSLKRRIGFVTQDDVLFTHLTVRETLTYAALLRLPRTMTREQKKERALDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIIQLLHDIAETGKTVITTIHQPSSRLFHKFDKLILLGRGSLLYFGKTSEAMPYFQSIGCSPLIAMNPAEFLLDLANGNTNDVSVPSELDDKVHMENQNPQDTDSKIDFRPSAQDVHEYLVDSYESRVAYKEKKKLLAPLPISDDMKATITSSKREWGTNWCQQYSILFCRGLKERRHDYLSWMRITQVIATSIILGLLWWHSDPTTPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAVDMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKASASHFFLSMLTVFLSIIAAQGLGLAIGATLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYDPVPDILMTSVPLDNGVTEVGALVAMIVGCVRSPATDDEEEDDDDNDNDTPPAVKKAFLPQDYDEDAAFARSLEESKAKEYAKWSWEAGLDDGIRISTLMVEHHASLPPPQAVPRMPHAPPQATWQGQMVPRPIPP >cds.KYUSt_chr4.20260 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127481511:127482701:1 gene:KYUSg_chr4.20260 transcript:KYUSt_chr4.20260 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFRGKIWTALGSVMDHAGAAASTKASTAAAPDRALLTDIEAAIARCTDGGGGGGSVSDDRHVHEILFLVSNAPGAITFLSRRITARLEAARTPATALRSLLLVHRLLRAGDRYFEQDFRGLWASRDLRVNAPRCGCSCSPLAASGAGVNYVTASVAAATGACSFLHGYTAYLEERMQWVINQSGNLEPTPPPQQDPDDGKPHPHSSYDHAAAEALLFKLAMCQRLLDVAVQLLPDNNTSASAAARSAFGIVLRESFKVYDAFKEGLDLMLLSRSVSLTKSLRVSAHEVLRKACAQTPELKDFYHKCKKSNVGKVMEYPVVRVVTQATELVPPVSREEELDSAEVVQEREGDTSFESKLETTINAVWVEFDDDDQQAGRETTGDDHGSLQAQQPS >cds.KYUSt_chr1.18838 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110557010:110557810:-1 gene:KYUSg_chr1.18838 transcript:KYUSt_chr1.18838 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSLAPAAPLPAPASTCLSSSYFSVAVVSLSDDAGSSSWPMRLPVCEVVSRPWSRGTSPPGDASGGAFPVVPAGVCAGGGTYVVSPSSWWPSPSSGGLLRGRLSADLLLQPHADGDDHGMLALKGDADKARRPEAISHTSVLVAVVRSTRNNGHTRWCRTSSPAAALGETKSSVHRDQISEQMSFLWPSHGRCVPTTFAMFPPYCAVLKGLASVFPQIDVLLDYLPDEGNKLDFILV >cds.KYUSt_chr6.1964 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11690722:11692611:1 gene:KYUSg_chr6.1964 transcript:KYUSt_chr6.1964 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALRNIVAKIRIPLSSSLRSSRASAPFPSPPPPKGDFENALLVKNKIESAEVKDLVSSGDLIAEQCELIEEMISQVSDRHVQASRAVRGVTFKYFCTGVVLGSIKHMIESFYYNEAEIELPERQIHVE >cds.KYUSt_chr2.53011 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330768221:330772716:-1 gene:KYUSg_chr2.53011 transcript:KYUSt_chr2.53011 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWPSRGDAQAGRPSKSTTSPESPPPLSLGSLRYRGERFGRPASPGLHLRGRPQGLQHAGSRGLAPATPPPLCSSSRPPSTASDGSIHGGLLVVGYRKVVAGDEKRVPAEEKAADDLDMVDKALVHPKLEGDVLYPDIVGI >cds.KYUSt_chr3.18531 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113952196:113953311:1 gene:KYUSg_chr3.18531 transcript:KYUSt_chr3.18531 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMKEFSYEEIEAATGGFAAKNVVGKGSHGCVYRTRLRVGTGRRAVTVAVKRASHPQGEAKLANEIAVLAAARHLPGVVTLLGVAAPPPGKPPLLVMELMPNGSLHDLLHRSPRPPPWPRRVELALDVARALRALHGAAPRVIIHRDVKTANVLLARDGRARLADFSLAVRVPASGAPAPPPAGTMGYLDPSYTEPGRLGPESDVFSFGVVLLEIVSGRKVMDMNASPSSIVAWAVPLIRAGLARQVFDGRVAAPPPGGVAEAAIARVLAVAARCVSGNVEGRPTMSEVASELRGALESAGWHRRRGMDAVERVYRRVVSWGTRVRAKTTTRRSKVECTELSSGSSEGSSSRADSCPTPSSRSNSIRLTT >cds.KYUSt_chr7.28928 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179989754:179990026:1 gene:KYUSg_chr7.28928 transcript:KYUSt_chr7.28928 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPWTKRQNKLFEKVLARYDENTPDRWQKVARDVGDGRSAEEVKRHYEELEQDVKHIEEGDLRQYGGSGTRSGNTNWGSSDGGRRQEQR >cds.KYUSt_chr2.5024 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31137825:31138370:1 gene:KYUSg_chr2.5024 transcript:KYUSt_chr2.5024 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLTYGSMLLLCLGVLRLTHAAHNSSHDHFLKPHNGARAEVGVRKLSWNGTLAAYARRHAERRRLDGCKMAHSRGPYGENVFWGSAGRRWTAADAVEAWVDERSDYDCAKNACRRKRACGHYTQVVWARTKRLGCASATCDDGGGTFVVCSYDPPGNVRGETPYRGCGHDQGIAAVYAV >cds.KYUSt_chr2.34531 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213214390:213217780:-1 gene:KYUSg_chr2.34531 transcript:KYUSt_chr2.34531 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAESSGDDAVPEVPEQSVVLISAGASHSVALLSGKMVCSWGRGEDGQLGHGDAEDRPVPTVLTAFDVPGISSVICGADHTTAYSEDEMQLFSWGWGDFGRLGHGNSTDVFNPLPIQALQGIKIKQIACGDSHCLAVTVNGQVHSWGRNQNGQLGLGNNEDSLLPQKIQAFEGVRISMIAAGAEHTVAVTEDGDLYGWGWGRYGNLGLGDRDDRFVPEKVSPVEGEKMALVACGWRHSVTVSSSGGLYTYGWSKYGQLGHGDFQDHLVPHKVEALKNSSISQVAGGWRHTMAVTEDGKLYGWGWNKFGQVGVADNEDHCSPVQVNFPDEQKISQVACGWRHTLALTENKNVFSWGRGTSGQLGHGEIVDRNIPKMIDALSLDGYACKQLEASNAAPMTAEAWVTPLARYAIVPDENVCKSGNGTDTHVPHSDAKRMRV >cds.KYUSt_chr6.3255 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19053853:19054591:-1 gene:KYUSg_chr6.3255 transcript:KYUSt_chr6.3255 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPQVETDRCREQVLDLVVDGDGEAMPGLARLSLGLPEPAHTQRFAGGLHVQHAVELGPAHSYPLHKTFSSRCHVTFCPEEESASFGKCTMGQPRGAGCSQGLDIVVIAVDWGDAAVAEVCMQGLDGGKLSLVRVRKQHDIIGVEGDRMLSLSAVAIILARESITLSTMPAY >cds.KYUSt_chr1.2609 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15608130:15613503:-1 gene:KYUSg_chr1.2609 transcript:KYUSt_chr1.2609 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAGVARESNVVLASCCLSSTMAMREYHIVLRKDLTNTDVGNIGRIVLPKRDAEANLPALLERDGLILKMDDFKLPATWNFKYRCLCYIVTSNSKLPCDFGIQKNFRRILTKPPDSVVTASAMSLHDFPGQRGVSDIRMRRRRHKSPRGEPTGRRRLLVSASFTTAMFLSPVATKSSGGVAALRAVVDFGLAHAPRTGAIKFKVVNTDIQGTPGFMDPVGNLGVDREE >cds.KYUSt_chr2.46958 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293705123:293705809:1 gene:KYUSg_chr2.46958 transcript:KYUSt_chr2.46958 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGESSWAMHFADFLASSNNRQEMDHQGGGASESSFSSGFSSSFDSLGDDDDSFITSDLMDEDDEDDSLQDTACSSAAGPKVTNMHDMWMKSILTMDAKKMDTTQLAKYFLDAGSRQQATAGAAQEVISGGNNNDKHLYECSDLRKKGLCLVPLSMLIDYLG >cds.KYUSt_chr2.49739 pep primary_assembly:MPB_Lper_Kyuss_1697:2:311254080:311255330:-1 gene:KYUSg_chr2.49739 transcript:KYUSt_chr2.49739 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTAASSSSRLSREGEEEEGEEQEMEEEEEGDEPSPREIPFMPPAASPPSVSPSTAAASASASASASTSPFRSSDGAGASGSGGGAGPDVDAEPIDKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAAANDKGLLLSFEDRGGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRGAADAARDRLFIDWKRRADPHARLARMPMPMPNASPYGPWGAGPGGFYMPSAPPATLYEHHRLRQGFDFRTSINAAASAGQPRPQVLYFGSPGLPPQHAHMPPPQQPQHPHPLHIAVQQPSPAVTLGVPMVLDSVPIASSPTAASKRVRLFGVNLDNPQPYGHGGESSQQDANALSLRMPGWQRPAPYRLHEPPHHAPAGAESSAASSPSSSSSSKREAHSSLDLDL >cds.KYUSt_scaffold_6468.885 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4293298:4293843:-1 gene:KYUSg_scaffold_6468.885 transcript:KYUSt_scaffold_6468.885 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTLQLESQVSAPRKFRATVMDWHNLAPKLAPHIVDSAHHVEGDGGVGSVRHYKCGSAVPFNSMKKKVEFLDVDKCECKYTIECDGVETSTWNIKMKPTANGGSVATVECTSKGMEAKDMMLKAKDSAAEIFKTVEAYLIANPDAYN >cds.KYUSt_chr7.8893 pep primary_assembly:MPB_Lper_Kyuss_1697:7:54080722:54081417:-1 gene:KYUSg_chr7.8893 transcript:KYUSt_chr7.8893 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKTLTAGLFTMMFLAPSILAADPDPLQDFCVADLDSEVSVNGYPCVPTSEAGDDFLFSSRLATAGNTSTPNGSAVTRLDVQGFPGTNTQGISMNRVDFAPGGINPPHVHPRASEIGMVTRGELLVGIIGSFESGERLYSKVVRAGGTFLIPRGLMHFQYNVGAEEASMFVSFNSQNPGIVFVPVSLVGSNPPIPTLVLTRALRVNASVVELLKSNFASGSYFIPRTVE >cds.KYUSt_chr3.31535 pep primary_assembly:MPB_Lper_Kyuss_1697:3:198105807:198107399:1 gene:KYUSg_chr3.31535 transcript:KYUSt_chr3.31535 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLIQQWTLFLCLMVSVPLLLLLARRSFAGKGLKLPPGPARLPVIGNLHQLAGPLQHRTLAELARRYGPVMLLRLGATPTLVVSSASAAREVLKTHDLDCCTRPAMPGPKRLSYGFKDVTFAPYGEQWRERRKLFITELLSMRRVKAAWGARQVQIDKLMASLIPNKPVALGEHIYGLVNGIIGTVAFGSIYGVEMVAHKTQFHRVLDEALDLQATFSAEDFFPNAAGRLVDRLTGLVASRDRLFANIDAFFEMVIEHHLNPKREGSDLVDVLISFSKEQPDFTRDHVKAIIMDTFVGGVNTSSVTIMWAMSELIRNPVVLKKVQEEIRMVAGGNDRVQPEDMPKLSYLKMVVKETLRLYPSVPLLLPRETMRHIKIGGYDVPAKTRVLVNAWAIGRDPASWGEDAGEFNPDRFVDKEVNLQGTHFQLLPFGAGQRMCPGIAMALTNVEFTLANLLCGFQWELPEGTKAEDVSMEEVGLLTFHRKTPLVLVPTVYHPERRQ >cds.KYUSt_chr3.14814 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90030855:90033089:-1 gene:KYUSg_chr3.14814 transcript:KYUSt_chr3.14814 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSVECLSLPDAAMDAADDVGLATLHPHLAASASSRPAPFPNPNAKGGGGGGGAGGMVAPGSSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYTLGCPEIFPYYSKIKHEAMCSFRPYNCPYAGSECSVTGDIPYLVNHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKMVWEGTPRSVRDSHRKVRDSHDGLLIQRNMALFFSGGDRKELKLRITGRIWKEQQTPDGACVPILCS >cds.KYUSt_chr3.2523 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14498563:14500470:1 gene:KYUSg_chr3.2523 transcript:KYUSt_chr3.2523 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSVPSGLNLLLLLLLLFVGTSEATTINITNRCSYTVWPAALPVGGGATELESGQVWTLDMPAGISNGRIWARTGCSFSSNGKWSCQTGDCAGAFACNILGKPPYTFAELSTSSPQNYFDISVVDGFNVPMDFLSVPVQEGEKECSKGPRCAANITSQCPKEMQVPGGCKNTCTGTGSSNCTYAGFFKRMCPDAYSLSNDSATHACPAGTNYQVIFCPPMNLTILPAATSPPPTPTLEKPPSPASPPLAPTGPRKSVTPIAIGVSVGSFILVTVLFTITCYIRKRRAQQKHQEMEEEEEFGELQGTPMRFTFQQLKVATEQFTDKLGEGGFGSVFKGQFGEDSIAVKRLDRAGQGKREFSAEVQTIGSIHHINLVRLIGFCAEKSHRLLVYEYMPKGSLDRWIYRRHDNIAPPLDWSTRCKIITQIAKGLSYLHEECTKRIAHLDVKPQNILLDDNYNAKLSDFGLCKLIDRDISQVVTRMRGTPGYLAPEWLTSQITEKADIYSFGVVVMEVISGRKNIDTSRSEESVHLITLLEEKVKYDNLVDLIDKNSNDMQAHKHDAIQMMKLAMWCLQIDCKRRPKMSEVVKVLEGTMNAESDIDHNFVATNQATFGVARNVTSSAPPLASHVSGPR >cds.KYUSt_scaffold_869.49 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:315836:316423:1 gene:KYUSg_scaffold_869.49 transcript:KYUSt_scaffold_869.49 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLRRLIDGAALVARETARRSSARDVLSSALLAATDLAGLTRGTPRRPPLPPGPHPGAAPESPGPSSSSSVVYFSHQDAPPRQPPLDQQLLPHDSPQPAQTLEITTTHTAAAEADVAERQALVVDRSNDDPSPSTTPTPSPAPAEKRRRRRERNVPATPFTRALGSVHYTPHQIEPNEMLIFTSSIYDSHAD >cds.KYUSt_chr7.6319 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38049717:38056065:-1 gene:KYUSg_chr7.6319 transcript:KYUSt_chr7.6319 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAVEASWPVPSGCQGQRYGEEVSLSPSAHRSAGDEFVDVDLLDSSSSDDSDLDELLHDDEMESTMLLLSVKELEDRTKLLNRRRGSVLGQNHIQRNRLLGHEQLMEDYFAESPLFAKLENEEAPTYNYKVMNNEYTIGYYLADGIYPDWATFVKSVKDPQDRIEAEFAKAQEAARKDIERAFGVLQTSFAIVRGPARFWDKKTLVNIMTCCVILHNMIIEDERELSLPCFYENVSTRVEPNAIRILLKLSLKLIMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr1.40114 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245655681:245656637:-1 gene:KYUSg_chr1.40114 transcript:KYUSt_chr1.40114 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEGEKMEAMASGFPLEVRPGSTRVGWIGIGVMGGSMARRLLAAGYAVTAYARSPAKADSLVAAGASLADSPSAVAAASDVVFTMVGNPGDVRAVVLDAATGALAGLRPGGVLVDCTSSSPSLAREVAAAARATGCKAVDAPVSGGDVGARDGTLAILAGGDEAVVAWLAPLFAHLGRPTYMGPPGSGQSSKIANQIAVAGAVVGLGESLAFADAAGLDTRQFLGAISKGAAESRVMDLFGERVLSRDFATGGAVRYIIKDLGMALEVGDGQEEVNVLPGSALYRQLFSAMVANGDGDLCLQGLITVVERLNGIRK >cds.KYUSt_chr7.17737 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109837657:109838613:-1 gene:KYUSg_chr7.17737 transcript:KYUSt_chr7.17737 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMTHNSSSSNSWDLDMSLGSHHHPLLFDHPTTTPPPPPPPPLSFHLHPPLPSQQQQHHHQLALDHSPPPSLFPPHHLHHLDLAVDLHRHGHEYHQHQNQQRDQQHDEMQQRPPPPTDQEGSQSQLHQQHQDAVDEAEEELGAMKEMMYRIAAMQPVDIDPATIKKPRRRNVRISEDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQDLQHQPAPPHQQHYPGGGSSGGAGPSSTVAMAGRPAFLPLGAGSLIDWAGLTRQVDIHGPTSSSSSSSMGGALGFGFSGGGGQSSHGMH >cds.KYUSt_chr4.26902 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168907931:168908905:-1 gene:KYUSg_chr4.26902 transcript:KYUSt_chr4.26902 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLLPSSVRDLASCVVADVAACTTPASTLTSSSAGSTSSLSVTVSYRATAILPGRHLRLTWTRSPLGPTLSFYPSASAPAVHLRRRKGTRSVSLEDFESGVGNGAGGPGSCSQVVVFWDLTAARYDASSPEPHSGYYFVAVAGDEVVLAVGDLAAEFVEDKFPEAAAALSLPVARRERVVAPDQAAMHTARRVRFAEGGPEHEVSVGCSGGGGDGAEEELWVSVDGKRAVQARQPRLNFRGNQTVFVDGDPVDVMWDLHGWWFRKPPVGCAVVMLRARSALESRLWLEEEEAAAAPGFSLVVQAFKSRFDDLSSSAHR >cds.KYUSt_chr7.34884 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218013145:218013468:-1 gene:KYUSg_chr7.34884 transcript:KYUSt_chr7.34884 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVEAVAAISPDLGDALAKVAVFTLVQGLVYLILRKSSDLFSTASGNAAARSRSFRPMRSMSVRRVLAAFSDVPFGGPEDGASSTSTSSSAAAQTDATDRADCRLA >cds.KYUSt_chr4.35585 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218508634:218509500:1 gene:KYUSg_chr4.35585 transcript:KYUSt_chr4.35585 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGLRHRRAVLGAPRRRYGPGGGLRRRHNHRQHYHHVHRRVQNRNFTGTSGPSTRAEVPREEIQAIPERAVVPDVVAEGAAEVDAVHEDEASASSITADADELLPPPPTFNVPPMEWLLGGPSAGWLVDDPERDFSDEELTAPSPPMYYYLRHGYGPCLPSPTPSGEEQGHFAPPGYAPMTEFFNPPVVAPVDALPPGLDLNLPAAEETEEENEDAPSAPSLALPTPSPEARVLLRLFASAMAARPAGIRRGTWSPDDLGLTGRFAELRLNEAAHHFSSSGEGSSRR >cds.KYUSt_chr4.5277 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30418650:30419828:-1 gene:KYUSg_chr4.5277 transcript:KYUSt_chr4.5277 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQETRYWCHNCEEVVVPVEPEKKCPDCDGGFVEEMGSEEFEPSANVRSEQNLSLWAPLLLGMMGGSSRRSRPRRDMMDSSSDEEEGRQARIRRALRDTDDEDEEEDDDDSDRELQDLIRRRRRRGSSLVRLLQTLRDDLRGLDDIGRDRDRGLEGLRERRERERARRDRERERERARERDRGRERTESVILINSNNEAIILQGTFGPNGNQEHSSNTNTGVSLGDYFLGPGLDLLLQRLADSDLNRSGTPPAKKESVAALPTVNIQEVLGCTVCLEEFEMGTEAKEMPCQHKFHTSCILPWLELHSSCPVCRFQLPTEESKNPCESGSGGGTVSADGDDAESSSSDVEGTDHNGGSQPGSPIFPENVSDSDIRSALDELFPNQSSSSES >cds.KYUSt_chr6.27943 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177248616:177253925:1 gene:KYUSg_chr6.27943 transcript:KYUSt_chr6.27943 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWIFSRKGASGFSRASTADQVTQGLSAAGLTAIVTGASSGIGAETARVLAARGAHVVMAARNLAAAESVRQAVLADTPAAILDVMELDLSSMASVRKFAAEFNAKGLPLNILVNNAGVMATPFTLSKDGIEMQFATNHVGHFLLTNLLLETMKKTSRESNVEGRIVNVSSEVHRFTYKEGIRFEKINDEAEYSTFGAYGQSKLANILHANELARRFKGAATTCYVALHPGAKGLSGKYFSDSNVYEANEKAKDVELAKKLWDFSIELTT >cds.KYUSt_chr2.1160 pep primary_assembly:MPB_Lper_Kyuss_1697:2:6780619:6782592:-1 gene:KYUSg_chr2.1160 transcript:KYUSt_chr2.1160 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVLLSLGLQFVLFILAGVRRREDAPVRRFILWLAYLMADSTAIYAIGHLSFSSIVQAHPLVTFWAPFLLLHLGGPDNITAYALQDNQLWLRHLQTLVVQVLGVAYLLLYRNMAGGNLLGLATVLMFALGVVKYGERTWALKCGTLESIGSSVKAQPPAIHKHFHPQGKATDEELLLRRAHSLFHICKRAIVDSSVIEEDTMEGHEEYTAEMMDGLELWELMQIELSLMYDLLYTKASVVHTSLGYLVRAVSPFIVAASLVLFNLTGKDGHNRDDIAITYILLGGALLMETTSLMNALGSSWTFAFLSNTKWHWLKYTALCSRRWDRVRHLVVSLHHVVKGGAASASRYESRKWSGTIGQYNMLHFCTRPANTLTSPLLGRLAKLVAPNEWWNRKHYSGTVQLSTSVKTFISGYMTRLYSKGEFNTGMLRKKWGEDPLERLGLYNEVILKESLGVEFQEGILIWHLGTDVFLAKSRTAKAQDADDLVTAIKILSNYMMFLMVDRPFMLPGQPQNRLYKRTCEKLVSMRPANPKYPSRRRNGRIKNLFSVYDGPGSSRDTEMNDLANNLYDEYVNRKLTNVAPRLTHVARLAEKLLEKERDGTTDTLKLVLDVWTDILVYAGNKCSRNSHAQKLNSGGEMTTILWLMAEYLYQVSL >cds.KYUSt_chr7.23315 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145101227:145103719:1 gene:KYUSg_chr7.23315 transcript:KYUSt_chr7.23315 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVDGDAPAVSTAGEAARMVWEESKRLWGIGMPIAIATLSLFAVSSVTTIFVGHLGNLPLAAASIGLSVYSTFALGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWIVLTAAALLMVPFFVFAEPLLLAIGQDAAVAHEAARFALYILPGAFSFAINFPTAKFLQAQSKVLVLAWIGVAGIGFHVALTYLVVTVLGWGTPGAAAAYDISLWAIALGQAAYIIGWCKDGWRGWSMAAFNDMWAFVKLSIASAVMLCLEIWYVCLITVVTGDLQDAQIAVDSLGICMNVNGWEGMLFIGLNAAISVRVSNELGSGRPRAAKHAVIVVVAESLLIGLLCMVLVLIFRNKFSIIYTSDVELQHAVSKIAGLLGLTMVLNSVQQVISGVAIGGGWQGLVAYINLGCYYVFGLPLGYLLGYKFNYGVIGIWSGMLCGIALQTLILVFIVWRTDWNAEAALASRNVRKWSGTDETKPLLEEN >cds.KYUSt_chr7.23846 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148525538:148526158:1 gene:KYUSg_chr7.23846 transcript:KYUSt_chr7.23846 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFQASCTFPPVCLLCGVEGHNSNACLSKGKQPELRILGQAVPGESFFYLDFDEDEDEDEEVTNGAVISFRQVVFSASDLNRELQHLVEADWDWQVQEVGRNEFAVLFPSRESLQFSTRSGRLFLPLSGTVADIRLADADPAPVELLQEVWVKLTGVPKRMRRASRLLAGMRMLGWPMEVDEVSLRCRQPVRMRIACRNPDKLQG >cds.KYUSt_chr6.5964 pep primary_assembly:MPB_Lper_Kyuss_1697:6:35832805:35833813:-1 gene:KYUSg_chr6.5964 transcript:KYUSt_chr6.5964 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVKVYGTAKSWNISRVLVSLGEAGVQYEIVAVDFAAGEHMSPAHLARNPFGQVPVLEDGDFCLWESRAITKYVCRKYKPELLRVGNLVGSATVDVWLEVEAQQYSPVMEAILIEIRLRPIFGHQVDERVVEKNIEKLKKVLSVYESRLSSSKYLAGDFISLADLNHVSTMLCLGITTYISVLDLYPNVRAWWDDLRARPAARKVSDLMNPRGP >cds.KYUSt_chr7.17534 pep primary_assembly:MPB_Lper_Kyuss_1697:7:108715044:108721551:1 gene:KYUSg_chr7.17534 transcript:KYUSt_chr7.17534 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAPTDVEEEAPMEEEEAEAEETEVEDDDDDEFEWYTQEFCFLTNMKSLSIFIRVHAVVLQGSIVALWRRSACEDPKCAQHSLPASREAVLLSPYPIMPIVLTPHSFPLSPQLTMDEEEHSVCQAVAPDPNLDTRPCCSSAHRPSPPSLSTSSSTGELLSNLLLNGVNTESKKAGSEGSHEDPVSIEEVIRLDGRGGGLAASSSMTSPLNCGNARRQRTKVYTRVRHPPPEPDPRPPQPQSGWSWAGSPTRLPHAGVCLRVDYATAER >cds.KYUSt_chr2.54111 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337688669:337697434:-1 gene:KYUSg_chr2.54111 transcript:KYUSt_chr2.54111 gene_biotype:protein_coding transcript_biotype:protein_coding MLADHFYWPKMRRDVDRYVKRCITCNKSKSKLKPHGLYTPLPAPTTPWEDISMDFVLGLPRTKRGHDSIFVVVDRFSKISHFIACHKSDDASHIANLFFGEVVRLHGVPKTIVSDRDVKFMSYFWKTLWGKLGTKLLFSTTCHPQTDGQTEVVNRTLSQLLRSMIKKNLKEWEDCLPHVEFAYNRAVHSTTELCPFEVVYGFKPITPLDLLPLPIHERVNMEASKRADFVRKIHVKTKELIEKKCKSNAARMNKKRKEMLFKPGDMVWVHFRKDRFPKLRKSKLKPRGAGPYKVLAKINDNAYSIDLPVDEFGVSNSFNVADLTPYDGEDLGAYQEETSIARGGEEQLDMKTDVKMDVKLDMELDMKISHGRAREEREEGARGEAESPSRSCTRMDGSTNGANGLGSKSCGPLTDYYIPDYILKPDSEPVLIDNAPSCPVVVFINSKSGGQLGSGLIKTYRELLNVAQVFDLSEESPDRVLHRLYANFEKLKSNGDILAVEIQRKLRLIVAGGDGTASWLLGVVSDLKLSHPPPVATVPLGTGNNLPFSFGWGKKNPATDQEAVKSFLGLVKGAGEMSIDSWHIIMRMRVPQEGPCDPIAPLELPHSLHAFHRVSGSDELNVEGYHTFRGGFWNYFSMGMDAQVSYGFHSERKKNPEKFKNQLTNQGTYAKLGLKQGWFAPSLTHSSSRNISQLAKVKIMKRPGGRWEDLKIPSSIRSIICLNLPSFSGGFNPWGTPGTRKAQDRDLTAPYVDDGLIEVVGFRDAWHGLVLLSSKGHGTRLAQAHRIRFEFHKGAAEHTFMRVDGEPWKQPLPKDDETVVVEISHLRQVTMLANQPCKSKSIDDPTSPSHRAEDNDDSDSLEDEDEWKEGRKKFGAADTFKLPDEVEIAHLS >cds.KYUSt_chr4.6418 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37810215:37811693:1 gene:KYUSg_chr4.6418 transcript:KYUSt_chr4.6418 gene_biotype:protein_coding transcript_biotype:protein_coding MMESAGASWVGLNSGVVLSLIAVLWTVVWQNLQRLQLPQHFLKRCIGRHARRFAAIVDPYLSVTVAEYDGGGRMRRAEAYEEVKAYLAETTSRDARHLRAEGAKGADRLMLSMVDGEEVADVLLPEEGGGTVFWCAYSKAPPQQQDWRWGGGNQESRHYYRLFFLDRHRDVVLNTYLPRVRREGRAVMVKNRRRKLSTNISSHEWTHVTFEHPKTFATLAMDPAKKKEIVDDLDTFKNGKDYYARVGKAWKRGYLLHGPPGTGKSAMVAAMANHLDYDVYDIELTSVHSNTELRKLFIQTKSKSIIVIEDIDCSLDLTGARGKKKDSEEDNKAAKAGSDGKKDTRSKVTLSGLLNFIDGLWSACGGERIIVFTTNHLEKLDPALIRRGRMDKHIEMSYCGAPAFEFLARTYLGVEEHELFADVGALLQEVDMTPADVAENLTPKSADDDADSCLRGLVAALEKAKEDKANGRGKDKQPDEEDGGVVIAQEQE >cds.KYUSt_chr5.42226 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266074761:266076446:-1 gene:KYUSg_chr5.42226 transcript:KYUSt_chr5.42226 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTARTTWSPACAGRRRPCSDASRRASVFLPGGVHAPWPRSHSVAARVASSSTNPAGCLEAAAPWSGIARPAPPIMDIDLAAPAVSSEERVRQLVMKQAGLAAAAAARTPRMAQLAGRDAGALDVAFDRCGAVCKEYAKTFYLATQLMTPERRRAIWAIYVWCRRTDELVDGPNAAYTSALALDRWESRLDDVFAGRPYDMLDAALADAVAAFPAVNARPFRDMIEGMRMDLARSRYATFDDLYLYCYRVAGTVGLMTVPIMGVSPDSEADLGTVYAGALALGVANQLTNILRDVGEDARRGRIYLPQDELAMAGISEADIFAGRVTDEWRCFMKGQIARARTYFRQAEQGAAELNQESRWPVWASLLLYRQILDEIEANDYDNFTKRAYVPKAKKLAALPKAYFKSLRLPPSQRH >cds.KYUSt_chr4.49836 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308692843:308697433:-1 gene:KYUSg_chr4.49836 transcript:KYUSt_chr4.49836 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVSKAKFGAADIYSGGTGYHSISGIQQHENGGGSVIDASVFSSCVSASSVGDHQGLQATAPNTSFYSHYQDNLPVIPNESSFGIAFNEPSSRFDLSSWNEMTKPDEGIHQLPPYQSHVSPEQYPFTDGPGIESFSFDEVYSNGLGIKDDVHADTDGEPLLQDSFKKSDSFTRWMSKELAEVDDSQVKSSSGLYWNSEDADSIIGSSSRDQLDQFTLDPMLAQDQLFSIIDFSPSWTYAGSKTRVLVTGRFLTSHEVTNFKWSCMFGEVEVPAEILADGTLRCYSPSHKPGRVPFYVTCSNRLACSEVREFEYRPSDSQYMDAPSPHGATNKTYLQMRLDKLLSLAQDEQDEFQAALSNPTKELIDLNKRINPLMMNNDPWSELLKLADDNNELVNDDTQDQFLENCIKEKLHIWLLHKAGDGGKGPSVLDEEGQGVLHMAAALGYDWAIRPTITAGVNINFRDVHGWTALHWAAFCGRERTVVALIALGAAPGAVTDPRPDFPSGSTPSDLASVNGHRGISGFLAEFSLTSHLQTLNLKEAMGSNASEISGLPSIGDVTGRTVSTSAGEGLQAGSMGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYEDENGVISDERAMSLLSYKPSKAGQLDPMHVAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRSTEAATASTSSSSSVDVTSNKPAEDDYNFLQEGRKQTEERLQRALARVKSMVQYPDARDQYQRILTVVTKMQESQPMQEIMLEESTEMDEGFLMSELGELWDDDMPAPGYF >cds.KYUSt_chr6.1648 pep primary_assembly:MPB_Lper_Kyuss_1697:6:10056594:10061178:1 gene:KYUSg_chr6.1648 transcript:KYUSt_chr6.1648 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPPKLLYIAVADGGGRRAFRYTRPVLQSTLQLMGCKARHAFKVHPPLSCFLSFCPYLAASVAVSDLEFLIPTIEGSRLGITTVVSTDSIRHMMRSFADEKQNPLLYASTYHAGEYLDPIAVSKSKAKRQAKKLAMVSHPNTNEGKDDTSDVKSHHGASALPPRTELIGSKQMAIEGFKAQSEMVIDSLDRLITSWEEQKQSVIVEGVHLSLNFVMGLMKKHPSIIPFMVYITNEEKHMERFAVRAKYMTLDPAKNRYIKYIRNIRAIQEYLCHRADKHLVPKINNTNVDQSVAAIHATVFSCLRRREAGEQLYDLNTNTVSVVDEEYRNQRAANSLGSKGMFQFIQRKGSSRNLMALLKPDGSVTKAWHVDSSDSNGNGIRSSDKSARNPMVNPSQIGKAESVNLQFGSFGISAWLSDTGGTSHTGSVDDLKVDGIETGSRNFSSCCSSPKMSDCASKEHMDDYSVYGSEEDADDPPDAETDEDLTDEERDAHEIEAGSVDEHSTKSDEEYDDLAMQDVMENGDCSDDDEQATGSGTRSLLALDENILGAADGDDALVEGRYHHNNLDLFFKISNEVAAKKMPCA >cds.KYUSt_chr5.19518 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126613107:126616748:1 gene:KYUSg_chr5.19518 transcript:KYUSt_chr5.19518 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGIVSARLLAVVRVLCRFDCFLDKSDYTMGDINDGHGGATAAGGIAGTTSGCGISKKIIRNIADVMVDTGLAKLGYEYQHRYTKMSEALLSSRRNIFFSLSEWGVEDPATWAGGLGNSWRTEDIKETRTHGQAWRITLTRATSGHHMQGLVDGTVNLFGPMKKIEHAKCADPDMLEVGNRGMTMEEYRIHFSIWALVKVWAGRLSGGRVALVLWNRGPAEASITASWSNIGLSLLAVVDAHDLWADEVTSSVQGSLKKNVDYHACKMYALTPKEKRILNVTYYYEIIISKDNKKSPRLVWV >cds.KYUSt_chr5.21366 pep primary_assembly:MPB_Lper_Kyuss_1697:5:139437416:139437679:-1 gene:KYUSg_chr5.21366 transcript:KYUSt_chr5.21366 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPQLEPSQSLASLDCGAVLAPSSEALFAKELCGLLASLEAASPGYGKDIDCVLAGKASEDMIRRVEKSLKKVSIRSIRRKRGG >cds.KYUSt_chr2.46955 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293687634:293688339:1 gene:KYUSg_chr2.46955 transcript:KYUSt_chr2.46955 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAGLLASSAHSHQETDRQGGGVSESSFSSGFSSSFDSLGDDSFFTSDMVCSDEDDDDYSLQDTACSSAAGPKLASMHMSMKSMVTMDAKEMNNSQLAKYFLEADTRQQLTAMAQEMISAGKSNEKQLYECNDLRKKGLCLVPLSMLIDYIG >cds.KYUSt_scaffold_6468.557 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2669359:2673430:1 gene:KYUSg_scaffold_6468.557 transcript:KYUSt_scaffold_6468.557 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDDGVRGHERAREWAHRVGDVEAVTTRVMAQQERDGSVVVVIVFPGTVADGRRRWRLLQGVRGQKKRTLLEYLIVRSQIHLQKYTLVELLRLERCGSDNDIPVVMGGNAFHKLRSCNMTAPLRFLPGAMPSLERLEFTVHVQPLKEANFDFDFGSLENLPCLREVSVFICCYPDKAEADKVEAAVRHAVHNHPNHPILHLMKFNHVAVILTLTAAALLFTEIMLMSMNEQVS >cds.KYUSt_chr2.5174 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32129282:32129973:-1 gene:KYUSg_chr2.5174 transcript:KYUSt_chr2.5174 gene_biotype:protein_coding transcript_biotype:protein_coding MILAELELHSLGYEPLKNVWHVSAISYDKSVLQEMHAAMRSCNFLLQRAMSRRPPLAKRAKDLVMIGKAFRVVTKEIENGFVQSVSVLTAIEGLKALAMMFLGPYIGFLKPIPNREFPFKPPEDSGERLYFRMLMDYYHTVFDCVRTEILGLFSMDGHEDNFKDL >cds.KYUSt_chr3.14154 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85756223:85757782:1 gene:KYUSg_chr3.14154 transcript:KYUSt_chr3.14154 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPAPPAWMAAAARKWLEDAGAIGKDAAGGGGGRRAFNALPLSGVRVALAERGRALCSLRVPAHLTDAEGNWHAGAIAAAMDDVCAAAIMSVEGIIKVSVHYDISYFAPAKHHDEVEMDGRVVDRKGRMTAVTTEVRKKETGELVAIGRQWMTTSRPKGSQGSKL >cds.KYUSt_chr4.33695 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206704100:206706352:-1 gene:KYUSg_chr4.33695 transcript:KYUSt_chr4.33695 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVEVDPELGAAAEKPAAAARVAAAPKKVAEEEDPRLRWAFVRKVYAILALQFAFTAGLAAVACLVHPIPRFFLAGSVASWSVFITIILAPFLGMPLPARLPPSLNWGFDLRRYNQRDGALILFSAVMWPMLKYRQKHPVNLWMLALFTLCISISVAVTSSTVAGKALLQAAILTAVAVAGLTLFTFWAANRGYDFTFMFPFLFTSLLVLLVYLLIQMFVPLGRVGVTIYGCVATVLFSAFIVFDTNMLVKHHTYNEYVVAAISLYLDVINLFMAQLSFAAIQ >cds.KYUSt_chr1.34776 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211820830:211822633:-1 gene:KYUSg_chr1.34776 transcript:KYUSt_chr1.34776 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQAAVTAHLDQVSGLVQALSSELRSGIGPAADSLRSFVRAVDWTEPWLMGLMAFHASLLLTAVGLRRHANLQFFLLFLAYSGVYLAQKLNIYLAEHWKSFASRNYFDRAGVFISVVWSGPLIFISIVSVVSSLITLCQLMIKWKRAELRHRVRLARDKQE >cds.KYUSt_contig_1158.224 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:1339031:1340764:1 gene:KYUSg_contig_1158.224 transcript:KYUSt_contig_1158.224 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERPWSGDGNLVHDAVDYRGCPADRSSTGSWLGAASVVGIELCERLATMGIAVNLVTYLTNTMHLPSAQSANVVTDFMGTSFLLCFLGGFLADAFLGRYLTIAIFALVQALGTGLLAVSTTLPQLRPPPCVAGSAAPCEEATGLQMGVLYVCLYLIALGTGGLKSSVSGFGTDQFDERDDRERTAMGYFFNRFFFIISVGTLLAVTVLVYIQDHIGRSWAYGICSGTMLVAIAVFLSGTRRYRYKRSSGSPVVHILQVLVAATRKRGLKRPLTAAALYEDRPEDARIPHTAQFPCLDSAAVMAGEEDNEVGPHGRPVPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRMGGFEIPAASLTVFFVGAIMLTLVVYDRVFIPLCRNLTGRPGFTNLEKIGIGLVLSIVGMAAAAFCEKKRLAVAATATNGDVLPISVFLLTPQFLLVGAGEAFIYTGQLDFFITRSPKSMKTMSTGLFLTTLSLGFFLSSALVSFVGGATTWLGDTINHSRLDYFYWLLAVLGAVNLAAYLVCAMWAAPAVVSKAEQPGTAADEKC >cds.KYUSt_chr3.45309 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285483486:285497567:-1 gene:KYUSg_chr3.45309 transcript:KYUSt_chr3.45309 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSFYGRRNLSSFQARRQQVHPRTVVVVAVAVTVAVAVTVAVAVTVAVVLHLIHLIHVSRRRPPVLVRRVIRHRYRPQSTSRRRSEQSWVINPDPYVPRTTKIPEPSSKPLIPRPWELSVEENAAAVAAQHEKWKEECKKKREGEPKPVFSDEQKKWAKSFLNTPSQAAKNLPDDYLRELRRQALEFKRNKELAEKKALEDEAETKLERGKEVAQLGEQSKQSIAPLIVQAAGPDAPNIIAAAAATWIDRNECRRTSGRVRFHWILMDEAGTAAIKTVELDSILGGRAVQYRELQGYESDKFLSYFKPCIIPMEGGFASGFKTPEEDKFETRLYICKGKRAIRIKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKSLEVIQHLKEKYHGGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKIVSDDDVVLETTAPKLYSINDGQLKLEDITLTKAVLENTRCFLLDCGAEMFVWVGRVTQLEDRKAATKAVEEFIISQKRPKTTRVTQVIQGYESHAFKSKFESWPAGNVAASTGAEDGRGKVAALLKQQGVDVKGAAKSTTPVNEEVPPLLEGGGKLEVWCVDGNAKTPLRKEDNGKFYSGDCYIILYTYHSGDKKEEYYLNYWIGKDSTADDKLVAAELANTMWNSLKGRPVMGRIYQGKEPPQFVALFQPMVILKGGISSGYKTIADEKGVGSGTYSAEGIALFRISGTAIHSNKTLQVDSLATSLSSTDCFVLQSGNAMFTWHGNSSTYEQQQWAAKVAEFLKPGVAAKHCKEGTESSAFWFALDGKQSYTNKSTAQDAIVREPHLYAFSLRKGRLEVTEIFNFSQDDMLTEDMMILDTHGEVFIWIGQCVESKEKLKAFDIGQKYIEHAMSIEDLSPYVPLYKVSEGNEPCFFKTYFSWDNTKSVAHGNSFQKKLSLLFGLRSEGTSRSSGNGGPTQRASALAALSSAFNPSSQQKQANDRPASSGDSGPTQRASALAALSNAFNPSSKTKTPLPSRSGQGSQRAAAVAALSSVLTAEQSGSSDNLRASKTSTTAPTTTPTIAPTTVEKTDAEVVVITPSEASPRSEAGESSEFQSEKDAVVEGKDAVVEEVPSEGDGAEPATAQEQTTEHVGEATFSYDRLISKSTNPIRGIDYKRREAYLSESEFQTVFGISKDAFYKQPGWKQELQKRKTDLF >cds.KYUSt_chr7.18040 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111955653:111961018:-1 gene:KYUSg_chr7.18040 transcript:KYUSt_chr7.18040 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGGDEHGGEGKPRRRPSSNSLRRLVSYNSSKRHEDLEEEDEEGGVVAATSSSAGLRVGKDASTARLIRKPPVAPVVVDAVVALPEEAAAVAAGVVDVERAVAAPANRRRVPNGVPAEQEPRSGGIRSEAKPRITDVPNGLVAEHVAAGWPRWLTEVATEAVRGWQPRKAESFEKLDKIGQGTYSSVYKARDLENGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGLKFTEAQVKCYMKQLLSGLEHCHNRGVLHRDIKGANLLLDNNGALKIADFGLATFFNPNQKQNLTSRVVTLWYRPPELLLGATNYGATVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEFWANLKLSRATIFKPQHPYRRCVNDVYKDFPPSSLALLDRLLAVEPDNRGTSASALESEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAAKGHDSETGRRKQLAAANGNNELQQRRIQANPKGSSNKFTPKEDAVTGFAMEPPGGPADNGQPRRIPLTNAGRSSSTLGRSSGADPQRFYTSQIAAAEMSNPSAAAGQRGNNAKLSNLGDSARKQYLREHRSSSRYSQLSAADPSDKPKWSQTHQFQERPSSSHRKDDVVADKEPTVANGARKNRIQYSGPLMPPGVNMEEILKEHERQIQQAVRRARLDKGKGKHAERDQSESLLYAGQNGRS >cds.KYUSt_chr6.4318 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24994003:24994239:1 gene:KYUSg_chr6.4318 transcript:KYUSt_chr6.4318 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKALALGVLVLAFAAVTALGCSSSDLCANNECCSEYGYCGTGGSYCGKGCQSDPCYGGQVVGAVTEALIDQVLPAV >cds.KYUSt_chr2.14813 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93381130:93383914:1 gene:KYUSg_chr2.14813 transcript:KYUSt_chr2.14813 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPISLESVCALVRDDLLTLGRLVLVGPSDWEDHSAGKEGVQRYHTRNLPDNFPGLYELGVAISSYDGVRARRNGSSVVVVVYLGQADNVRARLQQYGRTGSHLDIGNPLAAVGKTEINMLAAGPGLFREVFSRGYSVMFRCASMGDKKEAEKTEGQLLRVFDYAWNKLQNGACRREEILLKLEQRSHRTSLLSRVRHFKQEVFGERAGIKITSSGSVGISSGSTKTMLPRVRTFVGFRPRSVNSCDSLNEAIDIHRKCTPQASTPGSNQAHRRTGGYKVKKIDVITRRTAPMQESNSVCGITLEDGSTCLEDPLEGRKRCELHKGRRVRVKQSCKVSSSSYTCQVVIPADDPIPQVTANPSKPDQAWETCAGRSKNAKESSLQRNSFEAKELKPGEAPIEDGTYGTSHAESQSQEGEPSGRRWFELLKAQKSSGAPSSRGQGCQTRVANDDEAAICGVATDNGYCKLVPMAGRKRCEEHKGIEVTGASPAPPPRSSVWPCICGARASDGSPCKSQPVAGRKRCALHKGQRACCASTPSTEQ >cds.KYUSt_contig_988.137 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:904422:905333:-1 gene:KYUSg_contig_988.137 transcript:KYUSt_contig_988.137 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGTLAESGDGGGQAMGPAKPMSMSERARLARVPLLEQGLKCPRCDSGNTKFCYFNNYSLTQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKQAAAAGAPAANVTASGGSTTTTSSTCTPASTANGAPALPAMLNSGGGNLSGLLPPLLRLADFDAMSLGSTFSGMGKPNPMDVASGFYPVGGAAAAAGLEQWRVHQMQGFPFYHALADQQHAMAQAAAPAMAMPGMFQYLGLDSGGRGSGEEDGGDHHFRATMASKREGYPRSGSIGMYGGGDHRLTAGYTSSYSNTATGNHLL >cds.KYUSt_contig_1253.709 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:4358870:4364827:-1 gene:KYUSg_contig_1253.709 transcript:KYUSt_contig_1253.709 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAGGGGGFHDLFDSVRRSISFRPGAALDDPASSSAPGGPGGGFRERISTRLRKSRGMGLLGMVSKSPSPPRRRLPPPPSQSLSPPPSASPAPDGGKGLGGEENPPIRWRKGDLIGSGAFGQVYLGMDLDSGELLAVKQVLIGTSIATRDKAQANIRELEDEVKLLKNLSHPNIVRYIGTVREEDSLNILLEFVPGGSIQSLLGRLGAFPEAGANILVDNKGCIKLADFGASKQVEKLATAAKTMKGTPYWMAPEVQLMSSDQRSNDLKLGGNVDLQSSPFKVQLMSSDQRSNDLKLGADIWSVGCTVIEMVTGKTPWNQEIQECGDDMCQLGDKDDFVMIESSFNPISEPFDGWEGREQRSSQSRDFNGLANHAESNISENDFTFPCEGISEEDDEVTESKIKDFLDEKAIDMKKLQSPLYEFYNTVNAGFSEGVSDVSRANIINATQLLPPLGRSPPIKMEGGAAVKPVCDNLYNASPKSCTRSFSRSSMMGSGRILREIASPQLNKVEDKVHDNIQDNPSISSERQRKWKEELDQELEINRGGYGKAPSPKSRGMTRKRDRSPVY >cds.KYUSt_chr5.6789 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42044484:42046604:-1 gene:KYUSg_chr5.6789 transcript:KYUSt_chr5.6789 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPGVDYSLYLSDLIPGSPSAYLDLPPTPHHEQQQQKPFADDSPSSMPEDLVLPFISRMLMEEDIDEKFFYDYPDNAALQEAQQPFLHILSDPSSTSTSTSKNSNSRVASPCSPSDGSSALLPAAVDSYDRFHYEPVDLDPAAFFSAGANSHLMNSAFLKGMEEASKFLPTQDKLLIDHEAPSGNFFRGLEEGSKFLPSQEDNLVAEFNGRAAPPAMVSVKREESVDAALPNSLGGRGRKNPYREDELELAGGRSSKQTAMQGDDVTAREVFEKALRPSDDMCVEQLQNLRIAMQEAVAKNEASRVGKARGNGNARGRGGGSDMVDLRTLLIHCAQAVATDDRRSATELLKQITLHARADGDGTQRLAHCFAQGLQARLAGTGGMVHQSLMATRISAADMLKAYQLYMAAICFKKVPFLFSNVTICNAALGKKKIHIIDYGIQYGFQWPCLLRMMSGREGGPPEVRITGIDLPQPGFRPKERIEETGRRLSKYASEFNVPFKYQAIAVAKMESIRKEDLNIDPEETLIVNCLFQFKNLMDESVVIESPRDIVLSNIRKMRPHTFIHAIVNGSFSAPFFVTRFREVLFFYSALFDILDTTTPRNNEQRMLIEQNIFGRAALNVIACEGTDRVERPETYKQWQTRNQRAGLKQLPLNPQVIERVRDNVKNNYHKDFVIDVDHQWLLSGWKGRILYAISTWVAKDASS >cds.KYUSt_chr4.51401 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318917973:318920362:-1 gene:KYUSg_chr4.51401 transcript:KYUSt_chr4.51401 gene_biotype:protein_coding transcript_biotype:protein_coding MWHDESVRPQASGTRLLVSYVASTEAAALIRRFHNAQVNRKVVVKLDLHDNKDKQKAMKAVSVLVGIDAITMDLASRKMTVIGTMDPVDVVSKLRKGWAAYIESVGPAKEPEKKEEKKEEAKKDGDGAAKKEDGEKKGEGDGGKKDGDAKKEEGEGKKKEGDGDKKEGDKKEEGDGKKDEAKKDDGAGGDQKKPVAVFPHHMPLQHQMPPPYMFNADYMMNQYRPPQPPAAYQPPYVPPQYYYVRNMSMEENPNSCVIC >cds.KYUSt_chr4.11216 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68168658:68170547:-1 gene:KYUSg_chr4.11216 transcript:KYUSt_chr4.11216 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFIVTVAAVGAAVLLFTTDVRKSGAVFRRNARQIRHWLEEDTASAASKSAKEAVPPPKKLDAEIPKDKPKDH >cds.KYUSt_chr6.13326 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83320285:83323506:-1 gene:KYUSg_chr6.13326 transcript:KYUSt_chr6.13326 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKADASTKGDGRLKAAGGASKRKKAAAAAGKPKRPPSAFFVFMSEFRQEYQAENPGNKSVANVSKAAGEKWRAMSDEDKAPYVEKAGQKKKDYEKTKATFESKESASSKKAKTADVEEGEGSDKSKSEVEDDGSDERVIVLVKVVLLAVLVEGLVLHRDAGPSFTVVGEVDDRHASRMDMAITTLMSPLQASLSFNDAMNAAFSPGQSSGSSLLATRRFTTPSGKPGRPLTLLDQR >cds.KYUSt_scaffold_6468.936 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4505451:4507353:-1 gene:KYUSg_scaffold_6468.936 transcript:KYUSt_scaffold_6468.936 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMSREERWSLAGTTALVTGGSKGIGYAIVEELAGFGARVHTCSRNAAELEDSRRRWEEKGLRVTVAVCDVSVRADREKLMETIKETFDGKLDILVNNAGQLFVKAAAESTADEYSHLMATNLESFFHLSQLARPLLLNSSVAGGGSIVNMSSIGGTISYAGAAIYNVTKGAINQLTRSLATEWAPDMIRVNGVAPGFVTTDLIKDTTTDQLADEHSKTPMRRSGKPMEIAAAVSFLCLPAASFITGQGLRCSLSMAPQDPSAADLAAAAAEAAAMASLRALAAALPRICAVVPVTLELCTSTYLQWRGMFTDAAEKYALEDHLLEEEYPADPTPQWSRNDVIVRSWLNSVVAPELLAMVVESTTPQPAHALWTCLSNIYHDNSETRSS >cds.KYUSt_chr7.10897 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66961075:66961919:-1 gene:KYUSg_chr7.10897 transcript:KYUSt_chr7.10897 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVGKYADELIKTAKYIATPGKGILAADESTGTIGKRLASINVENVESNRQALRELLFTAPGALEYISGVILFEETLYQSAADGTPFVDILKAGGVVPGIKVDKGTVDIAGTDGETTTQGLDSLGSRCAKYYEAGARFAKWRAVLKIGPGGLPSELSVKQNAEGLARYALICQENGLVPIVEPEILTDGSHDINACAAATERVLAAVYKSLNDHKVLLEGTLLKPNMVTPGSDSPKACLLPPLLLAN >cds.KYUSt_chr1.12279 pep primary_assembly:MPB_Lper_Kyuss_1697:1:75783904:75789526:1 gene:KYUSg_chr1.12279 transcript:KYUSt_chr1.12279 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKLALAPTPLPPSRLAHHVPLLLLTLTRASIFPGRPELVAIAIRPNVAARGRRREELPWPEEAPPSHPWTLSGRPRRATTPPHVATDLPATGFSDIDDAIAMEMRDSTICEMSDSTICEMSDSTICELDECLHFESMSDTPNPMDDETPIMEKMYMVHDDDDITPCLLLEDEHGGHIEPTTSTTPTSYERDYKGTQTPMSTSIEHELTKRALESMIQVSSNEWFRSHTSTTQDLSMRAHRHLAKGSRQVTEHSSVGVIYLLYTFTKTHRRGRLFFKWGEMM >cds.KYUSt_chr5.30992 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196202899:196205953:-1 gene:KYUSg_chr5.30992 transcript:KYUSt_chr5.30992 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLPNLSATEQHCPADQQHRAWFAGTHSLVASRPRLSLNPSRFEARPAVAQMPAIACGACAAASPSSRHAPSHPSSSGSFGKLAPVAPRRLPAPMASTVDSPGSADFAKRMDRAWLISKQPRPSSCSSCQSTGDVECKWALQGVSIAKELGFVRSGLKNLLSTNEQSKLSYWAVPAALLPGQLLGLAGSGTPYIV >cds.KYUSt_chr6.17611 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110832489:110837184:-1 gene:KYUSg_chr6.17611 transcript:KYUSt_chr6.17611 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDITTLLLRPGVFRDAVDIFVERYRGMAIHAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLEMHVGAIEPGERVLIVDDLVATGGTLCAAINLMERAGADVVECACLIGLPKFKDFYKLNGKPVYVLVESREYEK >cds.KYUSt_chr4.52872 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327977328:327977570:1 gene:KYUSg_chr4.52872 transcript:KYUSt_chr4.52872 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAGDALTRGGRGGLVLWSSCRALGLASPLKGSPINALIRSCLLEERAADAGFTQDNYVLKWAFSNDLGLVFVAVYQN >cds.KYUSt_chr3.47850 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299806398:299808562:-1 gene:KYUSg_chr3.47850 transcript:KYUSt_chr3.47850 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPSSSSRYVVANHRLLGRAECLETLATGIGDRALWHLIDGVENDLKRPELARAAWKTVIRHIVWARVLGHLGSSLPGREEVRACRCSPDTASMAIEKEFELLHTSESSCIFFSGYYNFFQPVDGDEMDFDYEE >cds.KYUSt_chr3.19206 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118226021:118229276:-1 gene:KYUSg_chr3.19206 transcript:KYUSt_chr3.19206 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVSREDPPPPAPTPAYAPPPTYAAEVPPPFTAPVGEKPAAEEEKVDYMNLGVPLPYEEITREAYMSLKPDVFEGFRFDFTKMLGEYFALSHSATMGNMELPSRGDDVIKVPTTSYEFGANFFDPKMMLIGRMSHEGRLNARVKYDLTDDIILKYNAQVTSEPGYSQGMGSIDYKGKDYRSQLQFGNNEFFGANYIQVATGQIASTGVVSLSYVQKVSEKVSLASDFMYNHLSKDVTASVGYDYNLRQCRLRGKFDTNGVVTALLEERLGGPLNLVLSAELDHCKKDYKFGFGMTIG >cds.KYUSt_contig_2887.83 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:385646:386110:1 gene:KYUSg_contig_2887.83 transcript:KYUSt_contig_2887.83 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPHEVLAGILRRVVPLRHLAECRRVCAHWRATIDGRRLVLPHLLPGAPRAAFINFTADGWSDTYFFARGGGSGGVDARLADHVVHRLRGPLQRPPPLRGHRGCALRLQPGHAPIGDASAAAVPAHGALGGLLRRVPRVRSSRLSPPRGVPPA >cds.KYUSt_chr7.28907 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179879904:179886492:-1 gene:KYUSg_chr7.28907 transcript:KYUSt_chr7.28907 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRTNAFLHLPAGRLLAHPFDDCGLPYPECARWRLPLRTRAGVEEGSRCVVDIHDDLRDMKFNVDPAEEEAGKEILRMLRQSDATLELERQTFLLACSKLNLTTPKDILVERRAIKKLLNKINGNDPKKEGILNFFMYLVRTTGIISTHWSTSESVLQLIVWLLDSELKLEALLILHELLHQQSCRGSPLMASIVAPSVIGALDTGDTECLELALQIICELSSSSDIKSLLTSSDIITKLSTMLGEGNLTEHCLKILRNLCDAKEAADLIIRSEDCLGSISDHLDMGSREEQEHASVILHTVLCSRSTEGGVLVTREGVIPALFDLSVNGTEVARASSTRLLGLLTESGVGPAADGVIESSPNGSICKQPISKSARSTRVVRIAEDEVKFHADVINHLVMVVQIHIDIINHSLTAMKIHTDIISSSLIVVKINTGMVSHGVNVMKNREELKMLDVGVLFCFF >cds.KYUSt_chr4.40126 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247726079:247728839:-1 gene:KYUSg_chr4.40126 transcript:KYUSt_chr4.40126 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDSKPAQAAATAEPTSPAAAAAGEAPPNPTAAQNPSAAAAAGGAATDLEKKMRRAERFGTQVVMSEDEKRSSRAERFGTVSSNEKMEEQKKKSRAERFGLPSPASDDTEAKKKARLERFGQSTGQSTEAGKAEEEKRKARALRFAGTPSGGSKGNDKDASKPSFSSFPTHGQLRMQPLWQAQLDEVPPVFVEVLRYVPRGFGEARSLYTTNDTNGVMLSEPY >cds.KYUSt_contig_319.1231 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8194569:8198038:1 gene:KYUSg_contig_319.1231 transcript:KYUSt_contig_319.1231 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGGSGDGRHDDEAILTEFLSSLMDYSPTIPDELVEHYLGRSGFHSPDLRLTRLVAVAAQKFVSDIASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDISKALLEHGVNLKHPEYFADSPSAGMAPSTREE >cds.KYUSt_chr1.17683 pep primary_assembly:MPB_Lper_Kyuss_1697:1:102973375:102979500:-1 gene:KYUSg_chr1.17683 transcript:KYUSt_chr1.17683 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLVAIAIATPLTLVLMLRLLIELRKSVASARQLFTLTSSLQLAAVVFNACLGSVHLVRGVWMLGSNQDASVCPPHLWLATLSQGFSLLVTAFACAIRRGPRGFLGFTFTRLWSFSLTIYTAFICCSSVVSTVGANVVTIKACLDFLSLPGAVIFLVYTIPSTHDEEGHEENGKGLYKPLKTDPDSEVADSSEVTAFAKAGILSEMSFWWLNPFMKMGYEKPLEEKDMPLLGATDRAQNQYLMFLEKLNSNKKHSPLQSFDGTPSILWTIVSHHKRGIMVSGMFALLKVLTLSTGPLLLRAFINVSTGKGTYKHEGSMLAAIMFICKCCESLSQRQWYFRTRRLGLQVRSLLSAAIYKKQQKLSSSAKMKHSSGQIMNYVTVDAYRVGEFPYWFHQTWTTIVQLCIALVILYNAVGTAMVSSLVVVIITVLCNAPLAKLQHKFQTKLMEAQDVRLKAMAESLVHMKILKLYAWEAHFKKAIEKLREVEYKWLSAFQLSRAYNSVLFWSSPVWVSAATFLTCYLLEIPLDASNVFTFIATLRLVQDPIRSIPEVLGVVVQAKVAFTRIEKFLGASELNGQVKRKYHMGTSYPVAMNSCRFSWYEDPLKPTLKNINLVVKTGEKVAICGEVGSGKSTLLAAMLGEVPKTEGTIQVSGKIAYVPQNAWIQTGTVQENILFGSCMDSPRYEETLSRCSLVKDLEMLPYGDNTQIGERGVNLSGGQKQRLQLARALYQNSDIYLLDDPFSSVDAHTATSLFDEYVMGALSDKTVILVTHQVDFLPVFDTILLMSDGEVIRSAPYQELFADCQEFKDLVNAHKDTIGLPDLSSSISPHRANEISTKVKDHNYGSGYTKSGKPSPAHQLIKKEERETGDTGVKPYMLYLCQNKGFMYASLCVISHMIFIAGQIAQNSWMAANVENPRTGTLKLITVYIVIGVCTMFFLLSRCLSVVVLGVQTSRSLFSQLLDSLFRAPMSFYDSTPLGRVLSRVSSDLSTVDLDVPFAFMFSLSASLNGYSNLGVLAVVTWQVLFVSVPMIVLAIRLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFDKNSDLVDKNAIPYFYNFAATEWLIQRLEIMSAAVLSVSAFLMVLLPPGTFSPGFVGMALSYGLSLNMSFVSSIRKQCNFANQIISVERVNQYMEIQSEAPEFIEENRPGPDWPQVGSVELIDLKIRYRKDAPLVLHGISCKFEGGDKIGIVGRTGSGKTTLIGALFRLTEPAGGEIIIDSLDITTIGLYDLRSRLGIIPQDPTLFQGTVRYNLDPLEQFSDLQIWEVLKKCQLVQAVQEKEHGLDSLVADDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNGTDVVLQKTIRTEFKYCTVITVAHRIPTVMDSDMVLAMSDGKVEEYDNPTKLMETEGSLFRELVEEYCAYTSNGTT >cds.KYUSt_chr5.19158 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123977424:123980890:-1 gene:KYUSg_chr5.19158 transcript:KYUSt_chr5.19158 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGASRSGTKTARRGSGPCLGPHPRRPKEPPPPHQAASTSSPSRRSSAACHTSRRAGRHPRRRRLHQTTRAEAVPPAVEVAPGRNHRREHAKGCAVSTPTPELRAATPDARRGALTGSGRPAPPPPREGEGAPPPPGAARASPGDALWRRRDGGGGEGGTRFSYLLAPNIYDCSTLRVGETPEDGRLCILAVANEGTQLQLWVRGEAGQRGHNGWVLGREIMDMDVVYDAVPGLPEHDHKHRSICVWPSDMDAARTGKAFIRTWGYGRYSFDLNTRNMERLATKRGKNYGHPMFAYFPAWPPAFLAPPDQQ >cds.KYUSt_chr6.1588 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9647158:9650427:1 gene:KYUSg_chr6.1588 transcript:KYUSt_chr6.1588 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGMDPVLLDSIIGRLLEVKTLKPGKNAQLSESEIKQLCAASKEIFLSQPNLLELEAPIKICGDVHGQYSDLLRLFEYGGYPPQSNYLFLGDYVDRGKQSLETICLLLAYKVKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVSALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNEAQGWAMNDRGVSYTFGPDKVTEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPARKMLVGSTNTKSGFKFPEHIQ >cds.KYUSt_chr3.3598 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20355241:20355642:-1 gene:KYUSg_chr3.3598 transcript:KYUSt_chr3.3598 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTAAAKRKRTDADTDIAHVVEEASDAEVEEFYAILRRMRDASRRFVSRAGTGGRAGPASAPAWRPSFSWEDFAPPAAPMTTAPSTQQQQQRPTVDERVAENATPSRVTLDLNAEPEPEAPATPRPERVHA >cds.KYUSt_chr4.53440 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330730280:330731735:-1 gene:KYUSg_chr4.53440 transcript:KYUSt_chr4.53440 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAASALSSPWRLLLQRALDANAHLKHSTFFQLATVGEGGRPANRTVVFRGFQEHCDKIQIHTDARSNKVGELKEWPLGEICWYFTDSWEQFRISGIVDVIDGSSSDPAKLQQREKTWFASSMKTRSQYLGEPWPGVPVAEDDLNPSTGPVDAYCLLTLDPEKVDYVNLKSNQKLMFRRTQEGDHSSDWIAEKASL >cds.KYUSt_chr2.38799 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240547163:240547456:1 gene:KYUSg_chr2.38799 transcript:KYUSt_chr2.38799 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPPPPPAAAAANDVANFLAAAADNILPNPPAAPDDDDVAMACCCCLSVVVALGLSLALAVLLTIHPWSP >cds.KYUSt_chr7.35750 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223357583:223359088:1 gene:KYUSg_chr7.35750 transcript:KYUSt_chr7.35750 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAPAPPASAAAQALQPRIGLAGLATMGQNLALNIAEKGFPISVYNRTAAKVDSTLSRAAAEGGLPVLGHRDPRDFVLSLARPRTVVLLVQAGRAVDATIDALSPYLDAGDAIVDGGNEWYQNTERRIDAAASRGLLYLGMGVSGGEEGARNGPSLMPGGHLQAYDNIKDILQKAAAQTEDGPCVTFVGPGGAGNFVKMVHNGIEYGDMQLIAEAYDVLHRVGGLSNAEIADVFAEWNKGELESFLVEITADIFTVLDDADNSSGGALVDKILDKTGMKGTGKWTVQQAAELAVAAPTIAASLDGRYLSGLKDERVAAASVLEEEGMPAGLLEKINVDKKVLVDRVRQALYASKVCSYAQGMNLLRAKSVEQGWNLNLADLSRIWKGGCIIRARFLDRIKQAYDRNPELANLIVDREFAREMVQRQGAWRWVVARAVEAGISTPGMSSSLSYFDTYRCNRLPANLIQAQRDLFGAHTYERIDRPGSFHTEWTKLARQNK >cds.KYUSt_chr6.6089 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36515582:36516052:1 gene:KYUSg_chr6.6089 transcript:KYUSt_chr6.6089 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLKDVATRKPVLATIRLLVPAGAAKPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHSIVTSLSLRHVYEIAKLKQTDPFCKHMSLEALSKSIIGTAKSMGIEIVKDLD >cds.KYUSt_chr5.18875 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122060428:122061617:1 gene:KYUSg_chr5.18875 transcript:KYUSt_chr5.18875 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVLSLFGRVSTSFQFVTPPHTYPSPKPSPLSQVISPPISTSTCASEPNPASAPFLTLLPFSSCGGASGKNGDARLDYIPNNDAIGCGVMEKEKELQSPPQSLIRYAAPGRLLGDQGCHSLCTAGRVGHGLLPATTVVVGFRDTNAPGGVATGGSVSFQQASPGFDSMASATAAAPVRANNKER >cds.KYUSt_chr5.6016 pep primary_assembly:MPB_Lper_Kyuss_1697:5:37315661:37328734:-1 gene:KYUSg_chr5.6016 transcript:KYUSt_chr5.6016 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVDFRTIKGHKFNKVDGSKPTPPLLNLSKDSDKGGGYMFEFDNVGSRDSCRDFVARVLGKHQGIVPPRPNAPPEKSIASTGPDQLSSAEMERRMKLLREDSELQKLHKKFVLGNILQESEFWATRKNLLDDEASKASKQKPGFKSAMLADVRPSADGKTNKVTFSLTTEIIHQLRTKNTLAAKAEAAEDEELAMFLKNDDILAKEAKLKIKRVDPTLDMEADAGDDYIHLADHGILRDGSRETVDADSELARRTLSQDLNRHAAVVLEGRSTDVESTDTKTVAEALARSKKEPPSSSVSEDTSHERSLKVARMTEIEDLQAPRSLPYAPLCIKNPREYFDSQQANALRPLGGSNDGRKARSCSLSTDDAFCHLMDQISLIKDNKLNIPVLQADVALKVLNELNEGISRSRRLNLKNPQDSLLGHLPHRTRDEHMDHWTAIQELLRHFWSSYPITTTVLYNKVQRVKDAMTQIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKAGNKPNGAWFGRAVMAFAAGSQSRHVAAASLARRACAAARKAEIEASCVYYDYNIYMQFVPRVCPFCPGKKKQDYRYNELLQHAIGVGASNRAAKVKANHQALAKLLKTDHADAAGSLPARQAEALFNPPKPVQDQELYVYPWMGILANVPAEQTEKDGATVMQQLDRFKPSRINAVDSSNGYTGFVVVHFEKDWIGFKDALAFHNYYKSRHLGKMDWNEAGRRGKYIFGWLAKQGDYNSDDPVARFLSERGELKTVSELQLEVSRKTETLITNLTNQISAKSKYLMELECKCNQMDLALQRAMEDSDSLHQRYNEEMRNMQSAAREHSRRIVQETDQLRKHLDEKERAIKRRSKQLSEIVAQTDMERRKLENERKKNDGQNDSLNMARIEQEKANEGVRILVEKHKKEKEAALNKILMLEEQLDEKQKLELDIEQLRGKLEVVKHMEGEGVDVKKRTEELTKQLDERMDAMEHMDELNQTLIIKERMTNDELQDAKKELIKGLADLLNPRSIIGIKRMGELDEKAFLNACKERYGAEAETKALELCSLWQDNLRDANWHPFKVVATGETHKQIIDEGDEKLVGLKEQLGEEVYKAVTTALLEINEYNPSGSYVVSELWNNKENKKASMGEVVDHILKQWKLQKRKR >cds.KYUSt_chr1.955 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5043830:5048134:-1 gene:KYUSg_chr1.955 transcript:KYUSt_chr1.955 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLNGALSYANSALAEEVALQLGVRRDHLFITNELEMMQAFLESAHDEGDDINRVVKVWVKQVRDVAYDVEDTLQEFSVRLEKKSWWRIRRTLLDRRRVAKQMKELRANVEDVSQRNMRYNLIKGSDSKPATTGGQSAIAGATMMSGNDEARRQLEKAKDDLFHLIRKRDDDLRVIAVWGTSSNLRRTSIIERVFADLKKHSVFDCHAWITLTHPFNSTEFLRSIIRQFYVNFLQESDKKEKIFGGPQDLRRMGMKKGNDLVDEFKRYVNEKSFLIVLDDVSTIEEWDCIKTCFPNNKKGSRIIVSTELVEVASLCVGPENITPEHKQLSVDLTLYAFYEKGSLDGTESTEAGSSSNVGTHVSDSSDNRKMLNRRETMLAALKESRLIGRETEKAEIVKLITTEDSQLDVISVWGMGGLGKTTLVRDVYQDEILSGKFEKRACATIMRPFNVNELLQNLALQFGYKDVPEMNKELPGKKYLIVLDDISSNAEWDAIIQHFHATETSCRIIVTTRIFGKATNMDEEYPELVEHANLILKKCNGLPLAIVTIGGFLANQPKTVMEWRKLNEHIRAELVMNPEIGTIRTILMRSYDGLPYYLKSCFLYMPIFPEDYLVGRKRLVRRWSAEGYSREVQGKSMEEIADGYFMELISRSMILPSESSIHSTKGINSCQVHDLMRDIGISKSMEENLVFALEEGCSSNIQGTMRHLAINGNWKGDQSEFEGIVDMARVRSVTVFGEWKSFFISDKMSLLRVLDLEDTTGLRDHHLKHIGKFLHLRYLSLRGCHAIYHLPDSLGNLRELVTLDVRGTRIIELPRSIVNLQKLSYLRSGRKPTKKYGSYEGTFEDSPKFRDNQPCIMFVPTGVPCCWRSLAAEMLYDDNDLDDNDLNFHDACTAFCCHLVPFIAMRLDLHGVLVQSGMRKLKALHTLGVVNIAMRGKDVLKDIKGLIHLRKLGVTGVKKENGQELCLAIVGLSRLESLSIRSEGEPGLSSCLDGEFSFPKNLQSLKLYGKLVKLPEWIKGLRNLVKLKLRSCRISEHDEAIEVLGDLPNLACLHLLKKSFEQSNACLTFRPHMFRNLVVLELDSLLIDQEAGNKFLFLKFERGATPKLELLKFRRAHINSLTLSGLPSLASLKEVLLQGGYTNNELVYLRAKLEENPNRPVIKRV >cds.KYUSt_contig_319.1220 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8076563:8078227:1 gene:KYUSg_contig_319.1220 transcript:KYUSt_contig_319.1220 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLAATPLPITPSHRPDPTSSSSSSSVQLLRSLARSRRAHLAHRALLLFRSLHSSPSPPPPHFSLPAALSAASFLAALPEGRQLHALTVKLNLSPAHTVVANSLLHLYASCGLPDAALALFRHIPAKSLVSWNTAIDALVSNGDHVGALELFREMQRDAAGLAPDAYTVQSVIGACAGAGALSLGVYAHALLLRELGGHGHGHGQAVSRDVLINNSLVDLYGKCGAAELARQVFDRMPDRDITSWNVMILTLANHGRVRESLDLFDRMTRLENVVPNAITFVAVLSACNHGGMVEEGRRYFEIMVSEYGIRPRIEHYGCMVDILARAGFIQEALDVVSGMNCRPDAIIWRSLLDACCKQNAGSELSEAMAKMALDVPDDAVSGVYVLLSRVYASAQRWNDVGMVRRLMSEEGLKKEPGFSSIEMDGLVHQFVAGDTSHPLSEEIYMKLDEIEERLASSGYKPDLSEARMVAGMDHTKGAALRLHSERLAISFGLLKARAGAPIRILKNLRVCKDCHTISKLVSELYGVEIIVRDRIRFHHFKDGVCSCKDYW >cds.KYUSt_chr2.54928 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342457771:342458670:1 gene:KYUSg_chr2.54928 transcript:KYUSt_chr2.54928 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYSSRLRGGGAGGSLGATTLLAAKVAFASAALAAAASLARVAIPQLVSGADAFLWKLYLFVTVHVIIFVIWKLSDNKHFHASAHKDPWAPVPHHPAPPLLSEDVARSAMKRKVEFAPADSVVRGGEEYRVPQLVSRLHEAVSPAEEGVVSQGSCFGEPCVTTTTESDEDESYAAVTSSAYVAAADTMQGVAPARERVFVERGLSLPPPPEMVATAEYFDDADHDHASGDDDLDATWNAIMQKTRPATVPALSSSSKASRSSPPRPRAREPSVGAVELSRRSDDFIKKIHHSFGRQQ >cds.KYUSt_chr1.16391 pep primary_assembly:MPB_Lper_Kyuss_1697:1:95176795:95178735:-1 gene:KYUSg_chr1.16391 transcript:KYUSt_chr1.16391 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRNFLMEVANLRRPSPMAPYTLATPLAQQRTRESAAPRHLPGRQSQFRSDRSERQDSANIPLISPARGISTEFDVLIEYSIKVKMNDSDCAQGDVELMDGCFEFIHLDRFYHEVRDVRIYGSLGPVDIHFASLTRGVEACIDVQIKRTEKGCNNLKVVTAFTSRFPNGIVLYDSSIFSQGTPSKEDGLPLLIIDSSVVAVELASKLKLLLDVSTEDDPECEFRHQDANKREVQGTVTTHELSFKGKKYGSSEEAIVIGGMLEVEAKVTWSTMGLPCFKW >cds.KYUSt_chr2.3535 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21156494:21158290:1 gene:KYUSg_chr2.3535 transcript:KYUSt_chr2.3535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Response to ethylene stimulus, Wound signalin [Source: Projected from Oryza sativa (Os07g0685700)] MMGGGVTMMDPRMVFSADFGGGCFAEGSDFMNQPPAPAQRVHESFPEEDESDDDDVDGIEELERRMWRDRMRLKRLKELQQRQQSPGGAASSKGRRREASQQDQQARRKKMSRAQDGILKYMLKMMEACSAQGFVYGIVPENGKPVGGASDNLRAWWKEKVRFDRNAPAAIAKYQADNAGPGDAGEGGGNDPAAGPRSLHELQDTTLGSLLSSLMQHCNPPQRRYPLEKGVAPPWWPRGASEAWWPEAGVPDELGPPPYKKPHDLKKAWKVAVLTAVIKHMSPDVDKVRRLVRQSKCLQDKMTAREIVTWLAVLKREEELHPGACLPPPSAARALSFDASSGEYDVDAVYGEEAANQTKPPFEAAAFVDLTMDADMSNEFLFMPAALMKEEAIDFDFTHTQKRTAPSPASDAEPEQNGNTRVYTCNNAQCPHGNPALGFLDRNARNDHQYACRYNNPAAVESKPPPAFFPAAPYSPRSQQQLGGFDFGLPVDDQRCLAGLMSMYETGVAAHRSNDAAAPSMHIGGRDHLAPMSLGGANSMMQQEQQQSAAFFVRDDAPFGMGSPELGRFSSGFDASTVNYAGAMQQPPQKHVVPNWFY >cds.KYUSt_chr5.40023 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252932674:252936522:-1 gene:KYUSg_chr5.40023 transcript:KYUSt_chr5.40023 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLGWIKSRSSRSGRRGSSAPATTTTTTTSAVSTSRSDDSSGPAGRRASKSTGSASSQSQPSISSLYEERGHAQLRAFDYDDLRSATSDFGRAQKLGEGGFGGVYKGFVRPLADGNGKADSRVAVAVKRLNQRGLQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDGERGPQRLLVYEFMPNKSLEDHLFSRIHTPLSWNRRLQIILGAAEGLAYLHEGLELQVIYRDFKASNVLLDKDFQAKLSDFGLAREGPTEGNTHVSTAVVGTHGYAAPDYIETGHLTSKSDVWSFGVVLYEILTGRRSLDRNRPQGEQKLLEWVGQFPPDSRNFRMIMDPKLRGEYSSKAAREIAKLAQSCLLKNPKERPAMSEVVEVLRRAVQAELALGDKAPNTGNGKRIDVTPPSKR >cds.KYUSt_chr4.5718 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33338526:33338876:1 gene:KYUSg_chr4.5718 transcript:KYUSt_chr4.5718 gene_biotype:protein_coding transcript_biotype:protein_coding MNDASRHISWPRVSKRCWSSSITLSPSAARIQRSCHIQPFSCTLAIADSFSFLLLAYSENAADLLDPPLHPVARLLLCAFPRFSRRLLFIPLSGILLKHAFDARSRYSTRSGSTPG >cds.KYUSt_chr3.6856 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39406597:39406902:1 gene:KYUSg_chr3.6856 transcript:KYUSt_chr3.6856 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMCLCCLLMAMAVAVSADGCEGDRKDMIRECGKYQQWPAEPKMDPSDACCAVWQKADIPCLCAGLTAEKEKLWCMDKVAYVANFCKKPFAHGYKCGCK >cds.KYUSt_chr3.44277 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279455010:279459398:1 gene:KYUSg_chr3.44277 transcript:KYUSt_chr3.44277 gene_biotype:protein_coding transcript_biotype:protein_coding MMECFKCGRSSRFQAKCNFLPVCVLCGLEGHHLADWTTKGRVLELHILGQVQDFSFIFGETLRLRTRDGKLFLPLNGMVEDIRLADADLAPAEQLALFSGAFADNTTSNSSAVSGDDDDHDDVDDLNATKGEWDGLGKQYKYRVVVGDTAEKSQKSPVATDGVAVHGVCYGMVGDNLPSRGDVVRLCKSRNILAMRIYNPDQEALAALRGSGIGVTLDVGGVDEVRRLAADASYAASWVRSNVQAYYPDVIIRYVVVGNEIPAADASPILLPAIRNVNAALSAAGLGSSIKASTAVQFDVFTNSFPPSSGVFAQPYMADVARLLASTGAPLLVNVYPYFAYRDNPRDIQLNYATFQPGATVRDDGNGLVYTNLFDAMVDVVYAALEKAGAPGVRVVVSESGWPSAGGFAATVENARRYNQGLIDNVVTGTPRRPTAAIETYLFAMFNENSKPGDATEKNFGLFYPNMQPVYPVTFPN >cds.KYUSt_chr1.32465 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197177817:197179085:-1 gene:KYUSg_chr1.32465 transcript:KYUSt_chr1.32465 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLLALSAAVSGRLRRSFSTGVSSSRPPWVLFEQATPAVGSGLRSCARIVEPPDFSQLAVPALHVNTSDVPDPDSDVTQLLGGQVCSASGDGLVLVTIYDMRFPAPILAKQGAHHVRQMITEIVPEHNPDITRFLCNPLTGQLTRLPDIGNGPKQIACGPHMGVLTQADRGHGPPDRFVVAELQGNTMLRFLSDTGGWEVAVTAPCRLPLARRVFFENGGFDQEAVAFGGRLWWVDLTWGAISADPFSDRPEPRFVELPRGSVLPARSTAPTGKLLRTFEEGEAYRRCALGRYRRMGVSEGRLRYVEVWDREPFVLTVFALDDQGSAWTLEHRLVLSRLWADGDHPWLPLPEKTTPQISALHPLDGNVIYLSVGEHVIVVDMNREEVIGSSPRNGTGTCITCVLPPWLESSRIPAAGNH >cds.KYUSt_chr5.30293 pep primary_assembly:MPB_Lper_Kyuss_1697:5:192122176:192130134:-1 gene:KYUSg_chr5.30293 transcript:KYUSt_chr5.30293 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLSVCLWGYADGQNPATSRNQKEAIGSCINYVGNHTLCSATDATASDRSEQQEAKISVTFVDKDGDEKLINVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVTDVDYYNKLEDPEDEENDMLDLAFGLTETSRLGCQVIASPELDGIRLALPAATRNFAVDGHVPKPH >cds.KYUSt_chr4.2780 pep primary_assembly:MPB_Lper_Kyuss_1697:4:15658515:15660110:-1 gene:KYUSg_chr4.2780 transcript:KYUSt_chr4.2780 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDAKLILVSFAQYGLFFTFATDSGTWTRVLTDTDKTRSQRYLPILHRAIYVQHHKAVYFLMDNAIYAYKLTYQKDDQGGMQQQLKLDLPVLIDFVCPFKSDHGYGLLTRLSSHLMCAVWISLASYDKCPCHNLHAIVTTFYLHDAQDPAQGGIKVLHSTYRRLDMAPNPAANQQFCFLQEYEDQGSVALQQRGEEEEGLTCSQQVEHPSKMLSCCRRMMKMRSTPPSGPSRTLQVDPPSRQQVCSSSHAVPVKPATTTTIKKDLIIICQAGSRSVIYQTGAMDDDVKPLEPCYAADVGDHDWHFFQSGSKIHAVSSIRASMLEFSLNKDRKTFERPVVHEDQDDA >cds.KYUSt_chr7.14953 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92491295:92492655:-1 gene:KYUSg_chr7.14953 transcript:KYUSt_chr7.14953 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSRVLLAAVAFLAVLELGLVSANFKDQCNITWGPQNAEFTEGGEHLKLSLVNKSSGSMVTTLKSFIYGSVSTRIMLVKGNSAGTVTTYYTSSTGNFSLHDEIDFEFLGNSSGDPYTLHTNVFADGVGAREIQFKPWFDPTADYHNYTIFWNPCMIVWYVDSFPIRVFRNYTGLPFPTRRPMFAYSSIWNADDWATQGGAVKADWTQAPFSAEYRDLDLQTCECAAGASDDACADSCASSKYAVEPRCELTDKEKRQMKALQLGYTIYNYCDKARSNVAKDPATADPVPPECDLDDKQDQGQY >cds.KYUSt_chr3.2955 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16972205:16973905:1 gene:KYUSg_chr3.2955 transcript:KYUSt_chr3.2955 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPQVGVRLFGDDLNAQTAMNPLSCHPAQTLVPTRPNPIATQNPATETMLATVEGQGLLRGWPRARRKALLAAGHAPTNMLLLPPVSSNRIWAPSCCLWNQAGWYLVAMASQIQIISEEENERLNGIARGGLRWPPISYEVFIPKEHLTYPADFFAAYASTVLTNSIVFKFNEYRS >cds.KYUSt_chr4.39559 pep primary_assembly:MPB_Lper_Kyuss_1697:4:244160692:244171935:1 gene:KYUSg_chr4.39559 transcript:KYUSt_chr4.39559 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVGGRAGGWEMVQTAVEAHEDDLHSPYWEGVREAAAACIDLDLGGKRASFESLHRQPPSATTAEREVADAMLSKAMAQLRESQEALHALGPAPRSFSVPFNSHLRLSRLFRCVRKANPAMAGQYIKTSSRGENARIEYATSCMQGPRDEMQDYYTALLDLDGSDSTSFFGVFDGHGGHRVAWYCSRKFHTELVKVPDYQNNLHAALEHVCFRIDEALRRSDEWKRPDSPPAHAPAPGDFQFKKNNKLKLICNPDIHTNYEGPQMEGSTACVALIRGNQIIVGNVGDSRCVLSRNGQAIDLSTDHKPNEPGERARIEAAGGSVVQRQVLVYDAGRMRVEQGPYRVDGIIAVSRALGDFQFKKNNKLKLICNPDIHTNYEGAQIEGSAACVALIRGNQIIVGNVGDSRCVLSRNGQGIDLSTDHKPNNPGERARIEGIWVAHWLHRAKGNFSVQAILKYTRRNPELYQALHCKDYDGGEEDTDFKESFGPTVFGIVEAFKSHMVTGMVSGAIRDGINTTVAESRASAKGHVKGWGKGSSDAANALFSYLKYGIKSGPDLLLRKPYGCEVISLHDYVKHTLISPNRVAMLHTYEVAPRQTTLTWSPVDDGDGDPSVELLDEYDISSLSANALMEFKNQNNYYFGRRAGGPPHSSVNRLANAALLPDCHIPWWGTLPVTMRHPGLPTEQQLRGRNIYGFNGRVRRFHSKRTFHSAAWPLINIARKTLRVMRK >cds.KYUSt_chr2.47047 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294342570:294343921:1 gene:KYUSg_chr2.47047 transcript:KYUSt_chr2.47047 gene_biotype:protein_coding transcript_biotype:protein_coding MSYESEKDNDPHKITISYGSKQEDDPNKITMSYGSEQEGDPNKATISYGSEQEDDPHKATMSYGSEQEDDPNKITMSYGSEQEGDPNKATMSYGSKQEDDPHKVTMSYGSEQEDDPNKLTMSYGSEQEEGPHKVTMSYGSEQKEVQKIILSQHEARQGSHHHKAHSHSTKRQQADVFFFHDMLRPGFIITPTIPPTSSLPSLLPRSVAGSIPFSHERLSDIISMFAPASLSMARKIRWTLDMCEHPRTLPGQSAGCATSLESLAELPPSFLKTRNVRAFSAADLPVEARGTRALRGRYNVTAVLKVSGESSEIVTCHDLTYPYAVYYCHTANRTSAYTVTLTSVEDGVVPKTMEALAVCHLDTSKWSPKNPFFELHNLKPGEVTVCHFLTKLSIIWVPGS >cds.KYUSt_chr3.16803 pep primary_assembly:MPB_Lper_Kyuss_1697:3:102977121:102978198:1 gene:KYUSg_chr3.16803 transcript:KYUSt_chr3.16803 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAHPRNIRRDASETHIWPATKPNHPCAFSLLLLSLLYISGHELPSADPSDHEPPSAPPLSFLSVAARASRPRSTRPPRPRSPARARTPAARGRAREERSPTPLPHSPVASLCLAFREARPRRPDAVGRVKIYNFFFKLLSRHRLQSLATATAENLDAFGVSCRADEATAPTNPAFSAADGVASKDLHIDPNSAALVAARCRPRPRCPRRRPAPCLPPVAGPPLTSPIAGKNQVAVRRPRSCSAA >cds.KYUSt_chr4.33380 pep primary_assembly:MPB_Lper_Kyuss_1697:4:204717030:204717275:1 gene:KYUSg_chr4.33380 transcript:KYUSt_chr4.33380 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLAPELAALLPNIPRSDVTLPQHFVGGRHLGGAEEVRRLHESGQLARIVVPRPSPATAAAACASCSAAAVAGATSSSP >cds.KYUSt_chr4.9762 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59023784:59032093:-1 gene:KYUSg_chr4.9762 transcript:KYUSt_chr4.9762 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSFVSTDPALLPGPFLRRPARPSPAGRRLRWGAGDGCSGRWIVQVWPAAAALPPAEVANVSKMLQPTGGEHLKVQVANVDTSVKKHCRLAGDVSNTRNAAVNIIDLYFKNAAWNNQTVVLSTVTHEHKHVYDGSEVIDSVEHNKRQTKEIVKTLSKLNNESSLLSLGSMLRHRSVSRLLVETKLMILEQLSDVSILPSIRDQGTSSLSLGCKLLAALKKLLLEAQSELLSVTVRRSFGRGREDLFTFYHWLYLLFSCAEIFHKAFIRNQFVT >cds.KYUSt_chr4.40256 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248515792:248524930:1 gene:KYUSg_chr4.40256 transcript:KYUSt_chr4.40256 gene_biotype:protein_coding transcript_biotype:protein_coding MFALLLHRFPRYLPTSSRAATGYKRSPPLAIATIAADNPSLRLHDTIPCCLATTFAMMSRTGSSTTASAFTSHGHGKMSVAKNEEVCTHQLGLRLGEPVADVPIKKRPFLLSDKSVPSAMPLLMKPSSNTTGMSASAAGAGCSNEPFLNRSKSDIPNRSFTSSATEKQGLNGSSEVPSCGGSVAPIAKSQSQKFLTLDLQLASRQSAKINSISPVKKEKVDQGFSIFPSAIPSKDVQTTSAMESPSNSSLGKLSNLDLNVSLHPVDQLEVVPTMHTGHNVLHHTTFQHQKAQVPLVASLSTTSSRLSMNIGSTLNLSNEYECSNNSGAADVTLDLQLKPPTRPELGISWKGLAPDPELSLSLSGHTPKGLNVRDAIFTSEPVGMTKIVSEEVATPISDKSPVERILKPFPCNANPDTAMPSTVSGNMQILSSSLVKKEPEEPPRKHIQNNIKKAPLCDQQSVGQASNCAEFEKTGNTYQVCHKAGFDLNSGNFPNNICNGLEVVTDSVPVQTDKLSDVVCTENIPAVSDVGKFIKHEEVTTNILSPVITTISGPSVSSIAKSFLSKGNVASPAVRLCELVTQPTIYTSEPAGSNPVKSRTDCKPTASLHARENVTRKPCDTKEACDVSRSSSNPTADSFVFKSLLRPVLDGMSQGSASMDCSDDVDNAVSQLPTTNKPLAESLVNNQISETNLSAALQKEVHDMHHDCSSVTNKVRIQGLDDVKRANSKDVIATHSGEEHESEVSVYGKYRVKQMLTSENNLLMNNTDVAMQDVNIATSSADLRRSPSLGTSSSPKIYSTGPSHKKFDNTVEKSRMPVIKSERSQSPDGKQAANCSEGNGKIGAVKSEHGTEAEDIARASNLQPSDSVLGEEDSHLDGASTSQPHDGSTKLQSVGERSEHEKSKPDSSMTSSVQNEKDGQVNESHWRDVANAYVNRNERWERFMKSEREKKGEYHGGRQAYDMNNQRRMDHRYGGRGGRYHGHPRNFRGPRMNDDSEIDFPDEPITGRRRPFDNDFGHLHRNPHRRLRSPPNQMPGFLMRGMEHDPRLQMDDIPDEMMEERFFVPHPHQHHALGDHEFIHRDRSHSPGQRRGAPTHFHRGRSSETMHRSPPLNKTDRLYLPHQRHMRRRVSPPFDRVGHDERGMQRNMRRCGMHQGGREGDNFEPPLHPAQLAELHAEAEHTERRKFGERRAYRRSMEESPSDDEEMLSYHGDGDMEFADGDGGPREPDGRFRNRPEHRSRGEQEDGGYKYRGPQGCRGGSNSSDSKSKKRRY >cds.KYUSt_chr3.23303 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144146760:144150359:1 gene:KYUSg_chr3.23303 transcript:KYUSt_chr3.23303 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGARVRRQLQAVGRVAAYVGGGFLVLSAASTAAVRSLRFLSDANQRKFATPCGSCEGKGTYACRLCRGSSTVEWSPLFDPVFINPCLCPTCDGTRFVAESGRSIVIDRGGGRPRGRGRGRGRGRGRGIAERSPSPPTPSSSSSEMDVEPDVLFEFVHVLKGDPRGIQRLPDSFAEYVGGVRPRTMHLREHSCGYCRWIVKAIYDARGKMYLNIGWEKFARHHSLEAGFILVFSYFGNRDMSVKVFDERRCLRDYHVDRDSHDDSTDEEDD >cds.KYUSt_chr3.23587 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145814890:145824708:-1 gene:KYUSg_chr3.23587 transcript:KYUSt_chr3.23587 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCGPLVHIQLTGPEIRALPLPVPGTAAAPLPSVGPHQITARRSPLRLPPPGYRCPPQDNRTLSPLALSSTASEARRQAGRRKLAGLNPHFALAGTHPSYHFLFSTCVDFINFRFFVHGRQMLRVGRICTRSNSARAVFAFLAGSQPFASRDTTYRLGSKLLGTKCTGDEHIGFLTQKPRVGSCGACFSTATETVLVQARDSSQLALEIENAIDQKRFDDAWRAYEKHLHMDGFPRKSVLGKLITGFAVTCDAHRLNQSYNVVHHVSRDKVELLEREPLIYLSLILARCTLPHLAVNVVRKLVKMETYPPVAAWSAIVAHMCQTATGSFLAADMVMEIGYLFQNNRVDPRKKSNRPLLAMKPNSFTFSIVLTASLLFGTTKKAEQLLELMLRIGVKPEASLLIVMARIYEKNGHKDEIQKLKRHVDEACGLSESEFRQYYDCLLSCHLKFGDLDSAVDMVLDMLKKGKNAKRSLEAAKAVLEAVENNKIYLPYDIAGTENPGSPNKSVSTDSQMLNYVSFFKDKSFAKLELEARELHKLLSDKLQEQVGLVKSEHGILHPTETMYAKLVKAFLEADKISALASFIVKASKEDYPVSVESSFVVQVISACISVGLLEQAHDLLDEMRFSGIRVGSSIYSSLLKAYCKEGHHEDDITALLKDADQAGIQLDSSCYEHLIQSRAHRNNTTGALHLFNEMKNSNIQRSGHREFEMLVQSCDNSEAALTTKLVEEVRSGHTVNHAIHDWNNVIHFFCKKRLMHDAHKALSKMRVLGHMPNAQTFHSLVTGYAAVGGKYVEVTDLWGEMKVLANSNSMKFDQELLDSLLYCFVRGGFFLRAMEVIELMEKCKMFIDKYKYKSLWLKYHRTLYKGKCEWTKTTLAQTMEMMYRVIAQELKAMNIENAKLQDYLNFYCLGNRGEPSTNGSPDSDKSSDRSAVVVRILQLVWTTTSSTLLEPAARMEEDQRRSIGRPELLVTVTNLQAMVYAAAPVLLLHYILLVDRVCLYILLQCIFIFGQVSFVMPMLVHRADRLRGEEVVPLESVVANSRLCAALAMQVLIEPVLAGFCRLVRSWLREVATFMNSKEPSDSLSDKLSITVLNKTSIDLLRSICDQQG >cds.KYUSt_chr7.23942 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149228550:149233364:1 gene:KYUSg_chr7.23942 transcript:KYUSt_chr7.23942 gene_biotype:protein_coding transcript_biotype:protein_coding MESAHTGSERAGGSMSSPEPRMEVEQKPVLVGPGYENPPNEWDVYPPYASAEGLEVGPAVVYNEDPSMMYHGGYGYDPYAPYSPISTPVPAGVSGDGQLYSPQQFSFSAPYYQQPVQPGMPYLSSPTPISQGETMMPIDPTQGAFIADTLSPNSFLFGPRPEWFRSSEGTGSFPSPAASPQPFGGVSGAFGQSNFPMASGMMSPQQKSFYGFGTPSDSYGRGFSHSGTFPHANNYGGPYPGYGLNGRSFIPIDKGRRRGRGNGLLCSCDGSLDFLNEQSRGPRATRPKKQPEDDSKDEKPSAGLDQESYNRTDFVTEYKNARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYHDVKEKEEHCPIFLLFSVNASAQFCGVAEMTGPVNFEKSVDYWQQDKWTGQFPVKWRIVKDVPNNLFRHIILENNENKPVTNSRDTQEVKLEQGLEMLKIFKDHEEDASILDDFDFYEEREKALLENKARLHQQQQISSSSVAEPKKSPTVPSELAGHITKSSSIVEPKKPLTVPTELVGHITKTFAQAVRLGETKSVSPSGEKKPAGDSSVAVKPVEVKESG >cds.KYUSt_chr6.29853 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189157056:189162644:1 gene:KYUSg_chr6.29853 transcript:KYUSt_chr6.29853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trivalent AI influx transporter, Aluminum (Al) toleranc [Source: Projected from Oryza sativa (Os02g0131800)] MEGGSERAEVGREVHHGGVVESGSDTGDHKYSTADGEKDGKFQVQPKWRKFLTHVGPGALVAVGFLDPSNMETDMQAGADFKYELLWVVLVGMIFALFIQTLAANLGVKTGRHLAELCREEYPRFVNTCLWIVAELAVISDDIPEVLGTAFAFNILFKIPMWVGVILTVFSTFLLLGVQRFGARKLEFIIVACMFTMAGCFFGELSYLRPSAGEVAKGMFVPSLRGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSDQSIKVACRYFLIECSLAFIVAFLINVAVVVVAGSICSANSLSPADANTCGDLTLQSAPLLLRNVLGRSSSVVYGVALLASGQSTTISCTFAGQVIMEGFLDMKMKNWMRNLITRAIAITPSLIVAIVTGPSGAGKLIILSSMILSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWALSAALIVVNTYFLVWTYVDWLGHNHMARYANALLSIVVFALMAAYLVAVVYLTFRKDTVVTYVPLAERVQGQAEAGKAPASASTLPPLHRLLTSITPSTLAGCCPPLLITFILLLHRAVIIVAGVVREEDDQRRMSDWGPVVIAVVLFVLLSPGLLLQLPGKHHLVEFGNMHTSAMAILVPAARPFRRHGPQPTPEMANHLALGCVLAREVWHTTLQRCNLSHLTPLASDMLIKWWPDSRRRVPQQLRKGFDSLVLLMVWTL >cds.KYUSt_chr3.6023 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34376727:34382369:-1 gene:KYUSg_chr3.6023 transcript:KYUSt_chr3.6023 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGPVDGLQKKGEIADAEMEILARNAEIVSGSCGDFGWSKQFLGGYNVGWTWTRLTLATSPMSQQKRKPLQNSIRMCGQSPESHPCHQDLLYPIVLWKHPDINGTVDLDSQDGLINSHTDDTQPIFGESSARFGAQNRRLKPTKLRPAPFRPAPAAVSSGQPRLPTRGLCSDILRSAPSRPPSAPPRPPLAGPAPATVGTRPGHLRPSSDSLRPSPAIVGSGLAPPRHCRLPALTDPARRSSSGRRWSATAIVARVISGQIQI >cds.KYUSt_chr4.53908 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333517972:333527639:1 gene:KYUSg_chr4.53908 transcript:KYUSt_chr4.53908 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSNNDINVLQCSPVFSKLVEGHAPPVNFVINGRQYNKGYYLADGIYPKWATFVKTISSPALPKEQQFAKEQEGCRKDAERAFGVLPAEICCSPVPRFDLVQRSDVGGLQQELMIHRELQDANKMGSLTSSWVMNLCGSPICSDPDVPPSCVLKEMLDSSACTNHLVEFGIVLLFLVVLTLQLLVKIPKSRASGHQLVTLSSPLHLAAVLSNGSLGLVYLGIGLWMLGNNFSQDASAYLPHWWLATLAQGLNLILASFAFSISYPFLGAMFVRFWSVLLTFYAAFVCCSSLVHIVSEKTITVKACLDLLSLPGAILLLLYGFRLHHDEEGDGRHGNGLYKPLNTGTEGEAPNSESQVTPFAKAGYFSEMSFWWLNPLLKMGYQKPLEDKDLPLLGATDRAQNQYMMFMEKLNSKKQSPSHATPSIFWTIVSCHKRAILVSGFFALIKVLTLSAGPILLKAFISVSLGKGTYRYEGYVLAVTLFVCKCCESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNAAKKKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNVVGAAVVSAMVVIIITVLCNAPLAKLQHKFQSKLMEAQDVRLKAMSESLVHMKILKLYAWEYHFKKVIEGLREVEYKWLQAFQVGRTYNSFLLWASPAMVSAATFLTCYVMKIPLDASNVFTFVATLRLVQDPVSTIPDVIAVVIQAKVAFTRISKFLDAPELNVQVRKNDYVGIDYPIAMNSCSFSWDENSSRPTLKNINLLVKAGEKIAICGEVASGKSTLLAAVLGEVPKTEGTIQVCGKIAYVSQTAWIQTGTVQDNILFGSLMDRQRYEETLVRCSLVKDLEMLPFGDHTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMSALSDKTVVLVTHQVDFLPVFDSILLMSDGEVIQSTPYQDLLANCEEFKDLVNAHKDTIGVSDFDNHIPSHRAKEVSMKETYGSRYIECVKPSPADQLIKKEERETGDAGVKPYMLYLRQNKGVLYFSFFMISHIIFTSGQIAQNSWMAANVQNPSVSTLKLISVYIIIGACTMFFLLSRSLSVVVLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSLVDLDVPFTFVLAIGAGYNAYSNLGVLAVVTWEVLFVSVPMIVLAIRLQRYYLSSAKELMRINGTTKSALANHLGESISGTVTIRAFEEENRFFAKNLDLVDKNASPYFYNFAATEWLILRLEIMSAAVLSFSASVMAILPQGTFSPGFVGLALSYGLSLNTSFVFSIQNQCILTSQVISVERLNQYMDIQSEASEVVEENRPSPDWPQDGNVELRDLKIRYRKDAPLVLHGITCKFEGGDKIGIVGRTGSGKTTLICALFRLVEPAEGKIIIDSVDISTIGLHDLRSHLGIIPQDPILFQGTLRYNLDPLGQFSDQQIWEVLEKCQLREAVREKEQGLDSYVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAFLQTTIRTEFKHCTVITVAHRIPTVMACDMVLAMSDGRVMEFDKPAKLMEMEGSLFCELVKEYWSHTSNSNI >cds.KYUSt_chr5.36370 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229852369:229852710:1 gene:KYUSg_chr5.36370 transcript:KYUSt_chr5.36370 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKQGFAYIGLRFATHAVHTAIASHQQQADHAEFPSSDIQVSLEVAAGAQPKKTTLRKFSYRGVVVDALLDMSMDYLFQMS >cds.KYUSt_chr3.149 pep primary_assembly:MPB_Lper_Kyuss_1697:3:874032:875658:-1 gene:KYUSg_chr3.149 transcript:KYUSt_chr3.149 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTGSSAATGRWLYLSFYNWAVVVGWVQVLYCASSALLDSGHEVVYATVEWPLLLAQTASVMEIIGYSFFGTSEIFGLAPSWLLWLRYNTILILYPIGMISEVGLIFVATPFMEESEKYCLRMPNKWNFSFDYYYASTLLTVLYVMGTLSSQLSFT >cds.KYUSt_scaffold_6468.179 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:809625:810236:-1 gene:KYUSg_scaffold_6468.179 transcript:KYUSt_scaffold_6468.179 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSQRPAPRSRAALASPEPPRSSSPRGRPHAATAAANQQHSGTAVAAQRSSVSHAVSGATFVQFLSRVLAPATCSTKYPLDFWPPFSRRPCLFFSPIYRHNHHSTTDAASPTPARPPPVHRRRRLSDFGADSSSTPTPPPPRSTSWRSTHRLLLRLRCIGYFHFDAEATTWTEDPGFDYFLDIGDFHHFHSAPATTFVSVP >cds.KYUSt_chr2.26666 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163283450:163287795:1 gene:KYUSg_chr2.26666 transcript:KYUSt_chr2.26666 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHSMHQQRQLQASKSATLRTFWTICGTCGTKYQYYHSMLKKAVCCQNCLKPFIAHLLTEQHVPFCPTIQQSAAVWKNAGVFSEIRSLQKFEPGKVWALYSETDKFPNYYAFIEKVDLKNSEVQARWLEGCPEEDAEKRLVEDRTVGCGTFRIATTRGIMTYTDTKHFSHPVRAIFTGRRNSYEIYPRKDEVWALLKGWDIGWSSDADNHKNYKYEVVQVLSDYTTGTSITVVPLIKIKVFANLFMQSKEATPYLIPHDDTIWFSHCVPYHLMSGTEDEGILEGALELDPAALPLNFEEAISCVVPESRSVKCSEFDAECAGPSRGDKSRKGSVGVEERQHATCRNAVIFAKTPMVEHRQSNTPFSVEGTDVDEESDHVVQEEVLCPEFFNFVQLRDVDQFRANQMWAVYDSQGGMPRSYARITQVKIAPKFMVHFIRLEFDPTNKSEVAWSCGKLPVACGKFKRGKSEIAKETCMFSHIISREKGKTRNFYEIYPRKGEVWALFKGWDIDWSSNSGKHMDFEYEVVQVISDFTTSDSIIAVPLVKIKGFVSLFMMGSKETTPKVITRDNTLSFSHCIPHHLMCGTEREGIPEGALELDPAALPSNLEEAFASFVPESSAVKDQEFDSKYTGNDSREGSVRAGERQHATCMSSGIFSKTSKEENRGHNTPSAEEGTYFDEESADIVQAEYECPDSEFYEFSEIRLLRKFEPGQIWAIYSDTDKFPNYYAIVNNVDLKNDKVEVRWLDVCPPREEEKRLVKENCPVGCGTFRVSPVYGLMTYTGTDSFSHHVLARSTGRINEYEIIPRLHEIWAVYKNWSAGWTAQDFENCDYELVEIVGQIDKSIRVQLLRKVDGYMAVFRREEAVKTVRKDEYPKFSHLIPCFHLTNEKGGKLRGCLELDPFSVPEKFLGTDSAYTDWRPGGHGPTVAGPLEIHTETITQGGNSRVRLREVQSPDGDIKPKQKTSSGRNSLAEVPKSRSCPRGKVLLKVGDSVRLRSSPTAFGHITMANTVEPTAVTDRRREPVVETAMRLMQELLRLRSEGTSSDQWLTSIYELVHAMS >cds.KYUSt_chr6.994 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6322159:6327699:1 gene:KYUSg_chr6.994 transcript:KYUSt_chr6.994 gene_biotype:protein_coding transcript_biotype:protein_coding MAALCSAASPAISRAAALGLSARGAASLLRLRLRGAASRTCYAAPRTTAGKALSWRGQRRFAASAASTTEEGSEVDMVIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEYGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVDKKKGKFDHLIIETTGLANPAPIIQTFYAEDTVFNDVKLDGVVTLVDSKHARLHLDEVKPKGIVNEAVQQIAYADRIIINKTDLVSEPEVSSLVERIRSINRMANLKRAQYGKVDLDYVLGIGGFDLERIESAVTEEPHDEHEHEHEHEHDHEHEHHHDHDHDHHHHEHDHKHDHHAHDHTHDPGVSSVSIVCEGEMDLEKADMWLGNLLLERSDDIYRMKGILSVSGMPQRFVFQGVHDIFQGSPDRTWEANEPRINKIVFIGRNLKREELEGDDMSSNKVSSVLAHLDGDIGMFIEVVWFSRASSRREDLQIVKEQHISSSPERMWSS >cds.KYUSt_chr7.24557 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153098713:153099423:1 gene:KYUSg_chr7.24557 transcript:KYUSt_chr7.24557 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEGVDEPFDAYAAATRVDELFKLIMKNKDSPNAAAARAEAKSLARALGRNAARKEKESSSAPAATENPAVAVPVEQKVSCVATAADAIALAEAFYGLTPVEEKAKEEKVKKYRKVRLAKADLEYILSYKSKPLPHPPAEFLSNDKRILACYPVPADQLVDYLTKLDSVFDGADDDFLEMQKRVRDEYEKKGYAHHWVTDDEDDAPPSRAPQPARRRARPGVMKQKGGMKKLN >cds.KYUSt_chr4.47874 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296364487:296370648:-1 gene:KYUSg_chr4.47874 transcript:KYUSt_chr4.47874 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAAESAAAGGKNAGDERAHLRVPLPQPRPFLDTFRANLKETFFPDDPFRAVVRERGAGRRAAAALRYFFPLLEWAPAYTLGTFKSDLISGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYAMMGSSRDLAVGTVAVASLLISSMLGAEVSPTDNPALYLHLAFTATFFAGVFQASLGLLRLGFIVDFLSHAAIVGFMGGAATVVCLQQLKGMLGLEHFTTSTDLVSVMRSVFSQTHQWRWESAVLGSGFLFFLLITRYFSKRQPRLFWISAAAPLTSVILGSLLVYFTKAENHGIQVIGYLKKGLNPLSVTNLDFTPPYMMLAVKTGIITGIIALAEGIAVGRSFAMFKNYHIDGNKEMIAIGTMNILGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSLAVMITLLFLTPLFYYTPLVVLSAIIMSAMLGLIDFPGAVHLWHVDKVDFCVCAGAYLGVVFGSVEMGLVVAVAISVLRVLLFVARPRTTVLGNVPDTTIYRRMDQYTTARTVPGVLVLRVDSPIYFANSSYLRERISRWIDDEEERTGAKGETGVQYVVLDMGAVGGIDTSGTSMLDELKKNLDRRGIQVVLANPGTEVMKKLDSSKVLELIGHEWIFPTVGEAVSECDFVLHSHKPGNAAAVHENIV >cds.KYUSt_contig_528.466 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:2883079:2892139:-1 gene:KYUSg_contig_528.466 transcript:KYUSt_contig_528.466 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSTSFPSTASLFLASGRLPRFQFQSLRAPTKPPYRSASASASPPPSSMWFRGVARKTLLAPISASALTAAPRPRMDAEDDPGRRAAATRVVVALACSALTSAWCRRALAIGAAAAGAGAGAPGAVEAAVGVSWAALRGSWPTVLKILQLLKEQGFVLALLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRNDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAVLLLTEITPKNVAVHNATEVARFVVRPVAWLSLILYPVGRIVTIISMGILKILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDAAATLIDFKNLWETHQYSRVPVFEERIDNIVGIVYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGNIVMLEDGTFDVDANTSIDDLSEELSVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILERDKREENDEYQEEGSDHQDDRETTQAYELEVTVTIPHAHFLFGAESCTSSLVPQ >cds.KYUSt_chr2.14706 pep primary_assembly:MPB_Lper_Kyuss_1697:2:92703436:92705853:1 gene:KYUSg_chr2.14706 transcript:KYUSt_chr2.14706 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPPPPPAVAALPYQCSVLLRNLAARHSPVPTAPSSFLRALRCLHARLLTAALLHNPSHPHLTLRLLHLYTISPDLATPATLFRSDPGPIAATSLVSAYAIAGRLPDAVSFFDSVPLARRDTVLHNAMISAFARASLAAPAFSVFRSLLASDSRRPDDYSYTGLLSAAGQMHNLAAPHCTQLHGSVLRVGTGAVLSVSNALIALYMKCDAPEVAGDARKVLDEMPVKDVLTWTTILVGYVRKGDVHAARSAFEEVDGEFDVLWNAMISGYVQSGMHADAFELFRKMVSKRIPPDEFTFTSVLSACANGGFFRHGRSVHGQFIRLQPDFVPEAALPVNNALVTLYSKSGKISVAAKIFDCMSFKDVVSWNTILSGYIECGCLDSAARVFKEMPYKSELSWMVMVSGYLHGGLAEDALKLFNQMRSEDVKPCDYTYAGAVAACGELGALKHGKQLHAHIVQCGFEASNSAGNALLTMYARCGAVKDARLVFLVMPNVDSVSWNAMISALGQHGYGTEALELFDKMVARGIYPDWISFLTILTACNHAGLVEEGFQYFESMKRDFGICPGEDHYARLIDLLGRAGRIGEARDLIKTMPFEPTPAIWEAILSGCRTSGDMDLGAYAADQLYEMIPQHDGTYILLSNTYSAAGRWVDAARVRKLMRDRGVKKEPGCSWIEVGNKVHVFLVGDTKHPEAHEVYKFLEMVGAKMRKLGYVPDTKFVLQDMAPNQKEYALSAHSEKLAVSFGLLKLPLGATVTVLKNLKICGDCHTAMMFMSLAVGREIVVRDVRRFHHFKDGECSCGNYW >cds.KYUSt_chr6.18974 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119489733:119490104:1 gene:KYUSg_chr6.18974 transcript:KYUSt_chr6.18974 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCWDPDNATTWDAFFTNRRDMELARYEGDGPPPVDNNEVGRRLWWGSRTLEGVMNHILAGDYPRLRYPHFQHPKKGGSNGGGFVTQTPYPPPQLGEQEEGPEWKATTEYAMVANAWPSAPL >cds.KYUSt_chr5.39505 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250027889:250028917:-1 gene:KYUSg_chr5.39505 transcript:KYUSt_chr5.39505 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTAAAATSLSYHLLAPKPKPRTPPRLSLLTRKPSRAAISASASASAAAASDFLAPVPSLKSRLAAGDTLYGLFLLSFSPTLAEIAGLAGYDYVVVDMEHGPGGIPEALACLRALDAARTPAVLRLPEACPVWAKKALDLGPAGLMLPAVESPAAAAEAVSYCRYPPRGVRGAAYPIVRASAYGLDDSYVSRCEDDTLIICQVETAAGVAEVEAIAAVEGVDVVQMGPLDLSASMGYLWDPGNRKVRATLREAERKVLEARKKKGAAPETNAAFLGGFAMPNDPPEQLKLRGYNMVAGAVDIGLFRKAALENVKRFREASMEIGEEDDEEDEKEDGYWSE >cds.KYUSt_chr5.43359 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273239120:273241781:1 gene:KYUSg_chr5.43359 transcript:KYUSt_chr5.43359 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLGDAAAAPRAHLAVCRPRLHLCSWAPRPLLPHQRCRRLSRGYAPAARFAASASGGGGGEYKSEEEERREREAEMRRRLKEAEEMDELERTAEELQSRASADDESEEEKRERVRRELEKVAKEQAERRATAKQMFDMGQRAYGKGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYDANRRHKDCIALYKQLERTHPVISIRRQAADFRYIAEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIEEQEEKKRKIVAASSQPESSANILVNLFLLRPPTEWKKSDWAIVTLWIVLIGTAFYLQR >cds.KYUSt_chr4.3251 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18515280:18517164:-1 gene:KYUSg_chr4.3251 transcript:KYUSt_chr4.3251 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTPGYRKEEHVGKTGQRFSSELEDKDELSKVALIEALAQKRGLVSVTFIKGGETFNVIPESMTLGGTFRSMTTQGLSYLRKRIQEVIEGQPLVGRCTAKVDFMEEELRPYPATVNDEGVYIHAKVVAESMLGETNMRLCPQVMAAEDFDFYAQKIPATFFSIGVCGAGKEISPVHTPHLVVDEGALPIGAALHAAVAIEYLNKH >cds.KYUSt_chr3.48095 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301107959:301109852:1 gene:KYUSg_chr3.48095 transcript:KYUSt_chr3.48095 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRRQHAKKSKRKNKKKSPLQREDGENNRRVEDEQMQAGGEAVVNDRRDEEGENDKKEKMVLLLCRDGVELVVPKEGASRYGGTIEMDIKYDDYSSAYGRNISVPNRGYSLISLPLESDVLSIVMHYSNKKRHDPGWDAAELVGGLDHPTLFRLILGAEHLENRGLLGVACRAVADMIGGKSQRQIRAMFGIRPPPPAPDSTLQQLLLKNNTPDDHTMTTSELELEKRALRALHIVRCQDFTAYDPKRRAFWHSRFCGYNIAFFDLDKESSFGRGPPLCRVRELSIASSSVNVISIKVRESDVGVPINVFGTVIARDMIDYRCVYLFNREADDSQVITSPDDMLALMDPHRGLVPEDIIYFEINLKIKCDGGATKDFSRGLTDFNICRLREQTMTASLTTWLSRVELKIAHVPRPLEASIAINILKGPLVLKRVAAWTSGNTEASVILYCSEVGTGTQMAKSGHSIALARRVVFVPLGEQLVLHLVGHDEDEPHVLALGHCDDEGHRVCKMGSSELRVEVSWTVIPKRETNDWREIVGNVWLLK >cds.KYUSt_chr1.36540 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222927476:222932182:-1 gene:KYUSg_chr1.36540 transcript:KYUSt_chr1.36540 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPWLLLLCVATGVVLHAHAQPDSKGFISIDCGLSGESGHVDDTTKLAYAPDAGFTDAGTNHNVSAEYVTPTMGKTWYNVRSFAAGKRNCYTLRSLVSGLKYLIRATFKYGNYDGLNLHPVFDLYIGVNYWQTVNISSPDAVMLVEAIVVVPDDFVQVCLVNTDAGTPFISSLALRPLKRTLYPQVTEVQGLTLLDRLNFGPTNDSFVVRYPDDPHDRIWFTIVDTATWASISTPQKVNTIDDDSFEAPSAVLRTGIMPRNVSQKIELTWSSDPTPMDPSPGYLFVLHLAELQVLRSNALREVRVTINDKPWFTSDFTFSPVYLYDIPFYNRQPFQYSQYNLSVEATSNSTLPPVINAAEIFTVLPTTNLGTDPEDVYAITAIKAKYQVSKNWMGDPCAPKAMAWDRLTCSYGAIGSRPRITSVSLSSSGLSGEISSSFTNLKAVQYLDLSNNNLIGSIPDTLAELPVLKVLDLSGNQLNGSIPSGLLKRIQDGSLDLRYVNNPNLCTDGSTCQLHAKKKSNMALYVAVPAVLLVVIVSVTVLLICLLKRNKQGSMDNSITPEKYKIPSNPTTNDVDSQSSLRHLESRRFTYKELEMITNNFQQVLGKGGFGYVYNGFLENGIQVAVKLRSHSSNQGVKEFLAEAQILTRIHHMNLVTMIGYCKDGEYMALVYEYMSEGTLQEHIDGSNHSGACLPWTHRLRIALESAQGLEYLHKGCNPPLIHRDVKATNILLNTTLEARIADFGLSKAFNSNDTHVSTNTLVGTPGYVDPEYQMTMQPTVKSDVYSFGVVLLELVTGKPAILREPVPVNIIQWVRQRLAQGNIEAIVDTRMRGCYDVNTVWKVADIALKCTAQSSTQRPNMTDVVGQLRECIELENGHSRDDANNGFYTGSSGNDPNMSYDSYTTDQSTNVSPNNASFEMEKRLMRVPTMPTGPATR >cds.KYUSt_chr3.49401 pep primary_assembly:MPB_Lper_Kyuss_1697:3:308707653:308707979:1 gene:KYUSg_chr3.49401 transcript:KYUSt_chr3.49401 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGGGDDKWKLSKKGKSRSGRNYYYADAAGASTSTGGGGLSRSYSASVTATRDGATASSSSSEQQRQEQETRRRLSKKCVEAVKEHRARFYIVRRCVSMLVCWRDY >cds.KYUSt_chr6.9398 pep primary_assembly:MPB_Lper_Kyuss_1697:6:57948185:57952741:1 gene:KYUSg_chr6.9398 transcript:KYUSt_chr6.9398 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLDLSSKGDGDLRGGGDLCHRAPHFGGIRGRGDEHEAGIEVEADMQEEEEEEPDMEEEEAEGDGRHGGKAEAVLQDGIRAVLSLGYRAIAITRVLDATDSARTEKLTAAKSAGFADGENVDHLTKETIVLPVSHPWSRERRSLFFLLTMKTTTRKAGSND >cds.KYUSt_chr4.9435 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56911222:56911973:1 gene:KYUSg_chr4.9435 transcript:KYUSt_chr4.9435 gene_biotype:protein_coding transcript_biotype:protein_coding MPREFRHLLKLMKNFKEEDRLVVNTHAAGMNEQDKMGLVERCYRRYDALEQQDPSAYKNITDRLPFCKVGKKHWKKRIKINKYLMRHLNYQRPIIIRGKFTAGPKTYYPDTGRGVVKYTRNSVEHLSDQQATELSKAEKKKRKRDREAGITVEAKKNFEDVQCYHMIAKSLPGLLCEFCRLLNDEGYLEVCLGPTPTSYIETALDGYDDESSYRKKRKKSV >cds.KYUSt_chr4.11082 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67328023:67332623:1 gene:KYUSg_chr4.11082 transcript:KYUSt_chr4.11082 gene_biotype:protein_coding transcript_biotype:protein_coding MFYTQKPFKAILLEPNLLSHFVLVADMHYFGSILIDAKILEVLEHGKLPRFLGSAVEIRTYLDENKQLILAILDNQNNGKVDECARNQAKLQQNLMYLAAIADSQPPQTASLSQYPSNLMMQSGPRYMPQQSAQMMSPQSLMAARSMYAQQQQAMSPLQQQHQAAAHAQLGMTSGTTSGFNILHGEASMGGGGGGAGNSMMNAGVFSDYGRGGAKEGSTSLSADARGGANSGAHSGDGEYLKGTEEEGS >cds.KYUSt_chr1.33486 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203545581:203546726:1 gene:KYUSg_chr1.33486 transcript:KYUSt_chr1.33486 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNTVSWSDLPFDLVGGVADRITGHADFARFRSVCPSWRSASAVHAARRRIPLLLVPTAGSWSLADGSISQTPMPTSRSLPFRFASLSFRFASPRGWAFSVTIDFAVTLQNPFTGASESLPALPSPFRVYRSTLQNMLWDRSPDAVMVSPGPGKGAYFCRLPAPDGGLWSPVAGCSQAADTSSITYCDGTFYLLDGRTRRIMAVDGTTFAVASVIEPPDMVAPSPTRSWRTPETTLVASSGELLLLVRSHLLFKAGCRADSESEGLFKAFRADSRSPAAGWSEIAGGDIGDRAVFVDHLRGFCVEANGVNGLRRNCMYVASTNCLVDDECGMDVLYGVYSVSVLDLADLTTQNLSHGNLMNCRYGRHLQWPTWFMPNLH >cds.KYUSt_chr4.3722 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21190084:21191169:1 gene:KYUSg_chr4.3722 transcript:KYUSt_chr4.3722 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAATLVFLLSLICIPSRFLTGALPPPPPTPSKPVTPPPPSTPSKPATPPPATPSKPVTPPPAAPPKRVTPPPPKLSKPVSPPPATPSKPVLPPPKLALPRPAAPPKPVSPPPATPSKPVPPPPATPPKLALPRPAAPPKPVSPPPATPSKPVQPPPAAPPKLALPPTKAPPKPGTPPRAAPLMPAIPPALMAPIPWDEPGYQSKPVPEPVRKACARTVFPDLCGRVLGFAVDPKRANDTRHLAEASARAAIQAGTAVAAFGYVYIAGAKNGTRLRLCVRDCTVRVDAAVKNMTASVAAMKRGAKAEAWQLAGEAAKGCGVCWGSCAQFTGEAMFVMIKRARQFERLVMIAGSIILMII >cds.KYUSt_chr2.53695 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334994189:334999348:1 gene:KYUSg_chr2.53695 transcript:KYUSt_chr2.53695 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRILLPLCFFFSIGLTAQQLVLAAAASSSKVVTTLPGFDGLLPFHLETGYTSSLTLQLVFLRKSYVEVDEVNGAELFYYFVRSEAGGEDAPFLLWLTGGDHCSVLSGLALEIGPFQFVIEPYNGTVPSLQLNPYSWTKVANILFVDAPVGAGFSFSRKPEGYNVGDVSASLQLHELLIKLLSEVRWGQILLDNCGGFTSTGPGREMDDSAGAGRKILSEEKDGAETVKRLRNPPPSPTLDCQGTRDALGIKDGTLEEWVRCHNGDVPYTADIRSSIKYHRNVTANGYRALVYRSVNRDLHWIFGLDQRERGSNVFLIGAADGSGDHDAMVPHLGTQAWVRSLGFPIVDDWRAWHLQGQSAGFTITYSNNMTFATIKGAGHTAPEFEPERCFAMFSRWILNQQL >cds.KYUSt_chr5.3695 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23855773:23857196:-1 gene:KYUSg_chr5.3695 transcript:KYUSt_chr5.3695 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAFASVPTMISVVLLVLAGAVAAAPPPTAGGPPKVPVTPPAGPPKVPVTPPTGAPKVPTPPLTGPPKGASPPAAAGPLKFPALLAFGDSVADTGNNNYVRTIVRANFPPYGKDFAGHKATGRFCDGKVSVDFLASALGVKELLPPYLKKDLSLEELKTGVSFASAGSGYDNSTCRTMSALTMERQLQMFQEYKAKVGGTIPDKTLYLLVWGSNDIVEHFTFADGITEPNYADYLVKRAISYIQSLVDLGAKRVALTGIPPVGCLPSQRMMAGGIRKQCATDRNQLSIMFNRKVSQEMATLNAKLPGVTLVYIDLYGIFTDMIERHDALGFKNGKDACCGYIGLAAAVLCNFASPLCPDPSKYIFWDSYHPTEAAYKVIIDMIVDKYFKYMH >cds.KYUSt_chr4.41504 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256794312:256797780:-1 gene:KYUSg_chr4.41504 transcript:KYUSt_chr4.41504 gene_biotype:protein_coding transcript_biotype:protein_coding MGATPLLLNAFRRRTSGAGHEEPRHPAPRIDLPPPPMRSLRETPPLPTPSVKGMGAATAAREGSSGGQDGGSNIMEVAKLLVDLRNEWAVQSLVLFSFALQVFLLMFAWIRRHSVSTFARLLLWLAYQLADSTALFTLGHLSISSKLPEHQLVAFWAPFLLVHLGGQDTISAYSFEDNRLWLRHLETLVLQVLAAAYVLYKYMPSSETLVIAAAVLIFVVGILKYGERIWALRCASFDNMWSSFDQSDASARESQSDILLRQVLERRFFMREDAEVILMGAHGMFDVCKGLFIGIRTERSKYMSDVLRSFQMYGRLDKLMEMELSLMYDIVYTKASVIHTWYGWRIRIISLIATVAACCLFQLSNKHGHDKKDVAITYVLLVGALILEVISMARALMSTWTRVLLNQKNWPRLYYELQSVRHLLRATRHRRWSGYLGQFNLFQSVAHDAVKPMTGMSTARFLGLELLVEQWWDELHHSQSTELSESIKEMVLREILEMGNRREDIGSKPGLQTLERLLLDECVSWSIRDMEFEDSIMAWHIASEICMFNDHSNKASLLEAIRVLSNYMMFLLVLRPYMLPGPVRRSRYVHLRDELHEVMQIATGDSAEERRRWALRKGLSAHMNSLDPPAHYDTGVRLGDVLCRRHDRLDVIFKVWVEMLCYVANHCSRESHARQLSCGGELVTIVWLMARHANLS >cds.KYUSt_chr4.37328 pep primary_assembly:MPB_Lper_Kyuss_1697:4:229807037:229812143:-1 gene:KYUSg_chr4.37328 transcript:KYUSt_chr4.37328 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPSLKPPATAAFLAAPRVAAAPRAAVPGATTQPPRRLRCSAAAGAGAGDYVEMSAPLDWAARSVEALEQATDLDTFCMMALSPLDGRYFRSVKDLMPFFSEFGLIRYRVLVEVKWLLKLSQIPEVKEVPPFSEEAQLFLDAVIRDFSIDDAKEVKQIEKITNHDVKAVEYYLKQKCRSNPEVEKVLEFFHFGCTSEDINNLAYGLALKEAVNTVMLPVMRDVCIAIRTLATENAHVPLLSRTHGQPASPTTLGKEMANFVARLYDIGKSFSDVKILGKFSGAVGNYNADVVAYPEIDWPKMTEEFVRSLGLQFNPYVTQIEPHDYIAKLFNLFVQFNIVLTDFDRDMWAYISEGYFKQIPKAGEVGSSTMPHKINPINFENSEGNFSVSNGLLHTLSMKLPISRLQRDLTDSTVLRNLGVGLGHSLLAYKATIQGIQKLQVNRVRLDEDLDQTWEVLAEAIQTVMRRYGIPEPYEKLKEMTRGQAVTKESIRRFIESLDLPEDVRSSLLELTPHTYIGEAEKLARDIVNVVDLESGFKIE >cds.KYUSt_chr3.13379 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80609502:80610868:1 gene:KYUSg_chr3.13379 transcript:KYUSt_chr3.13379 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDSITELSFAAGGWGAALANHFGRQADVVLRGLSGYNTRWALKVLDRAMEGAASESADPAAVTVFFGANDASLPDQQQAHQHVPLDEYQTNLRAICAYFKNKWPAAAIILITPPPIHEPARIRDMYGDNASSRQPERTNEAAGTYAQACISVAKELDHPVIDIWTQMQQFPDWQTSALW >cds.KYUSt_chr7.12995 pep primary_assembly:MPB_Lper_Kyuss_1697:7:80159958:80163767:-1 gene:KYUSg_chr7.12995 transcript:KYUSt_chr7.12995 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLANASTFLGVDMARELHELETIMMPQFELVIEAADKGNHRLKLDKWLQELKEAFYLAEDLMEEHEYNLLKRKAKGKDSLPADASSISNTLMNPLRAASSRLSNLSSENRNLISQLKQLKATLAKAKDFRELLCLPAGSNIAGSPTVPSASAIPEATSLLPPKVIGRDKDCDRIVDLLTKTTGTVASTAMFSGLAIVGVGGMGKSTLAQLVYNDKRVKEYFDMTMWVSISRKLDVRRHTREIIESASQGECPRIDNLDTLQRKLTNILQESGKFLLVLDDVWFEPGMETEWEQLLAPLVSQQTGSKVLLTSRRHTFPAALCCEDVFPLENMEDTQFLALFKHYAFSGTENRDPLLRERLEDFAEKIAKRLGQSPLAAKVVGSRLKGKTHITAWKDALTIKIDKLSVPMRALLWSYEKLDPCLQRCFLYCSLFPKGHNYVIDELVHLWMGEGFFDSSNPNNRVDDIGRDCFNEMISVSFFQPVYDKYIRYYVMHDLLHDLAESLSKEDYFRLEDDKLTEIPYTVRHLSVRVDSIKQQRQSISKLHHLRTFICIDPLMDDVSDLFNQIIQSMKKLRVLCLSSYSSSKLPESVGELKHLRYLNIIRTLITELPRSLCSLYHLQYLRFNCQVESLPMKLCNLRKLRHLERHDDRMYRPYRQAVPQIPNIGKLTSLQQLEKFSVQKKKGYELQQLRDMNKIGGSLTVTNLENVAGKVQALESKLHQKIHLDSLKLVWSCKNNTNAEDNLHLEILEGLMPSPQLGGLTVDGYKSSKYPVWLLDGSYFENLRSLSFVNCCALESLPSNTELFGNCSSLALCNVPNLKTLPCLPQGLESLKVDTCPLLIFISNDELGHHDQRENIMRTDHLASQLRLIWEVDSGSNIKSVVSSEHSFLKKLMILMHADVSHVENLGSALERDKGEPLVKEDIIKAWIYCHEQRMGLVHGKSIGLPLVPPSDLSELTLSSCSITDEALAVCLDGLVSLRELSLCGIMTLTTLPSEEVLQHLTKLDILSLLSCWCLRSLGGLRGATSLSSFQLSSCPSLELSCTGSECLPSSLKSLRVSYCMLTADFLCTDWPPMETISIVNCRSTACLSFGSLTSVKFFVLYHLPDLCTLEGLSLLPLKVLHLIDVPKLTPECMSQFRVQGALVVSSTIILNNMLSAEGFTVPGFLSVQGCSEPFISFDESTNFTSVKRLRFWDCQMTSLPTNMKCFSNLKNLDIYDCPNMSSLPDLPSSLQHIYVRGCELLNESCRAPDGESWPKIAHIRWKEFI >cds.KYUSt_chr3.18464 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113480879:113486626:-1 gene:KYUSg_chr3.18464 transcript:KYUSt_chr3.18464 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFRGTPPPSCPSSRHCAEWARTYLKYCLCSTKDGVALGLGLASVLSWGVAEVPQIITNYKQKSTEGLSIAFLMTWIVGDLFNLAGCFLEPATLPTQFYMALLYTITTMILTGQTIYYSHIYRLKVKKTGTIIKPQKHQRGDASLRQKLLGHRDDAYKNNIQSGTQSTYVYLCFSGKYDICRKVDIVRSGKRWNPVFVGFSFPQLAVVGNVRRHAPRALHPFRIGVLPSPSRKLLAV >cds.KYUSt_chr6.30839 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195479246:195484251:1 gene:KYUSg_chr6.30839 transcript:KYUSt_chr6.30839 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRRHARSPAVTPLDQAHALDDEDLLGEILLRLPPDPSSLPRASAVCKRWRRLVSDRAFFRRFRLRHRRNPPLLGFFDRFNALSFLPTLEAPNRVPPGRFSLEHGDEFDRSMSLGCRHGLFLVFLSKPHQVLVWDPITGDKHHIAVPAAFATEKTHGLVNGAVLRPAGEGQHFQVVLAAADKKQQALACVYSSKTGLWGNIISTPLPYHDDDGIPPMVYTDDAVMAGDSLYWQLAGTSAILEFDLVKQSLAVIEVPMDTSGEDKSSKIMRAEGGGLGLLLVSDSDSTAQLWKRETNCDGVASWGLAKTIELDKLLSLKPEEQGMLVILGFAEENNVVFLWTAIGVHTINLDSLKFKKLFKTNHFTYYHPFESVYTAAMSRLCSAVVFGSDDCMVALNQQGLVVDMTGLSFCSMLKMIVWLDMLFDLPVEQISIVGQAASLHCEASSQAITDGRSKDDVSRYLEFRSGGREVCWVQVIPVCMLFTLYVVRTGRHLSTGMKVEGTSD >cds.KYUSt_chr3.440 pep primary_assembly:MPB_Lper_Kyuss_1697:3:2411223:2412282:1 gene:KYUSg_chr3.440 transcript:KYUSt_chr3.440 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYARRNRKRNADFAMEVSYPFVLSTHTSSLTEKNSPSNQQLLEDKVTDLLGERDKLRREIAQWHDLQEETVAKMNRHNSRLQEEISKNTEIRLERQRLLEENMFIEQKRVEAVQQRLLASSEIKDHELARYAMCKPLSEIQKNVECAIYYYNDLKEVYNDLRLRLRPEPVEENIQMTV >cds.KYUSt_chr7.13896 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85813666:85814445:-1 gene:KYUSg_chr7.13896 transcript:KYUSt_chr7.13896 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPSDDHVAAVAARLPPVRYYVPYEEEEEELVQDPDSPQSPSQVCHSPLKIVNRGQSDYWANLEILNDDKNVMDDDNEPAQLEKEPAPAARWSPEDKELYCPVDVNDDPESMRKLWELQSKRYAVHALRHYNADQYNGGVKYELVEATGSQGIVLDSGHIIGHVTFTARATAGHDPTVPQQADPRPFFAEVHAPDLAPTCMISMDDGEERGEHDDLCQFCGANLKHPKVHPDLHRRRKRSISRHTWSATNAIMDCDP >cds.KYUSt_chr4.12109 pep primary_assembly:MPB_Lper_Kyuss_1697:4:73654719:73655970:-1 gene:KYUSg_chr4.12109 transcript:KYUSt_chr4.12109 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPSEDERLKELVARYGPHNWNAIAEKLHGRSGKSCRLRWFNQLDPRINRNPFTEEEEELLLASHRVHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRLSSNRRAGTAAASAKEDTRLTGAGAGEKPRPRAPDTNRMAALLDKYRREFAGPFAISPHSSKEGYCSSTNEDTNRSVEFYDFLQVNVSSSETKCGSSIEEQEENRDDDDQQAEGQVALIDFMEVGTSHQ >cds.KYUSt_chr7.15705 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97524074:97534887:-1 gene:KYUSg_chr7.15705 transcript:KYUSt_chr7.15705 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAQGPIRADAVPAAAAADAAGKAREDEVREYKSDVRKLEELFKKLNPSAAEFVPLSRRQGGDAGNRRLSADAPVFVAPAPAPAAAAIDYYAPHHPFHHHPQQQMHVLQLVGGAGGGGGGRDSSSDGSASGQPNRRRRNGFNQGRRRMGVRPRRSDREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVMRFAFIEFADDVGARAALSLGGTILGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKNVPEEVVKKFFEGICGEVARLRLLGDYVHATCIAFVEFVQAEGAIMALNCSGMLLGSLPVRLVEGPSSQMFLGSDERPISVTMCSGGDGGDDDGDDDDGDGDNVQLDDGDDGVDFPLREGISPADLSLSESSFLSGVLRLAEAAVTLRDCPPGA >cds.KYUSt_chr3.46689 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293447701:293447940:1 gene:KYUSg_chr3.46689 transcript:KYUSt_chr3.46689 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAIQVVLGVVMRRSICRLHEVVAMAVELGTALLVAVRFSGTAFRRTPATQAVSGSTLYYYYAPVTATMVSMSRLDRH >cds.KYUSt_chr7.3321 pep primary_assembly:MPB_Lper_Kyuss_1697:7:19774995:19778420:1 gene:KYUSg_chr7.3321 transcript:KYUSt_chr7.3321 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDGMSNMGEPQSEMVGTKPKLGTWEHQVDTPSRRYPVVSFVNEVHTASAPAPEHYELIGAIRNIWTNPGRLEISDVHVNKRYFKIMLKKLCSAVPAVYGDSQQPTIYMVPQELYNSGYDARGEYEPVAVCLGSRNSKIGGLPPCKYKESLVQFDKYKWCCVRQLISRHCTLLEPARTVVLLDRCFTSMKRLLPQIQASYSQGTLRTSGSHPEDEVAEMMLLDGCFILHRLLKYARRAKMEADGYRDQFDEDGYEDWTQVYGRCGVWQLVTRDLLLLENQIPFSVIRNLFQQLRNEDEPEELLVTGSLRLFRSLRPQMLHRSHIACNKVHHLLHLFYLSVSLPPSQRQQQHWHRQSDALLPELPQWIPCAKELEEAGIRFRKRSNARSFLDIVFARGVLEIPQLELNDSSESLLRNLIAFEQTYPDTPRDISTYAVFMNCLITSPEDMRILDLHGILVNHLNSRRDVVWRFFSDIVTRVHWSTDNYLVDLMGAVNRYRDSRRHKWRAALVRNYFSNPWVTMSVLAALVLLAMSVLQTFFAVYAYFKPPK >cds.KYUSt_chr4.50443 pep primary_assembly:MPB_Lper_Kyuss_1697:4:312544118:312548079:-1 gene:KYUSg_chr4.50443 transcript:KYUSt_chr4.50443 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFDTPSLYNKGYYLADGIYPKWATFVNTISSPVLPKEVEFVKEQEGCRKDLDRAFGVLQQRFAAFRFPALTCQERLFLKNADHRASTGETAGTSVLPDRNFHPIRRYLAPDLAVGSANGWRCSYLLSFLALTAACAGERLDAGIDVRLPLTPPFAAPRYHLHAAAIGAIVLAAVIAAGAASFMIFVCCCRHKSIAEIGVRGVRRAGDSENSTSETLRHQLDHKEEDFHRYRIDGFENVLPAGFENACAMLREGNVLIGNMQITKDYFILGPNDVYDIPPDAIYETNSWGQKISHCMVIVGFGVTQDGKGYYVFQNSYGPDWGSGGYGRVSSDSLKYLYSPRLGM >cds.KYUSt_chr5.11178 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72416094:72421262:-1 gene:KYUSg_chr5.11178 transcript:KYUSt_chr5.11178 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWNSVGLEVLYQVIGWIAFAAWSFSFYPQVVLNYKRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPVIQKQYHDKFGDKEMIPVAANDVAFSVHAVTLTAFTLSQVLIYERGNQKVSKVCISITAVVWTAAIVCLIVAWPKSNWLWLIDIFNTIQVLMTAIKYIPQAVMNFRRKSTTGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDVIFIIQHYVLYPVKRDENGKAIISERVAPLIRPLDKPEEDSV >cds.KYUSt_chr3.47480 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297848509:297853743:1 gene:KYUSg_chr3.47480 transcript:KYUSt_chr3.47480 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSAPCSLASELGTKATAASVLVPLVCFMSLSNCINSGINKVYVLTQFNSASLNRHLSRAYSFSNGVGFGDGFVEFDTNPGSLWGEINAIMALDFGHEGWDQVPRIAVGYHWEAKLMGEVPILGYMEQLNHTKFYQLGNGGSLIFERDLDALSEHLERPHPEFFGTQNVTQIQGTRFAHFARHDSIGGPMDLQPHPELKYHVEHLDFMLHETRKELDNSRVYANQTHLHMSQQTEAIKMLAKDRKTLRQQRAKKDSTIARLRAKIASLEETVQAQETQLKALEEEGEDIQGGAAYMSDDDDFEEDANTDVEDYEFLEAGEDDFIPIDVDDE >cds.KYUSt_chr2.55145 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343852427:343855596:1 gene:KYUSg_chr2.55145 transcript:KYUSt_chr2.55145 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAGDLKVHVNGHHTLLLHQSVVCAFSGRLRAMVAQEKKRIKTASRGAGGGAEASMAIELAGFPGGAEGLELVVRFCYDSGRLPPLRPTELPLVHCAASFLDMTEEVRAGNLLVQAEAFVDDGLCHWTWADLLAAVKSCDSPFSSGLLDKLLSALFSRIAVGAETLTRSSSSTCSSSSPDRAGGRPSSAARTPESMKPSCLVGGREWWFDDVASLSPATVEKAMRVIGCYGAENKNLTLTRFLLHYLRRAATLRKVDDQGCLSGLADTAVHGVELGGGAAAFSCRGLFWALRVASAAGMSRECRRKLERLVGKILDQATLDDILVSGDGGGVYDVSLVIRLVRVFVSSVKEEKEATSPSPLSSSSRERMRKVGRLVDKYLAEISPDHGLGVSRFLAVAQSLPDSARDCYDGVYRALDIYLESHAALTVEERGTLCRCLNYGKLTLEACKDLAKNRRIPAGIAVQALSSQRVPKLPNPLSPSQTPRRVVVDEEKEALRVDLHKMQGRVVELETACKEMMGHVKVSRTAAKSFGGRGLPWMC >cds.KYUSt_chr2.11194 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71054040:71056438:1 gene:KYUSg_chr2.11194 transcript:KYUSt_chr2.11194 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLAVPFSDSEGRKGLDGRFEVRGIPHLVILDAKTGEVLTGDGVEFVSEYGIEAYPFTPERISELKEQEKAAKDNQTIHSVLGTPERDYVISNKGDKVPISDLEGKYVGLCFVVSGYGPVEDFTTVFAKLYGKLKEMGEKFEVVAISLDSDEASFTESFSSMPWLAIPQGDKKCQKLVSYFELSDLPTVVLIGPDGKTLNNNIADIIDEHGMDAWEGFPFNAEKLAILAEKAKAKAASQTLESLLVAGDLDFVIGKDGATVPVSELAGKTVLLYFSAKWCGPCRAFLPTLVDVYNKIKEKNSDFEIVFVSSDRDQDSFDDFFSGMPWLALPLGDERKAYLSKMFKIRGIPSLVAIGPDGKTVNTDAKAPIAVHGAEAFPFTEERLQELEKKIEEMAKAWPEKLKHELHEHELVLTRRPRPYGCDGCDETGTSWSYACAECDFDLHTSCALGEDKKGEEEKGQDAADAAPAGYVCQGDVCRKA >cds.KYUSt_chr2.33853 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209197542:209198876:1 gene:KYUSg_chr2.33853 transcript:KYUSt_chr2.33853 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSKSVPGSLGGAGGGSAAGGAAVPLAVLLRREVVSERTAAERPDLQHGFFNQAKKGEDFFLLKPHCERLQGVPSSSFSAFGIFDGHNGSGAAIYTKENLLSNILSAVPADLNREDWLAALPRAMVAAFVKTDKDFQTKARSSGTTVTFVIIDGLIVTVASVGDSRCVLEAEGSIYHLSSDHRFDASKEEVDRVTEAGGDVGRLNVVGGAEV >cds.KYUSt_chr1.19315 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113358656:113359849:-1 gene:KYUSg_chr1.19315 transcript:KYUSt_chr1.19315 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEATRDEAAFAMSVLRQLACGGGDANLAVSPLSIHAALALLGAGAKGDTLDQITKGGLKFVKTRTPQAPNQRTS >cds.KYUSt_chr5.13764 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89486840:89492139:-1 gene:KYUSg_chr5.13764 transcript:KYUSt_chr5.13764 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASIAVRSAVGAALSAVIAARAVRRRSLDVSGGVAGFAVMAIHLACGYRYGALLLAFFFTSSKVTKIGEDRKRRVEEDFKEGGQRNWMQVLANSAIATVLVIFLAITTGGQDQCLDSNDSKFITGIIGSIIGHYCCCNGDTWSSEIGVLSDEQPRLITTLKPVRKGTNGGVTLQGLLAATAGGLTIGLTFVAVGLMTAECSFKMGLRQLLAIPISAAAGLLGSLIDSLLGATLQFSGYCSVRKK >cds.KYUSt_chr5.5971 pep primary_assembly:MPB_Lper_Kyuss_1697:5:37043737:37047772:-1 gene:KYUSg_chr5.5971 transcript:KYUSt_chr5.5971 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSSAPGKGFWARHRWKMLLSLGVAGAGYAAYRFYDAHQKQLVRVEQRQLDEQAADELVKNQLQAHFENVQRISDTTTLPFAMHYLRSRIIEELDISHLTERLLQGKGGDSAALTPKEKLDTWERIKILSFTRTVSSLWAMTLLSLYVRVQVTILGRHLYLDFARGTDGAQLQAESDTFSRNGHKDFLATADYLATYGITALIMQMQHAATEILKEKQLKDPMTMDQVLQTMLEISDQFMSLCDDNSWINYLVPENANRYAQLMAVASSGFDDSSLVMDVRKLDQLMTETRIVLASDDFRNIMHMSLRKVADLVIEDLGTQVGATIPPSGLPLAKLLARVAQLSSALLEEPSKNKHIQTIRSMPEVDLFYTFLYANMPPQT >cds.KYUSt_chr1.37219 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227481625:227481957:1 gene:KYUSg_chr1.37219 transcript:KYUSt_chr1.37219 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGATTVRIASVARPRAQLRVARTEAFRCAYSEKGVKTCSAMNLSLALLKRMQAMEQRRSSGPCGPGLAKERTDTEDTRIRRLSRALNPEAHWVIACLVGHIFFNPRR >cds.KYUSt_chr6.13398 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83915890:83917375:1 gene:KYUSg_chr6.13398 transcript:KYUSt_chr6.13398 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSASYLLVALCLLVLVAKHAEARPPRLVPAVFVFGDSTVDVGNNNFLGGTRKEGKANFPQYGVDFPTSMPTGRFSNGFNTADQLAQLLGFPMSPPAYLSLSRPLKLHQLSKGVNFASGGSGLGKHTGRLIAGEVIPMSLQLEYFATVVEHISKSAGSRRTASFLSKSIFFISTGSNDMFEYSFSRSDDSKFLLGLVASYKHHLKSLYRLGARKFSIISIPPLGCTPSQRLRRLEQMGTQGCFDPLNDLSLRSYPMLAAMVEELAHELPGMAYSLGNAYKMVSFVFANPQTKDWHFTELVVACCGEGPFGASGCNQTVPLCPNRSDHLFWDGNHPTQAVSAIAAQTLFVGNGTFVNPMNVLQLVQL >cds.KYUSt_chr4.47038 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291070883:291071323:1 gene:KYUSg_chr4.47038 transcript:KYUSt_chr4.47038 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLLIIPEYVERTFEPRSRQRAGPFFSRSGAVARASSDAHWHERAGCLFTVDPASTEAIMGLRVPAAAERRELVCAVCLADFEEDDDLRRMPCSHSFHRRCIFRWLRISGSCPCCRFQLPSEDEQRVLNEQAAHGQAGFTGNDA >cds.KYUSt_chr3.36005 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226323590:226326166:-1 gene:KYUSg_chr3.36005 transcript:KYUSt_chr3.36005 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCPSVKNILVLDLEGKRVAVKYYGDEWPSAASKLAFEKSVFVKTQKPGAKAEEADVVMFDGYIVVYKFIEDLHFFVTGGEEENELILSSVLQGFSDAVGILLRSKVDKRTALENLDLIFLCIDEVVDGGIVLETDGNVIAEKVSGHGPEGAGSYAEQSVTQAFAVAKQHFMRSLLN >cds.KYUSt_chr6.26740 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169513199:169513945:-1 gene:KYUSg_chr6.26740 transcript:KYUSt_chr6.26740 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLRSLHRELAERCSVLCAVAPCRGRHAAAAFGCPAGGTLETATTTSTIPASTPAPAVLAAAVLALRVASASLHRGIPLLLHCLPTVQTCAPGLSIEGLGSMMLLRPDEVHAPTPRSARPRHRHRYVTAAAPPTGSGSSDGLFHDSAVLVEGLGFLSLPPVASDVSAEMPPPANDALLPRACFGLLLWAPTRTTMMKSWLCGHCWPPQKLMFLSSCFAMPMLLETRKIGCMWVEPATLASSHRFCL >cds.KYUSt_chr4.36534 pep primary_assembly:MPB_Lper_Kyuss_1697:4:224503663:224510537:-1 gene:KYUSg_chr4.36534 transcript:KYUSt_chr4.36534 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRLAGASGSPSAAARQLRPALTRPISTGFREERVSFGAIRVPDNNLWGVQMLWQGGHPQIPLQMRMQMQMQMQRQMQMHMLWQGEREQMPLPKVIANRAAQILGHKRDDKLVHPNDHVNRPQSSNDTFPTSDEFKDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRISCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETDLPFVTAENKFEALAAHDASVERSGATNTVSASLMKVENDMRLLGSGPRCGLGELILPENEPGKVNPTQCEALTMVCAQAAQDAFVESSGAVNTVPASLMKVANDIHLLGSGPRCGLAKLILPEKEPRSSIMPGKVNPTQCKALTLVTAQIMSPMIPTLGRRWIWNGSPPPPPEFPYSPRSYTLDDYAKQFPLVMEVPNWRAKFPGTRRLGSRWFWQDKGQTFTHSLVKEVTDLHKAGNCFVKLTKDDFYVDNSGRVKIKPGAKIKAFSFAAEQEDRNNLHSVLMSLLPPEDELPKDILSMSKQILSPHQDVLPVEWHTTFIPVSRIPEAIMRLHDQIIVLRPSSITTRYVVARVSFDSGWQAGLAGSYLLLMFLLGRFKLGGGFSDNAKGLIVFLRIVTCHRVDMVRKFLGKYEAKDIPMLIKDVCPLLLQEFFNAYMERGEIRDLNFKELY >cds.KYUSt_scaffold_3611.77 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:355593:356468:1 gene:KYUSg_scaffold_3611.77 transcript:KYUSt_scaffold_3611.77 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSSVLLVLAALLSVLICRAGAAEKTGQITVFWGRHADEGTLGDACNFDGIYTTVVMAFLNVYGHGKYQLDLSGHPLAGVGDDIKHCQSLGIPVFLSVGGFGGDYGLPTNQSAVDLADHLWYSYLGGTRAGVPRPFGDARLDGIDFFFERGGVGEHYEVLARELAKRDKPLRLTATPRCAFPDGRALVGRALATGVFERIHVRFYDYPNCTAWIEDAWGQWTAAYPGSKIFLGLTASYTDACYLERKAVFDIVMPIVQKPDNYGGVMLWDRYNDEQNGNHYSSYVKNWV >cds.KYUSt_chr1.5615 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34632998:34634799:1 gene:KYUSg_chr1.5615 transcript:KYUSt_chr1.5615 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGRRTFDEGVSRRIRRIADRRPGIFLRQDESAACSRSPEDEEQRSGGSVKVSQERRYSTSSSGLQVNRESYQGLGAKVDELTRVLGGLKKGVKELELVLEKKDATVRRQAPFKMLAGVTIGFALGFYTNQHLSA >cds.KYUSt_contig_1739.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000193.1:5666:7946:1 gene:KYUSg_contig_1739.1 transcript:KYUSt_contig_1739.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCAWVQHWYKDMRHSTRVKDAEGHPAFALVNRATGLAVKHSLGQSHPVKLVPYNADYLDESVLWTESNDVGKGFRCIRMVNNIHLNFDALNGDKDHGGVRDGTGVVLWEWCKGDNQSWKVLPWGAGGDGYVHPPPQPAYGGAGYGYGNEMQHWVKDMRHSTRIKDEEGYPAFALVNKVTGEAIKHSLGQNHPSRSVNEVVGTYLSTFTIFPFRVTSFVFFHLLWVSRRMFGGVSVTAGEACALKPEYLDESVLWTESKDVGKGFRCIRMVNNIYLNFDALHGDKDHGGVHDGTEIVLWEWCKGDNQRWKIVPWCKLLLDLYI >cds.KYUSt_chr2.15509 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97661776:97664664:1 gene:KYUSg_chr2.15509 transcript:KYUSt_chr2.15509 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWNDGESSSTALSWPGDFAPMDYCPDSWDEPTFTGLAAGCPEVCRLHQKEPVKRVAFEGTNTGRRFYMCSVEGYIDNCGFHSWLDDEWPQPMKNTLLKLWGMYHDMHNALLDEKIENGKIVKDLNEESIKVEKKYSSLMADVSKFMNDTQRQVMQANYDKIMNGSDEEKLRENKTKLENELMKARDEVAKLKEGKIADDRKMKLMEEETSLLKEEKKKLEYQLFDLFKLSSARNDKLVKIKQICGQSLFLPLTLLGTSGAEALLVLGAENLGAAAAALPLGAAAAALPLGAGAALPLGAAGVALPIGAAGAALAIGGAGAGGALASEVPGN >cds.KYUSt_chr4.42994 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266319236:266322102:1 gene:KYUSg_chr4.42994 transcript:KYUSt_chr4.42994 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTHLSLTILLFALTFLHPSSCHPNPKIILLSETGTEVIYRCTGILRSWLPLQRMENRDLFTETKPYSTYILLLKPRTDASSDDEHRWWHESFLPSPLAGSDEARLVHNYTEVFTGFAARLTEAELEMVSKKRSFVRAFPDQLWHPSTTHTPEFLRLKKGSGIWRNVSYGKGVIIGVLDTGIYAAHPSFDDGGIPPPPSKWKGSCHGASRCNNKLIGAKFFNFYANDSGDDAGHGTHTASTAAGNFVRDASAGGLGRGTASGIAPGAHLAMYRVCTLFGCYVSDIVAGFDEAVKDGVDVLSVSLGPAYNVNFTGDPVAIGALNAVAKGVVVVAAAGNNGPKAYLENSAPWLLTVAAGSVDRSFQAVVQLGNGNRIDGEAFNQISNSSSWLFPLYLDKHCKSLARRNVSGKIVICHNTGSMNGSRTGSVNKTDITGIMSAGAAGVVLINRKDAGFTSVLEDYGPNVVQVTVADGNSISEYVRTTNKPSASFIYKNTLLGVRPSPTVAAFSSRGPCSFSPGVLKPDIVAPGLNIVAAWPPLTILGSGPFHIKSGTSMSTPHVSGVAALVKSVHPDWSAAAIKSAILTTADIVDSRGHPILNEQHRMASAYAMGAGHVNPAKSVDPGLVYDLGITEYAGYICALLGDQGLAIIARNPWLSCTKLPKIPEAQLNYPSITVPLKSVPFTLNRTVTNVGPADSVYTLKLYTPKSLTIRVSPEKLVFSKAGQKIQYSITVTSHANDEKKFMEGSLSWISRNHVVRSPIVAVADLDSPPL >cds.KYUSt_chr1.17640 pep primary_assembly:MPB_Lper_Kyuss_1697:1:102685639:102689926:1 gene:KYUSg_chr1.17640 transcript:KYUSt_chr1.17640 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEPMRVLVTGAAGQIGYALVPMIARGIMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPEKNISCLTRLDHNRALGQISERLDVQVSDVKNVIIWGNHSSSQYPDVNHATVKTSSGEKPVRELVKDDEWLNAGFIATVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYGVPAGLIYSFPVTCCGGEWTIVQGLPIDEFSRKKMDATAQELSEEKALAYSCLE >cds.KYUSt_chr3.18765 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115348036:115348809:-1 gene:KYUSg_chr3.18765 transcript:KYUSt_chr3.18765 gene_biotype:protein_coding transcript_biotype:protein_coding MARGENSESHGSSNVPASSPAAKQAPAAARGAEGQSVVRRLQSELMALMMGGDPGVSAFPEGDNIFQWIGTIDGSAATVYEGTSYRLGLAFPSDYPYKPPKVRFETPCFHPNVDTHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNDSPLNTQAAALWANQEEFRKMVEKLYKAA >cds.KYUSt_chr3.47781 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299435217:299436905:-1 gene:KYUSg_chr3.47781 transcript:KYUSt_chr3.47781 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPAPLHPPPPPGALLPSPFRPTVFSSEQRQLLSCSRGPPAPAATSPHVPPAAVAPLCAEEGWQQVGTGRRSDRALPSPSPWGEALERSLAFKRWARGRCFRCLERGHHVGTCREPFRCIRCRRPGHRERNCRRRSPVGRSPTPRSCSPSVGSPRPAQARSWAEVVRHSPLCASMPPSSPPGVGGDASDDAVRVSDLQAQFASLRMELLQLVSDRIEEVTRPLRDEAAAIKLWLARAVGSWQSVEEADTGGVGRAPVSAADARLGDAELLELYGPFSPVRRPCDSAPLGFDVFRQSIEGCSGLAPFPPDAVDDKVASPEGPQSPISDDVEGFGLAEFFVEASVPLSVEHSRLEASAFEPDDVVDVLAVPLVPFVEDPEVADSTKLSDFLANLASKKRALMSPLREPLEEIPAACVVVPETVPAEDIQVDPRDPTAVKLNAFLSSVFRPVPPPILASPPSRRPRAPKEVATTPRRSGRIEKQKQLRKDVTSQELLARVLGILKENAEFDDNVLAAYINKFKTPLSPRSITMLGSLVKNVEKVKKAKGNKVGAKKKAVKIT >cds.KYUSt_chr3.46361 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291739518:291745144:1 gene:KYUSg_chr3.46361 transcript:KYUSt_chr3.46361 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSFALSLLLLFLAMPYPSLSCPATPCECELNLQLYLHQIVQGQPNHNQIVVTGPPVPEPAGFGTLVVIDWTVIDAIQPNATIVARAKGMAVQASIGVSGSWFNYFSLVFENARQCSTRSLTLPEESAAVHRLKVIIMGRDYELWESHIHQGLQRCGTYEFLDGSTRLALAVRRVDGDIHNWWNKEMRVKGIISATWFDFREFLRACFVFGSKPRMHVKALKQPLRVVSPPAEVGKRLQLCSKEAMVVSIPSPTKEVPKVVLVQTVTETVAILSEEKKPSSDTMGTTVTMEEDVPLSGQNMQLKKVQDDVCKTVDKGQQWSLFYIQCIINGKACKLIIDGGSCTNGISKAMVAALGLSTWRLSEPKRLEWLNSCGMLKITHKVHVPFTVDDYVDEIECDVLPLEVCGLLLGRPWQYDRNVTHVGRANTYSFMHGSKQRTLKPMGDDHIKSDVDLMARKEKLHKPKVQHEVHDVPSIEVGDVSVMHVDDKPVLVGDKPDEANPIVDDDVAACATVPVCVDASIQTDDVCADSVSVHMAQMRVGGVGGERVSGDSGQRHYHARSTAVQFSATSRMHRGKDGSRVRHLCGPGITHLVQGHVQRHRGPSKPHKKKVLAPMSKLMWRRNEAPSAVSSQARREGGCGVEGRQDLRRRGRVFISRHLFQEILTRWGQRFLKGEDDTGVKAT >cds.KYUSt_chr2.50402 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315206903:315208431:1 gene:KYUSg_chr2.50402 transcript:KYUSt_chr2.50402 gene_biotype:protein_coding transcript_biotype:protein_coding MTDASSGANTNNAASTSNAEVQIQIPAGPSKAEAPAPPAGAPSKSSGAKNWRWWLMVSVDVFFLVAGQTSATLLARYYYHQGGSSKWVSTFVQTAGFPILFLGLFCVPKQPSGSGGGGSDTPVAKLVVIYIVLGLVIAADDMMYASGLKYLPVSTYSLICASQLSFNVVFGYVLNSQKLTGLILNAVVLLTLSDALLGANHDETEDISGVSRRNYLMGFVLTLGASGTYSLILCLMQLTFENVIKKHTFTAVLNMQIYTALVATVASIIGLFASGEWRTVRGEMDTFQSGQFSYFMTLLWTAVSWQITSVGVVGLVFEVSSLFSNVISTVALPIVPLFAVLIFHDKMDGIKVIAMLIAIWGFVSYLMQHYIDDKKARKASASADGGS >cds.KYUSt_chr1.39055 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238718426:238719367:-1 gene:KYUSg_chr1.39055 transcript:KYUSt_chr1.39055 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPPAHHLQPWARQQQQQPRAHRARAPAHQTVEESASSVGARDRRRSSSEYSRRSSGGDGSEDLRGKLVGHLRDAADRPRVAEPSPPLPPPPPKAASQQPETEREPSQELKAPPQEQEQQPQAEVPDKPWKLRERTRRRPASLTSWPSAAPSRRRKRAPFSVSLAPEEIEEDIYALTGGRPRRRPRKRPRAVQQKLDSLFPGMWLAEVTADDYKVPEPEEE >cds.KYUSt_chr5.16929 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108999143:109008058:1 gene:KYUSg_chr5.16929 transcript:KYUSt_chr5.16929 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRNQLAATRSLVVVLGGEQPEWECLARMDKKKGKEEKRSSHHPNPLFDQLEVLRRPLFIGNYTGVDCCVDSKQGGTIWLICSSVACFFGIFIVASSALGIEVNLGVSSGWLWLMAWMAMTLYLVNGLEGVERMEKGVADQDDSTDSPPLMEKGDKENIRQDRNIMSDCPTLHREGAVSTKRKKKPGGFNLRKSIAWNPAFFTEEGVLDNSELSVLSGSQMKPGRSPGSGVGATISPLCRVGRTGSASILKDVTESSRGKLLVKYRSAENKGRKLFSPAKLPERVELPKKASNSNATTQMTRTPKKLSQPSIPMVPRSTSSATNISKSNTKLPPVKTDHPSRVEALQLKSKIKPTSSTKSSGLITEKDVEKALVSHDAAAQGNAKSCVTRNTSSLAKPPRYRQSEYVNSRLSQAPVSNVDTAQGNAKVCVTRTTSALAKPPRYKQPEDLKSRLCLTAQLPTNCPANSESVVHPAINSLASSLPGLEQDNECSGKESLSEGITTCSANSRNANTEPTQKVDCFSVGSGATTRSPGSEKNDGSRNGMPNVDIVASHVEEGVIVKRTEPSEDSQSLKSICTPTIEHVENSCSHEAAIGSMKPIAVSILSSSCISEVCTLDGFIYESKSENCAGVAIDLENSLSGETMVSVSSSEGNSCTPGLDFLRDFDSCNQQRTESSTRKSVESTTWADRVLQCGNSVDIKPASADGTADLPGSFCNEAEPNSSDKPNTDGSVDFESDSPLIGKEAQLLVGCDCDNDYRRTECPPIEPEAPVPRADFSDLKEVTVDFRTETHDSLAVERQPLLLEEPNAEEDMDIDSDSPLISKEVQLLIGSEYDHDYRSTECSPMEPGAPVPCTDFSDSKEVAIDCKTETHDSLPVERQQVLLEEANTEDDMDLDKNELLTLEDPSPIGKTKAVHRPGTNTIIKNHLKQLVPFSEEWLAAMEACGEEVLEQKSGAVQNSPTDKTTPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTTDTP >cds.KYUSt_chr5.6345 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39275808:39277376:-1 gene:KYUSg_chr5.6345 transcript:KYUSt_chr5.6345 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSGGIDAESPPRRRPLTVLPLIALIFYDVSGGPFGIEDSVRAGGGALLPLLGFLILPVLWSLPEALITAELASAFPTNAGYVAWVSAAFGPTAAFLVGFTKWASGTLDNALYPVLFLDYLRSGGLALPGPLRSLAVLALTAALTYLNYRGLHLVGLSALFLTAFSLSPFVALTALAIPKIRPSRWLAVNPKAIDTRGYFNSMFWNLNYWDKASTLAGEVDEPRKTFPKAVFGAVGLVVGAYLIPLLAGTGALPAETAAEWTDGFFSEVGQRIGGPWLRVWIQAAAAMSNMGLFEAEMSSDSFQLLGMAEMGMIPAIFARRSRHGTPTYSILCSAAGVVVLSFMSFQEIIEFLNFLYGLGMLAVFAAFVKLRFKDPDLPRPYRIPLGSKGAAAMCVPPVALIATVMCLATARTFVVSGAVAAAGVAMYFGLEHLKTTGWVEFLTPVPSDSFHGSSSSADDDNDVEDVRAVLLPADNVPAAEEEVPTGKAE >cds.KYUSt_chr5.43207 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272458622:272460038:1 gene:KYUSg_chr5.43207 transcript:KYUSt_chr5.43207 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLLGTSVGGKGGEATARSPPAWLRRAIDTEEAWAQLQFVVPMVLTNMFYYAVPLVSVMFSGHLGVIHLAGATLGNSWATVTGYPFVGMYNDDILAMPRGTNADLEERESKKKDFTFS >cds.KYUSt_chr4.6776 pep primary_assembly:MPB_Lper_Kyuss_1697:4:39912463:39915669:-1 gene:KYUSg_chr4.6776 transcript:KYUSt_chr4.6776 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRASPSPILLYAPPPPDSRSNTASLNVWWDLAAASPFNLRQQIDVVSSSKYRDDDRDEKNHKRWKALLDKAKHKIKLEKAKVEAAKVAAQATLTHAMNESPQAAVTKMKEDSKILTATLPPWMMIP >cds.KYUSt_chr1.40142 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245833057:245838998:1 gene:KYUSg_chr1.40142 transcript:KYUSt_chr1.40142 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRSASRLLGSEYSSPAPPPFDLPLPPPFELPLPPPPPVLQPCSSSSAHAGSGGRLSASSAEPPCGHNRSPWDLMAQLDPSDPQELELFKDTYFVSVTYRTSWLFPASIPAATYIKEEEDQEEEEEDMEVDMAEEDNYEPWKKVAKKKAAKKRVMKHKEEGKNVSRPRKKAKVKTDEDEEDQMADGGELWMCKKNDGKTWFCRRTVSQPDSYCLYHADPKRALQQASLAASKPSKRRRKRALDVGEGFYYYAGFGPSCSKRQCRPSSSMMESPLAEEKEQAPAEEKEEAPPEEPADLTSDEPRADDSDPQVAPAHVDEPMCDDTAGIAGCDEESSDDAFAWNGKPRVVGINEGIKRKSPFKKRWRKPVKARSLKSLISVDPLAMATWHLGNIGARVPDQIETWEKFIENVEELHLRTAEAIIAENYPMPDTGNQ >cds.KYUSt_chr3.28683 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179082383:179083513:-1 gene:KYUSg_chr3.28683 transcript:KYUSt_chr3.28683 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFGWAGDDVYQPRLAGQLSCGRFELDDAFLGQFQCDVGGEAQLSSSYGATGAGAAEDSNPLGFFGSGSGVDVFSSVVDGAGAHDGLLDAALAFSRVLSCGAEGDPGAVSNGVMFSGYSGNISSGESNNYSGGGHDAEVASPTSIISPTTTSLPQTTSAQALHANRKLLPANDCTNIATAPPLPRAGAKRKAHSPITTATSITFGQGAHVDTGAGKYVPDMEAMAQMKEMIYRAAAMRPVNLVTEPPAIGGSKPRRKNVRISSDPQTVAARLRRERVSDRLRVLQKLVPGGSKMDTASMLDEAASYLKFLKSQVQALETLGTANSTTGNAAMSSASTTRLHQRHYGNSPGFLGFATNNSNNSVFGNTNGSATRLL >cds.KYUSt_chr7.27989 pep primary_assembly:MPB_Lper_Kyuss_1697:7:174736012:174738435:-1 gene:KYUSg_chr7.27989 transcript:KYUSt_chr7.27989 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYTSFLKNPYYYYNTSTLPTPHLPPPFPPYAALYPAVAAAAAPYHQYPSFFQQQLAAHAQPTLHYSTAPPSPPLREALPLLSLTPTPTSRHSAVHHHDAADSDSDDDDCCYHLQPEMAAGSTTGPARAPLFADLNCVPSCCDDPMDVEAAWCTSTDDAAVALSIGLPAAETDLLSVLSGRAAEEEEEEEEDCKLGGGHEEVPLGFSSTAPIGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAAGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRRCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGAFGCNGAAGGDGFDDDDEGAVSEIEHDIVCGAANARSSPALR >cds.KYUSt_chr1.3305 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19961075:19961397:1 gene:KYUSg_chr1.3305 transcript:KYUSt_chr1.3305 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQATLSILDQNNGEYFTGTTGLRVFSGPYGWGWPYFVGLKELKDPSRGYLVGSKCVIRADLTIVGSSTDGKM >cds.KYUSt_chr7.26344 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164467737:164470662:-1 gene:KYUSg_chr7.26344 transcript:KYUSt_chr7.26344 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDSDGEAEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRATFDNVGRWLQELNTHSDTTLAKMLVGNKCDLENIREVPVEEAKALAESEGLFFMETSALDSTNVKTAFELVIKDIYGSVSRKILNSDSYKAELSLNRVNIDDDDSKDSQKQTNRFGCC >cds.KYUSt_chr3.27400 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171048126:171048914:1 gene:KYUSg_chr3.27400 transcript:KYUSt_chr3.27400 gene_biotype:protein_coding transcript_biotype:protein_coding MTGALHVWEYRSTGYSALSSPFPFHSHLHRCPSSRPPVASPITRRLTHPTKIPCLRWSSSPTALLLHAALPVACPDGPLPRGSTGRRLNDCTPTDEGALVDEAPPATCIPPPATHPAPVAGPVDLVHSPDLQRLYATEFTYATPVRLLIFHATESSP >cds.KYUSt_chr6.23055 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145684609:145688143:-1 gene:KYUSg_chr6.23055 transcript:KYUSt_chr6.23055 gene_biotype:protein_coding transcript_biotype:protein_coding MELAKSVLLALLALLAWLAAGSTADDRLTLMSFMSGMAANSSLALAQSSWGNSSVPTCQWRGVTCGLTGRRRGRVVALDLPGLGLDGTIPPELGNLTYLRWLHLPANHLHGILPPELGNLPELSHLNLSYNSFQGRIPGSLSNCTRLQNLLLYSNSLHGEIPPELCLLSDLKVLNLGQNTLIGNIPPGIGNLVNLTTLNLQFNNLSGGIPQEIGGLVNLVGLGLGYNLLRGSIPASLGNLSALQYISIPSAKLTGSIPQLPKLSFLLVLELGVNNLEGRIPASLGNLSSLVFLSLQQNRLTGHIPESLGSLQVLNNLDLSQNNLSGPIPHSLGNLGTLVTLRLDYNELEGSFPPSLLNLSTLEDLGLQSNRLSGSFPHEIGNKLPNIQSFVADINQFHGTIPSSMCNASMLQILQVVYNSLSGRIPPCLGTRQNRLSVVALSKNQLEATSDADWGFLSSLTNCSNLRSLDLGYNSLQGELPSSIGNLSSGLSFLIIANNNIVGKIPEGIGSLVNLKLLYMDYNHLEGTIPASLGKIKVLNRLSLPHNNLSGSIPPTLGNLTALNVLLLQGNALDGRIPSSLSSCPIEQLDLSYNSLTGTIPKELFLMSTLSSFMLLGHNLISGTLPSEMGNLINVGVFDFASNNISGEIPASIGECQSLQYLHISGNSLQGEIPLSMEQLKGLLVLDLSSNNLSGGIPQFLGNLKGLSALNLSFNNFEGEVPKHGVFLNLTAISINGNDGLCGGIPQLNLPPCTNHTTKKPSRKLLMIISICSAALFITLASALFIFYHKSRKMKSSAQLSLISENYMRVSYAELFKATNGFSSENLIGAGSFGSVYKGSMQSNDQQVVVAVKVFNLKQRGASQSFDAECETLRCVRHRNLVKILTVCSSIDFEGHDFKALVYEFLTNGNLEEWLHQKLMGDGECKAKDHIARLSIAIDVAFSLEYLHQHKPLPIIHCDLKPSNVLLDNDMVAHVADFGLARFVHQDLEKSSGWASMRGTIGYAAPEYGLGNQVSIQGDVYSYGILLLEMFTGKRPTDSDFGEVIGLREYVQMALPDKIGNVIDEWLIPEMGNDEQDKSNSNESRDLRIACISSILRIGISCSEKTPTDRPQIGDALKELLAIRDKFHKNHSGEGPTSSH >cds.KYUSt_contig_1181.1158 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:7550516:7551616:1 gene:KYUSg_contig_1181.1158 transcript:KYUSt_contig_1181.1158 gene_biotype:protein_coding transcript_biotype:protein_coding MALVARTRTVLLVAVLTVLGLATGGVAQLKNGFYTGKCGANDVETIVQGLVKARVARDAAIVAQLLRLQFHECAINGCDAGLLVDGPGTEKTAKPNLSVKGYDLIAAIKTELEKRCPGVVSCSDIEILATRDAVALAGGQRYAVRTGRRDRRRSTATDVKLPKEEDTAAQAASYFGSLGFTELEMVVLLGAHTVGVTHCSKIKKTRLYSYGGKANSTDPSLDPSDVAVYKKFVCPNTASSDNNILYLDTQSSVSRIDNSYYKRLQGRHGVLSVDQNLYANGASTKRHVDNLANNVIDFSSLFPKALIKLSELKVLTGMQGEVRKVCSRFN >cds.KYUSt_chr4.51265 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317961421:317962950:1 gene:KYUSg_chr4.51265 transcript:KYUSt_chr4.51265 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSTQRLAPIIHNANHQHALLPLLPAAATPVPSPLLRLPTRNSKSSPPRAISPATPTTVATDGSTGAPSDADKWEAFAARVSGEWDGFGAEFTAAGDPVELPANVVPDAFREWGVQVFDWQTQCPTLANPATPCALHYRLVRLLPTVGCEADAATVHTSHQRHVSSASAFAYDGAWGSYVAAWPKGPATVLEVEHCLVRPGPDTETVRARVVQTVALGKDEARLRGIKVFSEQCYGPYRNGDQLGGCALRESAFAAGERLAVSEVVGQWESAFACTASFSGALNTETVTGKFSSLEPDDEPRRTARDDTGILTLLPKQLWSSFKVNGDDGEVVCEVGWVLGDGNAVTSTCVLSKDGDVIATAYESRV >cds.KYUSt_chr6.6246 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37739155:37743421:-1 gene:KYUSg_chr6.6246 transcript:KYUSt_chr6.6246 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIEEFDNHCMFGNDMYLTADNRSFLNEFCEESGRNHMRFYAHRMTYSNIVSKRAKMVFGARFSKLHLQRYLDDAIRVEVHCTSSDEIFEVRMKKGMGTNQAIMTSGWDDVVKEYNFEEDDIVLFCFDPKRSGGLNLLLVHMPLEEEEDDEGEHNMSDDDTEDTEDTEEEEGHEISDDVAQDDHDIHEISDDVAQDDHDIHEISDDDSGEGEVADDASQGDPYFQGTCVFGSKFSMNNTRIKHLKVVVDYYPNAPIPQYVYCLTQSSLNKMEFSEDFTETYLLQFLHKSVLVVVNCTTSLQTMYAEMKLEEKKAVMKANWSDTVEAHELQVNDVCAFSFRDERKSRHRDPSAFLRLFFFFLFLFLFLFLFLFFFFFKFFEFFESMSYSSRDLRICFSKVKGNMEGEACRVCKQPITNCRRSDRRASSFKIIVPSTYEEYVTIPCYFKEQLNKYADKWLHGLVGGQRYEFYLEKKETCTTLYGPFWYYFERAHHLEVDDVVTFKLPSEDEKADDTDEEDSEEDYEEEITADVFEVTVTNPDGIIKPYDMRDAAPHVPESVRVPFYKTIYTDMLSVGEADLAAITFQMLKDVNNLGHDEEAIADLQYFVHRVGLSDLFFNRFMMPSHILSGYQYKPRGIAVYSSRVMDDEISGQYILHRGNQNEVEFRMNHEQMSYICRENYIKKGSLILIRVDKWEDSIHLFLEKIDD >cds.KYUSt_chr2.32002 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197371520:197373640:-1 gene:KYUSg_chr2.32002 transcript:KYUSt_chr2.32002 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEGRRWDGGGGAATARWRRWWGQADLCWPHSLDNALARRHAGLPFPNRSGLADMDMARAGTVFADVAMWVGSDRLGFLLRPLI >cds.KYUSt_contig_1658.100 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:545592:553010:-1 gene:KYUSg_contig_1658.100 transcript:KYUSt_contig_1658.100 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRSSALCYGHLHPDALLSKERQIRADKKACGEQLNEYHSDMTETLTKWKDKWLTCENPEILFRDNPAKQKKRDHGENVLSSKALNNAMPLKVVRNGDVTKFMSYIKITKSQHELVKRMKQSGDGIQTKQLSSVIGDIDNFHVKPYETLMEDEKNKLHAYWAILSRQELPAAVEARRERKSMAQNLMTSLCLELAVEEGYLAKVEKRVAALDKLLQTGEAQNGKINPESCGKAEQVADRTAYQNGGISDEQEEPVDRTPEDVAQPGHNSSAVPGDEDDNDTSDTDTSSDSHDSTDQDVNGTSDTGTSTDSHDSPNSSDQDAMGTNNTNITTQSQSSADEQDQEFEKTSGMNTSAKSGNSSARQDEDMEDTSCKDFNPGAEDDDIEDTSCKDTSLEDHNIPDMQEQETKPMNHTISPIEGLNSASMLVQDCTNTGYVGFPIHSNGGLDEQTDDLKNMCYPSASAGHENKIEMNHMGLAQRETARTTVMSSDCTLLFSKPFSKQNNAEEGPELNGPAKYQDVLWQSVSPVDSFYRPPGNNMYVQSRALQLEHHPSTEPATSIIDARQRQQAQIAVTTALPMCNPASLLPPFTNQLTSEQLLNSVNGIGMTPSYSLGHMNGTKQSVNLHSMTNRHVAQSGLVQEPMQLLDVRHNGLYSQQVENNMYPGPVLCTQNTIPMVEQQKFAGHAPLDRSGSWFPNEQQPLHNNNNNTWSGMESNDIVLGQDLPSQDGSLSSVLSQYKQVSSHVSNSEQFIGRRNLVPPHGLSGNLAVPSPDGYVYTQNNMASSLPVPRSQADVSLQWAQGGHRNGMASFKQFGGDPWSR >cds.KYUSt_chr7.7981 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48189166:48191076:-1 gene:KYUSg_chr7.7981 transcript:KYUSt_chr7.7981 gene_biotype:protein_coding transcript_biotype:protein_coding MATELAYAPPMKAGKEGFQGTQEVQHRIRITLSSKSVKNLEKVCADLVKGAKDKSLKVKGPVRMPTKVLNITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSPDVVKQITSITIEPGVEVEVTISDQ >cds.KYUSt_chr2.41595 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258767630:258768367:-1 gene:KYUSg_chr2.41595 transcript:KYUSt_chr2.41595 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPNSPARGISRFPHGSRNMAITCGEKANEPDRRFLASCTSKSSAITQGIIETRGTPNQFIARYGDSRLANGEYERRTDMVAINGDEDDCRTTFEVIDVIAGKHDARGNIVDTSGCEEDRSATMGHTGEAFVSDDDKEDVITNTKMNESSDAPMYPRYHVDDVIPMDILPESRHRDGSIYRATHMWKKNYNIADRTESK >cds.KYUSt_chr2.47018 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294042906:294048595:1 gene:KYUSg_chr2.47018 transcript:KYUSt_chr2.47018 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRQKSSLARAPSLPPSHATPSPPLTNTTQPELHKARRCACSSQQTKFFTVPEPRRAAPRATMALVTGAVAPAAAFYYSLLQSCMSCFRQGRSIHGSLITSASYPDLHLSTKLVIFYAKHGDAAAARRVFDGMPHRSVVSWTAMVSGYSRNGRPREALELFALMCASGVRPNQFTYGSVASACSASGCVRSGEQVHACVAKGRFAGDMFVQSALMDMHLRCGSVGDARRLFTEMERKDVVSWNALLRGFVERGHCSDALGLVSSMLREEMLPDHFTFGSALKACGAVSVLGNVELIHTCIIKLGYWGEKVVIGSLIDAYAKCRSMSSARVIYDSICEPDLVSSTALISGYSMDKNHTEDAMELFCNIHRRGLRIDGVLLSSLLGLCANVASLSLGTQIHAYMCKKQPMGDVALDNALVDMYAKAGEFSDARRAFDEMPHRNVVSWTSLITAYGKNGFGGDAMSLFDRMLEDGVKPNDVTFLSLLSACGHSGLMSRGMEYFTSMMSKYGIDPRAEHYSSAIDLLGRGGQLEEAWKLVQNLDIEPNSSMLGAMLGACKAYGNMPLGETAAKNLFSIAPESSVNYAVLANILIFGFVIPLVLQSSLVLCNPPELKVGFYQYTCPYAEVIIRDEMTRIISHVPSLAGPLLRMHFHDCFVNGCDASILLDSLPGLPSEKESIPNLSLRGFGTIDLVKAKLEQACPGVVSCADILALVARDVVVLTHGPYWDVPTGRRDGMSSVKDDALNNLPPPFFDATRNLYQFFIPKGLDAKDQVVLLGSHTLGTSHCSSFSDRLYNFSGTLMPDPSLDRQYVPRLKSKCAPSDTRTLVEMDPGSFRTFDASYYRVVAKGRALFTSDETLMLDPFARDYVLRQAAVAAQGAYPAEFFADFAASMVKMGNMQVLTGEHGQVRRRCAAVNQMYM >cds.KYUSt_chr4.27078 pep primary_assembly:MPB_Lper_Kyuss_1697:4:170116108:170116689:-1 gene:KYUSg_chr4.27078 transcript:KYUSt_chr4.27078 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAVLLLFFPVAPALSPSAQIILAACKTVGGGSTYFDVQFCEEALGSVAGSVHSLNYQDLGGLAVGLLANNATSTRDKISRLLRGGGGGGGDVKLKPENDAAVGWCLQSCWSLYGGIVEDGSASSTAIKAGKLDEATAILEKAADAAKKCEDGFGKGSVASPLTREDDDAYKLAKLGVALLRFASEARNNH >cds.KYUSt_chr4.37610 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231830742:231835100:1 gene:KYUSg_chr4.37610 transcript:KYUSt_chr4.37610 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTAANDEPETLWHVGWGSSPWSSNPNTVNFTRHSRRKETPSSAVIHAPNCKTPRTTAVVGATNTRESATTGHNSHQIQRPARRPGYLGRSYRTWCTVCKNTNQSHLHTEVDLAWHEGRHGSPIPGFAGPSDAAFNLCPGDAFDALRPRGPPKWHGRPPPASNHGDGASSLTPTGALGGEATSFKVPTTSATSVPSATRNVVHEDLRNLTCQGRYFRIGCFNGRTFLCVDSMMGRSLNMRNGLDLGRCQLSDQASVLASSFDDLFFPF >cds.KYUSt_chr4.24590 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154773874:154779244:1 gene:KYUSg_chr4.24590 transcript:KYUSt_chr4.24590 gene_biotype:protein_coding transcript_biotype:protein_coding MWQNFQQLFKGDVLKNQLWKIARSNTVALFDTHMEEMRILNVDAHAWLDNLDPKTWVKAFRVTCQSVTSSSTTIVRYILEARELPLLSMFERVKSQIMTGNYTKLKDAENWCGPICPKIRKKVEKNIELSNNVYADPAGDGLFAVGELVSSHPVGRVHRNIEVRPTPNSSFISAAQNLLDQRAPTQSTSTTIRQGELAQMLLQMQQEKAKANEDRKIAILEAKYAAEVKKAEEAAEKKLEQEKRKAEQVHAKAREAAEKREKRRQDAELTKKAREETRKFTAEQHLLMPLEFVAAYAHALNAIWYAAGLGEDLPLPFSGVSWVDQCDIAVEMKPTSERLLSPEWCGDASCTTRRPSGRARAVEWHDQRVRRRRPTITMGPRPPSERTIMLSTRNRGGEYAETDGPPVELALDMVVKRRRSFWQLATCGGARAMKVVELGSSEGLEGRGNLDPTIRWLGPGQEYVINHIVVANITMGSTAPSCDAYLFFHGETLLPNGLRAFLCTAALAYCFIGLSAITARFFKSMESITNHSREIVTVDPQTNTPIVKQEKVWNYTIADIALLAFGTSFPQISLATIDAIRNLGLGPGTLVGSAAFDLFPIHAVCVIMPRGGSMKKISDLGVWLVELFWSFWAYVWLYIILEVWTPNVITLWEALITVLQYGLLLVHAYAQDKRWPYVSIPLVRGERPEDWVPAEGASVDYDKNCDETSDILPSQNNDIADIFSIHSYNDAGVFGKIVVLYAELQIDCQFIFRLAVHR >cds.KYUSt_chr1.37392 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228384516:228388141:-1 gene:KYUSg_chr1.37392 transcript:KYUSt_chr1.37392 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIMVSASTGVMNSLLGKLATLMGDEFAKLKNLRKEVKYISDELSSMKDALESLAYVDELDKQTTGWRDAVREMSYDIEDIIDDFMCKIGEKNKRSGFVHDTIQRLKTSRARHQIAGQIEGIKKLVRETSERRERYKLDVPRPGNVAVDQRVVALYENAAKLVGIEGPTNELVGWLNGEEKQLKVVSIVGFGENNVGSRLIVTTRIKTLAEACCFGHHEHILEMEPLSEEDSRKLFFGRIFGSEEACSGQLRDVSVEILKKCGGLPLAIISISSLLLASESSNQKERWKHAQNSLVSVSGTNLTLESMRQILNLSYKNLPHHLKTCFLYLGMFPEDSEIYRHELRLRWFDTLHTSIDSIKGLGELTNLRVLYLRTGFSEAVDMDVLNSSMGKLCNLYSLNIFSPDSWIPEALTLLPPPPNLMSLSMMRISRVPNWIGVLHNLQSLHINVDKLEKDDVGILAELPALIDLELTFNRALEERIVIYGTSFASLKRFVVYWIIMPHLTFRAGAMPKLQRLSLHLNARGWKQDESATPTGDARFAWVSLSPGTMLDGGQELALVTRSFLD >cds.KYUSt_chr7.27825 pep primary_assembly:MPB_Lper_Kyuss_1697:7:173682298:173684130:-1 gene:KYUSg_chr7.27825 transcript:KYUSt_chr7.27825 gene_biotype:protein_coding transcript_biotype:protein_coding MFASELGVVSDFEVDGIQNLTENDVSDEEIDAEDLARRMWKDKVRLKRIQERQQKLAQQRAEAELEKSKPKKMSDLALRKRMARAQDGILKYMLKLMEVCNARGFVYGIIPEEGKPVSGASDNIRAWWKEQVKFDKNGPAAIAKYEAENPALVNDKSIGAMNQHSLMDLQDSTLGSLLSALMQYCSPQQRKYPLDKGIPPPWWPTGNEEWWADLGLPKGKTPPYNKPHNLKKVWKVGVLTGVIKHMAPNFDKIRYHVRKSKCLQDKMTAKETLTWLGVLQKEENYSRSVDSGLSEVTHHCDLGDKDDNLYSSCGEYDVVCTEEPPQSTTSKDDVGAHQPAVQIREENVSSRGNRKRHDKHSTQTPPSNKQTNESRKRKRRPGQSPVYEAEVDGTQRINNPSEVLINVIPDMNTNQMEVQSVANQLTSFNHVSTSGALQHQGDAQRNFISPTDVVNNYNQVANETTSSIYMGDQPLACESSGYTNSWSGNTFPPNVYLGSMGFSSSSLDYQTSAAKQSLPVTVDSHVPGMGTEALVENSSFSHHMSGSGNSTSVAGDTNQMMSDDFYINPDDKYIGSSFDGLPLDFIGINSPIPDLDELLDDDDLMQYLGT >cds.KYUSt_chr7.15962 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99066387:99066893:-1 gene:KYUSg_chr7.15962 transcript:KYUSt_chr7.15962 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLALIVAAPVGITCAILYLAGVPWRINIRIATFLLVFLFVAGLCERARARARLRRLQHEQDPESDQSMAALPREPAVGLGRTEIAGLPVYKYEKLERGGGEGDQCAVCLAEIRPKEVVKQLPACTHLFYEGCIDVWLWSHRTCPVCRCPVEVSAVPAVEVAARAL >cds.KYUSt_chr7.32543 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202687210:202689502:-1 gene:KYUSg_chr7.32543 transcript:KYUSt_chr7.32543 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTSGANGGGGGGQSGKLPRKRFYRARAHSNPLSDSHFPIPISPDDFDLSQHYPRYYPADKGERGDDEEVEAPLIRFADVGCGFGGLLVGLSPLFPDKLMIGMELRDKVTEYVKERVLALRASNPGQYDNISVVRTNSMKYIPNYFRKAQLSKMFFLFPDPHFKEKNHRRRVISMQLLDEYAFVMGVGGIIYTITDVEELGVWMRSCLEKHPLFEAVPEEEIEVDPVVKLLSTATEEGQKVARNGGQTFQAIFRRISLQEE >cds.KYUSt_chr2.47835 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299096076:299098709:1 gene:KYUSg_chr2.47835 transcript:KYUSt_chr2.47835 gene_biotype:protein_coding transcript_biotype:protein_coding MPERGIGLWGRVRGRPPPAETSRSRAVSNEMMEKRGYNKVLMFVTRLVVVELIKRFPCSDTWDGLLLSDLLLLCGRRQRWRGPSTTATRAMPPPSDPVGLPDMLMPASSGRRMIEELARFLGNNHGLDGLLLICDKKHPHAIRSMSC >cds.KYUSt_chr5.8062 pep primary_assembly:MPB_Lper_Kyuss_1697:5:50939664:50944220:-1 gene:KYUSg_chr5.8062 transcript:KYUSt_chr5.8062 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEQLEEHYNRHFFRTEEDAEAAGVGGDEDHEMEDDAGGSGDEPSGNEASDAAGGSTYAPSGDEATGAAGGGGETSGDDPSGAAGSSGTGTSGSKRPRKARRQNTVGTGRDTVKEVDPASGLPKEPKDVAKGYGNQLACILREVVNLNETDLRAESKAPLRAQLIARLHSRYKFLGDYASTDQTNNIVNSQALLKFTKHLSSYKYMVRKLIAEGKGFEEVHSAFPHVSQADFDAFVANEELQATKNRKLWGKEMRELNIGNHNLGSRGYEGKEPYWAKEDEAYVNAGIENPWLKYKDPLERRFIRSRYHKKKLTGELVTDPKVVTDIIWFTNDKKVLALEKKLVDGVESLIAKLGNLLTKLQTANEESKAVTKASAMKAIKRRMEKDIDEVGKIALLAKAKLDELEKDNLSNSQKTGCGKGSAVDRSREQTTGAVRKKLKGRMDEFQVLRESIRQEYREVVERRVFTVTGNRPDEETIDDLIETGRSEQIFKDAVQQQGRGQILDTVAEIQERHDAVRDLERKLLELQQIYLDMAVLVDAQGDVFNHVQTHVSNATNYIQQGAGALQSAKKHQRNSRKWMCFAIFVLMVVVIVVILIIHPWKK >cds.KYUSt_chr4.35342 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216992057:216993835:-1 gene:KYUSg_chr4.35342 transcript:KYUSt_chr4.35342 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRRNRNKPSRNPAVAPPLAAPPPATVPGAVEPELLDDQNDPEYELSSDLKGDEQTLALNLELRGAFHDCRVFAKELDHAKRLISTEDLRESFSRKWIKNAGVALASLIHDIEETELKIRMKEGKPMPRPSGIRGFIALVNRIV >cds.KYUSt_chr3.3182 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18267481:18270523:1 gene:KYUSg_chr3.3182 transcript:KYUSt_chr3.3182 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADKWLLPLITVAFISLTLFLSALSGLSASTALFARLPPPSYVRRGAAAPPAFAYLLAGGRGDGRRLLRLLLAVYHPRNQYLLHLSADAPDPERAELAAAVARALPAASAFGNVDVVGRPAAGTPMGSSGLAATLRAAAALLRLDAEWDWFVTLHAADYPLVTQDDLIHVFSSVPRHLNFIDHTSDIGWKEGQRVQPVIVDAGIYLAGRNQFFQATQKRDTPDGFKFFTGSPWVILNRRFIEYCIFGWENLPRTLLMYFTNVMLPLEGYFHSVACNSDFRNSTVNNDLRYMVWDDPPQMEPRFLDSTHYDEIVNSGVPFARKFQEKEHLLDKIDEKILRRWRHRPVPGAWCTGRNRWFSDPCSQWSNVNIVRPGPQAEKFRRYMDRILEESKSSNSSCAQ >cds.KYUSt_chr3.45160 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284513614:284518853:1 gene:KYUSg_chr3.45160 transcript:KYUSt_chr3.45160 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANFALAGLSLAPLVINVNPNLNVILTACLTVYVGCYRSVKSTPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFVLGIAALCATLLPSVKRFLPEGWNNNEIVWRAPYFHSLSLEFTKSQVVASVPGFFFCVWYAMKKHWLANNVLGIAFCIQGIEMLSLGSFKTGGILLAGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTAGMTVTIVVMNWFQAAQPALLYIVPGVTGFVAIHSLWNGEVKPSKVSPELFSNAPMSRQG >cds.KYUSt_chr2.7422 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46583131:46583601:1 gene:KYUSg_chr2.7422 transcript:KYUSt_chr2.7422 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDDALLPRHPLRRRQQHGQCDVGGGDEPDGAEQQHLPYLGMLVVFDDPVTERNVLPVGKEVPAARAQGFYFYDKKESFNAWFAFSLVFNSTAHKGTINLMGADLMGEKTRDISVVGGTGDFFMARGIATLRTDALEGLYYFRLQMDIKLYECYV >cds.KYUSt_chr3.45572 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287116871:287120937:1 gene:KYUSg_chr3.45572 transcript:KYUSt_chr3.45572 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQLLTEEQNAEAVRQQQQLLILTSMLRVRQPFFVVPRSCSSKPDKRWNINRHHQAGAMLLDAQYFNDDATHSPKEFRRRFRMNKELFLKIVYSVREYDNCFIANVASGRRFPSDTGAFADWVASSAAAAAAGGAARGDDLSLGFNASAAAAAAAASGASLGAGLWGPATSRHQAALNYGMAAGDVGMVVVAPAASFHHTHHHHHHHDAASNPDPIFPLLSAGPCVLDPDAAKAAAASSGIQFWQSSSSQHHQQQQQQSPPSGGNNPNPSLGAFYLKKPLPMLDTGGAGSSGSGTATCQDCGNQAKKDCSHSRCRTCCKSRGFDCSTHVKSTWVPAARRRERQHLGGSESTPGATASTAAAAASKKPRLLSSQQAATSHTSTSNATTPRSYDTTSSHQDPSFRGSLPRQVRAPAVFRCVRVTSVDDGEDEYAYQATVTINGHVFKGFLYDQGVDDGRASNDIDSTAGVPSMSDLHLGGGGNAGRGAGVPSSSMAPSDMYGGGGAAHQHILGGSGYGNTMN >cds.KYUSt_chr5.20001 pep primary_assembly:MPB_Lper_Kyuss_1697:5:129870203:129876763:1 gene:KYUSg_chr5.20001 transcript:KYUSt_chr5.20001 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPCSELAGGDMRKDNVKKDKKKKKEGKRKEAETKGQEQTSDAAVEDSAAERCEVEGKQSSKSKKSKHKRSDAGEDSAGDRVVPEEDKKRKKDHPVVREESSRKRTLDRTVDNSVVERDGVEGKKSSKSKKSKHKDNDAADASAADQIVVKEDKKRKKKHPVVLEESSQKETLDTTAENSVEQRDGVEGKQCSNSKKSKHKDNNAVDASAADQVVANEDKKRRKKHPVVLEKSSQTKSTSKDENGEIKERGKEGDKSSPELSENASAGRGEAEVDGKNDRKKKKSKEGTRDGIKEKVKAAQSKNKGKRVSFADTTEVFRTEAGDGEGNEGGKKKKKKAAQLKKKGRRVSFADSEEVFGIGGGDNGEAGSSDESKFVHGQRFSPEEDATLMEAIRDFIEMKQLGEKGFEMIQSSRKHKELRGCWDVIGHWTQDEHQKLFDMVNLDLRIKAHQIKDPDNRKKDDAVCVEDVDWDSLLDHRSGEVCRQRWNQIVRGIGGHREKPFIEQVEVLSRRYCPEMIEYRQPRE >cds.KYUSt_chr4.50667 pep primary_assembly:MPB_Lper_Kyuss_1697:4:313815501:313816458:-1 gene:KYUSg_chr4.50667 transcript:KYUSt_chr4.50667 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFILLLVYKKHYPPILTDNIWRLKNIGKDGPIDKRLESEGVKNVQDFLKLNTMDPDKLKNVQVKQLAAKAYQQWDQLEEVINETALAAYEDSVPPFPQDKPSSSCRPVSNESMISTGSKNDEYLDNMESRTATSNAFMATNSTLDSATAILPAGDGMYWIPRIAGDDDHFTWSNSTSLGCWDQVD >cds.KYUSt_chr1.4894 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30125277:30126875:1 gene:KYUSg_chr1.4894 transcript:KYUSt_chr1.4894 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRDEASYRVDGFGTGPGERDLAMELTGAAAVRRTLASASLAAVPAQRRRRAPSRVACVGRGCGVFADEAHLRYFEGEPRKAVEAAARELSKLRSMGLVAGDAAKEKILSVSPLPPFSPIDFVCGSVPHLGFRLGFGPLHGSNQLEREATELLLQELSQMKDAEDELKKKQKEEKAVMIALKKQQKEAKKAAMKCGDGSSESSESDCEEDQSMKMSCVATLSMPGVEQGSMSVPQIAAVPAIDFDKAAMKAIKKREKEQKKAAKKALKMKKEEEKRMATLNSCMDEDSSSCSSESSDSECEGGVLKMSRCATITAPPASSAFPIIVPQIPESVAPDAQIPFGAANSTQCTTSISTTLVKLPPNRIEVCVGGKCKKSGSLAVLQGFEDKLGTSGTVVRCKCLGKCGEGPNVRLQSDGSVGKDGVICTGVDLVDVGDITANLVAWGGLSI >cds.KYUSt_contig_1861.33 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:195582:196481:1 gene:KYUSg_contig_1861.33 transcript:KYUSt_contig_1861.33 gene_biotype:protein_coding transcript_biotype:protein_coding MARCECVAPEPHTRNLVELEPNKYIPRSVPPRIVVNISPPTKPHRTTTMPPRPPLAPAIDGARAEEEEVLLRFPLDDPALLFRAMLVCKAWRSLLTSPKFGTRYRNLHRAPLLLGFFENEENLRVWFEPSSPTSPFPPMHPDHRDLVVLDCRHGLVLLRYPVLDSDARAEELIVWDPVGRRQWEFPPPEFAANITYDNAVVLCAADRCDHLGCHGVPFLVAFVGTNPSVAHASVFSSETREWSPVATCYPPPPADPDFAVDLAYEPKGLVGDVLYFKCDSVILRFDYIRAELSIIRGPS >cds.KYUSt_chr5.36179 pep primary_assembly:MPB_Lper_Kyuss_1697:5:228737950:228738303:1 gene:KYUSg_chr5.36179 transcript:KYUSt_chr5.36179 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDEQEQEQDFDVREELRYAEQGDASVDDYCWRIKALAAALAEQGEPVEDRVLTQLMLRGLNPRFRVTAASLLVQPAVPSFMLAFARVHMEEYKQDHPPVLASDNDDADPAAVVD >cds.KYUSt_chr3.22674 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140132771:140133337:-1 gene:KYUSg_chr3.22674 transcript:KYUSt_chr3.22674 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPSAGAKEPPSPPPPPPTEAGRTRLRDEQLRQLRELFLRFDLDGDGSLTMLEIAALLRSLGLRPAAGDEIHTLIASMDIDGNGTVEFEELTSSLSQLLLGPCRPSVAVDHEQLAEAFRAFDRDGNGYISAAELARSMARMGHPICYAELTDMMREADTDGDGSISFEEFTAIMAKSAVEFLGLAAL >cds.KYUSt_chr7.19274 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119483180:119486412:1 gene:KYUSg_chr7.19274 transcript:KYUSt_chr7.19274 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNTLAAAAAVVFVIALQPAFDLAGSVGALVPLGNCTRECGGVAIPYPFGIDIEDGCQIAENQTRQGFKLACRDKGIRGKRLYYINQEVLDISLQHGQVRWLNNISSYCYNATTGLMETKSPPSNMDLQGAIFRLSDTANKFTVIGCKTLAYIGDKDDISSYTAVCGATCKGGNLSLVMDGACEGIGCCRTAIPRGLENYRMWFDQNFSTKGMGGSCSYAALVEASNFTFSASYLTSSAFMDAYGGQAPVVVDWAIGTLAGETCESARTKQSSYACVSDNSVCVDSPIGQGYICNCSKGYQGNPYLQYGCRDGNYTAKVSDFGASRTVPIHQTHVTTNIQGTFGYLDPEYYHTRQLNEKSDVYSFGVVLIELLTRKEPVFLSNAGGEYYQNLSIYFISEIKVRPVREVVATQVLEEANEDEINTIASLAEMCLRLQGEERPTMKQVESTLQYLRKKRLTSCKIIEENDEETQPFVSSYRPLDIHMADKGHSESSSTFFSVE >cds.KYUSt_chr5.4218 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26983280:26983681:-1 gene:KYUSg_chr5.4218 transcript:KYUSt_chr5.4218 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHAGVYLPPGARPPRRGELGRLAAEILSVSPPGARCASPFQCNQGRAMLAAELRLAPTPSDDGDHRCILCALVRPHTKLRPWPLSSPNSSPATIGEQRLPQRRVYGCYKHLPVLATHVSRGLLLAVQALRP >cds.KYUSt_chr6.10258 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63166687:63168368:1 gene:KYUSg_chr6.10258 transcript:KYUSt_chr6.10258 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPMASAGEDTEARRPLLTRKRDDDVDLGDGDASFAGAVFNLSTTIVGAGIMALPATMNVLGLVPGLTLVVLAAVLTDSSIELLMRFSNAVGAASYGEAMGDAFGAFGRGFLQLCVVVNNVGVMVVYMIIIGDVLSGTSPSSSGVHHHGVFEGWFGHNRWNGRFAILAITTLGVFTPLTCFKRVDSLKYTSAVSVALAVVFVVITAGIAVIKLTRGQIPMPKLFPDVHDWESIFRIFTAAPVLVTAYICHYNVHPIHNELKDPAQIKPIVRASLVLCSVVYVTTSFFGFMLFGEDTLDDVLANFDSDLGIPYGSVFNDAVRVSYALHLMLVFPIVFHALRLNMDGLLFPSARPLACDNRRFAALTAALLAVIFLAANFIPNIWLAFQFTGATAAVSIAYIFPAAMVLRDRHGIAKKRDKLLAVFMIVIAAVSNGVAVYSDACSL >cds.KYUSt_chr4.39188 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241882467:241884853:1 gene:KYUSg_chr4.39188 transcript:KYUSt_chr4.39188 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEESMVEQVLMKPHARSDGSLPTCADSAAAADPASGPAAPKKWQRLGRNFAGAIAAFKNTLNLDNNGGLPRDPSPRAVGDKPPLLLRGLAQLYSRGAAAQQLPEKLVSDLRRHFDALPNSYGQAGFDMKDVLLHARLVEQAAGEDQLAVNIEEVHGRESGAEGTGFQLTFACNAPLSWQSMSGSLDSPSITCKKIQIFEKRGLTLGVVMIIVQSGNEELFKSRVEAALKLATKKQRKNSGGGGVKLPFGLCGCQEEGSRNFDEESMFDPEDGQVLDNEPASRPQLPTPLPQSSVFVSVDEWQTVRYGGEEVGRWIVSSEEIEFVDWVGQNSFKGVHRGRKVWVNKLRGCDMGSAYDVEIRQDLLQLMSCGQKNILQFHGICFNESHGLCIVTRMMEGGSVHDIIMQRNKRLSLRDTIKIALDVADGLAFMNSYGIAYRDLNTRRILLDRQGNACLGDMGIVTPCNNAGEVTEYETSGYRWLAPEIIAGDPESVSETCMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPHLLRSLMTRCWDNSPLKRPQFSEIISILQKQSIR >cds.KYUSt_chr2.54997 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342911806:342912935:1 gene:KYUSg_chr2.54997 transcript:KYUSt_chr2.54997 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPCLPMACPMRLPCNGPGPSNANTGLSVHGVDGLIGGLVIFFLSIVGKEFLISVLLTILGYIPGIIYAVYVLVSRSDEQPQGDYYAAVA >cds.KYUSt_chr7.64 pep primary_assembly:MPB_Lper_Kyuss_1697:7:366730:371299:-1 gene:KYUSg_chr7.64 transcript:KYUSt_chr7.64 gene_biotype:protein_coding transcript_biotype:protein_coding MWAEEVASFAEGGARLWGEGGEVEEEERRRWKRRRRRWRSRRGGEEKVKKWKVGGDKVEKRPALSMAKRIFELVCAAVLVAGVFSSAAEDEMSVKVLYVFGDSLVDVGNNDDLPKEAPKANYPYGMDLPGKPTGRFTNGYNLADIVAQRLGFEMSPQAYRSMWWFERDDVRRCNIGANYASGGSGILDTTGQADKVLTMRTQIQYFKRDTDNMKCYYPVHKKDHLSESLFLLSAGGNDLSAFNGSMGDGPAYVEKMATTYVEHIQALYDLGARMVGILDVPPVGCTPGIRANMSNGECNEGANSLAKGFNNLLRVYLAKAATTNMKGLKYSIASNYNILSDMIANPFIAGFKEVQKACCGGGRFRAERMCSHADTTACTDNHDDYLFWDMLHGTQATYERGVVAFFYGDAPKYAEPINFSTLVKKKTSLVAPKIQMVADE >cds.KYUSt_contig_2593.140 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000403.1:643014:643472:-1 gene:KYUSg_contig_2593.140 transcript:KYUSt_contig_2593.140 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNTLIDTVMGELHARGKTLHDVAEVLRAAPIDPHVVAAIKAAYGLGCDLRVLCNAKRFFIETILDHHGLRGYFSEINTNPSRIDVDGRLRIAPHHDYHTGPHGCGLGTCPPNMCKGQVLDRTRVGISSKTLSAVATKTLSAAAGLGIGVC >cds.KYUSt_chr5.36494 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230647470:230650048:-1 gene:KYUSg_chr5.36494 transcript:KYUSt_chr5.36494 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGRREWLVQPTALFIFSLGTTIEQRLLLMQEDDPHRYQDRSSTPHLRQLPDSTTAGSGSSCRFILSIRLSNGPTIGRAPQALEEASDTGHRFHGCTLWFSAAMVSDIGDGRRSQRQEVGETTTAAGAGGGSQIRRKRGQIIVHGRHTRIAAESSALVAPGARSISRENLTSMSRVGWTMASCPPPALKHYLPLAVGTCTGLGCLASDVRQCAKIFSNKKKSTMMSLGRTRVSAPDLVDPRAGEPRSRAMKSPSGFTVTALNLSLRCLAVDMRRCTRRCCSFGSAMARVALMAWPAVQRKAGKQANLELNNLVAGHGKSAGLTPSLVLHLYCSSRIEDCAIQ >cds.KYUSt_chr7.30880 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192309151:192309756:1 gene:KYUSg_chr7.30880 transcript:KYUSt_chr7.30880 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASLGGSLGFLIPRRRSISFSAPRGARRRPPLAVVRAASYEAGVGVMATKVGMMTYFDPDNWKPVPVTVVGFRDGENVVTQVKTAATDGYDSVQVGYHGVREDKLTRPELGHLGKAARRLCGTCRSSGWWPSMPSTPTRSSSSTSSSRRATLSNRRVLRALEPAAAFRRIRGERPWLRGHRHAPLPADARVLHCCAA >cds.KYUSt_chr4.25465 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159998132:160005016:-1 gene:KYUSg_chr4.25465 transcript:KYUSt_chr4.25465 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRQVATKSETRTKPPAIFPTAKLASHFNRAAPRLAAAAAAGSSRRLSTLAQPCPIDAPPHHPFSLLTDHPHPAVAQFPTTGFAHLADAPLLARAVHGVVLRRALPLSAFHSNTLLAFYFRHRRSPDAALHLFDAMPDRTPSSWYTAVSGCVRCGRDSTAFGLLRGMRERGVPLSGFALASLVTACERSPASSPLACGAAIHALTHKAGLMVNVYIGTALLHLYGSRKRALDARRLFWEMPERNVVSWTALMVALSSNGYLEEALGAYRRMRKEGVTCNANSFATVVSLCGSLKNEVAGLQVFSQVLVSGLHRHVSVANSLITMLGNIGRLQDAEKLFYRMEERDTISWNAMVSMYSHQGLCSKCFLLFSDMRHGGLLRHDATTLCSLICVCASSDYASTGSGVHSLCLRSGLHSHIPVTNALVNMYSAAGKLVEAELLFWSMGRRDLISWNTMISSYVQNGNNMDALKTVGQLLQTNEAPDRMTFSSALGACSGPQALMDGRMVHAMILQLSLQSHLLVGNSLLTMYGKCNSIQDAERVFQSMPTHDVVSCNVLIGSYAALADCTKGMQVFTWMRSGEIKPNYITIVNIQGSFTSSNELHNYGLPLHAYTIHAGFVADDFVSNSLITMYASCGDLDSSTNVFHTIMNKSVVSWNAMIAANVQHGHGEEALKLLLDMRHAGNSLDNVCLAECLSSSASLASLEEGMQLHGLGVKCGLDIDSHVVNAAMDMYGKCGKMDEMLKMLPDPAIRPQQCWNTLISGYARYGYFKEAEDTFKHMVSMGRKPDYVTFVTLLSACSHAGLVDKSIDYYNSMSSVFGVSPGIKHCVCIVDVLGRLGRFTEAEKFIEDMPVLPNDLIWRSLLSSSRTHKNLDIGRKAAKKLLELDPFDDSAYVLLSNLYATSARWSDVDRLRSHMKNINLNKRPACSWLKQKKEVSTFGIGDRSHKHTEEIYAKLDEISLKLRDVGYVADTSSALHDTDEEQKEQNLWNHSEKLALAYGLITVPEACTVRIFKNLRVCADCHLVFKLVSMVFDREIVLRDPYRYATCLVFGFRSKEAGEMGFMVVDDAGTVLPSATRAGTSKG >cds.KYUSt_chr5.4705 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29939822:29943360:-1 gene:KYUSg_chr5.4705 transcript:KYUSt_chr5.4705 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRILFDQLAGDAVKELLRAVTGTFLCRSTAERLRRTVEPLLPLVQQPQHGALRSSAELGDLAARLREALDLARRAAASPRWNVYRAAQLARRMEAADQGIARWLARHATVSVLDGVRRLRDEADARIGRLERRVEEVSAAMQAPPPGIPPAMSLPVALPPSKGVPMAMEVAPPAKGMEVATPAKGGMCTPMDLEIPEEEFDKKGGDLLGSGIKVGKDKVKEMVMGGGPGWEVVGICGMGGSGKTTLAMEIYKDQKVQGYFNNRIFFETVSQSANLETIKMKLWEQISSNIVLGAYNQIPEWQLKLGPRDKGPVLVILDDVWSLSQLEELVFKFPGCKTLVISRLKFPTLVKRTYEMKLLGEEEALSVFCTAAFDQECIPQTADKKLVKQVAAECRGLPLALKVIGASLRDQPPMIWLSAKNRLSRGESISDSHETKLLERMAASVECLSGKVRECFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILMELSNKNLLTLVNDAQNKAGDLYSNYHDYSVTQHDVLRDLAIYMSGRDSLNKRGRLVMPRREESLPRDWQRNKDIPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSVYYLPPFIATMQNLKALVLINYGTTSAALDNLSAFTMLSDLRSLWLEKITLPPLPKSTIPLKSLRKISLVLCELNNSLRGSTMDLSMTFPCLSNLTIDHCVDLKQLPPSICEISSLDSISISNCHDLTELPYELGKLHCLSILRVYACPALWKLPPSVCSLKRLKYLDISQCINLTDLPEELGHLTNLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETAMLWREAEQVIPDLRVQVAEECYNLDWLVD >cds.KYUSt_chr2.8288 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52120510:52129405:1 gene:KYUSg_chr2.8288 transcript:KYUSt_chr2.8288 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLEFRQLVSRWRRNPWCCAALHSFTNNLHVAFISYWAMAGYLNDDLEDLEDDYVDFDGFGVSGSSGGDPSNPNKHRNDTSAAEHRNGKDMQGIPWERLKYTRDQYREMRLKQYKNYENLSRSHEGLDMECKQVERNDKFYDFCFNTRLVKSTVVHFQLRNLVWATSKHDVYTTQNNSVVHWSSLRRRRKEVLNVAGQVVANQEGRGARTLPRVQISTMALKNNLMVAGGFHGELIFKYVGKPGVAFCTNVADDRNSITNVVDVYESPNGATRVTAANNDSAVKTFDAERCSLLGRFPFPWSVNNTSVSPDGKLLAVLGDSSDCVIADAQSGQEIATLKGHLDYSFSSAWHPGCHVLATGNQDTTCRLWDMRNLSASFAVLKGRIGAVRGLRFSSDGQFLAMAEAADFVHVYDTRACYATEQEIDLFGEIAGVAFSPDTDALFIGVADRTYGSLLEFSRRRRCAYLDSYR >cds.KYUSt_chr3.4197 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23912394:23914344:-1 gene:KYUSg_chr3.4197 transcript:KYUSt_chr3.4197 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKLVKIQTHVLRVNIHCDGCRHKVKKMLQKIEGVYSVAIDVDNHKVTVTGNVDSETLIRKLTRGGKHAELWSHQKGGNNNQGHHKGNNNNNQQKQQQQQHQKQAANPSKDGNNKNSNISHKDQGGKHGGVGNLIQGLKAFKSQHNKHQLPELSSEDDDDMYDDDEDDDEFDDDYEDELRFIGDKVSQLGILRQQAAAAAANVKNKNGNGANVNNNQSNGKKGGSGATVGNHHQNQKMNMAAGANGKLGNGAQKSTGGINGLMGLNHGLGAGGAASGGYTGGYSHPSYAATGYGGLQQQHLQQQQNSNLMASMQSGFHNNPAAAAAMMRGLNGNMMMHQPQPQPQMMYHRSPQISPYTAYYNPYSYYYQQPAGSSSAYHPTGAGTGDVETMFSDENTKGCVVM >cds.KYUSt_chr3.3075 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17593551:17595957:-1 gene:KYUSg_chr3.3075 transcript:KYUSt_chr3.3075 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPTRRTRGRCTVCKPGSSAACNACCVVCPAQAPGDGELSATAGAAFLPHHGSSREMALALSVIDEDGGSNQLNSEMDNKIIKVMTYNVWFREDLELSRRMEALGDLIHHHNPDLICFQMSKLDVNSFDSIPFGNSVMERELCKADTNVGGVTKLVLATSHLESPCGRDQMYSKERAAQATESVRILDSFRNVIFCGDMNWVAGDGQFPLPSGWVDAWDELKPGEDGWTYDTEANGMISGDPRMQGRVDRFVCKLPDFKIQAIEMIGKEAIPGLSYSIEKKLRKGIRKLELPVLPSDHFGLVLSITHAAPSCRSEFVAQGKVSNPDSMKKTTVLHYLTTLFCGRAL >cds.KYUSt_chr7.17224 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106755459:106755884:-1 gene:KYUSg_chr7.17224 transcript:KYUSt_chr7.17224 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLSSLRAPFSLCPTAASAPAATRVALPLSSTAARGMRLRAQATYKVKLITPEGEVDLEVPDDVYILDHAEEEGIDLPYSCRAGSCSSCAGKVVSGTVDQSDQSFLDDDQIEAGWVLTCAAYPQSDLVIETHKEEELTA >cds.KYUSt_chr7.12819 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79111602:79121167:1 gene:KYUSg_chr7.12819 transcript:KYUSt_chr7.12819 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTGKTGVCLRPRRAPESGKLGTACRLLLLPQLHAPPSERLPGLNDDIDQNTNNLSNFINVDNIKHGTINHDYNGITLGYIDIGIKCYTTFLVDCTPLARIMPSSPILIAIALIAIKRTEGERFHGRRFGALRARARGQGPFSAMEEDDQAMVCLLEQTRCLLNRVYLRCLLRRYRVIFLLSALALSKNCEGCSNWSMHPVSISFQPFTATPANNCPLRSTNVRYSHDFLKLLTIRTNSGHFAMSSGQNSTSGPETTGKQDCTVGENDGVIIVDHGSRRQESNLMLNDFVTMFRSRTGYRIVEPAHMELAEPTIKEAFGKCVEQGASRIIVSPYFLSPGRHWKQDIPSLAAEASKEHSNVAYIVTAPLGLHELMVDIMNDRINYCLKHVAGDVGECTVCAGTGKCHLYT >cds.KYUSt_contig_915.450 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:2934271:2938601:-1 gene:KYUSg_contig_915.450 transcript:KYUSt_contig_915.450 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAHASSPARSASAVAPEIESRALEGIHQVLDADSPRIWHAVQRLGHHTDLNRPALQCGHILRRSSEILSYGITGTCLGLDLIFFLNKARELQVCAGSGSLLACQYQLLACVLKLFVFKPSSILLNLKCIRRIDCRESHPQGINRYGAQWRPLKIADALLLLVLLLACPPPALSRHPAPATDTQTLDVAASLSRAQAAVSRDALPLHQSLAATDDVHPTEGRLSLRLHSRDFLPSQQGRHPSYKSLVLSRLHRDSARAAAITARATLAADGITTASLLPASTDPLFAASAADIQGPIVSGVGQGSGEYFSRVGIGRPARQLYMVLDTGSDVTWLQCQPCADCYAQSDPVYDPSLSTSYAAVGCDSPRCRDLDAAACRNATGACLYEVAYGDGSYTVGDFVSETLTLGDSAPVPDVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISATAFSYCLVDRDSPSASTLHFGSDAPDSSQSTNSATLVTAPLVRSPRTSTFYYVSLTGISVGGQTLAIPPTAFAMDDAGSGGVIVDSGTAVTRLQTAAYSALRDAFVQGTHTLPRTSGVSLFDTCYDLSGRTSVQVPAVSLRFEGGGELKLPAKNYLIPVDGAGTYCLAFAGTSGAVSIIGNVQQQGVRVSFDTARSTVGFAANKC >cds.KYUSt_chr5.43257 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272688941:272693212:-1 gene:KYUSg_chr5.43257 transcript:KYUSt_chr5.43257 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRLPKRPPTPSESEDDTDSSESETDSSESDLTLPVGAEAEVRSDDPGFVGSFYEVTVTGHLASRYTVAYSTLVAEDGGPLEETAAADDVRPRPPREGRREFAVHEAVEAFHNEGWWAGVVSAVPPPVAGAPSVYQVAFPTSRETMEFEVAALRPHRVFQAGRWVPAAEVKDGSPLFREGTQVEVSQSAKRFGESWSPASVLKVIGATNFLVQYTNIREDQVATEIIDSQYIRPAPSDTHMDSKYIVSRSCHVEVIYEGSWWPGVIREVLAGNGSDNKYVVKLKSCETDMEDVEFLDVLIVGITQLRPHFDWDGKKWVRRLTEPKKRTAAKSIEESRNTVPISENLANLKNGAYDAELGSTVTAGRGMVSEINTGICVDPTMPLKDAGGSQHVMKQQGGGSAMDDWLPESLAIEHVPTPHQHCPELREGMAFGLMLSFPNLQESINMLDVHQDDNEELCKEKMQGLSLLEAYGFDVGALRSRVEALLCRKNSHGAELCDDDAMKMLREKIACKESDDKELGGQMRALGMAIHSTGLYARLVRDMLRSAVTRKMNNATEISRLRAEANLLEQLYASTAVSR >cds.KYUSt_chr4.46682 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288587618:288594204:-1 gene:KYUSg_chr4.46682 transcript:KYUSt_chr4.46682 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHDSAGVECDDSRCGTNSKDQQRIGSFGSGGVGDSSTNAADVTGIADDLPCADRLPTDGANCRGYSSAMPSDWGLGLMESCKLTRDIGSQTSGESGLPRFGALDEIAATAPLSPCLEITINIDFKLTCLRGKPLLLLPCVDPFTKKQWYDIKAPLIFSQRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLNNDEDQAYRKIRLRAEDVQGMNVLTNFWGMDFTTDKLRSLVRKWQSLIEAHVDVKTTDNYMLRMFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMVNQAASCDLKELVQKFIPEVIGKEIEKQTAGIFPLQNVYVRKVKILKAPKFDLGKLMEVHGDYKEDVGMKVDRPAEGDEVVPGAEEVIMEYSSLAVPPGGDAVMPINQAFEVHARHRPMEEDGWGLGEDYVQERTGKMRKQLRKAERHNGQRDYARPRSSMTPCSAAARTSMALPLSSSSAWQPDNGESN >cds.KYUSt_chr2.43877 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273136798:273138713:1 gene:KYUSg_chr2.43877 transcript:KYUSt_chr2.43877 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEYIDSHAQIQSLQARSDELACSEEQMLVNLVSLESVRIAPESYALLGPLVNRASMICSELDRLSVVAGLALELHRLEHDLLPQVMDQEAKLDRGVLQAILLMKNSALALLDLGKDFKEAHISGQAELLSALLHDATLRVLERSSTIVWLREQRIPLLVQLVTSLLAAPVGMFATTSSSSSSFEWMTTPVSDTTPTSGSSDYEWEDSE >cds.KYUSt_chr3.19121 pep primary_assembly:MPB_Lper_Kyuss_1697:3:117592379:117596540:-1 gene:KYUSg_chr3.19121 transcript:KYUSt_chr3.19121 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSGTTQTRLKSGPDLRGSGWDATSSRVRLLASWAQLSVGGMIIIKCGMGGSLSSPLPHNTFHPHAPHFAAMAPKRAFAPGANDDEAGSSRRIAPALRAAGHRGGLYIGDAGRIGATRAAGRREEEALRRRDEARLRKEEARRHEARRRRNKDMRRREEDKHQEARRLAEQEGQLRLREEAQLRAAPDPHSAWEEAQWPPWPESSARSSHNSTSPPRDVIDAHGDEMESQSGKGDPSKSQVSAGGKGDPSKSPASDGGKGDPSKSTISDGGKGGSSMTAKTQRLPIKRPPQHARKGRPVTLKYEDGKLALGEGMGRKVMKQLFETYASHLAHKVFAYDGEKGLFTVGPLPFKNNEFDVVLCDASSGKTGTSRSPGGDGSPGPSDKKRMKRAVYAKRFKVEIAIASTSTARIPMSAIAQVLRGGQESENSQEAIRVLDIILRQHSARQGCLLVKQSFFRGEFGSIDLGGGVLGCRGFHSSFRPTQNGLSLNVDLSTTMVVKPGPVIDFLLFNQNIKDPYRIDWVKAKRALNKLRISTVHTKMELKIVGLSEKNCNEQTFPLKRDGNGTVDITVYDYFMDRWSMRLEKSAHLL >cds.KYUSt_chr2.1656 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9793865:9795569:1 gene:KYUSg_chr2.1656 transcript:KYUSt_chr2.1656 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTAQGSGGGGGGRVLLLPFPGMQGHANPMLQLGRRLAYHGLLPTLVLTRHVLSTTPLKDCPFPVAAISDGFDAGGITSCPDTAEYLRRMEAAGSDTLARLLLAADVRVLVYDSHLPWARRVARDAGVAAAAFMTQMCAVDVVYGEARAGRVALPLADGSALRRRGVLSVDLGPEDVPPFVAKPEWYPAFTDSALGQFDELDQADDVLVNSFRDLEPTEADYMESRWRARTVGPTLPSFYLDDDRLPLNKSYGFNLVSSTAPCMAWLDNQAPCSVVLASYGTVRRSEKSCPTRRPTPAAWAPRPDPVENLAARPRPSGRTPQRAVIAAEVCAGENPVRALVYDPHMPWAGRVARAAGLAHRRVHVAICAVDLVYGEAWAGRAPLPMADGSALRRRGVVSVDLGPEDLSPFVVSPELYPKYLDVSIRQFEDLEEVDAVLINSFRDLEPHEARVHGVEMASQDGRPNAAVLLP >cds.KYUSt_chr3.35736 pep primary_assembly:MPB_Lper_Kyuss_1697:3:224626031:224633799:1 gene:KYUSg_chr3.35736 transcript:KYUSt_chr3.35736 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSAALCQLADPQTVVDHSDAEEPEDDFAAEEPENQFDAEEPLGDVQVKLPLDRLFLPPGAKVTPGDEEGVTARVLKGSNIVLGTYARGDAQVVNADFVKSSVRPDDCPKDGRPEFALVGRSNVGKSSLLNSLVRRKKLALTSKKPGKTQCINHFIINDSWYLVDLPGYGYASAPQEARKDWDEFTRNYFLSRDNLVSVFLLIDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGKRPEENVEAFQSLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWRKH >cds.KYUSt_chr2.1326 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7851740:7853245:1 gene:KYUSg_chr2.1326 transcript:KYUSt_chr2.1326 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTKSRCRCCSSTGGLAFGRCASEPSDAVAARLSASGRRGRLRTFSFGSASAKATFYGGRASFSRSKISRRLRRVAPAADIRLRKSRSASAAQTAAPAQFSSLPSWRDETLLKKYPLGRVIGGTVYPGLALTAGSIWWYGAGVGMERRSLVETKKRAVEDCAGVGGEHKRQPYL >cds.KYUSt_chr6.2179 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12851200:12854194:-1 gene:KYUSg_chr6.2179 transcript:KYUSt_chr6.2179 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRRPLLASLLPAAAAVAGSASCSSSYLPTRLQLRRRRSPPPVRAVSSDSSKPIASSSTDPDEEPVLPLLQELADCLVLPPKFLSLLPRDLRLDLNDAAFDLSNGPVLNECGQEVGDLLLDLAKAWEMADTLASNNLAKQLPSMAPYLTASAKSAFGKRLAAAGKKFQTMGQYGNGEFKKISETMIKIGKLLSKRPVIQAEVQAMKEKRKLKFGELQFELTAQNAYIGAAIGLVFGFFSWQLAQGVQSNPDDTQALKVALVVLGYTSTALSVAAAVGLVVLAQQIDPEDKSK >cds.KYUSt_chr4.1896 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10320669:10324912:1 gene:KYUSg_chr4.1896 transcript:KYUSt_chr4.1896 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPALLDELLEEIFLRLPPDQPACLVRASLSSKLWLGILTGARFRGLYRERHGSPPMLGFIYSGDIREEEEEDNLPYFVATTKFGMRIPGVEDWRGWHKDYTAWDCRHGRVLFADTNIFTMPLVVWDPMTGHRRLLYAPEDYDSSGAAVLCSVAGCDHRACHVGPFQVAFVGLYCSDEGCVAQAHVSLPEVVKWSKPCSLDLAAQYAFIVNRPPVLIRGALYFTLIYELEDGDDDNDEAAILEYDLVSNCLTLVDAPPVKTGLAGDIVLMAMEDGSLGFAHVDGLNLNLWSRHMGSNGVASWPRHRVINLETLIPIRNPKEKLRPIGSVEGSDVIFVTMDLGSIYEINLKSLQWKKIWSREKCCALFPYMSFYNPPDAGASWAASAASTNGDVQCSARVPPVVEDCKDLHNAFKGGTKETDHLHLCPPRRGAQQRAICISYAEAYVE >cds.KYUSt_chr7.22509 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139574375:139574842:1 gene:KYUSg_chr7.22509 transcript:KYUSt_chr7.22509 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPASSTGGKSSPSPPPSTVQAQAVAAIQPSSLRYFFSSLAAASPSVHRRIAIAVDLSDESAFAVKWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVSYDDDEAPPPPSSDSNPSSKEDAWRKKKREEDFDAFTSAKSQDLAQPLVAA >cds.KYUSt_chr7.40492 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251406540:251408168:1 gene:KYUSg_chr7.40492 transcript:KYUSt_chr7.40492 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFVARLRKLHHRCAPITLVARAQAGLEMEMAAAMPLDGVTHRTLEVNGIKIHVAEAGDSSGCTVLLLHGFMELWCSWQHQLMALSRRGYRCLAPDLRGHGDSSAPASPSAYTIFHLVGDMVGLLDALALPQVFVVGQGWGALLAWHLCQFRPERVRALVNMNIAFMPRNPAVRPLDGFRRIYGDGYYILRMQEPGIMEAEFAGMDTKFILKRVLTTRDTGGAALSKQWWGSTEEDIKLPPWLTEEYIGYVAGKFDHTGFAEAMNLYRCLDLNWELTAPWTTAKVMVPTKFIAGETAMSHKDPMAQDYILKGGLKGDVPGLEEVVVIPGGGHYLHLQMAEEVTEHIYDFINKF >cds.KYUSt_chr4.24164 pep primary_assembly:MPB_Lper_Kyuss_1697:4:152106987:152108856:1 gene:KYUSg_chr4.24164 transcript:KYUSt_chr4.24164 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVYGTMNSRPSWRGRRTRDRYFDKLLDMRRLLRTYEGSLIEMTGPKRGIALNCDVLLEFDMRIKNGEKEEDDAQLIDGMVEFRGLFMLWKPTEVRLESNCGAVDMSVALINDAITATVEVIISEVQSGFDLSLSSIISVAGEHTEFQLFHGTVGEWCGFRRFAIAVTLDTMMHLKFMVGHKYSKPNIERNCSFKAKLDGYASHRIRLELAFITVKVTWVAWPPV >cds.KYUSt_chr7.38355 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239051691:239053377:-1 gene:KYUSg_chr7.38355 transcript:KYUSt_chr7.38355 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASMLLLVATLVVSHGVDAFDPNPLQDFCVADTESEVHVNGVPCKDPATVVPEDFFFAGADKPGGTASRRYGYSSLPVRIPGLNTLGVSHARVDVAPGGVFPPHYHPRASETALVLEGSSVYFGFLDSGNRLFAKVLRKGDVFAVPQGLVHFLLNNGTAPAVLYATLSSQNPGMVLLADALFAPATPVPEELLARTLLTDRQTVEEIRANFKRRSLSSGFLMAGPGGESHANSPTQKLAKLAGWTHQFFLVLTLASSSSSASRVSLSSATSSAATSSSRATLSAIKEDYDELPRKRPAVPTATLSSVSHALRVRCHQHVDASASSSPSRSRSGCRRRMHRPFRSPSGRTMTRMTSQASGWSCASFEEV >cds.KYUSt_chr3.31064 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194913589:194914995:-1 gene:KYUSg_chr3.31064 transcript:KYUSt_chr3.31064 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRAHKRRHAGNGDGGGPALSGTNGTGRSAPADPPAHSDGGGGGGVHLTALLDALGLGAFARLDLPAPPDGLGLTAAADPCSDHIIVSLGGVSVLASPADLAAALSLPLGVAALPAPVFSSAGAIGAVRAFVLDRLLLGGTGVLPEGTAAALQLVEDGKAYAVDWGWFVWAFLMSDVLVGEPRRCGRYLFRLMRCKRPDLFSEVDGRFLGKRRKVLILQQDEKSLHGNGIYDQPRAAEAEVEEKSVSGPSKKSGGVKDQQHTIGTEDQEDGSHCNGNAAPSLPSFYASRQQVLAHLSNMENALLDKERTLSRTLAEIRRMKEEEEEKDNEIAYIVKEIEEELQARHTKINQLEHDRMLMRDILHGCKEMLQDSSAAFLEYRKAMCEGSGVSSLDVVANEKNQLRFMQQQWQARERIVDGFQKPMLLKVTACAKKIAVLLPKLTGLNNEVQRLKGSRSIPDLNVGPHL >cds.KYUSt_chr4.33999 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208638732:208639340:-1 gene:KYUSg_chr4.33999 transcript:KYUSt_chr4.33999 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFRPRSPSFHDPGTSPAYQLLNEFKDRLASKTLSPELAHQLFGKLLRQPVKVPERALNGFFAALARAPPSIACPDGPALAIALFQQMARAGRRPVTAPTIYTYNILVDCCHRARRPDLGPAFFGHLLKTGIREDVITFNNLLKCLGDMKRTEEALDVLLHRKPGDLPDVISYSIILKSFCDDGKSQRALDVLRMMRKKRM >cds.KYUSt_chr1.39769 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243329487:243329913:-1 gene:KYUSg_chr1.39769 transcript:KYUSt_chr1.39769 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHWISRLAAAKRFYAAQLGHADRGAGMDELDMDDEPPAATPEFACPYCYEDHDVASLCAHLEEEHPFEPHAAVSALPALCALLPQFVLWSRLLVLEWPVD >cds.KYUSt_chr2.7938 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49706804:49707418:-1 gene:KYUSg_chr2.7938 transcript:KYUSt_chr2.7938 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTAPRPLLRRVLSFREPLLVIPYLISFLATAASAFFHSYASFLQSFARSLAVVPSHAGCAKCTYASSSSAPCCADNVVVGADEEDEDEEVMKEEVEAIMARIGLGVSGTGEGLKASMGRAEVTRLFDAEEPSFAEVRRAFAVFDGDKDGLIGAADLQGALARLGFREDTASCEAMIAAASRGSGSGMNLFQFVTFLEDGLC >cds.KYUSt_scaffold_2697.36 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:249967:252269:1 gene:KYUSg_scaffold_2697.36 transcript:KYUSt_scaffold_2697.36 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGGVLAVVDDAAVAGTASGYSSEITFTVVMSCLMAASGGLIFGYDISITGGLTQMQSFLEAFFPEILEKMNNAQQDAYCIFDSQVLTTFVSSLYLSGVFACLVAGHVTRRVGRRNSMLIGASFFFVGAVLNCAAVNIYMLVIGRILLGFAVGFTNQSAPVYLAEIAPARWRGAFTSIFHLFLNVGMFVADLVNYRANTIARWGWRLSLGVGIIPAAIILVGAVFIPDSPNSLVLRGMVDEARHSLRRIRGRSADVDAELKDIMHAAEEDSRHKTGAFRRILQREYRPHLVMAIAIPLFFELTGMIVVTLFAPLLFYTIGFTSQKAILGSIITDVVSLAAIMVAALSVDRCGRRYLFILGGGVLLVCLVAMTWIFGAELGTNGGKAMPRPYAVAVVALVCVFVAGFGVSWGPLKWIIPSEIFPLEVRSAGQSMSESISLTLTFVQTQSFLAMLCSFKFGSFAYNAAWVVVMTAFIIFFLPETKGVPIEAMGAVWARHWYWKRFVKPVPATEPDKQADGPLET >cds.KYUSt_chr2.25114 pep primary_assembly:MPB_Lper_Kyuss_1697:2:153641449:153642894:-1 gene:KYUSg_chr2.25114 transcript:KYUSt_chr2.25114 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWTLGARARHLKELAALELRPKSTLVSGAWEEEQEVSALCNFWHCSFALNGSIPNSAEDGVPILFLEDTASIGNGGGTLFEEAAIIGQHRRQDRSGSPAQDRWRMQMRRRRRTARARCSRELELGEAVHGDAPSVSDSMVTSEATG >cds.KYUSt_chr2.51196 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320102824:320105938:-1 gene:KYUSg_chr2.51196 transcript:KYUSt_chr2.51196 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGWGVMEGMKPVAGMVVVQFVFAGVNIFYKLAVSDGMDMRVLIAYRYIFASVVLAPLAYCVERKKRTKLTWRVIVLSFICGLCGGSLAQNLYISGMKLTSATFASAMTNLIPAITFVLAVLFRYEGLAIRTVPGQAKVAGTLLGVGGAMLLTFYKGAQVTLWPPTHVNLAAQLAARHHSSHPSAAATIATSEPSSGNRAMGSLLCTGSCFFYALWLILQARLSREYPFHYSTTALMCLMSALQSALFALCFDRDPAQWRLTFDVRLLAVVYTGVLASGVMLVVLSWCVKRRGPLFASVFNPMMLVIVAVLSSLLLGEELHLGSVLGAVLIVVGLYAVLWGKGREAAAASPEHGKAAAPAHEVPHIDIVVHRHPPPPPSPQQQPQSPEAASAAR >cds.KYUSt_chr2.54296 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338737131:338738749:-1 gene:KYUSg_chr2.54296 transcript:KYUSt_chr2.54296 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRKVRSGVRRAPRGAQATARRPRTAAGRGALRWGAGPAQRAKRRLFDGFGHDTRPGSGGVQAESSPVARQQVMPRFVTPFAGVSLLTDVGLSSSTMSVVDSGAASGYHLLVVDGYSQTKRLDNGVGIRSRPFIVGGHRWCIDYLPNGFNSEYADYISLFAALVDDDDGAARPVKAWFRFSFIDEVEKQEATLIRAAYPCTFCSNDINGAWGDSNFIKRDVLEQSENLKNDCFTIRFDIMICEDLNTKVPLSCISQHFNHLLQTKVGADVTFEVSGEIFLAHRCVLVARSTVFTALLFGSVKEGTKADVIHIKDMKAQVFKALLSFIYTDAFPEMEREEAEVKAETTRFEMWLEWLKDLTVAAGRYDLQHLRFSCEELLSKAIGEITVPKILDIAVHYHCHRLKEKCLQFLQNVSSSSLQKLMATNSWKHIILSHPYVLNELIAKLAAKCNGSDKATDLYDILPPPSVNMDNADRFLNLEW >cds.KYUSt_chr5.32314 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205025077:205026099:1 gene:KYUSg_chr5.32314 transcript:KYUSt_chr5.32314 gene_biotype:protein_coding transcript_biotype:protein_coding MELKYRPALFSNGGAAAKKMRPAEGFVYVYRECLKNHAASLGGHAIDGCGEFMPSPAANPSNPTSLTCAACGCHRNFHRRLQGAPPSPPLLALPPVPTHAAPEAAPRLMQAVQPQRGEETPENRLPLPGDDYSEDTDEGSDYDDDDDYGRPASPLPAPDIPPPPPGYLSATHMLLSLSTGAPGSSPAVMASRPPAPAAGPPDASASAARKRIRTKFSPEQKLQMQALSERLGWRLQKSDQALVQERCREIGVGKGVFKVWMHNNKHNFLGGQSARRSASLAAATPHPSSYAAPSPAPAIVSAPPIHAGFNINGFSAFPAPDHPSIQPATASAGSGSAQSS >cds.KYUSt_chr1.6770 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41602871:41603278:1 gene:KYUSg_chr1.6770 transcript:KYUSt_chr1.6770 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRHAVLLSGEGIPYDGLAPRAMDQHNEAMTAAEELLVVVGSSALGHGKARGRDAMRLAVVFAIASENSGSERMLRQRMQTREAQGREDGFGGRRRRIFVKQGHQCRPGN >cds.KYUSt_chr7.35555 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222194384:222195646:1 gene:KYUSg_chr7.35555 transcript:KYUSt_chr7.35555 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESSCTSTKMPREEFGHLPPIRSASSPNPAPFELSIKGSFFTDEKDNENSPDLPVIQLPYKLLPTRREVHSTQIYNHLSHWRAKWIHILKLKRLENVRWFEETSAIMMDDVVKITRDVDFINNAIDNYVHMKIIFVGRVPAARISPAVIYCALSHLMENKAQTTNYLAVKPKSNMS >cds.KYUSt_contig_1388.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000140.1:75884:80616:-1 gene:KYUSg_contig_1388.11 transcript:KYUSt_contig_1388.11 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGPAAGSASDGGEYSPKPSKPLSWLPRAARYATAEHRPLFALVGMLFAAAIFALSSSSSPVGYSSPSGAVAASFNHLVTGAHPSLHESVGGKVPLGLRRRVLRVLVTGGAGFVGSHLVDRLMERGENVIVVDNFFTGRKGNVAHHLANPRFEVIRHDVVEPILLEVDQIYHLACPASPVHYKYNPIKTIISLPNYTSSEFSPSVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGDHIGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRANTADDPHKRKPDITRANELLGWEPKVPLREGLPLMVTDFRKRIFGDQEESTTTAGGMS >cds.KYUSt_chr4.18611 pep primary_assembly:MPB_Lper_Kyuss_1697:4:116723890:116724301:1 gene:KYUSg_chr4.18611 transcript:KYUSt_chr4.18611 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKNKPSGSTALQVALLVVLLVAASRLQACDAAPGFCASKCAVRCGKGKGKGPACMKHCGQCCVECACVPAGTGRIDDCPCYRDMLTAGPRKWPKCP >cds.KYUSt_chr1.7468 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45772539:45777812:1 gene:KYUSg_chr1.7468 transcript:KYUSt_chr1.7468 gene_biotype:protein_coding transcript_biotype:protein_coding MELASGRQDARVEVAGSLTARRWVASAGEDRGEEIAWVVGDAAAVGGSGWRGWGALGRRRRRFHVGAGISGVAPHYIPPPSTFNVLLGSSWKRWRPGDCGVLLLAGHQLHALLQIAVGDIVRDELASMGQLALQVRTPVSSLLVPGSVRCGSAKADIRIQNLTPARRSVDNFW >cds.KYUSt_chr6.1616 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9863535:9866154:1 gene:KYUSg_chr6.1616 transcript:KYUSt_chr6.1616 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSEWGGEDEEDGEEHGGQDEEAGGEDGGEDGGEDGGEDLEEDEGLGGMPPELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKYGNMQERVVRHFWKYFKRAEGKEIACDVILHELCRVRHPPQYVGEDRACFLAMVIWWTSREYARKHEEGKQKRSEMGGGSHVLGSKNLALTLQDEEVKTSVAPNLFGFFQKSKTRKEPHPETGSLWVNDLAEGQCGAYRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIADGLVDPSTIPSLRQIRRGRTSEQPRVETRPRASDLAIEKLREDKEMEDKEVEKDKEVEDKEVAKVDVACMNFVV >cds.KYUSt_chr5.13832 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89942414:89943775:1 gene:KYUSg_chr5.13832 transcript:KYUSt_chr5.13832 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPKFYREGSGEEPTVVRVSEHRWRTNMPCKGLATSVGVERIAEAEMEGARHQTGQQGRGRKETSSVTPREVDGCAASRRLWSLFLLLLHHPRPEKAKTVYYDKLDLTSVMDEREWQYALGSR >cds.KYUSt_chr3.26000 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161611721:161612368:1 gene:KYUSg_chr3.26000 transcript:KYUSt_chr3.26000 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRWHRLSIPSPHLIPSIARASSSLLPLTPEAKPPELPMASTTFSSAFSILSLPSTSPSPSASVPRTLPMANRRRRAVAVASTATESPKVLELGDAIAGLTLEEARNLVDHLQERLGVSAASFAPAAAVAAPAAAVAEEAPVEKTEFDVVIEEVPSSARIATIKIVRALTSLALKEAKDLIEGLPKKLKEAVSKEEAEEAKKQLEGVGAKVTIA >cds.KYUSt_chr7.39278 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244329732:244330401:1 gene:KYUSg_chr7.39278 transcript:KYUSt_chr7.39278 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKQLTPSNSGANRGTRTSGFFSGREPCSTFCSCCFAPPLRQDRRVAYPAPEISAGDELMIEKARILSMATIRRPVRTLASAARDDSSCLLGVRRKVGNRKRKLSLRDAAPGDGDSDLHTARLRGETGHDTVPEVPRRRVSQDRSKKIKRKWIGYAAAAASAGDENCLRGGGTGMWPAEVTLGGVCSA >cds.KYUSt_chr5.6927 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42943008:42944615:-1 gene:KYUSg_chr5.6927 transcript:KYUSt_chr5.6927 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLHGSTVLRAGRYFVPLLFPACFTLWMLIFFQPPTAILRVSFQPTLSLPEQRVVDATPTPALPERREIIDTSPSQPPPPLEGRDTVIAPPPPARRAKTETPSSPPPVAVTPDRCAGRYIYIHDLPRRFNVDLIRDCRSLCDWTDMCKHLVNDGIGPRITRTGGVLPSTGWYDTNQFTLEVIFHNRMRRYDCLTTDASRAAAVYVPYYAGLDVGRYLWGFSNELRDALAEDLVEWLRSSPAWAAHGGRDHFLVGGRITWDFRREDGREWGSRLFLLPEVTNMTTLAIESSPWHRNDVGMPYPTYFHPSRAAEVASWQRAVRRARRPWLFAFAGGTRGHGTDRNVDSNGVVRDAIIEQCAQSRRCGLLRCGARGQRNDCYAPGNVMRLFKSATFCLQPQGDSYTRKSAFDAMLAGCVPVFFHPGSAYVQYRWHLPADHRKYSVFVPEDGLRNGTVRVEDVLRRISAREVAAMREQLVRMIPSIVYRDPRATSGLGLRDAVDVAVDGVIERVRRIKQGMPLSDDGMGLWYGYFHRQ >cds.KYUSt_chr3.24656 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153009465:153020238:-1 gene:KYUSg_chr3.24656 transcript:KYUSt_chr3.24656 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRIPRIAAHWIINLYRAAGKLLSDAFQYNRNSITRLSTAGAAASRCTIPSAVDKTVVFDFHGGLLRSSAIFPYFVLVACEGGSLLRAFLLLCSFPLVWIMGEHAGAGIRVMAFVTFVGLRPQDAELVARAILPKFYMERLNAQVYDHLWLPATRKVAVTSAPRVMAEWFLKEYMAADVVVGCELQIVKVGGRCYFTGLLCEPDQGTSALREVFRTDGAMADVGVVRNSNPLDHCAMADVGVVRNSNPLDHFFLRYCKELYRVTKESTKTAHLPRTRYPKPLIFHDGRLAFLPTSSATLAFFLFLPLGLTLSVIRISIGIGLPYKISFAAGALLGVRLRTSGLAKNMAAKRRGVLFVCTHRTLVDPIMLTAALQKPVPAVTYSLSRLSEILAPIKTVRLTRDRERDAAMMSRLLEQGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDARVTLLYGTTASGRKWLDPVAFFANPAPAYRVEFLGAVPRDQTRAGGRTGAEVANWVQQRLGEALGFECTGLTRRDKYTMLAGTDGVVRK >cds.KYUSt_chr3.2459 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14064586:14067530:-1 gene:KYUSg_chr3.2459 transcript:KYUSt_chr3.2459 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPNPQKKPGLPMMAAILVPGDIAAASGNAIQIARTGGRIAGSLERSLGLIQEGGNSRDCQFFLHVFGRLMIGLQKAKIKNEDPGHSRVGKVIAA >cds.KYUSt_chr3.41117 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259491275:259497250:1 gene:KYUSg_chr3.41117 transcript:KYUSt_chr3.41117 gene_biotype:protein_coding transcript_biotype:protein_coding MYALRSEETVTFVLTLSCVAEVDVVPCTRRLIVRTPSSVRVGDDIPACHQQFPVAPLPHDAQILGHLWRPHVPTSQVRADNETTQPAAAMAAAGEEAESSTAAGERPRVPFARGGPVFVPFMVGPTSTVPEFTSSALRESLRDELGDPGDEFEEELCVDELRVLSEGELVERALREAMEEDWDSGAPSQPVGQRSGTPSQPLNQRMSGSSTAGNETVTSSASVETQSSISPQDGRAIGLDEIGLVEPHDNHGKTRGEKRKGRKRKENNGVLTSDSTVDRETAGSPVDMTILPNEPEGSKGKPGGRKGKHASWPVPAPVLLEHFVAFGLLRCGGDSVMSKGSKASAEKTDVAKSLSYNSAPWKHKASKSEEHIPIVYPEVVLSVEIYEQKQSSVKSQEFLVLGSQLLTELKDNIYCSTNKLMEVNKQSDHSGYFLIEDTFYNDTRHYSAVDYSEPILDWLKDSSDEVAEKWDAISSGALKKRQKDLLRGLNISNVPEFKSAKMQTTHFSDLHFRIGAGYLYCHQGNCKHTFVIRDMRLIHPEDTQNQAEYPLMTFQMQKRFQKCSVCQIYLATKMTVDDKWAPNNPCYFCKQCYYLLHYREDDSLLYHHTVYDYLQE >cds.KYUSt_chr4.27016 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169697348:169701176:-1 gene:KYUSg_chr4.27016 transcript:KYUSt_chr4.27016 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAESSAASNVAAAMAVDDYYDAAPEVGHCMSSMVDFSGVESQRLFLARRTALEMLRDRGYSVPEADLARTLPEFRAWWSETPEIERLSFSTTLASDPSNKARIVFCPPEPIRVAVIREVFGRTKEDNLSCLILILQSKMGSKARDTIKELFKFKVDVFQITELLVNMTNHVLKPKHQVLTAEEKAKLLKQYNVVDSQLPRMLETDAVARYYGLSKGTVVKFTYDNELTANHFEMLLENLGQVVSDDLWSTHVSENGYVSL >cds.KYUSt_contig_1253.280 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1862831:1876406:-1 gene:KYUSg_contig_1253.280 transcript:KYUSt_contig_1253.280 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTFTEMDKKKEVDDVEPGPSPSRCVDRFGFIKAEQSNSPDGIVRSRSIHEHERACFGLLGDHCREERRIRKWRKMIGVGGSDWRHYVTRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSSSELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFIAGLLLLYMSEEDAFWLIVALLKGAVHAPMEGLYQGIKVVFQVGLALFRFCHDDLVKLPFEKPLYSLRNFPEEATDPDTLLPLAFSFKVSSRLVELEKECRKRLEGPSGRSSSDLSAGGEGSDMATAFKAFVNSPVGPKTTHFWGPVANWGFVLAGLVDLNKPPEMISGNMTAGSLEERFMSLDEVLFDRLRKDSSSSAAIHYFSGILDPKSAGLARRCHGGGGAGDAMFGVDSLLLRRRRSSELRRLAVDFLELADGYIGRARQAPPGSGQTVDPVGDLEDDDGVEDIRDDDGVPGGRISGSGARRIPGWLLSKAMCGAAAAARH >cds.KYUSt_chr3.47357 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297043846:297047960:1 gene:KYUSg_chr3.47357 transcript:KYUSt_chr3.47357 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRPVNAPPPVARPRYEVVLCEACGAGDRDEELLLCDLCDRGRHTYCLRPILAAVPLGPWLCPDCAPPPKPIIHFPLKQKKIVDFFRIQKGADEPQPAKCGLPQEAKRRRRRSIVMMKKKRKMLPFVPTEDRVQRLKQMASAATALTSSKMEFSNELTYVPSMAPKSANQAKLEEGGMQVLTKEDKETIELCRNMQKRGECPPLLVVFDSHEGFTVQADGDIKDMTFLAEYAGDVDFLENRANDDCDSIMTLLSTADPSKKLVICPDKRGNIARFINGINNHTPDGKKKQNVKCVRYSIDGESHVLLVACRDIACGEKLYYDYNGHEYAYPTHHFI >cds.KYUSt_chr4.819 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4299483:4300550:1 gene:KYUSg_chr4.819 transcript:KYUSt_chr4.819 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFVNLVVKKLSSIRPVFNLHRIDPAGLFHPAGSPKLADAAAAVHKCPDPGRLPPAAISFPLNKAEWMRIHFMALNKDVVIAVDHMGRTVAYDAALGTVRSMNATQRPDWSPISITVGNGLYTLSIHHRPPPIGNSFQVLSYGRPLGYCWPEDWNWRPLQPPPLEYHKYVHNYLEAPHHPCAIRAWAVVRDSQIWISTEGAGTYSFDTQTGTWSNAGDWSMPFRGHAEYAPEHGLWFALSDGDARLCAVSVADLELTPTVCRNLWVDDTPVPEGWIPTVSRILPLGSAKFCLVRLSQKDQRGKTVQQLWRSKVQTCVVLAGLEVLKHDDAAALHIVKHKSKTYRFRGDEVELL >cds.KYUSt_chr4.53337 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330252442:330253062:-1 gene:KYUSg_chr4.53337 transcript:KYUSt_chr4.53337 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGPGPIRRALDKTFASARSTRRALARFAPRPSAFSPAPDAEAAAVRAVRNLRTFRFHYAVIQWVLLLASLAPRHRASVLFLMAASKGLLLYGGLLKAFPNSALLRRLLDRRLVGALFVALVLADLVAAGAVDKLLFALAAGVPVVLLHAAFRVRDDLEPASDGGDDDKDKDKEDGVVVEKKEDGDVETGPMRRSMATATTTKS >cds.KYUSt_chr3.46471 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292357636:292357878:1 gene:KYUSg_chr3.46471 transcript:KYUSt_chr3.46471 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCCGPSDVQVLPKNASSSSSSSSSSSSSANSSGKKKQPEQGKHQAVKQGEVKEKKRSNLDRAALTTPHFPFHSRPGLM >cds.KYUSt_chr5.2053 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14040927:14041175:1 gene:KYUSg_chr5.2053 transcript:KYUSt_chr5.2053 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAYLSTAVFVELLRMSQEEFGFAGGDDGRIMLPCNAAVMEYATCLLRRNASAEVVRAFLSSIARPCRFDSGMIESWGKLN >cds.KYUSt_chr2.25390 pep primary_assembly:MPB_Lper_Kyuss_1697:2:155237591:155240279:1 gene:KYUSg_chr2.25390 transcript:KYUSt_chr2.25390 gene_biotype:protein_coding transcript_biotype:protein_coding MAEINRCGAALLPLAVALIGCSLVADGAVTGGGTSRRLLVDNGLARTPQMGWNSWNHFGCDINETVIRSTVDALVSSGLTSAGYTYVNLDDCWADNQRTKEGYMAANPEKFPSGIKALADYVHSKGLKLGLYSSAGTRTCSNRMPGSLGHEDTDAKTFASWGVDYLKYDNCYRDDSNELVRFPRMSHALRNSGRPIFYSLCEWGYMDVVTWGGSYGNSWRTTGDINDTWTSILNNIDQNDAYAKYAKPGGWNDPDMLEVGNGGLTHDEYIVHFSLWAIAKAPLIIGCDVTSISKDTLAILSNPEVIAINQDRFGIQGRRVRKYDNEIEVWAGRLSGHRKAVLLLNRGATTRRSITATWADVGIRRGVAAEARDVWKHETLPGRIAGMLTAEVGPHSCKLFILTPVGR >cds.KYUSt_chr2.53909 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336493923:336497679:1 gene:KYUSg_chr2.53909 transcript:KYUSt_chr2.53909 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGRCPAGGASEAEVLLVRLLSAQIPSGDGVLWGFTDLRATFPAPRPDPGMRWIWMQFNKWMMQRFFAAASAFPAVVSSEAVLGDFPSAVWWAPVIQCLGSFSSGAPSKSNAKIKRQLPPGPWTLPIIGSLHHVASILPHRRMMELSRRHGPLMHLMLGEVPTVIVSSAEAASLVMKTNDLAFSGRPHSATLDIFGCGGRGIVFAPYGDPWRQMRKVCVMELLSAKQVRRMDGIRPEQVGILLRSIAAASSAVNVSDMVMALSNDVVSRAVFGGKFPQQEEYLRNLDEAFVLLGGFCLVDLFPSSRLVRWLSSGERGMKRSYGHVQRINTDVIERRKAARAAGVCSRADDEDLLDVLLRLQEEDAFTFPLTTESIGAVLFDIFAGATQTTGVALEWAMSELVRHPKAMAKAQLEIRNVLGKDRGVITNNDLHGLPYMRMVTKEVLRLHPPGPLIPRRAREDRKVMGFDMLEGTNVYINAFAVSRDPKCWKCPEEFMPERFENNSMDYNGTYFEFTPFGAGRRQCPGIFFGESTMEIALANLLYHFDWVLPGGESPELLDMTEKYGIIVGRKYDLHLIALPRGGFNAT >cds.KYUSt_chr2.11435 pep primary_assembly:MPB_Lper_Kyuss_1697:2:72695653:72696505:-1 gene:KYUSg_chr2.11435 transcript:KYUSt_chr2.11435 gene_biotype:protein_coding transcript_biotype:protein_coding MKANSSYRLSPIFGKIWKLSFGTRIKRFKTKAFPLYDSLGQLYEGSIAEGNFNFTSTSTTSREDLTQVISDDDEPEGDTEADIPERESEDEHEVMDPAPSAQSSIKIPALDVFRIADNREIFLNLVDDKDDKDGTAMTWLLGQIAKLP >cds.KYUSt_chr7.11727 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72096852:72098933:1 gene:KYUSg_chr7.11727 transcript:KYUSt_chr7.11727 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPLRRHLLFIHSRRKPSFLPFSIAATAISTLPTAAAAATSISNRRRQPHPLQAVPASILLSHPLPSAAHLCLAAHLLARARLFPHSRSLLSRLLAPGHRPHLAAPLVDLLHRAALALGPRRSALPSVVDTLLSLLADRGLLEGAVLALARARELRVPPNTRTCNHILLRLARERRGGLVRQLFDQVPLPNVFTFNIVIDFLCKEGDLVEARALFSRMKAIGCSPDVVTYNSLIDGHGKCGELEEVERLVGEMRGRGCRPDVVTYNALVNCFCKFGRMERACSYFAEMKREGVAANVVTLSTFVDAFCKEGMVREAMKLFAQMRMRGMAPNEVTYTCLVDGTCKAGRLDDALVLLDEMVKQGVPLNVVTYTVLVDALCKEGKVVEAEDVFRMMERAGVKANELVYTTLIHGHFADKNSERAVGLLDEMKDKGLELDVSLYGTLIWGLCNLQKVDEAKSLLNKMDECGLKPNNIIYTNIMDACFKAGKDSEAIALFHKILDSGFHPNVVTYCALVDGLCKAGSVDEALSHFNRMGDLGLQPNVRTYTAVIDGLCKNGCLTKAVQLLDEMVDKGLSLDKVVYTSLMDGYLKQGNLQHAFAVKAKMINSGLQLDLYGYTCFVWGFCNLNMMPEAREVLSEMIGNGIPPDTVIYNCLINKYQKLGNIEEATSLQNEMESVLPSCTNGDTASGSDG >cds.KYUSt_chr5.41641 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262750416:262752741:1 gene:KYUSg_chr5.41641 transcript:KYUSt_chr5.41641 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSLLLLLLAALTPSPAAARFTCNAKSRATTCQALISYTPPPNATTTLRDVRTLFQLRSHRALLAANALPLTTPQSAPAPSPIRVRLPCLCSGGAGATFQRPTYKVRAGDTLDAVARGVFAGLLTYRDIAAANNVSDPNRVAVGQDLRVPLPCSCDPVAGLGAVVHLTYVVPAGSSIAGIAEEHGTTEQTLLQLNRLPDAKSLLAGQVLDVPLRVCSSAISNTAIDSNLRVPNASYILTANNCVMCGCSSNTWQLDCQPTQGLTSAVCPVAKCGDLFLGNTSVTTSPASPCEGTACLYAGYTNTTSFAILTNLTSSSMCDAAGLSPAAQPSHSSASGLGLPALWSWSELVVGIHIVLLCLGFLHRD >cds.KYUSt_chr4.49770 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308253814:308255091:1 gene:KYUSg_chr4.49770 transcript:KYUSt_chr4.49770 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQIKRPTRTKESPEPGEKLAFAEEKENMMEPYMENDDAEAEEAEYDDEDERAGALSSCGLGGKKRRLALEQVRALERSFETDNKLDPERKARIARELALHPRQVAVWFQNRRARWKTKQLERDFNALRARHDALRSDCDALRRDKDALAAEIQELRERLSVPDRAAVKAEAAGNAGDAGEEERLQQAAAVMGGGAVFKDGSSDSDSSAVLNDEASPYSSNAVFDHPGFMGFGASFLDSAAAATTGCSSLLPMMDTKWHGAYSYDAGKSGGYGLTEEWLAGPDAIAGDGGAGFFSEEHVSNLNFGWCGSGAEGFDLHGYCKK >cds.KYUSt_chr2.16290 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102499489:102501561:1 gene:KYUSg_chr2.16290 transcript:KYUSt_chr2.16290 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRATGTCFLALQFFLYFNLNIARVSSLSFKLNFTESNHSALAAIQFQEDAFYNKVIRLTKDELNDQITNSVGRAVYTDPVPLWDSTTGQLADFATRFTFRINATSNSSNGEGLAFFLSPYPSVIPNSSTGGFLGLFSTSNDQNDPSNGLVAVEFDTHNNTWDPDGNHVGIDINSIVSVANVTWKTDINNGRTANVWVTYQASSMNLSVFLTYLDNPRYSGNSSLSYSVDLRKHVPEKVAIGFSAATGTGRFVELHQILYWEFNSTDLQMMKVEKMRSVLVISLATAVSVVVCSMGLVWFLLHFRTRRSRKGKEKKLEYNESIDGEFEKGRGPRRFRYNELVGATKNFALERKLGEGGFGAVYQGFLKDQNLDLAIKRVSKGSTQGRKEYISEVKIISRLRHRNLVQLEGWCHEHGEFMLVYEFMPNRSLDTHLYDNSNLLAWPLRFKVTAGVASALLYLHEEWEQCIVHRDVKPSNVMLDSAFNAKLGDFGLARFVDHDRGSQTTVLAGTMGYMAPECVTTGKASKESDVYSFGILALEIACGRRPVVLKENDDKIRLVQWVWDLYGRNEILKAVDGRLDGAFEEREVVCLMVVGLWCAHPDYNLRPSIRQVISVLKFEAPLPSLPPKMPVAMFFAPPISLCRFSYSSSDGTLKEQELQRSNGYGKTSYSATNSSSSPPSIRLPDVGY >cds.KYUSt_contig_2087.134 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:833984:837049:1 gene:KYUSg_contig_2087.134 transcript:KYUSt_contig_2087.134 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGMFFEDNNDHSISSEDEETLVRSCSSLSVSFGYHCNSYQSFPLENDEHETSHQMRFETNDLMKSRNGSFTCLSGAAISANFTLANTNICNGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSQGSPSSIDSPIFEISKNIWRSSAPTIVSSNFLTGTEVKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQQYGIYNSLEDSLNGVKSELTLAMRIAENEDVKLSESFRAGVLNCLTSAVEQAENDFLSMVEHDMDDRPDLVSVGSCVLVVLLQGTDLCILNLGDSRAVLASMPYAKMDTVKAIQLTEIHSLENPSEYQKLLADHPNESKVVTGDKVKGKLKVTRAFGVGYLKQKKFNDALMGILRVRNLCSPPYVYTNPHTLSHKVTEDDLFVVLGSDGLFDFFSNDEVVQLVYQFIHDSPFGDPAKYLIEQVVHKAAKQAVFGGEETMDVFIHEDYVKKRNEVRREQRRKKVQMQVLQLGPASGNPGASSRPASACREESPRAPSLCTTPTGMSPSTVRSPAPAASPSEEAVSSGHRRLFDCLKPY >cds.KYUSt_chr5.32289 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204920134:204921725:-1 gene:KYUSg_chr5.32289 transcript:KYUSt_chr5.32289 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERKMQPLPPPEHRRVFRFVTFLALILLAFSCWALVNSRINNAILIADANKTPLLTGDEDNRPHNNDGDHQTSIPASVPVNSDPTVSAVRMSGPMVRETPLAAAGGGEGSEGSCDAESAQLRVYMYDLPPEFHFGMLGWDGKTAWPDVRDARAVPHYPGGLNLQHSVAYWLTLDILSSTLPGNLSSSRPCVAVRVTNASLADVFFVPFFASLSYNRHSKLRGRERVSMNRVLQAELVKYLMRQEEWRRWGGKDHLVVPHHPNSMMEARKKLSAAMYVLSDFGRYPPDIANLKKDIIAPYKHVVRSLGDHDSPAFHQRPLLAYFQGAIHRKDGGKVRQKLYQLLKDERDVHFTYGSVRQNGIRRATKGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPFEDVLDYSEFCVFVRASDAVRKGFLLRVLRNVTRDQWNAMSRRLKEVAHHFEYQYPSRPDDAVQMIWGAVARKMHSLKLQLHKSGRFQRTHSES >cds.KYUSt_contig_319.1009 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:6686764:6689096:-1 gene:KYUSg_contig_319.1009 transcript:KYUSt_contig_319.1009 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEGIHRCVEDKEAMATARAQLVAQAAKLKKEVTLYERAMESCSTSPSCPISPTVSSYTRSGQVLCKYYPAQKNTRYMDIAFFGWSEIQVWEHHIDLIAAGSLRSARCQSSTAWVRSCLSRANNPEEIVLQELQVEEV >cds.KYUSt_chr1.34662 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211081966:211083764:1 gene:KYUSg_chr1.34662 transcript:KYUSt_chr1.34662 gene_biotype:protein_coding transcript_biotype:protein_coding MMLISNMKGLHHVGMMLRGMGFNNTTFLYVASGKIQNAAKYMAPLRQMFPLLETKDTLALPEELAEFKGYSSRLAALDYTVSIQSEVFVTTQGGNFPHFLMGHRRYLLGGNAKTIKPDKRKVVLSFDDPNIRLV >cds.KYUSt_chr2.9729 pep primary_assembly:MPB_Lper_Kyuss_1697:2:61524150:61526045:1 gene:KYUSg_chr2.9729 transcript:KYUSt_chr2.9729 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAAAARGRKARNFATFRLFPRDGAAGPDDRVFVRVDNNAYTIPGFGDDQDPSLSPTAAADQFPSSTSGPLPDHVRQQILELGLPDDGYNYLLHLRELRPAAAAAASSFVPSHTTRPEPLPLDVKAYDASKVRVASGKAQEELDEGRTMCKVAAKTAPVRRVGKAIDPDVARLLDESDDEGLEEDFVIMANQAEGDEVDDEEEEEGGGVFSDVEDDEGFDDEESEPRQRVPRLLDEQFDLLALEEYGDSDDDDGGVRDGEHELPNEVIDELKLFHNQNVCNDEGYRTPGDFVRRKLDTTTAEEVDESANVIQKCAEYAEKYLNDPAQDEEVVLVSESSDESEVWDCESIVSTYSNLDNHPGKIQAPRKPRNLLPKVFPGETATTKDIIKLHGKEKLPVDYLPQRKRKSEKEKKPKPAEAEPEAPDTEYFKKVVQKETKDEKKARKVKQAFWF >cds.KYUSt_chr4.37665 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232186155:232194672:1 gene:KYUSg_chr4.37665 transcript:KYUSt_chr4.37665 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGWRLRDLCNEYVDLVGPSSGNAERILACNCKKSCRAVVSTRDRSDSSPRVEEEEEVGRPLAARAIAMASSSSKPSDSGLKRPDQAAGTSASAALHGKLSHLRRQIQSARLASVREKMEANRRALQRHTCGLFDVAAAAEAASRGAEGGNVLSQLAADGQARIVGWDLARGSGEREVVHVQEDNLLTAGTLELSGADGTAPPQRMVVRLATLPLVDKIPPYTTWIFLDKNQRMADDQSIAGRRRIYYDSAGNETLICSDSDEEIPQPEEEKHVFTQGEDQLIWKTTQENGLSQEDVNVIRQFIDASPLEIESRSEFLCEKNAKHPGFSDKMESQLPLDKTVDVVLDSFDNLFCRRCLVFDCRLHGCSQNLVFPSEKQPYVFEFDGNKTPCGDQCYLQRREGFYDIRKYDRDASAAHNMESRPASRNVVTDIVSESEDSNREEEIIKSNIFVGTSSSKTSFESAEKQITLPSGDTSETENISTDTLLRSLGKRKISKGPRSSGDFPYKKPRMFTSDIPSASHVLNKLSVSEIGDAKSDFREFGGNQLDVPSKKTSNKDSCEGSLTSTTEDAARNINKESSANNMFSSSREHTLSRWSALERDLYLKGVEIFGKNSCLIARNLLCGLKTCMEVASYLYNNGAAMLCKSISGGFTETEQDYMEQGVVARTRIVRRRGRTRKHKYPSKAAGHPAIRKRIGDGKQCDTQYTPCGCQQACSKNCPCVENGTCCEKYCGCTKSCKNRFRGCHCAKSQCRSRQCPCFAASRECDPDVCRNCWVSCGDGSLGEPPARGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKNPVHKNDYLGEYTGELISHKEADKRGKIYDRANSSFLFDLNDQFVLDAYRKGDKLKFANHSSNPNCFAKVMMVAGDHRVGIYAREHIEASAELFYDYRYGPDQAPAWARRPEGSKKDEASGSHRRAHKVA >cds.KYUSt_chr6.29905 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189407530:189410250:-1 gene:KYUSg_chr6.29905 transcript:KYUSt_chr6.29905 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLAPLPSRSRRRRLLAILSNTFSTSTAPPHRAPPPPPLPQLSPLLPRTEDSYASVSAAAADIAVSFRDWFLVPRAAEPLSALDAIYEALAAEDAAALEALPLTEELVLSFIRHRPRRLPDGDALLLLRLKFFDWSGRRPRYRHTRAVYHAVFRLLSRARRASVLLDWLRLFSSTTPSANQPRFHDTLVVGYAVAGDPQRGLGVLGRMRFRGIDLDPVSSRILLNSLVDASLHDYADSFARNLAASPVSTCIRIKSLCRRARLGDAVALLDTLPFAEASKGTAAGSIVTEFCRRGRFDEASQIVDKFSSCDVYGAWMHGLIEAGMLDTTLQFLSDKKEAEGYIPDGKRYDKLVYRLLSKNRLGEVYDLLVEMMEEGIAPGRSTMNAALCFFCKAGLVEVAMHLYRSRLELGINPNKDVYNNLIRALCRGGATEEACLVLEQSMADGYFPGRQTFAMFANVLCQEGKLDKVRELLDRALKQEAWPMDSILAKYLVALCKSGNVDEACTVPQIASSKNPAGLYRYESTYKSLIRALILIKRVDVLPKLILEMQDMGHIPTRSLYQSVVCALCEESRYGEVLELLENQLGRNELQPRVCYNYFISGAGHAKKADVAREVYSRMECAGIVPAEESNILLLMSYLRSKRIGDALNFFNCIHEKKAPGTRMYNIFISGLCEARKPEQAMVFWREARDKGLIPSINCYEQLVLLLSSVNDYDSVVKIIDDFRETGRPVSAFLCNVLLLHTLRGSGLLKAWTRSEDRSASIVASAEEIKGRGAGRILIGQLIELFASGIRNRSDLEVLEEGLEQFFPVDIFTYNMLLRGLSMAGRMDTACNMFERLCRKGYQPNRFTFDIMIHGFCKHGIRSEAERWMEAMYRNGFYPTWYTMRLYNNTSLRVHDQKVIQFV >cds.KYUSt_chr5.6304 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39003946:39004545:-1 gene:KYUSg_chr5.6304 transcript:KYUSt_chr5.6304 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVRQCDMEVMKMAMLKHEETFRQQVHELHRLYRIQTQLMAGDLSTRRQPRRRGSKQPRRALNLQLPADEYIGGTGDEDYEGCGTELELTLAVGGTTGTACKNKVSKRAEAHYNVGGGGFSSPFASDGSGGTSLSSSPPSSIEYSEGAAGVAFHGYVAPPPPCQRAMAFDLGVAEAMKQHQSPWQLVQCQYLSLRMT >cds.KYUSt_chr4.20398 pep primary_assembly:MPB_Lper_Kyuss_1697:4:128365394:128365897:1 gene:KYUSg_chr4.20398 transcript:KYUSt_chr4.20398 gene_biotype:protein_coding transcript_biotype:protein_coding MENYDAAVLPLRRPGLIRGFDLLKNKGVRRQLSKEELEKRREEQSAIAAVAIAYRTVVVSGFTVAMICLNHGDPPLLVWSVGFLLCLLFYSWSHHINQFLLETYNIDLAIFVLVVSLTMFFMSVLAASLFSSKCAGMVIVCLDVIFVAGYLLSRVANYVHKKKIEPT >cds.KYUSt_chr3.3530 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20004037:20006574:-1 gene:KYUSg_chr3.3530 transcript:KYUSt_chr3.3530 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAQGRRIGRRNSAARAAPPPRCGQHRHHHHQLLVLVVIVVCLVGGSSSNVILLAAAVPVRRLGPLGSETSSVAYDVVGSRPIPEEAATATPPSPSAVGFADDKRRIPSCPDALHNSNYLPGGRVAPLLPLFSLTSHGSRCLAVTSGIKSQRSSDHRTGGSYSLPPLSLTAVHWATRSHQDLGENSVHERHHAQPLKIDFAHQPRRSRRPFRLVD >cds.KYUSt_chr4.3225 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18434718:18435389:-1 gene:KYUSg_chr4.3225 transcript:KYUSt_chr4.3225 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTVRHMVEGEHCIVERAGARDRSAGAEDEVEGGQWVVQSAGARERSAGAEVEVEEQRIVQRAGPRDQSARAEVEVEEQRIVQRAGPRVYAGAEDEVEGGQGIVERAVSRDHFAGAEDEVEGGQGIVERAVARDHFAGADDEVERGQWIVERAGASEHSAGAEDEVEEQRIMEGAGAKEYSAGAECQKEDEVEAEQRMQKQHRLLLVAKMGWESEERVIVL >cds.KYUSt_chr2.54685 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341160001:341161006:-1 gene:KYUSg_chr2.54685 transcript:KYUSt_chr2.54685 gene_biotype:protein_coding transcript_biotype:protein_coding MFTHACFAGSGVPCARPLGAGDDDSTSIARMHARTRLAPGTDRCRKENQGASLSVPVVHSNRAEKPKPPSSGQVAMSRSRDVAVAAVLLLLVLLAATATAAINPQAEAPQDDKPLDHLAHRLPLLKEEPAARIASTEPAARLESVRPADDGLPLKEEPAARLESVPPVDHAAQGVPLKEEPAARTASSEPAAGIALVEKKDGGGDAALPMEAARPTSGVAIQGGDPGTVPSAGSDNGGSASGEHGKEQGGGSSKEAEKSASAAKSCLTKEECHKKRLLCGKGCTMSAHAKCAAKCSKACIPTC >cds.KYUSt_chr7.35992 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224930214:224933275:1 gene:KYUSg_chr7.35992 transcript:KYUSt_chr7.35992 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLEDVRNETVDLSTVPVEEVFKTLKCDKKGLSGAEGENRLKLFGPNKLEEKKESKLLKFLGFMWNPLSWVMEIAAIMAIALANGGGRPPDWQDFVGIVSLLFINSTISYIEESNAGDAAAALMAGLAPKTKLLRDGRWEEQDAAILVPGDIISIKLGDIIPADARLLEGDALKIDQSALTGESMPVNKYAGQEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIISIAAGMFVEVIVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVCSKGVDKDMVLLYAARASRVENQDAIDTCIVNMLADPKEARAGIQEVHFLPFNPVDKRTAITYIDGNGDWHRVSKGSPEQIIELCNMAPDAEKKVHALIDQYADRGLRSLGVSYQQVPEKSKESAGDPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSTALLGDKNVPVDGLPIDELIEKADGFAGVFPEHKYEIVKRLQDKKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLVALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPKPDSWKLDEIFATGVVLGTYMALVTVIFFYLAHDTDFFTETFGVAPIRDNNRQLMAALYLQVSIISQALIFVTRSRSWSFVERPGFLLLFAFFAAQLVATTIAVYANWEFCDMQGIGWSWGGAIWIFSIVTYIPLDVLKFIIRYSLSGKGWDNVQNKTAFTNKKDYGRGEREAQWAAQQRTLHGLSQPPTSDLLNNKEELSAIAEQAAKRAEIARLRDLHTLKGHVESVVKQKGIDIDTIQQSYTV >cds.KYUSt_contig_1539.3582 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:14668390:14669621:-1 gene:KYUSg_contig_1539.3582 transcript:KYUSt_contig_1539.3582 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASRLRDDLGAAAARFLAVRRPRRWPLQDAARPATRSPYGLDHNDLDAGGRPDTRLQRRPPPGVKASEDGAARTPWVRNTCDGKIGCEDVVGEKYDDSFPGEIKGATVVGGDIVDNLMDL >cds.KYUSt_chr2.19125 pep primary_assembly:MPB_Lper_Kyuss_1697:2:120347268:120347840:1 gene:KYUSg_chr2.19125 transcript:KYUSt_chr2.19125 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKKKAAAICCCALLAAFLLLGAALFAALYFAVLRPRPPRVVATRVDTQLGAFSVLPPALNFSLAIDVTVHNPSHAPFRYGEVATAVTYRGAAVGRSAVPAGKIRARSTRKVGARVQVDTARVIRNGHYVVDVVAGALPFEAKTAVVGKDAALWPFGVSADAEVACSVILYPFKRQSSSHCTYTVRVT >cds.KYUSt_chr5.16047 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103397354:103398591:1 gene:KYUSg_chr5.16047 transcript:KYUSt_chr5.16047 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMACTVDRRERAKVGSVHGMVRAEHASDGICLPYDLLLDILRRLPGRGLAVSRCVCRAWCDIVNAHDLLLPHVFPRDFPGVFARYHGYDTNFALFGPPLPRDAADEEPRYRRLSGDYWDCYLQHHCNGLLLITGHHRFSNRSMNPYVCNLATSRYARLPLPPTPWPCSTEGMFLAFDPAVSRHHEVFFFPTVKLLREEDDDDKWSAKAPCWTETEWQCTSLPNLFGEEEPSESEEEQQHESHSQEEPADSACQSNPNLISPRGTGEGIPRAGFLFTDIPVGEPGV >cds.KYUSt_chr7.17713 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109686535:109687182:-1 gene:KYUSg_chr7.17713 transcript:KYUSt_chr7.17713 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMAITTATLMLLVVSLLAPSTLASRSGPSSHHGHGSHAKHSPPSPPPPAPVAPVAAALVRTTCNSTAYYDLCVSSLAADPSSATADVRGLSAIAVSAAASNASASAAALGSNVTAQGGAAVDGTVQALLRTCAVKYGQARDALAAAKVSIAQQDYDYAAVHVSAAAEYPQVCKVLFRRQRPGAYPAELAAREEALNQLCSVALDIISLLSAT >cds.KYUSt_chr3.34302 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215200637:215201101:-1 gene:KYUSg_chr3.34302 transcript:KYUSt_chr3.34302 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWRAVMGSGAPSAQADPTDGVDFWRAPERGGWLDKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRASVPRGVIPVATCLTVKGAEDVLNRKFAFELSTPRETMYFVADSDKEKEEWINSIGRSIVQHSRSVTDDEIVDYDSGQPTTGDRS >cds.KYUSt_chr5.37876 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239248966:239251037:1 gene:KYUSg_chr5.37876 transcript:KYUSt_chr5.37876 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEELCARPTHGIPGSAHGIPVADLWPALSGAFRAAGLPPDLPVKRVLFARLIALPVINLHPDSPNKDVEAAERRGARLVATPDLRDNFLGLYDHRHSASRLSDNQRKTLEHVGATRTSGVTQTALGKCFGLEAGKFCYIVKSLQSRRLVVGNRAMVKSNSAGGGMKVVSTNSLHLSRYAKQSNVSSYQRIEITEREPGSDEEINVDALQEEDGTLNKSHVSVHDYLPAMKAVCDKLEEASGKVLAVADMKKDLGYRKRSGHRAWRSVDAKLVEEIGAQVDDQFMALLVKDEKFKKLT >cds.KYUSt_chr1.2894 pep primary_assembly:MPB_Lper_Kyuss_1697:1:17273132:17273698:-1 gene:KYUSg_chr1.2894 transcript:KYUSt_chr1.2894 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVGSSQLGPTTTELIFFPGLFPFFPPRDFIGVLPIHLHEPDAAGRHLHERAAACRLLRERASAGRHLHQHLRPSGTALASPSGAPPPSGTPPAPSPVGKGLRLAVRRAATGHHLHQRRRPSGGKGLRLAVGRAADRLIPLNWHHREGRASAPLIPLNWRHREGRASAALIPLNWRCREGRASALL >cds.KYUSt_chr2.39736 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246632837:246636706:-1 gene:KYUSg_chr2.39736 transcript:KYUSt_chr2.39736 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVGSSSAGPSAHMTVEPPCSTAAAEEDRHASVARGLPPRSDRPADGVNVRSEKSMSYRRRGCRDGGPKATIMTGHHNLRRSGGKRMDAGAAVVEGENEAAPRRAKWWYVTFHNVTAMVGAGVLSLPYAMAHLGWGPGIVALLVAWVMTLYTLRLLIEMHECVPGVRFDRYRDLGVHALGPRLGIWVVVPQQLIVQVGCDVVYMVTGGNCLQKFAQIVSPNSTPLHQSYWICIFGSSQFLLSQLRDLNSITAISLAAAVMSLSYSTISWAACLAKGPVAGVSYAYSTAGTAADAAFRVCGALGQVAFAFAGHGVVLEIQATIPSTPTKPSKMPMWKGTVAAYMVTAACYFPVAFIGYWAFGQGVSDNVLVALERPPWLVAAANMMVVVHVLGSYQVYAMPIFESMETILTTKFRVPRGVLLRLVARSTYVALTLFVAVTFPFFGDLLGFFGGFGFTPTSFFLPCILWLKIKKPRKFSASWFANWGCIVVGVLLMLVSTIGGLRSIIQDASTFKFYS >cds.KYUSt_chr3.36101 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226910116:226914343:1 gene:KYUSg_chr3.36101 transcript:KYUSt_chr3.36101 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGGADGEQLRLLSAAEAGDVGAGAPAAEKSWRLNFDGLRPPEARQERPPRGLHHHCLGVLGQGPEDVVAEYYQQQVEMLEGFNEMDALTDRGFLPGMSKEEREQVARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESTRSLISDGGEFSLTNEQEKWVVDIMLSVTLVKLALALYCRTFTNEIVKAYAQDHMFDVMTNIIGLVAALLANYFEGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSAMPLREAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHDK >cds.KYUSt_chr3.9191 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53693034:53695977:1 gene:KYUSg_chr3.9191 transcript:KYUSt_chr3.9191 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQVVADLRERCATPVSLLRDVAVEMADEMRAGLEKEGGSRVKMLLSYVDKLPTGREEGFFYGLDLGGTNFRVLKVQLGGNDQRIANRESRAVAIPPHLMSGSSSELFGFIASELAKFVADEDKGNSFSTGKKRELGFTFSFPVRQHSIASGTLVKWTKAFCIEDAVGEDVAVKLQTAMEKQGVDIHVAALINDAVGTLAGARYYDKDVIAGVIFGTGTNAAYVEKANAIPKWEGELPESGDMVINMEWGNFYSCHLPVTEYDQALDKESLNPGEQIYEKLTSGMYLGEIVRRVLLKLSLQSAIFGEIDHTKLKTHFHLRTPHISAMHHDETPDLKIVAQKLEETLGIAGTSLETREMVVKIIDIITRRAARLAAAGLTGILKKLGRDGSIDKRRSVIAIDGGLFEHYAKFSKCLATTLSELVGEELSKSVVVKHADEGPGIGAALIAASHSQYGNVEGNPDVKY >cds.KYUSt_chr5.19921 pep primary_assembly:MPB_Lper_Kyuss_1697:5:129285319:129286860:1 gene:KYUSg_chr5.19921 transcript:KYUSt_chr5.19921 gene_biotype:protein_coding transcript_biotype:protein_coding MGENMEQIQASLSNLMSRMEAMNVQMGNLGKQLEITQEIVDEVRKKQAEVVPTQPTPVGPKDPGPSANMTRQSGMPRLTNNGQPILTSLVSGALESSQTFVTAPNSPSQNHEHYVKMPKHDFPKFGGTNPRLWLDLCDTYFQMYQVPRYQWVCTAVLYLEGHAALWWHAFKRRCATVDWGAFASAVTIEFGTEEFDTQMAKLLQMRQIGTIMEYRMTFEACMYHLLSLDETLNNKFFVAQFVLGLKDELRTAVRLQAPSSVTRAVALARIQEEELENHRPRGRPMAGHKLPPPAPVPAIQQGPQRQDWPKRAGNDDYNRERQLRDFRRANNLCLRCGEKFGRDHQCKKPLQLLTIQVGEHGEIFTEDTVQALELLEEPAAPQRECHLMFSQHAEAGSEGSGTMKFRTLVGNQVCLILVDSGSSTSFVNANFVARAALPTVQVQPVSVKVANGELMQSNTQVSQLAWWMQGHTFYTDMRVLPLGAYDAVLGMDWLESHSPMGDCSGVPPSAPWL >cds.KYUSt_chr4.14371 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88607931:88608239:-1 gene:KYUSg_chr4.14371 transcript:KYUSt_chr4.14371 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKRLALPAVLVLLHLPFLLRPFYTGGVPDHLPPRAHPPNPANLLVRQYNAKCRRLPPLPRASAAHGRSRSYMGSPGFWLEEDQAVEDSVFGEESRAPSS >cds.KYUSt_chr1.1319 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7137538:7141339:-1 gene:KYUSg_chr1.1319 transcript:KYUSt_chr1.1319 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAGLVAEKAAATAFANVVMGRLNSELEKKYQMWKNIERESTSLQTDLGILAAAVDDYQTMAAHPSRTVVARVYGEEISELTHDIEDCIERFLHRVTCKAGASRARRSAHAIKTFRIRLRFAAKMKEFRNRVAAARERALNASLLADGVQAQATYETAQQVSYAQDHCHPVGIADATRELRALLGIEPNEDEAEGTNTTGAAAQQRVVAIVGFGGSGKTTLAKAVYDIAQKEGALRCVWVDGHLLDYKGANWVVKHIQGEISLGEVSSATSPTEYHEDRYLIVIDDIKEKHLMHWNTVRNAFRDNGRIIVTTATQSVANSCCNYPREEDRCTFGYVYSMRTLGEEDSRKIALMGRCSPDIVQGAAKLLKQCGGLPLALYSVACQLSCEKVLTGKLCNKLCNDLGTYLEREDEPNFARLRGVLRENYTSLPDYTVRTCLLYLGIFPMDHPLKKNVITRRWLAEGYARHHQGWHQILADETFKTFINRSIILPVVPISSDTEKTCKAHGIMHAFMLHKSMSKKFIMPYGSQHKKVRHFFIHDSSSGNSRTMPDIDLSRVRSLTVIGNAGDAISNFEKYKLTRVLDLEGCIDVKDYHLKDICNLWNLRYLSLGPNITRIPREIAQLKLLETLDVSKTRVNVLPVEAIGLPCLIHLIGKFKLQDQVKTERLPKECMLETVKGFVADKCQGLLLLMDHMKRLNKLKMWCDSEETEQGQVDMNNHISKAIQRYIETQIGEDNVRSLSLYFQGLPQATLPKFVALFRDLTKLSISTTLSVTQHLLSVIGEMPVLLYLKMTAKSIDDGFVIQDGKFRSLHRLCLELKVTGTSVLSIEDGARPEIISLQLICKDLVGLSGVEIMRLRELKEISLHPEVDEKTRKVWEAEARIHHNRPTVLFIAGGLHEKPAAVEEEPAHGYHIREGPRVVDEEPPAARSTANAGVEQENNATEPIKPGLMVNFAKGPFVLDAVQLQEVAPSAT >cds.KYUSt_chr6.2009 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11951345:11952680:1 gene:KYUSg_chr6.2009 transcript:KYUSt_chr6.2009 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIIPQNATNVIVESERKVKPKEPDELLEVLKDQCFYRHEGWWTYEFCYYGKIRQVHVEGEKVIQEYVLGEFDADATDAYHENHTSESDDEDHVKDTSKRTDQLDKGDILLQIRFDSPKPNALQEPIILAGKKRTFFINCNEVLAEVDTTVDEDSLPKEAQMSIVPDPDELHDYAAYAT >cds.KYUSt_chr5.32399 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205579661:205580088:-1 gene:KYUSg_chr5.32399 transcript:KYUSt_chr5.32399 gene_biotype:protein_coding transcript_biotype:protein_coding MLALFEEDPRRASHRDSTREAGALPASQDTSHVVSAEIHEEELRPGLKFPRRRLQGGYDVQNAVVARFGKPNLRHCPSLAKTSCADPFLSKR >cds.KYUSt_chr2.11973 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76061110:76062698:1 gene:KYUSg_chr2.11973 transcript:KYUSt_chr2.11973 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLPRQPLLQLLRTPPLVHLITTLALLQLPHPLCLEAEMRLFRRRDVCRSCLPLELDKLVADKHSYAANWAASSHSSLSASSGSTSYSADGGGVVEMVEVETVVEAAGHRRCGSALRRWYAGDEAWLAATEMHIFAEPNGIPAMIVCADQNAQERFQSNSPNRSNLDLESAAAMELTIEEAYPFRDRRKMWVVAVGLLLFIASGSSGLGIAAFHRPPPYPPVRHLPWQLAMFILWAVYVGLAAVIHCYIHIFLPLTPVTVEKSFRHIGLYFNMVLLGVLAILSLTVINHVGYTIACICINAVFLAGLLVFWRWIARKYRQVDLP >cds.KYUSt_chr1.10230 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62787019:62797040:-1 gene:KYUSg_chr1.10230 transcript:KYUSt_chr1.10230 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHDHVLSRAVRPAEQACDYARHELTSCNVWCSIMQAARDFECGAVTCGKTKHFDLQHQQRSFEAPQSAVDGAIAGAAAGVVVETALYPIDTVKTRLQAAKGISKIEWKGLYAGLAGNIVGVLPASAIFVGVYEPTKRKLLEIFPEYLSAVAHLTAGAIGGAASSLIRVPTEVVKQRMQLSEFKSAPDAVRLILRKEGIKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRDLKDAENAIIGAFAGVFTGAVTTPLDVLKTRLMVQNMENSISGMIEEQNEYVGYNSDDSEMEENLQHEDELESEDEFDIAYDEFDNDENHGKHIDDQNDENHGEHTDSLNTSEAQFDTQLEYDYYGESDLDTGHTDDVEGDAKR >cds.KYUSt_chr3.30095 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188362266:188368199:1 gene:KYUSg_chr3.30095 transcript:KYUSt_chr3.30095 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKPVAIRLKTEASRHPKFRQFIINLAQVAGAVVVFEVQRSARSENRKEEARKKEVEELKQKEDQLALEIDTLKLRLSHLERLANSQGLSGVLFRRGNGSVADQNKAS >cds.KYUSt_scaffold_2697.594 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:3830127:3833218:-1 gene:KYUSg_scaffold_2697.594 transcript:KYUSt_scaffold_2697.594 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDTNPVQDIVHVVHEDASYDKDVVEIKLPDSVVSSDYGGNFVKDVCIDEGQPPPQKFSEEKVVDEKFSPKFDHQMIHANGAPGYREKDCATKSVHELKPEIVLPLGFAPDSNNEKQHSSCEEHDPEGRSKATNFGEISEKKISLEELLRLESAEESQHKATVSTNASHGIEATASKTSELVNNSLSSTENTDDCTVTTSEECDVEAPPECSTSAITDAASAVPICNLEKTDDGSAEGFDKVEKAGSGVDAPSSSSSDIGSSEKSNDQNGSTAREAITNKVDETAVASTSSAGIVEPSGANVENKHETDGITDIHDSTQRDEGNSVHMMSTVSKSPTHAHDMDEEIAPDSAKAESQIGNGYPPSESGLFGPSIMSAPVSHSGHLAYSGSISIRSDSSATSTRSFAFPVLQRDWISSPVRMAKGERRRARQRHGWRKGLLCCDGVSVVLLLTESREIWKHLGLEENILAAARIDRSGADVLEELLCSDSPGGLAMGQENAKELIMVAGWYIWWERRRLVHDERIGKTQRSVLAIREIVGNELARKRNPPDKISLWSKPALGVLKLNVDAAFDVNVGSGAVGAILRDSSGMFIAAKNEFIRFAMDAPSMEASALRLGLEMAEHMGVQSVAIECDFMEVVQAVLNPSEYSGAVVIDDCRKKISDFGKATILHCNR >cds.KYUSt_contig_2309.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000295.1:7171:9583:1 gene:KYUSg_contig_2309.1 transcript:KYUSt_contig_2309.1 gene_biotype:protein_coding transcript_biotype:protein_coding SMSWSSVLEVQRSMLLHNWPSEKQDSCNDEHPCFTQEPKYLQSVPQRWCAALVAGEDAAVLHFHEHEVSRMDTLTDIANKYFVEVHIFVDTNIRAIAGLPELQFVNQVAYSRLVVGQLKGLDNLEDLCTWIESPQHEARV >cds.KYUSt_chr6.32805 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206705850:206706673:-1 gene:KYUSg_chr6.32805 transcript:KYUSt_chr6.32805 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLDTWLGDRPLYLVFPDLFAICANPSSLVAEVELEGWDFVFCRGLTQGEALSLDSLRDLLPDALPGGLDAPSWRLTPVRLLRDRLPSGVEVMKRHGPGNGLCPLCAVPETTTHIMFSCTAARFLWSFLLEALGPEWQASALGEFIEVRANTTGRRRRLFWLLFAALSWTLWTVRNKMVIERILPRRASDSVFSFLALLQQWYPLCRQRDKERLDGMLEDLLAAARRISTPSSL >cds.KYUSt_chr5.36040 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227689446:227692228:-1 gene:KYUSg_chr5.36040 transcript:KYUSt_chr5.36040 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYRGRGGKRLRTSPSDAEGSPEQPPRNRRRQWFSAYSPSPSPYRSPSPLPSPSLYRSPSPSPCRSWSQRLPSEDEDNADDRSRSRGSDEIDAQGRSLWRPNGYKGAGQRGHGDVFSVHIGGDDYDHLFTCKCCRRMLSSPVFQCPFGHVTCSRCDSEYGDNRCGSCGAANGYGRNRAVEEFLGRIRFSCHNKEHGCTTLLAHHEMRGHEESCCYEPCFCPIPRCGFAGLSDALKAHLIDNHHWFTVNFRYGESFQAHALMSTIMHSEDDGELFFLDSFREGRGTALSMICVRPDNAVAQEFVYELKTMARNGDRRHRLQMQSMARNTSLRNGIGEKEKVFLLVPNDAQLGGHVELLPCLAASPAPSATVPLPGHVGSYKLKNTQARGIRLQPNKPRSIYTDYSTYVSAIDLHVSHPMDMYLMSLGGHGVTTLCSAQQQRHGRLVRQRPDIRIPAPTRAKLARSVASTSVHRQIQGPDTSTNADQRYAYRRYADMPHFLLQYPFYHLVVTKNTYSKYSFL >cds.KYUSt_chr3.12968 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77846403:77846885:-1 gene:KYUSg_chr3.12968 transcript:KYUSt_chr3.12968 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMPSCLVDQGTKALLPATKPNRRMYSLNLLTKALHRMKKTPCGDRAAPTSKTVIGKSPPEAEVGGAGAKSVCKASYAKASPRQAAAAAARGGGHRKGVVRVKVLLSKEEAARLLSLTVGGQKNAAQIVAEIKRMEARRAAASAGWRPALASIPEESS >cds.KYUSt_chr7.30095 pep primary_assembly:MPB_Lper_Kyuss_1697:7:187311342:187311818:1 gene:KYUSg_chr7.30095 transcript:KYUSt_chr7.30095 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAADVKAEKAAANRSAGGGCDAVAAVGQGKKRADQAVAFHELFSFADKWDLALMSLGSLGAVAHGAAMPCFFLLFGDLINGFGKNQTRRVLRHGRRHGGHRLRGLHRHAARAGCHRREGRQLHPLPQRRQQRGGVGVWGNGEAASTSGAFDRTP >cds.KYUSt_chr7.32919 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205292269:205293813:1 gene:KYUSg_chr7.32919 transcript:KYUSt_chr7.32919 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKGSARLSPRSLKQIHGNLVVKGITSRLQPLRDLLLACVASFHGSMDYARKVFDGIPHPDLFMHNVMVRGYAHSNAPAEAFALYRRVEAVHLRPDGFTFCYLLRACTGLPASCAGYQVHGTVVKLGLLKDAFVRNAIINMHAKCGDLQVAGTLLDETGEGDVVAWSAVIAGHAARGELDIARQMFDSFRHKDLVCWNVMLAAYAKHGEMETARKLLDRAPETDVVSWNTIITGYAAKGLLKEALEVLGEMKCAGWMPDEATIVSLLSCCANLGSLEAGRMVHSLHLESWPCISIILGNALVSMYTKCGDVQAAVEVFNRMKERDVWTWNSIIGGLAFHGQAEQSIQFFKKMLEVGTCPNEVSFLCVLGACSHAGFVEDGQRYLSLMKDRYRIEPNARHYSCIVDMLGRAGLLDEAFAVLSSMRCEPSAVIWRTMLGACRIHGNATLGKLARERLLQMNEDTSGDYVMLSGIYASCGEWFGVETVRGSMDERGVRKVAGYAQVDNKMARLSAL >cds.KYUSt_chr6.8796 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54071617:54074124:1 gene:KYUSg_chr6.8796 transcript:KYUSt_chr6.8796 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHPHNLNNVPRDIPKPASADELVKNGKKKKSFMSNIFRKKGRSGAGSSEKRSTSRRDIVFDLDEKCGGERADATTMAEEFLDASPTVRKSVSERHCATRIESLTLSCLDSPSRQNVDTREYRVFVGTWNVGGKPPNSSLNLEDFLQIEGLPDIYVLGFQEIVPLNAGNVLVVEDNEPAAKWLALIYQALNKPQQQQQHQQTQDQQSSGDELSPTESSAAAASTSTSAQTLSRQGAGRERGPSIPKSSSSGALLFHKPSLKALSKNYRVNSALVKTCTCMSDPSTMIRRAREMRDFIYRVEASSSGGEEPDQYPSSSSSPGLGGFDAIGGGGGMNYCLIASKQMVGIFLSVWVRRELVQNIGHLRVDSVGRGIMGRLGNKGCIAMSMTLHQTSVCFVCSHLASGEKEGDEVRRNADVAEILKSTQFPRICKVPGQRIPEKIIDHDRIIWLGDLNYRVVLSYDETRALLEENDWDTLLENDQLMIERQAGRVFKGWKEGKIYFAPTYKYKPNTDTYAGETTKSKKKRRTPAWCDRILWHGRGIEQLQYIRGESRFSDHRPVCSVFVVEADVDNGSKIRKGYSTLDSRIHCESPLPIPQRHSFYDF >cds.KYUSt_chr7.3095 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18380817:18382413:1 gene:KYUSg_chr7.3095 transcript:KYUSt_chr7.3095 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWPCRAAQADDGAAVELAPAQVNVELAPAQASPAGAVAVPLHVPLHQVESVECMGFQFLTRTVGERREPPDAHVPVTELELEHDGGAIFSGFRLNVAPSSPSSAGDRESSVHAGGWPKANPVLWHVEDEPLSPTGPLLPTGSVGSLDSWRDHGPPSPMSYFTVELSQGAPPLRPQTAEVEEGEIVEEAVATTPAAAVSSQVAASPVAGPSTAAASTDVECKLSSFRDHCRARRDELLPRPAPRKPQKKRSSPSVELKDIRDLHPDPWAVAGDFNLIVDAADKNNANLNRRMMGKFRHLLSPSWT >cds.KYUSt_chr3.43612 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275221519:275221977:1 gene:KYUSg_chr3.43612 transcript:KYUSt_chr3.43612 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTGARGQSQRHLVLAALLLCGACAADALRASAISAAPAPSPSPSHSLPRPRHGGHPSIPPSLSPDIMPLLPSPGPGDDALAPSYAAATIPSSPSPPNPDALEPDSAFAPFGSAAPATVAAQSHAPRPAPAPARVAWAAAVGLVAAMWLA >cds.KYUSt_chr5.15350 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99236693:99237706:1 gene:KYUSg_chr5.15350 transcript:KYUSt_chr5.15350 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYAHYYKTCKGAEKIVRNVVQEEIKRNRGIGAGLIRLFFHDCFVQGCDASVLLDKTPANESTEKFGLPNIGSLRGFEVIDKIKTKLEAKCKGVVSCADIVAFAGRDATYFLSNKKVYFEMPAGRYDGRVSSASETLFNLPPPFANITVLEAMFAAKGLNLDEMVTLSGAHTIGISHCSSFGDRLPRNASDPMAMNPRFASSVTRKCKNPSSTVDQDFKTPNKLDNQYYKNVLNHEVLFTSDAALESSKTKRLVKQNLVPNVWETKFKQAMRKMGGIGVKTRANGEIRKNCRLIN >cds.KYUSt_chr1.27802 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167586047:167586610:-1 gene:KYUSg_chr1.27802 transcript:KYUSt_chr1.27802 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRLLRLLLITLLAGAAVFSSSPVAAARDVPAVPVAVVVSGAGELVVGDTEPSAYEMLERFGFPKGILPEGVTGYTLRRSDGAFQVFMDRDCEFEVDGGYRLTYQRTISGTVAGGSIRDLRGVSVRMFFVNWGIDQVLMADADHLMFYVGPLSQAFPADNFEESPQCRCRGVLDGVAHVGVAAM >cds.KYUSt_chr4.11945 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72545126:72549020:-1 gene:KYUSg_chr4.11945 transcript:KYUSt_chr4.11945 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRSASSSRLATLSSPPSPPRAASSSASSPLLSFPKADLFLRLHLDPSPSPDDDDDCHPAPAPFLDLHVSSASLNRSRYFAALLSDRWCPPPSSSSSPAGRLSLAVPVSSPSCPRPFHAHVEVLRLLHTLDFAGTIRAPADALDLLPVALQLLFDACVEACIRFLEAVPWSVDEEARVLDIAPLLPADEAADLLARVSLPPTAASVAAAAARSPSEAMLHGLIHSAIHGHPVPAATKAFVAMLLKDYPSRDCVHKVLDEAFLSRLDTVKELMGKYASPDFRIAVDSDEREAIQKLNLHSAVLNVKHLLWLIERMVDMRVADNAVKLWSEQVALAADLQKLLTDADMWRNMAPGLPMLVTRCTLRLANSVVIGETLVSRQVRMKLVRSWLPVLNVCRDIAQPMHSGYKSTNCQELEETFLQIISTLPVQDAQELLQQCLGFSTRSVDDCQHLVAAFKTWFRRAARAPQGGED >cds.KYUSt_contig_319.1068 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:7051982:7055356:1 gene:KYUSg_contig_319.1068 transcript:KYUSt_contig_319.1068 gene_biotype:protein_coding transcript_biotype:protein_coding MRATMPCLHGHGHGGKAVCAVAGCLAFVTFLVVALDPRTRASSWFLSSSSSSSPGVFSPLLPTARGSNGGSGASGGPLLATSSDGAGGDSGGKNSTGKEVLFTGGGGRDPLVASFANADSGYVDDQPPHVSVAPAPSPAPESGFDDAATLGGVIEVVPVIQQRRRNVKLERLELGLAKARSVIREAIRNKDNRPPLTDKDYVPVGPVYRNAYAFHRSYLEMEKLFKIYVYEEGEPPVFHDGPCRSIYSTEGRFIYAMEMENRMRTTDPELAHVFFLPFSIVKMVKMIYEPNSHDMSPLRRTVFDYIDVLSTKYPYWNRSLGADHFMLSCHDWGPYVSSADGHLFSNSIRVLCNANTSEGFNPSKDVSLPEINLRSDVIDKHVVGGPSASQRPILAFFAGGDHGPVRPVLLEHWKGKDDDVRVSEYLPQGVSYIDVMRQSKFCLCPSGYEVASPRVVEAIYLECVPVVIGDDYVLPFSDVLNWSAFSVRVSVPDIPNLKRILAGVSPRQYIRMKRRVRIVRRHFMVNGPPQRFDVFHMILHSIWLRRLNIRLHGED >cds.KYUSt_chr2.5781 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35913494:35914873:1 gene:KYUSg_chr2.5781 transcript:KYUSt_chr2.5781 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVAVVTVPFPAQGHLNQLLHLSLLLAGRGIPVHFAAPEPHLREARARVHGWDATALLAIRFRALDVPPHASPDPDPSSPFPTHMQPLFEAFCDGASLAALLEELSASHRRVVVLHDRMAAFAAAEAARLPNGEALGVHCLAASYNVGWMDPGHRLLREHGMVFHPADACATREFVALAKRMGQERRRAPGAGMVVNTCRALEGEFLDVLAAQSASSSDGHKLFAVGPLNPLLNPVPDTPAERHPCLEWLDRQPPSSVLYISFGTTSSLRAEQVRELAAALRDTNQRFVWALRDADRADMREPGGERRLAESAAALLGDAAAQGTGVVVTGWAPQLEILAHGATAAFMSHCGWNSTVEGLSHGKAILAWPMHSDQPWDAELVCKYLRAGILVRPWEERGDVTPAAAIREAIERAMRSDEGAALRERARALGDAVCAAHANGGSSSRDMDDLVAYVTR >cds.KYUSt_chr2.8079 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50812825:50813283:-1 gene:KYUSg_chr2.8079 transcript:KYUSt_chr2.8079 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQVTLPDEEAPSPRPSAAGCYNFLRAASTRHGHGGYRRLHSAAAASVVRVEVGTTAKARSVFHVEPAVLEAEPVRRLLAAAGRRIPGGAVAVAVDALLFEHLLWLATTTNGWLDGGDSAADDLSEIVEFYSEEEDDDDHQVQHHRHGLKR >cds.KYUSt_chr6.1967 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11713530:11714928:-1 gene:KYUSg_chr6.1967 transcript:KYUSt_chr6.1967 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPRDHAPLPINRPRSVLLAVEVPKRPGGVVTVSTVVGGDQAPLAAALGRLALKARLAMGLDGEGEDPRLVARVINASAAAGDEVKETVAGERRFFARVLNAEPVTGENGHLVGRFFVCSPIVDADFKDLTGLTGAITARPIVDADFKDLTGAITARPIAGEDAQRPSPGIAQKLARLCFPARIAREDHPPRPLLRSSKSLTGVCTTIVSKEGQAGAGGRLTLVEGGGLQLPNGRLLTMPMHSAASRLAVGIGAVAIRGSSTSGRRFYSKPSRGTELLPPSRAGGQSRRCGVKVRAFLMSEGKVAATEYGWKAWENTRKRLVSAFTLSNASNALHVCTFGYLGSSFDMQARHAQMRAAEELRWKAFLRSRPANETYFWSAYIWWKRETSKNAARRKALMKHHDERGEGPKKKA >cds.KYUSt_chr1.19778 pep primary_assembly:MPB_Lper_Kyuss_1697:1:116344578:116345223:1 gene:KYUSg_chr1.19778 transcript:KYUSt_chr1.19778 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNGATAEEGRKPLSEMVDDCVQRWFQDTLKEARRGDSAMQVLVAQMYNSGYGIPRNEHKFAVQRFLALDSVGWLNTLSSSHQANA >cds.KYUSt_chr1.42727 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261364787:261367225:1 gene:KYUSg_chr1.42727 transcript:KYUSt_chr1.42727 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIRHAARRISVQRVVEPPRLVNTGPVNPKPHGLTADQKDAAIRMALIDNKTEELYNLVAGFNAKYTVKGSVGQKYTNLMNQLSVQIQPRHDDPGWRSCRRSAIVHDRFETVGGLFIGYVLGDASFHWYHDRDLETKVGFKEILIKARALQSFSSEVDAEREAEEEEQEPVDDPEQASILESFRLAQDRRNATRSLDQADQQLLQRVMAVSHEGLPTEEAGRLLMEAKR >cds.KYUSt_chr5.33834 pep primary_assembly:MPB_Lper_Kyuss_1697:5:214933920:214935488:1 gene:KYUSg_chr5.33834 transcript:KYUSt_chr5.33834 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTGSRLASAVVRLPGRSRVSASPSPRRRSLSPSPSPRRQRRRDRSPVPSRDRRGDRSPVPYRDRRRDRSPSPYRDRRRDRSPSPFRDRRRQWSPYHNDRGRDTDRDRAEPPARRGGGEWSASDDDDEDLKGLTYFEYRCAKRQKLRKNMKRCIWKITPSPPRRDGEADEYHYSDDEEKEKKESPKKDSSDKSEGEEKKDSSESESGESDSLSESSESDYSRKKKKGRKSRHRSSKRSRRSHRRRRSSDIGSGSDGEDSEGSDDSEDSRDRRRKRSRKHKKSKRRGRSSRRKKSKSLDIPSDGSSEEVEVSGSSPVSMDSKKSDKSSRKKKSKSLDIPSDSEDSIPMDAVASADDKEVENTDEPEIDPEAIKFKEMLEAQKKTALENEMPVGPMPLPRADGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSADEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVSRTIGEETGPSHDPFAATDD >cds.KYUSt_chr1.33598 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204110451:204111463:1 gene:KYUSg_chr1.33598 transcript:KYUSt_chr1.33598 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLGAAVSGPATTALSPPPPQVGQACGLCSRAPPLPSEPAAAPASAPSPTGELLLPRGVPSWSSAADAAVVDDEEDEEELAPQTPPPSSTPPRLLVCPVQVGGHPVEVAPAALPVPPARAAPVVPSSKASNAIGAEEYAEELGPPSLRSAADDGEAGAGALPLAATPWPASWVSSADNDDQDSEEELVPRTPPATKSFIVGADVEKVDGKDMESVGASMMVGRRCSRCLENSHRASDCRNAWRPLSLLAGLAASSPCQATAPRRAQVEVSLPFDVPRYRSWASVVSAL >cds.KYUSt_chr2.25753 pep primary_assembly:MPB_Lper_Kyuss_1697:2:157514659:157517758:-1 gene:KYUSg_chr2.25753 transcript:KYUSt_chr2.25753 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFCAAAFRLTFPPSAPVPGFGSGRARRGVVAVRAEAETAGGSGINPAIRKEEAKVVDTVLAGELSKPLTPYCRKGGIGAPGKVFCLRSQNKGGGLELLLEKSTKVHKVDVPPMDDEVKATMKGLLSWVKSNLIKERPEMFIKGDSVRPGVLVLINDCDWELCGGLDAELEDKDVVVFISTLHGG >cds.KYUSt_chr7.28619 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178490884:178494558:1 gene:KYUSg_chr7.28619 transcript:KYUSt_chr7.28619 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAFWWLWSPRRGVVRANVAGRPCKREWAPRLRLIGADLGRAAPPAGGHRRAAAVRSCGGYGRKQDEKGDPGSKEGNKGVWEVRMLTRNTQACLVSPEEGHPRQNRRKTSLESEKGTANWARFRVPWADSLQRRTETKTAHLLVVVARLDGHGQVLRGKRVCMGWTFGPSFWLGPSFSRPALDRDRVGPVFLARDGLKARLARPEPARKLVTFFYVLREGCNLGWFLAQKRGWGSHDTHESSSLSQHIDGGAGAIPPPGSGIWSCPGAAGRTVLSGRALGGGGPWVRSSVTVGNGAGGDGGLEEQGSTVRARKLELMRPWPSALGMEPESSMEAAADVVDAIAIAAGASPIYLSASSPSLPLAWAHLAVTNPSWLASQPEF >cds.KYUSt_chr2.54030 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337268208:337270822:1 gene:KYUSg_chr2.54030 transcript:KYUSt_chr2.54030 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKAKASARAAVSQGKKQLPASAAAVTERRRAEMAEEGLRTVMYRSGGTPLHQLGRVPEHCGPSPEGPGPTSSPGSLPALRVARRCSPVGFGRQHILAHPVGHSTATTSTSATEMADAPVTYEDLTEENKKKYDELKALFEADLIDSFERTRTHGIRWKGFSA >cds.KYUSt_scaffold_6468.699 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3376053:3376754:1 gene:KYUSg_scaffold_6468.699 transcript:KYUSt_scaffold_6468.699 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKVLLCHIAEPDGPSWQEARSRCWLHGRGQGAAVPAWLILAARRPDASEMTRHTSMALRPASGDDDDAAALACAAPLQAKVRTAEPDEPSWHVATPTPKTSHAPAAGRSHWKRIISLPSAPHRAAASCARAAAVGVLEPPREHLTAPPQSPVAQARVDAPEATQPVRAEKRPDQPVVPDGGAGGQLEDCVLQAAACHFETNQEEREDDFDEGETLFPCDCGSHVWCAERL >cds.KYUSt_chr6.2602 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15162798:15166547:1 gene:KYUSg_chr6.2602 transcript:KYUSt_chr6.2602 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSRYAHSPAHLAVARRDHTSLRNLVSSLPRLPRAGEVTTESQSIAAESLADAVSAAIDRRDVPRRETPLHLAVRLRDPVAADILMTAGADWSLQNADGWSALQEAVCTREDAIATVIARHYQPLAWAKWCRRLPRVLASVSRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGAALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELLPGSLIVLSHKDKEITDALEGAGVQPTESEVAHEVALMSKTNMYRPGIDVTQADLVPHVNWRRQERTEAVGQWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMEGEEKNSRNTELETELDDVLTAEERKQLDSALRGNSHDEEPEDRAEEGADHHSDANGGAKDKKGWFWGGKKGGAKSDEKPPKAASKDESSDPGKGKEKGSSKKKKGVSSGDPNKLESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPTGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPTSPTQFQDAKSKDSEGSASWYSWVRGGRGAQSSDSGDSRNFKDEVDPFHIPSEYTWVDANEKKRRMKAKKAKNRRGAARKQSSKSTSSEGGQRPMMDGFE >cds.KYUSt_chr7.36112 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225698018:225699883:1 gene:KYUSg_chr7.36112 transcript:KYUSt_chr7.36112 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRAWFDQSVDLSGVNVAYIALLPKTEDPQQIKDYRPISLQHSIPKLIAKVMANRLQPKIKTLVDSMQSGFIKDRSIVENFAAAIEMIQSGNKLKKPIIALKLDFQKAFDSIHWEAILHTMTLRGFPPKWIAWVKQLLTTSQAQMVINGQCGRKFKIQRGVRQGDPLSPYIFIIVADIMQQMFRRAYESGLLRHPIQQGSPFPALQYADDTLLIIHGSVQQALIAKQILHAFSEFTGLQINFQKSTFVPMHMSELQATQAASILGCTAAALPCTYLGLPLSANRISKQLLQPVINKIQRRLPGWMPRLMSSGGRIQMINSVLSAIPNFFMACIEWDQGSIDAVDRLRRAFLWKNKDKILGGHCLVAWDIVTMPKMQGGLGIRDLRIHNKAVMATFTAKLLSNGTGPCFSWMANWHLQDTIPISPSRHESHIWKSIRNLIPTVQAATFCKQNPADRTSFWKDNWTAMGRLYFCWPVLYSFAVDKDCTVASQLLGNQWNIALHRPLSFTAEAQLQGLMDMISSFQTTIQAQGQARLMVTTGKPPTTKDFYTLFSNRGLIWDRYKWVWQAVIPLRHKFFLWLAFRGRLNTKDNMTNKCWTKDAGCDQCPALESIHHIALHCR >cds.KYUSt_chr1.31672 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192104078:192110773:-1 gene:KYUSg_chr1.31672 transcript:KYUSt_chr1.31672 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGDLCVVVILVALLPCLDVALGQSTDPSEVDALRAIKGSLLDPMNNLDNWNRGDPCTSNWTGVFCHKTSDAHLHVTELQLFKRNLSGTLAPEVSLLSQLKTLDFMWNNLSGSIPKEIGNITTLKLILLNGNQLSGSLPDEIGNLQNLNRLQVDQNQIVGPIPKSFANLRSAKHIHMNNNSLSGQIPSELSRLPVLLHLLVDNNNLSGSLPPEFAGAPALKILQADNNNFSGSSIPNTYNNISTLLKLSLRNCSLQGTIPDLSGISQLVYLDLSWNKLTGSIPTNKLASNITTIDLSQNMLNGTVPFNFSGLPNLQILSVENNHLDGAVPSTIWNDVILTGNRSLILDFQNNSLKTIPAAFNPPQNVTVMLSGNPVCGNSSGTPIDNFCQPRSANQQTSKQIQGTSLNCSPCPTDKNYEYNPSSPIPCFCAVPLGVGLRLKSPGITDFYPYEGAFGADVTSLLELFVYQLHIEHYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDLFGPYELLNFTLGSYADEYPNAASSGVSKAAMGGILASTIVVAFALSTVATILIMRRRSRHRSRTVSRRSLSRFSVKIDGVRCFKFEEMARATNNFDILAQVGQGGYGKVYRGTLDDGEIVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSSKSKRSPGFGLRLHIALGASKGILYLHTDANPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDIEGTLAGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGMKPIEHGKNIVREVNKAYQSGDISEIVDSRMGLCPPDCINRFLLLATKCCQDETDDRPSMSEIVRELEAIMRMMPEVDFVLLETTGTDSADMTKSLSTASATGTSFVSQTSGSVNANSGVLSGVLTPR >cds.KYUSt_chr7.22728 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140983734:140984540:1 gene:KYUSg_chr7.22728 transcript:KYUSt_chr7.22728 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPSPHASTTSTMISITTEGEPRPARDWDVMTQDEKERIERKLDKILEMMRSRNASPFMTSAVPTGAVTVSHGDTTTAALALGVEVPSSGPGSSATAGASPVCSNTSVVETTGLAAPTTTRCSTEGPRRDTIESRPAFAPATTLTSPTATVDVVPKLVATAASAIGDAASLRATRSSECPSSGASSLVTLEAIPRTATTPLDVAATKQLKPFSVIDSVCWHLTVLGLPHQWRHGLDDVVSLERVDVVAFAMVGIIYIDPGDHRQPP >cds.KYUSt_chr4.639 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3393350:3393571:1 gene:KYUSg_chr4.639 transcript:KYUSt_chr4.639 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDTAATAAARVCRRCKGNYSPSDNTPQSCRYYELKDGDPPYVARFYDCCGAEDPDAAGCATASHLSYDDPE >cds.KYUSt_chr6.12260 pep primary_assembly:MPB_Lper_Kyuss_1697:6:76514954:76519071:-1 gene:KYUSg_chr6.12260 transcript:KYUSt_chr6.12260 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTSPSTSTAAAAAWAFAAATCVKLLLVPTYRSTDFDVHRYWLALTHALPARQWYTDVSSQWTLDYPPFFAHFSRLLSLPAPLVDAALVSLPVPDAPPSSAAYLLYLRLTVAFSDLLLLASVLLLVTDARRRQRPFFALVLVLWSPALLAVDHVHFQYNGFLMGLLLLSLHFLEQGKDLAGGVVFAALLCSKHLFLVAAPVYFLYLFRHYCCGRGVVRGLGRLVLMGAGVLAVFAMAFAPFVYYGQMQQLFSRLFPFGRGLFHAYWAPNFWVFYIILDKILAFLLRRLGFDIEMPEGSFTRGLVGDSSPFAVLPKVTPMTTFLLVILAMTPCLVKAFTNPQPKHIIRWVAYACSCGFMFGWHVHEKASLHFTIPLALIAMDSLDDVRHYFFLSI >cds.KYUSt_chr4.43536 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270003581:270004888:1 gene:KYUSg_chr4.43536 transcript:KYUSt_chr4.43536 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEVHDAGLALGLSLGGGGGDSAAAAHRSSRQARWEAPSMEPSLTLSMPDDTTTVTATATASGGGGHSVSSLSVGVKRERLEDAADLGEMVSSTAAAGAEDDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQRELQELRALKYAPPPPPTNASNSGPPSSGAPPFYMQLPAATLSICPSCERATTAGGKVDPDRPKATHHFFNPFTHSAAC >cds.KYUSt_chr3.41224 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260174913:260176246:-1 gene:KYUSg_chr3.41224 transcript:KYUSt_chr3.41224 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQPPLSSSARQDGLPGASASSTPPSQLAVETPRTLESAVLQPAMPPQQASSPPLQRASSASPERLATQQPDTATLATASLQRPMGQPTIGPVHQPALQQPAIGSDHQPALQQPATSPSSSLQRSSEDVTHRRVVEEMQASPQAQRHQSVMVLEEVQVDTHLRHLAAGQRTLGASTCPTAGSLESAVRPPRAEGQADGEEEAPSSTLLFPALGGSPGAGVIQGATSLSTPVSTASPTPPAFSTSPAGSPSRSTSPSPVSTLQQLPPMVHPPVVQPTVRWSGRPETTIVDDDEEDDILDGQLLSAIIGNISEVNLEHAELSSDLQASERGSRSSAGKKSRRYGKNTKSKIVS >cds.KYUSt_chr5.30833 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195272028:195276750:-1 gene:KYUSg_chr5.30833 transcript:KYUSt_chr5.30833 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAFLASWPWENLGMFKYALYGPLVGRAVASRAWERGSPDHWLFLLLALFTLRALTYQLWSSYSNMLFLTRRRRIVRDGVDFEQIDKEWDWDNFLIMHILIASAAFYAFPSLRHLPGWDARGLLVAAILHVVATEPLYYAAHRAFHGGRLFARYHALHHSSRVPTPFTAGFATPLEHLVLGALVVLPLAGACAAGVGSAGLAFVYVFAFDFLRAMGSCNVELFPAGLFRAAPFLRYIIYTPTYHTIHHTGKASNFCLFMPLFDRLGGTLDAASWELQEKNRAGVDEVPDFVFLAHVVDVMQSMHVPFVMRSFASTPFAVRAFLLPCWPISLLFMFMVWAWSKTFVICYYHLRGKLHQTWAVPRYGFHYFLPFAKDGINDQIELAILRADKMGVKVVSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKGTTEVFMTGATSKLGRAIALYLCRKKIRVMMMTLSTERFQKIQKEAPEECQQYLVQVTKFRSATQCKTWIVGKWLSPREQRWAPSGTHFHQFVVPPIMEFRRDCTYGKLAAMRLPKDARGLGSCEFSLERGVVHACHAGGVVHFLEGYKHHEVGAIDVDRIDVVWEAALKHGIRPA >cds.KYUSt_chr3.26420 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164503654:164506859:-1 gene:KYUSg_chr3.26420 transcript:KYUSt_chr3.26420 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAPQVIDLESERGHPHVHSENLIRNGNDLSDQSGQHAVRVVGNAANVGLPEMQGYYNMSMNYPHQPVHNSPPNLGVDSGLVLPSSMYNPCMSTSMNRYVSHAHSFGLPLNQAVSGSMGESTRNETVGESETARGFIKRKNVAAAGSCHFVNGFASSSSSSHASQNPTHRPWDPSFESNVFPNVTQFNPSEYQSRSTWPSVEGSSITGTSGFNSMAAHPESAQHGNYTFHQSSQASQCFQPATSAWVSQSANGIAEGVPHWAYVNTITNVPGRFAHSGATEIVNGGFHEYQNGPSTISQGPLPYFHQHAVHSMQAHNPLDHTRMQIPYQQCHNNGVLHSGINHSGNRLHLGPRTPVLFSNSERSFGPPQHPFLVNPVNHRNRGTMMDFSRLYEVSSVVDEHSDMRLDIDSMTYEELLALEEHIGDVNTGRVQGCGLYWNPGLWSQIPCGVRKTLAYGKEPMPHLQDNSSVCG >cds.KYUSt_contig_1253.546 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3308265:3308600:1 gene:KYUSg_contig_1253.546 transcript:KYUSt_contig_1253.546 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSGPIWGFGGPVSRLGGGRPICLLQRSGRVALLRRVLGVLVDGNKVVLCAARHAYDQGLLGPVVSVETPGESLAPLVPMLAVPLGTVSPVEGFIGEPGLLLSRVVAFSG >cds.KYUSt_chr7.19910 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123405980:123406540:-1 gene:KYUSg_chr7.19910 transcript:KYUSt_chr7.19910 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKPIVVFLVHLAFTFATRCRLLEASCQAPSFTVEAACRAAAGTEYMYELCRDAMRDMSAPENQVSLYALVAAKRALASYGDTVQALAGMLRNASHQDERKAYMLCADRYRDSGDTMRGVADELNGCRFAGLGQRYRDGIAQLESCRDRLFKAMSSPLYAMNLLDRNKAILAYFVGRLLAGVDP >cds.KYUSt_chr6.19886 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125409762:125412559:-1 gene:KYUSg_chr6.19886 transcript:KYUSt_chr6.19886 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIGSLRALVLRAAAGAGAGRRRGARVLCGRAVDACVTPRARGFRAVAGARANMMLDPSSDADSAAPAGQLQLQRRTAAAVAQPQDGGYASGGWQREDGKLKCGYSSFRGKRSTMEDFYDVKLTEIDGQAVSLFGVFDGHGGSRAAEYLKEHLFENLMKHPKFLSDTKLAISETYQKTDADFLESESNAFRDDGSTASTAVLVGGHLYVANVGDSRAVVSKAGKAMALSVDHKPNRSDERKRIENAGGVVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEQVFDGELESLVLASDGLWDAVENEEAVSLAKTEDVPESAARKLTEIAYSRGSADNITCIVVQFQHDKTG >cds.KYUSt_chr1.31435 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190645753:190647455:-1 gene:KYUSg_chr1.31435 transcript:KYUSt_chr1.31435 gene_biotype:protein_coding transcript_biotype:protein_coding MGADFGVRAKTAILLTLVPRHLPVLIPWYMLPNRGLLLAFRYCISFFATDATGESEFVMFEKVAAGAVGRQLMNLMRQRYPGYYTVDELANVARHDTAIPAEIERLVGHKYKLLVSISKKWNSGNSENLNFQVCRIEETYKPELPPLAFAASSRSAGASSSTGGSGSRLLPLGPTLSHVQHRAATFGGSHSSGNATPPSPIASPGTPAKGSSAPKRGTKRSLFSSPPKRNTQLADACAAAAEEEPAPVEDDGITTDVEKNGPPPNPKSKRSPSALPYLQLWCLLRACLTWTI >cds.KYUSt_chr3.34870 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218615046:218619652:1 gene:KYUSg_chr3.34870 transcript:KYUSt_chr3.34870 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGQMSFSGGGGQLGQQQQLAARAAMLGQGQLGMMQGQGNAVSAAHYGLQSQMMSQPRQKGIVQGAQFNTANTAQALQGMQSMGVMGTLGMNQMRPNGTIPYSAQQRFAHAQMKPQQVSQQGALSPQKVAGQGLSRTASIAALNSQLPGASQNGQMMQMSPQQQQQWLKQIQSSMASPVSSHQLQQQQRMILMQQLQKSGLSPHQIAQAQQQHPHLNAQQLMHQQQILQQLQQQQQQQSPRMPASGSQKSANLTGSQPGTPLSGGTMAGGSGSQGAEGTSQLLGKRKIQDLVAQVDPLGKLDPEVEDLVLEIADDFIESVTAFACSLAKHRKSSVLEAKDVLLHLQKNWHLTVPGFSKEDKNPQRNYVKAVVDAQQLESEAAAVRSASNKQVTNNSIANNQTRGPLAEPSPTPTVGSLSKIPRF >cds.KYUSt_chr1.30305 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183451711:183457711:-1 gene:KYUSg_chr1.30305 transcript:KYUSt_chr1.30305 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSGDVRGGKEAVGGGGSGMGRGPAAAGHGGANEAVDHFFQGHGLRGLYTPLELSFSAAKLRNMDALSKSDPMLVIYAKRDGRLEEIGRTEVILNSLEPLWITKAMINYQFEMVQPLVFKVYDIDTKYHNTPVKMLNLAQQDFLGEACCNLSEIVTKFNHSLTLNLRSGSGHALLSTMTVHAEETSSSRMAVEMTFHCQNLENKDTFSLSDPFLRVSRLSENSAAIPICKTEVVMNNLNPVWRPITLTSQQYSSKDNPLLVECFDFDDSGNHELIGSLQTTISQLENLYNSKTGANFYSNKGQKKMKGQLFLNKFHEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRTPQSLHYIDPSGRPNSYQQAILGVSEVLQFYDSDRRFPAWGFGAKIPQGFISHCFNLNATTNDCEVVGVDGIMSAYSSTLYSVALAGPTLFGPVVTKAAEIANHAVQYSNNKYFVLLIITDGVITDVQETKDAIVRASDLPLSILIVGVGNADFTQMRILDADFGKRLESSTGRIATRDIVQFVPVQEVQGGTVTVVQSLLEELPGQFLEYMRTRDIKPLPPQQASAPAYAAPPPPQL >cds.KYUSt_contig_1253.917 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5783477:5784633:1 gene:KYUSg_contig_1253.917 transcript:KYUSt_contig_1253.917 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVPPVLTSPRNDAIALHRAFRGFGCDSTTVISILAHRDSTQRALIMQEYRALYRQDLYHRLSTELSGHHKKAMLLWVLDPVGRDATILNQALNSEITDLRGATEVICSRTPSQLQVMKQTYRALFGCYLEHDITERTYGDHQKLLLAYLGVPRYEGPQVDPSAAAYDARELYRAGERRLGTNERTFIRIFSERSWAHMASVAAAYQHMFTRSLEKAVKSETSGNFEFGLLTILRCAQSPAGYFAKLLHKAMKGLGTSDTALIRVVVTRTEIDMQYIKAEYHKKYKRSLADAIHSETSGNYRTFLLSLVGRDRY >cds.KYUSt_chr1.26264 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158229058:158231741:1 gene:KYUSg_chr1.26264 transcript:KYUSt_chr1.26264 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSSLSPVMARSPELGFAAAVREPLVRLQRPRFDFERWDWEYFAWPHDRPDANLEMRDSDPTATFEADRKEIEGFLSRSTLQLEASQSTAELEASQSTPHLEAIQGILDQTMLHLEADGGIPSQSTPLPEASEGFPSPRTPKLEIDDDMLFGHGAPWRRGCAESNPFLLEHCKALQGRCAF >cds.KYUSt_chr4.46893 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289970461:289971642:-1 gene:KYUSg_chr4.46893 transcript:KYUSt_chr4.46893 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEVRMTMTDEERADPRHHPDNYTRWNSYFLRRWERELAAYDGPPPPPARNNAAGRRRWWSAPERSLANVIAHIEAGNSPVLTMPPPSASRASASRRRGSAWQPRRMAASSSSSGSASRSSLAPVKREEATSPSTPVRVKKEPASPPTTERRSSGALVIREQPSAPQSGRKKTKKEAAASQLAEEEAKRAEDAAMAEAIARSLHDMEEEKRADDAAPDRAERDRERQQAEEQRDLPDPAAARQLAARAAPTANDDVARYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGGSSQSRASKANDDGSDDDGGDYTVFYRHFGM >cds.KYUSt_chr7.36504 pep primary_assembly:MPB_Lper_Kyuss_1697:7:228125253:228128078:1 gene:KYUSg_chr7.36504 transcript:KYUSt_chr7.36504 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGGRELPNTPTWAVALVVLVLVLISVALEQALHKLTHWFHKRQKKALGEALEKMKAELMLVGFISLLLTVFQTPISKICISHKAGNVMLPCKLPAPYAGSGDDGEKDNRRRLLSFFQDETHRRSLATAVADVCASKGKVALMSTSSLHQLHIFIFVLAVFHVAYSVISMTLSRLKMKKWKKWEAETASLEYQFANDPLRFRFTHQTSFVKRHMGLSSVTGVRWIVSFCNFISSCDFHLALVAYVRGVLCQVAFFRQFFRSVTKVDYLTLRQGFINAHLSPNIKFDFHKYIKRSLEDDFKVVVGISLPLWFVAILTLFLDFKGISTLTWITFVPLVILLCVGTKLEIIIMEMAQEIQDRATVIKGAPVVEPSNKFFWFNRPDWVLFLIHLTLFQNAFQMAHFVWTLATPGLKKCFHENIGLSVMKVVVGLGLQIMCSYITFPLYALVTQMGSNMKRTIFDEQTAKALQNWRNTARERKKTRDTDMLTAQMIGDATPSRGTSPVPSRGASPVHLLHKSKKRSDDFESAPTSPKNEMEASDMYPVVAHRVLKLNPSDRRRSASSSALDSDVSAEFSFSMQH >cds.KYUSt_chr6.27663 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175497572:175499535:1 gene:KYUSg_chr6.27663 transcript:KYUSt_chr6.27663 gene_biotype:protein_coding transcript_biotype:protein_coding MSERADEPGLRAGGGRRTRKKARPGASTEFRGVSRRPSGRYGAQIRESKGGVRSHRWLGCFDTAEDAARAYDAAAVELHGEAAITNFEQLAPIGDGGKTPLLAELSGQEAGTELRRGHLHRSAGQTWGSKGKAALSLGCSDLTPAEGRQVDELLMDMDSTDRIPVTVKFKLPHGWHISNAGYAIPLPPLPGPEKRALIAERRVHMMPAERSQPAHA >cds.KYUSt_chr5.13442 pep primary_assembly:MPB_Lper_Kyuss_1697:5:87465964:87472960:1 gene:KYUSg_chr5.13442 transcript:KYUSt_chr5.13442 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPCTLLVHFDKGSAAMANEIKADLEGSDVAAKVEAMKRAVMLLLNGETLPTLFITIVRYVLPSEDHTIQKLLLLYLEIIDKRDSAGRGLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLSEPEVLEPLVPSILDNLDHRHHFIRRHALSAISSIYRLPHGDQLIPDAPELVERALASEQDASARRNAFLMLCLCGQERAVAYLFSNSERVTEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIISLLSSSSTAVVYECAGAIVSLSSAPTAVRAAANTYCQLLSSQSDNNVRLILLDRLNELRTSHRDVMVDVVMDVLRALASPNLDVKRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQSGELEKGGEYRQMLVQAIHSCAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRMSMIQRLSDTFYQIRASRVCSIALWILGEYSLSLAEVESAISTIKQCLGDLPFFTVSEEGDTTDSNKPAQPMVNSVTVSSRRPVVLADGTYATQSAATEAVSTSSVTPGSFASTLNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKVEANKACTGALLVMTSILQLGLSSYLPQPIDNDSYDRIVLCVRLLCNTGDDVRKIWLKSCRQSFAKMLAEKQYRETEELKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDEVQDDLKAATGGFTKETDDANRLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQDEKEFLNHVIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQVDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >cds.KYUSt_chr1.11168 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68353540:68355648:1 gene:KYUSg_chr1.11168 transcript:KYUSt_chr1.11168 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTRGLPSWCVLLLCLCLAAAAETLAEPLPSYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEALGVPLLPPSANKGTNFTQGANFAVMGATALDLKYFRDNNVWSIPPFNTSMNCQLEWFQEVKKTICSSPQECSSLFGKALFVFGEFGGNDYSFAWKAEWSLERVKTEMVPAVVASMIGGIEAILDEGARHVVVPGNLPAGCIPITLTMYATDDRSDYDPRTGCLKKFNSVALYHNAMLRIALDQLQRRRPEARIIYADYYTPYIQFARTPHLYGYRRGALRACCGGGGPYNYNMSASCGLPGATTCDDPDSHVSWDGIHLTEAPYRFIANTWLKGPYAHPPIASLLRDDMVY >cds.KYUSt_chr3.9445 pep primary_assembly:MPB_Lper_Kyuss_1697:3:55539056:55539715:-1 gene:KYUSg_chr3.9445 transcript:KYUSt_chr3.9445 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKQCEGCAARIHRPGQGSPLSPAHKIRSRWLGLDLRAKTNFPIFSRLSFPHLPIELIPQTLVAVAVAVAVAMEEGDHHQSSRKRAPETRDDSIDGASSDLAAIRRRTKPARFVSAGMWHGQSIAEKDRDKQLRMAARRRLAYVEVTDPGAGLPPGYLEHSKGAKMMAAMGYKVGMGIGKEPGIRIPVERPRPPKAGLSGIQEPTRGHDASVSAADC >cds.KYUSt_chr4.52781 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327409400:327416707:1 gene:KYUSg_chr4.52781 transcript:KYUSt_chr4.52781 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARRHGGHSELGGPSSSGGADLISALPDDMIIQVLVRLPCAGVAARTSLISRRWRGLWTRLPDLIFRDVVPGLLLAALSSLGPGAASLSLLDIHVPAVLDVVNRVSPSSRAGLRSSLRRRWPANYVSSLLRAAARLSPAALRFSHPLNLEKPYVDVDLSACFHVTTSIELHAAFLCFPGPQFELPALQSLSLSGCRISLVALVPLCPRLSMLKVVDAFLPADDIIIRSASLQELIMENNVMGAWTGRVHVEAPALKQLTMHLRTGSDLSVSVSAPLLEKVSWRCMYSGAFIGLGIWGLLAARLETEKSNGQLGDDAPRVQVLWLHMFARLSRHRDRFCHGDRETYDYGLLWLRGEEGSGCRLRSIYGGWDSDPAGVESDGATDMAAEKSLMKGRCTPLVSCSCDEPKDWTTQTISLTNLEKVEIKGFEGQDHEFDFLKLIFRCAPVLIKVGLELSEGFIPNDDWCTEIQNTFMAYPSVEYSVDHCPEQCDEIWDLSHRRSLVIGCVPAVPAPLPLSTGTMEGAPARWQVKFCSFLSKRPLSADSDSGFLYLRPHARRLALMDQEGLLVDACFLRSGEVISLGSEISLPCHRVKICSQDQPSMRVMADHESGPALDLRPALICEASPESVPLAR >cds.KYUSt_chr2.49930 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312293795:312295627:1 gene:KYUSg_chr2.49930 transcript:KYUSt_chr2.49930 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCYSDWPPLPPLHPSRRTSPSTSLCTIKRQLATFVLHCSRSCASPVLEPKNFSGELHVLSAPSPAPPAPVPPVRDAPKLGISNKFIRGLCSDRQTEQLAFECYRRALQQPEFRPDKKTMNALVVQLLRAKQWSSLEHLVEDLRAYGVLPERRTCARLVASCVRARKFGLADVLLGVLEGKKGPTAAVCFSSALQAYNKLHMYRSTVLLYERMKAACLSVNADAYRAVMAAFGALGEPDLVASLFKQYKARKWYPSETCLETYTIACDALGRAGRALDALKCLREMEADGISPNAAIYSSVIGALADARETASSEDVYHEAWRKDMLRDPDMFLKMIIMQVEAGMLEDTLGVAKDMREIGLRVTDCIMSTIVNGFVKRRGLKPAIRAYDKLIGLGCEPGQVTYASAINVYCRLGRSDRAESVFSEMIDRGFDKCVVAYGNMISMYGQIRRASDATRLLALMKQKGCEPNVWVYNSLLDMRGKLGDSRQAEKIWKEMMRRKVQPDRVSYTAIIGAFNRSGELDRCIEFYQEFKETGGKADKTLAGLVLGVFCKTSRFNELVQLLRDMKMQDIKLDRRLYTIVQNSLREAGLEVHVRWLQTYFTSVEEKT >cds.KYUSt_chr3.33922 pep primary_assembly:MPB_Lper_Kyuss_1697:3:212843796:212847167:1 gene:KYUSg_chr3.33922 transcript:KYUSt_chr3.33922 gene_biotype:protein_coding transcript_biotype:protein_coding MNERSMSEPLFPNPAPRSLQAGAATQSRLPSTPPFNPLPAASYGTPQPVGLHLIFGSITPEIAAASTVRVKDRKTDLAAPSPSSAAVGTTPAAPLISAPSCPPVGLVRSPPPETLPPPPAIKPGERPVLAVDAARPDVARSSKVSGAAPRLRSIIVAPSRISADSSQDGQNLEGDWHAVRPKRWWRRFTPSPLGETRAGRRQETSRRPDAPLALLRFKGRCFRCLSSLHFVRNCSGRVHCISCEQPGHIARNCPTKQIKANTAPPPPPSPPPPPGPLQANAAAWPKLGRSAPSMALPPGHPSNRPDEVYSLSISTPAMERAATEMRRTHLAILISDPRVNISTKSIAKALQDELNFDWDDIHVSASYPDDFLVRFTHPWQRDMALELGSVPLRRGAMALTTWSPTARGRPQTWRFYCRVALENLPLNAWEDEDTVKAVLGGGCELDRIEQRSVLQDNTAALFAWVWSLNPDLIPCVKPHSILNRPAAGRADLPEGTPAEEGRDGPLFRILIHLDTIIDYSPIDESRRKRGYVWPSRTRRDWEFGTKDNSLSARRRPGRDRLGPSNHRRNDDREDRHDDRDGRRGDRRSSRHAGDRGGDGEGSRRHHGAQQPRHDRHDRRGSRSPDHRRHGDTSRHRSRSPAAAALKGKMVLPVSGVGLEDHTGLLPVILPPVMERPSSSRRSKSCTPEGSAAFGSTPSPPPGTDRQMCLGSPMQTSPSPQPVEGRYTICSPSTEHFDSSLNGMPAPPSPQIPWAAIPEEHPVSDEANAYTDCWSANIVDINHGSWEVPARHSQAGSGGWEGLPQEHSGEHVPSAQSLQAWQGAWEAEPSQNQVQGMVPAEWQLPNTSLTQADRLFGPGCQEQMQCLIYQRASTPTKEKTVYEINEFCALLDPVSVGWQLQDIFATPPQILVQQPVATMLQQHSSSPELSSDVDDERLFEVTLKSNALRALREAELCGPALEDQGSARNHAKLKGPALMEDVTSRVAEMHVDPKTGIMSKLMGMLSPSLLGFPTNTSKKKKSDLKKMSQMTASSRRSERPATKSSTMLTTRRAQASACKQLGLIQHEEDFNDEVLGQYLSIYQQPLSTANLQGLATLAEVSSRPNFVLHEKEMAALLKESPYAT >cds.KYUSt_chr4.43826 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271687255:271691672:-1 gene:KYUSg_chr4.43826 transcript:KYUSt_chr4.43826 gene_biotype:protein_coding transcript_biotype:protein_coding MCIQLAGKKCWLFRKTKNDHESSGSRSGKKARVGQYVRVDLARQLWEENQPVPRPDANLPGGGWYLSSRRVPVPPCRARAESGGTRCAAAERSCRRICGRIRRTRCTPTTGFHSGRGSSARRSAGYLADVDYFEREIAAEEEENEQEDADEDGDEDEEEEEEDDEDEDVTMAQYNHDDGGPAWDPETQTPDISEEEAIAMTLANSEQDELNELACGTGSQSSSASQRSRRGGRRLLRPRRRVPSPALRLLLRRGIPGHSLLPMRRYLLHRRRANKSRSVAMSNDFARLKACDDPATIQAYQQFCDSWLAAAKHQLAEFEFVEEKERNLVDGRLGGYVHSNFMVKGVDDTPILFFAELHPVCSKEEHVVICTPLEENDSGHCFGCDKRAKKLRHPTGGGHLGGQEDVPFYRIEEDSDDECFM >cds.KYUSt_chr1.38693 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236474578:236480321:-1 gene:KYUSg_chr1.38693 transcript:KYUSt_chr1.38693 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIKAPAGAGAMVKLALAGGALWFGATTTLYNVEGGHRAIVFNRLEGIKDKVYPEGTHLIIPLIERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPMPEKLPTMYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERAKNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFVALRQIEAAREIAHTISSSSNKVFLDSSDLLLGLQQLNIAGKGKK >cds.KYUSt_chr5.6187 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38216637:38217494:1 gene:KYUSg_chr5.6187 transcript:KYUSt_chr5.6187 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRRHFKWPVLGKSSSRGAGAASGDEGYVRGSEAEDEDDEDEGAMAFSSACPSFHSEDFVSPPKKPPPAQAPPAQEKQRRKKVRTAVARLRSALSAAVSGRRRQVGLGSRLTGTLYGHRRGHVHLSFQTDPRACPALLLELAAPTAALVREMASGLVRIALECERAKNPAATAAGGGGGRRLLEETVWRAYVNGKSCGYAVRRECGGADWRVLRALEPVSMGAGVIPAASCGGSEGDVMYMRARFERVVGSKDSEAFYMMNPDSSSSNGINGGPELSVYLLRV >cds.KYUSt_chr1.41999 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257446031:257452776:1 gene:KYUSg_chr1.41999 transcript:KYUSt_chr1.41999 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKTIATAASLAASLMLVRSLASELLPSEAREALSAALNSLRSRLTWQHTIVVEEVEGWSYNHVYPAVKAYLATRVIDAGANIDMQCLRVSSANSGPEKLVVSMATGEEMADVYEGTEFRWCLVTREVKADPNGGGGAREVRSYRVSFHKKHKEKALKEYLPFIVATAKAIKQGERSLNIYMNEDTDDWAPMDLQHPSTFSTLAMDQKQKQSIIDDLDRFIKRKDYYRRIGKAWKRGYLLYGPPGTGKSSLIAAIANHLRFDIYDLELTGVESNSDLRRLLVGMTNRSILVVEDIDCTIELMQREEQEEDKEKSKSKSNSKEKKKTEDKVTLSGLLNFVDGLWSTSGEERIVVFTTNYKERLDPALLRPGRMDMHIHMGYCTPEAFRILVNNYHAIEYHAKYPEIEELIKEVTVTPAEVAEVLMRNDDTDVALDDLVELLNTKKKDANEIKIENKQVDEKKDASEIKTESMQVDEKKDCDGTKTESVQAEEKNDDKEVVLKMIHSQKTEAVRIANEVVVKDKTMSFQVVNKWCDEDARAAAVLSQSVQPQFASEFMGLATVAEMWSHLRQRYQPSGDSLYLSVLRQEHDLQQDMDFQRIHEFLSRLRPEFEPRRAQLFARGRVPISERGVLARAPPSPLVTTGFTEQDIARLQRLLASSGSASTGTAASAAGSSTVRTLTLGHWLGPALGAVTPRDFGSLTGFMFLPPPLHRPHHVCLLLPLPPPFSSGIIVLVIFVVLACRLYFVEVFWGLSQEMSRFRVVRAVGLVFVEVYISASF >cds.KYUSt_chr3.25805 pep primary_assembly:MPB_Lper_Kyuss_1697:3:160274006:160282947:-1 gene:KYUSg_chr3.25805 transcript:KYUSt_chr3.25805 gene_biotype:protein_coding transcript_biotype:protein_coding LQPVRETREKQVQLWKDLILDYCKSHKMYIISLEEDFPLFSNPNIERSLSYEAKEVFLAALVSEGRAEWIDKSHKKCLILWLRIQDWANYILDFVRENGLEVTTIEDIRSGIETHGTGKFDDLFVLVLGKTGGQASVCMMASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNVNSNGSICLDILKDQWSPALTISKVLLSICSLLCDPNPDDPLVPEIAHMYKTDRHKYESTARTWTQRLCTSAVAQLLLLGTNIEPVLDNLDSDNVVT >cds.KYUSt_chr6.7062 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42788606:42791743:-1 gene:KYUSg_chr6.7062 transcript:KYUSt_chr6.7062 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDYKRQVSTHPWPSNAQPKGAFDLFSSSNSGGRRRPGPDSDSDDEDSIPPDWRSLYSPRLDVEPSVKDPRDEATSDAWVKRHPALVRLTGKHPFNSEPPLPRLMSHGFITPAPLHYVRNHGAVPKADWSTWTIEVTGLVKRPCRITMEQLATEFEAVELPVTLVCAGNRRKEQNMVRQSAGFNWGPGAVSTSVWRGARLRDVLRRCRVMGEAAGADNVCFEGAEDLPGGGGCKYGTSLRRAVAMDPARDVILAYMQNGEPLAPDHGFPVRLIVPGFIGGRMVKWVKRILVACNESESYYHYRDNRVLPSHVDAELANAEAWWYKPECMINELNINSVIATPGHDEVLPINALTTQKPYTMKGYAYSGGGRKVTRVEVTLDGGETWQVCDLDHPERPTKYGKYWCWCFWSVDVEVLDLMGAKEIAVRAWDEALNTQPEKLIWNLMGMMNNCWFRVKINVCRPHKGEIGLVFEHPTQPGNQSGGWMARQKHIQTTSETTQGTLKRSTSTPFMATASTQYTMSEVRRHASKESAWIVVHGHVYDCTGFLKDHPGGADSILINAGSDCTEEFDAIHSAKARGLLEMYRVGELVVTGPDYVSPQSSHADLTAIVEAPPSAPEAPPVSTVALSNPREKVPCRLVGKKNVSDNVRLFRLALPSPDQKLGLPVGKHVYVCASIGGKLCMRAYTPTSSVEEVGHVELLIKIYFKDEDPKFPAGGLLSQYLDSLPLGAPVSIKGPVGHIEYNGRGSFTVGGERRSARRLAMVAGGTGITPVYQVIQAVLRDQPDDDTEMHLVYANRTEDDMLLRAEIDAWAAAHPARLKVWYVVSKVARPEDGWAYGVGRVDEGVLREHLPLGGDGETLALVCGPPAMLECTVRPGLEKMGYDLDKDCLVF >cds.KYUSt_chr4.36057 pep primary_assembly:MPB_Lper_Kyuss_1697:4:221658871:221661357:-1 gene:KYUSg_chr4.36057 transcript:KYUSt_chr4.36057 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSAPAAMKPVILADHYPISAMISVGPADFCLKKTRPTLGSGSAADKASATCYLVEQLQYLRNVCSSMVSPFMASTCYLVYDIKGRSCVDKGILWESLIFLGDRRQSRHGVGAQL >cds.KYUSt_chr7.8026 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48534745:48538756:-1 gene:KYUSg_chr7.8026 transcript:KYUSt_chr7.8026 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNKCKIEASIAEAVLNVEVANFTTKHYDPNIPTKHNPVLRYNAANNEEVPKLSIFVGLGGKSSGSKPYRTDLPERTLIHSYVLNTMVEVKPYIENPTKRLHGWDVVMTVPSRNRPPPPNKDDYRRVDPSARSVEFYQEEGPPGHFTIGLPTIDDMVVDDEQEDAGMDGDNAEDEAEDVCAPEDLSLLEAFKAGIDLDADGPPPADDDDEEGRTGSTTGGGEDQEEEVGGEDQEEEGGGGEDQEEEGDEDDLSEDEGLGNLVFDPDPSLEWCEPEDYQYVPALERLRPRDRKPYRRGITQLPSLKSWRYRHVVLVPYGRSSFQFEDPTQRPPRGYSNILGGLLRWYFPGIVNLPTGGCDVAWRWAHYSLAEDPLGRGTAADLVVAKFWKFFKRAEGKENACDDVLHQLARKRVTGMHYEARVQCVRDWHADRFVHMSKEDARDTLMQPWQYLQNPPQYVGNDDRCFRAMVMWWTCPQYLKKHEEGKKKRAEMRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQCTSYVSKFKQKYGEEANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRQIRRGRGDGSEGACGPGARTEHGAADSGVPAAADTDDAADATDSSEMMQQQRARMSWLMSRTALSTPPGSLPPPPYSMWMPPPPTQTPGTPITVNNMNIIRSMNLGESSCAQPATCTCSSVQYANANANVHSINLIDNYSQGNDNEAGGSGGGQ >cds.KYUSt_chr6.26946 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170868784:170870693:1 gene:KYUSg_chr6.26946 transcript:KYUSt_chr6.26946 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALALLLLVLLPAHQALATAAGDAHPGYAGAEADTCGAARHGPALEEYGGGRILDITHAYRPAMPAFATGATVGPLVRLKASMEEGSEYNLSELRMECHMGTHVDAPGHMNQEHFAAGLDVDKLDLDVLNGPALLIDVPRHTNITAEAMKSLNIPKGVRRVLFRTLNTDRGLMWKPAGDLSYVGFTEDGAQWLADNTDIKLVGIDYISVASFDHLITAHLAFFKNADIIIVEALKLDNVNTGLYMLHCLPLRLVGAEGSPIRCILIK >cds.KYUSt_chr2.14858 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93685390:93685718:-1 gene:KYUSg_chr2.14858 transcript:KYUSt_chr2.14858 gene_biotype:protein_coding transcript_biotype:protein_coding QLNLAMQYLQNAVQVRSRHDLAGEDDHEGAPAVAPDVRPRLPEEPDELLPRVHAAASGMTNGAAPDAARTPARQGQRQTLAPRRKAPGGCIPRRRPRCPAGEARGGDR >cds.KYUSt_contig_257.368 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2801363:2802765:-1 gene:KYUSg_contig_257.368 transcript:KYUSt_contig_257.368 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTRYSADASCKQKRAHLADDRLSALPDVLLQEIMSFLKARQVVQTCVLSTRWRHLWCSVPCLDLDLEEFEDGDMEMSLDYHERQRQMWPNFEDFTDNLLYHKNIDIALLDTFRMCCPSRYYVRDNSDRWIRRGIKHSPCWRVKTLHLSGISLVHHFARHISSGCPFLEDLELKDYIGTLDDITSFSLKKLVIVGSYNLFCNPWTITTPALASLCLSGIDCFGILNMTSLVNASIHLSTYFEDDDDDDNASLVNQVEFLYGLSNVSSLELSDFRSIVVGDRSLKFPSFKNLRTLCLRNCDLSDDFRTLELILENSLNLERLTLRHCKFSKDSNRGEREAPKSKKTSSLDVRCENLKLTEILYEDDDVRLLVEFLFDISENLPNNTMKITKIEDP >cds.KYUSt_chr3.5297 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30058889:30059338:1 gene:KYUSg_chr3.5297 transcript:KYUSt_chr3.5297 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRQSNVFNPFADLWVDPFKAFRSVIPAASDSDTAAFVNAQMDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKNDKWHRVERSKGKFVRRFRLPENAKVEEVKAGMENGVLTITVPKAEVKKPQVKAIEISG >cds.KYUSt_chr6.24980 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158271865:158274937:1 gene:KYUSg_chr6.24980 transcript:KYUSt_chr6.24980 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRHHKPPPAGRAVWVPRDAQPATVRGLGGAPQQRPAPPNPAAPRPQAVGRAVWVPRAAPPSTVRDLAGAQQQRPAPPNPAVPHRQAAVWVPREAPPATLRDIGVAQRQPLAPPNPTPTEPRSHPAVPRDGGAPPQPLAPPNPAAPPPDAGPDVEARDWAALPLDAISCVLRKLDHIEILMGAGQVCHSWRCAARDHPALWRRIDMRGHPELDRQVNLYKMAQGAIRRAQGQCEAFWAEYAADDDVLRLLGDQAPSLKSLSLIACQDIMEFEEEIKKFPLLEELEISLFTNIGGKQVFEEIGKACPELKHLKFNAYRFCNLGDDTDTEDDDIEFRYNRDDDALGIASMHGLRSLQLFGQNFTNKGLTAILDNRPHRSPSTSATASTSPWMVLCGENVSDSDDYAYAPDYILDSDEYDDYCDPFRYLDGVYESELNPKTVCSSRELYGLGAAVLV >cds.KYUSt_chr7.1528 pep primary_assembly:MPB_Lper_Kyuss_1697:7:8449884:8452498:-1 gene:KYUSg_chr7.1528 transcript:KYUSt_chr7.1528 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPRRPSFRLPSSTAAVTFQLVFVRAIAGRRLVAAPSTSGHAAISASSLEARPRAVPCSGTCCSVRPRHVMAVIDHLLPPVSIKAAPKSASAVVALRQKLAPFPGHDVVQSRPHPCSKYEMMTLARLPFRLGLGRVMDATGYLQDS >cds.KYUSt_chr4.15859 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98039523:98043003:1 gene:KYUSg_chr4.15859 transcript:KYUSt_chr4.15859 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSQALALVSFALLLICSTPLLAEAQQSQSVSDVCADPSLDSACHNVPKALRLKLIAIPTILISSVIGVCLPLFARSVPALQPDRSLFSIVKAFASGVILATGYMHVLPDSFNNLTSPCLPKKPWGDFSFTAFVAMLAAIFTLMVDSLMLTFYNRKKGGATGPSSAVVADHESPEQGGHWHSHGHGHGHGVAVADDAEAGKMQLRRNRVVVQVLEMGIVVHSVVIGLGMGASQSVCTIRPLVAAMCFHQMFEGMGLGGCILQAEYGMKMKAGLVFFFSTTTPFGIALGLALTKVYKDNSPTALIVVGLLNAASAGLLHYMALVELLAADFMGPKLQGSVRLQLICLTAVLLGAGGMSVMAKWA >cds.KYUSt_chr3.8165 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47050085:47050690:1 gene:KYUSg_chr3.8165 transcript:KYUSt_chr3.8165 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRCAELEQFINAIKVPFSNQTYGCNEFIRYHEKVKHESECTHAPCHCPDTDCTFRGAICCLLEHFITAHGWSPTNFSYNKPLKVSVARDHRFTLLVGEDQSMFLLSNTLTGIGNALSMVCVRPHESGPNYSCNISAANSVVSGKAEGRLVFQKDPLVSSSSLSGGVQLGKFFLLVPPELADNPSSELTVHIRVDRLVA >cds.KYUSt_chr7.17204 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106604752:106605990:1 gene:KYUSg_chr7.17204 transcript:KYUSt_chr7.17204 gene_biotype:protein_coding transcript_biotype:protein_coding MARREDDSAYTNGSVSMNDFSVEDGRKEKEVYADKQPADEDVVCGVPVSVTFLQMLLAELFSTFFLLFAGMAAIVVNSEKGGAVTFPGITVVWGMAVMVMIYTVGHISGAHMNPAVTVGFAIARRFPWKRVPAYMVVQMVAALIASVMLRLMFGGKHEFAPVTQPTGSNIQSLVVEFITTFYLVFVVMAVATDDRAVGQMAGLAVGATIMLNALFSGPVTGASMNPVRSIGPALVAGKFKSLWVYILGPFAGGAAGAWAYGLIRHIGKPQREITKTTDRSGH >cds.KYUSt_chr3.45045 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283761937:283762716:1 gene:KYUSg_chr3.45045 transcript:KYUSt_chr3.45045 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKHLSAVLLAAGAIILALAVPLLAGDPDMLQDFCVADYGSLKGPLRVNGFPCKRPENVTADDFFSNVLSLPGNTGNPVGSAVTAANVEKVPGLNTLGMSMSRVDYAPWGVNPPHTHPRATEIIFVLEGSLDVAFVTTGGNLYARTVCKGELFVFPRGLVHFQRNNGGAPAVAISAFNSQLPGTQSLAVAMFGSSPPMPTDVLARALQIDGGVAETIKSKFTLKY >cds.KYUSt_chr6.29242 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185220447:185226779:1 gene:KYUSg_chr6.29242 transcript:KYUSt_chr6.29242 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHKSIPHLRRALTPRRPPPKPSALPPPRRRTLTRAAAAMGSVAGDAVRLPYPPARRDDSVVDTYHGAQIPDPYRWLEDPDSEETKEFVEKQADLAESVLAGCGDREGLRREVTRLFDHPRHGAPFRRGDKYFHFHNSGLQPQSVLYVQDGLDAEAQVLLDPNALSTDGTVALSTYSISKDGKYFAYGLSESGSDWVTIRVMRIADRQPTSDKLSWVKFSSISWTHDGKGFFYGRYPAPGVGLDAGTETNINLNQQIYYHVMGSDQSEDILCWKDPENPKYSFGASVTEDGKYIILGTYDGCDPVNKLYYCEISSLPQGIEGFKETKQMLPFVKLIDNFDAQYQVVANDGDEFTFVTNKNAPKNKLVRVNIKNPEVWTDVISEHERDVLESVDAVNGNQLVVCYMSDVKHTLQIRDLITGNLLHQLPLEIGSVSEVSCRREDKEVFIGFTSFLSPGITYRCNLTSTVPEMKVFREISVPGFDRTGFEVKQIFVPSKDGTKIPMFIMSKKDIELDGSHPTLLYGYGGFNISITPSFSVSRLVLCKNLGSIVCIANIRGGGEYGEEWHKAGALAKKQNCFDDFIACAEQLISAGYTSKKKICIEGGSNGGLLVAACINQRPDLFGCALANVGVMDMLRFHKFTIGHAWTTDYGCSDKEDEFGWLINTEDSPQTNPIIGRIDRKSGHGPGRPTKKMVCANTYYKS >cds.KYUSt_chr7.1958 pep primary_assembly:MPB_Lper_Kyuss_1697:7:11195613:11196311:1 gene:KYUSg_chr7.1958 transcript:KYUSt_chr7.1958 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSTILFVGLLGLSLLEIRVTDIQPRRDAAGVTSLLCAAALLEPKELVLALPSGYMGNLSPLFFLQLPDHVRFHELETLSLLGCHVRFDSLLPCCPRLRVLRLKFNDRWGHNSIRSFMSLHSTSLQEICVDVENVSIDTVDLVAPELKKLTVSLKAFREVNISILAPMLEKLSWKCSYSFINFGPWRIAKLWLQKVERQGELPSLLIHARIVRVPSRSIYRAPTKPKFVE >cds.KYUSt_chr3.23173 pep primary_assembly:MPB_Lper_Kyuss_1697:3:143400846:143403245:-1 gene:KYUSg_chr3.23173 transcript:KYUSt_chr3.23173 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPRLRGGSSILLPWRSLCTTAAAPTPTPAAPTEPLSAHFSNRQSATAPAVAESLTSTLRSLLAESPSHPRAFPLLKAAAFDSRLPADALVDAVLCAAAGPDSASPAAVSLLSRLLASLSRAGHATAATDAYAHMVARGVVPDVKSRTDLLIATGRCSSAGDALALFAEMRERAYPVDAWMFDALMRACAKEGMLDDAVRLFDEMAAAEVQPDQRVYANVIAALCKMRDADRALLLLREMKAAGFEAWDFTYRSVADALVKAGRMEEALRVKDDMLAAGKKMDVILATILMHGYCLRREVGTALDLFEETVADGIVPTNVTYGVLIKACHQEGMAQKAYALCRQMRGQGLLPSSSEFRLVIDGLLHEKRWEDAVSLCEEMLASGLSDVFTYTSLIDWLCQRHKLREALNLFDKMKEAGVKPSIVTYHSLLMGYCQKGSMDDAVKLYTEMPAQGFVPNAVTYTTLMKGYIRKNNFGRAYALVDEMKQNGVSCNEFTYNVLINGICMGDRISEVDEMLNNFVSQGFVPTTITYNSIINGFVRAGMMGSASAMYQQMCEKGIPTDIVTYTSFIDGYCRTSCCDLALKMLNSLRCKGLQPDIATYNALINGFCSEGKMSHAMQFLAILLKDGLTPTAAVYNSFITGYKNLKMMKEASKFYESMIKDGIAADTVTYTTLIDGFSKDGNVAFALELYSEMLAKGNIPDAITFTALTHGLIRSGDIDGAKKLLDEMRRLDVQPNVNIYNMLINGYLRDSKLQEAFQLHDEMLNRGIMPDHITDDILAAQKSLEGDGCADVRAPI >cds.KYUSt_chr5.37144 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234943462:234944279:1 gene:KYUSg_chr5.37144 transcript:KYUSt_chr5.37144 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPGVEAVDNSGAESSGEGAGGKKWRPARRRRALGSARGTADTAGTGQSAVQRWTWSGCSVAGEPATVDGAGVSAAPGAMGRWRPLPGLPWCPGRLDLLSPPVPPARAGVHDGRGDERRARPSRVVASLDAEIAGHDDGRPQSLVGALKSPPAWSHLRCTVRRHGEVPFPGTGDSPYRLDTGDDADGSGSVDPKATPDHLHATGFGRCFSAGTPARPGRPRALERIGEPSPVGWTP >cds.KYUSt_chr2.18911 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118930347:118931490:-1 gene:KYUSg_chr2.18911 transcript:KYUSt_chr2.18911 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASSLLKTSFAGAHLPAATRTPSCVAVPRAGAICNSISSSTPPYDLNAFKFSPIKESIVSREMTRRYMTDMITYADTDVVIVGAGSAGLSCAYELSKDPSISIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELNIEYDEQEDYVVIKHAALFTSTVMSRLLARPNVKLFNAVAVEDLIVKDSRVAGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLQDIGMIETVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNGIDGTLKNVTPALHPEMVLASADNGDIVDA >cds.KYUSt_chr2.168 pep primary_assembly:MPB_Lper_Kyuss_1697:2:1019527:1021012:-1 gene:KYUSg_chr2.168 transcript:KYUSt_chr2.168 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKKFVGPRATIAIAAERKQHKPRKQKQKTDDMSNAEWNMDVHRRRAETQGRKKRLQKLNLRKADEAAKVEEEAATVTDRNVALARAQIGLPSIYVGQYPHGWNMGLGSTADFSPSSPAMFQEPYGHLTPRSRLSSSPSLDHEGFAARGSLDELIFADSPTVHRGPLPFGSSVWASLSYPPGGRALAGMQCDSIMHNIIAPGSAAGACYYIGCGTDLEDGVDDTHGRGAGEEGDHEVKEVDPAKALAATKAKEAWKDISIGTVTGANQYSKTYWWQVKTAFDERKFIDPYFRPIHVDRGSKAMGNHWGIVQDACSKWHGIQDEIKRHPESSAGMDRKMVQTYQMLWDDNKNVEFNFLNVFTRIETCKKWADTRVALAKGGVYNPMAPVRGAAEGRQELGQKAAKAAKLMGPPTERLQACLEKCMTDARTHTVARAEKFDASW >cds.KYUSt_chr4.33641 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206443985:206444266:1 gene:KYUSg_chr4.33641 transcript:KYUSt_chr4.33641 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTYDRARAACRQDPLLHLLLYPTVYTSVETTGKGTDEESHNGATGALHGAVGDSPDLLGVDSTIDPLSIILWLDSAKVVLNNREPARSLSW >cds.KYUSt_chr3.47890 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300039337:300044150:-1 gene:KYUSg_chr3.47890 transcript:KYUSt_chr3.47890 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAASGPEQAKKAEADGGAEGGGPPSTVRFFPSAAQHTARQPPPIKLEEEDVPPPPGTAGEEAEDMAPRNLWQVYALGAFIVLRWGWAKWKESKDRDDSADGQSPDGSS >cds.KYUSt_chr5.27880 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176433089:176433463:1 gene:KYUSg_chr5.27880 transcript:KYUSt_chr5.27880 gene_biotype:protein_coding transcript_biotype:protein_coding MREAHPRAAEVLGTGPGAALEKDYLDEDHTKPATLPSTWTPPSDEKSAGSTCRGRGRLPSTHAYGPKITAAAHEPALPKSFNLQLRHPTQTFPGGASKKVTAQPRVVAAQSARFWTFVREVGKR >cds.KYUSt_chr7.1431 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7861716:7863677:-1 gene:KYUSg_chr7.1431 transcript:KYUSt_chr7.1431 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTLQVILLITAEIRRRKDSGALKVVVWLAYLLADTTAVYTLGHMSITSPASQDQQLMAFWAPFLLLHLGGQDNITAYSIEDNRLWLRHLQSFVIQVIAAGYVLYQSSIVARRTLFRPAAILMFVVGVVKYGERVCALQLACTNNMSRKNYAPITWTGSDDDESPSSMGPYPETFRAHVLLDFPKQMLKGPLPIPPEILESCEWEEMYGVAEMQLSLMHDVFYSKAELIHTWYGYCIRVFSLLATVAALLLFRRLISEEDGYRRADVTATYVLLAGAVVLEITSVLRAMFSTWTYGKLIRRRRYCGCVARALARLALIPLWFRSHAVAMYEICRERAGIGASSRYWSGTMGQHNLIYMCSHCKDSRGSKMARWVGREDWWNMLVYTSSVPVSPHISELLKKQVKQSHNVNKENPDHIRNSRGRATLKRRRPELYEELRWSVDSEFGVSILVWHIATHIYLSWYERKHTRRLSQVTRELSNYMMFLLAERPYMLPDNVGRHDYLGLCYILIHELQCSREDVLYLLQDHGDVVISGQREEAAREFESNTTFDNACRLGVKLISKEVETPDANMVEVISQVWVELLCYASYQCIPESHARQLSNGGEFTTVVALLLEYMEYDILTFESVQDRSEDSMASSDTVQDTCNSVAGSV >cds.KYUSt_chr5.37137 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234892501:234894509:-1 gene:KYUSg_chr5.37137 transcript:KYUSt_chr5.37137 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGDKGGAASKTKSSKKEEESLPPGFRFHPTDEELITYYLRGKIADGSFTARAITEVDLNKCEPWDLPEKAKMGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFTGQPPATQELVGMKKTLVFYKGRAPRGEKSNWVMHEYRLHLKSTSKSNKDEWVVCRIFAKSPGVKKYPSNNTHSRSHHPYSLDMVPQFLPTLLQHDLFTRGHHPYMSPADLVELSRFARGTPGLHPHIQPHPGYMNPAAPFTLSNLNLNLGAPSPATPMPPQHVLHAMSMPMNQQIGVSNQAATMEHMVSNGVIPLNGGDGGFGPDAATGGAGIRYQNLDVDQMVERYWPGSY >cds.KYUSt_chr1.32187 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195393197:195393880:-1 gene:KYUSg_chr1.32187 transcript:KYUSt_chr1.32187 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSTAAGPPPSSSAGTGDAPQQHPPPPPPPQQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLKYLDQFGKTKVHAAGCAHYGQPSPPAPCPCPLRQAWGSLDALIGRLRAAYEESGNAPESNPFAARAVRIYLREVRDSQAKARGIPYEKKKRKRTQTPTPTPAAGEGTSSAAGGGGGEPTHGGGTVTVAAAPATGAPLGGSSSGTAAAAGTSSR >cds.KYUSt_chr1.28801 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174216364:174218709:1 gene:KYUSg_chr1.28801 transcript:KYUSt_chr1.28801 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTVRSSLLTCLLFVLAAALAPAAPASRLVLPSPTRSHLSCLDNPPDLTAAGDEAGELVADLGGLQAYVTGPRSSAHAIILASDYYADQVADEGYLVVVPDLLHGDPYTDEAKIPFEEWIKTHSPVEATENTKALIAALKEQGVSAVGVGGYCWGAKVAVELSKSSEIEVVVILHPSLVTVDDMKVYGMSEVKHPIEILGAEFDGISPPPLLHQFEQALYQNKRIDHFLKIFPGVGHSFASRYDANDPFAVETAEEARKDMVTWFNKYLKKHKELSLHES >cds.KYUSt_contig_319.846 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:5459041:5463557:-1 gene:KYUSg_contig_319.846 transcript:KYUSt_contig_319.846 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGMVIACDTKEKFEANMAKGKETRKLVIIDFTAKWCGPCRFIAPVFVQYAKEFPGAIFLTVDVDELTDVTEEYKITAMPTFVFIKNGKKVCTVVSNNKDTIHKKIVALIGSSSAPKKKEDKHRHGDDGIRGLAISKATGLRKDRQDDEYTSLEDQDLPIRRASDILNVKLPNLLPVTWAADILTEAWWSTEERAKVITVMWSIRSWSNRWMHGEKGFDPAIAIEAVRDKLLELQLPQSNVPLAGIQPKSEWYRPERDVVKLNVDGVVNSQENVAGSGGVARDGAGVFQRRMVRRTRVS >cds.KYUSt_contig_4671.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000916.1:87654:88475:-1 gene:KYUSg_contig_4671.2 transcript:KYUSt_contig_4671.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGRLLPSDVPTVDRWEIETTIEGRLIEPVTDTVVYLKRYPSWEDGVVMAMQEALARIVCMHGDSIDVESGRQFFGRRSSLGLPMKTSGSREGLSWIQIQFEDMERYAYKVETLLRAEMCDLDFAKHTLTENNKKYKELERLIEWLADKRDALFNENVQLKKDNEKLLDKTLDQEAVILALQGQCAHMPPATQEDQEMEEEEDPEEVEFILLNGETRLIVAEEEDTPATNTRSHTPRMSARTYRNLFKTKI >cds.KYUSt_chr6.15543 pep primary_assembly:MPB_Lper_Kyuss_1697:6:97590132:97595674:-1 gene:KYUSg_chr6.15543 transcript:KYUSt_chr6.15543 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGTGNGRGGNPHYYARGPPPSQQNHHQQQQTPAAHQQQYVQRQPQQQHQNPHHNHHQQQQQQQQWMRRNQIAASEAGAPGTSGPKAVAPSPAAVGNDSSSQDWKAQLKLPPADTRFRTEDVTATKGNEFEDYFLKRELLMGIYEKGFEKPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVIVVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLRDDIVRLHQPVHLLVGTPGRILDLTKKGVCILKDCSMLVMDEADKLLSPEFQPSIEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDQAIYCQ >cds.KYUSt_contig_4284.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000845.1:1488:3408:-1 gene:KYUSg_contig_4284.1 transcript:KYUSt_contig_4284.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGVLQEGVQRGRRHLGGVHRQMHRDMLQRSSVGRSPVERLHRSVARRGQLLLGVLQCLYFWMWIQ >cds.KYUSt_chr4.53627 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331778265:331790071:1 gene:KYUSg_chr4.53627 transcript:KYUSt_chr4.53627 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTSSMASASCLHVAAAAVGDLLENLHDLRPPATSTPFCCLETTPSRRRRPHPKLAVASFLPENLVYCIQEEDQEWMNTIQEKKVTLDSNKRLQVFICFLPENLVYYMQEEDHEWMHTIQEEKVTLNSTVKLQVFNMARPRKDAVDISSLARVNQTPLTCSPPGCQGEPLHEHQDHCSLFRLLYPQYAASCALSTRSPLTGFEDLAKMYSEFKEVDVEVSADEEESSEEEYTIPSVLNLLLYAGMESGMVAARDGQDDWVNSIVAGLGTGALFRAANGPRSAAVAGAVGGVLAGAALAAKQAAKLYQFAL >cds.KYUSt_chr2.38442 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238296265:238298133:-1 gene:KYUSg_chr2.38442 transcript:KYUSt_chr2.38442 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGVEEGVMRVLLVDDSPVDRKVVQLVLGSNTFAGSFHVTAVDSAKKAMEFLGLKDGKEQAIDMVLTDYCMPEMNGYDLLKAIKAMSSLKPIPVIVMSSENEPQRISRCLKAGAEDYIVKPLQTKDVPRLRSCSNVKPKDASCNTVNNRKLPADHIVVDGASSPGRRAHITEIAMARHRSRHSSYRNII >cds.KYUSt_chr3.35483 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222778986:222788923:-1 gene:KYUSg_chr3.35483 transcript:KYUSt_chr3.35483 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRQHDAYPEETLETRELAMRLDWFHVGAGIPGVAPHYTSPPSTFNVLLGSSCSHHLDPAETLSGIPIVAGAAEARAEASPLSASSLALQRPRQPLVQDVSKMSNPCECCQSKLRFIRQINGNFMHSMVIPHWFVNYFGGKIPGTVKLEAPDGNTYDVRVTKNMNRTILKSGWAEFVDANQIDENYSLMFQYLGNAHFVVTIFDSNDKVGPQYHHVPFQVIRKDYALVHFPRESQTITLQVPRKSKDWQCNLRVKPDGQSNLRLGKFVRDNSVREGDVCIFQPMTKVKASIFTIMVHLLHRESIGHSPGGRTGNHGRTQANDLTARVKEEAASDGEEISSPGSEDHGSSDNSEGSFEPLFMLSDRQTLTEAQEMKVVEKVEAIESALPIYVAIMSGSNVRRRNSGMSLGFGTRYVSRYLEKKYATGHGKRNVISLVLQREGKNKTWCTELRRKSDRTMIMKGWASFARGNSLREDDLCLFKLMENKKALKMMVYIIRREKC >cds.KYUSt_chr3.3789 pep primary_assembly:MPB_Lper_Kyuss_1697:3:21560229:21566355:1 gene:KYUSg_chr3.3789 transcript:KYUSt_chr3.3789 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDVAFAAGSSSASMDAFASSSTAPTDPSHGWQKVLPRKPRKHAAPSAAPTHAAPDLAKSNVFEGVDKRSQERHRAIRAARDAADEADGSIAAWGARSDDDEYGSDSDEAAKPQPEEVKKPKKPKVKKPKVTVADAAALIDAENLAAHLIEVSASYENQEGIQLMRFADYFGRAFANVSAAQFPWAKMFKESPMSKMVEVPLNHVPEPVCKTASDWINQRSSDALGEFVVWCIDSIMSELSGQPLGVKGSKKVVQQTPKAQVAIFVVLALTLRRKPDVLVNLFPKIVGNSKYLGQEKLPIIAWVINQASQGDLVSGMFYWSHSLFPALCAKSGNPQSRDLVLQLLERFLTTPNASKARGILLNGAVRRGERLVPAGTFDLFMRGAFPVPNARVKATERFEAAYPIIKELALAGPPGSKTVRQAAQQLLPLAVKAMQEKNAELSREAADIFIWCLTQSPECYKQWDKFHPENIGASVVVLSKIAADWKTLAPKLNSEALKTTLKSIKAKNEVALEEAEDSGKKASIKEADKLCKVIIGRLSRGATCLKGSLLVIALAAAAGFALSPNLDLPADLAMVQEHLAKVPEHLATVPEHLSVLSEKLQAMASEYMASF >cds.KYUSt_chr1.40718 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249705651:249706388:1 gene:KYUSg_chr1.40718 transcript:KYUSt_chr1.40718 gene_biotype:protein_coding transcript_biotype:protein_coding MADESDGAAVESPREDPETVISQDEEEEEDDEGFTFAPPTRLPGGGAFGDGRVIGHVYPVFGRPRSPPPTQQPEEEEAGTATVRVPLRQLLLAERGSSSPSRQERPDDEDGDDLDGVPAETYCLWSPGTSPSASSSPAQFPKSGSTGSVLRWRQRLQVGRSHSDGKEKFVFLQEDAAGSSSSSGRNGRAGGESPGGIHSWSYYGRGRGSGRGSRRSSPATFLPYKQDLVGLFANASALRRSYHPF >cds.KYUSt_chr2.12986 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82290155:82294847:-1 gene:KYUSg_chr2.12986 transcript:KYUSt_chr2.12986 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIKDIGSGNFGVAKLVRDIRTKELFAVKFIERGHKIDENVQREIMNHRSLRHPNIVRFKEVALTPTHLAIIMEYAAGGELFERICSSGRFSENEARFFFQQLLSGVSYCHSMQICHRDLKLENTLLDGSEAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRRQYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTITRILSVQYAVPDYVRISIECRHLLSRIFVANPEQRITIQEIKNHPWFLKNLPIEMTDEYQMSLHMVGINSPPQTLEDIMAIIQEARKPGDGSKFAGQLSVPGMGSMELDDIDDVDADIEDSGDFVCAL >cds.KYUSt_chr7.16405 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101628767:101639846:1 gene:KYUSg_chr7.16405 transcript:KYUSt_chr7.16405 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAAAASLRGLVGIAAAGRRRASASVQGRGAAPGWRGFRAVAPGSGGRTTPEPSSSSTPALPQLQPRRGLATRQTVLPGLISGGCESEDGKLSCGYSSFKGRRPTMEDRYDMKFANVDGQTVSLFGVFDGHAGPLAADYLKENLLDNVMKHPQFLENPKLALKTTFLKTDADFLEAVSIPYRQDGSTALASVLIGDQLYVANVGDSRAIALKGGKAISLSDDHKPNRKDEQSRIENAGGSVSYDGYTWRVDGILAMSRAFGNRALKKYVIAEPDFQPTPSLCLIGRQHANVHNTTPLPEKQLVLKLQADSHGLVAPRAPCLGTSSVTSNVPNATAGAASSCVSAAGSDDVGGSPVKSTIGAWFSDGRYGTVIGRKQRSTVILNTWFSLQMVFGMLCEMRTNVDPNNVPLASLVAQEEHVDVNFIKNNNFNSNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRNTPPGLEAMLKEFISTQTAFNKSVEEKLGKIDI >cds.KYUSt_chr7.10366 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63585137:63588922:1 gene:KYUSg_chr7.10366 transcript:KYUSt_chr7.10366 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAATAVGWVISPVIGRMVSLVQSYMSSQYNWESKIASDLKNLEATLMDILIVVGAAERQTVVDTNQVLLLRKMKDAVSDAEDVLDEFDYILLKEKVEQKGLLRCIASSSLSIGKRLVNIDKFRSNLRKVLKILETVRSSADMIIRVMALESSNTIQSLACVPTRTTGPVLHEDAIFGREKEIDELVGQLVNQFDECSLNREQNNKTDVHTIVGVGGIGKTTLAQLVCNNEKIVDTFDLRMWVCVSKNFDKIRLIKEIIACATYGENAELANFNFSMLQKELQRRLSYKRFLLVLDDVWYDEKYGEHINKEMWTDLIAPIKKSESIYSQRTGRRIIGSKILVTTRTALVAKMLDSRSLFILEGLGRDDSWLLFRRCAFGNKKPEDYPELERIGDQIVQKLKGSPLALKVIGAHLNDKNRDAEWDDVLHKDVLNPNDILTILHLSYESLPEHLQQCFAYCSLFPKDCRIDPNRLIWMWIALGLVHQEGNISRSLEDIGRGYFNDLLARSFFQVLQCGDRTYYIMHDLMNDLALHVSRGECFRIDHGSIGVLPHYIRHLSVSADQLGDLVNYDDLGRLRTFVVLNDSWFCSKVSLSHDILSKLKSVRVLDVSGCCFESLPESVNDLLHLRYLAIRRTYYALPITISRLNHLQALFVRYHSCNSSRISFSNKRKQLKYSRGEVNTTGDHFSLPKSVSSLINLVHVDVEKAYTLMLSGMHQLPCVEGSGVFVVNEKERSLVQLQDLNKIRGELAVRLLENVKNRAEAAKSNLHLKEHISKLELEWGSCDGAHDMDKGFEVLDVLKPHHNLDELTISGYPGVNSPSWLESNWLRKLKFICLRDCNRWEVLPPLGDLTLLRTLEVRRMDELKALGQEFFGHAGFPSLETLSLERLPKLEWCLVDNDKVLQNLKDLFVAGCPRLRAYPTHPRTLRHIAVLDEEIIQIKARTYSVDLSRSFCSLLSSSIHVLHAHHLEFVEDMEIYVNSLVDTRTTVFNNLKSLKQLKIHGGKPPYTCSVIARLWEENGDTVLPSSLSLLELCRCYLQPSSFIKLLNNISSLHTLTLQDCNTVEVPSVPLSLHHLRMLKRLKIHNCDWISSIEGSEALLCLEEMDIYQCYDFESVPSLDDMPCLQKLRLSLCPQVMRLSKAGHQTALKELVVCGCDGLSSLEKLCDLVSLVRLSVAYCSDLLRLPDMDGFYSLRDLRIARCPQLMSLPRSGLPVSLETFSVSGCHQALEEQFQRKEGSDWNKFAALPGCKWEIWSW >cds.KYUSt_chr5.8109 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51255252:51256280:1 gene:KYUSg_chr5.8109 transcript:KYUSt_chr5.8109 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSPSRRKRKLEEPPAATAEGGTHAREPPPGAGEDEEGGPSAVDRISDLPDPILADIISLLPTKGGARTQILASRWRHLWRSASLNLDCDCDGLARLGYHFPNDDHAVAALIPRILSTHPGPGRRFCVPAHYLLSRPAAVDTWLGSPTLDGLCELEFCRVHGYEKARSVPSLPASAFRFSPTLRVVILRKCHLPDSASQAPYFPQLNQLALEDVVVSETSLHIIIAGCPALECLLIHGSSGFRCIRINSLTLRSFGVSVGSQPTDELQLEELIIEDAPCLERLLRLDLFEELNVSITSTPKLQTIGCLSNKRVSSRSFMYGCAAVIEVALAFLRHFSWDS >cds.KYUSt_chr3.33574 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210810449:210812552:-1 gene:KYUSg_chr3.33574 transcript:KYUSt_chr3.33574 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAADTYRTDLMTITRYVLNEQSRFPESRGDLTILLSHIVLGCKFVASAVNKAGLAKLTGLAGETNVQAKNDDDDDALLWWAFPQGEEQKKLDILSNEVFVNALVSSGRTCVLVSEEDELATFVDPKLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKNFDTVTLEEVLLPGKDMIAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFIMTHPEIKIPAKGKIYSVNEGNAKNWDTPTAKYVEKCKYPTDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVMYEVFPMSFLMEQAGGQSFTGKGRSLDLIPTNIHERSPIFLGSYDDVEEIKALYAEEAKAGAA >cds.KYUSt_chr5.17959 pep primary_assembly:MPB_Lper_Kyuss_1697:5:116133487:116138111:1 gene:KYUSg_chr5.17959 transcript:KYUSt_chr5.17959 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGSGSRSTAPTYPGSPSRPSLGAIRDEEKKKGTVKCKFCPRVFASLRGRGGHVKVHKNENQKQPMHRRPAPPPPVRVWSTLSKDPRLRSACRNLHAARFNAWPKRYFRDVPLAFAGTGGHAPAQPSSAIKRCRRAKDGGHSRSLHLREVNREIAEVKNYSPLPLSGGAMPFSLSQTGSGTSGLTCMHCSACSFPCGHVKAPVVPPRRSASGRARTPDTRAVQHSSSLQRDHTHRAYTDRACQRPTSPAAAHVDAVAFLLLAPLWVEWPEPKVAVMAPYMMGGSFMRARGRADNFIHSSDDGNKDN >cds.KYUSt_chr1.33919 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206171079:206173374:1 gene:KYUSg_chr1.33919 transcript:KYUSt_chr1.33919 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRPARSDPHLPPEEAARAEAQVRGYFDSIAPTRPAKPPRSDPSDAGPDRAEVPAAGDPPELRKLRDLEAKPQKLVLDGGDASGEEHVETQYYHGINCIDKQHHTTGTGFIKVERSNTNTSFLGVTTVAYSSNNVVRRMSNPATNDWIPSSETVIPVSNKPSRSDFDLAPSPI >cds.KYUSt_chr3.46844 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294362160:294362558:-1 gene:KYUSg_chr3.46844 transcript:KYUSt_chr3.46844 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMWQEMKELWDLPDMTSWANYGKEWILGLLDGRSELEWTRILMTLWRIWHNRNEIVYNKPAPSIEISKRYLCSYVDSLMTVKYCSPVDDVKGKQPVVSENCNTKRSMTKDPSQPRKVVQTCCRDNKAEC >cds.KYUSt_chr7.10933 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67183686:67190826:-1 gene:KYUSg_chr7.10933 transcript:KYUSt_chr7.10933 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVKLTCLQLLMVAFATLITMSSAGLQYGFYNASCPNAEQMVTSVVNGLIDANPAVAPALIRLIFHDCFVAGCDASILLDPTSANGSPEKTSIPLAVAGYNAVDQIKTAVEAACPGTVSCADIVAFAARDSVAKAAGYYYPVDSGRRDGSVSTGFSILTNMPSPLFNRSDLVARFIQKKLTVVDLVALSGAHSIGVAHCSTFTKRLYPTVDPTLDATFAADLKKRCPAPARGVPDNLVNNSVVTPAILGNQYYGNAMGKKVLFNSDATLLTGSDTATLVAQMEADPAKWIAQFAVSMVNMGRIGVLTGTQGQSQDAYVLARPAADSGQPKALSSVTPRSRRRSASDAIRSSTEYGLLMEREALHPALMALQYPLLFPFGDKGLSFVRKNQDKLRSETFQDLSDAIGEGLLRNYQDAMAICRAYGVPDLFVTFTCNPNWDEILYALEQEPGQRSPDKSDIVARVFKMKLVELYGEIRGGSAFGPVRAVPDVDTPKWVSLIGIPGAAQATAHGGSLAKEKLDRSRHLKIHGLNVCKMY >cds.KYUSt_chr4.38378 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236743836:236746738:-1 gene:KYUSg_chr4.38378 transcript:KYUSt_chr4.38378 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYFAELDAREAKMTLQDKAECISYFKHLESKSDTHHESSCSDIDNAIAMEMRDFTICEMSDSTICEMSDSTICELERHHFEDMSDTPSTMDDETPIMEKMYMVHEDDDITPCLFLEDEHGGHIEPTTSTTPTSYEREYKGTQTPMSTSIEHELTKRALESMIQVSSNEWFRSHTSTTQDLSMRAHRHLAKVTSFYLRSLAKSNELWLRFDCSFAFLVLLIGLSTSEGTFKRWWHLPPLHIHEDLPTVFASSCASTSRTTLKAEVTEAWKRRRKKSVRGKPPLNWITRKGIALGAARGLLYLHEHCDPKIIHRDVKAANILLDDFCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKATNQKGAMLDWVSSFSFSNGRASFEAYDLILPGDLMWHWLLQVKKMHQEKNLNVLVDKGLGSS >cds.KYUSt_chr6.7504 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45639599:45640936:-1 gene:KYUSg_chr6.7504 transcript:KYUSt_chr6.7504 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARVANGKALMVLVGAVLLVCGGGGLPAAVASGHAREEVHLVPAVYVFGDSTVDVGNNQYLPGNAPLQLPYGIDFPQSRATGRFSNGYNVADSISRILGFKRSPPAYLSLTPQTSRQIFRGFRGANYASGGSGILDTTGNTLTLTKQVELFAATKSKMTEYAGGKGSAAVDALLSKSLFLISDGGNDMFAFILQNRTAAEAPSFYTDLLSNYTKHVQTLYTLGARRFGIVDVPPIGCVPAVRAKSPTGETACVPAANALAKGFNDALAGVMAKLAAALPGMKYSVGSSYNLVTFFTAHPEAAGFRDVASACCGGGRLGVQTGCVPNATYCGNRNDHLFWDGVHGTQATSNKGAKAIFSAPVKMGFAAPINFKQLISS >cds.KYUSt_chr4.20903 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131523805:131524879:1 gene:KYUSg_chr4.20903 transcript:KYUSt_chr4.20903 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPFTSDLDFVATVYEIRLGAAGQTYATFPSLTLPGRHIGIILLCLSQQPAARLQDLLSVAAGMQAMEDLLHAAADHLKAVRVIVFFPDPLIGYVRGLILAT >cds.KYUSt_chr6.7162 pep primary_assembly:MPB_Lper_Kyuss_1697:6:43408222:43413652:-1 gene:KYUSg_chr6.7162 transcript:KYUSt_chr6.7162 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSAPTSSSPPPSPWDDASDLRAAQGPGGDMAGGGARRHFFPLTSLQIGDLQSYLAELTIFLCPDTKKFLIFLDNRPWLLDQNTKPAHLWQLMVTKSRFSPFANTRARRKRDEAGEKLIFSEGPISAPDSWNPSSKWYTLIDDAMRNKKLQVNKLKDSRLLNRELHRTLYGFIIFEVDWADVRGINYLNELQTDTSMVVEAKIMKRWEFDSVNQASSLISSWFSGNYSECQILQDYLNSISPKGDVFYDALNEFLTPDWDSESAHSDGDQSGDVQCVRVSSSFTSSSYTPPPCSGPYKRRKIIRSDSGSNMSEEPYSEFVTSPRYASSSCCSDNDFGKPLVEPSTYNDVLILFRFSDGDLPFRLKEVILSDVRLLTLLEYGLPSWVIFLQSYPVFCKIYRPWMCPLARALYVLISLITVLIGFYDLYKNVPMLKATASRLFGPLFDWIETWEMISRLKYLGTMLFLHHFEQAFTWSLKIVRATKSVLSVLTKPVMGPLLEVLEFTLPLWNLCAETVEYLSSAIMIVMETSCSAVISAVQTIMWPFWFIFSTMFNIANSILYPVIWFFGEILAAPFRLVAALASFVADFFDDIVDVIRQTWSTLSSLYQVGSASRAPGLTSETSIWGSLWKDLLYQIFRAVRSILYGFVAFFSTCNRHRLSFAPLALQGEKNLGGAKMSSTGKNDKLDLTF >cds.KYUSt_chr2.31027 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191280456:191284850:1 gene:KYUSg_chr2.31027 transcript:KYUSt_chr2.31027 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHAAVLLSLLALALAAPLAARAADTHLHFFLHDQVSGSNPTAVQIIKGPGSASNALGGMAFGDTTVIDDALTETPSATSAAVGRAQGYYMMSSQSGAVLMVCVNLLLTAGDYNGSTLAVIGRDDVTATTRELSVVGGTGKFRMATGYVMWRTNSSSGPDVTVELDVYVAALNGSSTIAADAPVSPIDGGGGAGTGSGSSGKSSSGAVALLPYGWANAVVAAVLVALAGCVWIARGGISEAYYPVSRCTLQDRMVKTLISAKLWSQEKPMAFVL >cds.KYUSt_chr1.37092 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226536078:226540053:-1 gene:KYUSg_chr1.37092 transcript:KYUSt_chr1.37092 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAVKRSRRLLQPRPAAALSSFSAASTAPLFPSRAAAAAAAEAAAAAAAGAGAEAAAAAAAPPPAAPRRWGRSLLKFGAFAALTGALGAVGYVTHGAIPSREPPLLLLLGCSVFIFGGSSRSGLARRFDLRCLLIPFPVPAAYTLTEVALNTQEFRKMMTTPPHIEEDATEFEKFQAMALAEAKKVPVAAIEFYLDMRNTIENHVTGFTEPTSDKLLPDLLPEYNGVITLVLDLNETLVYSDWQYVDPVIDRLDPKGYIMYRLSRPATKYQDGKHYRDLSKLNRKPEHVLYISAHALESCLQLENCVSIKPWKLETDDTQLLDLIPFLEYLATARPTDVRPVLASFQGQDIATEFAKRAKVVGR >cds.KYUSt_chr2.27222 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166909992:166913881:-1 gene:KYUSg_chr2.27222 transcript:KYUSt_chr2.27222 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGAIAVRLLLLVLVSCAAAAAAGDDGDVLLEVKSAFLEDPQGVLEGWTADSAGSSGFCSWAGVACDAAGLRVAGLNLSGAGLAGPVPAALARLDALEVIDLSSNHLTGPIPPALGRLRSLQLLMLYSNQLAGAIPPSLGRLAALQVLRVGNNSALSGPIPASLGHLRNLTLLGLASCNLTGAIPRGLGRLAALTALNLQDNSLSGPIPSDISAMASLEVLALAGNHLTGPIPPELGRLAYLQKFNLGNNSLEGAIPPQLGALGDLLYLNVMNNRLSGHVPRTLAALSRVHTIDLSGNALTGALPAELGRLPQLNFLVLSDNNFTGSIPGDLCGRDEAESSSSLQHLLLSTNNFTGEIPSGLSRCRALTQLDLANNSLSGAIPASLGELGNLTGLLLNNNTLSGDLPPELFNLTELTDLALYHNQLTGGIPDAIGNLKNLEVLYMYENQFTGEIPGTIGDCSSLQMIDFFGNQLNGTIPASIGNLSELVFLHLRQNELSGPMPPELGDCHQLQVLDLADNALSGEIPATYGKLQSLQQFMLYNNSLSGAVPDGMFECRNITRVNIAHNRLAGSLLPLCGSASLLSFDATNNSFEGGIPAQLGRSPSLQRVRVGSNALSGPIPPSLGRVASLTLLDVSYNALTGGIPDALSRCTQLSHIVLNHNRLSGSVPAWLGELPQLGELTLSGNEFSGTIPVQLTNCSKLLKLSLDSNQINGTVPPEIGRLVSLNVLNLAQNQLSGPIPATVARLSNLYELNLSQNYLSGPIPPDIGKMQELQSLLDLSSNNLVGIIPASLGSLSKLEDLNLSHNALVGVVPSQLAGMSSLVELDLSSNHLEGRLGDEFSRWPQGAFSGNAALCGSHLRGCGGGGGGGRSTLHSASIALVSVAVTLTIVLLVIVLVLMAVRRRGQGSGEVNCTVFSSSLGNTNRQLMIKGSARREFRWEAIMEATANLSDQFAIGSGGSGTVYRAELPTGETVAVKRIVHMDSDVLLHDKSFAREIKILGRVRHRHLVKLLGFLCHGAGGDRGGSMLIYEYMENGSLYDWLHGGSGDGKKRVLSWDARLKVAAGLVQGVEYLHHDCVPRVVHRDIKSSNVLLDSDMEAHLGDFGLAKAIAENRQGGGKDCTESASFFAGSYGYMAPECAYSLKATEKTDVYSTGIVLMELVTGLLPTDKTFGGDMDMVRWVQSRADAPSPARDQVFDPALKPLAPREESSMVELLDVALRCTRPAPGERPTARQISDLLLHISLDYYRAGEQKR >cds.KYUSt_chr3.23377 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144521070:144523326:-1 gene:KYUSg_chr3.23377 transcript:KYUSt_chr3.23377 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGPRLRYPQSQPTRAHPPTGEYRDPDASDDDDGNYDDYSGDYYRARHETSSRGVDKTNGTAMRCYGGDMRSPPAFAYGDAAYKAVAAGRRHACAVDAMGGLVCWGDGNPDVLREELPADMTAMALGHNTTCILAGNGTVRCWGVKVPKEYTRTSFASIEADGDTVCAVMTSNYSVVCWAKDGRFDGNHLVFNSTLPGACTNKTSCQCDVVSGSGALCGTGGGVSGVELAVCQPCTIPLNASRIVVANGRTPTPGNNGKNKNALVVGLSAAGAGVAVLAAVGLTFYLVGLYMLFSFLVCYIFSKRLAI >cds.KYUSt_chr7.36352 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227169488:227170251:-1 gene:KYUSg_chr7.36352 transcript:KYUSt_chr7.36352 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSASESPSRWPRFGPVPLGRCPTCPRTASLHRQVTASDKNGNRGREYVKCESKEQLGEDLLPCDHFEWLEDYIKRIQKEDKKKVPNLLPVVEQLGSATVHNGVQKDEFKKMAKQMETLVGSVFSPVQVLFRIKW >cds.KYUSt_chr6.6228 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37626717:37627209:1 gene:KYUSg_chr6.6228 transcript:KYUSt_chr6.6228 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHGPGAASKLTRTPSSLLRSPTVRNCSSFHAVLLHDDPEPDHKKSHAVAAQHTHNLRSRRCGSLLFRRLPQHELHAFRYGQRHWRVLAMNDNENWCQTMALLIHSHTSGWEKGSIVSEEVVGKSPDLYIA >cds.KYUSt_chr3.41240 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260252908:260253234:1 gene:KYUSg_chr3.41240 transcript:KYUSt_chr3.41240 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRKVMVSNAGVAAAALVALLVVAAASGAAGKLCGINPSSLANECRSYCRWGSTDSAPSGQCCAALKGAQFSCLCQYKAALPSDIDPKRAMEIPSKCDAGAPTSCK >cds.KYUSt_chr7.19387 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120279738:120283443:1 gene:KYUSg_chr7.19387 transcript:KYUSt_chr7.19387 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYENGDSPAAAAPEGGVILGVDGGTTSTVCVCLPAAMPPPEAPGSVPVLSRAIAGCSNRNSVGESAALETLEHVMTQALTMASTDHSNVVAVCLSVSGVNHPSDQQKMLDWIRNLFPGDAKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKLARAAGAGPVLGDWGSGYGIAAQALTAVIKAHDGRGPQTNLTRAITRRLEIASPDELIGWTYADPSWARIAALVPVVVSAAEDGDEVANTILREAVQELADSVVAVVRRLALCGEDGKDQFPLVLVGGVLEGNKKWNISGEVIKCISKVFPGVHAIRPEVSVN >cds.KYUSt_chr1.40594 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248911286:248912146:-1 gene:KYUSg_chr1.40594 transcript:KYUSt_chr1.40594 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTRSAATPRPSAAGAAAATATAKISFRSRKIVKTPPAKPLTVITVPPPAPIPPALPALSAPGELAAALRHLSAVDPLLSAVIASTDAPAFTSTPSIPAFHSLARSILYQQLATSAAAAIYARFLALLPSTPAVGPDPAVSPAGVLALAAADLRAIGVSGRKAAYLHDLAARFAAGDLSESSVAAMDEDALLAQLTKVKGIGEWTVHMFMIFSLHRPDVLPSGDLGVRKGVQELYKLKALPKPEEMAGLCDRWRPYRSVGAWYMWRLLESKGAAAKKAKKGNASA >cds.KYUSt_chr7.10066 pep primary_assembly:MPB_Lper_Kyuss_1697:7:61638168:61645353:1 gene:KYUSg_chr7.10066 transcript:KYUSt_chr7.10066 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTYSKRRSGIMKKAKELTVLCDAQVAIIMFSSTGKYHEFISSGSDIKGIFDRYQQALGTSLWTEQYENMQRTLSHLKDINRNLRTEIRQRMGEDLDVLEFDELRGLEQNVDAALKEVRQRKYHVITTQTETYKKKVKHSQEAYKNLQQELGMREDPAYGFVDNPAAGGWDGVAAVAMGGSSAADMYAFRVVPSQPNLHGMAYGGSHDLRLG >cds.KYUSt_chr7.24649 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153675363:153676043:-1 gene:KYUSg_chr7.24649 transcript:KYUSt_chr7.24649 gene_biotype:protein_coding transcript_biotype:protein_coding MCHTCSATGGYNRCNALDKILESFRVPCTNTKYGCTAESHYHEADEHKKSCPHTPCFCPEPDCVFAGSTVALLAHLTGDHMWPSTELRYNLKLTVDVQEGIHALHNRDAGLLFLMKFTPVPPFGHATSVLCVDPHATATEEAECKFRCHLSSSNTDIGWQKTSDFQVRSTNLSEGLLAPEDGGYSFVAPNTTSITVSIAKIMRDKRGNEIRLKRLRQSLLPYAIAT >cds.KYUSt_chr2.2043 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12278951:12289209:-1 gene:KYUSg_chr2.2043 transcript:KYUSt_chr2.2043 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAPCSGGAGESRWSQLGSSLAVRNVQALAASGPDELSADAIQRYIQPDIDAHAVLAEHSGQVPVIDLRKLLDAETLEAEAAKLKFACEEWGFFQVVNHGVPDEVIANIKNNIHRFFELPLDVKNAYAQRPGDIQGYGQAFILSHDQKLDWADMFGIFTQPSQARDMSYWPSQPGTFRNSIEEYSSQLMKLSHSIVTFIAKTLDFGPELMADKNVGQFLRMNYYPPCTSTPEKVLGFSPHSDGSFITILLEVNSVQGLQIRRHGAWVPVKPHRDALLVNVGDFLEIMSNGRYKSIEHRVTINANKERLSISAFHVPKRSGRFDVDADGGTVTDEDTMQKAMHRKAAKNLDFDGVSLGSSEKKISVSTRVLKHMEFDRFTVTPKVSTRLDSTYLDEEEAIATSDDQLLSHLVAEFYQSFWDVIKVDLLALFTDLHAGQLELFRLNFGEIILLPKVNEEERIQQFRPICLLNVSFKIFTKVAMIRLNLVADHVIRPSQTAFMQGRNILDGVVVLHETVHELHRKKLNGVILKIDFEKAYDKVKWSFLQQTLRMKGFSDEWLVLINSFISGGSVAIKVNDDVGKYFQTKKGLRQGDPLSPVLFNIVADMLAVLIERAKSEGQIEWVIPHLVDGGLSILQYADDTILFMDHDIDKARNLKLILSAFELLSGLKIYFHKSELFCFGKAQDHVAEYAELFGCDQGQFPIRFFWQGDCEKRKYRLAKWNVLCRPKDHGGLGIQDLQVKNRALLEGNEDNEVEDQEAPDEPADDDLGRVIADARRECETEKERLAFDKMIEDHNKLLYPTCEDGHKKLGDTLELLQWKAKNGVIDSGFGKLSTIIKRKLPRGVRVWDEHKQEEFDLRALLFVTINDWPALGNISGQSNKGYNACTHCLNELEGDYLEKCKKVVYLGHRRFLRLTHPVRKKGKHYNGEADHRRKPLHRDDVDIFGMVKDLDVIFGKGPGGRSVPNDDAGHAPMWKKKSIFWDLPYWEVLEVRSSIDVMHVTKNLCVNLLGFTGVYGKTKDTPEAREDQTT >cds.KYUSt_chr3.4019 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22875510:22877693:1 gene:KYUSg_chr3.4019 transcript:KYUSt_chr3.4019 gene_biotype:protein_coding transcript_biotype:protein_coding MYDIWWLLQWWDDWQLRILVLGSLAIQWFLLLAAPMRKFTIPHFLRTCIWLAYISSDALAIYALATLFNRHARASNNLCGPAASKPQQPSSILEVLWAPILLIHLGGQKEMTGYAIEDNELWTRHTVTLVSQVAVALYAFCKSWHSSSDWRLLVVAVLLFIVGVASFSEKPFALNRAKIKTLAAVSAWVQGQRKPSKWTERIHHFFMFEESSCFSLSAPAPAPAPGGEDEASRNHSLLQRWCGKKDGRSQRRKPVALTEADKVLMVLSDMSLLAAANEMVARGRAISVGDILPPLTVAEKVLPRWLRNAFAFIYTRATVVVTPLYLLYHLLLVPILHIAALTLFASSDKHPYKRADVKITYIILCLTAALDVFALFIRQLLYRLMSMTGVPALCETVPSFNVIDAAIWEADKSIGWVYKCASRMGINCYCFSRPQHDDELYAKVAQTVITDLVDARDRDLASYRTFQETNTNGNWALSKELQEICGVEIKKSLCSVSFDRSVLLWHIATDLCRRCTDDAASVDDRGQEQKEEEEVGVATEELEGAEGGTGAEQHQEHHRGVRDPALRLHKECTIAISNYMAHLLNVNPDMLLTGSRHHLISEAVKELQTYSFLFKKKRKFKLSPREIDLIVAREQYKSADGDASLAFHTKEACKLAKELLQLDDDTRWMLMYRVWLGMLCYSASMCRGYLHAKSLGNGGEFLSFVWLMLVLKGGKSLADKLQMPSET >cds.KYUSt_chr7.2701 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15950524:15953853:-1 gene:KYUSg_chr7.2701 transcript:KYUSt_chr7.2701 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGTSLRSQARALLRWKSSVTYSSKHQLGTWRDDGMYPCNWTGITCGDTRSRGGTTAKVIRGISLGGAGLVGQLDALRFQSLPYLVNLDLSNNFHLSGAIPPGISSLSMLSSLNFSGDQLGAQIPESICNLARLTHIDLSFNNLTGQIPPALANLSRLVFLYMNGNRLSGNIPWHLGQLQNIRKMELSYNILSGQIPSSLTNLTNLYYLGLCGNSPSGPIHEALGQVQNLQELYLHKNNLDGTIPPSLGNLTMLKVMYIYINQLTGPIRVELARISSLTELDFSENRLTGSIPSSVAGNLTLMTFFSVWSNLLTGSIPHEFGKLVNLEKLYLTENFIAGSVPPSLGNISSLRQIAINSNILSGELPTEFGNLANLELLHVYENQLSGSIPQSFGRLVRMTDMRLFMNQLSGPIPSVLSNLTNLVIIALNDNYLIGRLPDLCQSKKLQIFRVFKNSLDGPVPKGLRDCSSLTSLEISHNQIEGDISEAFGVYPHLIDINLSSNRFAGQLSPKWGSCQNLTSISFANNMIEGNIPSELGELENLGRLLLHSNRLTGEIPPEIGNLMSMYWMNLRNNQLFGPIPKQIGQLSNLEIIYLSDNLLSGKIPKEIGNCVKLQLLQMDNNNLSGNLPVNLGHLTSLQSMLDLSMNSLSGPIPPELSKLVLLMFVNFSHNQFSGAIPVSLASMQSLSVFDVSYNFLEGSVPKGFHNASAEWFLHNNGLCGDLVGLSPCDFPLVDHRQKHQNFILLAGLSMFVTTIAIAVGVIACFVCRKEVSKQSDDMNKRDVFSVWNFDGRMAFEDIINATENFDEKHCIGEGSYGRVYKAELQDEQVVAVKKLHAGNDEAHDEERFQHEIEMLTKIRQRSIVKLYGYCSHPGYRFLVCQFIAKGNLASILGNEEQAVQFHWQRRITLIRDVAQAITYLHHDVQPPIIHRDITSRNILLDVDYKAFVSDFGIARMLKPDSSNWSALAGTYGYIAPEFSYTSVITEKCDVYSFGVVVLEVLMGKHPGDVQNFLSSLGDQFLLEEILDKQLPQPETDEAKDVKRSISVAFDCLLLSPKERPSMLKVYRDLVI >cds.KYUSt_contig_2431.27 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000336.1:151320:153923:-1 gene:KYUSg_contig_2431.27 transcript:KYUSt_contig_2431.27 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRSELRLMHGFLCRVDIRNLKNQNYGIWVQELRMLAHKIEDIVDEYLYLIGHKHDTGWGTYLKKGFKQPNVMLSLNRIAKEAEVNLAYLFQAKDRWVTVVDGGNSSDSSYIVERSQHLASISRSLVEEDLVGVDKSREKLEKMLAGDDLERCVIALHGMGGLGKTALAANVYKKERGKFECHAWVSISQTYSIKDVLKYLITELYKGRNSTPANMDHMDTKDLQDELKAFLDDKKYLIVLDDVWDPEAVNDLFRALVQNQKSSRVLVTTRIDGVAHHALQDRRITLEALSRDHSWELFCKMGFSRDTNHKCPIELEELAQEIVSKCKGIPLAIVAVGRLLFVRDKTREEFKRIHDQLEWELVNNPSMEHVRNILYLSYIYLPTHLKSCFLYCSLFPEDYLFRRKKLARLWTAEGFIEERGASTLEEVAEGYMKELLHRNMLQLVERNLFGRIKKFRMHDILRELAVDLCQNDCFGVAYEEEKCGGYLEKDGRRLVIHNLKKDIDQSISSLHQLRSITALDDSMPSFTLLPLLSEKTRYMTVLELAGLPIEKIPYAIGDLFNLRHLSLRNSKVKMVPESIEKLSNLLTLDLYNSDVHKLPRGIVKLKKIRHLFAQKIIDPSARVLLWSSGVSVSKGLGNLTNLQTLQALVAENESVRQLGELRQLRSLRLCNVKRLYCECLCNSLVQMQFLSFLDVIASDGNEVLWLNALPSKVQKLYLRGQLDKETFKALGQNLYELGLNLSQLIEDPLPSLSRLTNLAELYLNKAYCGKKLAFLKEWFPNLKTLQLKDLPHLDQLEIQEGSMATLERLFIVNLNSMTEVPPGIEFLVTLQYLCFYEITPGFLTLLRQCPRIGGMRRFWHTFRD >cds.KYUSt_contig_1181.109 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:741681:742857:-1 gene:KYUSg_contig_1181.109 transcript:KYUSt_contig_1181.109 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTAATLAAATLASRRSDAAIQGKLSKCSLLPKGEKAAAAPAPSPAASALVAGVSSGQRPPAVQPLRPGRSSPHRPAADFSSMCMCSLVEARLPSTVLMAAPTPPAPFSWASDAHNDGDDKDDEEELAPKKPPAATKFVGEDNPLSTVAARPTVPCPPEGLLSDPAVLIEGLGSLSLPSIAASGLTVVPLHVGDELLVPSLLWDASLSSDEVDDDEELAPRSPLASSKGVVSGSVRGTTDVCHVEEAPSKPCSGLSTADGALGDEEGWVQVGRGGHPGREPSSLLRKEGLERSDTNPSNKIFSELDEINAQGPILPRSFQKTEEETKWGHEAPKP >cds.KYUSt_chr6.3154 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18498361:18503634:-1 gene:KYUSg_chr6.3154 transcript:KYUSt_chr6.3154 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEMNLYMDLEPKDLFLLLLMDGDDMSARPAVVLARRALEFHHGVLGGCCGNLSTTAIPPRQIALVQGGGQLPVGAWVPVSQVAEHEVAAYRKLEEDKASKALDDLVCFCKTQGVHARKLVVAVDDMTKGLIQLVDDHEVAELVMGAASDRAYTRKIRTPKSKKALALQQKANPNCRIWFVCKGNLICTREVTEKQSRAAPSTASTSPRSSASDHSRSKSSPPRLPLHADDDDTDHIVDDIAHQAVVETAEAEGGSPTGLQPIQEGGEELSSPSSYSPARDAESTPKGEARCRRKAEERLRMEPDRWELDAIFNKIRKVDRRSAKLELHVAGSERAMGKLNVRRPVSRSLLDMLRLGRRREEPVAVEESIVAVGGGSFLRLGLLEVEKATGSFNESARLSGGVYRGTLHRMSVAVKVIFPDIAVNEARFARAADAMARARHPGLVKLIGACPEARIVVHELVPGGSLEDRLNGKAPPLPWHVRFGIAYQTCSALSYLHSTDTVHGDVRPTNILLGDERCSSIKLAGLGMSRLVAPKLGGTVALAYVDPRYLATGELTPQCDVHALGVLLLRLVTGKPASAAKKAAREAATGGGRAWHEVVDASAGGWPMERAMEVAILGLKCCHVSDGRAPPRPAAELLEEARGVLEAATSAAPGRT >cds.KYUSt_chr5.41564 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262270544:262272545:-1 gene:KYUSg_chr5.41564 transcript:KYUSt_chr5.41564 gene_biotype:protein_coding transcript_biotype:protein_coding MIEREAIGHGAAVHYEDYYPMAEVVNKCRYGRDLVKPGQLTRLGTQMRRQLDPFGQIWCNLVLVFITAGLWWRGPLQVTKLSLEISNNKVASSSVFACGRSTEQLWRIFFDKLPRRKMMEESSVAGSSKKHCQLLIIDPHSLFSSRPTVVVRGRSCGAWVGLTMLELSSGFFFLYSERIYYCLGATTSVFTNPSGLVPGVGRDGGDWRQIFGGVHVLDRVFTVVCRVLCAKESGSFGAFPSSKKTVISCYCIIKKLECKRGKLYDMAIIDPNTVHEVTVRKYAKDTEDNMLMFLQKQANKEDIFFPYNFNFHFILLIIELKKGVVLVMDSKRKEHAEWANMAAMLQRAWKRFINTVLGKWKPELTFKDYPISSTIYIAMSVNLLNILFQYDA >cds.KYUSt_chr2.51607 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322439463:322442942:1 gene:KYUSg_chr2.51607 transcript:KYUSt_chr2.51607 gene_biotype:protein_coding transcript_biotype:protein_coding MAASADAAAAAAARTLRWAGRAGHLGGVPRAAVIGAIGTVAKAYMALLNTTTVHNADALHRVVKSRPPGTPLLTVSNHMSTIDDPFMWGFKGFPITDAKLARWVLTAEDICFRNAFMSYMFRVGKCVPITRGAGIYQEHMNEALEVLSTGSWVMPEKSFFGRRPPLPLCGKEIQIIVGEPVEFDLPSLKQEAATIPQDTSFERKGWPTITPEGLDEAAQRWLYQKMSDKIQSVMEGLRKTLLNQKQH >cds.KYUSt_chr3.21504 pep primary_assembly:MPB_Lper_Kyuss_1697:3:131995310:132001834:1 gene:KYUSg_chr3.21504 transcript:KYUSt_chr3.21504 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIGFFFPSLNVMALYVSVFAEMEEPCLSTQLIDGDGVFNVSGLESFLKEVKLAECGLSYAVVSIMGPQSSGKSTLLNHLFRTNFREMDAFRGRSQTTKGIWMAHAKNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTMLFVIRDKSKTPLENLEPILREDIQKIWDGVPKPHAHKETPLSDFFNVQVVALNSYEEKEELFKEQVSSLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQHFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKLASFTADEEWQKFEEAVQSDYVPGFGKHISSLLDKCLSEYDMEAIYFDEGVRTSKRQQLESKLLQLVNPAYQSLLGHLRARTLEAFKDSFEKALEKDGFAVAARHSVRIFLEKFDKGSEDATIEQVNWDSSKVRDKLKRDIEAHVVSVRAAKLSELCAGYEGKLTKALAEPVEALLDSASEETWPAIRKLLQRETKAAVSGLESAISTFELDEATEKELLSRLENHGRGVVESKAKEEAARVLIRMKDRFSMLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLDEDGDNIDNTLSLALVDAARPGTTDRSIQSLDPLASSSWERVPEERTLISPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAVLGFNEFMTLLRNPFYLAVMFVIFLVGKALWVQLDIANEFRNGFLPALLSLSTKFVPTIMNILKRLADAGAEPPAPQREMELQPTRNSSNSNVTSAGSSSITSSENGPEYSSPIAQ >cds.KYUSt_chr7.27584 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172267113:172267640:1 gene:KYUSg_chr7.27584 transcript:KYUSt_chr7.27584 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAILETIKPRRSAWQDDLPVTTAGGGAGNKGGKVDLSGMRRRVSSSLSLHLQPLSSSSSEALRRARSMPSIKALAAAGAVRRWWEWGLGWVMERKPSFARGLEMSDDEKAALGCHCRGTLRHVFYKARAEVRRLLGRDGLPLGGGGGHGQDFGYDSVSYAQNFDNGDVGARC >cds.KYUSt_chr2.51888 pep primary_assembly:MPB_Lper_Kyuss_1697:2:323992741:323993558:-1 gene:KYUSg_chr2.51888 transcript:KYUSt_chr2.51888 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALSGQIWRRPVDLLFVAVLLWKEPEVAWKGVPAYSKNKACPVGFSDLLADSVCPPSSSRRGDGKSGWRANVACGEFVKLQGDRDAADSWRSTSVAQVWLPTQYGAGRQSGVYYMVAKLATMISGLRGGHSKRCFISVLSTSTVEALPGDSGWSFSSSDCQVIN >cds.KYUSt_scaffold_1259.109 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:802464:807091:1 gene:KYUSg_scaffold_1259.109 transcript:KYUSt_scaffold_1259.109 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLASSSLSTLPSPQMAAAAVALALGGWWEQVNGSPEWQDGAFFSLSAAYALVSAVALVQLIRIQLRVPEFGWTTQKVFHLMNFIVNGVRAVVFGLHAHVFLFQTKVYTLVLLELPGLLFFSTYTLLVLFWAEIYHQAKNLPTRKLRIIYIAVNSCIYVIQVCIWIYLGIHDNPLVELVCKIFISVISFIALLGFLIYGGRLFFMLRRFPIESKGRRKKLYEVGTVTAICFTCFLIRCIVMAVSSFDTDLSLEVLDHPILDFFYYMLTEILPSALVLFILRKLPPKRVPGQYQPIR >cds.KYUSt_chr4.45626 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282327730:282328860:1 gene:KYUSg_chr4.45626 transcript:KYUSt_chr4.45626 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKRPAAAVLNAGHVMEQGSDDYNSKRRRVRVGSMEEYEPTDVLGEGAFGIVKKARHCFTGKTVAIKFLRPDTDASELQEEGRFLEACAGNPYVVGSYGLVREPNTTKLSLVMEYVGPSLHASLSKRPPLPEAIVRRYMWQLLTGAQKMHERGIVHRDIKPANILVGEDGKILKFCDLGLAMSLATEKTPYYDAGTPPYMAPEMLLGKPDYDARVDTWSLGCVMAEMLAGGKMLFSDKGGSRHAVKISQLWDIFSLLGLPDERAWPELASLPLAGTFLRWFPAQQHNTLGERFHEELLSHDGFQVLKGLLECNPEKRLTAAAALRLPWFLPEINNLPVPGKIVVRIKLSLPATPKKKKNLQRIKTIPPVRQHKK >cds.KYUSt_chr2.24258 pep primary_assembly:MPB_Lper_Kyuss_1697:2:148119400:148126485:1 gene:KYUSg_chr2.24258 transcript:KYUSt_chr2.24258 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDEMAKLGLLVSEYDAPVQPPLPRYATAVMPPGLSADEALRQALLDSAAPPPPPPQPWAPPPPPPQPWAPPPPPPQPYGWAPHRRHSLMAGLLIRRHSVDILTVVWVVIGRLTGDAMLPDPEQALALLVIACSDGPSAGSRSTVQPPTAFSPFSWLAWVRDWPPPPPFPTAGRAADVQASLLHPVPDRAQHGARSTGGSRSRQPPETGAPGGSASPFLIRHRPCGVLRRLTDVLLRPRQLCFAATDPHSHNSGDPSM >cds.KYUSt_chr1.6440 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39676573:39677995:1 gene:KYUSg_chr1.6440 transcript:KYUSt_chr1.6440 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPPVLEARKEQCCAQGFRCEGRRHKCSRRAAAGQRRRAHGVRRRFRDGCGELAGAVGSASAPFASRRTAATTGSCRAMSKRAKMSEPDAKTTILGSSVFQFRVDYEQSKQLPIGKAVRSDVVSAGGHLWRVDFFPRGETDEDNGEYTSIFLSHMSKSCSVKAVFEAFMMGGNSKLSTSLNAGRTLETFEILGDKDFVDTWGWTRFIKRTSVQENFLTEGHITLVCAVMVIDDNPIPVPPSDIGTHIGRLLDETDGTDVAFIVDDKTFPAHRAVLAARSPVFKAELFGSMAEATMSSITLHDITPATFKTMLRFIYTDELPAEDEHQDSSTEKIQNLLAAADRYALDRLKFICARKLWDKVSVYTVATILACAETYNCQELKKKCMDFFVQEENFKEAIFTDGYASVLKFPLIVAELKRRFRT >cds.KYUSt_chr3.6824 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39191764:39192528:1 gene:KYUSg_chr3.6824 transcript:KYUSt_chr3.6824 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFVGCGNDATLDLQFPDSVYKPQMVASGAPIALVQVSGEGIVTAVDIQNLLARMCPGNPAWRWEASPHGDKAFLVGIPTSADLARIDGMQMSVPKVDAQVLVSSWEHQDVVPAFVMQQVWVHVEGVPDSLKHFLGLWAFGTLIGTTLDVDLFTLRSQGIVRILVAMRDVAALSRDNRGYLEVIALLHLNGYRFWFRREAVGFEPDTRFRPFFWKDGEDNDGSHGLEEKKLEEGSAEIAPGAANMEVDNHPST >cds.KYUSt_chr4.54242 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335361147:335364443:1 gene:KYUSg_chr4.54242 transcript:KYUSt_chr4.54242 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSRVHVRVNAAAPDGSSTTPCGASVAAPPALPPGVRTRLQKGHLPVQYFAPFIVSLQVKENRDLPTEVSTLLGTLHQQLQIQDKMASSSSVLLVVALFAVFLGSAHGIAKVPPGPNITAEYGDKWLDAKSTWYGKPTGAGPKDNGGACGYKDVDKAPFNGMTGCGNTPIFKDGRGCGSCFEIKCTKPESCSGEAVTVTITDDNEEPIAPYHFDLSGHAFGSMAKKGEEQKLRSAGELELQFRRVKCKYPDGTKPTFHVEKGSNPNYLAILVKYVDGDGDVVAVDIKEKGKDKWIELKESWGAVWRIDTPDKLTGPFTVRYTTEGGTKSEVEDVIPEGWKADTSYSAK >cds.KYUSt_chr4.9823 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59401682:59406281:-1 gene:KYUSg_chr4.9823 transcript:KYUSt_chr4.9823 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGMIRYVAEIDLQEYKDVENIAFYQVAANLGSGLCMESERSLRIHICTDHNDVNFLLEFLHHLVESKDHYRKVEFQKNVFITKSAFALVPLSEMLQRNNTLNAVVFNDCRIGATGATLLASALANNRSVEEFQVWEDSIGSKGAEELSKMIEVNYMLKLLIILDNSSIAAAPLMSAVLARNRRVEVHIWGHSRDTRGGMNSCKIVEFLPATGNMRIYNNINSTGLQRVACALAWNTTVTTLDMTGVPLKSKWTKELRGVLDRNRSLKAVKLTRCCLRDKAVVYIAAGLFKNKYLESLILDGNRFGGVGLEHLLCPLSTFSPIQTQANSTLKVLSFGGQRTNIGRYGVAAILQLLETNQSLIELAICDDASLRSNDVVHIFTSLQRNATLRILSFRGCKGVDGEAVLQTIMNTLQVNPWIEEIDLHETPLHVAGKTGQIYEKLGQNGSLVLPNDLLDLPLSAPTCCRVVLCGQDLAGKSTLCSSMNQSINSMKAPRVDVMKTLKTPVEQMPFTEENKMNSIFDGNTKLTMCNLGGHEGSFALHDFMFVVHGGPSVFMIVSSLVGKPATKYPKSIDEIEWELIYWLRFLISSYRRRVSHSFRPCVTVVLTHYDKVSHLPEGLQPIATVVQRLREDFHSHAEIYPTVFAVDSRSLVSVSKLTHHLRMTTKTIIQQNAQVYEVCNDLIKILHDWRLKNNKAVIKWAEFCEICQLNVPALRLRSRRDNVEKVNTRRRAVAKSLHTLGEIVFFEELGLLVMNCEWFCRDVLSQLATLKLIKIESSGGFVRKEELEKMLQEKLRNQVTRSNWRTGASLQASDIINILLELELCYEQDPGNPHTLLLVPAILEENKGETDKWQLTMPECRFVGRRLECEDIHMLLTSDFFPRLQVRLHNKIMCLGHHEAVYNLEKNLIYTVINGVHVKVELGMKLGSSIDVLACSGKNVTEMVRLLHKFVIPTILNLSPNMTFKESILRPDCVKHLIPRRFRATQQLPLKKLKQILLSLPAESIYDYQHTWSAVESNKRVILRSGSDHARDLLSDDDFHEVLHRRYYDLQYLATELAVAPDNLQQPETIPEADMVDPSILGIAKGVEMVLQRLKIIEQGIRDLKEEIASLRYYEYHLVTELHRKMDYVMNYSIQLEDRKVPQMFYLVSLDNRSKKLVTRILPGMRSLRVHMLCEFRREMHVVEDQVGCDMIQVDNQAVQSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSKEVVHLMDSSAMYGAATSAASLGALGAAAMYGRTRNRGASDMGEDMQAARQWLLDFLRGQGILTGMDIAQSFGLWRVRYRDDGHIAWVCRKHIAARGEEIFELPL >cds.KYUSt_chr1.8795 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54110165:54110721:1 gene:KYUSg_chr1.8795 transcript:KYUSt_chr1.8795 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVWSSAATSFVLAAGYSAAILEYLTAEVLELAGNASKDLKVKCITHHHLQLAIHGDEELDTLVKGTIASGGVIPHIHMSLIKVICPVVLK >cds.KYUSt_chr5.9001 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57219233:57224312:1 gene:KYUSg_chr5.9001 transcript:KYUSt_chr5.9001 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMSVSAVVPPAAAVARARTLLCVPATARAPREMAAEVAAAAALGADLAELRLDRLAGFAPRRDLPALLAKPRTLPALVTYRPKWEGGEYEGEDEPRFEALQLAMELGAEYVDIELKVADKFIKFMSGKKPETCKLIVSSHNYENTPSAEELGKLLAQIQATGADIVKIATTATEIVDVSRMFQILVHCQEKQVPIIGLVMNDRGFISRVLCPKFGGYLTFGSLEKGKESAPAQPTVADLINVYNIRQIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVGLNAVYVPFLVDDLAKFLHTYSSPDFAGFSCTIPHKEDAVRCCDEVDPIARDIGAVNTIIRKPNGNLVGYNTDYVGAISAIEDGIRATQSTRSTTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFVSDNKDTILQQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAEGQFEHFMGMPAPYRHILHLMILEEVESKHYLDALANQKKKSGTRPRMNFVKLNVDAAFSLENSSVAASAVLRNFQGNFIAASTTLISHVPSATMGKVLAMLHGLMLANSLGFNDVEAEFDSLEVIQLCSGVVRIWNDATAIYAGSIEVFFHIAGEIQIP >cds.KYUSt_chr1.19674 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115662228:115663292:-1 gene:KYUSg_chr1.19674 transcript:KYUSt_chr1.19674 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKMEVAPPAPEPAKDIAEEKALVVAEDGEKPAATDGSHGRDAFLERVATEKRISLIKAWEENEKAKAENKTAKLLADITSWENSKAAQLEAEHKKLQEQLERKKAEYVEKLKNATAAVHKAAEEKRAAAEARRGEEIVAAEEAAAKYRAKGEAPKKLFASLSRG >cds.KYUSt_chr7.2434 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14219444:14222355:-1 gene:KYUSg_chr7.2434 transcript:KYUSt_chr7.2434 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIAPLYAIRHSSHASQDGSAGTDDDANYDAEFKGAIVFTVVLMSILGGTLSVVGLERLIRGRFTLFSIVRTLLRSTFILFLPLLSYMFSHSEGNKGELLFLLLWMLLIELIRKKVQAMVQSAGGSFSRASGRFRLMDHSDEATRLVWIGYLMYLNVPRDSLSGDVMPAFFYILWSLALAKLGQRVLNKWMAQDSLAAAGNTHLIAGYMQHIVEKHGMSPAGDIAKCEYVVMGEEKLMLHKKDSRKKDGPRSISVPKVRLTTLHCGYGVGRFPHGQDEQKHVHLLIDLSKVKDLVTVERIWEKIPRLNICCFSTNRWRFMCFSFSLFKLMRRRFEHYPMVEVGSDMARRLMLHGLLSLECESNAEDNAHAVFQVLQIELDFLDNYYEAGVPVVMSAPWLFIFNFIFSILFVLTYVFAILIIVRSYKIDKTLLLYFVVAVLLVMTILAIEITEFLTIYLLSNWFLVHLLCLYVAPGNCLWSWLGKPIVGCFIATRFLVVSGLEIIFRLFCRPINPNKMKIKQVSIRQVCEPVHKMLAWTSQVTLPTKAKVDIVQSLKAIDPLGTCHVFLPQMYGLEGDGKTATEILLQCHLATELLDMNGRRAKDDKQLKDNDNHQVVAVTLSRYCMYLVARTPELLPDDEIWVSDCYDDMKSCLKKASSWCFCSSAWQGLLDKDEQAAECLEDPTARDGLKLFQRHRERTDKDKVWEELAQFWVNLLIYMSPSNDVEGHAKALSSSGGDLITCLWAFCTHAGISRPRRPSTNADQQV >cds.KYUSt_chr7.28355 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177020267:177021307:1 gene:KYUSg_chr7.28355 transcript:KYUSt_chr7.28355 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKILTRSGSLQEKANQGFQRSGLVEDIILSNSKSSGDQFLALLRTSSAAARRSKADAADHSTAAAKIETIDVSELLAGLEEEEDNTAQEEDEEQEWHDDRKEGAKISPWCLSDGAAGSGRSFRTLEEFDAMVTRCSSPEQAGPAAVLAPEPDVSVSPPPPPPESSSSEQEGPATALAPEPDTSVLLLPQPPAENSSSEQDAVATASYAAPGQVETRGAKRRARARQLGELSAPAPAGFDFSKSGSLRDWLLGGGQIFSPGSYATPKFGKVAAVPSVSEEHGERRAVFDPELVAQLEEAMEELSVDEERVLREVLESIEAGETESLERPGDRAAPPVVAVQD >cds.KYUSt_chr5.20747 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134794186:134799087:-1 gene:KYUSg_chr5.20747 transcript:KYUSt_chr5.20747 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNGALNGSSGSSGPSRAAGPSRTVLVTGGAGYIGSHAVLQLLAAGFRAVVVDSLQNSSELAVRRVAALAGDHATNLSFHKVDIRDEDALETVFASTRFDAVIHFAGLKAVGESVQKPLLYYDHNIVGTINLLKVMAAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGRTKLIAEEICRDIYRSDSEWRIILLRYFNPVGAHPSGYLGEDPRGIPNNLMPFVQQVAVGRRPSVTIYGNNYATKDGTGVRDYIHVLDLAEGHIAALRKLFDSSSNIGCEPYNLGSGKGTSVLEIVNAFEKASGKKIPLIIGQRRPGDAEILFAATGKAERELNWKAKYGITEMCRDQWNWASKNPYGYASPDSTKQNGSNSH >cds.KYUSt_chr3.32597 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204943231:204947229:1 gene:KYUSg_chr3.32597 transcript:KYUSt_chr3.32597 gene_biotype:protein_coding transcript_biotype:protein_coding MACSTHLLSQSLYQSHRPSPAASRHLRFQARPAAAAWSCSSARSRRSPSVRVAASAEQGRRQVEVIALSDPSVSLLSALLGFSPELSAVIGKPLTYDAQGKFNQLADQIDQNVGITRLNLFSPCKINVFLRMTGKRPDGFHDLASLFHVVSLGDTIKFSLSPSKSIDRLSTNVAGVPVDESNLIIKALNLYRKKTGTDKHFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGGIATEQDLQEWSGEIGSDIPFFFSRGAAYCTGRGEIVQDIPDLLPINLPMVLIKPPEACSTAEVYKRLRLDQTSQADPLALLKEITQNGISQDVCINDLEPPAFEVLRSLKRLKKRIMASNRGEYSAVFMSGSGSTIVGIGSPDPPAFVYDDEDYKDVFVSEARFLTREENEWYREPLTSNATFSKEDLPSEAAPVVD >cds.KYUSt_chr1.22344 pep primary_assembly:MPB_Lper_Kyuss_1697:1:132144711:132157778:1 gene:KYUSg_chr1.22344 transcript:KYUSt_chr1.22344 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSSSSSSRRSSSPFSAGSRRPPTSSSSSSSYAAAGRLIPRSSPSSVSSHSFYNAGGGSGAPSRSTTPGRRAAPAPAPPPAPVPFPSADELVIEDTSRSGDSISVTIRFRPLSDREIQRGDEITWYPDGDRLVRCDFVQPSAYGYDRVFGPSTATEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSLIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTMMIESSDRNDEYDGAMYSQLNLIDLAGSESSKTETTGLRRREGSFINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNRLVDEKSLIKKYQREISSLKQELDEFRRGMIGGASQEEIMILRQQLEAGQVKMQSRLEEEEDAKAALMSRIQRLTKLILVSTKSSIPSLTDSSRLQRQNSVSEEDKLSTSQDNSTLVQNDGTSKDSLSVALPDPLDEINELRSASGDHSSVTGSGPDSAQEGITESDHLDLLIEQVKMLAGEIAFGTSSLKRLIEQSIEDPEGTKNQIENLEHEIHQKRRHLRALEQKIMESGEASVANASMVDMQQTITKLTTQCNEKSFELELKSADNRVLQEQLEQKTIEMNDLQEKVLRLEAQLIPKTNISPEECTEQEILDLKSKLQAKEIESEKFKYEHLEIIEENRDLITQNHKLSEEAAYAKELASSAAVELKNLAEEVTKLSIQNARQAKELLIAQEMAHSRVPVRKGRPTGRGRDEVGTWSLDLEDMKMELLARKKREAALEAALAEKELLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGAFSISDLNVDDRSINLADITNDAKENKGGTNVALIEKQASDDTVKSLTAEEYRSPEFEPLLVRLKAKIQEMKEKETDPLGDKDGNSHVCKCASLAPLHVRNALCAAQEL >cds.KYUSt_chr2.44720 pep primary_assembly:MPB_Lper_Kyuss_1697:2:278409871:278413420:-1 gene:KYUSg_chr2.44720 transcript:KYUSt_chr2.44720 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSRPPPTPSQQQQAPPTQQGYDELGGSGSFPDDDMAGGDSGGVLGSGGGGNRWPREETLALIRIRSEMDAAFRDATLKSPLWEEVSRKLAELGYKRNAKKCKEKFENVHKYYKRTKEGRTGRQDGKSYRFFQELDALHGPTIAHPPPQPHAFAAPPPMSAMPPPPGPIQPAPISSAAPAAEHHPKPPPPVSLQGLSFPSMSESDSDDDEELEDDEMTAETRGSPDGLGKRRRGGSNRKMMAFFEGLMKQVIRRQEEMQLRFLDTMEKREAERTAREEAWRRQEVARLNREQEQLAHDRAAAASRDASIIAFLQRIGAQAVQAPPVVIPMPMQVQTPPPPKQPRQQLPPPPQAAQLPKPIPAAPLQQKTPPPQPKPIPAAPLQQQTPPQQPTPQPPVPQPQHKETTTHQEAGTPRSAPTPPPGGSSLELVPVATEQHLEAAGHGGGGDSGGGASSSRWPKTEVHALIQLRMDMDNRYQENGPKGPLWEEISGGMRKLGYNRNSKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLEAIYRKKQHTGIVLPAAGNAASAANPVVSVSSAAVTEQQHSSLNRHEIEGKKINDIDKRNNGGIGVTPQVPTSNGQTTPPTATFDIDIGDKKPENTVRELAEQPRREFMTDETDSDDMGDDYTDDGEDGEDDRNMYRIQFQRPNPVGTNNAAPPATTPTTVVPTSTPASSFLAMVQ >cds.KYUSt_chr6.20996 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132401771:132410268:-1 gene:KYUSg_chr6.20996 transcript:KYUSt_chr6.20996 gene_biotype:protein_coding transcript_biotype:protein_coding MLISTRYIPKNPKAILSPIMSVAFDPDMVTELDKAGAGKGENSTKSTETYIVPEDDGSSLPNFPSEKELSSDRTEKSTEKLLADEWDKQNNSVDHPLVVQQAEEQNQLHDNVESHEMVSNNTSSGTDSDSSSGSDSDSENGKYFYPKIELLETAKQPEPGMKFQTLEDAYGFYSTYALLNGFEAKRGTNYMRKKFNLVCNRNGKPRATDDLIRKRKRKSIQKTNCQAKVIVKLIKGGQWEFITVRNEHNHPLCPSASLTKFFLSHKHISAEEKSFLKILQRTRIPPTKVMKIFKRMRGSSGNIPFKKTDPVNSLCAEQHRKEISDVERTLMYLKELELRNPGFCYTKQTDGDNIVRSILWTDGRSRMDYEIFGDFLSFDTTYTTEWHNMSFAPIIGIDNHGRTLLFGCTLLHDENAESYKWMFRTLLHVMGGKMPGSIITNQDEALAKAIAEVMPQVRHRIYKWDVIGKAQERIAAFIAERGNLKAELDSLVDNSLTETEFEEGWGALIERYHASENEYLQLMWQTRKKWVPVYFRQDFYPFVESHGHCEGMNLLFKDYVLPNDRIEKFIERYEEIQKKILRTADEDRLQTGTVPSCFSLQPIEKHAANIYTRPIFLKVQRELLHSTAFNVQEVQRGAVYRLDKVFNYENPEFDRNSFEVMVESGTKAFKCPCAKFTRDGILCCHIFRLFTQFGINEIPGQYIVPRWTYKFKEEKEKQYKEKCLVKTDNTARYATFMSKMADLGKKVCSDDTKYNAFVLELDRIQENFAMEEGMVAELEKPGADTSNESAKKRGIHIVDGDEGSTVPESASKNTVETMMPASELSKEPVGKQSMEEGRPPSADDNYQELSSDIIEKNTDGLLADEVYEETNSVVHSLQQAEEQNHLHDNVENIEKASNNTSESDSDSSSGGDSDSGLGKYFYPKFEELETARKPEPGMKFQTLEDAHGFYNTYALLNGFVAKRGSNYKRKKYHLDCNRSGKPTLTQDPNKKRKRNSFEKTNCQAKVIVKLTKGQWEFTTVRNEHNHPLCSNPSLTNFFLSHKNMPIEEKSFLKVLQQSRIPPNKVMKIFRRMKSSFGNIPFKKKDATSLQIDEQHRTENSDVQKALKLFKELELRNPCFFYTKQTDKDDIVCSIFWTDTRSRMDYEIFGDFVSVDTTYTTNRHNLPFATIVGINNHGRTLLLGCALLHDRKAETLKWMFQTLLEVMGGKIPGSVVTSQDEAMAKAIVEIMPQVRHMFCKWDVMGKAHERIAAFMAARGNIKAELHSLVDNSLTETEFEEGWISLMQRYNASENEYLQFMWRIRKIWVPVYFRHDFYPFVQSLGCGEGTNLLFKDSVLPKDSIEKFIERYEEIQKKTIQTDDEDRQQAGTVPSCFSLQPIEKHASNIYTRQIFLKVQRELLHSTAFNVQEVQRGAVYRLEKVFNYQNAEFDRKYFEVLVEPGSSAFICQCAKFARDGILCCHIFRLFTQFGINEIPGQYIVPRWTDKFKEEKEKQYRQKCLEKTDSTTRYAMLMSKMADLGKKICGDGAKCNTFMLEFDSIQERLLMSQGESSQQ >cds.KYUSt_chr3.13338 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80349854:80354274:1 gene:KYUSg_chr3.13338 transcript:KYUSt_chr3.13338 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKVCHNNVGVDTHVHHEGDDMDKRVQCERDEMDKKVRQEIAAMDRVMKQECKKLERKFKSTRRWTGRSVALGFMDGVGEAAAATPSDGFFLLLCPRCCGVVSGAKVEQRGFVQEYVQTVVCTGDILEDGAPCGGFVVEGRWLRFPTPTSSCVGAES >cds.KYUSt_chr1.6065 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37248861:37254101:-1 gene:KYUSg_chr1.6065 transcript:KYUSt_chr1.6065 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDEVELEPASIHNHDRLTDDNPRSPGGGGDGSWACRLLRCGCDFARKAALAGAAMTAAPVVLPPLVVLSAAGVALSVPFAAYLASLAATDYLTRALLRACQPPSQPYHHRRQEFDGGVEQEFLDASEAYFRDAPPFGNFSAETARGGEDERGTPLLLPRHPGFPEASSAANAGGKAVEGEYSARESGQISYTSTRGDDTEEALSGDFAVSTSAKNEDSQVRKLGQGEFSVPDPGQQPFQSENWSEKEGDVYSKYKEEEDGRSTEENKATKEKLSQGFSSPESRVPASGDKDSVVQEKGEGEFSAQNSGQYSLLSSLGNENEQGMAMEEKGYAEEMPPRDFAASESPTPLFYGEEKDSDTSLLLPQDHGVSEARVPAFADEVRGEFSSVQESGLESYTSNRGEETEKNSPMRKSESTGKEVLPGGIGLSASAKPLFSDEDNLVQEKREGGISVNNSGQQSFQSKNWNKEEEEDGRSTEENKSAKETPSQGVNFPETPAPTPGDDGNVVQEMGGAFFVQNSGQKSFQSKNWNEKEEDGGKTREENKSNEETLSQGFYFPEQPVPTPGGDDDNVVQEKGGVFFVQNSGQQSKNEKEEEYVNTREENKSNEETLSQGLYFPEQPVPTPGGDGDNMVQEKGGVFFVQNPGQQSKNEKEEEYGNTREENKSNEETLSQGFYFPELCVPASSDKDNTVQEKGEVELSAQNLGQHSILSSTGDENREGMKMEENKPTEVMPLRDFVVSESSVPVLHGEDDPVQSKEGFDVAVQELLKEANSNTDLVMAEAADVQVEIIAIAAPASEVLPPGNLATRESPVDHVTGEVDDVDVGIAAAEEPESEVLHPTSESPAYPVTRESVDVQVEIISVAAPESEMLPPSNLVARESPPNVATKKMVSEGFYFPASGVPASGGGDNVVQKKGGGELSVQSSGQQSFQSKNWNEKEEDDGRTTEENKSTEETVSQGFYFPESHVLASGDKGSVVRQKGEGEFSAQNLAPYSLPLNTKDEKEKDITMEEKKPTEDMPPRDFIVSESSVSVFRGEDDVVQSKEGFEVTVQGVVEEADSNTDLDMGEVDNVQVEIIAIAAPESEALPSCRMDLVTGEIVDVYAGIAAAVEPETESEVLHPSNLTTSESSADPETREIVDVQVDIVDAVVPESEVLPLSSLAALESQAIAETAHVGEVKNEKSMAIEEKNSTEDMPPRGSFVSESSVPVLGGEDDVAQSKEGFEVAVQELMEEANSNTDLVKGEVVDVQVEIIGIAAPESEVLPPGNFAAHDSPADPVAGEIVRVQVDIVAAAATGGEAGYTEEELREQLDALRTITGYGAVPSPTLEGELAGLYIFVGVEPSVGSSDTSDHLMELNAKLRFLKSIIGID >cds.KYUSt_chr1.24806 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148532159:148532734:1 gene:KYUSg_chr1.24806 transcript:KYUSt_chr1.24806 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSPKTAGGEILLEGSGPFHPLIRTGSLGILNPGGELEIARTSLAGSTRGGDSDRVLGSAKTVDEDRRSQGLDAFPGNPIFPQKSSKSAARSNSPASAIGSTFGTSSRPSHATSSATEISSSTPESSRGSNRLLERGDNPAGERSGRIGWRRIRRRDVRPGASQDSVASAASDITVHPENSYHQRKAST >cds.KYUSt_chr4.4815 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27546759:27548007:-1 gene:KYUSg_chr4.4815 transcript:KYUSt_chr4.4815 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQRAASEQAVSLALSLGAVADRHKKLRRAGAAAVGSGEFVCKTCSRSFPTFQALGGHRTSHLRGRHGLAARASPRRRPLQRQAQEDHFHRRSEAGGSTPVPCLRVSVRDGAGARGTHAQAPRGGRRGGAGAASPAPALRLASLHPCAVRANGFDMGKQAPVLLSPCVVRLPLASHFVDVAAGLLHPLRCAK >cds.KYUSt_chr7.37626 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234645693:234647292:-1 gene:KYUSg_chr7.37626 transcript:KYUSt_chr7.37626 gene_biotype:protein_coding transcript_biotype:protein_coding MRIASSWQLLLPTAALACCCLCLGAAASERRCKFPAVFNFGDSNSDTGGFWAAFPAQPPPFGVTYFGRPTGRASDGRLVIDFIAQAIGLPLLSPYLQSVGSDFRHGANFATLASTALLPNTSVFVTGISPFSLAIQLRQMKEFSHRVIVSGGSSGQLPSPDVFRNSLYTIEIGQNDFTSNLASKGIEYVKQTLPSVVSQISGTIQDLYRIGARKFMVFNMAPIGCYPAFLVELPHNGNDLDEYGCMKTYNSAVVYYNELLSNSLAKDRKTLQDASIVYVDKYSIMLELFQHPEAHGLKYATKACCGYGDGAYNFNPYLYCGNSKLVNGQPTSATACRDPQNYVSWDGIHATEAANNIMASAVMSGSYSYPQSELVKLCQP >cds.KYUSt_chr7.6098 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36469027:36479780:1 gene:KYUSg_chr7.6098 transcript:KYUSt_chr7.6098 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNLLESLSCKEGISLMEWRFRMRRSSTDVQVFSVHGYLFSGRRTETYLRRSCDSLLANPATAFVAPAIGIIVFALWGFLPLMKDIRNRIDHGGNWKQSPTYLISRSYLQPLLLWTGATLICRGLDPVVLPSAASQAVKTRLVTFVRSLSTVLATAYIMTSLIQQVHKFLVDTRNPNDRRNMGLDFTTKAVYTGIWIAAVSLFMELLGVNTKKWITAGGFGTVLLTLAGREILTNFISSIMINASRPFVVNEWITTKIDGVEVTGVVEHVGMWSPTVIRGDDKEAIYIPNHKFTVSIVRNNTRRSHWRIKTYLAISHMDAGKISIIVADMRKVLAKNHNIEQQRLHRRVFFEKIDEETQALMVYISCFVKTSHFEEYLNVQEDVMLDFLRIIGHHRARLATQTRTVQKSYGNADIDNIPFGEEMYNRVRGRPLLIDTSAKVSEGKSKPRPTSREERNAKSSISPDTKSVSPDSASLSSSDKKEHKKNVSEDGPMKNSKNGQVTSAPTSLQTENGDSVTSTSKAAERQGDGSVSLPNPKKESRPAMEDNIVLGVALEGSKRTLPIEEGTEPHVPESEQDTVEAGSLPNDKKGQSHTP >cds.KYUSt_chr5.32843 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208187278:208189063:-1 gene:KYUSg_chr5.32843 transcript:KYUSt_chr5.32843 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTDGKKLRKLHPKRSPIKPQIKPKQGPSTIPYGERQSGSDHVLDLLEGLPKMRSSRRSQARSGRPLSHLFDRSLQLREAHAQGGSHGSLEMGRPEDAYSSILGHGEATPPFGQSPPLSGASSPSPLLLPANAQRSSAGRRRHGIARLICSPFAAVLRSRACARASATTDDNQSTKRPSLQDLLRMDAPSNLDLKQPSEPDMADPFDDSSWKDSAIVVFDFGEDDDTSRAEDEDEEEEAPPFAITKDQHGAVPGYEQQMVIVPAEEFDHGGPVAGIGAAGATVPAPTVLMNLERLVLVLAALRARSRALKGSYGRLAGRHPGVDADKAEMFYDRPIPLGRRCRLQHLEETPYF >cds.KYUSt_chr2.16156 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101622037:101627415:1 gene:KYUSg_chr2.16156 transcript:KYUSt_chr2.16156 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGARASPRRQRGLARTLARRAGRRPGGLYFDEPVAAPAQASQAQPQPHEEDDPELQAALAASRELNDLEELAKWSHLASTLRASALEEMARKAQEDAEAEAWAFLAMARRQEEATAGHLFDEMSRKKTKVDAVEGDAATAAGHDRLSALPDDLLHKVMSFLRAWEVARTCVLSRRWRNLWASAPYVDLRVCCKHRHRWLPLRLTRFANHFLLLREVSAPLHTLRLLSTADLNGTPTLPYSPKYDEDGEDYCSTDVDMWIRAAMNRGARFIQLSKHPRQDDLSDLESVPLISRHLKHLHLSGTMLYDRTLRQLCSQCPSLEILELKECHLDGPQISSASLISLTMVDCRIRTELSIAAPNLVSLRCVNPYHHAPSFENMGTLATATITLKDSFLHDKFEDRYIEPDPEVFECDSSSDRESDDDSDADSNLSEEFYGDEVLGGQNVIRSLSNATSLELIADVGEKARSAKDALAEHQTDVVTSIRANFALGGGAGKQATRRWTRSSTRSSMRNGVCNVDGEVSSGGSCSPPLPPLPDSCDDDAVALPLTSGNYAYEATEIKLTALVVA >cds.KYUSt_chr2.55519 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346326401:346329245:1 gene:KYUSg_chr2.55519 transcript:KYUSt_chr2.55519 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLISGEIRRAAMKRLALLAVPALLLLSLSFLLLRPSSPPLLPSIPDDHRARLSVYVADLPRALNHGLLDLYWSLPHPDSRIPASSDPDHPPPRGGHPPYPASPLIRQYSAEYWLLASLLRIPAPDSAAVRVVADWREADVVFVPFFATLSAEMELGWGATKAAFRRKEGNADYRRQREVVDRVTAHPAWRRSGGRDHIFVLTDPMAMWHVRAEIAPAILLVVDFGGWYKLDSKSAGSNSSNMIQHTQVSLLKDVIVPYTHLLPTLHLSENMDRPTLLYFKGAKHRHRGGLVREKLWDLMVDEPDVVMEEGFPNATGREQSIKGMRTSEFCLHPAGDTPSSCRLFDAVASLCIPVIVSDDIELPFEGMIDYTEFSIFVSVSNAMRPKWLTNYLRNITKQQRDQFRRNLARVQHIFEYENSHHSEDGAVNYIWKKIHQKLPMIQEAVTREKRKPEGASIPLRCHCT >cds.KYUSt_chr4.37776 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232904980:232914433:-1 gene:KYUSg_chr4.37776 transcript:KYUSt_chr4.37776 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIQKRRVQLLLFVTGVLALSMTAEKCRELVGKEAASKSGQFTFLNCFDMGSGSFACAGKEGVKLYVNNLRSAHMEKVRQRAIEKALADAVMEGLSPAEAAKQAQKVGAKATKVAARQAKRILGPIISSGWDFFEAMYFGGSMTEGFLRGTGTLFGTYVGGFHGEERLGKLGYLAGSQLGSWVGGRIGLMVYDVINGLNYMLQFVRPEQYQSSAYASAEALEFADNYRSAEGEEPTYGEMAEEERTYGETAEEEPTYDETAEQEPTYSEAAEEEQRQEESQDLSAALGYRLPPKEIQDIVDAPPLPVLSFSPSKDKILFLKRRALPPLSDLAKPEDKLAGVRIDGHSNTRSRMSSYTGIGIHKLMDDGTLGPEKVVYGYPEGAKINFVTWSHDGRTLSFSVRVEEEDNKISKLRVWVADVESGEAKPLFKSPDIYLNAIFDSFVWVDNSTLLVCTIPVSRGAPPKKPLVPAGPKIQSNETKTVVQVRTFQDLLKDAYDADLFDYYATSQLMLASLDGTVKPMGPPAVYTSIDPSPDDKYLMLSSIHRPYSYIVPCGRFPKKVELWTADGKFIRELCDLPLAEDIPIATSSVRKGKRSIYWRPDKPSTLYWVETQDGGDAKVEVSPRDIVYMENAEPVNGEQPEILHKLDLRYGGTSWCDESLALVYESWYKTRKTRTWVVAPDKKDVSPRILFDRSSEDVYSDPGSPMLRRTTMGTYVIAKVNKQDESTYLLLNGMGATPEGNVPFLDLFDINTGSKERIWESDKEKYFETVVALMSDKTDGDLPLDQLKILTSKESKTENTQYYLQIWPEKKKVQITNFPHPYPQLASLYKEMIRYQRKDGVQLTANLYLPPGYDQSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFSGIGATSPLLWLARGFAILSGPTIPIVGEGDVEANDSYVEQLVTSAEAAVEEVVRRGVVHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKRPILLIHGEQDNNSGTLTMQSDRFFNALKGHGVQSRLVILPFESHGYSARESIMHCLWESDRWLQKYCVSGTSKADSEPASDGESKTLSASGGGAAVEGLTSDGFSSTPRSLLW >cds.KYUSt_chr2.33671 pep primary_assembly:MPB_Lper_Kyuss_1697:2:208078491:208079630:1 gene:KYUSg_chr2.33671 transcript:KYUSt_chr2.33671 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTKHMAGGGCAGAPSANAAPLQAILAGAVSGAGAGGGDGDPRAALERLAALGDRMAAVRRRLAASISGESQPLSPADIQSVSSEISSAAHLVVLNAASLLSSSLPLPAPSPPPAPPAPPAPIQELPSAATSAQGPPLEAIEGDGGYDVVELNADELLAEHVHFCNICGKGFRRDANLRMHMRAHGDQFKTLDALSRPGQAKPAAGRDVRFSCPFTGCNRNRVHRRFRPLKSAVCARNHFRRSHCPKLYACERCGGKKRFAVLADLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGHMPAISAPNNDAVAAPTEAPFDIMDEGGIEGNCDQEDDDEGRFDPEFFNEWMEELKDGAGPADGTSWPGPAAAGQ >cds.KYUSt_chr6.25835 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163705441:163709756:-1 gene:KYUSg_chr6.25835 transcript:KYUSt_chr6.25835 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTMASEGDHEYVNVVSAGLVLTSRTGGGGINEKIFSGVRTASTIARIGDSVTRNLKLIPTRTMGKDRANRRVHWPVVVHYASQAKVERVSRYTPINISFRKFLFSFFQSHNSVPSIFRRILGRRNRQSLFDDHRRRAIRRFPPRTDPTCMAGKASSVERVKDRATGLDKFVLREARGSSAEVFLYGGQVTSWYNTYGEQQLFVSKKASFKPPKAIRGGIQICFPQLGNHGVLEQHGFARNRLWSVDESPPPFRDTTYDCHIDLILKQSGDDLKIWPHSYELRLQVALSPRGDLILTSRIKNSNADAKPFQFSFAYHTYFSVSDISEVRVEGLDTLDYLDNLQSKKRCTEQGDAVVFESEVDRVYLSAPPKIVTIDHEKKRTFVLRKKELPDVVVWNPWDKKAKAMPDLGSEEYTCMLCVGAACIEKPITLRPGEEWQGRQEISSVPSSYSSGLLDPEQFRQMQHI >cds.KYUSt_chr4.22069 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138784451:138785944:-1 gene:KYUSg_chr4.22069 transcript:KYUSt_chr4.22069 gene_biotype:protein_coding transcript_biotype:protein_coding MASRARSPKFVPEPAMQSAGGSSSSQGLAALSAGLARRLADEHANSNLVFSPLSIYTALALVAAGARGDTLDEILRVLGAQTRQELDKFVARAAGEALRDRSGSGGPLVAFACGVWSDLSCPLKRGFREAVVDGAYKAEASTVDFRGDANGAVRLINAWAERITNGLIDSVLGPGSVTPLTRLILGNAVYFKGKWVEPFDKKKTQNALFRRQGGAGAVDVPFMRSRESQYIAIHDRFKVLKLRYKMADDVPLSSSEKTKISQMLKERLLLQIKLQSRSFQTIQPSPLLHRAAAPFRRASTYSYNYNPPNYDGLHSLAGPPSTQPSNGNTSSFRTRFSMCIFLPDADDGLPSLLDAIASRPGFLHDHLPRDEVVVGNFQVPRFKLSFHTSVAAVLGKLGLRLPFHETADLSGMTEDDGSGLPTVLSDVLHKAVIEVNEEGTDAAAVTQVFYNVECAEMSRTPPPPVDFVADHPFAYFILEEETGAVVFAGHVLDPSRE >cds.KYUSt_chr6.30659 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194357376:194358022:1 gene:KYUSg_chr6.30659 transcript:KYUSt_chr6.30659 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDATVCATPVNDLAPREAASFDEETRALIAPDAGSLPATPPSAVEANFARYFVADYLNPGHDQYVYRHPNG >cds.KYUSt_chr6.30456 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192894070:192898994:1 gene:KYUSg_chr6.30456 transcript:KYUSt_chr6.30456 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGESYKSWAWWLHALQAMRCTYSVPMDAKEARIRADVAEARGKEMAAAAALRLKVLREGKLMKWIFKTQDPEVLRAAAEEARRMDWLDEERVATDRWAKTKDPEGAEYQKQLREERIEMHERRMTEKISVDTEDWSEPRNYRRKWYSDHAGHGKYDDTTGIQAMRFTDIEPSNRDRIVYPTGTLQIFSFKVTRIGEELRWPLDVYGLIAIRDHLDRKRNIIFARSRENCQTITSEEPYLTLEGPTRAAVLSEVSDSVRFEVVLKVKSATNASEDKDLSSLATKHRTIGIIGTNPSRVINLAATSKLSKVEWAVGYLTKSVEATINIQVIDGKWPDGCRGIFSASTISLDDMKVLLLSLEDDKLPVNNDDGEQVSTAKHETTFTPREDGRSCDILKNPYLTLVGPGRVVVVSNVSDSVCFEVVLKVKIAEYAEREQITAEDETIFAPREAGRSSAAFKPLRPPENIHHIPSTWKPRVPSNTFKKGCDDDAVAARSDPRVFPGTRKDRETRLHPTPFKKEKWRPRASPRRCRRNRQRFLPTLVVPPWTLHHAPPYSSPTTLRHHGLAGITAVSP >cds.KYUSt_chr6.30815 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195309954:195313401:1 gene:KYUSg_chr6.30815 transcript:KYUSt_chr6.30815 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVLGRVGGKVAVDGGRDAVAAPATTRQIGSDDPIDIGDFPSLVYTGKPDVLAGNSIYWMVNGNFVGILEFDLGKQSLAVIRVPARMLEHGHFWIVRAQGGGLGLLFLTDSNLQSWNWRIDCDGVASWALARTIELNKLLSLDTNTKIVLGFAEENNVVFLWALGVVFMVYLESLQFKKLLETNTPSHYHPFESVYTAVYLLSSLAVPSVNAVVLSLAPQTT >cds.KYUSt_chr5.9604 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60979182:60979412:-1 gene:KYUSg_chr5.9604 transcript:KYUSt_chr5.9604 gene_biotype:protein_coding transcript_biotype:protein_coding MMYTPVHNLGEGYSKDAREGSYSKRPRGRHPKIGHFQDDVDPNPLRKGRASARGWRFFQFHPSSVHTMEPSQGQSS >cds.KYUSt_chr3.4538 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25780017:25780906:-1 gene:KYUSg_chr3.4538 transcript:KYUSt_chr3.4538 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGGEGGSAVMAVEDTAELQIDVRMDVDALLCQACLLPLKPPIFKCDAAGHILCSYCRGGHGQTCSRADTHCGELDAVVSAAKILCPYGKFGCERYVVFHGAAEHQRTCPCAPCSCPEPGCAFQGSPPALLDHFAAGHSRPVIAVRYGRSWSLSLPLSQRWHVIVGQEDRSVFLVCLGALGAAATAVSLVHVRADGGGAAATKFWCKLSVERPGDDKDRRVLMASRVSSSALTGGAPAPDQGMFLAVPQELLSGDMVALRIRIDQL >cds.KYUSt_chr5.4344 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27732598:27732873:1 gene:KYUSg_chr5.4344 transcript:KYUSt_chr5.4344 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMTSSDMPGAVRLSEVSTVLRGKKRQAMAPTVSNDEHQQQQTGRATVHVKKAAAAAGRPGVDQKETEAGGGGMSDATVYMLFDRFTPS >cds.KYUSt_chr4.40971 pep primary_assembly:MPB_Lper_Kyuss_1697:4:253232396:253235706:-1 gene:KYUSg_chr4.40971 transcript:KYUSt_chr4.40971 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRASSEMEACGGSHVAEMPRVPKSARGKRSVRKKESQGQAMCAFDLLATVAGKLLDEGEGSLGNMTAAAAGSPVLAAAASAKVKQELCDEEAKNSKNDVMDQDSCNESVLISHIASQRLVDHHARKAEDLNEVPKAKSEAVDKEPSMISCTKADLGCNFGAIADRWSPESVESGAFTGDAAANVMALAAAAFHKNAPDMYNLIDPMDVDVKPPPLVSSDSTGEMPLYGDKIRRSVSFPRGPKGEAEHVVDREVVDDDDDKSSGCTHSSTATNRGFRPNCAADHSRVKKFLARMRNGDLSYSDADRKPSFRNKKMYYTRQRTQRSTFKRRKMSDRHSTLVSEEFAKSNARRTTKVTARTASLGANKGSNSMPFHRSSGSNDCHMKLKIKSFKVPELLIEIPETASVGSLRKTVLEAVNAMLGGGLRVGVLHHGKKIRDDSRTLLQAGIAHDDMLDNLGFSLEPNCTQHPSQHAAAEDMEFLETVDTTEPLARIPPSESSSKRGEVDASHELALTPLTGNYQGNDHDSVHSPGGISSAEKASANSRAIVPVAPVDSSAGAIVLANKAKRSSEQGQRRIRRPFSVSEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQDLLDRVLAAQSYWSQQQAKLQPKTPPLAEARLLT >cds.KYUSt_chr3.17162 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105131993:105135311:1 gene:KYUSg_chr3.17162 transcript:KYUSt_chr3.17162 gene_biotype:protein_coding transcript_biotype:protein_coding MTDREGRWELDLESPATMEGTARPVPGDPLPLGLSRGPRVTRTKQLDFFHRFMASPLVPTFSASRAGLSLHHAHLFHLAQNWSFTILEQLHVQKLVAAVKDKLSSRQEGVPWSNDLKRHLHQFPQQNLTLAASWPGLFVDKQGVYWDVPLSLSADLASAGSSSGFSYHLLLQQNSGEPKCFGGDETIEVPLALLPGLCAKAAMSLKKSIDVWRKKEDKLKMVQPYDVFLSDPHVSFTGIVGAVASGSFGDCSKRISVPEETRKSNAFRMFRERNKFAAFADLFASVNFTAQHGNFQRLFLDLTRVSARFDISSGSLFLRGASHLAQDFFFSRRPDLETFCDVCPDVIVSLQQQIVGPFSFRVESSVAIDPRSEEHFVRVDDSVFAIDWALKVLGSAKATAWYSPKHQEAMVELRFLEA >cds.KYUSt_chr4.37922 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233810384:233810929:1 gene:KYUSg_chr4.37922 transcript:KYUSt_chr4.37922 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSVRALSEPVSVAELMMDHPRHFVVDARVLQQRKGGAGGGARKVAPLPADHVLGAGGLYVLLPATRGKVSADEARRVLTASRSLARSKSMPGGLMRKMSTRKSRGADDSAGSAKREATPAATVAEMERRNEPVPTETDGFEEHRPEFLSRELSCRGWKPSLNTIEERVMPKKVSHWLF >cds.KYUSt_chr1.27468 pep primary_assembly:MPB_Lper_Kyuss_1697:1:165559629:165560479:1 gene:KYUSg_chr1.27468 transcript:KYUSt_chr1.27468 gene_biotype:protein_coding transcript_biotype:protein_coding MANRHYVFADDLEIPPTRRPTPERMIPPGLPPADGQDPPEGWTPIRIQCQYVTEPDGTPSTLLLYGRPCAAPAPQHHWPDASTDDRPERYDGRRWSPEPLEVEGATRVVRLAEMLSLDQLQADDEYQQLVEDVSDEARRFGDLVKVVIPRPGPGADPVVAGAGKVFLEYACLDHALRCWDRMDGRWFARRQIVAGFYPEDMFAAGDYSCDGQN >cds.KYUSt_chr2.8483 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53366413:53366874:-1 gene:KYUSg_chr2.8483 transcript:KYUSt_chr2.8483 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNLAFLDSVVCDRAQPLAVREVMKASVESFLMALLAGGGGRAFSREDYGAVAEDLASLKRLVCSCGEGLVTEEVVETEFAAAEGVVDLMGLPTEKLIDEFRRSSSSCTTMPPMTRRWCRSDANTLLRVLCHRDDDAASRFLKKTFDLPKRR >cds.KYUSt_chr3.32050 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201460578:201461903:1 gene:KYUSg_chr3.32050 transcript:KYUSt_chr3.32050 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRMKYKGSNLTVAGDGIILQDLRIENTAGPEKHQAVALRVSADRAVVSRCRVDGHQDTLYAHQLRQFYRECAVSGTVDFVFGNATAVLQRCVLAARRPARGQKNAVTAQGRTDPNQSTGTSLQRCRVVPAADLAPVAEAFPTFLGRPWKAYSRTVYLQSYLGGHVHPRGWLEWDGDFALGTLFYGEYQNEGPVAGTAGRVRWPGCRVITDRSVAAQFTVGQFIQGAYWLNGTGVAYDEGL >cds.KYUSt_chr4.20671 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130054878:130058547:1 gene:KYUSg_chr4.20671 transcript:KYUSt_chr4.20671 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHGRPTKRARRARVTAEPCLLDMRAFPGATERAGAATFRANVRGFLARHAAPAPPPAPAEWGQDQEAVLGDAGAVWQVGFRVGGDAEAAVVVMDVVEEDVPRARRVHCDHCTVAGWSRHPVCAKKYHFIIRNDNMPRCKTCRRCGLMVELFQTRCPSCSHGSGFAPDESEDWDYVQIDNPSHLLHGIVHENGFGHLVRINGHQGGSSLLTGYQLMDFWDRLCRYLRVRKVSVMDVSKKFEVDYRVLHAVATGCSWYGQWGFKLGSGSFGITSETYCKAIENLSSVPLSHFFPHSRSPRNQLQDTIAFYQSLSKCPLTTFRDLFLYVLGLVANKSVHNHLVTMHKKEIVYDVDLREGNWTDEEIKRAVDVALKVLRAADSWVAMRSLKAATAHPIGSPELVEYCLKTISGTRTFDGMVVVVRCNSKTNTIEYRLTNETMLPPKNASLPNQEHLLRDIKFLYDALLCPHTMHPYKPEQIHDQAKRSAMVLLDCKQFLNHYDLEEDFLPQNQSALHIWCQVELLDQVDDPPSLPAELLTLPQTATIADLKMEAMRTFRDVYLMLQSFVPNQLLDCPTAGDTTQVKLLFGAKGTVHIQGKCVGGERRVAIYRMERGVDKWTVNCSCGAMDDDGERMLSCDSCQVHMPMDI >cds.KYUSt_chr1.23118 pep primary_assembly:MPB_Lper_Kyuss_1697:1:137159771:137165782:1 gene:KYUSg_chr1.23118 transcript:KYUSt_chr1.23118 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPYPRLLLAFRRPSMATVAAPQAAAVLEDEDEAPVQFDHVTTITRTGNGSTPVGLLVLMVVALAAALFARAVRPPPPTPCGAPGGPPVTAPRVRTRDGRFLAYAESGVSRDGARFKVVYSHGFSGSRMDSPRASQALLEELGVYMVAFDRAGYGESHPDPRRSLRSAALDVEDLADALDLGDKFHLICSSLGCHAAWASFKYIPHRLAGVAMMAPVINYRWSGLPRGVARQLYRRQPLGDQWSLRVAYYAPWLLHWWMSQPWLPTSTVVSGSGSFPNALDEKNRLMALSTGMFQKRAKAATQQGVQESFYRDMAVMFGRWPEFEPTDLGKEAPPFPVHLFQGDEDGVVPVQLQRHICNRLGWISYHELAGVGHFLSAVPGLGDRIVSTLLPGPAGNCTTAAGGVCAS >cds.KYUSt_chr3.9123 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53167635:53171240:1 gene:KYUSg_chr3.9123 transcript:KYUSt_chr3.9123 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTDSHSEEQATQHSQEEKRWVLSDFDVGKALGRGKFGHVYLAREKRSNQIVALKVLFKNQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEHAAKGELYKELQRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLVGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGLPPFEAKEHSETYRRIVKVDLKFPSKPFVSPAAKDLISQMLVKNSAHRLPLHKVLEHPWIVQNADPSGVYRG >cds.KYUSt_chr1.36608 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223404380:223405988:-1 gene:KYUSg_chr1.36608 transcript:KYUSt_chr1.36608 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAAADCAAGGGGGLVEVKKEAGAGDLFLVDDLLDLPCDDDEEEEQVAEAAGLEDGAGGGGAGEAGNDASADSSTVTAVDSCSNSISGLADGDFSGGLCEPYDQLAELEWLSNYMGGDDNFPTEDLRKLQLISGIPSPSQKLAAKAAAAPPTRAPAVAPLHSEAGPVSVPGKARSKRSRVAPCNWSSRLLVLPPAPASPPSPASGVLSPSESGTGFQAFPHKRPAKASAKKKEGGGPAVAPLSAAAQAAAEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFEPSVHSNSHRKVVELRRQKDTPPTLHQQQMLLHHQHQPRHQHHQFGAGPGLIHVPSPLLFDGPAPPGAAADEFLIRNRIGPDHRQLI >cds.KYUSt_chr7.34233 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213926393:213929014:-1 gene:KYUSg_chr7.34233 transcript:KYUSt_chr7.34233 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPPPGDGAAALPPPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDITCNNESLRSRQIHPLDMSQLMMMTGMEYVLSEVMEPHLFVMRKQRRSNSEKVDHLLAYYILDGSIYQAPLLGNVFASRISRTMHHISKAFSIACSKLEKIGNAETETDAVASESKTQKEAVDFKELMRIDKILVNLQKKLPPAPPPPPYPEGYVPPSASEEKAPDDLLASEALPPAIDPIIDQGPAKRPRFQ >cds.KYUSt_chr6.17005 pep primary_assembly:MPB_Lper_Kyuss_1697:6:107083568:107084722:1 gene:KYUSg_chr6.17005 transcript:KYUSt_chr6.17005 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQINFKRLSLTDIKIDIKRVPKKATLIKAMEEADVKTKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEVAA >cds.KYUSt_chr1.31785 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192834261:192836708:-1 gene:KYUSg_chr1.31785 transcript:KYUSt_chr1.31785 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGFAALAALLLAFALLPRPEAARVLQGEKPRSSEGAPAPTAGTGGSEKSPISKESGQSSTAVQNPVADKQHQKSPPPPETTQTPKDSPPPPSAAPEDKGQQGGEAQVSGQPVPSTKVPKASPPPGGPESTGGPDGEGGSPGKKKPDKPQEVVEKCIDPVDTCSIDGKLFACLQISKSASSEQFFIVKNTGQNTITVNVKATSDISIKQKLPPLSLSKGESKRVNISYSNLNGGEITLNVGTEPCSLRIGQPVYDWQQQFQQLAVYATTMKPIYGAYFFVFTVVLVGGIYACCKFAGRKRDEGVPYQQLEMGSQAPDPSGANNTTSTVDGWDEGWDDDWDDEEAPARPSENAPAGSISANGLSSRSQTKSKDGWDVDWDD >cds.KYUSt_chr3.8800 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51265786:51272010:-1 gene:KYUSg_chr3.8800 transcript:KYUSt_chr3.8800 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRFGGPRRSPPRRSNYFGYRPRAGSDADRRDEQRRWEDERRRDEDWRREDENRRREEERRHADQERLRFEERRRLDERRRLDDERQREATRISERVARERALAERSRKDDELRARDRWAHRPEMVPGDTSNLNSARSAEVSPVAPSLPSSNTAAADVHSSQLQNQHRSAVVTDSSQSLVSLPEPVGSSVPPPVPASTTAANRRVEIPIRNLSCFYCNGDHHISVCQERDQWDYNAPFFGSEEFGSGFYSIPVPEEDNYPVEHLNYAHITVEKGEVNCRNIEHEFNVWAESMKINWRFFAKEVSATEFRTRFPSAKTIEELAHFGKLFMRTVPGAIISLEKWAGDIEPISIMQEAWFRIKGIPMKFRNKSTVYYAASLVGKPLALDKNYLRHFAYVRVKIGSQDLALVPNSRIGEIKKGFYEFQYSRELFDPSSNTGNKTAVPTDAQGDEGDQGTPKRQRMGMQDSDAGSQSLWKLQEVHKEVVGALASLPSQSSESSSSQRAADSYKQFLTSLARSNSDKAFTIQKEYKNLLDPIAENVNEENDPADELIDYDSSDNSQDSDTPYLTQGQGILALAAPSLISERTAVVIPVDGPQPEPDSQEEPLSQVDNPTIDNDIPGAGNPSSSGGNQQQPAPRMSSRVEARGTHSSRIGSRAMENTEASNIPVHKLLRDISDHNPLILDTLDIMVKKRDFRFEKRWLKEDNFLDRVKRCWEQPVLAKDSLDRLMKKLKNVKKALKGWGANLRGADIKKKKDISNELRELEELEENVPLSPSQRVRRSVLQQELLSVLDNEESFWRQRSRENWLLHGDSNSAFFHRAANGCKRKRTIFSLKNRDTIIQGDAALLEHATAFYKDLFGPVTDSGIRLRDDVWTEREKLNILDCVELDKRFTLEEIKDVVDHMEKNKAAGPDGFPIEFYQHCWDIVKFDILHVFNDLFDHKIGLDRINYGVITLIPKSADADVIQNYAAVFMNYWAGLHGEQDASDLRAGADGLLRLAEVAGVRSSGDDGRGDLLRLEDNRTGEDANDDAAA >cds.KYUSt_chr5.16232 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104518557:104521063:-1 gene:KYUSg_chr5.16232 transcript:KYUSt_chr5.16232 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPRGAAAHERDGGGAITDALSLFASRLSRRRFGDDELRVLEAALSSGGDVAAMLATRSEARRLLRERSTEAFAAAAAEEAGARLSVADFFARAFALAGDVEDSLDNGFYAIASKAFANALVHNHSSTLDSTNPILKKSKINDIRGLQNLAKSLSGQRSG >cds.KYUSt_contig_2097.231 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:1141399:1142511:1 gene:KYUSg_contig_2097.231 transcript:KYUSt_contig_2097.231 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNSDKSLVYQSDNDGSFPIHMAVTTRQLYLVLGLLKKCPDCAQLGDARGRTFFHIATQQGSTVLVFLVLSLLREKPRFASIINMQDNDGNTGLHLTVLARSLHTFLFMLWDKDVMLNLSNCEGKTAFDLAQSNIPMGVTFGLDPSHSIYSLLMVAGARYGAHSEIHDPVVLDKEKEEKNIMDSTPTISIVSALLVTVTFAASFTVPGGYRADDDPVSSHQTAGTPVLAATYSFQAFIIANNLALLCSSMATISLMYAGITTFDIGTRKCAFVFSIFFLNSSARSLAVAFAFGMYAALAPVAHAAAVGTWLIPTASLLDVVWFVCAFMIRGCVHMPTP >cds.KYUSt_chr5.322 pep primary_assembly:MPB_Lper_Kyuss_1697:5:2191660:2195736:-1 gene:KYUSg_chr5.322 transcript:KYUSt_chr5.322 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSLVLTCGHAPRHLPFRKPPRLDLARIAAISRTNGHTFFTSLYGSPFGRRGWAQVCRDSSLQDPPPGVDAAEERDKEEIKKQLAKAQIGGGGGGGGGGQLSDWSTSVLLFGIYAGLMYYVFQLAPNQTPYRDTYFLQKLLYLKGDDGFRMNDVLVSLWYIMGIWPLVYSMLLLPTARSSNSKIPVWPFLVLSCFGGAYALIPYFVLWKPPPPAIDEDEIGQWPLKFLESKLTAGVTFAVGLGLIIYAAKAGGADWQEFFQYARESKFEKWLGSASGTYPFAGTRIISFDASIAFVSSRGNLLII >cds.KYUSt_chr2.42606 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265405535:265408022:-1 gene:KYUSg_chr2.42606 transcript:KYUSt_chr2.42606 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWRKAWLSVLDRSSGGGGGGSTGSLQAQLQGILSLSPSTSSSSLATSYNNKRAGGGKHGGVSSKAVLACFSVVLVLAFFYVSVTRGPTAEDSFPTPTASSSGALLSWLSSSNSSTPKKPLPPHPPVPPNNVSSSTGTAAQQSSARNATVADAEDSAPAVDSGQPVLVPEQTVKAHGFLRDAGNTTIVGSGEEPVTNGTNAQDATAMPTPPWWKADGANSSAKIVVGEPTNSDGATGNSTDTVVSSSKEDRNTKARSVDNVLPTRQAVVMPSTPPPDQRKEDRHRRKRAAMARRRQHSTRRRKEIVPLAQGEPAGHGDGAATTAAGANTSVSAGPGNHRVVWTPGVQNLVTFAKCDLFNGGWVREESYAFYPPRSCPHIDDDFNCHKNGREDTGFLNWRWQPSGCDIPRMNVTDFLERLRGQRIIFVGDSLGRNMWESLVCTLRHGVKNKKSVYERSGKNQFKTRGYYSFKFGDYNCSVDFIRSIFLVNEVVRESKNGTVLDAKLRLDELDATTPAYLTADIVVFNTGHWWTHAKTSKGVNYYQEGNHVHPSLEVMDAYKRGLTTWARWVDKNIDSTRTQVVFRGYSLTHFRGGQWNSGGRCHRETEPIFNQTYLTEYPEKMRILDQVLSKMKTPVIHLNISRLTDYRKDGHPSVYRVRYDTEEERMAAITKQDCSHWCLPGVPDTWNQLLYASLLQAGKGSWRL >cds.KYUSt_chr1.40775 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250058155:250061446:1 gene:KYUSg_chr1.40775 transcript:KYUSt_chr1.40775 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEAVATQRSGKIGSPPKAYYGPGVLPPTYFAPPMAPGHPHPPYMWGPQPMMPPPFGTPYAAMYPHGGAYPHPLMPMMANPLSVEPDKSATSKDKSSNKKLKEIDGTAVSTGSGDSKRTSSSGDYSGEGSSDVNDLKVSTTPRRRSLDGGLDTEATAAARNTDVLTPNPIMANGAILSNQCFPVPVIKPSITNVANSRAIGTPVSQLPGVMVPPHMGISAEISNKDEREVKREKRKQSNRESARRSRLRKQAETEELAVQVESLTAENTSLRSEIGKLTKSSEKLRMENSALAVKLKDTAALTDAEMPPDKPPAAAASSPRIVENFLSMIDDTSKSGVSNHMEQSEPKLRQLLGSSAPTDVVAAS >cds.KYUSt_contig_528.182 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1176518:1176952:-1 gene:KYUSg_contig_528.182 transcript:KYUSt_contig_528.182 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWSRPAGGEIGGGWSEHELVAAGGAEVRVDLEPLGKPPLLLLPRREAAHCPCSGHPAGQAVDGGGWSSDPAGLLRAPPLRLNPPGRRPRGGRDEEAETERCEDHQTLLRAASRSISSATAPPHATPAGSQNATAALTAAGGL >cds.KYUSt_chr4.26966 pep primary_assembly:MPB_Lper_Kyuss_1697:4:169340275:169344523:-1 gene:KYUSg_chr4.26966 transcript:KYUSt_chr4.26966 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADGSSAAIEMIPDGDSTMTAPEGKMIQSRGKHELVPQLRFPRGYHFLPTDLELVDVYLRRRIEGKELPLDIFMDVQLLKWEPAKLIAERRAYGEDRYFFFTMKEWPSNKNGQPRRKLRVEGVAASWKTTGGVKNIYRPGTDEAVGTMRMLTYSSNRPNEDEDEYTMKEYVLEGSKTNQWVLCTIKEKERCNAKQNKDKNASGGKDEKRKRGTDTCVEPKTTKRKKTSQPQETQEQQQQELTTATSYGPPPLLGHEQDDHHQALQAEEGVMVFEGPSPVIQVVAPHGDPIGGDQPYCHDASTPQETTPWDGPGEPPLMTHHQQGYQALMEEQFHALHEDYMLEHHQFAAMEEVQQEYPMFQYQRQQQPCNTHELLPPHGGPDPGLPSEEAYPYFDSDVGKMNDAASPVVPQVTSYDPAANHQFDPIHLARNGGSSDAAMALPDQGNNQTLHIYQYGSMQFQLLQYQFQYGLGTQGYHGDITGTLQNVPQFLPESGKPPETSPDTVLGGPDPGLPSENNPHGDAGMKNGGASTMATNDGSAADNQFNPFDTLGHNFDDNPAGNGDVTMGLPDQERNQALQMRPHGAQWLCGEHQGADMESCFRCATTCALYHSN >cds.KYUSt_chr4.7834 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46637819:46639068:-1 gene:KYUSg_chr4.7834 transcript:KYUSt_chr4.7834 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAANVAVIGGGITGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMDDGTELRFDHGAPYFAVSNDEVARVVGGWEARGLVAEWKAMFACFDRETGKFRDFDKEGTIKKYVGVPGMNSICKSLCQEDGVMAKFGVTIGKMDWLQDRSSWSLASLDGKDLGTFDYVVATDKNVASQKVSGLTGKPPPLGLFYSTAIFLLHNIR >cds.KYUSt_chr5.35515 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224373644:224377658:-1 gene:KYUSg_chr5.35515 transcript:KYUSt_chr5.35515 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVSCYLRLIEGVRCRSPEGWRALVIGAAVKLNFGLDLETRLPRHCAFTMVTGETNAKRQINKECIINSLPGDLIERIFLRLPVSTLLRCVGVCKHWQGFIRDPQFVTSHLQRAHHYALLFFPQGLVSGQLYPSDAILIDKTWSLSTYAVPVIGPDDFLFGSCNGLLGLYTKTSTIKIANFTTGECMHLEKPAKNMRGDHFSFYSFGFHPVTKEYKITHFLGDCVEGCPRNKDKFSVIQVYTFGDEKWKDVPTPDALSLNSVRNSGVVNVDGTMYRLNEDKIASWQHTLMSFDLRVESFATIQLPVEREDHDYFGPRKFWIRDIDGKLSIVTAQTARYDVGILLGDLQIWTLDNPVEQRWSQKYNIKNPPNYIPGPQFVHRDRILAQSFSSVGSYELIGENTEISFSKMAELFDFRPHKLYSMQSYICVKSLVCLDVYKKASIVRRPKQQVGWQLKKWRAWKNVLDEIEKMWSKIHKHEHTFLEVADQIVEMYQFSEDKHHEIAERVRMELNQVLQDKPENTNQGTSIPDVSSTDDKKNKEKNLSC >cds.KYUSt_contig_1253.442 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2720988:2723624:1 gene:KYUSg_contig_1253.442 transcript:KYUSt_contig_1253.442 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARPLLSLSLAAVLLLLLLPPLLASAALVLEDGYTVSTAADLNPRPPSTGAQPQPYALLPRPRAGDLLLLDSTGSALYTLALPVSADAEPRRLAGGAGAFGRPRSVAVDGADNVYVADREHAAIRKVAPSGYTTTIAGGYSAGTGRRDGPAQNATFSPDFELVYVPRICALLVADRGNRLIRQINLRPEDCAHETQKGLGNTTVSIIAVLGALFGSVIGFLVRHFYPFHEVSINRFFSRVQKQYKRTQRKATLISFSDIRSAIANSMLYALLLKLIRVSRGYLTVVLPSIRLERGVPCKPFPSLDLDKSSTAPSLLDLDQSGTTTTTTTGLANEALPSTEHLGDFIGFDGDTDTDEGNESAFDDSFNTQEENKEASVDKDLWTLFDSKGSSKKIDNMIESNLLDFSGQDEYGSSGVKYSGVSRRRLHGDSKVL >cds.KYUSt_chr4.40231 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248370022:248371074:-1 gene:KYUSg_chr4.40231 transcript:KYUSt_chr4.40231 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNDGEIAAPPEDRLSALPVDILIDILLKLRDAAAAARTSGLSRHWRHLWALLPELYFHPATRPNDIRAAIESNEALALRRLEVEVIDPTPESLEVWLPTAADRLSGDLQLSNEVRNGTEGGGAVELPCFEDATGIRLDLGALALAMPPLGVFAGLTELFLSGFELRGPCMLGDAVSSPRCPALRKLTVRGAWGLANLAIHSDSLQEIELANLEPPGDIPCLGNFTIHSESLLLMHLIRVHNMQQLTVFAPALQVLNVLYCFAHGDESTCSEPAAEITAPRLMSLNWKDACEPFTKFNSVGNLEWLTTYPFHVYGQAAQKFSNDWFLCLMQRFVLVQNLRFSLVYLLVS >cds.KYUSt_chr1.11040 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67570508:67573160:1 gene:KYUSg_chr1.11040 transcript:KYUSt_chr1.11040 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAIRPPALMAETETSPLFGRRLDLLEHMTEEPHVVALQALDHFHTVRSHHDPFHSQVRRERQSFATSHSFEAARVCNPLKDHRRGTESTEKKQLNPMRDIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTIRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGMKYDPSTGIYGMDFFVVLERAGYRVSRRRRCKARIGIHQRVTKEDAMKWFQVKYEGVILNKSHAS >cds.KYUSt_chr7.36922 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230606365:230611091:1 gene:KYUSg_chr7.36922 transcript:KYUSt_chr7.36922 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFLAPSPTPRSRITTARHCLLPICTAATGAPPPDAETDEDAPRIARKDRRRIVRIAWEKLVRWSRSWRRRNRSDVLETTRKVVVLGGGSFGTAMAAQVAAKKPDLEVAMLLRDELVCRSINRHHVNCKYLSEYRLPGNIVATTSASDALAGADFCFHAVPVQFSSSFLRSISTHVDPKLPFISLSKGLELNTLRTMSQIIPRALGNPRQPFVVLSGPSFAVELMDRLPTAMVVASKDKKLASAVQQLLASSNLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMHLGNNCMAALVAQGCSEIRWLATKMGAKPTTLAGLSGSGDIMLTCFVSLSRNRNVGLRLGSGEKLDEILNSMNQVAEGVSTAGAVIALAQKYNVKLPVLTAVARIIDNELTPKKAVMELMNLPQVEEV >cds.KYUSt_chr3.7685 pep primary_assembly:MPB_Lper_Kyuss_1697:3:44228911:44230769:1 gene:KYUSg_chr3.7685 transcript:KYUSt_chr3.7685 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFQRSVPGVGGGGTPMPFMAIFQTPLLGRRMSDRTGYPACYNAHASFTDTISESPISNMATTTTPASSTLSMKLLIDTKARRVLFAEASKDVVDFLFSLLALPVGTAVKLLGKDSMVGCVGNLYSSVEKLDGTYVQPGAAKGAVTPAASANSTFFLSPDSSSGEATEAVGSVGRKGFVQGIVTYTVMDDLTVTPMSSISGITLLNAFAVEDLSALQEKTVQLDCNEGLEILKASLQSKTVLSDVFLAKKPPSNA >cds.KYUSt_chr4.53474 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330932868:330936783:-1 gene:KYUSg_chr4.53474 transcript:KYUSt_chr4.53474 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRSLGDDDDEERQPEEFFDSRELLSPGSAASPASSGRHDDQDDGGWSLRLLDVWATDPCSVHERRQRFARSMGLLDPAPARPSSDQPCSSPRARARARGGQEIVLARTPEAAADLVLAVQGLVSEAGARDQTAVEEGMQCVFKNLDDGTVFVVDEVGQDGSFRRLRERRSNRTVTAAEFERISGSSPFIRELMRRVDDSDEPSTPEKSASSARARRRRRRFGWLRRLGIIGSCVADAAEDDDELNSPSSSSCRSCSGKAGGKVDRVKVRHYRKRSKELSAVYRGQEIKAHKGAIVTMRFSCDGQYLATGGEDGAVRVWRVVEGERPDELDFAEDDPSCVFFTVNGNSELAPVNSSEGSKSKDSKSSKGVADPACVVIPHRTFALSQVPVHEFYGHGDAVLDLSWSRNGDLLSASMDKTVRLWRVGTDTCLKVFYHTNYVTCVQFNPTSDNYFVSGCLDGLVRIWDVRKCLVVDWANTKEIVTAVCYRPDGKGAVVGTITGNCRYYDASENHLELESQVSLNGRKKSPHKRIVGFQYCPSDPKKLMVTSGDSQVRILDGAHVISNYKGLQSSSQVAASFTPDGDHIISAGDDSRIYMWNYANQLAPITSRVKNVWSYERFVCNDVSVAVPWNAAQSRNSISLSCNIPSLRQEVPDDFCDIQDSTSHCRTEDSLDGDTVYQLPSGNFTLSRAFFAESAPRGSATWPEEQLPSNSAATSTLRKSQYKFLKASCQNAATHAWGQVIVSASWDGHIRSFQNYGLPVQV >cds.KYUSt_chr6.32753 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206393923:206394900:1 gene:KYUSg_chr6.32753 transcript:KYUSt_chr6.32753 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRNSILAHVLSSAASPVYPLHRLVSAAAPAASPNPSFAVEDYLVGTCGLTRAQALKASAKLSHLKSATKPDAVLAFLAGLGLSTADVAALVARDPRFLCTGVDKILASNVAGLRALGLSPSEVARLVPLATHKFRCRSIVSKLHYYLPLFGSAENLLRVLKYSDDLLGGDLDRMVKPNVAFLRECGIDAVGISKLCLTAPRILGTKPEHIRAMAVLAETIGVPCGSGMFRQALKAVAFSSQEKIAVRVECLKNTFGWSDAEVGVAVCKFPMLLKMSKDNLQSKSEFLLSEVELEPGNRRALLIGRQCLVLAWRAGSGRGTTL >cds.KYUSt_chr7.18249 pep primary_assembly:MPB_Lper_Kyuss_1697:7:113154107:113155683:1 gene:KYUSg_chr7.18249 transcript:KYUSt_chr7.18249 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSSSLVAAVAVLQLLLLAPSLAAAFNYADALSKSLIFFEGQRSGKLPPGNRMPWRADSGLTDGAQYNVDLVGGYYDAGDNVKFGLPMAFTTTMLAWSVADFGKFMGAELPHARAAVRWGADYLLKAATATPGALYVQVGDPGRDHACWERPEDMDTPRGVYRVDGSKPGSDVAGETAAALAASSVAFRRSDPAYSARLLHAAMAVFEFADRHRGSYSDSLSADVCPYYCSYSGYHDELLWAASWLHRASNNATYMAYVQAYGMQLGAADDDFSFSWDDKRAGTKVLLSRGFLRRKLQGLELYKSHSDSYICSLVPGTSGFQAGQYTPGGLIYKEGESNMQYVTTATFLMLAYAKYLKSSGAVVSCGGRDLAPADLVALAKRQVDYILGKNPAGRSYMVGFGARYPQRAHHRGASMPSVHAHPGRIGCDAGFQYLHAAGANPNVLIGAVLGGPDAHDGFDDDRGNYAQSEPATYINAPLVGPLAFFAGTIKKYN >cds.KYUSt_chr2.28135 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172618976:172620527:1 gene:KYUSg_chr2.28135 transcript:KYUSt_chr2.28135 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVIILCALVAVQAALLAAPSAEASGLKVGYYNRKCKGVEAVVKGHIIRAMKKNPRVGAALVRLVFHDCFVRGCDGSVLLDKSAQNLHPEKEAPANIGLAAFDILEMIKADIEKKCPGVVSCSDILVYAARDATKILSKGHINYDVPAGRLDGMVSSASEAQAELPDSTFTAQQLIDNFARKNFDVEELVILSGAHSIGMAHCSSFKGRLTAPADQITPAYRNLLNDKCGQRSNPLVVNNVRDEDYNTVSKYMPGFKSRVRKIRDLFDNSYYHNNLARIVSFNSDWVLMTHKEARGHVHEYADNATLWNDDFGESMIKLSKLSMPAGSKGGIRKKCSIVSHPLH >cds.KYUSt_chr4.1543 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8118261:8120975:-1 gene:KYUSg_chr4.1543 transcript:KYUSt_chr4.1543 gene_biotype:protein_coding transcript_biotype:protein_coding MCHIFIEGREEKTAVKSEEAPPPAAAVAAAEQPPPAGARRAAPGGPFDFSTMMNLLNDPSIKEMAEQIAKDPSFSEMAEQLQKTVAPSPAASSASAPRSPQELAAALDPQKYVSTMQQLMQNPQFVAMAERLGSALMQDPAMSSVLGGLTDPAHKEQLQARVARMKDDPSLKPILDEIESGGPAAMMKYWNDPEALQKFGRAMGVGGPSAAAAGPEAEEEEETVDVGEEYEEESVIHHAASVGDVEGLKKALEDGVDKDEEDSEGRRGLHFACGYGELACAQALLEAGAAADAVDKNKNTALHYAAGYGREACVALLLDHGASVTLQNLDGKTAIDVARLNSQEEVLKLLEKHAFV >cds.KYUSt_chr7.13349 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82285512:82286114:-1 gene:KYUSg_chr7.13349 transcript:KYUSt_chr7.13349 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSYEGDARHRGLGVHGASRKIGKSSSPSPSQQQNRKPVIIYMVSPKVIHVEAHEFMSLVQRLTGPEGAGDDGQERASTSSSPRGTERAGGRSAQPVRVKARALNRPGPAVSVSVTATRLQQQAVPPSWAGPSPSPTTGFLFTDLSPLRGGALKGETPMISPWMHQVSDHFLSPAGGQALGSPSAFLDIFGPMSSQNQ >cds.KYUSt_chr5.12798 pep primary_assembly:MPB_Lper_Kyuss_1697:5:83475872:83476105:1 gene:KYUSg_chr5.12798 transcript:KYUSt_chr5.12798 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLARVASAESKGVVNSDRDTASPGMVRPIFPSAMKDVKFPGHSTCRPERPTPRAPWGCGAAPLRPQDAPCTALLY >cds.KYUSt_chr3.45048 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283778579:283781949:1 gene:KYUSg_chr3.45048 transcript:KYUSt_chr3.45048 gene_biotype:protein_coding transcript_biotype:protein_coding LRLNGLPCCAERVVTSNDFFSDVLSLPGNTGNPVGSAVTAAKVEKLPGLNTQGVSMSRVDYAPWGVNPPHTHPRATEIIFVLDGCLDVAFVTTASKLFARTVCKGEVFVFPRGLVHYQKNNGGAPAAAISAFNSQLPGTQSLAVSLFGASPPVPTDVLARAFQIDGGMVEAIRSKFPPMN >cds.KYUSt_chr7.12795 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78937994:78939707:-1 gene:KYUSg_chr7.12795 transcript:KYUSt_chr7.12795 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIPERDWAELPVDAILYILRKLDLVDLVQGGVAAVCSSWRRAARDEAVLWRHIDIRGRFPGDLDHLARGAVCVSTGQCENFCGQFLDDDFLLFLADRAPLLRCLRLKFCHGITSEGFAAGLRP >cds.KYUSt_chr5.19085 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123455815:123456120:1 gene:KYUSg_chr5.19085 transcript:KYUSt_chr5.19085 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSCSGDGEFRLTLIFPSAPRRDHGLLHCLPAAPATVSCAPHLPGNRPGEVRRPLTYLPTAPAWSCAPSPFSPPIRARLVLTPQHCRQLELGSILAGDPA >cds.KYUSt_chr5.35288 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223040533:223040781:1 gene:KYUSg_chr5.35288 transcript:KYUSt_chr5.35288 gene_biotype:protein_coding transcript_biotype:protein_coding MMALEGDLDDGAPVPLLFLHPCVLPVELLETWAAGEVPEGRAGVLAELEPPAYLGDGGRTSPCGSWAARGNGAPRNLSSVRI >cds.KYUSt_chr4.40669 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251040341:251048564:-1 gene:KYUSg_chr4.40669 transcript:KYUSt_chr4.40669 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEHSGARGAVRINGAADLLPFLRGAPVKYRFAKHRAVSSTSPSQPTRRVPPPLSSRLDGPHARRRRRSRLAAPRFHPNRRFQPQKIRRAQAALQGSVAEGGYARACASNDCEHRRRGKVRAPARPPASRRVRTRFGRKLRAPFVSRAGVVGAAVRGARGGGIEGPQRPHLPDFLPNGKSLHRLFHELRDVPAERFRTAAGVPMTVPESDMLQASDVTVEQQAPSDAAVQEAHTEDMLTEEEKAGLSLLDLCGRNPESDPMGGMEWPSQIHHGLSLIDAAQQIENAACGDHEMPDVVDLLFKWKWNIMIQVLRLQILVQLNVISPALPSPVSVVQEPPPDHSVEPKAKESRTSKVRDNTLHPLVFKEGGLPEFTLLTYKLKHGEVLKQGYKRGSCIVCDCCNEELTPSQFEDHAGMGKRRQPYRNIYTLEGLTLHELALKLQDSLNSNGVSSVDFSDIDDPPNLASSGCSKERSTASRPIIVPFKRTLQESIVIESCHLCGDASTTVGKISEDMIIFCNQVAFPETDGHESEVIQDMVTAVLSSGKKDFRGVYCAVLTTSSKLVLSAAILKVRTEEVAELVLVATHPEYRNKGYFRLLLRQIEAHLTALNVRLLTAPVDPEMASIWSNRLGFTVLTNEEKESLLEKHPLVMFQDLTLMQKPLASKQPDPVVSTNQVIITEPSDPVLSTNQITVTEPSDPVVSTNQVTITELSDPVVSTNQVTITELSAEFSYLTPPDG >cds.KYUSt_chr4.3724 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21208509:21208994:-1 gene:KYUSg_chr4.3724 transcript:KYUSt_chr4.3724 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVAAEQLKLLCLVCVMGNSPSFRALPPDPASACETELYARVGNTQCLLLAAAYTAGILLLGVIMKVDNTAAGADGTKGIASVAAGWKRAALRGMLLLAIGLSSAASLLAVATFEDGFRYRIGCATAGMGPRSPLAVAVMVFMALVHGGAAWLAAVSQN >cds.KYUSt_chr1.33597 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204103362:204104987:1 gene:KYUSg_chr1.33597 transcript:KYUSt_chr1.33597 gene_biotype:protein_coding transcript_biotype:protein_coding MQSALEKQAEFSEEVVRPLHEAVASLHNWMLAIGGFLERAEAVLGRLSRTPAESLVLPDVGKVDASEAGLHGCFSPRARVCSPPVMQIMPELLELCGGVLTPPPVEEVWPGSHEFSDVASPCVSHLPWRSVVMLTLQSLPQLSPAVRWFLLVMGLLRLGWCQRCPELSSLERPDPEEKAVEQRALVESFETLKKTEDAANVAQQQRRLTEL >cds.KYUSt_chr7.24517 pep primary_assembly:MPB_Lper_Kyuss_1697:7:152867017:152868046:1 gene:KYUSg_chr7.24517 transcript:KYUSt_chr7.24517 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGGHHSRGGRDDDRQHAPGATNPPEPSAAAFLYATSASRGGFQLWQHEAQQQHHHPFYGGASSSIIRFADDPAAGAGSSSSRGGGRGASAGAGTISCQDCGNQAKKDCPHMRCRTCCKSRGFDCSTHVKSTWVPAARRRERHHQQPGSEPVKRPREGGQPSSTTPTTTSSGEHQQQMMAADRFPREVSSEAVFRCVRLGPVDEGDAEVAYQTTVSIGGHVFKGILHDVGPDTSLAAGARHQPAEGSSPSTAAGGGGEGGSAAAAAAAAAVSSSAVVMDPYPTPGPYGSYGGAPFFHGHHSR >cds.KYUSt_chr2.37795 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233935548:233937212:1 gene:KYUSg_chr2.37795 transcript:KYUSt_chr2.37795 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAKTDSEVTSSMAPSSPPRAAYYVQSPSHDDGDGKTAASSFHSSPAASPPRSLGNHSRDSSSSRFSGKVTSAATGSSRRAAKGAGAGGGDAARRSPWMKEAAIEEEGLLMDDDDDADARKGGFSALPKKVRYGLGFVAAFFLLFFFFALILWGASRNQKPVVSVNSVTFHNFVIQAGTDASLVPTELSTLNATVRLTFRNTGTFFGVHVTAQPVTFYYSQLLMAAGDLKYFYQQRKSKRNVSVAVMASRVPLYGGGSGLSSTLGPKGAPPPPVPLELTVRIRSRALVLGKLVKPTFHNNVRCNVRLEVAKLGKALSLKKNCTHI >cds.KYUSt_chr3.15705 pep primary_assembly:MPB_Lper_Kyuss_1697:3:96374973:96375947:1 gene:KYUSg_chr3.15705 transcript:KYUSt_chr3.15705 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPKSSSARRSWHTLFPDDAPTPTAPSSPPPALRTLPQSQSATNLISSPQLINKSPGQKMDEAKASQQPRAGDPSANEVVQEVEASANDRVQEEKEAPKTDLPASGPESTPLDVAAGDKKKAVAKGKVAGIRVWSVEDEFGILESLAAFVKAYGKPPGRSQLCEVVRGHVVDKKEFTKTQIYEKVRGLRNKYYTMRTTAAAAGAPPPGDADDLRKYDLSSKIWGDSLMLPKREIKENSSGQPLLRREFEELRDMYPHLTLMVEEIAGGDRCFLKRAFEFIDDGTACQLDAKLKKQRILKMKIHQDRTSITREVLSTLVEYIA >cds.KYUSt_chr7.39804 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247346880:247349099:1 gene:KYUSg_chr7.39804 transcript:KYUSt_chr7.39804 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKAVDLRSDTVTKPSEAMRAAMAAADVDDDVLGSDPTAHRFETEMARMMGKEAALFVPSGTMANLVSALVHCDTRGSEVILGDNSHMHIYENGGMSTIGGVHPRTVRNNPDGTMDIDRIVAAIRHPNGLCYPTTRLICLENTQGNCGGKCLSVEYTDKVGEVAKTHGLKLHIDGARIFNASVALGVPVDRLVRAADSVSVCLSKGLGAPVGSVIVGSKAFIEKAKILRKTLGGGMRQVGVLCAAAHVGVRDTVGKLADDHRRAKVLADGLKKIKQLTVDLTSVETNMVYFDITDPLISPGKLCQVLEQRNVLAMAVTSKSVRLVTHYQISDSDVQYALTCIEEAVEEILLGNAKFGHLTNGVTANSYGN >cds.KYUSt_contig_786.523 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2969599:2970767:1 gene:KYUSg_contig_786.523 transcript:KYUSt_contig_786.523 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEEYFVWNRVVTEGVESGDIISYMIEEESMKLPVILTKTSSSISDDLIQFDPPDLHFPFLPNKKVLSSIKIVNLTDHNVGFNVWTMRANAARYPSNPAEGILPPRSTQKLVITREQKEDALKDKLLDDKYFVWNSIVSDGVKDTDLINYMDDQGSKELPIVLDEVSSLIF >cds.KYUSt_chr6.13354 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83562482:83564560:1 gene:KYUSg_chr6.13354 transcript:KYUSt_chr6.13354 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPVLVHHRNYQEPASRSQDAYHLNPASAPRLSWEHCCSGLDPNPSNAVGAAVRATSTDVQACSLQHPANKHRGQPTTAHPEACSRCSRKILVAQATAIPLKRPARYLHRKTPPMWSALRSTRKNYGPNSSSQDDASKEGTTSKMLSSEGEKEKKDVLDTNKKAQLKNVREACY >cds.KYUSt_chr3.10305 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61189700:61191238:1 gene:KYUSg_chr3.10305 transcript:KYUSt_chr3.10305 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFSAIVGDLFGRSASFVINKYCQQQPDIGMILQRLERVVLRIDTVVEEAEGRHIPNQGMLRQLKLLKQGMYRGHYILDALRFQAIGKEGEHKEGDGGSRSSSALSKFSPAKRLRFSRNGSGSCNRGAQLFGTNNNIQEELQRVVDTLEDTMAGMKEFHFFLKLYPRVMRQPYGAYLLLDNCMFGRQTERQRILNFLLCSGAAPDLAVLPIVGPIRVGKRTLVEYVCREESVRTRFSMILFFPEGSLKDERVIDLKGNNIDGLVKHHNCASQNRLLIIVDIAEGINEETWRSLKSSAACMTPCGGSKIIITSRSDRIVCLGTTEALRLDYLPQEAYWYFFKSLVFGSTNPEEEPKLVTMAMEIALEQKQCFTIARIIAGILRDNFNPRFWHTVLECMRAYKQAHYLNSDKHPNLCLREDEPVYYWRLGRSSNFLVCNHRQLDSSEDVPKISVQDIILGCGGKLPHGKFEALEWRSRIPPYNNYTINCEVKAPKPMADRKKRVSQDEGRFI >cds.KYUSt_chr3.9714 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57127722:57129900:-1 gene:KYUSg_chr3.9714 transcript:KYUSt_chr3.9714 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVFELENPLMTALQHLLDIPDGEAGNAGGEKQGPTRAYVRDARAMAATPADVKELPGAYAFVVDMPGLGSGDIKVEVEDERVLVISGERRREEKEDARYLRMERRMGKMMRKFVLPENADMEKISAVCRDGVLTVSVEKLPPPEPKKPKTIQVQIHRCSSTKVSGRGSNGCGAARLAATSGRERSEDGHTGEKLETEKKCSDAAGLQGRTGTLELERILPELVEEAGLALGDFVNERHLNTMIGLVLQTSCRETNKAEEWRLVVKEQGKMYVNLSSDDE >cds.KYUSt_chr2.2439 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14729958:14733952:1 gene:KYUSg_chr2.2439 transcript:KYUSt_chr2.2439 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGNSDDLARASSSGDSGGGGGGGDTTPPSPPRLPVAPPLTSPSRVPGAPSTSAPPLQPPLAPPRAADRDTDEGLDADYLSEEENDGSWNWEQEEAEYNNRHLRELEDDADSLHLEEGEVADDQNRGENLRGPSDSKLMRPPKEYPTALRFLEPISDLILKSSNNYIIQEKIKILSKQYGLLRRTRSDGSCFYRAFLFSYLEFYCLVNSVANGVLTEVEIRTQDIYKPFIPRDMDVVQFCRTKVRSQDAEAEAIQMRALTYALGIPLRVEIVDTKSTSGQPVRVKRLDFFNQSGLGKMPYHIVQSYYSSSTAHKPLERGSEDNLLSSDGAPLLTLLCRRGHCDILYP >cds.KYUSt_chr6.11136 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69064463:69070264:-1 gene:KYUSg_chr6.11136 transcript:KYUSt_chr6.11136 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLLLALLALAVAAPPPPARAAVASIDLGSEWLKVAAVHLAPGRAPIAVATNEMSKRKSPALAALADGNRLAGEEAAGITARHPAKVFSRMRDLLAKPFPYATSLAASLFLPYDLVADPRGAAAVRADDGQAYSAEEVVAMVLHYASGIADAHVGLPVRDAVVAVPPYFGQAERRALTQAAQLAGFNVLTLVNEHAGAALQYGIDKDFSNESRHVVFYDMGSGSTYAALVYYSSYSAKEFGKTVSVNQFQVPDSLPSGSSSVQFCFFHITRSLKQNLLFPPSHIADLKKAENFAHSLQVKDVRWNSKLGGLEMEMRLVNYFADQFNKQLGNGADIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDIDFRSTITREKFEELCEDLWEQALTPIKDVLKHSGMKIDDIYAVELIGGATRVPKLQAKLQEFLGRSDLDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYGFVFEIAGLDYVKDESIDQVLVPRMKKMPIKLFRSIRHTKDFDVSLSYDKASELPPGVSSHKFAEYSISGLTDASEKYGSRNLSAPIKANLHFSLSRSGIISLDRAEAVIEITEWVEVPKKNLTLESNATDQTLSSESGTSDSAKDSKEDLSSGSDADNLSSTNDESNAQDTITEKVLKKRTFRVPLKVIETTAGPGSILSKELYAEAKSRLEVLNKKDAERRKTAELKNNLESYIYSMKEKLEENTDMLTVSTEQERESFAEKLSEVQDWLYMDGEEAQAKEFQERLDQLKAMGDPILFRLSELKARPAACGSARLYLNELQKIVKNWETSKPWLPKKRIDEVVSEADKLKTWLEEKEALQKSTPVYSSPAFTSEEVYQKVLGLQDKVSSVNRIPKPKPKVEKKPPKEEESASKEKQPASESESSDKPLESEPAPEKTNDSEPESHDEL >cds.KYUSt_chr2.22504 pep primary_assembly:MPB_Lper_Kyuss_1697:2:138119806:138130994:1 gene:KYUSg_chr2.22504 transcript:KYUSt_chr2.22504 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYDMIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQLWKRFKGDATPPANIGASRDYNVDMVPKFMMANGALVRVLIHTGVTKYLSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLYVQDYKENDPSTHKGLDLNKMTSKELISKYGLDDNTIDFIGHAVALYKEDSYLSEPAIETVKRMKLYAESVARFQGSSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDGEGKVCGVTSEELEYGMEFEVLFEVSDGIPDITRRSGMVRRIRFIYRKSFYKFENDPVILWKVLEGSRKVRKKSLRKEESRRDSTSHGRPTLEGGAQGGLLQGGRPSPLMEGWESHLGGSPTLGRFPYYMEGFGLGSYSKTCSPTLWGSTYIMRGQGEGAGHHKPPSWPHPIEAGHPLSQTLAVPLSSTSPTTLSEAPPEFSIATATTPSCCRIQGGATTSAARWNGEKDVVFINTERVTEYGDMASPINFNQFLEKEKLKSNGSNFTDWFRHVRILLAGGNLQYVLDAPLGDPPAETETDEVNEGDMP >cds.KYUSt_chr3.33155 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208066401:208066903:-1 gene:KYUSg_chr3.33155 transcript:KYUSt_chr3.33155 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEWVGGGGHLVPLLDGHGSDLDASLLRRLYVGHFLARWGARMWDFSVGLYMIRVWPDSLLFAAVYGVVECSSVAVFGPMVGTLVDRLTYLQVVLPSSYIGCLGIM >cds.KYUSt_chr3.30914 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193969445:193969687:1 gene:KYUSg_chr3.30914 transcript:KYUSt_chr3.30914 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSLGLFVCAVLLLSAAVDTESARVLREWPGAELAGRDSSAAETTTVKVPGEAGQQQAGPVAAESKRLSPGGPDPQHH >cds.KYUSt_chr7.38869 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241948300:241948788:-1 gene:KYUSg_chr7.38869 transcript:KYUSt_chr7.38869 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRPRLLYILLRLPPHRPNIVFLLLYIHRQQVERAHPTPSSLAMAIKKSGAAGLKQILKRCSSLGRRQQQHNHAGEEHWEEEEAAPSDVPRGHFAVYVGESRRRFVVPIAVLDRPEFRSLLRRAEEEFGFGGAGDLLVLPCEERAFRSLCSASSLTCTAR >cds.KYUSt_chr1.40700 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249604119:249614112:1 gene:KYUSg_chr1.40700 transcript:KYUSt_chr1.40700 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRIGVLKFRLYLMKLIQHIRDIKDPEHPYSLEELNVVTEDSVEISNELSHVRVTFTPTVEHCSMATLIGLCLRVKLMRSLPPHYKVDIRVAPGSHATEAAYYRRLISSEINTLDGAGRVHELLVPCAFLDIKDPEHPYTLKSFNVAIEDSVEISDELSHVRVTFTPTVEHCTMATIIGLCLGVKVMQNCGLFLLITRYSPAHTIRPSQNYNRDLENPGSPSPFHNIQSPHHILARPNSTGTQVAGLEEEEEEEEEEEEKAAMRAPKRRRSIRVDGKQVPLGASNGMDLESLDDLDLISCLPDDLLGTVISLLPTKEGARTQALSRRWRPLWRSTSAPLNLVADSSLSGDNARVAVVSKILSDHPGPTRHFSLHIISVHSFLVKVARWFRSEALNGLEELSVTSLRIDRYQVPPHILNRFVPTLRVLMLDRCQFRDLVALPSFSHLKQLILFDVIISEDSLQCMISGCTALESLWLRNRFGRLCISSRTLRSIKLYACRSKGAELVIEDAPCLERLLPNCPNDGPATIRVIHAPKLRILGFLSEGISTLHLGTTVFQKMVAVSLRTKMHTMKILALNSTGANLDAVIDFLKCFPCLEKLYVVGCLGLRTEEHGYWMSGINYRACFVNFVRKQWRVHESTLLARTIKQCSLP >cds.KYUSt_chr2.4922 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30474238:30487192:-1 gene:KYUSg_chr2.4922 transcript:KYUSt_chr2.4922 gene_biotype:protein_coding transcript_biotype:protein_coding MASADMFPNVSFSDVNAAAASEEAGGAGSGKAVFGLDAASGAARLSLVRTGADQAMEIGISLSDAQIFKLGRTEWLCVSGQTEAKPGVEEKLFSRAIKVVLRSEAESKAFSLAFQRWKQQMTSGKPGEPLENGSIMVSKSKFDTKIEASSAQMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMVEHAQRLISGNPSLGQRITIIKGKVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPDGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHSSAFDGYFSQPVVDAFDPRLLISPPTYHTLDFTKMKEEDLYEIDIPLSFVSSVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLAQPLYVMAGQEITGRLHLVAHSAQSYTIYLTLSAKMWGVGAEQGGILQTSTAKLELKEPYYRMSQPQQYMPQDQQQPLSSLQAQ >cds.KYUSt_chr5.30187 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191462665:191467344:-1 gene:KYUSg_chr5.30187 transcript:KYUSt_chr5.30187 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGVEVIASRGCSRLLLPGMQPSAASAASSSSVSASVSRAAAAARRPAGGGPFAGLVVCVTGLSKGELNSPRFGPAAQGWFEILRVLTDSGSWFGDFFVLFSAEARGQDTPIIPFLNAPHSFAGRKFEHALKHGPTNGLFVVTLGWFVDCVRRNMRLDESLYSIRNIGESGRPLGEFNRLAGPPVSEKSCLPPIVFQDKACSDATQKRRLQAHREEPEHDAFVFANHTIYIDPGISGEMKKKISDAASKEGAKLLDHWFIGCHATYVVCEDISVKRYVGHSDNIVTPLWILKTVKEKNLQRLVHLSSDLARQVAVVLENFHTSQENRKLGSVPSLNSNSSGLLSNREEIDETHQERQKFVEVAKRDIRERRVRRMQSCEVPIHPLTPATLLDSICWTISEPTTSASIYTDSAWSDDANEQQSTTYFDADGDGKDRDQSADNLSRPLRESERSEVIFKNHFFTILFPLDRFGELGPSSRNFFSNGGFTRAEILDHIYNFYQENMSVDEINVALHTDSRHADRLRSLYASAESVEKGFVAFKRIDFLGSRRSFEALKRLNRENNSNVYELVIRA >cds.KYUSt_chr2.52130 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325341739:325350689:-1 gene:KYUSg_chr2.52130 transcript:KYUSt_chr2.52130 gene_biotype:protein_coding transcript_biotype:protein_coding MSASADPAKAPAPSEEKPEAGSGGELLYCGATNFEAMNRKLAGGMQGNLVSPTRLRSLVGVDIRSVATGCAACHSVALGADGRCYTWGRNEKGQLGHGDTLQRNLPTVVSELSKYKIIKASVGRNHTVVVTDEGKSFAFGHNKHGQLGTGSLRNEIETSPVPCLVTDVTNAVCGGDFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNTKDSSVKLSYEAQPRPKAIAVFSEKTIVKVACGTNHTVAVDSSGFVYTWGYGGYGRLGHREQKDEWKPRLVEIFQRHNVLPPNAIVSAGSASSACTAGGGQMYMWGKMKNTGDDWMYPKPLMDLSGWNVRCMASGNMHHIVGADDSCISWGAAQFGELGYGPNGQKSSANPKKVDSLNGMHVTSVGCGFGLSLIVVDRAQAGSKLDELDIYDGDTSTQVEERVQVKATKKAPASAKSNKRKKAKDLSESEEEEEDDESSDDENGDVKGAKGGRGGKASTRGRGRGAKKATLEPKSSGRGRGRPKKTESPPAKAESSGRGGKRGRGRPRKA >cds.KYUSt_chr7.8872 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53907795:53909193:-1 gene:KYUSg_chr7.8872 transcript:KYUSt_chr7.8872 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVVAGIIMVLCAVAGFQPSFKSARAVPAIYVLGDSTLDVGNNNYLLGKSVPNALRPFYGVDFPGGPMPTGRYSNGYNIADYIAKNLGFKRSPPAYLSLEAHHRQLVRSALHGGVSYASAGAGILDSTNAGNNIPLSKQVKYFHLSKLAMEAKEGSQVVSDHLARSFFILGIGSNDLYQFVLEQQAKNKSATQSDAAALYDSLMSNYSATITDLYTMGARKIGIINAGATGCIPRVRAHTATGACDNGLNELVVGFNGALSSFLAGGLAPKLPGLAYSLADNFAHRLDIIAHPQAAGFVDTASACCGSGKLGAEGDCLPTSRICENRDGYIYWDWIHATQRAAELAAQAFFRGPAQFTTPISFKQLAEKSYPLPSI >cds.KYUSt_chr6.26698 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169274907:169281665:1 gene:KYUSg_chr6.26698 transcript:KYUSt_chr6.26698 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNDNWINSYLDAILDAGKSSIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKEKEEACRLLKRHPETEKTRTDATADMSEDLFDGEKGEDAGDPSVAYGDSTTGSSPKTSSVDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVEFAKALSSSPGVYRVDLLTRQIVAPNFDRSYGEPEEMLVSTTFKNSKHERGVNSGGYIIRIPFGPKDKYLAKEHMWPFIQDFVDGALSHILRMSKTIGEEIGCGHPVWPAVIHGHYASAGVAAALLSGALNLPMAFTGHFLGKDKLEGLLKQGRQSREQINMTYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHVVHDFDMDGEEENHGPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITSLVKAFGECRPLRELANLTLIMGNREAISKMHNTSASVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLATRTKGAFVNVAYFEQFGVTLIEAAMNGLPVIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSEKQLWSRCRENGLKNIHQFSWPEHCKNHLSRILTLGARSPAIGSKEERSNAPISGRKHIIIISVDSVNKEDLVRIIRNAIEAAHTQNTPASTGFVLSTSLTLSEICSLLVSVGMHPAGFDAFICNSGSSIYYPSYSGNTPSSSKVTHVIDQNHQSHIEYRWGGEGLRKYLVKWATSVVERKGRIERQMIFEDSEHSSTYCLAFEVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVTPIHASRSQAIRYLFIRWGIELPNIVVLVGESGDSDYEELLGGLHRTIILKGDFNIAANRIHTVRRYPLQDVVALDSSNIIEVEGCTTDVIKSALRQIGVPTQ >cds.KYUSt_chr7.24651 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153682161:153683108:1 gene:KYUSg_chr7.24651 transcript:KYUSt_chr7.24651 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRSWRPPAPPGAHPTPPPPNPRHALQARRPPRVRKSPTDTRPWLQLIFLRRRSASSSSSSSPVSPPPHPPAAPQARRRRSRPGPLLNLAGLHPGVGPVDCTSSSSSGEVSATTLAAFDHRCYRSSHGDGHSSADPWMSLLQLFCYVATKGGCACYQRHSACLLRRYPWRTPRSSKRTRLGPSPTPHHPPMPPKLERRSCSHGVLEHGAATDGKGGVATRGWWSCYFVVSASATKGVGRCYKERWRDGVCYKRRRRLLLQRAAARRRLLQRVAAVATKGHGGCYKGRRRYCGCYNRRQHLLQRKEASATIDGG >cds.KYUSt_chr6.11959 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74460487:74461989:1 gene:KYUSg_chr6.11959 transcript:KYUSt_chr6.11959 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILCNWWWFFSGELRWAELLVSTVGASISVNKVKGGHPAISAVVFLSLFLLVGCGGEERERSRDNSARSAGWWGSSAALTHLRGTDQLPSERPGKLPWKKEPEHPLLVSPCLIKRNHLLRCGAAPPLLSAGLGGEGEVVGESIVVSVCRWWSGGSLESVIHATASKRRRLFAVAISGHKGGPAALGHRGTSFFFLLRVRIFIDLGVASIAAASPSGFVPGGSSGGHAGESTIIGIDQGLDRVFEFSTGVFVVKLRDSVVISFFLSVLPEVVRPLPI >cds.KYUSt_chr4.33089 pep primary_assembly:MPB_Lper_Kyuss_1697:4:202799982:202807432:1 gene:KYUSg_chr4.33089 transcript:KYUSt_chr4.33089 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYRPTRGFLPDRESLASWSFFILRNGQHFFPMASVGWIGWTLAMMWEQRSHAARSWLRRDQRFGKEEHYEWLKDFSHFRHLLSPLLSPSISVLDVGCGSSRLGEDLLREGVAGGITCIDLSPVAVQRMRDRLAEQGTSGVDVVVADMLDMPFESESFDLVIEKGTMDALSVDIGDPWNPNPTTVDNIMKMLEGIHKVLKPDGIFVSITFGQPHFRRRFFEAPGFTWSVEWSTFGDGFHYFFYTLQKGKRSLEPSGHQDKLLAPPSINMLHEELERATKPETQHHPAFLVTGEMASSAAYHETDENLEALISRIEQKSRKIETLLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIRDIDGMFNSLDPEYYDILMK >cds.KYUSt_chr1.29949 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181346345:181346932:1 gene:KYUSg_chr1.29949 transcript:KYUSt_chr1.29949 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQGEVEGVHGEVDVVHGEVEGVHGEVEGVHGEKEIVDDDVEVTQGQVQELEDEFERVYTTIRDLFQKVDRATNVGAGESRISARARYIRTTNLAPWRRPSSSCDGCIFHAFCRNCGVRGEASIFCCLACSLPVPLDVVRCELCGNPLLCDDYWCKDCATAVGIGEDGVCSRCGRNTSTVAEDENVFVTETKMN >cds.KYUSt_chr1.22695 pep primary_assembly:MPB_Lper_Kyuss_1697:1:134227054:134229260:1 gene:KYUSg_chr1.22695 transcript:KYUSt_chr1.22695 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSLKRADEVGFEGGLDLVMFLLELSRQLLVAASLQPTPAVSAVLYKRRNDPIEGDPSQLDRSLVQQYSSAALTDHLCPREASKRIIYKARKTAAAMDGKSSELARAVAEAEAREERLRRELEAALARVAVAEEAEERLCVQLGELEAEAMEQALEYQQHVRALSERLAFMDGVLRSSGALQSAVVAGGMH >cds.KYUSt_chr2.8645 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54254347:54261714:1 gene:KYUSg_chr2.8645 transcript:KYUSt_chr2.8645 gene_biotype:protein_coding transcript_biotype:protein_coding MKERPLNVSGKPRHSLDVNRPNDKKRASGGGGGRSAATVRRLNMYKLRPKRDRRGKIKKLDLKSEDLPNTRIEPDPRWFGNTRVASQKLLDFMREELKGGLSNNYSVVLKPRKLPLSLVNYDRKDGRAHILDTEPFEHAFGPKGKRKRPKLSSLDYESLIKQADNSQDAFEEKHASSKLPKDEQEDGLRDLVRHNMFEKGQSKRIWSELYKVIDSSDVVVQVSVTQPSVLVLDARDPMGTRCYHLEKHLKENAKHKHLVLLLNKGCLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRSKTVAPIPGETKVWQYITLTKRVFLIDCPGVVYQNNDTETDVVLKGVADYYNSDRADDNDFLVQLSKRSGKLLKGGEPDLATAAKMVLHDWQRGKIPFFVPPPQQKEVGPSEISESVEKFGEEVLSSSPSSVPAIGTKKPSSPVAMSSSSSSLSGLPLQSSPKRKNGDHLHSEVNPLSSDKEKKEGEAAKAKASPSAKLPPKKRSRMWADSEDDDDDEEDEAPAKGWGSSDEELPGSSADDIDGGDDEDSDD >cds.KYUSt_chr3.20357 pep primary_assembly:MPB_Lper_Kyuss_1697:3:125271148:125278137:-1 gene:KYUSg_chr3.20357 transcript:KYUSt_chr3.20357 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASPLMLLLRAALLLAAMAASCGAALDDPAALLRRAKEAEFMDWMVGVRRRIHENPELGYEEFATSELVRRELDAMGIPYRHPFAVTGVVATVGTGGPPFVALRADMDALPMQESVEWEHKSKVPGKMHGCGHDAHVAMLLGSAKILQELRDELQGTVVLLFQPAEEGGGGAKKMLEAGAVENIEAMFGIHVADIVPIGVVASRPGPIMAGSGFFEAVISGKGGHAALPHHTIDPILAASSVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFDQLKQRIEEVIVSQASVQRCSAVVDFMNKDKPFFPPTINDPELHDFFAKVAGEMVGPSNVIDRQPLMGSEDFAFYAEAVPRTYYYFLGMLNETRGPQAPHHSPYFTINEDVLPYGAAMQASLVHRYLLEHQHAMAAKAVPRDEL >cds.KYUSt_chr3.40636 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256323171:256326389:-1 gene:KYUSg_chr3.40636 transcript:KYUSt_chr3.40636 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGIGMNTHVYNAMLHMCLRAGRADALMTRMDAAGMSAGPLLVQHPDRALRQEGDAVRGGSEWRGVKLDEAKEALFEMLGAAVMPPLLFPAAKTLFLSHFPPPPSPTPRLQLRRATATATAAGDDAAASGTTARERRLAKVREERRRRDYDRQHTYPGWARVLENACRDDEEMRAILGDSIGNPELMKQRIQERVRKKGREGFNRPKTGSVAAFKVSFRDFNPLNAFIWFELFGEPTDRDVDLLGGVIQAWYVMGRLGAFNSSNLQLANSMLDFDPSYDSDEASAVMPSSFHDISDVEFQDSWGRVWVDLGTSDYLGLDVLLNCLTQLSSE >cds.KYUSt_chr5.37054 pep primary_assembly:MPB_Lper_Kyuss_1697:5:234476208:234493001:-1 gene:KYUSg_chr5.37054 transcript:KYUSt_chr5.37054 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQRGLLLDRWRRIQEDEEAYEGGEPSAANRRRLNQAKEEWKAVDLEPLQPLLQKYIEFLDAEALPSTSESSRPRVQLKRADVWLGFKSFLGFLEAPAFEDGILEKYSIFLNIVLNNVSDDGSDLSCAVSCLKASFEMLDCSSYCAKRLHNEPSLPSLGMRPYVDTSLHSSLRQPAFDLINILIVSDASALISFKLKYESTSKGDARSSVIFIDDDDELPFVDDTVEKEYGCWNDFSVLNKLTFQGCKDWTCVPLLWYLVMVQLEPSKLPIAFSKAVFWALSHISVLEPGLAMEPSVPVNDWLSSHAGEISSTFSWQVPNGADDGGGGKDCINTLKVSKFGTLLMRIFKRFAIHVIMQIEQCGLQKQWTWEPMMSESLILALVDHNDSVRQAGRAVMEHVSQARGLTSGLQFLCSSASSLSAVFVGLRYAVQLISCVRVLELLPLVYERVNSYCTQPFSMTTMVPDPNDITWLFHLINWGKSSLLVISRHWKQCMLSLFKILKDSHSGTIQRYIEDLSDIVSHDVIDMDDLRGRISNLNLAVFKAPTVAERRVAVSLQTPTNSTASIPSLIGHTDFKRHTGRDNLEIMKPSHGSDVEHIIVLSDSEDNLPTGDVIGEEVLSSVKENDRFTASELLKNPSEQRMPFEDRHVSSKHQIHSDISASSRPVLMENRSTLAASKGLGGTKTPNVAVNTNNASFLPNKKSSVSATSQPPRPNPSSSTCKFKSIFRDISDDEDDPLEHALDNYRRPQIRVTKSTIVLPKRQVVQLQLPAERRQAYGRPDTNIRRFNPPKLDSWFKNILEMDYFAVVGLSSSEIKKPALKEIPVCFDSQAQYVEIFQPLVIEEFKAQLQNAYVETPAEDMTCGSISILSVERVDEFLVVRGRAENTASVKSKGCTENDLILLTKDPLKSTGQQVHVLGKVDRREFDKNKALIIVIKFFLSNDNPRLNKVRRLLVERSKWFLNRVMSMTPQIREFSALSSLSDIPVLAVILNPVSRAATNHECGKVYLDKLTLPMRKVLKSSYNESQLQAVSIAIGSTGSKTKCDLSLIQGPPGTGKTKTIVAIVSALLSVHADNSYNLPRNESLASAEFTKPRTKISQTAAIARAWQDAALAKQQINDSQRENPRTERLSKGSLSRGRALVCAQSNAAVDELLSRLSDGLYDAEGKLYRPYIVRVGNAKTVHSNSIPYFIDTLVEQRLSDELMAKNEANISSDAESSGSLRARLEKVVDKIRYYESRRKLVEGDETENCSSVPDEDEADEVSDEAIGAKLNILYTQKRAVSAELATAHAREKKIADENKSLKHKVRKSILGEAEIVVTTLSGCGGDIYGVCSETASAKKYGNFSEQALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPDISRFPSLHFYENKLLDGAQKAEKSAPFHNHSCLGPYMFFDITDGRERGGTNAAAQSLCNQFEADAALEILSYLKNRYPAEFSCRKIGIITPYRSQLSLLRSRFTSFFGPEIVAEMEINTVDGFQGREVDILLLSTVRASNSSGDRHHTGEARSIGFVADVRRMNVALTRARFSLWIVGNARTLQTNSHWASLIQNAKERNMFISVQRPYGLIFEKVHGTTHSYHNSHLQQQKENEKAGTANSQAFHAQLRKEHVGHADRTTEKEGKHLREDHSKRASRWDRKNPKFQDSTMRKPSQDNEPAMQSEDMKATKGSLKQDSGQDSVMRKQGVEKKSLAKRLAAEDPHDGSDVRWQRESHKHVKETVGMETDKALFKQGAPENSKVRVHNKDKKIINQSSDMGTIKGSSKHDSNFKSVAKKDGDSPSAHQDMQKLIQKAKGTMKFSEKPRLCNSNQENSLVKHDALLELANKNSGTCPPTIPGMKKMTSKVKGTRKFTEQPRSGNSNQVDPSVTSYFDEAGSHIRDLTKSQAPNLTVTSQNHQIAARKRQREDVESLLSSALISSNKPSSKCPKKKQK >cds.KYUSt_chr5.19745 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128176866:128177219:1 gene:KYUSg_chr5.19745 transcript:KYUSt_chr5.19745 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWRRCLRLPLAKLRAPKGAHHRPHPPPRRNCPRRPWDVVTLADSVSDHRRSSSNSGHSGDPLKSAPPPSDSPCSGATPKASSSSWFGLERKIRPQPESSAMGDILELRLFFLHLA >cds.KYUSt_chr4.53263 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329838669:329839361:-1 gene:KYUSg_chr4.53263 transcript:KYUSt_chr4.53263 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQPPTTKTSSSHSSTFVRGAHQFDIIGYSKLKSLGVRNTIRSVDFEAGGCTWALVCCFDVPAPASNPQQCQLVSISLELVSSDNYNYNSVIAKASLRIDDPTPPSSSGNKRCRRWPPAVWQSAEAHTYPATNYGGDDSDARSWKLSVPDAFREPLYVKDDRLTIHCTVVVLLQEDVTTPATGLTRRQRRHAIDEHLKFCPVPEANELRRSRRERRVNHRINGPEWTT >cds.KYUSt_chr4.52729 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327183298:327191312:1 gene:KYUSg_chr4.52729 transcript:KYUSt_chr4.52729 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCWLRPFYGGSLCEFGYSQGKGYGTSTVPCAVVPLESGSTASVPLRYRNISYGTTASVPLRYRLGSSKPQRPLRYLKRYLKRKYRSVSTWPDLWGISNPEREDLAREFSNIYKTNWPWQIRELGDWSYLVKFPPHIPVEQVIGYPRFVLAKEGVTVSVTKWFDDPEPVETLAETWIQIRGLLPPWCEWNIIDQAVSVCGLLKKVDWQSIFKNCAEVVRVEILCRDPNRIPAGRLFNFHWKLFQLQFTAELPNATGVQREVLAGQDSGNAGGGLGNGTNGMDTDGRSEANRNTTNSQSSGGSNHQVETGNASQGRQVAMLTADTPEERVIPGSEVYKLLMEKDAIVTSFWNEEGLSFAERMEEAAAEQLHLPEDIMPAFDDLLKNREAQGAGKKQKMAWGPVQPIRQSDRIGRSKNVMVKAMELQEKKNTLGAATKMTGIIKSNPFHVLQVEELSDMARKIVIHVDTRDVDGTVESDSFDNVNTQMLPSNKPLDSLVDVDVLSDDTLLFLQNNERNAINLKWTLTCFEQVSGMKINYHKSELMAINMEDEELAPFLDIFQCVAGKFPVKYLGVGLAPLLPKDLANSIQKPGMGSGGKFQMRPGEKTEDGAVADAGLKCTSFDWISTWKSSTASLHQGRASEAYLLKHPEESGDIHVKGDDAAQLRAGQGGWLAAAGRRITPHGLKERRGSHGCFPVVGPCRFDLLPLPGLEPPAVAEALFPAIGS >cds.KYUSt_chr4.11329 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68870860:68886807:1 gene:KYUSg_chr4.11329 transcript:KYUSt_chr4.11329 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYAKSVSEVLEAFGVDRTKGLSDSQVEQHAVLYGKNGCFSILPATELVPGDIVEVGVGCKVPADMRMVEMLSHQLRVDQAILTGESCSVAKELDSTSAMNAVYQDKTNILFSGTVVVAGRARSVVIGVGSSTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFLRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPITDEYSISGTTFAPDGFIYDASKLQLEFPPQSPCLLHIAMCSALCNESTLQYNPDKKCYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNHYWENQFRKISVLDFSRDRKMMSVLCSRKRQEIMFSKGAPESVMARCTHILCNDDGSSVPLTMDIRNELESRFQSFAGKDTLRCLALALKRIPEGQQSLSYDDEANLTFIGLVGMLDPPREEVRSAIQSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLDDFTGYSYTASEFEGLPPLERANALRRMVLFSRVEPSHKKILIEALQSQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLVPVQLLWVNLVTDGLPATAIGFNKPDGNIMTVKPRKVNEAVVSGWLFFRYLVIGAYVGLATIAGFVWWFVYSENGPRLPYSELVNFDSCSTRQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLL >cds.KYUSt_chr4.37027 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227673793:227675443:-1 gene:KYUSg_chr4.37027 transcript:KYUSt_chr4.37027 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >cds.KYUSt_chr4.4864 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27881044:27881553:1 gene:KYUSg_chr4.4864 transcript:KYUSt_chr4.4864 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGMERAREEEPVSQALSLTTDSTSSTTSADSSGAAPMAARKRARRGRVVATSGEGDFVCKTCGRAFTSFQELGGHRTSHLHGRHGLELGVGVAKAIRNRKRTEEQQQHDCHICGLGFEMGQALGGHMRRHREEMALSGGDGADDQWVIGLSDKNGADRPPVLLELFV >cds.KYUSt_chr6.15493 pep primary_assembly:MPB_Lper_Kyuss_1697:6:97286676:97298168:-1 gene:KYUSg_chr6.15493 transcript:KYUSt_chr6.15493 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRLESILTDTSVPLAKRSWAAATIELGMLTRLAAPAVVMYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAYGARKYEMLGTYLQRSAVLLCCTGIPLAVIYAFSEPILLFLGQSPEIARAASIFVYGLIPQIFAYAFNFPIQKFLQAQSIVLPSAYISTATLALHLLLSWVVIYKAGMGLLGASLVLSLSWWIIVAAQFAYIVMSPTCRHTWTGFTWQAFSGLTDFMKLSAASAVMLCLEAWYFQVLVLIAGLLPNPELALDSLSVCMTIAGWVFMISVGFNAAASVRVSNELGAGNPKSAFFSVWVVTMLCGTITVILAIVILCLRNYISYLFTEGETVSNAVADLCPLLATTLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGVVLGFVFKLGVKGIWGGMIGGTVMQTAILLWVTIRTDWNKEVEEAQKRLNRWEDKKEPLLADVRKDDN >cds.KYUSt_contig_1989.50 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:297972:301570:-1 gene:KYUSg_contig_1989.50 transcript:KYUSt_contig_1989.50 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAGIGSNYFLVIKAADEYKKVGKYNVLVWGVAGSTAQPWKLLSFQFVGDWETDSVEAPLYRHIAVFMANDDPLPPPEPFTMLLPARAIATDVEAHRVALEEQRKKELVERQKFRVEPDLATAMSLHNQ >cds.KYUSt_chr6.337 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2134236:2134976:-1 gene:KYUSg_chr6.337 transcript:KYUSt_chr6.337 gene_biotype:protein_coding transcript_biotype:protein_coding MIHYAICHKLRTNPLQVAPKQDAARERERCLSRRTFTFAASAVQRLKQRLAGAVNTCMPPSTFAAMAAHGWVSIARARGFTDNALVFAVFLADCRAHMSPPVPGAYVGNCLALCTASLSGWQLAGPDGPPMALLAIQEAVAEVKRDPLGDRVRWCTKFAAIPPGRAVILAGSPWFPAYGVDFGLGRPARVELASMNHDGEMVLVAGRERGSVQASVAIAADKMTVFRGMFELECDRASAMPVSESE >cds.KYUSt_chr4.12647 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77744349:77745500:-1 gene:KYUSg_chr4.12647 transcript:KYUSt_chr4.12647 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAGLSVVTNGKHCTTTAIDAGMDSGYHLLVVKDYLCTVQEVPNGKSILSGHFMVGGHKWCIKYHPNGEQPSCADFISLGLCLVDDDVEEAVKAKFMFSFVDQVEKQKPKEFFAIKSYIFPVKGYCWGSSKFMKRDALERSAHLKADCFTIRIDIMVCNDLSTQQDAVGTLSGIGQHFKILLQDKVGCDVTFEIKDMDAKVFAAMLSFIYSDSFPQMEENKAQAVQGQEEEVAKLVTWLQDLFVASDRYDIQQLKFLCEKKLFNLIGVSSVACTLALAERHNCHGLKDGCLTFIQVQSPKCLEKVMETDGWELIVTTYPSILKEIIAKVASNQKDNKRKYESM >cds.KYUSt_contig_686-1.614 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3687154:3693966:-1 gene:KYUSg_contig_686-1.614 transcript:KYUSt_contig_686-1.614 gene_biotype:protein_coding transcript_biotype:protein_coding MIALRTPVSLRHLVVAGGQRKQCIGGDRKRGRAQRRHFTGALESLWRHGPHPRCSPAPAAAARRQSNSWQPPVLENTAFEEYYKEQQIVREEEWDAFMSVLRKPLPATFRINASCRFLGDICSKLENDFRRSLECEVSEYGEDFISPLSWYPGNLAWRLNFSRKQLRKTEALESFHEFLKHESEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSKEPGLLPRALVIANDFKAQRCDLLIHNTKRMCTANLIVTNHDAQNFPSCSLAKEHSETDKDHRKPQRLEFDSVLCDVPCSGDGTIRKSHDMWRKWNSSMGNEFHLLQVNIAMRGIALLKVGGRMVYSTCSMNPIENEAVVAELLRRSGNSVELLDVSKELPELVRRPGLSTWKVNDRGSWWQTHEDVSHDRKNVILPNALKCFIDILSESQMTEVGKTEHPLSTTRTVGLQEQYQPEITAPVKKMMHQHGIVSEVCGDNKLPDEQKKLSVDNQTSKHNNLIGVKMETDDVKHSQTKSGDISHGTKKLDCQYKWKGIDPVLFFEDDAVIKNIVSFFGHQDADSVAMDPSTIAIVCWRGKSTMNVLVSPPDRKELLEYRFGFKEFRVEDEKPNKDINGLDEGN >cds.KYUSt_chr1.31128 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188766580:188767275:1 gene:KYUSg_chr1.31128 transcript:KYUSt_chr1.31128 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGDATAPAKPLLSPRSTSPAPAASAAAPQETLEELDRRYAPYARRDAYGPMGRGPLGPAEAARLAVAAALLLPLRVVAALLLLVAYYLVCRVCTLGVEEERQGAEGPGDGYARLRGWRRDAVVRCGRNLARAMLFVFGFYRIREDHRRAAAAQVPNSNLDSGFLLLARLLDSPRYLAMEERDVVRGRPSCSCVCCLTCLTELETHIHGNVTVYNLSLFVPKLKPASPV >cds.KYUSt_contig_1181.1070 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:7021046:7026804:1 gene:KYUSg_contig_1181.1070 transcript:KYUSt_contig_1181.1070 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPAAAIHRLQSSIREAASAPPPSPSRAPFPSFADAVAAFDPDASPELLCGRCGAAGGLLRGAQSAVCAYCGSPRREEDDGIAFRGSAAYRWLLGSLRLDGSEPVEFDGDSTDSNKSNEVLKSGVVLSDLLDLRLTFPPENREISGSSMSNKQPSVASTLNLPGVNLDSFFIERKEETAAAALPGTHNVVQEKHNTHQMETTTAFANWDADFQSTDSESVVGDSKQLDLFKGAPVAESSIFPASGAAISPVLAAGNEMDLRSTGLERSEDLASASGTINKDNLFIQKSVLAIVESNSGLVAENSGTEFTGSSLNENSVQSNQLHGRGDSGVSIEEAFDEWQEFTEGGNQGTLSNTGEHTEGDSSQIKRTDSLAVRSMESSNDVAGDSDDWQAFASTSAQGGDVMKLVEGSSSGEGGCDLGNPVAETSISPEHSLETISVDLWPVGNVKEHTTTEIIKQTDDASDDWQDFTTSGQAEVASFNQAGQLTEVSHFSHREMDVGSWFTDNNTRESTNIDLVNGNKTTLDDWQGFAGSDQTQQSLYNVGGELTDISFEQHEGTGPVQLWANASSNEATNTVSTNIEDDTFDIWQDVTTSRHQQENIPNVGRGVSGVSSKPAQEIDSMDLWLTSNVKESNSSSKGASRINDLSDGWQDFASFGQAQGNITIPVEGQFQKDFSGIEPVDLWSSSHTEQFKNLEQINQNNDPFDSWQDLKNSTQLETNSQELPHDPLSDKPSVSAIDILGLESGNYAQYAPSQSQIDKKDNSMEANAVPSGEHLERRNITPHMGDDDALSAVWATTSHGNNSRPKSEAGNSNVEKLLSQMHDLSFMLKDELSVPDKPVDS >cds.KYUSt_chr6.15262 pep primary_assembly:MPB_Lper_Kyuss_1697:6:95649673:95650359:1 gene:KYUSg_chr6.15262 transcript:KYUSt_chr6.15262 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLSSHVHAATDAAPKPTSKPKQDEIDPAIDDVEAAGGAEGDGDGVNYLARAQWLRAAVLGANDGLVTVASLMIGVGAVNQARGAMLVAGLAGLVSGACSMAIGEFVSVYAQYDIEVAQMERERDNGEDGKKDNLPSPMMAAGASALAFAAGAALPLLAGGFVRTWAGRVVAVCVASSAGLAGFGVLGAYLGGASVVRSGARVLVGGWLAMATTYGVLRLFGMQSA >cds.KYUSt_chr3.36273 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228118381:228126741:-1 gene:KYUSg_chr3.36273 transcript:KYUSt_chr3.36273 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTIEMATVTSEPPWITPAPCRRRRRRILAPVGSGLDPGHHSHGSGSRFSPFEDDPEGEDEISVAEEVAWRGLDDIPRVLPLDGTGIGGDEERLDEFWSKIGFPTPESRSWEKKRSDGACGQRARSSSPARPAVAAEGVRTASAPPGFRLPKQPVKMKAWKGPLPPKRFTPPAVLGDFFPVGEVVSEASAAGESSRSAVRVAPVAVATSSSPMVQSAASTPVRTRAEDEAGISAADAVSALGRFGPGRVGHAEGIQRAHAITGRSSCFASSWFFRRQRLCPALLARRRVACAQDLLEKSAARPILPAGGCWGFRRHGYGRTIAAARAARGADADAWLYFILAGAAPVQRPPFGFRPPVPQMPYVPPYQQCQGQFQYPIPGQQGMVQPPVQPPVFQQTPQQPGQVKKRRKKKSATTVAQTVPVVGESGPPMGQLIPPLGQPATLPMVQPPLVANSDALLAPVQAPTVPDAPVVKQKKAGRCWKCAVDTHTTKNCKVIHYCLVCDSGAHPTIRCPVLKLLRPMSFFFGSGNDATLDLQLSDSVFKPKSVASGVPTALVQVSGEGVVSAADIQSLLARMCPGNPSWKWEAVPHGVDAFLVGIPTAEDLSRIDGMQMSVPKISAHALVSSWVHQDVTPEFVMEPVWVHVDGVPDSVCHFLGLWAVGSLIGTTLDVDLVSLRSMRIIRIQVAMRDPSVLEKDNGCLQVIALLQLNGYIFRFRREAVGFKPDARFRPFFWKDGGDDDGSHGFEEERLGDAATDAAPDTANMEVDGHPSSQPQGASAVPMTQVALTPFNHSPVTVRGRQIVERARMESPHLVASPLVVSRSSSPSRVRTFMKGRTRPAATSTSPSSAATSSSPCSPQGVPVPSSSPTQTEPTHAQVAMPLHVQEVMAPLAQEASAQHAQAATARHAQAASVQQDRAASTQQGRAATEQLARATTALLVQAATSQTAQAALPQPAQAASTQLDQRLVVAKPDSLQGRPFEDLVETMPETGAMPPRVDGLLGASVPPSRAEEELSSSPLPPLDRGSPRVESVQGASPTPPPGAPSSSSATMASVSRSSSPSPIPSLQQPPPPPPPVVRPMVRRSGRHALAEDGSGATDEDAMQRAMRRKAEKNLDTTVDDEEDDILDGQLLSAIIGNISEVDLEHLELSSVYDLKASARGSRSSPGHLQMYRDSSFVVTSSAYGEPRPVYGGGSQVSTAAAVYSFGIVLLEVFIRRRPTDAMFKDGLNIVKFTESNFPDRVLEIIDPQLQEELELCQGTPTDLKENGLHYLLSMLNVGLRCTSASPGERMNMQEVAAKVHGIRDAYLMEN >cds.KYUSt_chr5.30520 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193539620:193541320:-1 gene:KYUSg_chr5.30520 transcript:KYUSt_chr5.30520 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRARALLLLRSSTPKSPPQPNPLRTLTRAPPPRLLSRFLSSSPEALPDASFASASSSETLPDAYPSSSSDAISASADPTEDGEENLAALWEEDADDADDIFVSTASSDTADAEASDEEVARVRAVVESTPEDQIPSAIADMVVDFTEPLLAAVLLSAENCSGKKLLLLFKSAGKNNPDVKSLANLEIIAGKLADSNEFDKMDAYLLWDMVKEMGSVPGSVNTQLLNIVMSMFWKLEKSKAALEVLDKFSEFGCTPDGDSYYLVIQAAGKKAMVGAAWGVCEKMVGSGCFPDGKKTGEIVTFFCKGKKVKEAHSVYLAAKEKKVQIPTSALDYLVGALARNDETISTAVELLEEYKGKSLKHAGNSFAAVIHGLCRMKNVKDAKKLLMRMVNLGPAPGSAVFNFVITGLSKEGEMEEAKGLIRVMESRGLRPDIYTYSVIMSGYTKGGMIDEAHSLLREAKKIHPKLSRVMYHILIRGYCKMEEFEKALECLKEMKEDGLLPNMDEYNKLIQSLCLKAMDWRTAEKLLEEMEGSGLRLKGITRSLVVAVKELEMEEASKDSQEP >cds.KYUSt_chr4.54261 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335456919:335457260:-1 gene:KYUSg_chr4.54261 transcript:KYUSt_chr4.54261 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAVLAALVVGAMSTKVDLTVEKGSDAKTLVLNIKYTRPGDTLAEVELRQHGSEEWEPMTKKGNLWEVKSAKPLTGPMNFRFLSKGGMKNVFDEVIPTAFKVGKTYTPEYN >cds.KYUSt_chr2.35721 pep primary_assembly:MPB_Lper_Kyuss_1697:2:220803784:220807906:1 gene:KYUSg_chr2.35721 transcript:KYUSt_chr2.35721 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLEVHETRSRTHATEEGKAAPKRQKAENKEQEGGQQGPSKSKSDEPEVPAKNKKLKGEESELNGKENATKEFTDFCKAIREHLSVEDMRKILEANEQDASGSEDAVVPSCEDMIFYGPLEKCPICSGQLECKGWKYKCKGKYSEWASCIFSTNKPPRKSGSIKVPDNISNDFVNKWLKQQEGKGYPKRDMDENAHIFSGMMIALSGRMSRSHAYFKEQILKHGGKVNNSVLGVTCVVASAAERDRGGSGGFAEALERGTPIVSENWIVDSIQKKEAQPLDAYDIVSDVVPEGKGLPLNKLDPSEEAIETLAAELKLAGKRSVHKDSKLDKDGGCIFEKDGVIYNCAFSVCDLGSEMNHFCILQLIMVPEKHLHLYCKKGPIGHDQLAEERVEDFGSRVNDAVKEFVRLFEEETGNEFEPWEREKKFVKKSMKMYPLDMDIGFDVRHGGAALRQLGSAAAHCKLDPAVSFLIKQLCSQEIYRYALTEMAQDLPDLPIGMLTDVHLRRGEEVLLQWIRDAEPAPESPPAADAYWIEISNKWFTLFPTTRPYTMRGFEQIADNVASGFETIRDINVASHLIGDISGSTLDDPLSECYKKLGCSINCVPEDSEDYKMIVKYLQKTYEPVKVDDVVYGVSVDRIYAVESSAFPSYSEMQKLPNKVLLWCGTRSSNLLRHLHKGFLPAVCHLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGEEIKEITGTPGSEDVKSLEEKKTGVKGVGRKTTDESEHFTWRDDVKVPCGKLMPSGNKDGPLEYNEFAVYDPKQVSIQFLVAVRYDEQNMEVVPDE >cds.KYUSt_contig_2824.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000527.1:129177:132106:1 gene:KYUSg_contig_2824.17 transcript:KYUSt_contig_2824.17 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRWSAAVDLLRQISGVLGVLLLLLLLRWSEPWAERRSTSSSSNKASLSLLLLRGSCRAVRSLGHGGFERSTSEGAGWIFAYRSAGLGGEGVRRRCFSALDLARSLARRRGSVKNSSEGRWLLACRGGEEEASAQLDLLLFNQHRLLHRFFFIELNHVEDLLVSMIFCRHGGSSTTSSSEASIPSCWGSASRFHQVMVRVTMVGAKTKVFRGVGDEAYAKLPCADNGNARGRRFPSSRRCREVSPFKLSWFVCSLAQLASFCGWWWSSAMRLSVLLQLGAASPGGVSLLEDSD >cds.KYUSt_chr6.17369 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109372487:109373779:-1 gene:KYUSg_chr6.17369 transcript:KYUSt_chr6.17369 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKYSIFFLLCASTIVADSTQLESSHKSRTSYSSSYYPRPQDFPNERLYNAYFVIQRFKHTISCDPRNIISSWNGPDICGEKNYHGFYCTAPTGHGGNLTVTSIVFHGFGLCAPKLQGFIDQFPDLALFQASSNKLSSDIPRLNGLPYMYSLTVESSLPMYKSDDGGRHCNYADILELIKTHQPICFMYYPNGIEKAEEIAGATNAQALLLNSNSLSGPLPADLGFSKVSYLALANNKLTGPIPPSIAHMQDSLLEVLLLNNQLSGCLPHELGMLTKTSVIDAGMNQLTGPIPSSFSCLSSVEQLNLAGNRLYGQVPDALCSLAGPAGRLANLTLSSNYFTSVGPACSALIKDGVLDVKRNCIPGFANQRGPAECASFQSQPKTCQASSAPVACPAAADDAKKNAAAPVVKVAREYSSYVTYATLHE >cds.KYUSt_chr7.39925 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247956976:247958907:1 gene:KYUSg_chr7.39925 transcript:KYUSt_chr7.39925 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRATAALLLILLAAAAAAAESEVVVQAPETPKAAEELARMLERLQEVADSLARSVRALESVLARSAEPDPPPPRQPFSAEAPAAPGAPDQLAPQRVAVTKRRPVWSERFNFVAAVRLGDGAHAAAQAALPYEDADGLTKYFAVGDSRGRVFVFSAAGDLLLELSAGDSPAPPSPVTALLAYLSPRRADCLLFAGHADGSIAAHRLTEASPHGDDWLTLTATSSRLLVRGLDAAPVLHLEAHHAGRSRYVLSSDAGRRIRVFTENGTLYGTAIAASTPLAFVKQRLLFLTDAGAASLDLRSMTVRETPCEGLAEALNGSRPKSYSFDPAERFKAYGFTDAGDLVHVLLLGDVANLKCRVRAVKKAEVDGPVAIQTIKGYLLVASQDKIMVYNTSSQYYGRVGAPRPLFSTAIRDIKSMFAGSSAAMSAVMKPLIAADREKLVILGLGDGYIGIYRSNFPVYKPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPEETFNTSVSAPTGSILNHPASERAFADSTTRASDRSYADGAARAGDRSYVDATTRSTDRGYADTTRAGDLRGGALRTAPRRYVSPTRYAGAAGIPYRPVSSEPGLRATPELKYRGPGMEPPGFPKKRDALFLNNQAVVDDHVE >cds.KYUSt_chr6.32155 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203036372:203038988:1 gene:KYUSg_chr6.32155 transcript:KYUSt_chr6.32155 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRIASILQASRISFLTGELRPYPSDLSASNVLLDDVGGARLCDLGSACEATFSAAVAPARRGAAAAVGSPGYADPFFLRTGIVSKKSDVYSFGVLLLEVITGSPAAGTSEGGGEYLTARVLPRVRATGVAGLVDSRLGDCYDTVEASDIARIALECVAPQPGLRPMMAQNPGYRWRCHPGTAALAVEPSSAVADAASESSSGVAEIAVAAAAAAASESVVAESAVASAAYESAAAAANEPVAAASESVVVVAAADSASSWSSSSPRGSCVATRRRGTCSGASP >cds.KYUSt_chr1.8489 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52167243:52170338:1 gene:KYUSg_chr1.8489 transcript:KYUSt_chr1.8489 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGCGDLSPTSPSSSSEHLPPGFADYVPVLSPYDGDSDGYSVCDDPEAEALLYGSRLQVQDRSLLEAKELIRRYNPGYWIEGVSGTKAEDYVLPDITTLLLVGPRGAGKSTLVNRITRVFDKDDDHFAPERAQVSYDKPAVVVTHGDRLSFEQRSHVQNALAETLDIPIQQIFDIPGSDDYRTDMAVLDMLRYCIQCAEQNFPLKLNYLLEV >cds.KYUSt_chr5.36503 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230692610:230698507:-1 gene:KYUSg_chr5.36503 transcript:KYUSt_chr5.36503 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLELFITACVPVLNMLLVTGVGSFLATDFAGILGKEARKHLNYVVFYVFNPCLVSTYLAKTITMESMAKLWFMPVNVLFTFIFGLIFGWIVIKVTGAPPKLRGLILGCCSAGNLGNIFLIIIPALCKEKGSPFGAPDICQTYGLAYSSLSLAIGAVFLWTGAYNIIRANSEVTEGDGNSPTTQTKALVSGSTTGVVTEENYPILRDHVDECALPLISNTTTKTKVPLSERAKRIVSSVSSTVDLKKLFAPSTISVIVGFIIGGTPLLRNAMIGKSAPLRVLRESAELIGGGAIPSVTLIMGGNLITGLRGAASVPRSVIAGVVAVRYILLPLLGTVLVKAAVRFGIIQPDPLYQFILLLQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTKQKKNDALQPHVEVKNDAVQPHVEVENDGSKKGAIVVHDEYNVIGSFTQLLTAPGCDDDSLYDGNLF >cds.KYUSt_chr2.31330 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193413660:193414247:-1 gene:KYUSg_chr2.31330 transcript:KYUSt_chr2.31330 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSGNDLKPLLEKLLQAFDDEDDRDLLHRTELDHIFRILRANKDKITSRPPDVEKKEELPELLRKIDEALQQCKARSKQPQQSDNAKSKKMTLPSVSDCNPFKSRSLDFSVEPLLQQTITILGDAPSTSAPAADHDAAGEDTVLYEWTTSYVDEDRIYGWANEADKVVDALVGPQEEEGKEDQLLFRAAGAEL >cds.KYUSt_chr7.34981 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218569982:218571744:-1 gene:KYUSg_chr7.34981 transcript:KYUSt_chr7.34981 gene_biotype:protein_coding transcript_biotype:protein_coding METHEGDVALFQEIWNCPYAMETLPSYAEDIDGGGSPSVSMLSEVAASRKITIVGGSIPEKASGQLFNTCCVIGPNGAILGKHRKLHLFGINIPGDICFRESDTFTAGQEPTVVDTDVGRIGIGICHDIRFPELAMLYRARGAHLVCYPSAFNMSTGQLLWDLMQKSRAIDNQLFVATCSPARDPNPHSEYMIWGHSSLIGPFGEVLAAAGHEETTVVGEIDLSLIEAVRENIPLEMQRRGDLYRLVDVQKEYYAS >cds.KYUSt_chr3.37661 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236928685:236932876:1 gene:KYUSg_chr3.37661 transcript:KYUSt_chr3.37661 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATAFFFLLLPLWVQDATAADLVLTRSDFPRDFVFGAGTSAYQYEGAVDEDGRSPSIWDTFTHAGKIADKSTGDVAADGYHKYMEDVMLMYETGLEAYRFSISWSRLIPNGRGAVNPKGLKFYNNLINELVNHGIQIHITLHQLDLPQILEDEYGGWLSPRIVILEPLVFGDYPEVMRKNVGSRLPPFTKDQSELIRGSLDFIGINYYYSLYVNDRPLGTGVRDYNADMSIYYRGSPTDPPVGKGAPKNVPSDPKGLQHVLEYLKEAYGNLPIYVQENGMGSADDNLYDTDRIGYLSSHMESTLDAVRNGADVRGYFAWSFMDVFEFLSGYQSKYGMYHVDFADERRPRRARLSARWYSAFLKKKAGTSTVLSRMQHQNSELDAMS >cds.KYUSt_chr6.11551 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71766798:71769269:-1 gene:KYUSg_chr6.11551 transcript:KYUSt_chr6.11551 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAQFINAGYHVVAKQALNVGVNRVVFCVFRDLLALSVLVPIAFFRHRGSPAQARPPPVTRRLLLSFFLLGLTGIFGNQLLFLVGLGYTNPTYAAAIQPSVPVFTFILALVMGTETARLGTHEGRAKVGGTIVCVLGAVLMVLYRGAAVFGGSELDLDVNRVITEMLQPEPVSSWFVAFGLEKWHIGVLCLIGNCLCMATYLALQAPILVKYPCSLSLTAYSYFFGALLMVISGVFSTTNKEDWTLTQSEFAAAVYAGVVASALNYGILTWSNKILGPAMVALYNPLQPVLSALLSVLFLGSPVYFGSIIGGLLIVSGLYLVTWARRTEKLNGTGISYVKVAAEPHEDASQVVKGRNLSPRSSISLSRVWNVPHES >cds.KYUSt_chr4.28043 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176166793:176168229:1 gene:KYUSg_chr4.28043 transcript:KYUSt_chr4.28043 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGSPYSYAHLERLLQNHFSAPRCLFQVHALLLTSGALSASHASAAAAFPYNCLIHAHLRLPATSSTPLCAPLRLFSAMLAAGARPNGHTFPSLLRSASASGAATTALHAQCLRRGLTTDRFVACSLVSSYGRAGRLPHDARKVFEEMGSPDLASANSMLDVLCIAGDLPSAREFFERMAERDVVSWTTLISGLSRNGCHWDAVEAFRGLLADNNSNRARLGEATLVSVLSACASLDGAEGLAAGMSVHAYVVRHGVHLTAFLGTALINVYGKYGKLGCCKTAFQLVCDKEVCTWNALLSALANHGKEAQALVSFNMMLVGGFLPNHITFLALLTGCARAGLVEVGLYWFEAMMAEYQVAPTMAHYGCVVDLLGRAGRFMDAVKTIERMPFMPDASVWGALLGASKLHGNVELVAEIGRKLVDLGPRQSDRYVTIRNIYLEDGNWHAATRMGEVMHEAGIKKTAGQSSVVFHSTAIP >cds.KYUSt_chr2.28556 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175254658:175259398:-1 gene:KYUSg_chr2.28556 transcript:KYUSt_chr2.28556 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFKRLQHDPPAGISGAPYDNDIMHWNAVIFGPDDTPWDGGTFKLSMQFAEDYPNKPPVVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMYSENKREYNRKVREIVEHSWTAD >cds.KYUSt_chr6.18947 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119244809:119247041:-1 gene:KYUSg_chr6.18947 transcript:KYUSt_chr6.18947 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLQAAPRLSLRLAPCEPRGRMLPPWRLVLSPSSCRLYTLISRQLPICNAQSYADDLLVASAQSTTTARSRLIAAEREEAKAVLSLFLRQKGLRSAVAARIANKSDGFVEHLISKLQIAYRSRYAEGRELSTPEIRDALLPYLEALSKEHGDSLVEVVENFPDPFAAERESLSYSMILTPTSSNKQKAVARVSTPTSEGALPELVLYLLDLGMDHEEIKNVVRKFPAFAYYNVDRKIKPLVELLLELGVQRSSIPGIIRKRPQLCGISLTDNLKPMMSYMENIGVNQAQWSKVICRFPAFLTYSRAKVEITVSYLTELGVSKENIGKILTRCPHLMSYSVNDNLRPTAEYFRSIGADAASLIQKCPQAFGLNVESKLKPITQFFLDREFSIEEIGIMVNRFGIIHTLSLQENLLPKYEYFLTLGYPRNELVKFPQYFGYSLELRIKPRYARMTGCGVRLILNQMLSISDTRFEEILQKKSGGF >cds.KYUSt_chr4.38734 pep primary_assembly:MPB_Lper_Kyuss_1697:4:239145419:239145931:1 gene:KYUSg_chr4.38734 transcript:KYUSt_chr4.38734 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRFPAAALAAAFRPYSRSGGSAAATAAGKASKPPTAPLDTPRNAGAGAGASSGRAEVREVAAACGMQEDERVPLSEMVLDCTRRWFQDALKEARAGDAAMQVLVGQMYRSGYGVNKNEQKSVQIPG >cds.KYUSt_chr1.27435 pep primary_assembly:MPB_Lper_Kyuss_1697:1:165397949:165398803:-1 gene:KYUSg_chr1.27435 transcript:KYUSt_chr1.27435 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSRSGSGRVAQRAGGGGGTLPVSPRVSSSQRRWWAASSSNPSLDRAARAFCLASAALALSCLLYLYAFRHDPARGQAVTAFSTTSTSPHPHHRPETCDVFDGRWVPAPTYPLYNSSECAFAERGFDCLANGRPDTAYLRWRWRPRGCDVPRFAARAALERLRGRRVVFVGDSMSRTQWESFICMLMPGVDDPTSVYEVNGNQITKVIRFLGVRFDAFDLTVEFFRSVFLVQQSPPPKHSPKRVKSTLRLDKMDNISRKWANADVLIFNTGHWWTPTKLFNT >cds.KYUSt_chr1.19700 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115802868:115803200:-1 gene:KYUSg_chr1.19700 transcript:KYUSt_chr1.19700 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAVRELLGRVWNPSCFADIFRLLHAQRGQSKRVLGMACAALLWTLWNLRNKFSIDGVFPRQPADALYKMSMYLQVWKLVARKKDHEAVEWAVTRIRTLHSTIRDRDSV >cds.KYUSt_chr1.40064 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245412063:245412575:1 gene:KYUSg_chr1.40064 transcript:KYUSt_chr1.40064 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGKGALSDHERRRVEVKAPGIIERKSMHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILN >cds.KYUSt_contig_7354.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001483.1:87880:99325:-1 gene:KYUSg_contig_7354.13 transcript:KYUSt_contig_7354.13 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVACAVRAVEGFRANALVRRAGGETMPDKEDKTHQHHHHRHHNKQQPASAADLEEGELQLNGDTRDMERTMPPKKWRKLLPASPAPELEPGEIVAPPPPSRKARRNGDLDKPEAPPARHRKDTPDKVTPLEKKRDRDHSRSSSAKKGSSRDSDEEPGEIKPEASCSGSARKSHAHEPASSHRKHQPETCNQSGSKSRRKGEPKTSSSSAGKHYSARNHDISPPIRDRHDRFERSPGILGRFPHDRVRHDRSPGRSERSPRDRGRHHDNRDRSPYISPLHRARQPHHRDSTPIRMDSSPRGRTQHEDTRDRTPLRRDRSSSERARTTDSHETSKKSTDSNESSKKSRPAKLESNNNLEDVQHRNKSTKQSTKSKGGSNGKSEERISKEKVTESIQPAELPPPPPLPPPLPPPPPPPPPLPPTMPPPSPPPPVPDQLNDVVAEDVSMEEDMDICDTPPHTSQAPQLSTEPTTTIMGKWFYLDQLGVEQGPSKLSDLKKLVEDGYLLSDHLIKHADSNRWVTVENAASPLVSSDFPSVHFNLSTQKVSPPGGRGNLLGQVREEATLLASGAEDEQEEASEEHMEDLYIENRVEALMCGSVLVDGRELEILGEALDARFEPVDWERSGHREDFPRFQVQPAGDDGINRGIGFTDNCVTDIYGVGPVEKEKLYHDVESSEWFSGGWSCKGGDWKRNDEFSQDKPYRKKLVLNEGYSLCQMPKGNHEDPRWHCKDDLYYPVPAKKLDLPLWAFSSTEENTDTIDDASKSAVIPGRSSQRQPPKGVKGMMLPVVKINARVVKDQSSVEPCIKSRGADRSLSRSSRSHSIGTDRSSVHEGLSHSKRHNDYDSHSLHKSKSVPNIPEDHVCTLEELSVKLGDWYYLDGTGHEHGPFSYSELQKLVKKGTILERSSVFRKIDNTWLPVVKDIKFDSAVRNGGPGSVSTSSLVDQSNVVVNHGAGNFHELHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLDPWIAAKQPKKEIETFFSNNSASRKFFPEDAGSAKRARLLPNQSDEDIDIYEDILASKKDDWCFEDLCPEGALVEENSANSIAETESWGLLDGHVLARIFHFLRADVKSLISSAATCRLWNTTAKYYRNTCRFVDLSSVGLQCTDSVFRDIMAGYEKQNIKTLILVGCSNLSPLALGELLVQLPHISYVHIQGCSQLGDMKSRFQHIKWIRSSLDPEEPAQKIKSLKQIDDGNNYPSEVARNLTSQLGGSDELDGYFADISNRENANLSFGQGFYKRSKWLDARKSSAVMSKDAQLRRLMQRKAENSYRKMEEFVMNRLREIMKSSRFDFFIPKVAKIEGRLKSGYYARHGFSSLKNDIRSMCRDALRFKGRSDLGDMKQIVLSFVKLANRLGNPRLISEGDGAAAQKDISDTSQYSSDKKLKKKQSKTTGERRGANWTTASAGADASSRAFDREIKRNLSKLKKRDVDSGSETSDDDDGYSEGDETESETTVSDTESDLDLNSAAWDLKVNGMKLFESGDSVTDDRGWGARMTKASLVPPVTRKYEVIEKYLIVADEEEVQRKMRVALPDDYSEKLLSQKNGTENLEIPEVKDYQRRKAPDDEVLEQEVYGIDPYTHNLLHDIMPADGWSSADKNTFIEELLLNTLNKQVRDFTGSGNTPMVYPLKPVIEEIQKSAEESGDRRNAKMCYGMLKAMRSRPEHNYVAYRKGLGVVCNKKGGFGVDDFVIEFFGEVYPSWRWYEKQDGIKHIQNNSEDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGQYQIGVYTVRPIAEGEEITFDYNSVTESKEEHEASVCLCGSQVCRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACEANTVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLVRFIFSERQKLPNEIFKHNVEEKRQFFTDINMDSEKNDAEVQAEGVLNSRLQNLTHTLDKVRYVMRCIFGDAKNAPPPLVRLTGRSLVSAIWKGEGSLVDELLQSIEPHVEEDVLADLKEKIRVHDPSDSEDIEGDIRNSLLWLRDELRSLSCTYKCRHDAAADLIHMYAYTKCFFRVRDYKTVKSPPVHISPLDLGPKYADKLGPGFQEYSKTYPENYCLAQLIYWYSQNAEPESRLTRARKGCMSLPDVSSFYVKSVKPTQERVYGTRTVRFMLSRMEKQAQRPWPKDRIWVFKNDPKFFGTPMMDGVLNNSSLDKEMVHWLKTRSNVFLG >cds.KYUSt_chr3.37785 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237650127:237652871:-1 gene:KYUSg_chr3.37785 transcript:KYUSt_chr3.37785 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSASRSDRWADMVEEEEASDSSSSRRSYSDVVHDGSPSPQREGAVDSAPVGASGSARTPPVRRLASVVTRPERSWRAPSGPGWRAPGQQQKRQRFLGPLPSYNVPDGVPAELAGLCFNCGEPGHVAAACLGKTKCLRCKGVDHVARQCTVVLPPRGPPPPPARRPSLPPRRADLPPPPPPPRVVPQGSWAGSSPPAVEQRQTPARERLGARGTPPVEARREQPPVPVQRLTPARERLGPRGDPSASSPPTDLRFRPVDELRREGRSWDTPLRMAGSAAAASSEHRAAADGGAERCIIYRSQEVEEAEQALRWSLVAYVSGTRRPVSCGAARDAIAELFPASEGHASVHRFWPADFLVVFDSRARRDEVLNAGAGVLDAADFSLRFSPWNRQLQGTRRVFSYRVHAEVVGVPAIAWSLATAKTIFGSSAWVERLGTETASRADMGCFRVTVWTDDPARLPRSKQLWLAEPLEFDEEDEDLLLPVAALVPQEVALLEYNASIHLMRVEDVGAVGGRHPRGEDRRDHGDAGAGGSAGAPPGGHGPGASSPPSRWPGAGPGADAQRPAGRWGGGRERRVALGRTTEISPWPVVAVSQEPSMGRSPQLQPHGVGATVVVPLVSSSRSVDDIASPAAPPAPVRSPSGVVADSQEASCTRSSPSLQTRPAGNGVDLGPVLWSDVDGDSVASSPSGSRCSSVSWDTPLDFEVGPPGKTNSEGMSMFAVEISPDSYLQRPGREMTSAGQASLLAVDTPLVDEGRALDVDPLTPLGAHPVTPTPVQPACSAPAASASTDLGMGLAAFRERCRIKKLQPLLPQPAPRKPRKKRQPPSVVRRSTRVAGRFAPGSSIKVQQKTLMLQLGIAREGETIGVDTLQAYLDYFQKKPLTDEDLSACLALFGWVPSALPLAGDEDILVV >cds.KYUSt_chr3.12709 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76098925:76099490:1 gene:KYUSg_chr3.12709 transcript:KYUSt_chr3.12709 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKADHTRGDQFPGSGNDPIKDALPQILHYFAKDDPAEYNSTTGCLNVYSKLGMHHNLLLQEALEKLQDRYPGITIVYGDLFSPMMEMVELPAKFRKHLTTECLYIRRFQMMLGDDGANVCKKPFACLFWDDVHLMPWTPLQQRAVSKILEADQPDYPSRADYSIQ >cds.KYUSt_chr3.38891 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244972044:244976576:1 gene:KYUSg_chr3.38891 transcript:KYUSt_chr3.38891 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRAALRRAIASASAAAASCPETKSSGSDSGEENMSKKDLALHQAIDQITSSFGKGAIMWLGRTEGHREVPVVSTGSFSLDMALGIGGLPKGRVVEVYGPEASGKTTLALHVIAEAQKHASGGYCAFVDAEHALDPSLAEAIGVDTSNLLLSQPDSAEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQIRATVQTFGFGGPKEVTSGGNALKFYASVRLNIRRVGLVKKGEETIGSQVSVKIVKNKHAPPFRTAQFDLEFGKGICRSSELFELGLKHKLIKKTGGAYYSFNEQQFQGKDNLKYFLTANESVAKELEAELRRLIEAEPPKKHEAEDDLLDDFPEEIVRPETSSEEDLAAVIEA >cds.KYUSt_chr3.2374 pep primary_assembly:MPB_Lper_Kyuss_1697:3:13527070:13527755:1 gene:KYUSg_chr3.2374 transcript:KYUSt_chr3.2374 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLRNFRHDGALFFIDLARTRRGNPSDCMLLCAMLCIRRWPGHYCGNYQCSWLPGYKPIEPQGGHVGWRPPPPRPKRPCRSSYPDYGSEYAQVPPPAAALNDGQETQGGVATLYGGDRQGRKPPSPWRGPGYGHR >cds.KYUSt_chr3.11818 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70415463:70416383:-1 gene:KYUSg_chr3.11818 transcript:KYUSt_chr3.11818 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHRHGLGTATDPNPNTRRSSSRSSLYGIWGFLARALSVNEDDDNCGHGIIVLFVAPGGYDFSSAFPSQYIGFVNSTSNGAATNHIFGVELDTDQNNEFRDIDGNHVGINVDGLTSVASASAGYFRGDDDKDGGVFVWGNGEAASASGATARRRRRLGHLTEHPKGVGVQRRQRRGGVGIRASGRGVSRRGGVGEARRGGVGKAASARRPR >cds.KYUSt_contig_1991.97 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000237.1:536198:540636:1 gene:KYUSg_contig_1991.97 transcript:KYUSt_contig_1991.97 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRIALLAVAAMAALSAASAATYNVGEPAGAWDLSTDYGSWVSSKKFHPGDTIVFKYSSQAHDVLEVSKADYDSCSASSPITTLKTGNDEVALNATGTRYFICGIPGHCTTTGTGQMKIAIDVVEGSSPSPPAPASGPGASSSPPPPAPSAATSVRATAGLGLVVLGSDDNLKVDFLGIDACWIAVYVDVAPVTDVATPRPSSAAPPIVDATPWPKSPPSGPSTLTHATRDKVNSFLSILDLVDTLNGMLPHVGMLYVIRYTSHRGPERRNTHGARKRGKGRGAIHHGAKKKKKKKKKKKKKKKKKKKKKKEEEEEARGETGQAGPRPGLTGPMTGQAGPRPDLTGLPTGLVRFRPDFPPVPSGSMSRGPESPARSPPGPESGLDRMARSQARSDRPLDRISRV >cds.KYUSt_chr3.32248 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202547822:202548839:-1 gene:KYUSg_chr3.32248 transcript:KYUSt_chr3.32248 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRPLLLPPPASDDDAHGHVRVRRAATSRGGGAASHGSSDGELAARRTRPPPRETRYGDPDAEGGRGGGDGSGNGSESSLSAGDEDEDGTVIWSSQFMAYF >cds.KYUSt_chr2.41395 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257422586:257424943:-1 gene:KYUSg_chr2.41395 transcript:KYUSt_chr2.41395 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVNLSTIVDWLPRVNMLLTLKVLRLPFCQLRSSPGSLQLSNLTSLEILDLRCNQFHKRIMPNWFWDLTSLKYLDISGNGFHGPFPDGIGNMTSIVELHLSDNNFVGMIPPSMKKLCNLKRLDSYKNNINGSVTELFHRLPSCSGNKLQALVLPDNNLTGSLPTALVKSLSNLSWLDLSNNKLTGHVPPWIGESLSNLSWLDLSNNELTGHVPLSIGELTKLRQLVLDSNNLDGIMHEGHFSGLDMLEQLILSDNSIAITVSPTWVPPFSLALIHLRSCQLGPNFPTWLRWQTQVVSLDVSNTSIHDMLPDWFWIAASSVEYLNIRNNQITGVLPSTMEFMRGIEMDFSSNQLGGPIPKLPIGLISLDLSRNYVVGPLPLDFGAPRLRTLLLYDNMISGAIPYSLCKLQSLQLLDLSRNNLNGSITDCLGNQSSTNITGLSIVNLSLSNNNFSGEFPLLVQKCPGLILLDLGHNQFSGTLPAWIGENLSSLSFLRLRSNMFYGHIPDELTKLVNLQYLDLSGNNISGTIPRSIVNCTGMIQTRDYSYDLQYAFTSKKFYGENKLVDYTENLPVLTKGQERLYTGEIVYMVNLDLSCNSLTGEIPAEISTLFTLKSLNLSWNNFNRKIPEHIGALMQVESLDLSHNDLYGEIPSSLSALTSLSRLNLSYNNLSGRIPSGNQLQTLEDQESIYIGNPGLCGPPLSWKCSSQTEPTPEHHEYASDDAISFFFGTGFGYVMGLWVVFCTFLFKREWRVLWYALWDRLYDRAFVQVAVTWTSLRDKIIG >cds.KYUSt_chr2.14765 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93013323:93014636:1 gene:KYUSg_chr2.14765 transcript:KYUSt_chr2.14765 gene_biotype:protein_coding transcript_biotype:protein_coding MSESQETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVARVAKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNRVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQVKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYALKHSVSGEIIIKHLNKEQEADQSNFRDSETNSELEVQEKISLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDEASDDEGLYEDSD >cds.KYUSt_chr1.11131 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68140362:68147366:1 gene:KYUSg_chr1.11131 transcript:KYUSt_chr1.11131 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRVPLLCNKDNPYTIKIALRKINVNFLLHSSAVRHEWNLMLPIGRGGQRGEAVRAHRLAWRPSGSIHGVTVIVPEEKSDVFDSLRSILPSFHITALHRAVGHLFDRLPMCWKGVKNSRRLLNLRLLLPPPISFYCKSPSYMAPFPSGIMSPVVDLFVRELWKPIKKHFGYCLKPESKVRKLAKAADDLKENIDLVKEKIKLGELEGKKPRVQATRWIDDSAKLVEDESYRIKNTYDGRSTHIFGCSWNCFFNYRISSAATKKKADADEFKESTPKNDSIFSLLPPVGRELPLPPNIMGQNRYMKEIVACIKQGTTSFIGICGMGGAGKTTLLKQLNNIFSCAAETHEFDYIIYVEVGQQQDLDTVRQNVASQLGLVIGKDESTTFRSSSLYNFLKERKFLLLIDDLWQTLDLVQIGIPQGGRLIGPQNRQMIVITTRLQHLCHRMQVHGQLIMLQRLEFEEAWNLFDANAGCNRVTNSSAQIRVYAKSIVNMCGGLPLALKIVGQAMASKESEHEWKHAMILLRRSQFHKVPDAESNLFSLLYISYENLPDERTKHCFLFFVLAGYDHYSYVPYAINLWIGHGFLDEDDDIRNNYLRGHSVVGCLKRACLLEEHPRGENYVRMHDIIRGLALWIVQMQQGDRHNNKWQVRLCGEPMEPEEWSTAQRISLTGMNPINIPDSCSCSCLLTLSVPRSKIIGSVPTGFFRTAPSLTYLDLSQTNIQELPSDVGALLNLQHLDLSHTPIRAIRMELQLLKSLRYLYLGHTRRLKTIPDGTISSLSKLRVLDLYNSGLFSVDRTQAYIEELESLVWLQSLGFTVDDSESLHRLLNFSNNSLKFLNVQGVEGLQFLHISPSLIGIMRAHQLEKLMFRGMKSLEELLIGETIVASDWNFQSLDDLILVHLPKLESIVWKGVVPHVCLPTLRFLVMYGCHSIKTLTWIKELPCLKEIYLIDCGSMLELVADDEEANTSTAAHSFPRLKLLGLSQLGNLQNICGGTLSFPCFQRLLVYKCPMLLKLPSRLLTEERLSLILGRQDWWEQLCWEDSSVESTLFPFFRELPASFRGNFVDVGNALIM >cds.KYUSt_chr7.11065 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68004808:68005671:1 gene:KYUSg_chr7.11065 transcript:KYUSt_chr7.11065 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDAVSMKAAQEQLEGNLAVALNMAVEKGVNRRTAQRAQRGVRVYASRMEVDLAVSILAATRVPRALRISANPMVVAKDARTPTVRRVPREALHSAKAMEEENAVQPKVAQKVCMEVHNHVLSMEAARGACLKDAPRALEAVLIAVSVMVGARDAYMLAVTRARREAPIFARLTEGANVAHGAVQGPALKLAALLVTVLPEARKACVFITTRFWMMTVSMVVKHWVLSVLLQTVPLIVRTTLQTPKLAGTAFSCFQWRLLLVMSRYLFPRAESMAVTSQQCLLMA >cds.KYUSt_chr4.16484 pep primary_assembly:MPB_Lper_Kyuss_1697:4:102443590:102447545:-1 gene:KYUSg_chr4.16484 transcript:KYUSt_chr4.16484 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRDLAEEREALGADECIALTLNPQEFLLPIEVPINDMENTHKHMYFAVVTWEQYLAMEDLAGARVNHKWWGYREAKQTQERSGADLKTKRHIQDNQKIHVNTWNITGSLCRRRRYMVDPVDYQRITGEPLPMPRIVILQWMRCPKMNRLLRAAMLNNEPEPERPRGMDYRGPLPPLLPPVQPQDEGEPQGEGNPGGNNGQQQQQQLELLTGTAALTFDGLMGTEDMSFVKCKLESLVINHHKLEEQLAAISTRYASFHELIEKAPHVIDEICSFKDNLNFLAMKHNLIKEQVDTISTGLSSIEGAVECVSLKQKKLEEKMDTIWSWFLSSEELVEKAPQVVSEVFSLKGEVECVSLKQKKLEEKIDTIWSWFEENYKVLSEENDNVKEEVLALKENCKVLSEENDNLMEEVISLKENYMVLSEENENLKEVVLSLKKVLPPSMGNANLIGVSVKKAVSIIGMRKAKGKNKEEGLALCKLNTSIKKDILGLKKMVSLLWKANVVFSTVVPGNVFEREVVHGEGGVRTTVGAP >cds.KYUSt_chr2.48782 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305204720:305208691:1 gene:KYUSg_chr2.48782 transcript:KYUSt_chr2.48782 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLPTSAAMSLPSVRLPLRPSPPATTTPLSRRGPVLRSLAPPSPALALLDPLVSAAPASSSYRSALLLHRRRRHALPDATEAVTITPAPIPKVTKQYQEWDSLTAKFAGGANLPFLLLQLPQIILNSRNLLAGNKAALFAVPWLGMLTGLLGNLSLMSYFAKKRETEAVIVQTLGSISTYIVIVQLAMADSMPMPQFLATSAVVAAGLLLNLLNYLGWLPGTLWQLWEDFMTIGGLAVLPQVMWSTFVPFIPNSVLPGIICGSLAVAAVVMARMGKLSEGGTKLVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIEGLSSFSMLLAMIGNGLMIPRAVFIRDLMWFTGSIWASILQGWGNLACMYCCDSISREFFFATTFGLFLWLGFTFWRDTGAYGNSSPVTSLKELIFGK >cds.KYUSt_chr2.52509 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327614317:327617270:1 gene:KYUSg_chr2.52509 transcript:KYUSt_chr2.52509 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNWKKKRKRKGRGGDPAAASFDRDVFPILLAAVAPAALPSPRASSSSSAAARLLRRLLSRSPRAPPLSPLPASLVALLPLLLSSSSHSVAALSCEAMGAAALQSMEAGELLASDGGVAGGLARALGSGSRRVAEAACNAAMDLSASPVGRESLSGSPVLPRLLYLFSQVESISGVVGSRSTKCEARVSEPNKWLYLITDTVVLMVNSCKVDKLRNLQPELVRKVMHLLYEVWSKVRLLGSSADCSNRKDQLQSRPYEIAEAIFRLSIDRACPGGLEPDEVRKSLFGQKESDLEKFVLMYWESSPYMYRRKQSGLEGDPVYTALRNAFDLTTPDAIIESFIQGLVSCPAIASDELNINSFLHEVRDSLGAPVKYRQDVRVVRTRDQTSTGSGMEEHFFDDGIAFPDAAAFVDKCKDAVKNGFSIALRGMEFRSEKVAAIASALADLFGQPSVGANVYFSPPRSQGLARHYDDHCVLVWQLLGCKKWKIWPNTRSILPRLYEPFHSLDDLVDDIGVRVEVLHEGDIMYVPRGCVHEAHTDVDDGESEVNASANYSLHLTLAIEVEPPFEWEGFAHIALHCWLEEQTLRCSSGSLNSKVNEQAPLFALLLHLAIRLLSDNDPSLRKACMVAAKLPSSNNSCSTSHSNSLRSHHMSTFIEILNKIDNTCNLKEVLRLVELAVKGKTDEPFQWMSWLRHLQVQQHGDTVAHKIDFCDVLGPFKELLDMFGSDPEQASAEFTDFKSRFCRCDGYDDACKSFEMLLHMYRTSRTQYTKGMLALHGRHRN >cds.KYUSt_chr3.19739 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121486691:121488744:-1 gene:KYUSg_chr3.19739 transcript:KYUSt_chr3.19739 gene_biotype:protein_coding transcript_biotype:protein_coding MWFRSLLLVLAAAVAAAEPASTLTGPSQPVTVPLLEDRGHAMDLPDTDPRVQRRVTGWAPEQVTVALSAAPTSAWVSWITGDSQMGGAVKPLDPSTVGSVVRYGLAADSLVREATGEALVYSQLYPFEGLQNYTSGIIHHVRLQGLEPATKYYYQCGDPAIPGATSAVHAFRTMPAVGPRSYPGRIAVVGDLGLTYNTTSTVEHMASNQPDLILLVGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSTTPMMVVEGNHEEEPQAGNRTFVAYSSRFAFPSHESGSFSPFYYSFDAGGIHFVMLAAYADYSKSGEQYRWLERDLAKVDRSVTPWLVAGWHAPWYSSYKAHYREAECMRVAMEELLYSYGLDIAFTGHVHAYERSNRVFNYTLDPCGAVHISVGDGGNREKMATTHADDPGRCPDPLSTPDPFMGGFCAFNFTSGPAAGRFCWDRQPDYSAYREASFGHGILEVKNETHALWRWHRNQDMYNGVGDEIYIVREPHTCLLNSTRPASY >cds.KYUSt_contig_97-2.152 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:693270:694781:1 gene:KYUSg_contig_97-2.152 transcript:KYUSt_contig_97-2.152 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKPKSAFWAAILKEYKLEDTVKSKEQSTTPTTALQHASPLQLSVQTTSINAGEAVFCDAAWNPDPSPQQARAGIGIFIQMGNDNQHCKNLHVAALSPPASSPLQAEAFGLLLATKLAELLQLQEPQFYTDCLVLASAAATEDITKAPGHWYIRPLLAEIQRSNSFQASRTQHIHRSSNVKAHHQARLALKIQSRSLLIRCLCSSSSLCPTKDISVFNVAPFTLLSVKCA >cds.KYUSt_chr6.20277 pep primary_assembly:MPB_Lper_Kyuss_1697:6:127749605:127750003:1 gene:KYUSg_chr6.20277 transcript:KYUSt_chr6.20277 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNGVKVTYIETQFVTSDAASFKSLVQRLTGKSAEVPEPARQLHRPRPCRPGTDGRSAIAGAPSYSMSIAAGSASTAPDEVRTATTASNAVAGASQPCLDEMDGLCDYSDLSYVVDPGEWRHGGSFSDLLY >cds.KYUSt_chr5.41262 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260424156:260436577:1 gene:KYUSg_chr5.41262 transcript:KYUSt_chr5.41262 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPCDCFLHHASAPPSPPLNPPSNLAPSAHSASRRDKCLTFQTNAVSAPLRTTAVNTNPSPSVVPPRVNTTTTRQSTVQGRRSNRAPAGYGGSIPAMLDALDRCRDVGEALWPWRDTLSSRERTILLKEQRDWRRAMQIFHWFHGERCQELNAIHYNVVLCAVGRARRWDLVVGLWRQMRSCGVAPDNATYGTLIGVYCRGGRARAALLWLADMCKRGLAPDEVTMSTVLHAHKMAGEYEEAEVFFRRWSSESDARCGLYTYNTLIDTYGKAGQLEKVSDMFNQMLREGVAPSVVTFNTLIHVWGKHRRMEHVASLVRMMEEFHCLPDTRTYNILIWLYRESNDIDAAEHYFCKMKAEKLVPDVVSCRTLLYGYSTRGMFAKAEALIKEMDESGLAIDEYTQSAVTRMYVNAGLLEQSWRWFERFRNQMDSECFAANIDAFGEKGHIVLAEKAFICCVERKMLSVSVCNVMIKAYGLAEKLDEACEIAAGMERYGVLPDYLTYSSLIQLLSTAKLPEKALCYFRKMQAAKMPIDCVPYSVVITSFANDHNLHMVECLFREMVTLGVHADAYVYSTLIDTYAELGNVQKAAAFFGLVTKAGLCENASIYNSLIKLYTKAGYIAEAQETYKLLKSLDSDGNLYASNCMIGLYSDHCMVNEAREIFEGLKVRGSANEFSYAMMVCLYKKVARYDEAHRISKEMQALGLLTLALSYNSVIQMYVSAGKVEEAVKIFQKMLASSTPPNDATFKALKVILLKGGSCIEIAKLESLRRSHGQDCLNQWNRALSLVLKGITEAVSPEQISVDSGSSLICHSDDPCAVLCKESTHVILKMKAFPFRKGSLYALSDTMVAISSTPSDRLLEHKMMDAPAQPRHICFLLKYDIVLRNKCVQFGEKGHIVLAEKAFICCVERKMLSVSVCNVMIKAYGLAEKLDEACEIAAGMERYGVLPDYLTYSSLIQLLSTAKLPEKALYYFRKMQAAKMPIDCVPYSVVITSFAKDGNLHMVECLFREMVTSGVHADAYVYSTLIDTYAELGNVHKAAAFFGLATKAGLCENTSIYNSLIKLYTKAGYIAEAQETYKLLKSLDSDGNLYASNCMIGLYSDHCMVNEAREVFECLKVKGSANEFSYAMMVCLYKKVARYDEAHRISKEMQALGLLTLALSYNSVIQMYVSAGKVEEAVKIFQKMLASSTPPNDATFKALKVILLKGVSCIEIAKLESLRRSHTQDFLNQWNRALSLVVSDDPCAVLCKETTHVILKMKAFPFRKGSLYALSDTMVAISSTPSDRLLEHKMMDAPAQPSNDIRTENIAYPSVFRGSTIIRANSLVRIREDGKFCRRGGDELKLLVVKPWEGSKEQGQKHGYADTMHPLLGEDQESHRDNLAY >cds.KYUSt_chr4.28490 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178908017:178910060:1 gene:KYUSg_chr4.28490 transcript:KYUSt_chr4.28490 gene_biotype:protein_coding transcript_biotype:protein_coding MILLPKGPPDVILPENVEFDFNDVFGAPAVHTPTEASILTPDSPAHFAESGEEVYNDPVVITKRSHSLVGPTLLVSQSLPLSKLTLHESESSSDLLECLSKDKQSNQEALSDEELSNTKESEAVGLDDFEVLKLVGQGAFGKVYQVKKKGTSDIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYQQGLFKEELARTYTAEIVSAVAHLHANGIMHRDLKPENILLDARGHAMLTDFGLAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFFGGKRDKIQQKIVKDKMKLPHYLSSEVHSLLKGLLHKEAGKRLGSGPGGSDEIKNHKWFKEVNWKRLEARQIQPSFCPNVAGQNCTENFDKCWTSMPVLDSPVASPVSADSNFVGFSFVRPAPFFQKPSPLG >cds.KYUSt_chr4.15901 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98369004:98373079:-1 gene:KYUSg_chr4.15901 transcript:KYUSt_chr4.15901 gene_biotype:protein_coding transcript_biotype:protein_coding MGDREEPDIRMQKPVAVFTAPGAPCSADVITAFFSVSMANTRPIDSHETIVPLLAEVRKFAVSAQETLALGLRHPRQPPLPLPRAIQAPPDAWTNLVAAA >cds.KYUSt_chr4.24407 pep primary_assembly:MPB_Lper_Kyuss_1697:4:153649637:153651846:1 gene:KYUSg_chr4.24407 transcript:KYUSt_chr4.24407 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRLRHRILVVPLPLHSPPPSAAGEHRATVVALDREEATAARVARPKRMRSYSYAAGMGLPDEIVVWDIFLRLPSKAILRCRAVCRSWRGITSAPDFLLAHHRRQPSLPLVALDATDSGLSLSDATAKCCPPILGFDDYDGWKLAASCDGLLLVSLSNARFSICNPATRQCAPLPGLTTVRYIHVAGLYPHGSSGDYRVLYWKRPEYYILSMQQRHSSRRIGVPTASPDMKMVMREEEGLISKTRDPPIMLRNCLHWKPAHYDDPNYAAGIVVFDTVTELFRLMPGPAAAATTASRRTSLHDMDGSIGLGCFDEESATAKIWVLEDYEKEVWSFKYQIELPMTAEFDNKYWVSGLQ >cds.KYUSt_chr3.8492 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49115531:49116346:-1 gene:KYUSg_chr3.8492 transcript:KYUSt_chr3.8492 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVNLSFCLSLISLRLSNNQLHGRIPVELGNMLTSLQRLSLKNNSLTGAIPVSLSNLSSLYQLDLAKNHLAGPIPSGFGNFQGLKFLDLYENNLSGVLPHSIYNLSLLKDFQVEVNMLFGTIPADIGSTFPSIEIPSFSDNRFSGAIPYLLSNLSALVKLGFAGNRFSGYVPPIFASLQGLTDLYLNANQLDADYMEGWEFITSLTNCSQLQRMVLGNNSSSGQVTTSITNLSTSLQNLYLGDNIIYGAIPFNIVNLVGLNILKMRILPY >cds.KYUSt_chr5.8025 pep primary_assembly:MPB_Lper_Kyuss_1697:5:50752584:50753636:1 gene:KYUSg_chr5.8025 transcript:KYUSt_chr5.8025 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQGLSTSSSSLGRPSGFIKPQDVPTIQGDVEDDAVEDSALKAPVEVIEAVSSAVIKLAVSGEAPPTTEAAPASSTTPRGASQPLVLVVPTDMDGDRSPSTNPAKLVLHHQPINNQKETADFTKPLAMTEQRAAVDAPEEKLAMKRWRRLFREQAAELDVVRYGGVKHFIAGIPEPPATDESDDNSDLPVAADVDGSVTQVMGTDQLTNLSSGAYAKLVIQFEEVTVATMGKRKAEDVSESCKRVCAGSVGDVGVCEGSIVHVIEEVGVGVGELQERVDESGGVEKAQVEEEKEATGLGAAGKLTGAAVVARQEP >cds.KYUSt_chr1.28727 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173676470:173677963:-1 gene:KYUSg_chr1.28727 transcript:KYUSt_chr1.28727 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLFLFSAHPKPPLLPSPNLRKLLCLRATSSAASTSSRPRPDRRTPGPARARQSLYARPSLLTMDRDRAARRADVDAYLASLGVDPGELAGLELPATVDVMRERVDFLRSLGLTPQDLAAYPLALGCSVRKNMVPVLDYLGNLGVRRDELPNLLRRYPQVLHASIVVDLAPVVKYLQGLDVRPGDVPRVLERYPDLLGFKLEGTISTSVAYLVAIGVARRRVGSVITRFPELLGMRVGKILKPFVEHLERIGLHRPAIARIIEDKPYVLGFGLEETVKPNIDALLEFGVRNESLPSIIINYPDVLGIELRDKLTAQQSLFEASILVTRDDFGRVIERMPQAISLGRAAVLKHVNFLTGCGFLLSQVSKMVVACPQLLALNMDIMRMNFDYFKNEMDRDLEELVEFPAFFTYGLESTIRYRHEIVAKKGFTCSLAWLLNCSDAKFDERMKYDTIGVEEMEDANSFDANRLAGRAQDVYDEDEDSDYDDDTDDEYIE >cds.KYUSt_chr4.25323 pep primary_assembly:MPB_Lper_Kyuss_1697:4:159127236:159130124:1 gene:KYUSg_chr4.25323 transcript:KYUSt_chr4.25323 gene_biotype:protein_coding transcript_biotype:protein_coding MIHESAVQNWISELRDAMYDADDIVDSARFEGSKLLRDHTSSSRKSNPCWDISFLSCFPGIQRRHEIAVKIRDLNKRIEKLSKHGNSFLHPGIAPSGQGSTSKQRLSSKIVQPNLVGKEIVHSSRKLVDLVLAQKGYKNYKLAIVGTGGVGKTTLAQKIYNDQKIKGNFNKHAWVCVSQECNEVNLLKEILRNIGVHQEQGESIAELQNKIAETIDGKSFFLVLDDVWKSNVWTDLLKIPLCSANTAVILVTTRDVRIAMNIHTEHTHKVDLMSEEVGWELLWKSMDIVAEKEVHNLTSTGIEIVRKCGYLPLAIKVIASVLASKNQTENEWQNILRLIGAWSESKLPDDIEGALYLSYNELPHHVRQCFLYCALYPEDAVIFRDDLIRLWVAEGFVEEQRGQLLEDTAEEYYYELIHRNLLEPDETSFDHAKCKVHDVLRHLACHLSREECFVGDPESLMVSSMSKMRRLTAIPKKDLLVLPSMDNGEFKVRTFQTDRQPWRVDNTVFMKFPYLRVLDLSDSLVQHIPDCVGSLIHLRLLDLDGTEISCLPESIGCLINLQILNLQRCKALHCLPLAITRLCNLRRLGLDDTPVNQVPEGIGRLKFINDLEGFPVGAGGDNGKTQDGWKLEELAHLSQLRQLDMIKLERATPYSTDSLLTEKKHLKVLNLFCTERTNEPYSEEDVSNIEKIFQQLIPPQNLEDLCISRFFGRRYPTWLGTTQVSSVMYLQLIDCNSCVHLPPIGQLPNLRYLKIGGAVAVTKIGPEFMGCRGANPRSTDAVVAFPKLESLIISDMPNWEEWSFVEEGDVDAAEEGEDGSAEIQKGEAPSPGMQLLPRLKRLELRGCPKLGSLPRQLAQEATSLKVLDIKGASSLKVVEDLPFLSESLLIQGCDRLERVSNLSQVGRLRINDCPSLRCVEGLGNLQQLWLDEDMKELSSLWIPQLQQQHKQLHGEDLDVYDW >cds.KYUSt_chr7.21266 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131636535:131637821:-1 gene:KYUSg_chr7.21266 transcript:KYUSt_chr7.21266 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPHEPVPELLARLSPQTGAKDAFGTSSNPMNVNADDFFKAANLDKPRMTNKVGSNVTLINVMQIGGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPENRFLSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKNTIDWLQAQFWENNHY >cds.KYUSt_chr6.8834 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54280979:54284146:1 gene:KYUSg_chr6.8834 transcript:KYUSt_chr6.8834 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVHRSLNLLTKGKIRHKIGVDIKGIKSRIKEISERRDRYKIDNIGSKPIGPTVDSLRLSALYNNAADLIGIEEKSEVLVQMLKDGDVLSEKQLKMVSIAGFGGLGKTTLANVVYQKLKPQFDCCAFVSVSLTPNMNKIFESMLNQLDKKNMNIVKDNWNQEQLIRELREFLQNKRYLIVIDDMWDKTAWKIVKRALIENEYGSRVLATTRNLDIAKEVGHVYKLESLSIVDSRKLFYQRIFGGEEKGIPRKLDQIATSILKKCGGIPLAIVTIASLLASKEGNTDAYEYWSMVYQSMGSGLQNSLDDLRNMMRILSVSYYDLPPHLKTCLLYLSLYPEDYKIETTILIWKWVGEGFVQKEHGKNPYKVGEHYLVELINRSLLQPAFIDSNKIVTSCRVHDMVRDLITSFSNDENFLTRLGDQQLVSVPSKFRRLSLQTSNEEVIQLPTESLSHVRSLTVANSAFSLLPTLKGFLVLRALDFSGCREVDDDHLKDICNLFHLRYLRLHQTSVKKIPREIGNLRFLEVLDMSDTELKEELPSTFVRLTQLVLLDMPKGIICAVPRLIPSLSSLSFLRIAVETLGEEDLQVLGSMPSLSDLDIWVNDPTKGRDGRLIIDNGYRFRCLTKLSIGGRTDDMELVFTQGAMQQLRTLKLCFRVRDIMRQYGGLGLENLSSLEHVCVQTISQDMAEDNAVSSAILKSLNMNPNKPTLEVKNILSELILQLKVQTY >cds.KYUSt_chr1.37954 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231710680:231711911:-1 gene:KYUSg_chr1.37954 transcript:KYUSt_chr1.37954 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLLAAVAASCATAQLQEKFYSKSCPSVEEVVRKEMVRALSLAPSLAAPLLRMHFHDCFGCDGSVLLDSANKTAEKDALPNQTLRGFDFVERVKAAVEKACPDTVSCADVLTLIARDASKGPFWEVPLGRRDGSVSISNETDALPPPTANITVLTQLFAAKNLDIKDLVVLSAAHTIGTSHCFSFSDRLYNFTGRVNPSDIDPTLEPFYMAKLKSKCASLNDNTTLVEMDPGSFKTFDLDYFKLVSKRRGLFHSDGALLTNAFTRAYIQRHATGAFKDEFFADFAVSMVKMGNANVLTGSQGEIRKKCSVVNH >cds.KYUSt_chr2.50224 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314106076:314110980:-1 gene:KYUSg_chr2.50224 transcript:KYUSt_chr2.50224 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRKYLQTSESTTCLPPSAKVGTARNDKVLLVYDRPGHVLDCVAEKISAYELSRPPVYLQAPTSLEGQGVRCLAYSFEIERHHAIKVCSRAVSTTQPQTTHRAYSIRFQSLVLQVGRQNRNAIFCRPLATTIYTPRLRFPEHTSLSPPPLPQLSAQQKQRSHGEALLFSSKSTMAASVASSFFFDDEPAGERGRAAMDACALCAKPLAREKDIFMYRGDTPFCSDECRHKQMRDDAVCERQAARRLRPYSSVPESHRGQRESGEVSVRS >cds.KYUSt_chr3.49197 pep primary_assembly:MPB_Lper_Kyuss_1697:3:307561473:307563982:-1 gene:KYUSg_chr3.49197 transcript:KYUSt_chr3.49197 gene_biotype:protein_coding transcript_biotype:protein_coding QQEDAHELLSCLLENLQKCTLDPKSIDGESIVEQVFGGQLKSLLTCHDCGHCSETFEPFLDISLEIDQVDDLVAALESFTKVEQLGDAENKLKCESCNSQVCKDKQLVLDTTPDVVAFQLKRFTVTPDGNIEKIDKRVAYPSLLDLHLFHTNPDKEGLKYDLYGVVKHSGLPNFGHYMCTIRSSPTSWHLMNDSLVDSITETSALNQEAYLLFYVRQGMFPWFSSFLQEANSSADSATKRMYPVNNDHNVFAFDSLVVEVEPRPSYTRAWTMLSGSGQLSSQLGPLAAAPRLPLLRASAESSKETIFTTTSAESSNNTGLELRVAATSSNTTIGSPPTRIELRRAVTTATARDR >cds.KYUSt_chr5.3873 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25111208:25114614:1 gene:KYUSg_chr5.3873 transcript:KYUSt_chr5.3873 gene_biotype:protein_coding transcript_biotype:protein_coding MLMATSLLLIPLMAVSSHAPSNDTDLAALLAFRSQLSDPLAVLKNNWTADVSFCSWIGVTCSRRHHGRVTALQLPDISLQGELSPHLGNLSFLHVLNLTNTALTGSMPADLGRLRRLRYLNLGHNSLSDIIPSTVGNLTMLQFLFLNFNQLSGEIPNELQNMRSLRALLLQYMPNGSLEAHLHTENREPLGFIERLDIMLGVSEALDYLHHHHCQVVLHCDLKPSNVLFDEDMTAHVADFGIAKLLLGDENSMVSASMPGTIGYMAPELAFMGRASRNSDVFSFGIMLLEVFTGKRPTDPTFVGESSLRRCILQAFPAKLIDILDEKLHQDERMNQAFRHQKIITSPSSSSIAHNGNFLVSTFKMGLECSRDSPDQRPSMGDVVTSLKNIKKDYSSFLVATRSVQQQH >cds.KYUSt_chr7.33335 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207994636:207996361:-1 gene:KYUSg_chr7.33335 transcript:KYUSt_chr7.33335 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCGAIVWRARAAARALPSILHRNYSRKEQRSPWVNPCRPRQLCSDGSTHKLTPPVDMLKQAKEQVHTPATLAYAVRRRDPELVGPAAHTPRETKHLSDLDDHEDSRTHMSLAFFYRGGQNGVDPAGLIRRTLGEALVPYYPLAGRLREVEGQKLVVDCTGEGVLFVEADADVRLAELEGVGLRPPFPCWDQLLFDVEGSSGMIDCPLLHIQVTRLLCGSFVFALRFNHTICDGIGIAQFMNAIAELARGLPSTTIAPVWSRELLKARDTPMPSLTHREFDVLLQPSPPADDMVMRSFTFGASDLAAIKKSLPPLLHDTTTTFEALAAFFWRARATALELPPGGNALLMVIANIRGVAEMSLPAGYYGNAIVPSTVMVDPAVLRGGSLGDVVALVRQAKAAVTSEYARSFIRGDQRYILSANMFVLSDARRLGFDRVDFGWGEPVYAGPADTHFGVSFFITGKDRDGEDRVVVPVVLPWLAMDRFAKEVEKLLNPVKPPLS >cds.KYUSt_chr2.52785 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329319374:329323451:-1 gene:KYUSg_chr2.52785 transcript:KYUSt_chr2.52785 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSSSSALARQTWELENNIPADPDAQDEIYRYDEKANARAHQDKPWATDPHHFRRARISALALLKMVVHARSGGTIEIMGLMQGKFDGDSIIVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQYTEPFLAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDEAVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQIFDLADKLEQAEGQLAHSRFGVLMPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSSKNKASTSADTSAPESPVPEPMVEA >cds.KYUSt_chr4.39224 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242079336:242089020:-1 gene:KYUSg_chr4.39224 transcript:KYUSt_chr4.39224 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGCPSRAPRIRLIKALGSRVYKENPSRNASCLTREYYIAVHVKDPPPIMRRIGSEYFMGRHGSDFLQANFDWFQVHDVTQVDWFQLLQCAKSDPKERRKEQIPNPRSTMAAAEEKWLMTSEEEKEKEEEAGARYSLCIVRDCACYRHRYRSPRTPRVAPGRDYHCMPAGADQSPTWSLLVGIMEGAVLRLKRLRVARSGLIFGRSDDALESFRDIDIPLPTGCCFSTCAALAPDGRSLCVLHGAYNEKPRALQLTLQPHTNTEEPATEGRRFPLELDLPEVEAASRSIPIYVGDHLWALSAIEKGPNFSVLMRRLVPGDGWQQVGHTITTPYVHGQFSLWGSWFLQGYAVLPDAALILVSFNQGGLFFTFAPDSGDWTRVPTDATRSPDYVPILGRGVYIEQDKAVYMIRHNTIYAYNLTFYQDDQGRQQLGQLDPPITIDSVCPFNSCQGYGFLTRLDPDRLMCSVWISLGSGPPCHCGNLHAIVTTFRLRHPSQGGIEVLHSSFRRVDMVPRPRQQEFCFLLDYEDKDSPVVLLQHDQGQEDLTSSQDIDRPSKMLECCRVGGETIGVTDSLEVFHQKESTDGGSTTWLPCKTDQSHVLRRKVVIFGYVQVTDHSFLLWDAVTGGHSPPKNDVHITIVQVKTKRTPSNGMKPPAFYLPSWVDLHNPWSLHPPHPLLHLLVVHQSCTTYGVRPSARLTRELLGDGILQPIDSVVVNSPEFKVSRVDVCYVVLIASLNPQDTFEAMGRKKGAATASTAASANGSKATAAAPKKTAPRAATAAARDAQ >cds.KYUSt_chr6.3544 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20439464:20443076:1 gene:KYUSg_chr6.3544 transcript:KYUSt_chr6.3544 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIAAGFAFAPAVSRHLPYRSATSTTYASVPSSSFSVSPVWSRAARRGRSKRGLVAVARYSSYGSDEDDDEEGGGGRNRAPEPEQDPALDIDRIQSSTVRLLDAKKDMVGVIPVSEAVRIADENDLILAILSLDGDPPVLRLFEEKEYKKHKYEQQKKKRVQQKRSVAKRMGIKELKMGYNIDIHDYSVRLRAAKKFLKAGDKLATEGSKNFAERNIYVILVPNKLAIQKEQDGLNKKVRAEGEVDQSEDESDADEAVIEQVEETSLDGDEPVIEELEESKEPETEVSANV >cds.KYUSt_chr3.47766 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299333046:299336858:1 gene:KYUSg_chr3.47766 transcript:KYUSt_chr3.47766 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLHLLRPPPHPLAAVSSSSWRRALPTAAPAATASRLLCSSQPAAAPSSPSIVAGLLDYLNESWTQFHATAEAKRQLLDAGFELLSENNDWDLQPGGRYFFTRNMSCLVAFAVGEKYRVGNGFNIIAAHTDSPCLKLKPRSASFKSGHQMINVHTYGGGLWHTWFDRDLTLAGRVILKAADGSFAHKLVKVSRPLIRVPTLAIHLDRTVNSDGFKPNLENHLAPLLATKYEDTTVNSDEKKGSNSSKIAHHPLLLQILSEEIGCEIDEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKMSEELSNEKAIRMVALFDNEEVGSDSMQGAGAPTMFHAMRRIVDSLMHQSMGEGALERAITSSFLVSADMAHALHPNYPDKHEEYHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARIHNLPVQEFVVRNDMGCGSTIGPILASGIGIRTVDCGIPQLSMHSVREMCGKEDIDTTYKHFKFFFEKFSDIDRKLSVDF >cds.KYUSt_contig_973.106 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:1264693:1268077:1 gene:KYUSg_contig_973.106 transcript:KYUSt_contig_973.106 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDRENMASLATLELSDVRLVGSIPESMAAMPRLRFNHNRLCGVKFDCGRMLGRPPVRNPAPLRLRFAGRVVLRQSIHELRRSASRRNYPVILRPGSLPMKWLIRRAGMSDGNCSGTTMEQTTPAAVIVDRDEKVVVSGYAWTVPWFHEDYYGPVGHESNHHADLYLP >cds.KYUSt_chr4.11662 pep primary_assembly:MPB_Lper_Kyuss_1697:4:70913620:70920665:1 gene:KYUSg_chr4.11662 transcript:KYUSt_chr4.11662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Homeobox protein [Source: Projected from Oryza sativa (Os03g0727000)] MEDIAQHFGLGASGHGHQHHHNQLPWGSSPLSAVVALPPPQQQQQSGGYLAHSPLSLNTAPSGGNHGGGNPVLQLANGSLLEACAKAAKEPSSSSSYGVDVDAIKAKIISHPHYSSLLAAYLDCQKASDSSTAGLLLVGAPPDVSARLTAVAQDLELRQRTALGNLGAATEPELDQFMEAYHEMLVKYREELTRPLQEAMEFLRRVETQVNSLSISGRSLRILSSGSSEEDQEGSGGETELPEIDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKDARQQLLSWWEMHYKWPYPSESQKVMLAESTGLDLKQINNWFINQRKRHWKPSDELQFVMMDSYHPHNAAFYMDGHFVNDGGLYRLG >cds.KYUSt_scaffold_3611.151 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:875222:879184:-1 gene:KYUSg_scaffold_3611.151 transcript:KYUSt_scaffold_3611.151 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLELTASPSSSVLVSPAPLLVFLLVIIAILRTPGLFVLLRVQRLQRRHNTPEVDGDPIVGVVALGVSIISAGVGVGVASVRRHVETELLVEQHRAAVVGLADEGDLVDPDGLIGSGVALCPQMAALGHQPRRKERSGPAKLWKDDGHVERPRPRPRPVPLLMSPKQEAAADKNQLPPPADGIEYVLLEESEGTILSGCGGGGAAAAAARRPSCTRLCQYKNFKEDHKKTLVATYLVGWGIDI >cds.KYUSt_chr5.1535 pep primary_assembly:MPB_Lper_Kyuss_1697:5:10582210:10585611:1 gene:KYUSg_chr5.1535 transcript:KYUSt_chr5.1535 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRNPKAFLMVIKAVIEATGYRFILFTAGYQPLDSAIRSADSLVAESSELDAHHSPALSGDSTLLFNSRLFCFSGSIPYSWLFPKCAAAIHHAGSGSTAAALFAGIPQVPCPFLLDQFYWAERLHWLGVAPEPLKRQHLIPDTDDAAIINKAADMLLGAIRSALSPEIKAQATRIANRLASEDGIGEALRILKEKVLPEHKVEPQRPSHQLTQAVLDLTTPGKQHYRAMPRHLGLHRAIAAAMPAASRHLAFLHDHGMIAAAVPAVAAFLAVCALALAMCASHSGAGEAAKERLRRALASVSRRRTDPVIVSIHQVQPGVGFGGDASPPPCVWQKGILMGGKCQLPDFSGVINYDPAGNMVAPGRPRAQPLPALGW >cds.KYUSt_chr6.11655 pep primary_assembly:MPB_Lper_Kyuss_1697:6:72515970:72519507:-1 gene:KYUSg_chr6.11655 transcript:KYUSt_chr6.11655 gene_biotype:protein_coding transcript_biotype:protein_coding MKMERGDKQLRLRWCSAIALALLAFGEADGFLVDINYVESAVAKGAVCLDGSPPAYHLAPGFGSGVNSWLVHFQGGAWCNNVTSCLQRSLISFGSSKKMSKQSDFTGILSNTPDYNPDFYNWNKVQVRYCDGSSYTGDKEEVDPALISGCSAGGLTSILHCDKFHQLLPVGANVKCLSDAGFFLDVEDIAGEDHAAAFFNDVAITHGSAMNLPSSCTSKMPAGMCFFPQNEVKHIKTPLFILNAAYDSWQVAHNLVPGDSDPHWQSCKNDIRQCSAKQLMTLQGRIQRPLPGSTGGTRELFHQRAVYKLMLRALPDRDTGEMRIANAVGDWFYDRSPFQTVDCPYPCDSTCPIS >cds.KYUSt_chr7.25326 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157928535:157929134:1 gene:KYUSg_chr7.25326 transcript:KYUSt_chr7.25326 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEVPLDLKSLKLYGNLVKLPQWIQGLQNLVKLNLRSTRLSEHDYSMEVLGNMPNLAILRLLEKSFQCEELHFKIEGFRNLTVLVFGNFGDIKRVKFDQGAMPKLEQIQVKNDWRVRKSGCSESEAVFVGLEFLPSIKQAWFNVTIILDFSPWDEQFKHISDEVFLKANNFEEHFRAWLADNRRNPVLKVGEPQSQD >cds.KYUSt_chr2.39358 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244028336:244046893:1 gene:KYUSg_chr2.39358 transcript:KYUSt_chr2.39358 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGLSGLRSLEGFRSLAGPTSTNGKPANPKPSSDAGGGTYGSFANLKMTAEKLVKEQASVKTDLEMTHTKLKKATEQINMLEAKLQQAVNENVKLKVKQTEDSKLWQGLDSKVSSTKTLCDQLTETLQQLASQAERAEEDKKFFEETLGKNSKALDEFNRSLHDTSAKLECAEQNIMSGKQEMLRIKQEKEEMDRSYKEQIYANDTTIREKDSLITQLEVSVEENKARLLSADSRLQCMEQDLKLKQDVCTCLKENLASAEKEKNDLELRNQGYILEVERLSKDNKDADALLRSFVAKVAELDREHASMSNHVSRLLSSFEKYYGMVQEEKMLITISAKDKLEHLQNQFVNLTSENSGLKLEIGELKSRIMELQKTQEIVMVQHVEECQVAEDKIRRLESEAAISASNVNRLEQLSSELQGRVQKLLEDSSLAENQKEELIQKTLKLESDNQELLGRVQSVLDEKSTDTESLQHEITKRDLQAETFEKQISELRSFLDEKEQLYISSTEREKSLEEQKLQVQASLAATECQLTEAKKQYDLMLVGKQIELSKHLKELSLRNDQAINDIRKKYELEKVEIISAEKEKAEKLIREMENKCNEKLLENKRESERCLARLKEEHGAVVARIQQDNEHKESTLRAYHKEELQRIRSQADNELKERLSSLRQEHQAQMNSVNVQHEEDCQKLQDELELQKSKEEKQRALLQLQWKVMGENQQVDQEVSSKQRRDPYVRKESQVQLAGPETKRKDLKLPGVIQSPISNTLRKVEKGTQDVPNHRKVTHHEYEVETANGRITKRRKTTKSTVMFGEPNTQKSLHNTVEKNVSRARKLTSVGADLQKHENAILDCKIHCCHRVEPMVLLPAQTSPEQPQSQAHTRRSSQTIAIDWSSPEPRRGTRVFYTLDNLASTPALDHRRPPALSDPLPRRRSTPFSPDGDDDCESFDHLLIQRSRLSDASTGDYQEEEMEYKWEFYQLGHGGELRFEKELEQLVDYLGHPYPEFFGIPLKAQLGEPPRWDVSTDLRRKLDAPVWETIWFSVTGNTWKEGLDKAMQEAMFRLCGQNEDKIKSTRFIYYPRHDSMGRLMTMPPPQPKMNPYEAPQDFRQYKTRRDLDNALASRQAPHP >cds.KYUSt_chr6.21569 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136033782:136042634:1 gene:KYUSg_chr6.21569 transcript:KYUSt_chr6.21569 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKNVLVVNGFGGGGLTVMEVWVMYHARYPAPPDMCLPSSGGWRMVINGVGVLPPAAGGTERWRDAIRTQRARLSAEERADPIWALTGNDDWWADFFQALVDLTNDSEAGPSGTVKDEPIDEPGERGKKDVPSRRRTPPIIMAAMNSELGGYAGRPPNAQPNPFESAMYGAGPGLIRTGLGAYGEKFLGSSSEFMQSNVYLISTKDSFIDNNILKLDKLNLTRLFQITQYLSDPQYYFQVNSQYVRNKLKVILFPFFHRGHWTRITEPVGGRLSYKPPIQDINAPDLYIPLMAFGTYIVIAGYALGVLGKFTPEALTLQFSRGLVGWFLQVVLIKGLLYSLGSGEAPLLDIVAYAGYGFAGTSLAMLARIFWSYLYYFIMPWFCLCTGVFLVKTMKRVLLGGPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >cds.KYUSt_chr2.5829 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36254685:36255635:1 gene:KYUSg_chr2.5829 transcript:KYUSt_chr2.5829 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSTFLEDDDRRIIGVSASSSSSHIVSLTSSTYGILTYTPTPSAPKSTPPPPPPPPPPSRSKPKPPADAPPEDEQPEAEVINSWELMAGLHDPTTPAKPKPAASPHRPYTKDPDFKPPRSIRFPLRPIDGNTPRPPPIPTPPPPQPRCPPGGAHCAVLYTTTLRALRDTFEACNAARAALHAHGVAFRERDLSMDRGFRAELRALLPLPVTLTLPRLFVRGRHVGGAAEVLRMDEEGALGPLLDGLPRARPGGRCCDGCGGMRFLPCFDCSGSRKVVVAAAAGAVVKGRRERGVLVRCGECNENGLVLCPICS >cds.KYUSt_chr7.23427 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145879274:145882569:-1 gene:KYUSg_chr7.23427 transcript:KYUSt_chr7.23427 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSILFAAQPAPLFQAPSSARPFHSLRLVSAPGGAAAAAARALVVADATKKAVAVLKGTSQVEGVVTLTQEDDGPTSVSVRITGLTPGKHGFHLHQFGDTTNGCISTGPHFNPDGLTHGAPEDEVRHAGDLGNIVANAEGVAETTIVDSQIPLSGPNAVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPLSAFAVVLSQAAYLLLLLVSLGWSSSNCSSSYSFAWPDSQAS >cds.KYUSt_chr7.4164 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24857843:24864017:1 gene:KYUSg_chr7.4164 transcript:KYUSt_chr7.4164 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIGFPFTGLKVVDGRPDYRIDSRTIKRGFRLGPYATPGWSDLPIDLLIRILHLLELPEALAFRAVCPSWRSASTAAGSVPPRRSPWLVSLAKEPLPSQDPQRHAWRRLWEPAAASELRSLLDTERTIKVSFPHGQVVALCGASHGWLITANKLSDLVLYKPFTAELVPLPPIIGFDSCIEGVYGDDEGKTLMGYRYGCYESGRVYNLQEVGWHFYDKVVLSGSPSAGRAFALAIYLDGRRLSFARVGDARWQQVSRIRRSDDGFADCVYHRRRFYAVTMRGRLKCLDFGGPGELWMETVIAKDDDIDGVITRYLVSTPWGRLLQLRVILDKHQVNNVRVEIDRLDMKSQKMVRLSLGKALRGHSAFVGQNNPGLLSPKEFPELKPDCIYFTTPRLTKHNVSDKRHNEWKGVKVYDLKKRTLDAAFTPGAGDHGAWCPFEVWFTPSR >cds.KYUSt_chr3.24561 pep primary_assembly:MPB_Lper_Kyuss_1697:3:152437813:152440972:1 gene:KYUSg_chr3.24561 transcript:KYUSt_chr3.24561 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRPPRFQPLAASISATSTSCATSARPSSSSPAAAAAICAAASPFTEATSSSRYRRDAWSYTAQDSSFSSPASSAAAASGRRDDEIALQLPELRRLLEALKASRGKGLEGAGAASGPGRVALVGTGPGDPDLLTLKAVRAIQDADLVLYDRLVSNEVLDLVGQGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVVPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGTDPLFVAENAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPNTPAVAVERGTTPQQRMVFATLKDLVDEVHSADLVSPTLIIIGKVVALSPFWVESSEQDALTNENSHKTEAKR >cds.KYUSt_chr3.47982 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300469230:300469643:1 gene:KYUSg_chr3.47982 transcript:KYUSt_chr3.47982 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGILEDIETVGDTILLVSAGACGFQFVKGVVSRSSKGARLAGGVQAVVTNKRRVGRWTAWSGVMTAIEVGLERARHVEGPLNLMVACGATNTLFSAHMGTRAAVVSGLKGAAYGGVAGVALHSIVRLLDSAVAN >cds.KYUSt_chr1.27940 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168483424:168483792:1 gene:KYUSg_chr1.27940 transcript:KYUSt_chr1.27940 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGHGIDAVVLGLVERGANVTVLSLSASPSPSQEMAQGCGISCCPQRGGVWRCRRPPFSVYIAVTVGYMIPSFSQGPPVVALVRGDAGEAVQHCVRQLLQLQAETREKQQIMLHSRLASVQ >cds.KYUSt_chr4.48690 pep primary_assembly:MPB_Lper_Kyuss_1697:4:301553970:301554671:1 gene:KYUSg_chr4.48690 transcript:KYUSt_chr4.48690 gene_biotype:protein_coding transcript_biotype:protein_coding MILESYSHEYKCKFNRYRTSLFKVLPSSSPSSILRSDSAFYLSSSTASFTQFTKLAHSKGDTGGDAGLLFHGSSTSSDKAVVSSKAVVEELYSAVERGDADTVRRLLNPDVDWWFHGPRAHQHLVLMRLLTGGAGAAPGIPFIVRTVDAFGSTVLAEGTDATGLLYWVHAWTVGPGGRVTEVREYCNTVLLVTRLGRAAEETTAYSQPPSQHVWQSRLPDHARRKLPALVLAI >cds.KYUSt_chr3.40691 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256705858:256710397:-1 gene:KYUSg_chr3.40691 transcript:KYUSt_chr3.40691 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCRDVALSPCLIARASTPSRSRTSLTPRDPDTEILAVPVSRDDLAEKVPRWEKGSSSGSEHHPVLWVAFVRKYLGHFTLALYDMEVKRMYPPLLDDYMCTPDLVEIMSITLLCRRVALGCGPAANPERNVVVAAA >cds.KYUSt_chr3.47251 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296431926:296434764:-1 gene:KYUSg_chr3.47251 transcript:KYUSt_chr3.47251 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAPIAGDHPHQLLGVVSCPAAVQVLLQVAPESTAGKQVATQRELVEGFAVLEPPVDAEEAAERADDVAARQPPPREDRTPPGYLELTNQDFGYPYNLDFDFSVLAQFQNFSINNLGDPFIESNYGVHSRQFEVAVLDWFARLWDLQQDEYWGYITNCGTEGNLHGLLVGRELFPDGIIYASHASHYSIFKAARMYRVECVKIDTLVSGEMNCADFKSKLLQNPGKPAIVNVNIGTTVKGAIDDLDRIIRTLEKCGLKDRFYIHCDGALAGLMMPFIKQAPKVTFKKPIGSVSVSGHKFIGCPVPCGIVITRLEHVKVLSTDIEYLSSRDATIMGSRNGHAPMFLWYTLNKKGYRGIRKEVQKCLRNAHYLANRLKEMGVSASLNALSNTVVFERPRDEAFVHKWQLACEGSIAHVVVMPNVSIEKLDGFVEELVAKRSSWHEGKEFRAPCVAKDIGAENCLCGQHNKKSRIG >cds.KYUSt_chr6.31317 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198150390:198151463:1 gene:KYUSg_chr6.31317 transcript:KYUSt_chr6.31317 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDAFASYVQNMLTEMASEEVHMLLGVRDEIDKMDAKLRDLKNFLADADRRNITDKTMQEWVAELKRAMYEAADILDLCQLKAMEQGQSTVDVGCFNPLLFCMRNPAHAHNIGTRIKELNKKLGTIKERGDAFNFINLGSYEDRNSRVHASHSGIHSRETYGELDRLGVVGEKIEEDTRAIVDIMLTEKEGNANIMVVAIVGVGGICKTTLAQKIFNDEIVNAEFDKTIWLSVNQNFDKVELIKTTITLAGGEYGGGTALAVLHPILTATMKGKKLFIVMDDVWIPTACDDVLGSHLANVVARGSRILVTTRDERVARGMKAMLPYHRVDKLKEDDGWSLIKNQVLDKTQSIFQNS >cds.KYUSt_chr3.26516 pep primary_assembly:MPB_Lper_Kyuss_1697:3:165144797:165147082:1 gene:KYUSg_chr3.26516 transcript:KYUSt_chr3.26516 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHTFDHTTPSTPRKMGTFFFLIILALITIPPCSYASAQSTLSTGSSFSVEEYRQTFLTSPNADFSCGFYEVGGNAFSFSIWFTATVEKTVVWSANPKSPVNGHGSKVLLNHNGNLVLTDVNGTVTWDSGTSSGEGTTVSLLDTGNLIVKDSTSKILWESFSSPTDTLLPLQLLRKGTRLVSSYYSLYFDNDNVLRLMYDGPDISSIYWPSADYSVFANGRTTYNSSRIAVLDTEGFFLSSDGLNAKASDWGAGVKRRLTIGYDGNIRIYSLNALTGSWIVSWEAITEMCSVHGICGQNGLCEYMPNLRCSCSPGYEMTDPHNWNRGCRPQFNKSCSETEQFDFIKVPQSDCYGFDLTYNQSVSLAQSAAAARLGISPHAPSPPPPLLHQLRPPALRAAASEPPVRCFLASRRLFSPFPAASRRPPASQRPARRPLLRPPAGLLAGRCSGRPPSEPPVRLQAARPSVRLQAARLLVLQAARMSFCSRSIWRSI >cds.KYUSt_chr7.38810 pep primary_assembly:MPB_Lper_Kyuss_1697:7:241557527:241559142:1 gene:KYUSg_chr7.38810 transcript:KYUSt_chr7.38810 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSAAAAVAFLWALALLAAAASAARLPGRAAEGAQPRGGGGPTATAVFALGSFWRSEAAFGCLPGVVRTSVGYAGGSKANPEYRNLADHAECVKVEYNPRLIQYKQLLDVFWASHDPREVFGQGPDVGNQYRSVIFTNGTLEARLAGLSKEREQGKDRSSVITTEIHPVGAFYPAEPEHQKFELKRKPFLVQLIGNLPEEELQSSTLAAKLNAYAADLCPPKTQKRISSKIDEIAKKGWPILRDI >cds.KYUSt_chr1.7624 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46818216:46818569:1 gene:KYUSg_chr1.7624 transcript:KYUSt_chr1.7624 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAQRLPPSNLNRRASVQSSAARHQAQRPAHHCSSRGPLALSEEDPRRASHRDTPQEAGAPSASQDTSHAANTENPEEEPLPELKFPRRRLQEGYDVKDAVVARFGMPNLRFSPGT >cds.KYUSt_contig_1991.48 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000237.1:278691:280592:-1 gene:KYUSg_contig_1991.48 transcript:KYUSt_contig_1991.48 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLVAEDQRRPADIREELRSYSQVFIVLIKSRAHWMSASRLSPDKCVLEEDKFYRILGPNLDVLNGTFLHYLHGVESLIKARAPWISCSRESAIPVLHRKLTPSLEE >cds.KYUSt_chr2.51555 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322137885:322142384:1 gene:KYUSg_chr2.51555 transcript:KYUSt_chr2.51555 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLGVPQGEAEVHDVYGLDSDALAMVPQPVLAVIFCFPDPPEVGWPEKGNFVAFQTESRRKRESQESVAAYTGELHPSIDLTPVARESETPRVRNVIVESTKAYSLVLDAFPWYQSLESGHILLSDSDVRMIISQLQTREDA >cds.KYUSt_chr1.7 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59936:60283:1 gene:KYUSg_chr1.7 transcript:KYUSt_chr1.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAVSLLSGRAAWLCRHPVTAAATLIRRHPETRISAVDARRYRCMARRIPPTRPDGYSTSDGEDDEDLEPAAGADGEEQEDEDDEELEGFTLDLKTGTIEDAFEDDDEEEDHK >cds.KYUSt_chr3.8939 pep primary_assembly:MPB_Lper_Kyuss_1697:3:52138907:52141924:1 gene:KYUSg_chr3.8939 transcript:KYUSt_chr3.8939 gene_biotype:protein_coding transcript_biotype:protein_coding MENYERLEKLGEGAAGVVYKARDRRTGAIVAMKRLRPAGRDYGQLSEDLGRCRSACINPREYVVIRPWFHVGAGISGVAPHYIPPPSTFNVLLDSYWFD >cds.KYUSt_chr4.53625 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331759281:331759928:1 gene:KYUSg_chr4.53625 transcript:KYUSt_chr4.53625 gene_biotype:protein_coding transcript_biotype:protein_coding MLITGWLFGVAAFTTLKDAAWRLAPSRDGVEDAIHHDGRFYSVTYSGAVEVWEHDADGGVFTSATVTPGPAGAGWTHPGLCRRYLVAAPGGRFMVVLKTDRYNRSRRTSSFKVHVLDGAQWKETDDIGDTALFVGANESLCMSTTVHPELKAGCVYFTEDHLGQASLWKDAPDYGDDGQRGLGVFCLKDGTMEKVEGQGWHRSWPPPAWFTPSIP >cds.KYUSt_chr4.23258 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146496803:146498719:-1 gene:KYUSg_chr4.23258 transcript:KYUSt_chr4.23258 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFVEKPAGHELFGPPRLISTAPKHSAVAAPLQSQPHRQHAPPKTLVFVHTAALPAAAAMDTRVPPVPMDPATAAKFRRRRQDPEDVEGLVARVLSRAHYVLPDPPAAVDARLSALLPHDSVDRLSLLPDVLLANIVSRIPIKEAARTAALSRHWRGVWRSAPLVLVDSQILPQGTEVTRADARRITSAVSGVFAAHPGPFRCVHLTSSCMEDFHGLLTTWLQTLAVKGIQELVLVNRPWPLDLVLPATFLGMTTLTRLYLGFWKFPDTAGVPRTTCFPNLRELGLCTLLMESKDLDFMLDRSPVLETLCIQGNLSNLRLRLVSQSIRCVQFINSSCQEIAVVHAPCLERLIQSGGWTRDGVCTKTKVKIGHAPKLHLFGYLVAGNHVLEVDNAVIKAETKASPSTMVPSVRMLALEFGCGVPNDVKMIPAILRCFPNSRGKDQSSGKLNLRFWNECGTIECIRSCIKMLVLDGYRGDRSELAFLKFFLGSALVLKKVAIVWANNVFSSMEELNSKMEPLRSMKKASADCKTLVTGRKNPDGGNISSFKRASDFSLGDPFSNF >cds.KYUSt_chr4.36531 pep primary_assembly:MPB_Lper_Kyuss_1697:4:224481593:224482654:-1 gene:KYUSg_chr4.36531 transcript:KYUSt_chr4.36531 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQFVNLVLDNLKGGSFTIHRIKSSNLFHPKNQNGRPLPLTSLVEAKLPKPVCMLGLSIRKENGGEFMFTPFGSSRDKILIADQDGIVQINDICQKRLSNKPTLKEWNPYSVAITLGDDLYLMDRCPQVPDRFWPHQPCFQALIHGEPPTDVRGLPGWYWHSLPMPPYVETSGYEPSCGSQIVSSTVVRDSIWVSATGGIGTYSFNKVSREWSKVGSWELPFSGDAQYVPELDRWLGFSCGRDNQFLCASDLSALAADGAVPTVCRVWDEDIATNPKNWALLRSDLVCLGSGRFCISREFHVYDSYPFLKENFAVFTGLELQHSDTAEDGIQVVKHKSIRYNFDGKLLQLVC >cds.KYUSt_chr2.32052 pep primary_assembly:MPB_Lper_Kyuss_1697:2:197658843:197659067:1 gene:KYUSg_chr2.32052 transcript:KYUSt_chr2.32052 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSQAAEFVISKVDGLMNWAHRGSIWPMTFGLACCAVEMMHAGAARYDFDRFGVIFRPSPRQSGYMIIAGTL >cds.KYUSt_chr2.43160 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268631424:268632050:1 gene:KYUSg_chr2.43160 transcript:KYUSt_chr2.43160 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDLEAGKTTAQVPVVALAQRNNNGGSVIVYVVMIAISLSILLGFVVHVITTPKQILLPTFSVNVDGSDGRQMHTPASTVSPAFNLTLHGASSGSPQSRRLCVDGGSVAVSYAGAVLAWGRVPGFCVAPHEHKDVRMVALGTEVGLSDELRQRMASELAPELDVDIMLRGPAALGRERRLLSCRVAMGSAPSRPSPCRVFVYLVSQ >cds.KYUSt_chr2.42113 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262246071:262249187:1 gene:KYUSg_chr2.42113 transcript:KYUSt_chr2.42113 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRSAARRLTTAPVRRAAFSSAAAPAPANGREGVIAAAAVAAAGSGLGLWLMPPGFADSGDASAGQISPAESAGAGTSAVEERHERRRRFLLGDSYRRRVFFNYEKRIRLLSPPEKIFEYFSSVRKPDGELFMLPADLMRAVVPVFPPSESNIVREGRLRGERDAGELHCAPSKFFALFDTNTDGLISFAEYIFFVTLLSIPESNFSAAFKMFDIDLSGEIDKEEFKKVMALMRSFNRQGAAHRDGLRTGFKVGQSVENGGLVEYFFGNDGNEPLHFDKFTSFMKELHEEVIRLEFSHYDVKSVNTIPAKDFALSMVASADMNHISKLLDRADTLGNDPYLKDVRVTFEEFKAFADLRRRLEPLTMAIFAYGQVNGMLTKQDLQRAAQHVCGVELTDRVVDIIFHVFDTNRDGHLSSEEFLRALQRREADVHQPARRGPVGWLKSSWLPGMLL >cds.KYUSt_chr1.19880 pep primary_assembly:MPB_Lper_Kyuss_1697:1:117134816:117140764:1 gene:KYUSg_chr1.19880 transcript:KYUSt_chr1.19880 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKGIPTQTVLKWHWRSESQLSSHLLANVPPQIELSDYRRLPSSASESPSRLLHGEELKAELIPDLDIFFERLYEYFCAKGLRFIIIKWIIEILNVTFVVEHFFKHRMNNSTVYSLDYFKQFPTPLVSIVAKFISFVSGGLAGILLILGFLGESILEGHVFGRNLFWYTIVFGTIATVSRRVVTDELQVIDPEGAMSFVVQQTHYMPKRWRGKESSELVRSEFETLFQYTITMLLEEMASILITPYLLIFVVPKRVDDILLFISNFTVYVDGVGDVCSLSLFDFKRHGNMNYGSPIGAAKSMRSSQGKMEKSLLSFQSTYITWEPNADGKKFLCNLQKFKEMQIRQHTFQTVEASKAGSTAMGQSAQIFYRLLSRNIHPSNGVIYNGPLGLLDTYQRACPYILDWYYTYQLPQSDREDHASAHPEEASPEEDICPPWSKPLADIEEEQPWDSNLYERARSHLETSTSSAFFQGTTFKHQVKAQQYTSRQWWAQSLARPTDPLGSFFDPAEGSFLEPKNFTNPYESGHYSSHHSDWPMSCAQPRGPQGSILEPPNFGNHSTPDHHSSHRSEDLSSDGSGELDQRNTRSNSGWRSSQALSKTLYTDNDFDIEQRPSFKFADVPQVHDCEGQDQGNDHGAADVYGSTPASLTVRIIPRSSDPI >cds.KYUSt_chr2.37243 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230560535:230561620:1 gene:KYUSg_chr2.37243 transcript:KYUSt_chr2.37243 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQIFRWLASYLRNEGLILDSRLKVEEKLAFFLYMLSHNASFEDLQVEFQHSGSTFHTYIKEFFNIIPTLASRFVRPPNIAEPHPTIAMDDRFFPYFQNCIGAIDGSHVPVSLTSESQAPWRNRKGSLSQNVMFACDFNMNVTFISCGWEGSATDARVLSSAMLKGFQVPPGKFYLVDGGYANTQFFLAPYRGVRYHLKEWGHGHRRPQNHRELFNLRHAIMRNHVERLLGILKKRFPILNVASFHQLENQVKIPAAAAIIHNIIKMHDGDEDWLDNEEEDNIDPRTYVNLPNDGDNVQENNFLENNIQGNNLRDQIAWQMWLDYQQQQE >cds.KYUSt_chr4.21024 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132463330:132464082:-1 gene:KYUSg_chr4.21024 transcript:KYUSt_chr4.21024 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQTTMGVRKLQAVVSLHQISASLVRPRRQHRRSRPPRHRLLSPGLAISDQGVLLRLVNRRGRSPPGSRRLLQAVLGIATSGSGRCCMGRPEFLPVVQALLHGHGAPGVATGGSGPCYTGRPELLPAKAGPCYLWRPTLLLAEVGFCYLRSPELLSAEAGVVTLGVRRCYLGRLVLLRWATGVASLVGRRCCVGRTAWQRMVIVDAAYAMRLSSPTTVNAARGASKGASKADRYLLQCRRLLQGPAGDR >cds.KYUSt_chr7.29204 pep primary_assembly:MPB_Lper_Kyuss_1697:7:181752148:181752405:1 gene:KYUSg_chr7.29204 transcript:KYUSt_chr7.29204 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGETDESILDDLSPLPDDISPMGSAEYEAWCRDTEAAFEADDQSSSPKQVFATIAGMDEEEVESDQTPSEGIPNPTVPGALP >cds.KYUSt_contig_2008.320 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:1810670:1816686:1 gene:KYUSg_contig_2008.320 transcript:KYUSt_contig_2008.320 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPETKGLRSPNGLDTKIDVMDFMVLDDDGEGIIDVKEYAKGILHPSPDADGGHCPMDVDLKGIPSMEDQGEVKSSSNPPAQVPTDISIESLEKFCKEAARSFFNERGLVSHQINSYNDFVDHGLQELFDSLGEITVEPDYDPSKNVGGVWRHATIKFGRVKLERPEFWADNCDLDEQTLIMKPVHARLQKMTYSSKMNVEMTVQVYSLGQGDGSKTGKEPYIPKKVILPSETKWVTVGRLPVMVKSKLCWLHELRETECQFDSGGYFIIKGMEKAFIAEEQKCLSKIWVSDFKGWYACYLSQIKEEKVYVNLVQSKENGGFHKVINLSFLSATIPIWIMFFALGVSSDKEAFDMIDIQDCDSSLVNIISATIKESHAQCEAFRIGDRARQYVDKFIKETKSPPEQPFDGYVGKYLFPGVSGNRSKAIFLGYMMKRLLMAYCRRWECDNKYDFRNKRLDLACQLLHRELRGHLKRAEKHMVKVMQRHLSGDSDLQVLEHYVNASTITNGLNHAFSTGSWCHPYKYCKCSGIVATLMRTNPLQMMSGLRKTRQLSSYWGSAGDARYPNPSYWGRLCFMSTADGESGFGKNLAVSAVVSSVNSAPLLDLFVSCGMKKLDEILVQELGGTDKIFMNGNLVGACAYPSEFVMHLRNMRRSKQIDAQVEIKRDKQHKEVRIFSDAGRILRPLLVVENLKCITKLNAGSYSLMELMQQNIIELIGAEEEEDIQCACGIRDLFSGDKKEGLLYYTHCELDPSFLLGLSCGIIPFANHNAAKRVLMEAKLSQQAIGYSSTNSQYRVDTVFHQMYYPQRPLFKTVVSDCLGKKDHARPEYFNGQNAIVSVSVHQGFNQEDSLVFNRASLERGMFRTLHFRSYKAQTQNKEVTRRLKNREKIDFGKTQSKKGLVDSLDVDGLPYVGANLRSGDIVIGKVSESGEDHSVKLMHTEKGHVEKVVLSANDDGNNYAVVTLRQARSPCVGDKFASMHGQKGVVGLLDSQENFPFTCQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIALGGMTRYATPFTTPSVDVITEQLHKAGFSRWGGESVLNGQNGDRMQSLVFMGPTFYHRLKQMSEDIVKFRNTGPVHPLTRQPVEQKKRFGGLKFGEMERDCLLGHGATTNINERLFTLSDPSQLHVCQRCERVANVIVRTDEGRKVHGPYCKFCKSAENIVKINVPYGAKLLYQELFSHGIILKFETIAS >cds.KYUSt_chr5.29212 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185104428:185106368:-1 gene:KYUSg_chr5.29212 transcript:KYUSt_chr5.29212 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPRPSPRLLPLLAVAAILLLLGPGGGVEARQPPPLHGVRPMAFEEGYTQIFGSANLALRGEGRRVHLSLDEATGAGFASQDLFLHGFFSAAVKLPADYAAGVVVAFYLTNGDRYEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTGAGREERYDLPFDPTDDFHHYSILWTKHRIIFYVDETPIREVARTEAMGAAFPSKPMSLYATIWDGSAWATLGGRYRVDYKYAPFVAQFGDLVMQGCPVSNNNPAAAAPASCGTPWYEAAASLSGEQRRAMAAFRRGHMSYSYCHDRRRYPVALSECDAARLRRLFGPDGMRFGRRGARGRRSDVAM >cds.KYUSt_chr5.32258 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204676939:204677610:1 gene:KYUSg_chr5.32258 transcript:KYUSt_chr5.32258 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPQANEAEVEQELLSLEASISSSATIGTMCDGLRRLGDIYNGVEEIIGLPSNQVGKMLNGEMDLCRIMQEIFVEMKAIIQELQAALRKGDDAAVQANIQSYTRLAKKAKKLFKKTTKKATYAGCRMLMLFTKAREISVSLLESSVHLLSKEIDTPKQSIVSKVFHKKKAVVCEEDQLQELECSIGDLENAAGHLFRKLVHIRVSLLNILSS >cds.KYUSt_chr1.36106 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220081662:220086087:1 gene:KYUSg_chr1.36106 transcript:KYUSt_chr1.36106 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSPRLSKWAMVLSIVITIVFVLLLAASPGTACECELHPIESLPHRPLLNGGTHEHEPAPPRRRHPSRTNLRAPRAAPPLLTPHSFPISIPSSRDSRICHPLPFPRRIAATASRRRAAVPLGESFPPPSPAQAPPVVRARMDAGGRDANPLAGYRIGKTLGIGSFGKVKIAEHIITGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPLARDLIPRMLVVDPMKRITIREIREHSWFKARLPRYLAVPPPDTAQQVKKLDDETLNDVIKMGFDKNQLTESLQKRLQNEATVAYYLLLDNKLRTTSGYLGAEYQESMDSSFSQVSPETPSSASEARQFGSPAFGLRQHFAAERKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWNPGFVESMMHNNHGFGSESAIIETDDLSERSAHIVKFEIQHLVKCEVTVKMYIMIIILQ >cds.KYUSt_chr7.11615 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71395723:71396322:1 gene:KYUSg_chr7.11615 transcript:KYUSt_chr7.11615 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRQSEWIVLRRVHAFLKSRKLHRAAYALEKEARLKLDLPHLHKLFAKGRWRAADEYVTAFMGAKEETSTPAASATLFVVRFERFVRALRRGDEAWAMRYFGRAVEPLLDSHPDRTAARAECIRVLELDDESLRRSYPDDDRHRRERETEFIRRVYENESISRAFNDVFDGNLRFMRRTTSLGLRHHVRRGPPCDLR >cds.KYUSt_contig_528.768 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:4608499:4611220:-1 gene:KYUSg_contig_528.768 transcript:KYUSt_contig_528.768 gene_biotype:protein_coding transcript_biotype:protein_coding MECTKIFTLCCIAVESFKVLTMFEVAVLSFLCLFNGQRFMCQKEVELRLLEEETTKRVEQAIRKQVEDSMNSEEIKHEIQRRIDEGRKRIHGEVAAQIEKEKVSALVEAQQKAEHEKKEREELERKLEEDRKKAEEAQMKVAMEQQQKELERYQELERLQKEREEAMKQKQMEEQQQKQNQMKLLGKNKSRPKLSFAFGTK >cds.KYUSt_chr4.13467 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83037235:83039727:-1 gene:KYUSg_chr4.13467 transcript:KYUSt_chr4.13467 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCLLPSTPPSCSGRGLSHPPRPPGFLATPLLSPSCCSSWPQLRLEGGRRRRLPGVARVGGEKSGKDGGADFFREDGVVDDMDGYLNYLSLEYDSVWDTKPAWCQPWTILLSGAIAVAGSWLLIHSAVITGGVSFVICAWWYIFLYSYPKVYTEMITERRKKVSSGAEDTYGMEKL >cds.KYUSt_chr1.36828 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224734242:224735117:-1 gene:KYUSg_chr1.36828 transcript:KYUSt_chr1.36828 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDASGVQAHAPPPSRCSVRPASRRDAAAVEVWRARAVTRCGARTLQGGAAAARAKGQQRGAAGAASGPGGRRSRSAGVSGSTATGARVGQGVEGAGERGKASARGGGAAGAGLHARASDAARWAAAGAAGRARGGEGRGRRGTAGSATARACLARPTRPPPRGRRGRHGHRPWPTRPASRLAPPVEEMRPARRPLGVVVAWVRRQPPKVKAFFAVVADMAALIFIRFIVHDHDNLFVAAKAAHALGIGVLIYKFTKERTRPGARSRRGEARPAAALMGSLHRKQKILP >cds.KYUSt_chr3.5679 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32120420:32122082:-1 gene:KYUSg_chr3.5679 transcript:KYUSt_chr3.5679 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELPEQGRGMDFLECVGPDASAIVFRLLDDPADLARASAVSRSWRRFVIQNQFSKIQCLRAYPEVANFMDIEVRSSGSSVYRNARWSAAAELDKLERDHRVYMQLSHGLLSPYNTKDCISRCVGASSTDRYPDEAIENTLDAADRVGWDGSYWSSRGHRDPALEECLMYMLQTDLCLVDEIRMQPFKAFFRDDEPIYSAKRIRFQMGCPKSPPWSGTTIYGENEGQLIADSNYIWTYTSPEFPILQENVLQSFKLPHPVLCIGGVVKIELLGGVVKDAFDGLYYIW >cds.KYUSt_chr6.31366 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198380483:198381922:1 gene:KYUSg_chr6.31366 transcript:KYUSt_chr6.31366 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSRPTPPALSGGVSPAASASVLTFGATWLRSSNSYGLPTPSRALMVSCTGSSSGGFANDKQQACQENVAAAENQDGGLGWSKDEIEAISALFARPMHQKPPKPPSPATRRPLPLPQPHKTRLPAAPAPKQHVRLPLRSSFSDRVRKDPEVLVGIARDIAALAPDSEVSTVLDRWAPFLRKGSLSMTIRELGHMGLPERALQTLCWAQAQKAVPLFPDDRILASAVEVLARFDELRMESALEECVPSASRAVLEAMASGFIGAGKAGLARKVLELARINKRTLHPGIYAKLILEVAQTPEGYGLAAALLDELGERPDFDLRPQDCTAVMKVCVKLRRYAAVESLFSWFRASGRNPTVVMYTTVIHSRSRDGRHREALALVWEMEQANCLLDLPAYRVIVKLCVALRDPERAFRYLSRLKEAGFLPTSDIYCNLIEGYAVAGRMAKCRQLIREAESTGVMLDRRLVSSVSEMDNGHP >cds.KYUSt_chr1.32012 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194164075:194165785:-1 gene:KYUSg_chr1.32012 transcript:KYUSt_chr1.32012 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSVHWLSLVGSVWLQTINGPNSDFPVYSSQLKDVKGISQVQLNFLAFASDAGKLLGWLAGVAALYVPLWAVALVGAAFGLVGYGVQFLFLESPRLAYWHLFALTALAGNGICWINTVCYLLCINNFPSDSRVAVSLATSYLGLSAKFYTTMSDTLPDLGYSSAKKYLLLNAVVPMLITLLVVPSLRVVKPGSGKRTDVGFLAMFAITLATGACAVVGSIGSKSLGLSSKEHMISLYVMLAVPLLIPVVLRVRESMAKIREAAMWENRVHDLCSDESVVEMEVDVPSKEEEVVEKEQGVELEHGEGQGEEVGGLRLLRRFDFWLYFFSYMFSGTLGLVFLNNLGQIAESRRLSDPSTLVSLSSSFGFFGRLLPAFLDYYTAKSGYSISRTASMAALMAPMAGAFFLMLHPLDMLLYTSTAVIGTCTGAITSVAVSATSELFGTKNFGVNHNVLVANIPVGSLCFGYLAGLLYQREAGGSGNRCIGAACYRDTFLLWGLTCAVGTGLCTALYARCAKRTHGDKKGPEVSGAGSTVV >cds.KYUSt_chr2.35531 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219387605:219391909:-1 gene:KYUSg_chr2.35531 transcript:KYUSt_chr2.35531 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKWVLAPWAVLLLLQLASASHHARLSLETEAASPSVPASIVSPLLRTGYHFQPPMNWINDPNGPLYYKGWYHLFYQYNPKGAVWGNIIWAHSVSRDLINWIALEPAISPSIPTDQYGVWSGSTTILHDGTPAILYTGIDRPSVNYQIQNIALPKNASDPLLREWYKPGYNPIAVPVEGMNATQFRDPTTAWFAGRHWRMLVGGLRPGTLRGEAILYRSRDFKHWVRAKHPLHSALTGMWECPDFFPVGKAGVEKGLDTSEYGAAAGVEKHVLKNSLDLTRYDYYTIGTYDNVKERYVPDNPTGDVYQRLQYDYGNFYASKTFFDPVKQRRILLGWANESDSVAHDKAKGWAGIQAIPRKIWLDPSGKQLVQWPVEELEKLRGKPVNVGDKVVKPGQHFEVTGLQSYQSDVEVSFEVSSLDKAEPFDPAYSNDAQKLCGIKGADVKGGVGPFGLWVLSSADLAEKTAVFFRVFKDGYGKPIVLMCSDPTKSSLTPDLYKPTFAGFVDTDISSGKISLRSLIDRSVVESFGAGGKTCILSRVYPSMALGKDAHLHVFNNGETDIKVSKLTAWEMKRPLMNGA >cds.KYUSt_chr2.39741 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246664024:246665400:-1 gene:KYUSg_chr2.39741 transcript:KYUSt_chr2.39741 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSRFLMDIAIRECGGVFQGIGSLVDVAGGHGGAAQAIAKAFPDVKCSVLDLEHVVAKAPSGTDVEYIAGDMFESVPPADAVFLKWVMHDWSDGDCIKILKNCKKAIAPKDAGGKVIIVDIVVGAGPQDLKHKETQVMFDLFIMFINGVERDEQEWKKIIFEAGFTNYKITPVLGVRSIIEVYP >cds.KYUSt_chr4.45001 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278609977:278612686:-1 gene:KYUSg_chr4.45001 transcript:KYUSt_chr4.45001 gene_biotype:protein_coding transcript_biotype:protein_coding MEKADGKEREKIEAVRKAMRKQAPLTAKQAVYCNDACVERFLRSRGDSVKKAAKQLRAALSWRETIGADHIIADEFSGELADGMAYVAGHDDENRPVVVFRIKQDDYPKYQPQKSFVRFLVFTLEVAVASMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPARLHRAFVIDPPSLFSVMWKGVRPFVELAPATATVCSLDFEDSLEDASFTAYPRTASLRFEPSGAAILTTMPKPGGGGGVVGSASSRFAFSVSDNALKPWYLSTTPAAAPLANPRSVVPSSSPSLVGASPLSARSFSFASPATRTTPVQHHRAPPTPSAAAKAHNKTTPAPAPLSTPPQPQQFPRTPRPSFLQSPFTFRKDGQGVRRVERERDSFLPFLRFYRRPYDEISYRARMRPPLGGLIAIVDDKFRHKPAQQQQQPRRHAAVLHHHHQYQQHHHHHHNHQHQRI >cds.KYUSt_chr5.14974 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96738158:96740424:1 gene:KYUSg_chr5.14974 transcript:KYUSt_chr5.14974 gene_biotype:protein_coding transcript_biotype:protein_coding MASALPLLLAPRPVASPRPAPTGRFLSLAAAPVPAAVETRKGGDRLARLQPLHAASPCNYVVAAATGGAEAARAKCLQFVAWYLLSLDKHPVATKAVTSALLNMAGDLICQLVIDKAPKLDLKRTFLFTLLGLVLVGPTLHIWYLYLSKLVTVSGASGAISRLLLDQFIFSPVFIGVFMSLLVTLEGRPSLVVPKLKQEWFSSLIANWQLWIPFQFLNFYFVPQKLQVLAANFVALAWNVILSYKAHKEVIAE >cds.KYUSt_chr6.20799 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131235018:131244357:1 gene:KYUSg_chr6.20799 transcript:KYUSt_chr6.20799 gene_biotype:protein_coding transcript_biotype:protein_coding MESFWYTSNDVLQVLPKPSLSAQVEPNLWGISTNNAADFDIAAAQQGIVYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQIDTKNILFICGGAFVDLEKTISERRNDSSIGFGAPVRSNMRTGSVINAEITSTLLESVESGDLIAYGLIPEFVGRFPVLVSLSSLSEDQLVEVLTKPKNALGRQYTKLFGMNDVKLHFTEKALRLIAKRAISKNTGARGLRSILETILTEAMYEIPETRTGKDKIDAVVVDEESVGSVNQHGFGAKILSGEGALDLYLDKQNKESVLPATSSSSSSDLQEEEHEVLFELVVVLKGDPLGIQRLPNEFVDFVAGSEPAAPHLREAGCDCCRWPVDVLFDGRGKMYLHTGWEKFTRYHGLEAGCVLTFSYLGDADMSIKVFDETRCRRHYHGDTDEEDD >cds.KYUSt_chr2.52447 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327220907:327222863:-1 gene:KYUSg_chr2.52447 transcript:KYUSt_chr2.52447 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTPTLLTLTLDAALLRIANLRDLSRLPDHILVDLFRRTLSAGKLTEKVLKVFLATDCEEITLLVQLLNIKQPLQPVLPTIHDSEVDIVIAALQPNLTPFFEAWRPFFSRFHVIVVKDPELAAELQIPSGFDVKVYTKSDIQGLLGATSIDFSGHSCRYFGYLVSRKKYVISIDDNCLPAKDPAGATVDAVTQHMINLKTPATPFFFNTLYDPYRKGADFVRGYPFSLREGVECMLSCGLWLHNADYDPMTHVVKRNQRNTNYVDAVMTVPLGAMLPVSGINVAFNREVLGAVMFPGLRIRKQGNHRWDTLEDIWNGLCAKVVCDSLGYGMKTGLPYVMRSDAEAGKALESLKDWEGVKLMDDVLPFFQSVKLSRNGVTVDGCVKELAGIVREKLAPKNPVFAKAADAMEEWTKLWKTHGAQSA >cds.KYUSt_chr6.12116 pep primary_assembly:MPB_Lper_Kyuss_1697:6:75379705:75382068:-1 gene:KYUSg_chr6.12116 transcript:KYUSt_chr6.12116 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVDSLCAPSVVVDNAAALVYDTFNAASAAGFFFDNAACFYDGAAGIVGPPAPAPQEAPAGEASSAAPPRRKRRRRARSCKSREEAECQRMTHIAVERNRRRQMNEYLVQLRSLMPESYVQRGDQASIVGGAIDFVKELEQQLQSLEAQKRRLSDHQQQRKAPMPTATAAAAPCAVESTSNCSSGVTESDTPDSAAPFAGFFTYPQYVWCHSPRDSAATLSAEESRAGVADIEVSLVETHASVRVMAPRRPGQLFRMVAELQALRLTVLHLNVTALGSLVLYSLSVKVEEGCGLTTADDIAAAVHHVLCFIHAEAAQQMLAAAQ >cds.KYUSt_chr6.4663 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27329666:27331770:-1 gene:KYUSg_chr6.4663 transcript:KYUSt_chr6.4663 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLFAPAVTGLLHLPEVLDLLAAADSDQRGNAHHHHAAHGHGQHRAHGVGAGGGAPVDIVETPGEYAFLLDVPGLSKSDIQVTLEEDNVLVMKSVNANGNGAGNPNGAGKRKRDDEEGDCKYIRLERRASPRSFVRKFRLPEDADAGGVTARCENGVLTVTVKKQPPQEKKPKSVQGNGASAQTTDPRVIPKQDMISIPHGDLNWRLEEEGGVSQYLEVQPGPRPLKIYLGVPNPLGVYALRSLRLCNPSPHLTNLVPCDETRAAMDILFPCPKEASTQFIHRLQEPMLKVHGKEMVNHVGCHDDLDISNTTLLWDNKQIVAHA >cds.KYUSt_chr1.36240 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220957467:220959397:1 gene:KYUSg_chr1.36240 transcript:KYUSt_chr1.36240 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPYEDLVAELSGEADKQPPLKIQRKDPPIDVHELVEGMDACKLDDVHRQKLKALMVYDPKRKCDVPSRFCSIHLACFDLDEKSNAEIGPRYERDRDPFSSTTRLTKYVTGNTHYELADYSTEVISIRVVKVGPDYTYPVEVYGTVIARDEIDCKCVYLFNRERKDAQTIKSKKDMLALTGPYRALVTLGYMYFEFDLKTKGKNPDDEVEFSKGVISYFCNPDRRRIIHQLPSFQSTVKLVLQNVKLPVAAILKVSVENKEPNDPLVHFDGKITAGTTKNYRHHMVLYDSSVRSGNLMGENGSLVLNRNLVAVNGYPQGRTFNEDEILVLYVCFLDASCEIEDKDKMDPEEEDYGQEDEDQEEEEEEEENEPKNVVTLEYPAAETVWEHGSIKLTVKVDWTAVLDRLVGTDCLHGYPSVPQGCSIDYRYGIIYE >cds.KYUSt_chr3.42004 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265107288:265108775:1 gene:KYUSg_chr3.42004 transcript:KYUSt_chr3.42004 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRREIRTRPEQREGDDASSTAFAAETPLGSARWSPEAEIGMRVEDIWDSLDQPQLSRGDKLNSCFDAIPVSSFPNTFGAQLVDIPSDATLAEAVDILSRNRINGAPVRNMDAPDDASWIDRYIGIVEFAGIAVWLLHQSEASAAALGADELAAKLGTVTLDGPGGAKEHNKTAPVSEAEGAVAEAFGSLPSSELFRKTKVKDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNIITQAAVVHMLSECVGLSWFEDWGTKTLSELGLPIMKTSRLVKVREDESALKAFRHMRKRGVGGVPVVDSVDKPVGSIMIKDVKHLLTASESDRDYRTLTAKEFITNARQSSGEKQMSIITCTKEDTVKDIILRLDAEKRQRVYVVNEEGNLDGLITLRDIIAKLVYEPPGYFGDFFNGVIPMPQNSRV >cds.KYUSt_chr1.3183 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19280852:19282043:-1 gene:KYUSg_chr1.3183 transcript:KYUSt_chr1.3183 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHDSPLKEPRLKHRRITEGDGDEPEEEVEVHEDATGSESERAVSAAAHGARGPRWLRVLLTTRFYTTCEAHSHSRTMFCLDCAAAAGAAALCGLCAGHAHLGHRVIQIRRSSYSSVVRVSDVRGLVDMDGVQTYVINGARVVFLNERSLGHGHSRLKGIHYACVTCRRGLRDACRFCSLGCKAATDGSTYSYSPSPSPLCNAFDQSCTPPTPLLTVPLHRRKGIPHRAPFGNLVV >cds.KYUSt_chr5.26124 pep primary_assembly:MPB_Lper_Kyuss_1697:5:165600643:165607563:1 gene:KYUSg_chr5.26124 transcript:KYUSt_chr5.26124 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLAAAKHYPIETRYNIRLIEKVFFKGIFAGIRFESFRGISASAIMAEDGIGHWVSKDRFAAKRLHALAAELDGPKRRIIEEQSAFRALLNVSPFNIPNALIDFVASHTSPGLREFKFHKKRIVFTKDMVVYMDHLDFPPNQHIINYSIPRVCFVTSSDFKFVVQNDADRKILNNKTVFGRRPFLELSNTPYGVAAFSNRHHVEEPVEQPVEESEVNPSASLNEWLVFPTSQDLEVPAAYKHLYEKHRSIYGRDLDTTLKNFGVGLKQMHSQRMAALLIDIDAAKKEGDGPSVHFPNGGGVEDENMDGADRHDDEGTSNHDDEEIPAADSEETDNDEFVVEARAAVMDSRTLVVDMPQSAVLLDSSTGGDVAGEQVSVDSPVMSPINSPFARIPEGISVEAWNRAPDPPSMDLFSQDPDEVVVSEDQITIPAEEITPPADVPSVVKLDDTSSEQPKVMEATTPPIPSRDAEDHLGENVSPQHPTNTGADVSVIKRARLVAADGKLSLIAGIPLNVGSTVLVAERSPSPSDVAADVVTKDASTGAKTVEKKTRYKRAAKGELSPPKLKKIKVSQDVVRMIVDSTDILYMEHFNGKVMPNFENDVVPDFRRLLA >cds.KYUSt_chr6.30148 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191116505:191117446:-1 gene:KYUSg_chr6.30148 transcript:KYUSt_chr6.30148 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDAMDSKCCSFSDNPDVMACKQNSLETSTNEVVDVSEDMHSVMMSAATSKDDIANDPASTKGEAENGKCDLPDVGIAIGDINGNASGCSLEISVVKVEEAIGDISDDTGSVVIGLVNKDVPEHSFATNAVESEDMEPEDTHGDVNVEGDIQVNTTETCTAVDEINGNTDKCMTSDEKVTCESKEGKTNNIMAGDSDSGRDVAHPDSVSAHGAVPCEDVTTRADAEMASLESTSCAENETVREEKDGEAKRPLPDSTSEVENIQVSEDKCSEEDAPCTEEKQERHRKRRKVVTSVPAEKRLTRSSSRTPSLD >cds.KYUSt_chr3.14518 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88331133:88334839:-1 gene:KYUSg_chr3.14518 transcript:KYUSt_chr3.14518 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSRPLRPVPSRLHHYGFIYVASPWWKQSEASQPQRLDGQSDGGRHGPGRSGDVAISAVPKAGMRCHSALSLNRSKAEEPRITAAVWTEVGVPWAVERKVRCERGEEMTKVAKRRNAI >cds.KYUSt_chr6.29174 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184860886:184862115:-1 gene:KYUSg_chr6.29174 transcript:KYUSt_chr6.29174 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPYLVEEILEEILIRLPTPAALARASIACVSFRRIITARSFLRRYRKLHPPPLLGFAAENGGFDPVQEPHPSAPLAHALADAADFSYSYVPKPDEFVNSAWSPCDVRDGRVLLLCNRWFHRTKPAILRKALAVCDPLSRRYVLLPPLPLDMDMTMLQEHLLGYEPILAPAGDDEDETSFRVLCWASYRSKFFMLVFSSATGKWCMAASPSWSSFGTVESSEKSMLLFKYIRGCLYWRAPVGDKLLLLDTCSMEFSTVNILTSCHMQLINLLDQSRWSSSLVLATEGALEMFTLIRNCLNDSYSIYHTIQQNGSHYSGNCDLKNVIELPRSYWYFIAGATGGFLFLRGIRESQSQASQADIEDLFSLDIKTSELKMVHGGAQNSHNLVRPAQPYFGFPPSLSKPSL >cds.KYUSt_chr4.3514 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20029599:20031230:-1 gene:KYUSg_chr4.3514 transcript:KYUSt_chr4.3514 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPRSRPATEASLAVQPTASATSGQLFLPAPPRSLLPVGCCLTPADGQPAALLEGLVAAPLEALIPAEQLHCSLLARQLVEVVLECPKPCQSVDMQASNSNSAAPTAPSTGPRRLDGLLYDPAVLIEGLGSLLLPPVASGGHDELPAEDVLPALSLLPGSLGFDEDVHHEKLAPQLLLASSKDVVSGSVRDTIDVRHVKEAPAEPCGGLSVADVALGDEESWVQVGRGGRPVREPLSLLQKDGLERSLAFKRWARGRCFRCLGRDHHVSTCREPFRCIRCRRPGHRERFCRARFPAARSRSPDSRARPSDACSPCQRSRSPSAQPRRPSMPRSWAEVVCHSSSPVSSHPIPSPRCCQECNVNTSLDSRFQCQFSLLRMELTQLVEEASRPLREEVARLRLLLAHVGDSLERTEAYSSSGKELTTMQASLPLGSVEQMSSVVEEEDIYGCFSPRGSPCQSLLPVVSVASESEGIDGILVPMLQTTSDLGFEKSDVIDAAVSLSPESGKHVVPSGDVPGAVVAREVCDFLATLVAAYPGSAVD >cds.KYUSt_chr6.1292 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8083255:8085166:1 gene:KYUSg_chr6.1292 transcript:KYUSt_chr6.1292 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAISAVTGELVSRFISFLMNKYRSSLSHTQSVEKKVVADRLQQLLMRASTIVEEADTRYITNSGMLMQLTMLSEALYRGHRMLDQSSLRFHPSAMSPANLLLLVIAAAAPPLFAARNFNDNSTPKPPTLWINNAQLGDSAMRVILQDDPEYFGAGFICATDGTEPTCKVYLFAVFFTTVIGLGPVIWSANRDHPVKENATLEFTLGGNLVLRDADGSYVWSSGSSGRSVNGMVITVIVTCGRYEAHIKYFYKKSD >cds.KYUSt_chr5.1427 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9818189:9820763:-1 gene:KYUSg_chr5.1427 transcript:KYUSt_chr5.1427 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAPLLMILITFQVTVLSATSSGLPISLPGCPDKCGDVSIPYPFGIGNGCAAASMNRYFIVNCNSTFQPPRPMVGDPPATAEIMDISLEHAEMRVYGPISYNCFTSNTTVMDNNTGGFDLVDTPFIPSTTRNRFTVIGCNTMGIIGGYTHSNPDLYVAGCYSYCQGINSTSNGAPCTGKGCCETTITPNLTDYAALLIINQSSVWTFNPCFYAMLAEVGWYSFRQQDLVGRLGFIKERAKRGVPLVADWAIRNGSCPKDGAKAPFGYACVSSNSYCVDAINGPGYMCNCSEGYEGNPYLPRGCQDIDECKLHKQNPNYEELYPCKNGVCRNIPGGYVCKCGIGKRSDGKNSGCRPVLTQAEQVVIGLSVSSAVVIALICMLAMRFQRRKYRKEKDAYFRKNGGLKLYDEMRSRQVDTIHILTEKEVKKATENYNNDRVIGCGGQGMVYRGTLDHDKEVAIKKSKVINDNCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFISNGTLFEFLHSSDCKSVIPLDLRLKIATQSAEALAYIHSSTSRTILHGDVKSLNILLDDEYNAKVADFGASALKPINKDDFIMFIQGTLGYLDPESFVSHHLTDKSDVYSFGVVLLELLTRKKPIYIDNLDEQKALSHTFVLMFQQKKLRDILDADIIEDEVMLVLEKLAELAMHCLNPIGDERPTMKEVAERLQVLSRIQMQQVTTPNPMRMHYTDGESSMYIASGGMKYQSSDTAKLVLDVDRL >cds.KYUSt_chr2.35495 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219161374:219162056:-1 gene:KYUSg_chr2.35495 transcript:KYUSt_chr2.35495 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPPLVWIRGGLFLLLAVVLAQLGALSGETLRGSVACLDCAAGHDLSGVVVAVQCRGVEGDSAGLHAAQTDGGGSFGVAMPEAPDVSRCAARVLGGTEQLCAPKSLTVARIVAGREPGSYALGSRLAVFTRCGALAATMAATGGGGDKQPTPTPAPRLPSPPAMSAPRIGRSSPPYGLGIPLIYVFPFIPIIGIP >cds.KYUSt_chr7.29456 pep primary_assembly:MPB_Lper_Kyuss_1697:7:183363687:183365816:-1 gene:KYUSg_chr7.29456 transcript:KYUSt_chr7.29456 gene_biotype:protein_coding transcript_biotype:protein_coding MCANGEAAVAADERPDGEDGEAMMFRGSNYSLPRTIAALALWLGGIHFNVILILASLFLFPLRLAALVVALQLVFMVIPLNDEDKWGRKIGRFICRYAMGYFPISLHVEDFKAFDPTRAYVFGYEPHSVLPIGCAALADHVGFMPLPKLKVLASSAVFYTPFLRQIWTWLGLIAATRKNFYSYLEAGYSCVVVPGGIQEILHMDHDSEVAFLNSRKGFVKIAMQSGCPLVPVFCFGQSKAYRWWRPGGKLFVNIARALKFTPIIFWGRYGTPIAFSTPMHVVVGRPIELKKNPLPTIDELLEKHKLITIVHICTFHITLDRNMHFFH >cds.KYUSt_chr5.35555 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224690336:224692852:-1 gene:KYUSg_chr5.35555 transcript:KYUSt_chr5.35555 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFACIQVTIKIANLATGECMHLAKPVKNSKGDDHFSFYSFGFNPVTNEYKVMHFRPDKQLNIGGSFSVIQVYTLGDERWRVVRTPQALSLNCVKHSGVVNVNGAMYWLTEDTKSSWLRAVVSFDLKDEDIELILLPLVDFSNSALDYPLCYQITEIDGKVSVASTVITRSDSGLTRKLQIWTLDNKLEQSWSQKYNIQLSSLHVLGPHFIYGDNILMHNGYDKGIYCHGLVIAHVRGVAINLNLPTPPDQDRSLRRFNWVEQKRVIEMVGSHVRSLDGPLMGNGKADFTDRTTTDESEVGPSRPKRKKPNSRYDPATWTT >cds.KYUSt_chr1.5017 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30909392:30910347:1 gene:KYUSg_chr1.5017 transcript:KYUSt_chr1.5017 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLSPVLLAFFLAAASVVSNAGVAEAWHWHGWRHIFRGRYGHHDHISSIVSEDDYASLFLHKDDAACPAKGFYNYSAFVSAAERFPEFGSTGRNFDTRRREVAAFLAQISHETTGGWATAPDGPFAWGLCFKEELTPPSNYCDANNTQWPCVPGKSYHGRGPMQLSWNYNYGPAGEALDFDGLGNPEVVASDPVVAFKAALWFWMTPQPPKPSCHDVMVGRYRPTRADKAANRRTGFGLTTNIINGGLECNRTGNAQADDRVGYYRHYGDILGVRNLGPNLDCANQLPFS >cds.KYUSt_chr7.2931 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17331330:17333471:1 gene:KYUSg_chr7.2931 transcript:KYUSt_chr7.2931 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSNVLLGLFNAGVLVLALAVLGGGIWLSHRAATTDCERFLERPVIALGALLLALSLAGLAGSLCRASCLLWLYLVALFLLIALLFVFTAFAFAVTNRGAGAVVSGRGFREYRLGDYSTWLRRRVENRENWAWIGSCLHDGGVCRKLAARRETPQQFATSGLSPIQSGCCKPPTRCNFTYKSETVWIKPPGLNSTDDPDCNTWSNDPSALCYGCQSCKAGVLANLKNDWKKIATVNIIFLIFLIVVYSVGCCAFRNNRRDNSRPAWK >cds.KYUSt_chr2.37235 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230502204:230504367:1 gene:KYUSg_chr2.37235 transcript:KYUSt_chr2.37235 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSELQEVAVTGGEILGDIDDQVKVLGDVDKQVKVSEVVDGQVQHLGQEGNEVHLSGDLDEQRRVWDAIDENKQTWGTVYEQRKLEPLEEKGKKQQERGHKNKRMDLEVNELNNRADYVHELLVKIGNKIGFINFNSESV >cds.KYUSt_chr6.11299 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69966770:69983621:-1 gene:KYUSg_chr6.11299 transcript:KYUSt_chr6.11299 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAYEHCVAVVCLSSRLGPCVAEVCYGSRDTVHITLGEIGINLGGELLLVPTHTNQTLVMQPTQVDKCHSAVSPTQLPPPTLSLTAHSSPLTLIAHDIGLHEDELKSEGRRDSAIPRVLLAAEDPAAEISVGLYPCFQLSEEAVAYLLLLAVGLLCAYEFCAVYVTAGASASELNSPSGFFFGLSAISLAINMLFICKILFNGSGFDVDEYVRRSYKFAYSDCVEVGPVSCSPDPPDPSELYMTKSSRVLHLGLLYFCSLLVLVVYSILYGLTSKEAPWLGALTSFAVVILDWNLGLCSFRFELLKSRTLALFVAGTSRVFLICFGVHYWYLGHCISYAFVASVLLAAAVSCWLSISNPSVARIDALRSTVIKLREGFRRKGQNSSSNSSEGCGSSVKRSSGSVEAGQHGNATDSIYRSNSQSDGANWNSVPFDRSNSCQEGQSSDKNIDSGRASLAHRSNSCLSAVQDSETATISADRHGDTAASLVVCSSSGLESQGCESSGSGTASGNQQLLDLNLAAIFQDRLNDPKITSMLKRNGGLGDVELANLLQDKGLDPNFSYMLKDKVMDPRILALLQRSSLDADREHQDDADATATEELDTTIANQISLSEELRRNGLENWLNISRLIFHQVAGTPIRSFVVFTLIFIVETVTVAVHRPEPIKVINAIHEQFEFGFSILLLSPVVCSIMAFTWSLRAEEMMMTSKPQKYGFIAWLLSTCVGLLLSFLSKSSVILGMSLTVPLMVACLSFAIPLWMRNGYRFWILGGGHDNRETVRQAPGKKEGALFAISIAVFTASVIGLGAIVSAKPLDALGYKGWDADKKSFYSPYATSMYLGWALSSTIAVLVTGVIPIVAWFATYRFSPCSALCVGLSATVLVSFCGASYWGVVNSREDGIPLKADFLAALLPLLCIPAMFALFTGLYKWKDDDWKISRGVYLFVGMGMLLLLGAISAIVVTIRPWTVGVACLLVILFLVFAIGVIHYWKSNNFYLTRTQMLLVCSLAFLLVLAAFLMGLFQGKPFLGASIGYFSFLFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASQVMNPPFVGAAICASTLVIAFSFAVSRPCLTLKMMEDAIHFLSKDTVVQAMSRSANKTRNAISGTYSAPQRSASSAALLVGDPSVTLDRAGNFVLPRADVMKLRDRLRNEEVTAGSFFCGVKNCFMICPGSPADVDYRRNMCAHARILGLEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRQFELIQESYIREKEMEEEVLMQRREEEGKGRERRKALLEKEERKWKELEISLLSSIPNAGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIARHIRKAQLARRAEQTSIAGAVCVLDDEPRSTGRHCGELELCLCQSQRVSFSIAVMVQPVSGPVCLFGTEFQKKVCWEILVAGSEQGMEAGQIGLRLVTKGERMTTVAKEWNIGASSIADGRWHVVTVTIDADLGEATSFIDGVYDGYQNGLPLPRNNGIWEPGADIWVGARPPTDLDAFGRSDSEGSDSKMQIMDAFLWGRCLTEDEVAALHTTMCPAEYGLFDLAAEDALHGSYSARVDDWESEEANFELYDQQEDVEWDGQYSSGRKRPVRDAVAIDIDSLQRPRKPRFETREEVNQRMLSVERAVREALSAKGERTFTDQEFPPDDRSLYVNPMNPPLKLQVVSEWMRPSDIAKEISISSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEMSRISEVIITPAYNEEGIYTIRFCIQGEWVAVVVDDWIPCEAPGKPAFATSRKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLHFNQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQIREVDGHKLVQIRNPWANEVEWNGPWSDSSQEWTERIKHKLKHVPQSENGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDSWHQNPQYRLRVTGRAALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGMRILKTRGCRAAYNIYMHESVGGTDYVNSREISCELVLEPYPKGYTIVPTTIHPGEEAPFVLSVFTKAPIKLEAV >cds.KYUSt_chr4.53462 pep primary_assembly:MPB_Lper_Kyuss_1697:4:330885373:330887218:1 gene:KYUSg_chr4.53462 transcript:KYUSt_chr4.53462 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSCGVCGGVANCLHHHIIDHAPMFPVLRHEPPLLEYQFFGNGQGHSVGTWLPPPADHHNVQNTRPPSTFHGLQYPPHGHQAAAGLITFQVDAGSRHMPPATPPIAMPLCGSTRTNTAGSEVIMAIDGDMMMVAAHHPTVHERRAKVMRYREKRKRRRYEKQIRYESRKAYAQLRPRVKGRFAKVHEEATVPLSPPPSTYDPSKLDLGWFHP >cds.KYUSt_chr3.14217 pep primary_assembly:MPB_Lper_Kyuss_1697:3:86269419:86280142:1 gene:KYUSg_chr3.14217 transcript:KYUSt_chr3.14217 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMPTYSAVVAHASAFLGELIADPLLRRHLLSAAAAADGGGGQHTAATLQALSLISDALDTAPSASPSPSSLRAAERLLLSLPAATPLSCLLSAIASAARRRGGAPSAASAVLDLFVLDPALARHELAPAAFEALFAPRLLPVMRHFATRRASAAAAVASAAQFREDSRSDETAALSAMRVLSLMSGAQAQEMRGLEREYEMVLDVNCKAYALYLKKILEAGETERLSPPPPPPELVFTVGDGDMSAGDEEASTDADDGALSFVRDNPMWAEAEGDLYPRQGSIKGRRELMRPPSLYPQRVSPHLIVQQQRQSPQVGRSGRWSPAPRLREEPSPATPSDDSTEDSSIELYTGKQAASPLSKPRKAQPRDDDGAGWARSSPEPSSSPMGGYADLARQQAASTPKDFVCPITSQVFDDPVTLETGQTYERRAIQEWLDRGNATCPITRQHLNVGSLPKTNYVLKRLIAGWLEQNPPATPAPPITPITPATPALPVARTPSARMEAPPPLPFKINSPSPDNTGSQASAPSPTSVIVQASVDSAIGELRSAVSCLCTSEALAESEKSVLRIERLWREAGAAEHAFFSALAKPAVINGFVEILFNSVSAQVLQVAVFLLAELASRDDGVVQTLTRVDTDVDCLVALFKKGLVEAVVLIYLLSPSVEQLVEMDMAEALVAAIRRVEEADAVNMCVKPKAASVILLSQILSEAGDGRDSTPPVPRSALVSERFVRSTALVLDAEQVEVRVAGMRILLRCIAEDGHCRSSIVDKLALGPVLDAFHVVGDADKFDIVRFLSEILKLKKRSAAERVLRAIKEGGSFSMMHTLLVYLQSTTPEQSPVVAGLLLQLDLLVEPRKISMYREEAMDSLADCLKNSDFPRSQLLAAETIMNLPGKFSSSGRPLARSTLLKLARVKERYRPPQSQELGLSVVRGADEDDAAAEDKAAWEWERKTAYAVVSHEFGLALEALSECLESKNAELFAASLVCAVWLVHMLSLLPDTGVLGAARVCLLRQLVLVLRSAKHGSDRALAMVALRSFMNDREGMQDIATYIKDVLRTLRELKKSSGLAFEMLKLLSDGQESSIDMWNHKELNQVDCSSNGEVTSIVYFKNYIFSGHSDGTLKVWEGSENILRLVQESQEHTKAITSLSILPSEEKLYSGSMDRTIRVWQFGDGLRCAEVHDTRDPVQNLAVATAMACFVPQGAGVKALSWNGGSPRVVNPGKSVRSMALLHGKLFCGCNDGSIQEVDLATGTLAVIQAGNKRILGKSNPVYCLQLHDGLLYTGGAPLDGASVKIWNSTNYNLVGSIPSPAEVRSLVVSADLVYLGSRNGAVEIWSREKLIKIGALQAGGPGCRVQCMAVDADGDVLVVGTSDGRIQMCRQAHPNDEQLVADAFQAEQLDLEAAEAEVAEAEAAERAQGRERLATARAEIANARAELTEARAELTEARAELAEVRAAMAASPVAPPVDTVINDITAEDDVVPSRFECDGDQRVLLASFETLAGDALHCQAWAAEEEAHIYAVTMARGYMCSDLDSLQRRGPFPARVVQENRELADAITARDEAVAEAARDRAATTPRWRRCRWRTRRWPRQTRRRWRRPPL >cds.KYUSt_chr3.21881 pep primary_assembly:MPB_Lper_Kyuss_1697:3:134833232:134842280:-1 gene:KYUSg_chr3.21881 transcript:KYUSt_chr3.21881 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDPSPSGGDETIDQMMKRLGIVEDDLDDVVYEEEGPLPAEATRWLAIARVFTDSEYSSFWFFKNMRSAWDLAQQVETRSLDSNLHTFQFKCLGDWERVMEGGPWNFRGNPVLIEKYDRFTKPSTIELYHFDIWIQIHDLPLGYAPMLKSLASKVGEFVASEGAVNSFEGNFYRVRVRLDVRKPLKIVVSMVREKKRELFFVKYEKLPNWCQVCGHLGHEYKDHGDGLHPPQALVFKDLRASWSMSQGNRPGRGRGSRDAGRTGAGRGRGGRTSSSQVVLHEDSVGEEIMDEDDKLGSRKRAGMASANPPLGAPSSGETGGTLVPVGKGGQVGSLVNQFEPLAPPSPNPIRDPKRSKTGEEEEEASSVGDVRGKLEVLANNLGSWGLHTFGSVRKEIRKLKSELEHLRSVPGRVGPSLAEIKVNDNLVELYHREEVMWRQRSRVQWLSEGDKNSNFFHQRASIRRKKNLVKALTKADGEIVDNVKDMETMAADFYKQLYTSEGVQGMDQVLQHVPRKVTPAMNAILLAPYEADEVKKALFQMFPLKAPGPDGYPAFFFQKHWDICGPDVTRAVLSIVQGNESAEIINDTILVIIPKVLANRLRQILPDIISEEQSAFVSGRLITDNIISAYECLHFMKRNNSKNNSYCALKLDMMKAYDRVEWEYLEAIMLKLGFSQQWTSVIMGGKEVLVKSIAQAIPVFSMACFRLPRGLCDHINSLIRQFWWGSKQGKRKVHWVSWESWRILQEPNSLSARLLKAIYFPNSSILEAELGPHPSKIWRVVKMMLQFCKENSLPQTFRTLQNEYQVLHNTGDSMDTFIANINAGCWGAVLLQVAQLNLPRMKLENLYEQIVLEMVELGELDSARAILHQTQVMGVMKQEEPERYLSLPNRRYLGGGILTRRRRSRGHRAECTRDGGTRVTQLRNTCTMTGPTAACLELSGRFRVVTMSCRRASRAPGIRLIKAHGSRVYMESPSRITDNLATVQYLAVHVTDPPSIHVVLDPGPSWASMDPGYSYVGRIPSLQELQEELEGQARMAAKVQEAENKRASKARIREGEQGQWWPCATTDVELRELQNEGMISTHWSFTRDSDIPKPEAGEIVMTKAWVERGLSLPCSEFFLSILNTYGLQPHNICPNSYLLLSNFVTLCEGHLGIRPDVKLWQFFFRVKKETKDKAMVNCGSMTFMLRPNRMYPPHDSHESVRYWNAGWFYEKNASVPEVHEGLPQFVNEPPEELASWSFVPSLAPDSDLGEGCSENLLASPRRTDRSPAHT >cds.KYUSt_chr4.52615 pep primary_assembly:MPB_Lper_Kyuss_1697:4:326396236:326398582:1 gene:KYUSg_chr4.52615 transcript:KYUSt_chr4.52615 gene_biotype:protein_coding transcript_biotype:protein_coding MSISISCSVCGGVVGNCLHHHHNISVFPVQRHEPPPVEYQFFNHARGHDVGTIWPAPPADNHNRNTRPPTTFHGLQYTPHAHQLEAAGLITFQVEAGAGRDMPQPARPPTIMPFCGDTLTATVNKHAIVAIDGATMMVAAHHHAMHEREAKVMRYREKRKRRRYEKQIHYESRKAYAELRPRVKGRFAKVHEEAIVPSSPPPSAYDPTKLGLGWFPQTR >cds.KYUSt_chr1.746 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3985830:3986342:1 gene:KYUSg_chr1.746 transcript:KYUSt_chr1.746 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMQYSPKQLAAVLLLALASAMIVTAQDTVQEILDAHNTVRANVGVPPLTWDDTVATYADAFAQKRSADCLPIFSPLGHPYGENVFVGTGSEWNMLDAVNLWVSQKQYYDHATNTCSAPSGQSCDAYKQVVWRDTKAIGCQGVVCDGNAGVYIICDYSPPGNVVGQTPY >cds.KYUSt_chr4.26583 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166885167:166886768:-1 gene:KYUSg_chr4.26583 transcript:KYUSt_chr4.26583 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDDDDAGGKSSGCGLGHLFRRRGSNSLRSSSAPAITTVDAVPPKPKAAAGSHPLCNNHRQQQSNHLHHQHRQHRQSNHPSNNNGGASSPALVRASSGNVMLYGSLGNLRAPGAATPNRNDVLDFLPMTADEIKLQTTSKNKDSPKARPKPKPKQREAVLCRALSVREDPEELKKKGNDEYMAGNYVDAVDYYERAIAVDPSKPAYWSNKAAALMAQGRILDAIADCREALRVDPSFGRAHHRLGTLYLRTGHGDRAAKQYRLAAHDASPEDMSRAHAVQALVAKCDESRRRGSWKSLLRDVQAAVSAGADASPKLVCYQAEAFLSLQRPEEADAVLGKNGVCMEEETDRHVEIFGAGSCGYLLSTRALVDTAMGRLEAAMAASDEAVRVSPGSREAAGAARQAQAVASARSRGNALFKAGKLREACAAYEEGLREHPGNATLLCNRAACRCKLGEWAAALRDCDAALGARPSYGKARLRRAACNDRLGWWEASVQDYEALLRETPGDEDVAAALAHARTQLGGVTQRRAAA >cds.KYUSt_chr3.11236 pep primary_assembly:MPB_Lper_Kyuss_1697:3:66963917:66964362:1 gene:KYUSg_chr3.11236 transcript:KYUSt_chr3.11236 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSGHSRGAVPAGVIDDVYVKELKCSLPIEPTSWSAFHEGRRIPSQAKGDTRDAPKQEAHRRYARWPAPYIPSGHAKMGGELNAFCDRDVLKSTAALVAAPSGSAISLTAAQMAA >cds.KYUSt_chr4.54428 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336266300:336268369:1 gene:KYUSg_chr4.54428 transcript:KYUSt_chr4.54428 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRCAFCFHPLLGAAGDACRVCATAATTTSPFGSSSAKPSPSAFSYSAPTATTSPPAFGFSASFGQAASASPFSAIGFSTLQSFLGEAAPPSPFALPPVSANATPYGVAQPLGSITAESPVFDDDEPVEDPPAATLGGVQEAPSSATNAPLVLNTHCELPAIARGSARDSFAVLVHAKAPAADHTAPRPSLDLVTVLDVSGSMAGSKLALLKEAMGFVIDKLGPADRLSVVSFSHQARRETRLTRMSDAGKASTKLAVEYLYACGNTDILKGLDTAAKVLDGRRYKNTVASVILLSDGQDTCNRPFGFNCGQNKSYDYLVPRSFVANNDRSTPIHTFGFGTDHDAKEMHAVAEATGGTYSFIENQEVVQDAFAQCIGGLLSVAVQEAWIAVACPHPDVRVRSIKSGRYESRVVAYGRAASVDVGELYADEERRFLLFVDVPRVAEDDEDVTRLIRVSCTYKASTTGKSMQVDCEDAVVRRPAEVTTEADQKPSMEVQVERFRVEAAEDIAAARAAAERGDHAEAVRILDRRQEAARTGDLLGDARCAAVVYELAELSARVSTQREYEQTGRSSMLSGMSAHAQQRAVSVNLCGAMASPTFGAMPAPAFGAGCAMPMFGATPAPAFGAGCAMPTFGAAFATPAMVDMVGSSRKIREEREQQHSSPPTMPSNGSSIFAAANNDSSSNPTS >cds.KYUSt_chr1.530 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2832621:2833791:1 gene:KYUSg_chr1.530 transcript:KYUSt_chr1.530 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVSVGPWGAPGGEPRDIPIGSMPQSLVSITIWSIKALGGAICGFSYVYVDQNGGPIHVGPWGNADPEHTITNIQMGPGEYLYELSGTADDSALLSLKLVTNQHTYEVGAPLEQTTFSMPLKNGKVVAFFGRSDNDHLTALGIYVPVMKGSPVNVGPWGDSGGIPVDITTPVQLKSVTVYSTDSSDGRIYGFSFTYVDLTGQSIHVGPWGTIKGEKHTFDLSLQGEYVNKITGTTAGDNRVTSLKFTTNQERDYGPFGSDRGNAFSVPLPDGEHNGAVVGFFGRSGKSHVDLGVYVGLAPNEP >cds.KYUSt_chr1.30155 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182521270:182522010:-1 gene:KYUSg_chr1.30155 transcript:KYUSt_chr1.30155 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGVGWNDTRSMLEAEDALWDNLVISFPKIKKFKTKSFPLFDALGELYDGQIAERTYNVNSTQPPQHRDLTQVDNRDELSHSEGTFPGFEESWAYNVQEDANLKDHITIDDEDESVARTLQRINKRAPTTARNKKEKEGKKPKKQSSNDIAGSMERYIFMREKQIEIESAQLASKNKVAQAGDYSIKRCISEMMTMALSTDEKVTAADVFKDPDNREIFLSSKEDDPRVALLWLRKAVAKLSQVV >cds.KYUSt_scaffold_2697.267 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1773726:1776617:1 gene:KYUSg_scaffold_2697.267 transcript:KYUSt_scaffold_2697.267 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGGGGGLLDLERHFAFYGAYHSNPVNVFIHALFVWPIFLTALLLLHLTAPFLHSAAVGAAIYGAFYISLDRRSGTLAAVLCLLCWAASAVLAARLGFSIGCKKRAPALFDNLVQAFLMGPYFVLLEILHKFSGYEPYPGFHANVQKLIDANRKEWEDKKAKKTT >cds.KYUSt_chr5.41035 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258913199:258915685:-1 gene:KYUSg_chr5.41035 transcript:KYUSt_chr5.41035 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASCPPSAHPIRITTSTAGARLTSAYGSRAGHAAARPPLPTMARAPLPLPRAPLLRRLLLAGALAASCSCFLLVLQAHASAPPPRYDGFAYGGAGSWKDAVVVEAFLDPLCSDSRDGWPPLKLAVERYSPRVSLIVHPFPLPYHTYSFMACRALHIANHLNSSSTYPLLELFFKNQGKFSNSATSSVSSTAVTGAISMMAAEAVGNSVSEFQSGFSDSRSDSAARVSFKYGCTRGVAGAPFFFVNGFLQPGAGSPIDYDTWTSILDPLVGRQGQKVEMFTSAM >cds.KYUSt_chr5.23810 pep primary_assembly:MPB_Lper_Kyuss_1697:5:155021505:155021951:1 gene:KYUSg_chr5.23810 transcript:KYUSt_chr5.23810 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSRHSFRSRGREGASPLNTAAFRCFQSCQQQSKAKAGAVSMEIDDGMGRRWRSPTWKSRCTSTPIVDREAGSNRTVECHVAGGLEGGVTQGAASPGRVHNGLPKKRVASLDTRQGEQPSEEPDSRWRVASPDKPRVGGANPAKVA >cds.KYUSt_chr4.5776 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33713855:33714335:-1 gene:KYUSg_chr4.5776 transcript:KYUSt_chr4.5776 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRASGRVSAVARNVTVAALKVEVAAAGATAGHYATHGAFRALAVACGALGLVGGAAYLCYSRGVGFTKYLLSPATTSKATRHDRQRVSAGGSISWVSTGFDSESSPALIDALLAGPTRKRRSGEERVSALHGRSDA >cds.KYUSt_chr4.28293 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177764223:177764627:-1 gene:KYUSg_chr4.28293 transcript:KYUSt_chr4.28293 gene_biotype:protein_coding transcript_biotype:protein_coding MEERTGKDPEKEERTTSSPFPDHGRQGTTHSSRRNDTAATRSSTAAICHGTAASCRGTTARRRSTVDGSCGTAARSSDPAARSCGTATRSSSTAAKGSGTAAQEAGTTASVPSSTPRPAVPPVKTSTAAATSRH >cds.KYUSt_chr1.19322 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113407066:113410209:-1 gene:KYUSg_chr1.19322 transcript:KYUSt_chr1.19322 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIGDVPPIVTTDLDQPKQQKEPNTWRLELPTPKPNARPFLTQAGLIPPLSAPPPPPAARRDASSRPTTPLPSPLRSSLQAAPSSSLPGGMSGPAPTPPPPPPPAAAPARPVRYDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAASASAAPSVGRGKPPGEDDGGDDGGDEEKGYDENQKFDEFEGNDAGLFSNADYDDDDREADAVWESIDQRMDLRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLADVSMQEWESIPEIGDYSARNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLRSVTQTNPKHPPGWIAAARLEEVAGKLQSARQLIQRGCEECPKNEDVWFEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKAVVELANQEDARMLLHRAVECCPLHVELWLALARLETYDQAKKVLNKAREKLNKEPAIWITAAKLEEANGNTQSVNKVIERGIRSLQREGLDIDREAWLKEAEAAERAGSVLTCQAIVKSTIGVGVEDEDRKRTWVADAEECKKRGSIETARAIYAHALSVFLGKKSIWLKAAQLEKSHGTGESLEAILRKAVTYNPKAEVLWLMGAKEKWLAGDVPSARAILQEAYAAIPNSEEIWLAAFKLEFENNEPERARMLLAKARERGGTERVWMKSAIVERELGNVDEERRLLEEGLKLFPSFFKLWLMLGQMEDRIGHAAKAKEVYENGLKHCPSCIPLWLSLASLEERINGLSKSRAFLTMARKKNPATPELWLAAIRAELRHGNKKEADSLLAKALQECQTSGILWAAAIEMVPRPQRKSKSSDAIKRCDHDPHVIAAVAKLFWHDRKVDKARSWLNRAVTLAPDIGDFWALFYKFELQHGNADTQRDVLKRCIAAEPKHGERWQAISKAVENSHQPVDAILRRVVLALGAEENPNAAEP >cds.KYUSt_chr7.37708 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235216773:235218188:-1 gene:KYUSg_chr7.37708 transcript:KYUSt_chr7.37708 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLVALLLVSCSLAVSGQKFNAIYSFGDSISDTGNLCVNGPPAGLTLTQPPYGETFFGRATCRCSDGRLVVDFLAERYGLPLLKPSKQGGADFKKGANMAIIGATAMGSNFFSSLGVGDKIWNNGPLDTQIQWFQNLLPSICGSSCKSYLSNSLFVLGELGGNDYNAQIFGGYTPEQAAGQSPTIVNGIGAGAEKLLSMGAKYVVIPGVLPVGCFPIYLTLYQTSNAGDYDQYGCLKRFNALSARHNSLLQSKVTSLQSKYPGARIMYADFYSHVYDMVKSPSSYGFSTNLRACCGAGGGKYNYQNGARCGMSGASACGNPASSLSWDGIHLTEAAYKKIADGWVSGAYCHPALSS >cds.KYUSt_chr7.26112 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163023974:163024759:-1 gene:KYUSg_chr7.26112 transcript:KYUSt_chr7.26112 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKREMVFFDLEMTAAETSPDSEECHLLEFCAILVCPRRLVELSSYSTLIGPTPGDLADSTQVTSDELACVPFPDVAADIFDLLDSRVWAGHGICCSDICEAFAAAGMDAPVPAGIIDSLDVLAKGFGWRAGGLELAAYFEISVPVHRCLDSARMSLEVLKRCAGALLLESSLRVEHTGADTTRRRATKSTSATATKPGNTAKTTTTTAATSVSCKRDSMGKVVVKKGRTASGGQRVGASPRRTPFNMVLRHSRAIVRR >cds.KYUSt_chr7.38714 pep primary_assembly:MPB_Lper_Kyuss_1697:7:240943669:240954347:1 gene:KYUSg_chr7.38714 transcript:KYUSt_chr7.38714 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRDYPFGPAPPARRRERGRDVARRKHNDADDDDEAYAVYDDDDYVEALAYHSEGGEGRLRRRRLPRMARALAEAGTRLPDNMTDDEMAKVAVLVSETTRSVRPPLPRCATAVMPSGLSADEALRRALSSRRRLATAATARRSGRLHRRHNRRSGPSTAATAAASSTSSTGGGAPGGEGLAPYLYVELDFQEVTSKKAAIINHYTEMKEKLGPEATISIEKGEVTSTHYKLFSADIREIPKLDRLIDMAGMDPSLPTFIIAECVLIYLDPTSTDAIVSWASEKFSTAIFFLYEQIHPDDAFGEQMIRNLESRGCPLLGINATPTLGHKEKLFLDHGWKRAVARDMLKIYNDFIDSAERRRIERLELFDEFEEWHMMQEHYCVAYGINDAEGIFDDFGFKE >cds.KYUSt_contig_402.315 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1874869:1875261:-1 gene:KYUSg_contig_402.315 transcript:KYUSt_contig_402.315 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPVRPLARRKTLAGADITRTVRFSLRKAGDRNKQRKAATPIARKAEMMVCKGLGIIKDGKEVTEWALAEFASRFKGRVEEDVIKAMMALFKVGSEEDDTCDEDMLAHRGTAALDMDEPEAGSATAEV >cds.KYUSt_chr4.1559 pep primary_assembly:MPB_Lper_Kyuss_1697:4:8208280:8216245:-1 gene:KYUSg_chr4.1559 transcript:KYUSt_chr4.1559 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPLPPAAGDAADESLPAVARSVEELASFSDRLTDFLGQWHDILVHANSIAAALPPLADPDQDRKPSPSTAGVAEPRGQSSSVLVEALAAVTDRAAESNPNPDPATQLKPDGVPVDAAAAAAASFPVPDPAPEPEPEPVLSAVVEPNPVPEPDPAPKPKAKPAAERERRAGEPSEAALGAICEQMGSRSLRRFATAHLRDRSWLRRVGPAALRRAPDPAALVLRAVSRYYICAESESAEAACVLLLELYVRAGCPSRRRRPEPEGEAELRAEARVAALSWRSRIVREKGRVADASARDGRGLILLMAAFGVPPEFPGQELYDLLLAGGCLACADVLKCSQLFVKKLRDVVVHMVNKGSYCEAIGVILSFELQDAFPLGDVLSYILDKVEDDRKFEGQSNLAGSVLRVAVSEAGRDGFDLFRRDAPRQLRPTSPPTMEATASTFISARPTPSSLPRPSLRRSRVRVLAAARRRPLSAVAASTSNYVVPLDAAPSGITRPLVEILRDLNKRVPDTIVLPASRRASASDPVVPWYHANRMLSFYAPGWCGEVRDVIYTDNGKVTVVYRVTIRGTDGEVHREAAGTASLSDARLDDPVAAAEEAAFCKACARFGFGLYLYHEEEVL >cds.KYUSt_chr4.10365 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62833346:62849057:1 gene:KYUSg_chr4.10365 transcript:KYUSt_chr4.10365 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSTLLSKVVFAGQPPPPPPPAPASPLSQHSDHADAATPRLSSASTSGGSGPADEAAGPFDGYSPSSAATRGKNELESDFRRFWEEFRSSSSEKEKERALNLAVDVFCRLVKQQSSVAQLVAKLVEAHVFAFVIGRAFVTDVEKLRIHSKGRSLHVDDVIGFFSEITELGICPGSNLLYAVEVLVTETIDKQPLLDSGILCCLIYILNSLLSPDESCKKSLPVGREGSTSEKNKDWGPMQSRRLEIEGSVVHIMKALASHSSAAPSLVEDDALQLLFHMVANGSVSVFSQFREGLVPLHTIQLHRHAMQVLGLLLANDNGTSAKYIRKHQLIKVLLMAVKDFKPQSGDAAYTMGIVDLLLECVELSYRPEAGSIRLREDIHNAHGYQFLVQFALTLCSLHKIQAQQSLTIVSEEDGSDPSHRLEQDRFPCDLSPQLSRLLDVLVNLSQTGPSEDFVGKSMKSSHGKGTGHSRSRTPSAEKFSDDVLEISSPKVKDLEAIQMLQDIFLKADNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGGFPAALQEVILKILEYAVTVVNCIPEQELLSLCCLLQQPISTSLKHTVLSFFIKLLSFDQQYKKVLREVGVLGALLDDLKQNKLFSGDEQQSKIFYSPEIKPNTDDFQKTVDNKDSILSPKLMASGSTKFPMFDHEGTITVAWDCLFYLLKRAETNQQSFRSSNGVNTILPFLVSESHRSGVLRLLSCLIIEDSLQAHPEEIGSLIEILKSGMVSTSLGSQCKLDNDAKCDTFGALWRILGANSSAQRIFGEATGFSLLLTTLHSFQNEGENEESEPSLFTHMKIFGFLMRAMTAAVCNNPVNRIRLHTILSSHTFYDLLSESGLLCVDCEKQVIILLLELALEIVLPPTSNLQVESISSETSEDEPGFLSATSFGLPRLDGERAYNASAVVVLIRSLLTFTPKVQLELLRFIEKLANAGPFNQENLTSVGCVGLLLETINPFLEGSSPILNHALRIVEVLGAYRLSSSELRLLVRYILQLKVKRSGHLFVNMMDKLIQMEDVRQGDISLAPFIEMDMSKAGHASIQVSLGERTWPPVSGYSFVCWFQFRNFFKSHSKETEKTLKGAYGKRSGQVLRIFSVGTVDDANTFYAELYLNDNGVFTIATSNSSSLSFPGIEMGEGKWHHLAVVHSKPNALAGLFQASVASIYLDGKLRHTGKLGYSPSPFGKSLQVTLGTPAIRGKVSDLSWQLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDSDLLRFVPNRACGGEVMAILDSLEVEVAVPSSSQRIDSSMKQGSFRLESSGIVWDMERLRNLSLQLSGRKLIFAFDGTSSDAFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYVCNQCTIGDTVQTVGGMPVVLALVEAAETRDMLHMALELLALSLQQSHQNVKDMQALRGYHLLALFLHRRMSLFDMQSLDIFFRIAACEASFPEPQKSNIKRTSSYASGISPEASLDELSLLKFGDDVSSGGSHGDLDDFSAQKDSFSHLSELENADLAGETSEFIVLSNADMVEHVLLDWTIWVGAPISVQITLLGFLERMVSMHWFRSHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFIIMTFDPPELTPNRQIVREAMGKHIIVRNMLLEMLIDLQVTINAEELLEQWHKVVSSRLVTYFLDEAVHPTSMRWITTLLGVCLTSSTTFALKFRTSGGFQGLNHVLPSFYDSPEIYYIIFCLVFGKPVYPRVPEVRMLDFHALMPSDGNYGELKFVDLLDTVIEMAKATFDSFIMKSMLAHQNNNLSHLNGTLVADLVEATSDMGGDLQGEALMHKTYAARLMGGEAAAPAVATSILRFMVDLAKTCPPFSAVCRRHEFLESCVDLYFSCARSHCALKMAKDLTTATTDEKNMNDDDNESSKDTFSCLPQNQEQSAKTLSVASFPQEHKSTSSGSTDVQNSSDNGEVKADSSPNEELNPKIINGEANQAFQNAHDKGQLSAVRSNGIGDSHQLADSPSSVSMINTGSPVLSERSTHKAASTPTASPMAPFTSWAGSSGSYTDGRHLTASPSMSSTISAMDLDSSPDLKTSIHGSPAVNTFFPISSKLLLDIDDLGYGGGPCSAGATAILDFIAQILADIISEQLKATLFIESILESVPLFVDVDSALVFQGLCLSRLMNFLERKLLLDDDEDGKKLDKSRWSVNLEPLCWLIVDRVYIGCFPTPLGVLRTLEFLMSMLQLANQDGRIEDAVPSGKGILSIARGTRQLDPYIHAILKNTNRMIMYCFLPTFLKNLGEDDLLANLDFLTETGRNLASKPPQEDYAVDICTILQLLIANKRLVLCPSNVDTDLMCCFCINLMALLRDKRLSAQNLAVDLLKYLVVHRRPSLEDLLVCKPNQGQQTDILHGGLDKLLTGSTSMFFEWLQNSQQTISKVLDQCALIMWVQYITGSAKFPGVRIKGMDVRRKKEMGRKSREIVKLDARFWEQINERRYNLDLVRDVMSTELRAIRQDKYGWILHGESEWQSQVQQLVHERGIFPIRQVSTEPAWQLCAVEGPYRMRKKLENSKFKLDTIQNVLTSSLGFDDVTAAKKEDGDMLMTSGSDTMSGLNLLTYETEQRELDAADFTSFKEDDDIFKGGSTMSPPVGWTDDKSSINEQSLHSANDFGAKSSSFSYHMSESAQGKSEFNSPRQPPSVKGTDTRTSEDKSEKELLDNGEYLIRPYLEPSEKIRHKYNCERVAGLDKHDGIFLIGELCLYIIENFYIDDSNCICEKGDQDELSVIDQALGVKKDIMGSIDSQQKSPAPWGATANISLGGRAWAYNGGAWGKEKLCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREEVFKILIGMNLPRNSMLDTTISASSKQDSGEGSRLFKIMAKSFSKRWQSGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYNSDTLDLTNPQTFRKLDKPMGCQTEGGEEEFRKRYDSWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSMENQKLQGGQFDHADRLFNSVRDTWSSAAGKSNTSDVKELIPEFYYLPEFLENRFNLDLGEKQSGEKVGDVVLPPWAKGSTREFIRKHREALESDFVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDAVSDPTMKASILAQINHFGQTPKQLFQKAHPQRRTDRKVPPHPLRYSAYLTHQEIRKTASAVSQIVSYNDKILIAAANSLLKPVTYNEYISWGFPDRSLRILTYDQDRLQSTHENLHGGSQIQCTGVSHDGNILTTGGDDGVVAVWRFVKDGIRRLLRMEKALCAHTAKITCVYVSQPYSLIVSGSDDCSVILWDLTSLVFVKQLPKFPASVSALHVNNLTGEILTGAGVLFAVWTVNGDCLAVVNTSQLPSDLILSVASTTHSDWQDTNWYVTGHQSGAVKVWKMVHCTSDEAADSKNKSPTTTFGGPGLNGQTLEYRLLLQKVLKSHKHPVTALCLTPDLKQLLSGDASGRLFSWSLKDDSFKGS >cds.KYUSt_chr6.16721 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105121476:105132034:-1 gene:KYUSg_chr6.16721 transcript:KYUSt_chr6.16721 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIISKLLDEVLSYCKYNKAETLHHLLTDILPRLTLTLEAAEAINHSKLFEEMDICIEPSLTLTGTLNVRLKDSIARIEELIVKAQGIIALAEPSSKGLWASEGFIETANPEEDLEVVGHCYFDELVATLFMQPVESENNIGKSYYVVHDLMYDLAEKVAGNDCFRIENGQRTQIPCDVRHLFVEIGAMATEEIFELKNLRTLIIHESANQLLLQKVFEKLRKLRVLAVKYTFDKIRGSIVFEIPPTVGHLRHLRHLALVLPSASKLILQRTLTKLNHLQNLKFEGYDVLEFPPDIDVGKLSNLRHISMDSRGTTFPNIGRLTSLKTLERFRVSIGCGYEIKQLRDLNKLRGHLAIADVGNVKSKNEADEDNLAAKTRLTELKLIWNHRYDGTTPPEVEAEVLEGLRPPRGLKSLEIYGYRGLGYPSWMVGVQNGSPGYLNTLLLGKCYQLGPAPELFDCFIHLRELTIWRSCWEYFPDNVKDLKWLKSLIIEICLNLKSLPELPRSLEIFTMVDCERGFTESCNQIHAPSYLMGLVAIDWFKDTKRMDNVGRQKGCVAQVAAEKGMHTFVANIQIHVSIRYSLVMYFVTNTLEKGSLLQRFFDGDDEFRNSRLKLMPGVPKANTYDELPEQVIGAARLAHHVEPAAAIVSDLDNNSDGKDSSNDDKNNNTSSEDDTSEKTN >cds.KYUSt_chr2.49956 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312476574:312486717:1 gene:KYUSg_chr2.49956 transcript:KYUSt_chr2.49956 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGPSGNPPTPPYSVPPSAAASAAPPPNPSGGADSGNTTAATRVLFVPPRGAPHAGAAAAQMAAAQGAGNARPAPRRGKAPLTKRPCIGAASVAPPKKEKAPASRWPQPPPPPTNQTPPPPPTNQPAPPSGNHAGAHTVHDEMPERVDDDTFMETMNVGSSFMHDEAADGEEEYEDVDEEGEGSIEPQHPGRSANYTIAQPAIIALKKGAHLLKCGKRGKPQFCPFRLSPDESTLVWYSKDREKQLNLNSVSAVILGQKTVNFQRLRWPEKEHQSLSLIHRNGECSLDLICRDRDQAECWHLGLTALISCPYSPASSIGSKSSRQMASCASTPRSYIQRKSKLSAVYDTPRLRQVYPSTVSPRMTQKDLFGGYLDCSEALFYQRQRTFSDIDSYLERLTPKVANPVRHGLKDILVANKHKTQIAAETPKLKTVEGPRAACRLDSLKDVFLWGGVLDSMLGSEDISKALPRLVESTKMLDVQSISCGENRAAIITKQGEVFSWGKESSERLGHKVNANVSCPRIEESLASVHVKAVAFGSKHTCAVTVSGELFEWPEGAHSLALLNNWLPHKLFGPMDNISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHGDTQGIARPKEVESLKGLEVKSVACGPWHTAAIVEVISSFKCNTPSGNLFTWGDADKGKLGHADRKMKLVPTCVDSLTSYDFVQVSCGMALTVVLTSTGVVFTVGSSKHGQLGNPQTDGESVCIVEGVLKNEFVREISSGASHVAALTMNGKVFTWGKGADGQLGLGDYDNRSSPTLVEALEGRHVQSIACGSNFCAAICLHKGISVKDQPVCRGCKMAFGFTRKKHYCYNCGSMFCNSCSSNKIANAAVAPDKNRRYRVCDLCFRQLEKAINSGQVRQQPKISKGEMFKAEIKAYTPKLSRLFKEANLIVEKMTLVQGSNQRNADSAIPIQVRTQRWGQVECPAQFVSAQDIFQHQRISNSEMCGVSFSQRMHNSVGLKAGNYLRRSTDSQREELDTMNMILTEEIKQLRSQVTALAEQCQQKNLIAQVYKQKLDETWLIVRDEAAKCKAAKDIIKVLTNQCNALSEKLSVRQQSENSKVAPNSVPGQAPSPDHRNIARTIDSDGYTTEADSTAAPVDGVVEQIERGVYVTLAVSAGGKKDIRRMRFSRKHFGEKEAQRWWEENKSRVYAKYSIEQLETPPLNHT >cds.KYUSt_chr3.22353 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138047908:138049724:1 gene:KYUSg_chr3.22353 transcript:KYUSt_chr3.22353 gene_biotype:protein_coding transcript_biotype:protein_coding MALKEIIEIVYSCLPDFPVTATASLSAAFSSSTEGGGGGEDRISALPDDLLRKIVSRLPVKEAARTAALSPRWRGLWRSTPLVLDDEHLLPYLPDSSDEDDDLLGDCTSLLVSAISGVLASHPGPFHRVHLAMNPLCRELYAAEEWLRLLAAKGVENLAFVNRPWPLEVPLPLSIFLCSSLRSLYLGVWRFPSTAGLPRGPDVFPHLQELRLCHTVMEEHDLEHVLACSPDLQILALIASSGIFSGPSRARISSDSVRCVLLWSSMADELALVDAPRLQRLILHADAAGSPRTMKVQIGYAADLTVLGYLETATHVLVIGNTTITAGVTNVNPNAMVPSVKLLALKVNFRAAKEVKTLLSFLRCFPQVETLHVLVLSFDPVFVFDCVSFTLFSFSQITSIDAESWGGEDNPLNSTFWREVAAIECVESCLKKLVLDEFSGGANELGFLELLFGRAQVLQKAVVVLPDAGNAAMIAKAMGKLAPLGSAEWATKEDCPLVVLAHSEPGDHVWSYQRASDLSVSDPFLGKAMQ >cds.KYUSt_chr2.26578 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162824064:162827830:1 gene:KYUSg_chr2.26578 transcript:KYUSt_chr2.26578 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSLALIVEVLSCSIDAGFLHVEWKFFTNKSSKISQLSMDPSASLSLSNPLSNPQDLAIHQISCSYQANSASGLAVHDDCKIKFSDLKARRSFRFIVFKIDEKSMEIKVERLGETSHGYEEFTNSLPADECRYAVYDLDFVTDENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRREMDGIQCEIQATDPSEMSLDIIKSRAH >cds.KYUSt_chr7.7754 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46782181:46786381:1 gene:KYUSg_chr7.7754 transcript:KYUSt_chr7.7754 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKVVDNEKRKQAAEDMGDAMRALKNRANHSKQDMDILAALEEMRSMKEEKIVAELDDEDEELIKSITSRVILYVDFHMYLQNSKDYVKRIEDGDGDEDFVIPGQLRFMAEVDDRPVVEGVIHDDSVKVGGDHGLQRVNTVREQHPETLDCYAPITPLALTGRMSATRKHRLDRVPGPLGSSAISFTAPEVILNTSFTT >cds.KYUSt_chr2.12942 pep primary_assembly:MPB_Lper_Kyuss_1697:2:81968001:81971087:1 gene:KYUSg_chr2.12942 transcript:KYUSt_chr2.12942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) membrane protein, Early tapetum development and meiosi [Source: Projected from Oryza sativa (Os07g0622900)] MMGRDELLRRSLVALAAAVVVTGVATASVRKAVATYVFGILAIAGVLLPDWEFFDRDFSQWLTPMPASRRTAAAAAAEREHDIWRFKPYPLRMAVLTTIYGFGLYKWWILFRCGGEKRKMSRYSGDSSCFA >cds.KYUSt_chr3.25062 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155589742:155597996:-1 gene:KYUSg_chr3.25062 transcript:KYUSt_chr3.25062 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVQALLQSRTQGRRDGVDVAQEGVGGAAELWAGGGEADHGAAERSHALPQSRTQGRRDGGLALEARVDVAQEGVGGAAELRSGGGEAEHGAARTPIRGEQLQTLLQVVVFLAIRVPAIILCLWFARLDSKLMFPTAPSPRRPARIAPRSSEGQLWAGGGAEKGGYGGGARWRGAEKQQPTYRMEAYTAAGSGPLPPALSFFIVHGGKRSEATEADQATSLRHWAGEAQTMLRHAVELVAAKCCGRAEQLADSGSSIASGSNCRHALSRRWEERDSTQQEDEEGEGGAWIGHAAAALVAFDLSLPEDAATLPLLLNCQLMSGLQCKSGFFFLQRKILLQSSRVPWVSSTYYYWALCAKPNREFPWYLHGVESLIKTRALRSSVLGPTGPETCSPAACYHIPHQYIGHNQIISSGFFLMQPEQLGFRQCVSLRHDDVLAIGTTQPLPDDDDALIK >cds.KYUSt_chr4.11293 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68604131:68605697:1 gene:KYUSg_chr4.11293 transcript:KYUSt_chr4.11293 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSLALSLALLVVLSAVAGPQLAGAAKGDGSLRIIQKDIIQTINEHPNAGWTAGHNPYFADYTIEQFKHILGVKPTPPALLAGVPTKTHSKSIDLPKEFDARSQWPACSTIGNILGMFGPSSYMTILLFVHSLQNISLSVNDLVSCCGFLCGQGCNGGYPISAWRYFRRSGVVTDEVYVTLLLGTS >cds.KYUSt_chr3.21738 pep primary_assembly:MPB_Lper_Kyuss_1697:3:133611727:133612824:-1 gene:KYUSg_chr3.21738 transcript:KYUSt_chr3.21738 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKVEMRFIQNARARAATYAKRSKGLQKKASELATYCDVPVALVCAPAATGGAGAPRLVWESEEGVLERYRAASIPPEKRAQHTHRSYLEAELGKETAKLARARPGALPDWDAALNDMTVDEARELLETIDAALRAGADKMAALGLPADGRLELEQFAPPADDASDDYAFEPGHLALDMVYDSFQPQTMSSHGGSNDQGSLLEQFLMQPERGLECVGGGGSYYAGAVDEMQAPGGYGDNADYRWLDLTMCNAADESSVPVGYYPNFADESSVPVGYYPNFADGALAPEQYSAQDFAGGDYVDTLQLEYPMGMDENFAYYPDMDNNYMAHWQADEFQRSQTGTGQYQWSGPGTHSSGQAFHYLY >cds.KYUSt_chr6.31773 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200793332:200793946:1 gene:KYUSg_chr6.31773 transcript:KYUSt_chr6.31773 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAHCPAHADVEVKDVDAGGATKVVEHCMYAGEVRELEHRGHLPEGLVGPELEDDVLGRGRRRRGGLSSRGERKAQGRWRSSRRTPAGGRGLGTGSAATSRARLKPFTSSLADPALLSIKGAPIVRACCWWRPTATGHCGQCGTRIVKTLGPSGRGAAAVEQGGFVLWTMAPGMWYVELAIGCSKVHVGCKGRLVWRHTPWTC >cds.KYUSt_chr4.36991 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227459591:227461590:-1 gene:KYUSg_chr4.36991 transcript:KYUSt_chr4.36991 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAVLAALLALAVSRLCIYAVVRLAWQPRAVARMFRNQGVRGPSYRFLRGSTEDIRRMKAEADGVELDVHDHDYLRRILPHFLKWKDQYGGPFVYWFGSEPRICIYDYELVRQILANKSGHFVKNDAHPAVLAIIGKGLILVEGKDWVRHRGVVNPAFAMDKLKTMTRTMVSCAECLIKQLEDQTANSKSGEIEIEFSKQFQELTADVISRTAFGSSYMEGKEVFQAQKQLQAISVATLLNLQIPGFKYLPTKRNRCQWMLEKKLQNMLSEMIQCRVASKESGFGDDLLGLMIEASFTKEQGEKQDEMILSIDEIVDECKTFFFAGHETTSHLLTWTLFLLSVYPEWQQRLREEVLRECGKRNPDADMLSKLKEMTMVLLETLRLYCPIIAMMRKPIADVKLGSLNLRKDNEIVIPIPILHRDKEVWGDRANEFDPMRFENGISKAAKIPYAHLGFSIGPRSCIGQNFAMLEAKSMMAMILQKFSFTLSPKYVHAPADLLTLQPKFDLPIILCPLDV >cds.KYUSt_chr4.7664 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45764435:45765112:1 gene:KYUSg_chr4.7664 transcript:KYUSt_chr4.7664 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKNSSDLVLLDFWVSPFGQRVRIALAEKSLPYEYVEEDLAGKSDRLLQSNPVHKKTPVLLHGGKPVNESLIIVQYLDEAFPDTPSLLPADPYARAQARFWADYVDKKVYDCGARLWKLKGEPQAQARAEMLEILKTLETELGDKEFFGGEHGFGFVDAAFAPFTSWFQSYEKLGEFKVAEVAPKIAAWADRVGKRESVAKSLYSPDKVYDFIGVLKKKYGIE >cds.KYUSt_chr3.34503 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216512385:216512810:1 gene:KYUSg_chr3.34503 transcript:KYUSt_chr3.34503 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEASTGKEFALERCPGESESMSTEAVSGEEERSMKLCPAKRKAPTAGKNKEDGDSSEEDEEGVQEGIVFSELEEGGSDDDDESMTESEFAAFYEGVRARYRKYWQEVVVPRNCEFKDYSLVTEEGDGNAKVEPAPESK >cds.KYUSt_chr3.33821 pep primary_assembly:MPB_Lper_Kyuss_1697:3:212268779:212275004:-1 gene:KYUSg_chr3.33821 transcript:KYUSt_chr3.33821 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSWIGNHVVQEKGNDMESGGISARSSAGNEEIGGGLAAVVVAVGAVVLAWWRGSWWRLERLLVEWWVPVVVLEQGHVEPWRHISLFYRISFLDHAAPTQASPLALSSPYSPSTGVIPSSAWPAKDGQDPLHHLPTILLPSPAWLGVLPRSQWQERDLSSAPDQIGGAPPHQCSSSPSLCGTSSLLSAALRAQSQRPAPLCPGRRASSPPSSPLLSHDGLPSLPLLIPCRPHYLSPKLQGRSARPLQRHPRSPRSSLELLLVGPQPLAHGLDWSRAAPNLLRPKPRAPLPSWSVARGAAAMLADTDHRRKAVRAIFSELDEINAKPPNFPGRLQNTEGESEESQGAPHPRAARPKGGGAPPYGLGSPWPLRLRLFAYLSRRDLKLRHRLTKLQKDSRGAAAIAKLQFGGQNSVSAPCRDGELPPEPSPPPSSPPSSPPSLPP >cds.KYUSt_chr6.26039 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164935681:164938830:-1 gene:KYUSg_chr6.26039 transcript:KYUSt_chr6.26039 gene_biotype:protein_coding transcript_biotype:protein_coding MEACRLISSQRLVPRLSQISAAPHTLLPRSQISNSPTLPSHSVRLLAETLTAAAAMGGSHSREDLDFTDSDDDDSASRASDASSDYSTPPPASASKAARLPTPASIDAIDTHLRNLHLKYSDPISPNPSPTPNPTAALNAVKLYLHIGGSTPAARWVISDRLAAASFIRSGDPSSSSDDDEPAGPWCLAVGSKIRARVGPELQLKTFPAQRRVDFVADGVWALKFLHADGYGDFTTKYHSCLFENSYGVAATDEGRAKVFGKDFAAWARPEFGDESIWEDATDAFSPGPKGGGSPMPARSPMLRPLMEDFREFEEPVEDDGGGGIQSLALGALDNSFLVGDAGIHVVKNFEHGIHGKGVSVKISGGGTNFTTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGRVVSQWKFGKDGADINMRDITNDSKGAQMDASESTFLGLDDNRLCRWDMRDRHGIVQNLANSMESPVLEWTQGHQFTRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDSYLILICTIFIDKDGKEKTGFGGRMGNRIAAPRLLKLSPLHSHLAGDNSKFREGRFSWVTENGKQERHLVTTVGKYSVVWNFLQVKNSHHECYQNQEGLKSCYCYKVIPKDESIVASRFMHEKYDTSDCPEAPLVVATPMKVTSFSVSSQR >cds.KYUSt_chr3.10628 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63085323:63087501:1 gene:KYUSg_chr3.10628 transcript:KYUSt_chr3.10628 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEPPEGWAEWLRRLLERHLARAMVAETKNPGQGKRLEDGQGSADKSSQEPPEGWANWLRRLLQLLQRHFAPAKVAETKNRGEAKKGEIPQELTFDSSSRPLVPAHQSASELVIAANQTKNGSKKRRRRPRTKNPTAANTSSSQQPDGLIEPAAVVVAAHEPTPDAPAPAPAVVAEADTEGRQQDAPKKRHGGGTERAAQVLALEPIVIEHDALRPTIAEQQRAPNDTVDEPRPASALDAVPTPKPRVGAVCPDPDPSNGWLKPKKPRARWTPVPPPPRLPRPTPARRASPPARPTRPPAFVLQGDESEDEEDNGFGIKKMFEPEGQEVAQKEDNEREEEEELHLKYWIKNQELRGSGKDKTPCLICFLEQRRTVPEIDNKEYLAIRHLKEAHNIKRKHVCKRTGCWVTGRNKREIGMHQILCHNL >cds.KYUSt_chr4.14831 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91477234:91481780:1 gene:KYUSg_chr4.14831 transcript:KYUSt_chr4.14831 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAAYDPFTGELLARYPPRLWIWGGWSPRVRSIFDPTAGFVVVVFPLAGHGEGEEEDGTTILLFRVVCALLVVCGYNVLQLPPAALVARGGGGLTCRRLNLPDGGAFYWWLGSTARGSSPGGTAGSSPSPACPMMDLKDSLSKFKQQQERCQSSLASIAASHASTTKPKHRAPPINAPSAPARPAHPIKFSNDTERLQHIHSIRKSPAGAQIKLVIELLYKTRQAFSAEQINDATYVDISGNKAVFDSLRNNLKVHYDGRRFSYKSKHDLKGKDQLLDLIRGHREGLAIVEVKDAYPTVLEDLQALKAAGEVWLLSNMDSQEDMVYPNDPKAKIKIDDDLKELFRGIELPRDMVDIEKELQKNGMKPMTDTTKRRAAAQIHGVKPKAKPKKKQRGMTKRTKLTNAHLPELFQHL >cds.KYUSt_chr6.7927 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48599829:48602328:1 gene:KYUSg_chr6.7927 transcript:KYUSt_chr6.7927 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDKLGELVGVKVGDLPLYTVDDDLLLECLVGPHLVDGPSPILLLLAMPLVSGSHSKISRSFSTVVASASSLSMKSVYSTMFNGVGSSSASVAVPKSSSERVDAQVVLVNGNDVADTISSLVAQHQIQILVVGASRGFFSRTSSKICKGVPSLLNSNY >cds.KYUSt_chr3.34014 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213459559:213460452:-1 gene:KYUSg_chr3.34014 transcript:KYUSt_chr3.34014 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSLTPFLFAGSLVVAFLATCQAGSIAVYWGQNDGEASLAETCASGNYEFVILAFLPKFGKGQTPELNLASHCDPSSGGCRSQSKDIQSCQRSGVKVLLSIGGGDGSYGLSSPGDASQVAMYLWNNYLGGTSSSRPLGDAVLDGIDFDIELGSAKFWNNLATDLKKLGKNGGTTVLLSAAPQCPFPDEWDGGAINTGLFDFVWVQFYNNEECQFSAGRSAFLNAWKKWESVPAGKIFLGLPASKDAAGTGFVPARELTSRVLPLIKGSPKYGGVMLWSKFYDDRTGYSDAIKSQV >cds.KYUSt_chr2.30127 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185498709:185501179:1 gene:KYUSg_chr2.30127 transcript:KYUSt_chr2.30127 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGEEQIGLVLARASDLRSRISACAAAAARSLPRLGAGEEEDGGQEYDEEEEGVGVESLVGINDALESLEQQLASLQVRLSFRKKGVFIVALLASQPAFVAMDLQHQQRYEREAILGQIDRSRTSLLNKLREYKGEDCEVIHEAAAFAGEKIENDDGLILPPYSSHVTNSFVLDDLYPTNYVSKSKCLHNGLGANAMTEDGTRTNGLQNRSASTSSRNSQGGIRSFIGWMAKTAVMVVGAVSIMKATGYEPTIRSSIKLDIAGLFGKELTGAKEQVPTIQCPPGKVMLVEDGRAHCVVKERVEIPFGTSLAAPDASYGLG >cds.KYUSt_chr5.29628 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187903484:187903924:1 gene:KYUSg_chr5.29628 transcript:KYUSt_chr5.29628 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGRPRPRPWPVLPGACLRLCKGLGWTAWQLNRVVASFDEAGMADWLDRWTKKPDFLYAGAKSLGGAAVTTGSSPRFDVFGNDFGWGKPVAVRSGCGNKIDGKATVFEGPERGGSMSLEVCITPDALQRLVADEEFMDAVSMPM >cds.KYUSt_chr3.42696 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269955321:269966497:-1 gene:KYUSg_chr3.42696 transcript:KYUSt_chr3.42696 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKKKKKKGVKGSPMDKLTDDILTDIISRVPYKSTCCCKCVSTRWRGLFWHPDHRKKLPQPLAGFFHEGFNMNRSPMRARYFTNVSGKGFALVDPSLPFLPKYEKVDLLDCCNGLLLCRCWKETDPNTLDYVVCNPATEKWVLVPATNWSSKAAIACLGFEPTVSSHFHVFEFIDEEAWGFDESEQCECDGRIETLAIYSSKAGVWKHHSLDNYMFAVPDNAKSVFFNGILHLATTYNFILAVDVEGNDWWQIDTSIPTPPHIEDARDDAIFVSQRQLYITDKIAGSDCSDLSVWALEDYNSETWTLKRKVSKLELFGARTPYLPYVPLFSEALADGTRSVVPPATSLRVIVQPQLTSPHLSSPLPMATTPNPPNPSPLLDDDLPPEPQPKGRDNNSKTNKVWKPKVRDPPEMPPETDPETPEQEPSEPDQDPEPPLPTDAIEPTPSGGEEDESGDDNSASVSSVSSALAAADLASTATGPSGADRPFPAAKDLLHISFNQDYGCFAAGTKSGFRIFNCDPFREIFRRDLGPTAAPTPTSQPQDTRTPPLSGGGGGVGVVEMLFRCNILALVGGGDAPHYPPNKVMIWDDHQSRCIGELSFKSPVRAVRLRRDRIVVVLDAKVYVYNFADLKLVHHIETAPNPRGLCAVSQQPGSTVLVCPGAQKGQIRVEHYGARKTKFINAHSSRVACFALSQDGRLIATASTKGTLVRIFNAAEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGLTTNDKPLPAPEPDVPHMSPSFSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGRFRRRHVKPPPPLATKADRRKLQDAAAADRQKSTHDPKKEDGGAIPFLSLATTAG >cds.KYUSt_contig_1158.311 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:1884558:1889310:1 gene:KYUSg_contig_1158.311 transcript:KYUSt_contig_1158.311 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMDEINLLGQAQRQQQHHMSVRGIGEEIDLEIDQCCEDPSFSDAALVAVPSPQDPVVPADDHKGFLIPCSQSQPAAVDGQPQPTPPQREEHQGTLRLLPHTKKKKKVVKKWREEWADTYKWAYVAVHDNTSRIFCTVCKEFGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQSASKEKVQSPEIERPVYVKALSKTAASILESVSNKDPHEAEFIQSIQEVVHSIEPVLVKNSQYVQILERLLEPERCFVFRVPWIDDRGESHVNRGFRVQFSQALGPCRGGLRFHPSMNLSVAKFLAFEQTLKNALSLYKLGGAAGGSDFDPKGKSESEVMRFCQSFMDELYRYLGPDQDLPAEDVGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFCARVVLADMNKELKGLRCAISGSGKIAMHVLEKLLSCEAIPVTVSDSKGYLLDGDGFDYVKYSLIRNIKAQQKSLKEYLKTFPRAKYIDDAKPWGEQCDVAFPCASQNEIDQGEALAIVTSGCRVLIECSNMPCTAQAVDILRKAKVHVAPAKATAAGGVAVGELELNSEFSLMQWSVEDFENKIQDAVKQTYDRSMKAAQDYGIMKENPELVSLCIYVDIFFQCFHLASLPESDLSLAVCRSLVHGANISAFLNIAQAMTDQGCV >cds.KYUSt_contig_7440.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001529.1:20837:21178:1 gene:KYUSg_contig_7440.3 transcript:KYUSt_contig_7440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGVWKEAARMEGIQGAPFRGICMANVTAEMTKARKVSWNCADVEGLSADVTPAPCAPLQGAHGGACPFPTDTLAVDEVTVQRCSYTRAVAEDEKKLWSGKILNVKNCRKE >cds.KYUSt_chr4.43592 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270346366:270354208:-1 gene:KYUSg_chr4.43592 transcript:KYUSt_chr4.43592 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSHSNAATCNFLFSPPAQMAAPPPGYYYVGGAYGDGTSTAGVYYSHHSGMPITSDGSLCIMEGMMPSSSPKLEDFLGGGNGDGHDTATYYSNQQQGQQEEAMSRSYQQHHQQLAPYNFQDLTEAEAMYQEATAPMDEAMAAAKNLLVNNYGASCYSNAGMQPLSLSMSPGSQSSSCVSAAPQQQQHQMAVVAAAAASQGGSNGGGELQCVGKKRGTGKGSQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKDGQTRKGRQVYLGGYDNEDKAARAYDLAALKYWGTSTHTNFPLENYRQEVEEMKSMTRQEFVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFTTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMESSSLLPGDEARKVKAVEPAPALNNGGRELNPAEGSSTDTGVWRMVLHGTPQEAAACTEVTDLRKATMGDPRSSLHSIAGFDVESAAPAHDIDASGKTSGGVNYSNSSSLVTSLSNSREGSPERLSLAMLYARHPNAVSLATMSPWMAMPAPSTTHALMTPNSIPQMPDSVNPKPLMVGIAEEPPRHSAPYVGNLLVQSTSSVVPIVSATSSLTFSSSASSSLILEDPICFGSFEFTPPRLCIAFILIGHAWRDGHGVRERPPQHQRWWRSAASGPDHFGPHSSRDFIDCHVFCYITNRG >cds.KYUSt_chr2.18607 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117207126:117214262:-1 gene:KYUSg_chr2.18607 transcript:KYUSt_chr2.18607 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHLVPAAALLLAFILTPPSAGQQPIGQFCGSSANFTANSTYQANIRLLNATLPKNASSSPNLFATGTVGTLPDIVYALALCRGDTSAANCTQCVTTAFQDAQQRCPYNRDATVFYDPCALRFSNQNFLSSTDNDGRVLALVNTQNVSAPAKVFDAAVGALINATAVYAAVNSSTRFGTGEKGLGNFDKTNPKIYGLAQCTPDMAPADCRTCLQGIIAMTAKGFSGKKGGQVRGLRCSYRYEQYQFFNGPSLLQLPEPSPALAPSPSPAPANMTPLPSRGGSKSNGAARILAITLPIVAAILASIAICLCLRRKKSKSVRKPSVSYPTNPEDVESIESLILDLSTLRAATNNFDESNKLGEGGFGIVYKGILPGDEEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLVGVCLEEHEKLLVYEYMPNKSLDTILFDPDRSSQLDWGSRFRIVNGIARGLQYLHEDSQLKIIHRDLKASNVLLDSEFIPKISDFGLARLFDSDQSKDVTNRVVGTYGYMSPEYAMRGAYSIKSDVFSFGVLILEIVTGRRNSISYDSEQSVDLLSLVWEHWTMGTIVEIMDSSMTSHSPGDQMLKCIHIGLLCVQENPADRPLINPSNEIFSELDEINAQGPILPRSFQKSEEETKWGHEVATP >cds.KYUSt_contig_1781.29 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:212574:220059:1 gene:KYUSg_contig_1781.29 transcript:KYUSt_contig_1781.29 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSQAIHDMPLGVQGLGERLASSSAIGPPVAARLAKLKKKKKRIRRRGEKEKVCLENSLWYFYVSKEAGSDLLLPKLAKKLGSTVIDDPKEPLASSTTASTDKRVSPWGQAVRKINGSAQLVDESDIKFTWQSFHGFDVYAVARHAELPFGLLPDDELESKVPSDLLSDDQLDPLRFNKPAILASTTMNKATNGVVLARPPSTNKKEILILSSNDEDNYVGK >cds.KYUSt_chr1.11191 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68484890:68486021:1 gene:KYUSg_chr1.11191 transcript:KYUSt_chr1.11191 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAILALCLLATVLCPALSRPRPLPNLPVNGPRNLANGLSYDFHADSCPDLVDIVWPIVESAVLGEIAIAAGLLRIFFHDCFPQGCDASVLLTGANSELDLPPNLTLQTRALQLIEDVRVKVHAACGATVSCADILTLATRDAVFVAGQSEVFYFYEMPLGRFDSLAPANNSDVFALPRSTADANTLIDSFKTRNLEPIDLVALSGAHTVGKASCGNFNNRFSEDSDFARKLAANCSSDANRLQDLDVTTPIVFDNKYFSNLLEGKGVFTSDQVLAADSRTQWAVQGLAQNKWWFYSQFRDSLVKLSQFQPNGNVGEIRSKSCFTTNGQSSLLEEGFAASA >cds.KYUSt_chr6.2901 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17072906:17074989:-1 gene:KYUSg_chr6.2901 transcript:KYUSt_chr6.2901 gene_biotype:protein_coding transcript_biotype:protein_coding MARNYRKAKIVSGGKRRHAPRWRESEFPSPARFPQQPAISPNPADTIPPTDRWARTLHRAHPPGESSIARERENISPLRVPQNRPLLIPHLLHPPNPPNPRVSLHLHLPSISQPPLTAAAAAAKMLELRLVQGSLLKKVLEAIKDLVNDANFDCSGTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLTNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPNQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSSEFSRICKDLSSIGDTVIISVTKEGVKFSTAGDIGTANIVCRQNKTVDKPEESTIIEMQEPVSLTFALRYMNSFTKASPLSDQVTISLSSELPVVVEYKIGEMGYIRFYLAPKIEEDEEMKA >cds.KYUSt_chr5.30999 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196250451:196253237:-1 gene:KYUSg_chr5.30999 transcript:KYUSt_chr5.30999 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLVGFGSRVLPNRVGHAADRRHAGGGAAACHGFFIDTRRRSHLMTTLKDGVVCSPQDGGIAASTLCASGPSETATADSGRDIADAITSAQRSSAGRNKGGRPWRRAPTSGDKPAKHVAPRRAPVRKDTQVRRVLVNDAGVNAILSGVSRESSVEDCNSVLIRLEKHSDVKALDFFVWMRANGKLAGNAGAYHLALQAIAWKEDWRRAELLLHEMVAVSGCRLDARAFNGLIYVCAKRRLVDWGTKWFSMMLERGVQPNASTFGMLMGLYQKTGSLREAEFAFAKMSECGVKCVNAYSAMVTLYTRSGLFARSEEVIALMEDDEVTPNRENWLVRLNAYSQQGKMEEAKFVLQSMVDAGVAPNVVAYNTVITGYGKVFDMEKAKECFDSLEGAGLAPDETTYRSMVEGFGRADKHEEAISYYRKLKRSGFQPNASNFYTMVNLTARHDDNEAAAEILRDMRAAGCQCSSIVTILVRAYGAVEKMHKVLPILQSCFYKKVLSDATSCSILVTSFVQNSLLEEALRVLREKKWKDSDFEDSLYHILICSCKEAGSYEDAVRIYNVMPKSRTQPNLRIACSMIDVFSTMERFADAETLYLELKASACVLDMIAYSVIVRMYNKAGRPEDACSVLEDMEKQADIVPDKYLFLDMLRTYQKCGQFEKLTDTYYSILKSEVEIDEAMYNCIINCCGPAIPVDELSRIFEEMIQLGHLANTVTLNVLLDIYGKAGLFKWAEKVLNMARKHGLADAISYNTIIAAYAQSGDFRSMTYFVQKMQDAGFPVSLEAYNCMLNAYGKSGQLEEFSAVLQRMRRAKCDFDHYTYNIMLNIYGRKGWIEGVACVLSELKSRGVEPDLYSYNTLIKAYGIAGMPEDAVKLMQEMRIKGIDADRVTYSNLIAALQRNENFLEAVKWSLWMKQTGVVGVGTRA >cds.KYUSt_chr2.4309 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26490932:26498395:-1 gene:KYUSg_chr2.4309 transcript:KYUSt_chr2.4309 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELTYRGHDAQPHVDGAGAYAAAENKPRNKPLSQPLRYVLGEQRLAFALVGMAIATVLFLLLSPSTTTTTTTTNMASLAAVGLVSRQYTSNGAGRMAYEAQSRLPAGGRVPLGLKRKGLRIVVTGGAGFVGSHLVDRLLARGDSVIVVDNFFTGNKENVAHHAGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHIGPFNLGNPGEFTMLELAKVVQDVIDPNAKIEFRANTADDPHKRKPDITKAKDLLGWEPKIPLNKGLPLMVQDFRNRIFDEKHIQSSRLSAYANAYAIEVATAVFFDSIFKRDHHIDHGRPAIDVATALDDSTILRESVTLHPSRDNTAPYRGDSTTPTQRKHTAPPQHHRHMLSAPKTMPPTGRTRCAPPSSDPRDPGLEFRPEQPRR >cds.KYUSt_chr2.40811 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253538491:253539843:-1 gene:KYUSg_chr2.40811 transcript:KYUSt_chr2.40811 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPRLCMATGTAAAGDSDGSSNKLGSTASSSSSTVSTSSSAAAAAVSEASSSTSLPSLSAAPSNAAGCLTASFTHLTTLLPLPTAATAPSAAAAVAPATDSFHGFIIARPASVALHDIFTLDATSASDSSDAAATAGAGSVKFVAHIHGGKAVTGHQDGTLRLWRHSTRAPARIRLSAELPTVADRLRRFPVPSNHVPIRRHHRRLWIEHADAVSGVAASADGRLLFSVSWDRTLKVWAVPSLRCLQSLPAHDDAVNAVAVGPDGTAYTGSADRRVRVWAPRPASEHKTKKPVYHLVATLSRHTAAVNAVAVACSGQMLYSAGNDRCVVVWEREDSASHMVAIGALRGHRKAVLSVVACAGNDGLVVSGSADHTVRAWRRDADGRGHACVAVIDGHASAVRSVAVAHVPGQKRRHGGDGVDGDEEWRVCSASFDGEVRLWSLRLTTGS >cds.KYUSt_chr3.42560 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269070750:269071559:1 gene:KYUSg_chr3.42560 transcript:KYUSt_chr3.42560 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEERWSLAGKTALVTGGTKGLGRAIVEELAGFGVRVHTCARSDADLRERLRGWDTDVDAGRLRARVTASACDVSVRADREALMATARTELGGKLDILVNNAGQIMFRPAMEITPEDYARLMAINLDSCFHLSQLALPLLLLAGGGAVVNVSSISGLIAYPQLSVYSATKGAMNQLSRSLAVEWARDGIRVNCVAPGGIRTDLVSSSGIHLDAETARKLGETESARVALGRMGEPEEVASLVSFLCMPAASYITGQVICIDGGRTIAA >cds.KYUSt_chr7.3837 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22873707:22877534:1 gene:KYUSg_chr7.3837 transcript:KYUSt_chr7.3837 gene_biotype:protein_coding transcript_biotype:protein_coding MATAANRGAGSKWTRDPQLGDLVLAKIKCYPPCPAKVSRPEDWNQVPSARKFFVLFFGTNEIAFVALQDLEPFTEEVKNDLVNQAREKRLPKRYAKYLEEALVEICKAYDELPSSSETAKSSKTGNGLLPDRTLGLIEKPAEHLIKPPDDDGTQMLEQTEGGCFMGNLNYLGHISGTEGNVKDCGNDRKDPFPTTSKRKMPVGKDSDHPKEKKHVASKSATNLHLEQEHSPTAPCSDRETKDQKVGKESHPTEDLVLDPTVQIVLEVPKKYTTEKQLKAADRKEKKHVDATGISTRTALEALPVTVPNNSAEKESRGFEKLKMMMKPSVTDKTERKGANGSKWTRDPQLGDLVLAKVKGYPPCPAKVLPTLLPESCVLQPWGLMLLVSTGV >cds.KYUSt_chr6.9439 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58198470:58200107:1 gene:KYUSg_chr6.9439 transcript:KYUSt_chr6.9439 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAALVVGIAIIALAHCSIVAGTAAAPPKGPVTYVFGDSMSEVGNNNYFQLSLAKANYPWYGIDYPKGVATGRFTNGRTIGDYMDAKFGIPSPPPFLSLSMADDDDFLTGVNFASGGAGILNETGVYFVEYFSFDQQISCFESVKKAMIAKIGKDAAEETVNAAMFQIGLGSNDYINNFLQPFMADGTTYTHDQFIRLLVATLDRQLKRLYGLGARKVAFNGLPPLGCIPAQRVKSATGECLEHVNSYAVQFNAAAKKLLDGMNARLPGAQMGLADCYSVVMELIQHPQKHGFTTSDTSCCGVDTKVGGLCLPDSTPCRDRKAYVFWDAYHTSDAANRVIADRLWDDMTSASAGAPAPRASAPGPAAAPAPSPSRA >cds.KYUSt_chr1.18242 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106530686:106532767:1 gene:KYUSg_chr1.18242 transcript:KYUSt_chr1.18242 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAGVAETVKNFAVIYLVDITEIPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFVDIVETVYRGARKGRGLVIAPKDYSTKYRY >cds.KYUSt_chr2.30967 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190915705:190931201:1 gene:KYUSg_chr2.30967 transcript:KYUSt_chr2.30967 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPGAGAGAGDGRPGFERACRLPNTVHSEIAATLPLPTLPAAPSHDRDEPLAEPDRPDMIMQAAAIARVLANTDISHLGFTEADHAEADPRDCSLLWREVLKHNPDAFKFKPRAPPPPSQGPLVGQEYLNQGRQKHSEHLAPNLNKARKEHALPPDFINSHREDHRNEVTPDSVASRKPKVRKKEIDNSTSSSGPSIPNSQEVIANFRELVEDFCGKAEIPDNADGDDWLSVPLTDVKVLVNEITYVRSKQMLHETPMDTLMSLLHVIDRQIRCSQGLSIDVKENADAIDAEHLVFSALESIHAALAIMTNRDMPKQLYREELIERIIDFSRHQIMDCMAASNPTFRALYKPAGNVTNDGDEDEEDMENGPASKKRRTASNLSARKSSANKVSPPIKSAVQKLCLILGFLKELLITVRLSDSCIMQLVKTCFTTFLVENMQLLQLKAISVICTVFSSYTQHRSYLVDETLQLVRKLQFSKNAIRTYHLADEEQKQIQMITALLIHLVQFSANVPDSLKGPVNWSTIIDASVDASYPISCHEAATEACCLFWTNVLQRFTAAKTQDMSEAKGIIENLVQDLLTVLNLPEYPAAASILEVLCVLLLQNAGLQSKDTSARCFAIDLLGGIASRLKRDSVICSKEKLWILQELTDTDNDSSKILKNKCCVCLGGRGINMACDTCGRCFHADCMGACSQENLQPDSVCLLCFCKQQLSVLQSYYELQNKEKSKRTSTSHKKKSATPDEVTVVDTVQQILLNYLQEAGPQDDGNLFSRWFYLCMWYKEDQHSQEKIIYYLSRLKSKEILRDSGTGSVLSRDWAKKVCLALGQKNSFSRGFDKILSLLLASLRENSPVIRAKALRAVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNADTTNAFVEIISRVNDDESSVQDLVCKTFYELWFDEPSGSDKHLVADGSSVPMEIAKKTEQIVDMLRKMPSHQPLVTIIKRSLTLDFLPPSSKATGISSSMMASLRKRCELICKRLLERILQVEEGADNETKIRTLPYVLVLQAFCIVDPTLCTPVTDPSQFVVTLQPYLKNQADSKSTARLLESIIFVIDAVIPLIRKPPQTVVEELEQDLKQMIVRHSFLTVVHACIKCLSALSNAAGRGPRLLEYLVNIFYKHLSSSSSDSQLLGRSLFCLGLLLRYGYKLMVASENQLDFPKILDLLKRRYLYKEDFSLKVRALQTLGYILIAKPEFMLQTDILNLIEASLSSGVDYRMKIQGLQNLYEYLRDAESQLTADSTGKPNVPYATNGGSEVPVAAGAGDTNICGGIIQLYWNSILERSLDTNDQVRQAALKIVEIVLRQGLVHPITCVPHLIALETDPVEGNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFRFFEAIVSNHNMVATNMKANPIAFVKPGISRIYRLIRANRNSRNKFVHSIVRKFVSDNRSYPTIGFLVYCVEVLASLPFTSPDEPLYLVYDINRLIQIRAGAIESNLKNWTTMDQQQDVVGIPRYIGDVMHEPGHTGDVMHEPGDTGDVMHEPVGNSDQNLADIPQTMLNNSCSTSDVDMVKLQEDCHNAIALQLLLKLKRHLKIVYSLTDARCQAFSLKDQPKSVEVISKQNIPLNINNTNTSLPSSLQDAARVYQDFKTLLREDSMDYVMYTAETVQKKRPTPRSASKVRRPVAPVAVRRGRGGRGRGGDDDDDTDDDDWTGGPRVLEFGAQAVTGGRVTRQRVQV >cds.KYUSt_chr4.40100 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247603344:247604003:-1 gene:KYUSg_chr4.40100 transcript:KYUSt_chr4.40100 gene_biotype:protein_coding transcript_biotype:protein_coding METSFLPTARPLPAFQTLAAAAPRCPRPLRRSTIRAAISRGRKEDTVAAVREQLEGCYLLAGIRYEGLTVKQFQGIRDALPESCHLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPAALKPYRAFQKEERVEDTNDFIGAVFEGKYYQPADFKALETMPTRAEVYSKLLGALNGPATGLVTTLQAPAREVVAVLSAYVRKLEEEAGAGAA >cds.KYUSt_chr3.11390 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67821177:67825815:1 gene:KYUSg_chr3.11390 transcript:KYUSt_chr3.11390 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRSLRRHRLLPYALLGPLPKVATNALSLSICFVLVSSPTKTLVPSSAPRRRRCQPPFDARREFLSPSGVLGSPPLLLRQYCQICNSSPQSRPTQGGGTAIGLAPVRQAHFSPMPMRHHGCTKFNSLQQCSDEEAACFLLQKLQAIDKTLEQLKRVLVLQAFLVVLFLHLLFRKVAALGEKIGKLERRRY >cds.KYUSt_chr2.41389 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257378084:257380859:-1 gene:KYUSg_chr2.41389 transcript:KYUSt_chr2.41389 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLAPPGVADLRSTRGFRLSISTSDRPYGRPSFYPYEASASPPSCNYGQVADMVAYFWINDSKSCTGHILLLKVQQLYKSQHVGT >cds.KYUSt_chr3.39461 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248596746:248599463:-1 gene:KYUSg_chr3.39461 transcript:KYUSt_chr3.39461 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRPARVCQTRERVGDEGTSGVASTGHARGRDHHELVTMSEGLAEEASGSVAVADRRRDADAARGSRSRVAEGLGFLSLPPAASGGPISAGVCSVEDHDGEEFASPLASSKGTVSDSEQVIAEPCRDLSTAAAAFDDEEGWTQVGRGCRSSRAPLSSLREGLDRSLAFKRWARGRCFRCLERGHQFALLRMEVLQKFELLRSEVQDTLAKLQVASAVDEGFECCFGEFSPRALHMSSSVLTTVVATEVVAPVVEILPELQNHCGKSSVVLPVELGHLEPLAVDIAPSPSLSESCELPSSVDSGGPSIRLPLFDREAMLASIDQAVFVKKLSGLLACLEAASPGSSEAIACLIAEEASTGKIKKVKKALRKIGKKGHAIGKAPATA >cds.KYUSt_chr5.32453 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205977788:205979183:1 gene:KYUSg_chr5.32453 transcript:KYUSt_chr5.32453 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAQLSLAALVMLAAGCAASAAAQDYDFFYLVLQWPGSYCDTKQSCCYPRSGKPAADFGIHGLWPNRDDGSYPQNCNPSNAFDPSKQLTRNGNFDVQVSDLLSSLRTSWPTLACPTSDGLKFWAHEWEKHGTCAQNLFNEHGYFQTALRLRAQLRVLDALAAAGISPDGGYYTQAAIKGAIQEGTGYAPFVDCNRDESGNTQLYQLYFCVAADASGFVECPVSPGGRPCGNRVEFPAF >cds.KYUSt_chr1.37448 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228668318:228668569:-1 gene:KYUSg_chr1.37448 transcript:KYUSt_chr1.37448 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTATNSHYIISWVLVLVPVRELVATALEEVVWELAVVVSAAVQELVKMAPGAVVCELVVVAAVAVALESDRSVPRKAHQL >cds.KYUSt_contig_1181.991 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:6588915:6589175:1 gene:KYUSg_contig_1181.991 transcript:KYUSt_contig_1181.991 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGFRPEVVVGGMVVLQPVVGQRSLEVEVEASVRQEAGWGRAGAGVLQGGPEEGQAGGGARTPLRRQVSMLSADAAMGDMGSSEE >cds.KYUSt_chr4.27418 pep primary_assembly:MPB_Lper_Kyuss_1697:4:172193024:172195677:1 gene:KYUSg_chr4.27418 transcript:KYUSt_chr4.27418 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARWAAATCLVLLLLLPFAPGPARAATPAKPPSSSSSAVFQLQGDVYPTGHYYATMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHQLYKPTKNKLVPCAASACTTLHGAESPTKKCAVPQQCDYQIKYTDSATSLGVLVADNFTLSLRNSSSVRASLAFGCGYDQQVGKNGAAQVATDGLLGLGKGSVSLLSQLKQQGIAKNVIGHCLSTNGGGFLFFGDDVVPTSRATWAPMVRSTSGNYYSPGSGTLYFDRRSLGVKPMEVVFDSGSTYTYFTAQPYQATVSALKASLSKSLKQVSDPSLPLCWKGQNVFKSVSDVEKDFKSLFLSFAKNSVMEIPPKNYLIVTKNGNVCLGVLDGSVAKLNFNVIGDITMQDQIIIYDNERGQLGWIRGSCNRSTKSTMSSFP >cds.KYUSt_chr3.6867 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39456254:39458826:-1 gene:KYUSg_chr3.6867 transcript:KYUSt_chr3.6867 gene_biotype:protein_coding transcript_biotype:protein_coding MPISAPAPFGLLGPLSIIAGHGNRDLDKLPAHIMVPVDSERAVLVSIDERGKYMVSDPYARIPGIIGGQVMATTAYGEGPLVFVDLADGRYCGTYPFSAARVRSIEQVVKAVCADLSRSRPSFLLHGHEEDAPLQQPAASSSKGLLHTATLDATSSICPQAEALTLPGTPIFARGQPDWGMEFYIRPGHGGSFHTYPDVGGPFQSIEQADKAIDKYLHGRRHPKMCMEQAGVSLREMGIRRCLFWPDGTMKKRTKSYIFQKGHEHMCRLVRAVVDQYNEYHKLGEDRKYELKDVTEQQSFHEDEDWYRHLNITASSEGFDKQFFVELKNTRQEGLRHAEWVVSCFSMVDSNDNGYCKGCPNDVKHPNKADAYSGGQVGPEEILEDPDPWSDSDEDETSRERRIRRKYDVPRKPFVYPACATPKPGHVM >cds.KYUSt_chr5.34020 pep primary_assembly:MPB_Lper_Kyuss_1697:5:216050391:216052760:1 gene:KYUSg_chr5.34020 transcript:KYUSt_chr5.34020 gene_biotype:protein_coding transcript_biotype:protein_coding MEELNVEGVQQVSVDPNTVDLLESLDDPKPLISFGLPKSSPDGHTRHLSEDLSSLTINDLRVKGEEICHNQHAHNRNFSEDLSSLTINEVRANKIEEKCHSPLEEKVISRHNSAERNIFKAAEIAERFIQALDNRVLVETTAPIESVKDAVSKFGGILDWKERRKNVQISLDKVREDAPEYQRRFEVAEAEKSQVLKELCGIRRIIEGLKLSLEKAQTEALQAQQDSEIAEIRYKEIQQGIAHKESAAVKAEIDLAKERHATALADLQSVKYELEQLQKEHTSLISQMENAETKARETTTMSQEIEKNVEDLTLELISLKESLTSSHATHIIAEERRINVAMAYEQEKANWQDEQKEADEEVQKLNDEISASKDLESKLEASSALLVNLQGEFTAYMEGILPEKASEDGEEVPSMVSVRMTLAKTTKELEDMRTNIEKAKDEVKGLWNTAAALRADLEKEKADITALREKQHHASVSATSLQEELRKTARELMVVQERTNAARMPPELQQATQETERAKAKVRLAQDEVAKAREEADRAKAEVNVVQLRLEAVSREILAVKTSEEIAMASANALQEYKHEGEIEPQAERRSHNNMKVPIEEYDALSKRAQEAEDLAKKRVMQAVEKIKEAKEGEVRSLDQLYQLTKQIDDRRVALRSAQEKAFSAQDTKLTMESELRKMRVKHDQPHGEMDLPIADICLLNSACSFDASSGSNPQMRGTCLPRADTIATSSVAESKARKSYFPRSIVTMFMGSRKKTHSK >cds.KYUSt_chr5.19317 pep primary_assembly:MPB_Lper_Kyuss_1697:5:125318687:125329481:-1 gene:KYUSg_chr5.19317 transcript:KYUSt_chr5.19317 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAALDFRASPNPSPAPGNQHRMMLRLPFQKSESKSDELETMMVEGVASSAAFFSVATFLFISASRLAKNSSFVCTRQAFPLVLGKPLHVYREYESRISSNDELPKFWRCKRILWIGLDGIRRPARPSLHLRSQLASPAGRSSRCKEYGHLSIYRLEIMVWLRPAGWGVVGVDQIGDNLLHKNARHHGFPGSKKVNVGNRSEDWKKNNLNSPLNRNITFEEKELMFVNLMESLGFAKEETKINYRVPHRLNRQGPEINALNAHACVLIRAMGRKRRRCLFLMVMLFGLAQVLKIAKCSNVQVPQQFRNPSQLRGDLKSCQEEIELIAAQLQNVIQQIEDLHHEAVMIPDVFRKPLELPKSMGKK >cds.KYUSt_chr6.1989 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11798364:11802810:-1 gene:KYUSg_chr6.1989 transcript:KYUSt_chr6.1989 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKITVTYKRKRGASKAKAADGVVPEPPPTSGGGVATGDASKHEEVTGSSRENSLLQKMQGKAAYRDPKHTVSQQNAEDVNQSLTSERNGTLVSEFTSVPEISKQDVRAEDLRKTLHHVNEAPDVVEMKELHDGPLESEGSTKHIPVIVLDGDNDERGKLQENPKVSDQVVQENKKGEFTSGKINLNCVESPEESPLDLNNSSVQRNQDHFATEQNHVSQPIERLFFTKEKDTIHAKEKHQEGTSTLHTLYSNFFDPTPSQKAGSSKEPKNMPSELKFRIMDKVPEFSLDLSLDGFQDSGMSTLGHNKLFHGGKSSGSHLLTERLGTYSYKRRQAPWSEEELDFLWIGVRRYGTNNWNAMMRDTRLQFSSSRVPEDLAKQWDKEQKKLLGVDLHQSIRTSALGSAPPLHIAEDYVGNSSCSGCSKSPFLAAQTNLSMGDVYLRNARASDRGQHHLSNLGRYNLHGIDNGLRNSSLGSFPVASSSHGKSGSRRRKTSKLHKSYYDNRSHWCQEVPDRMSQVFPMNQQPINSLPQWLTKDVKTSTSWLNPEMWPNTQAPCHSAADSLNDSLRAAAFLFPDEKKPHVMPDAPLKRTLKRKVELHSLDKKVFQTGGDTMDLNQRAAAMAAGLNGATASNTGASSEETLSDS >cds.KYUSt_chr6.30238 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191607768:191608849:-1 gene:KYUSg_chr6.30238 transcript:KYUSt_chr6.30238 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVFSSLRRRLRLTHPVRRRAPTTGTVGLRNPASREPVRVRGNQVLGERSGATTGITTSSSDDEFLHTDNFFPDLSDFFDNLNMGDNDAAANHDLSITCTDYFIWIFAYTFNTAVSQIISRHLGPSRRFSFPGLRAGEFDAELDSSRALANLEELDIGYASRTIRPLPPFVLRSASTLLVLKINKCDFCDQIAPGGISFPLLKQLSLIRISISRDVLHGLLSGCRSLESLFISEVRSARCGPASWLGVPRISKPLPLSVLRSASTILVASCLTSSCLLILLKFEFTEMKVIASLYKFASKWHRHNIGL >cds.KYUSt_chr5.8835 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56001617:56002456:1 gene:KYUSg_chr5.8835 transcript:KYUSt_chr5.8835 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGDVARYWLAEHPAIVGFRWSPSGGLWFSTWAFLLSFLAAYVALCLTLDALLAALRRKRPLPLGALPSAHALLMAAVSAAVFAGTLVSAVAEIRDTRWSWRGRSRTTPLRWLLCFPPGTRSSGRVFFWSYAYYLSRYLHAARGLFAVLRRRRGAAARVFAHAAAVAMAFLWLEFSQSFQVLAILASTLAHVVAFGFRAGLPAARAARVAPVALVGCNVVCHAGVLWMHFGGAVAGGCSGIGAWLVNTLLNAALLWVFLHCYGRRGVSDDDGRVKET >cds.KYUSt_chr3.8333 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48072356:48074481:1 gene:KYUSg_chr3.8333 transcript:KYUSt_chr3.8333 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSAMSWMTASAGGAAERAAIAARALRAPLPASASSAMALAISALVAARHPSARSAASASASATSASSAAYSSAAGERAAQLLAMGVVMHHAARSGDRGCRCRGGVAVYGGRSCCEWLSALTADLATMGGQTCYMGQPALLQNEAWYATMARPRSFQALLRRVPSVATMAWRRCYKWWLTLLQREACYHGAAELLQGAADLATKGRWFCCKGLPQGCGGATGASGFAVSVDSEATSPAASRRVSPTTAMAVARHARREGEDAASLLRRRSRRGSPVTPATSSPATVVAHGRSWGGGIEELHDGAMCGGWRCQIVECVFFPSFLLLWR >cds.KYUSt_chr6.3546 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20451081:20453657:1 gene:KYUSg_chr6.3546 transcript:KYUSt_chr6.3546 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEATLLLGEVPRNGAAEVDGLQSLPAKSVLFNKLLTPSEASRLLGETPRDASDEAEDLVSFSTKSELFDITSTLSEASRLLGETPRDASDKVEDLVSFSTKSELFDITSTLSEASRLLGETPRDAIDEVDGLISFSAKTELFDIASTVSEASLLLGESPRDAADNVVGLVSFSERSMLFDITLRPSEASLLLGEKPLEPAEVEGLTFFSGISISFGMVSAPSDARRRIVEIPRYATEEGTALPSFSAKLTLFEMELIPSDASLLLGDIPRDAAYEVDGLLLSLSAESMCFDVVPAQSDANWVLGEVPRDAVDEVDGVLALSAKSSPFDIPSEPSLFRGETPRNEDDVDGTSTFFDTAPPMPPDAGGVLGERPRDEAGEEARPLSLSEDFVGCDVALGMLDTDTLGEMLGDDETADENAMLSFSVRSMLFESALKPPPVLTGETPRDGIANAAGLASLPAVPLLDESAVPFEADDVLGDSPRDEETSRSILSARSMLLDRASAADDPDDALFGEAPPRDGINDRAGLLPILSVRSTLLDMALPDTLPPIIAGQRWWSIILDEVLVLPALDSSWREEERFRIDGHSPSGARPPPPEEDSLRGALCVSETASRTGVEELEEDEVGLDGADEGEVGAEILDGGAAALAPPGAGRAGLPERRSVDCDRFACGPAAAAGAAAAFGEGWRLGVDGRAAFGDGWRLGVAGLRLAGASSSASWSDFRSSSGRTPQASIRASATTARAQTHPH >cds.KYUSt_chr7.38969 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242557129:242558605:1 gene:KYUSg_chr7.38969 transcript:KYUSt_chr7.38969 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLAVLLLLAAHAAASASAGVTSPYRRSLQMLPDMPLDADVFRPPAGYNAPEQVHITQGDLTGRAMTVSWLTPKHAGSNVVRYGLAADNLNLTAEGTVRRYTWGGTYQSPYIHHATLTDLDHSTVYHYAVGHDYTVRSFSFKTPPKPGPDVPFKFGLIGDLGQTFHSNDTVTHYEANGGDAVLFIGDLSYADDHPGHDNNRWDSWARFVERSVAYQPWIWTAGNHEIDYAPEIGETVPFKPFTNRYPTPFRASNSTEPLWYSVKMASAHIIMLSSYSSYGKYTPQWTWLQDELKRVDRTTTPWLIVCVHSPWYNTNDYHYMEGETMRVQFERWLVDAKVDLVLAGHVHSYERSHRVSNVVYDIDNGKATPQFNASAPVYVNIGDGGNTEGLANSFRSPQPDYSAFREASYGHATLEIKNRTHAYYEWHRNQDGVKVVADKAWFTNRYYLPTDTN >cds.KYUSt_chr1.8105 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49791630:49796164:1 gene:KYUSg_chr1.8105 transcript:KYUSt_chr1.8105 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPPSPPPPAAAGGYAARFSPTGFIHAPLAALFEYSSGVLRAQANNNGPQRGEPADGEVSIRIVGPDGEPAPPRAPGDAPVDEEAPAARGDEAAAGRADPPYGGYDVQRAARWVEHALPFSLLLLGVFIRQHLQGFFVMIWIAAVMFKSNDILRKQTALKGERKMAMLVVIIIAFIIHVFGVYFWYRNDDLVRPLVLLPPKEIPPFWHAIFFIAVNDTMVRQAAMVVKCVLLMYYRNSKGRHYRRQGQMLTVVEYSLLLYRALLPAPVWYRFFLNKEYGGLFSSLTTGLYLTFKVASMVEKVRSLLASVSALSQKDLHYGLHATTEQVLAAGDLCAICQEKMHAPILLQCKHIFCEDCASEWLERERTCPLCRALVKPGDIRSFSDGSTTLFFQLF >cds.KYUSt_chr1.18562 pep primary_assembly:MPB_Lper_Kyuss_1697:1:108679877:108681004:1 gene:KYUSg_chr1.18562 transcript:KYUSt_chr1.18562 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGSPAVGLFLVVYIDSYESWMTLMKRERSYLPQDFFVDRFVSGLKENIKHQVQCQKPDSLLSAYWYARQYEKASNSTIRRPGQLLPPARVPPPPNRPIVPRDNRNRAAIDRVREPRKCWYCLENWIIGHRCQPMIRALQVIEMQGNDQDMENPAAEEVQPQPVDIQQAPPIEAVPDIPEEREQLMNISSAAYNGSPGDSTISPLLRLNKASAVSLADTGSTDTFMDLKFAQAHNIPLTATKQRSVKVASGGTLSSTAIAYDCQFSIQGHKFTTNFKILELQGSDIILGVNWFKQHSPVTFDFLKRQLMIGVDGQVLTLKDHLFPTDKLLISSRGIGSAVCNLALSTLKHYNHQCLNQSVPYCTNSRIFSSHQ >cds.KYUSt_chr1.33992 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206699836:206701305:1 gene:KYUSg_chr1.33992 transcript:KYUSt_chr1.33992 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSADVVVEAAPMAVLNQMVCATMPPAPIITVVSKQTVHPEGASPPVGDLKLSVSDMPMLSCHYIQKGLFFPPTGTPMARLVSSLASSLSRALGVFPALAGRLATLPDGRIVIRCGDDAAVEFYHAVAPSLSLRDFLVPDADVPTRLTKELFPMDRTVSYEGHRRPLTSFQVTVLGDGAVFVGFVANHAVVDGTSFWHFFNTWAGLCRGEPVQPPDFARNFFGGSTAVLRFSGRDGPAVTFDAAAPLRERVIHFSAAAIRDLKAAANRCSKTSEDDEVNGKLVHDLVKVQGGRGEISSFQSMCAHMWRAVTRARLQLAADATTTFRMAVNCRHRLRPKISAVYFGNAIQSVATTATVAELASHDLRWAASRLHATVVAHEDGAIRQAAADWEAAPRCFPLGNPDGAALTMGSSPRFPMYDGNDFGWGRAVAVRSGRANKFDGKMSAFPGQAGDGSVDVEVCLAPDTMARLLGDDEFLQYVSPAPCP >cds.KYUSt_chr2.31258 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192949765:192950874:1 gene:KYUSg_chr2.31258 transcript:KYUSt_chr2.31258 gene_biotype:protein_coding transcript_biotype:protein_coding MDDESNPPLMDTYKHLFDDDNHPRSLRELEAADDDDHECGLPVIDLAVLEQGGDAVAAEQCRAKIVRAASEWGFFQVINHGVPQALLDCLLDEQVRVFRRPFQRKVGERLLDFSPESYRWGTPTATSLEQLSWSEAYHIPMTPPSAAGHDDQVATADDEHENHIRYVIEEVSTAMSQLAQQLASILIRELQGDDDDDDGMTKCCTWSTCFLRLNRYPPCRGSGGAFGLCAHTDSDFLTILRPLDTVGGLQLLKDGRWLTVKPNPGALVVNIGDLLQAWSNDVYRSVEHRVVAHPARERFSVAFFLCPSYDTVIRPHRRPEGNQIDSLYRSFTFGEYRSRIREDLRLTGRKIGLPRFRRHASSPPVTQEL >cds.KYUSt_chr5.37662 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237849430:237850821:-1 gene:KYUSg_chr5.37662 transcript:KYUSt_chr5.37662 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVSKSPPVIVSPSSTELAPAPGKAKLAQVQLSSWDRSYVGFQVTTLLLFDGPVNQPVEAVKKGLSRALVHYAPIAGRLATDDEEISLSIACTSEGVPFVAATADCAMADHGGLLDGAPFSAGLLDDLAVYYHPVARRTDPLLLMQVTEFACGGFAVGVTWNHTLADGDGMAQFLQDVGNLARADEAAVSAPLRDGGAVSLPLLSPPVVAAKQWLMLNRGGMGLAYLDITIPATLINRIKSEYKAAHAADGGYCTTFEAGVAVLWRCRTRAIIGDNYDPTATAPLAFFVNVRKHVRAVAGYYGNCAVAQVAFATAGEVVGGDIAGVIDLIKGAKDGVPDLLNAMHGGRGVEGVGEMGEEEMAAVFGYNALMVTSWRNIAFDRVDFGGGVPARVVGRWQQSTIPGCMAFLSCRATADAGERMLTQCVREEHAAAFLDELHRLAAADGRVGLVSVGMNYVTSK >cds.KYUSt_chr2.43321 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269580275:269580886:1 gene:KYUSg_chr2.43321 transcript:KYUSt_chr2.43321 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSANPDSPLSGGGNGGGSSSMGSNSITSSLSVGGAPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHAAACPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGSPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQLTLGDSSSGFRGNHHQPPPGPPPAAGC >cds.KYUSt_chr4.52921 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328219448:328219810:-1 gene:KYUSg_chr4.52921 transcript:KYUSt_chr4.52921 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLVLFLAINLALLATTAHACGPYCPTPTPPPTCSIDTLRLQVCANVLNLLKLNLPVPANEECCPLLSGLANLDASVCLCTALKAEILGIKLNVPVAFTLLLNQCRKTCPDNFTCST >cds.KYUSt_chr4.10263 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62128128:62129816:-1 gene:KYUSg_chr4.10263 transcript:KYUSt_chr4.10263 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSQVILSPTRKHRPPKGNHAASCFCTAGFGLPDWFRNPKKDVASIDDDNDTDDFVLPITYASVEERSHGGSSRPLSILPGCSTPASHEDAEFEADVDEVSRILSSRFASPEAIVIAMDCCPVRVSGRMVDKILRRFGSDWVAAFGFFMWAGAQEGYCHAADSYDLMVDVLGKFKQFDLMWGLVSQMDETGGLVSLATMTKVMRRLAGASRWTEAIEAFNKMDRFGVVKDTKAMNVLLDTLCKERSVKRARGVFQELRGTVPPDEGSFNTMVHGWCKARMLNEARDAMKEMEEHGFSPSVITYTSLIEAYCMEKDFQTVYAILNTMRTSGCPPNVITYTIVMHALGKAGRTQEALDVFDKVKRDGCAPDASFYNSLIYILGRAGRLEDANSMVDEMCRTGVPPNVATFNTLISAACDHSQAENALKLLVKMEVHSCKPDIKTYTPLLKLCCKKQWMKILLFLVCHMFKKDITPDFSTYTLLVSWLCRNGKPAQSCLFLEEMVLKGFTPKQETFDLVMEKLDKGNLHSAKKKVQLLIVQAAAAKHTGSSYLSKDAAAAAQN >cds.KYUSt_chr3.49191 pep primary_assembly:MPB_Lper_Kyuss_1697:3:307505673:307507399:1 gene:KYUSg_chr3.49191 transcript:KYUSt_chr3.49191 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAGVGGSNSPTLLTENDRWARPKPPTPQHHTYPNQFSFSSSLLLSARRDPNPIHHSLLPLPPSLLFFGDKKMSSSSKVFTLEEVAKHSSKDDCWLVIGGKVYNVTKFLDDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYYVGEIDATTIPTKVKYTPPKQPHYNQDKTPEFVIKILQFLVPLAILGLAVAVRIYTKSESA >cds.KYUSt_chr5.13512 pep primary_assembly:MPB_Lper_Kyuss_1697:5:87845431:87846300:-1 gene:KYUSg_chr5.13512 transcript:KYUSt_chr5.13512 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLSQGHPQAWPWGVAMYTNLHYHHQYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLNGGDSPGEKDLLLSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKQLEAGDVVHFERVRGLGTGDRLFIGCRRRGESAPPPPVRVPPPALSAGEQQPWSPMCYSTSGSYPTSPANSYAYRRSVEQDHSDMLHAGESQREADAKSSSMASAPPSRHLRLFGVNLDCGPEPEAEATTPMYDYTHQSPYAAVAMVPTYW >cds.KYUSt_chr5.34019 pep primary_assembly:MPB_Lper_Kyuss_1697:5:216043603:216044349:1 gene:KYUSg_chr5.34019 transcript:KYUSt_chr5.34019 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSQDNAARCDDRGGASSQPIEFPSGRSISSEREHGIIVAALRHVLSGYSTAPPEIVAAAACGVCGISGCLGCDFFATEAAEVTGRVAVVPATQQRKRRRKKNAYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEDAARAYDSAALEFRGPRAKLNFPCSDPLLPVPPHGNGIAGHSTAVTENEETLTPSPCSAEADEGTPEECLQLGADGTSDQLWEDLQDFMKLDGDDQWFAPFSSSASCI >cds.KYUSt_chr5.28364 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179647468:179651923:1 gene:KYUSg_chr5.28364 transcript:KYUSt_chr5.28364 gene_biotype:protein_coding transcript_biotype:protein_coding MDASIEKMITSFSMENKEAADIAAVVWKAILDKQDAKIELERERVQAAKMEAHAAAMKANNEATQLSWAKMSQESKILMADMEKMDPLARAWHEMYHEHIGQELGSAARLLGSATRQFRAFLGVLDPRTSPAFLDLDLPPLPAMAALVELFTGSFSSSASVDWEAESYPAYGDYVVLPILVAFFPALRFLLDRFVFEGLARRLIFGKGYDKLAETEDRRKRIDKFKESAWKFVYFLSAEVLSLSVTYNEPWFTNTRYFWVGPGEQLWPDQKMKLKLKAVYMYAAGFYTYSIFALLFWETRRKDFGVSMSHHLATVVLVVMSYICRLSRAGSVILAVHDASDIFLEIGKMAKYSSCEGLAVVAFLLFVASWILLRLIIFPFWILRSTSYEVAVILTKEKKEFYSSHIDFLSTCDQTDSEGEDDHED >cds.KYUSt_chr4.9451 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57005012:57014731:-1 gene:KYUSg_chr4.9451 transcript:KYUSt_chr4.9451 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEELLKKLWALEEGQAELEREIGRLAPPEAERRGGARPTAHRRRRTPAAFPPSSSRRAIAAFPHPSFSSRLLHRAARLSDRHCHWILQSLGQAVHIIAPDGKLLYWNRYAEHMFGYSASEAIGRDAVELIVHPTDFDAANIVIQNIFMGKCFRGKFPVKNKSGERFFIVVNNTPLYDDDGSLIGLICLSLDVRTLQEVFSPSATAQSFESSAKPDFHVNNRPKSGSRNKAYFDSQQPLQSTITSKITTFATKVTSRARSRVRTGHNCNVQYSSGCKDQDSEHEVRAELTSSEAITPNGDVRHGVFVAEEKSSGKSSKTSDDSGEGKVGFHKMFSSKAEGLLAEKGMSWPWKGRETGGSSGKNKVTSTPLHDKQENDRSHQRVPEPIIIPERQDSECAQTSKYEVSGSWWTFNNNSTSSMSSTSSNGSPIERLAYEADCLDYEILWEDLVVGEQIGQGSCGTVYHALWYGSDVAVKLFSGQEYSEEMINTFRQEVSLMKKLRHPNIILFMGAVASQWRLCIVTEFLPRGSLFRLLQKNTGKLDPRRRVNMAIDIARGMNYLHNSIPTVVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRSEPSNEKSDVYSYGVVLWEIATQKIPWDTLNPMQVIGAVGFMDQRLEIPTNTDPEWASIIESCWDSDPQRRPSFQKLLERLQELQKQYAVEAQTHRKTAGEGWKEKTLSMGGNETFLKAIAQAILVTVCQVPKSICKEMKVAIAKYWWGDDTDHKKIHWKSW >cds.KYUSt_chr2.41143 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255727565:255731266:1 gene:KYUSg_chr2.41143 transcript:KYUSt_chr2.41143 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAKVLPAKHLAALAVVAVLGLVLVADFLWASSSSGSSSIWSSRLDISSRPTASPPPSASKKTKDNTSTGSMDISATFADLAAPELQWEQMAEAPVPRLDGAAIQIKNLLFVFAGYGTINYVHSHVDIYNFSDNTWGGKFDMPKEMAHSHLGMVTDGRFIYVVTGQYGPQCRGPTARNFVLDTETKEWHDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSLAVKDGKALEQEWRSEIPVPRGGPHRACVVANDKLLIIGGQEGDFMAKPGSPIFKCVRRSEIVYSDVYMLDDGMKWKELPPIPKPDSHIEFAWTNVNNSLIIAGGTTEKHPITKKMTLVGEVFRFNLETLEWSVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPSNPSPKKVVGCMWRTKLQL >cds.KYUSt_chr2.37751 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233630112:233638468:1 gene:KYUSg_chr2.37751 transcript:KYUSt_chr2.37751 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPSCWRHCPSRRGLLAAELIVPHDFAAFNNYLEWFHENTRIELVKHAYPEEILDDPIQFDEVGQSQHDTFARRGRSTSIAFELNFVREEIQKTAEECEVMWEQSGRDDKPVGPLRYFIKNTARKMRRLASLLGCREAEIATSSSSEEREIPEDELILSQGILPKHTSKQAPRSAYQLKPRGKGPNRYTPEDYVNRGTKVVTEEDEGSPRRSALSRMRNDEPFSSEEEEEEQQEQPRQRTKRMAIRKQPARTLLGYCPLDLSWPNYALIASVCSDQIGHSKCCRYINAVLAVSSAMYANTTGTLGVPAQLADACIGNISDTLVSQGVLPTAASFCGLGIKIQASYQCAGMTTIVQMLQSPNFSDVVRSCATSLPDDVSCKSCLNSGLSYLRHLVGQQDNITLNTCRNAAFVALASQGNISTLDTATCFFSVQGLAALQVNISTPSPAENPAQNISPSPFAEQLPGTGESSSHHHSYKRVLFPAIGALVTGLSVTLVVVLILLIRRKSKELEKIEGIKPLEAFSSCVKKGQEGTSTIFSRFSHSEMRRATKNFGTRLGGNDNATIFKGQLNSGSVVAIRRMESSPKGGQLEFCKEMELLGRLHHRHLVGLRGYCLARFERFQVYEYMENGSLKDHLHSSGKRLLPWKNRIQIAIDVANALEYLHFYCDPPLYHGDIKPSNVLLDKNYLAKLAGCGHVHSSSGATTRTVKIQATPGYVDPEYVVTQELTAKSDVYSYGVLMLELVTGRPVVQDNRSLVEWSRELIGTDYRLHELVDPAVADTFDLDELQVMADVIHWCTHRDGGARPSMKQVLRILYERLDPLSGAFARAVEVEQGYYYGGQSGRKGKEWHHHQQQHRDGGDVIQYSGEPRCLPSSSPSTSRSHCSRSVLLECNSPEPQSPADAAGSWPER >cds.KYUSt_chr7.12080 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74249097:74257381:-1 gene:KYUSg_chr7.12080 transcript:KYUSt_chr7.12080 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLELEQLDVKTAFLHGELEEEIYMDQPEGFVVPDKEDLVCKLKRSLYGLKQSPRQWYKRFDSFMLAHEFKRSQYDSCVYIKFVNGSPIYLLLYADDMLIAAKSKKEITTLKAQLSSEFEMKDLGAAKKILVAGELVSRFISVLLNKYNSNRPHEEQVEERLQQLLMRVCTIVEEADARYITNTGMMMQLKALSEAMYRGYSVLDSSRYRALQDSASFDKVSSNNSSSISLYLAKRSRTTADKAKNLESHGALESLETAVANIAEFVVLLGGCERMYRRPYDVYLYTDNFMFGRHAEKQRLLSFLLQHSDPPKDYGPAVLPIIGGGATGKKTLVAHVCGDEKVRSRFSSILHLNGDNLLSILDHGRTMEGMILVVIEFSSDVGDAEWKKFGSFAVTMGRGSKILIISRLKRLARFGSVKQIFLSVLSYDELRYLFKILAFGSIDPAEHPRLVQIADEIAKVLHNMQGSLVAANTAADLLRRNLNVNFWSCILDKTIRMNHGINDDIMDKIEELVRNHYEENMEKNFYSSMIAKTLGPDKVASNVHSGRSFMYHQPKSDFHDIPELLCTTIPEYAEVVKLAKQLACQDRCWTANRLARRGLQHPPRCVLCDQEMETMEHILVGCPYSRITWHEVLSWIRSPAAIPAEGVLFVDWWDTTVRASPKAARKGISSAIMLMAWWLWKRRNAIIFDGAWPDLHGLVDTIKADAKSWATAGASGLAALLPTA >cds.KYUSt_chr5.5371 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33694733:33697374:-1 gene:KYUSg_chr5.5371 transcript:KYUSt_chr5.5371 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRRRATAAVATEAPVGEAPSRAVASPEQPLGKGPGSGSGDSEGVSRAPAVEAPEALDGEVRRGAEVRPVPPLGKGPRQEGVRDVGVLDAGKFESEDSDDWETVSASSSGMGEVLYKAEARWECSVGVGVLVFLDAGGSDGAPQVAREAAAGEDGSNPRETLGRGGLGLGWAASPALGPLVGFSSGLEGLPLCPDPASEGTLITPGPGPCKRWRFRWLWMPAGCSSPSLGFPARHSEVRQRLCDPSAPPHRLLRPVQTPPPLDRSFAEVLLAGGDDGRKYRFVAGGRRDGGYGGRWQEGGDRREGAGAFNWPSRGKGFHLQKMGHAITGGGFYNIDVEPLKGGKRAGEVFAAVIKFLSAPLSEEQLADELKHLVDDMWDWQVRRLSESEFSVIFPTRQTLRLSTGSGKLYLPLNKKDTEIREAFNAPKPYLVLPSTWVRLTGVPENLMERERLMVAFGMVGRAIDVDDLSILKRETEPIRIRFQCRYPERIKGSVQVFVNGEGFTVGVQAERGPRDGIGGGAGDPPPSPAHNDRDEKDSEFVCSDGERNKNGRKEKKKDKYQRSELGLGAWAVEGAGASGAKTVGAELLGSWSAPARGQWHGGSVAWGFNQYGSNVGVDMGPLPMILDKAEQGKMKELELLALNAGAMVPELRAGAPSGSADSISQASDPLPSWVADNQVVDLPPALLANSGMQEGQSSELGLMEDESISMDNTVEQPREDLQKALKETTPVAQGRRTKTIEYARRKKKAASMMPTRKSARFTGVQASTPILERAMRRAVEKDMDGVSTRNDEQELEGTGQSKGQGPTGRAAGRG >cds.KYUSt_chr2.44172 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274752987:274755017:-1 gene:KYUSg_chr2.44172 transcript:KYUSt_chr2.44172 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNQTMAPLLILLLFLCLGSLRPTASADEQFSFNGFTNANLTLDGMATVTPNGLLQLSNATSQLKGHAFFPAPLQFHNGTAAMRSFSTAFVIGIIGAYEQLSSHGMAFVVSKTTNFTAALPGQFLGLVGSANNGNASNHLFAVEFDTILNSEFDDMSGNHVGIDVNGLHSVAADNAGYYDGATGAFRNMSLVDRKPMQVWVDFDGRTMQVNVTMAPLQVPRPNKPLLSTTVNLSSVIDGTAYVGFSSSSGILFCRHYVLGWSFRMDGAAPPLNVSSLPAMPVTFPKPRSKTLEVVLPIASALLVFAAAAAAFVLMRRRRMFAELKEDWETTYGPHRFSYKDLFHATDGFSDARLLGIGGFGRVYRGSLPKSKSAEIAVKKVAHGSRQGMREFVAEVVTIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKHLYDQHKLALGWGQRFRIIKGVASGMLYLHEDWEKVVLHRDIKASNVLLDADMNARLGDFGLARLYDHGTDPHTTHVVGTMGYLAPELGHTGKASKASDVFAFGAFMLEVACGRKPVVQDARDNHLVLVDWVLDQWRAGKVTAAVDPRLGGDFVEHEASLVLRLGLLCSHPLPGARPTTRQVAQYLDGDLKLPELSPTYQSFNMLALMQDQGFDPYVMSYPMTSITAGTMSQMSDLSGGR >cds.KYUSt_contig_1307.52 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000126.1:371544:372317:1 gene:KYUSg_contig_1307.52 transcript:KYUSt_contig_1307.52 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRPKGTGRKKIEIRRIESKLGRQVRFSRRRVGLFKKASELATICDAKVAVVAFSPANKVFTFGHPSVDSVLDHFIKTEEAEEAGGGGDGDGGELNQAMADLNQAQGELSQGLADAYQENYELRGLLEAEQARNKAAQEVLAKARTEGCQTTAWLDGYATLMGEPDLLPYEAVMAKVHAAVATEANRLRQQALLLHFTVAGGGGGLPVGGTNGGLETLHKLDMMMGMPPPPPPPPGYAAGMETPLQGFGPHGFPQ >cds.KYUSt_contig_319.97 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:779663:780489:1 gene:KYUSg_contig_319.97 transcript:KYUSt_contig_319.97 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVAATMLLALAASVPAPVSGQAVVTSCAASLVTSFTPCLGYITGSTNGGGSSPTADCCKSLAAVVSASTSCACLILTGNVPFGLPINRTLALTLPKACNSMSVPLQCKGTRRTLYVGSTPSSRSCRSLSSDAPATANGTGVTGADSRAHRDAGAEQSDPGADEAAGGAQLCLEVQCWRIRAACRRWNHAGLNRFE >cds.KYUSt_chr6.7591 pep primary_assembly:MPB_Lper_Kyuss_1697:6:46257178:46258916:1 gene:KYUSg_chr6.7591 transcript:KYUSt_chr6.7591 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNRNLSRAEGRRLGNAALIAFMLGSLLLLSVIRARFSPIGKTGDAIKAEEERQGLVSKDSVKMETADEAAVSTAPTRSDVELNLQPAAEDEEGEAHPKTAGTSSGGGGVSTSTPVVTVDATDRVIKPVCYETSRRSDTCEAAGDVRVQGRSQTINVGPLDHEWRVKPYCRKHDAFAQSHVKEWTLRPLSSDAAAPPPQCTVNSSATAFVMSTGGFTGNLFHDYTDVLIPAFITAHRFAGEVQFLVSSFKSWWTNRYLQIFQQLSKHEVIDIDNDDEVRCFRNVVVGPTFHKELGIDASKAPPGSSMVDFRAMLRGAFGLERATAEPSGDRWDIRRRPRLLIIARRSSRAFLNERAMADMAMSLGFDVRVGEPDITSDVSKFARLVNSADVMVGVHGAGLTNMVFLPAGAVLIQVVPYGGLEWLARSTFQEPSADMQIHYMEYMIQLDETTLSEQYPKDHPVLRDPNSIHKQGWNALKTVYLDKQNVRPHLGRLKITFLEALKLLPHGQQQANNN >cds.KYUSt_chr2.37378 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231469262:231471526:-1 gene:KYUSg_chr2.37378 transcript:KYUSt_chr2.37378 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGDRGDLALPPPPPAYESPSVRRKAAREAARATRCVGKEDRGRVHPRYDLMEAVGDPLKPLCPGNLDREIQNQNRPESTTQIGATQAAGWMMDAVGGTRRGSSDAGSGRGGRQAAAAARDHGRRDSAHSGEGRVERAQVPPRTAGLLMAPSRSGGEQGGLDERPTHPKLLGGVSAGRRPWHQALLEAGQREEEDGNWEDGDGSGEAGFRQGTETRIDGNVEVDDDVYLEFEEDEDVKKAPSEQKSWDLLARYMASFKPNTRAMFAYFIDEVWHPRAGIEYSEKGKNYYMITLFSKGDYDFVKRGGPWIFNQNALIVTDLDPVKCPSETVLDSVPVWVKIYDVPWGKQDREWGMRYGDGLGEAMEVDVPASDQHKKDYLRVRVKLPYNRRLQTHITTGVRGKPQEKKVFKLRYERLPYYCTHCGFMGHKTDHCEKKIRGMPSLNYDAHELRCSPQKKFVHRPRYVPPPPVKRGLSFASFGSAESYKGGMYQNQRDQRRSSTPAGRVQSPVESADDNEMPPLEDDPDYVAAMMQAELDRQEQQVAVEVETTLAAGVDAMLVEQGQCTQTQPSVQPPAGQRRDEASPIIEFPDDEGLPAYHTQGNPVRVTMTEDMFSNFHRMYAGNGAASGGSSWRGGPRVSDMIPALHGLSSLQVSFGSVSDTAMAPADTVLGKRAAEEQEVQGERRELASGLDYAGEEVATPPKKGRTSASTGTPDEKSKTKVYTRTKSMAATGHKHSGKLTRPNVWSRQEQ >cds.KYUSt_chr2.17231 pep primary_assembly:MPB_Lper_Kyuss_1697:2:108559483:108566976:-1 gene:KYUSg_chr2.17231 transcript:KYUSt_chr2.17231 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGDDRYAPPAGESGSGKLWNLCRMPFRQAAGAPAPASSSSSSGIHHSAGRYGHEAPVAGDGGAPGAPAGISTVAKALLPARRRLRLDPSNKLYFPYEPGKQVKSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVQGPMEYAPELFDEQKDQAVVEKILRVIFLDVQNPGPQLEKLNTQLAEAEAALEARKKPPEENGPKIVGEGLVIDEWMEGKKVEAQVLRFFELEVSFAGYIWKLQDKEMRFAMANKAYMAVTLGAAMELKEQVAKPCSAAAKRSLPAVTVRSSAGKVDGVPVAAEESLRMVMYLSCWGPS >cds.KYUSt_chr6.17292 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108913040:108915569:-1 gene:KYUSg_chr6.17292 transcript:KYUSt_chr6.17292 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRTSSLNILSSKRAPRDYYKGKNCKPTGFHTRKGGYVMVDAKLPRFVVPDLTDFKLKPYVSQCAREDLAANSTASASAESTENKN >cds.KYUSt_chr7.13368 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82422310:82423854:-1 gene:KYUSg_chr7.13368 transcript:KYUSt_chr7.13368 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPESEAAETAASLEVESEDEDRRVIAPPFSSPVHAADVSACMTWVLRLPTELKILAYLADIDLLNRAPLATAPPAAFIRRPPPAAPAMSIPTDRSPPWEEGRTSPRVRPSSTPPSGTAAEGLTDDLLVEVLSRLPAKSLCRFKCVSNHWLSLIDHPDHRKKLPQALAGFLHSSTYTYKWRLEAPVHFTSFPGTRCPPVDTFLHNNGRVDLLDCCNGLLLCRWYDISSEGDRFSYVVCNPATTKWTVLPGSGKATKEVSAVRLGFDPALSSHFHVFAGICPLCL >cds.KYUSt_contig_528.351 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:2188713:2190189:1 gene:KYUSg_contig_528.351 transcript:KYUSt_contig_528.351 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATASSGFGSGQGLARSSPRPSGGRGRYLIRLLLRHPLRPVSRLVVAAANPQQQVGVEGGQAICAATNMEPERGSPREVRIRSPSPSFRFRFARDGSQLHPSCCESVLQVMDEIARCYELVSRLGRGAVYLGSSRVPATHPHYHQTAELAREASFIISLAHVPASISFLYCYFSASQPNQLIAKLLDCTTWTGAGPGLMDAANQGALEADKPVGGFKIGKEAGEWTTSNFHPYLPSESYHTCRFFSARKHGLVDAVVRNDATVKTAIVALPGGIGTLDEVFEIMALIQLERIGSTLPVPFLLMNYDFYYSKLLEFLDDCKDWGTVAPGEVASLWKVCNGNYDALEYLAQFYNVPAGERNYDISPLSKEHRSPPYTIPR >cds.KYUSt_contig_319.1119 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:7479608:7482041:1 gene:KYUSg_contig_319.1119 transcript:KYUSt_contig_319.1119 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAAPVTAPASCRRQFQVRASPASSASSAGGGDGKVVMNKEQTKGAWKIEYSGEKPETPLLDTINYPVHMKNLSNTDLEQLSAELRAEIVHTVSKTGGHLSSSLGVVELSVALHHVFDTPEDKIIWDVGHQSYPHKILTGRRSRMHTIRKTSGLAGFPKRDESAHDAFGAGHSSTSISAALGMAVGRDLLGKKNHVISVIGDGAMTAGQAYEAMNNSGYLDSNMIVVLNDNKQVSLPTATMDGPSKPVGALSKALTRLQSSTKFRRLREAAKTVTKQIGGSTHEVAAKVDEYARGMISASGSSLFEELGLYYIGPVDGHNVEDLVTIFEKVKSMPAPGPVLVHIVTEKGKGYPPAEAAADKMHGVVKFDPRTGKQFKTKSPTLSYTQYFAESLIREAEVDDKVVAIHAAMGGGTGLNYFQKRFPERCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQRLPVRFALDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPADEAELMHMVATANAIDDRPSCFRFPRGNGIGAVLPLNNKGTALEVGKGRVLVGGTRVAILGYGTIVQACLKAAEALKEHGIFITVADARFCKPLDTELIRDLAAEHEILITAEEGSIGGFGSHVAHYLGLNGLLDGHLKLRSMFLPDRYIDHGAPEDQMEEAGLTPRHIAATVLSLLGRPLEALHLK >cds.KYUSt_chr2.31164 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192296606:192303059:1 gene:KYUSg_chr2.31164 transcript:KYUSt_chr2.31164 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQQQADQPCGGDDEVLEVECALDMPAAVQRQDSLYRDASRAGGGSHHGQERWGKTLRLAFQCVGVLYGDIGTSPLYVYSSTFTAGVRHTDDLLGVLSLIIYSFILFTMVKYVYIALRANDDGDGGTFALYSLISRHAKVSLVPNQQAEDELHILEQMEQDDPKSFSRRRGLATLQLGSPAAQRAQRVKELLETSRPVRISLFLLTILATAMVISDACLTPAISVLSAVGGLKEKAPHLTTDQIVWITVAILVALFAVQRFGTDKVGYFFAPVVILWLLLIGGVGVYNLVKHDIGVLRAFNPKYIADYFRRNKMDAWVSLGGILLCFTGTEALFADLGYFSIRSIQLSFGFGLVPSVLLAYAGQAAFLRKYPEEVANTFYRSTPASLFWPTFVLAIAASIIGSQAMISCAFATISHSQALGCFPRVKILHTSKQYQGQLYIPEVNFLLGFAACVVTVAFKTTVVIGEAHGICVVLVMLITTLLLTVVMLLVWKVNAWWVALFFTVFMASESVYLSSVLYKFLHGGYIPVAMSAVLVAVMVVWHYVHVKRYKYELERTVSPDKVRELLDGRELRKVPGVGLFYTDLVQGIPPVFPHLIEKIPSIHAVLLFVSVKHLPVPHVDMSERFLFRQVEPKEHKLYRCVARYGYRDPLEEAKDFVANLVERLQYYIRDVNLYGVDVDAKAGKVSYPSSRCDSMARSSTRAATTMMQMYSASYKESLALGRARSSSATGRMMQLGMNPSGSYTERQDGRGRSIYAEEMMTPAESFSELAYYPSGRYAASSQQLFQAAKMSLEEMAKIEEEQRYIEREMEKGVVYIMGENEVVARPHSSLLKKVIVNYVYAFLRKNCRQGDKVLSIPRSHLLKVGMSYEI >cds.KYUSt_chr2.47666 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298158488:298158855:1 gene:KYUSg_chr2.47666 transcript:KYUSt_chr2.47666 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPPPGTAYPPPGTAYPPPGQEAYPPPAYGAPPPVGANYQQAPPPQDTKDRGGDGFWKGCCAAICCCCLLDMCF >cds.KYUSt_chr4.39095 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241367557:241367970:1 gene:KYUSg_chr4.39095 transcript:KYUSt_chr4.39095 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLRLFFVLLVISAAGLVPPPAAAAGPAKVPLALYYESLCPYCSRFIVNHLAGIFDDGLIDIVDLLLVPYGNAHVRGENNTINCQVISVIRFQFPFHRESSSSLSLPSSTDRIALPRITYSLAFVIDPPPAKSKS >cds.KYUSt_chr4.1357 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7177263:7178181:-1 gene:KYUSg_chr4.1357 transcript:KYUSt_chr4.1357 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTLSTKITMKLLIDPSPLRPRVLFAEAGKDAVDFLFSLLTMPAGVAVKLLGKGSMIGSNGNLYSSAEELDGTYMLPGVDKDVILCPAVVSPVASASSSSLFRLPEPSSLLTPRRFFGCGNTINNDCRTSVTDQRGSRCPSCGNQMTTEFRYVSGQVQNTSTGGFVQGVVTYTVTDDLRISPMSAISSIARLSKLAARGLNAPQEKTVQIGYKEGLEILEASFKSKTVLTDVFLGKKLSPSNKGTTLLSKATRSECLTWRV >cds.KYUSt_chr5.12230 pep primary_assembly:MPB_Lper_Kyuss_1697:5:79748275:79749064:-1 gene:KYUSg_chr5.12230 transcript:KYUSt_chr5.12230 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHRTFSWIAACLLLVVLLAPPSRAQGPTAGFTAVSLSEGNFQLQKPYNMPSSARYSFDGTVRRMWVFSSDEPFKAESDTRPRTEMRMTGYDYSSGVWQFEGSVFVPSGTTGVSLMQVFGGGETATTLMLHVYDGALRYYNQRTVEDNIYDRWIRVNVVHDVGASALTVFVNGNLKLTASGRGVDSHYFKFGVYTQRDSSSRMESRWKNVRILKKN >cds.KYUSt_chr6.16112 pep primary_assembly:MPB_Lper_Kyuss_1697:6:101484466:101485686:1 gene:KYUSg_chr6.16112 transcript:KYUSt_chr6.16112 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRLGEGSGELEIFKVRALQIVRRSRDPTRCLQFLRSKVAAFLEFDINSSSTLGVEQGTAVEAVTHSKSPVDAVAADGVVVPSNQVNALDRNLAVSEEELPVPEPASAPAVDPVFAAGSKILQEKEEVPMEEGILEIDLDRTTSNTVQLYAHEAIVDSTVQLYAQGVFVDTDGGGASDLSSMWCAHFEINLLAECVKFVKGQAEGVQLQATHIVTGFTFDPGVLIYSLRHRALRPNNIEISFQGKAASEHKEQENMMIGEGGRSAAAGEDSEDDHIVTAAVGDVLQITTMVENLIVNECRNNMLESTPNPLVNPASKTDRAARVQEATDNLKRTFDGVRELRSQWEKRSANPKASPKIVRAPRTGKRRSQRWARHQSRRSQPARSSLVLKLCLTLNLNALRLAV >cds.KYUSt_chr2.54705 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341322508:341323076:1 gene:KYUSg_chr2.54705 transcript:KYUSt_chr2.54705 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFDGLFSRRRDDGSISTDIVAPAPAVMDDAAAASSSSSSQHYGIPPLSPTAASVVHRCARIAGVPVEQLVRRCEPEQAEQPSPPTTHPKQRAQQQDEADPECPSTELPIPPESPYEFEGPNLPSFVFCVSRQYFTSQFKGPNVEYISKYPYEIIRTSN >cds.KYUSt_chr5.11457 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74319573:74325322:1 gene:KYUSg_chr5.11457 transcript:KYUSt_chr5.11457 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPHVLTVAGSDSGAGAGIQADLKACAALGAYCSTVITAVTAQNTAGVQGVHLVPEEFIREQLNSVLSDMSVDVVKTGMLPSAGIIQILCESLRKFPVKALVVDPVMVSTSGDSLSDPSTLTNYRDELFAMADIVTPNVKEASKLLGGVSLHTVSDMRDAAASIYKFGPRCVLVKGGDMPDSSEAIDVFYDGKEFVELRGHRIKTRNTHGTGCTLASSIAAELAKGSTMLHAVQVAKKYVESALHHSKDLVIGNGPQGPFDHLFRLKCPPYNIGSHQSFNPESLFLYAVTDSRMNKKWGHSIKDAVKAAIEGGATIVQLREKDAESREFLEAAKACMEICKSSGVPLLINDRVDIALACNADGVHVGQSDVSAREIRELLGPGKIIGVSCKTPAQAEQAWNDGADYIGCGGVFPTTTKANNPTLGFEGLKTVCLASKLPVVAIGGINATNAGSVMELGIPNLKGVAVVSALFDRECVMTETRNLSSILTNAVCSV >cds.KYUSt_chr1.22973 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136227229:136228764:1 gene:KYUSg_chr1.22973 transcript:KYUSt_chr1.22973 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPDVVGVLAYAALAAVALRAVLSYKSAAHAARRLWRWADEWAQAYQYYDVPRLVAAGDAAENPLFRKAAAYVSSLPSLEDADAACLLSSPTKTNDFSLQLGPGHTAHDAFLGARLAWTNAGADRLVLRVRRHDRTRVLRPYLQHVESVADEMELRRRELRLYANSGSSDGAAPRWASAPFTHPATLDTVAMDPDLKARVRADLETFLKGRAYYHRLGRVWRRSYLLYGQHGTGKSTFAAAMARFLGYDVYDVDLSRAGTDDDLRAMLLDTAPRSLILVEDLDRYLRGGGSDGETSAARAARVLGFMDGLSSCCGEERVMVFTMSGGKDGVDPAVLRPGRLDVHIHFTLCDFEGFKALASNYLGLKDHKLYPQVEEGFHAGARLSPAELGEIMLANRASPSRALRTVISALQHVAAPPARTSSAAAARPPRLSSRLSGHLDEPNTAASESNAASLSPGGFGKETPMREFKKLYGLIKIRSRKEGGVVPVDDTAGSPHRRVSDASATEKDR >cds.KYUSt_chr7.32485 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202352511:202354277:1 gene:KYUSg_chr7.32485 transcript:KYUSt_chr7.32485 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPKRKLPATPARPYYGHASPTPAQCLAVRDSLLAFHGFPDEFAPFRLLRLGLSPEDESDPPTPRPTVLDGLVTTLLSQNTTDAISRRAFASLKAAFPSWDQVVDEEGNGLEDAIRCGGLAATKAARIRAMLRGVKEKKGAICLEYLRELSVDEVKRELSQFKGIGPKTVACVLMFYLQKDDFPVDTHVLRITKAIGWVPSVATREKAYIHLNNKIPDDLKFDLNCLFVTHGKLCQSCTIKSVAQKTKGANAVCPLASYCCSEEIIQE >cds.KYUSt_chr5.39660 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250890444:250891621:-1 gene:KYUSg_chr5.39660 transcript:KYUSt_chr5.39660 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSASRLDRPPAFPPYDVPVPYARGQLYSTKTPPFTLPRSCLLPPPPLPLACGGHGPVGCAYSAGSPPPFPPFPWATPPPLPATRCIIAEIEESAKAEVEDNFSPRSVLTPWRRATPAPASPPPLPLVVGGKRAFDPTSDKTSLMICNIPNSFVKRRFMSILDQHCAQENNNPEWRVVGFVRSEYDFLYLPIDFRTKYNKGYAFVNMTTATAARRLHAFLHGHSWASIGSAKVCEVVYADIQGVDALSAHFSGSRFPCGGNKEYLPVRFGPPRDGHRQTVERVIGRAVPRPR >cds.KYUSt_chr4.54350 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335935302:335935652:-1 gene:KYUSg_chr4.54350 transcript:KYUSt_chr4.54350 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASPEFYQPCAPPAYSPGRLRVAASEPSANGEDYRCRTPTGVSYLGEPLSCPPAPMKPREPPASACRRRLFDVKVIRLRFDDDLEAIDRPSFLRNGKRRPGKISGGSRRSTMLS >cds.KYUSt_chr5.28687 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181651244:181652064:-1 gene:KYUSg_chr5.28687 transcript:KYUSt_chr5.28687 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAEVIVQHPGGKVERLYWPTPAADVMKSNPGHYVALVILRLSPDDKALTGAEAAAAGAGAAKITRVKLLKPKDTLHLGQVYRLITAQEVTKALKARKNDKMRRCEAIKQQHEQLRRGAGAGTEQGGACEKDAKRDGKDRHRSPGGGIAQAQPQPAGSGRGRNWRPSLQSISEAAAAGQSSSTGSISESAAS >cds.KYUSt_chr7.29773 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185345637:185347274:-1 gene:KYUSg_chr7.29773 transcript:KYUSt_chr7.29773 gene_biotype:protein_coding transcript_biotype:protein_coding MNLELALLHEYASPQALVVAVVLVLVATVHLVRSTSRAERLRRKLPCPRFMLPVIGHLHLIGSLPHVGLRDLARKHGPDVMLLRLGAVPTLIVSSPSAAKAVLRTHDHVFASRPDTVVGDILFVGSTNVGHSPYGEYWRQVRKIITTHVLTAKKIRANLPYREQEARLALASVREAAAAGTAVDLTHLFSHFAHDMVSQAVAGRIHREDRWGKLFHDLFVGNGQLLGGFNLDDCFPILARLGIGSANIAKQRKRWDDLLDEVIDRHTSTPMEKGDEPDFIDVMLSVQDEYKLTRNNIKSILMDMFQAGTDTTFIWLDYAMAELARAPQVMAKLQAEVRRGGTTNQLLTQEDLSGMSYLKAVMKETMRLHPPGPLLLPHASIADCEVEGYVVPAGTRVIINVWAIGRHASSWERAEEFVPERFLEGSVDANSDFYGNDFRLLPFGSGRRMCPGINFATLTFEIILANLIYHFDWELPEGSQGVDMTEAFGMDVHRKENLLLVPRVAN >cds.KYUSt_chr4.34685 pep primary_assembly:MPB_Lper_Kyuss_1697:4:213059433:213060191:1 gene:KYUSg_chr4.34685 transcript:KYUSt_chr4.34685 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGVGSSPRPYVVIGNPPRVSDLYMPEHLAKTRGLSDPDPDSDVVRVSFGQACSASVDGLLLLHSYDIRATTSLLAKQGDNKVRQLTGLADPDRLPGMAHFVFNPVTGQLFRLPAIQGPKPKKSLVGFNLGILTHTKADAGRGPPDRYAVAELDGNADVMLRFLSETGDWDLVKCSPCPLPAAERRMVISQEVVPFKGHMWWVDLTWGAICADPFRDRPEPRFIELPSGSVLPAEAEAIRPRSLLPDADHY >cds.KYUSt_chr3.33093 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207747141:207753645:1 gene:KYUSg_chr3.33093 transcript:KYUSt_chr3.33093 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIVPRAASFLDAAAARPLLPSSPMPALRLAGPLLGTRSNPIATRLRPRSWPRCGGGGGAVARRGICCSAEEAGRGDDAKEADGGRISPERRQRGRGDAATGSGELLAIPGVGPRNQRKLVDKGFDGVAQLKQLYRDKFFGKSNEKMVEFLQSSVGIIHKNHAESITVFIKESVDEEVKDTDTSKPCRSKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVGKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRLMQEKESSSGIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMVRKRAEEGGVSLDYLQGLHEKHESWLLPSKGQGSGLLSVSQLPMHMEGSLHPEIRDRVFFLEGDHMHSSIQKVPALVLDCENDIDFNKDIEAKQHVVAGFGVDTSDVGDDASRKRKRSLLGDDDVIVVSSMTMVVKAVAVTITSASPPDVHLGLYDVVMDVGGFSLEALMVARSHLFDNMA >cds.KYUSt_chr1.1433 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7788598:7790287:-1 gene:KYUSg_chr1.1433 transcript:KYUSt_chr1.1433 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAAAAVMRFSSPFSGQTLLPPRHRVVSSRRAALAVSATAGGSPATVLVTGAGGRTGQIVYKKLKERSDQFTARGLVRTPDSKGKIGGGDDVLVGDIRDPGTIAAAVEGVDALVILTSAVPRMKPGFDPSKGGRPEFYFDEGSDPEQVDWIGQKNQIDAAKSVGVKQIVLVGSMGGTDLNHPLNKLGNGNILVWKRKAEQYLADSGIPYTIIRAGGLQDKDGGVRELIIGKDDEVLKTETKTIARADVAEVCIQALLFEEARFKAFDLASKPEGEGTPTTDFKSVFAQIATRF >cds.KYUSt_chr5.11696 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75862712:75867225:1 gene:KYUSg_chr5.11696 transcript:KYUSt_chr5.11696 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLHLHSTLLPSASLLRQRGGGGGAQAAAPRRRRACRVEAKIREIFMPALSSTMTEGKIVAWNAAEGDRLSKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEDEIPLARSHAANLSSAAAAAPPAPQETVPEESSLAPPPPPPPPAPVAASAPSPATQGGARVVASPYAKKLAKELSVDLFSVTGSGPGGRVVAKDVEAAAASPTKKTASVAAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTITTDALDQLYKKIKSKGVTMTALLAKATAMALVQHPVVNSSCRDGQSFTYNSSINIAVAVAIDGGLITPVLQDADKLDIYTLSRKWKELVEKARAKQLQPQEYNSGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGSSQPTVVGTKDGRIGMKNQMQVNVTADHRVIYGSDLAAFLQTLSKIIEDPKDLTF >cds.KYUSt_chr7.26664 pep primary_assembly:MPB_Lper_Kyuss_1697:7:166432937:166434178:1 gene:KYUSg_chr7.26664 transcript:KYUSt_chr7.26664 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASSLHSLFLYNNALTGKLPVALCDLPRLQNLDVSRNSLSGELPLDMRNCRSLQRLILAKNTFSGEVPAGIWPEMSNLQQLDLSSNDFNGSIPPDLGQLPKLSGTLNVSHNQFSGIVPPELGRLPATVTLDLRFNNLSGAIPQTGSLASQGPTAFLNNPALCGFPLQVACHAVPPPTQSPPPQNTSPSTASSVSQDGQHHPIKTSLIALIAVADAAGVALVGIILVYVYWKLKDRREGRRGRAIAQDGDDDDRNKALCGCIWGRRGRGGTGYSDGSSDDDEEGGDSKYNGNDGELVAIDRGFRMELDELLRSSAYVLGKGGKGIVYKVVVGNGSTPVAVRRLGGGGGGAERCKEFRAEARAMGRVRHPNVVRLRAYYWSHDEKLVVTDFVGNGNLATALRGKHTSDPAHFF >cds.KYUSt_chr4.36866 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226796103:226798619:1 gene:KYUSg_chr4.36866 transcript:KYUSt_chr4.36866 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQAAMPSSGGAISRQGSLCSLTLSDVEGQLHGLRTVAGPRRTVDEVWLDIQGAASCARPQPAQMTLEDFLSRSGGGSAVVAADAGGGGGGGGWATEQYNPPPPVPEQQQRHSIGRPLPRPLGVGAGPVLLDALYHDHHDVAAAMSGRKRAAAAAASAGGPVEKTVERRKKRMIKNRESAARSRARKQVGSSSPSSNPKSQQAYTNELENKISLLEEENERLRNHKAFESEVQYVPQQEPTNQLRRRNSASF >cds.KYUSt_chr1.10000 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61250624:61252091:-1 gene:KYUSg_chr1.10000 transcript:KYUSt_chr1.10000 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVVTDPAVAGAKCGTLDSDSMARSPRSPLDLRAFAALGGSLLRSPRSPRSWDSQRVGLGSLVDTLAEPAADAKNRLLGYQMRPTKLQCLAKSYASLPKDCGYGQPELGVAAGAGGMSVPCTRFYGDVKSGPEVTGGSRLGFSSHSVDLAKFPASGSLPVSIGGPRRYIGSVSAMEVEQSEDYTCIIAHGSNPKTTRIFGDCILEPCPLLVPDWESKETEEAELYWLVKGPGDADEEFMRVCFSCNRNLDGDESCIYRSGNAFCSGCCKDQVILNEEEEEINPAASSPSSASSKLPFHEDDDIFIDGVVSGRADMTSVSPDT >cds.KYUSt_chr3.2744 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15844018:15849669:1 gene:KYUSg_chr3.2744 transcript:KYUSt_chr3.2744 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFKISRRGRRFYPPPPASTSAAADPPPPAASPYGSPLPPPPPPPPWHAAAAGGPGLDSGAAVRSGVLGGNGADPADLDLEPSFALNLFPDGYTVGGLDKGMLVFLIGDDSERKPYTRASRALLSDIEYGCLPQDILHGIPCKFRNGIVVCEVRDYRPFLSNGGDSSGYDFPKMNRVALRLGTECVIKDLSLIADPSWTYHHQLIAESTIINSLQPRLNLDPTPCLEKLCNSVKKIDLGLNKGRQRTKDTSLLSSSTDPPEKCKPKECGTCEDAAVCIERSTLEVFPARIFCSSPVNCSSSAQVNNPKSTVMSDPEETIQRSSTLRNSSALCDRKQSASGSPAPDHSLQNHEQQVELAIVQVDHKNGQSPRETVLSQKRKQSLNLPRERRPSNKSARMSFQSPKGQLQKSIGTSNKERLKLGSPKEPPVEVKVDQTIGKKDMRVQQQKTFSAIPTHRLSPLNRNDPCSEKFPRKVKQGSQKELLIEVKVDQMIGKKDTRGHEQKPFSVIPSNQPLPSLSRNSPCSDKFSEKVKVVSQKELPVEVKMKRDGYALCNSTACNGFPVLRQDTSFSFQKRLQDVQGNVRQQGSQQWGLPDVHSNVLHQGSQQWGLPDVHSNVLHQGSQQCGLPDVHANVRQLGNTQQWRLPDAHANVRKQGSDQQWGLPYVHANVPHQGSNQQWGLPGAHANVSHQGSSQQWGLPGAQTNVVHQGSNQQWGLPSAHANVPHEGRQQWGLPDPHTNLVPQGRHQWGMPDVHANALHQGSQQWVQPGQCPTMASVDTSYFLNPNYPAEQQYNSRVPGGVCSMDQHQHHYLQNRHPGSSDRYATSMNTGFYDQWHQTPPQQLSSRTHQWGFQDFGRQTNSMPQMHAGRGMRLSVPHPVGSPQMSSPTTGSDVSVTSFLVPPSYPYLPHGNGIS >cds.KYUSt_chr1.6113 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37557948:37559695:1 gene:KYUSg_chr1.6113 transcript:KYUSt_chr1.6113 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYMPEGGGGRAARAGRYPPLASLVVSTIAAFSAVIVIAVLHSAYDDALSRTRTLLGHNLEPTPWHPFPHDKGRPPPRAALRCASYLACLPPLSQPRPAAAALAPNNASTRPRRQCPSYFSAIHRDLAPWKRRADGVGGVTRALLESARARASMRVTITGGGTRLHVDLYYACVQSRALFTVWSLLQLMRRHPGRVPDVDLMFDCMDRPAINRTEHSGEGAPPPPPLFRYCTTRDHFDIPFPDWSFWGWPETHIEPWSREFKSIRQGAKKVRWPDRVPTAYWKGNPDVASPLRMALLACNDTNLWRAEIMRQNWDEEARSGYQNSKLSSQCTHRYKIYAEGFAWSVSLKYILSCGSTALLIDPLYQDFFSRGLEPRVNHLPVSTVGMCESIRDAVEWGNAHPDEAERVGRRGQRLMQDLAMDAVYDYMLHLLTEYAKLQDFRPAPPPTAQEACVGSVLCLADAHQRRFLEASAASPATAEPCSMPPDV >cds.KYUSt_chr2.47022 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294056199:294058734:-1 gene:KYUSg_chr2.47022 transcript:KYUSt_chr2.47022 gene_biotype:protein_coding transcript_biotype:protein_coding MERPGEHEEDDSTIEVSEFDRLLGNGRKNRSEVWEEFQPICLNGRIQFAVCLSCNNRFCYNGNSYLRQHLKTCPAKPEVAERPQEDSCFPMVYVHPSLFSMLRRDSSSSGITRRPVKVVADDQSLPGSNGTSLKKQKTSSMTIATDIRARKFGQDSSYQDIAKLIILHGYSLSIVENEEMRRILKKVKPMPNTVSFSDMEEHLFALFLKDKIHVKDKIALTSQRVSLSASIWTHDGPEPTVTYLCLTAHFISEDWKVHRMVIKFGMYLCSPTNLERTVHCKEACVPESESGSYNVIWDAIRDWNLDQKILSLTSVGEIKKDANTSKLKDMLIEKRCLPIRGKLYNVACVDDMLNSVVCEVQSYVLFLVGDMMSLKCPLEDSKWWHKLYFRLEVLLQFKKLFPAEEAMSLSQEDMMVARSICKILGTFYHVIEVISSPCSPTANMYFNEVWKVKTVLEDAASNGQREIGTLVTEMQEVFDEYCQNSYLWLLIPAVFDPRFKMSFIEFRLEHSYGTDSLSYLSELHDTVQELFNEYYNHMNQPSGGGVSSSAALGGDDNDSVEGQDDHLNTQASSDLDDYLGEALVPRMDDFDILDWWMKHTTKYPTLAAIARDILAMPTSAVQSDAAFSSSGPVIPKQHSTLSIKTIEALVCTRDWMR >cds.KYUSt_chr3.14634 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88946870:88950951:-1 gene:KYUSg_chr3.14634 transcript:KYUSt_chr3.14634 gene_biotype:protein_coding transcript_biotype:protein_coding MRANAARSARSRRLPLLAGSAAALLSPPLPTVCAPPLFPGGDVDAELRVREWRRQLWRGLASAWAPEEFEVEVRSWEVNGFQSLVLRLIQRFSGLLLLITWVHGKK >cds.KYUSt_chr4.5556 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32164881:32167114:-1 gene:KYUSg_chr4.5556 transcript:KYUSt_chr4.5556 gene_biotype:protein_coding transcript_biotype:protein_coding MNADASSSPPQPLRIVVVPFLAFGHLLPYLELTERLALRGHRVSYVSTPRNLARLPPVRPAAAPRVDFVELPFPRVDGLPDGAESTHDVPDEDRELHWKAFDGLAAPFAEFMAAACADEATRPHWIIGDSFHHWAAAAALEHKVPFAVLLPTAALLASLPGRPPSEPAAPGLEQPRYEREGTAQVITNHSASAMSTIQRCILTQERCTVAAIRSCVEWEPETFPLVPTVIGKPVLPLGLLPPSPEGARRAASENREHATVRWLDAQPPKSVLYVALGSEVPLSGKQVHEIATGLELAGTNFLWALRKPRGASDLDADMLPPGFQDRTHGQGLVTTGWVPQMSILAHGALGGFLTHCGRNSLIESLLFGHPLVMLPIFGDQGPNARQMERKKVGLQVARNENDGAFDRHDVSSAVQAVMLEGETRTAFVAGAAKMQEVVANTDLHDGYIDKFVEQLRSYTAASAN >cds.KYUSt_chr2.27558 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169323210:169325338:1 gene:KYUSg_chr2.27558 transcript:KYUSt_chr2.27558 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYFCANRRGAHGFDGSAFRECFSLSWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFRSVDKNTWLQEMIVSMAVAGAIIGAAVGGWANDRFGRRTSILVADLLFFAGAVVMASATGPVQLVVGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMLGVAGLPAVFQFVLMLFLPESPRWLYRKGRVEEAEAILRKIYTAEEEVEREMQELKESVEAEAREQGSTEKVSLAALVKTKTVRRGLVAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNQTALALSLVTSGLNALGSIVSIYFIDRTGRRKLLVISLVGVIASLALLSAVFHEATSHSPAVGAAETSHFNGSLTCPDYRTSGSSAWDCTRCLKASSTECGFCASGAGSKLLPGACLLSSSTVRDTCHGEDRLWYTRGCPSSYGWLAMAGLALYITFFSPGMGTVPWIVNSEIYPLRHRGVCGGVAATANWVSNLAVAQSFLSLTEAIGPAWTFLIFGCLSVAALAFVLVCVPETKGLPIEEVESMLERRELRLKFWAPRAHSGGELPGGAKKDGV >cds.KYUSt_contig_786.281 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1515269:1518502:-1 gene:KYUSg_contig_786.281 transcript:KYUSt_contig_786.281 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVATMEERLASITANLNDSRRLLASCAWRLGAKEMGGKAASTSSFASSTLPLFVGTRAPSRATTKSSPSSTLSAYRVVADGAIYADAALTTTTPTRCSTVGSAVNGSGNHAVVAFPTSGTKYLAATAWTDNGNNKLQGESGIGMSTRAGSSTHILANPLEGSIAGARAVHTDLLRNTSFPRFDGTNPSLWRVQCLEYFNLFNINRCPWVIAARMHMDDDVEAHFGVGDLPPSTLIFGVDHLNVTVDSSRGISPNVMDKAAEPTELTTVTHLAEPVSPSDEMVLTNVGGVSMFLESWVEPAETVSSKTTMIELTEETSIFVGDSSLFLELDTDSSNKVFKGDVLTIVGCVSLFLELDTDVDHIYYDAEVLTQVSALSQFLEQIMDSHHEIDKSMCLDHGVGGVRVVPLAVPSTEGKLQQLPWREMQTGTNRATLFLPWDPGVWIIVAKIPELSTIWTSATRGLNQQQVMLPEDTFSMKLKKKLSLQVTQLTTQQWDPRIFMLTIEKLHEGWGLLYRVYITVVPMLRHSPLLILADVALSGIMVNLIPQAFNVKLLRSEFAEQCCELTAIDQSLRFEDLNCWSGWFSVFQCDMWAHLYRLLFAPDQRTCLSSVRIYMAHAGSSGVLTCGKEPMEALYLMQTWCLCIMECIQQQMLDTDSCNLCPTTLYAEKLFWLALWDIMPFTECSVEVNSGYVSIILECFTCSVGLLDSCISLLSAFYCLCGIYRTQVHTFGKVSLYFPSRHPWSRIIKVVIVSIWDVLELLSAAEGCMEVLASAWMRCQHNLGHLELVVHMNQQDGPRCDFHLQSRLILFNVYQLSRDASAGWQSIKPHDGNHTVKGASVTAGRPLLGLAAGGSARGRGRGMSMTKSRCGRGDPEFTMAGEVNVVAICSARFSLGCCCCWRVKPRMRRMGSTSAAGGGAPAPAAAGRMVAGARTGRIAGGRQGFRVWARLNA >cds.KYUSt_chr5.16910 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108881182:108885882:1 gene:KYUSg_chr5.16910 transcript:KYUSt_chr5.16910 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYAYRYCMCFTRKFRSPDAHPPPDVRAAHAAAAQGDDGLRRFLDDVQRERPSDADRILAVLSGGGAAGGIARFVGRSPAHAVPSLDDFFGFLFSPDLNPPIDNKVHQDMSAPISHYYVFTGHNSYLTGNQLNSDSSDIPIIEALDRGVRVIELDMWPNSAKNRVEILHGGTLTAPVDIMRCLKSIKEHAFTASTYPLVITLEDHLTADLQAKVAEMIRETFGDLLYVPSSDTLNEFPSPEALMKRIIISTKPPEEFKEFLKAQGNQNESEKAAKLAEEVSLKRADSNADDSDGKDELDEEDDDISEEEDPKFQPDTACEYRKLITIHAGKPKGHLRDALKVDPNKCRRLSLSETQLSKATISHGADIIRFTEKNILRIYPKGTRINSSNYDPINAWSHGAQMVAFNMQGHDKSLRLMQGFFRANGGCGYVKKPDFLLKADQKGEVFDPKAIMPVKKTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYARVGIAGVPADCAMKKTRTVEDQWVPVWDEEFSFPLTVPELALLRVEVHEYDMSEKHDFGGQTCLPVMELKQGIRAVPLHDRKGNKYKSVRLLMRFELV >cds.KYUSt_chr3.33453 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209979568:209982625:-1 gene:KYUSg_chr3.33453 transcript:KYUSt_chr3.33453 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRRRPRPSSPSPVSAHPLEDDDLLHEIMLRLPPQPPYLLRTSIVSKRWRLLATDPKFLRRFRIHHRKPPLLGVFSCTMGDISFRSTLDPPIPPERFSLSPSIRSSQMCLDVRHGRVLIDDGMRSRVIVWDPITDDRRVVAFPPQFSHTGIHSGAVLCAAGDQGHVHGACHTSPFKVVAIISDEHDDDLSDEDDDYKPVVLASVYSSETGMWSDLISTTKPGRGINLSLRSTLVGNTLSWLVNSPFMLEFDLEAQSLAVTKRPPGAPRGDNGQIIQAEDGGIGFAALSGPCLQMWDRKVDSHGVATWVLPKTLELQNILGLDSRIEDGNSSILHYVEDGKAILLRVWSSVYMVRLESLQSKKLFKSSLKRIYRPFTSFLTEGVFSSLKQKQPISG >cds.KYUSt_chr6.28703 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181899586:181901041:1 gene:KYUSg_chr6.28703 transcript:KYUSt_chr6.28703 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCGTRDRNQLVLGAPIRHVRERRLCLPYSSREVKPEINPNRCSFVEVIIDDAPCLERLLFESSGDWRPIKIVHAPRLEILGFLDLQLHTLEIGGIAIRAGMNVRACAMLPSVKILAVKCIQSRSPDTVDDMDFWKSLASCDCLDSHLKTFVIHGVQGRKHEAWFTGHIFKNGKVLKSYGIVYSHSDDVAEDGSDGSSSSDDMVDDGSDGSSSCDDAVEDGSDGSSSSDDVVEDGSDGSSSSDDVIVEEGPTSGSVGEGNAPSGGSSGSDDVEVERRPMSGTVGEGNAPSGGSSGKYVLAHPAFPCWRFQNAIDLSVEDPFYVLGRVMARIDMISHGESPWFFLKEEW >cds.KYUSt_chr5.41181 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259972349:259974095:1 gene:KYUSg_chr5.41181 transcript:KYUSt_chr5.41181 gene_biotype:protein_coding transcript_biotype:protein_coding MPSERDIITASRRPLPRSSDQIIPDPGDARREGQHHIILPAAAEERPIDVWRIQPKHTEYLRLSSPAAVDIYTYLMQQAVLVAPGVKGRTVAAFKRDELKQKDAVSGLMRSIIVPGGDARSAFFVLDLGEVVHLYNAWRRALADVRPYYAVKCNPEPALLGALATLGAWFDCASRREIEAVLALGVEPSSIVYANPCKPESDIKYAAEVGVNLTTYDSEEEVAKVRRWHPGCELILRIKGPDNAEAKIDLGTKYGAHADEVVPLLRAARRAGLNVAGVSFHVGDSASRTDAYREAIEAARDVFDAAAALGMPPMRVLDIGGGFKAGPKFDEAAGVIRNAVAGYFGELPSVEVIGEPGRCLAETAFTMAARVIGKRTRGEVREYWIDDGVYGALSCILMDHYACAAPEAARFQLPR >cds.KYUSt_chr4.6907 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40864153:40865682:1 gene:KYUSg_chr4.6907 transcript:KYUSt_chr4.6907 gene_biotype:protein_coding transcript_biotype:protein_coding MGATKMTASPFPTVHKCSSVDRSDDTVVADLDGTLLCGRSSFPYFAHMAFETGGLLRLLLLIVLAPLAGLLYYLVSESAGIQVLIFASMAGAEVAEIEAVARAVLPKFYCSDLHPESWRVFSSCGRRYVLTANPRIMVEAFLKEYAGADAVLGTELVVWRGRATGLVASPGVLVGEKKAAALRQAFGEARPEIGLGDRKTDYPFMRLCKEGYVVPAPAPGLRPVPREDLPKPVIFHDGRIVQKPSPALALLTVLWMPVGFLLACLRIAAGALLPMRVVYHAFTALGVRVTIKGTPPPPASLETGQTGVLFICSHRSLLDPIFLSTALGRPITAVTYSVSRLSEILSPIRTVRLTRDRAADAAMIRRLLKEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKGLDPFYFFMNPSPGYVVTFLNKLPHELTCKGGKTSHEVANYIQRLIASTLSYECTSFTRKDKYKALAGNDGSVVSKPNIDKKKVMGS >cds.KYUSt_chr1.30091 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182071081:182074338:1 gene:KYUSg_chr1.30091 transcript:KYUSt_chr1.30091 gene_biotype:protein_coding transcript_biotype:protein_coding SNVDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSGNNYRPYPSANGNGYGHEGKTKKLSIMRHSRMDILEWHWLKELTTDISLMEWFCCLSRDSQPCGLSYFRFGGIRASVVF >cds.KYUSt_contig_2008.294 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000240.1:1669135:1671570:-1 gene:KYUSg_contig_2008.294 transcript:KYUSt_contig_2008.294 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPCVPSQPPTPPISLTANAIISISGLHCWPGSAEPKSEDFGDKALERWRADHKLLQPSAGSTSPSPAAKARFLALLFVVAAAFLPVAERPGPILGKKLNQIHTTGLLEGVSVCWPEGSPAKPHLPVQGDGPQNLLKPHGEGACDTMANESIHSLFVLLLLLLLLLLLLLLFLGLLLGLLLGLLHGLFVLLLVIPLVLAGRRGGESSLLDDAAGSHQWRHPGGFPSLSVSDGQEISLMVDGGW >cds.KYUSt_chr4.43893 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272088974:272091616:1 gene:KYUSg_chr4.43893 transcript:KYUSt_chr4.43893 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDDWRFLFPVASIFNPPSLAPPDAARGPLLFSPLPPPAPLLSLPSPFPPPLHASTTGDLFHALRHFLSSTSFLPYSHLRSLSAPLLAAPSPPFPPPSNLLAALRARSPLSPLLLFFPHGKNAEKIAFATLDSSLAISAPLVVQSDGLKHPGHRILQLAASPAQPSWPSQQHDAHLEGFLLATTLYSVNWFRVGPGNSGSPALAPVAKQGFDAAVVHACWSKHFPSQCAVLLETGELCWFDLDTRLGGKTKVGLGSNGDDDDDSGDWLSCVYGAQPWTVIVASTKAVLSVDLRFADRGEYKVLARVGVPGLFETEPFDVIERYLAFCKAGFDDFHMSVVTERHLILLDARQPLTPVLSWQHGLDRPNHVAMFRLSELRPSEEHDWASDSGFAILVGSFWNGEFSLFCYGPKEQGCPDNSHLYAWDIPSRLSLTGQHCGCSKGIMEEVFSTHVPGRGGYSCQHGANSILGYYVLPDEISVSEPTSSSFALIRLTASGKLEMQRYRASGDLHDDIDTLCDESEHASMDICSPIFVDTQEENDSTKLRFLKLRFLSEYLKGNLCSALAKHDMNVKEDGEKITISEDVSTFAEENARSSLLSVSDFLCNASVPLNAFEIACQSILSGLSSDILQISFSKYKDMLKSGTKEGLLEYLEVPRCLPHLELWPFLLAKPSSTGEKLTSKVISQNAIVGPVLPIPVLLAMEEMNKGTESPDDRETAETDFVHDRCSEVREAFVPETSIAEADWFSQQKLNEKNSFFVYRPRTENRFTLDETAIKKETEEQNVGDRGCSQTSAAPYKDENFMEFVCGKVGSPHSGPEQATSDLFDFSPLKMNFDSRDLNIQPAEEKIYKCLKKQFLTWQKDFKPYQEFCSSYEIQKPS >cds.KYUSt_chr2.31064 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191604383:191606891:1 gene:KYUSg_chr2.31064 transcript:KYUSt_chr2.31064 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKPTSPPNPAFASASAAAPASDKKKVTPIQVAFLVERYLADNGFAAALAAFRSDAAHLFAKTNSKMATPKGLLPLADILHDYISLKETRLAVDSAMQGMSSLVSAYYSSASSTALVAAQAQAQPSSPPLVPPFFVCPTASSSPPHPYVSMPPPHTAPPGYATPMIHYTQSSSSLVVQNSSNANNMPTPAVSSKKRKAPAKSTKTTSASKKICAAPASLNPKGKSAASLLPAPQPSSAEHSAIAQLPVQASSVAKSLFKPVQPQVSSSPSTTQQSNPMGDESASYQTLRPPSLDATAPTQQEIASSQYSIVSSKTLIVSPMKGGTYYSVERSCHVSSPLKSTTQRSKREHVKGRLDFDSSDARPGSTENVCEKASTSTPADNQVDFDIDFTNFDIFNNDFSISELLQDFDLENEGIHCGNPSTNAEIQRLQPIANSVADPVFPDSMKPMEADTSEAINSQGTTSVTSVRAITKRIKIVSPAKGRTTS >cds.KYUSt_chr4.8408 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50284794:50285135:-1 gene:KYUSg_chr4.8408 transcript:KYUSt_chr4.8408 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGVMAMTGLGGPFPRRLLLRCAVWTAARMWWTLRSSLGSGWGHLRTRMAWRPMKTGPWWTWMVREGFGLDDCGGCGDGGVCGCGGGDGGGGVGAGERERRGDERDEVRGGG >cds.KYUSt_chr1.34855 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212336410:212342538:-1 gene:KYUSg_chr1.34855 transcript:KYUSt_chr1.34855 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDTALGRDQIFDHQPVTLKQEKSSLLSEEAAAKRAEDQQQQQQSFSFFESVVPGLAVYNGADAGHTGSRTPRLARTLSQKAGLGKTKVSEMSMIFGRASTAGLGKAVEVLDTLGSSMASLNASSAFVSSSAKGNKISILAFEVANTVVKGSNLMRSLSKSNIKHIKEVVLHSEGVQHLISKDMDELLEIAAADKREELEVFSKEVIRFGNRCKDPQWHNLDRYFEKLASERRTSQQYLKRDAEAVMQQLIICVQYTAELYHELHALDRFEQDYRRKHQDHDGCGSTGESLHILKQEVKSQNKHVKNLKRKSLWSKNLEEVIEKLVDVVHFLDLEIYDAFGYAESEEPQEPVRHHKRLGPAGLALHYANIINQIDTLVSRSSSISPNTRDNLYQGLPPTIKSALRSRLQSFEIKEELMVSQIKAEMEKTLRWLVPIANNTTRAHHGFGWVGEWANTGSELNCKLSGQMDLTRIETLYHAEKDKTEAHILELVVWLHHLISKSRAANGDVRSPIKSPVRSPTQRGHTIRLQQPDQVHSSPILTPEDQDMLSCVKYRKFVPGISKSQEFDTRSRHNKHSRLCKSSSHSPASGSRKDLLSVRRFSLLPVIDFEIDRTKALDLIDRLDDLKTQ >cds.KYUSt_chr6.9275 pep primary_assembly:MPB_Lper_Kyuss_1697:6:57207262:57207786:1 gene:KYUSg_chr6.9275 transcript:KYUSt_chr6.9275 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHPEVAGVFHARPSELAIIIQDRVHVPTHSPPAPHPPRLILLHLGDTPALFPAPGATNTFPSIASSHRPPDLAVTITTARNGLSPPPPGQIARKSSNGCRDPIFPLLFLLVLMSIHLSNLQQREHSPCVLAVNFRSLHVDELKHVLYSELKHGKMGSKHVLWIWEVSRINCY >cds.KYUSt_chr3.6899 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39677844:39678854:-1 gene:KYUSg_chr3.6899 transcript:KYUSt_chr3.6899 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPEARTTIVSSCVQFRIDYEQTKQFPIGKAVHSDVFSAGGHLWVIDFFPHGDREADKGEYISIFLVHATKSRSARANFEVSMMGRDGKPSDIRRTCKTFGISEDDDDSWGWYQFMKLSDVEKRFLKEGHITFACTIMVMDGSAIPVLVPPSDIGIHLGRLLDNTDGTDVSFTVDGEKFPAHRVVLAARSPVFRAELFGSMAEATMSSITLHDITPATFKAMLRFIYTDELPAEAEPEDSSVEMFQNLLAAADRYALDRLKFICAENLRAKVSADTVATILASAETHNCHELKKKCIDFFAVEENFKEAMFTDGYALLVLKFPSITAELKKRVKA >cds.KYUSt_chr2.42714 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266002426:266006183:1 gene:KYUSg_chr2.42714 transcript:KYUSt_chr2.42714 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRGAALLLLALAVLSIYGNATGNSKLNNHHGILTWIMEQSASTAFSSFPCPSTFSWTSMHHGRRRHAAPPSFDRQDCSGITCSAPLTSTPIGSPCGCVYPMQIQLDLGVAPYQLFPRIDELEIEIAAGTFLKQSQVRIMGAGSSIQDPEKTTVTIDLVPLGQKFDTTSALLTSNRFLQKKVLIKSSIFGDYDVTYVHYPGLPSLLPTAPRSLGPVGSNEYPLGANVHNRSHQRINSKIVAIIALSAVVLVLTCFGIGIIWKYKGCQKPHGSGHASNSSITRKAGMRSSFSSMSSSPTSFPSTIATCPSTVKTFSISELEKATGKFSFNKIIGEGGYGRVYRGIIEDGVEVAVKLLTGKHQNRDREFIAEVEMLSRLHHRNLVKLIGICVERSTRCLVFELVPNGSVESHLHGRDKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTAKVADFGLAKEASEGMEHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPSGSENLVTWARPLLTNREGLERLVDPLLPPATRDFEKLAKAAAIASMCVHVEAPQRPFMGEVVQALKLIYSGNNDETCSGSFGGGATEEESPWNDGRSCSWNDTDGTPPPPRIPGAPRPSMIGYSSGPADDASARRPRSTPSAVLDKIESLAMYDWSGPLRTRTRNFYRLRGSMSEHGHHPSDDCSVEGDWM >cds.KYUSt_chr3.39123 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246508708:246510891:-1 gene:KYUSg_chr3.39123 transcript:KYUSt_chr3.39123 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKRKVDPAQSAATSHQQEHPSSELNSCGTTYIPITDDPPPPPAEAAAAAADEESDGSDGDDEEDVTKLLELLSREQLVELLRRAAEKSPWTMADVRCAAEADPASRKLFVHGLAWRVGAQDLRSAFSRFGDLEDCNVILDKQSRKSKGYGFVLFRSRAAALRALRCPQLQIAGRLAICQFAASGPTTPSPKSQNPSSNAPASSSPSQPDNIQRKIFVGNVHANVDVARLYEYFSQFGEIEEGPFGFDKSTGKPKGFALFVYKSEESARRALEEPMKNFDGKVLNVQKATDGRTKSTPAGSNSNANSSATAASAQMTAPSVATPASAQMTAPFIAAVNPYDPLTYGVTAASAQMTAPSIAAINPYDPSTYRATAVHDMAVAQQAAMLGMGAQQQAFVQPNASSGTPNNAPASSSSSQPDYMQRKVFVGNVHADVDVDRLYEYFSQFGEIEEGPLGFDKWTGKPKGFALFFYKSEESARRALEEPMKNFDGKVLNVQKAKDWRTKSAPAGSNSNANSNATAASSQMAAPSIAAINPYDPSTYGATAASAQMTAPSIAAINPYDPSTYGATAVQDMAVAQQAAMLGMSAQQQAFVQPNTAMLAMMAAAMQNPTMFAALNPSFAAMDAGGQHTGIPDFGGQGFGPQGFATGGVNFPPAAGGLQGAAAYQGCLPGFQGTPGFPTSAGFQVGQAASQKDTTAAAGATGYQAGPAGQGQMLSSNTDFLGGY >cds.KYUSt_chr6.22833 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144259448:144260026:-1 gene:KYUSg_chr6.22833 transcript:KYUSt_chr6.22833 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPPPSPSPAAAPSSSFPMPRRDAADLGGGHHHHQPRGLTRAPRLRHIDDSEVGASVLRMDDSPNAAPSSSVPIPRRDAADLGGGHDHHQPRGLTRAPRLHHIDGIEVGDSVLRMDNSPRAMPSSSFPIPRRDAADLAGGHVHHQPRGLTRAPRQEMDVVVVERDGGRGGARRCAARPVFLFPEIDTAGE >cds.KYUSt_chr1.8738 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53624375:53624733:-1 gene:KYUSg_chr1.8738 transcript:KYUSt_chr1.8738 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLTRTWRQHMTRWGGLRQDVIDSAMPPPMSSRRRCDAGRAAEGHYGLHYAAANELAEQAAATEKRRQHMTRPVLGVITEKLIGGLHYKVA >cds.KYUSt_chr7.25523 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159237637:159238341:-1 gene:KYUSg_chr7.25523 transcript:KYUSt_chr7.25523 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFAPPPPVYESPSDRRRALTESARVTRRGGKEGVPGVRPEYVAILPPSRPIKPQTQEVVDQSAGKDGNGAAPRTRRRGGGPPAEGGNAVTNPVGLLMAPPAGWGNQGGLAERPSRPKLVGDPKVARLLRQRALLTADREVAVNGNWDDSESEKKAGFKQGQETKFGGYVDMEDDVYLEFEEEEEVKKDPDEAPT >cds.KYUSt_chr4.17609 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110279697:110280551:-1 gene:KYUSg_chr4.17609 transcript:KYUSt_chr4.17609 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGYVSGDADSLLMATELRLGLPGTDDQKPKTTFSPPATPRGKKRTADAFEDSAAAEAHKADDDVEAAPPVAKAQVVGWPPVRSYRKSCFQAAASKSKAHKKAEDASSNSNAPSAAATAAPASTNGGSFVKVSMDGAPYLRKIDMRMYKGYRELREALEAMFVCFSGADTAASNPCEYAITYEDKDGDLMLVGDVPFDMFSGTCKKLRIIKRSEATGLGSK >cds.KYUSt_chr7.1391 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7628653:7630547:1 gene:KYUSg_chr7.1391 transcript:KYUSt_chr7.1391 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAMREASTAAAAEAADGAGAEATEAVEHATAAGLPRRGGGSITDRGSRAGDCAAAASGETWAAAAAEPRTAKARRRRPTTTPATNLGWAERGDDEDPGSGRSGGRGVTSMSAAPFRSGSSSSSSWADMHTRGAIFYAAVAVDVPIASVVDISDDPPTQTPN >cds.KYUSt_chr1.32240 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195801367:195801873:-1 gene:KYUSg_chr1.32240 transcript:KYUSt_chr1.32240 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDVDDSNFALHGRGVPLLVGLLALVVAFVAVCLYLRWACTRRRRRAADLEASSSAAAASRAIPGLDADAINGFPVTLYSPTRSAGVKAGGGEEEQVAKAECSICISALVAGDKVKVLPPCGHCFHPNCVDAWLLAQPSCPLCRTTLLPVTAGGKPDVDNGGDSPV >cds.KYUSt_chr2.41845 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260458548:260460877:1 gene:KYUSg_chr2.41845 transcript:KYUSt_chr2.41845 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRDREDGPIQEAGAAGSDSSDGSGECGVASPYRWLRRLSRELHWSFVLAVFAVYGACQGVGNAVGLVAATYYCKDVLRVQPAAAQFYQGIVNSPWVVKPIWGLLTDVVPVAGYRRRPYFLLAGVIGVSSMLMLSLQSNPGIVVGVVALTAQSTGAAMADVTLDALVAQNSITNPPLASDMQSLCGFSSSVGSLIGFSISGLLVHLMGGQGALGLLSIPSALVFSAGILLKESRVTDFDYKQVHKKFYAAIQSMGATLKCPEVWRPCVYLYVSLNLSLDIQGGMFYWLTDRLTGPAFSEEFIGAIYAIGSVGSLLGVLLYQTVLKDCNFRSMLLWGQVLSSLAGMLDLMLVTRLNTKIGIPDYIFAVIDSAVCQMVGRLQWLPLLVLCSKLCPPGIEGTFYALLMSIQNAGLLMSSWWGGLLLHMLNVTRTEFSNLWLAVLIRNVSRLLPLMLLFLVPQSDQNSTLLPAHILQDEAVKDGSGSAQFSVLVADDSCCHPSNVDAEDDRMKVIDDTGDDIELIPLMNKTTTAG >cds.KYUSt_chr5.16599 pep primary_assembly:MPB_Lper_Kyuss_1697:5:106757277:106759974:1 gene:KYUSg_chr5.16599 transcript:KYUSt_chr5.16599 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTFLLLLLPIAGVASSPAPAGCPNYACGNVAVRYPFWVGNDTGAHCGYTGFGLECRHGTPVLRLPSGEYGITSISYGSTPAISAFDIALLNATCPDVAGRSLHLLPGSPPPLSLTARNTNVSFLLNCTFTFRGVSRGHLIPCLLDRHNVTFSFYIPDGWLPPHEQARLCQEVVTMPVLGIGDDVLLALRAGFELTWAPAAGGPCRSCEQAGGFCGQRRGQFNCFTASKHEGRKGITVRMYVYIAVFSFILLGGFTACYCTHRDKKKRQAEAEAEAEADTHGFLQDRGSIEMQEIRLLHPYRQNRTTRDDEIESQVSPSSSSGDYGGKDDEDEAAAASQHRRGKEPVYG >cds.KYUSt_chr2.497 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3014463:3016953:-1 gene:KYUSg_chr2.497 transcript:KYUSt_chr2.497 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVGSSFPAVAVGQHRRRGRAAAVQVRSSAMAAAAPILDDLRLRCATPLPLLRHVADAMAADMRAGLAADGAGELKMIPSYVYSLPTGDETGLFYALDLGGTNFRVLRVQLGGKDRRVIDSESEQVSIPKEIMHGTTEELFDFIAARLSNFVAKEGGTFRIQKGRKREIGFTFSFPVKQTSIDSGILIKWTKGFAVSGTAGKDVVACLNAAMERQGLDMSVSALVNDTVGTLAGAHYWDKDVMVAVILGTGTNACYIEKNDFIPKLLHLGPVTGNTIINTEWGAFSDGLPLTEFDRDMDAESINPGEQIFEKTISGMYLGEIVRRALAKMAQEHDLFGHSFADKLAQPFVLRTPHLCAMQQDRSNDLGEVELILRDVIGVNESSLAERRAIVDVSDCIVKRGGRLAGAGIAGILQKMESDSNGQVLGRRTAVAMDGGLYENYPQYRSYMTDAMVELLGPQDSEHVVVEHTKDGSGIGAALLAAANSKYAAQSST >cds.KYUSt_chr5.29450 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186650792:186651312:-1 gene:KYUSg_chr5.29450 transcript:KYUSt_chr5.29450 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPDSLSHGTVLPFPSAQRPLTSIFRRDLEQEEEAVRGEEKSSTRDCRRRRRREVPAADDDDGVGAEEEPEPELGGGGGDREWGKRKTRAETAGVSKWLGFSLEGSGFVSRASLCVQSASNTWTNRVESERDARPALARRVAYRG >cds.KYUSt_chr3.40559 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255896404:255897656:1 gene:KYUSg_chr3.40559 transcript:KYUSt_chr3.40559 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGGVVDDFKVMFTRKESTCVARGIMLLVIFTVGIVAGLWTAAGPRNQNQIIYRNIVFPSTVYADGNDAGGFAEFVAPTQLMHDMTDEQLFWRASMVPVAAGPPYPFKRVPKVAFMFLAGRGALPLAPLWERFFRGHEGRFSIYIHAPPGLAMNFSTDSPFYGRQIPSQETLWGSISLMDAEKRLLANALLDFSNERFVLLSESCIPVQSFPTVRDYLVGSRHSFVEVYYVKTKQCRGRYNRRMAPAIRLPQWRKGSQWFELSRDVATSVLADTTYYPLFRKHCRPSCYPDEHYLPTIVNMLHGDRNANRTVTFVDWSKGGPHPAKYGARDVTVELIQGIRRRRSDRPCLYNSRPTSMCFLFARKFTPDTLEPLLNISSAVMGY >cds.KYUSt_chr7.23899 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148886304:148887410:1 gene:KYUSg_chr7.23899 transcript:KYUSt_chr7.23899 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALVVTTIVAALVLLLLAAAPAPAIALDFTEKDLASEESLWALYERWRAQHTVSRNIGDKARRFNVFKENVRLIHEFNLGDEPYKLRLNRFGDMTADEFSRAYASSRIAHHRTFRGDDRRTGDAFMHGSAATASSLPSSVDWRSKGAVTGVKNQGQCGSCWAFSTVAAVEGINAIRTGDLTSLSEQQLVDCDTSDSGCNGGLMDNAFQYIASNGGIASEDAYPYTAQQASTCNTAPPDVVTIDGYQDVPANSEAALQKAVAAQPVSVAIDASGSQFQFYSEGVFAGKCGTVLDHGVAAVGYGVTVDGTKYWIVKNSWGSDWGEQGYIRMKRNVKDKKGLCGIAMAASYPVKTSPNAKQGGVLRDEL >cds.KYUSt_chr4.48563 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300790808:300791242:1 gene:KYUSg_chr4.48563 transcript:KYUSt_chr4.48563 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPPPPQSSPGSPPELVDDVMRDIFLLIPADDPKSLVRAAAVCTRWQSILSDVVFTREYRTLHGTPPMLGFLHNTIHTRRDERNKQYFVPTTSFRPPACHERCRLRALDSRHGLVLFHTSKSGEDFVVWDPVTKDWWRIKASD >cds.KYUSt_chr3.9227 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53918746:53918991:1 gene:KYUSg_chr3.9227 transcript:KYUSt_chr3.9227 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSKGRSNPWERAATDPNFFRRGGDTPPVSDYSGVYGSGVGLQGAMGAMNFLSWNCRGVGKNLDSNKMEYLAKLIYSTHAK >cds.KYUSt_chr3.4095 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23297277:23302413:-1 gene:KYUSg_chr3.4095 transcript:KYUSt_chr3.4095 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASAARFGPTLSPGPARSLASSGEGMALHLARPPFLPARLPPPRRRARPHSVSPSADARSPSFRRPYTSVLVVPTGVGAAVGGFAGDALPVARALAAVADCVISHPNVLNAAMLYWPMPNALYVEGYALDRFAEGAWALQPVHQNKVGLVLDAGIDEELRLRHLQVADAARASLGLPVVEYTVTDAPLEIKTWFDPKCGKSTGSVGNSDSLLRAVDALVEHSGVNAVAVVCRFPDDDPDDSDCYREGKGVDLLAGVEAIISHLIVKEFKIPAAHAPAVLPPPLSTSLSPRSAAEEIGYTFLPCVLAGLSSAPQYVTRRHGTLDNGCIVASDIDSVIIPKDACGGDGTLAFARTGRKNKPLIITVQENETVLDDTPDKFGIEALNVQNYWEAIGVIAAHKAGDPTSGLGCSIAYISSTWDSQAAPPNSLPVTRIPLNDLPSLSEAEYHVIIGYGTPAQNLSVGFNTRDYGPTFLQCKPCGTACDVPAFDPSQSSSIDQVPCGSPDCPLKTCSGPNCTATTVNGNGVVLPSMTVVTDVITLPWSPPTTMRNVRANCLLMGTRTSDSSSGILDLSRDIHSLASRAPLSPDTVAFSYCLPSLTETQGFLSIGAPRPEGNMTYTALRRNDVFPKSYLVRLVGLGLSIDGADIPIPGGDALIAVGTTFTYMVYEPYAALRKYFRSQMAQYQLAPRLGVLDTCYDFTGLSDISVPTITLRFAGEVSLELGVRQKMYFHRRDNRSLGCLAFANPAYEFPPGVAVIIGTLAQEMTEVVYDVRAEKVGFVSNRCD >cds.KYUSt_chr4.9923 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60000576:60004408:-1 gene:KYUSg_chr4.9923 transcript:KYUSt_chr4.9923 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIIHSLAVNLLWLWYNFTATATSFRQDEKQVDYDGASVQQGANMGSLHKTTVVLLLFCLAVLGRADYLKYKDPKQPVGARVNDLLGRMTLAEKIGQMTQIERENATTGVLSKYFIGSVLSGGGSVPAPQASAETWVSMVNEMQKDALSTRLGIPMIYGIDAVHGHGNVYKATIFPHNVGLGATRDPELVKRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCFESYSEDPKVVQSMTTIISGLQGDAPPGSAGRPYVGGSKKVAACAKHYVGDGGTFNGINEGNTIIDRNGLMKIHMPAYFNSIIRGVSTIMVSYNSWNGEKMHGNHFLITDFLKSKLKFRGFVISDWKGIDRITTPQHLNYTYSIQAGISAGIDMIMVPFTYTEFIDGLTSQVNNKIIPMSRIDDAVYRILRVKFTMGLFENPYADPSLAGELGKQAHRELAREAVRKSLVLLKNGKSAYTPLLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGLTGNNNTAGTTILSAIKSTVDPSTEVVFSENPDSAAVDSGKYDYAIVVVGEPPYAETFGDNLNLTIPAPGPSVIQTVCKSVKCVVVLISGRPLVLEPYIDAIDAFVAAWLPGTEGQGVADVLFGDYGFTGKLGHTWFKSVDQLPMNVGDKHYDPLFPFGFGLTTEAKK >cds.KYUSt_contig_1948.271 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:1594477:1595170:-1 gene:KYUSg_contig_1948.271 transcript:KYUSt_contig_1948.271 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIFGLSKLAVCSPIFRPAGANLAVIDASRNPGRSSRGFPLPSHALSSLTSHRHGLPPPFSLEGLAQLHRVITSGWTLPPVDIAEIGSAAMELGGAAALPCCGPRSEAPASAVESMKLGGAIASLGLLCFSTLQRFEARGGPATGIGAVPQNSKRPEIRLS >cds.KYUSt_contig_319.647 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:4117406:4127983:-1 gene:KYUSg_contig_319.647 transcript:KYUSt_contig_319.647 gene_biotype:protein_coding transcript_biotype:protein_coding MELDCATELRLGPPGSSSSSATSCSGDTKRPSAKRTLDDSRSEASGTGPAAVDDDQDTTTPAKAQVVGWPPVRAYRKNTFQAAAAAKKAEQLFVKVSMDGAPYLRKVDLGLYKGYRELRDALDVLFTAKPFPASGAADQLAVAYEDKDGDLMLVGDVPWDMFISSCKKLRIMKGSEARCEYKDRTTCPVCGHGRYKVGNKKVPRKVVWYFPITPRLQRYFVDPKEAKLMQWHAERQKPEEDPEMGYMLDTPFETPDEWQALDIAFPRGARRPCLVVVLRHCRLLPCPLLLRCFAHPAVEHGGRRRRGLPAALSPRFQPFPRSFDLGAPRLLASERVLVAMSVELCELLSLRLLEAASRLLRDRSLARYLEDVLEVRVVDELALLLPQPLVSLCVQRREDRRLLRVGGGCGGSPGCG >cds.KYUSt_chr7.38285 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238713444:238715959:1 gene:KYUSg_chr7.38285 transcript:KYUSt_chr7.38285 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLGCPGKCGNVSIPYPFGIGKGCFQEPFNVTCNEGEAHLASTGVRVLDINLNLGEIRVLNPQIAWECNYANGTNSSGSGGLRLDPFHKFSDTKNKLISIGCDTLGFVVGSGMGKNQLDYYAVHTCYSYCTDASSVDDSTECSGLGCCQTTFPGNISSFNNTTTAALLDNSTIKSFSPCSYSFAVEEGWFKFEPSFVSSTNFASKYTNGVPLVLDWVVGNGSCTEASKMGPQYACQAMNSECVNVSNGPGYRCNCSQGYEGNPYLQGGCQDINECESPDQSLYPCKGNCRNTIGSYTCLCSSGFRSDDPKSIPCVRVNPNKAQNIAIGISVGVVFLTVCIFAIHAEYQKRKLKKEKERFFDQNGGQILYHQIMSKQVDTLRIFTQEELKKATNNFDENRELGRGGHGTVYKGILKDDRVVAVKRSKLMNMAETDEFVQEIIVLSQTNHRNVVRLLGCCLEVEVPILVYEYISNGTIFDFIHHNSTSPSLDTRLRIAQESAEALAYLHLSTNHPIVHGDVKSMNILLDNSYMAKVTDFGASRTLPKDEVQFMTLVQGTLGYLDPEYLQERQLTDKSDVYSFGVVLLELITGKTAIYHDGPKQGKSLVSSFLLAMKEGDLEGILDASIMHAEMETLLGEVAELGRMCLAASGEDRPSMTEVADQLKALRSTWREKLVMEHTALERLVVHFSSVASSPWDPPLSSSFSTVPQMTGIGMETPR >cds.KYUSt_chr3.24085 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149480134:149484182:1 gene:KYUSg_chr3.24085 transcript:KYUSt_chr3.24085 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLRRRWVEDEEAGAAERKAAAVAVAAAVVVGDTMVMASKATPGLPAAFPSPQKIRQFASEGSGQLFQRTEDHVPLSANDCQSGEIPGGSSVAAGAVASDSPKSSIEGNKGIAMVNVTKEQGVDDTETHYISTEEVIAFGGIPKPSAGLRSSNRLGGQPDADMPLMEKAMKNAQLSEDSYSGKVATSKYSIVNIADADIVKRAEKLGVSLGVSQAEINQSIRGIKMIEEDRILTILKKNENERENREEGLETLVLSKVSSLCEDDDIPLDFDDQLEHLKPVVKEKK >cds.KYUSt_chr3.43544 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274809996:274810649:1 gene:KYUSg_chr3.43544 transcript:KYUSt_chr3.43544 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTAGLCSRCLAPKHRAADCKNDIRCLTCNLSGHQERDCHLRRKNCNSQKRPPVQRTAPASTVSSPLAPGARSWAEVAAPSLPSAESSASGDPLVHAFGTTQAAAIRSELNEIVRGAMAPLLAEAAALHDWNAKATRLLMQMGNLVKTPSDPNHDVTSCEASKDICDGICNGLASGMGCDHESASMEGQLQQFVPSAAGAASLCELEHVDTSQTYL >cds.KYUSt_chr5.17589 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113477965:113482806:-1 gene:KYUSg_chr5.17589 transcript:KYUSt_chr5.17589 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPFFLLLLIGATAVAHGEPAYPGYGDDDAEPTCGVKEESSAVPAPERREEFDGGRILDISHYYREDMPAFESAEGTPGFLRLARSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYYDAGFDVDTLDLAVLNGPAMLVDVPRDSNITADVLESLHIPKGVRRVLFRTLNTDRKLMWKKEFDSSYVGFMEDGAQWLIDNTDIRLVAAYGMKHWARSSFPRYLYCSCKAKEEKRD >cds.KYUSt_chr4.42163 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260783502:260786401:-1 gene:KYUSg_chr4.42163 transcript:KYUSt_chr4.42163 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSAAVLLAAVVALLLVSTVRGADDDECGSPESAAHDRARAKPLKIAAFFSILVCGALGCSLPVLGRRVPALRPDGDIFFLVKAFAAGVILATGFIHILPDAFENLRSPCLPDSGPWKDFPFAGLGAMVGAIGTLVVDTLATGYFTRAHLKKDAATGGGSAAVVDEEKQAAAAAAAASEEGGEHLHVLHTHATHGHAHGSAALVAAVGGSEDEKDTVRHRVISQVLELGIVVHSVIIGISLGASHDPDTIKPLVVALSFHQMFEGMGLGGCIVQAKFRARSIVTMILFFCLTTPVGILVGMGISRVYNENSPTALVVEGSLNSVAAGILVYMALVDLLAEDFMNPKVQSRGKLQLGINISMLLGAGLMSMLAKWA >cds.KYUSt_chr2.38388 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237965499:237967088:-1 gene:KYUSg_chr2.38388 transcript:KYUSt_chr2.38388 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGKRPAGNADRLSDLPDGLIHYIMSFLTVREAVQTCMLSRRWEDLWCSMPFISIDEQDFRPSAIGLGSEYLDDDYEDEFEDDIDYTRFEDFVTNLLMFHSAPILDSFRFHAVCHAVSHREIKFINSWLCRCIKRCPKVVEIHSTGDCKLPRFGSGSSRLSRLHLTGITLERTFARQLPCSSYPVLEDLELKRCKLDDDAEIASCTLKNLTIEDCTTSNPSALTIKAPSLTYLQLFITAFGQNWHAVTVDEMPFLVKATIHLKHSTAILPCKLLYSLIYVRDLELTGLRTLDNLHIGSGTFPVFHNLRSLLLDKCDLSDNCDILGSFLNNAPCLEKLTLQLCELPEGSNKSKGGGNVEKTSLEYQDTASFQCPSLKWTEIKYREDDDVQRLFNLILGVWRNLQKTGLVIKKASRSYSMM >cds.KYUSt_chr2.23905 pep primary_assembly:MPB_Lper_Kyuss_1697:2:145789246:145794169:-1 gene:KYUSg_chr2.23905 transcript:KYUSt_chr2.23905 gene_biotype:protein_coding transcript_biotype:protein_coding MTINASGTLSSGTTQSDRAVRGDANLAQICARFASRRTLRGRAKCPLRSSPGPPGSEPASRASLRRPSLPRLRRSLRHECRGCLFCACTGGRRLGFCGAFNGIVSRARPPLKVHRPRCSFAHSSTRTTTAAPPWGRRRTTSRASGSGSKKVSLPMTVGRLMHGRWVPCDARSGVQLLGGRRLSCRRCPSLPRLRASPIGPRRSGAEAISRRRTSAPIRRTPSTPSNSVRTCPRRRTVDAGLASWATWIIPSAPHRRLVVARHRLVVGRRRRVVSRRKHNDREDDDDDDDEAYAAYDDDDDYIEALAYHSEGGEGRRATTTSLSSSTNGSRAMAEGRNFEFPRQHDATTRWRSSPSSSPNTTRLCSRRCPATPPLSCRRACRRMKPSDRRSWTRRLLHRRHSHFPGRLLHRRHSLMAGRLIRRHSRSLGRLHRRHSRSLLNLEHRKRARLSSPPLPIEWSAAHSPPLLSPNHVRPPFPFVPIVGRRLTRPCSSPLSIHAALALLGAGAKGDTLDQIVAFLGPAGGHAHAALASHVALPVLSDSAGDDGKPTVRFANGVWVDDAMRLKAGYAAVVSEHYRSQTRPASFKAMVSPSPPLSIVAAFSQEFAPMLDSNLSQLICFMPEEARAEINQWFESVTAGRITELVPQGTINSYMVAVLGNAMYFKGAWRSKFDLLHARLRPPRPRGLHVERRAAACRSGYMVLRLPHALGSRGGKSRR >cds.KYUSt_chr1.1363 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7409101:7410280:1 gene:KYUSg_chr1.1363 transcript:KYUSt_chr1.1363 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAILAVLASLLGAVSGEFSIYAGYGFPPPNPSVPYPLPPACPPLSPAPGLKVGYYADKDKCPGAEEIVREVVEKATAGEKAGLIRLFFHDCFVEGCDGSVLLSGTDTERTAVPNLSLRGFELIEEAKAKLEKHCPGIVSCADIVAFAGRDASYSLSYGRINYRVPAGRYDGKVSRANDTFQNLPPPFGDLALTTAMFAAKGLNQNEMVVLSGAHSIGRSACSSFPDRLPPAANSSTAMEPKLAGQLTATCSAGSSVNVPQDAVTPDRLDNQYYKNVMSRDVLFNSDASLTTSSQTEDLVEFYAGNRQFLSGKFLGPIVWYHDFEDAMVKMGYIGVKTSAEGEIRKTCAFINKT >cds.KYUSt_chr1.11946 pep primary_assembly:MPB_Lper_Kyuss_1697:1:73776343:73777275:1 gene:KYUSg_chr1.11946 transcript:KYUSt_chr1.11946 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVGRADAGSCSPLHGLHARDGLPGHSDQSRSHAWSVEGPRLLLSPLGGRALSTFCPAQGVSGQGQRSSRPTPVDHATSSQGSSSFLAGNKEALEAASSFLADRRAAAAALATSAASPNASSPGSVSGPVPVATTPLLDEAHVVAPVDLATPAAAVPHLHAGEDEGVHAPVVSTAVDDGSLAPACAGADLSALLHLLRRKIDEPLLPLPDPVVVRRKLFHVAQPTARRSRRIAALRKGQHSSAVKRAQVILMKKLGISTDEERLSATQLQEYAKIFASPLDPEQVAAIAVLFGLDCADEAAVVPAPAS >cds.KYUSt_chr2.1172 pep primary_assembly:MPB_Lper_Kyuss_1697:2:6855835:6857806:1 gene:KYUSg_chr2.1172 transcript:KYUSt_chr2.1172 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRPHSPAKSQHLLRHQHPFAASSPPSSPLRHSSSASSPRHHHPGTRHPFLFLLARRPLPRFAAFFLLGSFLGLLHFLSHLPLRPHLPVPPNAASQLNQLQQQQLPIPHQQQESNPGAAPAERGGDKLLIVITPTRARASQAYYLSRLGQTLRLVRAPLLWVVVEAGKPTAEAALALRHTAVMHRYVGCCDNLNASAAAVDYRSHQMNAGLEVVENHRLDGVVYFADEEGVYSLHLFDRLRHIRRFGTWPVPVISDGGSNVVLEGPVCKQNQVVGWHTSGEGNKLQRFHVAMSGFAFNSTMLWDSKLRSHLAWNSIRHPEMVEQGFQGTTFVEQLVEDESQMEGIPADCSQIMNWHVPFGSESLVYPKGWRVSTNLDVIIPLK >cds.KYUSt_chr2.42530 pep primary_assembly:MPB_Lper_Kyuss_1697:2:264975427:264975699:1 gene:KYUSg_chr2.42530 transcript:KYUSt_chr2.42530 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGHIRDVGRTADAAQVKDQRGQRKQRVRHHTNSTFSTASAFPSIPENSQHRLHPGPSSSPAARNISGDDFVNSGDVRVAIHAVANELR >cds.KYUSt_chr1.31283 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189790873:189792750:1 gene:KYUSg_chr1.31283 transcript:KYUSt_chr1.31283 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEPQPIIGHNLSDMQAGIERDGLDPRTLDLGTNLMLYLVYHYLPRPPVSPSATSSPSAALRFHQGVDRISRLPENVLRNIVSRLPVKDAARTAALSSRWRPVWLSAPLTLVDSHLLPDCGAGGPYAMGAPSPRAVTAAVSRVLAAHPGPFHCVHLTRTTMNEHRGEMARWLDVLAAKGVKELIFVNRPWPVDLRLPATLFSCASLTRLYLGVWRLPDTAAVPRRATFPNLLELGLCFTVMEDRDLAFILERSPVLETLVITGSQTGVRLRLVSRSLRCLLLGLTYLEDIDVVDAPRLERLIQWTNFGEHSSSKGMLRPSMVKIGHAPKLRMLGYHEPGDSDIEITKTVTVAGTKEKIVPSVNILAIEVQFGVRSALKKVPGYLRSFPNLQTLHVQSKKAEEPTGKVNLKFLQEGGPIKCVVQMLKKVFFYEFQGSKNEVAFLKFIAERARVLEKMVVVVASECFSSGANVNVKLKPLISANWISQACKLQLFKSPRTHGGPPIFCQQRASDLSFPDPFDLVYYQESL >cds.KYUSt_chr7.8199 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49615350:49617776:1 gene:KYUSg_chr7.8199 transcript:KYUSt_chr7.8199 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRGKARPAAAPTRKGSRSKLEREVERLEEVLREETALHAILDHALHHAAVTLADMSYLPAHAQELLASISAMEAGVAKLEEEMVALHFQLTQERNERRLVEYRAKQQRQRPAACCSHRAAKSETDDAASVKPSRGDKVHPCAAAPPLHRQLSSTTLCAGGGADPSRLSEDIVRCMRNIFISLSDSRREASRPRTSSNPSSLDSQRSAPSPSGISAFWSLAEPSAISSWVQSPQVDLNQNNSLLASETVFDPYKAREKLSWADIGSYGAASEVSWMSAGKKQLDYAAESLRKFRLLIEQLAEVNPVHLNDDARLAFWINLYNALLMHAYLAYGVPRSDMKLFSLMQKAAYTIGGNSFSAAFIEYVILKMKPPNHRPQMALLLALQKIKAPEEHKKFCISAPEPLLTFALSCGMYSSPAVKIYTASNVREELQDAQRDFIRASVGVSRKGKLLIPKMLHCFARGFVDDNSFPIWISHFLPQQQATFVEHCVSRRRQSLLGTRTFGIIPFDSRFRYLFLPDMGSSN >cds.KYUSt_chr5.32239 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204577057:204577728:-1 gene:KYUSg_chr5.32239 transcript:KYUSt_chr5.32239 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLPADVQLSQVAKLGSLLLLFLLAPLVPSFLRVSYFYFLFNVIVLGLGIQAGLLRGGSVIGVITTTSSTPRADDRSPSDQTGTPISIGASPFQRPRSVQQPSVVEQKSAADAPVVSVFGASIPLPVIDLKIILPIIELKTKTKDVVLTLMKKCPSTASIFFLSALDGGQVDGEEQAYEEEKKDSNKVDVDGDVTMSRQELFANTERFIGMFRKHLSIEKQ >cds.KYUSt_chr1.7501 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45937544:45941549:-1 gene:KYUSg_chr1.7501 transcript:KYUSt_chr1.7501 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPPPPLLASHAAVRAAVSRIAAYRPIRVAGGDHPPQVAALRRGDWVKLICGASFEDAADVRNISLVYTLAGVDCIDCAADASVVSAVNEGIDVAASIVPSVQRPWVMVSVNDDCRDLHFRKAEFDPEECPPDCSKPCEKVCPADAISLKRVMVGGEHAQSDPVCDKLEGGVIIQRCYGCGRCLSVCPYDRIRAVSYVRDPATTAELLKRSDVDAIEIHTTGKGTDMFSTLWNSLGESVNNVKLVAVSLPNAGESTIDFMNAIYTIMQSRMEGYNLWQLDGRPMSGDIGRGATRETVSFAVDLTSLPDRPPGFYQLAGGTNLYTVDCLKKAGLFKARTLPGTTTTQKIGSQEALIGGIAYGGYARKIVGRVLHKIPSQIGCMRIENHPGYLLEALQEALSLVGPVKGYPDLPK >cds.KYUSt_chr3.29270 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183126790:183129600:1 gene:KYUSg_chr3.29270 transcript:KYUSt_chr3.29270 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLSLDKVLDCFSLSLCANACVCIHSVEDEEDEENEGMALVSAQLDEMVKLKDFGAGAKTLAFHLEPKTVELRVSMHCYGCAKKVQKHISKMEGVSSFEVDLESKKVVVTGDITPYEVLVSVSKVMKFAELLVAPNSPTPSR >cds.KYUSt_chr3.33597 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210964371:210965940:1 gene:KYUSg_chr3.33597 transcript:KYUSt_chr3.33597 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRSTSSAKTTIKVVQSLPPHPRGHKATKADLVRKAQAIAFTQSMEKIMADNRAAMAARDEKRRLEKEAAAAIYRTSPRRSSMSKAKLIKGVQEEERTPQGQEHYLAGRKDDMIPHGLRRDGKRSCSGTKASIVPIRSSSCDGRHQPVLLPPLPTSHLRADISPWNAQGRRSGRGSEDPEGGGSGSVPSEAGVAASEGAPMGAESRVNSPR >cds.KYUSt_chr1.38077 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232522052:232526821:1 gene:KYUSg_chr1.38077 transcript:KYUSt_chr1.38077 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEIAAAVRSFSAMARIVGPDPKAVKMRRHAFHLHQSGSTTLSASAILLPPGALSEPPPLLSRVCAAHGHAGGLALTAASLVEPFLVAEQRDSPSEELHPRLVPEARLDVLVEREESGYIRDGDSGAPQWLSAQLLAMVDVPASADSVLSLLTYDGSLIGSSSWDVGWSLADVNQKQVENDGRSSLESNRKNVYAESVEPSMLAKSATRIAILGVSALTSNNARHIHVSPMPQRGDSLLVVGSPFGILSPFHFFNSISVGAVANCLPPGTVRSSLLMADIHCLPGMEGAPVFDKNSCLVGMLMKPLRQRGNSIQVQLVITWDGICTAWSSNKLEEVEQVSNELLDDNNADSTIVESCGMDNHRRLISNSANGLNLYSIPPSVRNAISSVVLVTVGDTSWASGILLNKNGLVLTNAHLLEPWRFGRTSPLGLENKTTSLAGEYLPEEESKLLQSQQCTLSNEDNIKHEVSLFNLGFKREKRISVRLDHGEKQTWYSASVVFVSKGPHDVALLQMEKVAIELCAIRPEFVCPAAGSSVYVIGHGLLGPRSGLGSSLSSGVVSKVIKIPSAQHSQLPSTSETDNMDMPVMLQTTAAVHPGASGGVLVNSHGQMVGLITSNAKHGGGSTIPRLNFSIPCKSLEMVFKYSENEDSTILEQFDKPNELLSSVWALAKAPSSIPFLSSPPEKSREAKVLEFSKFLAEQEEGLKSGNDIEPFLRHKIPSKI >cds.KYUSt_chr7.31898 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198574446:198574706:1 gene:KYUSg_chr7.31898 transcript:KYUSt_chr7.31898 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGSKRSVDEEVEEYEVEVVNDGLESSRNSRLAILRPRLHSGRDGEEGFIHGHIIHPDNRYVHVINSLSSSSALHAGPLHVAEN >cds.KYUSt_chr7.40246 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249919533:249931572:1 gene:KYUSg_chr7.40246 transcript:KYUSt_chr7.40246 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEEEPCCCISHAFDRAARHDPARLSFVHAAPAAAADDGERRFTCGDLLSAVSSLSRRIAAALPLPAPDPRRDGGSPGCSDRHGGSAGATPRVVGVYASPSVEYIAAVLAVLRLGEAFLPLDPSWPEERVQSAVSASNAALVVSSGGSRAEAVFKSIACPVLRLDGDVRQWSGVGGVGIGGEELPWPCERERPREFCYVMFTSGSTGRPKGVCGTEKGLLNRFLWMQIRNPLCSDDVLLFKTSVSFVDHLQEFLSAVLTSTTLIIPPNDWRANPASLANLIKAYRISRVTLVPSLMDIVLPSLEKNLSCGYNSLKIIVFSGENLSLGLWKRVHEILPETTIINLYGTTEVSGDCTFFDSKDLTAILEHEEITSVPIGFPISNCEVFLVTNDGIADEGEICVSGACLFSGYLAELVRNHAECSKSSTYYRTGDYARRLKTGELIFLGRKDRIVKSYGQRFSLEEVESTLMEHPDVSSAAVTFQSKGSQDYTAYLVLKSKDEIVKDSPQYREVSSDGIITSVRSWLIKKVPPAMTPNLFLPMKSLPLTSSGKVDYVKLSSLECPLEPLEVQSGNAPVNPHLQVIKKAFCDALLVDEVSEFDDFFTLGGNSISAAHAAHKLEIDMRLLYIYPTPSKLLHALVVDYSSSISPIDESHPKKGLNVSASIQRSSDPFAANVDDSFYEGKAQINGKRAHGHIAGRYGNETDGRLNKYTFSPNDRYQAKNLCMDTCSKDKSNVMGSQWILNFCLQKKWSIGRCNRFMHVYEGKMQIGDVCSYVSCNKRGYLQELWNVPLDSCVDASPLLVLNNGMLNIFIGSHSHLFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFHDISTGKLSWTVQTDGEVKMQPVVDKARNLIWCGSHDHHLYALNYKDRCCTYKVSCGGSIYGSPAVDMAHNIIHVACTSGLVTAISLEVSSFRIVWHYEAGAPIFSSLAIDHQSGNVVCCLVNGLVIALNSHGTVVWKATVGGPIFAGACVSSALPSQVLIPSRDGRLYSFEITSGDLLWSYEVGGPITASVFVDEVLSSLPSVSSD >cds.KYUSt_chr5.14549 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94340082:94341443:-1 gene:KYUSg_chr5.14549 transcript:KYUSt_chr5.14549 gene_biotype:protein_coding transcript_biotype:protein_coding MNAASARLQRSDPDPLRRAPEAAAMAAESCHSRSISWFVKSCIPADPSRHISVPAPMPVPITAPAPAPSTTTFCPPAQPPPPPISSLPDDLILECLVRVPRASLPPLPAVGRRFADLLASHAFLQLRRARGLLQPSLLALSVPDHGGGAFAQALLHFRPGQPQQVQVSALPLPPALLHCGGSVFAHARAVALGRDVFLVGRGATLRVDALTGAARACAPTLFPRKKFAAAAVGGRIYVAGGSARTAAVEEYDPAADAWRVVAEAPRRRYGCAGAGAGGVFYVAGGVAVSGDGARALEAHVCAGSVDALHVASGAWARPRALPGGGCVVGACGVGDHLYVVASHAVELSFWRWHGGAGRGSDLRAGGGWVALEAPPMPRGSVGLGMAVRVAMVGIGLETVTAVMSAAAVRGHNAAVACPFEGMVLAYDIAGGKWIRAPDLPHGFRRAACAGVEC >cds.KYUSt_chr5.5165 pep primary_assembly:MPB_Lper_Kyuss_1697:5:32577671:32578332:-1 gene:KYUSg_chr5.5165 transcript:KYUSt_chr5.5165 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVEVVLTLLFNLLLLVFLLKLLLAAFTTKLSLLLLYLAVLILAMAFSGSLQAVSDMKLQERRGESGSSNRTCDVSFSTLSNVYRTTHNRAKSKIDNE >cds.KYUSt_chr3.39680 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250133781:250137621:1 gene:KYUSg_chr3.39680 transcript:KYUSt_chr3.39680 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFGFHCTGMPIKASADKLAREIQLYGNPPVFPSAEDDSSAEVAEDSQADQAVAVAPDKFKSKKSKAAAKTGLPKFQWVIMRGFGLSDEGIAKFQEPSHWLTYFPPLAKEDLKAFGLGCDWRRSFITTDMNPYYDAFVRWQMRKLKKMGKIVKDMRYTIYSPLDGQPCADHDRATGEGVQPQEYVLIKMKVIPPFPPKLKALEGKNVYLAAATLRPETMYGQTNCWVLPDGRYGAFENNETDVFIVTARSAVNLAYQHLSRVREKPTCLVELAGNDLIGLPLRSPLSFNEIIYALPMLTILTDKAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGVMIVGEYDGRKVQEAKPLIKNKLLAEGSAVLYSEPEKKVMSRSGDECVVALTDQWYIPYGETEWKQKAVKCLENMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQNGNMYGQEVSSVKPEEMTDEVWEHVFCDGPAPKSDISPALLSRMKQEFEYWYPFDIRAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVVAAESSLRSGPPSTYADNVFANEINIAVKETEKSYNNFMFRDALKSGFYDLQLARDEYRLSCGSAGMNRELLGRFMEVQTRLITPICPHYAEHVWQKILKKEGFAIKAGWPVAGTPNPTLRSANKYLQDSIVLMRKLLQKQESGSKKPKKGAAPPPAESKLTVGLIYVNEHYDGWKEQCLRVLQSNFDSQARYFAPDEEINEALKNCFIDRETNFKQVQKLCMPFIRFKKDEARNVGPQALNLKLPFGEIDVLEENLELIRRQLGLEHVEVLSAFDGAARDKAGRHTPVLDKNPPSPGEPVAIFMSKEEFGAQN >cds.KYUSt_chr3.17810 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109286339:109286674:1 gene:KYUSg_chr3.17810 transcript:KYUSt_chr3.17810 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAESEAAAPVAAAAKSEVESHREKTQMLLSRKEIAKRKQKYKEFWVSLTFSIVSFLMIVGLSIAAFILRHKNPTMARASVDCVLWWTLNFVLNTPRHIWVFGFSVPDKP >cds.KYUSt_chr6.28532 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180733884:180734321:1 gene:KYUSg_chr6.28532 transcript:KYUSt_chr6.28532 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKAAFGEHGTYTLRRINPSRLFYRKDSPERAAAAAVAGVARATTSEAAATARVVENARLPPPTTTIRSDSYGPLRCALLGRHKDKILVAGDEDKILIVDDEDDPVFRAVIYDDRSRSFHALPSLRHCIIDPFWVAVGDSLCTL >cds.KYUSt_chr2.40858 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253784727:253788077:-1 gene:KYUSg_chr2.40858 transcript:KYUSt_chr2.40858 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHISKTNLAAPRKQSSYRRWRRRRRTSPWWHSLSVPHAAVMLYFARALAAAAPDGTAISFLTIADSVAQLRKAGALLGNLRFVEVADGLPAPSGHMPMMPPPRRMELFMVAAEAGGIRDGLEGAQASGGDARVSCVVGDAFVWMAAEAVAATGAPWPVGARLDRRVLRPPCAHPHRCPPPRRHRSGFHIVADVGSAPCHKSASNTFRSHSFLLLVD >cds.KYUSt_chr4.45206 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279963019:279966003:-1 gene:KYUSg_chr4.45206 transcript:KYUSt_chr4.45206 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAMPVTPGAVAYVIANPAPATPDDVRETVVQVVDLKPIGSRFSFMASDGKDKIKAILSTHFASEVHSGRLQNLGLLRILNYACNDVPNKQDKILIITKCEVVSPALDAEIKCEVKVEEEPAVLSKPASIVLKPRQDVKSASQIVSEQRGNAAPAARLAMTRRVHPLLSLNPYQGNWVIKVRVTSKGNLRSYRNARGEGHVFNVELTDEDGTQIQATMFNEAAKKFYPVFELGKVYYISKGSLRIANKQFKTVQNDYEMTLNESAVVEEAEGETFIPPVQYNFVKIDMLGPYVGGRELVDIIGVLQSVSPTLSIRRKIDNESIPKRDIVVADDSNKTVTVTLWNDLATTVGEELLDMVDSAPIIAVKSLKVSDFQGVSVSTVGKSTLVINPELPEAEKLKAWYESEGKGTSMTSVGAGMGASSPGSSRSLYSDRVFLSHITSDPDLGQGKPVFFSLHAHISHIKPDQTMWYRACNTCNKKVTEQIGSGYWCEGCQKNYDECALRYIMVIKVSDPTGEAWLSLFNDQAERIIGCSADELDRIRKEEGDDRFQLKLKEATWVPHLFRVSVAQNEYMGEKRQRITVRSESPVDFAAEAKYQLEEISKLTAC >cds.KYUSt_chr3.47657 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298753763:298754914:1 gene:KYUSg_chr3.47657 transcript:KYUSt_chr3.47657 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFPAPCPGEEPGRSRGRAAGLIADRVLARDVADYVRFRAVCSSWRRCSADPRVQGGLDRRFHPWQWAMLCEELAVPARRSFLNTSTGEFVQVDIPELHDHKVLASTPEGLLVLVHNRQRAAVHLLNPLTRQITHLPPLTTLLPPKHVDKLSEDYIYFDGEFRAWGSGIANDDSTTVLLCFNRLRIIGMAKPGDESWSLLDYSANGMTTAPLLFAGRFYCVSLTDVMVLEMGPNQPPQLKVAANLGRHVSPIAHSVHLMNNCGELMLVHRRFGRLTPRNKSGWAYNAYRVDFDSGTLFPVKSLGGRAVFMALDCSLSVPLDVFPSGSLCADTIYLRFDVRERMMLKAGAYHLADGSAELPCSLVPRPHTLIDCLSFADTVKK >cds.KYUSt_chr5.17416 pep primary_assembly:MPB_Lper_Kyuss_1697:5:112402772:112406758:-1 gene:KYUSg_chr5.17416 transcript:KYUSt_chr5.17416 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPASANITALLTGGIGAAGLTHNVLCAPSLIPYHGYCTVRIHENASTCNRENAAALWKIFSLEELEKATNSFDPTRVIGRGGHGMVYKGILSDQDTNNGLSLSWGDYLRIAMETAGALSYLHSSASVSIFHRDVKSSNILLDGNYTAKVSDFGASRLVPVDQTHIVTNVQGTFGYLDPEYFHTRQLNEKSDVYSFGVVLVELLLRMKPVFTSESGTIKNLSNYFLQEFKEGRITGIVNSQVLDGATEEEIKGVASLAELCLRLHGEERPTMKQLEMELQTLLTKRVNSCLAYPRNEEQMQPIRLTRRRARAALQSSELGDLRLGDNPQCYSLEKEFMSSASLPR >cds.KYUSt_chr3.43677 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275605178:275610599:1 gene:KYUSg_chr3.43677 transcript:KYUSt_chr3.43677 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLKKKSMEFLKTFDVPSKNPSEDAQRRWREAVGTLVKNRRRRFRMVPDLDKRSQAETQRRNIQEKLRVALYVQKAALQFIDAARRTEHPLPELARQCGFSISAEELASLVRGKDSKSLRLHKGVDGLARKVNVSLADGIKSDDVGLRTEVYGANQYAEKPPRTFWMFLWDACQDMTLMLLAFCAVVSVAIGVATEGFPGGMYDGVGIMLTIFLVVAITAASDYKQSLQFRDLDREKKKIEIQVTRDKFRQKVSIYDIVVGDIVHLSIGDQVPADGLFVDGYSFVVDESSLSGESEPVHVSTTNRFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLYGKAGSPGGLLEWGMEDALSVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKVWAAGGATTVSTAKGFEELTSSALSEGFAKLLLEGVFQCSGSEVVRGKDGTTTVMGTPTETAILEFGLGVEKRTCIEHAAAAKLRVEPFNSVKKTMGVVVTSPSAGGRPRAYLKGASEVVLRKCSNVVVDRHGSIVSLTEKNYGKQVAGAIDTFACEALRTLCLAYQDVGGEGELPADGYTLIAVFGIKDPLRPGVREAVATCHAAGINVRMVTGDNISTAKAIARECGILTEEGVAIEGPDFRQMSPDQMRAIIPKIQVMARSLPLDKHTLVTNLRGMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFVSAAFTGSAPLTIVQLLWVNLIMDTLGALALATEPPSDAMMRRPPVGRGDNFITKVMWRNITGQSIYQLLVLGILLFRGDSLLQMNNNDDLLNTFVFNTFVFCQVFNEVNSREMEKINVFSGMFSSWVFSAVVTATVVFQVILVELLGTFAGTVHLSGWLWLMSVLIGSFSLVVGALIKCIPISSGDASSDRHDGYQPIPTGPGAV >cds.KYUSt_chr7.39730 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246998627:247001167:1 gene:KYUSg_chr7.39730 transcript:KYUSt_chr7.39730 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGALPLALLAALAALTAVSGQGRPVTDNGAGNPSTLPSKFTPKDAFYVDCGGTADVDTKDGKAFKTDAQSNQLLAAKDAIKVADDKADVPSPVYRTARIFKEEAVYSFPLTVPGWHFIRLYFFPLKNGDFDLSKATFSVETDTNVLLHSFTADAKPVMKEYLVNATENKLDLKFTPMTSSAAFINAIEVVNAPDELITDTALTVSPLAETSGLSEAAYQMVYRLNVGGPSIGPVNDTLGRQWDNDGPYVQPKDAAQDVSVPPSTIKYPDTYPASKLIAPTLVYASAAHMAESGVQNANFNVTWKMGVDPAFGYFVRLFFADIISKSTNDLYFNVYINGRKAISGLDLSTITGELAAPYYKDFVVNSSIGTDQLSIQIGPMGEDTGRIDALLNGVEVLKMSNSVGSLDGEFGVDGRTADDGSGTRKVVAAVGFAMMFGAFAGLGAMVMKWYKRPQDWERRNSFSSWLLPIHTGQSFANGKGSKSGYTFSSTTGLGRFFSFAEMQEATKNFDDSAIIGVGGFGNVYVGVIDDGTKVAIKRGNPQSEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENEEMILVYEYMHNGPFRDHVYGGSADLPPLSWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDENFVAKVADFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLETLCARAPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGKVNPESLAKFAETAEKCLAEFGSDRISMGDVLWNLEYALQMQEANPPEGAADGTDGDDPDASIVTTSSASTGVHTVPDASTTSANELFAQLADMKGR >cds.KYUSt_chr5.8266 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52305660:52307751:-1 gene:KYUSg_chr5.8266 transcript:KYUSt_chr5.8266 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSRTHIILVHGACHGAWCWFKVATRLRSAGHRVTTPDLAASGIDPRPLREVPTFRDYTQPLLDILASLPPGEKVVLVGHSLGGISIALASELFPEKVAAAVFLSAFMPDHTLRPSHVIEKVQCVPVRSATDTMDTEVKPQDPEGKLPASLLFGPQFTRQKVYQLCSSEDLTLGASLMRVSSYFVEDLQLQLPYSEDRYGSVRKVYIVGKDDLAIIEAYQRWMIENNPVQEVKELNGADHMSMLSRPDELAQCLADIAEKYV >cds.KYUSt_chr2.8265 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51965392:51971356:1 gene:KYUSg_chr2.8265 transcript:KYUSt_chr2.8265 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALSGQIWYQLVVLLLAAVLPWMEPEAAGRGASGYSENKAGSSGASDSPAAEFSLLPPGRHGDGKRRSMGDAGGEAGNLQGIHGAAFSWRSTSVAQAWLPTQDAVGRLLQFLARWRQATNGNAQALSGYRHLNNRNLSVFNEFSKQLKGEANSNPEFQKSMKEFSEKLGVVKEDLKVRTKKTAETVSKSVDDVLAEAEATSKKVTANVKEKISAATEEVKESFGLGKEETSSFTEGSAGTSKHGKTEASSHSDDKSQDATSSYILFNKLRSTLSAASPVVSGAFAKLRDTRVSTLAKQGYEIVKDELSSGSSRKKKNLARRASAAVEKSTRTEIVFVPTKKSVLGEKWEALKNKMQGHPVYKRVNKYTKPVVTKGQEVAEDVRERWETSDHPVVQKIQDINETIFEETATAESFKEIRRRDPSFSLSDFLGDVQDMIKPVLTGYSKGDVKTLKKYCTKEMLERCTGERNAYATQGMFFDHKILHISDADVKETKMLGSAPIILVVFQTQEIHCIRDREGEVTEGGQDTIRTVYYQWAMQLMDSDEVPEEESYYAVWRLRDMQAMGVKALI >cds.KYUSt_chr6.30380 pep primary_assembly:MPB_Lper_Kyuss_1697:6:192414468:192416448:1 gene:KYUSg_chr6.30380 transcript:KYUSt_chr6.30380 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMASPLTAVARAARLHPVSCSASTPKNPKHSPQHQPPPRPSASPLPTALVAAAAPLLLASLPPPDALAVGGELGILEGTTVALLHPAIMGGLFAYTLWAGYLGWQWRRVRTVQDEITELKKQLKPAATAAVAVGAGESAAPPPPPAAKSPTEIKIEELTEERKKLVKGSYRDKHFNAGSILLGLGVTEAVGGALNTYLRTGKLFPGPHLFAGAAITVLWAAAAALVPAMQKGNETARSLHIALNTINVLLFVWQIPTGLEIVGKNLSSKVGERDILDWWNFMSSGLRQKLNGWSANKGIDAKLHKQALFTQIKGLDEKANPVGLEEEEWAFRYHLEEQLLEIFRVEEEY >cds.KYUSt_chr6.29873 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189266275:189270403:-1 gene:KYUSg_chr6.29873 transcript:KYUSt_chr6.29873 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRRIAGIFGGSRDDADHPDAAGGYSGGGDVPHEKVAAAAAAAAAAGHATRRGFSVQVPVPVDRPAPGPVLVPCHPGDGGVQGFRWYTRRLRIDEDGDVADEFLDEVLPENFANSDVAPVGRFQVKYNTRPTALAMRKQTVAVDGDIRHSLEHQGKLQWV >cds.KYUSt_chr2.35397 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218530124:218535173:-1 gene:KYUSg_chr2.35397 transcript:KYUSt_chr2.35397 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSTPFEVQPSTDAFDVPPICRRYEAYDDDEYLSLSRRRRFVVDLDEDSPPSSPTLLRLSRYPSSLTRQSRLHTKSIYRRGSASLAAPFGFKRAAAAAAAASSSSTEAVILGQPAEDHPLLRPRHLSSAASASASLRYTPAPAARTLSPVASSTSPPPTGSWAASAYAPGRSIPRSAPKPPVVKTPATTLNTSAGGGPSFLAGAAPRAGAKKEKALRAYGRDMTAAVGNTDPVIGRDDEIDRVVCILCRRTKNSAVLVGAPGVGKTAIAEGLAQRIAAGTVPAALAGARLVEVDLGAMVAGTQYRGMFEERLKNVIKEAEDANSNKVILFIDEVHMLVGAGKCGGGSMDGANLLKPALARGRIRCVGATTFDEYRKYIEKDAALERRFQMVHVEEPSAEATIAILQGLKRRYEEHHGLRIQDAALVAAAQLAGRYITGRQFPDKAIDLIDEACSTTRMQIDSKRHVTAAQSSSAVVVKEGILEPEHVAQVVSRWTGIPVTTLDQEEKDKLIHLPDRLHERVVGQDEAVNLVAQAVLRSRAGLDQPGQPIGSFLFLGPTGVGKTELAKALAEQLFNSEKMLVRFDMSDYHGHQDGGQLTEKIRRRPYSVILFDEVEKADPSVFNVFLQLFDDGMLTDGKGRTVDFKNTIIIMTSNLGAEHLTAGMNGQTTMEAARGLVMEQVRKHFKPELLNRLSEMVIFEPLSHDKLKEVVKFQMKSTVANLADKGISLTASNAAMDVILSESYNPMYGARPIRRWVHKNVMTKLSELLVKGDAGEGSIVSIDATADRKGLKYEVVKKKVADPRGKKPMVDLPSDSDDSSDDVVEVGPVAKKAKVVSFSIPADGK >cds.KYUSt_scaffold_6468.439 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2111206:2113615:1 gene:KYUSg_scaffold_6468.439 transcript:KYUSt_scaffold_6468.439 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNLEVFLRAATPHLPWRSATMGCFEGGPSSVWQLDREKKSDAAVEYFALADLWEHYAESSANGLAVPVRHLGHAGESTVVTQHFVPYLSAVQLYTATRPTASIAIPRSTGSETDSWSDDSAGGGERFARSSWDAASEDDDDSAYYGAASSKRGGYLTFQYREWDSPYDRVPLADKVSELAQDYPCLMSLRSAELSPSSWMSVAWVGINDIIIGSFVTKLGEIDSFRVLRVYSAHRFIAFNFLGFTRWVGDSVRERSLLCQHRRDPLAARSVRPKCA >cds.KYUSt_chr7.2110 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12133801:12135976:1 gene:KYUSg_chr7.2110 transcript:KYUSt_chr7.2110 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPQAVVYPMSSGRRRDVGKVREAIMERKEFLCAGPHKAPVREACDQDDVITPLLGQYCECQRSVLNSSSVNESYNACCQAADKFADFVAGNEPTALHLRETGCDYCRWPVDVLFDGRGKMYLHTGWEKFARYHDLEAGCVLTFSYLGDADMSAKLFDETRCRRHYNGDTDEKDD >cds.KYUSt_chr5.3508 pep primary_assembly:MPB_Lper_Kyuss_1697:5:22673851:22674888:1 gene:KYUSg_chr5.3508 transcript:KYUSt_chr5.3508 gene_biotype:protein_coding transcript_biotype:protein_coding MADTNALKVLNLKGNQLQGVLPHDINKHCMLEAIDFSDNWIQGLLPISLASCRNLEVLDIGNNQINDSFPCWMSTLPSLQVLVLSNNKFFGHVAPNVAKGKGICEFQSLRILDIGSNNFSGALPWDFFIKLKSMMVNTANGALVMEYQADLQRLQQEYQVATSLTYKGNSITFEKIIRTLVLIDVSNNAFHGSISEAIGELVLLNILNMSHNSLTQSIPSELGRLKQLESLDLSSNELTGVIPQELASLDFLTTLNLSDNNLKGRIPGSPHFMTFPNGSFVRNNGLCGHPLSKKCSNATTSNTACSPSKDKPADIMLFLFAGFGFGVGFAVVIVVTWVLPLRKKS >cds.KYUSt_chr4.24675 pep primary_assembly:MPB_Lper_Kyuss_1697:4:155270293:155271913:-1 gene:KYUSg_chr4.24675 transcript:KYUSt_chr4.24675 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFQDGQHVWLRSRVRGKYLSARGDGEGVRLREGRASLKAAWAVHRHVGIDGVEYVLLHSAAYGRYLAATDDRAPLLLCGYRVVLQNYDRDPMEAIRWQAVKPPGAAEDDVLLRQVRRGHRYLRANGKIQRWIRGVSVDDPQNITTMMHWVVEPIPAMQGRPALPPPTARDRHPGDLSVWLGFSPASIRTIRYVRADDDGDYPELEEVWYALHFTGRSVHRLRGLLRDELARDDHVAPADLIMCVRAGRHGRLTPLVVNLPHHGDSFQIVLIMDGTPGAQTASRILSCIPPCLQP >cds.KYUSt_chr1.8175 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50339518:50347671:1 gene:KYUSg_chr1.8175 transcript:KYUSt_chr1.8175 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVAVLDRLPHVPHLEPDPHDRGPLDIVGFGEGRPPCTGTNVPDHSPDPIEAAVLVVPAAAAVRSGSATAVRASSGSATAMHASSGNATAVRASSRERHRLRASSGSATAVRASSGSATAVHASSGSATAVRASSGSATAVCAGVLGRPLRHPLSRLWHLRRRCRRQNRSHWRRRAAPRPLPLLRVLPPLPLLLLPPLWLLPARPLPASMPLLSPQRLPRPLVHAPLPRRDRPPADAACWRIQITVSLSRGANTEWPEQNAFPLYVLLATPTTNISLEGHSPIYRFSRACLLTSFSESGNEDHTKATFTIPDVKNLTTSRACNLNIILISCVSEGQAGENIGENNCTGDNVEGSALQKLEGKCFWGKIPIDLLGSSLENCVTLNLGHTVELASAVSMSPSFLEPKLLEQDSCLTFCSHKVDATGSYQLQVAISAQEAGARDMSESPYSSYSYSGVPPSSLPHIIRLRAGNVLFNFKYYNNTMQKTEVTEDFACPFCLVKCGSYKGLGCHLNSSHDLFHFEFWISEEYQAVNVSLKIDAWRTEVN >cds.KYUSt_chr2.49284 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308394877:308396043:1 gene:KYUSg_chr2.49284 transcript:KYUSt_chr2.49284 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNKGSWTPEEDMRLIAYIHKYGHANWRALPKQAGLLRCGKSCRLRWINYLSPDLKRGNFTAEEEETLIKLHNTLGNKWSKIAACLPGRTDNEIKNVWNTHLKKRVAASAGEQKKTGGGKSKKKATRVNSPVPSASPSSSTTTVTTNSSTEQSNTSKEETELDKIEIPTLDFGFDFDMLLDTVPDTHCPAMSAPTSSCSSASPPCVVDDVEVELLDLPEIDIVPELWSIMDDDGGAGAFVEAAQAPWTNAAPYNGDGTEAGAGTTDDCKEWWLEDLERELGLWGPVEDYQYQALSGLVGRPSASVDDSVSCYFEAGPGT >cds.KYUSt_chr7.34274 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214230519:214232120:1 gene:KYUSg_chr7.34274 transcript:KYUSt_chr7.34274 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQLEVLSALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRVYYYRAGASDPGSLPPNVAAAVNGVAFCGTLSGQLFFGWLGDRMGRKRVYGMTLMCMVLCSLASGLSFGSTPASVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGVVTLVVSAAFRAAFDAPAYQDGAVASTPPQADYVWRVILMFGAIPALLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVDIADDSKDPAPSDGDRNSFGLFSGEFLRRHGLHLLGTATCWFLLDIAFYSQNLFQKDIFTAIHWIPKAKTMSALEEVHRIARAQTLIALCGTVPGYWFTVFLIDRIGRFWIQLCGFFFMAVFMLGLAFPYHHWTTPGNHVGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKLGAIVGSFGFLYLAQNQDAKLVDHGYKAGIGVRNSLFILAACNFLGMGFTFLAPESNGISLEELSGENDDETSAAPAHARTVPV >cds.KYUSt_chr2.10885 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69123501:69127607:-1 gene:KYUSg_chr2.10885 transcript:KYUSt_chr2.10885 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFARRGMAETPGDPLRFGDTLGGSSTGWTDEKHMLYISSLELSFVTKLYDGEVNSNGVLCWSSSEWRHKNHNGNHRNIEVDQVYSRMVEADAAESRLSQAEHTPSYDEDQDDRKAYYMDDDDDDASTSDPRQEGISYYARRKNFGGSCTSHLHWHGHSLSGTDLSDQNFVDNETEVSEEQRRVCSNKRLKLAADTTSSPAAPSAIHHVEIDYSGNSSDFDIRLLNTEPASRKSHGSRDGLSKGFRLE >cds.KYUSt_chr2.46584 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291197818:291198303:1 gene:KYUSg_chr2.46584 transcript:KYUSt_chr2.46584 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDECKLKFQELKAKRSFRFITFKINEQTQQVVVDRLGQPGDTYADFTGTMPEGECRYAVFDFDFVTDENCQKSKIFFISWSPDTSKTRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKSRAL >cds.KYUSt_chr2.4874 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30108817:30113281:-1 gene:KYUSg_chr2.4874 transcript:KYUSt_chr2.4874 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPAAHRGGGKRPIGGAHWTKSPTYRRRLPGPSHLLAVRPFSLRFALTTALHRPRKRRTDGASRPAPSPRRRSRRVVDLRQFLALGSFALRHVLAGVASASCRRRKASFSLKNMGCTLSRASRVYKKAVEGLREVGDLSANQVQADKADAARRGIGDWTNIRSEEVPSYKPKELAGGKTGYDYESVRRWTTLNKLGYELLDCDKATYIMDEVKDKSNIELDVSSWQDVSVPLRMQHNGWDCGMFMLKFIDFHSRGVSPSFSQEDMEYFRKRTAKEILRLKAE >cds.KYUSt_chr2.54517 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340143033:340143506:1 gene:KYUSg_chr2.54517 transcript:KYUSt_chr2.54517 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSGIGSAATRPEEDSVIIATSFEMDQDIKDWEATSAIAWVVYGNRKIEAKAVDRGVRKEFSLSHRDINVCPHQSVQFLLKFEHKAHCSEVLKRGRFKADNTLLQHRPWRPLEHAFGAAMSFRVRLCLEGVPAYDLTPYVAERIIGRRCSFDRLDD >cds.KYUSt_chr6.31804 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200969842:200973642:-1 gene:KYUSg_chr6.31804 transcript:KYUSt_chr6.31804 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEGGGSCDPPSLAHQEARLEGSSLGMPSEVVSVTTGVLNPLIGKLTKLLSSDECKKLSLVREQASFLKDELSAIKPLLDKMELTDKIDASARNWRDRVREMSYNMENCVDHFMHSTDGAADAETGFVENILELKVLSAEASARMREMHNLLDDDGISNSSHAHVVVVDSSPISAIYNKDLVGLDGPREELVGWLTDPQPKLKVVSIVGSAGSGKTTLAMQVYDEIEAQFDRTTFVSASRRPDVESLLGGLLLNLGTLEFPRTLELHEIIDRLREDLKDKRYLILIDDLWDQSAWNIMRCAFPENRNGSRMIVTTRLDDVAVNACHNDHACIYIMKHLEEQDSRRLFFNRVFGSNNVCPPQFQYISAEILKKCGGLPLAIITIAGILASTEARSLNEWESIKNVLGAMSATKPTLEEMMGILNLSYMHLPVYLRPCLLYLAMYPEDREIWRDDLVKQWIAEGFICSMSGVDLYDVAESYFNDLINRSLIQPEITEYGEVLSCRLHGMVLDLILSKCIEDNFISVAHNYDDMERLNTFEHKIRRLSLKSCAESETVSTSMSQVRSCALFGLSRYTPPLSDFKYLQMLMFNFPFGWEWDAAVDLTAIAHLFLLRYLKVLALSARVALPTEIKGLVHLETLELDCHLTKSFPSDITHLVNLLHLILPDDAMLPKGIQNMKSVRTLHCSVMSEGSLEDIKGLSELTNLKELELSTPYGQCLTVEGVDTLISSIGLVQDLKHLMLDCVIECDGYERLSDSLSDPPPCLEYLDLETWKFSRVPRWIGELSHLRFLHLFVLHLSNDELCVLGELPSLMSAMLHVSEVCENKVVVGTGLFPVLEVFWFRSNEDVNAYLSFEAGAMPNLQKLELGFGWKEWRGATPVGMECLPCLRDIHVWLRDTILESSKHEKEVRADVESAFKCTVRRYPKRPSVTVD >cds.KYUSt_chr2.55203 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344178262:344178873:1 gene:KYUSg_chr2.55203 transcript:KYUSt_chr2.55203 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPMITLGVLLALIGASCAADFEVGGDAGWVVPPAGQAGTYNEWASKNRFLLGDSVHFKYKKDSVMVVTEEEYDKCASTHPIFFSNNGDTEVRLDHAGLFYFISGVTGHCERGQKMAVKVIGPDAPPPAPPSPPHPSGAAPAVAFAGALAAVAATLLPVVLRGV >cds.KYUSt_chr1.34725 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211497375:211504941:-1 gene:KYUSg_chr1.34725 transcript:KYUSt_chr1.34725 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVAVAVAAAFLREGRMPPRSTHPRHQQHKPLRRGGGGGRRGVKVEEPPPRQGGGAAATSTLAVVVGELLHNAAVVVAYGMLLCYYGILVKIYLMDSCELMPIGSPGKKANSAGRGTHGLKRGNTARHNAGQAGTVFASRTRSLDSEGLAWFCAGDADRRCCVVKHPLTERRPGKGGPSANSFDIRKHLVSFEAKEPSSSMVTFSGDVPPLVSHSAHPKHALKLVATGGALFQCDGCTQIGGDERRYRCDQCDFDLHICCARAPAVFRHAMFAGSTLTFFHSPPAMPPGYFVYCDVCGDQVLGFLYNCNEHDLYIHPFCANLPERVVEEEGRVLDLHRATGHSCRLCGQAGHRGQFLSYRLQGDNGEFDYFHVACMMEANYCGDGGVASSPTTGGRPQNAPATGQMATPQNAPKRRTSSFGRFCQAAVLVARVSHAVTTLDPVGIVTAVASLQEGDQLLG >cds.KYUSt_chr5.18081 pep primary_assembly:MPB_Lper_Kyuss_1697:5:116857545:116859711:-1 gene:KYUSg_chr5.18081 transcript:KYUSt_chr5.18081 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEVDSVPAADLPGQGRTVCVTGAGGFIASWLVKRLLQKGYTVRGTVRNPVDPKNDHLRAFDGAADRLVLLRADLMEPETLVAAFTGCEGIFHAASPLTDDPEKMLEPAIRGTNYVLTAAADLGIKRVVFTSTIGTVYMNPNRDPEKPVDDNCWSDIEYCKKTANWYCYAKTIAEQQAFELARQRGIDLIVINPVVVLGPLLQTTVNASAAHVLKYLTGSAKTYVNAAQAYVDVRDVAEAHVRVYEAPSACGRYICAEGTTLHRGELCRILGKLFPEYPVPNKCKDEVNPPSKGYKFTNQRLKDLGMEFVPVLQSIYEIVKSMQEKGMLPVLPTQDDDVLQDKLLLKSS >cds.KYUSt_chr4.14405 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88853642:88872732:-1 gene:KYUSg_chr4.14405 transcript:KYUSt_chr4.14405 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPSPPDLESRGIPGFVCTPPEQIGKVGEEAMAAEENGGADRVTIGVCVMEKKVYCSPMEQILERLRAFGEFEIIIFGDKVILEDPIEIWPKCDCLIAFCSSGFPLQKAQAYAALRRPFLVNELEQQYLLHDRRKVYEHLEKYGIPVPSYALVNREFPYQELDHFIEQEDFVEIHGKRFLKPFVEKPANGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGSGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMARDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPTLPWKSKEPDQSTEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKSRAETKLKSAVQLQDLLDATRQLVPPTRSGQESDSDAEDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIKVPKHNGDGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIISNAKAKNTNESVELPWMVDGAGLPADASQLLPKMAKLTKQVTAQVKLLAEGEDEKLALTSSYSRYDQAKALGKTTIDVTRIAAGLPCGSESFLLMFARWKKHERDFYNERKDRFDITQIPDVYDSCKYDLVHNAHLNLEGLEELYKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLMGKVLIDLRNTREEAICVADPNLTEDESLFLPTKELEHQQKHQVRNEDGRRSSTTSEKSMDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCQSTLDRLHRTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSETSPLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAPPQALGYFSKGAGVLERLASFWPFHKGAANVK >cds.KYUSt_chr3.43524 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274653463:274654882:-1 gene:KYUSg_chr3.43524 transcript:KYUSt_chr3.43524 gene_biotype:protein_coding transcript_biotype:protein_coding MTADVLAKKGCPHDPLCCLCRAEPETALHLLATCPFSLQVWDMVLDKAELPLTLAPGQATSLADWICSSKSKVEIGKRKMWTSVIPLADCLSSKKKNKFALCLPDFAIFGDTPVYLSVPDPRIYIDYTTTIPYTPLVTNPANTGGHYIPVKGISVSWHAADAAAALPRGALDIHVRTGRGGVVLSTATPYTLMRPDVFRAFAMAFDDAIMRGKIPMTPMRRVQATKPFELCYNGAFPMLKRSGYDMPYIKLELGNGATRNWTLFNSNYMVQVEGAMCVGILPMGPRGMPVAGEPAVVIGGKQLEDNLLVFDLEKQRLGFSMLLRYQLSSCRSSNFFRN >cds.KYUSt_contig_1658.79 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:476719:477579:1 gene:KYUSg_contig_1658.79 transcript:KYUSt_contig_1658.79 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDHAIGNGNTAIANKDYRDPPPAPLLDAGELGKWSLYRAVIAEFTTTLLFIYVTVATVIGHKRQSEGAICGGAGILGIAWSFGGMIFILVYCTAGISGGHINPAVTFGLLLARKVSLPRALLYMVAQCLGAVCGAGLVKSVHGSQYARYGGGANELAPGYSKGAGLTAEIVGTFVLVYTVFSATDPKRKARDSHVPVLAPLPIGFAVLMVHLATIPITGTGINPARSFGPAVVYNSKKAWDDQWIFWVGPFVGATIAMVYHQYIIRGGAGKALASFRSNYTAYA >cds.KYUSt_chr7.5260 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31370342:31375859:1 gene:KYUSg_chr7.5260 transcript:KYUSt_chr7.5260 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELSREERWSLAGTTALVTGGSKGIGHAIVEELAGFGARVHTCSRNAAELEDSRRRWEEKGMRVTVSVCDVSVRAEREKLMETVKETFDGKLDILVNNAGQLFVKAAAECTADEYSHLMATNLESFFHLSQLARPLLLNASVAGGGSIVNMSSIGGTISYAGASIYNVTKGAINQLTRSLATEWAPDRIRVNGVAPGFVTTDMIKDTTTDQLADEHSKTPMRRSGKPMEIASAVSFLCLPAAAFVTGQGCPIPRKVKGKRAERLGKGGSVPGQINLATQHRTGQAQMGCRRPCKGLGGMHPLDHDPTALGVLLRDEQGTVALPRARDREATAAHHMGLEFDGGEMADGAAVACMVAWRPSSLGLYVQRRH >cds.KYUSt_chr5.29851 pep primary_assembly:MPB_Lper_Kyuss_1697:5:189265635:189266791:1 gene:KYUSg_chr5.29851 transcript:KYUSt_chr5.29851 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPNPFRVKARQDDPFRVKSIKQQEEPFRFYVPRDECFNHVKKADFTSYLKEAVEAAAAAAVAGAGHAAAGRARGAAGVHVVTACRGRQTAGIPAVAACRGRENAEFSAAVEDPAGRGGVEAGALEAGAGALGPALGCVGPVQELALAARAACRRGR >cds.KYUSt_chr3.43921 pep primary_assembly:MPB_Lper_Kyuss_1697:3:277317048:277317716:1 gene:KYUSg_chr3.43921 transcript:KYUSt_chr3.43921 gene_biotype:protein_coding transcript_biotype:protein_coding MPWGILLPVSSSAFWWRLMLVWLLVELLWKEPEAGGGATSTSLSTSIKLEVEAPNRRDLEPCFFRSCSHGDLHEEEKVLAAPCSEALRDRGVCVCTLEASSSCGVHQRRQRYAGVIFGDSSHSTPGSDGLPWTAFLLVYRPYWRIFIAYSTGFITYVAPSGMFPGGYAGSWSWRFTGCGGKNQGSDCFSPLSSRVLSVKVKAHAVFLYVLRGLSTTLYRPLD >cds.KYUSt_chr5.16751 pep primary_assembly:MPB_Lper_Kyuss_1697:5:107833688:107834281:-1 gene:KYUSg_chr5.16751 transcript:KYUSt_chr5.16751 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVDTLQRRCSQLERENGVLSAALRDYTRPGMALNPVPVDSSPPATPDRVGYPIDQESLATVEPPSQVGATLSSTPVPPPPEYTPHSPAGSPPSDPSPPSPDYTPSYSVAGLTYSELLALDTGRGSPDLSGYLSVPSDVTPSEHPLSSTHDSTAPESNAAETSLPPAASVSLPPPTAALDPDLLLTTPPSPLVRED >cds.KYUSt_chr3.10507 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62426714:62426956:1 gene:KYUSg_chr3.10507 transcript:KYUSt_chr3.10507 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVEVAILPTVLEMLPVEVPMLPVVGEMLQVEVAMLPVAGEILHVEVAILSVALEMLPVEVAMLPVVEVAMQPVAGEML >cds.KYUSt_chr6.25677 pep primary_assembly:MPB_Lper_Kyuss_1697:6:162671929:162675350:1 gene:KYUSg_chr6.25677 transcript:KYUSt_chr6.25677 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQKPPTNVDSATAAAVWRGCCNPDGDTEHLRTYIRTELLPAFPAVEAARFASLPARDGVDRISLLPDALLRNIVSRLFVTEAAATALLASRWRRVWLSAPLVLSDAYISPRGGSPPITDSVSYILEAHPGPFGCVHLVSSRMGAHQAQLARWLDLLAAKGVQELVLLNRPLPLDVPLPATLFSVTTLTRLYIGLWKFPDVARIPRGTSFPYLRELGICSVVMEDGDIESVIARSPVLKVLSIQGNNKGLRLRIVSQSIRCVQICGSVLESITVVKAPLLDRLILEGPRGNTGGFSTRVLIGDAPKLHALGILEPGNHILETRDIVAGIKPTSRTMLTSVKTLSLKVRFGNHNDVKMLPTFLRCFPNLEKLHITSGKCDFEAGSARLNLKFWELAKPTENVKSCIKVLSFREFRGEIGEVAFIKFFFRNARVLESASISMANPSVTPFCTDEAFSKASKASDKTVSKSEINMVVLGSTGPEGGSLWNFKKGADYTFDDPFSAVQMRVTKDGE >cds.KYUSt_chr5.32200 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204280998:204281632:-1 gene:KYUSg_chr5.32200 transcript:KYUSt_chr5.32200 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRDLDLERYMGRWYEIACFPSRFQPKNGANTRATYTLDPAAAGTVKVLNETWTDGRHGYIEGTAYRADPASDEAKLKVKFYVPPFLPVFPVVGDYWVLHVDDAYQYALVGQPSRNYLWILCRQPQMDEGVYNDLVERAKEEGYDVSKLRKTAHPDPPPESQDAPKDGGMWWIKSLFGK >cds.KYUSt_chr5.18919 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122378822:122381764:-1 gene:KYUSg_chr5.18919 transcript:KYUSt_chr5.18919 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFSVSCFSRREARTGANCQGVFYSTTAAAAAAAAAATATATAHRPPMTRKTGKKRGGSKPKPKPQTETPLSSNSVPPPPSEEAAIHVLTLDVLPNILRRLSLADLLRAALTCHRWRRAAARCLPSAPPLLGYFFHPAKTGNKLAYYEPVFAPLHASSPRLSLDFAPDVSRYKLFDCHHGLLLLQPAASVPKVSHPRLLVLDPATHRRALLPPPPRDTVPDDHRWRTSRYYVGSALLSRAHPTSSKLCFEAVCFAIDGGHPRVWVASFGNDKCRWRALPLDNKVVVDFDPYWFERRCVHAAGKMYWHICNSRRVIALHPATLQFTYLLAPDSLPDGCKFRIGETPDGRLCIATVEDQMMQLWVRGETRRSDNGWVLEREMDLRKVYDTVPGLPRDMESRVKSIYLTDIDAGNKTKLFIQMLGYGRYSFDPETGKLERLATRSGKEYGDPICAYFLAWPPAFLAQP >cds.KYUSt_chr2.48999 pep primary_assembly:MPB_Lper_Kyuss_1697:2:306678267:306685743:1 gene:KYUSg_chr2.48999 transcript:KYUSt_chr2.48999 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSYLESLLQIKNYTGKDISKGKFILDKEEMEFHNFKEQTVEPELRWTTHLDGWCKLNTDGSFAIGGYIKRREEKETNATMQSPYQRGAPVLDSAGPYYSSLHPHRRQGKNCRKSKQSVRIPGPLEHRHLVGGGLAGTPRDWYNLEKSKRVKEFRCGEFDACIEKAKSCQPVKRREKYARREDAILHALELERKQLVSKYQNQGFRGREFDKFASEYYSKNNVQEPPLHLQSSATQQRVDLSTTRYKSKKSNKGKGNNPVPLGETKECEQKFIYAGSKRNLSGSLVLEAPGNTLSNHVNGYSHLGHTQGGPSIESGEENAALKRRRLEEGLFEESVVKKHDRCRPLAQVKQKTHSFLCNDDFGTVGVEGGKDHLPAICQDKRSGSTYPSSDFGDAHNRDSFLAKQIILTEAHRETDSYPKQQDTIPQEQPFPNFVEKHESDSSASECSETETEDDAELLQRYAKIQSPEADACDPSSLHPQASNKLRHANDIDDDVEVAFSTHIPQLNVLEDEDGSSELGVSQWHMKGKRNQRSALKRPIGKEDENLSLDNSNSFMKGSLKMANEVDSKMENIGASSHQPFGQFLLENQDFDYDSDETDFVDKAASYPELDTYYGNDYPSSSRPTRDNGQSYTFNDSEIPCKASLLNKNDDQIYSLGRKACREGSSQYQRNNGSHLGSISPLFNVELKVQANYQGEHVPLVSLMSRLNGKAIVGHPIIVNQIGDGSADSLVFGSDLVLEQSAAVVPAWTTGRRTAMPRVPRSNSSQATLDMKAPFDRFQAPPSQQGVRLGKKNISSVKRPFLQNSQKKSGTKKGSSPSIKNRALSPVSIGKKHRREGGQGKARRRSDILGGLLKSEGAIPLVTCVPVKVVFSRIMEAVGRPSQSLPHRVRKAGPAIRDPP >cds.KYUSt_chr7.29635 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184423793:184424740:-1 gene:KYUSg_chr7.29635 transcript:KYUSt_chr7.29635 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLIAASATTVALAADAPAPSPAGVTAAATGAFPAVGAVLGASLVSFFAYYLHHLTTQLKCGSATGGHA >cds.KYUSt_chr1.4981 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30633448:30636459:1 gene:KYUSg_chr1.4981 transcript:KYUSt_chr1.4981 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHLFLVATCLWALSCAALLDASSQDGLLRINLNKKTLSYESLTEAKLARQKDSRRLLKTGGSDSDIVPLVDYLNTQYFGVIGLGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYLHPKYKSSKSSTYKADGETCQISYGSGAISGFFSNDNVLVGDLVVKNQKFIETTRESSVSFIVGKFDGILGLGYPDISVGEAPPVWLSMQEQKLLADDVFSFWLNRDSDASSGGELVFGGMDPNHYKGNHTYVPVSSKGYWQFNMGDLLIDGHSTGFCANGCAAIVDSGTSLLAGPTAIITQVNQAIGAEGIISTECKEVVSQYGEMILELLVAQTQPQKVCSQVGLCLFDGTHSVSNGIESVVGQENVGADLMCTACEMAVVWIENQLRENKTQELILQYVNQLCERLPSPNGESTVSCHEISTMPNLAFTIANKTFVLTPEQYIVKLEQSGQTVCISGFMAFDIPPPQGPLWILGDVFMGAYHTVFDFGKDRIGFATSA >cds.KYUSt_contig_2071.62 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000249.1:454250:457762:1 gene:KYUSg_contig_2071.62 transcript:KYUSt_contig_2071.62 gene_biotype:protein_coding transcript_biotype:protein_coding MVATCREHARRRQEAIESTSSSHAKLTEYGGSGIVGDQLTSLARWVAPVEGDGQSNREVRQVWHRGNRVPGQGNPHAEGNGGGVQALLWVRGIQTAVLAEMHRGIVRARSRGDNNKLLTDVFRPASLPPAVLGTVQDSALVRGAVTRCSGGDGGDDDEDDGDDDGDDVQLDDGDDGVDFPLREGISPADFSLPESSFLSGVFRPHAGGRDSSRLSSGA >cds.KYUSt_chr7.35878 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224158885:224159263:1 gene:KYUSg_chr7.35878 transcript:KYUSt_chr7.35878 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSCCCRCLEILCAILLPPLGVCLRHGCCSMEFWISVLLTILGYLPGVLYAAYVILSVDPDRARRNGDPDDYIYVA >cds.KYUSt_chr3.28165 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175727204:175729518:-1 gene:KYUSg_chr3.28165 transcript:KYUSt_chr3.28165 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPLLVELMSDVPLLLDNPDLVQRFLDLTHAGIDGNAAHFDEAAAHLEELRQFQRRLRETRVDTEEDIGGAFEGIAAGFEDVLQQQRQLNQVLAFLLVVRAVAYVKNRSRLFPGVLLAAASAAVAPGLSTFARLSVLMLGFLFASGRPPRDGLWHYHICFVMGSCAPPKTILIAYSREDSKPWHDLDFEIAEEAEEMFLSCKKCELGNGRRLNFWKDRWPEGRSTEQLAPNLMQFVRTEAKKLKVASALHDNLWVAEIWGSLSIPAIVEFLDISELISTLNLQEVEVNITWKLSASGVYYAKSAYRAFFSGSSN >cds.KYUSt_chr7.35895 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224273794:224275203:1 gene:KYUSg_chr7.35895 transcript:KYUSt_chr7.35895 gene_biotype:protein_coding transcript_biotype:protein_coding MELEASSELQGRRPPWRRTVAVQAALCLALYAAFSLGEPQLRPRGGDALGSGGPAGGGVSFITVAGGALPPADQARLLRQMESIAKVYGVKFVLDVARFGEDTLWQNGSLYFQALNIPWYSTTSHGQIVDNFLKKVKMPYDQILEIIGVDTGPLQVLPFIGEQF >cds.KYUSt_chr1.32668 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198384030:198384230:-1 gene:KYUSg_chr1.32668 transcript:KYUSt_chr1.32668 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGTVPSIGTTIHGALRILTQFMAPAPSSSAVFDGGEDSSANRRRKMQPRLAGAKAPLSTTVPHQ >cds.KYUSt_chr2.6907 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43165133:43166194:1 gene:KYUSg_chr2.6907 transcript:KYUSt_chr2.6907 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTRSQETAADESTRPAPPALPWSARLQIFALSAATDLAQRSNGTVNRFLFKLGDRQTPARPSPDALGIRSNDLIVDATRNLWARVYSPAAEAAGAGALPVVVYFHGGGLTFLSPSSLPVDGMCRRFCHELGAVVVSVNYRLAPEHRYPAAYDDCEEVLRYLGATGLPADISVPVDLSRCFLAGDSAGGNIVHHVAQRWTSSSPPSSNPVRLAGIILLQAYFGGEERTEAELRLEGVAPLVNMRRSDWSWRAFLPEGADRNHPAAHVTGEAGPEPELQEAFPPAMVVVGGLDPLQDWQRRYAAMLVRKGKTARLVEFPDAIHAFYAFPELPDAVKLVQEIKAFMASYASVH >cds.KYUSt_chr5.4380 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27907489:27911076:1 gene:KYUSg_chr5.4380 transcript:KYUSt_chr5.4380 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFMCILERVRAHNDCFQLKIDVVGRCANSRDEWPRLGEVGGGGRIWTGVPFAAVVAMAWVLGVPETLLDKEGFDEFGSMLGTVQDVDMAAYKENNLIKVLVGVRDPTKIPKVSQLGMAPAGYGFELEQIVEHGGPIVDGNIAAGMRETENYNGTVGMDEEVPSSAMKVKVLQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPIIWGACNHAFHLHCILKWVNSQTSTPLCPMCRREWQFKG >cds.KYUSt_chr4.4846 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27730981:27731514:-1 gene:KYUSg_chr4.4846 transcript:KYUSt_chr4.4846 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMKRAREEEPVSLALSLTTESASCTTSADSSGAAPAAAQKKRVRRGRVVATSGEGEFVCKTCSRAFTSFQALGGHRTSHLRSRHGLELGVGIAKAIRDMRRSEDKQSHECHNCGMGFETGQALGGHMRRHREEMALTGGGAGNDHWVWRSVALPDQETLGHAADRPPVLLELFV >cds.KYUSt_contig_1181.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:130841:131233:-1 gene:KYUSg_contig_1181.13 transcript:KYUSt_contig_1181.13 gene_biotype:protein_coding transcript_biotype:protein_coding MARARPARAQTGEGTCWSSSLANTMSMAKLLCGVAELVVVIESMTVVEELFPYSQGGATTAARCRGRAPPWRGHRGVHGHRRGALPVEPWRGLRREIKEAPKNTRSQLLHRGVKVWISGVKVVGDMPKRQ >cds.KYUSt_chr3.15747 pep primary_assembly:MPB_Lper_Kyuss_1697:3:96682376:96683652:-1 gene:KYUSg_chr3.15747 transcript:KYUSt_chr3.15747 gene_biotype:protein_coding transcript_biotype:protein_coding MILGGVELQGRGLLDACRMEELSSVMVTSTADPADIEYDSSRISVVDKPPPQTERLVIMRGDLAKMDIHHILPDGKHAKRTADMQDFLDKSPEYKDSLSVEIFSFTAPKIDEENVSNDPVWRTKKDGTKNASRSKN >cds.KYUSt_chr3.37008 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232853897:232855001:-1 gene:KYUSg_chr3.37008 transcript:KYUSt_chr3.37008 gene_biotype:protein_coding transcript_biotype:protein_coding MASCNALLLFFSAAFCFLARLAAGAYGSWQSAHATFYGEADASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGAACGSCYELKCDAAVGTYCRAGSITITATNLCPPNYALPNDAGGWCNPPRAHFDMAEPAYLQIGVYRAGIVPVNYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVQSVSIKGSKSGWQAMSRNWGQNWQSNADLDGQSLSFKVTISDGRTIVSNNAAPAGWQFGQTFEGGQF >cds.KYUSt_chr7.1893 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10877173:10882543:-1 gene:KYUSg_chr7.1893 transcript:KYUSt_chr7.1893 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRNFEFPDNMTDDEMAKLGLLVSEHDALVRPPLPRYATAVMPPGPSADEALRQRSWTRRRLLHRRHGLGRLPIAATALWPAPHPPPRPQPRAPPPPPRPQPPQPRAPRSCPTSRVRIDKTRRELTREFVRRRTDPLTLMEWRSGRSSQLQLGSPGGGADRISALPDDLLHLVLARLGCAAAAARTGVLSRRWRDGVWTRIPEIVLRDVPFRSLEAAVGGFSPGVSLLEIRVPEQQHRRVLREDWADSTDVESLLRAAARLAPKEFVFAFPPGSTASSIDVDLPCFRNATSIVLDSVFFFVSMPEDVEFPALETLSLSGCIVNLDSLLSRCPRLRVLRLNPRRIWVEDDDLTVHSASLRELVVDTEGIQITRVDVVAPMLKQLTMSLCLCEGLNISILAPMVEKVSWHCCYGLNLDGSDLWQLERIRLQKDEREGHETELAFLRIHAYVNFLPYVDNFAHQIENHIIADFSVLELNLTTKGHAYGAFVMHILGLSQIRGTTQRLKVSLTRSREKEACLNNCPCEPVNWRNQVITLISLEEVEINGFQGEDHALDFLKLIFKSVPILKRMIVKLSGI >cds.KYUSt_chr4.47197 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292052354:292052920:-1 gene:KYUSg_chr4.47197 transcript:KYUSt_chr4.47197 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAHASSSARTKGCRRSARRGRVAFHASLITRASHAYIRRSARREAYPVLHSPSTLPMAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERVMACNSHVRWEPQEEGMMRTAASFPSERNQGLSNQEEPRSTLKVDGGGM >cds.KYUSt_chr4.23682 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149258714:149259094:-1 gene:KYUSg_chr4.23682 transcript:KYUSt_chr4.23682 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSIRCGRVRGAATARSADGVEEDHYRTLRLAPGATRAEVKKAFHRLALQYHPDVVRRRDGNDDDDGVDFQRINVAYQTVMGNVREAEARLENWRARYGLADEDLERYRRCLNDEDEDDLFADF >cds.KYUSt_scaffold_1259.73 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:531017:538724:1 gene:KYUSg_scaffold_1259.73 transcript:KYUSt_scaffold_1259.73 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNLHATQQSTHTDTAAIPPRARLLSTPYPSSPGFTSNKKTNWDIHNLRRSLAPLLSAVPHLSSASAAAAALSKPCSYPKKTQHVTLSWTERTSLSVDPGCDHAEGSDGHATVSLGKIFGFDQGSSTPNESYLEERYMPTYSLVSHFSEIDQEGHRAYMESLSTKSKPDHTSAGRSHRRDEVSRAHTKNPSDKLHTIHPAQLELSTGEDFHDRLDMSRNCRSKYCTKMLQRTKEKWTSHKNNSYGSCISTHGRDRRQARYKHQLSEPKAKKSRQKRYGDQLAGERAKKRMSCKEHPKEFSLIREQDEQPYLHHEVHCGGSDVIRNDDREGKAEATDEVGHSGAKGTCHLTENILTAATCCGSTKSNENSDVLSPKYSVKTIASSNDPKQSEGSSNMKLESDQQRIVVGCTENAAGEVSTPNLQHLPATHTEKGVHAKQPDSTPSELLRECLDLWRSTRRLRKDSGPEAEKLVQTNQRSAHSGSSESDDENINEPVSPSGSSESTAQNETAFDNSGKCRSATPPDALQKRGEEIAKTDPQQPFRCLSDSNCNKSLAKQGLKCNLEVPPEPKPGEITQQNEETKISCCMISTAQPNAMVQTNQNSCFDTSQIQPRQNRASDTNKLDQAVASHPDSSVRQNVSQHKAINDHLDARRKYKMGVSCEIKRKVKNYDANLCEQTASMTTGPTLLDQETVAVCLPHDGSVKVHGCSNECGEATPFSLSILDKGATNNYRNKPIGCSCRSVCRDIKYCSKGSDCRDVQLDTMNCKRNKQRSPELADSEINIYQEETDDDYQLPEVLEVPLNEEISLQSDADITNYGVAKPADSTPRFAIPDLNCLPSMTADEDVAPEEVINQVTGHVSIPHDTSQSFSVCSGTAVEEEQSKQPEKNEFTGGHVSIGHDTSQSFSACSGTAVEEEQSKQPEKNEFTGGHVSIPHDVSQGLSACSGTAVQEEEFKQPEKNEFAGGVRGRENANEAQISESRSGPPQASCVHDSSISVHAFRCALGEFIKNILKPLWEQGLLSREIHRIIVKKAVDKVTLTLGQKGYLDVYLGRQVLKRTMPGSI >cds.KYUSt_chr7.9633 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58951884:58953887:1 gene:KYUSg_chr7.9633 transcript:KYUSt_chr7.9633 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGHVAVDVGPDEQAPAPVPYVLSFTDLCYSVNKGRGGLMDRLPSTGKKTLLDGISCEAREGEILAVMGASGSGKSTLVDALAGQITQASLGGHVTLNGEPLHGRRLSAISAYVTQDDLLYPMLTVREMLLFAAEMRLSRAHSSAKKRERVEALIDQLGLSRAADTVIGDHDHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSSSALMLVQVLCNIARSGSVVVMTIHQPSARILGILGSVLLLSRGRTVYSGPPAGLKPYFSAFVGTPIPEDENPAEFALDTIRELERQPDGAAPLADFSDRCHRLLDGITTDKQVRTMPLELAVAESVSREKLVAGSGTAMSAAAFANPLPLEVWVLAKRAFTNTRRMRKVFGVRLGAVMMTGFLLATIFWRLDDTPKGVQERLGFFAIGISSIFFVCADALPVFVQERHIYLRETAHNAYRTASFVLANALVSFPPLVVLTLAFVVTTYFAVGLAGGGEPFIFFALIVLASLWASSGFATFVSAVVPHVTVGYTVAVANLAYFLLLSGFIINRDRIPSYWIWFHYMSLIKYPYQAALQNEFGDASRCFARGAEMFDGTPIGGMAEATKMAVLDAISTAGGTNMTASTCVLTGADVLAKSAVTDLGKWSCLLVTAMFGFLFRALFYVVLRAGSKNKRK >cds.KYUSt_chr2.5722 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35456546:35462271:1 gene:KYUSg_chr2.5722 transcript:KYUSt_chr2.5722 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKLLEADIQHANSLASEFRRDYDGACLQMRMSYCPAAHLFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSTHERKASIREFYAVIYPSLAQLHEGINEVEDKKQKAICMERYRRREEDHKRVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWRSRSQSCPFCRDSLKRVNSADLWIYTDNRDIVDMATHAPIVHRCAAASAVDICGAPGGWCRELMDAPSSFAGEAPYDYIWGTAKLKVNKPIKDRAIVSYADNSSGLAYLHYEKIGRICLFCGIMFHNMQNCTIRNNLISDRDKNRQTTSDIPVQRFGSWVIDENLVPAQVIMDARMVNAGTNQRGNAILSRLQRMFAEDPKGKSKVSESTPNRMNRINVAGEVHFGPRKETVNTGQTDLTLANIPHTVYRQAAREIDGKCNACGTSRQISISTAPKKSGFAYSCQNY >cds.KYUSt_chr2.54577 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340518657:340520485:-1 gene:KYUSg_chr2.54577 transcript:KYUSt_chr2.54577 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGAEPPGPNGGRLPGFLGRQNKYVRMDDGVVPPPEEHEGGEAVRAGRSRSSTRYVFACSVFASLNSVLLGYDVGVMSGCILFIQRDLHITEVQQEVLVGCLSFVSLLGSLAGGRTSDAVGRKRTIGLAAVVFQAGAVVMTLAPSFRVLMAGRLLAGVGIGFGVMIAPVYIAEISPAASRGSFTSFPEIFINLGILLGYISNYVFSGLPDHINWRVMLAVGIVPSVSIVFALLVIPESPRWLVVQGRAAEARAVLLRVTDSDEEADERLAEIEAAAAGAASSGETVWRELSRPSPTIRRMLVTGLGIQCFQQITGIDALVYYSPTIFRDAGVSTESQLLLATVAVGFFKTAFIALAIVLIDRVGRKPLLYVSTVGMTVCLVLLAATLWLLAHGAVPKALGVAIAVVAVCGDVAFFSVGIGPVCWVTSSEIFPLRLRSQAAALGAAVNRVTSGAVAMSFLSVSRALSVAGAFTVFAAISALSVVFVHKFVPETKGKTLEEIELLFGEGEAEGERRGEVELGDAEHLVRKA >cds.KYUSt_chr4.47108 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291482318:291483469:1 gene:KYUSg_chr4.47108 transcript:KYUSt_chr4.47108 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRNKNTTSPPMVVVDVESSYFLRSSAQLPGSLLATAPLPSSIPFSPHPISDRVLLSCKINNHHLPPLMAASACNRRFKIFAAADGFGEPLKDAIVAHLRAHSSVADVVDLGVDKYYSAAALVARNVTSSSSDPALEARGVLVCGTGAGVTIFANKYPGVYATHCSSVADAVNTRSINACNVLALSGTATPPETAAAIADAWLATPFRAPCPASGDAPWPEDIQQFFDVAPQEMASIPEGSGSAPAPDSACAICCLRKGMQFEPVDIMPGGEMRIVRQSPTSAYVRFKAGSVEPAHHHTFGHDLVVIKGKKKVWNLTKKENYHLVDGDFLFTPAGDVHRVKYLEDTEFFIRWDGHWDIFLDEDLETARNAIDAELGVVDSDK >cds.KYUSt_contig_60.67 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:353378:353795:-1 gene:KYUSg_contig_60.67 transcript:KYUSt_contig_60.67 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCLRWSSWLPHGSVLFLVLLLSVLCSSRTAAAQAQMQPQTDPTEAAAVNAILAKLGLKAQPSWNVSGNLCSGAATDDSMILDDNPNFNPAIQCDCTDQNGTICHVTKLYKP >cds.KYUSt_chr5.5338 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33468384:33473320:1 gene:KYUSg_chr5.5338 transcript:KYUSt_chr5.5338 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRALMDTYMYGALRPLVGGLGLPILASLIPKVTNSRGLASRGSQLEEDCGATDVTSQVREFNGGLIEESMRKYCEDKKNVMFEPEVGMQFSSTEEAFQFYNMYSWVLGFSIRLDQSLAMTIAIKENLLSTKHRWCKWHILRKAQEALGHVHKLHSTFSDEFNKVVNHMLTPEEFECGWDYLTKKYDLGGNPFMTRAFEVREKWAKPYFNDIFCARMSSTQRSESANHVLKVYVPCKSSINMFVKRYTKLIDDREKADDWLEKNRSQVMTRTGVMKIPECHIMKRWTVDARKGSKVKSGKSVAVLDDEANRTLRHKNLYMHVLDLVSAGEYDKTTSDLAMKYVELAKKKIEEYKMTISRTCQVGYNLPTSINGDKDVTMEGIGETGDTSSCGLQLYDRAQNCGIEVSSIKAPIVKMKIGRPTNRRFLTRFDANITRKKGVVHGNKKVNVPGGRTGVQQTRFCSCCKSPDHDIRTCPVKNDYNVPPKKKSKHSSTAHFKF >cds.KYUSt_chr4.46094 pep primary_assembly:MPB_Lper_Kyuss_1697:4:284854407:284854856:1 gene:KYUSg_chr4.46094 transcript:KYUSt_chr4.46094 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQHILLLVFIASILHAASSTSSNSTIDGTTVTAYDILEQNNLPRGLLPLGVESYVLHEGTIEVTLPGECNFFVPIGGDQYKFRYGSKVGGVIKSGSLTQVYGTRFQAVSEWLGFNTVERVGDQLTIQAQALAKSFPASAFANSPKCS >cds.KYUSt_chr6.30533 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193447014:193449003:1 gene:KYUSg_chr6.30533 transcript:KYUSt_chr6.30533 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGKKHESAPPARGADLISSLPDHLLHHVLSFLPAQAAVQTCLLARRWRHLWRYTTGLRITGLDGPVPAEEVRVFVDHLLILRERTDLDTVEIKLGSLSEEDQPYLKLWARFAVMCKVRVLTFHIYDPYLYLDEIPLVSRHLRTLDLQGLGLGEAFLDFASCPALEHLKMAVCDISVDMISSRTLKHLSITNCHADSGQQVHLSTPGLVSLKLDGSSGMTPTLENMASLETAFVYLGHRCEDNCLSYDSGVFCGTNNISCENCVPNGDGRTVLLGCISSAKHLELMSESGKFIFIRDLEYCPTFSKLKTLVLNEYWCEAPDMGPLACILKNSPVLEKLTLQLFSKGPNHMVEMKGIYSSVERLPETSEHLNIVEVKCDVVDGRILKVLKFLCAYNILSSKGEKIWVVGEKTCHPFRIQKFKA >cds.KYUSt_chr7.31727 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197619516:197625923:1 gene:KYUSg_chr7.31727 transcript:KYUSt_chr7.31727 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYISRFLPGDSNSNLIILKSPVLRNPWPQTLQVSSTSIPPWLFFTLPSALPRWRSPRCSSLCRARARSALADVYNHELTPLQKHIAFFDRDKDGVIYPSETYQGFRAIGCGVGLSAFSAVFIHGLLGPRTVPENMKAPAFKFPIYVKCIHKGKHGSDSGVYDAQGRFVPEKFEEIFKKHAHTRPDALTGKELNEMLQENREPNDIRGRVGGYTEWKLLYSLCKDKQGFLHKETVRAVYDGSLFEKMERERKEVRESAKNK >cds.KYUSt_chr7.3057 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18134394:18142556:1 gene:KYUSg_chr7.3057 transcript:KYUSt_chr7.3057 gene_biotype:protein_coding transcript_biotype:protein_coding MWPENIGDKHKKQFKMEKLGNDHDALKDVKFDQQPVRMDIHRLIEMANSEKGTSHMQYFVKHWEYKRANTVRLLNEQVGLLSQQRKEIEEKKLQILEDQRCQDENYYAAIRQVPILDEVYKDEWKRPSKKGDDLSCNQELKIDAEYDSIFYWKERAMLLEKALEASLQRERALEEKLEQSIKNLQSHTPVEEFSGMLKRADYFLHLVLESAPIVIAHQDTDLRYRFIFNHFPTLADEDVIGKTDYEILAGEGIDEMNNVKREVMAKGIPTKREFVFNTPLFGAKTFVTYIEPVFSKSGETIGVNYVAMDITDQVKRREKMADIRVREAIQQAKETELSRSLHITEETMRAKQMLATMSHEIRSPLSGVLSIAEILTTTKLDKEQYQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLEATTFRPREVVKHVLQTAAASLKKELTLEGCIGDDVPLEVIGDVLRIRQILTNLISNAVKFTHDGKVGINLHLVDKQQAGCELENGQLPMIAHPACANNTAAEKSAASPRICDSDTSCCSSRGDACQNGISSDENLREYNEGEVVWLRCDVYDTGIGIPEKSLPFLFKRYMQASTDHARKYGGTGLGLSICKQLVELMGGSLTVVSNEDEGSTFTFMIPCKIPVKEELSDDPDDAPSSRNDLTISDIEGSFVFKPKVRPSLLSSGVPLMNNTKLFGTEIMCYDPTNILEDHKPLSNGFVSSKENSGKCAPAASQSNGPSVRNIDEDQDNGSMIFDLNSQAERISSSRGDTASISGADIQEGRKACKALEETSLNKKSKCSPSSSKAKILLVEDNKVNIMVAKSMLAPLGYGIDIVNNGIEAIHAVQRCQYDLILMDVHMPEMDGLQATRLIRSFENTGCWDASVKTEEHLSTVGKYQFLHPGSTESAAHRSTAFPDANGDWPIVDTLQCGADTLPSLHRRMRSCALRN >cds.KYUSt_chr4.36783 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226186826:226189901:-1 gene:KYUSg_chr4.36783 transcript:KYUSt_chr4.36783 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGDEIMVIVTMDIGDEIMVRLFTEEQNAEAVRRQQQQLVLTSMLRVRHPFFVVPRRGVSKLGKRRNRLDADYFNDDATHSPKEFWYRFRMKNELFLKIVNDVREYDKYFIAKQDCTSLWAFTSIQKCTAAIRCFFYLRSSSRLAEGQAPAVNFEVNDHAYNKEYYLADDIYPTYATFVKTISSLANEMEAYFATCQEATRKDIERAFGVLQQRRVSDVKAHTNTKQPRRHPLRSPSPHVKQRTADTAPLRPGRHALAVVAMTPPAFLALALLAFSTQSPALAQLSAPQPAAAPAPSWGELDCRGAMLNLSSCLTYVEAGSALTRPDKGCCGALSGVVDGEAACLCGLVGGYGSFGVRVDAVRALALPTACRVDAPPPSLCAALGMPVAEAPGGGPVPAESGYGTPATTPATSTASGGPAAAARRGNRRRHLRLVLLPYCAALFTLLP >cds.KYUSt_chr2.44155 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274611115:274613028:1 gene:KYUSg_chr2.44155 transcript:KYUSt_chr2.44155 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGTLEVLLVGAKGLENTDYLCNMDPYALLRVTSNEQRSSVAEGKGSEPEWNETFVFTTSENATELCIKLLDDDNGTNDDDVGEARIPLDAVYTEGSIPPTVYNVVKDEEYCGEIRIGLKFTPEVVFSVAQ >cds.KYUSt_chr1.41459 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254269931:254274405:1 gene:KYUSg_chr1.41459 transcript:KYUSt_chr1.41459 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVPAILGVGSHAYLHLTEIVSGPLRQNCVYRLSTSGEFAINTCAHMGPSAAYHCFIKRVFFKGIFAGIRFESFRGISACAIMAEDGIGHWVSKDRFAAKRLHALAAELDDPKRRIIEEQSAFRALLNVSPFNIPNALIDFVASHTSPGLREFKFHKKRIVFTKDMVRKVFGIRCGDRLVVQKKGEHPQLRQIYIEEGQSRPFIQHAVKLLKACDVTDDLTIIRTWDLICLATVIAPGSANLISLDYLDCMLDPRRTHEFAWDEHLLELAMQEVTKINSKTAEVVYMDHLDFPPNQHVINYSIPRVCFVTSSDFKFVVQNDADRKILNNKTVFGRRPFLELSNTPYGVAAFSNRDHVEEPVEQPVEESEVNPSASLNEWLVFPTSQDLEVPAAYKHLYEKHRSIYGRDLDTTLKNFGVGLKQMHSQRMAALLIDIDAAKKESDGPSVHFPNRGGVEDENMDGADRHDDEGTSNHDDEEIPAADSEETDNDEFVVEARAAVMDSRTLVVDMPQSAVLLDSSTGGDVAGEQVSVDSPVMSPTNPPFARIPEGISVEAWNRAPDPPSMELFSQDPDVVVVSEDQITIPATEITPPADVPSVVKLDDTSSEQPKVMEGTTPPIPSRDAEDHLGENVSPQHPTNTDVSVIKRAKLFAADGKLSLIAGIPLNVGSTVVVAERSPSPSGIP >cds.KYUSt_chr4.14588 pep primary_assembly:MPB_Lper_Kyuss_1697:4:90039690:90044365:-1 gene:KYUSg_chr4.14588 transcript:KYUSt_chr4.14588 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPRPATAAHRRRPHHSPPSAPAYLCAAITLLTLVAAAFSRALAPRFPHPPATRRCGADAEGSWSAGVFLGDSPFTLKPIEHWGISNDEGAAWPVANPAVTCADVAEAGFPSSFVANPFLFIQGDAIYMFFETKNPVTSQGDIAAAVSKDSGATWQQLGVVLDEDWHLSYPYVFSYDNKIYMMPESSKNGDLRLYRALDFPLTWKLEKVLLEKPLVDSVIINFQGSYWLLGSDLSSYGGKQNGELSIWYSSSPLAPWNPHRHNPIRSMANRSSFRNGGRPFIYDSNLYRIGKDSAGVSGHSIKVFKVEILTANEYKEVEVPFAIDKPPKDQNAWNGARSHHLDVQWLQSSQVWIGVMDGDRVSSSDSVHRLTIGCMIYGVTLVLVLLLGGLIGAIKCTLPLRWCAPQTEKRDDFFHAKQQFVLQYKLSLLISSLNKLGSLLGGRIGYRTWKGRVYVAVVILILTFLTCLGTHYIYGGNGAEGPYPIKGSYSQFTLLTMTYDARLWNLKMFVEHYSKCASVREIVVVWNKGRPPVQNELKSAVPVRVRVEDKNTLNNRFNIDEEIKTRAVMELDDDIMMPCDDLERGFKVWREHPDRIVGYYPRLAEGTPLEYRNERYARQQGGYNMILTGAAFMDHELAFKRYWSEKAEVGRETVDSFFNSGEAGAVKSTAQTAPRGAIVNDTPLNAII >cds.KYUSt_chr7.25493 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159125564:159128720:-1 gene:KYUSg_chr7.25493 transcript:KYUSt_chr7.25493 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTASSCWARHHIRLLLPALFLAPALFFLLASPRSSAPFFALPASRYAPRPDLPYQVLLDSSGKLQIPPHWVSCRERSPLGSRLIWAQRRVAEWRPCGWWRTAMPAPPTRNGYIRVDCYGGLNQLRRDLCDGIAVARLLNATMVLPKFQVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKEMPEEISLKEPVNVDCRKRKGHFDYVETVLPALLEHRYISLTPAVSQRKDRYPSYAKASYCQGCYNALRLNKKVEAKAIELLEAIPKPFLSLHLRFEPDMVAYSRCEYSGLSSKSMEAIEAARGQDRNVLIGDAARLWRNRGKCPLTPSETAFILQALGIPTHTNIYLAAGDGLMELDGFTSVYKNMYTKSSLLTHEDFERMHGNTKAALDYYVSVNSDTYVATFFGNMDKMVTAMRTVQGLQKTLILSRRAFANYTAAGLAEDQLAKAMWDAHREDYIMGRGSALPEHCFCEFKL >cds.KYUSt_chr3.38112 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239784753:239791889:1 gene:KYUSg_chr3.38112 transcript:KYUSt_chr3.38112 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFPVSFDILLYIVYMGDKKHDDPSVVTASHHDALTSVLGSKDEALKSIVYSYKHGFSGFAAMLTESQAEALAKFPEVITVKRNTFHKLHTTRSWDFLGLDYQPPQQSGLLQKAKYGEDVIIGVVDTGIWPESRSFNDRGYGPVPARWKGKCQTGEQFNTTSCNRKIIGARSYHRGISAGSLKSDYNSPRDINGHGTHVASTIAGVEVQGVSVGGLASGVARGGAPRARLAIYKACWEGDGCPDATVLAAIDHAIHDGVDVLSLSLGGFGPEYSGTLHAVQRGISLVFAGGNDGPVPQTVTNTVPWVTTVAASTIDRAFPTVISLGNNEKLVGQSLMHNASLISSDFKDLIYARSCSAEFVASSNVTGKIVLCSAPAQAKFRPPRIAFGDALNQTLMAGAKGLIFAQYTTNLMDSLAGIERIMPVVLVDFEIAQRLISYFDTAGSPVVKFSPTMTVVGDGVLSPRVASFSSRGPSLAFPGILKPDITAPGVSILAARRDSYVLYSGTSMACPHVSAVTALIKSVHPDWSPAMIKSAIVTTDAVPRKLADPFDFGGGHINPDRAADPGLVYNVDAKEYNNFFNCTVGLLDGCDSYQLNLNLPSIAVPNLKNQVTTWRTITNVGPVEATYRAVVEAPAGVAVSVEPSVISFTAVDIRGRGMLAGGPSTLKRRRMAQPQGQWVWTELQHQDGAELQRPGSAARRRTPDAGRDGCWRSPVAEMVDLDDSRHDERWIYGKEWMCTGRWAT >cds.KYUSt_chr1.24285 pep primary_assembly:MPB_Lper_Kyuss_1697:1:144961433:144968515:1 gene:KYUSg_chr1.24285 transcript:KYUSt_chr1.24285 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLRELVHAEKPARPRRPPPSPTPPQPSFSLSAQKAAPPPPPGARGGDQEAPALAEFSLADLRAATDGFAAGNIVSESGEKAPNLVYRGRLKRGAARRSIAVKKFSKMAWPDPKQFEEEARGVGKLRHRRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAYYIAEALGYCSNEERSLYHDLNAYRVLFDENGDARLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTAESVIFSFGTVLLDLVSGKRIPPTHALDMIRNRNIQALMDSHLEGNYSTEEATTLVNFASQCLQYEPRDRPDIKKLVSILQPLQTKSEVPSYVMLGVPKPDEPSKAPPSPTPQHPLSPMGEACSRMDLTAIHQILVSMHYRDDEGSNELSFQEWTQQMRDMLDARKQGDFAFRDKDFKAAIDCYTQFVDVGTMVSPTVYARRSLCHLMTDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDATDMLNEASQLEEKRQKNARGP >cds.KYUSt_chr3.13053 pep primary_assembly:MPB_Lper_Kyuss_1697:3:78513384:78528868:-1 gene:KYUSg_chr3.13053 transcript:KYUSt_chr3.13053 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRQHQPPPMPDTLSDAFAAAVLLSSNDKPDTLPPGRLSPVSPLPHSSKHHTPSSSSGSSGSVSRAPAFASRRSHSGEIPLPSDCPPRGAARPGHRRTGSGPLIFTSNSTSSATSPLPHTLPAGNICPTGRLAKPQPTLSTPPPPPPPRAIRHDVLGSGTGHYGHGSIMRSRSGGVAAPEEDAMVRRALSSADPEELKRAGNEQYKKGYFDEALRLYDRALALCPDNAACRANRAAALTGLRRFGDAIKECEEAVRIDPSYGRAHQRLASLHIRLGHIEDAQKHLSLATAQPDLLELHKLQTVEKHLGRCLDSRKAGDWKSVLRECDAAIAAGADSSALLFASRAEALLRLNQLDEADMAISSASKLDYYSSCASDTKFCGFFANAYLYYAHAQVDIALGRFDHAVSSADKARIIDPRNVEVITMHNNVKAVARARSLGNELFKSGNFSEACVAYGDGLKHHLVNPVLHCNRAACRFKLGQWEKSVEDCNEALKIQPNYTKALLRRAASYDKLERWAESVKDYELLRRELPGDTEVAEAYFHAQVALKSSRGEEVSNLKFGGEVEAITGMDQFQMATSLPGVSVVHFMTPLNQQCCKISPFVNALCNKYPSVNFLKVDVNESPAVARAENVRTVPTFKIYKNAVRVKEMICPTQQLLEYSQVQVDQVIIHPSIHDHEADTLSSSHGVPRLPRFGISSLVQIGAHLLCPVSLSGGGARVDVADCKEPGKDAGTPRGLLRDSGKSDREQSFSELVDAGHVPMALVAAKQRREEKMEPIIPAEPFLQTEFYQLGNGGEIIFERDLFALSEFLGRPPPEVFGGQVNDQPGGQLQWVIMVDLRGRFTLPMSQRIQFSFRENNWADGLTRGL >cds.KYUSt_chr1.32026 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194238353:194242931:-1 gene:KYUSg_chr1.32026 transcript:KYUSt_chr1.32026 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAAGAGQRSSGRLPAASRVDKATSHLLQGPDWAVNLEICDTLNADRWQTKDVVKAVKKRLQNKDPKVQFFTLTLLETMMKNCGEYVHFEVVELHILQEMVKIVQKKHDMQVKDKVLILLDSWQEAFGGPGGKYPQYYWSYIELKRSGVMFPRRPVDAPPIFTPPATHQSQPYGSPTYPTGSLNDRMASDVETLSSGDLDNIRDATELLSDMVNALNPADRMGVKDEIVTELVSQGRSNQQKLMGFVSSTGNEELLKQGLEINDRLQSVLAKHDAIASGAPLPVETPRRDEIPRGEPTPQPSAPPIAKPPVEEDEDDEFAQLAKRKNKSVISSDEASSSVGDHALIPVDDATSETSSSVASNALVPVDSAPVSGTRTKEQDMIDLLSLTLYSPPEASTDSSTQSQNDSQQTTMSNESQQPPNYQPAAPNGQHYPSNYQPYPINQGYTPYNNYVAPWAQSAQAGPTQPPQYPSTYPAPPWAMPTNSVNPFQPATYQMPNAPVASVAPTVTYPAPSSPYASPPMQHATSPPANPSPMQQHSSSVSHANNALAIVPDARMNGNQRPKEAPAAAAKPYYMPDNLFGDLIDVKSFGTAGGKMSRSTNMTNPKGGGQPMIGGKK >cds.KYUSt_chr4.4915 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28112415:28112927:1 gene:KYUSg_chr4.4915 transcript:KYUSt_chr4.4915 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVREEQPVSLALALSTDSTSSTTSADSSAAAPISARKRARRGRMVATSGEGDFVCKTCGRAFTSFQALGGHRTSHLRGRHGLELGVDIVKAIKDRKQSEEKQQHECHICGLGFEMGQALGGHMRRHREEMALSGAAGADDQWVILQPHQEEVVGNAAHRPPVLLELFV >cds.KYUSt_chr6.5085 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30067226:30069754:-1 gene:KYUSg_chr6.5085 transcript:KYUSt_chr6.5085 gene_biotype:protein_coding transcript_biotype:protein_coding MANVFLVLHYNYAQLMCKTFQIRTTAPRSAGRGHRNCAYLLPHPHQSQHTANILLHSQGSMELTRPPLVALCVLLAVAIAGTANVATATEVGIQTTEAVSSYIVHVAPEHAPTLTTGAYGSFLRDHLPVELSLSNSDDQAPRVLYSYAHAATGFAARLTRRQAARLASSRAVLAVVPDVMQELHTTLSPSFLGLSSSSGLLPASNGASDVVIGIIDTGVYPVDRASFAADPTLPPQPRKFRGRCVSTPEFNGSAVCNGKIVGAKFFRTGRDALRGSRVLGAKDSMSPLDTEGHGTHLASTAAGSAAADASLFGYGEGRAVGVAPGARIAVYKACWHGCASSDVLAAFDEAIADGVDVISASLGTIKARKFYKDTTAVGAFNAVRKGIVVAASAGNSGPGESTVVNVAPWFLTVGASTINREFPADVILGNGETFTGTSLYAGQPLGGTKIPLVYGGDVGSNTCEAGKLDPAMVTGKIVLCDPGVNGRTEKGYAVKLAGGAGAILGSEEAQGGQARSSAHILPVSAVTFADAEKIKKYLKAKASPVATIVFRGTVVGGSPPSPRMASFSSRGPSRLVTEILKPDVTAPGVDILAAWTGAASPSLLDGDTRRVPFNIMSGTSISCPQVSGIAALLRQAKPKWSPAAIKSALMTTAYNVDNAGGVIGDMSTGKASTPFARGAGHVDPNRAADPGLVYDAGTEDYVTFLCALGYTAEQLAVFGSSASCSARTGASVGDLNYPAFSAVLGSDRRAVTQRRTVRNVGSNVRATYTAKITSPAGVLVTVKPRKLAFSATQEKQEYAITFAQRGNVTEKHTFGSIVWSDGKHTVTSPIAITWPASQVADM >cds.KYUSt_chr7.11354 pep primary_assembly:MPB_Lper_Kyuss_1697:7:69741239:69745929:-1 gene:KYUSg_chr7.11354 transcript:KYUSt_chr7.11354 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSNKPISLAGPVDADVLRTAELNKFLVDAGLYETVEESARREEVLWELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILSQTEEVTHLQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPSDLDISQESVLYEIDEATVRSLTGCRVADQILRLVPNIENFRTTLRCLKHWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWHWPTPVMLCAIEEEELGFPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMIEQFQFGNKICQEIELNKANWSALFEPFNFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGKLQCHPYPSEYADPSRQCAHCAFFMGLSRKEGVKIQEGQAFDIRGTVDEFRHEINMYMFWKPGMELAVSHVRRKEIPAYVFPEGYRRPRPQRHVNHHQQSDKNATENGTLTGSPDGQLKRKHASAGVDDTEPCRSVKRASVSPVHPKTSSPLSGSPVHPKTSSPLSGNVSDDPTSNNQTKDTSNASGGSQDSPSSGNVEQAKCSSSSQASEKSLDSIPSGSKCVKVEAACSGDVTSKQANCISHVNDNTAPAVVVSTTLKRVAEKVVLELVGSESLGGNNADLLHITETDMGNVLAEKVHFGGNGVSQSGLHEELEVSASGAFSPFF >cds.KYUSt_chr2.38285 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237130936:237136942:-1 gene:KYUSg_chr2.38285 transcript:KYUSt_chr2.38285 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAHRTKVVLRRLPPAISQQAVVDQVDARFAGRYDWACFRAGNASQKNHRYSRLYLNFKSPEDVVEFAEFFNNHVFVNEKDPEYLEFLELISKPTEHLPSAEIQLERKEAERAAAGKEPPVVTPLMVYVRQQRAAKSMAQYVVRENAKEKPTYILAPKRDDHTREKIIAGTSDGASGGPSGSPQVIDAVSASFEKYAPSSSRQDQRHEASGRIIKTILSNKEGRHGIASQHEQEGHMETGMATAVVRPRGLKEMEISPITSDGKPPKRGPASYGAHERQVWVQKSSSGS >cds.KYUSt_contig_528.349 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:2183589:2186745:1 gene:KYUSg_contig_528.349 transcript:KYUSt_contig_528.349 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLTVHVSSWPPVRITKRATYPSWPRRTTQPSDPAIKFPLLVAEAGDSQGMAEEQFLAAALDAAKSAGEIIRKGFYLTKNVEHKGQVDLVTETDKACEDLIFNHLRKLYPDHKFIGEETSAALGSTADLTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKIPTVGVVYNPIMNELFTAVRGKGAFLNGSPIKTSPQDELMKALMVTEVGTKRDKSTLDNTTNRINKLLFKVRSIRMCGSLALNMCGVACGRLDLCYEIGFGGPWDVAAGALILQEAGGFVFDPSGGEFDLMAQRMAGSNGYLKDEFIKALGDAS >cds.KYUSt_chr7.29828 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185661806:185662051:1 gene:KYUSg_chr7.29828 transcript:KYUSt_chr7.29828 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIADRSPASARALACVEQPAFGYEVALLLAMCLIWPPLPHFVRIRHHSAGATTGNGRASADLGWCGPVLSQVKESLAAS >cds.KYUSt_chr5.43275 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272813505:272815335:-1 gene:KYUSg_chr5.43275 transcript:KYUSt_chr5.43275 gene_biotype:protein_coding transcript_biotype:protein_coding MILSAFLLFFCAVFTWKVFPKKQEAEGSYGGSRGAEQAYDTLDQVKVALQRVGLESSNLIIGVDFTKSNEWTGKHCFGGRSLHHLDLGASFLNPYEQAIGIVGDRLSAFDEDNKIPCFGFGDSPTSLAPIIEAAMGIVEESRYQYHILLIITDGQVPRCSCAHCASNLEESRSENYIEKRTLQALINASHFPLSIILVGVGDGPWDDDLMQIHDSQLQFDNFQFVDFTKIMSAEMSQAEKEEQFTFEALKKIPAQFAEIISQRIRELAVRAPARRPLPPPGSGH >cds.KYUSt_chr4.54151 pep primary_assembly:MPB_Lper_Kyuss_1697:4:334927347:334930443:1 gene:KYUSg_chr4.54151 transcript:KYUSt_chr4.54151 gene_biotype:protein_coding transcript_biotype:protein_coding MNTALEAVSSAEEVSPKTKNWMGQLRELSYDAEDCIEIFMQNLDNQDSYGTMWKAFHGLITLWKRHTPAPALSAPDELAAALRHLSAVDPLLSAVIASTYAPAFTSTPSSPPSIPSRAPSSTSSSPPPAAAAIYACFLALLSSAAGAPDGVVSPAAVLVLAATDHDFSLVRFGGMHAVKKEGLAAVMKASTVRRLAVQKTAARK >cds.KYUSt_chr2.41551 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258485390:258485941:1 gene:KYUSg_chr2.41551 transcript:KYUSt_chr2.41551 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSKAHTRITPLKSNPPTQKMGLSPSKRVDAALRRAPAFADACDAAFDRCLADAQRAFSGVRPYQLADASEHLHSALRVSLPLVRRWVPSPPPRVRVDSALRAAGLEDAGELSRAQFGEFAVGLFREAVLSGAAEAALVRAPAGAAGIVGVGLVSRAGAGAVGRLVAIYAAGVATAVYLSLG >cds.KYUSt_chr2.55037 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343195156:343196391:1 gene:KYUSg_chr2.55037 transcript:KYUSt_chr2.55037 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVRKALLLAGIALVITGLPTAAKVVRWFTVISMLFQPVAAAREIMADCQELARCVQMILREVNRIIDFFLNLYAAWYHEEVEKYTLAQIRAATNNFAHLIGQGVSGRVYGGALGDGRMVAVKRLKDCLRRRAQDTFGMELALLQPLSHDHVVRLVGSCADGEHRILVYEHIDNGTLRDHLNNNGAPPTWITRVQVLLGAARAIEYLHGEDVIHSNVTSANILLDRSLTPRVSGFGASVRRAPGVASQAVDVVQTEGYRDPEYDRTRRLKPATDVYSLGVVMLEVLTGQPPVRANNATLVSWALPSIQARKMDDVLDRRPTSNPSPGQRKALKMVAKTAASCLCRDGDSRRAISHVVTELEETLKLISLREKSALPSTFSLPCVAARQSRLCRGTTRKTHGKAHAHGKE >cds.KYUSt_chr1.25170 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151022538:151027741:-1 gene:KYUSg_chr1.25170 transcript:KYUSt_chr1.25170 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRGAEAVTGGQEQCKADGATSGEAPEAAPAPKTAGAPALPHHRRSKSASSGRNLETCDHGGAAAHGVVEQRFHRPPQNPPDPRKPSRATEGSPVHRGMPRDHRPSASSPNHRMSLENDVSQLQLHLHQERSIRIMLDRAIGRASSTLSPGHRHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQCVSGPSSGQSSGISSPAHAKSVSSRTRRRQSSIISSAFCSSKKLPLQPFHIMTTVSESGRTKNMLKTKIRHESFSSETLDIRPASLASDPRKLPYSGSSSLARTLKDHLYQCPSKISEEMVRCMASIYCLLRAESQEKAERARSPFLSRSSTSVILPRRGNGEETNASNNKSIVEVCSISVEKNQMPDVSVAITHYRLLVEQLERVDLSMSGTSIKLAFWINVYNSLVMHAYLAYGIPNSSLKRMAIFHKAAYNVGGHSVTANSIEHSLLCCRSPRIGRWFESILSTAMRKRCSDEKQLVQLKFGLPDCQPLVLFALCTGASSDPMLKVYTAKNVADELERAKREFLQAGVVVRKSRKVFLPRLVERYAKEAGLPVGDGVLAWARDNVDGRAAQDAIQRCAAGGGGGGRRRASQAFEWVPYNARFRYAFARSMVDKQAAAGIFA >cds.KYUSt_chr1.22622 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133782004:133782327:-1 gene:KYUSg_chr1.22622 transcript:KYUSt_chr1.22622 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPLPLHLPPLPLLQFPYIYTRSVQIKNIIPRTLEFSTGSYNQWRNIMVLAVKEYGILDHLTEDAVLAKHGIEWRTIDLILKMWIYGPISQELTGMIMDPTKMVL >cds.KYUSt_chr7.36797 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229890454:229899684:-1 gene:KYUSg_chr7.36797 transcript:KYUSt_chr7.36797 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAIRASKTAAEERAVVRRECAAIRAAISGNDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLTLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQTRDPNTKKKAALCSIRIVRKVPDLAENFMGSAASLLKEKHHGVLLSAVQLCTELCKASIEALEYLRKRCVEGLVRILRDVSNSSYAPEYDIAGITDPFLHIQVLRLMRTLGQGDADCSEYVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMSVDTQAVQRHRSTILECVKDADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEVSDEDFKEDLTAKICLIVEKFSQDKLWYLDQMFKVLSLSGNFVKDDVWHALIVLISNASELQGYSVRSLYKALQACGAQESLVRVSVWCIGEYGEMLVNNISMLDVEEPITVTESDAVDAVELALKRYSVDVTTRAMCLVALLKLSSRFPPTSERIQEIVVQNKGNTVLELQQRSIEFNSIIQRHQSIKSSLLERMPVLDEASYLVKRATSSQASVSLNKSAPAATPGGSLKLPNGVAKPPPAPLADLLDLSSDDTPASTSAPTAAPNNFLHDLLGIDLTDSSSTGGAPSASTDILMDLLSIGSSPVQNGPPTTSTVISPGQVTKHASATPQVFDLLDGLAPSSPLPDEQTAYPPITAFQSATLKITFNFKKQPGKPQETTMHVSFTNLTSATLTDFMFQAAVPKFIQLRLDPASSSTLPASGKGSVTQSLSVTNNQHGQKPIAMRIRISYKVNGEDRLEQGQISNFPAGL >cds.KYUSt_chr1.20450 pep primary_assembly:MPB_Lper_Kyuss_1697:1:120534690:120538542:1 gene:KYUSg_chr1.20450 transcript:KYUSt_chr1.20450 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHRAGPTPESQEVKHRRHHHLNVEVPPVAASGCFAGCFGPSPTSNSTSHAHGDRPASPSLIKSPSAWIRAHSFGSTRHGRRRSVDLQYDARSYARNFDEGAEGSGEDAARLAECSYARHSSWTSCFPMDGDDGNDAGGDWIWVRPPQEAEAASAAAGWPVEEARPLKVVFASPARYFTDAAPIGNGRLGAMVWGGVASERLQLNRNYPTPFHLLKA >cds.KYUSt_chr3.23966 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148680271:148685933:-1 gene:KYUSg_chr3.23966 transcript:KYUSt_chr3.23966 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGNPQFRYTQPPSKVIHVRNLPWECTDEELAELGSPFGKVVNTKCNVGANRNQAFIEFADQNQAIAMVSYYASSAEPAQVRGKNVYLQYSNRQEIVNSKNTGDAAGNVLLVTMEGVLPDAVSIDVLHLVFSAFGYVHKIATFEKASGYQALIQFSDAETASSAKDALDGRCIPSYLLPELDGACTLRINYSAHSVLNVKFQSHRSRDYTNPYLPLAPSAIDGSGVAQDGKNQEAESNVLLATVENMQYIVTIDALHEVFSAYGFVQKVAIFEKNAGFHALIQYPDIQTAVKAKEALEGHSIYEGGFCKLHLAFSRHTDLNVRINNERGRDYTGGNSAPANHGPSILGPQPAGTTAPPAATGTVVPPGPASILATPGAPSPQSFHPSSEPHPQTASGGSQQYANQGILQGPPGAPPQIPGFGVPGFPQGSNQAQMSQHSGQGNQQMPNHQLPQAMLFPGHGRQQLPPGPQMMQGPGFGGLQIPQGPMQPMPQFHLYGNQQFPPGAGPQMMGFPGQGGQYPPFGRRLHPYNR >cds.KYUSt_chr1.5883 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36243951:36244541:1 gene:KYUSg_chr1.5883 transcript:KYUSt_chr1.5883 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRLELAQHDVEPSAAAVHRGGVAPALVVPPHEHRVTGKGSSERTRSRSARRCTVLTPTSPARTPHPRRWCTRSTRPCQALRVAAAGARLCCLLCEGLDTARSRAGSGPVSILCATLRVTLSEAVGSVARLASLSNHEREEMAVHDCIELLGYSIDELGWSLDTMAAPVDARSWIEGERARHGIRWRPQRRARQG >cds.KYUSt_chr5.6688 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41495278:41497030:-1 gene:KYUSg_chr5.6688 transcript:KYUSt_chr5.6688 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILLLLLSSLTPFQAAAQFAVFCDNVKAVAATLPNKTSSSPVHFATAIVGQAPDVVYALALCRGDILDDSTSANCIANKFEIISNATPPGVQCYGAGFYYADCTIVYNATDILGEIDDGVLRLERWNVKNVTGDVPLIAGLIHDLLLGTVEKASAAPRRFATGVVDSGTNFPKVYSLAQCTPDLSAGDCLACLRRLLGMVNSTMALRMGGQMSFIRWRMSKLWAIPIVLVPLAAVAFLCVFLYSRRLKKQRKGKVLRLQGSRRFEDSAREEQLVWQGKNSDFSVFDFEQLLEATNNFSEENKLGQGGFGAVYKGKLPDGLDIAVKRLASHSGQGFTEFKNEVQLIAKLQHSNLHIRIAKD >cds.KYUSt_chr1.32583 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197921056:197922189:-1 gene:KYUSg_chr1.32583 transcript:KYUSt_chr1.32583 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFPPDGYPVRLRSAVHGTYLRADKDGHGVSLSRRRKSMKVAWVVHRYFGDDQHVLLHSAAYGRYLSATEAPAPRDCPGFRVALRNYDELEDEAISIVSVHDVNNISTMMQWVVELVPSSERIPRLPRPSRLHLSVLLSSRSRMVVFTGNGEWCYAAAVNFNFRGRFVYHLRNELARRLGIPGNVSNNLVMYVRAGRYGRNTPLVANLSHSTEIL >cds.KYUSt_chr3.4056 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23056775:23057978:-1 gene:KYUSg_chr3.4056 transcript:KYUSt_chr3.4056 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGRKRRRRPNSSTGDAKLVCSEHLTATSSSDELSSVQPPSAMSASFVAETASFSEDEEDLLIKLHALLGNRWSIIAGRLPGRTVEEVKAHWYSPMMTVKLKRLDYDNQCMILEALIPSPDEHSEHRESAVQNKQEEHPGHPSFPKDASDAASDDGAMSDAGSCNM >cds.KYUSt_chr2.19431 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122171191:122175306:-1 gene:KYUSg_chr2.19431 transcript:KYUSt_chr2.19431 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSGGGGEGSTSAGRFPILQANRDPESNWEVDVAKSLEDYLLKICSGEISGEDGAYNFNFAEAALLIQGSVQVYSRKVEYLYSLVLHALEFLSQNKQDQQEKASVETNENDPSTAANKEDDLFAGLDNVPAESRTTLDNNLDRDDLRRKIVRPPANLLVFEGDGVDSEASELDSYLLATCGFYGDFLLLDPRDAPAVLDFLQGKESGKEDIPAYRGGSAPSKTRNNVFASPNVRSGGTARRRTPGKAPIPGEVPTPGKVPEIAGHSHDNILDPNVNPGDWSGHPFDHPFEPDIPGPSMSQHDDEETGCPDPDSGSDDEDPYELLDPHEPSNLKIKPYKRVKGFSRKVIGAPKKKTLASIFPVAKIDGVVSPELTKSFEVQMSQQENPHASQSVPLYEKLRMSFESGEEHYQPEEENQPNDYVHDSDSNDEPDNIPNYDTEIDSPRYSDKNVDVPVTQEIPEGKSLADMCRSHLDENPPFDIGLYGEHIIDKLSLRADTGTASFSQIVSGKEKFEVARTFSALLQLVNGRSVDLDKGQVTNELVCYTAANPFHVKLIGSNRRPEMEARFARKRVKSPQRSCGEDGEPSLAQPESSKKQPHKNGKNGKVLVKTAVRLTPEGKRRRRSAQLVQPFNLESS >cds.KYUSt_chr2.42827 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266725047:266726144:-1 gene:KYUSg_chr2.42827 transcript:KYUSt_chr2.42827 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHTPMERMASRSTAATARATLALEIVGYSLHKGMGATESIQSAPFSVGGYEWCIRCYLDGINERKEEKDYIPVFVHLLSRPAKVRALYDMRLVNKASGLSSPVASCLKSPTVFSTIDGWKKSVAGGLVVRSELEGSALLQDDCLVIECDLTVIKKPLLAHTVEVQMPPPNLSDNLGNLLNTGEEADVTFEVKREVFPAHKIVLAMRSPVFKAELYGPMGDKTSQKITVEDMQPAVFKALLHFIYTDSLPSMEDVQVDDGDGDENKEMVMHLLVAADRYALERLKLMCEGILCKSLDVESVATMLALADQHQCSRLKDACVEFVASSNRMDDVVATQGYAHLKRSCPAVLLDIYERVTKSRKT >cds.KYUSt_chr6.23329 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147318206:147319192:-1 gene:KYUSg_chr6.23329 transcript:KYUSt_chr6.23329 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMTTGRPFKVPEMALRVCVIPLALASLWRMATNRQADDAYGEIRFSNLSGFKYLVVINAITAAYSVVSILLSSFKSLARYDWLVFVLDQAAAYLLLTSCSAAAEVVYLAREGDREVSWGEVCSYFGRFCSRATVSVALQAGALLCFVVLSLVSAFRVFSRFDAPGADVDCSESESKQVDEQRKY >cds.KYUSt_chr4.21318 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134261151:134261747:-1 gene:KYUSg_chr4.21318 transcript:KYUSt_chr4.21318 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNKQALALAALLVLLTSTHLAAAARAPVSVTGIGAGGGGYGGGGGGGEGGGSAGHGYGYGSGYGAGYGSGGNIGGGGGGGHGGGGGGGSGGMGSGYGSGYGGGYGSGYGSGGQGGGGGSGGGGGGGSGYGGFGGGYGSGSGYGEGYGSGGGMNGGGGGGGGGGGGGGSGSGGFGHGGGEGYGHGGGGGYGGEHGH >cds.KYUSt_chr3.10731 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63796190:63797605:-1 gene:KYUSg_chr3.10731 transcript:KYUSt_chr3.10731 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTVSRALLLLVGVVLTAQLCGCTAYVGRGEGFSVEFIHRDDVRSPYHDPSLTAHERLLGAVRRSTARAAVLAPSHVRGDDDAPAPSTDGAVSEVTSKPFEYLMAVTVGTPSTRMLAIADTGSDLIWLNCTDDTGKDAPPPTGVFYPANSTTFGLVDCDSGACGALNDASCTQSNHCKYLYSYGDGSQTSGLLSTETFTFDDGVARHSDEAASLRVANVNFGCSTQNAGTFPADGLVGLGGGELSLVTQLGNDTSIGRKFSYCLVPYGVNASSALNFGARADVTEPGAATTRLVRSEIDTYYTVKLESIKIGNSSFKPHGSSRIIVDSGTTLTYLDKEVLDTLVKDLTKRIKLPKAESPEKLLQLCFDISHVREGQVEASLPDVTLQLGGGAAVTLKAENSFVVVQEGTMCLAMAAASERNPVSILGNIAQQNMHVGYDLDKRTVTFAPADCARSYASAPAPSPSPKPQ >cds.KYUSt_chr7.23426 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145878352:145879167:-1 gene:KYUSg_chr7.23426 transcript:KYUSt_chr7.23426 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSWAPLVVLALLASAGNVAVDGRAPAATVHRNHGRFKAGPWKPAHATFYGGRDGSDTRAGACGYKDTVAEGYGLQTVAVSTALFNGGATCGACYEVRCTDSPGWCKPPAGAPPLIVTATNLCPPNYQQPSDNGGWCNPPREHFDLTMPAFLQIAEEKAGIVPVSYRRVPCAKAGGIRYTITGNKYFNMVTVTNVGGAGDVAGLTVKGNKRVKWTPLKRNWGQVWQTGEDLTGESLTFRVMTGDHRRHTSWHVLPRDWQFGVTYQAPKNF >cds.KYUSt_chr4.47471 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293867165:293868616:-1 gene:KYUSg_chr4.47471 transcript:KYUSt_chr4.47471 gene_biotype:protein_coding transcript_biotype:protein_coding MESFNGSNTGSERPHAVLLASPLTGHLIPLAELARRLVEHHSFAVTLVTFSNLSLPAHVLSSCLLPPSVATAVLPSVDMGDIPAADIFQVFLELIRRSVPNLRALVRGISATAGPLAALVVDFFFPEALLVAAELGVPGYVFVPTNLTMLALERRFMELHHGLPPGEYRDFPEVVELAEGVSMRREDFPVPYRDPNRLAFPQLLEDTRRYLRADGFLVNTFDKMEPALVEAFRLAAEQRAFPPVFTPGPLVRRSKPEPDVGDQDCLEWLDRQPTGSVVYVSFGSGGSLSLEQTTELVAGLEDSGQRFLWVVRVPDLTAESETATGGNDDDPLAWLPEGFLERTAGRGLAVTAWAPQVRVLSHPATAAFLSHCGWNSALESVQSGVPMVALPLGAEQRMNAVILEREVGVALRPPAREDGVVVRDEIAAAVKELLMDGEKGRFVRRRAGDMQQEAARASLPEGSSRRALEEVATKWKLACGMEK >cds.KYUSt_chr4.26597 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166978927:166988991:-1 gene:KYUSg_chr4.26597 transcript:KYUSt_chr4.26597 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPAPMRAVGVAAAFSLAPSPSRLGCISTVPSGRLRRCRAAHRRHPPRCRGKPTVGGVVEEDEEREASREDLKPERKEEEAVDTARALLGWFRLDEVGMDILGIALPAVLALAADPITALVDTAFVGHIGSVELAAVGVSISVFNLVSKLFNVPLLNVTTSFVAEQQAIDANSSSAGQRDEVPITREKASEKRKFLPAVSTSLALAAGIGLMEMVGLIVGCGTLMDIVGIPVDSPMRVPAEQFLTLRAYGAPPVVVALAAQGAFRGFMDTKTPLYAVVAGNLVNAILDAIFIFPLGLGVSGAALATVTSEYLAAFILLWKLNNELVLFSWNIIGGDIIRYLKSGALLIGRTIAVILPLWLSTSLAARQGPVPMAGYEISLQVWLTISLLNDALALAGQALLASEYAKGNYKQARTVLYRVLQIGGVTGVALAGTLFLGFGSLSLLFTDDPAVLDIARSGVWFVTISQPINAIAFVFDGLYYGVSDFAYAAYSTLFAGVVSSAFLLAVAPKFGLGGVWAGLILFMGLRAIAGFWR >cds.KYUSt_chr4.8936 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53729132:53734820:1 gene:KYUSg_chr4.8936 transcript:KYUSt_chr4.8936 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGLNIDLDDVARRLSFSPDPDRPPQMPPRQVGRFRVQPALSPIAEEQEAAATATHTPARPPPWVSSPSPAPPSAPHTGTYESPAMSLPPVSPSPSPFRTQVPAPPTPQQVAAALGKLEQARQAGLQQAWVAAQQQQALAFALGGWEQRRQAGLQQSWAHPQPQQAAAAALEQGRTSGPQQAWAQAQQHPEPQQQLRLYTVEGEPAGYKTKWEELHPFSQHLLLQIEDKIREERHACEQLEQCSRLCDPSVSSSSFELDARQITQEIGSISTIMNREKASIQRLMDAVKESMSTAEFAIAARTHGSVRRPSTFMQRIVDRFEKQLEECCKLIGELEQLVQMKNTKTYPPSLESLPKVMSNMHDYFIYVASKVENLHQYADAIRIQYLNDMRNSGNRNDPFVEADRKEAAKQEAASRTVHPTLAFGDSIVMLVGGTSRA >cds.KYUSt_chr3.35610 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223674387:223681016:1 gene:KYUSg_chr3.35610 transcript:KYUSt_chr3.35610 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRFPAWDTWGKHNLKEGKMSRRVPQTVKMEYPILPQNQPLSTNLNSFGATVVKIRAHCWTMGTRMTVVRRLPVALSSALLEWILMLLLFIDAVYSYLITRFARLCKLPAPCPFCSRLDHVLGNEEPCFYMELICKTHKSEISSLAFCRLHQKLAGAETMCDGCSSSSLAPKQKPDNNDTKDEPMVDVDVFDGTQGDGDVLHSPLTRICSCCAQHFKQRDISSFSQESRELKPANSPKICTVDEPLETKDIYHQSDHTTHERYSPLQMTSDSEAEVPCADDGRDSPPLGAFDMEKDLQEDAVGEMPVLPPHEVVKPSEMNDEKEQKVSVSGNVSSAYPVPDDMHPGSVISGSQSEATDISSRGWATQRDPPIAIEELYLEDATVPQIPVASTAELPEVLGETESRQMTSDSSINPYISQFTILEQHYVVSEDKKLKDNPEEANDQGTCEPVAKDSHFVASEDTHLKDNSADIHVSQVSADPDTPAEIEDNNPKKAEPIGETEINELISQDPSGPAFKGLLIYFAEEAHIPPVAIRSSGEVSQGLDAIEEHSQTSEIVGERRPSLSTQISMTEAYNLAIGMKSSFPSPTWTDVILGKGTSSSVNGELRLLLSQLSASRGLEATWLDPGPSPRSYGRGDDLIVQNITKRISIERNVSGLESLDGSVVSEMEGESPIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAASQALAMITRLQDEKAAMQMEASHYQRMMEEQAEYDNEALAQANELLAQREQQVEELEAELENYRTQFGGGGPTERQDNQLPFEGQNTTTTLLEDERAYISECLRKLEKKLHVYSNNSTTDLSNSDAIEDDLSNKMPISEDDSLHHQENSRETHEPILLATEGQSSTMNGVVDVSVFQEEISNLHKRLKILEGDRDFLEHSVNSLKNGKEGAQFIREIACNLRELRAIAIDNK >cds.KYUSt_contig_6146.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001240.1:7816:8937:-1 gene:KYUSg_contig_6146.1 transcript:KYUSt_contig_6146.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTTLLVSRASLSSTLLEVELAMALVLFSLSSSLLSMPSASSPLILERPTYTNLNMLMSQVISSLASPLGLVGVPVLMSMTSKPIWWPTQVSTSCFPRIRANLDLAVNPVEKKSTTRPEVHVY >cds.KYUSt_contig_786.438 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2397757:2400417:-1 gene:KYUSg_contig_786.438 transcript:KYUSt_contig_786.438 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIARLVLLLAIAAVSCGFFVVAHASCWPHERDALLAFKQGINDTDDVLASWQKRHHDCCQRWTGVTCSNKTGHVTELDLGETGLVGQISPSLLSLQHLEYLDLSLTYLHGPSGHVFPEFLCSLHNLRHLDLSYISFSGRVPPQLGNLSKLEYLDLAWTSLHGLNGRVFPEFLCSLHNLRHLDLSNTLFSGRVPPQLANLSKLDYLDLSRTLLSGILPPQLGNLSNLRHLGLDSMENIHTADISWLTHLRILEYVDLSDINLSAVDVFLVANTIPTLKTLILINCSLPNANQTLTHLNLTKLENLDLSINYLGHPIETCWFWNLKCIKHLALVSTYLYGPFPDALGDMTTLQHLAFNDNGNSATMTVDLKILCDLETLWLDGGLALGNITEFVRKLPQCSSSKLFFLSSSDNNMTGTLPDMVGHLTSLRYLYLSNNSITGAIPSGLRKLTSLGTLQLSLNQLTGQIPMLPRSLTELAISMNSLSGPLPLDFGGPNLTQLSLSSNYLTGHVPKAICESKRFAILDLSNNHFEGEFPRCSAMSIAFLLLSNNNFSGNFPSWLKASHFLIFLDLGVNKFHGMLPAWIGELVNLRFLQLNHNMFYGDIPVNITNLKLLQYFSLASNNISGSIPLSLSKLTAMTLDHPPRLESNWLEEEKEEEKGKDILSVVMKQQELKYGTSAFNEMVSIDLSLNRLTSEIPNEIGSLNGLLNLNLSRNLLSGKISMKIGSMKSLESLDLSRNNLSGETPSSLSDLTYLSSLDLSYNSLAGRIPTGGQLDTLYNENPFMYSGNSGLCGPPLEKSCPGNDEPEHGNQHQGSENGYDPVLFFYFGLTAGFLAGLWVVLCALLFKRSWRNAYFRLFDKLYENVYVFSVVTWGRISSKATAS >cds.KYUSt_chr6.6980 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42329874:42336564:1 gene:KYUSg_chr6.6980 transcript:KYUSt_chr6.6980 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDRISLLPDCMLGSIVSLLPLKDAASTMVLSRRWRHIWSSLPLDLDLDIRSRHKGLLSDPLNDSRVISAILSSHGDGAAPIRRCFHAGTRADCSTGDWVQILSQRRMDGSLVLKFAIVGARPPLPLVLLASAGAALRHLDLEWCRVRGIIPPTGLAFPNLEKLRLSRMVIPERLLNAIIAACSALRELYLDSVYELRRFVPRSRTLTHLYIRPEVPLDEISFRDTPNLEKLVFLFVDVWRLYPAIVTKPGLPSRVDLVLPPLDSPSFAIMPKSSISIVTTLHLTIKFSDGEELRKASDMLSLFPALQVLRILCFSSSSSEDEDIFGQWQPAADKIMCLNKHLKRVVFVEYRGTKGEMEFSRFLMAGAKVLTRMQILYSGNWSDKRISTQKDLIYLRITKQSSPDAPANFPPGATMATGPGKDQDDPVHVNQLHQSKDSKTSPNAAFTTGAPRAAPAEFASPPPPSGVELSGEGRIPGIDGSGSAASAVNGEGKKVAPLSPPSSSRGSRDSTSSADAADTEESTNASSRLPSPAPPLERVDEQPGEGSSPGADRKGEKAAVLTQASCSRDSERSDFSTSTTSSSADAEAAEVVSRKADEKQVICPPATEEKFLKQFTSRCNLKLSEDISENGYDDNCFNSKRKLGNKEKAVAIPDKDVRTNEAIRLRERIENAMHSCLRGLHRMTDAQFERAFSGSEFVMTLIEDGTLAEIELCAKESYYKWFLTDHFSIFELCADEVRPDVGEASHLTIVALVNAIGIAVTMGIVDMTDGGIEMTFSPESGNVSPVAATVLYMPGHYNVLYES >cds.KYUSt_chr5.38089 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240639007:240640422:1 gene:KYUSg_chr5.38089 transcript:KYUSt_chr5.38089 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVSGGKRKTYGDADAFPRKRRAPDWTSLHPDITNLIAERLLAEDVTEYMRFRSVCSHWRASTATPRNATLADRRFHPRGWVALCDGNGVRPAEDAAITFFHTATSRVCRLSLPVLRGHRIVGITDGLLILLDTRSAVVRVVHPFTGVVVELPQLATFVHFVFSKQKSVTMDSIVWMNATVCVASPSSIAVVIWFPNMPVVICAQPGSKGWKIIHTRIQFSNTLPFNGGLYGVTRAGRRLVRVYPHDDPLANPVVAQVPKELGHSKRCIYFLVESMGAMLVVVLHRAAGHIDDSLTCTLFRVDLPRQELTRVTSLGDRALFVSRDRCLSVSSRDLPGIGGNSIYLAMPAEHPVRVYSLDDGSLESTVTVHQSQDITKGVLPTSVRPFTLADHLATYCRYREWTRGLMLHEYCYLYPTWSELCQRIAAQDSEVSIPRLRGTESELKKKELPNLFRYARTQSEAVGAVLTA >cds.KYUSt_contig_2528.31 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000367.1:244375:248301:-1 gene:KYUSg_contig_2528.31 transcript:KYUSt_contig_2528.31 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSLVAGLLNLAGSKLASKIFCQFSAALGVKKDLHQLLGLVEEIKTFLHNVGGKVIKNDPALTWLNKLKEIAYSIDDLLNDFHMEAEKQKAKELDREKLVMVKCFCDRPKSIWLQHKMANKIKKIREKFEAIVTERKDFKTLRDGLPMDSNVDRQIATGELPFLTVVDEITVLGRDHDRSNIIYELTKSEDQGIILPKINDQEMVSVMSIIGLGGSGKTTLAKLVFNDEILIEKHFKVRVWVYVSQKFDVFGLIGKLFEAITDQRSESHPLQYMSRVITEQLSGKRFLLVMDDVWNKDLLEWEQFMLHLKAGAAGSRILLTTRSQEVAEKMNSTLLYNLQALSVDDSWKLFLQSSGRDKEDLDSEFIDIGKDIVRKCGGVPLAVKALGGIVGSKKEINSWRAVKESELWDIDDRVFTSLWLSYFHLPAYLQQCFLLCSIFPRGYLIDKDYLIAQWIAHGLVIPLNDLEQLEDVGNDYFDSLLKISFLQDTVQDKYTTVVTCKMHDLVHDLAWRILRHEITVTPEKAIYDPSQSCRYISLTKCIKNIDRKLIRKARALYVCDGAFIFDKPIKKSNHVRSVILEHVYTSSLSPAIAILKFEYLGYLRMTHLQSETLPEAISCCWNLQALHVTDCHELLRLPESIGKLKKLRSLDLSGDWKLEGLPQSICDCDNLHSLRLISCVNLATFPFKMSRNLQSVNLNGCSRITQLPNSVVQLEMIKSLNLSFCSDIQELPNSFNWFRLHALKLSGTKLARLPDGIVNLWRLKELDLEGCDELCGMPLGIGQLTQLRRLALFVVGDGKEYARMSELRSLVRLSGDLEIKKIRYIKCSDNDGEKAYLTEKNGLQELKLQWYSSNREIDLEQELALLNRLQPPPGIRKLCIYGYPGQQFASWITEQNESSFSFKGKTKQLDPPPFLSLAHMELADYRNLKHLSGLVNLPSLNTLKLENMSVLESVGGGPFPSLKELHIEKMPHLSVCSVTTIACSAHGEGGESNCEELHSFSCMTTLKIIKCPNLTMKPSFPSSLESLVLMSSNTGLLLPPGSPDLLGAVAEDFCSASTSNGIMLPSCGPLLKSLTVRRMMVPSSGWGLLQQFISLQSMEISSCNDLIQLPKSMQNLASLQQLKIWNCASLQILPDWTGELGALQMMDIRSCKRLSSLPQSMMQLNSLQYLTIGYCDALQLPEWLGKLRSLRSLDVWGLPKLTCLPETLRELTTLQELKLSCFDALNTLPEWLGEISSLRRLKIETCPGLTCLPSSMKRLTALEELEIIRCPELRKRYRKGEGQAEDWDLISHICHVDIQRITDED >cds.KYUSt_chr6.14142 pep primary_assembly:MPB_Lper_Kyuss_1697:6:88346715:88348023:-1 gene:KYUSg_chr6.14142 transcript:KYUSt_chr6.14142 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYLLGRMKRRDHLLLNDSTGAPATVQSPQTPMEPMEFLSRSWSVSASDISKVLAVGGVGVAGRRSSNFVVDRLSGMLMPDTIALAAASGTNPSPKKRTSRSRSAICAHHHTIGRWFHHRDGSSRVDKARAERARVHAAVSVASVAAAVAALAAGTVSPEDPEDAKMDAALASATQLLASHCIEFAELAGADHDQVASAVEGAVEVRSPGDLMTLTAAAATALRGATALRQREHREARSKAAVAPYDSCRADIWCKEGTLLKRGRKGALRWKRVSVYINKRSQVIVKLKSKHVGGAFSKKKRSVVYGVHDDTPDSAAAAASEKRHFGLRTAQGLVEFECESRLHMQEWVESVKNLLRQAAGGTAQLEHSFQSLRLSAS >cds.KYUSt_chr4.39100 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241401284:241402514:1 gene:KYUSg_chr4.39100 transcript:KYUSt_chr4.39100 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLHHFLILAALLPLLATASASTAGDIAATSTKKVRVEVYYESLCPYSARFVVNHLARAFKDGLLNGADVTFVPYGNAKVGASGAMSCQHGSDECLLNTVEACAIDAWPDVNVHFGLIYCIEDMVVNNRRAEWESCFQKQGLDASLVTKCYRSGHGARLALQHGRQTAQLVPPHKFVPWVVVDGKPLYNVSEHPTFRSNHDECNPHFADLFRFQDYRNIESYVCKAYKGNPPKACQGLGDQYPIIQQVVEPDHGVVGSNSGDIEPCRASYDGAEENNMVLADGDV >cds.KYUSt_chr2.6890 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43031410:43034522:-1 gene:KYUSg_chr2.6890 transcript:KYUSt_chr2.6890 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAEKGVPVAVVSAIEPGGPFIDVGIGKKSAPVACTEFVLAGERLSANTRETTVQILQQKPCTHRSSIARETAGSSTPATTSSLPSSSSPFADLGHLHHARVVAAAVEPAGRPLTSALTEVFDMDCLQPPPCSSTPPSIAKLGARVRPLAASIPGPSACLDYWASRNAPDGLRQHEIGKDSIGSA >cds.KYUSt_chr2.25587 pep primary_assembly:MPB_Lper_Kyuss_1697:2:156529518:156530777:-1 gene:KYUSg_chr2.25587 transcript:KYUSt_chr2.25587 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKRPRPPSPSPAAGSKVFDDSDLLWEILMRLDFPTWLVRAALVCKRWLTHASDPAFLSRFQERHPPRLLGFYAESACKGLQQFVPLPGLPGELSAVVRQPASFDLTTECYWNTSIEHCRNGRLLLRHTGYHKSIYQVRSPLNLSRDGAVVARCPMSIADEERYCSHMLLPNGGNDGLSVNFVCSQRKVFAKVRFFRDGVCHDTQTSGSIMLPAHWKVSTMNRSLLHDGNGKLYMLGTTGYILGLELASTSLFFIELPDDVRYQRPETLQLSLKISQQALHSGVYLITLVRFNIHVWLHSTDGNSTGTWVLVDTICLSQAFGHLVKSDWESGSSSISLAGSGDNAEFVFVGLDAEIFCMYIGSRKVEKVYEMEYKNDQLYRIYPFMMVWPPIFPALVQRHDQEEISESLPPGALRSD >cds.KYUSt_chr7.38230 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238353219:238368426:-1 gene:KYUSg_chr7.38230 transcript:KYUSt_chr7.38230 gene_biotype:protein_coding transcript_biotype:protein_coding MGAERRYICRLRRLTVLAGLLLHCLPPLLTVCTPPLFPGRGVGVDLRFRDCKWRRRRWREVASARARKPGDWNCYSCQHLNFGRRDFCQRCRATRSDLQLGDGREIGGVLTSLDVRPGDWYCNCGYHNFASRSSCLKCGTIVRDFPAGQGGAGAAESGGVRAGWKTGDWICTRHREVRTNLADRDRENRSGSLHRQNHPPESPAAGIAAPPESPAARIARLPRPPSRIARRQDRLLRTVAASEFRSITAPPPSFAHSPSPPSHQLVAIINFTPLFQLLFNTAIMAGAKTDIVDTPIEHAAIGTDVMLVEEIENSSTIKQPNKVMNNIDMHVISVPELGSIKEPNTMFLEETSHAFTHSKEVHSRHNKPKKANTHVIPEDYVCTSEDFAIIESIMSAPKNTKFVDIGDSLLSNDDLRCLTRDDAFLPGDGLEKHLKIASQMKDFDKRDRQEWVRSSKPYPIGLSLKKIQDILRMDQHMDNDCFNLGIRIVACDEILQIAETDLHYMDLRFCVSYYNLAFSLLSLCF >cds.KYUSt_chr7.32857 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204762837:204778182:1 gene:KYUSg_chr7.32857 transcript:KYUSt_chr7.32857 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGDSAGDGASSPAPHAPPSSRKGGGGGGAGAHGEPAKHASGISSWLSSVAGRPPPLPAAALEAEELALASSLEERRAEDEEAARRDSRNEGRQREIEKQEVQLEEYHMQLALEMSAREDPEAVQIEVAKQISLGSCPLQSPAAEVLAFRYWVYLLLLPSPPISLHPPISYRSIFLRASQSFNALSYDDKILDGFYDVWAIGGKPPVPTIPSLVELQEQPFSHGAKTEAVLVNRAQDSELAELGQKALVMAADFRSQTSQYIGRVLIQRLAILVANHMGGPVFDPENVLLKYQSMSSSLRASITSAVMPLGRLTIGLARHRALLFKVLADNLDVPCRLVKGRQYTGSDDGALNIVKLNDGREYIVDLMSDPGTLIPSDGLDLGKEFEESSFADNHHGDKDDDNTQLGSSVSEASTPVYGSFENESKGSTPTNAGHSDPYGAVTGQSRNQGSLQSSSYGELSVGSHASESFPVIHESRSTDPHPTGSVKNKEKPIAANKSSSPSSSAVGSSPAVRRTKVKDVSEYMISAAKDNPQLAEKIHAVLLENGVVPPPDLFSEESKEQPKDLIVYDTSLFQTKGEMIKRMNELESTSHDEGPSLPPHPVHEHQTKAVPYRMPLDLKPVQGMGLYQPPNFHDNTNPSLPPYEPSALAREYPFQLIKQMPVTAAAVATAAVVASSMVVAAAKSNSDIRLEVPVAAAATAAAVVATSAAVKQYEYLEPGCQLFSLPSSSEANGPVQRVRHDFWNKDQLEIDHGQENSLEHGDALVDVPHEAERISDKSIGTESVRSDIAMDDVAEFEIQWEEITLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDILEELKAEVRIMKRLRHPNVVLFMGAVTRTPNLSILTEFLPRGSLFRLIRRPNNQLDEKKRIRMALDVARGMNYLHNCTPVVVHRDLKSPNLLVDKNWVVKVCDFGLSRIKHDTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGGGSAPPEAREYATGALFGLTEENRAAIGVDLPLLNLLTPSPSTRVRNAGVAVYHLTLAAVHLVAHFPRESKAMHTVASKR >cds.KYUSt_chr5.16716 pep primary_assembly:MPB_Lper_Kyuss_1697:5:107537287:107538458:1 gene:KYUSg_chr5.16716 transcript:KYUSt_chr5.16716 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTATSTASTASLRLLPAPATPSSRRTLRFPPIPRRAPRFLLSVSALSKLSEASPVPIPQEPAQNLPDEDALPSRPGVYGVFDPAGDLQFLGISRNVRASIEGHRRKVPADLCASVKVAIPDEEAPDKSILTDAWKSWMQEYIAASGKAPPGNVSGNHTWIGPPQRPADLRLTPGRHVQLTVPLEQLIDRLVKENKVVAFIKGSRSAPQCGFSQRVVGILEAHGVDFASVDILDEEHNHGLRETLKTYSNWPTFPQVFVGGELVGGCDIISSMAEKGELADLFQK >cds.KYUSt_chr4.123 pep primary_assembly:MPB_Lper_Kyuss_1697:4:733437:733661:1 gene:KYUSg_chr4.123 transcript:KYUSt_chr4.123 gene_biotype:protein_coding transcript_biotype:protein_coding MARVALFILALLVVAVAVAPFAEAKGKKAAEKKAAEEAEAPAPAADAPAADAPEGSSPASEPSPADAPEPSTAD >cds.KYUSt_chr5.3005 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19540863:19542995:-1 gene:KYUSg_chr5.3005 transcript:KYUSt_chr5.3005 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRVPPLLALLVASLCAAAAVAQKNSSILQPANPTCSTAANYTDGSQYKKNLDQLLAGLPAASLSNGWFYNGTAGEAPDQAFGLIMCYADRSAARCRECLAGAPAGITTVCPGSRNVRAAYDACVLRYSPAPPFSGTADLAVPFLVRASGPGVTVDPDKMLNAWLTLMTGLTGQAASSPSRVANSSTPYDGNATMPVYGLAQCTRDLNSSECSRCLSSLVGQLRTRFTNDTGGAIKAYSCYVRYELGAFDITLPPEPPLPPPPPPPTSSSSRTGLVVGLSVGSVAFLIILGSLSCISLRRRRRRKQAKILEEARVQQLEEGSFFDGDDPAMEDDFEKGTGPKRFRYGELAIATDNFSDENKLGEGGFGSVYRGHLKEMNLDVAIKRVSKGSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDTHLYGSNNAAVLPWPVRHEIVLGLASALLYLHQEWEQCVLHRDIKPSNIMLDGSFAAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMMSGKSSAESDVYSFGVVLLEIACGRRPLVMAKHEDDAVHLTQWVWDWYGKGRILDAADERLEGEFNGDQVERVLIVGLWCAHPDRSLRPSIRQAVNMLRFEAPLPSLPARMPVATFMPQVGGFTSTLSAVTGGTSSSTGTSSVLTGVSSSTSVVTGVSSSNSAGTNVSATETSSLLK >cds.KYUSt_chr5.35670 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225387786:225393408:1 gene:KYUSg_chr5.35670 transcript:KYUSt_chr5.35670 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARKAKVRMVKDVITGEWLCDCGPDLSRATVVEFFHVWGILDSYTLVPNAHKEEATPMEPSSLNPDDCRFSSGNKVRVGRNGTSAAPQEEARRPGASPLPRKVQPTRNLSRSRSHTNTGGEENWENMDLVDLASGRQKSNHATTATHRYLSTHTAKDDGAHPQTLLAAIVNAATPPEAVSSPEKTLPKEGHRPTAIPSTASATTGGSGGSKGRERTEEFWNLDQRKQGSPSKKRRERFVDGALGKQGAIRCGGWPAGCRAQGSLAPNPSSTGARFAEPPKLNTYQRYLSFLSSFPQHVASARFPSPPSKMGIKRVYTEDADFSVNDRCGAPELTICKRSRLWSSNDYEETRELGQGASGGVVEARLRCTGTTFALKKPLRCNHEADGISCACSDGHMLREAAFLAKCHGHPALVELQAIALDAVVGGKLSIVMDCVGPSLYDILHEHRHSRPFTEPQVRCIMRQLLAGAKHMHRRRVVHRDIKLENILVGRGGIEEDVKLCDMGLAVSMHEPPPYGRRGTYGYMAPEVLLGQTDYDAMVDMWSLGCVMAELLTGEPLFDGDDDAEALLAIFHVLGVPLFTTWPAYKSLPLAGKLVTPPHVIARNKLRQHFPEDLLSEQGFEVLKGLLSCNIDRRLSATTALRRPWFSNCADALA >cds.KYUSt_chr5.1106 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7617540:7622805:1 gene:KYUSg_chr5.1106 transcript:KYUSt_chr5.1106 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPIVAHALRVSRRRQPQPPPFLRPSSGSSRMEKKQFVCHYCDPEGLSAPGDRFRRLFPGPSWPAGDDISKEAARNMIRSRGYPFPVLNDCGMRLLCNFEEEFGEDVWSKLTEEVASGMSQCVVALASFCGDKRVFACTGIIIGCSEFTTRVLTSASLVRNSDDEAKVEDNLKIEVCLPDNEHATGTLEHKNLCYNIAVITIVGSRCTQTAKIYDQLQIEPHAKVAAVGRVYESGKLIATGGTLIDKPSELDCKELKLSTCKITKAGIGGPLIDGDGNFIGMDFYGLEETPYLPRDKVLEVLRSFGAK >cds.KYUSt_chr7.20179 pep primary_assembly:MPB_Lper_Kyuss_1697:7:125021692:125022558:-1 gene:KYUSg_chr7.20179 transcript:KYUSt_chr7.20179 gene_biotype:protein_coding transcript_biotype:protein_coding MACCEEWANVDGVPSMIGKEGIEQQVNGKELVPSLFLDRCLAKDGQETSVDLGEFLILKMDKAKLMAVEALDGERNPFSGALAKTAIDKIQTEKMDKAKHATVKVWGGEKTPFSIIPKGAPVVAGKAAAAMDKIQTEKVHKCAASEQPAAVKGKQRLPDEYVGLILSMPREKPIDMESEEMKMSFMTEERIQLHKEGAARSERIRASFLQFQNRVRDEFLEKGHVEVDDDYFTNAAESEKEGRAMWEESKKRRNPILTFASRDDPNYACFYAPLDPVKQADSLLSDLY >cds.KYUSt_chr1.10344 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63447011:63455900:-1 gene:KYUSg_chr1.10344 transcript:KYUSt_chr1.10344 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVEMAAVSMEKPSGGTSPLRQRAGTETPVPQILASRWRRLWKVEGAPPRVPSDVNMSEAPGESGDDDPQANGQEKKAESDRNAKRTKNVEEKDGAQGGDMSAPKSSTTAAGLNVMNCISPHVASEVADVVGECMPTPDAVHSPGVQVDWTKNGDGDIGCELPGRTSLLYGDAVSRHAGKCLDVPAVQVLQASVPVPSPIGSPARLEGGPGVGRPGTSSRPVCGPGTERPNLHGTPAPMRCTPRIATSIAEAQGGLTVGGTPRDMHKGLPRTSPYTQEQIIAFGGIKEEKMRGVRSSGRLRAQPNSDVPLLERAMMLAEKRAEMPAIAGGYGYWMQPDASGCAGLLFPGYWVATS >cds.KYUSt_chr6.31257 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197845413:197848982:1 gene:KYUSg_chr6.31257 transcript:KYUSt_chr6.31257 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPLVDDVIAEILLRLPPDEPEHLIRAALVCKPWLRIIRDPGFRRSYRDLHGAPPLLGFLHRLMVFQGDPPARFPSTTSMPEFPHPGSGGRRTRPLDCRHGRVLIHMLPGFLVWDPVTGDKHHLPPEPEEIDWLIYSAVVFCAADGCDHLDCRGGPFRVVFAATHEDKDIILASVYSSETGEWSSPVCLDNTCEIYARHTREARADGPMGRFYTPYVQPRRGALVGDAVYFTVRRGNAIVKYDLGKDRLSMIDPPQHCLVHGIAITGVENCTSLGFTCIQGSTLHTWARKVDAQETAEWVQYRVIELEKTMPVTNPEDERSVVGFAEGVDVIFVCSGAGLFMIKLSSGQVKKVDEPGEYFSVLPYMSFYLPSIYYNNILVAGMQRWAVG >cds.KYUSt_chr3.6358 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36431236:36431571:1 gene:KYUSg_chr3.6358 transcript:KYUSt_chr3.6358 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGVVRCLKILALLSLVPLALRAGSLLGHVAAPVPLSSQFAALTGASVPRSARTEAGYHEARRRKASEGAGAALPAGLNTARFRPGGGNGAFSDDKRMAPSGSNPLHNLR >cds.KYUSt_chr6.7688 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47161771:47162601:-1 gene:KYUSg_chr6.7688 transcript:KYUSt_chr6.7688 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGNLTPDLLGKIRCVWGWRRSGEELRRSEMALLDLPPRISVNKPKVCSPSSISMFALFVLLPLAGRGGEGWGRRMENGGSMEMSRGSLSLVCWCGTGGWPSSDDVKSPRRGMESFATPAKPPCNKHCGLQRCALDILDIDLAGRGGEVEDEDGDDGVFFAFRRWEVTFLSSSKTTPWPIQLPAMDSGVSTSVVRFFLRVAVAYYGCVEASGFVPASSHDGGVAALWLDGGEREGSDCFSSPFTEVFSAIARDLYVILHLMGSFVTSCTATVCV >cds.KYUSt_chr4.41516 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256867854:256880705:1 gene:KYUSg_chr4.41516 transcript:KYUSt_chr4.41516 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPTSAKKLQLKAYAKTDAELPESQRQTGTDCIPVMVSITAPSGMEAQREPVDLVVVLHVRKGRNVPEKWQELLKVALEIVTGKLETKDCLAVVPFMPSLLPMSEKNAKAVFEKYKPESIQTDTSLVIDLESAESIFNGRTYEDKKKRAGYIVVISNSEDDINSLLTWRFLSVHAFGFRGAHNAWTIHTIASSRDCSYAILDDELGRITQAFAATIDRITSAVAVMPIEIQLRCEEKVHLSGLIGSPRISYSISDNKKNGTIWPRAHLPDVPTNFVVLLYTGNLRNDECIDLSKVLKVSAKYYQNPRASQARTTTNFPKGQDGIGEVLGEEVEVDTVSKDMPGSKEVAAEIVRLEAVRIIHEIIEENKPDWDQLRAAAVKQRDRWTKLEKYNEGDDEDGKKNLEIKEVVGEIILENKTDWEKLRAAADRLRKGWITLKNSRCGWAAGELISSLSLEMQEMETRLYNNYMWPEYLLSWKSHQWWQLPLPPLFMDKLDTKDDPLLRLRITAHVDDIPRHKKGLPVLVQVMAPEVGLAKAKRAPVDVVALLDTEKKTKKKRELLIKAMEVIMDKLGHQDRMAIIPVQTATTQTAARFMDMSKQGRRETSIKLKSIVVKKPAPAAPYTVPSATQTSHGRDHSKFIKFITNCLGIAPTISPTLIPSPALSGSDVTDDGTKLWEALKDAEKLDGLAIPMGKMVKLDLGNDHLDGLLLSEVRLLDLLVHAATLSSCDVEEGVIVVVLLLIVVTFVFVLPIIVKANAALPVEEVHVTELLDDHRKKDHIGFIIVISDGNGDFVRQETLTSKYTMHAFGFRECGTHNIRAMHYIANSSSDGIYAIIDDHLNQVTCAYKACINKITSTLTVTTEVGILCSNSSNEVAISTVNSGKFVSAIAKKEAAIFVGALYAGAVRNFIVYVEKVYMVSEEDYANFSKLFTIDIKWLNAFTSKDVPANSGALPSVNDSAENKEKLDGQVVIVRDGSDESKESKEVMTDIIVRFKAVKIIREVTNPNYKKEVLIERLQNICNGNTRLVRDILTMVSNLRRDIDILSHMLSWETFQGLSEHPPMK >cds.KYUSt_chr2.38635 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239456682:239458067:-1 gene:KYUSg_chr2.38635 transcript:KYUSt_chr2.38635 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAKHRITAISSQHQFGAAMGGGSDGHGHRPARQAIRHAKLKMLLVVIATNLVSVYLFSGASLSLNMPASAPRIHLWDSTALLRDLNATRDTLSLARAELAFLRAQCGTSSLLLESVLSKLGAVHGDTPAVQDFNGWPEEPTGELRLAIEPHRLPLGYSVNFGTDELFPGLGFACRNFQEELTQYMTYNASGECPDDEGLALQLMLKGCEPLPRRRCRPRSPARYVEPKPLPESLWSMPNDTTVNWTPYTCKNYTCLVARARSRGGSYDCKDCFDLAGKERRRWLSDNGGPGFSIDNVLRSRPAGTVRVGLDIGGGTGTFAARMRERNVTVVTTTLDLDAPFNRFVASRGLVPLQISLVQRLPFADGVLDIVHSMHVLTNSVPNDVLEFALFDIYRVLRPGGVFWLDHFFCLGPQLNATYVPIFRRVGFRPLRWKPSPKLDLGPERNEWYISALLEKPMT >cds.KYUSt_chr3.47529 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298090403:298091787:1 gene:KYUSg_chr3.47529 transcript:KYUSt_chr3.47529 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRALLCASSAAARLLPSTRTNPSSPSLLAVAALAALKQQGSFSTAAGATAGMAAGEEAKPATAAGGVSERILPHLLNIYGSCATARDFEIYAQHATFEDPLMRAHGVKQIKSAFYTLPKVFGESKIAEYTVKENATGPGKTQILIDNKQHYKVFGKPVDIASLITLDVQDGKVVRHQDWWDKKPLKNRETVSFPLVGRVAEASRRGAMLLTHVLMGCGKDPTP >cds.KYUSt_chr2.52205 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325714517:325715549:1 gene:KYUSg_chr2.52205 transcript:KYUSt_chr2.52205 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAEFMPSDHELHGAAMVHSVEIPVIDMSSNNAGSLMVQASMEWGIFQVVNHGVPASAMSELQRVGREFFALPQEEKQRHAIDPSSGKAEGYGSTLKRDVPGGMMTWSEFLFHNLAPPSAVDHAVWPQKPNGYREANEAYFAHLHTLTRRLFEGLSAGLGLEEGAMEEAFGGDDVVFLQKINFYPPCPHPELAHGVAPHTDLSTLTVLMPNEVPGLQILSNGRYKAVLHRTTVSSEKTRMSWPVFVEPPMEHVVGPHPQIVASEGQAKYKAKKFKDYKYCKINKLPQ >cds.KYUSt_chr3.17585 pep primary_assembly:MPB_Lper_Kyuss_1697:3:107816898:107820183:-1 gene:KYUSg_chr3.17585 transcript:KYUSt_chr3.17585 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGQIKFKTFDLGGHQIARRVWKDYYAKVDAVVYLVDAADKERFAESKKELDGLLSDDSLAKVPFLVLGNKIDIPIAASEEELRYYLGLSNFTTGKGNVNLSDSNVRPLEVFMCSIVRKMGYGEGFKWMSQYIK >cds.KYUSt_chr2.28692 pep primary_assembly:MPB_Lper_Kyuss_1697:2:176134281:176140088:1 gene:KYUSg_chr2.28692 transcript:KYUSt_chr2.28692 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASFVTSLLTSFLIFVVLVLVFTWLSRRPGNAPVYYPNVLLRGLDPWEGRGRGTRSPVGWIQQAFRASEADVVHAGGVDAAVYLVFLSSVLAILVFSGILLLPVLLPVAATSHALEASAGSNASAAHNFTVIERLALGNVEEGSFRLWAFIGSVYWLSFVTYFVLWKSYKHVSNLRAAARSTSDVKPEEFTVLVRDVPIPPPDQSIKDSVDSYFRALHPDTFYKAMVVTDIKKADKIFQEIEGHKQKIAHAEAVYAESKTANKPEGTKPTHKTGFLGLIGKKVDTIEYCNEKIKELLPKLEEEQKNTLSEKQQRAAIVVFNSRAAAASASQTLHAQMFDKWTVTEAPEPREIIWSNLPMKMYDRNTRRSVIYFIVFLTVFFYLIPISAISAITTLEKLRQKLPFLKVVVDQPTVKTVLQAYLPQLALIVFLALLPALLLFVSKSEGIPSQSHVVRAASGKYFYFIVFNVFLGITISSTLFSALRTIIDNPKGVIDMLGSSLPGSATFFLTFVALKFFVGYGLELSRLVPLIIFHLKKKYLCKTEDEVRAAWTPGDLGYNTRVPSDMLIVTIVLCYSVIAPLIIPFGVAYFALGWLIAKNQVLRVYVPSYESNGRMWPHMHTRIIAALMIYQATMIGAISLKKFVYSSVLIPLIPISLIFAYTCHTRFYPAFAKTPLEVARQELKETPNMGAIYAAYIPPCLKPEKLEDVEVFEDAQSRTTSRAPSF >cds.KYUSt_chr2.33868 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209324917:209325750:1 gene:KYUSg_chr2.33868 transcript:KYUSt_chr2.33868 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRGKTTQERRPSTDHSGSRDFPGAYPDRKPQRHQGDAFKKGAAPEAAAIAGLGQLPAGQQPRQGVSPGLVAPSCIVPKIGPPSSTLPSNTPPLPGAAAPDPCQHLAAVPMQQHHGQGPPRQPSATPRPPAPGAGLQGPDPARPRPATPSAAAPARRRATIFPSTGRQQPRKARPATARPAQAKPRSGSPRRRQQPRTRPPQTPSTATTGSAGRCAATSRHAPSFIPPPQLPQTASEPLRRPEKTGPAAAGSARALPGGDHRRRQGRSWWRERDCW >cds.KYUSt_chr2.35325 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218027558:218032733:-1 gene:KYUSg_chr2.35325 transcript:KYUSt_chr2.35325 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVSPISGAGAAGTGGGRGRAADDTAFSFLSKGWREVRDSASADLRLMRARADSLRTLADRELEHLLASAAAAPPPPLAAGAPIAELEFVRNRIQPKISELRRHYASREPGLRRRVLDRWVPPRGATSARVDLSGITAIRNAIVFEATGEAEEDKEWEVVRIIRGGIKELERRSQGSEILGGFRGTGDLVEKFKSRLKPFNVESDDYKDVPPLYVTEILANLVRQSGPFLDQLGIRRDLCDKLVETLHSKQNHSPSADMSLHGNDNSVDELDLRIASVLRSTGYHADDGFWNEPAKYEVSDNKRHIAVVTTAGLPWMTGTAVNPLFRAAYLAKSAKQDVTLVVPWLCKSDQELVYPNSMTFSSPEEQETYIRNWLEERLGFESNLKISFYPGKFSKERRSILPAGDTSQFISSSVADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIVCNVHGVNPKFLKIGDKLTSDVESGQQSFSKGAYFLGKMVWAKGYRELVDLLAKHKSDLEGFKLDVYGSGEDSQEVHSTARKLDLNLNFFKGRDHADDSLHRYKVFINPSISDVLCTATAEALAMGKFVVCAEHPSNEFFMSFPNCLTYKTSDEFVARVKEAMAREPQPLTPEQRYNLSWEAATERFMEYSDLDKILNDNSTPLPGESRRRRTSQPSLSNVVDSGLAFAHRCLTSSEVLRLATGAIPGTRDYDEQHRADMGLLPPQMTAVETNVREEAHRLTTASSPRESQLLVALQPLDVATVRNPGFLQPCKQPTCSMPPAPPSRPMPALGCRRRVGRLPRRWKTTVVVRLVRAPPKE >cds.KYUSt_chr6.23975 pep primary_assembly:MPB_Lper_Kyuss_1697:6:151545303:151548068:-1 gene:KYUSg_chr6.23975 transcript:KYUSt_chr6.23975 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRPAVHPVEAPPPQTQGENADAAPVGVRMKDTQGGPGTPGGLGLRLVQVFFAAASLAAMASTNDFPSVTAFWCGTLTLGAACASAGITVLIGNDLEMCAVVGTIMDVDARYAHVQTLPGDFKVGRLQWKANKVCTILF >cds.KYUSt_chr2.19502 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122721948:122722271:1 gene:KYUSg_chr2.19502 transcript:KYUSt_chr2.19502 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRDVEEVHCKAEDEEGKVSGEHGKVDGEHSKMEGEDGKVKGEHGKVDGLHGEFDGVDGEVEPSDGVDGEVEASDDEVELTEGQVEELEDEFQRVYTTIEDPFGK >cds.KYUSt_chr3.29162 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182452245:182453599:1 gene:KYUSg_chr3.29162 transcript:KYUSt_chr3.29162 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEPYWMRHAEASAVVFSGWHRMSYRATDGVFQSVELERSIKQLHRAVGNAVADDKHIIFGTGSVQLLSALVYALSPDSNSGSSAGVVATAPYYAAYKSSTLLFDSRENHWEGNTAAWANASAGNATRNEDIIEFVTSPNNPDAQLRKPVVGGASAIVDHAYYWPHFTHIPEPADEDVMIFTVSKLSGHASSRFGWALIRDEKVAQRVNNYIQQNTMGASRDTQLRMLGIIKAIVANLHGEDDIFAFGQDVMTAKWRKLNAVVSRSSRISLQKIPPQYCTYLGKIREASPAYAWVKCEREEDDDCYDMLRKAKIITRPGVWSEASSRYTRVSLLKSQDDFDLLLERITELVDAEKDTTAGSNSM >cds.KYUSt_chr2.42647 pep primary_assembly:MPB_Lper_Kyuss_1697:2:265649913:265651049:-1 gene:KYUSg_chr2.42647 transcript:KYUSt_chr2.42647 gene_biotype:protein_coding transcript_biotype:protein_coding MASALPDPEEIDDGWVVLPPLAAAPKSKGKPPRPPPSIRSPSPAPTTTDDDVVASDDGAFDPTPDDIVRRYLPLRRSLRCDGLPRQIHDADVYGAHPGFLAAVYPAANGRPEWFFFVCRAQCQGGRRRAGPGAYRLGSEARLLGGTAYCHAFRYYEDEADVGSASTKETQWRMDEYGDCRSAMAATFDMVVCKLYPTRGGTIQQRLGAGSASLPADADANKPQLLVRLYLDTVNLGDPRRCRMYAVSDVFAAHPAVLTAKFPAANDRCEWFFAVHQRKRDANEDARPRKAGPGAYMPVREGRAVNGKGGDMGYRRVFLYREDDETVRRVSRTEWWMEEYGFGRDFPSGELPVLAEPSMGEDEELVVYKLYIKMVGDQQ >cds.KYUSt_chr2.7997 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50220278:50226403:1 gene:KYUSg_chr2.7997 transcript:KYUSt_chr2.7997 gene_biotype:protein_coding transcript_biotype:protein_coding ARKPAYSHRAPFLFPAKRIRKPPTSPLFLPSNAETANLSRSRSAPIPANNPPAAMDMSAIAARLGLSGSRPVVRKAAELRRLCDINFDSSVLGIGEVCKAIICLEIAATKFQVIFDRAEAVRMSGMSDKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFVQKGLALYKERFLAALPPSRRSSTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSSEFTTVSTSMGDLCFDVFGIAKEKKDPTTIKGNRELLDVLPSKRKHEDDSDNSDESSGDDQDELDVRGMDHLFFMSIALCSPFFAELLDVLPSKRKHEDDSDNSDESSADDQDELDV >cds.KYUSt_chr7.12477 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76792111:76795047:-1 gene:KYUSg_chr7.12477 transcript:KYUSt_chr7.12477 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRAARFFLAVLLVAALAALRPAAALSPDGKALLSLLPTAPSPVLPSWDPAAATPCSWQGVTCSPQSRVVSLSLPNTFLNLSALPPPLASLSSLQLLNLSTCNISGPIPPSYASLAALRVLDLSSNALYGAVPPELGALSALQYLFLNSNRFTGAVPRTLANLSALEVLCVQDNLFNGTIPASLGALTALQQLRLGGNPGLTGPIPPSLGALSNLTVFGGAATGLSGPIPDELGNLVNLQTLALYDTSISGPVPAALGGCAELRNLYLHMNKLSGPIPPDLGRLQKLTSLLLWGNALTGKIPPELSTCSALVVLDLSGNRLSGQVPGALGGLAALEQLHLSDNQLTGRIPPELSNCSSLTTLQLDKNGISGAIPPQLGDLKALQVLFLWGNDLSGSIPPELGDCADLYALDLSRNRLTGGIPDEVFGLQKLSKLLLLGNALSGPIPPSVADCVSLVRLRLGENQLVGEIPREIGKLQNLVFLDLYSNRFTGRLPAELANITVLELLDVHNNSFTGPVPPQFGALVNLEQLDLSMNKLGGEMPASFGNFSYLNKLILSKNMLSGPLPRSIQNLQKLTMLDLSSNNFSGPIPPEIGALSSLSISLDLSGNRFVGELPEEMSGLTQLQSLDLSSNGLYGSISVLGALTSLTALNISYNNFSGAIPVTPFFKTLSSNSYINNPTLCESYDGHICASDTVRKTTMKTVRTVILVCAVLGSITLLLVVVWILITRSRRLVGEKATSLSAVGGNDFSYPWTFTPFQKLNFCVDNILECLRDENVIGKGCSGVVYRAEMPNGDVIAVKKLWKTTKEEPIDAFAAEIQILGHIRHRNIVKLLGYCSNKTVKLLLYNYVPNGNLQELLKENRGLDWDTRYKIAIGAAQGLSYLHHDCVPAILHRDVKCNNILLDSKYESYLADFGLAKLMNSPSYHHAMSRIAGSYGYIAPGKLFLLNFLFGLFLLNCTTLLNFLHHLDMVLQHSK >cds.KYUSt_chr5.35296 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223064073:223065776:-1 gene:KYUSg_chr5.35296 transcript:KYUSt_chr5.35296 gene_biotype:protein_coding transcript_biotype:protein_coding MSVESRSPRRWAPARRLPPPPSLQPPLLPRPSQPPLPLAAPHRAAVASSPVLVAPAASPAAVALSRGAALPVCRPGDAFLQFCLASLHSSVCSSIAPSSSSAGRCFLWITTLSGQVRPPFFAVLNALNFHFTSRSDRFSLREPEPGVFSTSVACASVAAAVLRRGRFDYAGLALLCHPSVDLARRHLRFQNSAVVNAPKSSVVGPAVAHRCPPSFADVVRAGAGLHGPAIVISSCPETQDPAPTTAATPPPPSPYHTPRALPSTTYVSPRSLVNTRRFPLPSPSPSDPNRTVAGSARLEEPGFTASFSSVRCAGSRCSPASGTSSTTSAPSRSSLTTVIKRKRLCFRCLSPKHPVRECRDPVCCLVCGGSGHRSGLRRDRCPNAMPGVPLHAPAPDTRPPSATLSPAHGASPTSPLGIPPPRRVANGAAFPVTPHPNAVQPPPPPGNTPAPSAPPSSPSHGEPSEPPDAEDLAPPAHPVEEAIDEAGPRRSKRIRDGAKGGYQDITTKAVALKAHRLEGAPLARESGEATKPFLPAELLRSLARGCNLPEDAMGRLAAASPVIADVD >cds.KYUSt_chr4.11154 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67725560:67730122:1 gene:KYUSg_chr4.11154 transcript:KYUSt_chr4.11154 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMLRRKDVQAGLMNLAFRTDHGGMKHFSSGNLGKLTRVYMLAWSRKKEDVAGLKAPKKEKRVKKEPRTQAPVEAPYVAPKPKITIKSSPDKLVEIFDGMTLHDLSKRSGAAISALQSILADLGERVESEFDSIGIDLAELVAMEIGVNIRRMHTGEGVVEPRPAVVTVMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMQSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAISHAKVANVPIVVAINKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISALSKLGLDKLEEALFLQAEIMDLKARTDGPAQAFVVEAKVDRGRGPLATAIVKSGTLVSGQYIVVGAEWGRIRSLRDTTGKVTESAKPAMPIEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEDMTEEAENADETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPVSEHDIDLAQACRAYIVGFNVRNPPSAITQGATQANIKILLHKVIYHLLEEMGRLIVEKAPGTAETQISGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHFSRSGTMRLLRSGDVVFEGPCASLKREKQDADTLDKGDCGLVIEDCDDFQVGDTIQCLEQVMRKPKFISTQSGAVRIEC >cds.KYUSt_chr3.26199 pep primary_assembly:MPB_Lper_Kyuss_1697:3:162964512:162966871:1 gene:KYUSg_chr3.26199 transcript:KYUSt_chr3.26199 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLHRFVRIHRRVPVTAPAAVGAAIFCASSSSSVPHLEMSTSGKEAAAKVELSTKSCVPCNSKDLHAMSEDSANKSLEQVTGWELKTEGEILKLHRAWKVKNFVKGLEFFQLVAAIAEEEGGLTDNDFILVAKINELKLESILSKEKATTHE >cds.KYUSt_chr5.28661 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181422451:181427177:-1 gene:KYUSg_chr5.28661 transcript:KYUSt_chr5.28661 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHAPHSASAAQPSATVAAPAPVYNARINAAGPAPRHPIPVPFDGRFRPFAVPPPAPYPASFYQMRRPPVFPPAAFHGPHAYLPRPPPPGGFIPFPGPPFNPYGKIASTVNSNFVLSLLQVCGVVKSWNRVRNPDGTRTAFGFCEFDSAEGGLRARQLLNKLSIDGQELVLNVNEATKLGENTTEQNAREAETETMDGMVCLADNGNDSSRAIPDLTEMGAVVGGQMSSQGKTKRCRYDTEEYSDADKDAMQKIRFMIEDRMKSKLEVREDGEVSEDGESSLQIREDGEVSENGESSLQIREDGELSEDGTSSLQIDAVSSMHIPMDWEPTVDHKRKRQHMESDGFHKSSDEETGIVSVPGLVSDKQDSGAPGEKVGLQLQAPSKSGNEETLDAKQLLAAVPKTKEELFAYDVDWAIYDKHGLHEKMRPWISEKTTEIFGEEIPEFVEYVVASTKEHVEAPRMLEALASLMDHSAEEFLLWLWTKLIFEIKKAETGS >cds.KYUSt_chr2.51150 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319865183:319867803:1 gene:KYUSg_chr2.51150 transcript:KYUSt_chr2.51150 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSDSRLALTSSSSASLSTVVAGPVIAPPTDTAAVPVLPAIAVRLNGSNFMLWKALALPTFAGASLHGFLDGSAPAPPSTIREGTGDAARDVANPAYAQWWTLDQRVLGHLLGSMHEDVSAQLIGRTTAAAAWSAVHTMFSAENRAGIRALRREIQGLKKGDKSASEYMQKVKALADAMAAAGSPLRDDEIIDYMLTGLGTAFNPIAASMDFAPTPVTLAMFYKNVLNYEGLQKQQQADPEDWTSSANAATRPYTNNSGRASDSPRPSGGRPTGGGPQGQHGPVQGGYGQGSGGHGGGGQDRRRNDGNGGNTGRNGGGRRRWRPQCQICDIWGHDASTCRRRYDQQQQRTGNSASTSSNEQYWHLDSGASDHLTSDLERLHIHDRYHGKDQVQVANGAGHCAGVLSLRDRRAWCAHAWSLPRGVLVTCPGLHVASPGRAL >cds.KYUSt_chr7.23636 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147355121:147355705:-1 gene:KYUSg_chr7.23636 transcript:KYUSt_chr7.23636 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSSAPSRTSHHRGWSWGAALAGAATTAAAAGVLVCRPRDPSFELISIGLSTFHFRPPAALDIGLTLTVHATNPNVVPVRYGASTVSILYGGACLGTAQLDAGGQPATSCRLLHLPARLDALEMAHHARAILADVARRHMELDAQLEIVGEAAVLLWSRRFSVRIDSHIVVDPVFLEVVEQDNRSEMQLSLV >cds.KYUSt_chr7.34515 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215479864:215481627:1 gene:KYUSg_chr7.34515 transcript:KYUSt_chr7.34515 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLKRPDRRYSQWRCAVNAVLLAVVVTVPPMVFLFRASTSAPPVWIGAAKALRLGSATGHDRLLGGLLADGVDERSCHSRYQSAMYRRKAGRQPSPYLASKLRQHEALQRRCGPGTASYSDAAEQLRSGNSRDGGTGSPDCKYLVSISYRGVGNRILAAAAAFLYAVLTDRVLLIDPSNEMGELFCEPFPGTTWLLPPDFPLTSYTNFSIDTPESYGNMLKRKLSSAASTTTQQLPAFAYVHLDNHLTDEDKMFFCDEDQRVLRDIRWLVMRTDSYIVPGLFLTTGFQQELDMLFPEPDTVFHHLGRYLFHPNNHVWGLVTRYHDAYLAAAHQRIGIQIRVFDGSESPEVLEQITTCTQSESLLPEVLAAGIEPSAVPSTPAGTSTAVLVTSLKAWYYDKIKSMYWEHATANGEAVGVHQPSHEEYQQFGTKSHDAKAWAEIYLLSLTDVVVTSGWSTFGYVAQGLGGLTPWVMYRPENASMVPDPPCVRDLSMEPCFHSPPFYDCRTKQGADTGKIVPHVQHCKDVSWGLKLVPRTA >cds.KYUSt_contig_1181.1331 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:8628761:8632752:1 gene:KYUSg_contig_1181.1331 transcript:KYUSt_contig_1181.1331 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAPVVILIFFLLAAGPSLVSSSEPLNAEVVALIAIRQGLVDPHGVLSNWDEDSVDPCSWAMVTCSPHNLVIGLGAPSQGLSGTLSGRIANLTNLEQVLLQNNNITGRLPPELGALPRLQTLDLSSNRFSGRVPDTLGRLSTLRYLRLNNNSLSGAFPASLAKIPQLSFLDLSYNNLSGPVPVFPTRTFNIVGNPMICGSHNPGAGECAAAVAPAAVPFSLESTPSSSSESKAGARLPVGVGTSLGASSLVLLAVSCFLWRRRRHRRCCCLKGPSVGGGAVARLGNVRQFGLRELQAATDGFTAKNILGKGGFGDVYRGRLGDGTTVAVKRLKDPSASGEAQFRTEVAMISLAVHRHLLRLVGFCADAASGERLLVYPYMPNGSVASRLRGKPALDWQTRKRIAVGAARGLLYLHEQCDPKILHRDVKAANVLLDEHHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGVLLLELVTGQRALEVGKGSGVGLSHKGVMLDWVRKVHRERMLHLLVDQDLGPCYDAIEVAEVVQVALLCTQFQPSHRPRMSEVVRMLEGDGLAEKWEANNRPASAVHDGGYDHRNDSNGSVFFNDFHDNDSSLSSDEARSIDMVEEMELSGPR >cds.KYUSt_chr4.12478 pep primary_assembly:MPB_Lper_Kyuss_1697:4:76321570:76326159:1 gene:KYUSg_chr4.12478 transcript:KYUSt_chr4.12478 gene_biotype:protein_coding transcript_biotype:protein_coding MALADIIAVFDAATTEEARRRQAEGMGERWCAEWERLRMERELHHLEAGGYDIVVIGDVVDDGVCRPDSPEPKKMAGLPLATAEVCDANSHLITSGQLRALQPIFRMYGRRQVFAGPVVTLKVFEDNVLVREFLEEKGQGRVLVVDGGGSLRCAILGGNLAQLAQNNGWAGIVVNACIRDVDEIDGCDIGVRALGSHPMKSNKKGMGEKHVPVAIAGTRVCDGEWIYADADGILVSRTELIV >cds.KYUSt_chr7.22877 pep primary_assembly:MPB_Lper_Kyuss_1697:7:141970416:141971177:1 gene:KYUSg_chr7.22877 transcript:KYUSt_chr7.22877 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRPNQEAKQQEDLYQRQETAPAHLTLGRRGHQVGAVVAGGSVEAEREHMFDKVLTPSDVGKLNRLVVPKQHAERYFPTAAAPGTTAELCFEDRGGTPWEFRYSYWGSSQSYVMTKGWSRFVHAACLAAGDTVSFSRSGGRYFIDYRHCQRRRRDIIFGVAADAAPARPFLGRARAAATSSDGPSTMVLDAARIVAGHDHAPAGPAGTSTRSFRLFGVNVECGVGDETTVVLEEEPSREERESEKMWRNRR >cds.KYUSt_chr6.33910 pep primary_assembly:MPB_Lper_Kyuss_1697:6:212644108:212649806:1 gene:KYUSg_chr6.33910 transcript:KYUSt_chr6.33910 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSASRRDAAATATAAAAAAAASSTTSSTTAKTADQPLPTKTFAQRAGDALAAAASRSGELTKNATMAVSRSGELTKNATMAAVREAAAASTTKADALAAVREAAANAVQHEGWMVRYGRRKIGRSFFHTRYFVLESKLLAYYKKKPKDSVVPLKSLLIDGNCRVEDRGLKTHHGQMIYVLCVYNKKEKEHQITMGAYDIEDALAWKKKIEQIIDQQQDTMTAKNRKAFASMDFDAELGGQFSFSDHDSAAEDEEERPTLTRRTTIGNGPPESIHDWTNEPDIGLSSQSDPAQSFSKKNWRLLRCQNGLRIFEELLEVDYLARSCSRAMRAVGVVEATCEAIFGLVMSMDVTRYEWDCSFRYGSLVEEVDGHTAILYHKLQLHWCPMFVWPRDLCYVRYWRRNDDGSYVVLFRSIEHPNCGRQRGYVRAFIESGGFKISPLKCRNGRPRTQVQHLMQIDLKGWFLNYSPSFQYHSLLQIQNCVAGLREYFSQTDECHITPRIPVMENMVDPSMPKDQRRQEIEAKIKAAHGGQKDNKSMSIIDEESDEDEDYQVPEANIEEDSNKSDNDAKHTEPPEKIDLSCFSGILHRDPDEKSRNCWTVPDSTLFKVRSKNFPTDKSKIPAPSYLMELAAIDWFKDTKRMDNVGRQKGCVAQVAAEKGMHTFVANIQIPGSTHYSIVMYFVTSTLKKGSLLQRFFDGDDEFRNSRLKLIPAVPKGSWIVRQSVGSTPCLLGKAVDCSYVRGSGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYDELPEQVIGAARLAHVEPAAAIVPDLDNNSESKDSSNDDNNNNTSSEDDSSKKTN >cds.KYUSt_chr2.52108 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325188132:325193191:1 gene:KYUSg_chr2.52108 transcript:KYUSt_chr2.52108 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRQRRVSWAAAPNLCKVRLFISEDSPSQAGLRPQDNLQAKGSCFMHAAGSSSDDSLPPGFESPQPTNDLKIDISQIPLIRWKCPSHILVDPDWHVASGEESKEIAIQNERMFGALEAMYPRASNIPPNPFVSPDVKDSRYDDSQTLLVPLIPVEDDDASDQPEAPLLDMPNNHHHSGKYDPERINVPQISHTLISAAQQQHYGSNGATSYDLSVEPDVLAAASAAFTAIMQSNQKGNMVDQDLLVKILSDPAQVERLTQEYNQIRHEQSRSSSVVAPVPPGPPPQKTVSASAPFSDHMTTFHNTNCTLPPPRMAVSAPVSASASFSDHMTTFQNTNSMHPPPRMALPPPMAPAPMMNRPPQGFPPVAMNRPLGSNPAMNSMNFLPGSSPAMNSMNLPPGPTPAMNSMNLARGPSPAMNAMSLPPSSNSAMNAMNIPPGSSPAMNLPPGSSQAMNFSSAPARGIPYYKTLIHQHGGERQEPPEQQRLQYGMYHQPAPPQTDAMNGTSMVNRDTKLRPMKLCAYFNGPRGCRNGANCTFLHDTSARQDDSKGSKRIKLDSRIAGRN >cds.KYUSt_chr3.21017 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128773548:128776079:1 gene:KYUSg_chr3.21017 transcript:KYUSt_chr3.21017 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSSPGRVPSNPLVGAVCTDDFYPMPEYVASLIPPAGEPVSFGHSVAEHGLEDEKEEASFFAGPQDGSGGVDERGDKGIGSSVEDGGDQERGSDIDDRCAEDLGSVAGGAHNLDSGSDVPQEGSLGVDDAGVGYKKRCRGREGPDVRDGPPRELGAVELALRNSSTRGTKYILEPVIGTSFDSLAEAYEFYNLYSWEVGFGIRYGKSYTNGKHYRSSQELICQMEGFDKRDKNDSPRCGCKAMIRLHRSDDHGWFVETNRPDHNHVLSDNCGEKMQWNSHKKIDQATKDTIRYLRENNVSLSKVHCILGSMHKSGDKLPFTKKSLRTVCQQIAFDQKDDDIKKTIDLFRSMRSTDPDFAFRFDLDPEGRIKNLIWTSGRIRRQYTCFGDVVVFDTTYTTNLYKMPFGLFVGVNNHFQTVIYAGILQSLGLGLQRHKLSLQQLCMHKSDDKLPFTKKSLRTVCQQIAFDQKDDDIKKTIDLFRSMRSTDPDFAFRFDLDPEGRIKNLIWTSGRSRRQYTCFGDVVVFDTTYTTNLYKMPFGLFVGVNNHFQTVIYAGILQSLGLGLQRHKLSLQLTN >cds.KYUSt_contig_1181.250 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1608704:1609807:-1 gene:KYUSg_contig_1181.250 transcript:KYUSt_contig_1181.250 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLPLPNQDPPPNTHPNAAALSSTPISAAVDLAAASDSDADGDAEGRLPSPRRSRERSPRPHSDSDISAAAASDEDNATPPRRYSLVDPHKIKPISSSPRRPSQRSKRPRSSPLSAERRKRPPRVWSPKDEITILRSLISYRSKKGALPASTQETGKLHSQIRGQLTAEASTTQLCDKVRRLKHKFRLLVARTKDGQDPELPTVHECDVYELSKMVWGADAAGRAAYGNYWFGDSDEEQKSGESDEEQGMDGGWEGPDRRANRRLKAITVSNGTASTIAMGHCNRGGKGNGMYPYLWEAVQELSEEQPSGTAFRKAFDVLEGSRALAMEERLEKFKLSEIRQHLRRMDLMKETVKMVLDALEGAN >cds.KYUSt_contig_1253.361 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2327368:2327973:-1 gene:KYUSg_contig_1253.361 transcript:KYUSt_contig_1253.361 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAINPLACMSFGGSKSGKKSAAKKPGSAPAPAPVATTDQATAGNQSAAKKPETTPAPVAATDQATGGNQSADKKPETTPAPVAATDQATGGNQSAAKKPETTPAPVAASNQATGGKQSAAKKLQPAPAPVATTDKATAGNQSAAVVGAKRAKAAAGKRGKAEAAPYRGGFMPYRPLHSYNIDPVANCMYFWGSVGQIMC >cds.KYUSt_chr3.44500 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280864611:280867345:1 gene:KYUSg_chr3.44500 transcript:KYUSt_chr3.44500 gene_biotype:protein_coding transcript_biotype:protein_coding MALASDSGGGSGGRDFSVVVLGSDFAADAGAALLTDGEEAEWHDCAPDLGDDFSDLEELQVVRVQGADRYGRFVVRVIGKFFPAPVIDGERLKRYVFHKLRTELPGGPFCILYVHTTVQSDDNNPGMTILRGIYEELPPEYKERLEILYFLHPGLYSWLALATLGRLFLSGGLYWKIKYITRLEYLWADIRKGEVEIPDFVTEHDKILEHRPLTDYGIEPDPLHLADIPATGYSLGRYEDKWSPEDRWHSRNYM >cds.KYUSt_chr7.29744 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185196460:185199364:1 gene:KYUSg_chr7.29744 transcript:KYUSt_chr7.29744 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGITCGSLLQKLQLVWDEVGESDEDRDKVLYQLDQECLDVYKRKVDQATNSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTSGTIKQQLAAIAPTLEQLNKKKNERVREFVSVQSQIDQICGEIAGTTEVGEQVATPRVNEDDLTLERLEDFRSQLQELEKEKSNRLEKVLEYVSMVHDLCTVLGMDFLSTVTEVHSSLDDSIADNCKSISDDTLSKLDQTVATLHEDKKLRLSKLQELAGQLYDLWDLMDAPMQERSMFDHVTCNRSASVDEVTAPGALALDLIEQTQTEVQRLDELKYSKMKEIAFKKQTELEDIYAGAHTVIDTAAAHLKLLSLIEAGNIEPTELIADMEGQITKAKEEALSRKDILDKVEKWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVSKIPALVETLVAKTRAWEESRGLSFMYDGVPLLAMLDEYVMLREEREEDKKRMKEQKRYVEQKLSTDHEGPFGSRVSPNRPATAKKLPGPKANGTSINGTPPSRRLSINGRSGGKDSKRDSLRATPASPGNGATAAKEDTTSSHISGADLAPNTP >cds.KYUSt_chr4.48245 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298727209:298727628:1 gene:KYUSg_chr4.48245 transcript:KYUSt_chr4.48245 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRVQCERDDMDNKVRQECAAVDKLECEEMDRKVMEERAATDQAFKKEHEKLEKQFKKIRKDMNQKLQQDHDDMDRTIELEQAKMDYKNMQARADMDQKLQHKREEIESKAVL >cds.KYUSt_chr2.15246 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96184448:96185313:-1 gene:KYUSg_chr2.15246 transcript:KYUSt_chr2.15246 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSALCALIVLPGSMRLTCQTLTTMLEIVMLMHRQQALGLWTGQVLSLNKMMLLDLILFAFLGLRDEDEQPMQATSLNDTSVDGTNIDVAGNTNYEDVDTEGAAIPIDDHVSEEENIDYDMDNPNMEEGSKFPSMEIFRVATPSLPWSLT >cds.KYUSt_chr7.39970 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248287992:248288582:1 gene:KYUSg_chr7.39970 transcript:KYUSt_chr7.39970 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTHAHLSPTHAHDDSAFCWLSDVLLRRRSGQPTANPRRRCSRTRFRRPLLFLLHQLQLTETPPTPTLAPKAPPCRRWCPAAPGPLRLLRVRALVRLTALYYLLQVDLILAVSLARLQPGTLAVAGGLSGRHRPTPAIVDPAGRRGQRSKLRPDVHRLHACSKPSPLQFWLSPVSSSDATSRLGFATDLHATYR >cds.KYUSt_chr1.1000 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5265410:5266291:1 gene:KYUSg_chr1.1000 transcript:KYUSt_chr1.1000 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAQTILSNVGQLVGKEFQKLRAIGGEIEELRDELSTMHALLRMQTEAEDGAVDHFIREWMRQVRELTYDAEDCVDLYIFRIRSRPRDRFLLWSKRLVATLFPRHRLAGNIKALHERAVSISDRHARYGVGREALRRSPSTALTMAASSAARGIRSTDHTGQIVGMEDQANALAEKVKAGVYENGAERDMKLKVFSIVGFGGLGKTTLAMEVCRQLEVVFYRQAQVSVSQMFNMGEDLKELLKRVLQQMFKREAGNEEGNKENDPLAGIDMMDADQLGSKLKALLNNKRYI >cds.KYUSt_chr6.23404 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147799481:147800790:-1 gene:KYUSg_chr6.23404 transcript:KYUSt_chr6.23404 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor, Chloroplast development, Plant architectur [Source: Projected from Oryza sativa (Os02g0220400)] MEGDQDQGHFQAFHLAKDPPILFPFMIENPSEHQGKGYGDQQHLRQQFLGESNQQINNHMMMAGGSDVFATPSPYRPTIQSIRSDMIQRSSYNPYDLESKHAIHGSTSEWASGRPPVKMRIIRKASTNDHEGGVARKPRRRAQAHQADESHLQQHAMGVIRVCSDCNTSKTPLWRSGPRGPKSLCNACGIRQRKARRAMAAAAAVVAASGGAAVTASHGGVAAAGEQATSQAAKPIKKEKRDLDVDRSLPFKKRCKMVDHPTDIATKAVASCVDAALKEDDGRVSLEDVPATERLNKPSPPATIIHDFTRDEITDAAVLLMNLSCSVVRS >cds.KYUSt_chr3.32321 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203081624:203082607:-1 gene:KYUSg_chr3.32321 transcript:KYUSt_chr3.32321 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTPSNFHGPLRPKQLQIDALKREDDTRVPPSTDHDGSRDFPGAYPDRKPQRHLDDAFKKGAAPEAAAIAGLGQLPAGQQPRQGVSPGLVAPSCFVPKTGPPSSTLPTNTSPHPPSHRHGPPPHQIRARRPLIRHPTVPETLLQRPCARLRATQRPPWPPAHTRLETPSSSARLATPDAWPEQPRRHQQTAPHQPSAAPPPAHRGPDRPRMARITGRRLLLRHGRATTAHNAQPHPPAAAADETPGPPPWLPPSPPTTPHTGRPPRRKRAPAPSPPLEMRPAATAARAGGSGGRGAAQAGCWRRDWGLAPGVAWGATRGAHRLFVL >cds.KYUSt_chr5.23251 pep primary_assembly:MPB_Lper_Kyuss_1697:5:151514792:151517801:-1 gene:KYUSg_chr5.23251 transcript:KYUSt_chr5.23251 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEEETIPSDSDPLIERTDEADTIPQLSPPQPATVSTLEIEDEETDGSSAACCRICLEAESDIGDEMISPCMCKGTQQFVHRSCLNHWRSVKEGFAFSHCTTCKAQFHLRVETLEDNSWRKIKFRLFVARDVILGFLAVQITIAIISAIAYFLDRDGSFRNSFSEGWDRILSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDNQDPCLAGCRNCCYGWGILDCLPASLEACFALVVVFIVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKARTPSNQCSLFYVAAII >cds.KYUSt_chr3.32471 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204038124:204043328:-1 gene:KYUSg_chr3.32471 transcript:KYUSt_chr3.32471 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNKDSCPPDLGFAMAAALEENSNWPFGISSIEMEVGSTELNPIVLSDGEEEMPMESDPDMDIPVQQTVLVHRGPRITGNEEYDKHCVWGNNLVLNEDQCKALTNLEFSAKFTQRYLKKYLDDAIELPVQCAGYQKSYNVKMRLGLDLKRAMLTSGWAKAWEAEVTVYAVVAAGKWTFTEYAALRTEAGEDPKNQSLPAELKDAYNGCLSDYDATKAALGAALQKMDACDLKACSGDFDAALSGLEKCRVRLEGLQNVGATGLLYETVLADKGRTTLAYSLTRLAAN >cds.KYUSt_chr3.26008 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161657931:161658756:1 gene:KYUSg_chr3.26008 transcript:KYUSt_chr3.26008 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDAAPCTCGMLYGSCGGGCSMLQFGDHHHYYGKQCGDQQGFGVPYGGSVDCTLSLGTPSTRRAAETGGARAPAAPAGLPWEAVSTCNGGQQQLLSAARADQATSGGARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPTAMASDSGMEYAYGYARQQHQTQPQQWGCYNPAVAKAASYTMFGEAAQEDGPCLPWGLGVMPSSPAFGSVREMTSLFHYY >cds.KYUSt_chr1.36600 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223344275:223346769:-1 gene:KYUSg_chr1.36600 transcript:KYUSt_chr1.36600 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWDPYTAHDVYIVWGLVKPPPDMLRDLRLWFTRCNLLFIWMVEPYNPERVMRQFGLHQDIPPPSPRRLVADVHTQDNKGMSCFDRSHRNQTWITKWIDEAESDGVRKNRRYNGEILPTYNEWYRASTWTLLTGPPPSSPTHLTWASAYHRDTSIDEFRKIAQDAQNTLNLRNMDSTEEKSMVKRIFNTAIKGLRRLGCSSHDDVVSRAFDMPEAPSNRPSMEDDHFPSYPSGQRFSYTEGEYSQRFNTQESVDPTWSNMGAGLGHNMPPLRGRDHMQSQYPTQESLVPTWPDMTQQPQYYGSTSQQPRDDVTGIVEEFFGGAIFSSDASLIHPNQESPYVFHIPSPADETRTEDEENQYGRGLEDDELDCLGGS >cds.KYUSt_chr2.17046 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107376139:107376881:1 gene:KYUSg_chr2.17046 transcript:KYUSt_chr2.17046 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPWFVKYKVDVVFAGHSMPTNGHDDPGVFLMKEMTLLRTKLAIRGHTTRNMKPRFSSHRRPPWRRNGYHLPRRRKLSFEEKKRSSSSVEKKQPSSATEKKRPAVPRKKLAVRGEEWSAVEKRRPAAAEEKKPAVQGEKRAAVRRGEETAVVRHREEMAGRGRGEVAVVASLRRSLGSRLQLGRSLGTGAAGRRTAASSRLTFST >cds.KYUSt_chr2.54639 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340904492:340905493:-1 gene:KYUSg_chr2.54639 transcript:KYUSt_chr2.54639 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAAAGDINWHATGDGLLHPTAGEVSRLHGVPLDVVLHMPPGAHDRLASVEDHVVVETDEAANHLIKFADYGQFMNVFVIPPPPPPPPRDQPYPLGRLTVVVMPYADLASCKQLDELSSRTATPHLHGYYHRIEIANRDLLLRKLRATAAVDDEASRVRDLATQIESLGRGLFPANKYPRTSAHALRQNRQIAMERLMKAHLDAKHYGDAHDLLLLLDKRKHDAVFTSYDPCRGRSGDDGSPEDLAGKKQGVARRLEEYRQELERRHGNAPLEQWPERAVVLHTEALRKFDREIVDARRQVIDIVETAVQQLESIAEQLLAAGTEPSLLGCD >cds.KYUSt_chr4.29628 pep primary_assembly:MPB_Lper_Kyuss_1697:4:186108517:186117767:1 gene:KYUSg_chr4.29628 transcript:KYUSt_chr4.29628 gene_biotype:protein_coding transcript_biotype:protein_coding MRAATPAISILVSARALKIRFCISRIGDLPAPLGTAEPGIRPRPGLRNRTVAWYRLRPPLPPSAANGDQRPEVSLQIGLGSSMASLAPHFKWAPSPSSVTHLHHHPSASSTSSNSGRSSGRSPFGVQCAVTSAAATVFHADSVTCGPLRLVYSSPDTAPVLQRNFDTALASEILLNEEAVVTAAAAEAVALARAAAEAAQEVVHMVQKNSSPPMFRQKREVENYLAKEILRTETLSSSLNEYSDGFLLKDLEPYGIISDEAELDDDTEDIQSIAVKSARQSERRARRTRAAIKAGATVLSSQKLATSSKKKRSKGPSTSMNPLGSLWKMTGRKLLTAKEEVELSNGIQDLLKLEAIQAELAEYNGAQPTFPQWAAAAGTDESTLRKRLDHGIRCKNRMVTSNVRLVISIAREFEGPGLELYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQTQIFRLPAHMVEASYRVKECTKRLRRKLKRRPSNEEIAVDIGMPVKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPSAETAEEMLNRISMKKDVHQALDTLSPRERQVVKLRFGIDDLRIRTLQEIGNIMGVSRERIRQIESGAFRKLRGKKKVKSLKDYLVPVGNW >cds.KYUSt_chr3.26643 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166033592:166035071:1 gene:KYUSg_chr3.26643 transcript:KYUSt_chr3.26643 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTSSRAKKEWARGGAKLAMHDDQDQGLEDTPGGPWKVYALSRRPLPPWSPPSSPAVTNLHLDLADYAAVADALEPLKDISRWGSRSPVKDASPASSSPASAPGEDDPTTISDMDFAANYVSWSVAVACH >cds.KYUSt_chr7.30280 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188578057:188581574:-1 gene:KYUSg_chr7.30280 transcript:KYUSt_chr7.30280 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPIRDNSVTSSPHQPVFDRIITWSNEIHGHLREDESESCPFSARHDAFASIPSSLPLPLHIVHSRLPSQSRHDKESESESAHKEEKVSLPRDPIRLLRALILLLLPCPVPRGAKIHRPPVTPFRCGNWRETPTVVGGRFMDLDECGDLECKVRHYNFCFFSGPSWLKPSINNLVFSSSKASTTLPTKLPESAILSNPNKEMESNDQKPPRKVHESVDAASSKVPADDEEIVENIDVNKSDSTLHKDQHGAANASGSSARLVGRCETGERGVSSRCRPSTGSDVSEESSCSSFSSTSKPHKANDSRWEAIQMIRSRDGILGLSHFKLLKKLGCGDIGSVYLSELTGTKSYFAMKVMDKASLTGRKKLLRAQTEKEILQSLDHPFLPTLYTHFETDKFSCLVMEYAPGGDLHTLRQKQRGKYFPEQAVKFYVAEILLAMEYLHMLGIIYRDLKPENILVRDDGHIMLSDFDLSLRCAVSPTLIRSSNPETEALRKSSQAYCAQPACAEPSCMIQPSCAAPTTCFGPRFFSKSKKDRKPKPEVVNQVRPWPELMAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEVPRPVVIERPSKQPVSTSEAAASPTGAAQKGSDNYLEFDFF >cds.KYUSt_contig_2431.51 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000336.1:335573:337030:1 gene:KYUSg_contig_2431.51 transcript:KYUSt_contig_2431.51 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPAVLGLLLLLVLVLNPNGAEARPAPAGGHPQKKLSSYSFFVFGDDFVDNGNLPLTDPVTQMSRQWAYPYGSSYVDADGNPRPNTPSGRFSNYQIQSDFMATILGLEEAPPAHALTAEKTCDPSGMTFAYAGAGVLDSSSTHKVPTLAKQVDTFRKMVNDGIISEQQLSRSVALVAVSGNDYRGSSSNIGLSTPNDINAYIGRVTKEIAANVEQLQKLGMTKVVVNNLHPVGCTPLQTRTNNYTACDVFGNLGASVHNSNLKQTMEGKKNVHVADLYTAFSNIVDTAPGKGQELSKQFKRKLSPCCESFDSNGYCGQQGDSSELLYTVCDKSNKFFYWDDMHPTHTGWEAVMKQLEKPLREFVVQD >cds.KYUSt_chr6.28463 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180349844:180351853:1 gene:KYUSg_chr6.28463 transcript:KYUSt_chr6.28463 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDVDDRAAASLAAAARSLRAGLDRSRALGHALARAGPRLEEIQAALPALEAAVRPIRAPMAELAAAGPHIDRAVGPAAAVLKVFDAVHGLEPPLLLQGGGGGAGDLPEYLAVLARLEEALGFLASNCGLAAQWLADIVEYLGDRDLADPRFLAEVGVALRGLRENNNPSSAHLDGGLLAAALDMLQAEFRRLLADHSAPLSTTSTAKSRVPAAAVRKLSLILDRLLANGRQETSISLYIDARGSVVSASLRDLGLDYLRNPADDAQALGPRVELWGQHLEFVVRRLLESERQLCIKVFGEQHKTDASACFTEVAARASVLDFLSFGRAAADAKKDPIKLMRLLEVFDSLNRLRMDFNRLFGGKACADIQCQTRDLVKLLVDGAVEIFEELLVQVELQRQMPPPADGGVPRLVTFIVEYCNRLLSEKYRPVLAQVLAIHRSWRKEVFTDKMLAAAVLNIVKALEANFNVWSRAYGNNTLSYIFMMNTRWHLFKHVKGTKLAELLGDVWIRDHEQFKDYYITMFMRDSWGALSPLLNREGLILFSKGRATAKDLVKQRLKTFNARFSEMFHEQSAWIIPDKDLRRETCDHVMQAIVPPYRSYMQNYGPLVEQDVSASKYVKYTVDGLEKMLGALFAPRPRRSGSFQIGHSNGKMSSAMTGLYRSASTVK >cds.KYUSt_chr4.41585 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257302606:257303151:-1 gene:KYUSg_chr4.41585 transcript:KYUSt_chr4.41585 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPFPPFSIYYSVFLAGLPGLALRVAVSSSSSCPIPAVPKRCFGRLRISWVTAHPVCDEPPAVPQHVLSCSRLDLANKSTSYGSSTHGGPAPCRVPEAGDGITRRAAIWPPHAISGGTWGGGTVLGMEARRWAGSGEEETPASTPAPTATSGYEHRVMPIHYNTSKDRRGGTPRPTWSE >cds.KYUSt_chr7.13837 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85493119:85495267:1 gene:KYUSg_chr7.13837 transcript:KYUSt_chr7.13837 gene_biotype:protein_coding transcript_biotype:protein_coding MSERQEQLPAEKNIECGDVPSFASPVPRLLPSEVASPTYATTLLSSQNKKGLLRSNLGIDLTPSPSKLLSRQPEVDSELVVSCTTPPIRLPDIENLILGQNISKEEVLDNFIGKFVGLAMKGFEKVDAESVEPNFTWQSRTFASFALEQRNKDTNNKMKYELVEATKSCHIIEGEGWYVHVNFIARAVDSPDQLFFAEVRHEDEIKVLTCFCCLQEHEQVGGLKTIETHEPPATLEMDLKHCYACSEIIAHPKNGASYKAGHIAFSGYYDAD >cds.KYUSt_chr7.33926 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211899931:211906864:-1 gene:KYUSg_chr7.33926 transcript:KYUSt_chr7.33926 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKTLPEKLTRTEASKGSRLRWRDGVDHFEQGDHHRDAFNTGMTPAEAGSGVDDVEAVVGCSTLPGEASPVAATADSISSTSGNSVAGGQSTVRGELGALAEVSVIDGDDVGERAVVSGWKRRPRVGKAPPERPLNSARVTALEQTLREFPARSSGEVIVPEVGVTFDSIGEAYDFYNLYSWERGFGVRYGKSRLNVDRVKCMQEIVCGCSGRPRSTNSQSTRCLCPALIRLLRSKDNGWYICEHRDVHSHELSASFGERAHWPSHRHIDSYTKDLIRQLRENNVNLGKVYSIIGSFFGKMENIPFTKRALRTLCGKMSSEQADDDVRKTIEVFSEMGAADPEFTYSVQVDDNSRIINLLWTSGKGRAQYHYFGDAITFDTTYRTNVYDMPFGLIVGVNSHFQSVIFAGVLLREEKVENFEWLFREFVKMMSGKNPLTILTDQCRAMEVAIGNVLPNTKHRWCKWHVLRKAKERLGSLYGKNSQFKVDFHRIVNQMLTKEEFEGAWVHMLSTYALEKNPYLYQIYETRAKWAKPYFSGIFCARMTSTQRSESANHMLKTYVPPGSAMHVFVKQFNKLLFDRDAEESFQEKRTRLGGIVYKVGEPIEKHAAKIYTCTMFEKFQECLYKAGSYYVDELVPGEVYAATHFDSESREKWCKAKYTITVSAGCYTCECGMYEHMGMLCCHVLKVLTHLRLKEIPAAHVLKRWTVDARDILPMHLVQYQKDQGLVTSFSFRHSQLYLNCMEVVRLGDVNVDAYTTAMETIMVLVPKLKTVAVECDGLGLEERLNAKRARVGGPATQAVGQNIQRNNLCSDAAILAPSKNRSGGRPTSSPDKPPYETTSKRTRFCTRGKYEMNPHMEARALVESLSQMFALLILDDPSADRPAFAPVAEHRREWRWRRVHNTVPAWRCAMGAGGARSSHGSWIWVEVDAGRFRLLDKVNIWGCGLMWEQAMPTQILFVQDDSTQPVQLNLFGA >cds.KYUSt_chr1.6638 pep primary_assembly:MPB_Lper_Kyuss_1697:1:40919056:40920525:1 gene:KYUSg_chr1.6638 transcript:KYUSt_chr1.6638 gene_biotype:protein_coding transcript_biotype:protein_coding MWDWDATSLAGAIKSAATGRSAHHVKPLHALLLKLGLSASAILSTSLAHLALRCGLPGYARALFGEMPRRDVVSWTSLITGHAHQGLHTDSLSLFRRMVESGVAPNGYTLSGGLLACAGVGQAALAIGKEIHARVVKMSMYGPVDSVVENGVLDMYTRCGNVDYARRVFRVMAARDIVAWNSMMAGCLGSGQAEEAVALFASMVSCGVGADGFSCAIIVGACGELALLKQGMQVHARVIRGGFEWDVVVRNSLVDMYAKCGCVDSAELVFKAATSLDSVLWTTMISAYGKSGRAQDAVSMFDRMAHLGIKRDGVAYLAVLSACSHGGLVREGWHYFKVLFDGQSSVKLQPEHYGCMADLICRRGCLQDALEFIESMPFDSSVAAWSALLNSCRIYRDAKLGQLAASRLLELDPGNHSNWVALSSIHALEGDWHETWMIRENMNKEWVKKEPGCSWVELQDGVHVFLMADQSHPELVNVLQTLDSLKEDI >cds.KYUSt_chr2.37968 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235009361:235012673:-1 gene:KYUSg_chr2.37968 transcript:KYUSt_chr2.37968 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDVLGPAGFSDGGAPPGLRLPLSTVAVKPKRRSSRLTQTQPQPDARIPGTQTIYVKTFGCSHNQSDSEYMSGQLSAFGYAITEEPEGADLWLINTCTVKNPSQSAMTTLISKCKSANKPLVVAGCVPQGSRDLKELEGISIIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIDSLVDRVKIVVSEGVREIWLSSEDTGAYGRDIGTNLPNLLNAIVAELPADRSTMLRIGMTNPPFILEHLNEIASVLRHPCVYTFLHVPVQSGSDVVLKAMNREYTVSEFRMVVDTLCELVPGMQIATDIICGFPGETDEDFAQTVSLVKEYQLPQVHISQFYPRPGTPAARMKKVPSIEVKKRSRELTSVFEAFSPYEGLKGRVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSMLGTSADAKITSVGRWSVFGEVIEGSVAVNEASLKQNCAEVRQENSQNHVEEATCTTKTCDSCACSGSESAAQQCSPPSVAPTNRAEAARQETPQPTLVRRNVEGTVKTSEIDTATPTAKDQQPNVIARRALNIDRILWGGLAVSFATTVVVLVLLTYKISHTPSY >cds.KYUSt_chr4.42869 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265520451:265528011:-1 gene:KYUSg_chr4.42869 transcript:KYUSt_chr4.42869 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPSGSSIMPAPPPAPLPEAQSLVLPGHGAAIAPAMELMAVPKKKVISKEFRFEPPPPPSDLHPDRPLPQQAQRHLTKLQPAGPPPAAMFGVVFPDHTFPLDATAFAQVAPTSWLLDFSTLALPSTPRSAVVFLLPPAAAALPPGKAVAVYYQAAANRPFAFLGALGPARPSATFRLPEAGDEPEPPAGPAKLGVAVEDAAALPPAPEEQRAERVALRVGENLFNFMQSFCAADGGKLIVPTDIMDRWFRKFQERAKKDPSYLKSFDF >cds.KYUSt_chr4.49481 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306432408:306439300:1 gene:KYUSg_chr4.49481 transcript:KYUSt_chr4.49481 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRVPTLAVEAVPSRVATTSLLLGFEMLLWLTGLDSLAVVVSQDLPRPPLENTINYLEAGQLSSSFRSSERPSKPLQIVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKLAAWKDEDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEYSRFDFPEVLPAPLNGIWAILKNNEMLTWPEKVKFAIGLLPAMLGGQAYVEAQDGLTVSEWMEKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVNHIQSLGGEVRLNSRIKKIELNPDGTVKHFALSDGTQITGDAYVCAAPVDIFKLLVPEQWREISYFKRLDKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVACKEYYDPDRSMLELVFAPAEEWIGRSDAEIIEATMQELAKLFPDEIAADQSKAKIRKYHVVKTPRSVYKTIPDCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDSKLLSRRSQESLKTKSEVPVAS >cds.KYUSt_chr7.18753 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116210135:116212351:1 gene:KYUSg_chr7.18753 transcript:KYUSt_chr7.18753 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAGAARSLSRALAPAARHHRTLASSAAALAEDECWSEWEEEEEEARRQRARASAPAAESFPAGGGPAGVQWVVMGRPGPQKHAHAARLAEVLAVPYISMGTLVRQELSPTSSLYKKIANSVNEGKLVPEDIIFGLLTKRLEDGYNKGETGFILDGIPRTRMQAEILDEIVDIDLVLNFKCADNCFMKRRSGGDICTHCGQLFDASSTVSRERNLSLGSPTWHGQAQPASIVGLENPRLEKMRAYAEQAKQLEDYYKKHRKLVELKTSARPGETWQGLVSALQLQHLDPSPTPHKLTA >cds.KYUSt_chr6.14328 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89636104:89638307:-1 gene:KYUSg_chr6.14328 transcript:KYUSt_chr6.14328 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQNDGTGTPVLLNVYDLTPVNDYLYWLGFGVFHSGIEVHGMEYGFGAHDFSSSGVFEVESKCCPGFVYRKTVWLGTTDMSREEFRSFIEKLAGKYHGNTYHLISKNCNHFTDDVCKNLTGKPIPGWVNRLARVGSVFDCLLPESVQVSPVGRVPTLRPIIDDDSGSVSSSDSDEGDEDKHLLPAPSTDLNPVDVPLKLAKDLL >cds.KYUSt_chr3.11554 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68887892:68888689:-1 gene:KYUSg_chr3.11554 transcript:KYUSt_chr3.11554 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSSCFLLFTAILGVISWQAIASDPGSLQDFCVADNSSRVLVNGFVCKDPKVVTAEDFFLAAKLDVPRDTKMSKVGSNVTLINVMKIAGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNTDNRLFTKELNKGDVFVFPQGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPMISDDVLAKAFQVEKKTVDWLQAQFWADNHN >cds.KYUSt_chr2.45907 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286547297:286548650:-1 gene:KYUSg_chr2.45907 transcript:KYUSt_chr2.45907 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKANGDASFKASGKRKAAAGGVAKPKRAPTPYFVFLAEFRPQYMQEHPEAKGVIAVTKAAGEKWRSMSDEEKAKYGSKKQEVKETKAASKKESTSSKKAKTDADEEEGEGSDKSKSDVEDDGEEEDKE >cds.KYUSt_chr2.3151 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18500290:18500625:-1 gene:KYUSg_chr2.3151 transcript:KYUSt_chr2.3151 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTAPEIPGALSTPPDVGNDFLGSLSRRRDGAMPLSDLLLLAATSNAPAEILLLTPQTASDASTEIVLLALLHADGPHRRPDPSPDPSPDPPPLGKPILHYFFSFIYPPP >cds.KYUSt_chr6.22787 pep primary_assembly:MPB_Lper_Kyuss_1697:6:143889864:143890373:-1 gene:KYUSg_chr6.22787 transcript:KYUSt_chr6.22787 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQKQEQAATPTPALFSLHPTLFFMFSSLDSNFQFTSAPAKATADDQSQGDDRRLSAMDRVKKRHEEKGFLYACFFMLCCCFCCHEACERCLQCFCCCRSKDE >cds.KYUSt_chr5.30791 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195048890:195066052:1 gene:KYUSg_chr5.30791 transcript:KYUSt_chr5.30791 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGPSPSLLFLLIGLAASLLPTSPAQPTDVNVGLIIDAASPVGKIATTTIPMALDDFYAAFPNSTARVKILQHDTGGDVVAAASAALQLMTRQGARAILGPQSSVESAFVADLGTRAEVPVVSFSATSPSVSPTTARFFVRAALSDAAQAGAIAALATYFGWRRVVPIYQDDDYGAAFVPFLVDALTSARAAVPYRCALPAAASDDAVAAALYRMESEQTRAFVLHTRPGLAERVLDAAAEAGMMGEGYAWVITDGLTGLHGFVKPPQGVIGLAAYVRDTPRMRDVQRRWAHRYMREHPEADRAHAEMGCYAVWAYDAAWAVASAAERLSSSDLSSPPGLAGGRGGPTDIAGLGKSMSGENFLGAINGTEFEGLAGRFNLTNGELAVPAFEVVNIMDNGKERSLGFWTQQHGLSRHVGRRSKALDGELKPVIWPGDSTERPSGWVQPTIARKLRVAVPGNVSDSYRPIVHLDVDPVTNQTTAGGFVIEVFEAAVRLLPYALPFEYVRAKSMPYDELVEAVGNGTFDAAVADITITANRSTYVDFTLPYEATAIAMVVAVRDQRSNKRTWVFLKPLRYDLWLVSAVFFLFTGFVVWAIEHRDNDDFRGPPSYQVGTLLYFGFSTLVFAHRESLKSNLSRFAVLVWVFVVLILQSSYTASLTSMLTVPQLEPSVADYGALLRGTEKVGVMNNSFVLRAMTASGFPQDRLVRYPRAQSFHEALLNGSIGAIVNETPYLRIFLKTYRDNFTMTGQLNKTGGFGFAFPKGSPYVTDLSQAILKLTESDEMNMIERKWFGDPDEDGAQQDGGPFTSDSLSFGSFWGLFLITGVTSLLCCAVHLVRFVATNHGELEPHLSWKGRIWRLAKIFDDKDPSSHTFRIKDDGGSVAGRTDTVASPRIAHSEFGSPLSAPYTSEWSVETASPATGEIEFATGGEDRVEGIEMTMDRLNGITADLLENYSVGAIIGPQKSSEATFVSDIGNHSKVPVISFTATNPALSSGNVPYFLRATLSDAAQVNSLAALIKAYGWREVVPIYEETDFGRGIIPYLVDALQEFGASMPYRSGISQSANSDQVEKELYKLMTMQTRVYIVHMSSATGSILFTKAKELGMMNEGYAWILTDGIANIVNSLNPLVLDAMDGALGVRFYVPRSKELSDFTRRWTMRYKQENPNDPPTEPSIFGLRGYDTIWALAQAAEKVSMTNDMSQKQQHRKNSMCLRTLGVSTIGPKLLDAISRNKFRGLSGDFDITSRQLPFSIFQIINVVRRESKEIGFWTAKNGIVRNLNQNGFKHTYLNSIPDLNPVFWPGEVHVVPKGWQIPTNGKKLRVGVRTSGYPEFMKVERNPVTNATTATGYAIDVFEEVLRQLPYAITYEYVAFDSSQGVSNGSYNDFVYQVQLGVYQIAIGDITIRYNRTSYADFTLPYTESGIAMIVPVKDDTSKDTWIFLKPLTTDLWIGSIVFFIFTGAAIWLLERRIDNTELTGSISRQLGIAIYFPIFVDKERVQSILSRLVIIVWFIVLLAITSSYTASLSSLLTVQQLQPTVTDVHELLRKGEYVGYHNGSYVGDLLEQLGFDRRKIRSYNNPDDFADALYKGSKNGGIAALIHEIPYIKIFLATHCKGYTMVGPIYKSEGFGFAFPKGSPLVYDFSRAILSITEGDVIIHMERKWIGDQPSCQNDGAIIGSSRLNFKNFSGLFLLTGIASTSALLIALVMFLYKKHKIRNSTGPNQIQIEYDAEYINERTQETTTESNPSQNMQLTVPDDSDSYACQQEIEISRELSPSSEVQTTPDFTPHGTSSNGL >cds.KYUSt_contig_257.314 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2416636:2418369:1 gene:KYUSg_contig_257.314 transcript:KYUSt_contig_257.314 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGQKKTGQGRMDAAIDHFAQMGYNKADVRKVVNNLIKNVYGDKGWPFLEESGYLVVQEALFEKQEQEEKLQLQLLQEEQQVEAQQQEGAMMGAPPESDMPIVKVHNEVPSETVFAVEQTEQLVPMIIDPPAPKAALPHPPATGSGRTRLPCYGWISESESDSDYEEFLASRQQQVHVPTSGRDLPKRKRPSRWDVK >cds.KYUSt_chr7.39646 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246446793:246450677:1 gene:KYUSg_chr7.39646 transcript:KYUSt_chr7.39646 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAVVVSVLVLLVSVYLLVNYQHPDDANQAYFPKLVVVLGITVAVLSILMLPADVANRQACRRAVYNGACSLTLPMKTLWLLIYILDAVLVFLVIPFAMFYYEGDQDKSVGKRLKTALIWVVASAVVCGLILGILYAVIGKVDFTVRHLSSSVQAFPSQFSGFSSNQPCIAPLTRQCSANTAPANSQTTWTMRATFPEYVVALTTIVGSVLFTIFGGVGIACLPLSLIFSFVRRPKAVITRSQYIKEATELGKKAKELKKAAEALHQEERSGSKGRKWRKNVKAVEKELLLLENDMNALEEMYPQGEKAEATWAFTVLAYLGKLVFGVIGLIISIAWVAHIVIYLLIDPPLSSFLNEIFIKLDDVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN >cds.KYUSt_contig_1181.1433 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:9260134:9262195:-1 gene:KYUSg_contig_1181.1433 transcript:KYUSt_contig_1181.1433 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSLYSYLLLSNAITLLLVSPCCVGLGLDTVRDFLTREEDTIVFSLIERAKHPLNLPAYEEHAWFGPDGRRHNDSFAELFVRESEQIQAKAGRYQSQEEIPFFHSRVPFTLAPPYNFTTDLYPGAESVNVNDIIWGIYFNELLPLLAKNGDDGNYAVTAASDLACLQALSRRINYGRYVAEVKFRGDQQRYTALIGSKDKDALMKLLTSEAQENVVKRRVEKKAMVLGQDITLDGPTETGDTNSGQSSFKVAPSVVYKLYDQWVIPLTKNVEVDYLLHRLD >cds.KYUSt_chr6.20915 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131887265:131887915:1 gene:KYUSg_chr6.20915 transcript:KYUSt_chr6.20915 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPGLTGGFKFTPDDDEVIELYLVPSLRRQPTALAGILIDADPLSAPPWKLFSNNGLDDDVKEAYFLAPGDATKNRKVRVCANAGTWVTQKLEKSGEVRIDGETFAWQKHRLNFHYGKAKSGSMGWVMHEYSVVAAPQDCASLKICHISFTGHGQKRKRTPNGSDCDGAANSPRRRLLPRQALRMILLKCTTMRRLPGPMKSLCRRRHTSLRIQ >cds.KYUSt_chr5.13642 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88627774:88631703:1 gene:KYUSg_chr5.13642 transcript:KYUSt_chr5.13642 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGGAVPTAGGKPSARRYADGLSNAGGISWYTDETYADGAMATATVGTQLRRRHLELCLRLLAVGSWAWRMERANTDLMLQNLCIMEENERLRRMARELDQENKKMLADLRMKQQQQQQQQMATSSRTAAQMAPGGASSGATARASKSGKKQPK >cds.KYUSt_chr5.30985 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196135660:196141563:1 gene:KYUSg_chr5.30985 transcript:KYUSt_chr5.30985 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGRRVASAVEGSSAVRSRTRSQAASEVEGVRLLRGEVEDEVAGGVRGRGRAAPRRGALRLSSLKRGYGHPLAVAHLMTCAIAKENHTLNVLMESKAGKKSSSSSMYEAPLGYKIEDVRPAGGIKKFQSAAYSNCARKPS >cds.KYUSt_chr2.43275 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269279985:269282010:1 gene:KYUSg_chr2.43275 transcript:KYUSt_chr2.43275 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNNKVVDLRSDTVTKPSEAMRAAMAAADVDDDVLGADPTAQRFEAEMARIMGKEAALFVPSGTMANLVSVLVHCDARGCEVILGDNSHIHVYENGGISTIGGVHPKTVPNNADGTMDIDRIVAAIRHQDGALYYPTTRLICLENTHANCGGKCLSVEYIDKVGEVAKNHGLKLHIDGARIFNASVALGVPVDRLVRAADSVSVCLSKGLGAPVGSIIVGAKAFIDKAKILRKTLGGGMRQVGILCAAAYVGVRDTVGKLADDHRKAKVLADGLKKIKQFTVDLTSVETNMVFFDIADPRITPDKLCQVLEQRNVLAMPASSKSVRLVIHYQISDSDVQHALTCIEKAVEEILSGSAKFEHLTNGSTANSYGH >cds.KYUSt_chr1.21931 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129564293:129565660:1 gene:KYUSg_chr1.21931 transcript:KYUSt_chr1.21931 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKFALAFKTKTIEFFAEEDEEDEYAAAGEGGVLAGQRVFVLKPDPHNPSPAGGDGENGSASGEEAAAAAAAALATVSSFQAAYLHLQAAHTPFLPDAAAAADALAVSHLRRLSELKRLASGGAPADDGSLALTAHLEDQVRENQALLRSFDAVVNRLQAALDAKDAAAASLRWEHAALADGNARLAGRLDRALAPQPGAGGGDALGAMLSASVFDSVLRDALRVAHRFTRALADLLRCAGWDLADAAAAAYPGVAYSKQGHCRYALLSRVCLSMFDGFDSYQFGGTSDASAVEGIELAIRRNESLQQFIEHSDADPMELMSSSPDCQFAQFCDRKYKQLIHPGLESSLFGNSDCGALPVMATVGPLYEMFITMASSIWTLHRLAWAYDPAVGIFQVSRGAEYSSVYMESIVRPKAFSASKEVGKTVRPKVGFTVVPGFRLGGTVIQCRVYLES >cds.KYUSt_chr7.22091 pep primary_assembly:MPB_Lper_Kyuss_1697:7:136792343:136793416:-1 gene:KYUSg_chr7.22091 transcript:KYUSt_chr7.22091 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRCTTERAKGTHVFEIVGYSLIKGLGVGEYVRSATFTVGGYDWAICFCPDGVDQYADCVCVSVSLDSRGGRMGATARASCDLRLVNQDTGLPKSILSSAAPAMFNYGYNCRFFPEQGAAIVRSLLEQKESGYIKDDSLMIECVLTVIKQSEVVKTKGNSEIKVTPSKLSQHLGKLLLAEKGSDVTFSVGGETFAAHKIILAARSPVFEAELYGEMKERNEQCIVVEDMQPAAFKALLHFIYTDSFPGVDDTGDDDYTETIRHLLVAADRYAIDRLKLICQSILGKNLAMETLATTLALADQHNCDRLKDACIEFISSKDEMDALMATQGYTNLKRTCPSVLLDVLEKASKLRKT >cds.KYUSt_chr7.10965 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67427124:67432225:1 gene:KYUSg_chr7.10965 transcript:KYUSt_chr7.10965 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPELADSDADDSDAAASPSPTQSRSPSPARSPSGSPTARRPAALMDVDDDDGYTDAAQGAIVLAEDKKYYPTAEEVYGPGVEALVMDEDEQALEKPIVAPPRDVKFEVGTRAGATSTYASTDFVLGLASNPLLVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERRVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPTDAYFKLRHTLDTINDLISSCSTTVGGTQLVDPAAGNVCFASGSAGWSFTLQSFAHLYLKIHGIPFDHEKFASRLWGDLYYDHTSRTFKKKPPAVGANRSFIEFILEPLYKIYSQVVGEQKRNVESTLADLGVTLSNAAYKLNVMPLLRLACRSIFGTATGFTDMLVKNIPNVKDAAARKIEHIYTGQQDSALVDAMKKCDSQGPLMVNVTKLYPKPDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVPISKAPAGSWVLIEGVDASIMKTATICPMNMDDDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMLAEPLEKGLAEDIENGLVSLDSRQKEVTDFFRQRYQWDVLAARSIWAFGPDKQGPNILLDDSLSVEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYIEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPVYVVKAFLPVIESFGFETDLRYHTQGQAFCVSVFDHWAIVPGDPLDKNIALRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMM >cds.KYUSt_chr5.32695 pep primary_assembly:MPB_Lper_Kyuss_1697:5:207356435:207358576:-1 gene:KYUSg_chr5.32695 transcript:KYUSt_chr5.32695 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSAAPPWLVRVVGANADQASSSSSSKGGARVLTAGTTTMDTGATAAAYYGGGGAGCNAADPQESSSSGQSRLAARGHWRPAEDAKLRELVALYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRISKRPFSDEEEERLMSAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLDTSSVVAAGHVDVVGHHHHHHLMAAAAAHDSAGFTDPYGFSLRHYCFPAGAVAASAEEPAFCLFAGPGAAAVHDGRLSWPDDMALRGRGGGGMGSRYGEPPFVLPAHGGWIGSGHQHEMARFISDDANGTSAAAFETATARDQGARFDSAVASPAFIDFLGVGAT >cds.KYUSt_chr4.19714 pep primary_assembly:MPB_Lper_Kyuss_1697:4:124060606:124067833:-1 gene:KYUSg_chr4.19714 transcript:KYUSt_chr4.19714 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRRSLLLLLLPLLLLSVRAFQSDELLLHDDDEFEGGIRPSPVPSQPASPAPVVSSPRRRSADAAQAAGAAESNAVQFTLEHDLGAGAGFTPAGSFSARLKSSAHGSQRCIPRDSLDEHIVIHMDGVNVLAVNYGSVGGCQYPRPMKLPSKWTFNSYTVLKTAEQAPRTPSFVEQLIETESGLGEVMKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANIPEEQAGGQGQPAAQRAPIAAPRRR >cds.KYUSt_chr7.9224 pep primary_assembly:MPB_Lper_Kyuss_1697:7:56112517:56116577:-1 gene:KYUSg_chr7.9224 transcript:KYUSt_chr7.9224 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLWLNPSSEIDLNLDLGPMIQHAAMLVEDSLGAHHLLVAARPTEGLRPRPESRAALCSHRSYPSPKKPSGRHLTIPVAVLDAEGVATTAGEGSGQWAGLEVKKATKSEIVRVKLPLPLAEIAAKHRSGVGGGEAASAAAGHAHPGLWRTPTPYLFLGFALMMGLIAVALLVLLCTRRKPSGSSRRGSGATAESASARGTKMAPLDREPKVVVIMAGDQMPSFIASARPFAFGFATVADAGEQRKAEVA >cds.KYUSt_chr1.36143 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220326223:220334178:1 gene:KYUSg_chr1.36143 transcript:KYUSt_chr1.36143 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYNDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGSGWRLSAGGVPIPPPPHGHALDVAIEEARMTLTDEERAEPHHHPDNYTAWNSYFLRWWERELASYDGPPPPPLRNNAAGRRRWWSAPGRTLEAVLDHIEGGNFPVLTMPPPLRASASRHRGNVWQPRRMAASSSSSGSAPRSSLAPVKREEATSPSTPVRVKKEPASPPPTERRSSRRPRHPRPTLPAWAEEEGGGKGGTPRPPPQTELAEEEAKRAEDAAVAEAIAGSLNDLVPADNTLPEDAALAWSRREWEREEAEQQRRPMDLAAARRLAARRSNHRRRRRALPPSCDASIRRRCPRRRPRVLGRRMVQAIPGVGRRRPRQQPPGRAAEDSKFTQQELPACKPLLTPGIVIGAFSLIGIVFVPIGLASLSASQEIVELVDRYDEECVPASDKIGFIQDTKVNKACTRKITVPKPMKGPIHIYYQLENFYQNHRRYVKSRSDQQLRSKDYKDPKAVIKSCDPEATTGDGSLIVPCGLIAWSLFNDTYAFSVNKKEVQVNKKNIAWASDKNSKFGSDVFPINFQKGGLIGGGNLNDKLPLSEQEDLIVWMRTAALPTFRKLYGRIESDIMASDEITVVIQNNYNTYSFGGTKALVLSTASWIGGKNNFIGIAYVAVGGICLFLAMGFVVLYVIKPRTLGDPSYLSWNKEDAGYSH >cds.KYUSt_chr1.28856 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174611535:174612596:1 gene:KYUSg_chr1.28856 transcript:KYUSt_chr1.28856 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPELAFCFARFVAREHRRGYPCRTFSLAAARRWNSGRRREWNGGLRRRHHGNRWVPRRRFGPGGGLHSRLRGNRWAPQQHNRHGNRWLQRHRYHGEPGPSTTAAVRREQTPVVAAEPAIVVAVPEVTAPEVAAEDVVDAVYEDEASVSNVSADADELVSVPPEFAVPPMEWLLGGPSTGWLVDDAERDFSDDDLAAPPPPPHMYYYLRHGFGPCLPSPTPSNEDVQHFALPGYDPVPEFSAPPDAVQPEATIEEVEAVAPAPAAPALPDLNLPAQEKEENEPVLALPTPSPEARVILRRFAAAMAARPSGIRRGTWSPEALSLTNGVAELRLNEAAPHLPSSSVEEPGRR >cds.KYUSt_chr4.13942 pep primary_assembly:MPB_Lper_Kyuss_1697:4:85802530:85802904:1 gene:KYUSg_chr4.13942 transcript:KYUSt_chr4.13942 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRKGWARGLAAFAAVAVAVSAGRKYGWDGEAAVAAFRRGRSALGPWAAPSYVLAHALTLALCPPYAIFFEGAAALVFGFLPGVACVFSAKILGASLSFWIGRSSHPSLPHFPVFPAFCRIG >cds.KYUSt_chr7.129 pep primary_assembly:MPB_Lper_Kyuss_1697:7:674076:674969:1 gene:KYUSg_chr7.129 transcript:KYUSt_chr7.129 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFARSISFPLSPSRSSKPSAPPSCHARSVSLPCRSHPILAHLHTHIRAVRAWAQQGPASASSSVAAGLAHVEALHAALGDLLDLPEAQAALSGAGAASDRMLDAFLRLADAHGCFQESVVALKQDVAEALTAVRRRDGARLASAVRAQRRAGKELARLAVAARECAVRPSRLSFMGGAAEAEVSGLLMESAAATASASAALFGTVAAMSASVASVAAESCSCKRTAALVCLVKTKKKSRSGDQVEEEETMGAVAERLEELEECIEELEAGSEKVFRSLVQTRVALLNIHTLHIF >cds.KYUSt_chr1.28210 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170186512:170199303:1 gene:KYUSg_chr1.28210 transcript:KYUSt_chr1.28210 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALLDPSSHFDLRHYPPHLFDPDLHLAEAGLPLGTFAGCGDDDLDFDLPADFSVDDFLLRSPERSDDSAEASAAGSGPTASPSPSPTASGSGSAVADASCEVKHEDSDSGRSGAGNAAPNWTLKRKQPSPAATSDAAKCRRRSGEGDGSVSPSASASASASRAAAADSDDGVPGAGGDTRRAARLIRNRESAQLSRQRKKRYVEELEDKVKSMASLINDLNSRISFVVAENATLRQQLGGPPPGVYPPPGAAMHFPWVPGYALRPHGSHVPLVPIPRLKPPPQQQQPSATTKVTKKSDTKKTADTKSRTKTKTKKVASVSLIGLMFVMLIFGAFVPGFNHSFGMRGRSDGAVLGGFDQPLRDRVVTVTSHGNKVPKDGLKNGDMSGADSGTRMGADDIAEQKHGPAVNASETLPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHRTSKQKSDLSGIDHKEKSISVAHHLSLPGNNMNPQEKSPVDGPLPQWFREGMAGGTDPLCVPSPAPSAMALRLPASGTKLPPFSLPPPHPLGANPSRSGLYAANAFPRRRCGAVAAVVRCAKRTGKRRYPSEKKRLDRRHKEQLRQTAPEEGGLAREAGFWRLSKLAVPASDDPGKDFVGVSPPLLQAIAKALKFPVASMLPEEAFSVIRKSFDARKILKEAQFVYTVDVDAKKLLDMEPRTWDFIARLEPKLGAVEYMSDEKVATDLISMISVHNKGSDDEHGIRDTVNNGSICPTRMKPRVAVVGSGPSGLFASLVLAELGAEVTLLERGQPVEQRGRDIGALAVRRILQSESNFCFGEGGAGTWSDGKLVTRIGKNTDGVQAVMKTLVHFGGPPNILIDGRPHLGTDKLVPLLQNFRHHLRDLGVDIRFNTRVDDLIVEGGQVKGVVVSDSRLQPGSGDQKLSFDAVVLAVGHSARDTYSMLLRHNVDITPKNFSVGLRIEHPQELINNIQYSELAAEVHKGRGRIPVADYKIVKSIGEGDAKNDIEQADQNRSCYSFCMCPGGQVVLTSTNPSELCVNGMSFSRRASKWANSALVVTVSSPDFKPFQSHGSLAGVEFQREYERRAAMMGGGNFVVPAQRVTDFISNKLSVTSLPPSSYRMGVRPSKLHELFPPYITEALQQSITMIDKE >cds.KYUSt_chr5.35861 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226518904:226519675:-1 gene:KYUSg_chr5.35861 transcript:KYUSt_chr5.35861 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVAIHARLAVFPPRLSASAAASSLRSDSSGYRFPSLRNLTGNSRRSLRYGRRRCRAAGITSSLELDLTEDNLAQLFDTSVGMTGQVDLAELDGPFVKLRLKGKFWHTRATVVARIGNYLKNRIPEILEVEIEDESQLDDSPAAY >cds.KYUSt_chr1.28220 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170281806:170283797:1 gene:KYUSg_chr1.28220 transcript:KYUSt_chr1.28220 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPAEEDGSPKKAKQGGFKTMPFILANEVCDRFATAGFNANMITYLTQQLHLPLVEASNLLTNFMGTAAFTPVFGAIIADSYAGRYWTIACGGVLYQLGMLGLVLSALIPSLRPATCSNTATTTASMATSCQRANGGQLAVLYVSLLFTALGSGGIRPCVVAFGADQFGVGGRRPGGEQKWSFFNFYFFTMGLAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSVLSFVVGYPLYVRVKPGGSPFVRLVHVIVAAIRKRKETVPEDAAMLYQNKELDATIAADGRLLHTNQLRFLDRAAIVTTTDAVDSGAEPNPWRLSTVHRVEELKSIVRMLPLWAASITLIAAASHNFTFAIQQSRTMERRLSPRFEIPPASMIIFTTLTMLVSLSLYDRVFVPIARRYTGRPSGISYFQRMGAGLAVSVLGVFAGALVERKRRAAAAEHGLLDSPGATVPISVFWLVPQYALHGVSDALSTVAHMEFLYDQSPESMRSSAAALFWVAGSLGNYLGTVLVTVVQSASGGEWLQDNINRGRLDYYYWLVTLLMLLNLVYYIVCFHFYTLKSFEVDAADEGPSVERENE >cds.KYUSt_chr2.3349 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20005121:20006295:-1 gene:KYUSg_chr2.3349 transcript:KYUSt_chr2.3349 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAAHAAAAPPAADPHPPYAHPYGYPYTYPPYHQPDPAAANPPAASSSSYYYSNPTAPADAQAQPQAQYNPYAATYHYYDPSAVAYGGGGLAQYYFSTGEASQAPPVSAPQPAPAPATGGQAGKHFGFDPQRYAQSLADAAPHPCCPRLPAVRLKRCDGLQIGKVGWSWGPDVLTGKRQQANVSRIDPEFPTPLTRFPNPSLATDLANGKVSSKFLCVSDKVKLT >cds.KYUSt_chr7.25203 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157220173:157223326:-1 gene:KYUSg_chr7.25203 transcript:KYUSt_chr7.25203 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLARSLRSRLRPPLASAAAPFSSVSAAAAEAERAVRDGPRNDWTRPEIQAIYDSPLLDLLFHGAQVHRNVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYSTGLKAEKLMKKDAVLEAAQKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKDIRGMGMEVCCTLGMLEKQQAEELKKAGLTAYNHNLDTSREYYPNIISTRSYDDRLQTLQHVREAGISVCSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALIAVKGTPLQDQKPVEIWEMIRMIASARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPNFGDEEATAAVASSTERCDQAASM >cds.KYUSt_chr2.33805 pep primary_assembly:MPB_Lper_Kyuss_1697:2:208927290:208931960:1 gene:KYUSg_chr2.33805 transcript:KYUSt_chr2.33805 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPH3 domain containing protein [Source: Projected from Oryza sativa (Os02g0568200)] MWESESDAGERGLVPVLGGSDRHDAVKSDGFVRRDQCWYVNSDIPSDLLVKVGDVSFNLHKYPMISRSGKMGRVIYESASPAAAVDPDTSVADLDDLPGGADSFELAARFCYGMAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGASSGRPPRSGGGTASPRWNVGGGDSKESSPSRQAVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGASITHYASKWLPGLTKDVANGGGGGVADEPWAQVSAGGGLHMIIAGAGAGGKDDAATSAPAREQRMVVESLISIIPPQRDSVSCGFLLRLLRLAIMLKAAPALVTELEKRVGMQLEQAALPDLLIPSVGRADTAYDVDLVQRLVEHFLVQEQTDQVSSSSPGRGEPEYYSSAASARMPPAGAASASASSGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARACDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALAGSSALKAGGADGPLASSSVPTRRQLLDATPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHDMDALQKQVEPSPAAAGPGAKMGGGKAQQPQGPSAWSNGWKKLGRLTKMTGAEAAGPGGHVGANGEAARKAQRRWRNSIS >cds.KYUSt_chr5.34812 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220529271:220529890:1 gene:KYUSg_chr5.34812 transcript:KYUSt_chr5.34812 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVALVTLLVASCAALAAATSYTVGDGKGWVIGVDYSGWTSGKSFAVGDKLVFTYTSKAHTVTEVSQSDYSSCSGSNALANDDSGATTVTLNTPGTHYYICNIPGHCAGGMKVAVTVGGGGSSGSGSSSGGGSITPSGAVLQVPAMGAVVAAAAGALIKVALF >cds.KYUSt_chr7.23722 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147776427:147777017:1 gene:KYUSg_chr7.23722 transcript:KYUSt_chr7.23722 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSEPGVGFLCAFWRQRPRLWYGNLRLLLRRCERRQEPAPVPGGDGDGGGRLATELAATEAARDRVQDSLVWLDPKPSKKMQWCGRKEWQQAAAPYRRRDVAPEMDDHCFNCFREGHIKRECLYDKVCIRCGEEGHEARECARPRNPATKEELHRLALAKFARRNERERRSGACGYPVHVGPARDVTGVPGIPA >cds.KYUSt_chr7.36647 pep primary_assembly:MPB_Lper_Kyuss_1697:7:228978318:228979373:1 gene:KYUSg_chr7.36647 transcript:KYUSt_chr7.36647 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSNAPAHATVPDRYVFPPEKRAAMQLDHDPACKVAFPVIDLHGGRALLSDNGQRRSQVASEIVAAGKEFGFFQVVNHGVGDDAVQAFRAAAAAFFALPAEEKQPYCSYDMSKRFRLATSTSYDGGETRYWRDYVKFSCYPASDDNVRSWPSKPVEFAPRLVEYCEAVQELAQTLLGLVAEGLGLDSGFFAGERSSGDTNMSINYYPPCPDPAVTMGLPAHCDRYLLTLLTQADVGGLQARYGGRWLLVCPVPGAFVVNFGHQMEFITNGLLACVEHRAVTNSSTVRMSVATHVQPTDGCLIKPAPELVDEATHPAKYREFLFSEFLEAYDAADASREDALEPFKTHHD >cds.KYUSt_chr6.30877 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195737345:195738559:-1 gene:KYUSg_chr6.30877 transcript:KYUSt_chr6.30877 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLTLHISPLTAAAGGCFHSTPRMGSEEGGMLLAAPSLDDMDDNILANILLRLPPQPSSLPRASAVCKRWHGLACDPGFCRRFRRHHRRSPPLLGFFNPEEFLTFVPTLDAPNRVVPGRFSLLYEYSYRYEACGSRHGLVFIFDDEYRHILVWDPVTGKQDRLDIPLEFKDDITVKGTVLRDAGDVHFRVVLVVGDTEGIQQRQSLARVYSAETNSWGDLISLPLPPEAYAKRFPMVILDNPAVLVGDSLYWQLAGCLSGILEFNLERRRLAVIRVPVHVNRLWFSIMREEGGGLGLVSVSGFTARLWKRKTDSDGVASWVLRRTIELDKLLSLDKKEHDHILMRGYAEENNVVFLSTAIGLFMVQLQSLQFTFMKHTKTTNIASNHPFESVYTAGNRLEA >cds.KYUSt_chr4.16878 pep primary_assembly:MPB_Lper_Kyuss_1697:4:105073375:105074220:1 gene:KYUSg_chr4.16878 transcript:KYUSt_chr4.16878 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFYRSTEMLARRPPGRDPAEGISRRRQGDDARLVPAAAFLASSSARGKSVAAAPVEGIAVARWDGGGKAPRTSWLSPALAAAADNQARAAVSVFRPPATSLS >cds.KYUSt_chr5.11801 pep primary_assembly:MPB_Lper_Kyuss_1697:5:76547694:76549645:-1 gene:KYUSg_chr5.11801 transcript:KYUSt_chr5.11801 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDHYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTETA >cds.KYUSt_chr3.10805 pep primary_assembly:MPB_Lper_Kyuss_1697:3:64316326:64326121:1 gene:KYUSg_chr3.10805 transcript:KYUSt_chr3.10805 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAAEAPFARSGSWREAEDEQEALRWAALQRLPTVARARRGLLRSPAVAVGDAAVEGDDALCEVDVAGLSSGDRTALVDRLLADSGDAEQFFRRIRARFDAVSIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDTISGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKMSGSITYNGHHLNEFVPQRTSAYVSQQDSHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNAGIKPDEDLDVFMKALALEGRQTSLVAEYIMKILGLDNCADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTHQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFAAMGFRCPERKNVADFLQEVLSKKDQQQYWCQYDYPYQFVSISKFAEAFKTFVVGKRLHEELDRPYNRKHNHPAALSTSSYGVKRLEILKSNFQWQRLLMNRNSFIYVFKFIQLLLVALITMTVFFRTTMHHDSVDDGIIYLGALYFAIVMILFNGFTEVSMLVTKLPVLYKHRDLHFYPPWAYTLPSWLLSIPTSLIESGMWTLVTYYVVGYDPQFTRFLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPAWWIWGYWVSPMMYAQNAISVNEFHGHSWSKPFADTNITLGEAVLTGYGLFKEKYWFWIGVGALLGYTVVLNALFTLFLTILNPIGNIQAVVSKDAIRHRDSRRKSDRVALELRSYLHSTSLNGLKLKEQKGMVLPFQPLSMCFKNINYYVDVPEELKKQGIAEDRLQLLVDVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVNDDTQRAFVEEVMELVELNPLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRQSKLFLQTKETVETLGKPTSESKELTFSTKYAQPFCAQFLACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILMQSLVYGTIFYSLGSFEWTTVKFLWFLFFMYFTLLYFTFYGMMTTAITPNHMVAPIIAAPFYTLWNLFCGFMIPRKLIPVWWRWYYWANPVSWTLYGLLTSQFGDLEQPLLLADGIRSTTVAAFLEEHFGFRHDFLGVVATMVVGFCVLFAVVFALAIKCLNFQRR >cds.KYUSt_chr5.26949 pep primary_assembly:MPB_Lper_Kyuss_1697:5:170524908:170526219:-1 gene:KYUSg_chr5.26949 transcript:KYUSt_chr5.26949 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSNKVVLAQPAATAPRLLPRAPGPVRGAAGGAGESHRARLRYQSLLQDYKDLLKETAAKKNRLHMEKLKKQRLLAEVKFLRTRHKSMSENPSHTVVYKVKNPAIVRPPSRTTVWDDRQRLVQAVGSSSRAPPAQQQWHHPAPRPRASPVVIDLNEACELSSDDTTEEFHGYQRSVAAGPSDAKAPAAFWDVRNQAARAGKRKISWQDQLALRV >cds.KYUSt_chr3.46679 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293400963:293403482:-1 gene:KYUSg_chr3.46679 transcript:KYUSt_chr3.46679 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIKSVLSLGENHQRPTRFWLDWWQGSGPLQDRFPILCVIVADPHATVAEYMSSAELLIPLRRGMGARERNELASLLFAVAEVRLPEGQDKLSWALEPSGKFSVKSLSGLVSSLSCGVAAELASDLANILGCPVSSFPQTYLGLPLSDHKLPAAALEFLSVKISKRIPGWRTSLLPIGGRLTLTTAVLSALPSFAMSVLPIPKGTLSKMDRPQRAMLWKAKDKCSGGDCQVAWDYVCRLRSEGGLGVIDLGLQNKCLLLKALHGLFTGRDSPWTRVEPRDGRTTSLWHDAWTPLGPLSAALPAAFSHCLRPLATVADALENGAVEVPLVHRVSAAAAGEMEFIHACLSRISLTSSPDIRSISLGPLTDFSTGSVYRSLHSSGCIVPGQDVNWACFAPLKVRVFFWILRLHKTRTRALLHRIGCVASSDCPFCPNQLEDTSHLFVGCPRLRPLWNVVSPSGRPHVGADVPALLDALSEDLPPMHPEARNTVILALLWTVWKSRNRMVFDADFMSTPRILAMLVDHLRLWVIRAPPRIDTSALLSWCQAIS >cds.KYUSt_chr6.3212 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18792200:18806996:1 gene:KYUSg_chr6.3212 transcript:KYUSt_chr6.3212 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNAIFSRNPQPENLTELMGKFKDVRNIHDFEKVVDGVLQRLSKRRSPGCQRKGKPGQSSNFRGEQQLIRQQKTSMGKPSVKGRSSSNRWSSIAMLEGDERALQHDWLSNLPDDLLLNIIERLDVADAMRISILARRWKQIPTMLSKILIMVGSTDNVQERTCDAARANATVLGATRRLVESRIASPYTIHRLCMQFFLGNKYKKIARIVAKTIRKQKVGFAEFTILTEKESYRSSPADRFAYGRQLKKFILTCPNACPRLTRLSLENLRLLQPDLACILQLCKRLEFLRLDNCDVGYRSTLAVEHPRLRELEIVRSEFERVDLNFLPELTTLTYSFWLSLHDPLSFGYVPLLHTVSISNTCRSWHKMLKLSEFLGKATISNLHLGFEREKIWVKPEDPRELWQVFSKLRLVNLAAISEECDLMWTLFVLQGAPSLEELCIRVCDCLGVWDEEERKKLGYSEERKDIGAKWETCDFKHHKLAVLRICGFQSETKFVDYITTVMKAAVNLKDIYLHEKPACDSIGISIYFVLEGSGRGSSRYGLDSAPARQLEGNIRARFHHCSSIGGQRPRELKASVLALILEVERAPVVGVDGRPEAAARPGGGQGRERELHDGGARCSARPHPPLLRARRGRHASNVLGSPANATGAFGRGVRCAAAEEVGARLSVADFFARAFALAGDVEAFANALVHNHSSTLDSTNPILKKSKINDIRGLQNLAKSLSGQRSVLDAALSSVGDMAAMLATRSEARRMLREHSAEAFASAAAEEVGARLSVADFFARAFALAGDVELDLAGRGWPWRWVGALALEADRRSDGRGERIQQYRPICLLNVIFKILIKLVTIILNTVVDHVIRPSQTAFMQGRNILDGVVVDTGWPSDTTASRPTSPPRGPMTRARAQALHQEVNSLLSTYAFDTPLDGLLLHANTLCSIRYIDQDASHGDQDNGERAGNDEDRATAPRPELPPPGPELPARCPQDAFQRPGKRIQPELPPQKTGTSPRRNVRPSSAQVTVGDMPKRQ >cds.KYUSt_chr6.1878 pep primary_assembly:MPB_Lper_Kyuss_1697:6:11237302:11244956:1 gene:KYUSg_chr6.1878 transcript:KYUSt_chr6.1878 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHPAMATAAGSGSSISTAPPSRYFGGSGQGRLRLAPAPPSRRQAPLLRCSASGAGGPDPALEEQRRRKAELAARISSGEFTAQGPGWVAPLAARLARLGPPGELAAGLLTKVAGAGAARRGPELPQATGSISSVVGEAFFIPLYDLFLTYGGVFRLNFGPKSFLIVSDPAVAKHILRDNAKAYSKGILAEILEFVMGTGLIPADGEVWRVRRRAIVPAMHQKYVTAMIGLFGKASDRLCQKLDKAAMDGEDVEMESLFSRLTLDVIGKAVFNYEFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQRKVNEALVLINNILDELIATCKRMVDEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMSKLQAEVDAVLGDGLPTLEDLKKLKYTTRVINESLRLYPQPPVLIRRSLEDDMLGEYPIGRGEDIFISVWNLHRCPKHWEDADVFNPERWPLDGPNPNETNQKFSYLPFGGGPRKCVGDMFATFENVVATAMLVKRFDFQMAPGAPPASTWPRARVPPAAVAKHPSSESSKDPEKINKHNNNKKSARTNKHLIPSLGILLLLGLKIYAEIVVADEGEVLGPLTGDDGVRVLVEAQPEPVPVHERRRPTPDAAGSAPPGAALGLGPFEHGAALQDPSKESAFIMKLEELTLSIVNEPGWMGMLAWEGKGWPTSE >cds.KYUSt_chr5.41476 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261655650:261658105:1 gene:KYUSg_chr5.41476 transcript:KYUSt_chr5.41476 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRLRSKGNKKAAVSGGEDRLSALPEDVILLLLSFLTSRQAVQMSVLGPRWRALWKSLPSLRFDMGLDHTSRSFHFIESLIRYRDPTTPLLECEILSDDRFSQDVDMCLRYAVSCKVRDLRIKIYSRRYFQLSAGTFFCAHLTRLTLCCVWLDDFYVHVSSCQSLEELEINVYFLQHSPILERFTLQLGRYCEKTQMHKVYPKEQFMVSKHLKAIEITYFQKDASVSSARSSSDIYGRFSIHTPMSLDLSPLGSCILSSKAVRATHIRFT >cds.KYUSt_chr3.41640 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262740476:262745813:1 gene:KYUSg_chr3.41640 transcript:KYUSt_chr3.41640 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASGVGGGGGGGGITPLQQMPDAVGLAAAADPQFVLMRNTMREKIFHCNSMSSLGFARRIPKRRRTTKTPPQQQVERQLLDLNSFPAFEGAGNGGSISIQEPASHSGTSGTVVAETSQLLVPPAAAESNIGMNSFAIDVEVIDDDVVIYSSRPLPQARHQSARERPVTVIIDDDSETPDGPTGEGLDEHVNTLLSLGMNPRHSCSRAPNSLVINIEDTPETNILPKAVQALPEPVREVPKEPKFSCPVCMNELVEPSSTTCGHIFCQKCIRSAIQANKKCPNCRRKLHMNNFHRVYLPTTDH >cds.KYUSt_chr4.5035 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28887934:28890800:1 gene:KYUSg_chr4.5035 transcript:KYUSt_chr4.5035 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRSALSRLAPGARFLSKGGRGSSGCNVTARRTMISGSGFKSPVFWDDHFNLKSPVTWFGIFSIAVAGTYRIKVMVDPEKRTRAKEEEEKAKRLRIQLPEQTDAELMYMSLDPEKKPFKLMHCYVEFEKYSKWATRTVPQKKQKKTSDASPGSTSNDEDFVVCTNALENEKRPRGTKYAKEQRDKASDGSAVKLSLETVWAQKLEKDDIKEAAKSARYALAFELQKKQIELKEREDARQEREDARQEREDARKQFELEEKIMLTDTSGMSVAQQQFYKDKQDEIIARRHH >cds.KYUSt_chr7.3712 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22104351:22104602:-1 gene:KYUSg_chr7.3712 transcript:KYUSt_chr7.3712 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSAAARVARAGAKVCGKEEKVVGEQKAPGSCPYCGGVVTATDVEAKWVLCFLPLCLKNKRRFSCTACNRRLVTYPAILHD >cds.KYUSt_contig_686-1.1048 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6471152:6472308:1 gene:KYUSg_contig_686-1.1048 transcript:KYUSt_contig_686-1.1048 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDFSTDVLVHILVLLPTSSRRQCRLVCSHWRYAVDERTHERFVRTKILAVVKAHDRASAYVLDEARGVLGGRHRRVWTGPLSANVIGTRNGLICVLDDATGAITVSNPHTRREAAVCVPPAPRAGGLSPCSPAVRAHEAYGFGFHPLTLRYTVVHVPCYFNKSGTFDPVQVYTLGPGGGASWREVPTPGASGRCQQGGVVCVDGSAYWITRGARERILSLDLEDWHVAPVKWLPDTPEQGCSYRLTEMRARLCVVVTVGAPPATRVEVWCLENTKEQQWARRYNIQIDTLKQHLTWPLFAHGEHALTMAQEQPAQAQDERQEEPPVQHGTCMEEETGGRDHEIRCSQEL >cds.KYUSt_chr4.9949 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60146053:60148397:-1 gene:KYUSg_chr4.9949 transcript:KYUSt_chr4.9949 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVPRNGFSPAAARVACLLLLLLVAAAGTSVAGAANVKYKDPKQPIQERVADLVSRMTLEEKIGQMTQIERANASSSVIQKYFVGSVLSGGGSPPSEKASAATWQQMITKMQKAALKTRLGIPIIYGIDAVHGNNNAYNATIFPHNIGLGATRDASLVKLIGRATALETRATGIPYAFAPCVAVCRDPRWGRCYESFSEDTKLVQLMTASVVTGLQGDVSSRHPKGIPAVAGSKNVAGCAKHYVGDGGTKRGINENNTVMNFHDLMRIHMPPYDDAVIKGISSIMISYSSWNGVKMHENKFLITQILKERMHFRGFVITDWQAVDRITNPPHQHYYHSIQETLHAGIDMVMVPYDYTEFVADVISQAKNGSIKMDRINDAVSRILRVKFTMGLFEDPLPDHRLTDHLGSKAHRELARDAVRKSLVLLKNGKKDGPPVLPLSKNAKKILVAGSHAHDLGLQCGGWTNTWQGHAGNNITGQGTTILEAVKSAVSNKTVIEFTEHPDKDSISKGKDQYDYAVVVVGEPPYAETAGDNQNLTIPSPGPDVIRDVCELVKCVVVLVSGRPLVIEPYLDTMDAFVAAWLPGTEGHGVADVLFGDYGFTGKLPRTWFRSVDQLPMNYGDKLYDPLFPFGYGLTTKPVTDS >cds.KYUSt_contig_1948.132 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:812931:814623:1 gene:KYUSg_contig_1948.132 transcript:KYUSt_contig_1948.132 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSEVPEESIEAARRLKRVRANLCLLDRPFARPSADYDAGLRGLRLLDFVRLDLPSSGVPRPDLVAELIANYSNSRASSSVRGKQIEVSLKSFAKALCLPPYGNPAYRVEDDAAVTLASREFVKVYIQPERLPSTFFVRDVNCDADYMTVVLWELVKQEMDHLIESESTDWVSYYSAFLQRLIWVERPELFHLPPATAPAALCVRKEQGIDQTTTIHENQKCCSEQIDMAYKKIDSPSEKFDAASNKIDVVTKMIVVAPKMIEATCKSLDVREKQLGEQDGDVQGMASLDQARVTKERETNDELERAQKILIEALKKTKNGRAHIGVKMMGELDTKAFANALRANVPQEDVKFNCADFCSKWQAEIANSKWYPFRKVTIDSKPMEIIMEDDNKLRELKDQKGEEIYALVKKALIEINEYNPCGRHPVHVLWNNKDDRKATLEEALQYVVKQWQSLKRKR >cds.KYUSt_chr1.32671 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198395600:198396184:1 gene:KYUSg_chr1.32671 transcript:KYUSt_chr1.32671 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPTCALTEAASPTPLADAASPSLADAASPRDATPRLDARTTGRDAASPLPLLNADALRVFGQMRTPLKGAAWPGSVTSPARPRAPPAAPPRARALASRCASASSRPPPRRGPPRRRRRGSASTTGAWYRAALACCGYALLVQLAALAYEVASTWPHVTPDALLLPGVQALAWASLLGWSDRVQRGRAGVQR >cds.KYUSt_chr1.40716 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249701303:249701818:1 gene:KYUSg_chr1.40716 transcript:KYUSt_chr1.40716 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRERGKHEDGAVAPGRRRFQAGSEEEEEPTTATAGCRCRSCAAVAVADCVALGCCPCAVVGLLGLALVRAPLAVGRRLIRRRRRALLRGKRVRDVAAATVTGDCVRAKGVEKEVPGVVGGVETLTATTGGDVVVAPGGDDHEAELAWLEEMYRTGRWGFGRVSFSAKTP >cds.KYUSt_chr1.41777 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256141891:256142461:-1 gene:KYUSg_chr1.41777 transcript:KYUSt_chr1.41777 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNFVREGRALSPRGGGFASARLAQETKAERTTHISKKARHCSTLSHHNPRSSAWFGLLLPHSAVTRMTAKQVVLGGNVVWEKAKQARDATDKEERNVRRAKRASIRLEMARHGFIIVNCSDDETDLSDSGINDEGGI >cds.KYUSt_scaffold_719.378 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:2445350:2446446:-1 gene:KYUSg_scaffold_719.378 transcript:KYUSt_scaffold_719.378 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPGPTNSDGVFAIYRSGSGGGMTALPRPASGDGVFALPRSACGDSVVALARTATNGTAALREAATGDGVLAGLRSASGAGKAALLGPASGVLCNLLLCFLPKCGGGHSVFFLTHPRVAPPLPPTSRRSASHVALAPPLRLRRHDDSPPSLLLRLPRPSGHFDAEIHSGEERIRLGTFEAAHEADHE >cds.KYUSt_chr5.5264 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33071866:33076785:-1 gene:KYUSg_chr5.5264 transcript:KYUSt_chr5.5264 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRVTPVARLPPRVTRAAAAAAAAAQAQQEPTSPKEEEMADSGGVSANNAAAGNDDEEGNTAPFPETVQIGGSPEYRVERKLGKGGFGHVFVGRRLTGGSGRSTGASAQEVAIKFEHNTSKGCNYGPPYEWHVYSALGGTHGVPKVHYKGRQGDYYVMIMDMLGPSLWDSWNSSGQSMSSEMVACIAVESISILESMHSKGYVHGDVKPENFLLGQPGTPQEKKLFLVDLGLATKWKDLSTGAHVDYDQRPDAFRGTVRYASAHAHLGRTASRRDDLESLAYTLVFLHRGRLPWQGYQGDNKSFLVCKRKMSISPELLCGICPQPFKQFLETVVNMKFDEEPNYAKLISLFDSLIGPNPSIRPINTDGAQKVGQKRARLGNEDDDGSAKKKIRVGVPATQWISVYNSRSPMKQRYHYNVADTRLEPHVEKGIEDGLLISSVSSCVDLWAVIMDAGTGFTDQVYQMSPHFLHKDWIMEQWEKNFYISSVAGSNNGSSLVVMSKGTPYTQQSYKVSDSFPFKWINKKWKEGFYVTSMATSGSRWAIVMSRNAGFSDQVVELDFLYPSEGVHRRWDNGYRITSMAATMDQSALILSMPRRRPRDETQETLRTSQFPSAHVKDKWAKNLYLAGICYGRTVA >cds.KYUSt_chr5.35869 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226571467:226572198:1 gene:KYUSg_chr5.35869 transcript:KYUSt_chr5.35869 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDEFSGGWLWFLGIALSHLGGLSQPRFFDEPIKWYEQVMGRRVWIKIEGLQQRSGWSVIVEATRKNLYDALQDAAMLAVITMRMHFPLEFQGTPFTVLPMKPGLRSELDYPAIGGGAEAATFMGINCDDVLTLQRQRFASLRHERRESYEALEENLKEKLQSLEVARKMLKELPPTQQNNRRIADLLKMDNKVEDHFSVRDCGWSVSVSNKMQKSESLQVPSDQEETPAASSPAVSVRSRL >cds.KYUSt_chr5.1340 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9256726:9258128:1 gene:KYUSg_chr5.1340 transcript:KYUSt_chr5.1340 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKVFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLRGKDMTQDTTFEFERKRNRPERYNRILTEETLKAIPLITKIRHERQKKHITERQKGGKSMSRKKDAKELEQDLQMLPKKDTVAYEAAKQKVIVSQLKTEENLMEE >cds.KYUSt_chr2.45407 pep primary_assembly:MPB_Lper_Kyuss_1697:2:283147775:283151838:-1 gene:KYUSg_chr2.45407 transcript:KYUSt_chr2.45407 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQIWRLVPNAHSPLPPIRAGAARTSWPAARPAALHRPTLGAAAARGVCRTLAVSAQSASLEAGLRFVSVLPIFREGKFFEVEMKVRDYELDQYGVVNNAIYGSYCQHGRHELLESVGLSADAVARSGESLALSEMHLKYFAPLRSGDKFVVKVRLASIKGIRMIFEHCIEKLPNRELIVEATATAVCLNKDYRPTRISPEFLSRLQGSSSKGSNAASTVSAMQHIGSIAPLANKRFPQHLRQAYNAGGGRSKYGHLVMPHGQAYLHQHQVKVAPRASGRLPAVTTVNTNQDSNMRKDKYFEVEMKVNDIELDEFGVVNAAIYISYIRNGLSKLLESVGISVDSMTSKGNALAYSEVQLKYMAPLRIGDRFVVKVKPTQIKGVRVIVEHKIETLPDHKLVLEAKGTIVCLDKDYHPTRVFPEVSVKFLQFFTSKDE >cds.KYUSt_chr5.40962 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258541972:258542481:1 gene:KYUSg_chr5.40962 transcript:KYUSt_chr5.40962 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAVGRRGLELEDLELWLAGLFSAAELAAADLLLQLSVSVSAKGVHDDEEEKAALSSSAATQSPSPRSATPGCDCDDLTVEEEAAKEEERVAEKPAASTELDRRPRKRYRRLSDLYAATSPVTVTSASATSVSKTKKRKRSLHRQDGFGSSSPSGSSVEPTRYGGDY >cds.KYUSt_chr2.42727 pep primary_assembly:MPB_Lper_Kyuss_1697:2:266116591:266118512:-1 gene:KYUSg_chr2.42727 transcript:KYUSt_chr2.42727 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSPAAASAGELKDPRTIARKYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPSRDVCVFLAPTVPLVLQQAAVIANSTNFKVQSYHGNGKSSRDHEDWEKEMVENERPDSAYA >cds.KYUSt_chr2.40584 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252080918:252082651:1 gene:KYUSg_chr2.40584 transcript:KYUSt_chr2.40584 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKTSGRQLLTLYLGRNAASGKLSNGALPGGCTVAAGVDIFAASPNALPFQPSHMGQHGEVWGYFAGAQAADSIQPAPGGCWVRYGKDKPYVMDFKTFAYRSRFAFHVTRVEDDGTVMWAPTRWMMKEYRLHKGSMVCRVKKLECPDANMDFVIRKVFTKPAVPAPPPALSDVVVQGVECRLPSIQAQPAKVPVKAGVLAVRKSKMKPNLKPMKVVPPPYARSEGGGALQQGGSSLPPRSARPPRPRPIVVAKPVAPPPPAQAIATLVAPTPPVQAIAKPVDPPPAPKVVATPVAVLPQPEAIATPVVPPPTPLAIATTVVSTPPPARSSQDGANSSRAQPDNPNANMELAVLPTPPAVERRKFKVPSGLQIIAECLGPKAVLSKLPACVTPGLVAEGVDVFAVSPDALPFPPSHRKQNGEVWGYFFAAKHTTSMRLAQGGCWMRYGGEKKYPMINGEVVAFHRRFAFHAARVDGDGMVAWRQTQWLMKEYRMNKASPSVRKAKKRPGTNTDLVVFKVFTKPMVPPPPPPPLPSLHSEAAGCSVAGDPSAIVRTRDPAAPLTVAHPPLPPPPWPPT >cds.KYUSt_chr1.35342 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215436204:215437077:-1 gene:KYUSg_chr1.35342 transcript:KYUSt_chr1.35342 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAAPLLYQQSAPVAGDASCFFSSPSMSSYFSHGGSSSTSSPASSFSAALDTAPVQPAAPLLQPAIPDAAPHFDISEYFLDDGAFGAQPSVVAVPDGGAAGARAATNTQARSAAEPAAMERPRTERIAFRTKTETEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPAYVVTTYEGTHTHVSPSTVYYATQDAASGRFFVAGTHPPPGSLD >cds.KYUSt_chr6.30970 pep primary_assembly:MPB_Lper_Kyuss_1697:6:196313202:196315784:-1 gene:KYUSg_chr6.30970 transcript:KYUSt_chr6.30970 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPCCRPGSPAAAPLEDEDLLTEILLRLPPQPSSLPRASAVCKRWLSLVSDPRFSRRFRLHHRRNPPLLGFFDTYSGLTFHPALDAPNRVPPGRFSLQRDDSDVVITLGCRHGLVLMLYRSQNQVRVWDPVTRDHRRIDIPAPFDARDTVINGAVLRATGDARHFQVVLVVAGDEDELPRRVLACVYSSETGVWGNLISTPVSPFSQNTSLTMAYMSILSALVVACAPAVLVGDSLYWTLVGDYAGILEFDLERQTLAVIPVPAGMIEEGDELTAMRAEGGGLGFLFVSKSDCTAQLWKLNKDCNALLSWVLGRTIELDKLFSLKSRVERDSLGMLGFAEYSNVVLLSTRAGLFMVQLESLQFKKLPQAEVLSSRHPFESVYTAGDRAPPVPQVFACLRSALGA >cds.KYUSt_chr4.38384 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236757943:236761209:-1 gene:KYUSg_chr4.38384 transcript:KYUSt_chr4.38384 gene_biotype:protein_coding transcript_biotype:protein_coding MLIREGAEALEQFAKQFVAASRALPSASQLALVVGILKLWDEDVVEVSNVIACASILNCHTNEMFKITFVYGPNVYSRDHDFFTELIYQKPANVQALMMIKNCLKDPHGVLKNWDQDSVDPCSWTMVTCSQENLVTGLEAPSQNLSGPLSPSIENLTNLVIVLLQNNNINGRIPEIGRLTKLKTLDISSNQLSGEIPSSVSRLTNLQYLRLNNNTLSGAFPASSANLSHLVFLDLSYNNLSGPVPGSLARTFNIVGNPLICGAAKEQDCYGTLPMPMSYSLNNTQGTPIPAKSKGHRAAIAFGSCYHQNLTKSEVGRDQDGLKENT >cds.KYUSt_chr2.29959 pep primary_assembly:MPB_Lper_Kyuss_1697:2:184386722:184387670:1 gene:KYUSg_chr2.29959 transcript:KYUSt_chr2.29959 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAASEEDKTRSRIHGSSGGKWGGLRRIHGGGELAIPDPAWQQVDILQFAAPRVDRDPPAHRLPNARALHFCMVYMYKPSQRNHSILIRWTLQ >cds.KYUSt_contig_195.219 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1432889:1433935:1 gene:KYUSg_contig_195.219 transcript:KYUSt_contig_195.219 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVSCRSSSGAGDVLRLMDVLCVAPGEDVYISLLKDSVDASEVAAVHAHIKRAAFPLPLPLANRLLLTYASRGDTAAARKVFDEMPVKNGIAWATMVSAYSDGSLHNEAIRLFSCMRHEELAADLRDCSIVAVLRSCARLGELSFGEQVHALAIKAERVCGDTGSSLLQLYCESNRHASARQVLETMMRCSSREQPVPEAAWTSFMTACHRDGLLDEAFHVFRDMVSSGDARSGFSLSTILAVCAGSEKHGCFGQQVHADAVKHGVETNQFVVSGLVHMYANHGRLADAARAFEAVGGEPDAVCWNAMAMGYARGGWYREAARMMYQMKAAGIDPPGVNVVRMACSR >cds.KYUSt_chr1.38850 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237451394:237458509:-1 gene:KYUSg_chr1.38850 transcript:KYUSt_chr1.38850 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKSKGAKFAAVKKIISKKTIKKYKDEVLDPRRKDTEKEKLGRNVPQVSSALFFSYNMALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVDRITQHKCYIVATCDRDLKRRIRKVPGVPIMYITQHSTKNLIFLDTETSGKRMDNKVKSHGKRKGSGRGIGRVLREHKARLYIIWRCVIILLFYHD >cds.KYUSt_chr7.17767 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110038786:110044437:-1 gene:KYUSg_chr7.17767 transcript:KYUSt_chr7.17767 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHKFSRKNNIFGVFEAAKKWSDAARLCSSYGYKRYGLAPPLAFYRWESSFSLRDIASSSWTSQPVPVKMEVDDAAAFMPGDNVKDTVLARILPMLNDDATSFMPGDFIEDNHLPTVLGLVSETSRREAEKAEEWRVVAKEQRRRRLHCDFSHSRWLTFRMSVMFLDFHVDIGTLPAPVAEQKGSLIKMSGPKRGIDMYNIILIEYDMRIKTGEKEDDDLQLIDGISVIEDMGGLWNCAFTTRIAGDHGEIDFTSSRVRRAVEATVEVTISQVQSSFDLCLRCFIGGFDEEIRLFDGAIGESRGLKRSVIALVEGSTMDLKFRIGAESSAGSAEHGCSFKADTHGHATRGIKTGFGLISVKVTWSTLIHGF >cds.KYUSt_chr5.18457 pep primary_assembly:MPB_Lper_Kyuss_1697:5:119144247:119153602:-1 gene:KYUSg_chr5.18457 transcript:KYUSt_chr5.18457 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFWPLDDDWYKGSITAYAEPTKKHSVKYDDGEAEDLTLADERIKFFISSDEMKCLNLKFGISNLGKKGYDELLALAVSFHDYQGLDPGDLVWAKITGHAMWPAVVVDESDVPAKRAMKPVRLDQSINVQFFGTHDFARIKSKQAVPFLNGLLSSLHLKCKQASFCRGLEEAKEFLLSQQLPESMLQLRKSIEKDGSDVNCQDDALGSCDNLSEDRAEENEEDAEITQIELGNLRVSKLGRIVSDSDHFHNKMHIWPEGYTAFRKFTSVKDPHLVTSYKMEVLRNSDIKARPLFRVTSEDGLQSDGATPNACWKEIYCRIKEKQCDAASELEGNVCQRSGSDMFGFSNPQIKQLIQELPNARSCLKYFENGGDTLRGYRAVHVNWKDLDYCNVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLDGVLWLCNLCRPGAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSKINKDRWKLLCSICNVAYGVCIQCSHPTCRVAYHPLCARAADLCVELEDDDNANIHLMLLAEDEDPCIRLLSYCKKHRQPSTERPPLESDLGNPAQVVQADAASSSGCARTEPYNFHRIRGQQQPQVTATASVKRLYVENMPYIVSGYCQNKIGCDTSCEPIQAVGSLDAASQEAVVNVSSMVGKYKSMKATFRRRLAFGKSRIHGFGVFAKVAHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGYGIRTESVSDTVSDTDTPLVHRIRAAELVMW >cds.KYUSt_chr1.1027 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5442197:5444638:1 gene:KYUSg_chr1.1027 transcript:KYUSt_chr1.1027 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIQPRDLVDDFNPRRRRWSLWTTPPASMPTTSHNTRYKVPRKGHANAYHLSVKFEDLCGFMVEGNVDDVNVLNEVRERIREQGRVWWALEASKGANWYLQPRISSNGGEGVIRATSLKLSVLTNTVTLRRLIRKGVPPALRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATRQIDHDLPRTFPCHPWLNSEAGQASLRRVLVGYSFRDSEVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVSDCYTDTLTGCHVEQRVFRDLLAKNCPRIAAHLEAMGFDVSLVATEWFLCLFSKTLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLRIQHIGDVIDVLQTTAHHLYEPDELLTFAFDKIGSMTTNTITKERKKQETVVMAERDQRTRRLNSLKMDA >cds.KYUSt_chr7.5339 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31842805:31843590:1 gene:KYUSg_chr7.5339 transcript:KYUSt_chr7.5339 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALVEFGSRSPDSIGRIWCVLVSVFLAVLFWWQELRHGRKHELGISCNKAVFLFLWRLFVLVLLRGARRSPEVLFVKLSRWTLDDGGKSGEVFFNKRFDCLQCCGLATLFRLLAGLGGWREKGRSCVVRVTWRWSEEDFAATCGVHQWRRVHAAVIQGRGGRSVPCYMHGFFFNLQAGVPIRRPFRSSAAASIVASLPSGVVPDDVADGRGVELIFVFGGAGLDCFSLFLVRVLFVIVECLFAFSLICKALHVNCYSTA >cds.KYUSt_chr4.28728 pep primary_assembly:MPB_Lper_Kyuss_1697:4:180470691:180479412:1 gene:KYUSg_chr4.28728 transcript:KYUSt_chr4.28728 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKREFQPSANDHEVGSSRPVAPAPFAMGPPATPGRERIYVTVAVARMFGTPASQCGGGDVHLPHGRHLSPDRVPVPPIPVSGRAASRRSGGATRSCRRTSSGTPLTATQVPIGTCGSRWSTMRAGTRASPPRRRGLARSHAHVQGGLAAAPAASTSASSPGPRTGPEPEAEEDDRRLRGGARGVPRAERSRRAGQMAAPRRRRLRASAAGGGGRGRPGRTPEAEAWAFLEPARRREEATRRAVLREEEERRAARSAEEERWPRSGYEAEPQAAAEEQLRQESARRARSRRPASPPNPHSARERTQWSPGRSPRCPPAFEPEQRVVAGASSSSTPTTTSTTGVFEVHEELTPSGEILVYEAIACSEESAESVASGPFDQLVLHLEDAKNFQSRSSSGSFKLLLAGDARRSTWFTKSTLESWLMRCLLLIRDCQPSVLAQQKDSTAERHDDALEVRDISSHTNIQRPITNNVSPAKLAQVERKMSMESDDSSESSDEEEPVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTPIAIKSLSYFPPSQRVALDKDDESSCNGETDQPPRRSDNNIRRMSVQDAISLFENKQKGPNPDSESKKAGLVATKSVLRRWSSGMGDSLNSSMSEEKTSDSTSQTKSNSMAPNEEKNEAELQVETDAAPSSVVAPEVGSYDGDGHGIAGSEMENMVSHHTNISSEHSGQESNSDRAMASAEWNRQKEAELNQMLMKMMEVMPGKFAGANVTAAGLISANEKKGGQQREKRDTKVRTEKGGKRPAKEANTKLLKESVGQNTKPLKEQNKAAVTPKASTITEKRNSPVPQRPRRNSSPPVLPKEVASKTPAKRSSPKPSPAPATRSSWSGSLTKATTSTAQKTKSSPGAASTLTPTSRRRAVTTPPSSQPVSKVEKTLHPVKNKKEPVTAAKPAIKVHEEKKTRTSTKPSRVPKSSPASEEKSSATTKSSLYNKVPKKSSVVPLESKPVKKATGISQGGQFHSHL >cds.KYUSt_chr7.34941 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218396679:218397662:1 gene:KYUSg_chr7.34941 transcript:KYUSt_chr7.34941 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEADGPSSEKRMRVDEDEGGGADRISDLSDAVLGEIISLLPTKDGARTRILASRWRHIWCSAPLNLDCRGLACCGELAAGVISHILASHQGPGRRFCIRHGFRGYRAATVDSWLRSPALDNLEEFNLHCPRQHQVMLPVLSEPFFRFSKTLIVATIERCHLSDNTVQGLQFLQLKQLSLEMVTISECSLQCIIAGCPALECLLISYCSSFRRITINSVSLRSIGVRAECRWACRGIVTAYAIGWLTKVVPKRSHDGIRMRVAPRAVSGCSWEAKEDDSLSHRAALRVEVE >cds.KYUSt_chr1.37320 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228047825:228048160:-1 gene:KYUSg_chr1.37320 transcript:KYUSt_chr1.37320 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGATAVGIASIARPRPQLRVARAKTFRCAYSEKGVKACSAMNLSLALLKHMQAMEQRRSSRPCSPGLVKERTDTEDTRIRRLSRALNPESQWLIACLVGYIFFNPRRR >cds.KYUSt_scaffold_1854.385 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2055681:2058684:1 gene:KYUSg_scaffold_1854.385 transcript:KYUSt_scaffold_1854.385 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRIETKPEIGITVIILFLFVIVFHHNPSFSVLGPTIVIGHETGSQKVAVNETRASVIYVGHLLGSKGLFSTSDHIMVSYYVQPCVLDIITRDVDSWDGGSMAEHPANSGDGSADKVGDWLKRQWKMTNRAGVWVPPPPSCGSWGPLRCRAGGRLGSTSSIPTLALHMGEQGGDRKMA >cds.KYUSt_chr5.30539 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193651934:193652479:-1 gene:KYUSg_chr5.30539 transcript:KYUSt_chr5.30539 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTGSCLLLVLALAASQLPLAVDGAGCMPSGTLRPSQSHSCEDCCKAGQAYPTYRCSPPVTSSTRAVMTLNDFDEGGDGGDPSECDGVFHKNTERVVALSTGWYSGNSRCGRNIRIRANGGSVLAKVVDECDSMNGCDREHAFQPPCRNNIVDASKAVWNALGITGEEVGEYDITWSDA >cds.KYUSt_chr5.11647 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75474224:75477169:-1 gene:KYUSg_chr5.11647 transcript:KYUSt_chr5.11647 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEELGASLSDLALGSRAPTAGQVGSSGGPSSDGGTQVTCFTEDLHDVTLHFQIIRFSKQIYAWVGCNSAKFGHLYAAASTRPGNGVSVTSVLGGTSDNTGLSMARRLVLKTGLNIVLACNIPKDSPMLEEAGSLLRGGCHTERYRRYVPIDEAWHMYEMRRYVPIDEAWHMYEMRRYVPIDEAWPMWERGTTVSWPEVILPAGLLLHSHRVSLPPVPLEGRARRDEIRMDKEGETVDFMS >cds.KYUSt_chr2.50050 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313118719:313120797:1 gene:KYUSg_chr2.50050 transcript:KYUSt_chr2.50050 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRIIRRSILQLVCAAFVMLCSSTCSSSLQFAYPSFDAASKADFSFTPDSSISNGSLQITPNAGNMTHRSGRVMYARETLKLWNRQRTALTSFQTEFVLNILPQKGGAGEGMAFILTNHPALPRDSSGQWLGVSNNRTDGAASNRIVALEFDTRRSFEADVDGNHIGIDYNGVRSIAQYPLNNLSIVLSSGTDLRVTVVYDGAVLSLVAVMDQMVFSGAWTVDLSRYLLDDISLGFAASTGEFAELNQVRSWNFSTSGDEIAGDKGRLVLFLAVFIPLVVALLIMALLLWRRLTRRTRLAYRNLEKMIDAHGPVRFKLRELRNATANFSDGRKLGRGGSGTVYLGYLRRMGMEVAVKRVSTNVNSNRGEKEFVAEVNTISNLSHRNLVKLIGWCHKKGELLLVSEYFPMGSLDKLLYARERTASSSTSLMSASTETPVLTWERRYKIIRGVASALDYLHHGSSKRILHRDVKASNVMLDEEYNARLGDFGLARVIQHDGVTHHSTQAVAGTRGYMAYESFFTGRASLDTDVYAFGVFVMEVVSGRSPSNAVLYHEDDDKEYSSGGKGWQGVPPMHIADWAWMLYGEGKTLHAADPLLGGEFEQAQVDCAVRLALACCHPNPRERPSMRVAVQVLIDGAAAPEPPLHKPAFVWPPGGNRQEMELPDVGLLFTGGAGQHSSYCSMSCSISGR >cds.KYUSt_scaffold_2697.704 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4442408:4442776:-1 gene:KYUSg_scaffold_2697.704 transcript:KYUSt_scaffold_2697.704 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKIVIKVDMTSDRCRSKAMALVAATSGVDSVALAGDPKDQVVVVGDGVDPVKLITVLRRKVGHAQLVQVGEAKKEEKKPAAAVEYTYQYYPSHAVPLYEHTAGAYGYQQYHSRPGTCSIM >cds.KYUSt_chr1.37370 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228301988:228302571:-1 gene:KYUSg_chr1.37370 transcript:KYUSt_chr1.37370 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPPAAAQGCNGSAVQPPAVHGAGDTSAAPGTSSGAPAVEQPPPVPGNDIPAADPTTQPPASPVPSDGPTHSFSSIKHAGGIAPIHRIPDGPKGPRGRP >cds.KYUSt_chr6.28720 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182019612:182020841:1 gene:KYUSg_chr6.28720 transcript:KYUSt_chr6.28720 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRCRRRRPNSSPPPALEDDDLLAEILLRLPPQPSSLPRASLTCKRWRRLIRDPGFLRRFRAHHRSRGTAPVLGFFTKEDSGISFHPTLDPPNRVPPERFRLHITGSPFNCRIVCCRDGLVLLVNVHPGQVLVWDPVTGDQRRLLLPLVFRNYDKFYSGMVLRCPAAIGDGDHFRFQVVLVRCIKGRHARAVACVYSSDTRAWGDLIQISTPLLRALYVERPKSGALVGRSLCWLLCGKSTAILEFDLDRQNLAVIPSDLKGWIMSSEGGGLASVSLCAHTAQIWKREIGSNGVAKWMLTKTIHLDKLLPLSPGDRFFAHFAEENSMLVLGFSEGIFTVQTESMQIKKLPVNIKELPYVFIPFSSVYTAEMGVGAEHAGALAQ >cds.KYUSt_chr3.34192 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214435372:214436544:-1 gene:KYUSg_chr3.34192 transcript:KYUSt_chr3.34192 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPLRGPFAALLFLVLCAALSFPSALRRALAPPPVLAPPLRLDPARLNATLLRLAAVDGSEPSLRRDVDDLLEGRLPVSSRARARAWRRDPLHLRRHHQFPVHRRGQHRDHHDPLLHPLPREGLLLDPALRRGLRAWHRLRRYDPSVLLSLPSALSLPARIPTCAVVGNSGILLRRAHGALIDSHHAVFRLNNARVAGYAAHAGSKTNLSFINSNILHLCARRPGCFCHPYGDGVPILLYICQASHFLDVAACNASSSATHAAPISVTDPRLDVLCARIVKYYSLRRFVAETGRAAEDWNAAHDAALFHYSSGMQAIMVAVGVCDRVSVFGFGKAADAKHHYHSNQKNELDLHDYEAEYAFYRDLADRPQVVPFLKDTGFTVPPVVFYH >cds.KYUSt_chr7.36675 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229182805:229183237:-1 gene:KYUSg_chr7.36675 transcript:KYUSt_chr7.36675 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNALYKIPDPHLGFLFSLHNRRREPSAPSPSNPPPPQLHSLSAAVDMGKVHGSLARAGKVRGQTPKVAKQDKKKQPRGRAHKRIQYNRRFVTAVVGFGKKRGPNSSEK >cds.KYUSt_chr4.15966 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98837362:98838176:-1 gene:KYUSg_chr4.15966 transcript:KYUSt_chr4.15966 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRSGRSSTLCLALTVMAAMCAASSAQQPPVPPAVPVPVPTVPMPPAMPVPGVPMPPPMPVPSVPVPPAVPVPSVPVPPAVPVPSGPSCPPVQASLSPCVSYFIGNSSSPSDACCTQMQAMFQSQAPCLCAAVAAVPSQLGSVIGQILPTACNLPPNACSGTSGSAPAGGSTAPSSGTPTDAAAAPVADTNPATPSGGGVKKNPEMVGSAAVAADRNGISAAVVMAMAASFLAAHVL >cds.KYUSt_chr7.33828 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211208393:211208671:-1 gene:KYUSg_chr7.33828 transcript:KYUSt_chr7.33828 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTNIVRPLAAAGDVGEAPAPVFLIATVKHDDRVVDQEIWGDEQRMKRELMAWAKAVASMALSGSAGSTTRHHSPMGHSRHYPVCIQRTI >cds.KYUSt_chr4.17167 pep primary_assembly:MPB_Lper_Kyuss_1697:4:107157497:107160528:1 gene:KYUSg_chr4.17167 transcript:KYUSt_chr4.17167 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTSEEDAALRAGIAKHGVGNWRMILKDPKFSSILRYRSNVDLKDKWRNINVLFPGCGSLEKGRSTTKKNQATPKNTDHQIANSTITSDVDDEIVEEKPMTSVSSKVWNSSIAKRPRSRLNNIILESVKNLNEPTGSHQTTIANYIEEEYWPPSDFDHILSAKLKDLTTSGKLIQVNRKYRIAPDSLYSEERSPKMLLLEDVQRESRGLERDDSKTLTISQVDAELARMATMTAEEAAYTAACAVAEAEALMAEAEAAAREAEAAEADAQAAQAFAEASSMTIKNRNIMELVTA >cds.KYUSt_chr1.6328 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39038114:39039367:-1 gene:KYUSg_chr1.6328 transcript:KYUSt_chr1.6328 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITELVPPSRPWATLSPDMVGEISIRVRDAADFVRLHAVCIPWRESAPSPAAIRPTRFLPWPLALCKDLLVHVPLNFGRRLSPWTTSPYHRGCDAVLLPRPRASSSSASGDDNWVPRAKNWVARADGTAAWFLTVHPEPTMTDLVTGAIRVLPRFEENDDGRINRGMEKCSGIIYSDGTIFVYSVDSGDFTAAILRPGDAAWTIAEKSVYFGERCHHSSAAYHDGKILVCMNLQFWFVLMPDFEGNGATGGGRLEMKSGASTSARDAVKHEGSYIFESGNELMWATVISKRRPTYGYTGYAMTSTLSLTLHALEEANSGRMRLVPRDGQSLRDRVLFLGSPASFTTKATTEFGGCAYFVFNSCVYKYNLINGVAQLMERVPAGWGSDMAHVWIQPRPAIEPIDKIREKLKAPTKE >cds.KYUSt_contig_1158.233 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:1395613:1396401:-1 gene:KYUSg_contig_1158.233 transcript:KYUSt_contig_1158.233 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSAPAAATAASKRIILVHGTGHGGWCWYKVATLLRAAGHRVDAPDLAASGADARQLRDAPTFEDYSRPLLDALRALPPGERAVLVGHSFGGMSIALAAEELPEKVAAAVFVTAFMPDCAGPRTRAIEELPLLDWMDSVTDDGHAPPSVFLGPEFLRRLLYQLSPEEDYTLSQSLARVSSYYVADQKQHPPFSADRYGAVSKVYVVAKQDLAMIEEYQRRMIASIPVAEVREMEDADHMAMLSKPEELAGHLADIANTYV >cds.KYUSt_chr7.5550 pep primary_assembly:MPB_Lper_Kyuss_1697:7:33269781:33273011:-1 gene:KYUSg_chr7.5550 transcript:KYUSt_chr7.5550 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAVRMGATKRVIGTAFALNMLFKIPIWCGVLITGLSTLMLLFLQQYGVRKLEFLIAFLVFLIATCFLVELGYSKPNSSEVVRGLFVPEIKGNGATGLAISLLGAMVMPLTAKVQNENTLLKDELKKLKKKMKDEKEARREAFIIADEKEGVLRESITNLLSTADMSIDRTSKLREDSMSDALSFATDSSNQVQGLLKKTKGALSKLFSMMFPKLDQNKTLGEMADTFFIDSSEAIEVLKWRSHLYGAVLTFQLLMGHGLGSELEKLSKALLVDANDCLVNLEHFKQSLVLCANRLLKLVDEDKNKAASEAAPDSSSAQP >cds.KYUSt_chr5.14291 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92761537:92764560:1 gene:KYUSg_chr5.14291 transcript:KYUSt_chr5.14291 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFSGEVVEVPSELVAAGSRTPSPKTRASELVKRFLAGADPAVSVELGSLGNLAYSHANQSLLLPRSFAAKDEIFCLFEGVLDNLGRMSQQYGLSKGGNEVLLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTSSLLVASDPEGKVPLFWGITADACVAFSDDIDLLKGSCGKSLAPFPQGCFYWNAIGGLKSYENPKNKVTAVPADEEEICGATFMVEGSTVLTALQ >cds.KYUSt_chr7.41005 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254064068:254065036:1 gene:KYUSg_chr7.41005 transcript:KYUSt_chr7.41005 gene_biotype:protein_coding transcript_biotype:protein_coding MHNAQVLSLTSRSKNSSIQDPELDDSADSTYHQICVLMASGREEDMKEKKKMQLLEVALIDQAHRSSFAECPPWTQATSHSDLLRDAAPAPREAQAPAHLMLGDARVEDALLPSPSPEPRRRTAQQQDAPDLVTYS >cds.KYUSt_chr2.52006 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324572667:324574079:-1 gene:KYUSg_chr2.52006 transcript:KYUSt_chr2.52006 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLPKRRDRLSELPDFLLGAVLSYLPNKEAGRAAVLSRRWRHVFCNVDTVSFAERDGARSQDWTTFYYDAKERKSCSWVLLDNVWSALLCRRRCACSHVPLRSFRVAMDRCHWWDSCHVDQWLSYVLRYSGQELHLDLRFRLGRICEHGNNDESYNERRRRDGWYELPPKLFSFTALRSLCLSYCGLNLPAVIRLPFLETLRLTGVERDSESSIRRLIASCPRLVDLTLEANNNLGKVSVLDRRLRRFALSCCHNMRTVDIDASELRSLEYRGTVPRESVLSLHGLPEVFPSCTVDFCREFLPGEVGAGHHRMFLEKISGAKRLHLHYKCFPASSFEGLPSFHNLTRLALQGPLHSPAVVRVVLEHVPNLEILSFFMEFAVAVEAPVVPDESSFAVPCLQSRVKEINMVHYQDDVLQRTMAWLLLRNALVLERMCVVLAKGPFPLQEALMMEIQSWMVATDAEQIFL >cds.KYUSt_chr3.1299 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7268025:7268924:1 gene:KYUSg_chr3.1299 transcript:KYUSt_chr3.1299 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVAQPQLGKEGLACILMQVMCAAKKLRAQRDRLLHLRRRLQQRDGDGDAAVPEEVASGLHKVCFEGLAACNGYITSGLLITDEHGARDSFSISAFAVIPEDQLYGVLHSQWLPPRPATQADAFARMESALYAVSLAQEHHVPRCIELLVGVRPPLVKAKPLGSVAGYADDPVQGVNEHLAKNGFPDPEPPTAAAAAQANCSVDPDQALTYLHRACSLAGLALKHIDVAVAAISGWLDPEEVAETSEWVADMSEDDSD >cds.KYUSt_chr1.7221 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44251170:44252252:-1 gene:KYUSg_chr1.7221 transcript:KYUSt_chr1.7221 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMWAWSSPSFPRSGFAGEVVEVVRLALFFVLSSPAGRGGEGRRRSEWKLWLLVVLMLFFVGASLAGRGGEGRGLVEEQLELLGRSPADSAGGVPRREVGGCCCGCWWCAGKGSGFSRRDGILPWPDLEQMVLGVRPRLISESAFKSTFTEVVVTARLSLRARSSSCSMRRLPVHRGPAGDSSELVTATLPLLASFRYRYGGEDAPKEIKGHCAREKAELRRHIGEGGGSLKGMMAAEEESMKSSASAASMTSGTARFQVVEVRSRLHPE >cds.KYUSt_chr3.2532 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14540637:14542643:-1 gene:KYUSg_chr3.2532 transcript:KYUSt_chr3.2532 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMSASSALNLLPLLLLLLAAASEATTINITNRCSYTVWPAAVRVGAGERLILEHASSYTVFPAEAPASAGGDVPVGAGGRELKSGQVWTLDVPANASSARIWARTGCSFSSNGKGSCQMGDCSGALACRVLGKPPNTVAEFMTGSTQDSFNISLIDGFNVPMDFLPVQGVKECSKGPRCAADITSQCPEEIKVPGGCNNTCSGTRSSNCTYSGFFKRMCPDAHTVPDDSATYACLAGMNYQVTFCPTSNLAISPAAMSPPPTPTLETTPSLSSPPLAPIGPRRTKPRVTSRVVAILVSVGTTILVTILFTIAFYICTRRAQWKHQGMEEEEEFGELQGAPMRFKFQQLKIATEQFTDKLGEGGFGSVFKGQFGEDSIAVKRLDRTGQGKREFSAEVQTIGSIHHINLVRLIGFCAEKSHRLLVYEYMPKGSLDRWIYGRHDNNAPSLDWSTRCKIITHIAKGLSYLHEDCTKRIAHLDVKPQNILLDNNFNAKLSDFGLCKLIDRDISQVVTRMRGTPGYLAPEWLTSQITEKADIYSFGVVVMEVISRRKNIDTSQSEESIHLITLLEETVKYGNLVDLIDKNSNDMHTHEQAVIQMMKLAMWCLQIDCKRRPRMSEVVKVLEGNMNTESNIDHNFVATNQATFDTAGNVTSSVPPVASHVSGPR >cds.KYUSt_chr4.35176 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216168062:216168955:-1 gene:KYUSg_chr4.35176 transcript:KYUSt_chr4.35176 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEEAPKDTGTPPAQHGRGKAMHRLLVALNCGMLILGTTGGPLLSRLYFSKGGHRQWLAAWLETGGWPLLLIPVVASYLTRRSRDPSAPLLLTRPRWILLAAAALGVATGADDFLYAYGLSYVPVSTSAILISTQLAFTVLFAFLIVRLRLTAFSMNAVALITIGAVVLGLHASSDRPAGVTKGQYWMGFFLSLGAAALYGLVLPLVELTYKRAASGGRVLTYALVVEMQLVMGFFATAFCTVGMIVNNDFQVPTHVLVLNSLYRIKLLLEHLSLQMSTNINIMLKLLMNSFSSRL >cds.KYUSt_chr2.14334 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90702604:90703266:1 gene:KYUSg_chr2.14334 transcript:KYUSt_chr2.14334 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPQPPAATPTPAPQSLFVTSMSAPGSPYSALHPLLLPSPNPHLLLKPKTLTLSLSSSSLASSSPPAPASDAWELVHPTVTVAAASPVDGGLDDCAIFPPRLHEGLGLEAEAEEAATKEEKDEEETDDEEEDEWLWGWGRCRAAAKRAWAAGAGSVLVHGDCGCPAVRPAVWSAAAAAVVVGALLYVRRRDRRERDLLVLLSQEKDKVCGSLQPLVMM >cds.KYUSt_chr6.15466 pep primary_assembly:MPB_Lper_Kyuss_1697:6:97135575:97139238:-1 gene:KYUSg_chr6.15466 transcript:KYUSt_chr6.15466 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAAAAAVPDARRWGKGGASSPVTTAIFLFFFVVVVGVLVSARWITTTTHLAITNLDQWRTKPSILTTTQTTSIPAFPAGPAPPRPTYTISCPAPPLTRDPDIPSNISQTLTLALASNTTCAAVPDPPPIPATAATNASCPAYFRFIHEDLHPWRAAGGITRAMLDRARATANFRLVVLRGRAYIERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRADEYQGENATDLPPLFRYCGDNETLDVVFPDWSFWGWAEINIKPWDALQKDLDAGNSKVSWMNREPYAYWKGNPDVAAIRQELVKCNVSSKQEWNARIYKQDWIKESKAGYKKSDLASQCTHRYKIYIEGSAWSVSEKYILACDSMTLVIKPKYYDFFSRVLMPTKHYWPVRDDSKCSSIKHAVDWGNSHKKKAQQIGKQASKFIQQELSMDYIYDYMFHLLTEYAKLLRFKPTKPPEAVEVCPESLACQAIGRERKFMEDSMVKSANVAGPCDLPPPFSPQEFKAMQKKKEKSTRQVERWEQIALEPKDSKR >cds.KYUSt_chr1.18049 pep primary_assembly:MPB_Lper_Kyuss_1697:1:105241227:105242455:-1 gene:KYUSg_chr1.18049 transcript:KYUSt_chr1.18049 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMELSLAMQIQMSYSRVVSTSLGAVMLRYSSWSLVRRRMMRRHGTKRRIASVTAATVVTVKIATPPFDKGK >cds.KYUSt_chr5.34434 pep primary_assembly:MPB_Lper_Kyuss_1697:5:218339769:218341804:-1 gene:KYUSg_chr5.34434 transcript:KYUSt_chr5.34434 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYTTCSAVVLALLLGAALANAAILQERDVLKTFIEARARANGHVRPDTYAEEDTWADPDSSFKHLPTKCSTPPPGTREADKIAALPGQPPRVNFDQYSGYVTVSEQHGRALFYYFVESPYEAASKPLVLWLNGGPGCSSLGAGAFQELGPFRVNPDGKTLSRNRHAWNNVANVLFLESPAGVGFSYSNTSSENYVSGDRRTAVDAYIFLLNWLERFPEYKGRDFFIAGESYSGHYVPQLASVIIALHKFGLTSMNLKGIFVGNPLLDDYKNDKGSLEFLWNHGVMSDEVWGDISAHCSFGRVEGKACGQAKDSFRTGHIDAYNIYAPICLVSRNGTLYSSSYLAGYDPCIGAHVSVYFNKPEVQKAIHVRTKTEWSECADLRDWTDAPVSMVPTLDWLIGNGLRVWIYSGDMDDVCPITATRYSVKDLGLSITKPWRPWYTPQSEVGGYAQQYEGGFTFASVRGAGHLVPSFQPKRSLVLFYSFLKGVLPPAFPKVSQ >cds.KYUSt_chr1.23554 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140066455:140067211:-1 gene:KYUSg_chr1.23554 transcript:KYUSt_chr1.23554 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVLIALRLKGVEYELVEEPMGNRSELLLASNPVHRKIPVLLHHGRAVSESLIIVQYVDEVWASQGPAILPSDPHARAAERFWSQYVDDKFPTAIRVLRGKVAGDKDEAAAQVAAALEHLEEALVRCGQGKGYFGGDSLGYLDIALGSHVGWVRAVERMAQVCLLNAAKLPNLAAWTDRFCAHPAVADVMPHTDRFVEFSVNNDGVLKAPHINSK >cds.KYUSt_chr7.29796 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185476813:185478954:1 gene:KYUSg_chr7.29796 transcript:KYUSt_chr7.29796 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHRYEEGEPCGVCGHRPPVLAPAGVPRQDSAFPSEILKDLLFLGSYDNASRSELLKTLGISHILNTVPFCQNLYRNSFTYHCLEDGKTLQFDDAIQFLEQCERDKTRVLVHCMSGKSRSAAFVIAFLMKSRGWRLAQCYQWVKERRPQVQLADAAQLQLIEYEQKLFGSNVGMAAQSFSPTDSTFPSLGFGFPKPTGDIQVPTFNQHTPASIFERVSSNNIPSNFTFGAEKVSEVKLPDSGNVGVANLAGSESMMDSS >cds.KYUSt_chr5.4266 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27301092:27303848:-1 gene:KYUSg_chr5.4266 transcript:KYUSt_chr5.4266 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAILLAVSKIGAVIVNDAVSAVVEKLSRKAENLRELPGKVKRIDDELMMISGVIKGQGTTQLSNDAIKTWISLVRKLAYRVEDFIDKYLYEALKLKEQGFLRKYIVMGNHHYKVFSQIAKEVEEIEKDIKHVGEMRSNWTNPVHPIKNEHADIDIRRNGRCFPELINDDDLVGIDENRSKLTEWLTIDDKEHTLITVSGMGGLGKTTLVKNVYDRESAKFPDAHAWIVVSQAYDVVDLLGTVLSKISHTQQPQPPLPVNTRARPDFYELAEAIKKILQDKKCLIVLDDVWDKQAYTKLQSTFQGLQGSRVVITTRIDDVAALALEGRRLQLQPLDMEESFNLFCRRAFHNRVGHNCPQALDEVARSVVKRCHGLPLAIVSAGSLLSTRQIDQHAWKQVYNHLRSKLHANNHVQEILQLSYHDMPGDLRNCFLYCSMFPEDYPISGESLVRLWVAEGFAVKTDNSTPEEVAEGNLMELIGRNMLEVVERDELNRVRICKMHDIVRDLALVVAREERFGTASDQAEMSRMDKEVRRLSACGWKKSTAMVASAAVEFPHLRTMISLEAPQSSTNMISSVLTGSSYLTVLELQDSEINQVPETIGDLFNLRYIGLRRTKVRSLPKSIEKLSNLQTLDIKQTKIEKLPPAIVKVEKLRHLLADRFADENRTEFRYFVGVEAPKGISKLEELQTLETVQASKDLPVQLKKMNKLQTLWIDNISGADCQNLFSTLSAMPHLSSLLLSACDENEILCFESLKPVSNEFRKLIVRGGWADGILKSPIFQGNGKYLKYLALSWCNLGKEDPLQILASHVPALTYLSLNTVSSADTLVVSAGSFAELKTLVLKRMLNVKKIVIEKNALPRIEGIYIVSLSGLSMVPSGIEYLGSLKKLWLRGLHKDFKAEWALMENYMKHVPDIRT >cds.KYUSt_chr3.44365 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279949487:279954804:-1 gene:KYUSg_chr3.44365 transcript:KYUSt_chr3.44365 gene_biotype:protein_coding transcript_biotype:protein_coding MHWPLSTRVWLHLGPTVERVRASVAVAGSQGALASRQRAKYLLRAGLNWIWDWKTEEDEKEGSTVDIEKKMMSPLVSIGMNIAASEFYDEKDQTYDLNFKEERVAKAIAGKSCNALLLKVLLHGKPVLLVEDCETHETRPGYPRMGWVLLAYLRKVDCLNIALMYVNMNRFVCFSSLESQLTIG >cds.KYUSt_chr3.28554 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178176267:178177526:-1 gene:KYUSg_chr3.28554 transcript:KYUSt_chr3.28554 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGIQAQEPKHRRQGQEEREADGAADSSALAALYLYGDVLESVVDRVPAADLAATAGVSREWLRAVRSALRRRPRRLPWLVVHLHGRSRRRTAAYDPHSGAWLTVHAPRHATPSHVRLVRGARGDRVCALSLSGLDVAGDPLGTSACVAMRAPRVWRVDPVLAAVGDRVVALGGACQLALAEGEDAAAVEVHEGGSWTTCDPMPAALRESAAATWLSAAATEQRVYLVDRTTGWASWFDPAKRRWGPTRRLEPNSGVSSWGVAPGRAGAERLVLFGAKREGEGAENRVVIQAWEVDGDDLDLSTHDDTMPSEMSGRLFPRDEDEEDEEELAPSIGVCGNATGGYVYNAAELGNGAVLYELRSGEKGSAVERWEWVPCAPAVRAEPMGRAILACSPVGLDELARGLRPVSSRRACADKH >cds.KYUSt_chr5.40073 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253165620:253166084:-1 gene:KYUSg_chr5.40073 transcript:KYUSt_chr5.40073 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTALMAALLLLVSLAAADIPIPHREKSEEEMRLIFVEWKAKMGKTYSSAGEEERRYATFKDSLRRIDQHNAAGIHSYRLGLNNFSDLTQEEFSATPCLVIQSVHDKDKAAWRLIIYMLFVCGFLIVYFIWTNWLACGWGRLFVLQRKSLLN >cds.KYUSt_chr2.18591 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117055452:117058220:-1 gene:KYUSg_chr2.18591 transcript:KYUSt_chr2.18591 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCYLALAAAAVIMLLPLAAAQPTPWQASTCGSGIYAANSTYQANLGLLAAALPANVSATPAGFATATVGVVPDLISALALCRGDANASTCRACVAAGFPGAQRECPNSKDVTIYQDDCVLRFSDQRFLDFVGVNSPVRVTTVDPENLTVSAAWFTAATVALMNATVDHAVTARGNSTKKYFATGEENFDATHYPMIYGLAQCVPEMTAAQCRSCLSDFIGAMPWWLKGMPRGRVLGIWCNLRYSVNPFYTGSAMVKVSAPPAPAPATVPSIAPAEAGTGRKGRVAGISAGVACFAVMILILTVCTVIRLKRRKANKRDNAFNRMARGKCTIYEFPTLKEATGNFSEKNKLGEGGFGTVYKGNLPDGQEIAVKKLIDSTGQGLDQIRNEMLVLAQLQHKNLVRLQGFCLHQNEMLLVYEYIKNGSLDNFLFVDASRRNTLNWDQEYNIILGIAKGIMYLHEDSSVRIIHRDLKANNILLDDAMDPKIADFGLARLQEGGHTQTRTTRVVGTYGYMAPEYAIHGNVSPKIDIFSFGVLALEIVTKRRNCGSDDSDTDTVNLLSDALRCIHIGLLCVQSDPDDRPDIPSIIFMLNRDDMALQPPEQPAFFFGENSNSASPPCQQGVYMYNRSEVIFEDISKNGLTITYPYPR >cds.KYUSt_chr4.47361 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293078528:293080110:-1 gene:KYUSg_chr4.47361 transcript:KYUSt_chr4.47361 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQLNVLKALDQAKTQWYHFTAVVIAGMGFFTDAYDLFCISLVTRLLGRIYYTEAGSNEPGHLPANVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHEAKGVIGTLCFFRFWLGFGVGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGFGILVIHWPEADYVWRIIVMFGTIPAALTYYWRMKMPETARYTALITRNTKQATADMSRVLNKDITEEEEKVQLQVASGDTWGLFSRQFMSRHGLHLLATTSTWFLLDVAFYSQNLFQKDIFTKVGWIPPARTMSALEELYRIARAQALIALCGTVPGYWFTVAFIDIIGRFWIQLMGFAMMTIFMLAIAVPYDYLVKPGHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGVSAAAGKAGAIIGAFGFLYASQDQKKPDKGYSAGIGMRNALFLLAGTNFLGLLFSLLVPESKGRSLEEISKENYDDDATITPAGA >cds.KYUSt_chr5.20255 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131651395:131652303:-1 gene:KYUSg_chr5.20255 transcript:KYUSt_chr5.20255 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSPMATFTFLALGLAALLLSAAGPAEAQNCGCRPNECCSTDGYCGTSIAYCGRACQSGACAVLSGGIAVPVESVVTAAFFNGIKSHAVAGDGCAGKNFYTLRSFLDAARANPDFAKGRSKDDSKREIAAFFAQVAHETGHLCLIEETNGASKDYCDERDTDWPCYAGWAYYGRGPLQLRWNSNYGPAGKSIGFDGLRNPEKVAQDPVVAFKAALWLWMNNVHPFVPQGFGATTRAVSGIAECDGGNSTAVNARASYYRDYCKKFGVDPGNFLTC >cds.KYUSt_chr1.34094 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207306445:207307275:-1 gene:KYUSg_chr1.34094 transcript:KYUSt_chr1.34094 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYHTWVFHGEDSVEPLAPHLSPQPEHVGRSESQIQPEELADSDEMDQMLLDNFGMYDTGVLGNQEDGDSADDEDEEDSNTDDVTADAEAYRKLVEDGSQDLYVGCTSFSKLQFIVMLLNLKNTWKVPNGCYDEILSLFKEALPQPHALSGIKNLHASKRYIKDIGIGYESIRACKNDCIMFRGAHKDDTVCPICKTSRWKSENTGVGGKRMYKVPQKVIRHFKLKPRVRRLFMCSKTAPYMTWHSKGRTKDAKLRHLSWYRHGICHGVANEGGS >cds.KYUSt_scaffold_6468.924 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4444406:4446315:-1 gene:KYUSg_scaffold_6468.924 transcript:KYUSt_scaffold_6468.924 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMSREERWSLAGTTALVTGGSKGIGYAIVEELAGFGARVHTRSRNAAELEDSRRRWEEKGLRVTVSVCDVSVRADREKLMETIKETFDGKLDILVNNAGQLFVKAAAESTADEYSHLMATNLESFFHLSQLARPLLLNSSVAGGGSIVNMSSIGGTISYAGAAIYNVTKGAINQLTRSLATEWAPDMIRVNGVAPGFVTTDLIKDTTTDQLADEHSKTPMRRSGKPMEIAAAVSFLCLPAASFITGQGLRCSLSMAPQDPSAADLAAAAAEAAAMGSSLRALAAALPRIRAVVPVTLELCTSTYLQWRGMFTDAAEKYALEDHLLEEEYPADPTPQWSRNDVIVRSWLNSVVAPELLAMVVESTTPQPAHALWTRLSTIYHDNSETRSS >cds.KYUSt_chr7.30026 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186907670:186909618:1 gene:KYUSg_chr7.30026 transcript:KYUSt_chr7.30026 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRALHILTTSRGISSTPHLASLGWFDKIKTTFTGKKEDDMPFPPSDSFTLLKFADSMDMARKVGTFKNFVSGRSGEATVAAAFEQHSAVLRYLATIDPSGEKLKNSDKINATKHCNCTIADVEHILAKYTWAKDAQKKIEKLKDEGKPIPKSFSEVQNLMGNTPLDIGRSNLAKSGQISRNALCPCGSKKRYKRCCGAS >cds.KYUSt_chr3.34614 pep primary_assembly:MPB_Lper_Kyuss_1697:3:217094790:217102506:1 gene:KYUSg_chr3.34614 transcript:KYUSt_chr3.34614 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASNGGLLYHEVQEGKLCAVHCVNTALQGPFFSEFDLAALAADLDRRERQVMLHGVEAAAAAATAAGDFLAEGEGSHNVSLGGDFSIQVLQKALEVWDLQVVPLDSPAAGSSLFDPELEIAFICHLQDHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAYIDTLKGSGWSIFAVRGNFPKECPMSTEGSNGFGQWLTPDDAQRITASCNQVQTPTRQQVVSPLRGQSEGMSEMELFAAQQEEADLNAAIAASLMDSVGAFASRSAAEEESRSQALLPSEAASDVASKDSNLEEQGANQAEASGSGSIEESAPVSYPRERHRFVLPSGERDVATQHHGGKDGEAMWTCSSSEKAVQGDTSGQGLENTVVTSYQSGQDTAQPTFGTSAGTTSLGVRSWERRTPPMESAYARLAAVHAPVLRSSSSA >cds.KYUSt_chr2.31379 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193696504:193702044:-1 gene:KYUSg_chr2.31379 transcript:KYUSt_chr2.31379 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPPSRDESRPPFTTSSDDDEPETSGSEQGGRGGGGGGDDAHRPIPLRQQLVGACRADDRLRPLLTLNVSCSAAENRFISHLSQHFEVSEVGMLARCLCVPLVSLRVGKVHRRGSLLCPTPIRGKLSLGLLPSSSMHITFSGDDGHSEQLALLSDAFEDSEVRVEEISADNSGRSFLIRISESKVFYYWCAEKSKECGMELLAKMKNLLQGRPTLSDLTGISNSRLDAFVTNLHAYLRAPSIGDAKSLGSSSDFFSISISHGQLLQPPSVVSRPSRSRTFTANATKTSSIYQASLSPRSNTFKDGVPRNSCTKVVGREKLKRHGEWLSPSTALADANPLIAKSLNPDSSSEACDGDCLKDSVTSAPSDLPLSFPLLPPLYPFPTQCPLSEGSSESPFKPYYCWCPPCPSSLQYSVTPLHMPVTSVEPFPQPPLSSLVSNEQPSASSISAKLGTTDPPTLNFPSILHDPVLHLPLPTSTLVPLHGSVVSTFPLLHLPFPTSPLVPVHGSQVPTFPLLHLPLPTSPLVSLHGSQVPTFTPLMSDPIVHVPVIDMCSSGQAYLVSCGPSITSAVPLLPSLNPLVPETESLVERSARETLMRLIASTPPSSHPQLINILPAVLTDVPENISRSANVNMHVGVHRNDILLSSSWGANVIGSGIAAMELHPEEEVSSGHDAHTMVSFTEFDDVNVDRDQPHFRRM >cds.KYUSt_chr5.22152 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144554858:144559073:1 gene:KYUSg_chr5.22152 transcript:KYUSt_chr5.22152 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQKGSAAATAADANKRRRVGFAGIDAGTEANDCMQVFIARNSDDVGSEDSISIEPFDLNHFFGEDGKIYGYTDLKINVWISAISLHAYAEISFKETSDGGKGITDLKPVLQNIFGENLVEKDEFLETFSKECDYISDVVKNGTPIKHYASDEDDLAVEIVRVELQGAAAFLYSRLISLVLLLVEGSTPIDITEHGWEMLLVVKKAALESSASKYQLLGFAAFHHFYRYPESTRLRISQILVLPPYQGEGYGRCLLESINSIAESENIYDVTIEDPSDYLQYVRSSIDCLRLLTFEPIKPALGDMILSLEGTNLSKRTGSLTMAPPTDLAETVRLKLKINKKQFLRCWEILIYVHLDSEDRKCIDNFRACIYDRTKGELLGGASGTNGKRLVQMATSFDEESSFAVYWTKEGADSDDQTVEQQPEDLKTQEEQLNELVENQIDEIVEVAKNVRSRGKDKLTA >cds.KYUSt_chr1.10306 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63230595:63231715:1 gene:KYUSg_chr1.10306 transcript:KYUSt_chr1.10306 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHQEESCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQEVDLYRIEPWDLQERCGTGGGKGEDDLSSSERYFFSFKDRKYPSGTRTNRATSAGFWKATGRDKAVLSSRSNRGVIGMRKTLVFYKGRAPNGRKTDWIIHEYRLQTNEHAPTQEEGWVVCRAFQKPVPNQRHFVFPAYAAAPGSYYDNGQLHLHGHGHGHGGDLRYLHPAAAGAGGFTFPSHDQFSEDLESKKNLLSNIPQLIGSPPTTTAIIGCGDASYDVQQHQGQGASANAIDWNFLDSLLSTSLLQDSYSAASAASHLHLQ >cds.KYUSt_chr2.17250 pep primary_assembly:MPB_Lper_Kyuss_1697:2:108683761:108687353:1 gene:KYUSg_chr2.17250 transcript:KYUSt_chr2.17250 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSLPFAALRRAADWRPSTATAAVSISGGVVLNARSRRGSRSVVRCVAASDIPPTVADTKLNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKSTYQYDPVFALGFVTVYDQLMEGYPSNEDRDAIFKSYVTALNEDPEQYRADAQKMEEWARSQNGNLLVEFSSRDGEIESILKDISERAQGKGNFSYSRFFAVGLFRLLELSNATEPTVLDKLCAALNINKKSVDRDLDVYRNLLSKLVQAKELLKEYVEREKKKRQERLDTPKPNEAVAKFDGNAVA >cds.KYUSt_chr2.38715 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239958556:239962305:-1 gene:KYUSg_chr2.38715 transcript:KYUSt_chr2.38715 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGAIVVVGWARDQCTTLNCEDIDTGQSHLIPAELNFHLLSYVSPQPTMEYFFEQQPPMRFQQLAATSHQSMSKLPDYYPVTAEMYLPGVPRTRSLFGAADDELTCLVESSPKPRARKRSLCGIIGDGSGEKKEKQRRMRLSDKFTALMILIPNRTKEDRSTIVGDAIEYIQELGRTVEELSLLMEKEREHQEDVVDASAGDSESSVSVGEAAVVPIRSTFIQRKSMETSVDVRIVEDEVNIRLTNSRSDGCLAAASLALDHLGLDLVHLSGGKIDDCHIYMFNTKGGSCNSLNYTSSLRLNARWVRSWIGRSEPTEGGGYESGQSIHAHARGGGYESGQSNEAHTRGCGYESGQGSEAPRGDGDSERQDDEDDTLQRKMEKEDTNGDSGNSDDSG >cds.KYUSt_chr6.32724 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206136104:206137577:-1 gene:KYUSg_chr6.32724 transcript:KYUSt_chr6.32724 gene_biotype:protein_coding transcript_biotype:protein_coding MERSADQEEELAGARAEGSAEKKVADAGDADMENPKAPPALVTACCVCMEPWSSEGAHRMCCIAYCGHVYGRSCLETILRRCEDRRAKCTDKFDRLQVIDLYMTEYPRDNSWRRKPSCVVMPKGGGVGGSRTPEEMEAEIEERVMARVLAARNGGRELAAPRSARDAGMSSTAIPLLTRSNYHEWSLLMRVILEGRGWWKAVETGDAEFTDDRLALEAILRAVPPEMLATLAVKKTAKEA >cds.KYUSt_chr2.47834 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299092651:299093130:-1 gene:KYUSg_chr2.47834 transcript:KYUSt_chr2.47834 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAPLLVVVLLSALAAVQLSPASACPTCPTPVPPPPPKVTPPPPPSSVPCPPPPHYTPTPSPPTPSSPTGKCPVDTLKLLACVDALNGLAHAVIGASPSDTCCPLLSGVADLDAALCLCTVIKAKALNTSLVIPVAISVLVNECGKHVPSSFQCPSY >cds.KYUSt_chr3.28029 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174932048:174938818:-1 gene:KYUSg_chr3.28029 transcript:KYUSt_chr3.28029 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVAADSSGEGARHGSGERGNDGRQEKDEAKKVSLFGMFRYADRIDVLLMVVGTLGAIGNGVSEPLISVLFGNVINSFGESTSSDVLRRVTKVVLNFVYLGIGTAVASFLQVSCWTMAGERQSARIRSFYLKSVLRQDIAFFDTEMTTGEAVSRMSSDTVIIQDALGEKAGKLVQLTSAFVGGFIIAFTRGWLLTLVMLTSLPLVAIAGAVSAQMLTRVSSKRLTSYSDAADTVEQTIASIRTVVSFNGEKKAIASYNNFIKKAYKTVIEEGLINGFGMGSVFCILFSSYGLAFWYGGKLIIDKGYTGGKILTVLFAVLTGATSLGNATPSISAIAEGQSAAYRLFETIERRPKIDSDDTSGMVLENIKGDVELKDVYFRYPARPGQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPEAGEVLIDGINIKNLSLNWIRGKISLVSQEPLLFMTSIKENIIYGKEDATLEEIKRAAELANAANFIDKLPNGYDTLVGQRGTLLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRIMVERTTLVVAHRLSTVRNVDCITVVRQGKIVEQGTHHALVKDPNGAYSQLIRLQETRGDERRKIHDSGVPNSSLSKSTSLSIRRSRTKDSFGNSNRYSFKNPLGLSVELHEDEITGEQNKDGLSDGKTIKKAPIGRLFNLNKPEVPFLLLGSIAASVHGVIFPLFGIIMSSIIKSFYEPPDKLRKDSSFWALICVVLGVASLISIPAEYFLFGIAGGKLIERVRTLSFQNIVRQEVAWFDNPSNSSGALGTRLSVDALNVRRLVGDNLAVMVQSAAALITGFVISFTADWRLALVITCVIPLVGIQGYAQVKYLKGFSEESKEMYEDASQVATDAVGSIRTVASFCAEKRVITTYNKKCEALRKQGVRSGIVGGLGFGFSFLVLYLTYALCFYVGALFVRQGKTTFADVFRVFFALVLAAVGVSQASALASNATKARDSAISVFSILDRKSKIDTISDEGLMLENVIGDIDFTNVSFKYPSRPDVQIFSDFTLHIPSRKTIALVGESGSGKSTIIALLERFYDPDSGRISLDGVEIKRLRISWLRDQMGLVGQEPVLFNDSIRANITYGKHGEVTEEEVTAVSKAANAHDFISSLPQGYDTLVGEKGVQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERIVQDALDRVMVSRTTIVVAHRLSTIKGADMIAVLKEGKIAEKGKHEALMRIKGGVYASLVELRSNS >cds.KYUSt_chr5.14006 pep primary_assembly:MPB_Lper_Kyuss_1697:5:90991718:90995894:1 gene:KYUSg_chr5.14006 transcript:KYUSt_chr5.14006 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASTSMVLTLLGFCVSVLFIVFVCTRLVCALVRRRRRRRRASPMPPGFPPLAANYFFAVQVDHLGAAGPAAGGLDPAAVAAFPTRAFSAACGSPTSSNASDAAPQCVVCLAEYEDKDVLLTLPYCGHNFHMACIDAWLKQHSTCPVCRISLSDYTDSKHTVPPLPSAVMIPPYSPEASISDPCHCLFVGTGHSPRPSEVLRNEPDQANQTVPGPSLDGPNNLTLSEGSTMEFKHLCLVRFKEGVVVEDIIQELSKLAAELDMIKFFGWGKDVLNQDALTQGFTHVFSLCFDSAEDLAAYMGHEKHGAFAATFMAAIDKVIVMDFPFVVAKPAPQPEA >cds.KYUSt_chr5.7560 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47678097:47683598:-1 gene:KYUSg_chr5.7560 transcript:KYUSt_chr5.7560 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSVRTLEKPGSSHGHGFTLSTGDFPTLGAEKTSDSNSQRGHSSKGRPTSSSGKDGTQNDQGKSPTAGSGEVIRSPNNQPADILKTDQHAHDGGAPFPATAPPSEAQQPQPYPPNYGMPPPQFDSWRAPPGHPPEGMWHRGPGGPYRQVGPPSSFPVEPFPYYGQFPPNSEAAARQGSGHGGYHSKNGDAYHSMRPNSYVMNQPVIPVRPVYQGPMPYDGYYGPPRANFNNANVRDVPFIGGSHQPGILNQFPNHNDKFQPGNSQSRPVKHEAVSKELLESDRVHLVPRGQTRILHDNPDRVGPGEVERKIQPAPPLLPHPDGNRNDVNLRADTRSTSSERNMVLMKSVHDQRERGPDRLSHSSVSENAHSHPRGTDDVALRKKIKDDNLPLDQQPIIKKNAALIEKIGSLNNKARNLDAHNVVEPFPSNKDIKEKQLKSADSKADQVVKGVSSTPVITGFASASSQAACVSPISPVVQKLSTEPSDGAVVGPLHSHVAEASKAGKLVGSTHDRTRRRGDSSRNSHHGPAKDMPTNNSAVHGRGENSTTESSSVVQLRNIQHDQPPEHASQLPPVTITDDMPASPDYEFQRVKMKELAVQRAKQLQAEEEERTKRQKAKALAKLEELNKRSSVHQKNSSDPQPENADVQNKQKAGLDGTADPAVSTAESRNVTAMGNVSILQPPIDPKDTAVPAQPMSTLPHTAGIAKDLAVRNTSSSGRNAQSSTVEHVAHRSVSQSHDAGVPKSKQGYRKRHAVPEDKTPGEKSSLVVSTENLKKTAEASLDTSTAVVRSYDDPPAHNKKSARNSRNKKKLDDAPATSKNLPEVLNQQNIPSLSSEPKPKTAGVIISSSILPTANTVLTVGSITVGGISFGSFNQERLKSPEEVQNTTNSRPRPQQAKGSRKTNHAVRPVEKPHGNEGVVWAPVKPSGWNEVSEEADVAVAARPKPIGKCANDGDNVTRTKRAEMERYVPKPLSKELQQQNTEQTLPSEKSSVENKSNDKSDAATEHKKWEDKKTSRGHGNGKSHPSWRKRNTDESALVVPDPSERLDSCQESHQVQRPSDKHQQLEHDKQADHTAGNSLAPTQIVELPVSAPKEHTAANRQRRQHVKAPKNEASNHSNENRDRQGRKDVNHMSTRGIDSNSSEHRNMSKSEVKSSAAVTHARAHWKPKSSQSQNNSQGNNNVEGQVDSTTLQDSNNQNLAGNSSRNGEKDEQNQGKGNAVHVDDNQKSESQENAEQQQLNHAARRQGHHNGRYQRGRGYDAGQPSHNVNAERRRGGTHLEYQPVGSHSKPADFQQNPSVDEQNTGHPAPGPVYRERGHSKSHRPGGHFVKRNPPSAPAPNSYQDE >cds.KYUSt_chr4.8863 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53211268:53214043:1 gene:KYUSg_chr4.8863 transcript:KYUSt_chr4.8863 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVSRCSGLLLPDLRPGLAGSHRRSLPPSPFVHLRRGRRRPRTLSCVAPPDSAESKTDEQEVKAELGEEKAQPSSSSSKPQEPAGEPPVPDKDLNRRVALLSTLGAVSLFASQRFKLGGFSLKDLAANAVPYEEALANGKPTVVEFYADWCEVCRELAPDIYKVEQQYRDQINFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEVDIDDVGLRSRLNEGHEASIVDDIPDGVAVH >cds.KYUSt_chr2.9801 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62010199:62013072:1 gene:KYUSg_chr2.9801 transcript:KYUSt_chr2.9801 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPTTARRRPPALRAGGNRGGLHIGEAARGGAALPEPPPLLIEPKPESSEEDPDLRAAPIISAAEEEAKWPHLHAAIRTLRNGGGGAAGGWCSPTRPQLVDNGDLRDGCNWHANGDRNRNEAMVRMHRVSSVEGWL >cds.KYUSt_chr4.3827 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21864641:21869922:-1 gene:KYUSg_chr4.3827 transcript:KYUSt_chr4.3827 gene_biotype:protein_coding transcript_biotype:protein_coding MPATVAAAAAASGGALLLYLLINTCRPLPPPPRADGAAGEEEPPLLLSRAEEAARRGGDFAEDGEEAWPHTPPVSCCEAAAVAARTTRRLWELLVGRWGLHGLAFGIKRHMKRQGNLQHEYSGNDCVQLKGHEVHTEVAYLLEFLKLCMYYSKERFSEFLKFGGYSQEDILIHKSRARLMQPSFALVRDKKSKCFLLFIRGAISPKERLTAAASVEVPFHHIVLNDGQIDNVILGYAHCGMLAAARWIANLAIPPLRDAVGKFPDYKVKVIGHSMGAGIGAILTYILREQYDFTSCTCLAFGPAACITWELAESGKDFITSLVNRDDVVPAFSKVSIESLRSEVMVSSKLDDLQDQDHLCLFAKISQHVALAKSHMRSISHPTPKTDEVSSSIYEPLLKQAPETTQLEANEPNIECSQQQADLEANPERDFPAPSVETCEEKIILVNKEDAASVASVAVSYFPSHGYTDSNRDTEQASFAREEEAPSMKPQNGVGKEKQKECPSAPGLRQLFPPGRIIHMVAQPSPDPDPGEGSSNKEIIGVYETPRELYAKIRLAPNMVNEHYMPSYISTMESLLEQLNSNNIIGIHEEESHRL >cds.KYUSt_chr5.4883 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30920320:30921058:-1 gene:KYUSg_chr5.4883 transcript:KYUSt_chr5.4883 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLLWGERGTEGGGSGAPNLRVRCAPRVPLGSHKYGGVHHDFFFPPRSLSSGLWYMRAARISLPPPPSQLLAPPPARSLPLSHLLAPLPAAGYRLKAARRHLPAARCRLKAARRRLPAAGYRLKAARRRLPAARRRLLPSHESLCNTGVPSSDCRCPLLARPPTRTPPLGAPTLSLRQPSSFSELGQRSSFSDPAAPTLSAWRRLRPDE >cds.KYUSt_chr4.7408 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44055801:44056832:-1 gene:KYUSg_chr4.7408 transcript:KYUSt_chr4.7408 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPPPHVVEDVPGILQFFSDGSVIRAEYTYPHPPLTPPPGQPVVQWKDIVYDASHDVCKLRVYKPQAASSSPGQKLPVIVYYHGGGYIMGSFEMPCFHDCCLRLAGELPAVVISADYRLAPEHPLPAGLDDAATVLRWVRDQAVAVVEELPDADPWLAELADFGRVFVAGDSAGGGVVHHTAVRLASGQVGRLDPVRVRGHVLLFPLFGGVERTKSELELPPGPFISLPVIDKGWRILLPPGSTRDHPFANPFGPESPAMDGLPLPPMLVVIAEYDLLCDRAADYAARLKAMGKSVELVKFDGQDHGFFSVEPYGDAGSEVVRLMKRFVYDNGGDAAAVSN >cds.KYUSt_chr7.1644 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9219567:9223671:1 gene:KYUSg_chr7.1644 transcript:KYUSt_chr7.1644 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAASTRLQEPTSEMATTLIRKEELAGLRCFTDLIAGALVHLAADGVDLLCPVPLHAGSEGWFSGGVHIMQELTTKAHRHDHDTVV >cds.KYUSt_chr3.13848 pep primary_assembly:MPB_Lper_Kyuss_1697:3:83689977:83694682:1 gene:KYUSg_chr3.13848 transcript:KYUSt_chr3.13848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll b reductase, Leaf senescenc [Source: Projected from Oryza sativa (Os01g0227100)] MAAAVVHLSVHGRLRRSPEIVSQKCYRPSLLRCRAFKQETGGDDEKPSSPPPKRRKGPLYKLKAALQGLAGSRSAAAEVYGGQYERAVEKAEEIFFSVATQVGRYVITMTSSGVVLAVGFQLSGGDSQTNALIWYSWLGGVIIGTMLGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDRVVVASRSPESVVQTIDELEENIKEGLSVAKKKQRETLLQAKVVGTSCDVCKPEDVKKLVNFAVEELGSIDIWINNAGTNKGFRPLVNFSDEDITQIVSTNLVGSLLCTREAMDVMQYQENGGHVFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLMKESRRSKVGVHTASPGMVLTDLLLSGSSLQNKQVFNIICELPETVARTLVPRMRVVKGSGKAVNYLTPPRILLALVTAWVRRGRWFDEE >cds.KYUSt_chr5.27183 pep primary_assembly:MPB_Lper_Kyuss_1697:5:171983449:171986087:1 gene:KYUSg_chr5.27183 transcript:KYUSt_chr5.27183 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCSNTVFAVFNVVTLLLGAAVLAAGIYASAPHRGGATDCDRFLRTPAIVLGVAIMVASLAGLVGACCRASALLWIYLFLTGLLILAALCFAGFAFAVTNASAGQAVSGRGFREYRLGDYSSWLRRTVEDGRNWGRIRSCLMEANVCRSLQSNRTLDEFVNANLSPVQSGCCKPPTACNFTYQNETYWTKPPGYVSGADPDCDAWSNEQSELCYGCQSCKAGVLGNLKNSWKKIAFVNAAFVVLLVVVYGFGCCALRNNRRHKYSLVGK >cds.KYUSt_chr2.39967 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248156563:248156787:1 gene:KYUSg_chr2.39967 transcript:KYUSt_chr2.39967 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSSFFCAIFSFSRKSRRFQVDDEGDWEWPPAPALRKVRSSDEDSGWWVGERDVDQKAADFIASFHQRSLVA >cds.KYUSt_chr5.3154 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20393842:20394468:1 gene:KYUSg_chr5.3154 transcript:KYUSt_chr5.3154 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVLLSFLILPFTAMALTQDFCVADLSCSDTPAGYPCKASVTAGDFYYHGLAAAGNTTNLIKAAVTPAFVGQFPGVNGLGISAARLDIAVGGVVPLHTHPAASELLFVTEGTILAGFISSGANTPYTKTLYAGDIMVFPQGLLHYQYNGGSGPAVALVAFGGPNPGLQITDFALFANNLPSPVVEKVTFLDDATVKKLKSVLGGSG >cds.KYUSt_chr6.574 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3542817:3544805:-1 gene:KYUSg_chr6.574 transcript:KYUSt_chr6.574 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRALLLALAATAALLLPSADAFYLPGSYPHKYSPGEFLNVKVNSLTSIDTEIPFSYYSLPFCTPPDGVKDSAENLGELLMGDRIETSPYRFRMHANDSDVLLCRSDPLSPGAFTLMKKRIDEMYQVNLILDNLPAIRYTRKDDYFLRWTGYPVGIRVGGDYYVFNHLQFTVLVHKYEDPNVARVMGTADATDAIPASSKDTSSSKDTSSGWMVVGFEVVPCSIKHNPDDAKALKMYAKYPTKIACDPTTVSMSIKEGEPIVYTYEVAFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTRYEELDSEAQAQMNEELSGWKLVVSDVFRAPTNPMLLCMMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGILAGYASVRVWKTIKCGDHSGWVGVSWRTACFFPGIAFLILTTLNFLLWGSQSTGAIPFSLFVVLILLWFCISVPLTLVGGFLGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVMLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYLGYSLFMVIAIMLATGTVGFISSFCFIHYLFSSVKAD >cds.KYUSt_chr7.24119 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150287906:150294662:-1 gene:KYUSg_chr7.24119 transcript:KYUSt_chr7.24119 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRRRGGHNHNPCPCPCPRRAALPPAALLLLFLLAAVALLYVSPPPLSDHPALASSRHRSSHALLNSSGGGGGGLLEPARREISRVPRGGWTAADDQWGSKLASKFYGCSNSSSKFPDSSVITHPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASDFAQIFDADWFISSLSKDVRIVKVLPEIGGKVRAPHRMRVPRKCTPRCYLNRVLPALLKKHVVRLTKFDYRLANRLDSDLQKLRCRVNYHALRFTDPIQEMGEKIIQRMRERSTHFIALHLRFEPDMLAFSGCYYGGGEKEKRELGVIRKRWKTLHASNPEKERRHGRCPLTPEEVGLMLKALGYTNDVHIYVASGDIYGGERTLEPLKALFPNLHTKETIASKDELAPFSKYASRMAALDFIVCDGSDAFVTNNNGNMAKILAGRRRYLGHKRTIRPNAKRLYSLFLTRGNMSWDAFSSKVHMFQKGFMGEPKELRPGRGEFHENPSTCICEKTDPKTLAKSNPRSQQGLSNGTEGGKATSEPTVPDNTGEELGGSGEEEDDAPMEKEDDAPVGKGDDAPVEKEEIVDTEAEDDALVRPDDPELEEVLSD >cds.KYUSt_chr1.8778 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53991378:53994324:-1 gene:KYUSg_chr1.8778 transcript:KYUSt_chr1.8778 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALTSNPPSFRPLSSPLRRRAPAFLCRVGAGKPGADEETKKKPFFVDFTGKFTEAKALIPAFPSPATGSLFAGGRGKKDAQTVFVAGATGQTGVRIAQTLLRQGFAVRAGVPDLASAQELARLAAAYGLISPAEARRLNAVESDFDDTEAIAKSIGPAAKVVITVGLAEKGPEGGVVTTDDALRVVQAADLAGVAHVVVVYDVGAAGFSGASANSVLDGFTSFFSNLFSRVQTMSVSEFLSKVVQTDVNYTVVKASLTDDYNPESSYGLVLAKEDSSTASSTETGKVSKLQIASLVADVFSNVEIAENKVVEVSTSSSGTSKPTVEALTGIPEDVRRKVYKEAAAKAQAEEEALASQRAGDAEEPTSKLKAEGTSEEAGASPMNEAQASLENLLTRAKGLKLNTDFSWDKFSTQLAAAGGAARNSGEEESKAQIATVRGRARAEKLAPQRAVVKPVAQKVKQVTKQPAPKEVRPVFGGLFKQETIFVDED >cds.KYUSt_chr6.24972 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158213425:158213976:-1 gene:KYUSg_chr6.24972 transcript:KYUSt_chr6.24972 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRSIFTRLLSSPSASPISHLHRLLSAVSPSPGLNVEEYLVDRCGLTRAQAIKASAKISHLKSPTNPDAVLSFLAGLGLSTGDVSAVVAKDPKLLCSAVDKTLAPVVTGLAGLGVARLVLVARDRIRCRSIVSYLNYYLPIFGSFHNLLRALKFNNSLLGYNLERTVKPNVTFLRIVRST >cds.KYUSt_chr4.4132 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23690100:23691236:1 gene:KYUSg_chr4.4132 transcript:KYUSt_chr4.4132 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGLIVEFDWARHSLSVFEAPEEGCTLMLADDGELGVNELTEGFNPRLNLWSMVASDDADAQWVVSCVIDLEKLLPVGALSSVAGVLVLGFAEGANVIFLNTVAGLFAIELRPERVKKVCDDCGPCRLIPVVTFYTPVHGNRHHDLPLLLNATEVAGGQEERGEEKTVEQVQQLVDNGFNAIKEGTTSTPFNFSAMCSNRLKFYAFVVRVPPCGDVAAAAHLRAWMRLCDTKLWR >cds.KYUSt_chr2.43749 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272251489:272254986:-1 gene:KYUSg_chr2.43749 transcript:KYUSt_chr2.43749 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETTGSNGAAAADVEMVEANELRRRSKPGDRARRDGEGAVPAEEEEAAVSVERAFADQRVPSWREQLTVRAFVVSFFLAVMFSVIVMKLNLTIGVIPSLNVSAGLLSFFFVRLWTGALDKVGLLRQPFTRQENTVIQTCVVAAYGIAFSGGFGNYLLAMSDRIASQSTEANDPQNIKNPQLLWIIGFLLVVSFIGLFGLVPLRKTMIIDYKLTYPSGTATAYLINGFHTPHGAKIAGKQVKKLGQFFGLSFFWGFFQWFYTATDSCGFQKFPSLGLQAFNNRFYFDFSPTYVGVAMICPHIVNVSVLLGAILSWGIMWPLIAKKRGDWFSAELADGNLHGMQGYRVFIAIAVILGDGLYNFLKMLFLTVRSLRSQLKKSNASTLPVSDDETGNSVAPISYDEERRTELFLKDQIPWYIAYGGYAVVAAISIGTVPQIFPQLKWYQILVAYIVAPVLAFCNAYGAGLTDWSLVTTYGKLAIFAFGAWTGASHGGVLAGLAACGVMMNIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFDNVGISGSEYPAPNAAIFRSIAILGVDGFSSLPKNCLTLCAIFFAAAVAINLIRDLVPKKVSGFIPIPMAMAIPFYLGAYFAIDMFVGTVILFIWQKLDRANADTFAPAVASGMICGDGLWVLPQSVLALAKVNPPICMKFLSRGVNDKVDAFISTL >cds.KYUSt_contig_5296.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001061.1:91607:92201:1 gene:KYUSg_contig_5296.7 transcript:KYUSt_contig_5296.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAALAAVLLCAAAAMAAARSAINVRATYNYYSPQKINWDLNTASAYCATWDANMSSPGAPSDQLGDRPTDHGGIVDQCSNGARPGTTTRCSAK >cds.KYUSt_contig_2686.42 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000449.1:238142:239793:-1 gene:KYUSg_contig_2686.42 transcript:KYUSt_contig_2686.42 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRFAPGANDDEASSSRRRPPALRAGGNRGGLHIGEAARGGAALPQPLPLLIEPKPESSEEDPDLRAARSSRRRRRRRNGRTSMRPFAPPRWRRRPAGGRGGGGLRDAGSNCGEAQEPEEPVPLELHAPLSLPPICGGAMSFFSFSKVDAEPAVSSAVTEDAKLHKAHRLVNPFFSSYLMKILAMTD >cds.KYUSt_chr3.16523 pep primary_assembly:MPB_Lper_Kyuss_1697:3:101329595:101330191:-1 gene:KYUSg_chr3.16523 transcript:KYUSt_chr3.16523 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPERGQAAGWNHLIAPVLLALRDLLRALGIVDAVSVSVRLSKLAGDDFVAAGRSRSPPDLVRTLRPHLRLRGEFLRLLELSTVSLVLPIMLAVLTAVVLCRVSSPAQHGGTPVPSQRPFGCPRSSASGAPCARPGSPHVVSSVLAGRPLCSRSSSIFILACSFQFAPSSGSISVLAHVIQHPNEQPSGNIGFSNLL >cds.KYUSt_chr2.15726 pep primary_assembly:MPB_Lper_Kyuss_1697:2:99020574:99021041:1 gene:KYUSg_chr2.15726 transcript:KYUSt_chr2.15726 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLATPSQAPDPDGLHLLDAKCALTVPDGTLTDRNPTAATPCAWTGVTCDAAGAVTALSLANTNLAGPFPASLCRIPRLASLDLGANYLGPEAAVAGCNGANTPRPLRPTPSSARSPPRPPASRPRRGGVAVGGRHGVRMGRRRGRGASTRDL >cds.KYUSt_chr3.18526 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113905800:113907386:-1 gene:KYUSg_chr3.18526 transcript:KYUSt_chr3.18526 gene_biotype:protein_coding transcript_biotype:protein_coding MPLANRTVGSPSSPALSERTGSAKVRRERRVGAAAGGAEEGDDLVERVALGSRAEQRQRGVDGERRRAGACESPADDGDEGKGRPHVVGREQRWGSEIEEEQRSCGRRYADKQTTTRPRLDSLNGGVPDALKHLDGALTELHAVAGAVERSRGARGNGGRDLIRWLLQLKDAVHQAGEYRSLRPDGGKVACLANSSPPLYSSSSP >cds.KYUSt_chr7.15653 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97197818:97200448:1 gene:KYUSg_chr7.15653 transcript:KYUSt_chr7.15653 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSVSHHTGVLSLHRQGPSPRQLSARSQAISTSSQAMAVLRQSQHRGVLPLRRHGPSPRQLLARSQTISAPYQSTEEDEKTEEDKKTEEDKKDSSPPPVSWVERSLEAALPYARLARLEKPIGSWLLAWPCMCRVLGLIWLPLVSSYPLMKRLTFWPQAYLGLSFNWGALLGWAAIKDSLEPTVLLPMYIAGICWTLVYDTIYAHQDKKDDLKIGVKSTAIRFGDSTKQWISAFGTATIGGLALSGYNAGLG >cds.KYUSt_chr5.13715 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89152084:89166117:1 gene:KYUSg_chr5.13715 transcript:KYUSt_chr5.13715 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMMQPQIILLKEGTDTSQGRGQMLSNISACTAVADTVRTTLGPRGMDKLIHDDKGTTISNDGATIMRLLDIIHPAAKVLVDIAKSQDSEVGDGTTTVVLLASEFMKEAKPYVEDGVHPHSLIRSYRMAGNMAIQRVKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVLAIGHDDRLNLIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVQSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVSSASGGTVQTSVNNVIDEVLGTCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRAVKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGDGANYGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKVLSSLCMGHRSSLPASRKRTPAEAEELLAKTGKNYDDWTTPEPTPTPKKMGMIELNDEAMREAKKSLKEKGIKSEDVKNLPPIEKLWAVVDCNQGKVTFNVDDKDHTVYFPKQIGRKYGLNSIINIETIQIGKIHLPMPMPKKENDIVMIGTMPIKIGIPMFGTAKRIKDTPTDLLELYPGVTNDDDRRAQRGKIRNIQLPSIRYFVYFLATSILGRENTSNISHYHLAFRATALNNSTKYNLGALIARRLSAKGPIYGRIIVARIIAALDLPIDPNDVLLTPQRFDLAAMKFHQFFTADCCAGALVYRMLFTDGDEREVSLPQSGLFSIHRMPWSRSKEELDE >cds.KYUSt_chr2.52475 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327410875:327412479:1 gene:KYUSg_chr2.52475 transcript:KYUSt_chr2.52475 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSRRGSHSQPFELDTAPELDDDGRAARTGNLWTCVAHIITGVIGAGVLALSWSVAQLGWVAGPIAMLCFAGVTYVSAVLLTHCYRSPIAASGGGGSEDSSTGKTRRNYTYMDAVRALLGRKHVYVCGTLQYLYLYGIGVAYTITTATCLGAIKKSNCYHAHGRGAARCGSGTGEQHMFMLLFGAAQLVLSFIPNFHSMAWLSAVAAAMSFTYATIGLGLGLAKTVGDGAIKGGLAGVPVATAAQKTWLVAQAVGDIAFAYPYTIVLLEIQDTLRSSPPEGETMRKGNVVAVLATTFFYLCVGCFGYAAFGNAAPGNLLTGFGFYEPYWLVDFANACIILHILGGYQMYSQQIFTVVDRWFAARFPESAFVNKTYPVRFLPGLPRYGLNLQRLCFRTAYVATTTALAVVFPYFNEVLGLLGALTFWPLVIYLPVQMYCVQRRVKAWTPTWVALQAFNVVCFAVGTFAFVGCVEGVVKKRLG >cds.KYUSt_chr1.16836 pep primary_assembly:MPB_Lper_Kyuss_1697:1:97770566:97774941:1 gene:KYUSg_chr1.16836 transcript:KYUSt_chr1.16836 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKCSTAPVDVEARVRRGGAGGCRGRGPLGCDGAQPGLRLQHFLDQAVPLVDERKAHDGGSMTQAVDMDSLKNAHPQAALRPRCCWLPPSAASPMAEPEAASKPAISSSLEARALGRKPAASGARRGPGRGAGSIVNEDQVASSGMDLVVEQVRTTEIRAFAEHKPDEDSSGHNQQLLRAFVSSFSPLPGAAASPPPFLPLARRGGAEPILESGNLQLRVGPARSVAISVSHSSDASGVVSASSCEEGAGVVNVSISVISRNRGGGGSRGRGSSSGSARDRRSGAGAVGVSISVVYRNQGGGGARGRGPPSVGARTRGSPSGGGASASTFVYSMAMTPSTSTAITNALSKALTAPSGMSLLAGSSSSGGTSAPFNYSNLIPIKLSRESYLFWKAQVVSVLDRAKVADLSMRV >cds.KYUSt_chr6.21030 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132715068:132718131:-1 gene:KYUSg_chr6.21030 transcript:KYUSt_chr6.21030 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPDITRCLNQKQLAVDAQMQAADNLGEQVLGPVLVASSTYQVAMADLGRVAEVNQSGAELHVEKEQASNGIDTAAEGLLEDIILDEQSNFGEPTMQHVEAQDQLHDVTEVHEMPSNDTSSGSDSGSSSGSELDTELGKCFYPSFEALENSRPPEVGMKFPTLEDAERFYSTHALLTGFAVRRGSNYRRKKFHLQCNRSGKLKPTQDLQRKRKLNVLGSQCQAKVIVKLHQEQWEITGVKHEHNHPLCPRRSLTRFFLDHKYLSSEEKSFLGVLQQSRVNPRKAMKIFRRMRSNFGNTPSSKEKDVHNLQCVEQWRKENSDVETALKRFKELELRNLGFSYTMQTDEDTIVRSLFWTDGRSRVDYEIFGDFVSFDTTYSTNKHNMPFTPIIGMNNHGRILLFGCALLQDQKAETFKWMIQTFLHVMGGKMPRAIITDQNEGITEAIAEVMPQVRHRFCKLNVMRKADEMLGAFMAARGDINAELHSLVDNSLTQKEFEEGWSVLIDRYDASENEYLRLLWETRKSWVPVYFRADFYLFIESAVHGEGTNLLFRDNVLPKDRIEKFIEQYERMQENIVKTEEEDTLQSATEPAYFSMQPIEKHAAHVYTRQIFLKVQKELYYSTALNVHEIQGGSMYRLEKVSNYENPEFDRNSFEVLVEAGTHAFRCQCAKFTRDGILCCHIFRVFTQLGVNEIPAQYIVPRWTRKFREEQLKEYEERCLKKTENKRRYAMLLRKMAGIGKGICADGAKSSCFLLELDNIQEKLATDGRRKSRK >cds.KYUSt_chr7.24899 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155223103:155224872:-1 gene:KYUSg_chr7.24899 transcript:KYUSt_chr7.24899 gene_biotype:protein_coding transcript_biotype:protein_coding MEARWAKAISSPSLSNKRPPLALPEAAQFFFRHLPRRTRGGPGVAPDEAWERKTAMRNTIHDAYLRALARLPFNGPDGAPTMPSLLPALLAGGHSFGPLPDGASNVIVNTVWLHAASLPGRFAAADTVVDVVNLDLIKLGSFLGVTCLLLDDAAADRDRDYHAAAVAARHPNCEAFAAFAQSGVATSPAVTELLAGSSGLLSTEDIERLSALLVPHSAPPLDDCVVAESELNVEREERIRRWQAWRRKVANMAIDHWNSTIGGPELQLKVVCGCSIGDRDYQHINFIATPRDNPAAIQLFFAEHAKRTGIVLCCPVQDSVSRSGHCSLCEGFHQRIIHPPFGSYNFRSPLDATLHIIDFDIANSLDIKYARHLWGSRYMVSSRYPVGTYCSPEEWVSEYDIILIG >cds.KYUSt_chr1.1836 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10611439:10612713:-1 gene:KYUSg_chr1.1836 transcript:KYUSt_chr1.1836 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGPVLFEFVLVLKGDPRGIQRLPDSFAEYVASDDRPHTMHLREDACGYYRWIVDVIYDARGKMYLNIGWEKLAPVLDRLTATEAKSSALIAPAAASSSSPGASPRLPLICIADRAAEVRAVMIFSGMCHHGAGIKEMGRAAVAGVHAARAIRA >cds.KYUSt_chr2.10520 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66808612:66809915:-1 gene:KYUSg_chr2.10520 transcript:KYUSt_chr2.10520 gene_biotype:protein_coding transcript_biotype:protein_coding MECGQCGARFAVPQGASTVQCAHCHGVTRVERHGAVGFVRDIVTNIAGGRRTRPQLPAGPRRFSGVGYPIVHGHKRALLVGINYTGTEDELQGPINDVNCMKFLLTLKYGFPSDCILVLTDEERNPNRRPTKSNILLAMRWLVYGCSSGDSLVFHFSGHGTQVDDQDGDELDGKDEAICPLDGDLNGYIRDDEINEAIVRPLVHGVTLHAIIDACRSGTVLDLSNLWQKNKYGKFQWVDQNARTGAWKSTSGGHAILISGCAEDDDSQDGVGDDTMVMGALTYSFFAAAWSAHRPLTYGQLLSKTRAIIADCNSDSQSHCNLPAAIAPLVRNVVNFSGVQEPQLSSSDKFDINRRTFML >cds.KYUSt_contig_2649.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000435.1:24048:31519:1 gene:KYUSg_contig_2649.7 transcript:KYUSt_contig_2649.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAMEQQRHHMPPFHLPADSESSFSRQRPVGPFRQEPCHENVVRLTPNNGLVGQPVGKELNHDDDEPFELTQEIEAQVIGNLLPDDDDLLSGVLDNVGYPALANNRDDIDDDIFSTGGGLELEADENNKLLKLNGGGNNGQSRLNGLLYGETSYGEHPSRTLFVRNMNSNVEDSELKLLFEQYGDIQRLYTAYKHHGFVMISYYDIRSAEHAMKALQSKQSRHWKLDIHYSIPKENPLEKDNNQGTLAVINLDPSVTNDDLQHIFGGYGEIKELLHDSLMQQRPPVLEQEEFGACRLGNANSLPSTYYGTHNNQSALGEHGHSLGKMNGHLNYGFQGNGAFNPHSLPEFHTGQSNGIPYNLSTIPPIGVKSNSRTAEGIDNRHLYKVGCAKLGGHSSGHSEASHHVGSAPAVNPSIWDRRNGYAGELMEAPSFHPGSVGSMGFSDSPRLHQLELTGMFPQNGGNPAMSPGHVSARSHQRGHMFHGRSHIGPLPSSFDSPGERTRSRRNESCSNQSDNKRQYTSKMLLTAIDENHKGTYDFIYLPIDFKNKCNVGYAFINMISPEHIVPFYKIFHGKRWEKFNSEKVASLAYARIQGKSSLIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPVGTHIRSRPGRSRILSCEESHRDILSTSASSWTPSNGGSHSSGYPKEADPTTA >cds.KYUSt_chr1.23857 pep primary_assembly:MPB_Lper_Kyuss_1697:1:142159971:142164126:-1 gene:KYUSg_chr1.23857 transcript:KYUSt_chr1.23857 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYPGDPRCNPPQHGPLSSLSTSAAPFTVAGSHPAGPTFPNPSFAVPAAPSLNAAGEWGDPSLMEARARFMAPGAAAAGSLGYTGQVFDSAPYGIYSEYHFGNFVDPQPLRSENSELISEKRPGTCGETSEALSNGFGLSSRCQQQSAFAWILLDGIGSESVGCFYPRPVPNQDPSGSASSLISGAPAVPVKSLTSEGVLGNNSSQAAEAEKTADTQTLAEEGQEHSHCHPDAERNMLQMSDSSSRNRAIFLELMHNLSAVLLSTCNDGSSLHEYEKENLKSIIRNLKAVSSKGGKTFPKLPEDKMLDDSEVSQVSIYKKLWIEAEASVCKLKYELQITRMKLAANKGHNTETGDKAPGLPVCGGKPYSHAEASSACPGPAPLPPPILSPRSIIATKKSIVNEVDDTVDGMFARLEVLESRLDTLSSLGENKYEEQQRESDGSYAVDDAVLARLRILKSCADNVTCLGLESSKQQRDAGTNMSGEIDDAGAEVCTRLEVLERNLRSLGDNKCEEQQKESKASSSVGDCLLARLQILKSRADNILSLGLESSKQQLNASMDIADEVDDAVMARLRVLKSRPDLEASSIAGNVECVEEHPCTVGLQVPSRQPPPSPAAVLEKTTLPSASEPLEITGETPAIPTFPSIEVPLLSTHKGTPSQLEKDHSGLADDALPPPAPLLSVPQEVGGLAHKIAKVKRLPRFRLPSNIKIKRPISDQGARAILLRKLGMVKDDEVDEHAINRCVGILLVSFPKSLIQAILCGKDLVKGTPGELWGISASDVVF >cds.KYUSt_chr1.35751 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217859478:217862228:1 gene:KYUSg_chr1.35751 transcript:KYUSt_chr1.35751 gene_biotype:protein_coding transcript_biotype:protein_coding MEALISAVLSDIVGRAISFMIEKCCEQTTTDEDLQRLRELLLRIHVVVEEAEARHVTNQGMICQVRTMREQMLRGYFLLDAFRCREKKSEGKEVSRFLFAQSKFNPAKRFRLLSSKTQTESMVIARYISMDLKQVTLVLESMVSDMKEFAIFLMSYPRICRQPYGAYLYLDKYMFGRQMEREQAISFLLQAEPTGKLGVLAIVGPGFIGKSTFVEHVCEDERVRDYFSLILFYSKNDLKDETVRTFRDNCVIRHQNITSDVERSLVVIELLGDVDKGTWRRVLHTCERCMTHGSKIIITSRSENMASFGTTEAIQLNCLSREAYWYFFKMLVFGSMDLEEHPKLISIAMELALEMRGSFISAYHVAAILRENFSARFWCEFLSHVREHTQNNISLLGEYPVEEDRPRYAWSMAKTRRFSEDIKFVLRRVDNPKGPAAHGEVPRIPVLDLLSGSWSSMPRKFEILCWSIALSVLVKKSRQQTTVVEDLQRLRQLLLRISAMVDGAAGRCVTNRRMIRQVSMMIKQMLRGYYLLDSFKCIEKKTDDEEVSRSSFSQSKFNPTKCFRRLSRNTQTEIVVIGRDNTEERLLVVIELLGDVDQGTWKRLLRTSERGMTHGSRSEKMVSVETTRAIKLNCLSKEAYWYFFKMLVFCSTDPEEHPKLTSIAMELALEMCWSFI >cds.KYUSt_chr6.4708 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27638334:27639164:1 gene:KYUSg_chr6.4708 transcript:KYUSt_chr6.4708 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPSMGGLLDELLKEEYKLGQEAKRDLESIGIELRSIHAHLRKVVEMPMGQLDATIKLWASDAMDLTCDMDHAAHKFALHLRAPASHLGCISKIKLKKATARFRRELATDMRSFQRRINDLQARRDMQFDTDTIDDPSILVNADYVPVDGEVIEDEVDKDDHEANQLVGLNMPVAELVNKILAEEEGQLKVTTIVGPAGVGKTTLAKQVYRVLQPRFECTAWVTALATEADDIQSTLSSILRQVSQQDRPNCGSSDVEETIGLIREALTDKRYS >cds.KYUSt_chr4.49564 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306882708:306884303:1 gene:KYUSg_chr4.49564 transcript:KYUSt_chr4.49564 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRAKRKRVAIVGAGTTGLAACKHLLARGFRPVVFEAGESVGGLWTRTLASTRLQTPAVAYRYSDFPWPDSADVFPRHDQVVDYLAAYARRFGVDECVRFRSTVIAAEYVGSEPEDAADGWERWAGNGEAFGDGTGVWRLTVRQHGVETSQVHEFDFLILCIGRFGGVPNIPAFPPDGGPEAFGGRVLHSMDLSDMADADAAALVKGKRVAVVGASKTAFEVATECAEANGAGLPCTMVCRNPQWLLHGTNVWGTVNIAYLYMNRFAELMVPRPGSGAASRILAALLAPLAWAISAATGAYYRREIPMREHGMVPGHGFARCVSSCLISMLPDGFYDRVKEGSIVFQRSKSFSFCEDGLQVLDGAGSGNKRRVVPADVVILATGFRGDQKLRDMFVSPRVKDIIAAAPLYRECVHPRVAQMAVLGYAEGLNNIYASEMAAKWVARLLDGGFRLPSVRRMEESCAEWGRYYVRRSGGGGDGQSAWRPCIGAVNLWYNDELCRDMGCEHRRKKGFLAEWFQPYGAVDYADIL >cds.KYUSt_chr4.36887 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226897811:226898742:-1 gene:KYUSg_chr4.36887 transcript:KYUSt_chr4.36887 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPSPHQSHLLGHGSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNCNFPRYYYRCTYKDNMNCPATKQIQQKDHSDPPLYQVTYYNEHSCNSAFLALTPTEFQLQTASGKAVSICFDSSGPQEPGGGSPSSSAAAPRGAPSENKNQTQTTLKLRSEALSWGPGVVEQKPELQSCSTACQDAYSAATMSEDIDAGRFGSIRFFHFL >cds.KYUSt_chr7.2173 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12654714:12657161:1 gene:KYUSg_chr7.2173 transcript:KYUSt_chr7.2173 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFDRVDELRLKRGVARLNKENPDAPPIVLEELTKEERAALLQQEKEWARKKEEVDRVQREADRLTKEAERAQAPPPPPEDPSKDKWQLDYDFERQHLEMKLARETGFSGFAFEDNTRIPAMCFTDDPMRDHTCTWRTLQIFTVKVAGLEGLQLPLDVYGMVAVRDKLDYSRNIIFSRTRDNCQTLTQQDPCLVLTGPSRAVFYRDKVYVEAVLKVKGTTESEDTDLSLLFERFECCEKMNLCVDVGECRHRSCMSSILYASKLSTLEFTSGLVASSVEATIAMQIVEGSWPEGLRCKITACIGSLDHMEVLLLDSGEEKVPVSAADGTVELSRRVVSVESYGQLIVRGIMRRGGGDHGQVLAEEESKPRIMFGRCEGTEIEGLRFGNTIAISVREIVSAEMDTYLHRAFVAAKSETLC >cds.KYUSt_scaffold_1259.524 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3525863:3528418:-1 gene:KYUSg_scaffold_1259.524 transcript:KYUSt_scaffold_1259.524 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASPSPRFHLSLHLQNPNPAPNRKPRPTPTTETIRRRLLRKGVSATPKILHALRKKEAHKSLRRARKDISTANAPPKEEALVAEEEASFRAAAEEYRALMGRPWDGARSGAGPPRVASGQEGLDGLRKMLEGRRGGGFQWLLDDDVEKEEAEGKQRRVGSGWITEVGDEERKIEMLLTRLTGVDLSSGDWRLTRMMKQADLIYNEDNLLQILKGLEAQGNWRQAIAVTEWVYNENSYKHRRSRFVYTKLLSILGKASMATEALRVFTMMRGDAQIYPDMAAYHSIAVTLGRAGLLSELIKIIDNMRQKPSKRVMAMRRKDWDPLLEPDVLVYNSVLNACVLSQQWKGVFWVFQKMRFSGLTPTGATFGLAMEVMLKAKKYEFVQKFFEKMQRKGVPPRAITYKVLVRAFWEQGKVNDAVEAVQDMEQRGVVGAASVYYELACCLCNKGRWKDAMLQ >cds.KYUSt_chr2.2554 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15430082:15434600:1 gene:KYUSg_chr2.2554 transcript:KYUSt_chr2.2554 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSASLLRLTFLALGAALVLLVARSAFRLPHDIDDTASIFDDGAGCTRFSPWACGRQRRTDTAPKPKPSSPPRPSHASDVPRHPLDPLTVTEVNRARELLRAHPPFASSPSSLAVHELALDEPEKPVVRRWRKGASDGLPPRRAVAVVRFRGESHVLAVDLESGAVTPLPAPASGYPTMTMDEQRALCAAPFSDPAFNASIRRRGVRMGDVACLPISPGWYGPAEDGGRRLIKSQCFSTEGTANFYMRPIEGLTVLVDMDTGKVVHISDRGASIPIPAAKNTDYRRAADDDQEDAGKESTFGYQTVRAPSMEPAPEGPGFEVEDGHTVRWAGWEFHLKADARAGMIVSRAAVQDPSTGARREVMYKGMASELFVPYMDPTEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARYMDGVFVAADGRPYVRENMICVFERYAGDIAWRHSESPITGMDIRESRPKVTLVARMAASVANYDYIMDWEFQMDGLIRIKVGLSGILMVKGTPYAHMNQVRQNEEMYGTLLSENVIGVIHDHYVTFRLDMDVDGADNSFVRVEMARQDTAPGESPRRSYLKATRHVASTENDAKIRMKLYEPAEFHVINPTKKTRVGNPVGYKVVPAGTAASLLDPEDPPQKRGAFTNNQIWVTPYNKSEEWAGGLFVYQSKGEDTLATWSERDRPIENKDIVLWYTLGFHHVPCQEDFPIMPTVSSSFDLKPVNFFESNPILRQRPTQVNDLPVCAATA >cds.KYUSt_chr7.5810 pep primary_assembly:MPB_Lper_Kyuss_1697:7:34770197:34772406:1 gene:KYUSg_chr7.5810 transcript:KYUSt_chr7.5810 gene_biotype:protein_coding transcript_biotype:protein_coding SVGFIGLGNMGSHMARNLINAGYRVSVHDVNENAMKKFSDDGIPTKRSPLEVSESSDVVITMLPSSAHVLDVYSGGNGLLGNGGRLGPWLYIDSSTVDPQTSRKISMDISRCRLKEKKGYAEKPMMLDAPVSGGVPAAEAGTLTFMVGGLEEAYVAAKPLLLAMGKKLIYCGGAGNGSAAKICNNMAMAISMLGVSEAFALGQNLGIKASTLTDIFNCSSARCWSSDTYNPVPGVLEGVPSSRNYDGGFTSKLMAKDLDLAMASASGVGYKCPMGSGALNIYQKLCEEGCDAKDFSCAFRHYYTGKDEK >cds.KYUSt_chr1.22449 pep primary_assembly:MPB_Lper_Kyuss_1697:1:132790107:132791320:1 gene:KYUSg_chr1.22449 transcript:KYUSt_chr1.22449 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKGHGYAVDQATRAEQSRFVMDSLRPAQLRSYVDPMLREVEEYFAKWGDEGVVDLKHEFTQVLMLIASRCLLGSEVRDKIFGEFYALFADIEGGVNVVSFLFPYIPVPVNNRRDRAQAKLAEILCAIVRSRKSCNRVEDDMLQRLIDSRYRDGRPTTEGEVSGMIIGLLFAGKHTSAIASSWTGACLLTHPNSLRAALEEQKQVMRKYKDGKVDWNALSDMEILHSCIKEAGRMYPAAPLLLRKALQSFIVQTKEGNEYDIPAGDTLAHPVILTSKLSHVYKDPEMFDPDRFRYGREEDKVGGRHSYTVFGGGRHACAGEAYGFMQIKIIWSHLLRNFELKLTSSFPKKDWSKFVVEPKCKIMVSYKRCRM >cds.KYUSt_chr4.47690 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295251611:295252258:1 gene:KYUSg_chr4.47690 transcript:KYUSt_chr4.47690 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYRYLSPNYPGCPAFTSSLVFPQIIPELEEPAMANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGTLREAIDEVLVTLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAHDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVPGMPPPVAATVSRRHGSSWIPREDGAVLFVVGLEVGV >cds.KYUSt_chr3.4564 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25866233:25879481:-1 gene:KYUSg_chr3.4564 transcript:KYUSt_chr3.4564 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSPKRADEVGFEGDLDLVISLLELVEQLLVVSDWIQDITRSSGMVRRIRFIYRKSLSKFGNDPVHLWKALECSRTIRKKSPWKVESQMDSTNPNKPTKREVVIVATWENNHEDNAIDLDATSTMMEIMPVDDGDHVRALEMKIKGANTKGPYHITYELHIMAAPTINFNQFLEKEKLKINGSNFIDWFRHVRIFLTGGNLQYVLEAPQGPPPPPAVSEDVKNVYETRVTRYSQVQCAILCSLEAELQKRFEHHDPYELVHELKAIFETHAAVESYEASKHFFDCYTMTVIDFIEVSDDFIDLTSDEETVQQDNIATQPQAMLLDRQAVFVLADEGKQDGQAAFVSAGEESQEATESGNASVATTSLSVMEKAPLDMAESQNCPNSPTSAPFASPACATPKVLSSEVGDPKQDVFVLAGEGSQDAQTAFVSVAEGSQEDTESANALVATALPSAMEKVPLDTAESKSCPNSPTSAPLPSPASITLKAVTSEDGDTQLGATVSPSGMEKPLLDTAESMNCPNSSASTPLHSLVSTTPKVLTSEDGDTQLGVTIAPSGMEKALLDTAESKNCLTSPASAPLPNLAYTTPKAPTSEDGGTKLARAKHPKKNYHTSTPRRSPRLRECCNNPVEELTTSHKRSRMLKPAEELDAASDNAESTKTFSTDNAN >cds.KYUSt_chr4.19385 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121817780:121818909:-1 gene:KYUSg_chr4.19385 transcript:KYUSt_chr4.19385 gene_biotype:protein_coding transcript_biotype:protein_coding MSMINMSRDPLVVGHIVGDIVDPFMTTASLRIFFNNKEMTNGSELKPSQVFKVPRVYIGGRDMRNLYTLVMVDPDAPSPSNPTERENLHWLVTDIPETTDASFGK >cds.KYUSt_chr1.2223 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13019023:13026257:-1 gene:KYUSg_chr1.2223 transcript:KYUSt_chr1.2223 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGQPSFPTPRRSSPSSVTKRSSAFVAVLPGAGDSDTSQPRGVFPGTGSVHIRWPSLGFRRRLQARFKPAAGRVVDDTSAVELRSGAAPCSRLAVVTTRPVTGADSPPCATEAEHLGSLTSVASCASKEMFNSSTSMNRLVSIGIAALLVSVLALQLIVKTLKSRMSALRSRLHWAALLFNGCLGLVYLELGLWMLVFNRDASVYWCLVALSQGLNLILTNFALSIKPRFLGAAFVRSWSVLQSIFAAFISYSSVADIVAHKNITVKACLDLLSLPGAALLLIYAIRPSHDEEGYGGIGDCSFKPLNTETDGVVTPFARSGFLSEMSFWWLNPLMKMGYEKPIEDKDMPLLGATDRAHNQYLMFREKLKQSLGSDSMPSIFWTIVSCHRHAILVSGFFALLKILTLTTGPILLKAFINVSLGKGTFEYQGCVLAAVMFVCKCCESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQRLSNEGKLEHSSGQIINYVTVDAYRIGEFPYWFHQTWTTSVQLCIGLAILYSAVGAAMISSLVVIVINVLCSAPLAKLQHRFQSKLMEAQDVRLKAMSQSLLHMKVLKLYAWEGHFKKFIEGLREVEYKWLWAFQLRRVYNNFLFWASPVLASAATFLTCYILEIPLDASNVFTFVATLRLVHDPVRSIPDLIAVVIRAKVAFTRIVKFLDAPELNGQVRKRYHAGIDYYHIAMNSCSLSWDENAPKPTLKNVNLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPRTEGTIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDRQRYQDTLERCLLVKDLEMLPYGDCTEIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATRLFNKYVMGALSDKTVLLVTHQVDFLPVFDSILLMSNGEVIRSGSYQTLLADCKEFKDLGNGHKDTSGVSDLNNNIPPSRAKEISINEKDGSHGSRYRDYVKPSPADQLIKKEEKETGDAGVKPYMYYLRQNKGLVYASFAVISHMIFIAGQIAQSSWMAANVQNPHISTLKLINVYIVIGVCTMFFLLSRSLSVVILGIQTSRFLFSQLLSSLFRAPMSFFDTTPIGRVLSRVSLDLNILDLDIPFAFMFSLAASLNAYSNLGVLAVVKWEVLLVSVPMVVLATRLQRYYLATAKELMRISGTTKSTLANHLSESISGAITVRAFGEEDRFFTENLDLVDKNASPCFHNFAATEWLIQRLELMSAVVLSFSAFLMVLLPQGTFSPGFVGMALSYGLSLNTSFVFSIQNQCKLANQIISVERVRQYMDIKSEAAEVVKENRPAPDWPKDGSVELTDLKIRYRKDAPFVLHGITCKFEAGDKIGIVGRTGSGKTTLIGALFRFVEPAGGKIIIDSVDISTVGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLEVVQEKEQGLDSHVMEDGSNWSMGQRQLFSLGRVLLRRCRILVLDEATASIDNATDAVLQKTIRREFKNCTVITVAHRIPTVMDCDMVLAMSDGRVVEYDRPAKLMETEGSLFHELVKEYWSYTSKTTI >cds.KYUSt_chr7.32360 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201596213:201599351:-1 gene:KYUSg_chr7.32360 transcript:KYUSt_chr7.32360 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAAQEGAYFLQESKNAAGRLAQKLPASALGPRPTSPPPSSSSPDVLPEILRHSVPISPTPPPSDPSLYGSTRWALPPGGAKAAGVSPDVLNPLRSYVSLPQATFGPKRWELPDEQPYYLSSTANQRRRDMHPPPMDPEKLKAVMAGYAHLGTAFVAATILVFGGGTAVLLYTANKLQLHSVDDIKARGKDAVQPHAHVIKEQVAPLRKWVRELQNLLLYLS >cds.KYUSt_chr4.44634 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276455038:276461159:1 gene:KYUSg_chr4.44634 transcript:KYUSt_chr4.44634 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMRSEEMQLLQVIIPTESAHLAVSNLGDLGLIQFKDLNADKSPFQRTFAAQIKRTGEMARKLRFFKDQMSKAGIQASPLQSTETPLDFDDMEIKLGELEAELIEVNANDEKLQRTYNELQEYNTVLQKAGEFFYSAQRSAAAQQTELGADQSGETSLESPLLEQDVFTDASKQVKLGSLSGLVPKEKAMAFERILFRATRGNILLRQESVDELVTDPQSGEKVSKNTFVVFYSGERAKAKILKICDAFRANRYPFPEDLAKQMHTIAEVSGKIKELKATIDMGLAHRNTILKSIASEFEHWNHVTKKEKSIYHTLNMLSVDVTKKCLVGEGWSPVFATSQVQDALQRATLESKSQVGSIFQVLNTKESPPTYFQTNKFTSAFQEIVDAYGVAKYQEANPGVFTVVTFPFLFAVMFGDWGHGICLLLATLYLIIREKKFASQKLGDIMEMMFGGRYIIMMMALFSIYTGFIYNEFFSVPFELFAKSAYACRDPSCGDATTEGLIMVRPTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVSQMNLGIMMSYFNAKFFRNNVNIIHQFIPQLLFLNSLFGYLSMLIIIKWCTGSKADLYHVMIYMFLSPMDDMGENELFPHQKYVQQVLLVTALVAVPWMLIPKPFLLKWEHEKRHQGHQYTPLEGADESVVAELGVHHEESNHHEEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYDKVLLLTLGYNNVIILAIGVVIFIFATVGVLLVMETLSAFLHALRLHWVEYQNKFYEGDGYKFAPFSFALISDEEE >cds.KYUSt_chr5.15347 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99228434:99228916:-1 gene:KYUSg_chr5.15347 transcript:KYUSt_chr5.15347 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVRWTQRKGPRVRGEDAGHGGGGGEEVGVGEDERGGAPDPAPAAGERGRARGILDGEAGDDVAEERVGEAAGVVDARGGEEEAGAELVAANIGASGPRVPMAAAVARQNSPFASSGSTPRRRNPAAILDFIGTGDSSVSMAATGSGAVETRVLSGVC >cds.KYUSt_chr4.7634 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45629151:45629450:-1 gene:KYUSg_chr4.7634 transcript:KYUSt_chr4.7634 gene_biotype:protein_coding transcript_biotype:protein_coding MRLADTRSPATPLHTPPLPGRPLTCSMKCPPRTRLTRGLLDGVGLAGEGPLLDAQGRRDEPRHPHVGGDLVANPQLHDVAGDELAGRERGQPMLSRRTD >cds.KYUSt_chr4.43818 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271631555:271636459:-1 gene:KYUSg_chr4.43818 transcript:KYUSt_chr4.43818 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAVTSPPPTATEDPVRLLIPGFLSPETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPIRERLRDAVESFFSCHFDLFVEFTGLVSWCKGASIGWHSDDNKPYLRQRAFTAVCYLNSHGKDYKGGILQFQDGEPSSVVPVAGDVVIYTADNRNIHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLKFLSQTSWSYKPADQNSYIPLPASDNMYWFSYDQSGFDIRCARVHILGFSFHASNDEKSNSASVAPTEDPIELLGKPLRLGRGIDVFGKIFANSLHALQINFMSKVTDFGKKNNHLNGVMKHLYVTCYWDIFNGLKHFGKALVD >cds.KYUSt_contig_319.167 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:1210457:1212650:1 gene:KYUSg_contig_319.167 transcript:KYUSt_contig_319.167 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCPLRLPKNLLPGSIPPLISLHSERSEETGFWEQTWADLKKHSVDKEPGDMDPKCSTGALDLNEPAIEGDRVIEDVSLFHDLQIEVTCSIQRTLSNPDVTKSSEHSKHVSGSNTCASADTNPETGETLSTDDSGGDEEEGEVQSTPCSQTEVETPFKGKIYDSWEDAKMQYNRYAKKIGFSIKCSTSKVSKIDDQRDKQLFVCNKSGKNEDINKLEAPPIRQRNRSIAKKTECKARLGIKRRGTKWYVTYFIEEHNHSLMKKFSLKKYLRSHKGIPKEEKDFVQLLHKVNLSAGRVDKGLTCQCLWVVD >cds.KYUSt_chr3.16853 pep primary_assembly:MPB_Lper_Kyuss_1697:3:103260897:103262180:1 gene:KYUSg_chr3.16853 transcript:KYUSt_chr3.16853 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQVRLLAARAAALPASLRQRPTRSPLSAPSRAQTQTAASASSWAILGSIPRVAAADGADVSLALTPPPRVSILTVSPRVFPEPVTPQHFPFILAADPSGLLLLQATLGRPWTREATLGPDGYFKSVTWHNSLPRYFVLDPAADSASAFQLPDPDDAIMHQALLGLIASPAGYMVAELRPLIGSDKATLLCFSSETGRWVSKPVHYPLPPRHLAPINVLSLHGRLWWVDLEWGVITSDPFADHPVLRFVPFPPDRVLGCREAWGVADIYRCVGVSAGKLRFVDTMYMGPIIGGTPDITVWTLPGPDATEWTLEHQVSFGDIWADDTYKATGLPVDIPALALIHPDDPDIVYFFLEEHIFAVDVPARKVVDCKVYHLVAPPRCKVASRFVRAWKLPHPLPSGLYPHLILRALGLYYTTTGVQLLCE >cds.KYUSt_chr4.46975 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290658648:290660033:-1 gene:KYUSg_chr4.46975 transcript:KYUSt_chr4.46975 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHDRVPSVQPSPADGSRAAAAVSRPRRPPPAGRFRKENGGVLASCSAIPMAMAAVAPGKASSPSSATVSPAPCVPPLPPLSRSTQVSGGAVQVPAAMALPAMMPVLWAAAAVGEEEDDEEELDPQTPPPVPVATTLPAAHPVLWVAAAMGEVEVEDQGVEELAPQIPPLASTLQATAAAPEPTSWASADNDDEDEDEEELAPRTPPSAAPMTCAAPDVVGGNVVVVASPMLLAAPNGLVSCGFAEAFDAADAVDVEDELALETMPATKTFIDAAPIVEERERDGWHEVMPRRGPRRSTLPAPPVARRPVPAWLKGRCCRCLVLGHRAAVCCDPFRCSRCLENGHRACDCRNAWRPISSLAGPTVLSPRQEHAPLRAQVEIPLPSNVPPYRRSWASVVSAPVGSSTSADLQSALEKQAALLHEAVRPLLEVVDSLHGWVLALGGFLERAEAALGRLSRVG >cds.KYUSt_chr2.46344 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289529761:289530253:-1 gene:KYUSg_chr2.46344 transcript:KYUSt_chr2.46344 gene_biotype:protein_coding transcript_biotype:protein_coding MAWALRTDERPNPDENEGLGKTRLFSSIRMEKKRRSRGLVGETAGDALRMSIVSLEAPVGCFKRLKGMAPLPPNRGVEAPERVLGLEIGEGDILPFVFWGDFDLNRGLPNRETGQDILLRIWRSLQK >cds.KYUSt_chr3.3007 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17299060:17301466:-1 gene:KYUSg_chr3.3007 transcript:KYUSt_chr3.3007 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHRVAGASIALEKQQAPYGAHRCEPIFSPVPQNAIGDAIGATGGDALTCASVACYGICELIALAVRLYVQIIFGHQAAAYGTIPGGGGQPGEEEADFIGDICKCRGEVRRCRDKDAELLLVAYTIRVEGLLKLLPVLTDPTWHNSDGLLLPMTCSWFKSYQRMRRRDSMALQEEVSTVAANQL >cds.KYUSt_chr4.7459 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44371927:44373887:-1 gene:KYUSg_chr4.7459 transcript:KYUSt_chr4.7459 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLHMCSLIELKQTGTVVEYTAAFWEHLHRVLDLNSNLTIKSFIHQYVDGLREDIQAVVRLRSPSSITSASVLARIREEEIGKDTMKGADKLGISDGHMPTEILAEVFPEPAAPCRAGQSVSTIDVSYRSVPTPTTYSMECLGSDATVDVLPKIIASAESDEDLDTVSVSAVTPSTCSTGGLAHGREVDSLAAVSLVLWTATPSSKDHAKATPTESQRPFSVHGLDTMVSTRCSTRCFDDDIAVLTLTFKLDVNPSNMQQGQTVRPWPWPSFVDRHRSTARGSIIKTLLCASKTSSGALVLCSTLPLLTSGIEVSPKFSYGSRHTCPIGNRLQFLAAYKDISCQGKANFSGVPYYQHGASTERHN >cds.KYUSt_chr4.22892 pep primary_assembly:MPB_Lper_Kyuss_1697:4:144003104:144005157:-1 gene:KYUSg_chr4.22892 transcript:KYUSt_chr4.22892 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAGKVEETPRPLKDVILISYEVIHKKDPAAVARIKQKEVYEKQKELLLIGERVVLVTLDFDLNIHHPYKPLVKAIEKFNKVAQKALAQAAWSFVNDGYAKITLILLKIAAHIMPIYGTLKGLCRLRTSLCLQFKPQHIAAGAIFLATKFLKIKLPADGENFWLQQFDVTPRQLEEVSNQMLELYGPPPGQDTKDSPASVSALEEAPGASKEPSSQENDEASTQSSMPGRHGPEKASSGIPANESCGVTVASNEGPSMLSSTADAMKKLDKDKVKAAPEKHGDSKGDAGRKVDAVDGADLIGSEVERGAELAAEDEGNTEERRQSLPNPPHREDHQNVAGTAEDTEEDHQNVAGTAEDAEEDHQNVVGTAEDTEEDHQNVAGTAEDTEEGELSMDIQEHLYPELDSRKRKDTQEHVSRNGGERDLKRPRS >cds.KYUSt_chr3.40420 pep primary_assembly:MPB_Lper_Kyuss_1697:3:254797511:254801394:1 gene:KYUSg_chr3.40420 transcript:KYUSt_chr3.40420 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKVTEVAPEVDDDGRVRTGTVWTATTHAITAIIGSGVLALPWSVAQMGWILGPIALIGCAYITYFTAVLLTDCYRSPDPVHGKRNYTYMDAVRSSLGPRDVAVCGIAQYAILCGAIVGYTITAATGIMSVVRSNCRHYKGHGANCSQEGTMYLVLFGLVEVVLSQLPSLEKVTFISIVAAVMSFTYSFVALFLSAAKFAANHRAYGTILGSKIGGPGGVSAPTRTWNFLQALGNIAFAYTYSMLLIEIQDTVKSPPSENVTMKRASLYGIGVTTAFYVSLGCIGYAAFGNDAPGNILTGFDEPFWLVDLANVAVVIHLVGAYQVYAQPVFACYEKWLRSRYPESGFFHREYALRLPGGRAMRFTMCKLVLRTAFVAATTIVSLMLPFFNAILGLLGAAAFWPLTVYFPVTMYITQAKVPRGSRKWLALQALNFGALLVSLLAAVGSVADIVQRLGHVTMFKTKL >cds.KYUSt_chr2.28450 pep primary_assembly:MPB_Lper_Kyuss_1697:2:174619418:174619828:1 gene:KYUSg_chr2.28450 transcript:KYUSt_chr2.28450 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr4.37429 pep primary_assembly:MPB_Lper_Kyuss_1697:4:230473632:230473865:-1 gene:KYUSg_chr4.37429 transcript:KYUSt_chr4.37429 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGSKKAIREGSEKDLLEESRVLVKQVRELRRLVPCREPCGLGELFQDAASHIEDLQVQVKVMRMLLDKLSDSDE >cds.KYUSt_chr6.19228 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120914570:120917430:1 gene:KYUSg_chr6.19228 transcript:KYUSt_chr6.19228 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTSFLDAALHAMHEHVRFSDLAVAAALLFACSSAMARLTSRRGPMLWPVFGIIPTLFANLGDIYDWGATLLVRTGGTFPYRGMWGGGSSGVMTSVPANVEHVLKNNFDNYPKGAYYRERFAELLGDGIFNADGEAWRAQRRAATVEMHSAQFLDFSATTIGQLVHGRLMPLLHRLQQQGAVVDLQEVLLRFTFDNICAAAFGVEAGCLADGLPDVPFARAFERATELSLSRFVTPPFIWKAKRLLRVGGERRLTEAARSVREFADRTVSERRTELRKIGSLHGRSDLLSRLMSSSSSGATDHSDEFLRDFCISFILAGRDTSSVALVWFFWLLAGHPDVEARVLEDVRAARGDVKKMEYLHAALTEAMRLYPPVPIDFKEALEDDVLPDGTAIRARQRVIYNAYAIGRDPAVWGYGCQEFRPERWMKGGVFAGGAESPFKYVVFNAGPRLCVGKRFAYTQMKTLVAAVLEAFAVDVAPGQTVKPKLNTTLYMKNGLMVSFRIREASSTTATVADSYLGERSNDYREQQVQVRGLQRRAEAVHRHKRFAYTQMKTLAGAVLEAFAVEVAPGQVVKPKLNTTLYMKNGLKVSFRIREGST >cds.KYUSt_chr1.24764 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148295613:148297053:-1 gene:KYUSg_chr1.24764 transcript:KYUSt_chr1.24764 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTLVRQSSCIAPPAPQPAATIPRSTRPPALILFGDSIVDSGNNNGLTSTVRANFAPYGQDFPGHNATGRFSNGKIVGDILATRMGLKQYVPAYLGTELSDWDLLTGVSFASGGCGFDPLTSDLVSVLSMDNQLDMFKEYKGKLDSIAGTQRAAHIVSTSLYLVVTGTDDLANTYFTTPFRRDYDLESYIEYVVQCATDFIKKLYGEGARRLSIAGSPPIGCVPSQRTIAGGHERECVSLYNQASVLYNAALEKEIKLLNGSAELPGSVLKYIDLYNPLLDMVQRPAAYGFEVSNRGCCGTGLFEVTLTCNRYTADACRDPSKFLFWDTYHLTETGYNILMAQIINRYGLW >cds.KYUSt_chr2.44547 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277195837:277196631:1 gene:KYUSg_chr2.44547 transcript:KYUSt_chr2.44547 gene_biotype:protein_coding transcript_biotype:protein_coding MCASLSSVMQRLRNQLCRHANTVVIVLLVLVIGATVTEADTSTFIYAGCSPSKYDPGSPFEGNLKSLLTSITTSSPNAPYSSFTAGGGSNGTAVYGLYQCRGDLGNGDCAACVREALARLNEVCTDASAASLQLEGCYVRYDGSDFVGRPDTAMVYRKCSTSTSADGGFLASRDAVLGALQQGAAANGYRASSSGTVQGVSQCLGDLAAADCAVCLAQAVGQLKGTCGTALAADVHLAQCYVRYWASGYYFRPSQGTAYFHSCV >cds.KYUSt_chr5.18225 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117677621:117678556:1 gene:KYUSg_chr5.18225 transcript:KYUSt_chr5.18225 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETTSCFTFLKEGLLLPTQNPKLFTPVLLLLAVTTFLTSTVQVLFMQPFTDDMFSHLVEFKNTDFSSYEYPALLEAIKQDAVIVIPELIIALALGFVVQILALFAAFTTYSGDRYSLAELVREISKGSALKGPSITAAVATALGLAWIAVLVALLSVMTTRGHPVVLSVQGLVFALAFLGFLCFAVVAVVSVAASVADEECSGVRALRRAWRLMTRVRRKEGLVLVLVAHLLPAVVNPAYGVAVAYAKKSMVMTLCMVAVHAFLSGAQQLFYLAAAMVYYYEAMESKEVAPCGYAKDPIRGRKCPTDDP >cds.KYUSt_contig_2817.23 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000520.1:90382:93106:1 gene:KYUSg_contig_2817.23 transcript:KYUSt_contig_2817.23 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRPRRAPARVRAVPIAVTPEGFWCCPSPAALHKSLKNPHHHHHSTGNNSSKQQQRKIPSAPPSVAPSSVQNAPSVTGESARREAPDEQETAAAEVAADKREEKTGDVGGEAQQHMICVGFGQPETSDLTVMLYGKEGIAVRMSVHRDVLCESSTFFAEKLSEDGHGGSPVRCVEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAESLGFHACVKSCLDYLEAVPWVGEEEDSVVSSIRHLQSKAYGVSPLLKRVTSDSVYSPTDTLSNIMEMVLKSNDDRGRREMKALVLNLLKDSSRCTYGTSDICSELFYSSCRCCLQRLQLLFAEASEADFPSQVTRQITLETDNLLWLVEILVNHRICDDFVVMWANQSELASLHEKLPVASRHTVSSITARLFVGIGRGEMLPSKDTRLLLLQVWLQALIDDYSWLQCSCRSFDRKLVEEGIGQTILTLPLEDQRSILLSWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVSQTSTSLCYGYLKVACMNWGPRYQSSVLLVSEGNMIFI >cds.KYUSt_chr7.7768 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46866561:46867991:1 gene:KYUSg_chr7.7768 transcript:KYUSt_chr7.7768 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAATGLRDAAAILGALSAASAAELHAHALKLGLLPSCLHLCSSFLKSYAASGRLAAARQLFDETPRRDIPLWNTLLSACARSRQPHHALLAASAMVGAGSRPNNLSVTSLLSACAQLRGLVHGRELHGYAVRNIPAIDLRLRNALVSMYGRCGRLAEANTVFAGMGDTKSVVSWTCMINACCENGRPEEALEVFQDMRLSVVKVDEVTLLAVISACAKLDCTTSELPNWVDQHACENGFLENNARVANALIHMHGKLGRLTRSCEIFDSMGTKRTVVSWTAMIQALAVHGHGVAALVRFSQMLRQGFWPDEVIFLTMINACCHSALVSEGRQLFKSMVEDYQITPWMEHYGSMVDLLCRSGMLDEAFGFILAMPVKPDPVIWRVLTGACRDHGNISLARKVVDHVIDMDPDHRGNYVLASNLYAADESWGRVVDVRVEMGVRKDTSRCSTAVSSYIEINDEEDAESFSSTQLQ >cds.KYUSt_chr7.2828 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16760447:16761298:-1 gene:KYUSg_chr7.2828 transcript:KYUSt_chr7.2828 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCLNFLKRIPKLLGPFLLYAVVGILQPAVKFVYLNPLTAEISRHLTEMEEHTDPSSAEYARLVEETRHDATELLIISIALALVTLTLAFAKQVVARFFPASSTGSSGPGDRYSRAGLLREVIKWHNMRGALVTTAAVVTVLQLAYMTLLVLDSNMATAMRDSWSLSVRGSLFLAAFLAILYMGVLALISAAVSRKEWSMVVLVALLLPDLLVPACGVLVLYLYTEQIMGLGLSLLSVYDIVQGVQGVISLSAASVYFSQAKSMEQSKEMVAACDGGKKTS >cds.KYUSt_chr1.20455 pep primary_assembly:MPB_Lper_Kyuss_1697:1:120564426:120568620:-1 gene:KYUSg_chr1.20455 transcript:KYUSt_chr1.20455 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRCRKVIHHLSTYPAIPPCRVLRVLSAAYGRVMVDFGSGRGEYAVAGLLMVWSGSDRTPRMPRIRNPSLVFLDLLLTGLEKNVFGNHFVTASKADVGTPPAFASDPLKRLSGSSESNGANTVNSSKDATLSSVVLSNEDKRPAGMYSSGTPSHVEPEIVEIDGPSLITTQLPEQESNFIRSPSPHGPGATTRKGANYVERQSSEMSYYADDEDANRKKYTKRGTFRHKFVRMLLPFWSSALPTLPVTAPSKKENDAPEGRSRHQRSSRIDPTKILLAMAIMACVATMGILYYRLSQRSLSDNFADDEAQ >cds.KYUSt_chr7.32819 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204500360:204501111:-1 gene:KYUSg_chr7.32819 transcript:KYUSt_chr7.32819 gene_biotype:protein_coding transcript_biotype:protein_coding MENGTSRQGDDGVGETRPRWRFSRPSVGTGLTAAGSLSIRAVIGRLTSSIDPSSTRSVVHLAHGDPTASACFQTAPEAEDAVVDALRTREHNGYSRSSGEPHARSSVAEYLSRDLPYDLSPDDIYLTSGCVQAIEIMISALAQPGANILLPKPGFPLYEARTTFSNLEDRHFDLMPETGWEVDLESVKALADDNTVAIVIINPGNQWRSMGRMEDWASSLQ >cds.KYUSt_chr1.39493 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241646799:241648784:-1 gene:KYUSg_chr1.39493 transcript:KYUSt_chr1.39493 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSARPPSAQDAAEAAVRAVGCGYDLTDDLRLFRAKLSPGRLLNLSPSPAGAGASSSTRDLSLPGGAVVRDAPAGVVADKGERARFRSDALPFAQMAEQLNQSLLSLPPGTKIPSGPFNAMFDYRGCWQRDAAATRALCFDTRLVELYAVEAPRAGRLALREEVARDVPQLWDPAALAAFIDRYGTHVIVGVRMGGKDVVCVKQLRGSALAPRDVQARLKKLADDVFSQDRRRESSSATRTKSGGSSRRAVPGPGSAAWRAFRSPVVFNKDDVVGIHVRRGGVDDGQSHDEWLTTVAASPDVVSMAFVPITSLLSGVAGRGFLNHAVNLYLRCNHLRATPHPDFCRPLLLCVVSHHFHLGSSSDKPPIEELEQFLEFQVPRQWAPEFGELPLTLGPQRKKKRNSLPSLQFTLMGPKLRVNTTKVGSGGRPVTGIRLFLEGKKNSQLGVHLQHLSASPSAITVVTGDAVSAGAGVAEVNERAYYEPVRSPLLTHACTAPVQHNGARIDDCAAVVTAAWLEVREACLKKVLFLRLGFSGVARTKIRRSEWDGPLAVARKSGSVSAMLSAALSGSIAAAAKTEPQEGKVEVNSAVFPKGPPVPLPVQRMAKYIDTTEVTRGPDDLPGYWVVTGAKLCVEGGKIALKAKYSLLVSVPEDDDA >cds.KYUSt_chr4.2191 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12038066:12038602:1 gene:KYUSg_chr4.2191 transcript:KYUSt_chr4.2191 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAEDGRVPPGAVFNLPDHELITKFLRPKVARLPIDGHHNIHDFDPYSLSPDQLVDQREEASGTDDHDGKRGHWYFFTPVRHHKAKNGRGRRLRAVGEGYTWHSEHREEPVFAGPALRGGRQGGRPRPPRSKGPHSGITCIKEGLHLVPPLEDLGAFFSVPIHLSTKTKYRAISGAL >cds.KYUSt_chr6.26603 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168537295:168541578:-1 gene:KYUSg_chr6.26603 transcript:KYUSt_chr6.26603 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDPAALPPSASPFSSTGALESVPFSSGNPRIEETRGVVLLHPDPPAASSSSSNLPVGRKPRVCVPAVPNHMTYADFCRFCGAFVPHMLEMRIVRIDGAEDQYSVLIKFDTLSSTDSFYKHFNGKRFSSLEGDVSRVRFVEDVHYTQLIEHAHSSVTSLAEQPNCPVCLERLDQDPGGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSMCSICGTSENLWICVICGNVGCGRYKGGHAIEHWQETEHCYSLELETQKVWDYAGDNYVHRLIQSKTDGKLVEYNCYGTHGADGMCSICSGDAGMDEAILNSKVEAIVEEYNDLLTSQLDKQRNYYESLLLEVKEEYEREVSAATAKAVSIKHPKLQTKLDKCIEEKRFLDDINANLVKNQEMWRERIRKVQEREQAALKLKDEKIKKLEAELSDLIAHIECRDAVAAAPESVSSDIQGGTILPGPSTPSSSSSRDRKRS >cds.KYUSt_chr6.2184 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12878627:12879430:-1 gene:KYUSg_chr6.2184 transcript:KYUSt_chr6.2184 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVAHEDDDDDWPAPPPPAPLHLLEVTVISAQDLHRKKLGRRVRAYAVAWTDGAARKLRTDVDLAGGADPTWNDRFLFRVDAEFLRSETAAVAVEVRAVGGLGRDAVLGRTRIVVSTFVRPSRSAVAPSGRQVAALQLRRPRSLRPQGMVNVAVALLGAAQAQAYCAPGSTDAFAVKDLVAMRPAPALCAIPEDLDYGLRRQRPVVEYSGPLDPRRAAVEQSKLAMKLEKWRADLSPDRVDKDGNGVKRRWRRRTSCFGGAEWER >cds.KYUSt_chr4.53274 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329901425:329901838:-1 gene:KYUSg_chr4.53274 transcript:KYUSt_chr4.53274 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRKTHALSCSCCAEMERGQGASGSSRRVHGVQSVVCTFVSRVHQSHIKTAVMQEIDMDMRKRREELTGRLLAEDECAGKESIRNEQERHANLLSTMAVMRSDSRQQFRQFWGQQKNVGYILILIQTEDKLTRKR >cds.KYUSt_chr4.20372 pep primary_assembly:MPB_Lper_Kyuss_1697:4:128116282:128119895:-1 gene:KYUSg_chr4.20372 transcript:KYUSt_chr4.20372 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYTVQFLCSVVAAFTRLVRALHKAMATVLCSPPVSVPAASTASSPLSMRRTTKVHPIVSVAIGDASEQQLFAPAVDAFAPCNGSGGVVEQIESTKKAARRRPSMLVIPVVPEAAETPSGWGVVVAEKEAEVEAEGDGFCLASRRGARHANEDAYCAITHKVGADSQLAFYGVYDGHGGRAAVDLVSERLGNNVVAAVLATTEVQEGAAEAASSSVDATVAAIRAAYLSTDNEFLGQGLRGGSCAATALVKDGNLYVANLGDCRAVMSSDGTATALTSDHTAARGDERVRIESSGGYVSCGSNGVWRVQDCLAVSRAFGDASLKRWVISEPEIRRHTLTAGCEFLVLASDGLWNKVSNQEAVDAVSRSSNYGRTSAGCCKELVDMARSRGSRDDITVMVVDLKRILSTLSPSWWALPGSTPDNWRRLWELCWYKALHQLFRSYRLHLKADIAGIVVDSSITKLGELDSLRVLRVYSTL >cds.KYUSt_chr4.7179 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42473410:42482798:1 gene:KYUSg_chr4.7179 transcript:KYUSt_chr4.7179 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVAGAILKTVVGKLFEALGKEYGKHKNLTQEVDQISADLEMFDAAMADRVLAISSSGARSEGCRRTAVETLYSEEMLDLAHDVEDCIDRFMHRLVCKQLGAWPFRSLTKAAICSSFAGDIQKLRSRIKEAHQRVIDIHIPIPCSTSRRRRGGPCEARRGVFGLEQAVEELVSLLDEVECEKEQLRVISVVGFGGLGKTTLARAVYDSSRAKERFPCRAWVTAAGRSSEIDGGGFSWILQEIVRQVLPREKEVMDVDDGQRHLQATLTDYLQNHRYLIVIDDIRMEHWNTINSVLKDNGEGSRVLVTTTVRSVANICSHGNGYVYHVKSLGKDDSKKIALPGLPSEELELRSEMLLQKCDGLPLALVSVSDYLKSSSESTVKLCGELCRNLGSHLKEEKHGLDNFADLREVLLDNYESLSGYAQTCLLYLGVFRNDRPLKRKVVVRRWLAEGYARSDPSRSEEDIAEENFKVLIDRNIFRSIDTRNNAQVKTFRTHGIMHEFVRHKSVSRRFIAASSFDRPRAPYSVNARHLSIHGGDATYKYSGGAKGLSRVRSVTLSGNSNAAADAISYVVMCEFLRVLDLEECSDLKDNHLKDIGKLLYLRYLSLGSSITKLPKSIIAKLHCLETLDLRRTKINTLPRKVIELPHLLHLFGRFMLEKDDLKNASKIRKLRKFLSEESNLQTLAGFNTDGNEGFLKFVGQMRNLRKMKIWCKPCANGSSDYWTDLSKAIQEFAKVPMVYAGNQGARSLSVNSEDCSGELLSSIDFETCPDGFVYEMASLKLQGELLGRLPPFVTMLSSLTELCISSATSTLTQDVLSALFGLRCLLYLKLIAHQLESFEMRKGALPSLERLCFVLQSPAPALPTMEQGALPNLVSLQLLCPWLDGLSGINIRHLERLKEVTIHAGVTGQTRQAWEGAAKNHRNRPTLKTVGEIEEEEEASSTREKRKNYDSITDELGLDSGIKRIRLSVPEPPPIPLVDAASSSYVASPLHSEDVLQFAGMCNVSVAQIDEALDAV >cds.KYUSt_chr4.8809 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52857902:52858432:-1 gene:KYUSg_chr4.8809 transcript:KYUSt_chr4.8809 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSYDAAADGAATARVVLPSGELREYSHPATAAMALEELSHGKQGWFLCDADAMGFEGSVAAVAGDAELRPGQIYFVLPAEMLRRRVTLEEVSALAVKASAALVKASSAGGRRRRGSVAPLMFEPSEEDYSDDAVMTHITAKPVVARKRVVAYRAGRSPPRFSPDLSAIPESD >cds.KYUSt_chr7.31052 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193417391:193418442:-1 gene:KYUSg_chr7.31052 transcript:KYUSt_chr7.31052 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASSLRGPTGRFRQLRLPVLRHHILVDVSDGLLVLGDREPPHSARVLNPFTESQRSQYVADMCTVGSYLGVTSGDALTSSLLLIRFVDQTMKVFRVNVEHELLEEVKSLGRRALFLGEERCVSVDADKLPSVDGECIYMVDFQNEGDMCEYNLRGGLVNIIPGQ >cds.KYUSt_chr5.11273 pep primary_assembly:MPB_Lper_Kyuss_1697:5:73220689:73223466:1 gene:KYUSg_chr5.11273 transcript:KYUSt_chr5.11273 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNFRDWISYRIGSSLQSARPFAISGADDGASEADAHGTTQNEFVDTVSANRFPSNDSRASEVTSSPQDASGHLQLDHDSKSPDPLKQVEALQIKFLRLVHRTGVPPSTNVVAQVLYRLQLANLIKAGESDAKRTNLAINKARVIAAEQEAPGGPDLDLPLRILLLGKTGVGKSATINSIFDETKVATNALVPGTSRIKRVDGTIKGVRVTVIDTPGLMPHYHSQRRNRKILHAVKRFIKRSPPDIILYFERLDHINSKYSDYPLLKLMTDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDAYTRYCKNVVQRHIQVAASNTQLENPIVLVDNHPMCRRNTRAERVLPNGQVWVSELLLLCGATKLLAEANSLLKFQDSFLLSQANTRLPSLPHLLSSLLKPYSSSSSDGVGSEMTELSDEEDDYDQLPPFRLLKKSEFEKLTKEQRTAYLDELDYRETSYLKQQWKEGIRRQKLAEAQNSDVSPAVADDYEESTSPEVVHMSDMEIPLSFDSDYPAYRYRHLITNDQLFRPVLDPQGWDHDIGFDGINFESCHDYKKNISASIAGQMRKDKEDMYIQSECSVSYADQSGYSLMGGMDMQTASKDLVCTVHGVAKFRNLAWNTTGGGISVTKFGTRYFSGAKLEDSVIIGKRVQLVANAGRMVGGGQVADGGGLEVTVRGKDYPVREGSTTIAATVLSFEKETVLSANLQSVFRVGRGSKLSVGANINSRNLGQLCIKTSTSDHAEIALVAAISLVQFMLRRRSPPTDKDEQRFDSDLDE >cds.KYUSt_chr7.25079 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156500810:156503209:1 gene:KYUSg_chr7.25079 transcript:KYUSt_chr7.25079 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKKLSIRRRRISEEEGWWSAIDPGPLEPLAGHGGEERRSSPWVTLAFSGGLGPPVEVLLNKRVLRVLLQPHLLRLPPPIRGRIGESEGGQLCIVGVAEESSAARSFFSSTFSPLPCTEVVKDGGVDWVSRSASQQGSWKAIFLSIFSAAACRLTSKANPWPIQQPAQGSGDYSTSFVRPIWRSATAYYGCVEASGFVPASAHDGGVADLRLGGGAREGSDCFFLFLSELVTRPLAMFPFIFVALSILTTGASTHPHPLDPLSPVELTAVRAAVLASPLVPARPLNFHYVGLDEPDKPEVLSYAEAHSSSRAALPRRAFVIARAGGQSHELRVDITDATAPSVLSHGVYNGAGFPMLTLEEEFAAAALPPRHAPFVESVRRRGVDMDDVLCGAFLVGWFGDVDRSGSEEKVQQRRVVKLLCFVAGATANFYARPLEGVTLVADLDRMAIVEYRDRVLYPVPKAEGTDYRAGKAGAPYPYAGHEAAPGVVLQPEGRGFHIDGHFVR >cds.KYUSt_chr1.28508 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172214567:172217066:-1 gene:KYUSg_chr1.28508 transcript:KYUSt_chr1.28508 gene_biotype:protein_coding transcript_biotype:protein_coding MFQARQVDAVTAIQGRRPLCRDPDLEEEQHEIHPHHHPPGDAEARKEGEDRAARIQGRAAAPKPPARSLPVSLATELLLAMKKLNIKEHVEFAFKRKVEVGDALGYKNNDFQMQTYIERNSMEVLWDCKKHARHSAV >cds.KYUSt_chr6.27101 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171920284:171925647:1 gene:KYUSg_chr6.27101 transcript:KYUSt_chr6.27101 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDPAKTPARRLAELAHGGDLGGSGGRAASPTAGEWAMRDSRMARGRESSSCMPGGSPAARVSQQGEEAKCRGGDQQHVLRASPSSCAGRRRGEPRSRRRRRARFVALEGRVITAAHFGGAVLYIAVAVPVVKLLPASTSLTCCFCLELKGEKWVYRDALSVGNQEEEARERTS >cds.KYUSt_chr7.7364 pep primary_assembly:MPB_Lper_Kyuss_1697:7:44200553:44200957:1 gene:KYUSg_chr7.7364 transcript:KYUSt_chr7.7364 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSASIRPPPPSDLPLLRPFLLLLLAAHLHLLLPLLVVMRAPARSPSRQRWRGKLDQHMEQWLDEEICEVEWRGRGLGKDERVRGLGEAGREWGLARVWKHGRGLRKKIGRGLGELTFLSRTGSDTFVLPNHA >cds.KYUSt_chr1.32432 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196943840:196952102:-1 gene:KYUSg_chr1.32432 transcript:KYUSt_chr1.32432 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSARIFQQCQLAMAEGRKFEYPDNMTDDEIARLGVLVSENDRPVQSPLPRYATGIMPPGLSEEEALGLALQDSATPQPPPPPPSYNPWGPHLRPGLLHLRHSLGRLHIHLRHRTGLLYLQHRRRARPATPLNFRYSVKRNRMTEVSFLPPEVVFLPSPSPQERKQRGDGGELTQRRVTYPTLDSAGGESWKRKEAEEEAGKRSEMAGMRSEVPRHAEDRRKMQMRQFFTSRQAALLPAGIDSADGLSVWKEVKGERKQSGGGGELTQRTVTYPTSWTRKEAEEEAGKRSEMAEMRSEVPSHAEERGKMVRQRRGNENFASKEAALLPAGMDSADGQSVQKEAKGERKQSGGGELTQRTVAYPTSWTQKEAEEEAGKRSEMAEMRSEVPRHAEERGKMVRQRRGNENFASKEAALLPAGMDSADGQSVRKEAKEESGNISEMQYEWQKRPEESEASYENRLRLMPNEDTNTSRRQRETNSKEKARTTPKVEAKDAEEEAGKKAQPPPATDAEEPQESGKAAESVTLDRLKRKNTARRQQETSCKEKARTKPKVEAKDAEAGKAQPPVTDAGISEESITEAGKAEESVTVGSGKTAMNQDVTGLSYTHDEEEMLQHRNLVGYSFIHDEAEKQERSAVLKDVQEKMARTKELLQKLPTKGLEEDSNRKTEPAADPNSTTIQGDHDDEIEAYISYRKSWESHCCGDDCDHFDHRTTLSSMQYAHRMPQMVQLSYATTLQVFSMKLKFTEGNAGDFKLPLYVYGMVSVRDILDSRRNILFSCRWTQAQKLTEDDPFLLLTGPSRAIMSEGMVYFEVHLLVKTTASVSQDKRLITCVRSYNGGSNAALCFSNVMCTLEMCLRTVTEAVQATIMCVQIVNKHKLGRPSNFAYGGCVACTPLPRTTVIDGDQKIVLVESKGREFPQGYFGYVHLRSQVVSVEIDGELAVVIQAYNQSGAVAEERCIHFKARNCQVFKKHCFVGQAKEWRDAPTAVAYADGQMSGPSAYVRSRAAAQRAPRRRHTLGVETDTPTATLGVLKAVGVLKASAPVPLRP >cds.KYUSt_chr5.28734 pep primary_assembly:MPB_Lper_Kyuss_1697:5:182030880:182034797:1 gene:KYUSg_chr5.28734 transcript:KYUSt_chr5.28734 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHLRHAPLALRLARLPALACSSHATRRLLLLAPARPWRLLSTASRPRSLATVAGAEADDASAGADGFFAEDSTTWKSLGVSDRLASALQGTGLARPSLVQAACIPHVLSTNDVIVAAETGSGKTHGYLVPLIEKLCSKSSSTVDDDPQNVAAGTDNVVLVLCPNVMLCEQVVFMANSLLDVSGEPLKRAAAVCGPKGWPAVRPDILVATPAALLNYLFDYDPERRRREKFLRHVKFIVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRAEDSGKEVLPEGSDEYHEDSGSESAEFSDVDEENDDGHVQDGSVKPVENAHVGGRKDWRRVRKVYKRSKQYIFVAATLPQSGKKTAGGVLKRMFPSAVWVSGAYLHRHNPRLERRWIAVTADTQVDALLDAVKYGLKGEVDQELGPNRTMVFTNTVDAANSVFDILRRVGISCILYHRESSLEERTSNLQSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTDANRDLVRAVRQAEELAQPVERAFSRKRSFRNKLKKQARLLREPATLLS >cds.KYUSt_chr2.10847 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68903940:68905101:1 gene:KYUSg_chr2.10847 transcript:KYUSt_chr2.10847 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAKLNVLLACALLLLAVGCKASPYWPLEIGFYHDKCPQAESVVKGVMEYAISKNPGNGAAMIRMLFHDCFVEGCDASIFLDPTPFSPTPEKISPPNDPSVRGFELIDAIKEAVEAVCPGVVSCADILAFAARDASCILSKGTVAFDMPSGRRDGTFSNASEPLKFLVPPTSNLSDLLDSFVVKGLNAEDLVILSGAHTIGRSHCSSFVSDRLNTPSDINGALAWFLRSQCPADATPGGNDPVVMQDMVTPNVLDRQYYKNVLAHTVLFTSDAALLTSEETARMVEDNANIPGWWEGRFAKSMVKMAGVEVKTGDQGQIRKNCRAINYH >cds.KYUSt_chr7.26457 pep primary_assembly:MPB_Lper_Kyuss_1697:7:165206753:165208948:1 gene:KYUSg_chr7.26457 transcript:KYUSt_chr7.26457 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRLLALLLVAATVAAPARVAAQGACAAERFTKNRVYAACSDLPTLGASVHWTYDPAASSLSVAFVAAPASAGGWVAWGINPSGSGMDGTQALVAAPGSGGGAYGVQTYAISGYALGSPGPIAYKTSDLAAEVGSDGRVQMFGKLELANGTSEVNQVWQVGSVSSGSISIHGMASANKNAKGKLNLLTGQSTAATGGGSLLRKKNTHGILNAVSWGILLPMGGIVARYLKTFKSADPAWFYLHVACQLIGYGVGVSGWATGIHLGNLSKGITYSLHRNIGITVFALGTLQIFALFLRPKKDHKFRPYWNAYHHSVGYTIIILGIVNIFKGMTILQVEQKWKTGYIIAISILGGVAVALEAITWSIVLKRRKTENKNYNGNGNGQLPLSM >cds.KYUSt_chr3.26507 pep primary_assembly:MPB_Lper_Kyuss_1697:3:165081018:165083183:-1 gene:KYUSg_chr3.26507 transcript:KYUSt_chr3.26507 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSYMTPEDHDRMFLLSPDTTFSCGFHQVGTNAFTFSIWYTTVKTVVWTANPYSTVNGYSSPVNLYGSRLSLNKDGNMVLTDTNGSTVWESKTSSGKHTIVSLLDTGNLVIIDSGNKTVWQSFDSPTDTLLPRQNFKKDTRLVSGYHYLYFDNDNILRLLYDGPEITSIYWPSPDYTSVSNGRNRYNNTRVAFLDDEGNFVSSDGFKIVASDSGPGVKRRITIDKDGNIRMYSLDASIRSWVITWQAVIKMCDVHGLCGKNGLCDYSEGLKCRCPPEHVMVDLTDWNKGCKPTFTISSKKPHEDFTFFKQPHADFYGFDLRFKQSIRLQECRDICLYDNSCISFTYKGGIGMCYIKYLNYNGQVYPYFLGDNYMKVPQSFNSSASSISKQESLTCKPSSSEIMLGSENMYGIKKDNIKWIYFYVFTAILGVLELLVIVTGWCLFFRKSNMPKSMEDGYKMITNHFRRFTYRELREATGKFKEEIGRGGTGIVYRGVLEDKRLVAVKKLSDVEQGEEEFWAEVTLIGRINHMNLVRMMGFCSEGKNRLLVYEYVENGSLDKYLFGERITESLLGWRQRYKIALGTARGLAYLHHECLEWIVHCDVKPENILLTRNSNAKIADFGLAKLAKRDSSSFNFTHMRGTMGYMAPEWALNMPINAKVDVYSYGVVLLEIVTGTRVSSGIIVDETQVEFPDFVEKAKQILATERITDLVDGKLKGF >cds.KYUSt_chr2.53907 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336489119:336491285:-1 gene:KYUSg_chr2.53907 transcript:KYUSt_chr2.53907 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWLSLCFIALITIPALWFLNLSGTKSKPHKPQPPGPWTLPIIGSLHHVISVVPHRKITELCRWHGPLMLLKLGEVPAVIVSSGEAAAQVLKTNDLTFATRPGTPTQDIAGCGGRGIIFAPYGDHWRQMRKVCIVELLSAKQVRRMDGIRLAEVGSLLHYIAGAASTGASVNVSEKMMELSNGIVARAVFGGKFAEQEEYIRELDVVLTLLGGFCLVDLFPSSRLARWLSFGARRMQRSYGCMQRIIENVIEERKAVRAAGEGTCSVDDEDLLDVLLRLQKEDSLAFPLTTESICAVLFDIFSGATDTTGTILEWAMSELVRHPKAMARAQLEIRETLGQDRDVITNSDLTELTYMRMVIKEVLRLHQPAPLIPRMAREDCKILGYDMPKGTTIFINVFAVSRDPKCWKNAEEFVPERFENNTMDYIGTHYEFTPFGAGRRQCPGMLFGTSTLEIALANLLYHFDWVLPGGTSLESLDMSEKFGITVGRKTDLQLIAIPCGHFKAT >cds.KYUSt_chr5.42621 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268459673:268461645:-1 gene:KYUSg_chr5.42621 transcript:KYUSt_chr5.42621 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQPSHPLVHPDPAPVVEPPAPAPAPAVVPAPAALQVQAPAPAPAAPPAQGQGNANAPPGVLMRSLPGAPGTRTGLGLRLAQAALAAAALGAMVSTGDDCRSVTGFRYFVPAVALQCMWSLAMATVDVYGILVGRSFRTPRVVSILGVGDWITGALTFSAASAAAGITVLINADLEFCDDNHCPNFMSATAMAFLSSFVIAPCCILNLGQMIYKLQRP >cds.KYUSt_chr5.37428 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236601203:236602281:1 gene:KYUSg_chr5.37428 transcript:KYUSt_chr5.37428 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVQYDKYGGGAEGLNHVEVLVPSPKKGELLVRVEAASINPLDWRFQKGVGRPFLPSKFPFTPVCELAGEVVELGAGVSGFRPGDKIIAVNFPGSGGLAEYAVVSASNAALRPPEVSAVEGACIPIAAATALMALRTAGVALDAGDGPAKNVLVTASSGGVGTFAVQLASLAGHHHVTATCGARNLDLVRGLGADEALDYATPEGVALRGPSRRMHDVVVHCAEGFPWSAFKPALADAGGVVVDLTPRTASVAVAVLHWLCFSKKRLVPLIVSTKKQDMDALLGLVVQGKIRAVVDSRYPLSRAHEGWAKSMSGHATGKIIVDMVEDAE >cds.KYUSt_chr4.53176 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329327822:329329362:1 gene:KYUSg_chr4.53176 transcript:KYUSt_chr4.53176 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSVAVATALLFCLAAHGCCAPPPSSANGTNADTGGWLDARATWYGAPTGAGPDDNGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVAQNHPACSGVPETVIITDMNYYPVARYHFDLSGTAFGAMALPGRNDQLRHAGIIDMQFKRVPCEYPGLTVTFHVEQGSNPNYLAILVEYEDGDGDVVQVDIMESRPDTTGKDGMSPTGQWLPMKESWGSIWRMDTWHPMQGPFSLRITNESGKTLVADKVIPADWEPNAIYRSIVQFN >cds.KYUSt_chr4.40250 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248478172:248480939:-1 gene:KYUSg_chr4.40250 transcript:KYUSt_chr4.40250 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAAALRRAVTASGSRTLSISGAFSPVSSRLFSADAAAAEAGSQGDDSFLKPSDAGLIYGRLYSPTAGGNRLGKNVLKTDIIHHLDKCELSPEDVKIDYNRGYYPMAALLKFPSKESFNKAARQTGRMYRLERASRELWEQKPSLDGKAVLLQGVPRNAQADDIERFLSGTNYEPPPFESFIRPGIPEPIRVVLVRFRTKADATNAFIAKNKSFCLNNPVSMRVIQ >cds.KYUSt_chr5.11134 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72148131:72149968:-1 gene:KYUSg_chr5.11134 transcript:KYUSt_chr5.11134 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRRNDHEARSSHDVGCGARYNLRTKEALSLADHWIATPPNCRLPTSWVLNLGGMPVPPIPAADCDHDIESEDVVDWSDLSGDLSDDLLDDELEINQQTPPLELELLLPRCYTPPREEGEPENTPHGSWPPFPHISLFELAAKDHALSASIDLTDDLNAKLPEKERASRHLCAAHEDLKASLREQTSGWEAERRGLVAALPPPGARRAVRFPPPLQAAPATSITTAEKGREPREPRRPQPPAASVLHHRAAPARRGAPGFRAMAGTAATRSGGFDTSALHRRPRRPLKGFVA >cds.KYUSt_chr4.46421 pep primary_assembly:MPB_Lper_Kyuss_1697:4:286990958:286991476:1 gene:KYUSg_chr4.46421 transcript:KYUSt_chr4.46421 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGKQVGRSGHAAAHPGGVDDEEQTAEEVADVEEEGWPGGRRRWRGRARAAAVGARLREDVQDEEEDDAVPFPCSGRLEAGHGDGGVHWQAAVFLSLSLTEMQEVEKGKGRRGGKERARVPVVLKGRGGRWQASRWGRRSCGLDKVRTKDDDEQRRFSPHGARAAYLWTST >cds.KYUSt_chr7.10425 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63997292:63997891:1 gene:KYUSg_chr7.10425 transcript:KYUSt_chr7.10425 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHAYDHNSSMSPSTPPAQHRGSTRHHRMVPSRNTARPAIRIIHIIAPEIIETDAANFRDLVQRLTGRQHQKLADNEASTAVTVAVAPTPPSPVEEKPQKKRLAPAVPALADDFVLPQENTGRKKIKCEVVKAEEGGFGIGAGDLDIGELWMDLNPEGFLSFLEEDVFQWTMDPEFPQPPLGSSSLDLIGEMCASYLA >cds.KYUSt_chr5.34638 pep primary_assembly:MPB_Lper_Kyuss_1697:5:219607294:219607797:-1 gene:KYUSg_chr5.34638 transcript:KYUSt_chr5.34638 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAWEGAAPWGGLALQRLATAVRKELVPADLLLGAASPCSASQQSWREEPDHAAAALDALRLHKKRSSKAPLRGGSGQEEESLGGGEGDEEAGAAAAPSSPGLRPRVMGTQGHGAVEQPTAPSSPPRPGPPSRTRRLRPPATPRSRCPPRRPSWSRSSRGAPSSP >cds.KYUSt_chr6.32242 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203447511:203450352:-1 gene:KYUSg_chr6.32242 transcript:KYUSt_chr6.32242 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDPPNGVGNQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHLRHENVISLKDIMMPIQRRSFKDVYLVYELMDTDLHQIIKSPQGLSNDHCQYFLFQLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDLEFIDNPKARRYIKTLPYTPGVSLASMYPHAHPLAIDLLQKMLIFDPTKRISVTQALEHPYMSPLYDPSANPPAQVPIDLDIDENISAEMIREMMWQEMLHYHPEAAAAVSM >cds.KYUSt_chr1.29052 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175721268:175730516:-1 gene:KYUSg_chr1.29052 transcript:KYUSt_chr1.29052 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSTRGASLAAAVRAVAATARPASSAAAAAGVSVAALLVQGDDTAFGSLEWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDSEKAQAATILPVVQKQHQLLVTLLLCNAVAMEALPIFLDRIFHPVVAVVLSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMILCYPISYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAQEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNVIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKPKNLPGPDKTEPNREVDGESQLTAPLLSNAEERMESVVVDIEAPQSRQVNGNKPVARSSEDIEEGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTAQKASGAQSRPGQAGQPTGILKKPTDGDSNPSKQVNLVEPLLENRRHQNSEKRRPSKSNDDAVTNRDDRFPTSARLRAYMKMTMSGAAGRHVAMAVMACSHCGELRRVRMEGQFVSCNSCGKVLQERGRKKHTMMEARRRWRARRRDGRTVAGAACGEGMVVGREASDAESDTGGVRFVESSS >cds.KYUSt_chr4.2552 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14275557:14278968:-1 gene:KYUSg_chr4.2552 transcript:KYUSt_chr4.2552 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLPRASLVCKSWRSILSDTRFLSRFRKHHRVPPLLGFFQGKPSWRNYIFTSILEPPDRVPAARFMVPLSLSMHWGFMGCPQGLIVMFKESSCETVVCDPLTGQQHRVASPPVMNLDDGECWDTATVLCADAEDGHVHGDCFSSPFKLVLICEGYLRAFLCLYDSVSGVWGDVVSTTTTTICQNLFLRPGILAGNALCWGIGGGHILAFDLETQSLGVIEKPTDAHVTGDVLEVSCFQPLRMENGGLGLAVLSEFTVQLWARSICDGVVGWVLQKTILLEGLLPQEVHSHDGPAHFVGYDEDANMVVLSTMIGIWSSVRVDETWKWLAVVGSAFVCG >cds.KYUSt_chr2.50978 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318894708:318895139:-1 gene:KYUSg_chr2.50978 transcript:KYUSt_chr2.50978 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARPYSGVFRGGATARTGPHALPLARIKKIMKRSAGDGSGGDGAGARMISGEAPVVFSRACELFVAELTRAAWAATLDGKRRTVHREDVAQAVRDTDLFDFLVDVVKDDAGHEHGGDGGVGAGAGEGEGRAPACDDGDGVL >cds.KYUSt_chr7.35782 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223483935:223495492:-1 gene:KYUSg_chr7.35782 transcript:KYUSt_chr7.35782 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLSQYEQLLEEGRLLEGEDLDSAFDSISAFSSKKDNQEAIFEAEETILDIREAKLAYRAEVFELQKQIARQQAQFDLLAGQASSLIQGRRARVSAMSTVSVQLISLDEILSSRNLETNAVLGRITATTQELAHYHSGDEDSIYLAYSDFHPYVIGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNGLIRGDSEKSHHHQRVAELQRLRSIFATSERQWIEAQVENAKQQAILQVLKSQVSSDEAHIHRDIHSLRRKSSELAGELSTLSQKVQPFISETIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFINHLVNQLARHQFLKIACQLERKHIASAHSLLRVIESELQSYLSAVNTRLGHYSSLIQAASEVHEQGAIDDRDTFLHAVRDLLCIHSNSQAAVPTYMSAHALVQQISALQSDLLSLQSELENTLPADRKRCINELCTLIQTVEQLLFATSTTAEPVLTPWPLMRALDDMENANAQVEVAVEEVTKARTQKIKIFENRAHEVGRERQVFVDFFSNHERLKNQVRELTSRVKALQE >cds.KYUSt_chr3.15185 pep primary_assembly:MPB_Lper_Kyuss_1697:3:92687787:92690489:-1 gene:KYUSg_chr3.15185 transcript:KYUSt_chr3.15185 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPSWAILGRVPRVTAADADLPPGTDLSLALPHPPRVALLTIPSRIFPGRTTADTFPSILAADASGLLLLKADQGPAATDLPRRKDFSWRPTVADYFVLDANTASALRLPDAKFIRRPGHRGLIACPGHYVVAELQMSVGDDTADLLCFSSQTGEWVSKDVPYPLPSRPMSPNCVVSHAGSLWWVDLSWCLLACDPFAHRPALRVVPLPEGKALKPKEASGLLDKYRCVGVSAGKLRFVDMYRNRSSGGGGAAQITVWTLADPDSAKWTLEYEATFGEICDDASYKATGLPRKIPVLALIHPTNPDVVYFFVDERMVGVDVRARKVLDWEAYDLVQPPRENVSSRFVHAWQLPRALCSGSAKETEDDGVNDELQQLRL >cds.KYUSt_chr2.33554 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207245082:207247394:1 gene:KYUSg_chr2.33554 transcript:KYUSt_chr2.33554 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAGWLPVALLLAAMVLSSVLPPPSVAAAADSGEADHAVQQHSERISGSAGDVLEDNPVGKLKVYIYDLPRKYNKKMVTKDPRCLNHMFAAEIFMHRFLLSSAVRTLKPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYVSNKWPFWNRTDGADHFFVVPHDFGSCFHYQEEKAIERGILPLLRRATLVQTFGQENHVCLKEGSIIIPPFAPPQKMQTHLIPPETPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTDHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIEDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGVYLQPGEKHLNWTAGPVGDLKPW >cds.KYUSt_chr7.26080 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162814146:162816371:-1 gene:KYUSg_chr7.26080 transcript:KYUSt_chr7.26080 gene_biotype:protein_coding transcript_biotype:protein_coding MARFDPYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRRHSKIAHLYVMLPPPPSSFCSLFPSHSRRFATLVNGCAHVVLSAYFRIRADKCVLASSGFQGDIKALQKNLSAKELLYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGAALMMPVLDKQLKSPSPLILPARDAVTPLSESDAVDLVKDVFASATERDIYTGDSLEIIVINSSGTRRDWIELRKD >cds.KYUSt_chr4.6078 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35624307:35629514:-1 gene:KYUSg_chr4.6078 transcript:KYUSt_chr4.6078 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSHNDINVLNRYLVFNWLMEGTAPMMEDIRDMELLLRRATLFAGGTASLAIDVAKAALPVSATQMAHMAYELGSAADNNGVNNVELLKAAEEVRRADDKTRCEAVSGLIHACVELARDAVHAGHDGIMHNAIDLALAGRFFLRVAALGSTERPATSQPEHRLMEQPATGQPMQKPQEQLATGQPMQKPQEQPATIQPMQKPQEQPAGAGHAAWWSKWKRKTEVSDLEKHLLQKSPPPSGNVAVASSSSLDKPPVDTIAATSTAATNITPAAMTDTHALQGAAAAANGDQAAAVPTGLDLLPATLADLADSLRAIRFELAEIGAGQHPPPPHRPPFRRRPHRPWFRRRRHRPPLRRRPPPTAATPPPPRAASAAGRGRPAWWPPSPRRFPRGPTRRPSTPISAPRTTVRPPAHRPGGLGGFAGPFAASTSVNPSRRADTPEVPPVAPQPPRFTKPEFATYDGATDP >cds.KYUSt_chr3.29965 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187646426:187647853:1 gene:KYUSg_chr3.29965 transcript:KYUSt_chr3.29965 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTMVLYPGAGVSHVGAMTELANAFLKHGYDVTMVMIEPPFESSDSGASAIQRIAASNPSINFHILPALPAPDFAASSKHPFLLLLQLFLEYNELFEEFLRSFPRRRLHSVVLDMFCVHALDVCVKLGIPVYTFFASGASCLSVLTQFPALIASRRTGLKELGDTPLDFLGVPPMPASHLIKELLEHPEDELCKAMTNIWKCNTETMGVLVNTFESLESRAVQSLRDPLCVPGRVLPPVYCVGPLVSEGAKGGDGTERNECLAWLDSQPDRSVVFLCFGSKGTVSAEQLKEIAAGLERSGKRFLWSVRTPAGSQDAKKYLEVRPEPDLDALMPEGFLERTKDRGLVIKSWAPQVDVLRHRATGAFVTHCGWNSVLEAVSAGVPMLCWPLDAEQKMNKVCMTEDMGVAVELDGYMTGFVKAEEVEAKVRLVIEDEDGRQLRARVAARKQEAEAALEEGGSSRAAFVQFLLDVDSI >cds.KYUSt_chr5.8418 pep primary_assembly:MPB_Lper_Kyuss_1697:5:53268114:53269259:1 gene:KYUSg_chr5.8418 transcript:KYUSt_chr5.8418 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGGGWISLPAELINEVSDRLPADVDQIHIHQVCSHWRASTAPLAACRPWIVAGHDRHRDHYSVVNPIGDHSFWLPRGGTRIQPRAHAPAGVPYCCGMPRGWLALTDDLRFPTRLILWDPLSQAEIPLPTLTTVAQVFLSGDPLTSPSWMAIASQKIPNVELGQRLFFWRPGDAAWTSQLEYPNGRIEGAAFHHCRFYISTMNMSLDIFDLQQHPPKRLRRIYLYPPLQARCRRFPGRPRPHVVACNNQMLLVMVYRGLYNAIVFAEVYSPDWAAQPLDLQEKVTDLGDYSLFLGRGDTLALSAKEFPATRRNCVYFVEHDTTKHDRWLVVFDLVSNALERIPHPEDQREGGSKTSGWLAYSWFCPRRPFVKLISEF >cds.KYUSt_chr1.40839 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250554839:250556606:-1 gene:KYUSg_chr1.40839 transcript:KYUSt_chr1.40839 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQLDSTVVLSLVLVVSCLVIVRSFSSGRKGRLPPSPRGLPIIGNLHQLGQGYLHRRLQALAQRHGPVFLLRLGSMPTIVVSSASVAETVLRTQDKVFCSRTPKYTVRGTLYGCRDIAFSPYGEQWRQSRRIAVVHMFSVKSVDSFRALRVQEVACFVQQIREAGKDRGVVNLTELIASLTNTVILKTAFGNKLRGVDPEIFSDVMKELSQVIHITAASDLFPRLWWLDWATGLDAKVKKMAAKLDGILEGVLREHERSRADGEGEIHDLVDDLLSIIKDGDLDRIEAKALILDMFIAGPGTIYKAIEWTMAQLMKNPREMAKVQSEVRQVAAGTHGGVLEEELEKMSFLHAAITESLRLLPVLIKHETIQNTRLHGYDIPAKTWVIINAWAIGRDSESWENAEEFRPERFLGKAFDYSGKDTRFLPFSAGRRGCPGITFAMRLMEFTLANMMYHFDWELPDGQDPESFEVIESSEISHGLKSSLILGVTPCKKACNDME >cds.KYUSt_chr6.17526 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110349366:110351917:-1 gene:KYUSg_chr6.17526 transcript:KYUSt_chr6.17526 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLIAREEREQIILEAASEISSQQKKVWDLQHSLEVANKKAEKLATENNNLCKAIDAKDKLARELRESKAALDEQLTGATAKLEAARKQSASLHYEARMLQKELEVRSQEREYDLKSVDAARVQHAESLKKIAQLEAECQRLRAMVRKRLPGPAALAKMRDEVEQQQPPRAGASPRRQRSATPPMSPRSVTPISRRAPDLPDQSHAVRLRAMEDENNALKRVLATRDTELQFARMKFADEACKLSAVQGQLKELTEESKRLTDANAKTESWASALVSELDHFRAGKQGHGASSVIVSEMSLLDDFAEIEKLEMASGDHQTSGQNKADSGSAVSEKNDKFPDQNGGATKFPDQNGHPDWVQDVWELVKRKQEASGKSIGTILEEIRRALDQSPDHTKGNTSDKLHDRAKIEKMVSNLSEKINAMIRFSVEDNAAKCGLSSLRDKPEFLARLEYLVHVCHDVLHEKAKLEIFIDEVCLVLEYIAGQYFSSQVRPKDDNTRNSDGDESSSTVNTNGEHGMQSATSAAALDIQTEAHQEPIQSAGQLPENIEERQLNEELAIVLHKDCDMEPGRKSSYYKIESPTDDGTENLAQEGKQLATDSEISAAAHKLAECQETITNLSKQLHALQTPPNSGLIDISMFSPRPSSADYKPQSLGSILADEATSTAEGTSPPTPKQVHTKKEQCDPDAAARRSMAQEQVVDADGEASAQAVVQPVILELRRDDTPADPRKKKRGPSLLGRMIFRKRVEGSSS >cds.KYUSt_chr1.23639 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140799397:140805416:1 gene:KYUSg_chr1.23639 transcript:KYUSt_chr1.23639 gene_biotype:protein_coding transcript_biotype:protein_coding MREVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVYGEEEWSFGFCENGSGVFNCPVSKNPMYTYRERIVLGETDCTIAAVNRILRELSREWPGSSYDLLSRNCNHFCDVLCERLGAPKLPGWVNRFANAGDTAVVVAENTAVKFRQAKTEIVNASRVAYRFMAGLTSKNQASPESPGDQNRDSPTFQGTWLKNVVSAGAKPSSSGSTPSQEEAYASSDADDWNEAVHSEMDSILSNGTWELSERSHGCKPVGCKWVFKKKLRPDGTIEKYKARLVAKIYTQREGEHYFDTYSPAGRLTTIRVLLSMAASYGLIVHQMDVKTAFLNGELEEKTYMDQPDGNTGSSGQEGQKFRLHTGSSGQEGQKFRLEAFASDELARRIRAELGRFPKLVRKLSGSFADRNFRPTPPELPPSELQATTRGALRDCREEYLGGSSASRGRNFRQE >cds.KYUSt_chr3.46610 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293136015:293136838:-1 gene:KYUSg_chr3.46610 transcript:KYUSt_chr3.46610 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNVARVMLFLEEVGAEYELVPVDYLAGEHKRPQHLQLNPFGLMPGFQDGDLVLFESRAIAKYVIRKYGTADLDLLGENSGIVESAMVDVWTEVEAQQYYPAIAPAVFECIINPSIMRTAPTNQTVVDESLERLRGVLGIYEARLEKSRYLAGDNVSFADLNHIPFTFYFMTTPYASLFDEYPKVKAWWESLMARPAVQRVCKNMPTKF >cds.KYUSt_chr1.29456 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178323777:178327001:1 gene:KYUSg_chr1.29456 transcript:KYUSt_chr1.29456 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMLVQDRVFPDGGSKPPTSPRAAPGSDRRHPRPFAKSLDFSNWASDNSSRLLLILFALASVAAVFLLRGAGPDAAALLCLDRSHPSSAPTTLPYPDVAWSKIRPLAIPSSAPFATFRAARWIVVSVSSPPTAALAALTRVKGWQLLAVGNSHTPTDWDLKGAIFLSLDLQAQLGYRSVDFLPYASHVRKTAGYLFAIQHGAKLIFDADDRAEVPGNDLARHFDVDLGSGIADHPVMLQYSHADPNRTVVNPYVHFGQRSVWPRGLPLDKVGEVAHEAFYTEVFSGHQYIQQGLSDGLPDVDAVFYFTRKPPTAPFDLRFDPEAPKVALPQGLMAPVNSFNTLFHTQAFWGLMMPVSVSSMAADVIRGYWAQRILWEIGGYVAFYPPTIYRKDHVQAYPFAEEKDLHVNVGRLIKFLTEWRSNKRALFERILDLSYAMAEEGFWMEQDVRLTAAWLQDLLAAGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVLIMHVSGPVDRVALEWRLLYGRIFKTVIILAEQSNVELAVDRCALSHAYKYLPKVFGRYGGADGFLFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPLESNKEEWFVKQGAMVKQVVGNSPVHFQTKYKESMGKDKIVFCGSELFYVPRRFVEDFGDLVGLVGDLDLHHKIAVPMFFLAMDSPDNFDSEALAGTVFRTNLAANETFSSIYTAQSPAVFPVKVMNEIDFIKVIRLMSKGDPLLMELVTGDQLGPAVLLKERKDGYESTKEKKSFNTVSCFARVLVAGCL >cds.KYUSt_chr5.16766 pep primary_assembly:MPB_Lper_Kyuss_1697:5:107946046:107956686:-1 gene:KYUSg_chr5.16766 transcript:KYUSt_chr5.16766 gene_biotype:protein_coding transcript_biotype:protein_coding MSAANSYCDDEITPNQHDELTLFLWCEELSRKISLLHMSDLDIDDVLHGCFSYCIDNCHTNTYIQNILEDDTLPKYDRNAVCFELINEEEESSKVSSIVSGNKSGYVEKPPFKPLPPKEGNEEKGEKKKKGTKKKKKGNKEKEITIGNRKYVAPNDYYDNESEYNDLPMPFTYISDHDLKEHTTFDIANLWETNSKNDDVNNCHSISTIHASSHNDVESSKLGDEVFENPFATDHYMFDTSPSSNNDERFKPHARGFGVSPRHQIQGWGSSPGKQRNAEEQEIISRDRRDRRDYEQIANLAKRMGLYSELYGRVIVVRKAPLPNYRPDLNEKCPQRKVVIPLSLQRRVKGLVQEHLDYELIQISNNDLGTELIHTSHSPANTMRFGRDSKI >cds.KYUSt_chr2.43550 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270955572:270956024:1 gene:KYUSg_chr2.43550 transcript:KYUSt_chr2.43550 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSPPPQQAPPLADLAAAIRLAAEAAAALSAPSSSAAAAAAAATLRDAHAAIGSFLSRLDAPAALPSDNDQPMADGSEPGGPVIGEVEDRLRECALQGGKRRKRPVPPSWPLGRRSGGACVAPEATESVLDVEGRRGAAMDLLLQFHA >cds.KYUSt_chr3.21785 pep primary_assembly:MPB_Lper_Kyuss_1697:3:134081626:134083833:1 gene:KYUSg_chr3.21785 transcript:KYUSt_chr3.21785 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCDDCGADLKKPKLAGHFRSCSAYKLSCIDCGAVFSQDTVQGHTQCISEAEKYGPKGQNKLSSSAQGKPDKPKPNADVDINVGLSTRPPWFCSLCNTTTTSKQTLLGHADGRKHRAKAKAYHASQKQENGSEQTPDVKETGGTPTMEPPQLNEVKGADSEKDVDKDAVKRKRADSIALEEPDNAKRQNLLNLKTGEVIQSEKGELKTKSKSAADEVVNGANHQDTKKQKIKWKKIITKILETNSDGVMKLKKLQKLVLKELFECGHSEDKEQLHALLMEKIASSSRFSVDGKNIRLVSKNEES >cds.KYUSt_contig_973.64 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:1004651:1009246:1 gene:KYUSg_contig_973.64 transcript:KYUSt_contig_973.64 gene_biotype:protein_coding transcript_biotype:protein_coding MRARPTEWKFSIGPLQVPSNIFEKPENLPRSFGRRTLPTWGLMSGEDPDRAMDAERPVGHRRLAAKAGRGAAGWRRGRLVRSRLLQVLVGSATAANACGWAGFYKPYPIGVCTSDRLEGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDYFPTMEMRPNPQCSNLACLERQKEYMQSKPARDAAAKAKIEAEASAAIEYPVHIDNEWNISVVDDSDIALSSILRTATDNLPEGLLRELPHEDFYVEPPTPASSGAIDDDLEELQRQLDVLNSS >cds.KYUSt_chr5.5806 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36171003:36177991:1 gene:KYUSg_chr5.5806 transcript:KYUSt_chr5.5806 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTESLESLAPGSKRKRSGTSTNVATLGVTTRSRARAQQETQSARTKLDAAGEADGCRKMKLDGSSRRVSPERSKKGKSVLEQSQDSLSWADDDYEYDDYDDDDGSSAVSSPIRVPYKPEETNCDNGEPLAQCSGFWIDFDNESRTGTVVTTALLIRTKHPTQDPWFCKDQYASDAKVNVHLRGYITVEGDLLYYQKHYNLAFLRIRLEVDQSVQLPIYSDNVECAQDIFELGRDKHSDLLINHGRVEYSNPTKSERHHHMYIQGPQRDRTILIVCGIDEGLVVREVSGDSPAEKCGIRIGDVIECLNGKSISTTVELENLLLSIMEKSGDGLNSDLDLKLGVWSLDPGTHLTE >cds.KYUSt_chr5.5533 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34560851:34571583:1 gene:KYUSg_chr5.5533 transcript:KYUSt_chr5.5533 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEDEERTRNITIVEARVLCETGLAPHIAVEDPRTAVEDSRVLLLNNIKVDRIVEGHIYFMKDCMNLDHDPDEPATTNIKHGRPSHVHLRKSTHNENALEGDENGDFLAATYPECGWCLEAGKWVAWLLLLLLRLSYDGLRLLTAGTWRASLALWGPSAYLRLNVMMSLQEHRQAKYSWLLRYYNDPTQEDHLGNSPEDGAAVAGKGWSVTLLRLDLVECDLENQGESGIVVGWWPSAYMHLNTMMSL >cds.KYUSt_chr4.23855 pep primary_assembly:MPB_Lper_Kyuss_1697:4:150292303:150300278:1 gene:KYUSg_chr4.23855 transcript:KYUSt_chr4.23855 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSETPKDSSCKDVDNLYMEELRMHPKELLLVDGELQIKDVQGPKGEGSLENRMEKLEQEVFKYKKMAECEVDIFHKIVSELIDAHEKETAKLWGDILSLHDTTNKLQAQLYDVQNQNYDEGSQKGEPRGPEVGPPHRPARPKAWPRRPVAKSHSRLCEAENTREKRALRQAEIRRGNSLSEGEIDAIVTAIELDIISITIIIIFIIITAASTAAHRHRSMNLKSHKAHLQQLQLDDARTSLGWGDGANPGLVTSDGTDHGTMPSSTSCHPPLASASPDQCTGVEQLRRSYIHVAIPTCRPALQDAKIWLELKVQGFGTETSMQYVDHRQYHPSSTIIKSS >cds.KYUSt_chr7.12483 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76861435:76863245:-1 gene:KYUSg_chr7.12483 transcript:KYUSt_chr7.12483 gene_biotype:protein_coding transcript_biotype:protein_coding MERNMTLCSDSSAALLRLSFSAAFLTIAAEDLALPVPTVCAAVGVLVVLAMVEADCCCALSLTNLALAAPSRTACAARAPTHLMYYLPPSTAPFPNIVSAAAALLAVTVPMPVVVPTQPGSDENVESLPAPSSPTHKRMQEYNLILHVKEVIDCGLLMMEGTDFYPDNDEDLRKHKFKT >cds.KYUSt_chr4.11964 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72688450:72697492:-1 gene:KYUSg_chr4.11964 transcript:KYUSt_chr4.11964 gene_biotype:protein_coding transcript_biotype:protein_coding MSACARELADMVPVPQSDRACPMVAIACRGFRAFYVDGKCCARALCLTAEAIYGSTSSPHLNNTLPAMDPDVEFLRLSTMLDDAYVHAADDGRSVCLDVCQVSYNAVWAVERRPWTDGQGRVTPFILLRGAYGRYLGATDTPSCSFPCLQVVAAQRDFDEPMLKDIMWQVFKSDGMITLMSATGCYLEYYSTPRSSSMTRLWKVDTKSKWVKILANLQRLWSSEREVNWVLADCAGSDRLVYPDIFVIIGKLLLCKRPWQMLLVVLEGNAVHLIVIPMLSPY >cds.KYUSt_chr1.12023 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74281140:74282017:-1 gene:KYUSg_chr1.12023 transcript:KYUSt_chr1.12023 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRTKIGFGGRGWNGFLVRRTPNLFGGRFGDAAGDALSSLLDEDYKRLRSIHTEINFLTLELKALDTFLEKMAEEENPNPWDKLWMNQVREFSYDFEDNLDEFMAHVIADKSAKPDGFMDKIKGSLKRIKARHDIAKAIEDLKKQAIEDTSKLIGVDEPTRDIIQLLADCKATKNQPNVVAIVGPGGLGKTTIAKRVYQELKGQFKYHAFFSVSRNPDIARVMSNIYGQLDKDYSPCTEILETIITKIRDFLKNGR >cds.KYUSt_chr3.35068 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220077232:220081764:1 gene:KYUSg_chr3.35068 transcript:KYUSt_chr3.35068 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSALSRALARSSRPRQGYLLGGHGVLRAPPLPGGESGGLGLVRTYLTSALASRAAAANGARRAGDWRFMLANAQSRRLMCDQSKKNCPKEKEEVPKGDGSNKSESKQESNSGSQSNAQDKFVKLFQEVIGPLLLIGMMLSTLSFGSSEHEISFQEFKNKLLEPGLVDRIVVINKSVAKVYVRSSSLTMQSQDSDTSITTSHLPGKESRSRYKYYFNVGSVDSLEEKLEEAQKALGIDRHDYIPVSYADEASWFQGCLKFAPAIIILGLLYVVGRKTKISISAGPGNEGRSIFNIGKVELTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLCGPPGTGKTLLAKATAGESGVPFLSISGSDFLEMFVGVGPSRVRNLFQQARECAPSIVFIDEIDAIGRARGQGGFAGGHSESESTLNQLLVEMDGFGTTEGVVVLAGTNRPDILDKALLRPGRFDRQISLDKPDINGREQIFRIYLAKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALITARGDEKLTTMLHFESAIDRVIGGLEKKNKVISKLEHRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPSENLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTRMTYAQVAVYGFSEKVGLLSFPQRDDGFQKPFSGETASIIDTEVREWVAKAYKNTVELIKKHKDKVAQIAELLLEKEVLHQEDLVRVLGERPFKTVEPTNYDRFKQGFIDSDNIKSAEVDPSSPAGEAVTT >cds.KYUSt_chr4.8899 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53420363:53426374:-1 gene:KYUSg_chr4.8899 transcript:KYUSt_chr4.8899 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAHQGIAAPDAVLASPQQPLQQVVATTTPRLPLAPGPAATVASADGSGGGRACYLAMLQRNLPNLSLRISPPAVLSAPSSDTSSAVKPQQPEPNAGTHAEGSGEVGFLANPSFGADSPGLSLGLGTSTVRAADTGRNDNLQPQQGCEFKRAAAGSKASLPGGGSKRSVRAPRMRWTSALHARFMHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKGTDRSSPNATGEAQLQQQMVVDAGGRGCNGGGGGGVVAMRPRDVDMAGTACGAPAAAAASAPPAGTTSSAAHFLYASAAGGAAPLVLVPSPPPPPIPPRRADQAPVAVPERGVAIVDSLQRCQKLNYPAVLQDTQASKVEVACHLPIGVHGSSEAISTNYYCSSPASSSPSLASLELLADDTFAPNLEISLGRHDWGMEHPEELSLKYL >cds.KYUSt_chr4.54679 pep primary_assembly:MPB_Lper_Kyuss_1697:4:337695014:337697152:-1 gene:KYUSg_chr4.54679 transcript:KYUSt_chr4.54679 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSSSSARTPIRGELLQILLQVIVFLAVRAWAVPLRLCFVGQDCNILYSPLLSLSPPSCSCCSCTSACCRKDGAANTADLTWNAVASKGAVGDKTMACVVQFNSNSVICIS >cds.KYUSt_chr1.36441 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222290028:222290438:1 gene:KYUSg_chr1.36441 transcript:KYUSt_chr1.36441 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr6.32445 pep primary_assembly:MPB_Lper_Kyuss_1697:6:204666663:204669057:-1 gene:KYUSg_chr6.32445 transcript:KYUSt_chr6.32445 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFPMASSPKHGPGNIANRWRELQGSGSWAGLLDPLDSDLRASVIAYGELAEATYDVFDTNESSAEAGQCMYSCAEVLAASGVSHPEYYTVTKFLYATCDFRPWLESESESESESESVVAKALFVKPEGEGEWPWAWASTNWIGYVAVATDEGVVALGRRDIVVAWRGSVKVVEFLKDTHFSFGSAEKVLGPSSEKFKNAKVHRGFLSVYTAAPRSIENHLLQETTDNGVVTTSVGEQALLEVGKLMKVHKEEVTSITVTGHSLGASLATLNAIDMVANHINVPLGTTSMQPPCPVTAILFASPRVGNLDFERAFASFSELRALGVINQNDKVPTLPPKIVGYVDAATATLPIDTTRSPFLRPGSTWTYHNLECYLHGVSGDHGANRDFKLVVDRDLGLVNKGTNALKEGYPVQENWWNANYRCTVNHVVGRWKLENLNHE >cds.KYUSt_chr2.6282 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39162978:39163280:1 gene:KYUSg_chr2.6282 transcript:KYUSt_chr2.6282 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPPLLAARAAAPAAPPVPPLAASCSYFLLVLQAQASVPPRYDGFAYGGAATWKDIVLVETFLDPLCPDSRDGWLPLKLAVERYSPRVSLIVHPFLLP >cds.KYUSt_chr4.21118 pep primary_assembly:MPB_Lper_Kyuss_1697:4:133007892:133012810:1 gene:KYUSg_chr4.21118 transcript:KYUSt_chr4.21118 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTSSRRDPEIVNLDSDDDDNVDDIRWRSVVRFVVDILKNEEREELEQFISEVELEFPEVPQQSGDDCGIYVLYFIYCFLVIEELEELENLEDIRKRMPKLQSRHGKIASSDIIPYLPTNESSIFFHGTQRQPKPSNPIHSDSGRVEGVATMPRSSRRNPEPDVVDHGSDDDGNVDGKRAINQHLVSSLSLGLIDLLVAVVVHGPWASPRVIFFCLA >cds.KYUSt_chr3.35789 pep primary_assembly:MPB_Lper_Kyuss_1697:3:224919249:224919461:1 gene:KYUSg_chr3.35789 transcript:KYUSt_chr3.35789 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAGKGKSPADAAESSAASAARLAREWSTWAMKKAKVVAHYGFIPLVVLVGMRSEPRPSLAQLLSPV >cds.KYUSt_chr6.19340 pep primary_assembly:MPB_Lper_Kyuss_1697:6:121692004:121692603:1 gene:KYUSg_chr6.19340 transcript:KYUSt_chr6.19340 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIDFRYLDEGLGGARGKRKRRDEEEAAAESMDVDAEVPRPSKLRAVPSLSDPSKPAGFGQPTYDGVIAGRVSGRNWKEPRTRRSSALMVSRKPVPLEQRVRDKSLKKAYQARKAELKEEIRQNKAAKRTKRQEREKLKKENVLRTGSKLQKVTNPKTIQKIAKSKKRHQLKVVSDEIFGKKKSDDARRMQVPGLEN >cds.KYUSt_chr6.4867 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28651042:28651716:1 gene:KYUSg_chr6.4867 transcript:KYUSt_chr6.4867 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSSPPASSTASPETEGGGRKYKGVRRRKWGKWVSEIRLPNSRERIWLGSYDAPEKAARAFDAAFVCLRGPHAAGADLNFPASPPPPCRHSIDPEEVQAAALSHANRISVTAREAAAALLDDDHCSPAPAALSMDQSFTQHGHGMDIFGGGEMVAQDGSMDWRTVMAHQPPIFSPTAGWGSNAYDFLQAPPQVDDDMLMEESDHGASASLWSFDSRDSYFRY >cds.KYUSt_chr2.48542 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303641928:303658557:1 gene:KYUSg_chr2.48542 transcript:KYUSt_chr2.48542 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEEEMGDLSDIVVSWSVQEIIDDDLYRGQVETIPCTFTSLDHYLKSYRAPLLEETRSDLGSCLELIAEAPYSRILSVEAAGKSGLYSVDVDFMDNGADSSTESYTARNGDIFILSNMKPEAATDFSRYGVIYCLAMVTEVSVDNDCRKGFKIKVPKDIDLEQDSSKLKHALFLSNIMTNMWIWKALCFDTHMDNNFTVIKSLLAPTNLGDDVCGICAKQDGDCLSSFIEQLLSISLNQPQVDAIRSVILAVQCKHMNLMKLIWGPPGAGKTKMDFGEHIDEKGLPTSLGDVLLFGNKYNMDITEDLQEVFLDFRVEELVRCFSPLSGWKYRLASMVSFFEDCGSGSQYDMLFEDNGSSDHMCFSDFLKKQFDVTAKALSRCIMNLWIHLPGSCFSCDNVSSISKLFNILKKIDALLCDVNLTDESLKRGLGGFSTENSVFVQPIPFIEKELDGASSYRLHNMDIAPLDVLIVDEAAQVWECELVIPLRLHSMKHVVLVGDDCQLSAMVKSQVCKEAGFGTSLFQRLVMLNFEKYLLNIQYRMDPCISLFPVAQFYKRKIVDGPNVSSPLYNKAYTSLPFGSYTFINVVDGREDKEGTRNSWRNMVEVAVVLHLIQTIFKSWERSGQGLSIGVVSPYSSQVDEIKHRLGKKYDTCDGFCVRIKSIDGFQGQEDDVIILSTVRSNGRGAVGFLADNQRTNVALTRARHCLWIVGNANTLCKSGTVWTDIVEDARRRNCVFNATNDATMSNLILQVKQDLDELDDLLNADSAFFRNTRWKVILSNKFRKSFTELKSRQLRREVLQKLVKLGAGWRTTFKNVGVCDTFQLAKVWKVRDLYLVWSTDVEKSERRKLEVPLIWDVEHDLVRYKKDCTVDAKKDHDLMDKAYAMENSEVTESFLLMKFYSLSSGMAKHLLTATDGSQINIPFELTDEEEAIIRFPHTSFILGRFGSGDVSDQPSILHMHDVIDDLEEFAELPDKFGDLPCEYYPLTITYRKFLMMLDGTCRTSFFDGFYTDLKSSIEGGSKSLALQTFIELKEVTYEKFVSSYWPHFNTELTKKLDASTVFTEIISHIKGGYQASRPSSGGKLERQDYLKLSARRSSSLNSEKRCMIYDIFLDYESMKCTAREFDLSDFVNSLHNSLISEGYNGDMVDFVYIDEVQDFTMAQIALLKYVCRNFKEGFVFAGDTAQTIAKGVDFRFEDIRLLFYTTFLSDTGVCNQGTEHGKQVHLSDMFQLTQNFRTHCGVLRMAQSIMNLLYFFFPSSVDKLNPESGLVHGEAPTLLQSGNSENAIMTIFGENKSEHSNLHGFGAEQVILVRDDITKKRVVDLVGKQALVLTIVECKGLEFQLFNERQFEMATMCFEKAGDTYREKWARAAGLLATADRVMSTNLETGQSSLKKASEIYESIGMHEKAASCYIRVGNLLQSASIAKHKGNVLPEVDMFDKAESGGIFHMARSIRSLLHFFFPSNVDKLGSETGLEHGETPVLLESGNDENAIMNIFEVNKSKHGGLHGFGAEQVVLVRDVATKKYIVDLVGKQALVLTIVECNGLEFQTGSSTDDWGLQGTKLFNKGKFEMATMCFEKAGDIHREKLARATGLEATADRVISSNLEIGQALLQNASDIYESIGLYDKVATCYIKLGDYEAAGMVYMQKCGTSRLEDAGDCYAVTECWLQAAEAYFKAKCYAKCFSTCLKGKLFILGLQFLQWLKVEDECLVENSKSVELSAIRKMYLENCAQHYFERGDIKNMMPFVKSFSSMDHVRAYLYSRNLGDELLNLEMEMGKFLEAAGIEKSGADILGEADLLEMTGYFEDATQLVLHTVVFRSLWYAKSMKWWPPHRTAEKEQFRAKANDMAKEVSACCFCIDNFGACTLKCVPKSLPILTCAFLVGRTCGNLLVELVAARLVLDVHLQSEASRYNLGLAQGSEEERCCNAMLASDQISPETMLYVWNHWRSIIVNILSHLRHSDGQESNDCAVTYDDLCARYFGLRKDGDNDRYVVVLNMNLSWFSNLDRSSWQQDGNRCWLDSVQFHSFVQNFWMNELSSISLTVLNKLSCVQFSPEQASSYALGRIILIMYEIAMFLKEPEFGMPKSTELRSFFTLCERRFIEFVSHVWRDGTVKPLLCMLELPTAYGLITDSLGTYLRPTNKKLTHGHLGRVTMFLLHVGQCTSRQSDDMLFSSLLQYLDKGSEWANFFQSLKIFICAGGFFGRSPLILNFKLALEFTFNANWMAEPDYISPTCYVDLIEFLCFFASSYSLPIGCVFSTKSILVKVLKCCTSTTYLFKFPSTDLVPDHIPLSAGRFIFQSVRKLLSNKRIIQEWLEKTSTSTSSYNLVLQRLVITLYIVTLNLKVGDCYEVTDFLRMHHVFEDLHLGFSQKIIYCLQMSSQTLSNFRIVFADALAAIGNRMVVMTSGKVRPIFRDLNADIISRADWKDIEKVLGRFCPEDYVINNEGAPFWEKFEEFRVNKHSQKDAWIIIQFLKSTLFWLERRGPLKKMDPRLEEDVRQICNEVEERSARLGKWACVTANDLYSIWEDGEKKLQKIMRYLHSEKASMKKDCMRNAASAVAQQHAGGADELSGCSCKEADAGGNDVVESAKEEAGVAQASKQKQKSKKNPKKSKRHGKK >cds.KYUSt_chr3.4176 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23756490:23762165:-1 gene:KYUSg_chr3.4176 transcript:KYUSt_chr3.4176 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKFLGACLLVFQAAAVLADDGDKQGAGLLDAGRLEKFVDELPDMPVLRGYGVGEGGMLVAGELTVGMYDTMWKFHRDLPATRVFAFGTSKETATVPGPTIFATRGVPTHITWTNHLPPRHFLPWDRSLATARPRTGVPTVVHLHGGVQQSTSDGHSMAWFTSGFSSTGPHFSSPSYSYPNEQPPGNLWYHDHAMGLTRVNILAGLLGAYRVSDPAAEARLGIPSAGGEFDRNLVLFDRDFTRDGALFMNATGNNPTVHPQWQPEYFGSVVVVNGKAWPFLRVRRRRYRFRILNASNARFFKLSLSGGLRFVHVGSDSVYLAKPVVTDEFLLAPSEIADVVVDFAQTAKTSGAVVLRSDAPAPYPGDPGEKANTVAVMKFVVATKPEEHDPSAVSAVLMPRYPMPDVREAVRTRRIAMYEYTKNGTDEPTHLYLNGRAYTDPVTETPREGTSELWEVINLTDDNHPLHVHLAVFAALEQRSLRSVDEFRECMQGSASGGAGGRNDAEACGLRRHLAGGRRHAVPRQERGWKNVFKVRPSTVTTLLVRFSPLEEGSGRFSFDVTAGPGYVYHCHILDHEDNEMMRPMRIVR >cds.KYUSt_chr6.33829 pep primary_assembly:MPB_Lper_Kyuss_1697:6:212132757:212134226:-1 gene:KYUSg_chr6.33829 transcript:KYUSt_chr6.33829 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLLSAKDLKNVNLITRMEVYAVATISGDPITRQCTPPDPYGGRNPTWNATLRFDVPPTAEEAKGGCLHILLRAERTFGADRDVGEVIVPLPEVLTGGGLGAPNMPQFASYQVRKVHRTETRGVLYLTYRLGPIVVPPPANEWPVVGYPVQQETPPQTSSSPTKPPSPKPTGQVPPYPKPSGYTTAPSKSEGNVAVSPSPKPTGQVPPPPKHVGYARHMPPSPKPIGQVPPSPKHSGYATTPSKLEGHVAVPPSPKPTGQVPPSPKHSGYATTPSKSEGHLAVPPSPKPTSQVVSMPPSPNPSTNPPGQFMSMRSAPSKSEGHVAVPPSPKPTGQVPPSPKPSWYATVPSKSEGHVAVPPSPKPTGQLPPSPKPSEYATAPSKSEVHVAVPPSPKPTGQVPPSPKPVQHVVSMPPPSPKPAGHEVSMPPSPKSPRQHVAMLSSPKPFSGHASPTPYGQVVGERIFTRTDIYRCPIMQQRAAT >cds.KYUSt_chr4.49250 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304926784:304927125:-1 gene:KYUSg_chr4.49250 transcript:KYUSt_chr4.49250 gene_biotype:protein_coding transcript_biotype:protein_coding ETWLIVAFAGVDAAAPNVVVAMAMDAFARHHRASCPLRRLLAAPDPLLLRCSTSKLCAFATRSPRVRHRCRNSPVTHLHVAADDAHLLDDSHMDSYSPPVPQLAGDSPPRCRR >cds.KYUSt_contig_1158.118 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:680974:695292:-1 gene:KYUSg_contig_1158.118 transcript:KYUSt_contig_1158.118 gene_biotype:protein_coding transcript_biotype:protein_coding MRELPQLPLALHPPHLIPPAPTPDHRALSFLNDLGGLSWVAYAAGSLLAISHLPSPPRTSTTHDESPFFRQVIDLQAPVSAVAWCGHGGGDLAAASANSVSFFQPAPSSSAGSFSWLLRWAITETFTVTAVAWTGSGDGIVVAGEGVSMWAKTHSSWQLAWRSMPQVPQSLVSATYFIHGPVVAASAAAPSEGNVPVLVFLNGAKLGLDTAELPHPQPVSMIQWRPLAICADDRSEVRREILMTCCLDGTARLWTEDEVTRSKKHRALPRSFSVIAVIELNNVLNGVLGVDLTVRWAVETGRSVSQEDQDQGRHVTSVRGEKFSAVIYEGSSIFPTGLDGEYPTCISVMSLNNAVLPLQQHVPSGAFPGYHIATGHSDGTVKFWKMSGADNPEQTGRESNTWELVGMFSAHRGPISAISLSRCGRVATVGRNVEKNSTSIHIWEAVKLMGDGCFLLEDALMIQSPVVGLDWLSLGDGRFLLAVCFHNELHIYSQKHPSFENVLHAYFTDTPSSDISSKGLLWGDNRSSTSFNLLSPSNSFSYMASDLGVNTTTSVSQKSEINELLDKSFGMLAISDSERIQILTVSDLLAEITDQNRASPYKSLDEAGRRFWIAVQFQCLYALRRSGDSSSVEGRHVDSASIAWAFQSDCQDDLLNYVLPAESTWSEMQNLGIGLWYTNVSQLRTRMEKLARLQYLKSKDPKDCALLYIALNRMKVLVGLFKVSRNEKDKRLYEFLCRNFEEEKNKAAALKNAYVLLGRHQWELAIAFFMLGGDASSALNVCAKNLQDEQLALVICRLVEGSGGPLERNLISNVLLPDAVEKGDHWLSSLLEWMLGNYSQSVSKLFGCHPKLLLDESNTRGGQNVFADPELGQYCAILATKHSFRNSVGEALSAKLSKLSFALAACALNRCGLPLEALECLSCKSSIDYKDSTSSPHGAHDKILDGILNPFDASSNWLSSTVVSDFESNLRVIMASKYLSRMLRNHSLCSECSLPLNTDMALKEQSSHGIEELTCDVTAAISIFDKRFSLQFSDIAEKILTFCCNDGILFLAYILLLGHRTPDGGTNSHGVEGCSVRPTDYLLLVSCKESCKFLTRYLVSCCFISSALNTDFTKITACTPKENIKYTTASLSHFLSTSRLLLKQGHGRTSALDNTSALSTVMDLLEYNMEFSVSWLCRDIKALLIMINPVLGASINEESFQVLLNQLMQAAQRRSHGISINTDAVMPNGPFDMRQPETSEASSLSIDEKWHLIGMSLWIGLSSFMKRHLTEFIGKERPELEASTSDVELKGLISSVGAKLVIDSLHFVSSSLVKLHASFFRQKLSKDVHLSVLFWLEYMSSQQRSEKTRHDQFAHIVQRVNTENMEVLFNILWDISANPVDICTAFVNEEVNCFPLNSTKLSRSWKDMVRNGGENKCSISSANNEEGRGFIDKASSDVNTLEPKSKYLIAQNDFQSPRELLRRNGELLEAICLNSINEQHAAIATNRKGLVFFNWYDKQHDKKPAEYIWSGSDWPSDGWACSESTRTPTPAATPISPSVGLGRRGQHLGSDGVTIGVGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDFVDPPATLENIHSRALSRHPSLPFLLVGSSNTHVYLWEFGKDSATATYGVLPTANVPPPYALASISAVQFDYYGQRFATAALDGTICTWQVEVGGRSNVHPTESSLCFNGHASDVAYVDASGSILAAAGCNSNAANVVVCDMLAPPATCQNSIVCHEEDTVCAEARFACLCMSSNYPFAFVPCVLCGMHKLSIELLVCHGDVSLQPCNPYSLSTLDQTKLEKAHLMSRWEMVLSSSGCVQPLGADRRHDGVKSERRHLSAMNPPRAGAGAGQGAVRAAPGGDKAGAPYPWSKTI >cds.KYUSt_chr3.29188 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182580447:182583944:1 gene:KYUSg_chr3.29188 transcript:KYUSt_chr3.29188 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASPAHDHAPARAAPFDVAGAAPSSPRPCPPLGRGSALAVLAPAWRAAVALRVAVDEADRTAAGRSDHDEADCRSRWGPGRRPSDRQDLVGYTQTLASNPSWGASDRDPEHMEYYRHAAELCRYARLLHRSPHRRHEYDPESGSWIPARITRAPDSDDEMVALLLEDEQAFDDDLREHLLIIASLQDMLDAEDDRKNHVRSHVGPYECQGPLAEVDHELPADFADFLAMHAEIRDSNVHEQLQADLVEHLWRIKGNTVAP >cds.KYUSt_chr3.46928 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294782537:294783780:1 gene:KYUSg_chr3.46928 transcript:KYUSt_chr3.46928 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIAEVTWLRWLLADFGVSVTTPTPLLSDSTCAISIARDPVKHELTKHIGVDAFYTRAQLLLGKLGSATAVGDQRSPWWYLPEITARCMRQLLGAARRCWEPREGDVLMGAGEKAMRCGKLTGVVQMIDILFLFRWTKIKIWKHHYLQARQLRVVRRLGRYDDLEVLAGAHGLLSSIDGSGGRSHNDLIAEFIQVMAYSSLADTAQHLDSCGWWLRHRP >cds.KYUSt_chr7.21467 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133124149:133125795:-1 gene:KYUSg_chr7.21467 transcript:KYUSt_chr7.21467 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAQDAQSKTFSIKLWPPSESTRLMLVERMTKNLSADSDSIFSRKYGCLGKEEAHENAKRIEEMCFASADEHFKDEPDGDGCSAVQLYAKETSKLMMEVLKRGHTTTAEPEAPVVDTPPEPADTVFDISGSTRAFIEADEAKELLSPLTKPGNSYKRICFSNRSFGIGAANVAGPILESIKNQLTEVDISDFVAGRPEDEALDVMRIFSKALQGSVLRYLNISDNALGEKGVRAFEELLKSQYSLEELYAMNDGISEEAAKALSDLIPSTEKLKVLHFHNNMTGDEGAMSIADMVKRSPNLESFRCSATRIGSDGGVALAEALGTCTCLKKLDLRDNLFGVEAGIALSKTLPKLPDLIELYLSDLNLENKGTIAIANVLKQSAPRLEVLEMAGNEITAKATQALAECLTAMQSLKKLTLAENELGDDGAVVIAKSLEEGHLDLKELDVSTNMLRRAGARCCAQAITNKVGFLQLNINGNFISEEAVDDVKEILKGGKNSLGLGVLGSLDENDAEGEPGDDDEEGDDEEDGEGGLESKLQNLKVEEE >cds.KYUSt_chr4.37962 pep primary_assembly:MPB_Lper_Kyuss_1697:4:234105794:234111189:1 gene:KYUSg_chr4.37962 transcript:KYUSt_chr4.37962 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERDIDDLPRNDANYTALTPLWFLERAALAHPARPSVVHGHVRYTWADTYRRCRRLASALARRSVGHGSTVAVIAPNIPAIYEAHFGVPMAGAVVNCVNIRLNAATVAFLLDHSSAEVVMVDQEFFSLAVDSLKIIADQKKGSFKQPLVIVIGDHTCDPSALQDALRTGAIEYEKFLETGDPEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGALVWKMNDGAVYLWTLPMFHCNGWCYTWTLAAICGTSICLRQVTAKAIFSAIANQGVTHFCGAPVVLNTIINAPPSDKILPLPRVVDVMTAGAAPPPSVLASMSKLGFRVAHTYGLSETYGPSTVCAWKPEWDILPDDERARLHARQGIRYVGLEGLDVVDPKSMAPVPADGSTLGEIVMRGNAVMKGYLKNPKANAEAFENGWFHSGDLGVRHPDGYIEVKDRAKDIIISGGENISSLEVEKAVYMHPAVLEASVVARADEQWGESPCAFVTLKDGVDGSNEAALAGNIMRFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRIFRGLAVGERNQRSNSSVELGLACTVLASLEQRVPESQSGEGSLVGNPEASTTSQDIIKGQRLPAQGVGEEIDTQPPAEPAKELLPLDYLPRRAAPGGCVGRIAPPTPYWFSEDNHQFLDCSKLFCS >cds.KYUSt_chr5.8391 pep primary_assembly:MPB_Lper_Kyuss_1697:5:53091162:53098943:1 gene:KYUSg_chr5.8391 transcript:KYUSt_chr5.8391 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDMDVDDIDSPASATGSLSAFLSELATLHRRSSSSSSSSATSPPLSLPSLTLLSSAAVSASLFPRLAAAGLPASSLLAPLTSSFSTHPAPAAAAYLRLLLAPASPFLSLFSPLPFLSFLLALRKALSSSAADAPNPNSSSGHGNPRKRKNQRQQATPRSPSFLPEALPLLADAAGRLPLAEHPDARRSLIDTATELAAFNVLAAVLGSNRNAEALQDVIRALLPVALSGAKSAARAAAVEFLVRKVVPLGGEEGVRKLVEYLPRLLAMKAPEKTEARGLAVEAIVEVVQAMQPHEREGFTAFVVTMAKGKAKGRLFAVDMVLAMLPVLLPSEMDESSLGEDSWGLKCVRVLVERCSDTVGGVRARALTNAAQALDMLSERGVEVTRLQEVMTIGNMDLGDLLRRRCIDDKAAVRKAALVLITKAIGLIGRPVDESLLSAMGAACSDPLVSIRKAALAAISEVFRKFPDERVTKEWLQAVPSLVIDSETSIQEECENLFLELVLNRVCKAANLHLGDDSVNLEEVFPEGTLDLLKSICDGEVAPCIKRICASLGKKKKLRPLLATSLQNIVTISESLWLRSSKPIEKWTAPAGAWWLLSEISSFAPKSVNWKFLSHHWKLLDNVGQEDTGKASSEGDPNSALWALDRVSLLQTISNVSMELPVEPAAELAHSLLTRIENFDMNLSEVDAHVKSLKTLCKRKAKTAKEGEELIMKWVQQLINKAVASLDSYIKGASQDSRGCSFFTPPTGKHKGRKGASTSKAMSEAVISVFTVGSLILACPDASVQGIIPSLHTIITSGNSEPRPKNLAGGDVSFKELAPSLYIQSWDTMAKLCLVDDKLAKRYIPLFVQELERSDLATLRNNIMIAMADFYVRYTALVDCYMSKITKSLRDPCEVVRRQTFVLLAKLLQRDYVKWRGVVFLRFLPSLVDESEKIRHLADYLFGSILKAKAPLLAYNSFIEAIYVLNDYTGHGGGFSESQGSQSSQGSQGSVRGSTLFAIGGTDERSRSKRMHIYVSLLKQMAPEHLLATSAKLCAEILAGVCDGLLSVDDAAGRAVLQDALQILACKEMRIHPSICTDNSEMDDEGGDSGTASALNAAKGRAVTQVAKKNLIQIAIPIFIELKRLLESKNSPLTGCLMECLRALLKDYKNEIDEILVADKQLQRELLYDMHKFEAGKGKSSKEAAEAGPSGSSPGPGQGDVAAKATVRSVLKEMNRNVPTPPLHTMSVPKVKSVLGPGGLSASRRPAAVLESVRRLEPFESDDEN >cds.KYUSt_chr3.37385 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234928873:234933595:-1 gene:KYUSg_chr3.37385 transcript:KYUSt_chr3.37385 gene_biotype:protein_coding transcript_biotype:protein_coding MMERRSLMEALATAAQGGSTNTSVLSMLKYAVLPIAKVFTVCFMGFLMATKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGSAITLEKLLLWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCGDPSNPFGDSDKCSQDGNAYISFGQWVGAIIVYTYVFKMLSPPPGETFDGDEVKLPVIASGENVTPEVGKYPISTRTGTLPEDEPLLSVEGNQKGDTSLGSKIMSYVGCVVKFLKDKQLLQPPIIASVFAIGIGVVPVLKNLIFTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSQRLGMRTTVAIIFARLILVPMAGVGIVMLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWIGCGEAVRLHPAPDQATAFSGIQGVVIFVKWLDDMVTFVKWLDDVLSHLVHLN >cds.KYUSt_chr1.27741 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167166591:167170783:1 gene:KYUSg_chr1.27741 transcript:KYUSt_chr1.27741 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPPPPEAEPPECPVCLSPFDDASVVPRVLPCGHSLCGSCISSLPPASASAAAAASLRCPLCSQCVPFSRALGASSLPRNLALLSLLPSLPNPSPARAASAPRPLPLPLHAAHSRLFARFCHAILPESASPLQSAPPGPTPAGLVLGSIASDLGAPWFCLRGHPVSLLPAEVPAVGKPSQEAAFYRPSHGSRVVAAIGALSGAAREEMLDLVAASARLARRVCRVYGAWMGPEAATLWLVSERHTPRIPHSLDETSDQLEMVPRIRAVGTDVCEALMGLHGEGLLLGCLRLDCFRLDPFGRCLLDLSEVLVSCRGVRAGACLSKDGALVAPEMAAVLSDAARMRSRDFEGLIWCSSDVWLLGCMLVALVTGDEQLASGWNSDGSHDDWQKEVRTRLDAALAGTQLEPLAAITVSCLSYEPEDRPEIADVWKCIRGSWMKSSGDALAAADDLVAQKSFRCLLLGELSSMCSGRAVVSDDKMQASRGSGDNSSTPDDEINGGCTNNESVCKGVDEVQRDGVFKSSTLLAHRDCVTGLAIGGGFLFSCSYDKTINVWSLQDLSHVQTLKGHEHKITAVVVVDNDNQSLCISADSGSGIFVWHVDSSVNEEPLHKWYEHNDWIYRGVNCLAVSGTGCLYTGSKDKTIKAWSLEDYSLRCTMTGHKSTVSCLAVASGILFSGSWDGTIRSWWLTDHSPLSVLEDDTPGSLAPVLSIATEANFVISSYESGCLKIWKDDVIVKSEKLQNGSIYAVKLNGKWLYTGGMDKVINIQELLDNESDVEIRDVASIACDSVVTSILYWDERLIVGLSNREIKSPVSRNEMLSANHVFLKGHLSVW >cds.KYUSt_chr4.9494 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57294421:57295863:-1 gene:KYUSg_chr4.9494 transcript:KYUSt_chr4.9494 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIAIKCPSIEVVVVDISKPRIDAWNSDTLPIYEPGLDDVVKACRGKNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIDDLFKPDRVLIGGRETPGGQKAVQALKEVYAHWVPEENIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSEVSYAIGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKVAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQVSIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPTAVKQVSVVWDAYEATKGAHAVCILTEWNEFKTLDYQKIFDNMQKPAFIFDGRNVVDAEKLREMGFIVYSIGKPLDGWLKDMPAVA >cds.KYUSt_chr5.5590 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34958443:34960089:-1 gene:KYUSg_chr5.5590 transcript:KYUSt_chr5.5590 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGAESEKKLIYAMVAQGTAVLAEQTSYEGNFRDIVAQCLQRLTFARDERFTYACDEHTFTFLIHQGYAYCVVAAEAAGHEIPLVFLEMIKDDFNKRYAGGKAATAAANSLSRDFGYPHNLTLLIERPKLKEQMQYCMDHPQELSRLSKVKAQVSEVKGIMIKNIDDIDDLATSAELLHEQANDFRQEGTRIRRKMWFENMKVKLIVLGIVAALILIIVLSICVGHKCN >cds.KYUSt_chr4.16893 pep primary_assembly:MPB_Lper_Kyuss_1697:4:105176419:105177264:1 gene:KYUSg_chr4.16893 transcript:KYUSt_chr4.16893 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFYRSTEMLARRPPGRDPAEGISRRRQGDDARLVPAAAFLASSSARGKSVAAAPVEGIAVARWDGGGKAPRTSWLSPALAAAADNQARAAVSVFRPPATSLS >cds.KYUSt_chr3.43494 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274533429:274534145:1 gene:KYUSg_chr3.43494 transcript:KYUSt_chr3.43494 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRMQLSSSKLRVRPRSSCIAARLHCSSNLYCATRPAPPAYLELPAPPFPQQQALHLPAAPSASTCTNSSGARIPCTLRPPAVVRFPLRPARPPAASAAATSGCYGLRGRLQLPPHPARPPAASATAPRPPPASPATAFYGCAVTAAPDHAPFGSASTRLHRVPHTLPARPCVNIVRLRPMHATAGSPDLRPLRLVHVATQRPSPASAAPSAPPAAPTSLAPLPPSARRSATATWLP >cds.KYUSt_chr1.41405 pep primary_assembly:MPB_Lper_Kyuss_1697:1:253940273:253945252:1 gene:KYUSg_chr1.41405 transcript:KYUSt_chr1.41405 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGQMSKSAADVDFFTEYGDANRYRIQEVIGKGSYGVVCSALDLQTRQKVAIKKIHNIFNHVSDAARILREIKLLRLLRHPDVVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTASVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSVDTISRVRNEKARRYLSSMRKKDPVPFCQKFPNADPLGLKLLEKLLAFDPKDRLTAEEALTHPYFRGLSKPDREPSCQPIKKLEFDFEHRRMSKDDIRELIFQEILEYHPQLLKDYRDGTERTTFLYPSAVDQFKKQFSHLEESDGNGPVPGERKHASLPRSTIVHSTPIPAKAQPPVAPSRGRPISNETGRYPGNVPSAAQAAHTAQAALPYESGSGKYPYSGYSPQPQIPQAYGYHHQPPSAGQPHAIGGYACAYTTKGTVVNATAPGMRASSYQHLPAPSKNSPLDRLAAETTDIYTRSLNGIVAAAAASAGTGGAHRKVSATVPFGVSKMY >cds.KYUSt_chr6.19054 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119855881:119857377:-1 gene:KYUSg_chr6.19054 transcript:KYUSt_chr6.19054 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSLWRCHGAVRALQLLPSCRLSLSSKTHASQDLNSIELTVEEEASASQIKSSLLKARNGSVQNLVQSLGVDCPAIQLTSNIVDSLLFKFGDDWKSALGLFQWAQSRDNYKHTAYACSRIIDLLGKMRQLDQMWDLLPDMHCRGLLTVEIFAKSIRRLAGARRWKDAIMLFDKLDDMGLERNTETMNVLLDALCKEKKIEVARQVFLVLSPPIQPDAYTFNIFVHGWCSARKIDEAKWTIDEMKSRGFPPSVITYTALLEAYCKQEKFRMAYEVLDSMCSEGCHPNVITYTMIMTSLAKCNMFEDALSISHRMKSSGCKPDTLYYNSLINLLGKAGHLSEASQVFKVEMPMNGVPHSLATYNTMISIFCQKNRDEDALNVLKEMEAQSCKPDIQTYRPLLRLFLNRRGQHGTIRNLLDEFVNKHSLGLDVDTYSLLIHGLYRVGETDWAYQLFEEMVGSEIGPRYKTWDLLLSDAQSKNMERRVEKIRHYMTCFGI >cds.KYUSt_chr2.29042 pep primary_assembly:MPB_Lper_Kyuss_1697:2:178461792:178470640:-1 gene:KYUSg_chr2.29042 transcript:KYUSt_chr2.29042 gene_biotype:protein_coding transcript_biotype:protein_coding MANLADSFLADLDDLSDNEAYPEEDNAEAAGVDEDGDDDMLDLEALNYDDLDSVSKLQKTQRYIDIIQKVEGALEKNIDLSNQGFILEEDPEYQLIVDCNALSVDIENELIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAVIMVVSVTASTTSGKPLSEENLVKTVEACDRALNLDAAKKKVLDFVEGRMGYIAPNLSAIAGSAVAAKLMGIAGGLGALAKMPACNVQLLGAKKKNLAGFSSATSQFRVGYLEHTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAVTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGRLRVSAAQNKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQVSFWFFFGSLQDRAARSPSPATLSSSLSDLQEEEQVLFEFVIVLKGDPLGIQRLLDKFADFVAGNEPAALHLREAGCDCCRWPVDVLFDGRGKMYLHTG >cds.KYUSt_chr2.44141 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274515565:274518421:-1 gene:KYUSg_chr2.44141 transcript:KYUSt_chr2.44141 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDESWMDQRLMVLTFLHVFLPKSPTTLTPLSTATFTSMVRCFTCRSNPPISSLLLFLIARSRKEKEDDADWRLVIYNHIRKWRTKWSVISKIKSDCTLDRREDSCCFYVANEERMHEYIKHYPRHHEYVGTPITNYAQMKMIFMSRFVCKAQLYQPNLAGQGNQLHCRQRRRVCRVPSALAIGEGDLA >cds.KYUSt_chr5.28381 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179756030:179760962:-1 gene:KYUSg_chr5.28381 transcript:KYUSt_chr5.28381 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQLLLPSKPLLPAATPRRAVRSVVSVRAAVSAPAAKAAGAEAVRSIRARQIVDSRGNPTVEVDLVAGDGSLHRSAVPSGASTGIYEALELRDGDRAVYGGKGVLTAVRNINHIIAPKLVGVDVRNQSDVDAIMLEIDGTPNKSQLGANAILGVSLSVCRAGASAKGVPLYKHIQELSGTKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGATSFAEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLMKDGSYDLNFKNQPNNGAHVLSAPRLCDLYKEFVKDFPIVSIEDPFDQDDWSSWTSLQSSVDIQIVGDDLLVTNPKRIVEAIGKKACNALLLKVNQIGTITESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLVRIEEELGNVRYAGEAFRSP >cds.KYUSt_chr2.49589 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310315512:310317437:1 gene:KYUSg_chr2.49589 transcript:KYUSt_chr2.49589 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRSRPHPKKPSHLLPHDHDELSSWSCAAPSNSHFHSASAAARGADTMDPPPQPPAPSYASPNPPTSSSSYTQSYPSSYTKFNTALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYQPRAAAAAPVPPHPQPHHPLAPARSVDRQAMLQDRVAELIGSCSPGSQFNDAASSDVRLTLTSRDGLSLALCVHRHILVAHSRFFAAKLSDRWSKQQRSLPHIVEISDCDDVEVYVETLRLMYCKDLRRRLMREDVAKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELTPAVVTEEVETGPNCNAASNAGGGEEVLVRLLQVVLEGKDEKARREMKGLVSKMLRENSASRGGAIGGDLRKESLYSACNGCLGLLHEQFVRAAGGDQSEVAQIARQADNLYWMLDILVERQIAEDFLRTWAMQSELAEIHGKVPAIHRYEVSRVTARLFVGVGKGQILVSKEARSQLLSTWLEPFYEDFGWMRRACKGLDRHLIEDGLSNTILTLPLATQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRTAEPEQPPRLRITAICENS >cds.KYUSt_chr3.29614 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185255985:185261675:-1 gene:KYUSg_chr3.29614 transcript:KYUSt_chr3.29614 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPFSIRGFAARMRAEDADKCWLLGGRAELETETSLPLPPMDPPPRSRWWAHELAAVRARPDACAAGGDAAVGGGAGNGGGLGRTTRRKGSRGSAVAERANKRQRVLQLKYKERTSKPQSSSCLLQQELLRKHKGCTVRTLREFVPRKKLQERQDDHISVQGDSLKKQCGTGIDHKRSIKASRPTNHPLNHVCEVVEHVTYPPKDDIFGDLPLLESSKIMFRTGVDILPTVIEDSFLENQNGADALSETEQLKLIPTSDISKLMPPPLEDLVKKDQTPDKESTCISPNDAGRSHSFSAKFDGPLNHTSVTMEKACLAEMQLKSTDVPALSSYCKDEAKSGSSKPSQGCLYMNTDCFQEIKLAGISSATVRTRTEATNKDRDSSVCGKKSTYICGRLVPSDFYTNTDCFQKIERTGISLATVRMRTEAINKDRDPAVRGKKSTDVCDRLVPSEHHLSREGTLLSVVSQGTAGAGINTDAISPCRKPAKEYAFTSGPCKFASNIYHESRKSVDTCKPLSMDDQGSWYSKVYPGRSPASGLPFMKLPGLERMEISSYDPRTGENNFMNGQIKNTIRCKEQQPVSGMASTIQGQNNIGFSDSQAGKKALDGFVTRDSCHSHQPTMRLMGRIVSVCKSSKEQELSTEKGLIDSSIFEGDRPSAISCELPPKRLFPFKDSVLPRARILESSDTLPRIPNSTSAQARPIINDAQNHSLQQTNNVSSTFKDCAWNSGSQFGWQTQVNKESTIDGRKGVPFVDQTSKRPACADNVSQQPAKRQVVANKLEELTSQMFPNMKNYSSGWSLNDAVGPRILDFSNKLSRNTTQISKSKNNNLGDNSVPVVEAGQGLPGIMLLYPLYASMRAIESPSSLDDQQWLTYWVLYSLITLFELSCWKALQWLPLWPYMKLLFCCWLVLPIFNGAAYIYETHVRRYFKIGQYVSPAYNERQRKVLQMMSLDARKSVERFIETHGPGALEKIIQAAEQEAKRA >cds.KYUSt_chr7.8251 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49937028:49945516:-1 gene:KYUSg_chr7.8251 transcript:KYUSt_chr7.8251 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGSAHWTPQQQPVQMNPGSGHGMPQQQPVMPQQQPVMPQQQQTQAASGQTSADRFKAMRIRMLQRIVSIIAKQRSLSSMTPEVLHYARRVEDVLHKTYPTMAAYYAMITGSQNGPLNHAFQTVIADNRRRQQLAGQVASGSSYGTMIPTPGSMYNGHQNTSTNIPGGIPVDTTSEGMQRPSHMIPTPGFSNHPTSLPNSEYPSGAGCLNVESNIAPQMQHQEKPFGSNQGYQMQPLGSLAVSTVHSNILDKSSYGSKAKIRLRRSSEQAHKNSTDDHILIAKQSAVQRITNGALEDKMDIDLVRVETTDEQPLAPKRLKLHHVSPNASKNGHGIPYVSVHEPKPVLREQKNNMLPKQEAGLRVDTQHPVNPIGHGIDGKVSVVKNNMMPHVKQENVLADKDKNENFLDLKNKTNGRMDVTVSKSGKPKIKGVSLTELFTPEQIKEHTDSLKQWVGQSKAKVEMNQAMEHSDSACQLCEWVMCDKCERWQHQICALFNCKRNESGEAEYTCPKCYVWEIEHGLRMPLPQSAVLGAKDLPRTVLSDHIEGRLFKRLREERQERATRDGKSFDEVCGADGLVVRVVSSVDKKLEVKPRFFEIFQEDKYPAEFPYKSKAILLFQKIEGVEVCLFGMYVQEYGAECADPNQRRVYLSYLDSVKFFRPEVKTVSGEALRTYVYHEILIGYLEYCKQRGFTSCYIWACPPLKGEDYILYCHPEIQKTPRSDLLREWYLSMLRKATKERIVVETTNLYDHFFITTKECKAKVTAARLPYFDGDYWPGTAEDMINQYFVEEDDRKLQRKGKVKKTITKRALRAAGQTDLCGNASKDAILMQKVDIVGVPKDTKDRDDIIECEFFDTRQAFLSLCQGNRYQHETLRRAKHSSMMILYHLHNPTAPAFVISCNICKLDIETGQGWRCEECPEFDVCAACYEKDRGGNHRHKLTNQPSSAGAQSKEARQMRVQQARVMLDLLVHAQACRTTGCQYKNCRRIKGLFNHAGKCPKRASGGCKACMKMWSLLHFHARACKELKCDVPRCRDLKDIIRRSQQQAETRRRAAVNEMMRERAAEAAKRR >cds.KYUSt_chr5.6359 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39354606:39359932:-1 gene:KYUSg_chr5.6359 transcript:KYUSt_chr5.6359 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYHLHRQREEANDNDHETSYETAYRTSQIDKGSCHSRLISRASSKRVHGGEILNRIGVHSGFGGFIEAVWMKRFIVELGVVPSALDPFVIYRDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEDGEVKICKVHTDPNVADPLTKALPRAKHDQHRNAMGVRSFLDAGRCSSLLDAGRCSSLLDAGRCSSFLDAGSCSSLLDAGRCSSFLDAGRCSSLLDVGRCSSSSPSRAATPTSAHPSSLTAARPP >cds.KYUSt_chr4.53116 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329053855:329059218:-1 gene:KYUSg_chr4.53116 transcript:KYUSt_chr4.53116 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDLHPLCCLPVDCPGGWCASPAPPPSPAPNHPATVAGVLHKWTNIGKGWRPRWFAILRGGLLAYSKIRRRSAGAAASPGLSADGGPRLIGPAGYGAAAEDRPIGFLHLKISSFRESKSDDKRFYIITPTKTLQLRTDSPKDRVAWIEALVSARRESSPSEGLLCDQNDASFSTDRLRNRMHAEGLGEEVIKDCEQIVHSEFSQYYTQMKQRCEEYLSFLGSLPQQLEVLNEQDNTRSIRPECSCSGHGKCSESSNTETSDDVGCQELDELSDEDDYIFCDTRQSFSDSAASPDLRMTCSNSSNDVHQVDHEFVESISSKGNNECLLLPSKQRTKLPEPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSHLLDRAYDYGLKGNSVMRILCVAAFAVSGYASSDGRPCKPFNPLLGETYEADYPENGIRFFSEKVSHHPMVMACHCEGRGWRFWGESNVKSKFWGQTIQLDPVGVLTLEFDDGEIFKWSKVTTAINNIIIGKLYCHHHGTMSISGNRQHSCKLTFKQQSFLERNPRQVQGFVEDTDGNKVATLTGKWDESMYCAVTNDKSEATLLWEKNKPPANPTRYNLSSFAITLNELTRKLKEKLPPTDSRLRPDQRHLENGEYDKANTEKLRLETRQRMARKMQDNGWKPRWFDRDTEDGTFRFTGGYWEAREQRRWDGCRDIFGELSDNRTSGVLPIVDSSSM >cds.KYUSt_chr1.184 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1045886:1048054:1 gene:KYUSg_chr1.184 transcript:KYUSt_chr1.184 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHGPPLLPNGRRLAATLLLLLAACFSSARAVTSGEAAYIAHRQLLAMNESGAGDEGELPTDFEPEDRLGADAKSLTFANDRLRRAYIALQAWRRAFYSDPKGFTANWTGTDVCSYNGVICTQARAVLDFAALDDPKVTTVAGIDLNGADIAGYLPPELGLLTDLAFFHINTNRFCGIIPKSMSRLTILHEFDVSNNRFVGIFPYVCLEMVSLKYLDIRFNDFEGDLPPALFDKEYDAIFVNSNRFVGPIPETLGNSTASVVVFANNKLVGCIPKSIGKMVHTLDEIIFLNNTLDGCLPLEIGLLKNTTVVDVSGNGLVGSLPKEISGCSKLEQLDVSKNVFTGVVHEAICELPALVNFSFAHNFFNSESGPCMPSEKAEVNLDDAGNCLGSLRPAQKTSLQCAPVLARPVDCSNHPCAGGGGKPASPPSETPLTPIVGPELPPPPPQPKESAPTPAPEPAPLSPIVGPDLPPPPPEGTGQGLSSTGSAKGQISTASSGQLSATPGEISSTACASELSTSSGEVSTTTRTGQLSATTGEVPATARTGQLPTSSGQVPATTCTSQLSTSSGQVSTTTRTSELSTSSGEISSTSSTGELSTSPREVSSATCTGELPTTSKEGSTTARSGDNSANTHSQDPPTTRREGSTTAGSDREIVSTSG >cds.KYUSt_chr6.16099 pep primary_assembly:MPB_Lper_Kyuss_1697:6:101306293:101309075:1 gene:KYUSg_chr6.16099 transcript:KYUSt_chr6.16099 gene_biotype:protein_coding transcript_biotype:protein_coding MGADPELADLAAVVLVPFPAQGHVTPMLHLARALAARGVASTVAVPDFVHRRMGSCADVASGGVGLASIPSGIFDDGGEPPGFASIAHAMEHHMPAHLERMLTRGHAACVVVDVLASWAIPVASRCGVPAVGFWPAMLASFRVVAAIPELLRRRFISDSGIPILTDGFDKDQENADLRTANNLHILPEELQLGTKELLPWLVGCTASQEKRFAFWLQILQRTRNLRCLLVNSFPSEAADEDSDQLHASQGLQILHVGPLSIHGLLENSLKLPGKNPSMWQADGSCMDWLDQQRPGSVIYVSFGSWVAPIGSDEISELALGLEATGRPFLWVLKNDPSWRAGLPAGYLETVTGRGKVVAWAPQGGVLAHEAVGCYLTHCGWNSTLEAIQHGVRLLCYPVSGDQFINSAFIVKMWETGIRLRSTRRCDVKDGIGRIMEGDDGRRLQQKMYELRERVMAGEARFVAKRNLEAFVDGIKRDDLPFHQLAAKVYTPPSQIVVPA >cds.KYUSt_chr7.13482 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83132642:83133304:-1 gene:KYUSg_chr7.13482 transcript:KYUSt_chr7.13482 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAVLPPPLLPRRTAAIADLYSRTTCLSINPSCMAMASAAAHKQPKRPASTCSGEPPAKRVCAAPPLLAAAAANVSSKKRPCPSSVRDQKCSTLPAAAAVVIENRSTDSRSNSTAAQPKPASTGCSMRELIEKARLAKAAEGAVERSEIERRRSEERRKLEQMVATADFNDPFIDPADVSMSSQQLRETREAAWDAQARIVAAARQRQREALRCSVLG >cds.KYUSt_chr4.37218 pep primary_assembly:MPB_Lper_Kyuss_1697:4:229140684:229142170:1 gene:KYUSg_chr4.37218 transcript:KYUSt_chr4.37218 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAHERDSSSSEEEVMAGDLRRGPWTVEEDILLVNYIAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGTITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAAEAAQSAADTPLSWQHGGDDALDESLELPDVDSCWPVEYGVAQLPNTSAPEHSGTTTMSSSPSTDSGTGAQPSWPAAADGAEWFTTTCDATSAAAICNTDQLIQQQQAPCQLGETWTSVPLPGLEFPEPGVADFDIGSFDVDSIWSMDDLWYTQPQFV >cds.KYUSt_chr4.40074 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247479535:247487108:1 gene:KYUSg_chr4.40074 transcript:KYUSt_chr4.40074 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTAFDFEFKRDAYGFAVRPQHLQRFREYAKIYKEEEDERAQVWKDFLDRLADSEDFPSTAGISLSTHDPAAGDGDAGDESAEKRVNEAESAEKSVNEAESAQKSVNEEENGAENAENSNNLEHLKEVEQVRQVNGEPEDFNGETSNLEKLKEDSNSSEANCDEKEEEDEAAESNAKLEHVEEADGNDESREANGNPEDSKNDVTGNSEILKEETSADSEELNKASEEVKEMIEGSEEIKDVNGGSEESKVQKEALNGLVEGNNDNFEKFKETPFDKGLLDELEPLKVESWKRMRASLSIIEQMMCSRVVRRNDTANAISGKVVTQLASIEEERTVEEIHEGGPSEESYNAEKVDRSQKGAPGASPSGTLEGVNGESYFLWREELESLVRGGVPMALRGEMWQAFVGVSARKISGYYNKLLDEKTDVLDKKDLPDQVVNEQKSSPKKHSKPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDEYFEGYYTEEMIESQVDQLVLEEVVRERFPKLAKHTDILGVQVTWVTGPWFLSIFINMLPWESVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSVKEMGLRELRKKHRPEIIAAMEERSKDRNSWKDKKGLATKLYSFKHDPSSVCPQVDSKEGADGLQVNGDSGSTTLENYLTSSALENELDEGIDLQEQVTWLKIELCKLLEEKRSAELRAEELETALMEMVTQDNRRMLSAKVEKLEVEVSELRKTFADKQEQEQAMLQILVRMEQEQKVTEDARIAAERDAVQQKYVAHLLQEKYEATMTALSQMEKRAVMAETMLEATKQYQAGQVKAVQTFAPKESKIPLKRLKAKLLRSKV >cds.KYUSt_chr3.35153 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220677613:220678869:1 gene:KYUSg_chr3.35153 transcript:KYUSt_chr3.35153 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGWCFSGLIDPPDNNSSWYVPPEALPLLHHSDDYRDSGLIRRPRRRDWSDLGDGPAGLIAERVLAYDVADYVRFRAVCRPWRQCSPDPRTHGGLDRRFHPWRWTMLREERPVPDRPCFLNTSTGECVKVDIPELHDHELLSFTSEGLLVLVHKTQRATVRLLNPLTRHITELPPLTTLLPTKYHHCKPFENYIYFDGELATWGSGIANDGSTVVLCLNWLRMIGVAKPGDDSWNLIKYNGDGMTAAPLTFAGRFYCVNLSGVMVLEMGAEQPPQLKVSAKLRMRVTPIADSVHLVDNCGELMLVHRRCGRLTARNKSGWGYDVYRVDLKAGRLLRVKSLGGNSVFMGLCCSLSVPLNIFPSHSISADTIYLSLDFNERKALKAAAYHLADGSVDPPCSLAPRPHTLTDCLSLSITE >cds.KYUSt_chr1.2811 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16781136:16782314:-1 gene:KYUSg_chr1.2811 transcript:KYUSt_chr1.2811 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKEVTAAKLTDDLVVDILSRLTYKDYCRCKCAYKAWSALSSDPHYQKKLPTKVTTGLLYQGRNKSAIPLVSLSQDDEEIDDILADAPHYEHLEIVDCCNGLVLCKYRNSYTTPGICCFVVCNPATRQWRMIPDTHPETDDPRYVTVLAFDPSWSPQFYIFNFHLKHHQGLILGTSKLEIFWSGSSSWLVDDKWDSDIVVSHRPHLFLHGMLYAETTGQEVVVFEGLEEMSDGTLPYHWIIDMPSDSFYVGTFTHGCFGKSSGNLQYALPDADGHSIVVWTLDEYAHGLRAWILKGRLSMTDAFGRDDFVHYDNGGDGGDRLWFWNCDYSIVALDLEKDLVFLSDQRTDKLLSYNISTGILEQIRDGFERCQYYAYVPCYSKLPDQESSV >cds.KYUSt_chr2.33304 pep primary_assembly:MPB_Lper_Kyuss_1697:2:205534385:205534741:-1 gene:KYUSg_chr2.33304 transcript:KYUSt_chr2.33304 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADQRLLDRGLVEEVLIRTGARRPVPEPPQLRHGIFCVPAQLRHPWPSPSDHREQRHSTLPVPLHVAHRGCACGHRTAAAGLPSLMDLLAIATPASIPSPADATAIGATISERAC >cds.KYUSt_contig_786.511 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2902338:2902748:-1 gene:KYUSg_contig_786.511 transcript:KYUSt_contig_786.511 gene_biotype:protein_coding transcript_biotype:protein_coding MCARRAPDAAVQTGTHDAPVAASASAAIHTNASPTTQLTRVATGGLRSLEPVPDPTGLGRHHEELHPSRQGQHWHLPRRSWQPVRDLYETQVAQERTGFPELRDGVGGGEERDGRPVRRRGGEGAREVEHHGGVGN >cds.KYUSt_chr6.7337 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44627436:44633658:-1 gene:KYUSg_chr6.7337 transcript:KYUSt_chr6.7337 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFAVAIVQALAEEYLRAANDQDTATPLDYNNARGFSVCSSLAAARRRNSVVTAGMSGESAASPAATQIGDFLAKKPYAPPSWASHLSLAPSHTFSLGVFPTPIHKWNLPNLPEGTEVWIKRDDLSGMQLSGNKVRKLEFLLSEAVAQGADCVITVGGIQSSHCRATAVAAKYLNLDCYLILSTSKHLVDKDPGLDGNLLVARVLGAHIDLVSEEEYGKIGSVALADLLKKRLMEEGRKPYVIPVGGSNSLGTWGYVQAVREIEQQIQLSGDVQLDDIVVACGSGGTIAGIALGSKLSSLKAKVHAFSVCDDPEYAYDCLQGLIDGLQSGLDSRDIVTIEDAKGLGYAMNTTEELKFVKDIAVATGIVLGPVNSGKGVYGLLKDMSSNPAKWQGRKVLFIHTGGLLALYDKVDQLSSLAGSWRRMDLEEPREDGTGKMF >cds.KYUSt_chr7.29632 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184413750:184414261:1 gene:KYUSg_chr7.29632 transcript:KYUSt_chr7.29632 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTKVDAALDNFDDLFASHFAAAADGGGSSSSNAVKLLLFLADREPSSNLTWCPDCNVAEPVIYPKLDALEGRDVVLLRAFVGDKPTWRDPAHPWRLDPRFRLTGVPTLLRWDASKGEATARLGDDEAGVADKIDALLLLD >cds.KYUSt_chr2.13780 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87329944:87331692:1 gene:KYUSg_chr2.13780 transcript:KYUSt_chr2.13780 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVAGAIATCSILLLLRTPYAEGQSSEATSEAFMMEQILSDNGCGAFAGLIASTAAAGEAFRAQIAGGRGLTIFCPDDEAVAAFGSGRFGNLSADGQAALLLYHGVATLYSEEALGAMFDRKVATLAHGPGDYDIHIFRGPGIPMILSSSPNMACITKMVVNDRLVVFLIDSVLVPGERTASASWNWDWEHVLLVVVCIAVALVALVALLIGLVLLKRLCQDCATAYVPILPSPPMSSPPTRPCPRRKTMAGTTIARTYGFSLRQAKSKENARRKAAPVTKNAELMLCKGLGIVQDGEVITERAMQEFAKRFQGRIPDDILGAMRALFKLDDEQDDEVDEALLAHGGAGALDHDLAPASVGEEV >cds.KYUSt_chr3.31998 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201145861:201148073:-1 gene:KYUSg_chr3.31998 transcript:KYUSt_chr3.31998 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRRAAAGAARADDDKPAAPAPTVWFALKKSLQCRSQPSEVHVPKPKSSTTSSSAGHHLSSIATKRAAPRSGCSRSIANLRDVIHGSKRHPGQPPSSCSPRSIGSSEFLNPIAHEVVLSTNSRCELKITGFGGLAAPGAHAHDADNGVVSSSFVGTLRPGTPGPGWAHGGGLQYSGSCRGGSMRCTPPRSPNPLLDRDREAAPPTAHRASCEAADPGVKKGSSAAGLSCHRCSEHFAKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIERVFKVHNTQRTLSRFEEYRESVKLKAGKLPKKHPRCLADGNELLRFHGATLACALGSGGGSSLCASDRCAVCRIIRHGFSSSRDKEGRAGAGVGVFTTSTSGRAFESVLLDADAAGVDDAKEAATGGARKALLVCRVIAGRVHKPLDNLKEFVGQTGFDSLAGKVGPYANIEELYLLNPRALLPCFVVICKP >cds.KYUSt_chr6.15033 pep primary_assembly:MPB_Lper_Kyuss_1697:6:94149758:94157286:-1 gene:KYUSg_chr6.15033 transcript:KYUSt_chr6.15033 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLQSAASILLPQFALPPLLLPKALQKGWVEAARRSRRDPGGSAGSRAKSRVPWRAMSACVSPASFHGGWEEALQEQVPEEGGGASASWMEVFREDQTGWGRTVPVGCRGSGELKGGASRCRRFDGSGRTGRGRQRAGADGLEGADGEQSGTNWKMESAEIVRRVVVAAAVHICSIVGICPCGSLLDSMILLIIVGRGLGELSLGRADHRHQRRWRSLEIPLEIDRIL >cds.KYUSt_chr4.44966 pep primary_assembly:MPB_Lper_Kyuss_1697:4:278348718:278353049:-1 gene:KYUSg_chr4.44966 transcript:KYUSt_chr4.44966 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVSSLLVVIRSEALLLRGVRDDVQFIKEEMESMKSFLAHLARWAPPGGEHDEQVRTWMNQVRLLAQDCNNCIDLYLYRGNPDIHRARGGLRGYLWWATWFLHKLVAQHRAAEQLRLLKERARDVGERRLRYGVEVPDKSGKGQSTPTASSSSSSRAAAAGGYAARDDDEEDGDEEDGDDQLMGEMAVGGHSARRAFTEPRTLDDYVRAKLKEWADGVPVNAGESLSMVVIAPDTYQDLLDLVQELWIEREDIDFGYHRTVVVDIRAVHPDFEPLRPKEVLFYILRELKHAKSNPQEQGTQGQVDLDPWEVRFRRWQIYGEKKRAIARLLIKENIKEMKINEKLDKIKSDIQGRLEKGDGLPKGNKLHGGFDQLDLDVVLQLLLQSASQQDQKGKNKDMYRLPAWDNNNSIVKKLKEHMEAEKKEKLEEEAAKHMQVVEEGEAVAKHMEEEGGGGKVACKHMEEGGGEVSIHREEEEEEGGGGGRGGETTKHMENGEGEVAEEEEEENEEKEEGEEDEDKNEEDDDDDDDDDDDDDDDDDDDDDEEEESQQTTWIQLDEAQYAHIMQKLFPKSSSSKPLQDEDGSLDKQATKTTTATLGEDQIKKLIHNAKEDILRELQQGKYDKSEGTAEIGVPDQNLESFSEKIGQMMDKMKHEFKEQLKIKGLVDRIKKNLNYLRSRRKYECPLIILKVDELMDVSAWEDTRNALSLLNCSADLMMVTATKDIQPAREYCYPQREPIDYSLAGLYHDTVLKLTGQLKNGDSYNPQIFHDILYECEPHEFCMKIFTHAMYANPKRSEEELLKLNSTLQALPTTSFNNIAKVMFKFSYNDLPKEYKSCLLYLAIFPSGHKIRRSTLIGRWVAEGLTSKEDWPSSVRQANRCFDALVSRCLVYPADIGATGIVKSCVIGDPVHGFITTIARKQHIVETRLSHHLARHFSIFNDLQLRSSDRIDKFFQGLSNSSQLSLLKVLDLEGCRCFLGKKNQRYLKDICNKMLLLKYLSLRRTDITQLPSEINNLRELEVLDIRQTEIPPHATANILLLKLKRLLAGHIDLNPSNFGSSGQIPHRIDKMVNMEVLSNVKAQQSHDLKDIGKLWQLRKLGVVIDDKDSHLKNFLQTISDLHECLRSLSITTTPVATPHEGTPSSAELPDDIGSLLKNCPKILESLSIRGTTQKGRLLPLYIKGDKIKLAKVTLCHTVLSQDDLKILGKLPRLRCVRLQHIVCTEHILNFKDGEFKSLKYLLVEGSNLTDITFENGAANELEKMVLSITSICSISGVDCLPKLKELVLNNSYCGRLLSSFDNATQLAKLTLCGTLLEQDALQLLANKRNIRSLVLLDKAVGGTQNDITLEKDDFLWLNLLEVHCSAITKMVFTCGSAPRLEKIVWSSSTSLSGIDELPRLKELEFTGDQVPDDMTKDIEKHKNKPSLKLNGPETQD >cds.KYUSt_chr1.22138 pep primary_assembly:MPB_Lper_Kyuss_1697:1:130865339:130867035:-1 gene:KYUSg_chr1.22138 transcript:KYUSt_chr1.22138 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLWASSAANALKISSSGARAAAPAYSISRYFSTVLDGLKYTSSHEWVKNDGAVATIGISDHAQGHLGEVVFVELPEAGTKVSQGGAFGNVESVKATSDVNSPISGEVVEVNAKLSETPGLINSSPYEDGWMIKVKPSSPTELDALLDSAKYTKHCEEEDAH >cds.KYUSt_chr4.28690 pep primary_assembly:MPB_Lper_Kyuss_1697:4:180268093:180270528:-1 gene:KYUSg_chr4.28690 transcript:KYUSt_chr4.28690 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDAAVNLTAAAATAAAEATETVKPVAAACYDNNLVNSQGMFLGDQPLRFSLPLLLIQVSLILLLSAAAHHVLRRLGQSRFVTHMLVGVFLGPSVLGRNHELRGVLFSERGTYILESVSLVALILFLFSMGVKTDMSLLRRPSGRAVAVGLAGAFVPLAITLPVFHVLQPTLPHDLRGSSLITELAVRLSLSSFPVIADALAELDLLNSELGRIALTASLITDVTSWFLRACFAATFLITEAKSPLFTAKILASFVAFVLFVAFVARPAGRYIAYKRTPAGDLLSEGSFVVLVIAALLSALVTDVIGFKYMIGPMMLGLALPGGMPIGATMTERLDSFFIALFLPVYMALAGYRTDLSELGAHDEKWCALELFVALCIAGKMVGCIAAGLFFAMPIGEATALALMLNIRGIVEVAAINNWGDTMKASAEHYSTLTVSMVLITAAATPLIKLLYDPTGRFARAKRRTMEGARPNAELRVMACLYTEDHAAPLIDLLEASGANHDFPVTLIVLHLTELVGRAASVLKPHKKSSSSTSNSPSDRIVNAFRHFEQQASPGAVTVSPYVAQSPYSSMHHDVCSLAHSRKANLILLPFHKSSDGARSTANNAVRAANRAVLQYAPCSVAILVDHGLAAGSACATASNRNLLQRVALYFLGGPDDREALAYAARMPESGGTAVTVVRFKLRNWVGMGGRDEVRDEEVLQEFWQRYRDDVRVVYVEKTVEDGEGTASVVRSMSDKFDLLIVGRRGEDKDLEGSALTSGLSEWSECPELGVLGDMLASAEFASKVSILVIQQQAVLITAAGAGEADHQQ >cds.KYUSt_chr2.16404 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103168049:103173073:1 gene:KYUSg_chr2.16404 transcript:KYUSt_chr2.16404 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLAPAISGEVGLRLLLAPLSSNIVVRTASCAVGIGLPVYSTYRAIEKKDQAEKERLLLYWAAYGSFTIVEVFADKLISSVPLYYHAKFAILVWLQFPSNGGSKHVYRRYLRPFFRKHQGKIDRILNILSKELTKFVSNHEDEIRFVENMAVRGATTASYIVNGLDQPGQSEEVNVTEDRDSIPTEEADTPRGET >cds.KYUSt_chr6.27058 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171566774:171567322:1 gene:KYUSg_chr6.27058 transcript:KYUSt_chr6.27058 gene_biotype:protein_coding transcript_biotype:protein_coding MPKARTMPREKRNWEEVVKSPPQHGADRKGNASSLHLPHSLPPAPYKHPLSSPFPTQQSTAFSSPHPFLSSVSPLQLPSPSMATEVLRPHNILPSQPQRIRTTHRKPSARKSPPPPAAASPTAGRRNHGRRPAVELYAGPAFSGASPEPSSLPLPQFPFQKAVAPAVNDAATRDLRRMLRLE >cds.KYUSt_chr7.14685 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90718473:90722135:-1 gene:KYUSg_chr7.14685 transcript:KYUSt_chr7.14685 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSFCPLLLLLILLVAFFPNTRSLDATAPSSNSSESDRQTLLCLKSRLANNLATTALATWRDDSQSFCQWRGVTCRTMQGAAPRVVALDLASLNLTGQIPPCIGNLSVLTRIHMPDNKISGNIPPEIGQLAQLRYLNLSINSIGGVIPDALSMCSLIENISLSSNLLEGGIPSALGQCLSLEQLFLSDNLLQGHIPPGLALLQNLSVLSFYSNKLSGTIPQLLGRSSRLTKVDLDNNTLTGEIPCFLANSSSLSYLNLAANGLSGEIPPSLFNSSLLHTLDLSYNGFYGSIPQFSHASSPLNYLRLTSNNLSGIIPSSLGNFSSLSFLLLALNNLQETIPESLGSLVGLQELDLTYNNLSGTVPPAIYAIPSLTYLRLGANHLVGRIPANIGYTLPRIQMLVLQRNQFDGPIPPSLANALNLQYLGLRSNMFSGVIPFLGSLTNLVTLDLGENLLEAGDWTFLSSLTSCTQLQILYLHSNNLQGELPTSIGKLPKGLQWLLLNDNQITGFIPPDIGNLTSLTLIHMERNFLSGHIPATLGNVQTLFVLNLAENNLSGEVPQSIGNLGNLSELYLEKNILSGLIPASLAGCRSLTRLNLSCNSFHGSIPPKLFSVSSLSEGLDLSYNQLIGPIPSEISSLKNLELLNLSNNQLSGKIPASLGQCLNLQSLCLDANYLEGSIPASLMNLRGIVEMDLSQNNLSGEIPSFFESFSSLQLLNLSFNNLQGTVPATGVFGNSSVVFIQGNKNLCTDSAILQLQPCITSTSKRKRTSYVVMVLVPLIVVVVISLICAIAIIQRKKQAPKHPINQSSERWKRFSYHDLYKATGGFSSDNLVGVGGSGSVYRGTFVAEPRIAAVKVFRLDQDGASKSFIAECDALRNTRHRNLIRVISLCSTSDPSGNEFKALVLEHMSNGSLESWLHPELEKHGSKRSLSLGLRITIVTDIAAALDYLHNRCTPPLIHCDLKPSNVLLDNDMCARVGDFGLAQFLYDHPSESLRGARGSIGYIAPEYGMGSRMSIEGDVYSYGIILLEMLTGKRPTDEMFKDGHGLHKFVELAFPHKNGNILEANLVQQYQDEQTSKISGDWTPVMVGMKNCAKRLTELGLKCSVDSPKGRPTMQEVYTEVMSIKETFLALCD >cds.KYUSt_chr6.34173 pep primary_assembly:MPB_Lper_Kyuss_1697:6:214016735:214019883:1 gene:KYUSg_chr6.34173 transcript:KYUSt_chr6.34173 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDPAPRLTPIQPANHNAAEDTVGRVQPLLAATQPLDAGRTPAAAASGFDFEPLAAGQTNPGQKKRCGWVVDWWASSQITRGHNRELEKKPPRVNFPSLQATAAN >cds.KYUSt_chr4.48531 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300564804:300568558:1 gene:KYUSg_chr4.48531 transcript:KYUSt_chr4.48531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase, chloroplast precursor (EC 5.1.3.1) (Pentose-5-phosphate 3-epimerase) (PPE) (RPE) (R5P3E) [Source: Projected from Oryza sativa (Os03g0169100)] MASPSSSLCSSLGYPRTASLGARRRAGFSPSRKLFQVKASRVDSFSKSDIIVSPSILSANFAKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLIVDALRPVTDLPLDVHLMIVEPEQRIPDFIKAGADIVSVHCEQTATIHLHRTVDQIKSLGAKAGVVLNPGTPLSAIEYVLESVDLVLIMSVNPGFGGQSFIESQVKKIADLRKLCEEKGVNPWIEVDGGVSPKNAYKVIEAGANALVAGSAVFGAKDYAEAIKGIKTSKRPVAVAA >cds.KYUSt_chr6.31204 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197686603:197687004:1 gene:KYUSg_chr6.31204 transcript:KYUSt_chr6.31204 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEHPEAEIAGIDRKGKAALRDPIGGIESGLEAEFVAIPHEAAEEGTVAAVVDLKAAGEAWVGGDGAQAFADGGRAGEGRRLRGEAEEDLGGQVAVFQHRRRRRQHLACSELTGRNVELKQPPRCGVELFK >cds.KYUSt_chr4.10723 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65093741:65096770:-1 gene:KYUSg_chr4.10723 transcript:KYUSt_chr4.10723 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGDVNGGERIAAALKDVRAILFKQSESLDDSLCTKIAGADFNDAGLGLAGLLRSLATTGFQASHLGDAIDVVNQMLDWRLSHEKPREDCDKAELDPKYRESVKCKIFLGFTSNLVSSGIRDVIRFLVQHHLVDVIVTTAGGIEEDLIKCLAPMYRGDFSLSGTMLHSKGLNRIGNLLMPNNNYCKFEKWIMPLLDKMLLEQSTKNVWTPSKVIARLGKEINDESSFLYWAQKNNIPVFCPALTDGSIGDMLFCHAVKNPGLIIDIVQDVRMMDCEAINATPRKTGAIILGGGLPKHHICNANMLRNGTDYAVYINTAQEYDGSDSGAHPDEAVSWGKINGSAKPVKVHCDATIAFPLVVAATFARKFHGLE >cds.KYUSt_chr6.21196 pep primary_assembly:MPB_Lper_Kyuss_1697:6:133785753:133789582:-1 gene:KYUSg_chr6.21196 transcript:KYUSt_chr6.21196 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAHSKSYFHQFWDGLQIDGSSDNFSIELLPSLGATINHSNKLKKFVISPYDPRYRFWELFLIVLVIYSAWACPFELAFLRDMPSKLMLVENIVNSFFAIDIVLTFFVAYVDRKTHLLVDNRKRIAVRYLSTWFIFDVCSTAPFQPIILLFTHKGNDLSFKILDMLRLWRLHRVSTLFARLEKDIRFNYFWTRCSKLISVTLFAVHCAGCFNYMLADRYPYPENTWIGAVMPTFRSESLWTRYVTALYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTQIFRDSIHAASEFAARNQLPVNIKQQMLSHFCLQFKTEGYNQQALLNGLPKGIRSNIAYSLFLPIMRRAYLFHGVSNSFIAELVMEVQVEYFPPKEDIILQNEGAADVYLIVSGAVNMITTINGNEQVYVKVTNGDMFGEVGALCNMPQPFTFRTAELSQLLRISRTRLTEAIQNHREDYNILMNNLFQKLKLQENLPEGNQPDQRFLSKHGVLHIPREEWLFPWSHLHYTEQKSIDFRNKVPIFGDGTDSTKLFGEASQVGNTHNKSSCKCRISDGMMDKEEGLSENGKLINLPGSLEELIEIGRQKFPDCHPTKVVSRDYAEIDDIGVIRDGDHIFFLQM >cds.KYUSt_chr1.5317 pep primary_assembly:MPB_Lper_Kyuss_1697:1:32695922:32697502:-1 gene:KYUSg_chr1.5317 transcript:KYUSt_chr1.5317 gene_biotype:protein_coding transcript_biotype:protein_coding MACADLSGAEISGREPFALHASIHDGSDPRLVASDLFQDPPAPESKEFMGMSTSPLAFAVRRRDPELVGPAVPTPEDTKRLSDIDDQGTLRGHVSFALVYRARVPEDGDLAQGDPVSVIRRALGEALVHYYPLAGRLREVEGRKLVVDCTGEGLLFVEADADVRLAELERGAGLRPPFPCMDQLLFDVEGSSGVLDCPLVLIQVTRLLCGGLVLTLRLNHTICDAIGIAQFMNAVADLARGLPAPTVAPAWSRELLEARSPPIPSFPHREFDVLPPNGDGPPPPPPADDMVMRSFTFSAAHVAAIKKHLPPLLRDTVTSYEALTAFLWRARVTALDIAPGEAVQLVIIANFRGFPELSLPDGYYGNACVPANARATAAALRDGSIGDAVALVRLAKAAVTAEYVRSTLDVLVLRGRPCLALQNNMFVVSDNRHAGFNHVDFGWGEPVYGGPADTIFGLGFLVAGKDPYGKDAVVVPIVLPRPAMERFAAEVGKLCKA >cds.KYUSt_chr3.5505 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31090514:31096460:-1 gene:KYUSg_chr3.5505 transcript:KYUSt_chr3.5505 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHTSDQPTESSLRLYARARADANDLLLSSLQTMPMLWLLLLPLASLLRSATSGGNTSCAQATCGNLTIGYPFSLAGAQPLYCGYPVFDLTCDAGLGRAYLSRTFRDRLFHVVDISYAKNSMVTAIAGDGGCPVPDFNVSASLALFPFKISAANKRLVFFYDCHVPSELRLPRSCGNRTMGTYISGLWNGVDSLQPGVSRNCVSVSVPVRGAMEPPRQHYEQLISDGFLLDLPPPLGDCDGCRRVHGECRLLDRLSFQCFCPDGKLCPSFAQSNSTTHPDRGNFKLKIIGTGIATALLSLIVLSIVCMVHNVRRKRKRSASLDGLTIDGGSPLASPKKEFTLAGSLLTHIFTYEELDEATDRFSDTRVLGSGGFGTVYKGILRDRSVVAVKRLYKNSYKSVEQFENEVDILSRLRHPNLVAFYGCTSSQGSCCRDLLLAYEFVPNGTLADHLHCGGEAPLLAWPTRLSIAVEVAAALAYLHARQVVHRDVKTSNILLDEEFHVKLADFGLSRLFAPDATHVSTAPQGTPGYVDPAYHHRYQLTDKSDVYSFGVVLVELVSSRPAVDMARDGADVNLACMAVRMIQCCEIDRLVDLRLGYGSAASETVKTVDLVAEVAFRCLQPEQDVRPCISEVLDVLREAHQRMMEKADCAARDAELLKESRDDSPDSVMHQWISPATTYNHST >cds.KYUSt_chr2.1658 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9800024:9801251:1 gene:KYUSg_chr2.1658 transcript:KYUSt_chr2.1658 gene_biotype:protein_coding transcript_biotype:protein_coding MALPATDGRELVARGALSVELGPEDMPPFVAVPESKPVFTRTSIRQFEGLDEADDVLVNSFHDLEPKEAEYMELTWRAKMIGPTLPSFYLDDDRLPSNKSYGFNLFNCDAPCMDWLEKQEISSVVLVSYGTVSNYDATQLEELGNGLCDSGKPFMWVVRSNEAHKLSKGLKLKCEKMGLIVSWCPQLEVLAHRAIGCFVTHCGWNSTLEAVASGVPLVGIPHWADQPTISKYVESVWGMGVQARKSDIGFLRSGEIERCIREVMDGERNDKYKRNAAKLMQKAKKAMQEGGSSDMHIVAFAAKYLSI >cds.KYUSt_chr2.17260 pep primary_assembly:MPB_Lper_Kyuss_1697:2:108780422:108790600:1 gene:KYUSg_chr2.17260 transcript:KYUSt_chr2.17260 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSDLRAPCSLLMATLMLIIVQAQGITRHYNFNVQMANVTRLCATKSIVTVNGEYPGPALVAREGDRVLVRVTNHVAHNMTLHWHGIRQLRSGWADGPAYITQCPMQTGQSYLYNFTITGQRGTLWWHAHISWLRATVYGAIIVLPKHGVPYPFTAPHKEVPMIFGEWWRADTEKLVRQALKTGGAPNISDAFTINGLPGPFYNCSAKDTFKLKVEPGKTYMLRLINAALNDELFFAVANHTLTVVEVDAVYVKPFTVKTLIISPGQTTNVLLTAKPVYPRANFYMSAAPYSVIRPGTFDNSTVAGILEYQKPGSPSGSSFDKYLPLFKPTLPRFNDTGFVANFTSKLRSLATPQYPAAVPQSVDKRFFFTVGLGTLPCPVNAMCQGPTSTTQFAAAVNNVSLVLPSTALLQSHFTGTSRGVYGSNFPIVPLKKFNYTGTPPNNTNVATGTKLLVLPFNSSVELVMQDTSILGIESHPLHLHGFNFFVVGQGVGNYDSVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVAEDACDLPFPPERAAHHRELAAAAASVERACRLCVDVKRSLLSGGRKILEKNDQSPVTIADFGVQALISFELQQLFPSIPLVAEEDSTFLKSFNPDDNSGNVLIESISSAVTDKVNNSGSPLTHDDVLRAIDRGGKDAVSFDSNPATYWVLDPIDGTKGFLKGDDALYVVGLALVVNGKVEVGVMGCPNWTNGTTANKQEESFDHCNGHGILMVSHAGCGTWSRHLSPELGQFITAQDVWKRCFVDTCSVVHMARFCIPDSQTWNMIPLSLLFGSITDESDPKDENKILLQYACCGSLCKYIMVASGRATVFFNRARVNTQIKAWDHAVGVICVQEAGGQISDWSGKPLDLAADLTGRRIIYPSGGVLVTNGALHDKLVELISADYK >cds.KYUSt_chr2.33577 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207430982:207436371:1 gene:KYUSg_chr2.33577 transcript:KYUSt_chr2.33577 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPTLPPPYHSLPNLLFLPHRQARLPIRRLLAAHALAAVAGHLSLPNPHAHTLLLIAYSRLHCARRPSTLLLFRSSLRLSLPPTRHTLPLAISVAASSRPHLPLALSLHAIVVARSLLPFPHVANALVSLYAKNALPDSARMVFDEMHAPDVISYNALMDGYIKAGLLGLAMKEFQRMPQRDAASWGTVLAGCAKAGRWKEAVRLFDRMRAEGFRPDDVALAAALSCCAQLGALEKGREVHEYVRQSRPRPNVFLCTGLVDLYAKCGRVDVALEVFDSCPARNVFTWNALIVGLAMHGHGTVALEYFNRMLLQGVRPDGVTLLGVLIGCSHAGLVDMAKRIFSEMEGEHGVPRELKHYGCMADLLGRAGLIEEAIEMVKKMPTEGDTYVWGGILTGCRMHGNVEAAEVAARHLLELNPQDSGVYSVLAGIYADAARNLCATEYRVEDDTTFCNQGFKEMDETMSVVCEVENVPGIGVHGDESDNSRTGLGNESLSGRENIFVGSRSDNSMSSEHGMEAIINMDDIDNDYERDISDDVALDENSNEEIFGLENVYDYYGESDVENCFYDESVVGKHYVEAKPSNSKKSHVDDGDDANLSQACQAEDTIELYMMIKEMTFPSEEAAFEFYNSYANDNGFSIRLDKVRYSKKKSKHRRYRRFLCSREGERDPKLMTEEGHSRRLRPLSRCNCEAHMTVKLNEKLGVWYVDSFDDKHSHTLARADETPFLWSHRKIRDHQKAEILAMGAAGIRKHTIMDSMISRSGWYGGVGYVRRDLYNLCGKEKRKLLVKGDAATTIGIMLSRKEKDPSFYFDYDLDEEGRLKRMFWCDSQSVQDYEDYGDVLVFDSTYKMNRYRMPFIPFVGLNNHRRTTVFGCAIVSDEKEETYVWLLQTFRRQCVGRSL >cds.KYUSt_contig_60.289 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:1690777:1692108:1 gene:KYUSg_contig_60.289 transcript:KYUSt_contig_60.289 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLSSAPSHSTLPDHFVFPPDQRPPASTRTVSLPVIDLSRDRDEVVNHGVSAEAIRDMEAVCEEFFRLPAEDKAPFYSEDTGKPNRLFSSTTYEIGGEKYWRDCLRLACGSPVGDTKNDWPDKPQKLREVVEKFVEPTRGVGMELLRLLCEGMGLRPDYFEGDLTGGHVIINVNHYPPCPAPGLTLGLPPHCDRNLITLLLQGAVQGLQVSYKGDWINVEPVPNAFVVNFGHLLEIATNGILKSIEHRAMTNAAVARTLVATFIMPGADCLIGPAEELVGEDNPPRYRSVTFDEFMRVYKIVGARRENVEKAFKL >cds.KYUSt_chr3.41597 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262393600:262401709:1 gene:KYUSg_chr3.41597 transcript:KYUSt_chr3.41597 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDGDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEENSNRPFGISSIGGSIGFAQASSFHCNSMSSLGFARRIPKRRRTTKTPPQQQVERQFLDLNSLPAFEGAGNGGSLSIQEPASHSGTSGTVVVETSQLLVPPAAAAESNIGMNSFAIDVEVIDDDVVIYSSRPLPQARQQSARERPVTVIIDDDSETPAGPTGEGLDEHVNTLLSLGMNPRHSCSRAPNSLVINIEDTPETNILPKAVQAIPEPVREVPKEPKFSCPVCMNELVEPSSTICGHIFCQKCIRAAIQANKKCPNCRRKLQMNNFHRVYLPTTDH >cds.KYUSt_chr3.31144 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195489965:195492614:-1 gene:KYUSg_chr3.31144 transcript:KYUSt_chr3.31144 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLRYGTPNFGLALERGAVPHPQKFNSVVVSSIRLCTHVSCCKFGGGGAAAAYPDLIRQTCGVGVRCPGSETEIKGLSNVKEEVYGALDSFVAWELEFPLIAVKKALNTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELFGKIFSRYMEGLPRTFFMKIISMHYSLGSYQKMFEVFADMEELGVRPDRSIVRMLGKVFRKLEMFDKYEKLHQKYPPPKFEYRYIKGKRIRIRVYPDDSTEEATQSDSEPDTDALEVVESTNLDN >cds.KYUSt_chr4.46462 pep primary_assembly:MPB_Lper_Kyuss_1697:4:287198602:287198877:1 gene:KYUSg_chr4.46462 transcript:KYUSt_chr4.46462 gene_biotype:protein_coding transcript_biotype:protein_coding MSACTTRAISMPASRRFGWECGLGRSAAAAWHPFDVHNADGLNNAYYKNLVGRRMLLHSDQELFNGNAQDMLMRQYINTPRKISTNFVSAI >cds.KYUSt_chr5.18890 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122168386:122169828:-1 gene:KYUSg_chr5.18890 transcript:KYUSt_chr5.18890 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPAETAASTARTVKDVNPHAFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDADWYYIRAASMARKIYLRQGIGIGGFQKIYGGRQRNGSRPPHFCKSSGAVSRNILQELQKMGIVDVDPKGGRLITSQGRRDLDQVAGTVPAEF >cds.KYUSt_chr1.28064 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169267693:169270574:-1 gene:KYUSg_chr1.28064 transcript:KYUSt_chr1.28064 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEFYTLFREVENGLNLVNLVFPYIPIPANRRRDSARIKLKEILSKTLRSRRSFGKVGEDTLQKLIDSKYKDGRSTTEEEITGLIIALVFVGKHTSSHTSAWTGACLLDNAKCLEVVIEEQKQIIGKFGGEIDYNVLLEMTALHWCIKEALRLHPATPILVRKTHKHFNLFTKEGNEYTIPAGHTLVSPIMLNNNIPSIYKDPQVYDPERFGPGREEDKVGGKFSYTSFSGGRHACPGEAYSYMQIKVILSHLLRNFELQLVSPFPKAERGKFALEPQGKVMVSYQRPFRCVVAPYDCPRRHSTSPLAMVWWSIASGATTTRLASLVLVLTHTHTTPREHETVAGVHDIPSVTVPPATFSILTFVNAYVATNVATGPPISDCG >cds.KYUSt_chr4.49515 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306636806:306638705:1 gene:KYUSg_chr4.49515 transcript:KYUSt_chr4.49515 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPPTSSCPTITYEEALRRELEYRKRLESTHPHLLIGLNGAPALSKVSFLSDNFSAPNSSLKEIEADALRTLKERDSVITVNFEQHASFIEESNQAEELVHKKTCSAVAHCSGRTKHIALC >cds.KYUSt_chr7.35651 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222754523:222755752:-1 gene:KYUSg_chr7.35651 transcript:KYUSt_chr7.35651 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALLGLVTLVVVLATWLWTAAMHHLVWRPYAVAKSFRRQGIRGPAYRFFVGNNEESKAMRVATANDVLNIRSHDIIPRVLPQYQAWTASYGKVFLSWTGYTPALCVGDYDMVKQILSNKSGLYNKPDPGPNILALLGKGLVFADGDLWTRHRHVVHPAFTMDKLKRMATTMAECAGEVVGAWEARAAAASGGVARVENIGQQFVELTADVISRTAFGSSYREGKELFVAQRELQYIAFTSINKVRIPGLQYLPTKTNVRRWQLTKKVRGTLMAIIRDRQAAAKEARGRGNDLLGLMLEANASADAGEQRADMSMDEIIDECKTFFFAGHDTTSHLLTWAVFLLGTHPEWQQKLREEVIRECGGTKAPIHGDALNKLKIVSTCLSYHSDPATVLHASMKNEGDSLQL >cds.KYUSt_chr3.45922 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289120076:289122562:1 gene:KYUSg_chr3.45922 transcript:KYUSt_chr3.45922 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHASTSSLLAQPRRCGAEAPDVSDAMDAALLAPSTTHCKFKIDTKLPLTPVPAGSAMSGAVEGDTSLVHLRLAMWTEKWSWPGGSSGLALPFDDVYRLFRSGLARLRRWLDIRCVSAGSVVYRRIYGVALLQS >cds.KYUSt_chr7.33619 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209647274:209651690:1 gene:KYUSg_chr7.33619 transcript:KYUSt_chr7.33619 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLRSAVAKERRHFSSSHACCDMFAGFSFGATVRRFGGAFVGAFAGAFLFGGMAASRVFLVGSESTLILIRHGESMWNEKNLFTGCVDVPLTPTGVEEAIEAGKRICNIPIDVIFTSAMIRSQMTAMLAMMQHRRKKVPIITHKESEQPHSWSQIYSEHTREQSVPVITAWQLNERMYGELQGLNKQETAERFGKEQVHEWRRSYDIPPPNGESLAMCADRAVTYFKDQVVPQLIAGKHVMVAAHANSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGEFIRRGSPAGPSEASVYAYTKVLYIRSGRDIWPICHCFAEVREIF >cds.KYUSt_chr5.18701 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120965493:120971419:1 gene:KYUSg_chr5.18701 transcript:KYUSt_chr5.18701 gene_biotype:protein_coding transcript_biotype:protein_coding MVISNSQLGWPPVFVELISQLTYLTSLLVSLISMEKAIWDAHYVRFFCDICMEEVNANNRDGGCLSRKGYKNLEDKFAEKTGKRLTKKQFKNKWDSLKKEYTGWMELLNATGLGWDSETKTMDADNDWWQTHLQYRPEHIKFRYGPPANLTQLDVMFNKAHVTGESSAIPGEEEMGADKEAAIPVDDDDDASKKTPNFVKRLKFSGGEKEKESPFYRDYKTALTSLVSFGRGDAGGSSGMGDSVPKIIIACCVLHNFIRDSNLRDKQFDRCDADEDYMPKAQRQTITLPSDHVSAELHTRGMNGTRDDIANTLFISRQRRN >cds.KYUSt_chr4.4295 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24613905:24614816:1 gene:KYUSg_chr4.4295 transcript:KYUSt_chr4.4295 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGSLDQHLFPKGGSSSGTGASIRQWATRYEIIRGIATGLHYVHHEYEPMVLHRDIKASNVMLDSSFRARLGDFGLACTVADDRKSVTGVGGTWGYIAPEYAMCGKTTRQTDIYALGVLILEVVTGQRALVNRRKVVDDDDVHITDRVWRLHREGLLSECVDGVLLAAASEDDKEQMNADPGNDAARLLLLGLACSNPNPSDRPTMPDVVQIIAKSAPPPQVPPQKPRFVWPPTEERASNDESTSSSMMSDLDWSTDELQVSLGQPPMTHTQTEERYASFQLQSAGAHHRKTYASFQLQHSV >cds.KYUSt_chr6.29570 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187363731:187368692:1 gene:KYUSg_chr6.29570 transcript:KYUSt_chr6.29570 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPKLDKAAAAAKPGKGATAKPDKAAVRASFQAPTARAALRDLDIEERMDIAGLPSDILVEVTARIAGESTTPLNDIVSLRRSCKVFRDATAAREVGRCMAVQREWRLHWWDKARFLSVLRRCAASGNPDASYILGLEEICNRRRKASGLLHLRRAMEHGHPVAAYTIGMIMLRDSLDLEGIEQAMEYLEGGSASRIRSNIKIASVRREAASVMRRLTMRRWKRAEPTAPCTDPLCGKMEETAEAWDEADDEQRRSGGEGRPRGFDNLVLFSAIIKEVETVRGGALICCVKHGMLLFTPEFITRGRCAMVESVLLLHLMVEWRPFSTTMWWPRFLLAHPR >cds.KYUSt_chr2.8600 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53942161:53947905:1 gene:KYUSg_chr2.8600 transcript:KYUSt_chr2.8600 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDGASNRGRSFARGNGRGRGWRPGDERRGHGGRPSTQPPPSTASAAGITPSVTASDAPPIVGTCPDMCPAAERAQRERLRDLAAFERVGSDPRSTAPSLAVKKFCRTISSTSVQASDIRPLSVLRETMDYLLDLLNSSEYPFDVVHDFIFDRTRSVRQDLSIQNLVNEQAIHIYEDVIKFHILSHQRLARSCKDSDASSLCYLNTEQLMKCLLSLFDMYHIVHKNDSHNTRKAEYYSFFVLLHLGCKIPRMADSLSLWYSQLPASVVRSKEMIFARTILRCYHLGNFKRFFCMIAADASDLQLCLVEPFLNEVRARALMYFNHSGYKPQHHPLTHLSEILMIEELELENLCSICGLEISGSGDTKSFAPKQTSFSLPSAISHSSGLHISREIER >cds.KYUSt_chr1.34783 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211865974:211869062:-1 gene:KYUSg_chr1.34783 transcript:KYUSt_chr1.34783 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRIRLPRATLILILLALHLSLSVAAQFEGFDSDELPHAATADLASPDDDDEGLDLDVDLPPPPPISVSVSAPSPPVTTTTTPANPNPTTPPNPTPSLDFWDEDEFEGIPVPESPTSDPSSAPAESAPSDPSAADAAPAPPAPPRTPAELLRAFSIEIACVSFLICFLLNYFTGKKQNEAIALAWATKFATRDSIFDKNFSLLGTGDGKDTPLLLKEGQDVFKFYASGRRFCQGMLATMEMRARHDLLSKLLELVFPRKDTITFEVVMNDESMDHVMVAVARKKAAKTMHKEERDLQKFASVLASAPAGKKWVADELAVVAESKEVAGDMISEAVLDQVLGEKAFEKLGKWFISLHFSDQLAGSYKKVLSFKFVLPDANNMADMTKLVALVPFYIDLIGRYKLSSHARSKTDAARTKAAQEAFRELQGVRQETLLRKKAEKKKLMEEAEAKLSAEVLRKKEEKERNRQMKKGAPKVKMLRS >cds.KYUSt_chr2.48800 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305316471:305318672:-1 gene:KYUSg_chr2.48800 transcript:KYUSt_chr2.48800 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARAVAAAARPAQPVLLFRRVPSSSARPARPRGGGRGAIRCMARRPDASYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGAAKQQMIDCYIQTLAKVVGSEEEAKKRIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQAYQR >cds.KYUSt_chr7.16299 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100963051:100963995:-1 gene:KYUSg_chr7.16299 transcript:KYUSt_chr7.16299 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTTEGRAAPQGVRIECGEFGLAEWTSFRQRALGILRKSSDQRKCLELMRVKARGLLGLDSGGEKDVLGVKEGREGSARPATSLPQFEEQQDVRQEGISEEVLVQVPQIEIVIFSSGECRVGTVESKPWTADGSWIITVTDTQPIVAEIVCFAVTEFKSAKADLGCHVKDHVRTLLGHDTLSIGVPLLQLDEEPPDPGEAILQNKYYDKSVQNYPRAVVGFGPNQEMNNVELYTYSLKACLTISEKIVFFSIDQRANILIGDALDFSISDNKFGTLGQLASHNKQRQVQWCLANIPGAVRLRHGFDSRELI >cds.KYUSt_chr5.6349 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39289900:39292058:-1 gene:KYUSg_chr5.6349 transcript:KYUSt_chr5.6349 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPGAAAVHEALLASPAAAAKDDGGEGLAAAEVKRLVRLGGPIVLSCILQNLVNMVSVMVVGHLGELPLAGASLAASLANVTGYSLLAGMATALDTLCGQAYGAGRYHRLGAYKQRAMVVLSLACVPIALIWANTTKILLFLGQDPAIAAEAGDYARWMIPSLAAYVPLQCHIRFLQAQGVVLPVTASSGATALFHPLVCWLLVYKAGMGSKGAALSNAVSYGVNLVILAMYVRLSSACKDTWSGFSREAFKELRQFTELAMPSAMMICLEWWSFEILVLLSGLLPNPQLETSVLSICLNTGALLYMVPLGLSSSISTRVSNELGAGQPQAAKLAMRVVMYMALSEGLVIALTMILLRNVWGHLYSDEQEVVTYISRMLPVLGISFFIDGLHSSLSGVLTGSGKQNIGAAVNLGAFYLLGIPMAVLLAFVFHLNGMGLWLGIVCGSFTKLALLVFIAWCIDWEKEAEKANDRVFSASFRLVA >cds.KYUSt_chr6.20415 pep primary_assembly:MPB_Lper_Kyuss_1697:6:128577760:128579535:-1 gene:KYUSg_chr6.20415 transcript:KYUSt_chr6.20415 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWAPEIKVFSRRNPRKNPKPPPDPSSDPNPAPEPSPKSNLAPEPSPKSNLAPEPSPNSNPPPEPSPKSSPPPEPSPKSSPPPPEPSPKSSPPPEPSSNPNPDPLAQTLASFRRSIRRSEAEVAAPPPDPPSPSHPPRASPPPHAEQGGAALPNGHGDDKARKRRERSDLRRQLASELDQVRVLSKRLKAAGEALAAEASQHAPLAVDPPPLMLTAGYGHPQFSGGGPLTPVPPQLTAPVPSVRSFLPRRLIVPEVHTEPLEKEKRTPKANQLYQNSEFLLAKDRIPASDPHGRKKAKHHKKKHRSSAASYNAEQRLYAHPFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFTIIKHPMDLGTIKAQLTRGQYRNPKEFANDVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIEAEVDYLASCPPMQKKFPPPPLDLRLLERSDSLRHQMALDSKSRPLSHTPTYPARTPSLKKPKAKDLNKRDMTIDEKRKLSNNLQNLPPEKLDVIVQIIKNKNLSVRQHEDEIEVEIDSMDAETLWELDRFVANFKKNLSKQKRKAERAMLAKQDVELRALHAAQQPV >cds.KYUSt_chr3.44243 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279282094:279283155:1 gene:KYUSg_chr3.44243 transcript:KYUSt_chr3.44243 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLCSYSMPAGAARAPLVRSNAYATSLGFATSQLAGLSLGLTATATAAPLPLSAKHTIIARRICPFLDKRTNRANKVSFSNHKTKKQQFVNLQYKKLWWEAGKRYVKLRLSTKALKTIEKHGLDAVAKKAGVDLNKK >cds.KYUSt_contig_2097.287 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:1505532:1507397:1 gene:KYUSg_contig_2097.287 transcript:KYUSt_contig_2097.287 gene_biotype:protein_coding transcript_biotype:protein_coding MCTNLLLLRYLSLGAAVTVTVLPKEIKKLQHLETLDVRRTSIEMLPREVMELPCLVHLFGKFKFQHKVGGWRMRKLQNWLSENSKLETVAGFVVDNNSQGFTQLMEHMKHLTKVKIWCEFTADTNNNLSYLSKAIQGFIERGAHLKEARSLSLNTNDKWSEYLLNFSLEKDYSYYLRSLKLQGNSMCSQLPPFVTMLGGLTKLCLSFTGDHRLSGDTLAALSRVRSLEYLKLTATQLDKLVIKPDGAIPRLESFRLLCKDLNGFSSTTIQSLPRLKEVTLHDGVSDKTKHEWKKAAKNHPKRPKLLFVETKLMGGEPAVEKTPAVTASDMTTDEVDVGSEPAVQISSVAPLTGTKAKEAAVEKVAVEREPSMDINPAAPSPDMTAREVAVNSEPVVEISPVAATDTTTEEVDVDSEPAAKIITAAPPTAAMLSVTTQPAIYTGESVQVDGDGLHDDDGDDYEKEEMDGTEDPMDFFDKKCLSTQAIKQMNNEISTEETEGVDGLQDYQMDDGNQVLHMFYPSYTIPMLSDVASELG >cds.KYUSt_chr2.12033 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76399733:76400458:1 gene:KYUSg_chr2.12033 transcript:KYUSt_chr2.12033 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCHLLLGGSRPPKSLPSCSSPSPRWHSLKHLLSIRAAVSHAAADPCSLTLHFLRNSCGLSEAAATKAAARVHLRSTKKAHAVLALLRGLGLAGSDIARVVAAAPDLLNYRADVTLAPKIDFFRRDVGLSDADIRRILLLDPYRVLCYSLANRLRPNYLLLKDLLGTDQNVVAAVKQSSEFLHGNVQSELLPKVKILREYGATDDVIIKLLTKHPRPLKHKCVVCSFCSHIVFRLLSIQ >cds.KYUSt_chr1.34578 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210527965:210530291:1 gene:KYUSg_chr1.34578 transcript:KYUSt_chr1.34578 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQAPAASSTTMEAAEQLIQLSGGCDEGGGVSESKSADSVKAAGEKYRGDDKEVVTAVMESSSAGKKGGGEDDDAVMGGVKRRRPRFRSLAAIYRATKRIDLVPIRDEAEEDRSSEGTEKKGKKRAAGGAVHDQAEEDRSSEGKEKKGKKKRAAGGAAHDESKASRVESVKFLGYMLYLSKKLLIKWLIIMHRFVALALNNHVVQDYTSVHHLPHLRLGPSESRVPDSGKTLLKHPQNHAQHPCEMLPSMLQNKRPSPKLETGLSSQM >cds.KYUSt_chr6.995 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6328060:6330339:1 gene:KYUSg_chr6.995 transcript:KYUSt_chr6.995 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGMTTTKKEFGATHDVLRFGVNDSVRGDLAPPHPLQATLQSEGKFWDDKKKFGAEAIYGSAFNIRRDLDAQILSRFQRPPGALPSSMLGYEAMTGSLDDFGFEDYLNMPQDSDSLRIPDMHHGMEVRLGLSKGPVCPSFN >cds.KYUSt_chr3.37827 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237939031:237943744:1 gene:KYUSg_chr3.37827 transcript:KYUSt_chr3.37827 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMLQRVALSPLSLVHRDEPRDSDNSEDDPSTERPPPLPGDDRFQRFINIDCGWKNSSGYVDPALVLPYSSDGDYVEGGMNHEILPEFMAGTGNDQQKTLRSFPDGSRNCYTLPSNISKKYLLRATFTYGNYDRLNKTLDGSLFLFGLHIGVNFWEAVNLSNWDPSQTVWKEVITIAPSNFVSVCLINFDSGTPFISSLELRPLEDTMYPFVNTSVSVSYFQRYRFGNVADYITRYPKDNYDRWWQSWSMSVDYSYPWISLKTSNRLTSLPGNSFNVPSDILQMASTLEANRSFISFVVAAGPNLDATNLQLLPIFHFTEINQSNPNRSFGIYSGKDLLIPDFSPSRLRVDSKYNSGQFMKISYTFLYLNKTPSSSLPPLINALEVYSLIRMDALNTDSSDVNYIKEITKQYNLRRISWNGDPCSPREYSWEGLTCDYSKSNQNPRIVTVNLSSSGLGGGFAISFMNMTSLENL >cds.KYUSt_chr7.28496 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177776066:177776362:-1 gene:KYUSg_chr7.28496 transcript:KYUSt_chr7.28496 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSAMAIADATDMQIMVIDTANGLQLPPVLHAICDRSDTDLGSREVRINGASPAHDVILLTSDRLCAFELSLNLTSTHWSSPARRHSPARSRPGHGP >cds.KYUSt_chr4.7134 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42232980:42235789:-1 gene:KYUSg_chr4.7134 transcript:KYUSt_chr4.7134 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAQEAPGAAAIRDGFAELERQQELLATCTRLYQQLTDHFGSLDRAIDSRADSLRASRRLVHLRASRRLDALRRRELSLDASVSLALSRLDSLATKRHRSPSPSPSPSSSIPDRLAALCAAMDSAGFLAFVVERRKEVDALRTALPAALKRCVDPAGFVMDAVSEVFPVDRRAVRSPADLAWACVLILEAVVPALADPDPEIGAARPMVPRAARERARAMAAQWKEAAERKGGVEGAKPPDAHAFLQHVATFAVAEKADRDLYRRIVLSFSWRRQMPRLALTLGLEDDMEDIIEELVTKGQQLDAVNFAYEAGLLEKFSPVPLLKSYLEDSKKIPCTSDNLSTSSGQSGVNANKKEQSALRAVIKCVEDHKLEAEFPLEDLRTRLEELEKAKTEKKKAVSSCSSGGSSGPASKRIRASNGGTMPPASAPSSPAATTTTTAFIGSPSSHASYGTGSPYSYDRQAGQTLYCSQSSLAMAYPPVVPNVGIAVSYPPPPMTYPVYAGYNSGLGAYGNSMAPPFHQAYYR >cds.KYUSt_chr1.39799 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243649983:243650186:-1 gene:KYUSg_chr1.39799 transcript:KYUSt_chr1.39799 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVGAEKGERMAVTVRRKKADETEDGEMRQIEWLWSFSKKMDVVGSGGSSGLFHLEDMNTALTAR >cds.KYUSt_chr7.16892 pep primary_assembly:MPB_Lper_Kyuss_1697:7:104615431:104619249:-1 gene:KYUSg_chr7.16892 transcript:KYUSt_chr7.16892 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTRPAFLGLAVVILSVLAGAANAQLSPNFYSTSCPGLAGIVRSGMASAVQTEKRMAASIVRLFFHDCFVNGCDGSILLDDTSTFTGEKNAGPNANSARGYEVIDAIKTQVEAACKATVSCADILALAARDGVNLVGGPTWNVPLGRKDSRTASQSAANSNLPGPGSSLATLISMFGNKNLSPQDMTALSGAHTIGRSQCQFFRNRIYTETNINASFATLRQGTCPRSGGDSNLAPFDVRTADGFDNAYYQNLVEQRGLLHSDQELFNGGSQDALVRQYSNTPSRFSADFATAMVKMGNLLPTSGTQEISCRETEISPFWKGVMWAARAAKLGYQWMIGFVEENGENVEAMESSLSVEESEFHGRVREEIGVEDGGSPGALKILKRRKTMMMVGPRTPEMLAPRLMMDV >cds.KYUSt_chr7.35168 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219683807:219684668:1 gene:KYUSg_chr7.35168 transcript:KYUSt_chr7.35168 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRSRKRNRTPAVDPHTSSPSHRRRRRLDSGRKDVFQDLPRSADDRDWAALPRDVLLVILSLLPQTEVLRGAGLACAPWRLVALDEPLLWRHIDLAGGNKKHVEGWQAMAFTAVRLSAGRCESYRGRVDRDVLIFLAHSAPSLRSLHVTCRFDMTCSEKFIAVVAKKIPMLEKLVLSNGLITLASLVVLADHCPRLQSIDAGGCRTTRALRSTEQSLRASLKSRIKDLRLPHPQFIGYRIVLHQLE >cds.KYUSt_chr1.4429 pep primary_assembly:MPB_Lper_Kyuss_1697:1:27263903:27266968:1 gene:KYUSg_chr1.4429 transcript:KYUSt_chr1.4429 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYKRRAPLSNPSTPSDSPPLIATQPPLHPSNPRRSKLNRKIAAAEALQQSPANQTTSSSATSTSRFAVVHNFVTHIANPSWSHPEADVPVNVVSGAGDDGPGPMERRRRGLMGWRKAALTQSRQTHGGAGSDMEALWMVQPARRMEGVLLGCGSSWV >cds.KYUSt_chr4.8481 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50818457:50823443:1 gene:KYUSg_chr4.8481 transcript:KYUSt_chr4.8481 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMVDAAGRWKEKTVAEVRVSGDREAMEGMVDAAGRWKEKTVAEMEDAAGSGLRPVPCRAKGGAPGFKVAVVGAAGGIGQSLSLLMKMNPLVSVLHLYDVVNTPGVTADVSHMDTSAVVRGFIGQQQLEAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRSICEGVAKSCPNAIVNLISNPVNSTVPIAAEVFKRAGTYCPKRLLGVTTLDVARANTFVAEVLGVDPREVSVPVVGGHAGITILPLLSQVSPPCSFTPDEISYLTNRIQNGGTEVVEAKAGAGSATLSMAFAAAKFADACLRGMRGDAGIVECSYVASEVTELPFFATKVRLGRGGAEEILPLGPLNDFERAGLEKAKKELSESIQKGVAFMNK >cds.KYUSt_chr3.25744 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159879402:159881153:-1 gene:KYUSg_chr3.25744 transcript:KYUSt_chr3.25744 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAGGGQNLAVLDALDSARTQMYHMKAIVIAGMGFFTDAYDLFCITTVSKLLGRIYYPDVNAGSGKPGTLPLNINNAVVGVALVGTLMGQLVFGYFGDKLGRKRVYGITLVLMAACAIGSGLSFGSTHRSVIGTLCFFRFLLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSGILLHYHPAPSYKENPGLSAQLPAADYMWRIVLMLGALPALATFYWRMKMPETARYTAIIEGNAKQASNDMQKVLEITIDDEQEKLAKFRAANEYSLLSKEFAKRHGLHLLGTTTTWFLLDVAFYSQNLTQKDIFPAINLTGPPESMNALREVFVLSRAMFLIALFGTFPGYWVTVTLIDKMGRYLIQLLGFFMMSLFMLVMGIKYEYLKESNHILFAVLYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAASGKAGAIVAAFGVQRLTLKGDAKHMAEALIILSVTNMLGFFFTFLVPETMGRSLEEISGEDGNNGVGPSTNTTTAGIGLADVSKDDRYPHSSTEWQPPSMQA >cds.KYUSt_chr4.38266 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235978948:235983321:-1 gene:KYUSg_chr4.38266 transcript:KYUSt_chr4.38266 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRVLDVVEEEVVDGDEEELASPDAKRRRTLLHSSSMQEAIGAQYMQKHLPKLEPFLRRVVQEEVHNVLIRHIDSANRLPLQLKTNSKRYKLQFQGNLPQTLFTGNRVEAENKQPLRIFLTDAASNQPITSGPLSSMKVELLVLDGDFNADERLEHTEKEFSESIVFEREGKRPLLSGEVIIVLEKGVASVRDISFTDNSSWIRSRKFRLGARMSRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADYGIHTVQDFLRNLVMDQYGLRGLLGSGMSNKMWESTVEHARECVLDDKLYSYCSGHGIILLFNCIHEIIGVVVGSHCFSLNALNETQKALVVKLQQDAYKFPNRIVEFKVQSQSAEKSPTAQAPPMPGPAITQNPGLPQGEPNPRYHGLLKPLPLNETLEDVLQATTGASHHHHQHSEPWITNSFDARDPFDMLQFSSSSQPCGGVRAEKEISSPKDQGGLGVHDLEVKNSALLGVFSVCGYLFSVWRTETYLRRSVHGWRIRQGILFPYMGDTIVYG >cds.KYUSt_chr4.14765 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91114770:91121349:1 gene:KYUSg_chr4.14765 transcript:KYUSt_chr4.14765 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGGGGGRRGGHGRGGESSGGQEGGRGHRGGGGGGGGGGRGRGPGGEIAAERGGHGHASRGRAGEQGGRGGDGRGRGRGGHRDDAARGGRGGGGGRVQPSGSQPAAIGRVAVVGQHHTQHVGAGLSRPAGQVTAPAAEVLATEVERKMVLPEKDERPPSSSATAAVEEQRSAKGSQAVVAAPGSLPPVSSKAEKFPARPGFGTIGKRCRVRANHFLVQFANQDIHHYDVAIFPETRSRERNRSIVNELVRLHRQYLDGRLPVYDGRKNIYTAGALPFKNKEFVVKIANAARGHQREEEYKVTIQHASKLDLYNLQQFLAGRHRELPQDTIQALDIALRESPAAEYLSISRSFFSQSFGHGGPIGNGVECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVMDFAMEYLSMRDSSRLSDQDRLKLKKALRGVRVVATHRTDKKLRYKITGITQAPLKDLTFDQDGTRTSVVEYFKKQYNCSLKYINWPCLQAGSDSSRPTYLPVEVCSIVEGQRYSRKLNERQVTGILKMTCERPAQREKSVLDIVSRNNYANDCYSKEFGMKVTNQLALVDARVLPAPRLKYHESGRDKVCDPFVGQWNMINKKFVNGGSVNYWACLTFASRLHPKDIGMFCNDLARMCNSIGMQMNMKPCVDITQPSRQDSVESAIRTIHRNSSQVLAQQGLAGKQLELLIIILPDVSGSYGRIKRLCETELGVITQCCLPRNVQKGGKQYLENLSMKINVKVGGRNTVLENALYKRIPLLTDVPTIVFGADVTHPSPGEDASPSIAAEGYLPPVTFVVVQKRHHTRLFPENHGARDLTDRSGNILPGTVVDTKICHPSEFDFYLCSHAGIQGTSRPTHYHVLLDENRFTADALQTLTYNLCYTASMPSAKESGVVQLMADP >cds.KYUSt_contig_1790.331 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1667939:1668610:-1 gene:KYUSg_contig_1790.331 transcript:KYUSt_contig_1790.331 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHGVDGAQCGLHAHPKKDCDLPSIHEEPPERASGHHSQVRRCRRGDADGWPGQRDQAGGNRVSPVVAASIIAAVTTPLVTMTVLAASIVSSRSTRAAMEEAASPALRAVIMAASAVIFIAAVAVVIVTATVAIVAGGWGAPVPVPERTLASNISQLPVVALPRRVMRRPAFGVRRQVFVEIQVDNDPAGQSLFPLQRRVGMNVDDRRRAIKDCHPHDLGQV >cds.KYUSt_chr3.35907 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225525578:225526018:1 gene:KYUSg_chr3.35907 transcript:KYUSt_chr3.35907 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAEFRRVFAAFDQDGDGKISEAELRLCMKAALGGDMSAEEVQALMATADTDGDGFLDEEEFVRLVEETATGTQDEEGDRCREVFGMYVMEGRGCITPLSLKLMMSRLGLHLDVDECQAMIRRFDMNGDGVLTFDEFKTMMMMG >cds.KYUSt_chr2.44664 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277974281:277978945:1 gene:KYUSg_chr2.44664 transcript:KYUSt_chr2.44664 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSNHCGHARLLSAFAGRFLSAGVIRFRHSLMRARIYGPTGGGDGMTKSALETCHSCVSLYCAQVLVKGAGFLVGRRCYYLSTREEALERDINGDGTLRPARLPVLSVFPIRRYAASDFFFFFYLYVCFASSSNSFPSCAFHADAYDPVDPLGNITINWDFTSMDTNQYTVMVSIHNYQLYRHIERPGWRLGWAWSGHEIIWDVRGAETTELGNCSGVHFDPGHPPHCCEKRPVVVDLPPGAPYNIQVANCCRGGVLSSLTQNNSTATAAFQMSVGGFDRDGGDPNGDPVKPANFSLGVPGYTCSNATQVPATRSKVDEQRHVQVMLTWQITCSYSQFRDGPSPSCCVSLSSFYNSTIVGCPQCSCGCPRSPSAPQCFRQGHPTLILQFIQCHHLKTLYYRAISIGCCREIVECPRCMIFTEFQLKFAVFGQLSVSDGEQSKLQPLPDADGNPPTPVIRCTEHMCPIRVHWHVKQNYREYWRVKASITNYDMVSNYSDWNLVVRHPNLANITQLFSFNYQPLIQYDTINDTAMFWGIVNYNEMLLRDGNVQTEMILKKDPSDFTFSGGWAFPRRVYFNGHQCAMPSPDQYPSLPNAASDVRVSAVQRWLITGSCLLLLSMFHVV >cds.KYUSt_chr4.9666 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58344674:58348515:-1 gene:KYUSg_chr4.9666 transcript:KYUSt_chr4.9666 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPAPERRDSADASMERAAPAASGTSVAGTTPEEAPVTDVTENTESGGQGGLHDTAVSKSENGNEIAISTGGDSPRKSGPCYLEAHLDDTTLVQAASKQAVNSDGTRKRNFQPGNKAVQVSTGNSSHAREISVVETKDSRSKFQKKSDSEEPLCEDRKIIGLAGISEELKENENRSSLNSSCLKDEKEQKNGSRGKENHSCICKSSTSQDLPLPTCTVNSSLTTIVTEDNKEAPKCMSLRDKQNPKEVTPTNSLVVAKAKTTEGETVNVDSIIQKKYDEVLPSSFEEKETENVATCLGSCMLDNQPASTNVSLMTLQGGVNANVKTNCLDSTTGEYFYQREDFIKPSDVVSSANVKTNCLDPTTGEYFYQRGDFNKPSDVISRRYSLEERRNLGSVKHMREAIPHTSLNSAVKENVLPSENQKNQSYPFNEGTNFFQIGTACPNLRADVHYSMDIRGGNRADYGFRRSYLDHTSTEKNKMQERELACLSTYHQSINRISPSYPFNEGANFFQEGMADPNFRAGVHHSMNIYGSGAVANPNFRAGAHHSMDIYGSGAMAYPNFRAGAHHSMDIYGPGAMADLNFRAGAHHSMGIYGSGAMADPNFRAGAHHSMGIYGSGAMADPNFRAGVHHSMGIYGSGVMAEYGFGRSYFDHTSTKRNTMQEQELAYSSTYHNINRISPSSLPQTCSENLRVAFSPRHSLVGVRKKKLLILDLNGLLADINEDTHNAHMADAKVRGKLVFRRPYCDDFLNFCIRNFELGIWSSRKRKNVDSIVDILMRDLKPYLLFSWDRSKCTITGRKTLENMHKPIVLKELRKLWNKEEPGLPWQEGEFSPSNTLLVDDSPYKALRNPPYSAIFPQPFSYLNQNDNSLGPGGDLRVYLENLIFADDVECFVRNHPFGQPFITPSDPHWNFYAEIAGEGRGSVTCLA >cds.KYUSt_chr7.27086 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169305622:169309456:1 gene:KYUSg_chr7.27086 transcript:KYUSt_chr7.27086 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGKVCVTGASGFVASWLVKRLLESGYNVLGTVRDPGNQKKVAHLWNLAGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPIITKSDTKEEMLDSAINGTLNVLRSCKKNPFLKRVVLTSSSSTVRLRDEAEFPPNVLLDETSWSSVEFCESIQVWYGVAKILAEKSAWEFAKENNIDLVAVLPTFVIGPNLSSELGPTVLDVLGLFKGETEKFTMFGRMGYVHIDDIASCHILVYETADAKGRYICNSAVLDSNELVAFLAKRFPSFPIPKSLPSIYGEQTYGYNTSKIRKLGVEFKGVEEMFDDAVESLKENSPTMASGGGDAKSLTQWLREKGFDEETIGRMSKRCKNLPNLDASEASGVWDYLLNDVKIEQRKLRYVVTKCPKVLTVSVDRKLVPTVQCLNTLQAKPGEVAQAIIKFPPILFHSMEQKLCPLLAFFQTLDISEKQLAKLLMVNPRLISYSIEGKFSQTVDFFVSLGIEKEGMIGKILTKEPYILGYSVDKRLRPTAEFLKSVVGLEGTNLQRVIMNFPGILSRDANKTLRPNFMFLQSAGFSKDQIMALVAGYPLVLIKSIKRCLEPRVKFLVEEMGRDRGEAVDYPQFFSHGLRKSLEYRHKILKQMNSRCSLSEMLDCNQKKFAMKFGLIAAV >cds.KYUSt_chr1.4244 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25788216:25792867:1 gene:KYUSg_chr1.4244 transcript:KYUSt_chr1.4244 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPTTATVSPAPVHPNRVRVLLPGQGPPAAGPVVYWMLRDQRLADNWALLHAATLAAASAAPLAVAFPLFPKPFLLSARRRQLGFLLRGLRRLAAHAADRRLPFFFLTGGPAEIPALVRRLGASALVADFSPLRPVRQALDAVVNDLRHDGLTVYQVTSVDAHNVVPVWAASPKLEYSARTFRGKMNKVLDEYLVEFPELPEVVPWDREQPEEIDWDVLIDTVCREAEDVPEIDWCEPGEAAAMEALLGSQDGFLVKRIKSYDTDRNYPTKPKALSGLSPYLHFGQISAHRCALEAKKRRHLSPKSVDAFLEELIIRRELADNFCYYQPHYDTLAGAWDWARKTLQDHAYDKREHIYTREQLESAKTADHLWNASQLEMVHHGKMHGFMRMYWAKKILEWTSGPEEALSIAIYLNDKYHIDGRDPSGYVGCMWSICGLHDQGWKERPIFGKIRYMNYAGCKRKFDVDAYISYVKRLVTQSKKRKLDESLNSVVKYAKS >cds.KYUSt_chr6.21486 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135615799:135617862:1 gene:KYUSg_chr6.21486 transcript:KYUSt_chr6.21486 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPRPRGLASGTAALALLVLLAVAAPRCRVARAQQGYEANAQDDCYGRNDSTVLGYTCNATAAAAAPCSSYLVFRSSPPFYQSPVSISYLLNLSPSAVADANAVPTVSPVAASQLVLAPVPCRCAPRGYYQHNASYTIISSNETYFIIANITYQGLSTCQALMAQNPTLDSRRLLKDNNLTVPIRCACPSPAQAAAGVRHLLTYLVDWGDSVAAIADRFRVGAQAVLDANSITETDTIFPFTTLLIPLKNAPTPDMLVSPAPPPAPSPPQTQPPPPSGGSSSGVAVGVGVGVGALALAGLLGLIFFFVRRRRLRRTRSVDGESGGRPGKVMVMDAPSSSDYDPLASGKHTTGTATTSSSSSSALVSGDARAAAEAMTLYKYPDLDKATAGFAEERRVANSSVYRAVINGDAAAVKRVAGDVSGEVGILKRVNHSSLVRLSGLCVHRGDTYLVFEFAENGALSDWLHGAGVGGATLVWKQRVQVAFDIADGLNYLHHYTNPPCVHKNLKSSNVLLDADLRAKVSSFALARSVPEGADGAQLTRHVVGTQGYLAPEYLEHGLITPKLDVFAFGVILLELLSGKEATFDGGDKRGETLLWESAEGLVVEGEDARGKVREFMDPRLHGDYPLDLAVAVASLALRCVAREPRGRPSMDEVFVKLSAVYNSMLDWDPSDYGNSRSSIAGR >cds.KYUSt_chr5.41823 pep primary_assembly:MPB_Lper_Kyuss_1697:5:263761361:263765710:-1 gene:KYUSg_chr5.41823 transcript:KYUSt_chr5.41823 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQPPAAMSAPAPGRRKRKKKGRPSLLDIQKRTLRLQKLQQEEEESKARQQRRTSTRRNPAPDDADSGDDDSDDDDHRRDKKLRLVVGLHDGSASKVGAPPLPLICPLPARIRPIPTTICAIRHRSAPAAKDTYGVFSEPVDDEELPDYRDIVKHPMDFSTLRKKLDKGAYANLEQFEARAIQEVAKKDFENLRQDSDASEPEPEPLPELEPKPQRRRGRPPKNTVQPKVERPPAEHPTTDFSRSTLATAGNTGLHAHLGFDIQQRIADVLKASFGNRSSEHSWSSGRKLESAEDYSGSGSKCSGKMGKNPALIGVQLQQSYPRSLARFAAQLGPLGWEVASKRIEQAIPPGMMFGRGWVGDDESPNTLKPPVPTSLSTSVTPPTSTASEQQTVVDPASAIRSAGPHPHVAPYASTGTRTDSQALSSMQCGSLTQVSADRGEHTVEMKSSHNVQEWPAKHQTINGFNAVPGSVMFAPTAQLVADADTYR >cds.KYUSt_chr5.20033 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130111579:130112037:-1 gene:KYUSg_chr5.20033 transcript:KYUSt_chr5.20033 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEDRGGKQVLHVVELDEEEPVKKPMLVEEEQIPLSRSLLLATAAGQEPAPFRTVLHRPAPASQTPCKIQSRETPPTHPLATKAGRAVSEDPSCSLAGRSLKASMAERSHSSAASPEQKKAQRRLIGGGAGAAPLRPRLRPPEQEQKEEHP >cds.KYUSt_contig_1989.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:27966:33104:-1 gene:KYUSg_contig_1989.7 transcript:KYUSt_contig_1989.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVERSSPTPAPASLMAELDIVKKRWRHLQSRARRALPAVCVVAATVLAFVVLSTAGDGWPTLPRNRISVQDHEPPSSNLTTDQLLDGLLTAEFSLSSCRSRYEFASYHDNKKRNRPSHKPSPYLLAKLRMHEALQKRCGPGTAPYRAAIRQLKSGDGTVAADADDCRYLVSIPYQGLGNRMLATASAFLYAVLTERALLIHHDVGALFCEPFPSTTWLLPPSGWFGGGFPLAHLDYDADSKESLGNMLKANVLSVGADGNATWSDGRHRPPYVYLHLEGRYNSHDKLFYCDEHQHLLRGAPWLLMKTDSYLVPGLFLLPSFQDELGRMFPEKDAAFHHLGRYLFHPVNDVWRAVTSYYGAHLADARQRVGLQIRVYPRKQKLRHVLEQVLSCVRREKLLLLDDPPASNASVVVDQAVLVTSLSSWYYERISDAYGGDRRVHLHQPSHEGRQKWGDRSHNGRALSEMYLLSTCDVLVTTGFSTFGYVAQGLSGLRAWIMPATPIWLPDTGVVPDPPCVRAISVEPCFHSPSYYDCAARKDVDVSKVLPYVRRCEDVSWGIKIANGSSQW >cds.KYUSt_chr7.37985 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236793428:236794356:-1 gene:KYUSg_chr7.37985 transcript:KYUSt_chr7.37985 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAASHLLLHQRPSTAAATALYTLRNLCHFPPPSPAEAKFTPAEAQRMVRLVGVELLKRRLRDSPDEVLGHGEFLDACVEAGAARTRGQAEELAQAMDQSGSVVLFRGMVYLHPEKAPASLPPLGDTGGEANPGQPPPQPHPPPPLPSPVCSVGQSPRGAGGGRTRFSSGREGLLTGLGSPCQRDSLRASMAAGAGGGAGVVELVGAEEEPCARRSGRCGWRRRCGPDLGLAWAGRAWADAVAGQLAVLLGGRT >cds.KYUSt_chr7.33059 pep primary_assembly:MPB_Lper_Kyuss_1697:7:206246108:206251189:1 gene:KYUSg_chr7.33059 transcript:KYUSt_chr7.33059 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLRGSTIGLTRRMREGTVWTASAHVITAVIGSGVLSLPWSTAQLGWIAGPGTLIVFAVITYYTSVLLTDCYRSDDAVTGKRNYTYTEAVESYLGRRQVWFCGLCQYVNLVGTAIGYTITASISAAALYKANCFHKNGHSADCTVYTTMYMVVFGISQIFFSQLPNLSQMAWLSILAAVMSFSYSTIGVGLALAQTISGPTRKTTIGGTQIGVDVSSPAQKIWLTLQALGNIAFAYSYSMVLIEIQDTVKAPPAENKTMRKANLMGVSTTTAFYMLCGCLGYSAFGNAAPGNMLTGFGFYEPFWLIDFANVCIVVHLVGAYQVYCQPIYAAVEKWAAARWPSSDFVVRQYPVAGGKFNFNMFRLVWRTAFVIVSTVLAISLPFFNDILGLLGALGFWPLTVYFPVEMYISQSKVKKYSKKWVALQSLSFVCFVVTVAVAVASVEGITLSLKNYVPFKTKM >cds.KYUSt_chr5.38383 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242652580:242656782:-1 gene:KYUSg_chr5.38383 transcript:KYUSt_chr5.38383 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIWPRSDVDPGAETMALLLFSLFRFLCLAALQRDAAALTRHDFPDGFIFGAGTSAYQVEGAAAEDGRKPSIWDTFTHQGYSPDKSTGDIAADQYHHYKDDVKLMHKMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELILHGIQPHVTIYHLDLPQCLQDEYNGLLSPRFIDDYTAYANTCFKSFGDRVKHWVTVNEPNIETISGFDDGTLPPRRCSYPFGASCTGGNSTTEPYIAAHHLLLAHASAVSLYRDTYQVTQKGHIGITLLGLWYEAATNTLQDAAAASRMNDFHIGWFMYPLVYGDYPPLMRSRATRADNSAFNLEQRDYYADAAVILDPLADIQEGYAEPPCALGKLLEHLKVKYGNPPVMIHENGYGDIPKIPSKIGYDDDYRSEFLQNYLEVLYLSIRNGSDVRGYFVWSFLDVFEILYGNVRRFGLFGVDMNSKERTRYMRNSARWYSGFLNGGELRPMSPSWKAYGAA >cds.KYUSt_chr5.39936 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252409773:252413707:1 gene:KYUSg_chr5.39936 transcript:KYUSt_chr5.39936 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTRKNKVLRTKIGCLDHRLIPADSGMFIRRGFYKLHFEVEVEDESHEVDMVEANNGSDGNNGDNQGEEKNGGTHDMDMDNKDKDKEEASKGSDHIGSNSHKGGEGMQEQCDSLEAIQFGTINSNCASQGNQYAAKNLNSLDPVFSPISHTIFSAQNDKVLSDSHVDSLRSGDAPISRGVGIGALVQQPAVGQGLLVAASGSHAACAMSPAGRHLPPVITIDASPGALSQVAARASDRMHVPASRRTVAGLGSSVPSRVVSPAAATSPGTQKILSMESVQSELSASGQAYLGPMIGSTPPGSAVPPNVVVSGEFAGVGSGGPLGGMKDLMGVASSPVPSVRPTMEEVIAFGGIPQPPLGVRSSIRIGGQPGGDMLQMEKAMRIAQSKDASSCVESSKYEANPMPF >cds.KYUSt_chr5.17658 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113959666:113961252:1 gene:KYUSg_chr5.17658 transcript:KYUSt_chr5.17658 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPSAPRRHHHRNPSPPPSPAAQPTSPRFSDAAAAAADDAAPEPDYTAELPEELLAVVFGLLGSGDRKRCSLVSRRWLAAEAASRLRLALDARAPLLAAAPGLLARFSAVSKLALKCDRRAESVGDPALVLVARRLGPGLRRLKLRSLRAVTDDGVHALAAAAVNLRKLSVGSCAFGARGIEAVLRSCTQLEELSVKRLRGLASSDPITVPGPRLQSLSMKELYNGQHFSCLITHSPNLRTLKIIRCSGDWDPVLQTIPNDSTLSELHLEKLQVSDLGVAALCGLEVLYLAKAPEVTDVGLAALATKSPRLRKLHVDGWKANRIGDRGLATVAQKCASLQELVLIGVNLTSASLELIAANCPALERLALCGSDTFGDAEISCVATKCASLRKLCIKACPVSDAGMDKLAAGCPRLVKVKVKKCRGVTFECAERLRASRHGALAVNFDTPGGAGELQDASVDESGVLENAGSDAVQDDFDDQIGVPDLLCGSSGRPSGWKARMGAVISRSLSVSMFRRRPRGISYNP >cds.KYUSt_chr5.43424 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273620761:273625568:1 gene:KYUSg_chr5.43424 transcript:KYUSt_chr5.43424 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEKPSWVRHEGLQIFSIDIQPSGLRFATGGGDQKVVANLHLRAFVKIGSHMEHEVRSDDQAILIHERKAGSGTSEFGSGEPADIENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWSMTNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNHSMFRKHLATGQDAKAAPAGWANGASKTSAKEQQPYNIIAIGSQDRTITVWTTAGARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVATFHFEAKELGYRLSDSELDELKRSRYGDVRGRQSNLAESPAQLLLEEASVKQLAGKKGTPAAVQQFQMPPKVPADVPKPPTPAVQIQKAPEPLPEEEKKTAAEDTTKVTRISSPVKQREYRRPDGRKRIIPEAVGFPSGQENISNRSQNQAVDFSPLDQRMRPGENGIRSSYSTAGNNCNNYGRSGITARANISESLVIQKASANAGSDGRLSVEQTGSVVPGSLASSSVLSITVHNKKENEGSLPVCLEAKPVERSAGDMVGVGGSFSTKETEIKCSREKETLWSDRIAGKVTVLAGNANFWAVGCEDGCLQVYTRCGMRAMPAMMMGSSAIFIDCDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDDTSSAKDAGTVKIISASFSRCGSPLVVLVNRHAFLYDMSMKCWLRIADDCFPGSNFSSSFSSTQGGELGKLQIDIGKFMARKPMWSRVTDDGVQTRAHLETQLAASLALKSSQEYRQHLLSYIRFLAREADESRLREVCESFLGPPIGMVDAASTDAKNPSWDPDVLGMKKHKLLREDILPSMATNRKVQRLLNEFMDLLSEYEGADANVDKMDVTPPATEAKDTAGKMDVTPPATETKDAADVDKMDVIPPEAKDAAAT >cds.KYUSt_contig_1181.221 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1417322:1418326:-1 gene:KYUSg_contig_1181.221 transcript:KYUSt_contig_1181.221 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRLAVSAALLFSAAVLLSAVPAARAQEETDREEEFSYSLDAENGPAHWGEIKEEWSACGKGSMQSPIDLASPRVSLVRHLGYLNHSYRPAQASIVNRGHDIMLSFQGDAGSVSINGTVYYLKQLHWHSPTEHSVNGRRYDLELHMVHQSAENKAAVIGVFYEIGAHDAFLHQLEPYLEMIAEQKDREEKVGVMDPRGARGRASVYYRYMGSLTTPPCAEGVIWTIVRRVGSIHLHASHHDS >cds.KYUSt_chr6.23488 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148328699:148330228:1 gene:KYUSg_chr6.23488 transcript:KYUSt_chr6.23488 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRHSPCSPPAASPLDLDDLLREILLRLPPQPSSLPRASAVCKGWRLLVSDPRFLRRFRIHHRRSPPLIGFFIENILPCRDLSFQPTLEPPNRAPVRPFSLQPQDGGLFRFLNYGHGLVLIILRKRNKVLIWDPVTGNQHHIAVPPGFEMVLTPLMNGAVLHAAGDVRHFQVVLIGTYQEQQDTRVVARVYSSETDLWGNVISTSVSFDTDICRSMRCVLVGNSLYWLLSENSPGILEFDLDRQSLTRIPVPLGIYAKGNGRFTLESMQFKKLSEANFVTVYHPFESVYTAGNSMPLHCG >cds.KYUSt_chr4.43831 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271740655:271741939:-1 gene:KYUSg_chr4.43831 transcript:KYUSt_chr4.43831 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAVRDLAALSTRLLLHLGSDKANLALSPLSFHSVLVLLAAGATGDTLDQIVSFLGTSGGTAHASLASHVASGILAGGETDAEPDVRCAVGVWVDSSLRLRPAFADKVASQYKAVARAMPFKKKAEEARVEINRWFEDKTGGLITDLMPTGHLGSDTILVLGNALYLRGTWLDPFDRDDTKDGDFFLPGDESSTPLRVPFMASKNSQRISCHAGFKLLQLPYECRGNHQYSMHIYLPDDRDGLQALVRELSSDVAGLLDRCVPQQWVMVGDFRIPKFKASFKIEASDLLNGLGLERPFVFSRDFAEMVDYSEPLAVGSVLHQCVVEINEDGTMAAAATEAEIMAGCCLEEDEEPERVDFVADHPFLFLVTEDRSGIVLFAGQVVNPLL >cds.KYUSt_chr1.16357 pep primary_assembly:MPB_Lper_Kyuss_1697:1:95045945:95047851:1 gene:KYUSg_chr1.16357 transcript:KYUSt_chr1.16357 gene_biotype:protein_coding transcript_biotype:protein_coding MMAERFFSCNLTAVPPFDDAGVILLSGPACCGKTSLLFQFAINRAAESGRQVVFICSKGRLENSPPFLSQGVDPSLNVLQRVQIKYIEDDEGIRKYFAAFHLLDDFPAAVIVDDFTGFFSERSCQQRYGNTRARDLAMVRILALCQNAITHANVKLGTLGSCNLLLSDVHQGDNPRSLFIYKRWISSIYTIQGDGLGSYLLKNISTQSGTKEARTAKYSIALQYLVLEEIKE >cds.KYUSt_scaffold_3611.243 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:1341785:1346310:-1 gene:KYUSg_scaffold_3611.243 transcript:KYUSt_scaffold_3611.243 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWRSGGAPVGFFSTDAAAGAAQGGSKSEAAAAASSGAVQGGSKPAEAAAVAQGSGGGGQDGKSEQDKGKSVRGGPVSWLSFLLLLVTGGGIIVYYDKEKKRQIEELKNKTNSVKPAQTVGTAAIGGPFSLLNHDGKPVTEKDFLGKWTLLYFGFTHCPDICPDELQKMSAALNKIKEKEKLEIVPVFISVDPERDTVEQVHDYVKEFHEDLIGLTGTSEEIRKVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFFGKNYDEDTLAQGIVKEIREHKSS >cds.KYUSt_chr3.38767 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244231310:244235567:-1 gene:KYUSg_chr3.38767 transcript:KYUSt_chr3.38767 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSGRVPEAISWIPRDGIGGGGVSGSCYLQDQLKAKQSETWRCTSSSRDSQRTWRRTHPLCAAAGAGPHEPDARVRPRLAYHGLRPTLVLARFVLSTGLPPGVPFRVAAISDDIDTGGMGSCPDPVEYCRKAVFDGGGMGSCLDPIEYDPHMPWAGRAPLPMADGSALRRRGVVGMDLGSENLSPFFFLDDGHLLSDRTDGAGDMGAVKT >cds.KYUSt_chr6.2614 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15254933:15258349:1 gene:KYUSg_chr6.2614 transcript:KYUSt_chr6.2614 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGDEGWKRSGIEVSTLQFGYDGQAPLFARFNLRVAPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQFVCSGDLSYLGGSWSRTVGSIGDVPLQGDFSAEHMIFGVDGVDPVRREKLIDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLETWATDIAYIQEGELRKSAKYSDIEELKTAKNLLSVVESWLKSETKLPKKEPPRVESQPRRSSPFDASPFRASRHMAYYR >cds.KYUSt_chr6.20789 pep primary_assembly:MPB_Lper_Kyuss_1697:6:131149100:131151350:-1 gene:KYUSg_chr6.20789 transcript:KYUSt_chr6.20789 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATFSSGRPPSSSSAESGWNPSISSTVDTSIASLIERATSTTAPSVDPVLLRAIKSSARASDGAIRDAFRLLLSLMSKPHSHVRLLAFSIVDELFMRSKLFRSLLADALDGFVPLAVGFRSDDQLPPPAASASTLRKSAIQALERWHHLFGVHYRQLRLAVEYLKESARIQFPGIRAAVEARAAREARTQEILTAKVDQLRQDIASIKAEIRSTIQEIRNGLAIIRAEYEKFEGYADDDDEEQEVASLAMRSIRAASLMAGEWVPETKENEAVFDALREAYRLLMSKHFGTIQDWISVLIRVNLADNRFRDSALKELIDVKNEIRAVRGQCNDLGLDLDNVRRKKDIQEEDEDWEEGKIEVPSPARAESSADVAGSSKDTRKGKGVVCGVNSGDTDMPNAGNTSQEMDPERARLLAEAPLVSWSSVLDRWGSNGDAHVNQRGLELDSHWGRMDNDAVIPAAKIAELNVHHSVYKEEPVEILPCRAPLKKGGLCQRRDLKICPFHGPIIPRDSQGKPIGLNDGSSGAGGDPVEQSADICDAGGRIPIESNGSSDGEYTPEPSPSMTTNSNNDTGVMDGAHNLGKNTMDQLARQAIKNVRKRDMDEKAREKAQRVRIRQHNEDVLRQAAIASTPHSAAAYDQPSETLGRRGRRRGKTKEPTLASMLKKKVTTKDRIAERLLNARARDAATREASHNEDMTYREAFPNQWQ >cds.KYUSt_contig_1253.115 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:806511:812762:1 gene:KYUSg_contig_1253.115 transcript:KYUSt_contig_1253.115 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRPLNCDDAGAGGKRSRPVAAPKKKHLYLALDDCHKGFSIHKIDPDTLQDTTADLQEPMAGFPEPPILRLAAQTVNCAMKFTALGSNIFIATNPRCGLTPTLVYDTVTTALTIGPRLPAPLLTGFNISVATSDKLYGMSSFYSNVRQQHSFEGLSRAPETGQSSLPRPSMEDWSWKTMPSPPPFGEGDWITSYAVHPDGHTIFMSAQDSNDTSIARGTHSFDTESCKWRWHGNWVLPFDGQGYFDHKLEAWVGLREDGHVCSCQVPSRSGISSAQPESKMVRDKLFRYIRDEDIPITPLPPSLINEDEPAVKLKSNEVRIGPITRARAKLLKQLVNLFLNGTMIDENFILPKSYYLCVIRYQEETSIARGGEEQLDMKTDVKMDVKLDMELDMKISHGRAREEREACTRGEEDVQAGPAPDIITWREYEALRNEMRCEFRAQDEVLNGKIDEISQKLDATHVTVTTMQDQMTDVQRNLADLHLAVENLTAQQQQDDEDDPELQDDTHNARDAPRGNRARGWVPLGRNGRGQDEEDGLGKPKFSIPKFEGGADVEEYLTWELKIEKL >cds.KYUSt_chr4.8308 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49694291:49696759:1 gene:KYUSg_chr4.8308 transcript:KYUSt_chr4.8308 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLFDCFRAAGGEPRGGRARAQLVSSSAIPAACPKVAERKTAPPSRNALSAVFLREDEGSQATSSGPNQDGERERADRELMQHEAISIKNNGTLLQNPNEIRKGPERTDSVTPALSKNLKFVDAPKTEDCQTPPESHQSSNLPDAMSSSWKGCDASNLHDSEAVSKTEDSNGVHNELSTLDSCSFSSNNDDIYLDGSKLSFFSTPQKVNAEMHTPATNASNVEGLGNESSSQHLSEALKPAVDFQNSEVSSENFFQPNISDKDLKCGKNGTLVSIELSISDECSLFQNSEGSVSPCNKISDSMSTAFEEKRQTTEMAVHARRKLITNIGSDIEFPSLSEWLKPPNSRTSDSAKSSDDDRPIIGMVAAHWKNEEPENGTPQWWDGNGIPNSTNKYKEDQKVSWHAMSFEERLEKALSEEKLLSQRKCSTGNTSQFSGVEGEESDTAASQHLRVAAFT >cds.KYUSt_contig_1467.284 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1733963:1735110:1 gene:KYUSg_contig_1467.284 transcript:KYUSt_contig_1467.284 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGLDQLQGLVRSYLSNRYQEETSIARGVEEQLDMKTDVKMDVKLDMELDMKISHGRAREEREACARGEEDVQAGPAPGPTGRQTGQPDRATPYHSAASEQAAVQQQMQQLQPFWAEQYREIEVEALVVFARVCEMFAVRRPRLLPR >cds.KYUSt_chr5.13406 pep primary_assembly:MPB_Lper_Kyuss_1697:5:87256255:87258156:-1 gene:KYUSg_chr5.13406 transcript:KYUSt_chr5.13406 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYNVRIEHAPSIGSSSWVVEMEKTIGVIDPAVEMARWKRHSIYLVPERIKNLHNSKAYRPELVSLGPFHHGEHDLLPMEEHKRRAVVHLVKRSRKPLRQFVAAVAEVTQQLQEAYKDLGDEWRGPDNSERFVELMVIDGCFLLEAMRMDALQGKVHEDYAPNDPVFSQYGYLYLWLYIQSDMLVMENQLPLLLLHKLLLVLDHERYQNAREISKLVLDSLCPWHRHMVDTNPLGLHPLDILHQSLTHDDHQDRKGSKAYVMPPAMEIHEAGIVFKVSDTDNLLDVHFEHGVLSMPAMRVDEGTEKRFLNLMAFERLHPAAGNDVTAYVIFMDNMISSAKDVALLRSKMIIESGLGSDEEVAKLLNNTLNKGGVMSPSSRLHDVQRQVNAHCMKSWNRWRANFIQTYLRNPWVFISLVATVILLVATLLQTVYTVAPFYKLTS >cds.KYUSt_chr1.2273 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13313386:13314264:1 gene:KYUSg_chr1.2273 transcript:KYUSt_chr1.2273 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAVSVVSGTTRWCPTPEQLMILEEMYRGGLRTPNSTQIQQITAHLAHYGRIEGKNVFYWFQNHKARDRQKLRRRLCMSHHLLSCAHYYAAANAGHHHHLLGAVAPMQQQHQPQYYSASCAGGGFDQHLLPAAVPAPAAYAAAGYGYPAFAAATAPTTSRCAPGVNPSPQNPLSSTSFSYQGGGGSPEYSLGRLGSFGVVVEEDTCRSSRYEQQLPVQMEDAAPAATGMFCRPLKTLDLFPGAIKEEQRDVV >cds.KYUSt_chr5.20624 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133993467:134006113:-1 gene:KYUSg_chr5.20624 transcript:KYUSt_chr5.20624 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFIPCHYQSHRLTQQATLARTPLNAARVPDYSGHEGRSCCARRITEQREVHSRNQKAEPLTTDDTHDNALDSGRRLQPRTASRSKSLFQELQTKLSFRIDEASKKSLFQELQTQLSFRIDEASKVLKNTPQMLLDAVVDSTFKFSDQTLHPSESNFAPVDEIGGPIEILQIEGEIPEDFPEGVYIRNGSNPLFGALHSTASVFGESSEIWVEGEGMLHAVYFTKSCSASWSVSYANRYVQSETLKIEQARQKPCFLPAIEGDSPAIIVAYILNYLRFGKVNKNISNTNVFEHAGRVYAVAESHQPQEICLRNLETGNTWDIGGKWDRPFTAHPKVAPGSGELVIFGSDAKRPFLVVGVVSDDGTKLKHKVDVKLDRSTLCHDIGVTLKYNIILDLPLTIDIDRLTKGGQLIEFEKGSYSRIGVMPRYGDAESVMWFDLEPLCMFHLINCFEEGDEVVVQGFRSADSIIPGPRLNKQDMVPETSELTEHGKTRKHEIDEKLFSRLYEWRLNLETKTVSGEYLTGTKWSLEFPMIHNNYTGVRHNYAYAQIVDSLTRSGGNSEKVLPKYGSLAKLCLEERENVTETSAKDLVKMEIHRLGEDRFCSGASFVPRVGGTHEDDGWIISFVHDEKTNTSQVHIIDTQRFEGAPVAKITLPQRVPYGFHGTFIHSNTNGL >cds.KYUSt_chr4.47384 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293240371:293242080:1 gene:KYUSg_chr4.47384 transcript:KYUSt_chr4.47384 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKDTFRKMYSAAKGYYCGGLASPNAFSALDLWHSLSSLRKPPGHANLFRVCSYTQCALTNDSADQEVVIALGSNVGDRVSTFDRALRLMRSSGIKVTRHACLYETAPAYVTDQPRFLNSAVRGTTKLGPHELLRKLKEIEKDIGRTAGVRYGPRPIDLDILLYGDSRIKTESLIVPHERIHERPFVLAPLVDLLGSSAEDGMEKSWHSLSKCSGGFFDLWNKLGGESVVGTEGIKRVMSVGNTLLDWRQRTLVMGVLNLTPDSFSDGGKFQEVEAAISQAMLLISEGADIIDIGAQSTRPFARRLSAEEELERLVPVLDAIMKLPEMEGKLLSVDTFYAQVAAETVKRGATMINDVSGGQLDPSILQVVAELGVLYVTMHMRGDPSTMQNEQNLQYDDVCKEVASELYARLRAAELSGIPLWRVILDPGIGFSKKSTQNIEVIAGLESIREEMGKMSLGASHVPILLGPSRKSFLGEICSRADPVERDAATASTVTIAILNGANIVRVHNVRYNVDAAKVSDALLKYRRK >cds.KYUSt_chr1.32400 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196724966:196730914:-1 gene:KYUSg_chr1.32400 transcript:KYUSt_chr1.32400 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPLRRLLRRSLSTAASRPSWAMIYRISSAENSAQSASLSLAPPPLASRVSIPRQAFGLEPLPASTDGDPIDFFSCAPPPPKQGSGLDAPRPPSADDNFINVFGSSVLAASGDGLLLLGTYRNRASAFALFKHQVPSPVPFEVFDQVYARSFYDPMRVSRFVCNPVTGEMVRLPDFDGTENAFSAATGLLTQAEGGGRGPPKRYAAAQLSLLDGGRLFLLRRLSSETGEWDELVLPSPLPPGRRMHMNQEVLDFRGRLWWVDVSWGAVCVDPFSDRPELCPAELPAGIMIPDQQGEEEMRQLVKHRRMGVSDGALRFVEVSQEEPFRIKSFTLDDDESGRWMLEHQVSWRTLCSESRAMPLLAAIDPLSADLLYLNVPVGKGFCVSADIRWKRPVESMALGSGIHPSKAPRIRLIKALRSRVYTESPSRNTRCLTTEYYIAMHVKDPPFLTRRIGSGYFMGLPGSDYLHRAVKIWLLLPGLDFIHLDLQQLGRPMGHR >cds.KYUSt_chr2.42394 pep primary_assembly:MPB_Lper_Kyuss_1697:2:264084293:264090954:-1 gene:KYUSg_chr2.42394 transcript:KYUSt_chr2.42394 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTTTRALALLLLVVAQALLLLPAAANAVGTMAAPVTLHVEHRQVLVDNGVVQVSLSNPEGHITGVRYGGERNLLHSKHGGNSGGYWDMVWNTPGSGRQGNYQMYVIPPPFFFFLAVHKRLTGVRFYRLEGSDFKVVTATEDKVELSFRRTYNASSQSGGLPLSVDKRVVMLRGSPGFYCYAVMEHAADAPAVSVSEARLAFKLNTARFNYMAVSDAIQRYMPSAKDRESPRAKRLAYGEAVLLVDPANPRFKGEVDDKYQYSLDNKDNKVHGWVGAGQGSPIGFWVVTPSNEFKIGGPLKRELTSHVGPTSVTMFLGTHYVGNDIVARIDDGEYWKKVMGPVFVYLNSNPSKGDPRALWEDAKARADAEAAKWPYGFVESPDFHKAAERGSVVGRLLVRDRHVSLQDIPAGTAYVGLAAPGQAGSWATQSKGYQFWTTTSPSSGGKFTIENVRAGEYSLYAWVPGFLGDYVHASRVTVTPGGAVELGDVVFEPPRSGPTLWEIGVPDRSAAEMFVPAVAGPKYNNSELLAGKDAYRQYGLWERYADLYPDGEDPVFTVGESHHSRHWYFAHVTRKAGDDGGFAPTTRQVRFNLGRVAANGVYTLRVELAAAHKARLQVQVNGATGRRPLATPTFGDGNAIARHGDHGTQWSFEFPIRGSLLREGENNIAITQTRALNPFFGVMYDYLRNIKTLPVDEILKGKWLVLAPTVGPAAAFAGVHIWAGLLTVSGERVVSGLVYRLGSLDFINDNAGCFANGGRYPQNDRIIEFGSHHIYLGTVKERQYPSPVLVAPDPPRS >cds.KYUSt_contig_97-2.132 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000026.1:614889:617037:-1 gene:KYUSg_contig_97-2.132 transcript:KYUSt_contig_97-2.132 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKVHGLSIELDIIPEVDLYKCEPWELAEKSYLPSRDPEWYFFGPRDRKYPNGCRTNRATRAGYWKSTGKDRSINYQKRAIGMKKTLVFYQGRAPQGIRSNWVMHEYRIEESECNNTMGVQDSYALCRVFKKNEPIDEFEKQGECSTSQAKGNQEQVTDFEDAGESSGVNENDKDNSWMQFIVEDLWCSNKTK >cds.KYUSt_chr2.46745 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292228221:292229327:1 gene:KYUSg_chr2.46745 transcript:KYUSt_chr2.46745 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWRRLTNKGSSVPRQADTSSRCVTESVSGTHDFVVANYSRIRDLPEGDSVMSGTFSVGGCDWFLCFYPRGAFWSTAFQREEYGSYVAASVHLLKKEAPVMATARVTIGLVDRHGAMKNMTTSDAYNFTPGVSQKFHDFTHKSKLTSRRYLKDDCVTIRCVLTVLTPRTEATRAVPATAAPLPELNGHLERMLRDGKGTDVTIEVGGQAFRAHSCVLAARSPVFDAELFGPMKRKDTDERIRIEDMEPTVFESLLHFIYTDSLPGNGEGCSTAVTQHLLVAADRYGVEKLKHACDLKLRATLDVETVAATMVLAKQHHCPLLTDACITFMVSSRKVLADVAATEGFKHFTASIPLQNQIEILGKVSH >cds.KYUSt_contig_686-1.1108 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6864053:6866238:1 gene:KYUSg_contig_686-1.1108 transcript:KYUSt_contig_686-1.1108 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPNLPPPPPGSSSSAAPAGASYFPLPFHLQQQQPVVPTYQYQQYQQLQQAQQLFQRDAQTITPEALESVKAALATSDVLDPSAARASASSSDAAAKKKAVPRRAAGQSWEDPTLTEWPENDFRLFCGDLGNEVNDDVLSKAFSRFPSFNMAKVVRDKRTGKTKGYGFVSFSNPTDLAGAIKEMNGKYVGNRPIKLRKSNWKERTDVEALQRQKNHIQKKPKTAKKGILHK >cds.KYUSt_chr3.33495 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210276929:210277936:-1 gene:KYUSg_chr3.33495 transcript:KYUSt_chr3.33495 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRRPSSPPQVPAHPLEDDDLLHEILLRLPPQPPYLLRASTVSKRWRRLATDPKFLRRFCVHHGKPPLLGDFSYEVGSFSFRSTLDPPYRIPPRRLSLRSDGSEGWACLDCRHGRILFDDCRRRRLIVWDPITDGRCVLAYPQQFRDSGIVQIHSGAVLCAAGDQGHVHGACHSSPFKLVGLSACHHNDVASIFGSVYSSDTGVWSDLVSTTLPRKGINLLSHSALVGNTLHWLITTDSILEFDLVAQRLAVTKRPLGAPPRHDNVQITRSEDGGVGFAALSGSCSGWGWACARVSAFLMETAWASLVLIIQQPLPQPGKEAHPPWGQGRAH >cds.KYUSt_chr1.42629 pep primary_assembly:MPB_Lper_Kyuss_1697:1:260839172:260847055:1 gene:KYUSg_chr1.42629 transcript:KYUSt_chr1.42629 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRRPGDAATENAGAGTPASGGPAIPAPRSGTNEAVRGGPGPGRNTPAPAQQTGSSDRQQDASNSLSGDSDRQQSGNASSSSKKRKRISARSYFKKFKLAEGANAAGVASDDKENTSSGHVADSNIYKLCEGSRLIGKNKRQSSDAVSKVSERLVSGSHEASETVVKQGSEPSSEVDRRESLVLAEKGLNCECNEELVALMYRKLRTLKEKIPYRAGEISIKGRPVSVEDIRLSWQETSTNLENDHIFQNEEMDLHGEFSHGACQETSAVAEQIISERQEPVQETCREYHTQNHEILSMIVEKSIDLVRNVFSLREKNILCKQQLEISGLVTHRQNNVIRLKEVCSLVLEHVCRSHIDEMTRRKEIKQTAYWFTMLMYGFLEHMKFQHEKLEGLQSDTWSSERQLKENLYQVAKSGQLDQGFDQRIALPDSKFVMEEFIHFKERDGGYRIVESSLSHCKQPSNATLLIEIVRNEVLSEPISIQPIENEPVETSVGSRGRLASEVVDFSENIISCNSDGIGVESAGCSSSTVSSNNDSIGQEASTCRNTEHIVKPSMLLGGATSLVRGVNSNNDDTMDTDGHLDSPMLASSQSFISMVGAQSVLPCVGTQEIQVMQPEMQPSIFFPDAPLQKISDDRSQTGFQPDRATGLPQGAAETSLHLGDARMQGQGKSDGNVGADPLLLTYPADSPVTLQVSTEVETQTCEPTMPATQSINPPAQQNVLGTEAASDLHPNVQPSTSMQDEPEEAEDEPDAVDEPAEAERAATFGFIAAQTLQPETQSSTSTQDVLFERTYLSGMPVLHSPTIHQSVEPSLHPHGEAESVVMVTAHDLQSKILPSAPVPAEQNTSLLSQQSLATSQHSPAEAEPADILCTKLACDLQPSLVEMHDQPAEEQSCILRTIAARNLQPETQPSTSMQSAPFGKTHLFVMSMLQNLTAHRTVEPSLDPHARAESTHTLCSLTAHVLQPEVQSSASMQGRPAEAEGAVMLGSTTAHDVQTEVQSSTKVQPVPLERIRPEERRQIGFLPNMVSGIEQPTHHPPAKTLVFNNPILSGDRLKNELHTLMHSNSLLSKDHEHKKALLLIECNQEIEKIKKKYASLLQKEESTYVQALRDLTDIYRKGLVEESLAESFRGQITPSAAAQGSLVTEHAFEPSSAHAFEPSSAAEPSSRWNAQPQSILAGHLYGTTSSPLVPAPAPNVSEGSAGAQLHASAPHLQHLRMPWAHAVHTDKQQLPPASPPLGQRFGKWHEAHIHAYESGRSWDLDAADEDVLELDWMYPSELAPRPSECTCAATHTMPPAMDSLVAFPPCRTLSMVAV >cds.KYUSt_chr3.4563 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25862040:25865676:1 gene:KYUSg_chr3.4563 transcript:KYUSt_chr3.4563 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMHSKDDASKKGSDETAAVARSGRGKSLGFHPEGTKSRRQERWELHDDASKKGNGALGRRHRRHRSSRVRHLEESVYASLLDKIPKDNIIEGRKCADSDTNTDAGTDQFGTNVEDTTCNSTKLMETCHYSRATRSHEEIAEGMQVAPDVSLGRNRASPSTMEEGCRTAKKARVEQPSGYVQPAGVGAVVDTSLLNCPLCSRPFKPPVFQCKSGHLACGTCIAELPVIRCQKCEHGGAFDVHNMMMDTIVLSAKSMCPCAPCYCTEPGCGFVGLPLALLSHLTTQHSWPLHSIEYGKELRLQVPVSEPRHLLLGEEEDCVLLLVVGAVGQSRATAVSAVRLGASSAQPRYMLNILAYLPPVVAGRRAHMLLLDMDVESSTRPGEVVVEELSSYLTVPPVKCTRTSRVLVQ >cds.KYUSt_chr2.31311 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193284965:193285736:1 gene:KYUSg_chr2.31311 transcript:KYUSt_chr2.31311 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYLSSLQAAATITAGGASPRPRSRVVTCHATDSSGRRSACLGLGLAAAGMLRTAPARAADTDEDPEPANNGWWLTEFPLPVPKIRNKEINNGETGTRSFIKNGIYIADIGPSFAAHAYRLRSSAFDLLALEDLLGKEASNYVNKYLRLKATFIYYDFDKLITAADADAKPPLLDLANRLFDSFERLQAAVTTKDDADIGSRYADTKLILQEVMTRMA >cds.KYUSt_chr7.21521 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133455871:133463968:1 gene:KYUSg_chr7.21521 transcript:KYUSt_chr7.21521 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNWRSLQHRHRYTYTSVVVPKHYLEALALVPASVSSSSFFAQLTNLISLTSTYAQVAAVKDLAAAFVHFLSSPADDAAVLVAAKLYLEILFFENSLPLHRTLISVLAKCKKFSAAISGCFALLCEEYGGAGAKAKKRFLVSRAGLSLIGYPKLGFLDEAVGKGVEVMASDVVAGLEGVIRDIGDGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTGLHEASSVFRTAVRTILTVLKSSAFSRDCLVASGVGFCAAVQVFMSPEEISRFISQGLFGIFPPGHHEDTKDLAAAHDALSGFDLNREIGDLSVLSRLCLLRGILTAIPRKALTVRQLRPNGSLWTVLYDGILPELCEHCENPVDRHFNFHALTVTQICLQQIKTAVTADFADFSGDYKPFSRDIINRILKIVWSNLEDPLSQTVKQVHLIFDLLLDIESCLSSEDQNNRLVLCNIANDLLRLGPRCKGRYIPLASLTRRLGAKSILSFKSNLLLETAYAYIDDDVCCATTSFLKCFLENLRDECWNSDGVEQGYDAFRALCLPPLMRGLVSGSSKLRSNLNTYAIPVVIEVDPDSIFAMLGFISLGPSAKATKLDIPLKSDQCIAALVSLLKVSRNLALVEGDIQLDSGELPQQGDIKGAAVISVKGITVTVPVNWFILALTHGDETLRIDAAESLFLNPKTSSLPSSLELSLLKEAVPLNMRCSSTAFQMKWTGLFRKFFARVRTALDRQLKQGSWRPSPTSIVKEANPVDTAVDACVQRAEDLFQFMKWLGSFLFNSCYPSGPYERKTIAMELILILLDVWPICRSEGKTDLYPYNDSITMPDSTISFVGSIIDSWDRLRENSFRILLQFPTPLPGISLSTSINDIIRWAKELVLSPRVRESDAGALAFRLIFRKYVLELGCVLVFSEEHDCLQCYTKSTDGAAQVITSQNPVAQYLSALIQWLCTVVEEGEKDLSEACKKSFVHGVLLTLRYTFDELHWNSEVIQSRVSEMRCLIGKLLELIMRITSLALWVVSSDAWYMPYDMDDMIDDVSFLSDVIDEHQPDTASATAEKNAKSGNNGKPADSVIMVGCWLAMKEVSLLFGTIVRKIPLPVCSHSNSSQTEDISGEILDVEQLEMMGDHFLQVLLKMKHNGAIDKTRAGLTALCNRLLCSNDPRLCKMTDSWMVLLMDRALAEGQTVDDLLRRSAGIPASFMALFLAEPDGTPKKLLPRALKWLIEFAKTSLANFQKDCNQKSEAMKDDTSVHSNGNLSKSRDEGVVPTVHVFNVLRAAFNDANLAADTSGFCAEATIVAIHAFSSPYWEKCATQSNYRVRVLASRALIAHSSQCSDPPVSANMGNGNLPWPAKSSSFNSIHGLLLQLCSLLDNNFRGLTDSNKKDQILGHLIEMLDVARTGESRHTDVIRSLLLQLSSQCLNNATSTHYSFHDPTQIELQQQAAASYFSCVGIPKGHDETAEEDIRSQILDKSTSSMSETPCEVSLPELHKEIMSCLADPIYDVRITVLKRILQLVKSIRCGDNGDLVRQIFDKEIDNHHEEKLLICQISCLKIQKLLRSEYELATEGRTELFLQNWRESFLHQLTSLTSGYLEKEGKTDWIGGIGNHKDVFTSVYANLLGLYALTQSRSLEQLEDRHKLYLQEFSDLEGFITPFLKNPLISNLYLLVKRSHGVLGSPLKPEDQVGGSASENFDLYFLLR >cds.KYUSt_chr5.7556 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47657622:47660863:-1 gene:KYUSg_chr5.7556 transcript:KYUSt_chr5.7556 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAAIDLHILSAQVTESTDFTELANQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHEQEYYLSIVSDRLGCTISFSECGGIEIEENWDKVKTITLPTEKTMTLDACAPLIATLPLEVRTKIGDFIRGSFSVFQDLDFSFMEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGNLEFPLPFGRVLSPSESYIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYAAELGNYAEYSGAPKEEEVLQYARVVLDCVTADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARVNIYVRRGGPNYQTGLAKMRALGSELGLPIEVYGPEATMTGICKQAIDYVMAEA >cds.KYUSt_contig_402.408 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2531088:2537222:1 gene:KYUSg_contig_402.408 transcript:KYUSt_contig_402.408 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDDGPEFVRWREEFLSQEKGSRVVHYYLEDAAGVPHLAVVGKERSLRHMLYVVSEDFHGPEGSGGADGQGMFARKWRSRREVVDWLESFLPAKTLTSNFSKFGPRMGNDVGLDGYSETGSFVCHNLGKTCSSDIMWSGPFWTCSKQLQHYQAFCRNGTTISTHSFALVMSEEENRYLAYLEDMYEDKKGLKKVKVRWFHQNQEFACAIPPPAPHPCEVFITSYSQVISVECVDDIATVLTPEHYEKCLDTLPNCSLVGMRFCFRQYSKNKFKHFDLRTLRGYFSQAAVLSLKVSPEKEKDGSDIIRVVKHCSPGKTKFSKEFERLYSKCLGTKICRGPQADSIPSYQNLNNKQSPGKHISVKFIGPQNQHVPTYSVGDKIEVLSQDSGIVGCWFRCTVLKPCTSHNKLKIQYDDLQNADDCGRLEERVPASTLALPDKLGLRCPGRLRIRPRPQQNTLVDDTALLPGSAVDVWQFSGWWEGIVISADSSSSDSLQIYFPEYARSLAPLHPTSLDSVAHIFIDVAIVLQHGPQISKSISLRPPRQPRRRSPSPPPRRPRYREAGRREVVVERVVKDVGGGSYPMLTRTNYTEWSLLMKVKLQARGIWDAIELGADDYQEDRMALEAILQAVPPEMMAGLAVKRTAKEAWEAIRAMRVGSDRMRKGKVQQLKKEFEMISFRDGESVDDFALRLTNLVTSLATLGAPIDETQVVEKFLRVVPPRLSQIALAIETLLDTSDMSLEEVTAG >cds.KYUSt_chr2.13755 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87189068:87189382:-1 gene:KYUSg_chr2.13755 transcript:KYUSt_chr2.13755 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLKKMNEEKEAIFDLDRPALEAEVIKLNSELFDLKEEKKQWESMANHWESMEKLRKEKWEKKEEAWKEEKKKLEYTVFDLFKVNSANKEKLLQIKGIIGEI >cds.KYUSt_chr6.23045 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145622979:145636712:-1 gene:KYUSg_chr6.23045 transcript:KYUSt_chr6.23045 gene_biotype:protein_coding transcript_biotype:protein_coding MQSENRSETAKTAETARDKTAEIFSNIGDDDPIALDYNGLNFDDFHISEVIKFLQKLAKSPNASAINLAFTQHITNALIKAREEKLEREASIPRKLEDGWEPIIKMKVKDFDCNALCDLGASISVMPKKIYNMLDLPPLKNCYLDVNLADHSTKKPLGKVDNVRITVNNNLVPVDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEGPARGRLPWQQLRCAGPLCSASRPVPNRRRNPENFLELSRSKPQLFLPPPPRWLFPKPLHRHLLMDHPWRFPAGDLCPVCAAPHFPFCPPPPPLPPHPFPYDLHPPPPPPPPHYHAPFHPPPPPPMWAPPGPHPYDLLDMEGPHKRMRVGDAPPFDPYAAPNPPMPGRASVEGGRLLGLIREHGHGRPQLPPTQWHGEPYPPDGFGYGGDRGHPPPPPPQNYNNPYAQGGNFADYDLVRRMPPPPPMPFDRHSAFDSGFAPVGGSQESYFDRRQFHPDAPPGAPPLPSVPQHAEAGNHYDSREWRSHAGGVVPPPPPDPPVPSPPDYHAMPPPRAADSSLFPVLSSSAATTSHPPSDHTLKHQSHAMSNTNSYNGPNHNEGLGLIYQPHPEQHLGDGRLAQEKHSFNDVKVNIVNACDLFRLPLRASRPDHIVIIMRGLPGSGKSYLAKALRDLEVESGGSAPRIHSMDDYFMIEVEKVEGAEGSKSSTASKGRKHLTKKVIEYCYEPEMEETYRSSMLNAFRKTLDEGNFTFVIVDDRNLRVADFAQFWASAKRSGYEVYLLEAPYKDPTGCAARNVHGFTLDNIKKMAADWEEAPPLYLRLDTHSLFHDDNLREHSIQEVDMDTEDSDNANDTSVSTEAEDSKKAIPDDVPDRGDKWDSSDEEDLDDIKELGQSKWSKDFDEDTAKSKHADGSTHALSGLAQTYGTRRKTLTWGDQLEKGGFSIGAAKRRLSSSLIIGPGSGYNLVTNPLPEDNSAGVKDKVNNETKRRFSEQLRDEGQSFKAVFDKRKQRIGVFDNGNDE >cds.KYUSt_chr5.3936 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25451560:25455208:-1 gene:KYUSg_chr5.3936 transcript:KYUSt_chr5.3936 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIDFRYLDEGLGGARGKRKRREEEDEAAAAESMDVDAEAPRPSKLRAVPSLSDPSKPAGFGQPTYDGVIAGRVSGRNWKEPRTRRSSALMVSRKPVPLEQRVRGKSLKKAYQARKAELKEEIRRNKAAKRTRRQEREKLKKENVLRTGSKLQKVTNPKTIQKIAKSKKRHQLKVVSDEIFGKKKSEDARRMQLFFVAVCGLFTRVCPRFPDFIYVGLPLYIDFRGLFTRLCPRFADFVYVGLPLYIDFRGPFTWL >cds.KYUSt_chr2.4687 pep primary_assembly:MPB_Lper_Kyuss_1697:2:29039298:29040635:-1 gene:KYUSg_chr2.4687 transcript:KYUSt_chr2.4687 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEREAHQKPPVTEPSREEEQDDKPKAVPRPRLRRLELVRIAAARAVVCLVALYGLAKSRAGTHRPKVDTVESVVGRVVGPVYARFRGTPLVLLVFLDRKVDEMVLKLDRKLRSSLKAASSRAYAAAQAAPGVAKELLASGADHASRSAYVKKVESMAKNLYLRFGPAVAQVAVPAAVHWAEKYNRAVAGSRCIPRVPTKLIAKFFTDRGMEVEVNDAR >cds.KYUSt_chr6.132 pep primary_assembly:MPB_Lper_Kyuss_1697:6:803510:809128:-1 gene:KYUSg_chr6.132 transcript:KYUSt_chr6.132 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGYKCLDRSTGRIYISRDVVFDESVFPYATPGVSVDVSTLADALSFPSDEPVTSVTMRKYDLSCLPLDVPGSAPFVQEQMAAEATPPSAPAEHASVIDVHAEARDPAPHAASSPAPTASPAAAASLSAAPVPDQPASPSVEGSSTATPTAAPGHAMVTRARDNTRRERMYTDGTVRYDPSRRAFFATPVSHRDGLREICVARCHGRGGCCPSPHQDMGLGSSSSGINVVGCKWIFKTKHRLDGSIDKHKARLVARGFTQRHGIDYGDTFSPACQTSYGAAGSLSCRVSRMESPSIDVGNAFLHGFLSEDVYMQQPPGFEDDRYPSHVCKLQRALYGLKQSPRAWGQLHYKSAILGMNMTKTKMRLLNRNVSFVSKKSPQDVEESSSDEQSEVDISKTKKKAAKRGRKKATIDTSEGETQKGQKGTEDASPEETKTVKKRGRKKAATTASSVEEKDKAKEPKKRGRKKVKVAEQLSDDEGEDQMIMPSNEIKDVSSVIDLESKVDSLLSQDIGEVDKLMPLVCCFGAAKYAFIPSGRPASRLVDREIHSRMGDMFWSPDEFVRAPGGSSSNVALALAALGGRVVFMGKLGDDEYGQSMLYHLNVNGVQTRAVCMDSSAPTAMSLMKVTGRGNLITNCVKPCAEDCFLQSDINPEVLKEAKMFYHNSSALLEPSTRSSLLKAIEVSKKFGGTVFFDLNLPLPLWASSKETKSLINEAWEAADIIEVTKQEFEFLCGIKPSGHSDTKDEFTHYSPEVVTKLWHDNLKVLFVTNGTSKIHYYTEKHNGWVRGTEDAPITPFTSDMSQSGDAIVAALMNMLSINPHLATDKVYLHRAAKHAIKCGVIDQWLIARERGFPPRERVDPTGEHDHVRFITEKEYRTIPDAAPQPAADSELALVE >cds.KYUSt_chr2.54737 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341476374:341477117:1 gene:KYUSg_chr2.54737 transcript:KYUSt_chr2.54737 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHRVHPVIAGSPPEQTKDKIPPSATHGEQLLPITAPPPYAPAPIRAPRRKRHSRCCRCVCWTLVVAIVLVVALGATAGILYAVFRPKIPTFNVDRLTVTRFDVNTTTAVVTDAFEVQVTANNPNRRIGVYYDGGEVTAFFNGTELCSGAFPALYQGHRATVRPLITLQGETRLDSAVAAQLAQQQQAGFIPLTVNARVPIRIKFGVLKLWKMTGKARCNLVVDRLQAETRLRIRSNSCSFKLKI >cds.KYUSt_chr5.34169 pep primary_assembly:MPB_Lper_Kyuss_1697:5:216855023:216865065:1 gene:KYUSg_chr5.34169 transcript:KYUSt_chr5.34169 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVTDSEPTRGTGVFLGGRYSREEVIAFGGIPESAVLGVRTSERIKAQPNADATQLERAQSHAQARDDILYSAKEVEEQYRILFGCEIGAFPFKYLGIPIHYRKLRNGEWKPIEDREASMFESNRADQSKISTMDGPPAANIGLHRDSVEASSDDRFAALSSIGGEYIGEDKLRLLLKKKIAPVCYVWFEPCDMMDIEQGIMKTIYVNKIIQAGCTVKILMADWFLQQHGMIGNDLDKIRDIGNYNIEMWKATGMNLDRVELVWFSDELNRHAVNYWPLAVDVSRKYSMEKMARLTYGSSAWISETLSC >cds.KYUSt_chr4.15787 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97590205:97591902:1 gene:KYUSg_chr4.15787 transcript:KYUSt_chr4.15787 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRLLLLSLIASAAVSTFAAQSDPASPVCDLSIIAPKLARHCQSDPDVPSFLLSPTAQCCEALVGSLPAQIEMALPCLCRAAGDPRLVAARLDVPRIFALYRRCVKGSFRRGPSFANRYCEVEDIDREKCNAANLATRVSRSCVINGKITSACCLAVVPTVVYRGHPSCLCRVAAEPQLAAAGLNGTGILELYAACGGTNPVGPHLVDACKAWNLPTPALAPPATTKPPSVLPPTMAASASCAPKAIAFFMVSYVYKDPTALTCRNLVASVDFGGGVPCLCRAAVEHVTISAQLKTTDLLAIYNACGGLRLGGAEHKAAAASCEGYGLPLPLPPTGKTPTTPLQQNHDGGAIRIRPDTAGDRYGLISLCFEKPSQMSTGGLRSLSRQTCVVLQILAQASMHAKQMRTKQQAITTQ >cds.KYUSt_chr3.13705 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82634795:82636789:1 gene:KYUSg_chr3.13705 transcript:KYUSt_chr3.13705 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLDPDSPFDASALRVAAHPLSISLPASPSRFDVVRTEAEFPPRQAAANVVAPDESSRMLSLPPRPPPMVSVAYERVEKVMFRSQPIPAVASELPNAGNSGQFLAVSDDSMSRGSGARIGMGGTSKTRRERDTSYDSFKTWSGKLEKQLTTHLRGVVRPPPQQQQEGEPMEQDHDDAAATSGRPYSTMTMPRVQRFFAALEGPELDKLRVRYLEQQLVTTWLINALMGQRTSRVVFALRRRRRVRLGDQSSEELVLPSDKTWPFLLRFPVSAFGMCLGMSSQAILWKNIAIAASTRFLHITLRTNLVLWCVSVALMCLVSALYACKVVFYFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPELVMAESLPGWLWYVLMAPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGAIMGLREGPIFFFAVGLAHYIVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACVAWARITGEFGYGSRIAYFIAMFLYASLAVRINMFRGFRFSLAWWAYTFPMTSAAIAAIRYSSEVKNAFTQAMCIALTVVATLTVTALFLTTLLHAAVHHDLFPNDISIAITERRPKHTIAELNELHSNKVGGADAACRDLEAAAMTAS >cds.KYUSt_chr7.30491 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189815411:189825167:-1 gene:KYUSg_chr7.30491 transcript:KYUSt_chr7.30491 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAPVTPLPRIKLGSQGMEVSVQGLGCMAMSAFYGPAKPEADMLALIRRAVAAGVTLLDTSDSYGPHTNEVLIGKALQDGVREKVEVATKFGISFAADGRREVRGDPEYVRAACEGSLRRLGVDCIDLYYQHRVDMSVPIEVTIGELKKLIAEGKIKYIGLSEASASTIRRAHSVHPVTAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFTRGAKLVDSLSDQDSRK >cds.KYUSt_chr4.32834 pep primary_assembly:MPB_Lper_Kyuss_1697:4:201356087:201361477:1 gene:KYUSg_chr4.32834 transcript:KYUSt_chr4.32834 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISVAVRFRPPKSSADPDVDSSSFSGGGAGGNREWRIDDTRISLLHRAVPVPGTSFAFDHVFDDTATNGRIYGLLVRSLIRAAVDGFNGTAFAYGQTSSGKTFTMNGSSADPGIIPLAIRDIFDTAAEAADREFLIRVSYMEIYNEEINDLLTLGSEKLPIHESLERGVYVSGLREEIVNNAEQVLELLHRGEENRHFGETNMNVRSSRSHTIFRMVIESSAKDQVNSGDAIRVSILNLVDLAGSERIAKTGADGVRLKEGKHINKSLMILGNVINKLSENGKQRGHIPYRDSKLTRILQSALGGNAKTSIICTAAPEEIHIEETRGTLQFASRAKCVSNCAQVNEILTDAALLKRQKLEIEELRQKLQGSHSEVLEQLILKQRNDMHKFELERDRLAMELQEERRLRETLEHQLTEQQKMLENHDNIGLSAEQFTDSIQLDSLKTPDSKRTPDGFVASRSRYSNDVEFSPIPENLGAVADEDLWMQLNKGCITDLDMLEMTPGFKCPPSLPKDTTAVAPLKEPIDVRCQRLEKDCISDRQQLEDSKASCAVLEKERNLLIEENSSLRQELSRSKQEANHLVSEKEELLKELETERYKMEQLKQDIRIFSQSFSQRQGQLTSLYTKSKAIVENCKASQVALP >cds.KYUSt_chr6.13694 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85713897:85715910:-1 gene:KYUSg_chr6.13694 transcript:KYUSt_chr6.13694 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPAPFISRLRLLRTAVASPASSALLPHSHPRLAIPAAAPPLPRAFAAASSPLVRPGAARFAHFSMAAAASSASSVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGDNVAPIYKFLKTSKGSLFGDNIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEVSCNTTLCVPSHQARSCSAYFCVSLQKDLKKLLASS >cds.KYUSt_chr6.19224 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120884716:120886788:1 gene:KYUSg_chr6.19224 transcript:KYUSt_chr6.19224 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVMILILKAILMLFLHAIFVDFGAIQTADCRRGYPPTQDCVGMNFAQQHGSYHNNYSVGWPENPTMTYRNNNPPISSFPPSYPMQGFRYEEESNCSPQQFYPASIHVPQHEEMLPAELNGPLVGQPSTPQVQAHTREFDDEEKPSLLSLEFNWSAENDPVRPVIIKEMKKIKDGRDLVEEMKKIEKKMKLSNIISSQLELELSAPEVSLDTCEVPTPSHVPEQTSQSTTEAATLEEERVAEIEEERSQTLEERESQLEFTSDLVEGPIPITPEDVVDMNTEDSSARVASQESESQVELQLVMQESAYAGLTIPLNDLFLSDFSAIALHYIIPSLKKELTIGMLHCDHAYLDNETAIDACHDTYIPHDRVLLRDACLDNAHLSHNDNCYPSYDTMLAVTYNSHHDFTCAPLIEAKHGSSRHPMGSWWMYGMVMRSKVEQLGVVSLDRALGLRGGE >cds.KYUSt_chr4.20304 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127679565:127680602:-1 gene:KYUSg_chr4.20304 transcript:KYUSt_chr4.20304 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLDPPAKATRLAPPMTWRTRLSIFAAGYLTDATRRADGTINRRLLAYLDPGVPPSAAPRNGVASRDLDLDIDPSLPLPLRARLFHPAPASSTPLPVVLFFHGGGFAYLSASSPAYDAACRRIARHCGAAVLSVDYRRAPEHRSPAAYDDAFAALRFLDDPKKLPATDLPPLDVSRCFLAGDSAGANIAHHVARRYALSASSFATVRVRGLVAIQPFFGGEERTPAELRLDGAPIVSVPRCDWMWRAFLPPGADRTHEAAHAASPAAMAGIDSPAFPPVVVVVGGYDPLQDWQRRYCELLRSKGKEVELLEYPDAIHAFYVFPEFAESKDLMLRIKDFVAAGTK >cds.KYUSt_chr4.32640 pep primary_assembly:MPB_Lper_Kyuss_1697:4:200204380:200206558:1 gene:KYUSg_chr4.32640 transcript:KYUSt_chr4.32640 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAPVPELHCCRQFSIPCLAVRFCRLAAVRRAPLWFVVSGVKKDGMSMMGDEIDYMKEPLQQKMQEQPHDADTAVALLSLFLESNLNEMKLGEGGPQVEMYCAAKSYIFEVSMGILQVFLNFRL >cds.KYUSt_chr3.3057 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17509472:17522108:1 gene:KYUSg_chr3.3057 transcript:KYUSt_chr3.3057 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPPPRSLLRPHLLRALSNAAAAAALASPTPPPAPPLPAEWTEAPVSAVRAATSDASLFHVSLDLASHGPLFASHVAAGQFLPFRLPAAPYPIFLAISSPPPASSSARGSFEFLVKRLPGTPSATLCDLRPGDLVRVGGSVVGRGFEVARIGGAREVLVFATGSGISPIRSLIESGFGENEKVDVSLFYGARNLQRMAYQERFSDWESRGIKIIPVLSRPDDQWTGQRGYVQNAFSRTKKVVNPSSMGAILCGHKQMTEIESWRGSRQLNAERQQDVGRSQRRDATLESVVEIGAGGFRTYNTPELAARAYDVAAWRFRRPRRDLNFRDVESLEEAEFLAPAPCLVNEEDRRRHRQAQHRIAIAERDEELMRQWRAQFPSDVDNTQAFFADLRAQRRSAKRRRRAIAEFELDNPNTTWADNDPRWDGVWTEAKVKAV >cds.KYUSt_contig_1788.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000203.1:67221:69129:-1 gene:KYUSg_contig_1788.13 transcript:KYUSt_contig_1788.13 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKERGAHSLREFGLRELRGATADFSPLLMVGEGGFGCVYRGALRLPGGHPHGTAVAVKRLNPKGVQGHKEWLAEVQLLGVVDHTNLVKLV >cds.KYUSt_chr5.7199 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44959616:44960101:-1 gene:KYUSg_chr5.7199 transcript:KYUSt_chr5.7199 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRALVALLLALAATAVSAAGGQYEPASCDGHKVTVQNLCDHDLRLNIEPLANSKLLFSNGYLLPRGTHREFPVCAWTGRVKLYGADMVEFHLGHEGGGWYQLTPGPTKSTIPVSCTPHGKLQGHCPTAGCNRSKCFEHSVPGGNCHGVSEMKIVYCST >cds.KYUSt_chr1.15449 pep primary_assembly:MPB_Lper_Kyuss_1697:1:89976085:89976591:-1 gene:KYUSg_chr1.15449 transcript:KYUSt_chr1.15449 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSACFGEFSPRALHTSSSVLTTVVATEVVAPVVEILPELQDHCGKSYVVLPVELGHLEPLTMDIAPSPSPSESCELPSSVDSGGSSIRLPLFDRDAMLASIDQAVFVKKLSGLLACLEAASPGSGEAIACLIAEEASTGKIKKVKKALRSIGKKGGAIGKAPATA >cds.KYUSt_chr4.16199 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100406723:100413707:1 gene:KYUSg_chr4.16199 transcript:KYUSt_chr4.16199 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQVRSNWNDFLHIIKDLQLPSIDNLLSTTVQWFEKRSKTFEPTYLYGVEKGYFLLSEGSKIRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPTGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRSRAHMHQQGVQITSSTIYTSTLHIARVNVRDPGAINDGPVAARETDTLMPQDEPTRNQQEGQLNENAEAAASNPLQYQDQNPQQAGNAPAGSASLNPLASLLLWLLGGSASDGIVSFFSMFRDVRDHGQDYIDPPRNENEQVT >cds.KYUSt_scaffold_1700.180 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1246145:1247062:1 gene:KYUSg_scaffold_1700.180 transcript:KYUSt_scaffold_1700.180 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEHDEVTVVPAGRKRRAARSDTWTGFRGVQRRRSGWCSAYITSGQGNQEWLGTFDTAEEAARAYDVAAVKLRGAKAVTNFAQGAAAEAEGSTTKLRGVYRRQCGEAEVCSQGLKQSPAAAKVKSEAQFSLNQNEVQARERKSALSQKKKRKSANPDMELHGQGVRRRQRGTYAAQMKVPQQRIPLQLTCSGTAEEAVTASDMESVKLPGVANQTTTAASAGDAQPPHVPPDNASAPSCDPSLLVLLGDFPEQQALINGFLEQPAFDLVSDSIIPVMHFDDLGIKLPPVGWQPVDDFLNDMEFT >cds.KYUSt_chr1.24668 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147648073:147650633:-1 gene:KYUSg_chr1.24668 transcript:KYUSt_chr1.24668 gene_biotype:protein_coding transcript_biotype:protein_coding MAACKRPAAVLDGSTPITRRPPKETAGVSPEILSVEAKASSPQDMNPSLNSSHTKDGAHNSICGDLDCPGLQLVSGSPIFPSDIISPVTEGNGARQTITIKVLKDKSSGDWWLHCGVNGDPIPIGYYPASLFDRLSMKATHIILGGVVSRSPTTGLPPMGSGAFPSDSDKAAVIRDIQLIDQDGTSTPIGDDMPAQITDFRVYSVSPMVGSKFSYGGPRKIQSNM >cds.KYUSt_chr3.28612 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178493229:178494164:1 gene:KYUSg_chr3.28612 transcript:KYUSt_chr3.28612 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWISLEGKLNWPSDWGDPERTSLNRSSFWSDELQPYPLLRKHHIPNLQEQNIKGLLFDMKEMLCMRLMNIQKQIQQSQPENKEERFAMQMKVACSGETTSMISVKVIEQAMTRDWGSNFHKNTQVHQFILKAHLISFEARMYMLIRQPWILSLDTLLIDLDNSENKKITSDYYKFDFIYVDLRAYGIPKINRSLKLLKDTLVLVGTESEFHELQKNMLVSRTDYIWGIEIIRICSARYGIDHDPWTLCSSSVSYLTGTRDFCHGGLLTPLVARDHYPAILVVNDDDIGKESMHMDYEAAAPALKAPRLP >cds.KYUSt_chr4.14855 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91620374:91622881:1 gene:KYUSg_chr4.14855 transcript:KYUSt_chr4.14855 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYDEGRKRRYDEGSGVRREAEGGGRRQDGGGRAAGGRQDNGGRQVGGGGGGGRQDGGGGGRQDGGGFRYIDGPGRQDGRQDAGAGGGNFRSQEGELSLRDQERGRGDYDDYGPPPPWWEEQRWREEALASRRGDGYANRGSGPPAQGERLPQGNQRGQQYKYKGKKAAGSSSGDGQQQQQQQAKGKAKQLPAARAGAPAGECFKCGREGHFQSDCSFDPLCVVCSGEGHSSANCPSRGKGMRLQTMGHAITGGGFYNIDVAPLRGGEGKGEVFSAIIKFGAAPLSAVQLSDELKHLVDELWDWQVCKLTDSEFSVVFPTRQTLKLSTGSGKLHLPLSKTDTEIREAFLAPRPSLVLPSTWVRLTGVPEDLRVRERLMAAFTMIGRPIDVDDLSIQKSDREPIRMRFHCRYPERIKGSVQVFVNGEGYVVGVQAEAPPRGAPGTGGPPPPPPRRDLDDEDSDELSSDSEWNKHGRRRGSKDKDTDKGVAQPCLAGGATGPSGSKSAGTQQLAGLGMPLDQYGSNLNAEADAPPALHLLVAAKSKPAAPQGVAGGDLGSLEESLESGELDSHISDPLPSWVDDSQRAEGPPAKVARLAQASPPSAFSPVEVEAADASDEEVLEVQGRDAADLLKEVVVATPLAQGLRSKAIYSRRAPATPASAVRKSARTSAAAPGTSALARAQQLTAEKNLEGKTTLIGKEKGNNFAVLDLLPDSHLSAVVLDSCLVFNPSAGEPEEALSIIRAKEKVQAAIAETACRLAREAEAAAAAEVAANQSVAQGEATADQVLAEAGASTSAARRGGSGASRSRPIRSCVKATVRPASTRQYKRRAKK >cds.KYUSt_chr5.23066 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150465457:150469416:1 gene:KYUSg_chr5.23066 transcript:KYUSt_chr5.23066 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDTQDLLVECCVEFINLLSSESNDVCSREEKKTIAPEHVIRALQDLGFKEYIEEVYAAYEQHKLDTLVCTDLHRRLNIMF >cds.KYUSt_chr7.38169 pep primary_assembly:MPB_Lper_Kyuss_1697:7:237991016:237992697:-1 gene:KYUSg_chr7.38169 transcript:KYUSt_chr7.38169 gene_biotype:protein_coding transcript_biotype:protein_coding MEARCLLLLAVLLLVGSHGGEAQPLVPALFTFGDSTVDIGNNDYLHTLIKADFPPYGRDFKNRVATGRFCNGKLATDLTADTLGFTSYPPAYLSPEASGKNLLIGANFASAGSGYYDHTALMYHAIPFSQQLDYFREYQSKLAAVAGSSQAKSIITGSLYIISFGASDFVQNYYINPLLFKTQTVDQFSDRLVSIFVNSATQLYGMGARRIAVTTLPPLGCLPAAITLFGHGSSGCVTRLNSDSQRFNGKMSAAVNSLAKRYHDLKIAVFDIYTPLYSLVTSPESQGFTEAKRGCCGTGRVEFTVFLCNPKSVGTCSNATSYVFWDAVHPSEAANQVIADSLLAAGIDLVT >cds.KYUSt_chr6.13353 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83560137:83560505:1 gene:KYUSg_chr6.13353 transcript:KYUSt_chr6.13353 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTSLSKIYPSIVSKPGTLQLPLVLCSLETLEVAMTPPPPQLEPCQSLASLDCGEVLAPSSEALFAKELCGLLASLEAASPGYGKDIDCVLAGKASEDMIRRVEKSLRKVSIRSIRRKRAG >cds.KYUSt_chr7.12306 pep primary_assembly:MPB_Lper_Kyuss_1697:7:75663016:75671545:-1 gene:KYUSg_chr7.12306 transcript:KYUSt_chr7.12306 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIVVMGFFMFVSLCLPCLASPVHVATLSTAETATTSATDHQAIMSFRSLIKGDPFQALNSWGNQSIPMCQWRGVACGMRGHRRGRVVALDLRGLNLLGTIASSIGNLTYLRRLGLQENRFHGTIPSELGHLLQLEYLNLSNNSIGGEIPPALTTCVQLQFLDLMHNMHKGLVPRELASLHNLEVLALGYNNLTGNIPVEIGNLKGLIRLHLESNKIVGEIPTEIANLKNLTDLSLDSNGLTGRVPASLAGLQKLQILYLSNNHLSGTIPPSLGNLSSLQVLDVQINGLTGNIPESLGNLNLLNVLSLTSNSLTGSIPCTLGKLSSLIEFYLNGNLLEGSIPPSVYNLSSVKYFAVQYNNLSGSISDDLGNKFPELKQLAVDNNQFHGPIPESLCNASMVEYVQLGSNFLSGVIPKCLGAKMKSLSVLGLSYGQLEARNDPDWGFISSLTNCSMLQYLTLGYNKLEGVLPNSIANLSTNLIFLSIKSNMLRGNIPQGLGNLVNLKYLRLENNLLHGNIPESIGNLGMLGELFLSYNSLSGPIPPTLGNLTSLNRLVLGKNLLTGPIPSSLRSCPLETLSLAFNQLVGPIPKEIFLISTLSVLLQLQGNMLTGTFPPEVSNLVNLGSLDVSDNSLSGSIPASLAQCRSLEYLSLSRNLFQGTIPASISQLKGLLVLDVSRNNLSKSIPVFLGDMQGLVTLNLSFNNFEGEVPEHGLFLNASAALIEGNYGLCGGIPQFYLPPCSSHTSKKWYHKLVLSISVGSAVLCVVLVLFAVFAQRNLRSKFAKMRRVPSLHGGQHMRVTYAELVKATSGFAPENLLGTGSFGSVYRGTMMEGDQEVIVAVKVLNLQQRGASQSFVAECETLRCVRHRNLVKILTVCSSIDFSGLDFKALVFEFMPNGNLDQWLHSHLLEDGSHEVLSLIQRIDIAIDVASALEYLHNYKPVPIVHCDLKPSNILLDNDKVAHVGDFGLARFLHQDDTSVPEISSGWATRRGTIGYAAPEYGQGNEVSVYGDTYSYGILLLELFTGKRPTDDEFQQDLNLHRTDANMYLNKDPVCAARPLLQLRRGRPHLRAVSQRHPVRALRWLGAHVQGLQAAPQPSGGLSSVACAAASSSGRGSRRWLPPARPGLAGAALVRSWRDVVSSRGGRRRRDASASDAVGFSRTLCAASPSSSATAGAPRVVATDRPDVCYVQPSLSMVQLEADLDRAVMVSVVGARIQMTPEIAAAEIRAYLNLPASAFSIRPFEPADFLLLCASVEVRDAIVHQQSVGTPQFTLHLEPWTRQVGAALREAPFLAELEITGIPGHAWAESTASKLLEGAGVIDEVDPATASRSDMSCFRLSVWTHDIAAIPALRWLAVPEPGSGLRLQVATGRRRPRSESPRMLWYRVRFWVARWLVGGPPSSGSDGGDQRGGATGASGSGGGGAPPALPDPKLVRRRLFPLVHQSTRRSSRLAAKGKGTSMSTIKRAQRVLMQKLGVCGEEEKLTPTQLEEYAEIFASPLGPEQLAAIAALFGLGSMDGASIDEAVVAASAC >cds.KYUSt_chr2.54367 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339208732:339211909:-1 gene:KYUSg_chr2.54367 transcript:KYUSt_chr2.54367 gene_biotype:protein_coding transcript_biotype:protein_coding MYLCSSEFLMLLVYYLQGSGSSLDAVAAAIRVLEDDPVANAGRGSSLTESGRVECDASIMDGSTGTYGAVGAVQGIKNPIQVALHLAKEQMMGPSLLGRIPPMFLVGDGAYKWAKDKGVDLVGSTSEENNWLVTENARAQWVKYSSLLANAKESVNRTTASASESSSVQLEASGAEAEILNNVKKAKIFTQPIMEDDQDCVMDTVGVVCIDDHGNVASGASSGGIALKVTIRTRFFLHQSSALGGSKQQRDVSRHRRGVVAGSG >cds.KYUSt_chr5.2352 pep primary_assembly:MPB_Lper_Kyuss_1697:5:15891353:15892730:-1 gene:KYUSg_chr5.2352 transcript:KYUSt_chr5.2352 gene_biotype:protein_coding transcript_biotype:protein_coding MREFRVETCEAITMVVIKEMFGAATDTSYVVIEFALCELLRNPHLMTKLQAEVRGKTPSTQGTVKEADLSSMTYLKAVIKETLRLHPPAPLLIPHMSIDKCDVNGYTIPAETRTLVNVWALGRDTRSWEHAEEFMPERFMDAEAVDFKGRDFQFLPFGAGRRICPGMNFGIATVEIMLTNLLHCFDWELPDGMHKEDIDMTDVYGLTVRRKEKLFLIPKYVASCV >cds.KYUSt_chr6.29975 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189835614:189844774:1 gene:KYUSg_chr6.29975 transcript:KYUSt_chr6.29975 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVTAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKLVVAMGTSRSSILGEVDVNLAEFAEALKPVSIALPFRGCDFGTVLHVTAQLLSTKTGFREFEQQRETGARSSQQLVNQRSHDPSEVAAASSDIGSDKAIARNKIKEASLGFPLAEGSVGSTEDYENSSHNSDGYFAEKNDPCGSHENSNFRHSGDLPLCPTSQSPTPEKGPFQGKRLSPQGSNDWSHGWSPEFSASHDLAAAHEENNRLKTRLEVAESAFSQLKSEAMSLQDATGKLGTETHGLANQLAVELMSRGELTTEVSFLRTECSNLKRELGEMKSAKLLKHKADEEVPLMTAGGQGNTLNNIGSGVLATDCSVHDLQTEWLQGLLLLENKLQQTRNNALHGLQASDLDFLLADIGAIQRVIENLKQGVQLGPKKENYREHLVPPSDLVHQSSLGRDYVTDKKNSGSTGTMEEKMCGLLQKLEDSKTEKENLLEKMSQMERYYESFILKLEETQKQTSIELENLRKEHNSCFYTVSVLQAQKQKMHEDMNDQLMRFVEDRTTLEAQNKEFERRAVATETALKRVRWNYSAAVDRLQKDLELLSFQVLSMYESNETLAKQSIIEDTESFPEEHSAIADIHGISEHGQDMPLIKQFGPEGLHVASESQVFSAENGTSRDFSYKMDGQKNLRALKIEELRSRSEVICNLDSQVNSSNIGRPKDASSAMESEILEMCAVNIEWQVFSDVLRESHYTALDMIKRMQGRLYMLEKQLHDSNDSRESLVLKLNSALDQSKCLKESESGYILKCDDLTVKNQILEAKLQDIAVENALFMEKLVASERLVEEHKTCESKNSACTEERKKFENLLVKESKQTSQLKDELRSVMEDFEGMKDELRKQSSLINEQQIVFTSLQEQLSILCPKLVSLSKDIGISCVDEVSVLHELQNKNYTAVIASLEFFQQQACQKVLHLHHENAALEEMCDVLRKRADISGTELFDVKQKFHRDLTETKEKLNISEGHVKKLQQELQEMEHEVKIISESQEKHSITNGDLTSKLAQMEVELQIVISENETLVEKTKDIAAVVQELERTRVSLAETDEDNKTLAQSLQSKDELLVHMENEIRGLQNCLSCTEENLLKEKIMREDLESSLASLTSQLGEKDQVLLSYNEGKIELLHLRDQILDMTKENSLMQDALSQSEQNKRDLTCKNFSLHSQLSNAENQLATILEDLLATEIEASYMRSQVEDVAVQVDLLRSDIAKLQLENKDADELMEHMLTVAELADRNSTLESTVHSQEINLVRVNQEKEGLEELIKRSEQTLAQVSNNKSRDISASINNSEAVLKYQDEILQLRAMQINLEENVDGLRSMKDEVEILNVVLKSKLEEQHTEMSSLLQDLGYQLENFKEQNNELTRKLAEETLKAEEFKNLSIHLRELKEKAEAGKKEKEGSLFAMQESLRIAFIKEQYESKVQELKSQVFVSKKYSEEMLLKLQSALDEVETGRKNEIALAKRIEELSMEVSELEVEMQDLSSEKRELSNAYDSIMTDLECTKLNFDCCKEEKQKIEASLQECSEERNRTRVELDLVKKLLENMSLSDHITSPRNSGSCNPGATSIAQILGDAKSGSAPELIPNTTEVNSGLQEGEVGIQSTHLSEDIAESDDADKVDEHPHAKHTPSKNLENGYKECESSSLENHPTVDNTIKDISKEHKKLANDLNLFQKELERLKNENSSPLVPLDVNLIDPSLSGLGRALSQLDMANEHLRSIFPSFEELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNNDESAVFQSFKDINELIQDTIELKRRQVAVESELKEMQGRYSELSLQFAEVEGERQKLAMTLKIRSPRKQ >cds.KYUSt_chr1.21875 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129158559:129161683:-1 gene:KYUSg_chr1.21875 transcript:KYUSt_chr1.21875 gene_biotype:protein_coding transcript_biotype:protein_coding MIAARWCCEKAVLRRESNTYLMYTWALGVADYTKEASRRHPRFTFELNLRMDLAPFKLDIDELLDDYAKEHCTSFTDFKRAWMAKKFSYIYEGRPKTNSGVFMQSLFLHCIGHMASQSSLSQRLAGLYCLYCLYECQPYKPHFKIYLSLEESKQLKEFIADAKQRGIGLVPALVKRMLDKGMFLFGFINLLGDHGAKQVDELNAFQTKRVKFACDKLFANTQIGRYTHTDLGEELELDTIKKLSMDYAKAKELALTEVSQTVDVENAKHILQNDKLLGDRVEEIVKEWDAQKEEFYQNTGVSPGDELAVVDNDEPEEFLYENDSHAELAVIDNDKSGEFYDENDGFDELDQLLLE >cds.KYUSt_chr1.41027 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251630820:251634580:1 gene:KYUSg_chr1.41027 transcript:KYUSt_chr1.41027 gene_biotype:protein_coding transcript_biotype:protein_coding DCKMVKLLLAKGAYVDLEAHCGTPLHVAATKDHDGAMKILLDHNADVNKMVNGKTPMIAAIDAGSRKCILLLYKAGADRKEALTYAAEHLHAENVVSSDFVKCILDDFNTKRIIPGEPVVKRETRDGGFKAIANYAFKNKDFESAERYYTLMIALDPDDAIAFSNRSACWLLMGDGGKALSDADECRKRRPDWPKACYRQGSALMLLKDYKRAYERFSDGLEMDPENAEMEDAQRKASEALQESIRVPEVVWRPQA >cds.KYUSt_chr2.40897 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254052959:254054147:1 gene:KYUSg_chr2.40897 transcript:KYUSt_chr2.40897 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRRWEREPAAYDGPPPPLARNNAAGRRRWWSAPGRTLEAVVEHIEGGNSPLVVFRIGVKAILGAGEEGGGVVAFDAGARQEGAGVSAGDRGRSSGALVIREQPSAPQSGRKKTKKEAAAASQLAEEEAKRAEEAAMAEAIAEEAERRRRLPDPAAARQLAARAAPTANDDVARYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQAAQPKANDDGSDDDGDGDYTVFYRHLGM >cds.KYUSt_chr7.37081 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231439093:231442724:1 gene:KYUSg_chr7.37081 transcript:KYUSt_chr7.37081 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTSCFRCATAAALTLHHHQPAFLAVRLRLRLPRRPIPTNRAMSSSSAAPVTSPHQAGAWYAVPDLSLRDHRFAVPLDHSSSGSPSITVFAREVVAAGKEDATLPYLMYLQGGPGFESPRPMEASGWVKKACEEYRVLLLDQRGTGLSTPLTTSSLSQITTAAEQVEYLKHFRADNIVKDAEFIRLLLVPDGKPWTILGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGKPCTADNVYRACFKQVQLQNEKYYKRYPQDIQVIHEVVRYLSESEGGGVLLPSGSRLTPKMLQCLGLSGLGSGGGFERLHYLLERVWDPILVPGAKTNISYYFLKEFEMWLGFDQNPLYALLHESIYCEGSSSKWSANKIFSEYGNLFDPVKSTEEGRPVYLTGEMVFPFFFDEIHALRPLKEVAHLLAEKDDWPPLYDISMLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWVTNEYMHSGLRDGGSHVFEHLMGLLKGKKPLF >cds.KYUSt_chr2.18542 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116757032:116760282:1 gene:KYUSg_chr2.18542 transcript:KYUSt_chr2.18542 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGWKFEYPDNMTDNEITRLGVLVSEVDRPVQPPLPQYSTGIMPSGLTEEEALRLALQDLAMQPVQPLPPPPPYNPWGPRPPPPEYQFETVDSIQISLSISGSQQRLAALIERGMASELTSLTLVSAAVAAAMAYARLAASRVVPGLARLAALLPALLVLPVLPFTFSPILLRTISAFFLVWLCGFKLLLLAAGHGPLHPALPLVRFVTCAALPIKLRDRGAENSRSLPPEFLLSYAAKAALFAALISLRRVRARMPQYGVVAFDGAHVYLMLELFMASAAAFARALLGAELEPQFDRPYLASSLRDFWGHRWNLMVPGALRPSVYRPVRARLGAPAGVLATFLVSGLMHELMFYYITLQAGTGEVTAFFVLHGACVVAERWCARQRGMWRPPRAAATAATLAFVAGTASWLFFAPVTRSGLDKAIVAECEGMMAALEKLAAGAARLVWS >cds.KYUSt_chr6.10023 pep primary_assembly:MPB_Lper_Kyuss_1697:6:61840320:61842014:-1 gene:KYUSg_chr6.10023 transcript:KYUSt_chr6.10023 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIQDVLGYFPGEAHALAASSSLSAAAGYHGDDRVSALPDTLLRNILSRLPAKDAARTASLSSRWRHLWRSTPLVLRDADVPRAAVARVLASHPGPFRAVTLARCRFAYHERMLAQWPRLLASKGVDDLVLVNNASDPSADTLPLPADVFRCASLQRLFLGFWTLPDTAGLRRGADVFPLLRELSLFATTITDGDDLDYMLACSPALHTLALIFNQTPDRVHLRSKSLRCVLLWLSMVEEGVVVVDAPLLERLILFDCPPAGSAEEEGQVKVKIFCAPKLRVLGYLDPRIHQLQIGDKVIKPNARPSPSTMVPGVKVLALKVNLGVSKEVKMMTSFLGCFPNVERLHIESVVADDGPAGQQHPSKVWKEVCRVDCLKSHVNKVLLHQFRGHQGELDFIKFIGRSALQLQALLVVLTPQDYASPDKVNELMRKLKDHSGVPWACKYGFLLVVGPKLPSVWKWNFRKASDLAEDDPFAS >cds.KYUSt_chr3.48958 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305952145:305960068:-1 gene:KYUSg_chr3.48958 transcript:KYUSt_chr3.48958 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPGATARCRPATARVGGDSEPNGDMPSLDGLIILFVITTAIKNGSFRQDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETEKFLSYFKPCIIPEEGGVASGFRHAEVNQREHVTRLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTESKIFQFNGSNSSIQERAKALEVVQYLKDTNHEGKCDVGAVEDGKLMADADAGEFWGLFGGFAPLPRKTFVELNGVDTAFPPKLLCVNKGQAVSVDCEVLTKALLDSTKCYLLDCGCEIYVWMGRETALEDRKRAGLAAEELLRDGNRPKSHIVRLMEGFETVIFRSKFNKWPKKAEAVVSDESRGKVAALLKRQGFNSKVTAKAAPVKEEPQPLIDCTGNLQVWRVNDSEKTFLSFSEQCKFYSGDCYIFQYSYPGDDGEECLIGTWFGKRSIQEERTAATSLANTMIESLKFQAVLVRLYEGKEPIEFFPIFQNLVIFKGGASTGYKKFVSENGIQDDTYSENGVGLFRIQGSGPDSMQAIQVDTVAPSLNSSYCYILHDGDTVFTWIGNLSSSVDQDLAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGIKSEYPSQKIARDQESDSHLFCCTFSKGVLKVREIFNYTQDDMMSEDIFILDCHSCVFIWVGQHVDTKVRAQALNMGEKFLELDILMENVSRETPLYVINEGSEPQYFTRFFTWDSAKSAMRGNSFERRLSVLKDGVKPRRDKPKRRPITSSHTGRSSVPDKSQRRSTSFSPDRVRVRGRSPAFNALAANFENSNARNLSTPPPVARKSFSKSSPDPVKPPQRAASIAAMSASFERPRQTLIPKSIKASPDANKPQSEASKPKSEVNAKESSTPAAKDGQTVAPTIQEDVKEGQPEDEEGLPVFPYDRLRTSSTNPATDIDHSRREMYLSSMEFREKFGMAKEAFAKLPKWKQNRLKIALQLF >cds.KYUSt_chr3.11768 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70126510:70128042:1 gene:KYUSg_chr3.11768 transcript:KYUSt_chr3.11768 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHMAAARPPTHHHHHNQQQKAANLARTFTKLLRRKRSDSAAAGAVPKDPDAPASVPADDYDTSMDATTTAPTMPSLSKLKLSGNLTAAYTLDAFFRNAAEKKAAASPAGAADSLLATLFAGVSAVKAAYAQLQLAQHPYDAEAIQAADAAVVAELTRLSDTKRRFLKDPAGAARDLAAAGNTALSAHADEQRHLLKTYQITARKLESEARTKESDLEHTRAALAAELRDERAMEVRLHPGRTLASLDELHVSGLNPTHFLTALRHAVKSIRSFSKSMLASMQSAGWDLSAAAAAVHPGVPLRRPSDVKFVFESYVAMKMFANFHRRDFNFSFLGERELYERRRFFDEFTDLKAAPAAAFLDPRGARWGGFGKFLRAKYLSLVHARMETAFFGRQEQRGIVSAGPGFPESAWFAEFAEMARRVWLLHCLFFAFDGGDGEDGASIFQVRAGARFAEVYMESVNDGRATDDAAFSTAAEERSVGFTVVPGFRVGRTVIQCRVYLSRPGRRP >cds.KYUSt_chr2.48219 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301513602:301517804:1 gene:KYUSg_chr2.48219 transcript:KYUSt_chr2.48219 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAPPPDFVEVRCAGCNETLEVERGLTEFACPDCGTQQALPPELMPPPRPRRALPIPGRVPAAAVPVPVPAPPPSRMPCADCSALLSVPAGLVRFACPVCGVELVADAGRLRTYHASPDSATVSVVALPPTAVTLTSTYSGQPEAHVERHNHPIGSNQTPAQYPSQSVHREETSSSFRPDTWMPIHSMLAQKAPLRHPTEREESHTEPRNETTVSSGMRNSSLQSGTESIGLQKIQPEPSAQVGSRPQTHALPPSYSVRGDLTQGQHPGIARHGQQTNDVSSIMEQGKIDLLNQAMNEEPAQGEDQCKTSGWSPNSKRSNKSTSVYQKRKRKRLTKQTEHPIEDEPVQKTTASPSACNSDLPDIDCIIANICPSSSQPHQMPQASSSESDNVDAATLPAFSNPGVSQPEQFPHCYSQLYSPQVRGKHQLNKSGQQVKPQSPQGVHQPMHAQQENADGGHLVLGSYGKSSGKRNGRRSTRLIEPRREVDRPVLIPNNIDNWEVSPPCPKVASTITVLMKQKYPGSTYVPAGQHSDVPPNGEVVHHWHQYPRETRAAILNEFLQRYKWAPGKEAECLKLFERRALRQFAGLLCEEKRRVRAELASVQKAKETVGAHKSNRHMGLDEEDAREEPKDQQTREKIEDENPLRWKPFPPTWMYPKWWERLCEHWAKEEVLMMSLQNRKNRFTAGRAHHTAGSRSIAMHRQLMVMENGGEQVSELEVFNKTHKLKGGAGEFVSERAKQTVNMQSGSISITFMHTAIFQEGFKKRMEEAGDKQIDPHLAWTQEVGGRNRGRYYGLPGIVDKAKIDELSKSIPGSLGKRGQRQTFSQDQVQQMIDQALQGLNETWENKFKSLEQTFCGGPSLGVDPELQEN >cds.KYUSt_chr7.10969 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67457588:67461970:-1 gene:KYUSg_chr7.10969 transcript:KYUSt_chr7.10969 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAAPLSPAGSRRDRLSALSDDLLHEIITQYLPVTEAAKTAALARRWRHLWKSTPLVLRDAALPEPARDAAVPRVLAEHPGHFSAVVLLDCRLASLDRELPDWPRLLADKHTQKLYLANRSTPNLTYDALPHIPADILRCDSLQELLLGFWAFPVDLSHGAGISLPNLRCLTLIMVAIRDDDLEHLITACTVLEILKLSGTTPKRIHLRSPSLRCALVGLSRVEDFAVVDAPLLERLVLFLPSKVTTVKIGYAANLRVLGHLDTRVHRLQIRDTAIGLNTVPSTSTAIPSVKILAVTVNFGVLWEVKMLACFLRCFPNIDTLHIESALHGPSVTANEPSGEHHASDRDKDLFLFNASALVDMIRMANACDPMPYDSSAS >cds.KYUSt_chr1.656 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3494133:3495089:-1 gene:KYUSg_chr1.656 transcript:KYUSt_chr1.656 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNEVFSLFNLHYLGLRDTDIEILPEAVGRLQNLEVLDASNANLTYLPNRVVELKKLRYLYAYTVPGALEALQIFKVGGVKVPNGIQHLAGLHALEFVKATPEFLREVRALTELRTFTVCNVRSEQSADLSYAITKMSHLVHLGIAAAAENEVLRFEWLYLPPALSWLGLAGQLEKASMPQLLSSWSHLSSLTCLTLGFSNIDEETFSCLCVLHGLRSLGLMKAFEGKRLDFYAGSFPKLRFLHIWGATKLNQVGIEKGAMQNIVMLLFMYCPELKFLPDGIEHLRALEKLLLEDTSEELIEKLRQQRDSDKCNDED >cds.KYUSt_chr4.27810 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174728657:174729362:1 gene:KYUSg_chr4.27810 transcript:KYUSt_chr4.27810 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAGGDGGGNSRPEIGAAPSGRVDLVHDRLVPGLHPFFPVNGSSLGGPVGGCSGRPAAWTGTMKSDDLEPFTQLDDEAWMGRSMMSLIAGTQQSVNFEDCVHDQVKGEQFLEVEEGSDEESESDVEPFIVHCEGDSDVDELYPTPTYEELVAEEAPKSKEELQKESKVKQAK >cds.KYUSt_chr7.10110 pep primary_assembly:MPB_Lper_Kyuss_1697:7:61894204:61895327:1 gene:KYUSg_chr7.10110 transcript:KYUSt_chr7.10110 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLRGGGGGGGGSGGRSGERGGDHPIGADSLFLYARGAAAAAADTAGGGGGGGGGIGFQLWHPHHQQAAAVPHTSQFFSSGVATGVVLGFSPHDGGGVGGIGVGGGGGPGGGRAGTSCQDCGNNAKKDCTHQRCRTCCRSRGFNCSTHVKSTWVPASKRRERQQQLAALFRGAAANNSAAAAAAVANKRPRELVRSLGRLPSATNAMVDTTTSSGEGDGRFPPELSLEAVFRCVRIGPVDEPDAEFAYQTAVSIGGHTFKGILRDHGPAEEAAGQLPPTSAEYHQLTGGGREGSSPAGSSEAVGGGGHGTTAATSAAVLMDPYPTPIGAFAAGTQFFPHNPRT >cds.KYUSt_chr3.32334 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203145440:203146622:1 gene:KYUSg_chr3.32334 transcript:KYUSt_chr3.32334 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRLYDIDASKYGKAAELKSLIGAFHGKGVQVIADIVINHRCADYKDKRGIYCIYEGGTSDSRLDWGPHMICRDDTTYSDGTGNLDTGADYDRAPDIDHLNARVQRELTAWLLWLKSDFGFDAWRLDFARGYSPEVAKVYIDGTSPSLAVAEIWDGISTGGDGKPEYDQDAHRQRLVNWVDKVGGEASAGMVFDFTTKGILNAAVEGELWRLIDPLGKAPGVMGWWPAKAVTFVDNHDTGSTQAKWPFPSDKIMQGYAYILTHPGIPCIFYDHFFDSRFKNEIAALVAVRKRNGITATSALKILMHDGDAYVAEIDGKVVVKIGSRFDVGAVIPAGFVTSAHGNDYAVWEKAGAAATLHRS >cds.KYUSt_chr3.15229 pep primary_assembly:MPB_Lper_Kyuss_1697:3:92993251:92995438:-1 gene:KYUSg_chr3.15229 transcript:KYUSt_chr3.15229 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSWVILDAVARVAAEQDADLSLELAAPPSVTVLTVPPRVSPIQADPTSRARPWVVSADPASGLLLLCAPPAPLRPTPPPPPEFRNGVLVLNITDIERRPPNYFVCDVASATAFRLPEPGHGDPRGFPSEINPSNLGVVAAPGALAGGGASRYMVVEFRYMFNDQHATLLCFSSDTGEWAWKPVHNPMGRWIWGSDGVIAHDGKLWWVDLAGGLVSCDPFAEAPVLDIVPLPDADCHLSDAGCAHCAGRSLAYRRFVQVSAGKLRCVEWSSSRRDVDDGAPMTVSMWTLNDPETKKWALEYKVSFQGIWADESYKAAGLSEKNPTFALLHPMNPDVAYFFLEEHLFSVDMPANRVVECGVHGLVVPPSGKPPNCFSVRALELPLALSAGTLPSELGSGSNTEDSAPPASAAPSVSLAHDLVSLKIESEEDA >cds.KYUSt_chr3.40576 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256000540:256001949:1 gene:KYUSg_chr3.40576 transcript:KYUSt_chr3.40576 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLRTLFPGRRNAGCNKLSVVSSSGRIHSVSRFALSAHPSQLRKLGCEWCLDFGLTRRSIMSSFTGVSVVTGREQCTTSAVDADTDSRYHLLVVKNYSRTVQEMPNGVGIRAGPFMVGGRKWRIGYYPNGVNQSRVGYISLDVFLGDEEDEIEEVVKVKFQFSFVGQVEKQEPKYIRSTQTCSFSSKHRRWGNTKFVKRDALEQSTHLKADCFTIRCDIMVCNDFNNQDARVTLPDIGQQFKILLQDKVGCDVTFEVSGEMFPAHRCVLAARSKVFRAQLFGPMIEGITSSAIQIKDMEAKVFVALLSFIYSDSFPEMEDKAQAVEVEEEEAVEYEIPEVEEEGQEEEAREHVTWLQWLQDLFVAADRYDIQQLKSLCEKQLSENIGVSSVASTLALAEQHHCRRLKDACLNFIQVQSPKCLGKVMVTDGWKHISTTYPSVLDELIVKLASNQKEKKRKHNSMFCKT >cds.KYUSt_chr3.36544 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229760293:229760816:-1 gene:KYUSg_chr3.36544 transcript:KYUSt_chr3.36544 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr6.17431 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109800266:109805313:-1 gene:KYUSg_chr6.17431 transcript:KYUSt_chr6.17431 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNASTMHVSTITRPRYTEVPLHHAPETPLMHKKAAQLHFQTNPISMCSKYAALKRENNTKSVANVQSGNPDAPRSLPIKRTAAAKTIPSTRKQCQETPPLPAAVFIGSRAGPKPALLDRDTATDEDNHDGSELPSPNRAPRDPDRAQTAYGRTATRLYYELRPPARITGCCNAGPAIHVPVRTLVLCWTWVLRHKLVKCTWVVRDRSNGGDGGFHGHRAAGVHAEGQGVQLPPGPVQRDGGNGGAAQDSQTQASGHPRHHHLCRGASDGTHGRCQSWLQELKIVAYEANEVFDEFKYEALRREAKSNGHYRKLGFDVIKLFPTHNRVEFRRRMGIKLCRILRDIEALISDMQAFGFKYQPQPQPSVSKQWRQTDYVIIDPREIALRSRDKEKKNIVDILLGQASNPDLVVVPIIGIGGIGKTTLAQLIYNEPQVQKHFQLLLWICVSDTFDVNSLAKSIVEASPKMSDDIYKPPLDRLQKLVSGQRYLLVMDDVWNQDVYKWERLKGCLQHGGSGSVVLTTTRNKQVADIMAPDKVCNLDVLEDRFIKEIIEAKAFSSKKEKPDVLVKMVDEIAKRCSGSPLAATALGSVLHTKTSVEEWKAISSRSSICSEETGILPILKLSYNDLPSQMKQCFALCAVFPKDYAIDVAKLIQLWIANGFIPEHKEDSLETTGKHIFNELASRSFFLDIEESKDYGGLYSRTTCKIHDMMHDIAMFVMEEECVAANSKPSETNWLPDSVRHLFLSCEETEELEHLNLCGQLELRQVENVIEAEAKVTKLGNQKDLRELVLTWTSVRDSEMWYILPFPRLKELTLDHLLDFERWWEVNERQEGQAIFPVLEKLFIRYCGKLVALPDAPLVQEPCSGFGYRLLHPVFPALKVLKMKELESFQRWHDVVKGKQILFPQLEELSIHKCPKLIDLPKAPKLSMLEVEHGQPEIFHWVDIYLSSLTKLTLKLEITETTLETGYTLIEPVDMKEKWNQESNITVMKLGCCNSFFGSGALELWDYFVHLKDLEIDRCGVLVHWPEKVFESLVSLRSLKVRSCKNLSGYAQAPLQPSASQRSHHLRGLDSLEIYDCASLVEMFNIPKFLKEMTIGTCHKLESIFGKQQGMPELVHEPSCSEAIPPTVLSELSSSPMNHFCPYLESLRLIECDILSSGVIHLPPSLKSILIGRCSNI >cds.KYUSt_chr5.15050 pep primary_assembly:MPB_Lper_Kyuss_1697:5:97136513:97144615:1 gene:KYUSg_chr5.15050 transcript:KYUSt_chr5.15050 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHWGDGRTVPLSTLHHPIIIVYAKCMEKTRLDDDDHCSSLIGVQQLHLLEHSAKNTLADKKTTRASSNVSDPPTPRKIDDAKNISIYNNVISFTLFELETITKSFHADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKPATPLPWATRMSIALGAAKGLACLHNAERPVIYRDFKTSNILLDSDYAAKLSDFGLAKAGPEGYETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWVRPKLSDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQEGGGSDGAVVHVGGLPDYRIRGRLTGNSMHCRAIPNPKCSPAVPACRVR >cds.KYUSt_chr7.12907 pep primary_assembly:MPB_Lper_Kyuss_1697:7:79640834:79642393:-1 gene:KYUSg_chr7.12907 transcript:KYUSt_chr7.12907 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRECNLLIRTLAQRGSFDRVMAVYHDLRGRGLVADSFTYPFVLRAVGALKLPVEGRKAHAVSMKTGFRWDAYTASSLMDMYTTLGRADLTRKLFDEMPQRPLVVWNMMIRCYVRCGRYTAAISLAEEFERSGLTPDKVTLVTALTACSRAGELSLGRRIHAYMDGVIGFSLPVANALLDMYMKNGCLEEAVNLFEQMPSRNIISWTILVSGYALSGQLDKARVLFYQCPEKDLIMWTAMINACVQHGCFEEALSLFRDMQMQRVEPDRFTVVTLLTCCANLGALDQGEWIHQLAEARKMKIDAVLGTALVEMYAKCGHAEKSVEVFQRMQGRDTTAWTAIICGLATNGQAGRALELFGDMERSKARPDSVTFIGVLSACCHGGLVDEGRKQFRSMKEVYRIQPRVEHYSCLVNLLGRAGLLDEAEKLIRDIPIDKDAMPLFGALLTACKAQGNVEMSERLTKRIGEQGYQIPDVNLLMSNVYATASRWEDVVRVRREMAHPTVKKTAGCSLIEVKGY >cds.KYUSt_chr2.38529 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238866553:238869758:1 gene:KYUSg_chr2.38529 transcript:KYUSt_chr2.38529 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPLSTLREDGEPDESSSSPSAFAAAAVPPRPATHHSLHKYAPLDWSAYFDEERAVAIPDTDDVFNVYMAGSEGPVVFCLHGGGYSGLSFALAANQIKGKARVVAMDLRGHGKSTTSDDLDLSIETLTNDVIAAIHTLYGDSPPAIILVGHSMGGSVAVHVAARKAFRNLHGLVVVDVVEGTAMASLIHMQKILLNRAQHFPSIEKAIEWSVKGGPLRNIDSARVSIPSTLKFDESKECFTYRTPLEKTEKYWKGWYEGLSDKFLSCPVQKILLLAGTDRLDSHINMGPFVLMSPGNNDFKSFGCFN >cds.KYUSt_chr3.35828 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225201069:225203962:-1 gene:KYUSg_chr3.35828 transcript:KYUSt_chr3.35828 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSPGSVSRILTDLRRKPDPWRPIRNPDPGETMQARVLPNDIKLFEERLVEGKVYALSNFTVEETDMSCSNEWIMYFREQTVVNEIEGDIDSIPLHSFEFVNFKDLRSRRDNNSLMTDVLGHIVHVGKLQKFKKKSRHIQTCDATIRNLSGRELNVTLFGDIACGFAEDILEKGLEASVVAVFAGMRVDSYNSHLASSKYYLDLDIPEVRDFCVNLRIQQANPVPKKSEAQKLAESWRTIEQLKGLDREEYKLKAKVRDNTGIMNLMIFCEVAEKLVGVSAEKLVDEIKEDDDWYTLPKTIADLLGSTHTFQVFDKYGNGSFSVWWIMDHVSVPVAVATTGRCTVEPVLEDSVNMANPTPTMTQRRVDHVRVGRVTTAEARPKSTRLRMPNKRLRGDDWIN >cds.KYUSt_chr5.10649 pep primary_assembly:MPB_Lper_Kyuss_1697:5:68965529:68968425:1 gene:KYUSg_chr5.10649 transcript:KYUSt_chr5.10649 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDVPSVELITEVLRRAKCSSKPDKRIILVGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTHLGIKAKEAMNKGELVSDDLVVGIIDEAMKRPSCQKGFILDGFPRTVVQAQKLDEMLARQGAKVDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKTTGVDDVSGEALIQRKDDTAEVLKSRLEAFHIQTEPVIEYYSKNGLVANLHAEKPPKEVTVEVQKALS >cds.KYUSt_chr1.39298 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240420986:240423499:1 gene:KYUSg_chr1.39298 transcript:KYUSt_chr1.39298 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMPGSKKKSAMPPMPSCGTVVSNKRKMAAVSGSAASLPDEMVEEVLLRLPVKSIVRFRAVCRSWSAMFSSEEFCCLHRAMLANAAPTATPKLLYVSPAAGFDSTAMYSCSPSDPRDNLLFTLDCARGNFVEVVTPAPCHGLTLLYDAVPPAYYICNAATREVTRLPPYLDMSRRSSAGLGFDARTRKYKVVRLINAKPKEKEMIKCEVYTAGGGYGDRWRPATREVPFGMRRFVLAAVANAARHKLPPVFANGSLHWLVNPKSFLSWPRAAVISFSVAEETFTYSRSPSFWASEQHQPPLARVSVEHLVEMDNQLCMVRDLRNNPNCSTLEIWKLLDYSSGNWSLSHQIDLSWQVARDFCEPQIVRVIGSVGNCRSVKKIIIATSKRMVDQKFENKVHSYDPRSKALETILSVRETHTSRITNTPSSRFGLFEESLAAVHKTDREIALSSTLAKATKEILLRLPAKSVIQTKLVCKQWLSLTESQSFIQSYLEHKNMDKRPKVMLVGKGTGQSGFSFAPLNRCLPEDHRHIVLLDTKMVCSKPCHGLNLISTEENDYLYNPCTGFHKVYCNQAQAQAEQHAFAVGNKNVGLGFDLLTCEHFLVEIFYKLKDFESRQYALTCELWRCKSGGYAQNSLVPPLPMNDMPPAYLEGMLYWMSEPRLGQKYERAIISFDIATNAFGVIPCPPCIAVWNGRSHQHAFVVELEGVLCAVLADPVGNNLDIWKLKHGEWCIAYIVHLEAWPDYSLETNVVVPLAVDPVDGRILLNTGKKLGLYDPVERTIQNLCSLDGAVACSKDQQSAGKPNIMGSEIRPLVPMLYEDNLACYPRVDKTRWM >cds.KYUSt_chr4.42368 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262208139:262209683:1 gene:KYUSg_chr4.42368 transcript:KYUSt_chr4.42368 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAVGVVSAMIASTIPSKRKRIPKQFFEAAAAAADSAGEAPPAAKKTGRVKTKAAGPRGVAPAKVRTKAISRIGLAPPPASKVTTPPPSVPAVALPAPPAPPPTTIDVDKVFDVESTTSYMDMLNDSAVNLDAGISAFDGECNVEEIDEEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDVILVRAWSKVGMDASTGVDQGGKRYWQRIEDQYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYVSFPCVDDVKYHELSILCVQDVETSPQTIVVQPKYAQGRYKDMAGSKNKEFQFEHCFSILQHLPKWKLRDNEPKCKKEALITMDDEAEDMRGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARKELDMRMIKAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWKETKADIIARKMAAASSS >cds.KYUSt_chr2.14556 pep primary_assembly:MPB_Lper_Kyuss_1697:2:92001088:92001906:1 gene:KYUSg_chr2.14556 transcript:KYUSt_chr2.14556 gene_biotype:protein_coding transcript_biotype:protein_coding MADARHEPRDATDISTAEAADDFEFRVVPTGGGPASAGAGADMCVADELFSGGKLLPLRPSSAAAADVTGAVLLPRCESVAGLGSRYDCRSASSSGSSSGCVSRSQSSKSASSEHGAAPPARRSLSSSVFYAHPSPSPQLRSSTRPRRSTGSAPPPARWGVLRLGVVGAPDVYPPRPSDAKITAASRGGSGRSARFERAASAVERSFRKRGAGLGFGCRCSPDVVVEPIGLPDQAAGRKSGADDGGVKSGRSNRRSRILEWLEELSIGKGKK >cds.KYUSt_chr2.34374 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212237691:212238707:-1 gene:KYUSg_chr2.34374 transcript:KYUSt_chr2.34374 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESDSTKAAAGNRKHLAMLERLSKRTATSSSESPEASPVAAFLSRFAAAKVVAESALSACRSSPDDAPASLAAASAAVDDLERLVAEASHSLPPYELRSALAAVSDLRAAHKLAASEIRPKKSFSFRNKSKATKNPPQDPPATPQPPPEQPKPTFDAILPGLGFRGRNGATLVKDLRVANEKDGDFTLADLVSCEVYLKGKCRALYIHKLRDCRVFIGPVFGSVLIEDVERCTFVMAAHQIRIHEARATDFYLRVRSRPIIEDCSGVRFAPHALKYVGIEEDLRDSGLEEDTGNWANVDDFKWLRAVQSPNWCLVPEEERLPTLDISEVHERDDCN >cds.KYUSt_chr5.2782 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18370404:18370932:-1 gene:KYUSg_chr5.2782 transcript:KYUSt_chr5.2782 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVDAPQALKAVHDTAEGCTAWACRVHKNGVVALAEVAAKKLIELEPHKTSKYVLLSNISAEAGKWDGAENMRVLIKERGVRAQDRATAQMRNQDSGVLQNLTKILMLLSPSC >cds.KYUSt_chr2.11217 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71255577:71256242:1 gene:KYUSg_chr2.11217 transcript:KYUSt_chr2.11217 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRALVTANQLEAAARAVPRIGKKLTWGARERGWQPALAQFPREGDENGRGEHRERRGGGARQGGGSALDEDLEPRHLWTNFSRRRAATTLYAAARRRVLSCSWHDDARDRRRPWRSNSGGARVRAASLCEARGGGINAATALGILSPPPYSRSGMGWTGRPPPSSRLVTPRRVPPDPFAPPPLAPLLPPASCSFPLCAAIPQDRRGKKKGGKEKIGFRV >cds.KYUSt_chr6.11966 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74491118:74492979:-1 gene:KYUSg_chr6.11966 transcript:KYUSt_chr6.11966 gene_biotype:protein_coding transcript_biotype:protein_coding MASTARFLAALLAAAACAWLPAVAAFDVPTVAFDEGFSPLFGDGNLVRAADGRTARLLLDRRSGSGFISSDYYLHGFFSASIKLPRDYTAGVVVAFYLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTSRGREERYLLPFDPTLEAHRYSILWAPTHIIFYVDDTPIREVLRHPAMGGDFPAKPMAVYATIWDGSAWATEGGKYKVNYKYAPFASDFSRLSLAGCPVPDPSSSALLRLPRSGGGAGCDLLGLMTADYAVVTPQRRAAMRAFRARQMTYTVCYDAARYAAGPFPECDNSDEERRAFWAWGESRTVVMKTRGRGRRNRASRAAVAGAAARGRTGMASS >cds.KYUSt_chr5.2933 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19201075:19203034:-1 gene:KYUSg_chr5.2933 transcript:KYUSt_chr5.2933 gene_biotype:protein_coding transcript_biotype:protein_coding MSKADCRDTLMAPWQYLQNPPQYVGEDKACFLAMVIWWTSPEYARNHEEGKQKRLEMGGGSHVLGSKNLALTLQQEEVKTGMTPNLFGLFQKSKTRREPHPETGSVWVNGLAEAQCGAYRSKFKAKHGEDADPTTEDFDVEVAVLAGQGKKGGRLWIADGLVDPKTIPSLRQIRRGRTSEQPRLETRPRASDLAVEKLRAEMEERERRNQEEKMQMQQQLRESMQMLQQMQQQQQMFQQMFMNQAVLTSPPGSSGPSTSCPPMFPHFIPTPDPAVMALLQQAPSQSPLTPGLTVNNTGIIRSLQQFLGINGEAGKF >cds.KYUSt_chr1.35430 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216009017:216009541:1 gene:KYUSg_chr1.35430 transcript:KYUSt_chr1.35430 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRSAAGALLLRTSASAVAPIAAVAIVASRRLFSHTTARSRSTAGSTAGADDGQRACPVEKRIEETKEELLRLYLSKAPLPAAAEVATDLTGVLRRFQEAKARQHDPESFVGGEPFVGESFEGELFDTEAYEADASVAGYSFSGGTYTGGYHSGDMFREDSHPADSGDSWR >cds.KYUSt_chr3.11949 pep primary_assembly:MPB_Lper_Kyuss_1697:3:71281346:71281729:-1 gene:KYUSg_chr3.11949 transcript:KYUSt_chr3.11949 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSLAVLALLLAAAAMAFVPAPASAGELSSIILQPRVCDGAVGECGVDEDEEMGTGAGGAGEALRRSLARKPTAKYISYAALRADQIPCNKRGESYYQNCGSQGKPVNPYTRGCSAITRCARNMN >cds.KYUSt_chr7.1846 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10612815:10614272:1 gene:KYUSg_chr7.1846 transcript:KYUSt_chr7.1846 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAATAPALAPPVFLTLTLPTAASASARPRRAARAAVRCELAAAAASSAAAEPAAPGAVAAPRWAQRTVVIPPQRRGCHIITHKIMHAIRSDLSEFKCGLAHLFLHHTSASLTLNENYDPDVQIDTETFLNRVVPEGPSAPWRHTIEGYRLNPDMACL >cds.KYUSt_chr2.44995 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280419411:280423018:-1 gene:KYUSg_chr2.44995 transcript:KYUSt_chr2.44995 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEEDQMFAELFEEEMAAAAQDEEHILILACLSGLYTEKAIGRRGGSVPGRRKCKPRQRMEGYCMLYVDYFADNPLHAINAEYCKAFFVTKQIVMAAHLVPLLLSPQALLLYLLLLPLLLLLQRRHYFARGEQAASVHGERPPPSPPSKLPLIGHMHLIGSDPHVSLAALAAKHGESGLMLLRLGQVLNLVVSTPHAAEAVLRTHDHVFANRPHNAFADTLLDGADIAFAPYGEFWRQMRRLLTTHLLSAKKVLSLRHGREEEVRLVIAKIQDAAAAGAAVDMTKLLSTYTNDIACRAVSGKFFREEGRNELFREVIDGNVAAFGGFNPQDYFPSLAKVDMLSRLLFSRMHQLRKRWDGLLDKIIDDHASKASLQQQHEDPDADFVDVLLSRQHEYSLTRQHIKAILIDMFVAGTDTSYVVLEFAMAELMRKPHLMAKLQAEVRNNTPKDQQMVTEDDLGRMPYLKAVLKETVRLHPPLPLLLPHLTVEKCVLDGLTIPAETRVIINVWAIGRDAGSWKEADRFMPERFEDAAAPDFKGRDFQLLPFGGGRRMCPGINFGMSTVEIMLANLVYCFNWEPPFGMRHEDIDMTDVFGMTVRRKQKLLLVPRSPRLVN >cds.KYUSt_chr3.45632 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287463188:287465692:1 gene:KYUSg_chr3.45632 transcript:KYUSt_chr3.45632 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAATSSHFSSVFASFDKDADGKISAAELRLCMKAALGEDVSAEDAEALVASADTDGDRLLDEDEFLRLVDTGSEKEADNVDRFSGLREAFRMYEVKGEGCITPASLMLMLDRLGSHQGIDECRAMIQRFDLNGDGVVCFDEFKPNVVATADPDGSSGQEEEDGPPLLGFGSSPGATSREMTRGEGGKGGERMGGGDGGGWRGGDSAGRVEGGGAAARSGSMFANY >cds.KYUSt_chr7.29598 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184248968:184253664:-1 gene:KYUSg_chr7.29598 transcript:KYUSt_chr7.29598 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPPRLRLFPNLSPCLGRPLHPHPGPGRLLPCASLSPRLRRPPPTLRRPIRRFLSRAMASTAAGDEPGTSGDAAVPPRRALEELAWDETFVRELPADPRSDNIPRQVLHACYTKVSPNAPVDGPKLVAWSPAVADLLDLDHKEFERPEFPDFFSGATPLAGSVPYAQCYGGHQFGSWAGQLGDGRAITLGEVLNSRGERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVETGNSVVRDMFYDGNSKEEPGAIVCRVAPSFLRFGSFQIHATRGKEDLKIVRQLADYTIRHHYPYLENIKKSEGLSFEAAMGDSPAIDLTSNKYAAWAVEVAERTAYLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPGFTPNTTDLPGKRYCFANQPDVGLWNIAQFTGPLSSAGLISKDEANYVMERYGTKFMDEYQSIMTKKLGLPKYNKQLIGKLLNNLAVDKVDYTNFFRLLSNVKADPGIPESELLVPIKAALLDIGQERKEAWISWVQTYIEELVASGISDDERKATMNSVNPKYILRNYLCQTAIDAADLGDYEEVRRLLKVMERPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >cds.KYUSt_chr4.5739 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33456237:33457475:1 gene:KYUSg_chr4.5739 transcript:KYUSt_chr4.5739 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTAKLLWSPLIALLLLSVCDASSVKEPEGTSVVFSIENYGARGDGKHDDTQALDKAWSAACSSSRPAALLVPKGKNYLLKSTTLSGPCKSTVVFMVKGTLVAPPSRSDWSEDNISHWIMFQGISGLTVTGGGVINGNGEVWWKNSCKTNEALPCTQAPTALTFHLCDNLKVDYLKIVNSQQIHMSVEDCTDVQLTRLSITAPGTSPNTDGIHITRSKDVQVRDCVIKTGDDCMSIEDGTHNLHVTKVVCGPGHGISIGSLGDDNSRAEVSGITIDSVQLYDTTNGARIKTWQGGSGFAKDIIFQNIIMDNVQNPIIIDQNYCDSAKPCKDQESAVEISNIIFKNIRGTTTSNDAIKLSCNKNAPCSDIFLENIELKLEGSKGDTESTCQNAKWRKSGNVVPSPCKGNK >cds.KYUSt_chr6.5327 pep primary_assembly:MPB_Lper_Kyuss_1697:6:31652200:31655277:1 gene:KYUSg_chr6.5327 transcript:KYUSt_chr6.5327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] MAAVRLTPNGVPAGLDGDLNLRPILQVVNLRCVNVDGGPGAARADRWRGLVSDGNETCPAMFAAQLSGLTRSGVIRRGTVVQLDEYVVNVVGGRRVFVVLNMTVLVAECDIIGNPVITETEFSTQTPQRVEQSNGTRQYGSMAGNPSPIRSNGNVPVFQPSMSENSLNTPTRVGNKPPAFQPTAQPSYRPAPSYKNHGAIAKNEAPARIIPISSLNPYQGRWAIKGRVTAKGDIRRYHNAKGEGKVFSFDLLDSDGGEIRVACFNALLDRFYEVVEVGKVYVVSRGNLKPAQKNFNHLNSEWEIMLENGSTVELCPDEDRSIPSQRFDFRPISEIEDTPTTNMVDIIGVVTSVSTCTTLQKKNGTETQKRNINLKDMSGRSVDVTMWGDFCNREGSKLQEMVERGVFPVLAVKTGRVTDFNGKSVGTISSSQLLIDPDISEAHTLRQWFDAGGRDASTQSISRDVAPAASRNVVRMTVAQIKDEGLGMQDKPDWVTVKASIIFFKSDSFCYTACPTKEGDRQCNKKVTKGTSGLWCCDRCDKEFPECDYRYLLQLQIQDHSGTAWVTAFQEAAQELLGCSAGDLNRYKEEEDPRFAETMVSCLFQDYLLRLKVKEETYGDERRVKNTLVKVERFDPAGESKYLLDSISRSVGY >cds.KYUSt_chr5.10846 pep primary_assembly:MPB_Lper_Kyuss_1697:5:70211849:70212148:1 gene:KYUSg_chr5.10846 transcript:KYUSt_chr5.10846 gene_biotype:protein_coding transcript_biotype:protein_coding METQFVGNQARQTRRRDHATINRKEQNLKNASPASSYFSTQAFMVLACVAVTLLVLPLVLPPLPPPPAMLLLVPVCMLLLLVVLAFMPSDVRTMASSYL >cds.KYUSt_chr2.30160 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185690521:185691057:-1 gene:KYUSg_chr2.30160 transcript:KYUSt_chr2.30160 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCMSSGAAAVAAVQADGLAASTAMVLLPTGELREYPPTATAARVLQDSVDAGAGWFLCDADAMGFEGAVSAVGAGEELRPGQIYFVLPAEARRSGLRREDIAALAVRASAALARKSSALPLVFAPPAEKACQAAAYKTVPALAAKRRQVARAKSAGRMQPRFAPDLSAILECDTSE >cds.KYUSt_chr5.41203 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260062147:260063891:-1 gene:KYUSg_chr5.41203 transcript:KYUSt_chr5.41203 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPTSSSLSRAQSVTDDDLDELCGCLDLVFGFEPSARGGTALPSSCVASSIWASGLLERDIFDLFLPEFDKPWLIHLRENLLLFYKPLLLEAQHCLQEKKPVVDSNGLWDVMSNEDACKIARQQILLWHKNKNDGAYSDEGGGEPTMNPAVKAAADCLVRLALMKGSNDNISVIVIDLKSRKKPKEKS >cds.KYUSt_chr4.44284 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274389931:274391560:-1 gene:KYUSg_chr4.44284 transcript:KYUSt_chr4.44284 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLAMGSVGRIHGYLVVLALVMVLPWSEPEVAGRRGAGSSINKALFCGSLAQVFSATSGRHGGGRSCGVMWLRGQGDARGAASGSSFSAALSLSTSMAEGRPLPPWKLAYAGQRNIFFYLQALPNWRPYKSSVVGSRCCDPSGLVPGVTAVDHRWKLYWSAVRKPSAKDKLTNGKADSLQNQEYVLAYEDKDGGWMLVGDLQWDLFTCRKLKIMMDDTDFYPDDDKDLSRKHKFKTWRSKIDGTGSGLYGEADCNFI >cds.KYUSt_contig_1253.480 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2936130:2950731:1 gene:KYUSg_contig_1253.480 transcript:KYUSt_contig_1253.480 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHAVQSRMATQATDLCSSNSDDDDEEEDQEHHGGGEDQEEEVGGEDQEEEGGGEDQEEEGDEEDLSEDEGLGNLVFDPDPSLEWCEPEDYRYVPALERLRPRDRKPYRRGITQLPSPRSWRYVHVVLVPYGRSSFQFEDPTQRPPRGYSNILGGLLRWYFPGIVNFPTGGCDVAWRWAHYSLAEDPLGRGTAANMVVAKFWKYFKRAEGKENACDDVLHQLARKRVTGMHYEARIQCVRDWHADRFVHMSKEDARDTLMQPWQYLQNPPQYVGNDDRCFRAMVMWWTCPQYLKKHEEGKAKRAEMRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQCTSYVSKFKQKYGEEANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEQRAQMEQQILQYQQQQTQMMQQMQQQQQMMQQQQAQMSWLMSQTALSSPPGSLPPPPYSMWMPPPPTQTPGTPITVNNMNIIRSMNLGVLSSLGACPRRLPASRRLPESPARVGISRYYRPGRQVLPLQPGRFHVGAGIPGVAPHYIPPPSTFNVLLDSYWFHVGAGIPGVAPHYIPPPSTFNVLLDSYWNGDEAREDALLHDHKRLATQHNLWAQPQEFNEHLTLFETRIDEEYEDVSKNFAIVTQDMAHLRETTDNLDRQMAVNDANMEPRARHQQLGSPSLTPLFIIIKFFTILLLP >cds.KYUSt_scaffold_869.266 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:1665786:1668173:1 gene:KYUSg_scaffold_869.266 transcript:KYUSt_scaffold_869.266 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSYPGGRPARSLAPRPRPGAAASLIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAPTLLLLRRRLRLPSRHLLCLFPDDPTLASPILLDPTAPTAWWPLPPIPCSPHLYSLANFSALAVGSHLYVLGGSHFDARSYPLGYPSPSAAAYRLDLALSPHRWERLPNMHIPRGSFACAPAPAGGIIVAGGGSRHPTLPSYGSRINNTEWYDAATNTWHIVTPMLRERAGCVGFVARGGGDGDGREDELWVMGGYDGYTTVGGVVPNDLYCRDAVALGLWSGRWREIGDMWVEGERIRLGPMATISADDGRVTQVFMLDGHNIFRSSCHALCLGVLQHGISLRSTCPSRSCWMTVSNSFVEVLAMYGGLRLPWLFFHVSLISGRSVHLRENLV >cds.KYUSt_chr6.28474 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180423660:180432789:-1 gene:KYUSg_chr6.28474 transcript:KYUSt_chr6.28474 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKRVDNMEIDEHQQQQGVGAALPDGFNTDYLRIYYAKLFPYGDFFKWLSYGNDAKHPGCDQSYIGRRELSFTLENDIYLRFQSFDSPAEFESSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIDISDYDDVRYCCSGADTCLDCWPLMTIVIKIMDASLRGDFGFNHILWVYSGRRGVHCWVCDSRARKLTNEQRSAIADYFRVYKGNENALKKVSLIGPALHPFLARSYTDVLKCFFEDKLLHSQQLLASEERCQKILELIPDENVASELHDRWQGNRRSSISKEDVNTARWEQLKTTLQSGKHKTQGLRRCVEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNNCDDFDPSAVPTLSQLLGELNAAGMQMDSESDWERTSLEKSIRFFRTSFLQPMLKACKEELESAYSAKLQQSKNTLNCGGDGGDDDGYDDDGDGDDVQLDDGDDGVDFPLREGISPADLSLPESSFLSGVLRLAEVAVTLRDCPPGV >cds.KYUSt_chr5.39746 pep primary_assembly:MPB_Lper_Kyuss_1697:5:251343970:251345087:-1 gene:KYUSg_chr5.39746 transcript:KYUSt_chr5.39746 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGGGSGRDSPPSPPGVHPSDFLYATRAGGFQLWGQPQDQHQHQHQQLTHPFYASNLIRFAPDDLPTAAAAHSLAGGASSSSSRGARAAAAAGLIAGGASCQDCGNQAKKDCTHQRCRTCCKSRGFACATHVKSTWVPASKRRERQQQLSALASAVATAGGAGPSRDLTKRPRARIANTTTSSGDQQMVTVAERFPREVSSEAVFRCVRLGPMDQAEAELAYQTTVSIGGHVFKGILHDVGPSNAQLQAAAAAAAAGGGSSGDYQFRLTGDASPPSTGGDAGGGSGGGNNVVVSSAVVMDPYPTPGMYGSFVPATTPFFHGHPYTRQ >cds.KYUSt_chr1.35030 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213429326:213430429:1 gene:KYUSg_chr1.35030 transcript:KYUSt_chr1.35030 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRTVSRRRWRWRAALQGARGEWGKRAEADEKRAEADGGEGLGRQGGRLLRLAGAGRKPAAVAGPWEGTAGEAREAMGGELSLRPSATHRHRLLAGSERVKGDATTAVHELSEATPLAQARRSKAVYTKRATSATPSAVRKSARSQGATAGTSALLRAQRLTAEKNLEGKASTNISSDKGNDFAILDLLSDAHLSSVVRDSCLVFSSSTADPGEALSIIRAKEKVQAALAETARRLELEAKAAAAAKAAMDAAAGVAPRVEPADAPRVEPAEGLASPPAALGSPGEDAPVPAVTVHALTAGAGKDPAPPRSRPRRTCAKAPALAVSKRQYKKRAPK >cds.KYUSt_chr4.37079 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228053372:228053845:-1 gene:KYUSg_chr4.37079 transcript:KYUSt_chr4.37079 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSGHHGQHNGVSKPPAHHHHGNGSGKRKGGGGGGRGKGIKVVYISSPVMLTASAEEFRAVVQEFTGRHSNVADHDAPGVSSYYSSSSSSSYSSFERGSPTMAGGSAGANASPPAIAASSTPEYMTAGGAMPPPFQSLYDQTGGAGQFYGQDYYW >cds.KYUSt_chr3.38689 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243775777:243776118:-1 gene:KYUSg_chr3.38689 transcript:KYUSt_chr3.38689 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLAPGKNGEAPVAVRTTPGDEFPAPSARNLGCERAGVPYGAGVPTWSLPPAGIWPSGPEFIPAGRFPPRRLAGERERERQGFGEEETGWLTGESGVFGEEDGSGGEMPLLF >cds.KYUSt_chr6.4652 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27266582:27271315:-1 gene:KYUSg_chr6.4652 transcript:KYUSt_chr6.4652 gene_biotype:protein_coding transcript_biotype:protein_coding MPQICEGKTPDPFTGRGIAEDRAHYFYPYQQIRRKILTDALGLAADDAELLLALGDEDEAVFGEALVLGDLPDAANVGRAAGSLAPTKRTPKRRSVLSTLCDAAAELEELQRVREEHEREREQREFHELIMVDLACRAGACPTALEGAGQVASVRKPRRHARISVTAMGMPGVPPDTLEPKHVLLKKKEILIDADLQHVLPRRRKRATIGRLGTAEHPSYLPQTWTLPSNNATTKASAIALIADRDSFDRNWTSLTSNLDTSICRNAERLKPFALRRRRP >cds.KYUSt_chr7.23936 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149159347:149162749:-1 gene:KYUSg_chr7.23936 transcript:KYUSt_chr7.23936 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEEEPTAMGGDKLILRGMQFHGFHGVKQEEKKLGQKFVIDVDAWMDLAAAGDSDDIAHTVSYTDIYRSISFCRIVKGIVEGPSHNLLESVAQSIANSTLLKFPQISSVRVKVEKPHVAVQGVVDYLGVEILRHGVDFALPLGTLCCWKLGNHELDDFAARFCTN >cds.KYUSt_chr4.15509 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95845256:95846401:-1 gene:KYUSg_chr4.15509 transcript:KYUSt_chr4.15509 gene_biotype:protein_coding transcript_biotype:protein_coding MCASFSSIMQRLRNQLCRPANTVVIVLLMLVIRSNPARNAAVREAPEDDDDVVEDLVRIPQLRRPPYGRAASTAGVTAGQTDGSRRPASGEAAAFQDGVSNGSQHPMHRRHASELAGGNAHHEEVRPGPARRVLGLLLRLRTGGTPMRPTATARTAQRGARASAMAPPMASRTPDLAARRAMRGVLVATSRTPAGRAVAAGRAAESLEEGKGGAKPSAVDGSTAHVDEDVLRVGEWRLRGCRVHPRDRAGGCRARTGTVQCLGDLAATDCAVCLAASRTRTSPSNTLRAAMRGGGKRRRGPGRYCGRRRLRRRWRLRLRRAASSGGGVGASGGVGASASSAASGLSLSRGEKEEDLGIFGRRLSLKQGDGPLVPVGTTNRY >cds.KYUSt_chr1.657 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3495207:3496837:-1 gene:KYUSg_chr1.657 transcript:KYUSt_chr1.657 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGQVVVKLSAALAKDGLTFGIKLLWKEASALNGLFRKIRDSKVELESMQAYLQEAERFKDTDRTTAIFVGEIRGLAFQIEDVVDMFTYKLEEDKHGGFAAKMKKRLKHIKTWRRLAAKLQEIDGKLQDAKQRKKDYSVGRFASVAVSRNRGQALHFTRDEDLVGIEENKDSIVKVDFDAVAWITVSDSYRIEDLLRKIAAELSIDVANAEMRGLAESIHTYLQGKKYILVLDDVWNAQLWTEIRNVFPTSNSTGRFVLTSRKHEVSLLVTRESAIHLEPLQPHHSWVLFCKGAFWNDDDKECPLELQKLAWKFITKCQGLPIAITCIGRLLSGKPPTLAEWENVYRGLDSQLAKDVIPNVDMILKASLEDLPYNLKNCFLHCALFPEDYGLKRKMIMRQWIAAGFIKEKEENRTLEEVAEGYLAELVNRSLLQVVESNHAGRLESYRMHDVIRLLALNKAKEECFGEVYNGSAAGEFSVDCARRISVWGKNLEQLR >cds.KYUSt_chr3.18060 pep primary_assembly:MPB_Lper_Kyuss_1697:3:111056887:111059586:1 gene:KYUSg_chr3.18060 transcript:KYUSt_chr3.18060 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLWKSNNSGATEKTLTLEEQQQKIDELRKQLGEPSSVAIQGFLSDASILRFLRARNWNVQKASKMLKGAVKWRASYKPEMISWEDIAHEAETGKIYRADYKDKLGRTVLVMRPGLENTTCGKDQIKYLVYSLEKAIMNLTDDQEKMVWLTDFQGWSLGSTPLKVTRETVSVLQDCYPERLGLAILYNPPRVFESFWKIVKPFLDHETYKKVKFVYSNDKESLKIMGEVFDLDKLDSAFGGRNTATFEYTSYAEQMKLDDKKMQSNDTGSDASSEASFYSGTDSPKHGDGEAKA >cds.KYUSt_chr5.41987 pep primary_assembly:MPB_Lper_Kyuss_1697:5:264773624:264773827:-1 gene:KYUSg_chr5.41987 transcript:KYUSt_chr5.41987 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEEQRQPGAPGAAACETAVPDQGRDELHARPALLGYVHNSFVTSNLVRSFADLVHVHLARAVAVS >cds.KYUSt_chr2.49139 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307575978:307579201:1 gene:KYUSg_chr2.49139 transcript:KYUSt_chr2.49139 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDSHLLRKKRPRKVSIATRHSPAHAGPCWPGPTRTKRKVGLEDPIGSKLTGEVTGYRNRKTPPAAAPLLAGYSRTPPPPPPLLPYALLRPAAAQRSTSGRIGIPHLPSLFPASLPLLYLPQNSNDCVKEIENPRDIKDPEHPYSLEELKVVTEDSVEINDELSHVRVTFTPTVEHCSMATIIGLCLRVKLMRSLPPRYKVDIRVAPGSHATELAVNKQLNDKERVAAALENSNLLDIVEECLSPTLG >cds.KYUSt_chr7.12682 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78055462:78059223:1 gene:KYUSg_chr7.12682 transcript:KYUSt_chr7.12682 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRAAVSRISPALGTSSFASCPRIAYSRPLTSSNIAVRAVSGEGAPQGLPIDLRGKKAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIVKVYPLDAVYDCPEDVPEDVKTNKRYAGSSNWTVKEAAEAVKKDFGSIDILVHSLANGPEVTKPLLETSRSGYLAAMSASSYSFISLLQHFVPIMNPGGASISLTYIASERTIPGYGGGMSSAKAALESDTRVLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNAAAFLVSPLASAITGSTVYVDNGLNTMAVAIDSPSVA >cds.KYUSt_chr2.41425 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257639497:257640333:-1 gene:KYUSg_chr2.41425 transcript:KYUSt_chr2.41425 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTLDPFNQCSASRPCPKHGSHVTIVSHLVVPRQLWHNCGSFLSFSRCQATAAALIPSLMPPSGLLSYIPTSLSSILPVRGRVDVASSSPPSPPPSEPASSPPSSPAASPSKMSPTQKQADGAELARVFELFDRNGDGRITREELADSLGKLGMAVPGDELAAMIARIDADGDGCVDAEEFAELYRAITSTGADEAPAAEEDEEDMREAFRVFDANGDGYITVDELSVVLSSLGLKQGRTAEECRRMIGQVDRDGDGRVDFHEFRQMMRGGGLAALA >cds.KYUSt_chr3.41129 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259563806:259565413:1 gene:KYUSg_chr3.41129 transcript:KYUSt_chr3.41129 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAALSCSCSPSPSPSSTLLRRTVSAFHRTPDARARRLRLAPLHVVDDSKEVETAVAGAGSERSETDKLVDGMDFGELCNDFECISSPYVESTARQIARDILEIREDNRALACYAVAVKYKDPLRTFVGREKYKRPLWITEALENPTVTVQEMSMQSTNALTIKWTLRGKPKNPFFSAVGGELIVRVDSQFVLNQISGQVLEHVESWDLSGSSAPAQAYFWFSRRVYSTVEGGKDTIEAAKGLASRLSQNKDENLEVYPDPSGDPTKFFTRPDDLNQDVYQIGLFLAVLYFIVQFLKQTI >cds.KYUSt_chr1.18378 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107534061:107536148:1 gene:KYUSg_chr1.18378 transcript:KYUSt_chr1.18378 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRGQHSRPPDTHTSLRLAAPLQSCGRAGDLRLGRRLHARLVLSGAAAASAFLTNHLITMYSHCADVTSALRLFHAMPRPNLVSWTTLISGLAQNSMHADALAAFASMRRAGLAPTQFALSSAARAAAALAAPRPGAQLHCIGVRLGFDSELFVASNLADMYSKSGLLAEACRVFDQMPHKDAVAWTAMIDGYSKNGSLAEAARAFRDMKREGLVRADQHVFCSVLSASGGLKDRWLGRTIHGSVMKEGFDREVAVRNALTDMYAKAADMEDAARVLKVDPEGWTVVSATSLIDGYIETDRVEDALRTFIELRRQGVEPNEFTFSSMIKGCAMQALLEQGAQLHAQVTKTNIISDCFVGSTLLDMYGKCGLISLSSQLFNEIEHRTDIAWNALINVLAQHGHGRKAIQAFDRMTSSGIRPNHITFVSLLTACSHAGLVDEGLKYFNSMKDAHGIEPKEEHYSCIIDMYGRAGRLDEAEKFIHEMPVKPNAYGWCSLLGACRMRGNKELGEVAAQNIMELEPDNTGVHVSLSGIYASLGQWEDVKAIRKLMRDSRIKKLPGFSWVDANNKTHVFASEDWSHPQQEKIYKKLEELYKRIKEEGYVPDTRFLPCNLEDAAKERILRYHSERIAVAFALISMPATKPIIVKKNLRICVDCHSALKFIAKVESRDIIVRDNSRFHHFGKGGCSCEDYW >cds.KYUSt_chr6.1239 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7706386:7721557:1 gene:KYUSg_chr6.1239 transcript:KYUSt_chr6.1239 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGSHPSVLPGQCIPVVLFVFEDDIIDVPSAVTSLSLDDTGDTSSSNQASSTDGLQKQNPTSKGSGSVVMLARPSNKSDGTFSKKLHSSLEGQIRFLLKKCRTLTGLESGHNGPRGVVNVNHLPLFSLDTSRVVALLDRSINKKREPLDIIDGLFEDSFSSKASLDVASLENNCQPTNHEDFQVIKDFIFRQSDGLRGRGGYSGNATAGSVAGVGMVAAAAAAAAASASAGKPVSVPDLPSFDKWYSVSASILSGLISRKDGVSSSKITCSSSTHASSSLKNEQLSPAGSSAIESALSCLESNKGLNMKFSSSWCQRVLPVAKEVYLKDLPAFYPTSVHDLRLQKALRSFHSTVKGPAVKVFSEKLEDECKKIWEAGRQQCDAVSLTGRPCKHQRHGKLSSSESDAVEQHSSGCVFLHACACGRSRRLRDDPFDFETANISFNCFSNCEDLLPTLVVPRGVDTSSFPVSFWRLVRLGGARYYKSTKGLLQAGFCSKDKYLLRWTISLGKGQVKNSSHATIKSSSLTSNVNSQTPHVASREVKSIPVQVTSEVKTAKLENSRKQSELQSANNSAIIFGKGVPNFTMKKPFAEVVAGSTTKDSEFPALQLKRPPKPVSRKDERQMSVAEQNNGQSNATLSQGSIAENDSEKMTRNISESADGKPFLQIGSNIVPVIVGNETKEATEAIQQFVVYVGFEYECSYGHRFLLTEKYLKEMDSPCLQDERSYQNNEAEICILHSRHWILGLLATGEELIPGSEVYKLLLQIGEIGSNEDEGSQKGEPRGAEVGPPHRPARPKAWPRHPGYIAKSHSRLCGAENTREKRTLRQAEIRRGNSLPEGEIDAIVTVIELDIISITIIIIFIIITAVSTAARRHRCIAMDEVRKKLFSISLSGKMAHWYKLLDNGDSLEWNDIVPRFYSKFYPPSEIHKDQNRIYNFWPHEGESIAQAWGRMKSLMLKCPIHELPGNIIIDNFYARLSFQDKTLLDTSCSGSFTRNKEEFKRDLLNRIQENTEGWENDKDRESGINYDYKCIEAFMDTDKFRNMSATYGLDSQVAANLYKAFASHYELPKKNFDKYHEPYKDKIDSSINKCVVVETVDHVIPEAYIEKTPFPAKMKEYSVINNLVTENVEDGHIIFCEDASNIVSHPNKSKQASVPMLSVRIGDHYYYGLCDIGASISAIPYELYTEIMHEIGSCELEDTDVVIRLANRETISPIGIVRDVEVLCADLPNNDFKVEQCASIALAPSNPLQQHLENSESEVFREERDELDEIFLRQPILKHDLSVEDLGRTPPPKEDPVFDLKPLPDNLKYAHIDDKKIYPVIISSKLSEFEEERLLEILKKHRGAIGYTLDDLKGISPSICQHAINMEDDAKPIVEPQRRLIPKMKDVVRNEVLRLLEAGIIYPIADSRWVSPMHCVPKKGGMTVVPNDNDELIPQRVVVGYRMCIDYRKVNKVTKKDHYPLPFIDQMLERCMSVIFHGFCESIVEVFMDDFSVYGNSFDNCLRNLDKILQRCEETNLVLNWEKCHFMVNEGIVLGHKISKRGIEVDRAKVEAIEKMPYPRDVKDRKGADNPVADNLSRLENIAYDTVPVNDSFPNEQLAVIKWKHQRLSSKVKLTVRQNSTLNRSTMASNNKGKGPSKEEVKRMSSKQEQQAVGSKQILVGSVDTQRSFSHNLQGPLPPALGLDSFPVLEEALRTTDEFCGQYRALRREVEILQEENYRLRRMLEYYSIPITSSGLTWRKFRPDSFQQKLLSVVCAQVLSSGPEVPVELAGSFVSGTWPELGRNFRPPEVSPKFRPFFCFADLGASNLVVDVCPKNLVKARFPPRGNPLVEVG >cds.KYUSt_chr5.42255 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266312943:266314640:-1 gene:KYUSg_chr5.42255 transcript:KYUSt_chr5.42255 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPKRDANYVPLSPVTFLPRAAAVYADRTSLVCGRTVFTWRQTHDRCLRLSAALQALSVSRNDVVSVLAPNSPALYEMHFAVPMAGAVINAINTRLDAAGVAAILKHATPKLLFVDYQYTRVATEALKSITAASLPLLVVIDDIEAPTGVRVGELEYEKLVARGDPARHPPRDVLDEWDAVALNYTSGTTSAPKGVVYSHRAAYLSTVGLLLQWGVGHEPVYLWSLPMFHCNGWTFTWGVAARGGANVCVRAPTADAMYSAIADHGVTHMCAAPVLFNVLLDAHREPLSRAVEVLTGGAPPPAALLERVERLGFHVTHAYGMTEATGPAMVCEWRERWDVLPAPDRAALKARQGVSALSLAGADVKDLKTMASVPRDGVTLGEIVLRGSSVMKGYLNNPEANEQAFKGGWFLTGDVGVVHPDGYVEIKDRSKDVIISGGENISSVDVESALYGHPAVQEAAVVAMPHPHWGETPCAFVALKKEFDSGAGEVSEEELVAFCRSKMAHFMVPRKVVFVEELPKNATGKVQKLALRGRARGLRPRAADKKRPDPFRPTTMAALSKL >cds.KYUSt_chr3.6272 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36003598:36005506:-1 gene:KYUSg_chr3.6272 transcript:KYUSt_chr3.6272 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAVAASISSSRATAWASSSATPRHAGAASCSTSRHAVAPLRVRGHVPVVPLSLSLLSARTRTALASAAATTAELPPVSDAAAASDSGGGIAGAVQLGALIVAWYLLNIYFNIYNKQVLQALPFPLPYTITAFQLGFGSLAILLMWAARLHPLPRLSAAQLAKIAPLAAGHMLGTVFTNMSLGAVAVSFTHTIKASEPFFTVLLSAFFLGEWPSMLVLGSLVPIVGGVALASLTEVSFNWVGFWSAMASNLLNQTRNVLSKRLLGGEEESISMDDINLFSLITVLSFLLSCPLMLLAEGVKFSPAYLQSTGVNLQELCVRAALAGLCFHGYQKISYMILARVSPVTHSVANCVKRVVVIVSSVLFFRTPISPVNALGTGAALGGVYLYSRLKKSKPKSQ >cds.KYUSt_chr3.37598 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236557546:236558214:1 gene:KYUSg_chr3.37598 transcript:KYUSt_chr3.37598 gene_biotype:protein_coding transcript_biotype:protein_coding MADCRSLIEFLRAFEHHRRAADATYARSKRASSPSSRHLTDLCENSSMSAAIDALLLLAVLAALGFLIIPQLNLLILSLTTLLHPATPYLSAAAIAGAAATLAAAALCWALLLRHARRCGKPRCRGLRKAVEFDIQLETEECVRGRPSAAARSPAAALLAAAAAGTGARAVDLDDAHRELEVELRKMAPPNGRSVLVFRAPCGCPKGRMEVWGAKKVRRIKK >cds.KYUSt_chr4.24648 pep primary_assembly:MPB_Lper_Kyuss_1697:4:155072193:155077103:1 gene:KYUSg_chr4.24648 transcript:KYUSt_chr4.24648 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHREAVRMLRKVAARISSLRFAVEDSRIWVVGVGGLLETGETLDRLAWPSGGDAFKCHSSSLEAWLRSLVYTRPTSEVVLAWALAFVLTSVSGDARRQWREQGPPGSRPHSVTITEFGAVGDGRTLNTLPFQNAVFYARSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLEEEATIIGSKESSQWPVVEPLPSYGQGLDLPGPRHRSLINGYNLTDVVITGNNGVIDGQGSVWWGWLRSHELNHSRPHLVEFLYSEEIVISNLTFLNSPAWSIHPVYCSNVKVHNVTIKTSLDAPFTDGIVPDSCLNVLIEDSTISVSHDSISVKSGWDKYGISIGRPASDIHIRRVDLQASSGAALAFGSEMSGGISDVHADHLKIHGSSKGFSFKTTPGRGGYIKEVVISDVEMDGVLVAIEFTGNSSSHPDDDFDPSELPVIDQITLENMVGTNISVAGVLSGIEGDPFTAICLSNLSFSIADLADSSPWSCSNVSGYSESVFPEPCLELDTVSSNSSICFSLDSYSALAVA >cds.KYUSt_chr2.36647 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226298815:226300348:1 gene:KYUSg_chr2.36647 transcript:KYUSt_chr2.36647 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIVFHGLLTGRLVCEKVNPGSAAGVPERDDGDEDAQTFGHELDEKLSMIKCCPAAVLSSSTSAVLGGAYEKSKKKEQSSLSSGGPYLMAALLTKRL >cds.KYUSt_chr7.19255 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119352779:119355285:1 gene:KYUSg_chr7.19255 transcript:KYUSt_chr7.19255 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIMAVMFAFGMTALLWWGWRKAKACFFPNLIGNLDTGGQEFSYQELVTATNSFSKKLGEGGFGAVFEGKIGDLMVAVKKLSNASESVDGYKAEVTTLSRLNHRNVVPLIGWCHHKRYLLLVYELMPNGSLDTHLHPEKPVLPWIDRYKIAVGLACALAYIHEDSNDSRLVIHKDIKPKNIMIDESFNAKLGDFGLARFVNRGQSAELEPLAGTFGYMDPYSYGTKSDMFSFGVVLLVMGSGRPAIKVVKDDHGTVLESTHIKDIVSGEYGSGGAKAVSDLRLEGNFVPEEMNAMIRVGLLCVSSDSNERPSAKEVFRWLDPPVSLENIPSPRNGGSVSGFPFTTNIDGAWSDSREGAMSSPLLDFPSRAAIQDSNSDRSTAALDNFGSWPAMQKLVEMIVGAMSMRERSFSHGIEKRKLGKKDSIEGTISSPNLDPPSRAVIHDPKSDRSPAARNNGGSSSGELPIAQQKLVEITHLFTGGATGQEDFVSVYKTKAGEMINIFNDNKMLGKED >cds.KYUSt_chr1.6308 pep primary_assembly:MPB_Lper_Kyuss_1697:1:38849240:38858383:1 gene:KYUSg_chr1.6308 transcript:KYUSt_chr1.6308 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAEYEDDDEEVSTSVGDEQPEEEEQESDGSEEEQEPEGEQAAEDGEEDEEEEEVDEEEIVAATTGAGADDDDDAGDGAGEAESTEDDEAAAPEEGGEEDADESEDAAGNAEVGKRERAKLRQMQKLKKQKIQEILDSQNATIDADMNKKGKGRLKYLLQQTEIFAHFAKGSQSAEKKSRGRGRHESKVTEEEEDEEYLKEEDALASAGGTRLLIQPSCINGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIARFCPVLRAVKFLGNPEERNHIRENLLAPGKFDVCVTSFEMAIKEKTALRRFSWRYIIIDEAHRIKNENSLLSKTMRLFSTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENAGKMVLLDKLLPKLKARDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGDDRDASIEAFNKPGSEKFIFLLSTRAGGLGINLATADIVVLYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIARGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKEEDKPDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPNLHDFQFFNTQRLNELYEKEVKYLVQTNQKKDTIGDGDGDDEDQLEPLTEEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRDDIKSIFSEMEGKTEEEVQRYAEVFKERYTELNDYDRIIKNIEKGESKISRKDEIMKAIAKKMDRYKNPWLELKIQYGQNKGKLYNEECDRFLLCMVHKLGYGNWEELKSAFRMSPLFRFDWFVKSRTTQELSRRCDTLIRLVEKENQECDERDRQARKEKKNMTSSKRPAASSPAFESPIQSSSKRGRRDGSAASIVELVKAFGDLS >cds.KYUSt_chr2.55548 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346491070:346492726:1 gene:KYUSg_chr2.55548 transcript:KYUSt_chr2.55548 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRSKCSSVGRTLMGSLGNNLYGGVNSSIEAVTRPSRSDAVCQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDMIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKKGRSDGSEVQFDDNAIVIVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >cds.KYUSt_chr1.38884 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237601796:237602197:-1 gene:KYUSg_chr1.38884 transcript:KYUSt_chr1.38884 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVVKVAAVLALSSMMVVMMMVVQTAEGQGLCEIGCMRSKCGLGVKVCRDQTCIDCMASRGMACATACPNSCTRTLSCSLMAADCFSLCDRNFSFLCLPKCGLVNSGETLVDCVTTCESTASQCLIECMKP >cds.KYUSt_chr2.28989 pep primary_assembly:MPB_Lper_Kyuss_1697:2:178161807:178165925:1 gene:KYUSg_chr2.28989 transcript:KYUSt_chr2.28989 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLRRALAASSSPVHPRRALLTALISPPPAGPPEPCRTPAPQPPRRRAFHASPRTLGFRATTPALWPGTVSEAGAAAGEDGLEVARLGISPRIVERLAARGITRLFPIQRAVLEPAMQGKDMIGRARTGTGKTLAFGIPIMDRILRHNEKNGSGRNPLAIILAPTRELARQVEKEFRESAPLDTLCVYGGVPISQQMRTLNYGVDVVVGTPGRVIDLLTRGVLNLSEIQFLVLDEADQMLAVGFDEAVEVIMEKLPQNRQSMLFSATMPSWIRKLTNQYLKDPVIIDLVGDSEQKLPEGISLYSIVSENYGKSSILGPLIKEHANGGKCIVFTQTKREADRLAYAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVVHYEIPNSSELFVHRSGRTARAGKKGVAILMYTYEQNRNVRVIERDIGCRFTELPKIAATDDAADLFNVMRDSRSKSIGTRRTGGSSFRREGYGGFGGRPSQGFGDFGGFGGTPDRADWSRDAGTRYRGGFGDSRRPSQSSDGFGRSSFSRSGGFGDFGEGNFGRSGNQDFRRPRGSDDSGPSRYGRSSDGFGTSDFGKPGNFKD >cds.KYUSt_chr2.16355 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102866770:102872492:-1 gene:KYUSg_chr2.16355 transcript:KYUSt_chr2.16355 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSGGEPGRPWTATSTWAPAGGAAVEDAVSFETTAVDAETSPSAVALARPPSEGGEDPTPCEVTLNFTGKYEIHRVYVRSTARIYEIYYSTDQKDTSKDYLCTVRCGIAAQEPLPSGEECMSQGSSNAATGEKHEQETKSLTSSSDEDSWVEVKIPESPLGNSTPESQERNVHYEATAEITDANPCVSLTVRLLSLQSKTSVHIDEIYIYADPVESSSDDSAADPGNMGGSSLLAMLVPGLMQISKSRDCKIDNKYFSDGLKERLPEGCTFKDSIPGGNIAQEAGLYGANDSNFGLAGLESRLPPGHSGTICDENGNQHESLLNGHMSPPLPIQTTETIPVTSAKDKMVSNTNQDDNPVMTENVTPHNRIERMLDTLLSKVDKVELYCSRFEDKVMRPLGSIEARLQRLEQQFDSFSVEIQSLRDSSARMSAPNVFADTTGSSETPASVTDMHPGLVIKAPEFPLKESCCSDVADDNTVNSCGPNVVPRLLVKAPDFIYEPELTCEKLHDGPLPSEKERKTSPGLVISVPEFASDDEDDEVEEDKEAEAGDLTNSYDTMSKSTDDGFRGKTPVSVDGALASALEAFLTSTKGTSLSKSDACTVSNLSDGNFADSSTTCFAHEHVNEIPTKDGSVGQFHRALVDADKIGTAISFQEVEVAPHISLSKANLVGNVEVNEQNIDLNPDKKAFASSEPLNVLSQSDTGSVDDCSQVNGQNNGPNSDTMPLVISTEPLDDPSQLPTHLGSVDERTQVKAKPPAIFESVDEIAQVNGRPSLPLAEFLVARSASSGTSEVCRGNDGAAIPSFQRKLAGANINLEGGDSKASQKNPTFQLSLLKKALEVDEGDSKFCEDISIETTFEPSSYAASNGHGTGTMDTLSDEDEGLENREDSISLSGGMNSLFFELPDWKEGWNANSNMFPDPNESFRKPNVERSWSDSSSMELFGGLSSTKGNSSANAAAGNLLADLYVGNGASSNVTPIAGTQLQKVYDLLYECEHDILGMSFVGKRASASNPSLEVLLGESSDSEAPISDQEDVNSDAGFGSDHLFTTFSSSDDDAFDMDKPLVDVPVPSEANVSVSNEPLVDLADLTNPSGTCTPSVNKPFADVADLAEPSDLYASAVNEFLTSDLPKTSETFVGGSSGEHPDSLI >cds.KYUSt_chr2.54449 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339696630:339697452:-1 gene:KYUSg_chr2.54449 transcript:KYUSt_chr2.54449 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEGWFANDIAAGGQLTTATDVENFPGFPDGILGIDLMDHCCAQSVRFGTNFFSETITSVDFSARPFRVSSDDTVIHANSVIIATGAVAHRLHFASSDAFRNRGISACAVGDGAYLPEQAHRRRRRRGLGHGGGQLSHKVVWYSEVVEAYGGSDGGPLAGVKVKNLVSGEVSDFQVAGLFFFIGHEPATKFLGPQLKLDSEGYMATMPGSTHTSVKGVFAAGDVQDKMYRQVITVAGSGMY >cds.KYUSt_chr3.24418 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151532343:151535025:-1 gene:KYUSg_chr3.24418 transcript:KYUSt_chr3.24418 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEASPWSLAGAAAAMALLWLAAWIAEWAWWTPRRLRRALQAQGLRGTQYRLFTGDVPENARLNREARSKPLPLGSHDIIQRVQPMFSNVIKENGKFSFTWFGPTPRVMIPDPELVREVLSNKFGHYGKQKSSRLGKLLANGLANHQGEKWAKHRRILNPAFHNEKIKRMLPVFATCCEEMITRWDNSMSTQGSSEIDIWPEFQNLTGDVISRTAFGSNYQEGMKIFQLQGELAERLIMAFQTIFIPGYWFLPTKNNKRMRAIDCEIRTILRGIIGKKDKAIKNGEAISDDLLGLLLESNMRESNGKADLGMSTEEIIQECKLFYFAGMETTSVLLTWTLILLSMHPEWQEKARDEVLYHFGRTTPDFEHLSRLKIVTMILYEVLRLYPPITILTRRTYKAMELGGIKYPAGVNLMLPILFIHHDPNLWGKDASEFNPERFADGISNAAKHPGSFFPFGGGPRICIGQNFALLEAKMALSTILQNFSLELSPSYTHAPYTVITLHPQHGAQIRMKKI >cds.KYUSt_chr2.3419 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20456506:20461319:-1 gene:KYUSg_chr2.3419 transcript:KYUSt_chr2.3419 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDNSIVVDHDGKNHRSYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDIEYTRWLEDQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTETQLAGLTNLQQSSQQAEDALSQGMEALQQSLADTLAGSLGSSGSSGNVANYMGQMAMAMGKLGTLENFLRQASLFPCNSCRRDTSAF >cds.KYUSt_chr2.50034 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313003996:313009661:1 gene:KYUSg_chr2.50034 transcript:KYUSt_chr2.50034 gene_biotype:protein_coding transcript_biotype:protein_coding MCRNNKQMKCAVTETTAGGTEATSAFKSPTLLSRAPTLRPPSGAPVVSLEALTGRSHLGLRREGRGGTRRKERERETATEAGAIAVLAWTGPAGRLEGMDLAQHVANMSDRNSSGKQAVWTSAMSSFVLKFMANLVAGGTRTTKGFKEVHHISCAKALNEFFRINLTAIQVSNHIRKWKKKWGRINKLKSLSGAVWDEHTCTIVLDQEHYTGYIKDHLSDADFLNIPIEHYHEMATICGSGTSGVYARRNSEPIATDVTENESENGKSNGESDSVATDETEDETENGKTNGRSDPLATDVTESEKTNEEATKCFTNTEVGNFGDPTGSLPPPKKAKGMHLEQHADNMGGNDDGGGVVVWANATSSFVLQFLANLVAGGIRPPHVFKQVHLKCCARALSEHFRVQVNVTQISNHLRKWKKIWAKVNTLKSVSGALWDESTCTIVLDLEHYTAHVKDHHHDADFLNTPIKHYREMATIFVKDTTSSRPAKSSSKRLVRSLTENLIEKENMDGENDLIAKCVEEATMSFTDSDEGNGDSSGSLPPQPKKAKVKNDESMLCTMTRTLDRLTQAIEKCSKVDTDVPEDLWANMKDLPGFEQEHLAHYYAYLCENPVVARAFYKLSWSPKMIWVARYIRNHLSA >cds.KYUSt_chr7.21206 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131354319:131354723:-1 gene:KYUSg_chr7.21206 transcript:KYUSt_chr7.21206 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVAGGLRLDASDELQEARLPSVVIVGDPRVYLSVGLCVDKVGFLVVEVLLTGKPVVAEVLLTGRAMPRFPRVVVVLNDYLMCGTMSSKIVWLFRDAGARVSLSVVDSCLYSVDTLNAVHDREYIRREGRGLL >cds.KYUSt_chr5.42233 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266130115:266137687:-1 gene:KYUSg_chr5.42233 transcript:KYUSt_chr5.42233 gene_biotype:protein_coding transcript_biotype:protein_coding MADHMSWEQVVDICRQLHPKEEEDEVAAAGVEAQQLDLEVAEAEAEVAEVEAEAAERAEGHLAATRAEIANAMAEVTDAGAELAEARAALAAPPADAVIIHDIADDDPPCPGASSAPVTSGFRSRPSSPRQGTPGAARALVAEEEAADYATAMARGLMCSDLDSLQRRGRSPARVEQENREAGGKIDEIFHLTVIASFIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNQMFWSSPDAQIKEVGPEEVGKLLKCYGEARVGFGAGYNIQSAIKQAVLHCPFLREGIK >cds.KYUSt_chr5.22853 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149251240:149252112:-1 gene:KYUSg_chr5.22853 transcript:KYUSt_chr5.22853 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAALGGTAAPWAQAQPHLLQEFEYFLVVDFEATCEKDSRIYPQEIIEFPSVLVDGATGRIESAFRRYVRPKYHPVLTQFCRELTGIRQEDVDGGVDLGEALWLHHRWLQAATSGARKGGSLAVVTWGDWDCRTMLESECVFKGIAKPAYFDRWVNLRVPFQAVLGGGGRATLQEAVRAAGLQWEGRLHCGLDDARNTARLLVEIMRRGAKIAITGSLAPPPIQPKEEEEEQPHTSLCGGAAGACFCGVASRWGVVAMPGPMQGRCYWGCGNWTPTMGAICPYFLWSN >cds.KYUSt_chr1.26818 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161793732:161802722:-1 gene:KYUSg_chr1.26818 transcript:KYUSt_chr1.26818 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRSVWQGIREKGLVNFFRYARDEGYLKCLPDGNLLQTKIHNIGATLVGVDSFGNKYYEKVHDTQYAANAVFGTYICGIVKCRNRHAEAACTVFTVNSYFKIDEMHETSMRHCCFDPSDIIDERDVVEIHVSAPAPDDCEATRPLLTAACEAYSVSAAILPFFFPALGGLLYGYDIGATSGATISLKSSAFSGTSWYNLSSVQTGLVVSGSLYGALIGSATAFTIADFLGRRRELVVASVSYLIGALLTAAAPNFLIMVVGRFLYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIVGNLYVEVLSGWRYMYAASTPICVIMGIGMCWLPASPRWLLLCATQGKGDLRETKDNATRCLCRLRGQASPDLVSEQVNLILDELSYVGEEKKAGFSEVFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATIFQSAGFSGASDATRVSILLGLLKLIMTGVAVLVVDKLGRRPLLIGGVSGIAVALFLLSSYYTLFSGASYVAVIALLLYVGCYQLSFGPIGWLMISEVFPLKLRGRGLSVAVLVNFASNALVTFAFSPLEDLIGTGVLFASFGVIAVASLVFIFCIVPETKGLTLEEIEAKL >cds.KYUSt_chr7.33520 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209080986:209083076:1 gene:KYUSg_chr7.33520 transcript:KYUSt_chr7.33520 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPSVEEPLLVLASGPEQGSENNGAAAAAEAKRLLRLAGPLVASGILRSVVQLVSVMFVGHLGEVPLAGASLATSLANVTGFSLLSGMARALDTVCGQAFGARQYHLVGVYEQRAMLVLALACVPIAAVWANAARILVFLGQDASIAAGAGAYARWLIPSLVPYVPVVCHIRFLQTQSIVVPVMACSAVTALAHVLVCWVLVHKAGMGSKGAALSNAVSCSINLAMLSLYTRFSGACNRTWTGFSMEALKFKELRQFAELAFQSAMMVCLEWWSFELLVLLSGLLPNPRLETSVLSICLNTGALMFKVPTGLCTAISTRVSNELGAGKPEAAKLATRIVLLMALSEGLVISITMILLRNFWGYMYSNEEEVVTYIARMIPVLAISYFIDGVHTSLSGVITGCGEQKIGARVNLAAFYLAGIPLAVLLAFVMHLNGMGLWLGIVCGSLLKLMLLMWIVLSINWEKEANKAKDMLRSSLLVA >cds.KYUSt_chr1.25559 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153421505:153422794:-1 gene:KYUSg_chr1.25559 transcript:KYUSt_chr1.25559 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTFIMIKPDGVQRGLIGEIISRFEKKGFYLKGLKLQNVEKSFAEQHYADLSSKPFFGGLVEYIVSGPVVAMVWEGKSVVATGRKIIGATNPLASEPGTIRGDFAVDIGRNVIHGSDSVENARKEIALWFPEGLAGWTSSQHNWIYEA >cds.KYUSt_chr4.54859 pep primary_assembly:MPB_Lper_Kyuss_1697:4:338798623:338817880:-1 gene:KYUSg_chr4.54859 transcript:KYUSt_chr4.54859 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGITGVAPHYIPPPSTFNVLLDSYWTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYAREEKLEREASIPKKLEDGWEPIIKMKVKDFDCNSLCDLGASISVMPKKIYNMLDLPPLKNCYLDVNLADHSTKKPLGKVDNVRITVNNNLVPVDFVVLDIECNASCPIILGRPFLRIVGAVIDMKEVKPLIEKFHVGAGITGVAPHYIPPPSTFNVLLDSYWFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFRVSDRDETVHERAIQPHIEQRVRALAVSLPDDAAAAATDHSFAERAENYYHRRPQLLALLTDLHHRYLYLADRYSQSLLAKSHAHHLSVVHAAVSECSSDVDDRSSDAGSSLSFQPHHSANDDHHRRLSVAPGADSDLVVAELIMAWVHRDVLAHEAERRNAESARKIELQGSLLDVLESERLVLLGENARLGFRASAAEEEAAGAAAELGYARRRAAEMARLVVKLREDHRVCMLGRKIEALQAQVYGLEMRNRECYEAMAAWEAERKASANEIDRLRKENRRLAAEAQAARERQAARRRGKKGGGGGWWWLARVRLAAEWTPCAPASVTVRKVGEQFCDYGIEGVVPPELLPADVRAKFNAKPGEKAKRPKREDTKGTSSHPKEPQVAAATPDTDGGGSGDQEEGAVPMDSDNPAVDDGQKRSPGEVSGPESGQCEAEDDGEDNNSKTAHSRDSR >cds.KYUSt_chr4.23925 pep primary_assembly:MPB_Lper_Kyuss_1697:4:150754823:150757723:-1 gene:KYUSg_chr4.23925 transcript:KYUSt_chr4.23925 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFARVQELVDPDLASKIMGALLTQDKSEEDMIRLAFGPEHLLHSVVARERADLLARTNPSSPPAPASWGMAPGDVDAGHPFSAASDPFYPDDGYGCWSPTSGGHRRSYSLSDADGGWKPCQYFARGFCKNGSACRFLHGLPDDVAEQEMAVMRAKAAAVAAARAQMMPPAFAFSPSPPKGLGFLLQQQQQQQSESQRAAAMLLAAGDDMHRFALRSPRMDRADLSNSHGARQIYLTFPADSSFTEEDVSSYFSMYGPVQDVRIPHQPKRMFGFVSFLYPETVRLVLAKGNPHFVCDARVLVKPYKEKGKVPDRFRHSGGLSPHHRDFAAAGLLDSRDPFDLQQPQIGQRMLYGSMAGHEAAFLRRKLEEQQESAELQRAIELQNRRFMGMQLLDLKSRGHHLLGSPVGSPGDGNGGCFNANGNGVHHFENNIQDNSTGLVMGTSAAASAIGKDGRQEQQYEEEEGGGDGNGGSPKQAVNSGEEGRRESGPGAAAATNVVCGYQESGMEHNLPDSPFASPTSAAAAEQQTAHTGSISSSHPVALSLFPSAKPLYSSCFSQVPRFSSGHGAIGL >cds.KYUSt_chr3.30962 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194309462:194311078:-1 gene:KYUSg_chr3.30962 transcript:KYUSt_chr3.30962 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATRPSVASRLVRTKRPRRAAQIDISAVGLEAAARPSAASRVVRTKRPGIAAQIDISAVGLEAAAGAGSHIRWAKHPRRRRTAHIPSRRAKKTTWGPLRPSSINHHRPNLGLKQQARLCAVSRDQVPSPDYNNDNWYVQSEALPLLYNSDDCDSGLICQPRRRDWANLDDGPSALIAERVLAYDVADYVRFRAVCRPWRQCSPEPRTHSGLDRQFHPWRWTMLREELAAPERRSFLNTSTGECVQIYIPELHDHELLALTPEGLLVLLHDRKHIRLLNPLTRHLTELPPITTLVPPQDHRCLLEQNPHFNDYFAAWGSGVAYYDSAFVLCFGRLCMLGIAKPGDDNWTLLQYECNGITSAPLMFAGRFYCVTRNGVMVLEPDPDMPRLKVAAKLKNMHVSPIADSVHIVNNCGELMLVHRRRGPLTPGNESGRRYDTYRVDLDTGTLLPVKSLGSEGRAIFMGMYCSLSLSPEVFPSGSISADSIYLSFDFSERDMLQVGAYHLGDGSIELPGSSVPRPHTLVDCLSLSNTVVDDS >cds.KYUSt_chr2.47316 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296018260:296022447:-1 gene:KYUSg_chr2.47316 transcript:KYUSt_chr2.47316 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSSGSSSGWSEAAAAAAAAAGEEERAGWEVRPSGMVVQARDREDGGAAGVPPRPPPPEIRVRVKYGAARHELAVSSIATFGDLKKALAARTGLHPADQQVTYKGRERGNSEYLDACGVKNKSKLVVSEDPASLERRFIERQRAARIEAANRAIGAVALEVDKLADQVTSIEKSVSGGTKVAEVQITTLIELLMRHAVKLETIPADGDSCSQKNVQAKRVQKCVEALDVLKVSNARLQQRAAVVVTTKWETFDNAPPVTTKWEIFD >cds.KYUSt_chr5.28439 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180080648:180083513:1 gene:KYUSg_chr5.28439 transcript:KYUSt_chr5.28439 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYVQYPSDATLMSLQGEDSVSSNAGPAAAGMSVLGDLLDEGAGGVEDAVRVGCAPPDRTHCVDMQSALEKLVRCYAEKLGDNVIDPSLDQCRAMDVAIKKVVLKKAKKAHRWCKWHVLKKAKECLGPLFTRWHAFRAEFRKVVNEMLTVDEFEQAWDLMLEKYQLSGAVVRYNFTIERHASKVYTISMFEQFGKMIFEACAYKVEEVEKNRLYRTTHTDASRGEKWSRVFDVNILDEGEQFDCECGMFAHMGDALWGCSEGDGLCWSN >cds.KYUSt_chr1.30983 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187672654:187673478:-1 gene:KYUSg_chr1.30983 transcript:KYUSt_chr1.30983 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASPPSPDAGGQGTGPVVLMLGNGEQAVSSRAEDGDHVAEAEEEGTEKALLSASATEELLHVLQAVELDGLPSEHTGRKLHQGEESGTAQEGDVVQQLEVHPIPEAEPDENQQPAPQVQLPVQNQEEMGIEARSEDAALHADACPLAAFIAKITKGIQNAVLDAPADAHAGSELLAVRSVMRIARIAKVHKAGVSIETLAKEAVAKRLGSLPPTASFSDRLLQAYLALFNGPLSDQVVLAIEDLVRTFKKTKRALPVMSVGGGRTGRAPAAV >cds.KYUSt_contig_686-1.150 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1126874:1128755:-1 gene:KYUSg_contig_686-1.150 transcript:KYUSt_contig_686-1.150 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKVPLGQSNEPLTLNPAQRMLSPGASSSLGTPRTPPPSYGSTVTVLSIDGGGVRGIIPGTILAFLEKKLQEIDEDDTVRLADYFDVIAGTSTGGLVTAMITAPNAQGRPLFAAKDINSFYRQHSPSIFPPARKWPFSFGLFKSLMGPKYNGKYLHKVVKELLGDTRLNQTLKTVIIPTFDIKLLQPTIFSTYDAIKDVSKNALLSDVCIGTSAAPTYLPGHHFQTMDGEGKPRDFNLIDGGVAANNPTLLAMTNVSNQILMGNPDFFPIKPADYGKFMVLSLGTGTSKIEEKFDALQSSKWGLLGWLYNSGATPIIDSFSQASSDLVDIHTSVLFQALHVHKRYLRIQDDELKGETASVDVSTPENLNRLVGVGEALLKRPACKVNVETGKNEPDPKRGTNEEELIRFATMLSQERKARLGNQEGLKI >cds.KYUSt_chr3.26380 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164269767:164270915:-1 gene:KYUSg_chr3.26380 transcript:KYUSt_chr3.26380 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAGVSVITNGKHCTTSAIDVDTDSGYHLLVVKNYSHVIQEVPNEEVIRSGSFMVGGHKWEIWFYPNGDDPTCADFISLYLCRDDDDDYMEEAVEVMFQFSFVDQVEYRKSMHIRPTETSNFPSKDPFGGSSKFVRRDTLERSANLKGDCFTIRCDIMVCNTHDSDGTLSTIDEHFNYLLQNKVGADVTFEVCGEMFAAHRCVLAARSKVFMAQLFGPMAEGTTSSVIQIKDMEAKVFAALLRFIYTDSFPEMEKENNMEEEGEDEEDRQDEDVMWLQDLLVAADRYDLRRLKFLCEKRLSEHINVSLVASTLALAEKHHCHRLKEACFKFIQVQSPKCLDEVMATDGWEHIISTHPSVLNELLAKLVSSNQKMDEKRKR >cds.KYUSt_chr4.52961 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328384733:328385119:-1 gene:KYUSg_chr4.52961 transcript:KYUSt_chr4.52961 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSKKLVVLFVALSLMIAAVHGCGPHCPTPSPPPPSTSGGTCPINTLQLGVCADVLNLLKLHLGVPADEQCCPLLHGLVDLDAAVCLCTAIRANILGVVSLNVTADLVLLLNQCGKTCPPGFTCPR >cds.KYUSt_chr5.5883 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36596089:36606993:-1 gene:KYUSg_chr5.5883 transcript:KYUSt_chr5.5883 gene_biotype:protein_coding transcript_biotype:protein_coding MATPWGGDLVIICIFLAIAAPLTTAIGVNYGTKGDNLPPPATVAAFLANRTIIDRVKLFDNNPDIVRAFAGTGISVMVTAANGDIPSLATKEGAAAWVAANVAPYYPATEISLVAVGNEIMDTADQNLIGNLVPAMRTLKAALVAAGYRRIRVSTPSSLGILVDSQPPSATRFRDGWDAAVFAPMLQFLRRTSSPLVVNAYPYFGYNGDTLPYALARPNPGVRDAGTGITYTSMFQAQLDSVYSAMKKLGFEDVEILVGETGWPTKAMDGQIGVSPAEAAEYIRYLIGEVGSGSGTPLMPKRTFETYIFALFNEDLKPGPVAERNFGMFQPDLTPMYDVGIMKKDTVKTAPAHDSNGSSSAAASAPAPTEDRSSGGATSSPAPSEDESTHETLEEAEGGEAPSSPKSEPANDSSSEAAAEGGDNDKGSSEKTNPEEGGLGSCSTSSVVVGSWLMPIKKLMMTNNVEMMNACCAEEEALKIIAPDLARTLAANGLAMIGDGVPVVLNATLKFCQYEGVGCCDAAADAALQEQFEAMAVKPGGACARQVKSILCSFLEKEKLKSNGSNFTDWFRHVRIFLSGGNLQFVLDAPLGDPPAEDESDEVKAVYATRKTRYSQVRCAILCDLESDLQKRFEHHDPHELMNELKAIFETHAAMECYEASKHFFSCMMEEGSSVSEHMLAMTGHAKKLDDLGIVIPNRSGINRVLQSLPPSYKNFVMNYNMQNMNKELPELFGMLKAAEIEIKKEHQVLMVNKTTSFKKQGQV >cds.KYUSt_chr4.47500 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294068586:294071246:1 gene:KYUSg_chr4.47500 transcript:KYUSt_chr4.47500 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKEHARDILCDFESRGLPRLRRALSLTFESRIRMNLGYHEETSKSLYLGIDFGTSGARYALIDKQGAIHSEGKRTYPSVSNATDWASSWKHALFHLLGDVPAIYRPSISSISIDGTSATTLIIDRNNGGLLAGPFLYNESFPDALPAVESIAPANHTVCSGSSTLCKLVSWWNSNCGHDSALLMHQSDWLLWLLHGEYGVSDYNNTLKVGYDPEIDSYPSWLMAQPYAHMLPSVRAPGAPIGPIKEDLRSQFGFSKNCVVCTGTTDSIAAFLAARTTEPGKAVTSLGSTMAIKLLSRVRVEDARFGVYSHRLDDMWLVGGASNTGGAVLRQIFTDDQLLVLSRDIDPSVPSPLDYYPLPKKGERFPVSDPSMVPRLQPRPDSDAEYLHGILESIARIEANGYKLLKELGATAVEEVFTAGGGAQNEKWTVIRERVLGVPVRKAEQTEAAYGAALLALKGAIS >cds.KYUSt_chr1.927 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4913941:4914800:-1 gene:KYUSg_chr1.927 transcript:KYUSt_chr1.927 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVDSSPPRPRVVFAEAGKDTVDFLFSLLAMPSGTAVKLLGMESMVGSIANLYASIEKLGDPYMEPGATKEAFLCPTVLSPAASPKSSLLCLPGPPSAPKSFYRCDRGGLYSSCRNYVTNDRGARCPACGSQMIYDSRYVSSGTVTQEAKGFVQGGMATYTVTDDLMIFPMSNISNMAMLNTVAVRNLSALQEKTVQIGYEEGLAIVKASLQSKTVLTDVFLRKKPNSSTPSLSNNDRSLPWGA >cds.KYUSt_chr3.12150 pep primary_assembly:MPB_Lper_Kyuss_1697:3:72548481:72554057:1 gene:KYUSg_chr3.12150 transcript:KYUSt_chr3.12150 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSNSNVQKAEELKLKANEAFKANKFSQAVDLYDQAIELNSSNAVYWANRAFAHTKLEEYGSAVQDATKAIEIDPKYSKGYYRRGAAHLAMGKFKEALKDFQQVKRICPNDPDATRKLKECEKAVQKIRFEEAISVGEVERHSIADSLDYHTIEVESQYTGARIDGDIITLDFVKQMLDDFKRQKCIHRRYALQIVLQARDLLRSVPSLVDVNVPDGCHFTVCGDVHGQYFDLLNIFELNGLPSEDNPYLFNGDFVDRGSFSLEIILTLFAFKCLYPTGMHLARGNHESKSMNKIYGFEGEVKSKLSDTFVELFAEVFCCLPLAHVINKKVFVCHGGLFSVDGVKLSDIKAIDRFCEPPEEGLMCEILWSDPQPQAGRGPSKRGVGLSFGADVTKKFLDDNNLDLVVRSHEVKDEGYEIMHDGKLITVFSAPNYCDQMGNKGAFIRFVAPDLKPDIVSFSAVLETFTEKYLGLLTAVGRLTSEAFEFISGNARSKVNGWAEKILSFLGKEALVKSKSFKPCQRIV >cds.KYUSt_chr3.22948 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141957499:141959325:1 gene:KYUSg_chr3.22948 transcript:KYUSt_chr3.22948 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPLPLPATPYPPKPHEAPRPASLHATLASLSQHGSDHGSLRDAFALVSRAESQSSPAVGVAVGPEVYASLLQCCVAAGSLRAGRQVHAAAVKRGPYYWRHAYIGTKLAVFYARCGALADAERVFDALPKKNAFAWAAVIGLWSRAGLHARALAGYVAMLEAGVPADNFVVPNVLKACAGIGMLEAGRALHGYAWKAGFGECVYVLSSLVDFYGKCGEVDDAREVFDAMPETTVVTWNSMLMGYINNGRIDDAVELFYQMRVEGVLPTRVSLLSFLSASADFEAADWGRQGHAVAVSSGLEMDVILGSSIINFYCKVGLVEAAEAVFEQMVERDTVTWNLMIAGYLQDGQIDKAFVTCQRMLQADLRFDCVTLASLIMACVKSCSVEMGRAAHGYAVRNNLESDIAVACGLIELYMSTERTEHARRVFDVMSCRDMVMWKAMISAYADRGMSCEALKLLYQMELEGTSPTAACWDSVISALVSHEQFDKALDVFYEMLLTKTRPNLRTWSLLISGLSRNGMHREVMNLCCKMQEVEPAPSPTIFSAALLAMKTAASVQCGKAMHACIVKKGLLLSKSVMQSLLSMYVSFSDKSTVESLLRLLAAVQ >cds.KYUSt_chr2.8320 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52366699:52369513:-1 gene:KYUSg_chr2.8320 transcript:KYUSt_chr2.8320 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRSNELKEKITGLFEGSSIVEQLNLVDTLQHLSIDHHFHEQILSTLRSTHAGEFNSSSIHDVALRFRLLRQQGLWVSPDVFSKFKDEDGTFHANIANDPRGLLSLYNASYLFTHGETELEDNILFARQHLESMEGKLDYTLAEQVRRALHLPLPRTLKRVEALHYISEYKEEPMHNSSILEFAKLDFNLLQRLHLKELKALSRWWKNLYREVGLNYSRDRVVECYLWSYTAYYEQEYTRARIILAKIIAIIIMTDDTYDVRATLVECRQLNEAIQRWDESAVSLLPEYLQKFYLKLMSTFKEFEDELKPDEKYRVSFSTKAFQILSSNYLQEAEWFHQNYKPRFNDQVNVSSMCSGGPWVCVGLLVGMGDTVTKEALEWALGCTDAVRACAEVTRFMNDLASFKRGKNKNDVASSVECYISEHGVPSEVAIAKIGSLIEDAWKTTNQARFELPELLPAVQRVANITISMPFMYDDKTDAFTFSSRLEGTIKRLFVNPIEL >cds.KYUSt_chr4.26656 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167322313:167323805:1 gene:KYUSg_chr4.26656 transcript:KYUSt_chr4.26656 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSNKGNDGSSAASNDDSTVEEEGGQDTAKAGDSSSSVRPYVRSKNPRLRWTPELHHCFVRAVERLGGQDRATPKLVLQFMNVRGLSIGHVKSHLQMYRSKKIDDSGQVIIGHLEQGGHAYNFAHLPFHHRLSAPGTMFSRFGTAPCPPWRRSYHQPYWLHGRFPASKPYHYSSGAASHHAEALRRTRAEHVARSGTASTNPALMIQGSCRPRNDPHHTMNMYHHERRLPEAVRATVCNDDPSHGDDDLDLSLSLHTGGPRWEMKRKEQDDGDDHQVGGDEEEESTTATRLSLSLFSPSCTTRSEGE >cds.KYUSt_chr4.40364 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249103951:249105614:-1 gene:KYUSg_chr4.40364 transcript:KYUSt_chr4.40364 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQLTGKRDDTALHGAARAGQLAAVRETLSGAEPEELRALLSKQNQAGETPLFVAAEYGYVELVSEMVKYHDVATAGIKARSGYDALHIAAKQGDVEVVKELLQALPELAMTVDASNTTALNTAATQGHMEVVRLLLEVDGSLALIARSNGKTAVHSAARNGHVEVVRALLEAEPSIALRVDKKGQTALHMAAKGTSLDLFDALLAADATLLNLPDTKGNTALHIASRKARHQIIKRLLELPDTNLKAINRSGETPLDTAEKMGNGEVAGVLAEHGVVSARALSPTGGGGNPGRELKQQVSDIKHEVHSQLEQTRQTRVRMQGIQKRINKLHEEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVDADSLGPGQELGEANIAHETPFIIFFVFDSVALFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLISVAFLALSFVVVGRTERWLAVAVTVMGATILVTTIGTMLYWVIAHRIEAKRLRSIKRSSMSRSRSHSGSGLSEHDWVDEEFKKMYAI >cds.KYUSt_chr7.40608 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252084601:252086064:-1 gene:KYUSg_chr7.40608 transcript:KYUSt_chr7.40608 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKKGIDSSEASREPRPVPGSYGMFPFISAVRDRLDFHYFQGPDKYFESRIEKYGSTVIRINVPPGPFMAPDSRVVAVLDAKSFPLGGFRVCAYLDPSEPTHAKVKQLLFNVLASRKDAVIPVFRSHFSSLLATVDSQLMLGGKSNFNTLSDTTCFEFLCDAYYGVLPSASGLGTSGPAKAAKWLLWQLHPLVTLGLPIILEELLLHMVHLPPFLVSGDYKALYKYFSAAASEALDEAERLGLPREEACHNLLFATVFNSYGAMKLLLPGILAHVAEAGEKFHRRLATEIRAAVADARGKVTMAAVEKMELTKSAVLEVLRLDTPVKRQFGRAKADLNIESHDAVFAVKKGEILFGFLGEEGSKLLQYVYWSNGRETENPSVDNKQCPGKNLAVLVGRLLLVEVFLRYDTFTADIAKGPAAPTVEFIGVTKASSGPDLA >cds.KYUSt_contig_7513.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001572.1:140268:141116:1 gene:KYUSg_contig_7513.18 transcript:KYUSt_contig_7513.18 gene_biotype:protein_coding transcript_biotype:protein_coding MGELASTSPPPPATMATAVLQVVFVDGERTVDLGTVTVQPSLGVRKLQAVVADRVGVSRTRSRPPRAAAPPAPRAARRRRRPRRRRRARGARLLRARRAPPLPPRPPRGPLPPRPQGRRRDPLPITAAAAARPLGGDDHPQAPPAHGSGLLASSPPPPAAFGGWDYDYDAQLRELQRQREWYLLSTAATDPYHLPAPLEDPFWYGSTTPRSSPCPDCEAAAAAMRAPAFHWCVRDAVTVAFRSPVGPIERPKKTPSTSPSPSPTPPRRRRGPSAPPTRSPPA >cds.KYUSt_chr5.21958 pep primary_assembly:MPB_Lper_Kyuss_1697:5:143323973:143325388:1 gene:KYUSg_chr5.21958 transcript:KYUSt_chr5.21958 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGLTDATAPAADAMPPASKDAGGDVRMISSKEMSAHNAADDLWISISGDVYDVTPWLRRHPGGEVPLLTLAGQDATDAFMAYHPPSVRPLLRRFFVGRLSDYTVSPASADFRRLLAQLSSAGLFERVGPMPKVQLAAMSVLFSVALYCTLACASTGAHLFAGGLIGFIWIQSGWIGHDSGHHELTTHPALNRLIQIITGNCLTGLGIAWWKYNHNTHHIACNSLDHDPDLQHLPLFAVSTKLFNNIWSVCYERTLAFDAISKFFVSYQHWTYYPVMGFARINLMVQSIVFLITHKKVQQRWLEVAGVTAFWIWYPLLISTLPSWWERVAFVLVGFFVTGLQHVQFTLNHFSAEVYLGPPKGNDWFERQTAGTLDIKCPPWMDWFHGGLQFQVEHHLFPRLPRQHYRMVAPFVRDLCKKHGLHYGAATFWEANVMTVRTLRAAAMQARQATTGGAARRNLVWEAVNTLG >cds.KYUSt_chr4.54716 pep primary_assembly:MPB_Lper_Kyuss_1697:4:337921253:337922233:-1 gene:KYUSg_chr4.54716 transcript:KYUSt_chr4.54716 gene_biotype:protein_coding transcript_biotype:protein_coding MHYGNARCADGQTVPTVFYRGHHRKARSISTSFPIVNVDESWETDDAEKQIRQRQKADIELTAWEDNSGGLSARAAGQGLALRPKSGNMNNSQQDLLAARLVPSYGDGLLAVRKSSSTPEFQDSDNSISSVWLRSKWNLKPDAFTLPLQILLLDSLPKPLFDNLPKPITAWRNNAARD >cds.KYUSt_chr3.38197 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240406497:240408129:-1 gene:KYUSg_chr3.38197 transcript:KYUSt_chr3.38197 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDVDCSHGKDANFTPSPRLHSASTSSCDIKDQCSDKPSTLVKVKDPLQTEVCNTILHCPKDILSRLTLPHGHDLDSLLSAGSECSAAVPSMTIHGASLPPFPWSYSQAGGYRPSVDSGKHGSSRSNSHWQWMRVGPYPSALDYEDSTVHKIDDLLQEMDTVKSTIIDSCGRQSNLCGTESTSGSLGQTICSKKIGSEHGPQLLHSLDHGGTSDSFQRNDGGRSLLKTPQASRKVLRAAETLCDMKSSTEGWSAQGYSNRTIKLPKSPPEKVKVRKPSSPFGTAESSSGSRNSDAALTGNGHSTKKIVDRKNDSACMSNPGKGSIRWPVPIEDAASPVRPEKALTPDARQTHGNAARHPIHVSSQARLEKEYENQQKLRKATLASSLGSGDWNRERSRRM >cds.KYUSt_chr3.39315 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247645072:247645371:-1 gene:KYUSg_chr3.39315 transcript:KYUSt_chr3.39315 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVRADQRRIRRLAHTVGGNRSMSSLSLSARTVHEHEQDDKNPRYGWEDEMGSGAHKRETGEVDVQGAAEEVVHLPLLLLLLGPSPWPPRLVGRGGK >cds.KYUSt_chr6.2657 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15525938:15528473:1 gene:KYUSg_chr6.2657 transcript:KYUSt_chr6.2657 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMPTTVQLLIVLHLLSVAAAQRQPSLALPGCREMCGNVTVPYPFGIGAGCHRDNTQYSDFELACDDSGPSAPRLTMASRGYRLAEISLAAGEATTYLNATRNCYNSTGGFDDRNRNTYMGIADSPFLFSSNKTQLVALGCPTLGFFVDGEGQYVSGCMSVCRKAQLEVGSCRSAIPTGIDFFEPVQNNLLPRRSQREYATIASLTTPCHYVFLVQTDWLDSGHIILNRTDDFEVPVVLDWAIRNGGNCSAAKGNVMADFACRSANSECFDVDNGDGYRCNCSTGYDGNPYLDRGCTDIDECQHKENYGCHGVCSNTEGHYTCKCPPEETGDPTMKNGCRPKDKFTVALKAVTGVGVGVILLGSICCGTCLALQKSNLMRTKKKFFEHNGGIILQQQMRSYNGTTGGASGGFKIFSSEELKKATNNFAADQILGRGGHGIVYRGILTDNSVVAIKKSKMMEEAETKEFTREMLILSQINHRNVVKLLGCCLEVEVPMLVYEYVSNGTLYQYIHGGKGLNADTALDTRLRIGAESAEALAYMHSSASPPILHGDVKTANILLDGSLTAKVTDFGASKLAPSDEAEVIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVMLELLTRKKVLCFDGPEENRSLVACFVMAVKFGRHAELMDGNVRKEMGPEALEEVTHLLMRCVSMSGDERPRMKEVAERLEALRRYQQHPWGHAGGGDLEEEGEDEKSLLGRKQQCDVDYKFRPHDLLDLEGDSTYTYSL >cds.KYUSt_chr4.17185 pep primary_assembly:MPB_Lper_Kyuss_1697:4:107332071:107336143:1 gene:KYUSg_chr4.17185 transcript:KYUSt_chr4.17185 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSDGGGGVVRRRGCSCSKEDFFPEESFSSWAAYGRALRSTGHRLADRLTSRSLEATELHEVRARSGADMKRDLTWWDLAWFGVGAVIGAGIFVLTGQEAKEVAGPAVVLSYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFVGAGNILLEYCIGGAAVARAWTSYFATLLNQEPDRFRIHATSLHEDYSRLDPIAVVVIVLICAFAVISTKGSSRFNYILSIVHIGVILFIIVAGLTKADTANMRDFTHFGARGIFAASAVLFFAYIGFDAVSTMAEETKNPARDIPIGLVGSMAITTGLYCILAVTLCLMVPFAEIDKDAPFSVAFSQRGMNWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAQVHPRTGTPVNATVVMLFATAVIAFFTDLGILSNLLSISTLFIFMLVAVSLLVRRYYVTGETTAANRNKLVACIVAILLSSIATATYWGLDRKSWVPYAVTVPAWLVSTACLWAFVPQARTPKLWGTPLVPWLPSASIAINIFLLGSIDAKSFERFGFWTAGLLVYYIFIGLHASYDESKALAAEAAARKVEDGQVAPPTNGK >cds.KYUSt_chr2.50072 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313218955:313220064:-1 gene:KYUSg_chr2.50072 transcript:KYUSt_chr2.50072 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSTVGIAATAPRRRRTLLLMSNYAALLLGSVASSLLSRFYFTHGGHNRWVVTLVQSAGFPALVVGIFLVPSRHRPASAPRPFLWLSRRLLAVCLFVGALMGVNNLLFSYSSSLLPVSTSSLLLSTQLAFTLVLAVAIVRHPLTFVNLNAVVLMTLSSVLLALRSGDSGESPNRKGYIVGFVVTLAAAGLFSAYLPVMELLYREAVSGGFMLAVEVQTVMQAMASVVAAIGLTASGGAGDDLAAWKGSHAVYWVVVITLVVTWQACFMGTAGVIYLTSSLHSGVCMAAVLTANVIGGVVVFGDPFGAEKAIATALCVWGLSSYLYGEYTKNKNMAADDQQDGDGDALIRDHDHAQKSLTGGEAVDAV >cds.KYUSt_chr4.48839 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302422773:302424080:1 gene:KYUSg_chr4.48839 transcript:KYUSt_chr4.48839 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEDQSCLVPRSLPAACCDPDSDWAYHEIPVLTGKRPAPEDDFQDVDDDADGGGKRSKSPSPQPHTPDITEGHGSSRHVSSAAAAAGDQQQQGSGTNLIGEIGRDLSINCLLRLSRSDYGSVASLNRDFHALVSQGEIYRLRRQTGVAEHWVYFSCNVLEWDAYDPYRQRWIQVPKMPPDECFMCSDKESLAVGTQLLVFGMAHIVFRYSILTNSWTRAGAMNSPRCLFGSTSVGEKAYVAGGTDASGKILSSAEMYDSETYVWTPLPSMHRERKMCSGVFMDGKFYVIGGVTHNNKVLTCGEEYDLKRQSWRVIENMSEGLNGVTGAPPLIAVVNNELYAADYSEKDVKKYDKLNNKWITLGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTSIGGMIELNSWVPDQQPPIWNLVARRPSGNFVYNCAVMGC >cds.KYUSt_chr7.25405 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158518759:158524404:-1 gene:KYUSg_chr7.25405 transcript:KYUSt_chr7.25405 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGGEVEATRLQKLMEERNNRVLVVEEQKENEEKEKTAAREEFYAKAMKEFRESIEGMGEPKEPYEQFRDHWMELWGEGGHFGDFEDNNTVEHGKKDFAVYVCEKVHGKENNADPYLALTGPTRGVAVSGDPCYFEVKLKLKGTAESEDKDFSLFASTYRPCCPKRMFTSKLSTLEMSFQELFNSVEATISVEVTDGSWPDGFRGEFSASTDNPPDMKVNLLKCVDEKLPAGADGKIQLTRRVVSVELDGFLKVSIIAHCVNVKPKICEAIFAPERCGTSFNSEIEVGSCRMKVTVCWSLFGLGP >cds.KYUSt_chr5.27530 pep primary_assembly:MPB_Lper_Kyuss_1697:5:174264260:174264790:-1 gene:KYUSg_chr5.27530 transcript:KYUSt_chr5.27530 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLAARSPLVALALCLCLPFAFASRDVEEVGWRTAEGQAEWGKGHSGDGGRPYCFGEESFREWSRTPLGHFKLLERFDDKLLRGSVGDYRVACLEVAPRAVLQPSHFDADEVLYVKEGEGVLVLLRKGRRESLCVREGDVMVIPAGSIMYFANTHRSKWLRVVMLLNNASFEVSD >cds.KYUSt_chr6.5633 pep primary_assembly:MPB_Lper_Kyuss_1697:6:33824761:33827581:-1 gene:KYUSg_chr6.5633 transcript:KYUSt_chr6.5633 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMFRRRRSASMSSLSRINGPPQVAADKDVGALPAPVNSAKAGAHDGSSIVPRPKVMPLHAQTNGAAATHAPRPSSDKTKPARATNGAAKTAAAASPAVEYTGMAAELDKMIHDHQRVKGTTQMMRATSGNMMMHRNLGNLNAAGGGGGGASARSSLERGGGNNPKPAPASNGYAFSGLGNIVAGKPQGGGDPLCRALSHRTDPEKLKELGNEEFREGHYAEAVALYDQAIIMDPTRPAYWGNKAAALAALGRLIEAVADCREAVRIDPSYARAHHRLGGLYLRLGEPDKAINYMKQSSRDSTSADVSRAQTVKSRIAKCNDARKLKDWITVLRESQAAFADGADSAPQVMALQAEAMLKLQRHDEADATLRGAPRFGVDESAKLFGTTAHAYVLMVRAQVDMAAGRLEDAVATAQTACQLDPASREAAAVHRHAKLVASARLRGNDLFRASRFAEACAAYGEGLGGGGEAGSAVLLCNRAACHAKLGRHEKAVEDCTGALAVRPGYSKARLRRADCNVKVRSDVSPCFCCIARSRQVLLTFCCAGDQLERWEASLRDYQVLIQELPENEDVKKALTEVEAKIKSQRN >cds.KYUSt_chr4.15403 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95133676:95134062:1 gene:KYUSg_chr4.15403 transcript:KYUSt_chr4.15403 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLTSTAPFCGRSGWQRARRRRPQSSSSGQDGCGRPLDRVAGWVGGGIAAAFFASLERCSCVNVRTHDDLDDDEQRDSLAPLVLDDGNDEAAGAGGGRRRMGRGGSWRNEKGRRSGGGGMGCYGD >cds.KYUSt_chr2.44825 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279219078:279220904:-1 gene:KYUSg_chr2.44825 transcript:KYUSt_chr2.44825 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRLLFQDRRRRKTRPAPTSPAAAAFNHNVPVPSVAASSASPACSATATSPSEASGGARAASSKSSCTGSSPRSIPELYEERGARTLREFGLRELRGATADFSPLLMVGEGGFGCVYRGALRLPGGHPHGTAVAVKRLNPNGGQGHKEWLAEVHFLGVVDHPNLVKLVGYCAAQTDQGPQRLLVYEFMPNKTLDDHLFNAAHPVLPWDIRLQIVLGAAQGLLYLHEGLELQIIYRDFKAANILLDEEFRPKLSDFGLAREGPSEGQTHVSTAVMGTYGYAAPDYVQTGHLTTKSDVWGFGVVLYEILTARRSVEKKRPKNEQKLLEWVRRHPAESEQFGDIIDARLEGRYSMRGAREISKLADSCLAKYAKDRPAMVEVVERLKQAMQHKELDGHDVRAMEESSVLQETPAAPIMEDADVVSARRRMLHLAALGENANARARRRLMLIRAAAAAPT >cds.KYUSt_chr5.41365 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261059611:261063453:1 gene:KYUSg_chr5.41365 transcript:KYUSt_chr5.41365 gene_biotype:protein_coding transcript_biotype:protein_coding MIARCQSGVGFRSFKLVNMAMEETLRAAEIAYGRLASSLTYYVRSNPKARNACVQPSPSPSTSEKVKDMHLMEKVAGLLAESNGRKKNMGACQDGREDVEDNSELGVKVAKGGGGGEVGACGDPAYHCML >cds.KYUSt_chr2.51021 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319104366:319108306:-1 gene:KYUSg_chr2.51021 transcript:KYUSt_chr2.51021 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGNGGSTLFWEDRWFKPIKEMAPEVYAVVPKRRRKARVVRETLVERTWIPAIVATRELFIEVVFNLGCPAELLPAARPPGPWPRPRASPTRTNRRRSSRAAPSSATSSASARNGPGRLHSIRPWLTPHARPALCPSSARPDRLWPTLPPLPATTVRALLPATTTRRLHQLQQGPPIPIFTRGHCPGRRLAPPPTAALPSPPPHPTRPAASICAAHLQAHVPGSLHPRAAAPARAQQAARHQTPPRARAANGRCPSRHQAPARPAPCNQHAPQQLGLTSARASRQHPPAFVSATSAPRAHLARAASKPGHNLTQTPTPLRAKSARTGRKPGGNRTGAKPGGTPDGPVQDPVKPAP >cds.KYUSt_chr6.16047 pep primary_assembly:MPB_Lper_Kyuss_1697:6:100944003:100945756:1 gene:KYUSg_chr6.16047 transcript:KYUSt_chr6.16047 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDRLSELPDCLLQTILSSLGARQLVQTTALSRRWRHVWRGVPRLDIDQREFQAADAPADRHGRRDKSLELDEWARFDDFADNLLLSHHHGGDGDEDDNLPLDALRLHVVDKRDASRRRLPLYARWLDWLHACLDRYRPAALEIHSDFDMTVDLRLMGLRSDLSRLNTLRLQGVLHAGGFGDDSVSVCPRLEHVEFTSCAIGFEGVVTARTLKTLVIDRCWRRDDTKEEGAPRPRVQAPALASLRLVMSFQSDIWVFQTPSLVEASIRTTRSFVNRDDEYELLCNLYNVTRLELSGFLALDKIRPKNEARQDLPKFHNLTTLLLDDCDLTGEVYNLLDLFLYNAPNLEKLTLQNCKLPQEWSKSKKMCLSLKFTEINCHKKDDVCQVNH >cds.KYUSt_chr6.26211 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166055151:166062977:-1 gene:KYUSg_chr6.26211 transcript:KYUSt_chr6.26211 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCACVDLISMTYGGRNIARISDALVMLHCSQASVLMSSKKVPFNRHKEKEEARRKREEDEAARVYLEFAQSFEGESTSASKFVRGGVIDPNAKLRADSQGGKSKDRESVTKKGSRYVPSFLPPTFGREPEKKKEDERPKEKEKGKPRAIDTVMEELRLEKELREKRNQERGSRHGEASVHPSRFDELPDEFDPTGRLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERKRQRHCGFVAFMNRAEGQAAKDEMQGVVVYDYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGSSVIISGPGGPPVASVTPQTSELVLTPNVPDIMVAPPEDSHLRHVIDTMALHVLDGGCAFEQAVMERGRGKSLFSFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPTLPSTRSPDREKESTFAAGRSRRVEVERTLTDTQRDEFEDMLRALTLERTQIKAAMGFALDNADAAGEIVEVLAESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAAIPDVIESFNDLYHSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLKATFLRSGNSGVTLFHSLCGDAPEIEKKTNPGDDKNGFRFDEDGALATGKAAAMKELLGLPFAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKEQVYQRDVHMKHVQADPHRSGREDIGLNTRSVLKLGEGTGKADSDMLGLSRHGMETAQILSRESASAEPEQVPSKKQKADPILPASKWNREDDSDDYDDRKDGQGLGLSYSSGSDNAGDSGKADTTEISTDHLIHHPDTIVDEEHRQKLRQVEIAVMQYRESLEEKGLQNMEEIEVKVASHRRRLQSEYGLSSSIDGANNRRSSERISLERKERTNDARGSSRKRPHSPTRSHSPSKKSSVDRDGEYSRNRGRLHVSDAGRDRAREKSSSRGKDDHYDRSRDREKDRRTGR >cds.KYUSt_chr6.22131 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139766421:139767749:-1 gene:KYUSg_chr6.22131 transcript:KYUSt_chr6.22131 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVPEPLREAPDDVVDQILLRLPCPSSLVRAAAACSSFRLLVSSPSFLRRHRALHPDESGPFLGVFSSVPTSGKSGGTFHPAGPPHPAAAAARAVAGAADFSFAFLPGQPDADADPGVESQSGWLVRDYRDGRFLLDRARSSDAIVTDLAVCDPVSRRYVLLPPIPEDLAATADNPLGVLGGRRWCEPFLAPAPTPAPGDVEDDSEEPAFAVIWTARCPRRVVALAFSSRDGAWRALPSPDCFVWRSRRSIFACPVHAVWNRRHYAHGRFYWVDCLTNRWLVLDTAAMELTVQEIQSPSRFWEENVAVVEGPDGKVGVFAHEFYHADGNASLNYYTILRGDDDDTQSWQLEKTIPLPWSTKHGRPYCLRGAANGCLVIEVGQDSPRPFMSSNYSRDVELFTIDVKHFQLERVCRAQCSGGVSDGWWPYFSFPPLLSLPTV >cds.KYUSt_chr4.12237 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74529995:74537003:1 gene:KYUSg_chr4.12237 transcript:KYUSt_chr4.12237 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFSTYPSRRRSASSYLQGLTIAVPVQDDFVVVMVDEVLREYEGLVLEHPGSEDGEIKEPGEARRTTVQWLKENIVFPVLFNSSHYGKVNMHSFVDKSTTSFTKEINPTQAHQENMNHMLLDQLMLSNYQLYQNASTINNQLPFIMAPENNQISCSFDASRLPQYSEQKFFEDVQHGNYQRTGMTELFKRTFDKGKNILPDEQGTVGDIRSKCITEDKCIELGTPQTLPSSADGSLDNSELEIQFTDTLSDVCKLFSTFKGEKVKLLRSIGFAGLCSFPVHQSIDLKFYLWILSKVDCRREYNSPMSEEEQYGFCVCATFKKRYEVCYTRGVEQHSTSDLSIVVGNKRKRYGVQGDDMFLQKEQEARMEATNSKGRFLSVGEMIMESQGRQVLRKNGKGRGTDLSKLGRNHNSSASVFDLNIDLNRDPPRVENFAAPVIAPNQRTIVIGKGQKTGIYRDPLSKCILFYDSIESLMKFEIS >cds.KYUSt_chr1.7855 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48270693:48272864:-1 gene:KYUSg_chr1.7855 transcript:KYUSt_chr1.7855 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCVTGSVQSNHGQSQEPNGAQTTFKWTVDGFSSLLDKGNGWTNSSVFQIRGLNWHLKLNPRDTKIGGVKEYVSLKLVLAQQHVRSGTVVDASFKFLIYDQSYGKHNKEYQGNFMSETLFVQKINNICSDSQVYNWSIDDFFLLKNPSTSPEFELCGHKWFITIHPSGEDKSGNYLSLYLRMKVSDTLHQSSGNLVELSISIKDQETGKHRKLSDRCQFSKNSPSWGWPKFISLEDFKDSSNGFLLKKKCCIEAQIAVIGSSKIE >cds.KYUSt_chr1.5474 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33764755:33770010:-1 gene:KYUSg_chr1.5474 transcript:KYUSt_chr1.5474 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKKRASGGTAGSRPLKRARDDIDDADLISNLPDVILGTIISLLPTKDGARTQAIARRWRPLWRSAPLNVDAEDLSTADSRYETRRRGPRPTMKSVISSILSDHPGPVRRFDFRFICIYPTKRGYGKDAAQIENWLRSPSLDNLEELNVFFPISILADQTKFYPMPSSVLRFASTLIVATIGYCDFPNEILASSLNFPLLKHLTLQRVSISEDIFHGVFSACHVLETLFLQENDMAYLHISSPTLTSIGFEDCYVGERGLVIEDTLNLERLICLGLDCKTIRVNRAPKLGILGPLSPRNSNIQIGNLLFQGLIPTSLNNSVCTVKVLALRFTWTNLDAVLNILSRREEEARSAGSATAKKKKAAAAVRALKRRASDGATARRSNKQAQDGGRDVDIIGHDDLISNLPDVILGTIISLLPTKDGARTQTLARRWRPLWRSAPLNLDAADICYNDFKQFSIVSRILSDHPGPARRFAFKGIRLHKVKKTYAEDAAQIESWFHSRALDGLQELDIAFSVLEYRYGKSEKRYPLPLSVLRLASTLIMASIGSCDFPKEITHSLNFPLLKQLNLWRVSISEDVFSKVLSGCHVLETLILSEIRDVGGLCISSTTLRIIVVSYLFDGKGELVIKEAPCLERLLLNSSGLGTEFIRVVRAPKIEILGHLSPCIPEIEIANIVFQGLTPSSSKNTIHSVKVLALKFSRPHLNGVLDILRCFPCLEKLYVILGRIYYNRDEKCAAV >cds.KYUSt_chr6.22936 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144978996:144990768:1 gene:KYUSg_chr6.22936 transcript:KYUSt_chr6.22936 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTETVSLSELYAHLLNFENRLDIQNGGSMGNVATINHNGGGGPPGGGGGMSSVNLASRGGRNGGNRGGRGGGFGRGRGDGGRGGGAGGGNYNNNANRSQQPFNANGNPRPQCQLCGKYGHVAAKCWKRFNKEYMGEEKVAGAVTHSYNIDPSWYIDTGATDHITSELDKLTFRALQWAREGPHCQWISTEPDGDSLIGSSSGSEGMRSGADPAASAAPSAAAESSPTFPAGRDVASEETTHAGQASPSASAPGSSAVSSTPSSATSRATGSSAPSSAGSRDSPPATPGMAAAPPPGSSAPARVVTRLQNKIVKPNRQYDGYIRYGNLCLTGEPVDVQQALKDPKWKVAMQEEYDALERNQTWHLVPFREGRNIIDCKWVYKIKRKSDGTIERYKARLVAKGFKQRYDIDNGDTFSPVVKIATIRLVLSIAVSQGWTLRQLDVQNAFLHGVLKEEVYMRQPPGFEKEVSGLLRQLRGDFALKDLGDLHYFLGIEVKKVADGITLSQGRYTRDLLKRAGMEKCKGITSPMSSTEKLTKSEGKFLSPDDVTRYRSLVGGLQYLCMTRPDISFAVNKRRARKTNCCLIFFPLIICGAIGGLQITIDRQIAKEQREHPIVVDCSCNNAVVSGNSTGGAVCLDSCPLPRAPKWPPVLQIPSPSSSNPFFYDGGASCSAGAAAPGSCAARFLVTGTNQSFVSSVMDNMIPVQSTSVNVSADDISALADFVLANRYYMQTTLFDPPGVNSFLQNTCTPNLTLSYAYIEGNETVSQDVNCTEGLMLWRDNSWLISDELYKAYYGGNKTNEIAAAYDFLSSDLSNFNLVISYNSTNKFGNVDTGISIIQLPGPWEAPRLRQVPRLTNMSFILQASSAYLHLRGNALKISFDFVKDMPRAAKSFSFDISSIIGQLPYLWTMMLLFPVILTNLVYEKQNKLRIMMKMHGLGDLPYWTITYSYFILLSMLYMLSFMIFGVALRISFFRLNNYDLQFVFYFAYMNLQISFAFLVATCFSNVRTATVTGYFYIFGSGLIAEFFFKPYIEDIFLSGSWIILLELFPPFSLYRIVYELSQSALLVSVMGSTGMQWSDLSDTKNGMRSVLTIMIFEWILFMSLSFYLDHFGSFQNGIRKAVLLLHSHRAAKQSSAAQQQTMQVQEFKASVEMERTDVIKEREIVGQLLQEPNRSYSVICDNLKKVYRGKDGNSKKIAVRELSLSMARGQCFGVLGPNGAGKTTLINMLTGFTKPTSGTAYIEGMDIRLDMDKIYSGIGVCPQHDLLWETLTGKEHLLFYGRLKKLKGTQLAQAIKQSLKSVRLFEGGVADKLVAKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWNAVKSAKQDRAIILTTHSMEEAEVLCDRIGIIANGTLQCIGNSKELKTKYGGSYVLTITTAAGEEAEEVEKLVQSISPGVSRVYHISGTQKFEMPKQEVRISDVFHAMENAKSRVTILAWGLADTTLEDVFIRVARESEASSVCSSS >cds.KYUSt_chr3.25332 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157258069:157261162:1 gene:KYUSg_chr3.25332 transcript:KYUSt_chr3.25332 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSAMRSAAQLLRRRSYSSASGQPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAATPGVAADVSHINSPALVKGFMGDDQLAEALEGADLVIIPAGVPRKPGMTRDDLFNINAGIVKNLCTAIAKYCPNALINMISNPVNSTVPIAAEVFKKAGSYDEKKLFGVTTLDVVRARTFYAGKANVPVTGVNVPVVGGHAGITILPLFSQATPSTNALSSEDIKALTKRTQEGGTEVVEAKAGKGSATLSMAYAGAVFGDACLKGLNGVPDIVECSYVQSTITELPFFASKVRLGKNGVEEVLGLGELSAFEKEGLESLKGELKSSIDKGIAFANAS >cds.KYUSt_chr6.8908 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54833761:54835929:-1 gene:KYUSg_chr6.8908 transcript:KYUSt_chr6.8908 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGSKAAMEKEGSKAASASSAAFAVLHAENAEAEASATASEAELLVKEPEVEDLKEGGAQGLVAAADLWKSEAEAAVVAEGVAGAATPVLADDVDQKAEAEEGVAVRGPADAGKGKEEVVVREAEGGEGSRKRKRKEDEAVPAAPVPAPVPAPVAAPVPAPVPAPVPAPVPAPVPAPEVPAPAFPGQYEQLEDSDGSLEYDSQDSCESVDSRNIASFWTKLLKKLDAGDLPFKKRGRYFCPWHKVKPRDGMLGSLRQHCEELAHTGNSKQIRAEHQGLLMVLANEDA >cds.KYUSt_chr4.39963 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246784938:246785141:-1 gene:KYUSg_chr4.39963 transcript:KYUSt_chr4.39963 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAMEQQGDARRPLPRRGQVKATIFASLFPCLTRKAAKAGERKEGKADKTKGGSSGGGSRVVPGG >cds.KYUSt_chr1.20028 pep primary_assembly:MPB_Lper_Kyuss_1697:1:117991742:118002636:-1 gene:KYUSg_chr1.20028 transcript:KYUSt_chr1.20028 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFSVSSSTSVVTLDVQSSLAPFEFPLLQILPSIEEDVLLELYKRIGHSITNDMKIRRWTICHVYYFSLDSPLQSSAMKGLFYSMTINPGLGSGSRRATASRADFKTPRISDGGDLAIGEEGGRILWLAWTSRGGRPQEEAAKRKCEVSSMVSNMEEPLVEGNVDKIGGAEDRMVVIEVKKQLYRVGHLAVGFHELL >cds.KYUSt_chr6.24806 pep primary_assembly:MPB_Lper_Kyuss_1697:6:156928077:156929445:-1 gene:KYUSg_chr6.24806 transcript:KYUSt_chr6.24806 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQERNRTPWKPLLAHVGGSVACIVRNITKKIFSELDEINAQGLIFPRSFQKTEGVTKWGDEAPPP >cds.KYUSt_chr3.4960 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28248839:28250675:-1 gene:KYUSg_chr3.4960 transcript:KYUSt_chr3.4960 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLHDGSENEEDRRDEKRMHRLPSFSTVIKEAVMMKQVHNVFMLLEPLLRRVVQEELQAGLVRSPRYIERSSPETSPPPPSSPRATWRLAFLNPPQLPIFTGSKIEDANGNPLQVILVDADTGSPCQNVPQFLRVEVVPIFGDFPHDGREECGSAAEFARGVVKERAGKRPLLTGEVALTMRDGRATVGELQFTDNSSWLRCRKFRIGARVVPGSGYDGPRVAEAMTEAFNVRDHRGELYRKHYPPALGDDVWRLEKIGKEGAFHKKLTQSGVRTVQEFLQMLVVKPDVLRMIMGDGMTDRMWEATTKHARQCEAGDRVYAYTAGQTGGVGGATVYVNSICQLVKIELAGVECVPQHLNRAQKAYVHQLLLEAFEQRASLQEADILLHASHSSNDLPLLQTPAVPPPLPATPLWFQGTPDIDFQIIDDLANQGNFGFKMFDE >cds.KYUSt_chr5.27436 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173720478:173722042:1 gene:KYUSg_chr5.27436 transcript:KYUSt_chr5.27436 gene_biotype:protein_coding transcript_biotype:protein_coding MMASPAVDSPTPAAGSRFSCLPADEDSVEDARATAEEAAWSALGFEPEVRQIGRSPAMSQEEVVGASSVLVAFVAFQHQRSADKGGVFFAGGTEDCPHAKDQALARTSSTPTSYAGARLRRFLPCGLVEAAGGEGDVGGCIHERTSAWPWAGVVGAVRAGGDETGDDRAATEEKRSRGAHAITSRDRRLLLLPSCRRLSHSVARRRLAIVTIASFVDSVFCSASLVFAATAPLFSVLRCRRLVIIASTHLVSGLRDRRLAFVARLRASAVVRTGRRRVPLEHGDGRVSEASGASRGVCGYCGYGCTRARGRGTWGGFSGGVGCCPSGPLLGTPWLPGVAGGCAYAAARTCTETSGSVFGYFRGGMVQPPLQQPVHQQPAPQPGQVKKRRKKKTAAPQGQPAPPGGQQPPPLG >cds.KYUSt_chr5.6902 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42712531:42720596:1 gene:KYUSg_chr5.6902 transcript:KYUSt_chr5.6902 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTIMGWEAIRYTFTCVRGSTVPFHSIPSWIDSGLVSVPTGQLPGLRVARGRYAHARESSSEEAGAGGADKMGRDNGISRAVADDDTALLLSAVESFRNEALGRLRKGSEASRILDQEMSTRLLHLACRHDAVECVRLLLDGGHGITAALVDARDQLMRTPLHIAAETHSARCIQLLLSRNARTDLRVVDGRPLIALEVALMSRRVQVDWSLDNSLEDLLASLQQKDLKAVRLLAEKTREVGEVAYRYAMEGRVAALAMLLLVAENKISATVSVVIEGVRTKRSIYNSVVDEVLSIGDASARDGNERRKALLCEIQLLNQFGASSWRDLNIDRRTLPPLLRAAKVGDMSVTKMLLMGDVDVNETDPEGNTALHWCISSSSSTQEPRIVWLLLKSGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDIPSKTKETPLFLAVKNGSLSCVQLLLRSGANPKAQNLRKQRPIDVATSQDMRFVLNSANVAPLNNGSLEKNRTMKKERRKELLDDDFNYYENDDSYESYTTTKASGHRDFRTSKSKSQSATKQGPKLSRHNDHWEKHDYTRKIFVGGLPPSVDNAYLSKFFAAEFGPVEEAIVILLKMDNQIQSRGFGFVKFQREKDMMRAKEAHHVYMLGRRVEIKDAVARPFLPELQRGTSLRHSVRESPRGTYSEMEDELADEQYYIGKRRPLPEKCLPSWFFIFRKWLPGFLVEAAERYGERYPLCSVKTDFRSICRMELDHAALGYPKLSDFMRSLPGICRMRVAPVGKGPATHMVLLPPVSRPKYVPLLEPYSFDHDELPESVSDHQSPRSPLSPNITDDSHNTDGQHGDVSSESNVQSQHDDEYSRSNAESPRDSDSTSNGSLLDEITVSTTKLNLIKLPTRKPDLIVCGPVPQRNEQEPSRKHDFVESGPLPGKAETGASRKPDLAESAPIRKLDLVEPGTPTKLDLVEPVAARKLDFVESRPRNPDLLETGLARKLELIESRPTTCFVDHPVERAAVTPSSHKTEMKFSFFQSQWDMYLTPHPKSDYCIICRSCEAAMELVPCLHKICVACMMRCNVRACMTCGTAVSGVKSSPTLDTKCRQGVTERASDQKCQLMVVCSGADAIVKCSPCMHTIACRGCLLASVTLLKNCTVCDGMIEHFKFG >cds.KYUSt_chr2.47286 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295820891:295825887:-1 gene:KYUSg_chr2.47286 transcript:KYUSt_chr2.47286 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNQLLVHLLLQLLLICFLLLSIQTSAAGGFTDKLEWGQNLTDGQTLVSPGGSYTLGFFSPGASTKRYLGIWFSVSNTTVYWVANRDKPLPDKSGMLVFNDVDSLVLLDGSGRTAWSSNFLAASAAAVWLLESGNLVVRNGSSDISLWQSFDQPSDTLLPGMKLGKNLWTGGEWQLTAWSSPDDPSPGDYRRTLETAGLPELVVWQRGVKKYRTGPWNGRYFSGVPEVSWYANKYTLWVTKSPSEMTYGYTAAPRTSLTRVVLNYTAGGVERLVWDAGTGEWVSYFKGPRDPCDAYASCGPFGLCDAGAASSGFCGCVEGFSTVVSASPSAQEVRDSADGCRRNAALDCDGGKTTDGFKVVPGVKLPDTQNASVDMGVELEECRERCFADCSCLAYAAADIRGGGDGTGCVIWKDAILDLRFVDGGQNLYLRLSKSEFDDSKGFPTLLVATPIASAFTILLVLFVVWWRRKRRVVGVIPHNPAMAVPSISLAIIKDITINFSDGNMIGQGGFSVVYKGKLPGGRTVAVKRLKQSALTTKGKTDFVREVEVMAGLRHGSLVRLLAYCNEGKERILIYEYMQNKSLNAYIFGAAKLRASLNWEKRLKLLHGIAHGIAYLHGGSGESVIHRDLKPGNILLDDEWNPKIADFGTAKLFAAIDQAGPDQTIVISPGYAAPEYARGGEMTLKCDVYSFGIILLETLSGERNGALHRLLSHAWGLWEENRIVELLDTTMVPLPESETELLSELKRCIQIGLLCVQETPGDRPAMSAVVSMLTSTTSHIDWPRRQVDSREAVDSNSSRGLRTDLLSSTTTDLT >cds.KYUSt_chr2.43151 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268556096:268556776:1 gene:KYUSg_chr2.43151 transcript:KYUSt_chr2.43151 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLHLFAYDAPPAKGKSTAMRRPCLPPRTSLQQTNAPPTAHLTRGPGCLSRGTASFVLRQQQQFSPILRCAEPADSGSTVFLWSASAASSCNIKLLHITTTIRARQASCFFHPPRAQPGSSVSFAQAGCWPHLDHVPRVQCSASSSATLSPTSWPRLDPVARVHISSRSSSPTHAIARESEATPWQELVRQLWCRDRQIETPTIRPSSGEMRKAAEERFGGAG >cds.KYUSt_chr1.35797 pep primary_assembly:MPB_Lper_Kyuss_1697:1:218190894:218191484:1 gene:KYUSg_chr1.35797 transcript:KYUSt_chr1.35797 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVASAKSASGYNLQGALGLQRRVAQELALRVEPRQQPRLAHGAAAPGVEERHVAGLGETPLLGCLLQRLPQHSFLSWRRRPPRRCSRRRRPRSGKGASISTDAWLAAKRKILLLPRPYAILFASVGEKQGQCGVLALEKRSRDGSAASCQRRRTRGGGGGLSSMVALVPSRRRRGAGVAAFVLVQGDGGAGEP >cds.KYUSt_chr7.21097 pep primary_assembly:MPB_Lper_Kyuss_1697:7:130707304:130715487:1 gene:KYUSg_chr7.21097 transcript:KYUSt_chr7.21097 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAACSARLLLRSLSASPRTASSSSPASRLVASRCLALARPCAAFAGRSPSSAVRAQIGAAVAPAVERVHRRMAASATEHAFKSILTSLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDDFQVTKNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDALAKLGSDANKINPLVPVDLVIDHSVQVDVARSTNALQSNMELEFSRNKERFGFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTNGIMYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFHGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVSMIEAYLRANNMFVDYNEPQIERVYSSYLELDLNEVEPCISGPKRPHDRVTLKEMKSDWHACLDNKVGFKGFAVPKEQQDKVVKFDFHGQTAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLKSGLQEYFNKQGFHLVGYGCTTCIGNSGDLDESVSAAITENDIVASAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGIGKDGKEVYFRDIWPTTEEIAEVVQSSVLPDMFKSTYNAITKGNPMWNQLPVPEASLYSWDPNSTYIHEPPYFKDMTMSPPGPHAVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEIMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLSVFDAATKYKSEGHDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVISKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERFTVNLPTDVSEIRPGQDVTITTDNGKSFTCKLRFDTEVELAYYNHGGILPYVIRNMAAAQN >cds.KYUSt_chr3.47883 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300014542:300017354:1 gene:KYUSg_chr3.47883 transcript:KYUSt_chr3.47883 gene_biotype:protein_coding transcript_biotype:protein_coding MSRANPALALHNDVGFCLLVVCLPIGQGQGHLEQAQGMRGGEDLRPPCEQVADGPTLGSPRALSLCVHAGQLGHALLDGGFDRRKATTFNPSPLFPGSMTKIFSSMSKGENMAAGEYTGLDGRSVVERPTPDSDALRRDGLLLAAGIFPIAIGMWYIMLGGFYLPPEAGPYGGGCITIAGAAFIGVGAAALAALNYRPPFPWCPSSPTVSS >cds.KYUSt_contig_7586.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001599.1:13992:18881:-1 gene:KYUSg_contig_7586.1 transcript:KYUSt_contig_7586.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRHGRLLLLLLRRPVLPLLHETLLLSFKPRVFPDCLFEVDAKRTDPAAPIIVAAFEGDIPTIKRLAKQRKREGGSVEAVEGIKGSQSSRRLGALHVAAFAGKQKMCKFLIKDLRLDVNAAAEHGLSPLICAIYGIAPKRIVELLLDRGANPNIENREGFTLLHVLATKKDLFFPDLFGIADILLSRGANVDSMSSEGTPLHIAAQCGNVEMMEVLLKYKANPNRVVRLFYAPLTLALFASSLKCVELLIKAGADVNAGSPATPLTLAATDGLADCIKCLLEAHADPNIPDEIGRMPVELAAIHGWKECVEILFPVTSRVARFADWSIDGIMQQCSHGNLHKSEEPAFKALGDAAFKRKDYTHASALYTKGMDTDPKDSTLYAKRSLCWLRMGEKDKALDDANTCKCMILDGSNCFPEQGAALIPTEDYGQASEALISSLKLDSGSGLVDEVSG >cds.KYUSt_chr7.21811 pep primary_assembly:MPB_Lper_Kyuss_1697:7:135242836:135243421:1 gene:KYUSg_chr7.21811 transcript:KYUSt_chr7.21811 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGSRVEPDRLAADLVEHVVRVDYQPEKGGDELGTCSHGGGAGQPRICEFLANRDEADSVGDAPAHEEPDGGDTESRDSP >cds.KYUSt_chr4.37129 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228441737:228444712:1 gene:KYUSg_chr4.37129 transcript:KYUSt_chr4.37129 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSIVVDTDDKNQRMENGQNGAIVPSNSSDPSDRSDRPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDLEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKLKGGAAKADVFHMLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLMGLSNLQQSSHQAEDALSQGMEALQQSLAETLAGSLGPSGSAGNVANYMGQMAMAMGKLGTIENFLRQVFKHHFSYLLTLADM >cds.KYUSt_chr4.21640 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136271844:136273460:-1 gene:KYUSg_chr4.21640 transcript:KYUSt_chr4.21640 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKESLAEEVPSSKLHVMEMDLSSLDSVRSFARSFNSSHKHLNVLINNAGIMACPFQLSKDGIELQFATNHLGHFLLTNLLLDKMKSTAKETGVQGRIVNVSSTAHRRSNGSGFDLNKLKDKSKYNAFSAYSHSKLANILHANELSRRFQEQGCDLTANSLHPGVIGTNIARYIVTNSVLTSIFSLAKTFLKDVPQGAATTVYLALHPDAKGVSGKYFAGCNEATPTPVARDAELAKKLWAFSEELVENRSK >cds.KYUSt_chr7.8788 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53237992:53238246:-1 gene:KYUSg_chr7.8788 transcript:KYUSt_chr7.8788 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGYAHLALVATLAFLFLVLTAGARVGSHGGDLPAGTAAAAMTTRQFFGTLRFAPVPPSAPWNQYYSFGHQPPEDHVGRRAP >cds.KYUSt_contig_786.553 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:3158622:3166733:1 gene:KYUSg_contig_786.553 transcript:KYUSt_contig_786.553 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVFGTDQTWKRFEAVTRFAGLNPLLYYDDSHIGTITLLQARIKSRLNFPHGLGLAHRSPSQTCLALVASACLRVLRFEAVIHFAGKSMAARAQQPPHVGGPVWVGPAVPAPTTTASLVGRLRGVRYLLLLPAEGGLVRVHVWVWWWYKQPKAVVVMARMDQEGAHSVCAGDGNDNYPQGMITGHVYYFLEDVNPLVTGQHPVKTPLFIKTDVC >cds.KYUSt_chr2.50649 pep primary_assembly:MPB_Lper_Kyuss_1697:2:316603084:316606594:1 gene:KYUSg_chr2.50649 transcript:KYUSt_chr2.50649 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLAPSSANTLPPSNVRNLSTTAHGDTRQGEAPIEEVWGALVAGEDLAVHDILLHVFGGWSLERAGGALEFAEMAQERGHAGRALMLVLTSSVQEGAAAVESIEEDAGLFSLHALRQPQPRRDLLHPGWWMVMYTLRAKRVERWICAVKRDFLDAAEIKVLGLDCEFTYPRKGNQCTAILQLLYWDESRAEEEV >cds.KYUSt_chr3.36153 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227275516:227280868:-1 gene:KYUSg_chr3.36153 transcript:KYUSt_chr3.36153 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEDVQSTSKKQRVATHTHIKGLGLDASGTAIGLSAGFVGQAAAREASGLVVDMIRGKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEADSSTGGYGKSISHVVIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDSFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITEKLRQEINKVVNKYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICTVRGTDMTSPHGIPDCLAQVEDIDIDEESLAFLGEVGQQTSLRHAIQLLSPASIVAKANGRDKISKADLEEVGALYLDAKSSARLLQEHQERYIT >cds.KYUSt_chr7.29004 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180418697:180425471:1 gene:KYUSg_chr7.29004 transcript:KYUSt_chr7.29004 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYSLLMGDSSVDEDGGGDGSGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFSRLEDLDSTMSLYLAWLPWLVASLFAIYLLDLFAHARRRLPPGPTPLPLIGSLHLLGDQPHRSLARLAGTYGPLMSLRLGAVTTVVASSPDAAREILQKHDAVFATRLVNDAVGDHTRYSVAALPIGPRWRSLRKTMATELFAPHRLDALKGLRSDKVRELAAHVARLASDGAEIDVGRVAFTTILNLLSGTIFSRDLTSLDDHGGSKEFQVLVAEIMEAGGCPNVSDFFPALARADLQGLRRRMARMFARLHVVFDAEVDRRQRRREDGDPGKESEDFLDVLLDVAARDGAKAGLDRDTLRSLFTDLFVAGSDTSSSTVEWAMVELLRNPSSMAKAHEELTQVINMRRSIEESDIDQLPYLQAVVKETFRLHPPVPLLLPRQAQANVSIAGFMVPQGARVLVNVWAMGRDEGIWLEPDKFMPERFLGTTVDYKGGDFELLPFGAGRRVCPGMPLASRMVHVVLATLLNQFEWKLPVEVERTGIDMTEKFGVSLTKVVPLCAIAIPI >cds.KYUSt_chr1.35394 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215825256:215828747:1 gene:KYUSg_chr1.35394 transcript:KYUSt_chr1.35394 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEVCIDADKPIAEACCPYPSESATAVRCYKRPPGLCCHRTLSRSRECVEALRVIQHYDVAYDVVEVEGATKEGSDETAKDQIEEKGVSDFWLNAMKNHEILADDERDMEALKYLKDIKCFRITEPNGFKLEFHFDTNPLFKNAVLTKTYQMIDEDEPIPEKAVGTKIEWYPGKCLTRKVLKKKAQKGSNNTKPNTKTETCESFFNFFSPPQVPDDDEEIGEDTAEQLQNQMEQDYYIGYGSTIRDKIIPHFVSWFTGEAAQEEDFELEDEEDEVNKDDDHSASCRPIRVIQLQHLRASPTG >cds.KYUSt_chr4.41387 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255988212:255988736:1 gene:KYUSg_chr4.41387 transcript:KYUSt_chr4.41387 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPLPRSPRSGPDLGPPAQIWARRRHPMATAETSPDRDTHQEAEAAGQPESRPPAGNGVHTPKPRPEQGERRRLPPSRGGHAQPRPLPKTAGHGASPQHDAAAQRDDGAQKTPPPPPHITHRAARMTSPSARREPVGARPPPPAVFDGRARPATAGAGGGSGQTGEIEGRCYS >cds.KYUSt_chr1.5104 pep primary_assembly:MPB_Lper_Kyuss_1697:1:31515503:31516045:1 gene:KYUSg_chr1.5104 transcript:KYUSt_chr1.5104 gene_biotype:protein_coding transcript_biotype:protein_coding MVARDGSVGAGAVTGEHVAAAFSTSTSTCAFLGYSRKPSLPWEQLQLQWRALLPATDSVAPMGDGRCYVEPAVLLPAASGVATIGDGRCYMELAVLLPAADSVAPMGDGRCYVEPAALLPAASEAATMGDRRCYMELAALLPAATGAAIIGDGRCYMELVALLSAATGVATTVGSPCYYG >cds.KYUSt_chr3.29555 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184939704:184950626:-1 gene:KYUSg_chr3.29555 transcript:KYUSt_chr3.29555 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAFLPLKPLGAASKDPSRPNENQQSSTPYSDSPKALCTASDSLMMSLGAYLLPGDLMIEVCLPSKQRVDGMLQHYDLKYNVAVVSIKGVRSYCAANLNEMSQTEAGARVVALGRGFESGKLLATEGTVTGKRSRSICEELQISTCKITKAGIGGPLVDFDGNFVGMNFFDTDQTPYLSRVRILELMKSFNAERTIPVAVETPDNSELPSEEEARASLLYSYKHTLNGFAALLSGEEAIKLSERTEVVSTFRSDGRWSPHTTRSWEFVGFEEGLTGVHSKERLPSGDNAGENVIVGMLDSGIWPESKSFSDEGLGPVPARWKGVCQGGDSFNSSSCNRKIIGARYYLKAYEAYHGSLNTTYAFRSPRDHDGHGTHTASTVAGRTVPGVSALGGFASGTASGGAPLARLAIYKVCWPIPGPNPNIENTCFDADMLAAMDDAVGDGVDVMSVSIGASGKPPPFANDGIALGALHAAKRGVVVVCSGGNSGPAPATVSNLAPWILTVGASSIDRSFNSPIRLGDGRVIMGQTVTPYQLPGNKTYQMVYAAHAAVPGTPANATNQCLPNSLSPAKVRGKIVVCLRGSGLRVGKGLEVKRAGGAAMVLGNPPMYGSEVPVDAHVLPGTAVSNADVDTILKYINSSANPTAYLDRSRTVVDVRPSPVMAQFSSRGPNVIEPSILKPDVTAPGLNILAAWSEASSPTKLDGDDRVVKYNIVSGTSMSCPHVAATAVLLKAAHPDWSAAAIRSAIMTTATTSNAEGGPIMNGDGTAAGPMDYGSGHIRPRHALDPGLVYDASFQDYLLFACASGGGAQAQLDRSFPCPASPPRPHELNHPSLAVHGLNGSTVTVRRTVTNVGQNGAHYSVAVVEPAGVSVKVSPTSLSFERTGEKKGFTITVEAKGGKVDRRYLAGSYTWSDGVHVVSSPIVVLLP >cds.KYUSt_chr5.6324 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39147162:39150176:-1 gene:KYUSg_chr5.6324 transcript:KYUSt_chr5.6324 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDPDTLWASTDPASFVDSRFQDTSCRQPSVRGLPELHAGVRRRAARVCPRLRGAATFGVVPSKMQPSRKVARVDTAEIKSRLVRKIGHQRAELYFHSLKKFLGFQLGKCEFDKICVTALGKENIKLHNFLVRSILGNACMSLGPPPSRQTPTGNSQTSTVTNGPLASGVPLARRVRPVGSRDRRFGDKPSPLGKSPLGHPGAGEFVSVEEGEEVDQARGSPVCVQSQSPIRAPLGIPPKAQNPQPPTSYASEVCYSNGELPDTQYLSKLLENKLKAEGLSISLDSADLLNSGLNVYISQMLKSCLGVAKARGSTTRTREANGSAAAAAAANGGRNNGFASDLGCSYQASLLDLCTAVQSNPQLLGCDYARQYEKITSHLLDN >cds.KYUSt_chr6.23030 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145519294:145520566:1 gene:KYUSg_chr6.23030 transcript:KYUSt_chr6.23030 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGKSTEAASTTAPSPPTPSPSVKAKARKRLEIEGYPVEGISIGGQETCVIFPTLSLAFDIGRCPQRAVSQDFLFISHAHLDHIGGLPMYVATRGLYRLRPPTIFVPKYLKELVEQLFDVHRAMDQSELKHTLIPLDVGEEYELRRDLKVKAFKTYHAIPSQGYVIYSVKQKLKQDYLGLPGSEIKRLKLSGVEITNTVTTPEVAFTGDTMSDFILDPDNVDVLKAKILVVESTFLDDSVSIEHAREYGHTHLFEVIP >cds.KYUSt_chr1.8977 pep primary_assembly:MPB_Lper_Kyuss_1697:1:55125233:55129055:-1 gene:KYUSg_chr1.8977 transcript:KYUSt_chr1.8977 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPRLTSGAVKEIAELPKGLGTIQPVLQVADVRPITAKSAAGSDRFRMLVSDGVHSLQSMLSTDLNRFVTDGTLRLGSIVHLLEVMCSDIQGRRIIIVCKLDILQSECDMIGKPKIYETKSSREGQEPNLRANAVAPRVEQVANNLSYGEPYNGVHGTLDSSIGRTVRSGPNNVFFGSSHDTMSAQNTMHTDVVQPNPQQPFLNSHQSQRFAVPSTAGGLGPPDNTYVRPAQPSYQQPPPAYRNSGPVTKNEAGPRVTPISALNPYQGTWKIKARVTAKTHVNHYRNAQGPGKLFTFDLLDAHDGEIRAKCFKDAVDQFYDLIEVGKVYLISGGGPGALKPAQKQYNHLNNDFEISMDARTSVEVCSSDDNSIRSQRFNFRQISEIANMDIGTMLDLLGVVTSVGPSSTVTRKNGVKASKRVLQLKDMSGCSVETTFWGNFCDAEGQQLQLLCDSGSSPILALKSGRICDFNGRSVGTISSSCLKINPDGPEAERLRQWYITEGKNAAVTSLSVGMSSMCRTDVRTTIAQIKEEGMGKSEKPDWITVMGTVWNIKTDNFCYPACTGVVNGTRCTKKVTKDVDEMWQCESCEQSSQNCEYRYMLPCQIQDHTGSTTYATAFQDAGEEIIGLPAQDLFTIKNEDQDDEKFAEIIQRARYQHYLFKLKVKEETYNDEARVKCTIVKVQKLDDTATESRFLLAVIDGLLAEDGSGSTPAVNGGAAINAGFTSNNTYTMNMVFPNQFGQQASLYGGMPCTPSATRYAQTGYASRDSPVQANSYGPSAGGNTSTSLCYKCSQPGHFARDCLAQAAAPQRQIYGNGAATSGYNMQSYAGNF >cds.KYUSt_chr7.4619 pep primary_assembly:MPB_Lper_Kyuss_1697:7:27889771:27890520:-1 gene:KYUSg_chr7.4619 transcript:KYUSt_chr7.4619 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPQPAMGDVAGGSQVYPASAYAPTATVAPGVTPACSQPTPLPANPVQLSAQNQLLYEQSQQYQQQLQQEHQRQLQQFWAERLSEIEQTTDFKNHALPLARIKKIMKADEDVRMISAEAPMIFAKACEMFILELTLRSWMHTEENKRRTLQKNDISAAITRTDIYDFLVDIIPRDEMKEEGVGVRAGQPAPVDTSQYYYAQQAQQQMPGAEMVYCGQQGQPTTYLYQEPQEQQRGPPSEQQSFNESG >cds.KYUSt_chr5.33007 pep primary_assembly:MPB_Lper_Kyuss_1697:5:209273438:209275365:-1 gene:KYUSg_chr5.33007 transcript:KYUSt_chr5.33007 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPRHFLPAKHRRRPKPQPKQPAVAEPPTPTYTRDIVRRVTNILRDHPWSAARPLLLALPGLTWDSHTVARVLKTHPPLQKAFLFFRLAASPAADPKAAFRHDRYTYTSMLHLLGEAGRVPAMLRLLAEMLRAGVDPDAATFTTVMHWLGRAGDVDAAMGVWEEMRSRRGRCRPTLVSYTACIKILFDAGRAAEGKRVFEEMVAEGLRPSCTTYTVLIQHLADAGKFEATLEIMSDMQDAGVEPDKALCNILVQKCSRAGETSVMTFVLKYMKEKLIVLRRPIFLEALEALKASGESDNLLREVNPHLASEGIECDQTFSHLGYITDRNTIMYLLAARNWSAIEQMMKELATRNVKFESHILSDIIQASCTNCRPSCGLAVLHYSLRVGNELDRSAYSCLLGQYIRSDSFDLVLKIVEGLIKSGCNLGSYLLSVLILRLGCAGHSSYATKIFGLSSADQNVTTYTALMNAYFQAGEVDKALKLWSQMKTNEISACAGTYEVLIYGLQKAGRKHDSEHYRRERMEMQWHRQYCDEHSPEDSLCNHLFCGFHG >cds.KYUSt_chr3.11492 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68498487:68499209:-1 gene:KYUSg_chr3.11492 transcript:KYUSt_chr3.11492 gene_biotype:protein_coding transcript_biotype:protein_coding MDMANMCIVEKHGRVHLITLTGAGEHRLGPALISALRSAVATVRASPGAGALVLAAEGKYFSNGFDQAWARTVPLHLHASMRGAFRGLLADLIALPMPTVAAVTGHAAAAGCVLALAHDAVVMRASRGFLYMSEVDAGLKMADFFGEVLRQKVPDAAARRDMILRGDKMTAAEGVRRGVVDAAVDGGVEDVVAAAVAAAEGLAARGWDGEVVAEIRKAMWPAVWGKVKDYGAAEAARPRL >cds.KYUSt_chr4.43850 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271868929:271869776:-1 gene:KYUSg_chr4.43850 transcript:KYUSt_chr4.43850 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLYPYPYPAGAIPNRNGDVWSMLSFQGTLYGTLSPSKEIVQLYPPPLGGGDGNHDSQLVVIAQIPNMFGDHIHFCQPFLVESGGRMLVADRYPPAAAELGGVGYGLYEVHLRSGELIPVKDLPSLMGNSIYFSVKNGSAVVLHSLTTGLSEDLAEHCQIHNMVDRIRPSVRPFTIADHLLTYCHHSEWAQGLMFHEYHHIPECFKELRESIRANISKLRMPPRSKRQ >cds.KYUSt_chr5.13101 pep primary_assembly:MPB_Lper_Kyuss_1697:5:85420627:85420914:1 gene:KYUSg_chr5.13101 transcript:KYUSt_chr5.13101 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEAPLLLARESSCNETTGRRWWREAVEESGRLVALAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVFGFSILVSSRYRHH >cds.KYUSt_chr2.14200 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89817522:89817959:-1 gene:KYUSg_chr2.14200 transcript:KYUSt_chr2.14200 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATPLLLLRRARRRPPPCGLLSRPPATAAPTPAQARRLFARSAAVALLTAASDRRRSCKGESALLRAAGGDKAKELGPAALQGHAVVAAAAKTTRRCCKDCPSELQIKEDSVAKAARWSCKRRTTVLQRLLAGAANASRRSCK >cds.KYUSt_contig_319.419 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2689693:2690171:1 gene:KYUSg_contig_319.419 transcript:KYUSt_contig_319.419 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPPPSPPCFGACGQPLKTSAKLRASRSVGSKKRLARCWTNWEAPVPPSHASSEGSEEEDSDRVVEGDFVASDEAEARILAWMKWRSERAHFHEVAANDVELEEALRLIERQKAEERAADGVVIN >cds.KYUSt_chr6.6176 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37218458:37221292:1 gene:KYUSg_chr6.6176 transcript:KYUSt_chr6.6176 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAISQEAFDDMVRENMEDLGMDPDEALADAVEALTLQGADLAGIIRRVPGEAAAEEVNPVMRVLDELKASATASASGRSGEDLDSLVSSLDELRELCSGEEAENAAVAARNGGVEALVALCASAGVTQERLLASGLKALSSLIRDVGGTEKFRQSQGPKIVMDILKGALESTDVLDGGFSVVAAASAGNEVVKDAFMDLKVDELILQVMRDKSNSKVQSLYDAIRVLLTPDDNRVVASQVFGYSRKFAEIGIAEVLVIALREQVAPSSLPSACAALKAIAVNEEICRSISENGGIDVLLQCIDEAGIQKNKLIAKSCCSLLSKLAGSDANKASIIQQGGFDKFLKLTSRFSEDPSVIQEVMSLVQALTLRSPEHAALAISLGYGTLAIQTMQKFPSSALTQKQACLMIRNLVVRNPENRTILLKEGVEKLIRKAKAIHGSCKSTATDALRDLGLDNYNA >cds.KYUSt_chr2.48271 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301798694:301821011:1 gene:KYUSg_chr2.48271 transcript:KYUSt_chr2.48271 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSSPSPPPAPLQVRCAGCRGVLAVAPGMTEFICPKCQMAQRLPPQLMPKPPSSSPPTSSAPALPAPPQPRRRASQTSQAQGVDPTKIQLTCVRCQAVLNVPHGLANFRCPQCGVDLAVDVSNLHNFLTATTNGAPPASGATPPSSIPAPVLPTAEVPEEINEVAVDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYELNIMDELDKTKTLSCLQIETLVYACQRHLHHLPTGDRAGFFVGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVGSDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKNGVIFVTYSSLIASSERGRSRLQQLVQWCGHEFDGLLVFDECHKAKNLIPDAGSQPTRTGKAVLEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQDFPQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDIVEAPLEERMMNMYGKAAEFWAELRLELLSAGELSGEEKGTSNQIWRLYWASHQRFFRHMCMSAKVPAVVKLAKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKLAEIQRKRHSAPDISFKGRFRKVAKMEDVSDDDSGDYSPSESSDHESSSSDEEFHMCQICNSEEEKSLLLNCSGCSLRVHPSCLTPPWTGMLTDDWSCYSCKKAEGQEQEHDANVADFSKRYDTAVERKLKILDVIRSLDLPNNPLDDIIDQLGGPDKVAEITGRRGMLIRASDGKGVVYQARNAKEVSMEMINMHEKQQFMDDKKLIAIISEAGSAGVSLHADRRAKNQRRRVHVTLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMVYKGIMEQDSFPVVPPSCSDNQASIQEFITKAKIALVSVGIIRDSIVCNGKDAGKLSGRIVDSDMHDVARFLNRLLGLAPDIQNRLFDLFTSILDVVLHNARREGQLDSGIVDIKAKNVEMKEPPKTVHIDSLSGASTVLFTFIIDRGVTWESAKAMLDGRENDSAGSSNDGFYESKREWMGRRHFTLALEGSTEGTYKIVRPAIGEALREMPLTELKSKYRKVSSIDKVSKGWQDEYDASSKQMEDEQSATYTVDDALLSSGFGRKGFLFTAIVMSGAGLLSAFAPNYASLMALRFLVGIGLGGGPVLSSWFLEFVPAPSRESPRYLCMKGRIPEAVDVLEKMARLNNVPMPSGRLVSDKNIELDEVSESATLLASVAAGSDGGGKEEEENDNIKEDEGSNFGEIPGSVASALMVDRVGRKLSMASMLFTACVFLFPLVFSQADILTGISLFGARLCISASLSILYIYAPEIYPTSVRTTGIGVASSVGRIGGILCPLVAVALVHNCQQTAAILLFELVVFLSGMAVMFFPFETKGSRLNDTEGI >cds.KYUSt_chr7.34744 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216914740:216918061:-1 gene:KYUSg_chr7.34744 transcript:KYUSt_chr7.34744 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEIMPKQRALEAEQREEAMEMSGLELWKHEKPPKIFPMPPLSLSAAAGACDDGTLVPPLNFAMVDDGIYRSGFPAAANFRFLKSLNLRSIVYLCPEPYPETNKEFLAKSGIKLYQFGIEGRKEPFVEIPDEKIREALKVVLDIRNQPLLIHCKRGKHRTGCVVGCMRKLHKWCLSSVFDEYQRFAAAKVRSTDLRFMELFDVSSLKHLTPSHC >cds.KYUSt_chr4.27918 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175451685:175452057:-1 gene:KYUSg_chr4.27918 transcript:KYUSt_chr4.27918 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAGDRGLDGLRWCVVTGGRGFMARHLVAALLRSGDWRVRITDLAPVATLEPDEEGLLGAALRVGLAEYVSADVCDLAQLTKGMALDLPQLY >cds.KYUSt_chr2.1192 pep primary_assembly:MPB_Lper_Kyuss_1697:2:6992248:6996227:-1 gene:KYUSg_chr2.1192 transcript:KYUSt_chr2.1192 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIASRLLRRHGPTLGLLRSYTHVRNYSSQLSGLIPAGPQSSKLTRRHYYFPNASPYQLWSRSFASDSGEKFEAVVPFMGESVTDGTLATFLKKPGDRVEADEAIAQIETDKVTIDVSSPEAGVLEKYIASEGDTVTPGTIVAIVSKSEAPAETHVAPSEEAAPKESPPAKVEEKSPKVEAKAPKVEPPKKQAPKPTPSKTSPTEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVKKHGVKLGLMSCFVKAAVSGLQNQPIVNAVIDGDDIIYRDYVDVSVAVGTSKGLVVPVIRDADTMNFADIEKGINNLAKKATEGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVDGNILARPMMYLALTYDHRLIDGREAVYFLRRIKDLVEDPRRFLLDI >cds.KYUSt_chr7.30690 pep primary_assembly:MPB_Lper_Kyuss_1697:7:191023318:191024991:1 gene:KYUSg_chr7.30690 transcript:KYUSt_chr7.30690 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKMTASAPSHAASMPLVGISSGLGPATLESFSACMSLLRRGHRHRSVMAAAPPTGHCSFDGLLCDPFVLVEGLGYLSVPPVASGGADEVPLRSYDAPSASSLLWVSSLVSDSDDDIDEELVAQSPLACSKGVVSVSVCGTTDDVSTNGVVLDVQAPAEPCDSLFVVDTLGDQDGWVHVGRGKRHVREPSALLQNEGLERSLSFKRWARGRCFRCLERDHHVNTCSAPFRCIRCRRPGHRERFCRARFPAARSRSPDTRARSPARAPFQRSRSPPAQPCRSSPPRSWVEVVCHSSSPATSPPMPSPRCCEEFNVNASLDSRFQREVSLLRMELTQLVATRIEEATRPLREEVASLKLLLAHVGDSLEPTEACSSGGHELATVKVSLALGSTEEKSFVVEEEYLYSCFSPRGSPSQSMQPVVSAASESEGINETLAPVLQITPERYELCGDSPAVLPLVLCSLETLEVAMTPPPPQLEPCQSLTSLDCGVVLAPSSEALFAKELCGLLASLEAASPGYGKDIDCVLAGKASEDMIRRVEKSLRKVSIRSIRRKRAG >cds.KYUSt_chr4.44277 pep primary_assembly:MPB_Lper_Kyuss_1697:4:274351709:274356695:-1 gene:KYUSg_chr4.44277 transcript:KYUSt_chr4.44277 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIVELGVVPSALDPLVIYCDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEDEEVKICKVHTDLNVADPLTKALPRAKHDQHQNAMGVRTRSSAIMGARLVHARGAAVLFGALVVLSAVVSLGAAALTPTVVVGSVKCLDCSPNDVNAEDAFKGLLVAVKCRSGAGETYQTQMLGLLDNNGAFSIPLVPGIQRDDGELGHDCFAQLHSAPDTPCAGLAPPKIGPSSQGAGYLAVADGTVFSPVACLCKKKKKHFMMGPPPPPPQPRPEPSYGPPTPTPTPPTPSYGSPTPKPPAPPAEDEPEPFFHKHPKMKKLMHKKKPCPPLGEEDKQPKN >cds.KYUSt_chr3.147 pep primary_assembly:MPB_Lper_Kyuss_1697:3:838370:841923:-1 gene:KYUSg_chr3.147 transcript:KYUSt_chr3.147 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLSSSLLPSLPRATAAARLSPLPSLSRSGCRRAAGCRVRASLPGLDSVGGLHAALERAEAALYTLADAAVVAGAGGAAGGDVEQAVQKSGGWFGFISDAMEVVLKVMKDGLSAVHVPYAYGFAIILLTVVVKAATLPLTKQQVESTLAMQNLQPQLKAIQKRYEGNQERIQLETARLYKTAGVNPLAGCLPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLFPFVDGHPPIGWHDSICYLVLPVLLVASQFVSMEIMKPPQSTDPSQKNTQLILKFLPFMIGYFSLSVPSGLSIYWFTNNVLSTAQQIWLRKLGGAKPVVNEGASGIITAGRAKRSGAQSGQGGERFKQLKEEENRRKATKALGAGDSNGSASTSDDEESDEDTTEEGGAVEETYIASTDKKLPTYTGKKGKRSKRKRIVQ >cds.KYUSt_scaffold_869.593 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:3801946:3804946:1 gene:KYUSg_scaffold_869.593 transcript:KYUSt_scaffold_869.593 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLCGVPAGGVGEVAALSVWVLRSAGVPFGDGGLRIAGVARSTSPGLWIFGSAVLSNKPHPDPCRRRIWILKMVWKIVMLILATVLEFRGAGSSEPMSGDFPAAMGLAPDDQGVSCCEEVEKSGGKEEEFKLDLRLRAEIGHPAAMRNLACPVAGVPSYSSATAAIWST >cds.KYUSt_chr7.28616 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178446982:178450956:1 gene:KYUSg_chr7.28616 transcript:KYUSt_chr7.28616 gene_biotype:protein_coding transcript_biotype:protein_coding MKARRNESSKKPRCGGAVAAWLLVPLLVLVLLKTDCLLQVTPRLDCERVWQGQQLVDVAKLKDADPTPKTPDSATEAPRSHALSDEIAGHSIDAIKDLKHEKDSLAMKAAIDGSLRSSDVAAPRSKLSCNFSSKRMNTCAMEGDVRMHGKSGSFYVVAVSDDSYRPENGTVVIRPYPRKWEKWSMLTVREVTIHSGAAAAAPPRCTVRHDVPVVVFSTGAYSRNFFHSMTDIVIPLFNTAREYDGQVQLVATDYKHKWITQFRHILAALSVYPVIDFDADETVRCFPSARVGVEGHAELRIIPALSRKGYTMTDFRDFLRSAYSLKRAWTTPANRSSGQRPRLVMVLRRKSRSLTNEAEAIATATEVGFEVVAAGPEMVANMAEFAEVVSSCDVMVGVHGAGLTNMVFLPNNGTVMQIIPWGGLRWNCFTELGLPVPEMGIRYVEYEATAEETTLKDVYPRDHVVFTDPLAIHKKGFDPVYEIFLEGQNVTLDIDRFRGAMQQIYQSVTIA >cds.KYUSt_chr5.13223 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86263829:86268099:-1 gene:KYUSg_chr5.13223 transcript:KYUSt_chr5.13223 gene_biotype:protein_coding transcript_biotype:protein_coding MESELGGDCLGHKRVDPEGGSSGGNVREGKNGLHSSSMEMEDDEDWEPEPNPLDLYRECWIESYGCHGISFEDETERPPMRNTDGPIISNSCAPMSTMQVLYVKVTRITESLQWPLHVYGVIAVRDSMDHKRNFLFRRSRDQCQALASLQDAVLELTGPSRAVLLIDPHAFEIDLKVRGGESSSEDKTLSYNAFIYNNIAHWSKASYARTEVVPDQNSTIEVRFAHLAEAVEATIEVIVVSGSRDFKARFTARTASIDEDMVLLDSYGEKVDVTDNGKVVLKRRIVTVDERGKLLLGVEAAESNGVVVQNQIKLTPRMRAVTSVPEGYDFGKSGWPADGGEAYDPTERPILLENSEGGILSVDKVLDPASGEGIGETVANGHVLYDDNIPIVPNDEYCISAKENVVHCSVIPFGGIHIFVSKTSRSGKESPTCHPTRKWNKQQARRMRSWMKLEGTILRHHITSSHHSRMCSHLKPHAVLLRGDPDKYRDLLVTPITLDRVREVTRLDGMREELLMEAKKIGKSSQETVELQKKNLLAYKSAREHQKKLMAEYREVQEQKKKRHYDNITPRYLNFDTTRPKPQNNRLVCRQEWAGGNGNI >cds.KYUSt_chr6.26947 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170873375:170874303:-1 gene:KYUSg_chr6.26947 transcript:KYUSt_chr6.26947 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLAGASSPLPRALLLLATVALLSISFLFLRSLRPGAAPSLSVDESRRLRPFSSSTPVAPRPSVYHSPEAFAAGYAEMERSFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTQDPDSADLFFVPISPHKMRGKGTSYENMTMIAKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRVVCSPSYNVDFIPHKDVALPQVLQPFALPEGGNDIENRFR >cds.KYUSt_chr1.37659 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229895388:229899147:1 gene:KYUSg_chr1.37659 transcript:KYUSt_chr1.37659 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVGGAEFHGFGGAAQLPRSRMLGRPLRVAPPGASPAGGGGGNASAASIRAVSAPLKKDATVKRSKVEIIKENSNFLRYPLNEELVSDAPNVNENAVQLIKFHGSYQQSDREVRGQKNYSFMLRTKNPSGKVPNQTYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVISTVIRNMGSTLGACGDLNRNVLAPAAPYVRKDVLFAQETAENIAALLAPQSGAYYDLWVDGEKIMSAEEPPEVTAARNDNSHGTNFPDSPEPIYGTQYLPRKFKIAVTVGGDNSVDILTNDIGVVVVSDSAGEPVGFNLYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRDNGRRDDRRYSRLKYLLDRWGIDKFRAEAEKYYGKKFEEFRPQPEWQFNSYLGWQEQGDGKLFYGVHVDNGRLGGQVKKTLREIIEKYNLDVSITPNQNLILCGVEQAWREPITAALGQAGLLEPKDVDLLNITSMACPALPLCPLAQTEAERGILPILKRIRAVFNKVGIKEEESVVVRITGCPNGCARPYMAEVGFVGDGPNSYQIWLGGTPNQTTLAETFMNKVKLQDIEKVLEPLFSYWHSTRQEGESFGTFTNRMGFDQLKEVVNKWAESAAAA >cds.KYUSt_chr2.38980 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241692285:241693582:1 gene:KYUSg_chr2.38980 transcript:KYUSt_chr2.38980 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTAVPEVVLRSGNARPMPAIGMGTAKFPLVPEATMDAVLAAVEVGFRHFDTASIYGSELPLGEAMAEAVRRGLVASREEVFITSKLWCTQCHPHLVLPSLRESLQNLQMEYVDLYLIHWPVCMKPGPGVFPAKREDAVPFDFEGVWREMEECQRLGLAKAIGVSNFTTWHLDKIIVAATVPPAVNQVELNPFWQQRKLRKYCAEKGIHVAAYSPLGGQNWSGEGNAVLESEVLAEIAKARGKSVAQVALRWIYEQGLTPIVKSFSKERLKQNIQIFDWELTEDDLIKISQIPQKKIVTVANNFFPEGEFTSVNLSDIEIVEEE >cds.KYUSt_chr3.41239 pep primary_assembly:MPB_Lper_Kyuss_1697:3:260247923:260249864:1 gene:KYUSg_chr3.41239 transcript:KYUSt_chr3.41239 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGGRPEAPVPPTVSGKQFGAGNEAGEDAGGGTTLRPRGWMAVMFIIGFFTATAMAFSAFSQPITNYLIKHYSMKANAATDLANIFSGTSNFSPVVGAFVADAFCGRFWTLLFGTIAGFLVSDHELTKQGMVAITLTATIHQLKPPSCGVLARQAGTCAGPSGLQRAVLYIAMGLLVVGTGATSPTSLPFGADQFDERRHKEGLRRYYSGYYVVTMMATFLALTVIAYVQDKVSWGLGFAIPTALMLATFVVFLVGTPWYVYVLPEGSIFASVARVAVASCRKWRLRLPHPNDAQRQEALLYSDPPPAAGRVVDFRLPLTLQLSFLNKAAMVTDADEKRPDGFPARPWKLCSVQQVEEVKCIVKIIPIWISGVLWFIGMVEISNYTFLQVLTMDLHMGKSFSIPPVSIFAIFYLSVALFLPIYELLIAPVAQRLTKTEGGLTLLQRQGVGLAISVLPFLIAAMVERRRRDSALGHGGTSPQSVFLVAPQLVVMGLSAAFSMVGQMEFYNTQFPHQMRTLGNAAFYCAQGAGNYLATLVVKVVNTRTRLPGGGGWVSDDINTGRLDYFYYSMAVFGAVNLVYFLVCSNFYRYKDQ >cds.KYUSt_chr7.37571 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234356434:234363295:-1 gene:KYUSg_chr7.37571 transcript:KYUSt_chr7.37571 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTGYRAWYSAHACTTVRLPRLKPFAAAILREDEVDIFWSGEEKIWEGGGGGDDKDCDERRELQGVLKQWRQRVVARNNSGADGHAAMHDETRPCVAWENRDAINIDPCTLFLLEDSSSSDDSDLEELLNDDVEQTAVILVAAGATDVRPRKRKGSTMGRLCILRNRALGHTLLMRDYFAELLLLTLLMLLGGEVFTSMLGLHFSCIKQSKKQSSLEVASVGGSGVSVVVHLTGYTLMLVYLSVVSGARAVLSGKGISTYTFSIFIVVSTFANCSFVPTNEGMIAFRTFPGLLLLAPVDHEQKWGSPLPVAILSRLFILPLDPRTGQSVDLVTRKENAKAAGARSGPVPPGVTWSGSGERTAGAGEPGCPSSRLQQERGCSRGCPLSRLHPLLVKNRTKKLEQPPVPRPFNVFSLAFFVFADPSGLRPGGGKDS >cds.KYUSt_chr3.24120 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149717930:149719563:1 gene:KYUSg_chr3.24120 transcript:KYUSt_chr3.24120 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAHVRRLLLHTGAGAPARSFYAQPYQAKVGVVEFLNGVGKGVETHAAKLEEAVGGDLQRLLETRTLRLKKLGVPVKHVRLPVPVFQIKHSLWFTSSCGEPLLLQDICQGEVKRGGYLLGRDAGIWQRRSRGPEAFDHRSARLTIRTRT >cds.KYUSt_chr2.53536 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333972343:333973971:-1 gene:KYUSg_chr2.53536 transcript:KYUSt_chr2.53536 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSLMVLASLLLLQLLATTAHGIRLDRQLHESVSKKEPAGRGSKDGQPLDAMDHSTSRPCASDGNCSGKGKKPVAPHAAPAAAQHQVQTPRRRNNGDEPEATTASHAGRKEEAAAAQTWSSRALPRQPPQQEQRGTYPDVLDIAEMDYSPATRKPPIHN >cds.KYUSt_chr6.9749 pep primary_assembly:MPB_Lper_Kyuss_1697:6:60148050:60151392:-1 gene:KYUSg_chr6.9749 transcript:KYUSt_chr6.9749 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDTAQQRRNKYWCSGKASTPRKGMPVHPEEEEEEEQTRERGRRSTWSRRQSWPRWHTTSTAAPGQAKPGLDRRRSSQHSTVAPWRQERRSSASDKCGGGSEVEPAGLSALFPVWAAGKVVEGEVSVVADPRLRGDVSEEELERACRVACWCIQDQEDHRPTMAQVVQALEGVVHVHAPPVPRGLQHLVSLT >cds.KYUSt_chr5.37538 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237222080:237226515:1 gene:KYUSg_chr5.37538 transcript:KYUSt_chr5.37538 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLPGGGAARKLGRRLVGGLAGEVVELGSGISGFKPGDKVISISFPSGGGLAEYAVTSAALTVARPPEVSAVDGACLPAAASSALQLLKATGVSFDGTSNATGPKNVLVTAASGGVGQYAVQLAKLAGMHVTATCGARNVAFVQGLGADEVLDYKTPEGAALRSPSGRRYDAVANCAAGVAWPALKAVLSDEGGTAADVTPGGPRRAHVAPAEGDVRQEEAGAADADAQEGGDGVAGGAGEAGEAQDGGGLEVGETSSVCKRKYQELDDSEYSSHSSREIESEDAVSYNSSSIDASSDAEVTKYNELSYKKKVPRDGLREGLVTHVKTVMPKNRRDKANHAALAKVPEYYGQD >cds.KYUSt_chr1.34534 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210242023:210242304:1 gene:KYUSg_chr1.34534 transcript:KYUSt_chr1.34534 gene_biotype:protein_coding transcript_biotype:protein_coding SASARASRIPPYSAPLPPPLDPSRAGLSTPQLAVLPEASARQAKLRLGSASCRLEGSVGLAGSTRRASLILSSSSTFSPSQEDDTDLLLIDIA >cds.KYUSt_scaffold_869.1528 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:9800205:9801668:1 gene:KYUSg_scaffold_869.1528 transcript:KYUSt_scaffold_869.1528 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAFGKNARRVLLVLMVMCATSTAKRPAAKEGAAPAPAPEASASEASGATGIFDITNVGATSDGKTDCSKELQEAWKSACGAAGQATVLIPKGEFLAGPLNFSGPCKGDVTIHIDGTLLGTNDLPKYNGGSWINILKVNNLVITGSGTIDGQGANVYTKDPAEAKAFPNSLVLDFVKNGTVSGITLLNSKFFHMNIYMSEDVKIENLTITAPGDSPNTDGIHIGDSTNINVTDTTIGTGDDCISIGGGSSHIIVTGVTCGPGQGISVGCLGRYKDEKDVSDVTVKDCVLRSSTNGVRVKTYENAVKSITASKLTFENIKMEDVANPIIIDQNYCPEKVCTAKSKSAVTVKDVIFRNITGTSSTPAAVSLLCSDKQPCSGVELIDVNVEYSGENNKTIGVCNNAKGTAKDTLQALACLA >cds.KYUSt_chr1.38696 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236488770:236490263:-1 gene:KYUSg_chr1.38696 transcript:KYUSt_chr1.38696 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKGKQRQDKYYHLAKEQGYRSRAAFKLQQLDARFRFLPTARAVLDLCAAPGGWLQVAVARAPAGAFVVGVDLVPIRPVRGAHALTEDITTTRCRSTVRRLMDSRDIPAFDVVLHDGSPNVGGAWAQEATAQSALVIDAVRLATAFLAPKGAFVTKVFRSQDFNAILFCLRQLFGKVEVHKPAASRGSSAEIYIVCLKYKAPARIHPELLDIKHLFSVDSDKELPIIDVLSSNKSKKRPSAGYEPGVTVLRNVGLASEFIWSDAQTPQEFLGSCTEISFDDPASLPIKDHELTTDEVRFLCEDLRVLDKNSCKHILRWRVRLRKSLSSSSSQVTPPKADGTATDAKAKGDGQLLQDMEELVGAIDRKQTGEKKRQSRRRAKDKARKATGVQIDDATEDDDAQLDKMLDEAYERYVTKKGGEIKQERKRAKRTNPDADADLSEGREDDGHGITDQGSHEDQDQETSGGATTRHARAAARAKLLNSLELLSESETLS >cds.KYUSt_chr1.16346 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94996866:94997096:-1 gene:KYUSg_chr1.16346 transcript:KYUSt_chr1.16346 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAGDTSSSTRFSANFGRSSTMSVGSLAAFSLDDGTFQTPCSVLPSRGEDHCLSSSCGGSASSSATRSSSRTS >cds.KYUSt_chr4.42792 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265051572:265052075:1 gene:KYUSg_chr4.42792 transcript:KYUSt_chr4.42792 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAWHALARRRRERCYLLDYACHKPSDDLLEECATPYDALDEMDAFFDDAVRVVLAKAGVSPRDVDLLILLTNDLVFRSRAKMELCCLVRAHIGAYDDTHAAAVHREDADGHLGSASARTSPRPPCARSRAPRSASRLGSTTSASTPAGRPSSMPSGRTSASVRV >cds.KYUSt_chr5.15101 pep primary_assembly:MPB_Lper_Kyuss_1697:5:97550868:97553145:-1 gene:KYUSg_chr5.15101 transcript:KYUSt_chr5.15101 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPLPEPDFHALFTAVSGKNPASEQQLFSDTIGKINKELGYLHFDLRACINQYDGTVYYGVVNTIADEESKLGSKYSVPQIAFYKGLLEAIVQDGGNDGSITDIDALNVRIDNQVVIADSSQDSQSRLPYSITSFLFSQKEKTLHELIQDCWLAYTPEGRIGLGIRSFLDLRSWFRGNDIPSCVVCNEAGIKASTCPSEGCNVRMHNYCLKKKFSQRKATRACPGCATEWPRQEGEDDADEDVNDPGEGQEIPSAQPAERSSRKKRKVVKAELVEDAEEAGPSTAMPRRSSRSSRAEAAEEASTAGASQPARSSKRRKK >cds.KYUSt_chr2.19418 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122087385:122091399:-1 gene:KYUSg_chr2.19418 transcript:KYUSt_chr2.19418 gene_biotype:protein_coding transcript_biotype:protein_coding MELFGKEHGRASRAVSGRKVRQRTRVDRESRSRKSKQLHSHSRASNQTKPRKEESPKQKPRKAQMDLLPSSAGAYGGKATEAYRKALSSAASAAAYAVLARSMARELLPEELRAAVRWGASLLRARFGFGAKEWRTLVVRSQTGGGSGREENLLFDAARTYLSTRLDPRAMRRLGLTLCTARDEGGLRSWTKRLFLEPGDSTVDVFDGVEFTWTSVEKSNGGGGGKKCAGNGESGIGGDREFVLMLSFEAEHTDMAMERYVPFVMTSAEETRQRERSLRICLNEGRKWFSLKHHHPATFDTLAMDPTLKQSIVADLDLFANRRDHYRRIGKAWKRGYLLYGPPGTGKSSMVAAMANHLRYDIYDLDLSHVESTMLQWLLITMSDKSILVIEDIDCCCDAMSRAVDGKESKTPALIGDKDGGVSSDIMEVPPPRARNTEITLSGLLNFIDGLWSTSGEERIIVLTTNYKERLDPALLRPGRMDMHIYMGFCCWEAFKTLARNYFVVDDHPLFPEIQELLAAVQVTPAEVSEMLLRTNDPDVALRGLTEFLKEKKQEQSNMESGSKKRGKGNVEEEEDLEITRPSKLTARQQSSQRNVKTPACRGKKTHVSVKNMPYLEYKELRRENLYLIPRNHRVTDKRFHNKSQ >cds.KYUSt_chr1.16266 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94527162:94538316:-1 gene:KYUSg_chr1.16266 transcript:KYUSt_chr1.16266 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEMDEEAMMRTFFPTSFGKAPSRPSAASHSSTLRKPQNPSSSSSTSAVEEDDGVLVGPPLPPRDSARSQEDEEESRPMIGPPRPPAQLPTVHEEGEDEDGDMVGPPRPPPPKEGGEEDEDEDDDDDEDDDDDDDDDDMEDDGEDFGRIPLSNEIVLRGHTKVVSALAVDPTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPCEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLSLGEFVKGDMYIRDLKNTKGHISGLTAGEWNPKSKETILTSSEDGSLRLWDVSDFSGQKQVIKPKLKRPMRIPVTSCAWDHEGKRIVAGVGDGSIQLWTIKTGWGSRPDIHVEKAHTEDITGVKISTDGQILLSRSMDSTLKIWDLRKMKTPLKVFEDLPNNYAETNASFSPDEQLVFTGTSVEKDGRNGGLLCFFDRRKLELVSRVGISPHYSVIRSLWHPRINQVFATVGDKKEGGTHILYDPSVSQRGALVCVGRAPRKKSVDDYEVQPLIHNPHALPLFRDQPSRKRQREKMMKDPLKSHKPEAPVNGPGFGGKIGTTKGSLLTQYLMKEGGLIKETWMDEDPREAILKYADAAKNDPKFIAPAYAQTQPETVFAESDSDGTLKIWCKKKKPLNQELNNLEEQIKQIQIQPLELQDHTLEASLVARPFMGTQPPQDTHDYTYSLPDNQEIFDTLKDMKRNASPGPDGFNVEFYIATWEWIGQDVVQLVHGQVKGLILGSSKQERMEEEEAPKQGTTITTDLTIEGPKIYSDAAWKTTEVSGADGRRTTGLGVFCQVQQDHQVTSIFVQASSGIALSPLHAESLALLLASNTANLLQLRQATFLTDNLTLAKAAAATKTADPQVPWEIRNYIAQHKKITRGLRQQIYHISRELNGAAHNCAHQAIRHLQSEPTFRCSNSAHVHGYCPTLLAMKNLEIQGIVLHVVNCC >cds.KYUSt_contig_1253.1100 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:6943299:6946671:-1 gene:KYUSg_contig_1253.1100 transcript:KYUSt_contig_1253.1100 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTDGLIGGPNSWNKDGRARCRAFGRTLENVIRGIRNGAPRLEMPSSPPPSPQWQPRRTTYSSSSHSSSSGPARSTPSSSYRSAPYTVPKREVKEEPATPVNTRRGGSGSRRQQGRRDGALLIPAGDYLGGAAPPATQWQYQAKAAATPAFMSFRPAAEGTRDSFSAFRQQQPALVTPHHHQSKFGFDGRISPQQYAAAVAHAHGVDSYDVPARHHHVPQAGSRPFHHPMQLNQGNHPVLRVQSLPSVAAAGGVPFKNQYLMMNSPVPSSTVGVYGPRDLRNPESTRMTIFYNGAVNVFDVAMDKAQELLVQASRASIVPSTVHKSDSLVSADARFAAPEVSPAMKIITIQKPETFVPRVSGIPSPVPVMPQPAVALSKSTSSSNNESAGPASSGVPSAVPLASQASTAEPLQQAISAAAAAAVTPRAVPQARKASLARFLEKRKERVSTVVPYPSSKSPLDSSDSAPSKSSGTDIALSTNNGQEPASIGLSRNISFSSNKVPSTDLQI >cds.KYUSt_chr2.55485 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346021292:346030859:1 gene:KYUSg_chr2.55485 transcript:KYUSt_chr2.55485 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRVLLLCGDYMEDYEAMVPFQALQAYGVSVDAACPGKKAGDACRTAVHQPTGHQTYAESKGHNFTLNASFDEVDPAEYDGLVIPGGRAPEYLAMDEKVLDLVRKFSDSKKPIASVCHGQLILAAAGVVRNRTCTAYPAVKPVLLAAGAKWVEADTMVKCVADGNLVTAAAYDGHPEFISLFVKALGGSVTGSNKRILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKAAGDKCPTAIHDFEGDQTYSEKPGHDFALNASFGGLDASSYDALVIPGGRAPEYLALDDRVLSLAKGFMDSGKPVASICHGQQILSAAGVLQGRKCTAYPAVKLNVVLAGATWLEPDPIHRCFTDGNLVTGAAWPAHPEFVSQLMALLGIKVSFA >cds.KYUSt_chr4.51266 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317964149:317967239:-1 gene:KYUSg_chr4.51266 transcript:KYUSt_chr4.51266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase, isoform 11 (EC 2.7.1.-) (CDPK 11) [Source: Projected from Oryza sativa (Os03g0128700)] MGNQNGTLGSDYYNRSRSEHAASRYAGAHLEEDDYSDLKKFEKPWPAFKPTAAGVLRQGLDPTSISVLGRKTADLREHYILGRRLGQGQFGTTYLCTEISTGCEYACKTILKRKLIAKEDVEDVRREIQIMHHLSGHKNVVSIKDVYEDVQAVHIVMELLAGGELFDRIQGKGHYTEPEAAGLIRIVVSIVAMCHSLGVIHRDLKPENFLLLDKDDDLSIKAIDFGLSAFFKPGEVFSELVGSPYYIAPEVLYKRYGPESDVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFVSDPWPKISDSAKDLIRKMLCHCPSERLTAHEVLRHPWICENGVSSDHALDPSVISRLKQFSAMNNLKKLALRVIAERLSEEEIAGLREMFKAVDTKSKGVITFGELRKCLTGYGNELEDDEISNVVEADDKDNDITINYEEFIAATMPLNKIEREEHLMAAFTYFDKDGSGYITADKLQRACGEYNMEGTFLEENILEVDQNNVS >cds.KYUSt_chr3.10937 pep primary_assembly:MPB_Lper_Kyuss_1697:3:65111713:65115050:-1 gene:KYUSg_chr3.10937 transcript:KYUSt_chr3.10937 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSKSRSPRISSPRARGTAPLPAEPWALAGVDDTCVNDVESFARTVAAVKSKPRPDLLASVLSHYAAKWLPEVASSASGRFLLPPESPTATWLKKRLLLESLVAALPPPDSAADDGITCDFLLRLLRAGSMVGADAALLRELEARAARRLDQASLAAVMVPASGHAPATLLDVPLVLRLVRGFLKEGGKGAGGGASARVARLVDAYLAEAALEAGLRPAELEELARAVPAHARAADDALYRAVDTYLKAHPGAGKEERKSLWRLIDARKLSAEAAAHAVQNDRLPVRSVMQVLFSEHGKLNRLADLGASLSGASQAAALDPHSSSSRCPSKREVLAQHQEMRRLREDVARLQVQCSALQEQVERLGSERRRRGGGGFKWTTFWFGGGGMSADVARIEESESGMERRTPGSGMKGRAGLATPTPTRRTPKWRKSMS >cds.KYUSt_chr4.3086 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17722200:17726246:1 gene:KYUSg_chr4.3086 transcript:KYUSt_chr4.3086 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPLPVCLLLLVAALLLPASNAIYCDEDDCYDLLGVKQDANASEIKKAYYKLSLKHHPDKNPDPESKKLFVKVATAYEILKDETTREQYDYAVAHPEEFFYNTAQYYRAYYGYKTDPRAVLIGLLLIMSAFQYIHQLTAYSQAIESVKQTPAYRNRLKALEFERTGGISSKKKGTKQIDKNLENELRGEVDLQIQGVKKPSAWSLYGVQLILLPYFIGKILKLNATKCLGRISRELVFSCRCTRP >cds.KYUSt_chr3.44170 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278830443:278831962:-1 gene:KYUSg_chr3.44170 transcript:KYUSt_chr3.44170 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMRGFAPVLAAALLAGVLVACVPAGVNSAVVGVCYGTKGSNLPPPQDVVKLYRFNTIYSMRVYSVEPAILDALRNTQVEVMVGTTNDDVAFLARSASNAASWVQTNVKPYYKDVRIRYIVVGNELTGGAAQSVVTAMRNLNNALGDAGFSSIKVSTAVGMDVITNSFPPSSAVFAQSYMTEVARFLASINAPLLANVQPYFAYQGNPGSISLSYALFRPGAPPVTDSGNGLVYTNLFDAMVDAMYAALEKAGAPAVSVVVSESGWPSAGGVGATVENARAYNQGLIDHVAYGKGTFEKPGPFGTPKKPGPMEVYIFSIFSENQKPGDETQRNFGLFYPTNKVPVYGINFAGTLPRY >cds.KYUSt_chr7.20281 pep primary_assembly:MPB_Lper_Kyuss_1697:7:125771502:125773178:1 gene:KYUSg_chr7.20281 transcript:KYUSt_chr7.20281 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSGGPLTTNKAAVLAKFLERKLQQPDGLESLNPDIVNLAVKNAKETIKASKGESSTSGRVVRHVPSFEDSSEVTDQEEQRKAKKKKKNKRKTKNLLRYCKPSCDPYILKGAPHSEQEHLGDPQGQNRIQSVWSSGLLLPLDLLAKVPCILHFIAVAKCYIYTLKRNDAEMFNLIISLHVVPKPRLLGNIGFKHCLTS >cds.KYUSt_chr7.28247 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176416135:176420775:-1 gene:KYUSg_chr7.28247 transcript:KYUSt_chr7.28247 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASVASSGTGLRCSVNPVRNPQRISAGVRFTTTKRQPCAATSRRLLLPPSARANTAAIDSGAGYPGVSRKRLAVFVSGGGSNFRSIHEAALGGKVNGDVAVLVTDKPGEDALVASCKLWTVRDLKVDFVLLAGYLKLIPGELVQAYPRSMLNIHPSLLPAFGGKGYYGSKVHKAVIASGARYSGPTVHFVDEQFDTGRTLAQRVVPVLANDTPEQLAARVLHEVRLMCC >cds.KYUSt_chr2.43528 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270792551:270793084:-1 gene:KYUSg_chr2.43528 transcript:KYUSt_chr2.43528 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSARSAATKHAYRMFAPSRSAAARGSPGGAADEFDECDVWGSFGAAGVADSGPAEHAWTRPIPSSARAGGGRKMPLDRAAVAAPGSVPGSVPMSIPDWQKILGVEYRDHHAGAWELDGNDDDAAGHHGGMVPPHELAWRSRAASLSVHEGIGRTLKGRDLSRVRDAVWKRTGFED >cds.KYUSt_chr1.10155 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62256603:62259595:1 gene:KYUSg_chr1.10155 transcript:KYUSt_chr1.10155 gene_biotype:protein_coding transcript_biotype:protein_coding MREGSDVPGKVGQGKREMSKAGDCSGSGGGGGGQRSGDARDLPPLFLRVGAAITLSIAGLFLSRLRSQRRPRPRHLLPPPPSEPDDARGMKGGGGGLKEELRILKNEDTKAKIISGNSVHTTTTTTTTTTTASVSLPPKCRNIDDDDDEGFLLPEFSEMVMEEFGREASSVATSPAPRIREDASNDHEIYKLRDLVRSLQEREKTLEIQLLEFYGLQEQDAAVRELENQLKINNVESKLYSLKIDSLQSENQRLQAQLSESSKLTSELETTKSKCKLLKKKLRLDAEQAKEKITSLQKIVNSLQCKEITEGGVDAEVEKKLKRLEDLENEARELRAANSRLQQENSHLIRRLELTRLPPVPKSHHSMEVKASEEAVGLKQENEKLSKEVEQLQAGRFADVEELVYLKWINACLRHELKNKDSAGTHTSARDLSNTLSPKSEETAKQLIMEYANVGADERSLSSIEFGSEYASSRASSSGEPDDASVDMHANPKKKEKKRFFAKLRKLVLGKDKNKNNFPTLERRVSISSCSFDDFTGRESHDSYSSFMTEGAVSANQQHEDRSTVRHSFGSHKYSHPSTEAGDGRNQHHGVKKNSTFGSERFSEHGSQFDSGEVTIPEDSEIHKFAEALVTSRTGSMSSRRTASFS >cds.KYUSt_chr5.9955 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63579737:63580834:1 gene:KYUSg_chr5.9955 transcript:KYUSt_chr5.9955 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGEETVDAHDGVHYTWRLVSRDPAAVFGCSANGRGTAAHGRGGLQVCLRSFELSFHKKHKEKALASYLPFVLDTAKAIRDRQRNLKMHMVEYDAWTAVDLRHPSTFDTLAMDHTLKKSIMDDLERFVDRKEYYSRTGRAWKRGYLLYGPPGTGKSSLVAAMANYLMFDIYDLELTEVKSNSDLRRLLVGMSNRSILVVEDIDCSIDLHQRPGDQGPDRRAPRPGFTGNDSEDKVTLSGLLNFVDGLWSTSGDERIIVFTTNFRERLDPALLRPGRMDMHIHMSYCTPESFRILASNYHSVKDHAMFPEIEQLMEEVQITPAEVAEVLMRNEDVDAALLDLVKFVKAKKGAAGETNVTNHDGRC >cds.KYUSt_contig_1358.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:37604:38726:-1 gene:KYUSg_contig_1358.9 transcript:KYUSt_contig_1358.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLYPINYEPERVGDQVFLNKEHAKVRLDDEPEPTDVPLPPVSKAVLQGTSFNHERSNIKVTRCKFTFICLIYSVSYAVAYSLIVSLFPAAKEVASDGTADQALFPHLYNKMCGVLEDSGARALNSFCGDKAASSSLVNSLHQLEKVCEGVDRILRVQENGTTSKEEVVDASVEEATPSEDAVTEAVNGDLPSTVKPEDKMDVEG >cds.KYUSt_chr2.2682 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16027109:16027540:-1 gene:KYUSg_chr2.2682 transcript:KYUSt_chr2.2682 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKNGRSPEISRETGMKSARETGRVGKEVYRTGTISEIGESIVARAVVVVAAAGVEAVRVSATASSPHLGRGHRARDLSDKTGNSAAESEHGQETVLCSVGFLSPLNLWPVEKLVTVHLSLKPLYYVFDRQLVLVRPLASG >cds.KYUSt_chr5.30464 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193283227:193286512:1 gene:KYUSg_chr5.30464 transcript:KYUSt_chr5.30464 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKSQPPDLQPEPTEQAEATPGAAAVAADAPVYDKYVDEQEEAEVEADRQVIDAAIAAPVKEEEEADRQNRAAAVAADEEMAHRLYMQELMEMEEWQLRDFSRPSLQAALNMPLGDFPSSSSSRAGVRANTTARGRFWAGTPPYAALSPEAPVGQHSLYVRTGGAVESVQEAGSGVVSFGDEWIPEVVEAMAGDEIREMLATMASESNGGLASSLAGIPGGLEALSDDEIREMLATALRESNRSIASSLARHEAGSEDQDVLLAANRGAGTGATSGLKRPGPRDFATTPARQTVLSEDAGGSSSTQTVPGDGKEASAEAGETPPPASVVRSARRCATPRLPRPTAPANDGPVCTKRRALLADADSAFIFAGMEEGHNNRWYESMVAAAADDDDEQQVEEMQGLNESLEQCIPRFEPCNPAVATVGQGAEFSLPNFCQRWGVTPSEVEPDEPGPSTRAPKVPPLADDEVPAFDCGICMDTLPVFDLFPGITCKHKFCAPCMTTYVEGRIRSSELPIPCPDPTCRKGNDDDGAGGRRSELHPEKVKKAIDYGAFVDWGARLTESAIAPGRRAYCPNRRCGIPLETSGDAVPAKSLCLACGYALCATCGMEWSSDDGAGHGEHDCARGPDAMLVRQLARESRWKRCPSCKMYVERIDGCNQMTCRCRFRFCYRCGRPSYMGQVGAAGLEPCRCNNAGLAFAMAHLHQAHPNLVDQAMQLPPVDPLDPPPAPEVVLNQQAVWDEDELVEYNWD >cds.KYUSt_chr5.28292 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179161608:179164117:1 gene:KYUSg_chr5.28292 transcript:KYUSt_chr5.28292 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPAAEAEAEERRLCEEDELMFEDIAREVARLGLRDFPEVDAEEEEGRQEEEEEDAAAAGPANGLLPHPVILHRPTGQQLFLYGPPPPPLLPPRMLPPPFSPPALGMPGDHKLPDGWWFAVANPGRGHVPAPESSHGGGSAVPPRAAWPRAAPGDAAWPGPRAPPGAAAANTQLAEACVQYLCRNEEQVLDTLFQGRPEAADANARLIVTHAVPLLESSQGARLLGRVIDGCNDGLRHLIVARITRDAKRFFRICGARSDEVVGMIRSCRSERSLQLVRNAITPWMAPSIMHRLVTSDSNRLKVVQAFVQCVPEPYFAEFIFDAIAKNCLALVIHPHGLSLLQSCLEHVEWTAKDNILSTVARCSCDLAQHRFGTANKATDI >cds.KYUSt_chr1.16724 pep primary_assembly:MPB_Lper_Kyuss_1697:1:97110726:97112183:1 gene:KYUSg_chr1.16724 transcript:KYUSt_chr1.16724 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNTLTICRNLWKKKLMNLKAHWIKKRSDEPESSLDEKEEESDEQKEEEWISYPCQPSNESNSLSLTLFDCPPCLPKEVECYVPEDSLEIFSMSKTCENNYATVIYDNPYYFDKSYDNALFVPDVEMHGVRKEIEEQQVWRLACCHSLLAFAVPRCRAVAAAPHQELDLLLRPPLFLSSASFAPPSYNDFCRQLYSNRDSKMEDHGPMRMWLRISLTSQRLAKPLCRLPSMMLRGCRLS >cds.KYUSt_chr5.14273 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92689227:92690040:1 gene:KYUSg_chr5.14273 transcript:KYUSt_chr5.14273 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPSTAACASAPAAGILNYAAIHACLLRGDRRLSLPILTLLLLAHFRFLAAAAGAHFSPAVSRLAARLRLSPSMAAVTLLALGNGAPDAFASAAALRGAGGLPRAGLAAILSAGAFVSAFVVGAVALIAAPFAVPPASFARDVFFYLVAASALFCVYLSAEIFLWQAVGLVLFYVFFVGLVFYMDLGAAGKLVSSAELEMGRAAMDLPVSVEHGKQRAATIWTVLTKHCSNSRSFVSLWF >cds.KYUSt_chr4.22077 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138832577:138833674:-1 gene:KYUSg_chr4.22077 transcript:KYUSt_chr4.22077 gene_biotype:protein_coding transcript_biotype:protein_coding METRRRPRFVRRRQTGFRRYGRATGFRRHRRWTVFRRHNHGRGLRHRSGLGRRRRPFHWNRSRHGGRRHGNRRQAPRTGRCAQAVSAQGTQAAPAAPPSPPAPLQSAFHIPPMDWLLGGPAAPFLGEEDDFDAALVPPPPGIFLDNGMDAGVAFCPEHGYGPCPARRGIAFCPMQGYGASPPPLATVFDPPTPTPSAELEEYEFLPGLGPDAYMDLPTPTPEHFMPPGYNPVPELDSPPMDEETSAPVAAAPLAFDLNVEPEDEETRAPVAAAPFALDLKAEAEPKDEETGAQAPQPAGPATPPPEARRLLRRFAAAMASRQPSFRAGSWNPASLGFSNLAMPNTSLPDGSTDEERRGGSCSRRW >cds.KYUSt_chr4.6808 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40186371:40187883:-1 gene:KYUSg_chr4.6808 transcript:KYUSt_chr4.6808 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEEANRAAVASCKKLVARLSQSGVDPIRLAAVATQTDEAVSRFGKVVTILSNRVGHARARVGRRISPPVDASCLLDYQPIPSVPYRQPPNGVHVSSSPVPPAPPPQMHVPVMVAAPCAAGNGKIVAPAPKAPADRDRNMFLETPFLDSSSCTVPSFTAAAAQNINSSKAPAAATPLPCSAQFQFHHQQHPHHQQQAQQQHVQQQRFQFEQQKPASSEKPFHIEMPAAAAAAAARSGKEPEVITFSFDNSVCTSSAATSFFTNVSSQLISMSDNSVAGPSSRKAPHCTRKADDDGKCHCPKKKRPREKRVVRVPAVGDKVSDIPSDSYSWRKYGQKPIKGSPHPRGYYRCSSIKDCPARKHVERCRSDAGMLIVTYENDHNHAQPLDLSTLAAHSEA >cds.KYUSt_chr4.53765 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332624865:332627854:1 gene:KYUSg_chr4.53765 transcript:KYUSt_chr4.53765 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRPSSGYHGVRARPSGRHDAEIRSGDERIRLGTFDTAHEAARAYDAIAWRLGRSCRTMNFHDVWTREQAEMLAPPPPVITRQQQRRQRELEQRLLIAELDEALRLEWARQIPEDVAATEAFYAQKEEEKWRRRRKTKRAARSAAATLAGMKAGRKNLQRACQDGSAVTLAEGESIMQVVTLRGSNLIEVTDGEGVKSLALFPAKFQKSFWIKNGNFVVVDASGRDEALESGSKIGCVVSRVLFHEQVRALEKSGEWPAIFKSASNSWAPGAEGTKSQVEKEQNSDEDDDDLPPLEANTNRNRTFDVQSDTESDSDS >cds.KYUSt_chr2.7387 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46359892:46364982:-1 gene:KYUSg_chr2.7387 transcript:KYUSt_chr2.7387 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGMEAAEGAMRMGKYEMGRTLGEGHFGKVRLARHAESGRAFAIKILDRQRILAMKINEQIKTEIATLKLLKHPNVVRLYEVSASKTKIYMVLEYVNGGELFDKIALKGKLPEKEARKLFQQLMDAISYCHEKEVYHRDLKPENVLVDAKGNIKVSDFGLSAFSQHQRKDGLLHTTCGSPNYIAPEVLLNRGYDGSMSDIWSCGVILYVMLTGNLPFDDDNIVVLYQKILKGTVHIPKWLSPGAQDILRKILDPDPITRFGIDGIRGHDWFNQSYTPAVPFDDDDDNYIGDDNPQMPKHNGILDNPAINQINAFQLIGMSSCLDLSGFFEKEDVSERKIRFASNHSPTYLFEKIESNVTNMGFQVQRNNGKLRVVQERKGPTNPRGHGSLLISAEVFEINESLYVVELKRSSGDCSLYRQAEVEEQLVLEDLEDSWVEDEAGRRSGRSWKMLARWRFRFR >cds.KYUSt_chr1.12215 pep primary_assembly:MPB_Lper_Kyuss_1697:1:75520387:75521067:-1 gene:KYUSg_chr1.12215 transcript:KYUSt_chr1.12215 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFALLWMPGLWQVRALEHGISVNKIAFWSLTISALWRSAGWCLPVISGELPRRKMNEMADGSGFFNKRSFLVVGRYCAVEFLPAGRGGEGRRRSSEVFFASTRWWIWILLHQRAYHAEAMVASAFFGRNGGPSSCLDGAQLNLHFGGPSQSFPSESSSFRRQVGPGCNMYPPILI >cds.KYUSt_chr2.13896 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87942838:87943212:1 gene:KYUSg_chr2.13896 transcript:KYUSt_chr2.13896 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKTSIFLLGLLLSCVAMSGAVRTLQEEAVPSKDDEHKPELPLLPEVELPPFPEVLLPPKPELPKVELPSFPEVHLPPKPELPTFPEVHLPAKPEFPKVELPPKPEMPAIPEFHFPEPEAKP >cds.KYUSt_chr2.47368 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296353261:296355486:-1 gene:KYUSg_chr2.47368 transcript:KYUSt_chr2.47368 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRRKGDRVEHLAAEGELRRAHHDMMDGTCARDGKRGLGLSNFEVDYGSEEHATIVYKTLVVDKELQPDKVRREMTVSGGKLVVRFEAVEARFLRASFSAFVDLMVLVTKLVEEYGVSKEEHSWS >cds.KYUSt_chr4.25466 pep primary_assembly:MPB_Lper_Kyuss_1697:4:160005322:160009493:1 gene:KYUSg_chr4.25466 transcript:KYUSt_chr4.25466 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGVGMEAIGWIAAPIISELFKECSNYLKFDATKKLRQLGPKVILLERAIEIFEKIPNRARLEQLFVDLKIALYEAEDILDDVEYHCLEKKIQDGKFKCNGGASPRKRDWVMNKVRSASLSSPSENKVMVKYDGGVSQRKRDWLKNKVQSASMSSLLKNKETGMPKNNLKASLEKIEEIINSAYEFLERLDLPSLSDGNGIQPVPANSAVAATTSIPPKVVIGRDKDCDKIIAMFHENKGVVNTNNDLCYTVIGIHGIGGSGKSTLAQLVCDREKKNDHFELIMWVHVSQSFTVSAILEEIVEAATRSSCPQLNNLSTLHDKLAEVLYGKRFLLVLDDIWYNGEDARKREELQQILSPLKAGRAGSKILVTSRTKGALSVLGAAEPRCIPISDLDNDVFLDLLMHYALEGTNIDVYARRRLKDIGTDIAKKLNASPLLARTVGGRLGRRPNAEFWSTVKNGKLLDGTISAALFWSYHHLDQQARRCFAYCSIFPRRHRLYRDELVNLWVAEGFVRSTNEGEEMEDVARGYFDELASNSFFQPGGMDYSDKEYYVVHDLLHDLAEKVSGSDCFRIENGSRWIIDLWRGKDPRGEGWRGDIPQDVRHLFVQNYDQDLIIEKILLLKNLRTLIIYAVRGSVQVKEEVIDSIFKKLPKLRVLAIALSQERHALVKNQDELSVPESISQLRHLRYLAFRTSTRCRVILPSTVTKLYHIQLLDFGRCEKMEFVGGDLINLRHLFSCPKVNRSISNISRLTSLQTVPFFTVRKEHGYEISQLRDLNKLQGKLCINGLENVKSKAEALEANLASKEQLTMLMLDWESNLDREDATDCNPEVQAEVLEGLCPPVGLETLEICHYNGSWYPNWMVSKQNSGPWYLQELWFDGCSQLRSAPVLVAFVHLGSLRVWNCSWDALPGNMEHLASLKKLEIYKCLNILSLPTLPQSVEEFKLVECNGEFMESCQKVGHPNWKKIEHIPMKRWKFV >cds.KYUSt_chr7.32927 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205356549:205375244:-1 gene:KYUSg_chr7.32927 transcript:KYUSt_chr7.32927 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGPASAAAELLDGSLLLLLRRWTAWTGGGDLLTAWDAVRAGVVAPALAAASGACLAMSAMLLVEALFMAAASLAGRRPERRYRAEPLGAKDGEDEENGLLGYPMVLVQIPMFNEREVYKLSIGAACGLSWPSDRVIVQVLDDSTDPTVKDLVELECMLWAKKGKNVKYEVRNNRKGYKAGALKEGMLHAYVQQCDFLAVFDADFQPEADFLIRTIPYLARNPQIALVQARWEFVNPNECLMTRIQKMTLDYHFKVEQEAGSTTFAFFGFNGTAGVWRISAIKEAGGWDDRTTVEDMDLAVRAGLKGWKFVYVGDVKVKSELPSSLKAYRRQQHRWTCGAANLFRKTGKEILLTKEVSFWRKLYLLYSFFFVRKVVAHVVPFMLYCVVIPLSVLIPEVTIPAWGVVYIPTAITLLYAIRNPSSIHFIPFWILYENVMSFHRTKATFIGLLEFGSVNEWVVTEKLGNSSKTEPVPQILEKPRCRFWDRWTMSEVLFSVFLFACATYNLGTKVNIIVGSHVWAEDADIAWVDGEVVKINGEEAEIQATNGKKIISNLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMIHEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEVEKYKLGNPKNFHYLNKSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFAKGKEVDSSVLKDDKSKFHLDTAAELLMCDPGALTDALCKRVMVTPEEVIKRSLDPYSATISRDGLAKTIYSRLFDWLVDKINSSIGQDANSKCLIGVLDIYGFESFKSNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQKNKRFVKPKLSRTDFAISHYAGEVMYQSDQFLDKNKDYVVAEHQELLSASRCSFISGLFPPLPEETSKTSKFASIGARFKLQLTSLMETLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPDALEGNCEEKVACKRILEKKGLLGFQIGKTKVFLRAGQMAELDARRTEVLSVAAKIIQGKMRTHIMRKKFVSLRKASVCFQAVWRGTLACKLYDRMRKQSASVKIQKNQRRHQARRSYKLLNASVLVVQTALRAMAARNEFRYKKRSKAAVTIQARYRCHRAQSYHKKLKGAAIVAQCRWRGRIARKELKKLKMEARETGALKQAKDKLEKTVEELTWRVQLEKRMRTDLEEAKTQELSKLQSSIDALQAKLDETNAVLAKEREAAKKAIEEAPPVVKETEVLVQDTEKVASLEAEVDELKTSLQSEKQRADQLEKKHSEEAQANEEKQKKLEETEVKIRQFQDYLRRLEEKLSNVESENKVLRQQAVSMAPSKILSGRSKSNLQRNTESGHVSVSDSKITPDSTIVASPKKEYDIDDKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLALINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNILKVNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMQQIDISDIEPPPLIRENSGFVFLLPPPE >cds.KYUSt_chr6.32814 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206794854:206795774:-1 gene:KYUSg_chr6.32814 transcript:KYUSt_chr6.32814 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQGTTRRDRIDGSLQRFLRPNRHDIFHDVMLLENQLPWTVVETVMSFVADASIPMKFVYRLRYCMQPDDHHEPPEEEESFHWDDKYRPLHLLGLLRYYIVGRRSDIHEENPEPKPKNMSFSLSALDLAEIGIKLKANKTMQLLDMHLNQEGAVFTELSLAPLSLDRDHASYLVNMAALELCTIESFGAATDEDSAICSYVLLLANLVYREEEVQELRERGLLQRGGGLNNEEALRFFTSFQRLRFGRCYYRIMEHIEAYRENNWTKAKLHAFLHNHKKTIAAVVTSIGAVGGIIGTLLSIKKSV >cds.KYUSt_chr7.21758 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134898253:134905994:-1 gene:KYUSg_chr7.21758 transcript:KYUSt_chr7.21758 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVQGGEWDEVERYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDSHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPANGARAPPPANGPMVGPIPKSAGFPPPMGAHAPFQPVVSPSPNAIAGWMTNPSPSLPHPGVAQVPPGLVQPPNTAAFLKHPRTPTSAPGIDYQSADSEHLMKRMRVGQPDEVSFSGASHPPNVYSQEDLPKQVVRTLNQGSNVMSLDFHPVQQTILLVGTNVGDIGIWEVGSRERIAHKTFKVWDIGACTLPLQAALMKDAAICVNRCLWSPDGNILGVAFSKHIVQTYTFVPNGELRPQAEIDAHIGGVNDIAFSHPNKSLSIITCGDDKLIKVWDAQTGQKQYTFEGHEASVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMSYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYSGFRKRSLGVVQFDTTRNHFLAAGDEFVVKFWDMDNTSILTTTDCEGGLPASPRLRFNREGSLLAVTANDNGIKILANTDGQRLLRMLESRVFEGSRGPPQQINTKPPMINTLGSASNVSSPIAVNSERPDRMLSSVSMSGLSSMDVSRTPDVKPRITDESEKMKTWKLSDIVDSGHLRARRCPDTAASATKVVRLLYTNNGIALLSLGSNAVHKLWKWQRSERNPNGKSTASVSPQLWQPANGILMTNDTSDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKSKLKGHQKKITGLAFSQSMNVLVSSGADAQLCVWSIDGWEKKKSKYIQPPANRSGALVGDTRVQFHNDQTHLLVVHESQLAIYDGNLECSRSWYPRDALPAPVSSAIYSCDGLLIYAGFCDGAIGVFEAESLRLRCRIALSAYVPPSISSGGSVYPMVVAAHPLEPNQIAVGMSDGAVHVVEPLDADPKWGVAPPQDNGNHPAMSSAPAASNNQASDQPAR >cds.KYUSt_chr6.10096 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62225825:62228321:-1 gene:KYUSg_chr6.10096 transcript:KYUSt_chr6.10096 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKAAAAAAAAEPAAVEDLFSALHRHIEAGEFPQAVKVADQVLAAAPGDEDAVRCKVVAHIKSDATDKALAAIRAAERLPIDLSFYKAYCYYRQNKLQEALEILNGQEETAAVLQLESQIYYRLARMTDCLNSYEKLQKFSFSRLGCLSGGTGHNNKY >cds.KYUSt_chr2.10849 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68912625:68913332:1 gene:KYUSg_chr2.10849 transcript:KYUSt_chr2.10849 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGPCHTDVFICSLEIKCFFSALTEWTTAEMMGVSSEGGISPPRLRQDLHADIFLISIEFICSFVLDGELWNIDGHQHLTVSANELDLLNFGSASLQSMSSPLESPVSLTNQMRASSETSRCWRHEPPPCVAPAGLERAGTASSAPTMASGGRDEFGSAKKEEPGRQTWRRGWCSRGFGRRPTDFSIAEGLKGFHTASKRFGFGSDTGERWQSNWGRWEMCRVVRGGSISGVR >cds.KYUSt_chr6.21533 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135897275:135904389:-1 gene:KYUSg_chr6.21533 transcript:KYUSt_chr6.21533 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSPLGGSTPSGRVLGPALDRVIKNAAWRKHSALVAAAKSALDLLSSSSYPPPDPTSPHPSALLGLPLAAAAASLHALILALDSASPKVVDPALDCVAKLLYHRLLLGDIGAAADDAPASRLLAAVLSCGALNDDAMELATLRVLVAAARCPSIAIRGDGLAQMLKTCYNIYLSSGSSANQLCAKLALAQVLVIVFARVEADSMDVRVQTVLISDMMDMSDRSLNDSSIVLVAQGFINEAMEGSDVPEPGTPVVAADEADETEEGGMSKIREDGLALFKNLCKLSMKLSTPDNPEDQVLLRGKVLSLELLKMVVDNAGPFWRTNDKYLGAIKQYLCLSLLKNSALSAMSIFQLLCAIFVGLLSRFRSGLKEELGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKESQVLIDIFVNYDCDVDAPNIFERTVNGLLKTALGVPPGATTTLTPAQDQTFRIESVKCLATILRSMGSWMDQQLRIGDFSPKVSEVSLNSIDSPNILIGEDGNGIDYELQSDSYSPDTSDASSLEQRRAYKIELQKGISLFNRKPSKGIDFLTKSKKIGQSPEDVAYFLRNTAGLNATMIGDYLGERDEFPLKVMHAYVDALNFEGMDFGEAIRYYLRGFRLPGEAQKIDRVMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNMMVKDKMSKSDFIRNNRGIDDGKDLPESYLSTLYDQIVKNEIKMSADSSVPQNKQPSSVMKLLGLDNIINLVNWKQAEDKALGANDLLIKNIHEKFKAKSGKSESIFYVITETTILRFMMEVCWAPMMAAFSMTLDQCDDKAATSQCLQGFRSAVHVTSVMCMQTQRDAFVTSVAKFTYLHCVADMKQKNVDAVKAIISIAIEDGDYLQEAWEHVLTCLSRFEHLHLLGEGSPTDASFLTVPLVESEEKTQKSSTTTASKRTNALQNPAVMAAVRGGSYDSITAKNNASPLVTPDQINNFISNINLLDQIGIFELNHIFAHSQRLNSNAIVAFVEALCKVSITELQSPTDPRIFCLTKIVEIAHYNMNRIRLVWSRIWKVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLQPFAVVMQKSNASEVRELVVRCVSQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHDKDTDQQSNNLDSSDGNAVAHKDDHVYFWVPLLAGLARLTADTRPTIRKGAVEVLFDILKDHGQLFSQSFWTNIFESVVYPLFNGEISTPNSQSDSTEDDSWNFETKTVAVKCLVDLYVTFFDVMRPELIRVTSVVTSFIKSPSRQSASIGMSVFQRLTEGLASKLSKDEWKEILLCFKESAAQTFVVFEKIVKMMKDIEIPEKNESYSEAEQYSEHDIYNEDEEEANMETSSYAIVKMKNHMSLQLLIVQGIVKLYETHRRSFCAEHMGIMLEMLSAITSHASEVSSESALLTKFHKSCSLLEVPEPAVIHFENESYQSYLKILQALLHDNPSLSRDMNIESQIMLVSEKILRTYLNCAGHEPSKDASGRDLVVHWTLPLGAAKKEELSARTSVVLHVMRLLGGLERECFRRNLPLLFPLLANLIRCEHSSGEVQVALYGIFQSSIGPIISA >cds.KYUSt_chr1.2466 pep primary_assembly:MPB_Lper_Kyuss_1697:1:14598679:14599915:1 gene:KYUSg_chr1.2466 transcript:KYUSt_chr1.2466 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFILIEYDMRIKTGKHEKDDLQLIDGASLIGDGGIWIQPFTFHIPGDWGAVDITLSRLGRAVEETVEVIISEVQSNFSLSLGCLTSGLDVEIRLFDGTITDSGGIKMSVITVVKDSLIDLKFKLGAQPSCSDQYCCSFRAKTHGHDTHGIKTDFALISMKVTWSTLPCGFSGRSSDDTSAFAARVELVVGIMSYPAMP >cds.KYUSt_chr7.18655 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115590416:115593116:-1 gene:KYUSg_chr7.18655 transcript:KYUSt_chr7.18655 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKLQLKGDTNETRVQRQEDLFEEKQQTAVPPPQNDSSALQDDLVENEVVEDDVVPDNQDNMTENVPAKKKNQSSLFNRSSPMKLVRCVLDFGDRGRIPVTVQSVAKVLGVPIGSSPVPYYADPKSTTAILEMLGIHDGVQPTVTYLEKELGPEQPADDLYLRKFVIYMISSIFAPTTGIKVSPKCYPSVMNASSVSNLNWAKFIIEILIQTANAKGKKNWFKACMSYLMILYVDSLETNALDVPEDGTRCCVWSNSMISLVAGLDTNNDGSFGRLPLKPCFRTNLSLFSTEPTDVDMFIKRHLPDNHTDEDLAKYRPAVINMCTIFEDGLAKFINSLGKADVKGITNNEGKEEVRVLRNIQMDKPKRRSRTKVSEHAAAAQTTENSCHERGDLQRDDHVPQNQEASPNAIAEKTKKRKYVAGLGGQRAAKKPVPVSNVEPLQRSDQGDDHGDEGVRETMELPHVASNVSVTVDATANALNQLQFYGTPSQTSNETIEKDQPAQHTLSMVHVQSKDELQKGCTSSTDTGRTSSRKKRKSVTFDIEEKDIQKQHPPELKNTEATTSSPSGRRPVTRSMSPKKTPVSNQGDPRATDVASTRIAATTPRRLTRFSTAEARLEHSNANLPTKLPGTRDVLNRGESGDAENSINRNLEQDFCSSGGVTETDVQKKQRELFEFHPSFDLGFDTQTSQEAEDIIQS >cds.KYUSt_chr2.53180 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331769038:331769703:1 gene:KYUSg_chr2.53180 transcript:KYUSt_chr2.53180 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLAQSSPKIPKHGHGLGVGKTCLRLQFTDRTFQAVYEPTDDIDFGTRTVTVDDKAIRLEIWDTVCAIK >cds.KYUSt_chr1.38985 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238314586:238320376:-1 gene:KYUSg_chr1.38985 transcript:KYUSt_chr1.38985 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAAKNGFGRRSLHQWEGRLLHMAGAIARSLKDLVPADNSLPIDAALEWSRRDWERQEAEQQWRMLDLAAARQLAARAAAPSAGRNAAPSREVIKLEESSDDDIYRPSPPRAGDAGQGTSRWYEAPPPQDDAGSSDDDDGGDYTSFYRHFGMFTKSNNFTADKINPNRHIGGVMMLGSKRKMAAVSGTGVSNKRKMAAGSAVSLPDVMVEEVLLRLPVKSIVRFRAVCRSWSALFSSEEFCCLHRAMMAKASPTATPKLLYVSPTAGFNSTAVYSCSPSDPRDNLLFTLDHARGDFVEVVSPAPCHGLTLLYDAVPPAYYICNAATREVTRLPPYLDMSRRSSTGLGFDARTKKYKVVRLINGRPKEEMIKCEVYTAGGKYGDSWRPATRGVPFGIRRFVCAAVANAATHKLPPVFANGSLHWLINPKSFIRWPRAAVISFSVTEETFKYARSPPFWASEMHQPPLARVSVEHLVEMDNQLCMVRDLRGNTDASTLEIWKLLDYSSGDWSLIHQIDLSGQVARDLREPEIVRVIGSTSNCRSVKRIIIATSKRMIPTMFEKKVHSYDPRSKAIETIVSVTEAHTSRIINTPSSRFVLFQDSLAPVHKTDRETAFSSTLAKATKEILLRLPAKSIIRTKLVCKQWLSLTESESFMQSYLEHKNMDKRPKVMLVGKGTGQSGFSFAPLNRCLPEDHRHIALLDTKMVCSKPCHGLNLISTEEKDYLYNPCTGFHKVYYNQAQAQAEQHAYAVGNKNVGLGFDLLTREHFLVEISYKLKDFESRQYNLTCELWRCKSGCYAQNYLVPPLPVNDMPPAYLEGMLYWMSEPRLGQRYERAIISFDIATNAFGVIACPPCIAMWNRRCHWHAFVVELEGVLCAILADPIGNNLDIWKLKNGEWDRAYIVHLEAWPDYSLETNVVVPLAVDPNDGRILLNTGKKLGAHAQQQSRRNWSSPFLSSAASPSLEQLPLSSSLLLEAHNSFPVRTVPYSMLKLA >cds.KYUSt_chr2.50911 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318466484:318470838:1 gene:KYUSg_chr2.50911 transcript:KYUSt_chr2.50911 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTSLGFACILGSRLCTWSKKQEAAEWVQYRVIKLKKTIPVANPRDKPYVVGFAEGVGVIFVSSGVGLFTVKLNSGQVKKVDEPGEYFSVLPYMSFYTPDQEGLGMEVIRMVTHWIHEWSYLLPEAQRTHMDSGCIRLEMVARDIYNLGGWQLTRRLQDA >cds.KYUSt_chr6.27789 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176263714:176267325:1 gene:KYUSg_chr6.27789 transcript:KYUSt_chr6.27789 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSHTLKLKLISFTLLLAWIPQAMPVPSLEEQAGALLAWKATLESHADQLQSWENNIWPCSWHGIRCSKHEASHQDVIVEISLRGLRLRGDLGTLNFTALMTLTSIQLANNQIRGSFPPALASSMPNLRHLMLQENELSGEIPNQIGQLKSLVGLVLSANQLSGPIPNELGYLNKLVRLDLSSNNLTGLTPINLGNASKLVTLDFSSNNLTGPIPRNFGNLTKLSILYLDDNQFSGYLPRELGYLVNLQELALSENKLMGSIPETFGSLIRLTGLNMWNNHLSGPIPEELGYLMNLETLDLSGNKLMGPIPDIIGNWTKLIRVNLDYNQFYGSIPRKISNLVNLEDLELSHNKLTGSIPNIIGNMTKLASLYFNSNQLSGYLPREIGYLVNLQELVLGGNKLMGSIPNIFGNLSMLTSLYLGTNQFSGHLPPEIGNLMDLEELQFDNNNLFGPLPPELCAGGRLKILNAFDNNLNGPLPSSLVHCRSLVRVRLERNQIEGDISAFGVQPNLVYIDMSSNNLFGQLSNHWRECRNLTKLDISNNNIMGKIPASLGQLSQLKVLDLSSNKLKGELPSELGNLENLFQLSLADNLLHGSIPQEIGALSNLELLDLSSNNLSSSIQGSFDNCVKLRSLNLSHNYFKGNIPAKLSMLVSLHELLDLSDNSFVGAIPSQLSGLIMLRNLNLSHNELNGPIPSTFQNMESLASIDVSYNGLEGPVPKSKLFQGAPIQWFINNKMLCGVVKGLPPCSSATQGRGKRKGYTTILLATIPALISLILVALILMFQYGRKKSKSINIDRVTQANVFSVWSFDGANVFKQIVEATNDFSETYYIGTGGYGSVYKATLATYEIFAVKKIHMIEDECCLNELVFNREIDALVQIRHRNIVKLFGYCCSSKGRFLIYEYMERGNLAEILRANERAIELNWKKRINIVLDVVHALTYMHHDCSSPIVHRDITSKNILLDDEFRACISDFGTAKILNANSLNLTRLAGTKGYLAPELAYTENVTEKCDVYSFGVLVLELFMGYHPGDLLSSIYLTTNKNDVCLKDLLDSRLELQDAETAREIYIVLSVAVQCLKPNPSGRPTARHVSDELSAGIKTCEDHHVDYLHAVLTIPAQ >cds.KYUSt_chr3.11346 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67591890:67594067:1 gene:KYUSg_chr3.11346 transcript:KYUSt_chr3.11346 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRALRRLPATSSSRPLPSSLPGPSRKLSAAAAAAFSLPYARGAHSAPNLVPSILRRLCSAARGERSAADLASVRRAHASPLHAPSLLPVRHHGTTTTSTQAPASTKLNSPEQCSEEEAVQFALEKLRNIDKSLAQLKLYMWCKFVLFGMFLHVFYRLFSLLKEDVVALGKKVDKLESRRILERKIVQRSDSC >cds.KYUSt_chr3.23174 pep primary_assembly:MPB_Lper_Kyuss_1697:3:143403365:143404642:1 gene:KYUSg_chr3.23174 transcript:KYUSt_chr3.23174 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLHVCCCFGPLPVRCGCCHGRWPAGATCSSSLVSDPAVLVEELGSLSLSPVASGGPAEVPRADEALKAPSLLQVASLDSDENDDDEELVPQSPLDGSVHVEEVVAEPCGGLSASTDALGNDNDWVLVGCGVHPCRAASSLLRKEGLERSLAFKRWAGGRCFQCLERDHQVSSCRAPFRCIRCRRPGHRERFCRARFPAARSCSPDGRLRSPDERAPCSRRRSLPAQPCRPSESRSWVDVVCHSSSPATSLPRPSPRCCEEFSVNAGLDSRFQCKLSLLRMELTQLVATRVKEATRPLREEVASLKLLLAHVGDSLEPTEACSSGGHELATVQVSLALGSVEEKSSVVEEEYLYSCFSPRGSPGQSLQPVVSAASESEGIDETLAPVLQITPERHESLGDSPAVLPKALCSFETLEVAMTPPPP >cds.KYUSt_chr6.27305 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173358955:173360199:1 gene:KYUSg_chr6.27305 transcript:KYUSt_chr6.27305 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKQGETSYGSGMGHPRDDGGQCQTSSKVAKMVPQPQQMQVNGLNPADSRMRDAKSVKIDLLEHPDGGSKVDGQSGRDDGLYNNLHDGPEMAMNAVAVGMPAPWFYQGSRSCSMPAGVENLPAAGGSMAQQEQERIARIQHFNQLQLKVMMMKGGHGGAGHVPSLSYPMMPRNEPSQVKQTPPRLMVAVPEVQPPPEDDSDDDFWDDEWDSDDFDDEDLSSARGGGNKIPLPISKNNDPKSGGNEIPPPISKNEKQSTAKESGGNRIPPPISKNSENEIHGQTTKIATRGDHSTGGNHPGIVTNHDSIGGRWRMPQQQHMTGNMPPSQMGGNGWAGDMLRRPLNVGNGGYLPPVPQVSGAAENYMAYMQLQHMAYMQQQQLAFYYQSSFLLVCAIIIIVLVIFQVPVNRCWE >cds.KYUSt_chr2.14825 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93522844:93529788:1 gene:KYUSg_chr2.14825 transcript:KYUSt_chr2.14825 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHHKSPDYRAPPASGSTPPYISDGYRCLDRDSHHKILRSQAIGYNMPRARVRDLIRRANLAVALRVLRPGPRASRLQSLRRPKPRQSDAIPSHRATMSAAAATATATAIAALRPTEPFPLPSDLSLARRLKLLLSFSRADLSVSPVDEWQLKSALLAFLRNPPLSLSLLQDSDLSVSRLPDLQKRRREDPVASGVLYVRDLSFLNRKGGEEMTREQEEEKYLQWRSSLVEKLAGIELNLKGLVYQMSVEIPASDDFEAMKKSWEDFYASELYSSRNPVRKIAKRPDTILVRGVPSRWFAETRISSKASTLVTHTIFSALGKIRTLNISNDDELGASKDETNKGLISGLNCKVWVQFESYDDFHDAMKALCGRSLEKGRRKRRMRRPDAATNCDCEVMNMTPYFDDDSFDNMTSRSRGTNSVGSSALRMKADSFVLFLKEGSRLKVDYEVTWDSEGFFRNAQYEPAQTKLEERDAPVHGRKKHYTSRIESDHRKRFRD >cds.KYUSt_contig_1948.16 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:57943:61702:-1 gene:KYUSg_contig_1948.16 transcript:KYUSt_contig_1948.16 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNHQDGFGAQLAAAGHASSGAQPLPWWVGHQLLYGEAMGQGRPASPEDACRDGQFQVVPRAQALLDAAPVLPPQQQLMSERGIPEVMKFSMAHGKGVKGSEHTATIALQSPFVEYSDRFELGLGQTMVSSNYPYADHNYGVLAPFGMRSTTGSMLIPLNMPADAPIYVNAKQYEGILRRRRARAKAEKQNRLIKSRKPYLHESRHLHAMRRARGSGGRFLNTKKEINGKEADVGNKAMDSNPLMRLAASPSSVIQHSEHGNPSSVSSLSGSEVTSLYDHEDVGHYHGFEHLRTHFFTPLPSIMDGEHGAGNPFKWAAASDGCCNLLKA >cds.KYUSt_chr1.40853 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250624877:250625779:-1 gene:KYUSg_chr1.40853 transcript:KYUSt_chr1.40853 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLRTLSPASFTGEVRSAMSSLLLSPGGASALDTVYSHLPPPVTIPPLGSSVYYRQCELLRSFAATQPHHHHSSAVASSSSSLPFLGAGHDGEALRTTKTYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAYKLRGEYARLNFPGVMDSPGDDACPTGLRQLRDAVDAKIQAIRVKMARKRARARRLREDSKQSGQRADTESAQRTPTPARPVLSVSAADDSAQLPPTAARLVLSESAATSETTTTTTTSSYGSPEGMFSVADVDCSLEKMPSFDPELIWEMLNF >cds.KYUSt_chr3.1897 pep primary_assembly:MPB_Lper_Kyuss_1697:3:10910467:10911601:1 gene:KYUSg_chr3.1897 transcript:KYUSt_chr3.1897 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIQRGTGPGVQLPTVPSLLSASNTRLLAVDRRTVTNVVAHYDDLENDVCFIEAGLVPFPRYDANTGSPASGFTLDWDGGGADDALAFKRSCYLVGGKRGRGSDNERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVTTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNIPDDDNPSPSPPSVLTSHPSAVPDQFGGALVGQQAASSGPCW >cds.KYUSt_chr2.44437 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276451786:276455455:1 gene:KYUSg_chr2.44437 transcript:KYUSt_chr2.44437 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLLVVGLGCVLLAAASEPAAASDDVAALLAFKRDIYEDPLAMLSDWNSSDTVPCQWSGVSCSPLDGRVVTLILDHNTLMGSIPRAIGKLQNLTVLNLSTNQLMGPIPSEIGDMRKISTMYCSKSYDQLLFLSTFNFEVHNPSVGLCPRDLHANRLNGDIPPELGKLTNLVELRLSNNSLTGTIPGSNDSVMVTTSREDQIGFCQLAQLTDLDLSYNFLVGDIPTCLKQMKGSSRVGNCFQDNDIMNRPVEQCENINGTDTDHITGGSGEKNMTQPLWLLIVEVVTGVSLLSILTLCAIAGLRRCKDRSSGDSIPWTRAISWKESTVISIDDDLLGNVPKMSRQELAEACEDFSNIIGSSHETVVYKGTMKDGREIAVVSLSVPVHYWTNYVELYFQREASFYSPS >cds.KYUSt_chr2.15457 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97379203:97380488:1 gene:KYUSg_chr2.15457 transcript:KYUSt_chr2.15457 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQPLVASRAHLSPLLPAPAAAAVAATSFHRRRFSAIVAAAAAPTVTEFDFKAYMGERAVAVNQALDAAIPAGEPPAALHDAMRYALLAGGKRVRPALCLAACGVAGGREAWAMPPAAALEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLAGDALLSLAFKHMADVSSYPADVDADRHAARVVRAVGELARCIGSEGLVAGQVVDLEMTGSTEAVPLERLEYIHLHKTAALLEASVVIGAIIGGGSDDQIERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEKLLSDAKEQLADFDKEKAAPLLYLANYIAYRQN >cds.KYUSt_chr3.39287 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247442713:247443873:-1 gene:KYUSg_chr3.39287 transcript:KYUSt_chr3.39287 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTPDHTPLLPPEGSAEPSLSLPPSPRPGPVVHDAKNTQELGVSVLPVARDSKKEPEATEKTERVSPAGKKEERKSWFPRWTLASLVGERSSAEAGAGDGSSSGGKGLSGAGPGSSSGAMAARNKEHVLIDIDTPSSSNSPAWPVPGGVRAGENTRVLLERKTRDRIDLLIDRSQVFQDFCSGHSLLADPSANLTAALREFAVPETAGAAACLQLAAAALYKTAIGGKIEEQPGAIAKNLASEAAILVAASGGLGPNLSSDLDASASSSSTCSRSPEVLFYIAMSGSLGLLPYLNTIIPKDLQGLVAFLFGTVFSAATVGALLLARGKDNADLEVATIVGAVSFTAFCLLVIVFVSFALAGGYILLIFVLAAVVVSVHIWAWVRR >cds.KYUSt_chr4.34539 pep primary_assembly:MPB_Lper_Kyuss_1697:4:212138521:212144258:-1 gene:KYUSg_chr4.34539 transcript:KYUSt_chr4.34539 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRHYWAGPRPSGGGAEGTEVAGGVVVMFAWLSSQERHVRAYVELYAALGWACLVCHSDFLTLYVTPLPSVLYCWFMPDKAAVLADRVLTELVKELKVRPVPVVFASFSGGPKGCTYKVFQLIERRCEGQLTLDDYQLVRDCVCGQMYDSSPVDFVSDLGTRFLLHPSVLKMAEPPRVLAWMTKGIASGLDTLFIGKFEAQRKEYWETLYSSVHVGPILILCSEDDELAPCSVVQNFGRRLLELGGDVNFVKWHSSAHVGHYKHHAEEYRAAVTELLMKASALYMSRRRVNDYEIGRSEHSDTPYSGSNLHKTATSSSDRIRSVPADPADHFFLPSSMEYQESGSEAPKPELFNMPSVESMNPDGVLGKMLYDVCVPKDVEGWDFKPASIDGRHMHFTAHRNGSLNPDIIDDRRGSNAEDALGSSGAHAILGGVLCGEGSREEEDESEVASAASTSASSTLAGPCTIDISSVSSNELSSNFWNDLTNDEDDDTF >cds.KYUSt_chr6.12875 pep primary_assembly:MPB_Lper_Kyuss_1697:6:80295887:80297488:1 gene:KYUSg_chr6.12875 transcript:KYUSt_chr6.12875 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTAGGTPPSGAVVDVPVGGPPAAGVVSAMIASTIPSKRKRIPKQFFEAAAAAADSAGEAPPAAKKTGRVKTKAAGPRGVAPAKVRTKAISRIGLAPPPASKVTTPPPSVPAVALPAPPAPPPTTIDVDKVFDVESTTSYMDMLNDSAVNLDAGIGAFDGECNVEEIDEEEEDEGDEEEVVEVDPAAAGSSSTPKPRTANYSEIEDVILVCAWSKVGMDACTGVDQGGKRYWQRIEDQYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYVSFPCVDDVKYHELSILCVHDVETSPQTIVVQPKYAQGRYKDMAGSKNKEFQFEHCFSILQHLPKWKLRDNEPKCKKEALIAMDDEAEDMRGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARKELDMRMIKAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWKETKADIIARKMAARQARDQGESPASGGSGGDGSLDG >cds.KYUSt_chr6.7371 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44790820:44791413:-1 gene:KYUSg_chr6.7371 transcript:KYUSt_chr6.7371 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLFPSRATISRRLLPTCPLRRAGATSFRSSSSSGNVEATTDTTATTASVAKNRQEWRAGGTTFGLGLDLSEEMRREMLWRMLVPPAAAVAAEAVFLAVLNSGAAVVDAPAWAGKAGSAVLFVAGLLGSQYGFFSSRWGVGEEAGSVVGWKLAVRHWSALSVVRGSSVEEDDDEEEEEDDDEWEYYDDDEEEEDD >cds.KYUSt_chr7.29406 pep primary_assembly:MPB_Lper_Kyuss_1697:7:183007896:183014876:-1 gene:KYUSg_chr7.29406 transcript:KYUSt_chr7.29406 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGNRLAAGFDSTTPLPLAASVGQEKQGGLRSRNRVRRLESTLFMEISDEEELTACSDRRSSSPQATHWMCPDVLLQTIVARDRGPPIEEKSGTNFTPQAIHPALGPKFWEEDELYGKGRATTAESSSGNASIPEQPPQRSPPPSSSRTTASAAQLSLLWLVLITVSSGLSSIPSTPQFLLHIGEGGGWEMAQENKIDAVVLSVVERGAPIVLVHPRFELMAVFPWEKMDKSSPGRTASRFHSGGVTSSWHMVIREGMEVSGFPAVPFLLERIDEEMSGTMATKLYFVSRFLRNVRIQCVEGKMDILEYAKMVARFVVDAIAKINFIQKYGYLLSTKKV >cds.KYUSt_chr6.6257 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37812408:37828164:1 gene:KYUSg_chr6.6257 transcript:KYUSt_chr6.6257 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAVALMEKPSEGTSPFRRRAGTETPVPQILASRWRRLWKFLEKEKLKSNGSNFTDWFRHVRIFLAGGNLQYVLDAPLGDPPAETETDEVKNVYVTQKIRYSQAQCAILCSLEADLLKRFEHHDPHELVNELKTIFETHTAVECYEASKHFFSCMMEEGSSVSEHMLVMTEHAKKLSDLEIVITNKLGINRILQSLPPSYKNFVMNYNMQNMNKELPELFSMLKAAEIEIKKEHQVLMVNKTTSFKKQGKSKGNFKKGGKKAAAPPVKPKAGPKPDAECYYCKEKGHWKRNCPKYLADLKSGLVKKKKETAAFTLNRAPSKSVETAPYELWFNKKPKLSFLKVWGCEAYVKKLQPDKLEPKAEKCVFIGYPKETIGYTFYHRSEGKIFVAKNGTFLEKEFLTKEVTGRKVELDEITESSLVDQSSAVPEVVPVPPAPVKEEANDNDHETSSEIATEPRRSTRERVTPDWYDPCLNVMIVDNNDEDPATYEEAMMSPDSNKWQEAMKFEMGSMYDNKVWTLVDLPDSRKAVENKWIFKRKTDADGNITVYKARLVAKGFRQIQGVDYDETFSPVAKLKSHMQLVSSRYQSDPGMEHWTAVKNIPKYLKRTKDMFLCYGADQELAVTSYTDASWNTDPDDSKSQSGYVFILNGAAVSWCSSKQCTVAKSSTESEYIAASEASSEAVWMKRFIVELGVVPSALDPLVIYCENMGAIANAQEPRSHKKLKHIKLRFHSIREYIEDGEVKICKVHTDLNVADPLTKALLRAKHDQHQKAMGVSPSKGKPSKQKEKKPSRARSHAATLPLLQSIHKANKPQPRADGKLDTYAMERVALLRTSGRRLLQRCGRPRAFVPAASSGPRRRPSSSSFSSRGGSALPGSSRLLASAAPLHCAGRYWPLTAPGLARRLSVPAVSTSPAPAPHDTDDVHEYAAKLGFEKVSDQVIDECKSTAVLYKHKKTGAEVMSVANDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELNSPEEEISYKGVVFNEMKGVYSQPDNIMGRVTQQALSPDNTYGVDSGGDPNEIPKLTFEEFKEFHSMFYHPSNARIWFYGDDDTKERLRILSEYLDLFEASPARNESKVMPQKLFKEPVRITEKYPAGQEGDLKKKYMVCTNWLLSEEPLDVETELALGFLDHLLLGTPASPLRRILLESGLGEAIVGGGIEDELLQPQFSIGLKGVSEENIEKVEELVMQILNNLVEEGFASEAVEASMNTIEFSLRENNTGSFPRGLSLMLRSMGKWIYDMDPFEPLKYEQPLQQLKARIAEKGSKAVFCPLIEKYILKNTHRVTVEMQPDPEKASRDEAAEKEILKQVKSSMTQEDLAELARATKELKDKQETPDPPEALKAVPSLLLQDIPKKSAHVPIEVGEINGVKVLQHDLFTNDVVYSEAVFDMGSMKKEHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPLTSSIKGKEEPLTRIVVRGKAMSTRVEDLFHLMNCLLQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWIGEQMGGVSYLEYLRDLETKIDQDWDRISASLEEMRKSLFSKEGCLINITSDSKNLEKSGQYIAKFLDSLPSAPSLGSDPWVSRLPSVNEAIVIPTQVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEIYDGTANFLRELEIDDDALTKAIIGTIGDVDSYQLPDAKGYSSLMRYLLGITEEERQQRREEILATSVKDFKEFADAVETINDSGVVVAVASPDDVEAANKEKAIFSDIKKCL >cds.KYUSt_chr3.32144 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202001006:202001332:-1 gene:KYUSg_chr3.32144 transcript:KYUSt_chr3.32144 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNCFKSHRAVASWVDDDEWVVDVEEGKRPAAEKVDQGVEVKIRVTKRQVQELLQKAGLDGKGPWTEQVLAELINSGTVCCDRPEARGHWRPALQSISEGEEACLL >cds.KYUSt_chr3.29099 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182084047:182088677:-1 gene:KYUSg_chr3.29099 transcript:KYUSt_chr3.29099 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLLGKKSSKSSSAKAKDLLPTANGNGHTAGKEPELSGNSPVISEPVLVTNGISNLANGSAVRNMVRNGSDTPISPEKLREELAATKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVSTLRATWLIVKFQAQVRGRNTRLSNAAMQLSVKFGRRNFGGDKSSDAWKEKLASNAYVRKLLSSSTSVQALHFQYDEMDPNSAYNWLERWTIGCIWRPVSKPKIVADGKPQVKKASYAMETKSAKLKRNVRKFSAATVETQTNTVEPEKSKRNPRKFSGSPADSVPDSQLSELEKVKRNLKKATNSMVEASKISNSKADASKVPNSITDEPKISDSMADSSKISSVVNGISGHHDNQCEKPLQSACEASFPPETHDPHSSDLLENSNMETPFLTEETSNEPSILAQADEVIELRNLDNGDDTIEKKEETRYKEEPLSNGQVRTKRRSSFSNSEYPESGTKNTPVPPRKPSYMAPTESLKAKLRGPPRLDFDLPVDKNGFTRRQSLPSAPNNKIEESKRNGDGEEAIKLPPEAFLHLNSAMLWMMSAAV >cds.KYUSt_contig_1781.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:8688:9857:-1 gene:KYUSg_contig_1781.1 transcript:KYUSt_contig_1781.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISGTHACYPSVALNNATGNRRRGAEKNSFAPPLPASRPSSSTLRIGEQWPELQGSRDWDGLLSPLDGALRGELVRYGEFVRAAYESFDFQGRGAPTSYGSCRFPTRSLLRRSGIPETGYAVTGLLHAASSSAPSWLPSSCTCSSSYIGYVAVCVDEGEMERLGRRDVVIAYRGTATCSEWLDNFHSTLTCLPTTTTAPRSREEEEEEEPMVESGFWRLFTTPGEAHGSLQHQVRGEVQRIVREYSGKGMPPLSITVTGHSLGAALAVLTAYEIAAATRDADDVAPMVTAVSFGCPRVGNAAFRRRLEASGGKVLRVANSNDLVTKVPGLWVDEYGSVKRWMASKIGWAYSDVGRELLLSGHDLPRNVVASHHLDLYLKLVAACTY >cds.KYUSt_chr2.37437 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231876405:231876707:1 gene:KYUSg_chr2.37437 transcript:KYUSt_chr2.37437 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGAGGWALTASQAVVMACGRGAAMAQRWCSDASEAGHGGARSGPDGLRRACSGSPLLALARPVDAGAEAAPFFPAFTGVAAGVGAVLDGGAAALRV >cds.KYUSt_chr2.34627 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213837686:213841281:1 gene:KYUSg_chr2.34627 transcript:KYUSt_chr2.34627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane-bound ascorbate peroxidase, Tolerance to bacterial blight, Response to NaC [Source: Projected from Oryza sativa (Os02g0553200)] MAASDAAQLKSAREDIKEILKTTYCHPILVRLGWHDSGTYDKNIEEWPQRGGADGSLRFDPELSHGANAGLTSALKLIQPIKDKYPGITYADLFQLASATAIEEAGGPKIPMKYGRVDITAPEQCPPEGRLPDAGPRLPAEHLREVFYRMGLDDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGEPGGQSWTAEWLKFDNSYFKDIKEQRDQDLLVLPTDAALFEDPSFKVYAEKYAEDQEAFFNDYAEAHAKLSNLGAKFDPPEGISLDNDTGATPDDEKKVADPAPADDTNGAAPQPEPFVAAKYSYKKRELSDTMKQKIRAEYEGLGGSPEKALQSNYFLNIMIVIAGLAFLTSLVGN >cds.KYUSt_chr2.36545 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225571983:225574751:1 gene:KYUSg_chr2.36545 transcript:KYUSt_chr2.36545 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAAALLPLHLGCSDAAPRRPGNSLRAHLRKGGIRGRRRSPPCAVNSLHPSGNPKTPGGGDVGGAWGLNGGATAKPDHAPPSQRRRAPRDVEEEAWALLRESVVSYCGSPVGTIAACDPNDASPLNYDQVFIRDFVPSGVAFLLKGEHEIVRNFILHTLQLQSARLVHERVDVQTGIKLILKLCLADGFDMFPTLLVTDGSCMMDRRMGIHGHPLEIQALFYSALLSAREMLTPEDGSADLIRALNSRLMALSFHIREYYWLEKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATADQSHAILDLVEAKWSDLVAEMPMKICYPALEDQEWKFITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAARAVEVAESRISTDKWPEYYDTKRGRFIGKQARLFQTWSIAGFLVAKLLLENPEKSRILWNNEDEEILNALSLMTGPSSPKRKRGRKTYIV >cds.KYUSt_chr1.11939 pep primary_assembly:MPB_Lper_Kyuss_1697:1:73740340:73742624:1 gene:KYUSg_chr1.11939 transcript:KYUSt_chr1.11939 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAHVAAAEKPPRSTGTLEVHAIFKGLSTPVPAAALPGRRDPLVHCRLLSLKMGKIRTEGIEKIQVQATNGDTSLGGEDIDATWLTYLKVDSSRLRDAAEKAKRPRLSFL >cds.KYUSt_chr3.10585 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62837566:62838885:1 gene:KYUSg_chr3.10585 transcript:KYUSt_chr3.10585 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSVERLSQRLVTPAEPTPAGPLRLSWLDRYPTQMALIESLHVFKPAPERDGDGAGPARTIERALAQALVQYYPLAGRLGFTDDGGLLQLDCGGDGSGVWFTEAEAACGLEDVEYLEHPMMISKDELLPPTPAAEKDERKLVLLVQVTTFACGGFVIGFRFSHAVADGPGAAQFMAAIGDIARGNHTAETLPVPPQWGRDAIPDPTTAVIGPLPSPAGAKSLEYLAMDISADYIAHYKAQYNDASHGGSWCSAFEVLVAKAWQSRTRAAGFEPDSDVHLCFAMNARPLLHASLPRAGAGFYGNCYYIMRVSAPAGKVAGSSIPDVVKIIKDGKRRMPAEFTRWATGEAGANGGVDPYQITSDYRTLLVSDWSRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVAAFHQGMLDMN >cds.KYUSt_chr2.15548 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97854993:97858296:1 gene:KYUSg_chr2.15548 transcript:KYUSt_chr2.15548 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRKRSIGSHSFGRIRTYKEGCPNDEEVESEAHAEMMRKISIDGDKIRGLARLIISGGLDTGLMVGREVAKPHRENGKMGSRMDWSNLGEGPAGMVAQRLLSGDVADYVRFRAVCRPWRHCTADPCAHGILDRRFHPRRWIMLREKVADPERRRLLNVSTGQCVRAHLPELRGHGVCAPTVEGLLVLLNRTTYAVRLLNPLTRQVADLPPATTLYPLYRGAHGADPRLDFKVSGAGLADDATVAVLFDEVRMLAVAKPGDEQWTLVQRGASLSPAISFAGRFYCTTHTEIKMVDTSDDSQPPRLVVAAKIIHWFTRGEGTVHLLDNGGELMLLSRTLMQRVGEARYFMEYKVSRVDFDARKTQPMPNLGGRAVFIGYTHAISVSPLAFPAIRNDSVYLGKDHKVGYNLEDTGPYKLVDGTGTTPSFDEGSGVTQGCAYDNNGRRIVCRYEPRSIVDYLSLYVTEDTSISYAEEDTSDSDAEAN >cds.KYUSt_chr2.48063 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300417856:300426182:1 gene:KYUSg_chr2.48063 transcript:KYUSt_chr2.48063 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRGDHIGALPDNLLHHVLSFLPAHLAVRTCVLARRWLHLWKSAPALRVTGIKGCNNAARFANFVDNLLLLRDPRARLESFELDLDKRDFDFEAFLPAYERRVNLLFRLAFACGPLVNLALRTTNGIYISPDDYETLWFANVPLISQHLRKLELKTVYVRSSTLDFSGCPALIHLRMEDCDIKGNISSPFLKHLSIVASFFQTDPVRARISLPVLVSLELTGVLRRTPVLESMPLLVSAIVRLEMACHDNCSKNDYGDCDDSMCWNCHDPTTGADDWRGKSVLLKGLSEVAELELSVESQVFIVNRDLKLCPTFSKLKTLLLSEWCPDIASDLNILSCFLKHSPILEKLTLQISKVPKEPAEIQTSYTPPEQPFALSHLKSVDIRFDEVDGKLQLPDQGLDEWCPTAGVFDGKESTSATIQNLIGDTWKSWMVCRERNDVAGWSIKRSDIFCLEIPCDYQLAVSDWEGTSAWVPGFPFEMTVAAPASNTLIVSSSGLQQLNMVEESTGHQYEWSSPIEVFEQATQAFEDEHGEMETKIHLFPASMKDLSAEYAAPKIVSIGPYHHGKSPDFREMESAKYAAACHFIKDSGHSVEEVWGAILEVADEARSHYDEEKVRRFGDGDFRPMMFYDCCFLLQYMMSWCGHKGDDDDVMVLDVDPLLNSVFTSNDRRIFSDLVLLENQLPWVVVEKLMGFMPKPLDMETFLGRVKPSLRSRRNIEFDPVILDSSYKPPHLLGLLRHYFVGRNHISATQVSETDTEISHKAKKVSLSVSFIELAEIGIKLTATKTKIELQDMGVRRGIITGELFLAPLLLDDANASFLVNMAALELEEDVQRLRKKHILQGGGGLTDKNALDLFTILEKHLRPGNSYYNTIAGIENYKVDRWLWIIVYKFFYNNLKIIIAVVSAMAGFAGFLGAIKSLKGSR >cds.KYUSt_chr5.35807 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226130811:226131764:1 gene:KYUSg_chr5.35807 transcript:KYUSt_chr5.35807 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLALAVTATLLMAQTGQLASAARTSPGEAETFWRAALPGSPMPDAIFELLHHDTGVPDGAVEAANDDDKPPMNFNYDDYRALPRSEATAAPSPDVLLRAAVRDEETVFFLEDAVCIGESLPLHSSTKLATAAASAGEASARLPLELYTVHSVRAVEGSSFVVCRGEPGHGAVYGCRATGPARAYVLGLACERGDAAVTAVAVCRTDTSQWDPDHAAFRLLGVKPGGAAVCHAVPDALVLSAKNGKSSTAN >cds.KYUSt_chr6.29501 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186969498:186974415:1 gene:KYUSg_chr6.29501 transcript:KYUSt_chr6.29501 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADGGERGRSWYLSKDEIDRGSPSRRDGVGAAKEEQLRATYCCFIRDVGLRLRLPQIAIATGMLLCHRFYLHQSHAKNEWQTVATACIFLASKIEDTPCQLESVVIRCHETMYKKNPVAARRINQKVILEKQKALILAGEALLLSTIRYDLNIQHPYEPLNVALKKLGISHKEVKQSATNLINDTLRTTLVVQLKPHYIAAGSLSLAARFHNVRLPTENGKVWWQQFDIAPKLLEAAIQQMKELFMKRNQLPKSPAIQPTPAQTSVEKQQIVSTTPAIQPTPAQTSVEKQQIVSTTPAIQPTSAQPLVEKQQITSTTPAIQPTSAQPLVEKQQIRSTTPAIRAIPAQTPVQKQPTISSSPAIRPIPAQTLMEEQQTIITAPAIRPIPDQDRVEKMQKRSMTPAIRPIPAQTPVEKQQTISKTPAIRSVPAQDPVEKQQTRSTNPAIRPIPAQTPVEKQQTRSKTPAIRYVPPQDPMEKQQIRSKTPAIRYVPPQDPMEKQQIRSKTPAIRPIPAQTPVEKQQTISMSPAIRSFPAQTPVEKQQIMSATPAIQPTSAQPPVEKQQIVSTTPAIRPVPAHTWVEKQQIISTTPAIQSPSAQPLVEKQQISFPKPVLKYTYSRRGLKRPAPDPVPSPVEKQQMKNTVGPVLGQELSTSGGLNRPTLAATTTPAPVKKQKIGSTPDSVGGTMPTRAPVRARTPVRKQKIGTQGSVPRHTRPSDGGERSPVPVPARARTPVRKQQIISIQVPVLRHPQPSVGGERRSTTALARSPVRKQQTISTPDFDLRHTNPSVGGVRKPTAAPAPARARSPVRKSHTHPSAGGERRSSAAPARSPVRKEQIISTPDSVLRDTNPSVGGERQPTTAPAPARAGSPERKLHTHPSAGGERRSATALARSPVRKEQIISTPDSVLRDTNPSVGGKRQPTTAPVRARAGSPERKPHTHPSAGGERRSATALARSPVRKEQIISTPDSVLRDTNPSVGGKRQPTTARARAGSPERKPHTHPSAGGERRSATALARSPVRKEQIISTPDSLLSHTNPSHRGLKSNNFDRHASRHMRVDSSVHRDSTASSGRNEGSMPQRMHVDHSLNKTTKDGRPEVLTLREALRADHVYHVRSGPKDVNMARVANFVNKRKIQEAAEHPALVNKPDGDAWTGPQIRSVIVAPPSLKKQKI >cds.KYUSt_chr6.21695 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136932636:136932989:-1 gene:KYUSg_chr6.21695 transcript:KYUSt_chr6.21695 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLKQPIVLSVQCNNVASPLFATAAAASSQHRQASSASRRHRSHRISSGSTDEAAGVSTSVTAKERPLTVTAIISAEAPNSVYVSRGFDDIHDLFGKTLLLELVSSELDPSESRSL >cds.KYUSt_chr4.36856 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226695124:226698369:-1 gene:KYUSg_chr4.36856 transcript:KYUSt_chr4.36856 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFRPFVGVLTLPLIYQYFMATGNLRRRLHHADVDGRKNEHVDITDVDSLDEPLLGRSSYDNRGSEVYNPRRQDLWDDDDDRKKELLHWSFLFSNLIAQWAQWLANIIASSGSMFGRLFPFTSDNENGNPVYLTPLQEERLDNLRRRLQISFDGSRIEHQDALRQLWKLAYPSREIPPLKSELWKEMGWQGTDPSTDFRGGGYISLENLIFFARNYPGSFQMLLNKVQGQRSDWEYPFAVAGINISFMLIQMLDLQSTVPSSKPGIRFVALLEQDENAFDHLYCVAFRMLDAQWLVKRASYMEFNEVMKSTKTQLERELVLEDVLAVKDLPSYTMLDK >cds.KYUSt_chr3.25330 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157235325:157243170:1 gene:KYUSg_chr3.25330 transcript:KYUSt_chr3.25330 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPPPGAFAFSPDGELFAAVADRKVQVWSTTGGKKIAEWTDPVAAQDDSYSCIACSSVQKKQKKDGNLIVAAVGTANGEVLALDSTGVIWRSVFHTGKVISLHFAKQGRVLYTASMDGVICELDTRSGKPKDTFKASKKSINHLTISHDEKFMGVSGKTTKLFSVKDKKEILKIPSEDGPIQMMSVSDDARISVSCDDKNKEVQVWSCDHHNGTIGSTASLVMHTQPKAVECKRSASYETGGIVLAVSKKGVAYVWHLQTISQDEKVPTKISVKNSPDKKGRIPIILAKLCDVKEDNTVKVHVAFGSPGCLQFKVVVLGENCGDINLVAESDAFTSEKTNLEQDAKGWLVNKINRRIQTQLIKEDQRKGQHLFWILLMVIVKSISLLTPADALKLLKFFVLSIQSRGAKLVCLLPWLQTLLSRHMSSIVSQESSLLLLNSLYQLIDARTSTFKSALQLSTTLDYRFSEIFQIADEETDEEEAIAPIIYEDKDTDDEEESDGDAMETDGESEELGDVTDAFEHSDGSEIMSD >cds.KYUSt_chr7.20411 pep primary_assembly:MPB_Lper_Kyuss_1697:7:126698853:126701347:1 gene:KYUSg_chr7.20411 transcript:KYUSt_chr7.20411 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAAEHTRTAVGLAARDASGHLSPLAITRRSTGDDDVVIKILYCGICHSDLHGIKNDWKNASYPMIPGHEIAGEVTEVGKNVSKFKAGDRVGVGCMVNSCQSCESCGKGFENHCPGMILTYNSVDVDGTVTYGGYSSMVVVQERFVVRFPDTMPLDKGAPLLCAGITVYSPMKYHGLNVPGLHLGVLGLGGLGHVAVKFGKAFGMKVTVISSSSGKKEEALERLGADAFVVSKDADEMKAAMSTMDGIINTVSANIPLAPLFGLLKPNGKMVMVGLPENPIEIAPFALVATNKTLAGSFIGGTSDSQEMLDLAAKHGVTADIEVIGADYVNTAMERLAKADVRYRFVIDIGNTLDKAAATTE >cds.KYUSt_chr1.42729 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261374738:261375964:1 gene:KYUSg_chr1.42729 transcript:KYUSt_chr1.42729 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASLLQLLSIPRLRWSSSNEDDDKIELTRAEVESLRSEIADADERESQLKARLENIDEVLRYARLCGYLYIRSRWSQLPGEPPIIDDAEVDDWLPRFVVLQGQCIYYYLKSTDLSPLESTMLRDVVDVGELPNFVPEDGKTRHAFYILTRAGLRFECSSNCEIQVDSWVRAVRSGCTLRSDADEELKSKTSGSW >cds.KYUSt_chr5.22137 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144442724:144443758:1 gene:KYUSg_chr5.22137 transcript:KYUSt_chr5.22137 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAYQEPSVLGRKRAFGAREASDAKTEVSIFSAVGNMADTERAMKLHLLQQRFKSELVAVQALRDKAKKIKRSKMTAASPPHPPVIKRRKIKAVSPPPPPPLIKSRKKVTRGERGMLMADLEKLALSDLPHSIRDLLEKQSHAVRDGYMEMDIATFDDDAIIQLRNLLDEFLPQSRQDGSMMAVEEVDIVGGASPLPPFAPVSLPLAEDEEEDICGDDETIGDDEIIGGSPCSSSITDSSQAQRDATLLMASAKESLARCRAREKARQDVLRTERMARHMTTETIHPMLLNSLGIVEYDYHRAIPYTLLPRLGLFLKDDGDEEQILDDGEILGDLEEGEIRF >cds.KYUSt_chr2.53948 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336763468:336763866:1 gene:KYUSg_chr2.53948 transcript:KYUSt_chr2.53948 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEADQRHLYRVGVSRGDESMSGLGESGHSFGFGCTRKLSHHDKFFDFSAKFGVGDTIVCDVDLDSKPMASIGFSENGEWPDISQCFNASEADLGLVGAPIRPVQCESAIFPHVLLKNVVVEMQFSSFVA >cds.KYUSt_chr4.48215 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298503497:298509529:1 gene:KYUSg_chr4.48215 transcript:KYUSt_chr4.48215 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPAKGEAAPLLAREDSKGRGGAARGGATWAQTLGNVVVSIVGTGVLGLPYAFRAAGWVAGSIGVAAAGFATLYCMLLLVDCRDKLQKEETEEPCDVHYTYGDLGDKCFGTVGRCLTEILILVSQAGGSVAYLVFIGENLHSVFSQSMSSAGFIFAVLLPVQIALSFIRSLPSLSPFSIFADVCNVLAMAMVIRKDLQLIDHPFANRSAFNGVTAIPFAFGVAVFCFEGFSMTLSLESSMAERRKFRWVLSQAVVGIIFVYSCFGICGYLAYGEATMDIITLNLPNSWSSAAVKVGLCIALAFTFPVMMHPIHEIVEDRFRSSGCFQKLSNSVPGAEWLGLHSSRIFTVTILAVVASFVPEFGSFVSFVGSTMCALLSFVLPTLFHLNIVGSSMSLWQRVLDYSFLIFGLEVVVMESIHIPQGETMASGLVPDIWQWIRSLPKQWRAKESHSLQICTSPSTNQSLNLVVMSRQSETETRSFSLCFSICDDHDQVSLWSPKPKPANYTTDDVATQFLVEIICGVLRYGPYCSSRSILMLPNVQMSEDSGMMLSLSVLMLAFLVCVYEAPSTLRREFIGTITARLTQHGHEMRHAARELMLALGSSLEEQWMRSVNLGVTNWVMEALRSGTAPAPPPRFAVFTYALSASRLWKVQLYCPVVAMTMEHHPSSHHHQQQQLAKDERLLFSLNYQQLESVIQFVYRVAFKENWIDVAVNVDNIRCDVIQLVSETLMARQGHGSDEKHFPSRISLQLTPLAQSDILSLSVSRSTDNPVQEVGADKGIDTTFGAAPASIGISLSAHETVTRSMKPWKFEHSVHGNTASLSWFLHGDGGGGREVFSSEPPKLELFQPRSWFRNRYTSPSRPFTRNGGVIFAGDEYGEAVCWRMGAAAAGKTMEWEIKGRIWVTYWPNKKRTLHTETRRVEFRELLHLNIGE >cds.KYUSt_chr2.2633 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15760017:15768789:-1 gene:KYUSg_chr2.2633 transcript:KYUSt_chr2.2633 gene_biotype:protein_coding transcript_biotype:protein_coding MACASQDDLAFLSGGKLVAHLATTCRRADFEAAAHVLAERDRKFAEDEAALKAVLADLDAARAREREVAEARSKLEAALTEADILRKKCEVALDARRLDNAKEMALLLGAATPDTIYQDEPRGEANKGEVNSPDIIGLNGLGCCPAEEGEMLERQERGEGGGVEHGGGRGGARTERQKAAEEGETRWRSLSELCAAQDGQGEGSSKKAVEKNRGMSLGYAEKLSYREDLGSVGMPEMFDSPKLLQGKIEELAIMVQKSKHFVVFTGAGISTSSGIPDFRGPKGVWTLQRAGKGVPDASLPFHRAAPTLTHMALVELERVGLLKFVISQNVDSLHLRSGFPREKLAELHGNSFKEICPSCKTEYLRDFEIETIGLKDTPRRCTDKNCGARLKDTVLDWEDALPPEEMNSAEEQCRAADLVLCLGTSLQITPACNMPLMSIKNGGKVAIVNLQATPKDKKASLVIHGLVDKVIAGVMCILNLRIPPYIRIDFIQLLLRHTVKKKCVRWTLRVTSIHGVRAPLSFLQSIEVSFPERPDMKPVVLMEQPFSLQRETSMTSKFFMLLTLNFSDGCGCSSSSIECYVNFQNQKESFVRDRGLVLQELKCTAERQCCAGQQSILERESLPRAETSRHAFVTNIVRYDSEDSEDLKGAEPKGSCMDSSSNLTKRHMEGPSGCSVTQKKLKS >cds.KYUSt_chr5.31748 pep primary_assembly:MPB_Lper_Kyuss_1697:5:201199883:201205842:-1 gene:KYUSg_chr5.31748 transcript:KYUSt_chr5.31748 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSAAAAAAAYLTPVVAVSNRLVPVRRARLFAVRAVASPPASKPASSPSKTGKWQWTFEDKPISVYYEEHEQGTAENVKNILMIPTISDVSTVEEWRVVAKDIVTRKGERNYRATIVDWPGLGYSDRPSLNYNADVMENFLVQLINSPNSPVADADGKLVVIGSGHAATIAVRAAGKGLIKPSAVAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPYIIESRYELTKRKGARFVPAAFLTGLLDPVQSREEFLQLFAELDEDVPVLVVSTLNSPRRSKAEMEALKGAKGVTKFVEVPGALLPQEEYPLAVAEELYAFLQESFSSRR >cds.KYUSt_chr5.7441 pep primary_assembly:MPB_Lper_Kyuss_1697:5:46814953:46822270:1 gene:KYUSg_chr5.7441 transcript:KYUSt_chr5.7441 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSIDCMHWEWKNCPFGWQGQYSRHEEGRTVILEALISQDLWIWHSFFGMAGSNNDINMLHRSPVFERLMQGKAPRVSYEINGNAYDKPYYLADGIYPDWATLVKTVRTPNSKKMRRFAKMQEACRKDVERRFGVLQARWAIVRHPARTWSLKTMHEQIATVVPVSLTLFFSSSTVLRRVRSTTSRGQQRRSPRPHPGARRGASPGAHPGAGVERLGGNHIPAHVVEHLQGRIPARASSICRGGAGVEHLQGWRGRPRPHPRASSVARCDHTRQQRRSPRPHTDDAVRLVSLDRFPALPLSPAGSTSLSLAGLEKAQRREARVPSWPVFPTTGGKQTVGACESGLQSSVSDLHGDELGLLTKRCSVRLCAPVSVLIPGMRLTPGKTLTSDQGSFSFGFFSPSNSTQNTYVGIWFNDIPLHTIVWVANRDNPVRNASSAMLRMTDNSSLVLSESNGQHILWMANTVTSNNSSVKLLNNGNLVVLSSNGSMLWQSFENPSDSVLPGMPRRTTHKTHPPWRIISWRGPEDPSKGRFSAGNDLNTPLQFFVWDGSVPYWRAPVGTGYVSSNIGLQTISSLMYLTVYRGSDGESYATFGLSDGSSRILYTIDYTGKAMLLRWNTSLTNWTPIPSMTWPAYQCNFYGYCGAYGYCDNTEAIPACKCLDGFDPSDKTEWVTGNFSQGCRRKDALQCGGGDGFLTLPAMKVPDKFLRLWNKSFADCATECSRNCSCLAYAYANLSTSDIDGDATRCLIWTGELIDVEKGGDIGTENLYLRLAGLSSTFLILLLLFMFMNVSVQLFVC >cds.KYUSt_chr5.38818 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245744594:245745628:1 gene:KYUSg_chr5.38818 transcript:KYUSt_chr5.38818 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRAPAVAAALSSGVPAKLRPHLPRITAFLIVFSVGYSLGIVSSSTRPTPKPSQTVIRPHAAHLTAGLGATTTTGVAASSFNGTTGADYPRSPPHDLFRFRDECREAVPSDAVVQTLIDKLFDGESPYASFPPEHTAALLHPAAARPRGWGSTGAVFAELIEEVRPETILELGAFLGASALHMAAVARNLSLSPAILCVDDFRGWPAFRGRFRRDVPAQRHGDVLLLPQFMANVAAAGAEDRVLPLPFSTASVLTALCQWGVYADLIEVDAGHDFHSAWADINLAWAVLRPGGVMFGHDYFTGADDRGVRRAVTLFAKVKGLSVRPHGQHWILSAKPHGDARR >cds.KYUSt_chr1.1613 pep primary_assembly:MPB_Lper_Kyuss_1697:1:8989199:8998053:-1 gene:KYUSg_chr1.1613 transcript:KYUSt_chr1.1613 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAFPSLCLSGVAAPLSLVRSDDGPQRTTIASITTVTTPSPHLRAPPPSTLIAKPWCLRSGSVGLVHDLTPLDCLRGGLRIEGCGQSPFSAAAQCARLQGLMNPGPANLASVKCQKKELLKKVDVDIQIFLGSNYLVRPLLRKGLRPARPQTIQQRLSSFSMREKKTIRSLMRASVEQNSPLDRQAEALLKWKSGLLEGGSSCLDSWTKETSPCNWTGVDCSTTGPRGRYQGDRVPVVSNISVSMCGSPLNGTLDRLHFAEFPHLLYLDLRGNSLSGTIPSSTWSLSELVFLDLSYNGLNGSIPPSIGLPHLAHLGLSNNAISGRIPSNIGALAKLEYLDLSFNHLDGSIPPSIGLPHLAYLDLSHNSLSGSIPSSIGGLAELVYLDLSDNDLSGSIPRFIGLRHLVHLDLMGNAISGRIPSNIGALAKLEYLDLSFNLLDGSIPPSIASIVVVRRRTKSIKSSSKIKSGNIFSIWNFDGKIAFEDIISAIEDFDEKYCIGVGGYGSVFKVELDSGVIFAVKLLHLMEEYSDEGTFHAEIEVLTKIRHRCIVKLYGFCSHSHCKFLVYDLIERGSLSSILHEQELAKELDWPRRVAVVRDVAQALSYLHHDCDDPIVHRDIKSSNILLDLDYKAYVSDFGMARKIKNGYSSWSTIFAELSSTMVLTEKCDVYSFGVVALKVVMGKHPGDLLLPFFCRTEQPAKLKDVLDQRIASPSSIDEEKAVILVALLAFACLQVNPKARPTMQQPANSTLTLSEEYTQDIPQLTQEENNILVAEFTEKEVKDAIFQMELNKSPGPDGFPAEFYQTFWEVIKDDLMSMFKQLHSGELQLFKLNFGVITLLPKKENAIQIQQYRPICLLNVSFKIFTKVATIRANTVAEKVISPTQSAFMPGRHILEGVVVLHETIHELHRKKMDGVIFKIDFEKAYDKVKWPFLQQVMRMKGFDPKWCHLIKQFVMGGSVGIKVNDDIGHYFQTMKGLRQGDPLSPMLFNIIADMLAILIARAKEDGQIGGLIPHLVDGGISILQYADDTILFLEHDFEKALNMKLILRFFEELSGLKINFHKRMGGYAEGQAIRRRPKVGAVGVWPGQASLPFQHSAKRGRRRSDLYAEGSPRHIFGPRRRQGYADGHPRRRLFFKFV >cds.KYUSt_chr7.19316 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119837927:119844920:1 gene:KYUSg_chr7.19316 transcript:KYUSt_chr7.19316 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLIHCPFAKQVWHEALAWMEYFDARRKPHNLGKVVVALVLITLCIFVLKQSPVFGGTSVFSRHEPGVTHVLVTGGAGYIGSHASLRLLKDNYRVTIVDNLSRGNKGAVKVLQELFPEPGRLQFIYADLGDQKSVNKIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPIIETTPQLPINPYGKAKKMAEDIILDFSKRTDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGILPGLKVKGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAEPSKVSIYNVGTGRGRSVKEFVDACKQATGVDIKVEYLSRRPGDYAEVFSDPTKINNELNWTAQHTNLKESLSVAWRWQKSHPRGYGTN >cds.KYUSt_chr7.29261 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182126652:182134951:1 gene:KYUSg_chr7.29261 transcript:KYUSt_chr7.29261 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAETYIGSVISLTSKSEIRYEGVLYTINTEESSIGLRNVRSFGSEGRKKDGQQIPASDKIYEYILFRGSDIKDLQVKSSPPAQPASLHNDPAIIQSHYPRPTSVSTSLPPAASTTAADQAAQNGQSGIQMSPPFQGSLPPTSQSIQSGIQMPPPFQGNLPPTSQSVQPGIQMPPPFQGNLPPTSQNARPGIQMHVQSGIQMPPPFQGNLPPTSQNVQPGIQMPPPFPGNLPPSSQNGQPGIQIPPPFQVNLPPTSQNVQSGIQMPPPFQGNLPPTSQNVHSGIQMPPPFQGNPFQPPPSLPSWNSSPMASSVNGSGLAMPPMYWPGYYTPPTGFAHLQQPTFLRPPHGLAVPQALQQSYPGLNASLPAGFPSMPDFPSLLQPSNIQSQTLGVSAVPASSSASATETQVSQLPTIPSAVPASVISLGLTPPSVSPSTSMIEPSISVSQGMPSTLNNKPVVLPDSSVASLSSDKPGSVHASVSTYQPSQAPSANIASGVISGAESIALVSPGQLLPTNSSTSSQTVQTTAVAPPSKPPSLVSSSQTASIDPSSHPASSAVSRQKVSSAAVPSSRQVEPRNENKEAKQTEQKAKQHVIAPSENKEPLLPAPKPILQKPAGASSYAQYNNRERGRGRGRGRANVQSRPVAKFAEDFDFMAMNEKFNKDEVWGHLGKSNGQFSDDPNEYEDNGLEDDVVSPGKPEVKPLYVKDDFFDSLSSNTIDNGARNGRIKFSEQRKIDTETFGDSARHRSMGMRGGGRGGPRGGGPRGRGGYYGRGVVRHFLEGRDCPEDFNDTILVLIPKAQKDPANSDLKEQSALVPSRLIMDNVLIAYDSMHAIGKRKRKKPPCVCSKVDMIKAYDRVE >cds.KYUSt_chr6.5707 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34241472:34242455:1 gene:KYUSg_chr6.5707 transcript:KYUSt_chr6.5707 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSGKVRPLPPPPSPPRRSGSASSEDRLRDLGVAGIFREILRVVLARPPRFVGTVVTLALHVSALSLAHATLLARLDDAWGSRWGDFLLLALLQAACLCVLIVLSCSTAASFAISVASFYCCAHDDDRAREALVERLIEEARCRRLAENVFDALVIVLLYTGAAGAAMLALQWVWLAAAPGARALDFSHARDWFLAGLLYMGADYQLAAVVSVLEPDERARRCFSRSSALLAGNFCPAAGVFAFLVHCFESVHAVFGSLVLDGRMGLGFGFQVAATLAMVAALCAVLVAALVAHPVIYFVCKAYHNDAVDLGLGEHDPADNSNGVH >cds.KYUSt_chr2.1857 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11063453:11068973:1 gene:KYUSg_chr2.1857 transcript:KYUSt_chr2.1857 gene_biotype:protein_coding transcript_biotype:protein_coding MIYHVPGNGKPIGLMDPSLDNFQNDSIETKDREAQGLSPKNKQYSYDSYDVDDEDSHDPDDDRDYDVLFNKVMNGWQQPEFLSMVKPDDEEEAKKPHVKGHVETVKIITTNYPLPKPKMQTLGYEIMFVMVLRIASDSLKQTLCDSFHFILLIIELKKGVVLVMESKHEEYAEWANMAALLQRAWKRFINTVSGKWKPELTFKDYPEDQWSIFIDMLVLEPAITGFSGRNLESLQGAREILSKFPFRHGARLVLDNDGFHRACFPVPVWRTIVGRCAYHGLIHLHHPAHLLCAPHGVRFTGVKASDGSSRGGSPIVDMGLTDNVARDSSWYYLHDRYSKHEFYD >cds.KYUSt_chr1.7760 pep primary_assembly:MPB_Lper_Kyuss_1697:1:47773482:47774156:1 gene:KYUSg_chr1.7760 transcript:KYUSt_chr1.7760 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPPTSPRTDELLPPLPAFTIPPMDWLLGGPSVGWLVDDPERDFSDDELAVQPPPSVYYHMRHGYRGYLPSPTPSDEEPEQFAPPGYASVTEFFEPPTAAAVDALPSALTTNLQKEMEGNEVVATARARALVPGLNLPAAEESEENEDAPSAPSLALPKPSPEARVLLRHFASAMAARPAGIRRGTWSPEALDLTGRFAELRLNEATHHFSSAEGSSSSSRC >cds.KYUSt_chr6.10278 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63273781:63274419:-1 gene:KYUSg_chr6.10278 transcript:KYUSt_chr6.10278 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSLLLLSSPRPLHRAAPSLLRPPTRLPLLTPRPRPRPRLLAAPPRANNDNSDAVGAADRLVAAAAYLYPFLDGAHHGRFVVAQYPFFNALLSPLAPAARLFHSSPLTPFLLFVTLYFAVVRNQQAFSRFVRFNAMQAVALDVLLIVPDLLAQSFAPSGAGIGLDIFMSAENTVFLFLLVCLLYGSGACLLGIVPRLPIVADAAERQVM >cds.KYUSt_chr5.40225 pep primary_assembly:MPB_Lper_Kyuss_1697:5:254049610:254051514:1 gene:KYUSg_chr5.40225 transcript:KYUSt_chr5.40225 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKQILARPIQLSDQVIKTSDEAYTFRQECTDLKSKVERLATLLRQAARADLYERPARRIFDDTEKALDKALALVDKCRAHGLVRRVFTIIPAGSFKKMSNQLDNSTGDLSWLLRVSASASSDDFDDAHIGLPPIAQNEPILFLIWEQIAVLYTGDLDARADAAASLVSLARDSDRYSKLIIEEDGVPPLLRLAKEGRPEGQESAALAIGLLGRDPECVEQIVLAGACAAFAKVLKDGPMKVQAMVAWAVSELAANHPKCQDAFAQHNVIRLLVGHLAFETVQEHSKYAITSKLSIHSVVMDKKNINGGAMPDLLSAGTASPAAKTEMHSLVMQSTMAAKSSNLGASTKSIGSNGGSTGSKQHNSTSLSGSTARGRDLEDPETKAYMKANAAKALWQLAKGNAAICKSITESRALLCFAVLLDKGEGDVQYNSAMALMEICAVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVDKAEYDDLLVPCIVSLGCLSRTFRATETRIIAPLVKLLDEREADVSREAAMALTKFACTDNYLRVDHSKAIIEAGGAKHLVQLVYFGEQAVQLAALTLVCYIAQSVPDSEELAQAEILTVLEWASKQAYMMQDPTIENLLPEAKIRLELYQSRGAKAYY >cds.KYUSt_chr5.5409 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33843302:33843709:-1 gene:KYUSg_chr5.5409 transcript:KYUSt_chr5.5409 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGLQINEWRRSAARAGADMALKFVCSWYEGIDLDALATLRADAPTMIDPVLQEKRQQRAYQIARYASVGKFIPAPPDAEDEESDEEENDEEVVDEEIAMEDATSIPVDPAAQDPSSSKKIPGSAHDAPEAGAA >cds.KYUSt_chr3.15494 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94907038:94909878:1 gene:KYUSg_chr3.15494 transcript:KYUSt_chr3.15494 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVAKLGTLLLRTLSKPIASRLKSQAAVHPKFRDFIISIAQMNHRITTRIQRRIYGHATNVEIRPLDEQKAVQAATDLIGEGFIFSVAVAALIFEVQRSARSEARKEEARKQELEELKQREDSLAKDLEDLKRKLDELERLARGRGLSGIFNFKGVSGSDGGKAATPA >cds.KYUSt_chr1.22605 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133705528:133705869:1 gene:KYUSg_chr1.22605 transcript:KYUSt_chr1.22605 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKGCVTVRVGAEGEEQRRFAVPLGHLSHPLFAALLEEAEREYGFRHQGAIAIPCQVDRFVQVESVIDRDLGEHHHQHLLDLDNCGATITGGHNHSHSHIHLPRFVGCFRA >cds.KYUSt_chr3.48132 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301259378:301265581:1 gene:KYUSg_chr3.48132 transcript:KYUSt_chr3.48132 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAASSCYKEHQKIYHDWFAFADSDGDGRISGPDAIKFFGMSRLPRADLKQVWAIADSKRLGYLGFGEFVTAMQMEYQWEFYQLGRGGYLRFEKDLKQLVEYLGHPYPEFFGIPLKAQLGEPPRWDVSTDLRRKLDAPVWETIWFSVTGNTWKEGLVKAMQEAMFRLCGQNEDKIKSTRFIYYPRHDSMGRPMTMPPPQPKMNPYEAPQDFRQYKTRRDLDNALASRQAHHP >cds.KYUSt_chr4.7328 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43552514:43553473:-1 gene:KYUSg_chr4.7328 transcript:KYUSt_chr4.7328 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA+ type, core domain containing protein [Source: Projected from Oryza sativa (Os03g0792600)] MLWVDKHRPKTLDKVIVHEQVAQNLKKFVAEQDCPHLLFYGPPGSGKKTLIMALIKQMFGAGAEKVKMENKTWKIDTGTRTFDLELAMLSSSHHVEMNPSDAGFQDRYVVQEVIKEMAKSRPIDAKGKRAFKG >cds.KYUSt_chr2.41304 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256823767:256830586:1 gene:KYUSg_chr2.41304 transcript:KYUSt_chr2.41304 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSATAPSSSSHACTRPRRTASARGRRPRPVLAMAASDDPRAAPARSVAVVGAGVSGLVAAYRLRKSGVRVTVFEADDRAGGKIRTNSDGGFLWDEGANTMTESALEASRLIDDLGLEDRLQYPNSQHKRYTVKDGAPALIPSDPIALMKSSLLSTKSKFKLFLEPFLYEKSSRNNSKKVSDEHIRESVGSFFERHFGKEVVDYLIDPFVAGTSAGDPESLSIRHAFPALWNLEKKYGSIIAGAILSKLTAKGDSTKKGSAVSGKGRNKRVSFSFHGGMQTLVDALHKEVGDGNVKLATQVLSLACSCDGLSASNGWSIFVDSKDASNRELAKNQPFDAVIMTAPLSNVQRMKFTKGGAPFVLDFLPKVDYLPLSLMVTAFKKEDVKRPLEGFGVLVPYKEQQKHGLKTLGTLFSSMMFPDRAPNDQHLFTTFVGGSHNRDLAGAPTAILKQLVTSDLRKLLGVEGQPTFVRHIHWKNAFPLYGHDYDSALEAIGKMESDLPGFFYAGNNKDGLAVGNVIASGSKTADLVISYLESGIKQDN >cds.KYUSt_chr5.14954 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96634392:96638108:-1 gene:KYUSg_chr5.14954 transcript:KYUSt_chr5.14954 gene_biotype:protein_coding transcript_biotype:protein_coding MATISCLSPAARCSLLLTVAALVLVLSHGALREPVKVPTACRRPNIGAVGTELVLARPAGQPSAQEEVFHFIARKNHFPFLRITKRRYFYADGKAVGTGCSADGQPVPTATLPVPRRYCADGKVPTAAVGTRLRRRQSKLCRRPPAVGTLTGSRSGAHAHGGYRAGLSSSFYDNSCPSTRDIVRRVIQDARVADARFPASLIRLHFHDCFANGCDGSLLLDDDVSSGIVSEKKAPGNYKSARGFNVVDNIKSSLEKACPGIVSCIDILTLAAEISVELAGGPSWSVPLGRRDGTKTNIESADDLPSPFDPLDILQKKFKNMGLNDIDLVALQGAHTFGRTQCQFTQRNCTARQDEGTLVNLDAVTPDVFDNKYYGNLLRGSAPLSSDQVMLRKVLDVYGCFYSCRQCWASKSRGL >cds.KYUSt_chr2.42469 pep primary_assembly:MPB_Lper_Kyuss_1697:2:264576598:264577264:-1 gene:KYUSg_chr2.42469 transcript:KYUSt_chr2.42469 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLTTGLSATADAWGAHAGLGEDVDRLRSTLSRVHALVERGEQWRFTSPGIADLLTQLKDAAYDAEDLAEELATSEKQSSQRLFPSVRSFLRGLVTGAADRARGVRSRLEDREREDVVRLLLNPVRADSSGGDDCGNAKRRKNRDGRFASAVCVGWDESAQLASWVAYGSSRGTEIR >cds.KYUSt_chr3.17616 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108006974:108009756:1 gene:KYUSg_chr3.17616 transcript:KYUSt_chr3.17616 gene_biotype:protein_coding transcript_biotype:protein_coding MQACAASSFEGEKEESWKGKEERAWLWRAESFRDGVKGNSGREAENSKKTSLRSTVPTSERQDRLSALPDDVLLCILGRVGDLRTAVRTSVLSTRWRRLPWLLPELDIDAEYFMFHPQSKINEEANGTRDAMRTVTAATRSLLAKPRREYTVTRLRLTLYLINSLWYDIGQLVWDAIECGSLKDLELAIIDDTYRPKYSPADKLRRSQDMGRFFRACPRVLHCITRLTLCSLSFDELDMHHILFDCCKQLKHLSLIFCDAGAGPWKIDAPNSKLRVLDLNTCYFDRIDLISLPKLETLCWDFCVSRHAPLSFGFVPSLEELDLAHFLYPDQDLLKLSELLHGAEGIHTLTLDFLRMTLWLQPEIKQLASAFSKLRKLSIVGVFVEFDLMWTTAFLEAAPNVEILNIQVTEPCEMEHLHVPLSAHNRTNPQWDLGLEFRSSKNLALRELHIACFRPLEQQLTFIRMILERAPNLQSVVLTEDREKCEKCDAMALSGPPSALARPAFPKNKEEQDMVVSRVTDGTFFSGQIFFMIEKLY >cds.KYUSt_chr4.8295 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49603786:49604926:-1 gene:KYUSg_chr4.8295 transcript:KYUSt_chr4.8295 gene_biotype:protein_coding transcript_biotype:protein_coding EEEWWQGPAACLTIEERAHRLAVGPWPFPAVPWMGGQQRAVEARPISRRRAMDGGLQRAVEVDVVLATPDGAEGMQRAVEVEVLPAARGSEVAGRLTASQEPWRRSPAPSSAASAYALQLGKGDDVGEIKNMVTATR >cds.KYUSt_contig_2785.79 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000500.1:450223:450546:1 gene:KYUSg_contig_2785.79 transcript:KYUSt_contig_2785.79 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHAMAKDFANRELKRIAIHEAASSQRTVLQALPSSPNASRVTRLFSSSSHPISSSIPRRGKGSKPSQRQETSPRRLGPGPLPRPRPVFDSGSPDEKAAAIPYSI >cds.KYUSt_chr2.12059 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76520969:76522649:-1 gene:KYUSg_chr2.12059 transcript:KYUSt_chr2.12059 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHGPLLRYPQSQPTRAHPPMFDYRDPDASDDDDGNYGNYDDYSGDYYRARHEREGSLLNAQGRRDEPRHPHVGGDLVADPQLHDIAGDELAGRERGQPVLSRRTDWIWRSVSSAFEALVSCQTPTAALTTRMRKMTSGSTKAERVRAPAEVESARRKETAAAASRMQTRVLLNDVAVSTS >cds.KYUSt_chr1.34041 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207038029:207051831:1 gene:KYUSg_chr1.34041 transcript:KYUSt_chr1.34041 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIMPEDDGDHVRALEMKIKGAKTKEMASPINFNQFLEKEKLKSNGSNFTDRFRHVRIFLSGGNLQYVLDAPLVQCAILCSLESDLQKRFEHHDPHELMNELKAIFKTHAAVECYEASKHFFSSAEIEIKKEHQVLMVNKTTSFKKQGKSKGKFKKGGKKAATPPMKPKNGPKPDARVLLSQGKGTLEASRKLYLYRLHRQREEANDNDHETSNEETTELRRSTRERTTPDWYDPCLNVMIVDNNDEDPATYEEAMMSPDSNKWQEAMKSEMGSIYQSDPGMEHWTAVKNILKYLKRTKDMFLCYGGDQELVISRASSKQCTVAKSSTESEYIAASKASSEAVWMKRFIVELGVVPSVLDPLIIYCDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEDGEVKICKVHTDLNVADPLTKALPRAKHDQHQNAMGVSHCVFSYFLGFLRSAVCVVRREKERARPGIGVRMVPGDHAEEAIVPMADGANGRGEVRAMDTAWDGGEDSEQQQGGGGKFSMKDLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGLLLQVFYGLMGSWTAYLISVLYVEYRARKEKEGVSFKNHVIQWFEVLDGLLGPYWKVAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYITIAAAVHGKVDGVKHSGPTSLMLYFTGATNILYTFGGHAVTVEIMHAMWKPRKFKYIYLVATMYVFTLTLPSAATMYWAFGDALLTHSNAFSLLPKTGWRDAAVILMLIHQFITFGFACTPLYFVWEKAIGMHHTGSIFLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPAVAHMLTYRSASARQNAAEKPPAFLPSWSGMFVVNLFVVVWVLVVGFGLGGWASVTNFVKQIDTFGLFAKCYQCPPKAHVGSPLSAPPHH >cds.KYUSt_chr7.4951 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29710745:29715518:-1 gene:KYUSg_chr7.4951 transcript:KYUSt_chr7.4951 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDASEFGAEGFDPKRWINAALDARHPSEPLDRFLADAEERLRAAADDAGAALERDSADALRRVPLACRDALRLREDAVALRAHVASVLQSLSQAEGSSAESITALARIDTVKQRMEAAYTTLQDAAGLAQLSQSVEDVFSSGDLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDEMVQPRLLDALSNRKVDAVQDLRGILTRIGRFKSLEVQYTKIHVKPLKKLWEDFDLKQRANRIEMEKRGGEISSTPFSSWLPSFYDETLLYLEQEWKWCSTAFPEEYRSLVPKVLVETMSELNSSFVSRVNVATGDAVPETRSVGKGVLDVISGDLPKSTKLQNKHLVALIELHNMTGTFARNIQHLFSESDLGVVLNTLKAIYTPYETFKVRYGQMERAVLAAAMTGIDIRGAISRGVGAQGIELSETVRRMEESIPQMIVLLEAAVERCISLTGGSEADELVLALDDTMLQYISNLQETLKSLRTVCGLDNTAHSDSSKRDSGLEKKEAPRPVDVSEEEEWSIVQGALQVLTVADCLTSRTSVFEASLRATLARIGTNFSVSGFGSSMDKSPSGAADENSGVPLGGRAALDIATIRLTNLPDKSKKLFAVLEQSKDPRFHALPVTSQRVAAFSDKVNELVYDVLISKVRQRLSEISRLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGSETGNDEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGALQLAADIEYLNNVLSALSMPIPPFLSTFHACISTPRDQVRGLIKSDGGSQLDLPTAHLVSKIRRIPLDH >cds.KYUSt_chr5.311 pep primary_assembly:MPB_Lper_Kyuss_1697:5:2073593:2074441:1 gene:KYUSg_chr5.311 transcript:KYUSt_chr5.311 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVFNHGHGGGDQGQGADGTLMCYFHPRELLVGVCAHCLRERLLLILASKQGGGRASAPADGASYLSARPYGKALRRVRTSSIVSVLALGSSLLHRIESSSSRHHTHDAVVHGNDDNNWEDDDGEDTASVASLDDSFISIKFEDNGKATWVDTQNQKPTMPHAADKSAYKATTAVVEHAKRGGAARWRKQVVGRLLQLARWKRASVSGKEAASSTAVCHAAEHQRAKGRGGRSWIRSLTRRRALHGERAWS >cds.KYUSt_chr1.1507 pep primary_assembly:MPB_Lper_Kyuss_1697:1:8385400:8385744:1 gene:KYUSg_chr1.1507 transcript:KYUSt_chr1.1507 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMGARLADGISAAVRARGQAGGNGELVQRRALLDRGDWAEEEPRRKNADVEDAGEEGGPLFLTEKRGVGHEKIQETKRHRFELIGWVSKKRTHRLHRFDSDRTKPEQKVNKW >cds.KYUSt_chr4.43549 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270079973:270081470:1 gene:KYUSg_chr4.43549 transcript:KYUSt_chr4.43549 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPERRAGRMLESAERGRQERMRRRQENHEARAPARVRLEARTAVERAACRSLRESMAAWASSGSGKLRFLASMADLTDSAVLGLWTSSPCAAAVLRLAPSRWCSS >cds.KYUSt_chr4.54042 pep primary_assembly:MPB_Lper_Kyuss_1697:4:334239889:334252726:-1 gene:KYUSg_chr4.54042 transcript:KYUSt_chr4.54042 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDVRNCHNKEQERLRVDKELGNIRTRFKNDKGLSPYEKKKYVWKMLYIHMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETYQCLALTMVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWSDRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERMARNQDIPQEYTYYGIPSPWLQVKAMRALQYFPTIEDPSARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMSRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELALKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLDKPALHETMVKVSAYLLGEYGHLLARRPGCSPKELFTIINDRLPTVSTSTIAIILSSYAKILLHTQPPDAGLQQQILAIFKKHESYIDVEIQQRAVEYFELSKKGAALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQQTSSALVLADQPPVNGSAPAANHLTLVKMPSQNIAEESNVSHEETALEAPKENGAPVEVESKVEILSETAAESKVVPPTSHSPSQADLLADLLGPLAIEGPPAAVEQNPVQGLEANESPIGDLALATLEDQSNSVQPIVNVEEKFHILCTKDSGVLYEDPNIQIGLKAEWRAHHGRLILFLGNKNTSPIVSMRALILPPSHFKVELSSVPDTIPPRAQVQIPVEFTNLRASRDVAVLDFSYNHGTVPVNAKLRLPVVLHKFLQPVTLTPEEFFPQWKAWTVQSLKLQEVVKGVRPLPLPEMANLFLSLHLAVTPGLDTNPNNMVACGLVLLLYDLWIAHHRVARRRCAASVSSRTTCALSASPTPSSARDPSWYKMAMNKAADMTREEIKAAHGRCEQL >cds.KYUSt_chr4.7658 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45731023:45733749:1 gene:KYUSg_chr4.7658 transcript:KYUSt_chr4.7658 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSTMLNPIVLSDGEEEMQMESDSDMSDSDMDVPVQQPVVVHRGPRITGNEEFDKHCVWGNNLVLNEDQCKALTKMVRQFPGKPIKYYVYRMSKSSVIQKNCKMEFSAKFTERYLKKFLSDAIELPVQCAGYKKYYSVKMRLGIDLKRAMLTSGWAKAVRSVHDGKPVNESLLILQYLDEAFPETPSLLPADPHARAHARFWADYIDKKVFECGTRIWKLKGEPSMQARAEMLEILKTLEAELGEKEFFGGEHGFGFVDAAFAPATAWFQTFESYGEFSVAEVAPRLAAWASRCGERESVVRSLYSPDKVYDFIGGIRKKLGVE >cds.KYUSt_chr3.42718 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270045107:270046269:-1 gene:KYUSg_chr3.42718 transcript:KYUSt_chr3.42718 gene_biotype:protein_coding transcript_biotype:protein_coding MERALMSDPMSKLTDDILVDIISRVPYKSTCCCKDRFPKIARYFTNASGEGDPLVDPSLSFLPRYSSLEILDCCNGLLLCRCWKPTDPKTLDYVVCNPATEKWVVVPATEWSNKVSVARLGFEPAVSSHFHVFEFIDEKAWVTDESELNEWTGSLEAVATYSSKSGVWTHPVVVSTILSIPTHSKGVFFNSIMHLAAFDDMVATFDVQGNLQTIIDTPDLPYDSPVNDVFVSRGQLYFTGITRSEFGPSMSVWVLEDYNIGEWTLKHTVSHFALFGTNYSGCNYKVISFHPERDMIFVVYGEENTLMSYDMDCRKRHIICQLGRDCQLEDISDEEKTPFIPYGPLYTESLADGT >cds.KYUSt_chr4.5433 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31357902:31358279:-1 gene:KYUSg_chr4.5433 transcript:KYUSt_chr4.5433 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLRKGNARLPPEVNRAIFVRNLPFNISSEEMYDIFGKYGAIRQIRLGNGKDTRGTAYVVYEDIYDAKNAVDHLSGFNVANRYLIVLYSQPNKMGKKMDIKKKEEEITKLQEKYGISSKSSP >cds.KYUSt_chr2.348 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2157582:2162273:1 gene:KYUSg_chr2.348 transcript:KYUSt_chr2.348 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAEGGPRRLADAVTGPSRPERDRSRCSCYADGLGYAEGGRRRILLYAEGMSTPRGSLGRCPGPDVRRRPRHLAVGHMDWLTIYTAAFFAITFMFLTTIISKIIARIRTVLPSRKENRSPSRPTQVVSGAPLLVALLTLVTPKKGLHAAIHYLHMKMGSVFTVNLLGLKKVTFLVGPEVTPHFFRASPSEIDFGVTAKIIVPVLGPGVLFGVDLATRNEQIRFCIKAIKPARLQRDVHSMVRELECYFSKWEQHGIVDLKHELGQLIVVIANRFLLGNEIKDKNLGEVSTLLHEIFENSFHMINFFFPHLPIQSHRRRDEARARLGEILGDIVRSRRRIISARVRDDHDEDGDVLQSFIDSKYSDGRSMTESEIVGLLIGILFAGQHTSMSTSTWTGACLLTHEKYLAAAVEEQRQIIAQNGEHMDYTILSKMGTLHCCIREALRLYSPTPMLVRQSHKSFTVKTREGIDYEIPKGHTLACSVALSNKLPYIYKNPDVYDPCRFGPGRAEDKAGGKFSDLSFGAGRYSCLGKDYAFMQIKVIWSFLLRNFELKLISPFPEQEHEKILPGPRGRVMVTYTRRSLVT >cds.KYUSt_chr4.22656 pep primary_assembly:MPB_Lper_Kyuss_1697:4:142497555:142499816:-1 gene:KYUSg_chr4.22656 transcript:KYUSt_chr4.22656 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDVTSHEDARSRSEPRLPLNRASRANPVLTSPDLDLASSKASSKCSLLQLDPFRIEPLTTAMKLSSAHLHEKFHKVSELMKMKKKC >cds.KYUSt_chr2.2132 pep primary_assembly:MPB_Lper_Kyuss_1697:2:12920594:12923228:-1 gene:KYUSg_chr2.2132 transcript:KYUSt_chr2.2132 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAAAAVPLRRPLLLFLKPARLLSSLAPPSSRRAHPRGTLRPVAPLPSDGEDSGDADAASFGRSRNEKKRDARRAVQWGMELAKFSTPQIKRILRAARLESEVLEALVLVKKFGPDVREGRRRQYNYIGIVQPELMETLIQSSKDGDDSRLQALLSEVEDKAMQTEDEEVEELSDEEEELRKLVRIVQVVQEESMQNKDRGKGSDVKLSRAKKPLLMFLRSLAKKHAE >cds.KYUSt_chr1.33382 pep primary_assembly:MPB_Lper_Kyuss_1697:1:202918794:202921495:-1 gene:KYUSg_chr1.33382 transcript:KYUSt_chr1.33382 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDSITEQSFATGGWGAALADHFARQADVVLRGFDGYNTRWALKVLDRAMEGAAAGGADPAALTVFFGANDANLPDRSQGHQHVPLAEYQDNLRAICAHFKNMWPSAAIILITPPPIYEPARIRHKYGDNDPSRQPERTNEAAGTYAQACIAVAKELDYPVIDIWTQMQKFPDWQTSALCDGLHFTPFGNKILFDEVLKTLGSIGFSQQSLRSDLPLYHQIDPKDPLKAFEI >cds.KYUSt_chr2.41846 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260461700:260470606:-1 gene:KYUSg_chr2.41846 transcript:KYUSt_chr2.41846 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDQPVISLRPGGGGGGPRGGGRLFAPAFASGSADFLRPHAAGASRIGDLHFETRERVRYSRDQLLDLREITDVPEQILRVKQEIETELQGDEPSWVRSESNVQLQTQTETQTQGQSQNRFTETDNRDWRARTEKPPAPAVQEEKSWDNIRETKEMYNSGRKQEQYNKQDQLSSQFASKAQAGPPPALIKAEVPWSARRGNLSEKDKVLKTVKGILNKLTPEKFDLLKGQLLDSGITTADILKDVITLIFEKAVFEPTFCQMYARLCSELNDNLPSFPPEEEGGKEITFKRVLLNNCQEAFEGADSLRIEIASLTGPDQEMERRDKEKIFKLRTLGNIRLIGELLKQKMVPEKIVHHIVKELLGSDKKACPDEEHVEAICQFFNTIGKQLDENPKSRRINDTYFVQIKELVANPQLTPRSKFMVRDLIDLRSNSWVPRRAEIKAKTISEIHTEAEKNLGLRPGATANMRNGRNAPGGPLSPGGFPMNRPGTGGMMPGMPGGRKMPGMPGLDNDNWEVQRSKSMPRGDALRNQGPMINKAPSINRPSPINPRLLPQGTGALIGKSALLGTGGPPSRPSSLTATPIQSPAQTTASPKPLSATPASVPIPDKAASSAKAIPASLRKKTISLLEEYFGIRILDEAQQCIEELQSPDYYPEIVKEAINLALDKGASFVDPLVRLLEHLHAKKTFKTEDLENGCMLYGALLEDIGIDLPKAPTQFGEVVARLILSCGLRFEAVEGILKTMEDTYFRKDIFSSVTRTLEANPAVHRDPCLAHIALEVTSMHAMGLYQPCPGGALGPMSSCSAGAVPSIMLLLGKGNEGICARYERKIMDINPMARNFTYDINDLFNFIDGLADISALVYDHQLHAFLPYDRQWIKQKMFQHLKTLARN >cds.KYUSt_chr3.30561 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191589498:191590328:1 gene:KYUSg_chr3.30561 transcript:KYUSt_chr3.30561 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQGQRIPLGGQLQNYQTAVQQLVNILGDEDSAANHLSQCIFTVGMGSNDYLNNYFMPTVYGTSRQYTPEQYADVLANQYAQQLRALYNNGARKVALMGVGQVGCSPNELAQQSPDGVTCVERINSAIEIFNQKVVNLVDQFNTLPGAHFTYINAYGIFQDILRSPGSHGLTVTNTGCCGVGRNNGQVTCLPYQTPCANRAEYLFWDAFHPSEAANILVGRRAYSAALPSDVHPVDLQTLAQL >cds.KYUSt_chr2.11528 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73331608:73332718:1 gene:KYUSg_chr2.11528 transcript:KYUSt_chr2.11528 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCQMSTIVMRVDLECDKCYKKIRKVLCKLQDRENIKMISYDEKNNVVTVAGSFDAEEVSDRLCSSAGKVITDIQVARGNQMMMPGAGKAAPKNPGKDSHGKKEGHGHVQGGQQGHGQGQGHGGQQGQGYGGGQQVQAHGGGHGGGGGQQGHGQGGGHGGGGGKPDKTKHVKFDMDDDGDDDEFDLPAHHGKGHGNDHGSKGHGHGHGHAGEKPRVFTTNTAMARNEAPRPQQGASMAAMAPMRMPAPMGPSMTMMPQALSTPSIWPVPPPAPSYGYGAPAYGYGGGPPAGGYYGGPVYDHGGYGAYGGGAYGRNPYQPQYCYEEEPSAGCSVM >cds.KYUSt_chr4.41124 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254244648:254254479:-1 gene:KYUSg_chr4.41124 transcript:KYUSt_chr4.41124 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSQSLFFAPSPAGPSLRARGRAVTMCAAAASHNPQPRNNPFAVSSSTPKSKSKDSKNALALGSAIAAAASGAFLIASSGGFGGGPGGPLGGGGGGGGAGGGGGGGGGGFWSRIFSAGAANADEKSSGDWDPHGLPANITVPLSKLSGLKRYKLSELKFFDRAAPAGGGATEAGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEGKAKPDGTLGLTVSFTESVWSAAKQFKCINVGLMAQSGQADFDQDMTEREKMDYLRKQERDYQQRVRGAMPCILPETVRGEVLAMMKKQEKVSARMLQKIRDHVQKWYHNEGFVCAQVVNFGNLNTSEVVCEVVEGDITKVEYQFQDKLGNFVEGNTQIPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEVSTEWSIVPGRQGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRNKNRTFKTSCFNTRKLSPVFVAGPNMEEAPPVWVDRVGFKANITESFTRQSKFTYGLVVEEVTTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGVDRMAFLQANITRDNTEFVNGAVIGDRCIFQLDQGLGIGSKNPLFNRHQLTLTKFINLNKQEKGVGKPLPAVLVLHGHYAGCVGDLPSYDAFTLGGPYSVRGYGMGELGASRNVLEVASEVRIPVKNTYVYGFAEHGTDLGSSKDVKGNPTEFFRRVGRGSSYGVGVKLGLSFELMYNHKMKAVFLAFIFTLFKSVHFIIASNHIIHGIEAVFSRVPRWRLLFLSLYLPDRACRPLSSLPPAAMVAGGEREGEAEGGRERIGVSYAGIFLLVPWQVFALAILAPAFLPLSCWNNMDLVGYCFLYFRMFLAMAAEKEKSGGARLSSSVGHCSGSAHLCRRGGGRMDGVVESAAPWEDLAAAIDGVHQQRRSFAGVIHGRRGRSVLRCCGRRSLFNLLAGVPIWRPFCNSVMAFIVAPASSGFVPDDVADGRGMECIFIFGGVGLDCVFNTLLENGKAFAAKNKEGELECATEDPLCTLQEAFEKEYKIHGTCKVSANGVLTGYVLCYKLRNIGLKARKNDSFLGKLKDYL >cds.KYUSt_chr1.31820 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193053931:193055026:1 gene:KYUSg_chr1.31820 transcript:KYUSt_chr1.31820 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRTPSPAKPRWGLSSEHLVAAILVLVCGLLTSVASTAAPILGDIVAEATIQIVRAAGDASLLFDTAVVDGAAPFLCAAVVVDAAKSLVVSFIDAATAAAAFLGPDEVRSFLPFIPTLHHKPVEGYRRIGRRDQGGYVLGQRVEIIGGYSPLEELGERSFSLDLPRRTLTARGPRPPA >cds.KYUSt_chr2.807 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4757135:4760540:-1 gene:KYUSg_chr2.807 transcript:KYUSt_chr2.807 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVDVEDEPETLWHVGRGSSPWSPDPNTVIFFRLSRRRKTLPPAVILTPNCKMPDITAATNATVTHESAERQSPDTNLARSKDRREDQATCPPTSPDLEEEHIEDLALLDPSSSSDSMKIAPTASLNPLSPVNLLTSP >cds.KYUSt_chr7.27087 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169309736:169317536:-1 gene:KYUSg_chr7.27087 transcript:KYUSt_chr7.27087 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYFAELDAREAKMTPQDKAECNAYFKHLESKSDTHHEPSCSDIDDAIAMEMRDSTICEMSDSTICELERHHFEDMSDTPSPMDDETPIMEKMYMVHEDDDITPCLLLEDEHGGHMEPTTSTTPTSYERDYKASYGELDTDCRTCLLSVVGRFLVTEARGQEPWTHQGLATHALTYNTGTPEEFRTTNRDRIPHRRHSLNLAEHLQEPHVITTAEHNENAMSKPVADLVWEIAALEEEVVRRELHLLSLYRATFDQYLGISPRVSGQVGQETHRQGSRKKADEGALRLRDIRESASYSLPTVSDSRHYSQGMSRSTSGHSSLANFLSASIAEYVPRIGCKLSEDILKCISSVYCKLASRPSQDAYSETLSTPSFSSASSTFSLKHRVDSWSPRLSCNVDASSEKYSSLNENNNQYSGMIIFPRIHIDADKFDYASKMLDTIRALIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQDKRMKSSDMISKAAYDVGGHSVNSQIIQNSILGCQSHRPSLWVRTLFTPTKKPAPGSSIHPYALSQPEPLAHFSLSTGAFSDPPVRLYTAKKLYRQLDQAKAEFIRANVMVRKQVIFLPKVLHYYAKDAALELPDLIEMVCKSMPEAQQKEIRKCLRRRIDKRVEWLPYKSSFRYTVHRNLGE >cds.KYUSt_chr5.39351 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249078073:249078471:1 gene:KYUSg_chr5.39351 transcript:KYUSt_chr5.39351 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTAQLQPRAVAALWVLLVLLLLGTRAADAQQQGTCGQQLTGLAPCARYSVPPAPGQALAVPGPECCSALGSVSRDCACGAIDIINSLPAKCGLPRVTCRKNHPTQLKHSRTLDADSCASLLFMFVSCNS >cds.KYUSt_chr1.22210 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131337759:131338055:-1 gene:KYUSg_chr1.22210 transcript:KYUSt_chr1.22210 gene_biotype:protein_coding transcript_biotype:protein_coding MRYALATCQDAPEERGRGRPAATRFQRCQAQEPAFPRTRPSRVASTNLGNSPASSVVLAPGSELATGDPRALGRGGGASPPISASPRLQQDALPAAAS >cds.KYUSt_chr3.29807 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186486066:186488334:-1 gene:KYUSg_chr3.29807 transcript:KYUSt_chr3.29807 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRACLRLRRLLETRPPSSSPSPALVPHLSPRTSPFSSAVAVAAAAPHDCRDSGLGSSAYWAWIRAAAEAAPAPAPPQDEEEEGLSRYIPVKAYFLSTSIDLKSMQAEHFSDVVPQSTRSLNYIALRYSEFPPEIMDIGVRDNRFCYRYVVVFQYGSAVLFNIADHEAEHYLDMIRNHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIALKSVDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGDFTMKRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNSNYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLTIEIVISLYEIIKDSSMMS >cds.KYUSt_chr5.20030 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130104512:130105102:-1 gene:KYUSg_chr5.20030 transcript:KYUSt_chr5.20030 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTVLPEEEEAFVTNVLGHERGGKEEDEEEERREGEGSTCPLPCPRRRRSALTTSPRDDGSLTSSIVDLLERTMFWGLVFCK >cds.KYUSt_chr1.22832 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135213962:135214512:-1 gene:KYUSg_chr1.22832 transcript:KYUSt_chr1.22832 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGDLAVGAAVLASSATLLHMAVGERSPREWNRCRNGEAPPTNSFPRRQDVGEALRRGRLMVYVAGARIGSNDNLSRCRLTGQTLVHDDGCPQSSATPFRFYGATGYLLRHTAYELLHAGGEGQCVVGALMGEDQNDAVAM >cds.KYUSt_chr2.54210 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338296051:338297148:1 gene:KYUSg_chr2.54210 transcript:KYUSt_chr2.54210 gene_biotype:protein_coding transcript_biotype:protein_coding MWYNGEVAFSDAATCAGSIGIEIRGLPTDNPVINRRGRKQSVKSYLNPASFVIALASSVPEGLLRIPLTLLSTLQEMDIAVFPHLTFKCSMGGLSGFTSLEKLTIWYCPKLLSSLVHIVRTDGQMNGRCLLPQSLGHLVIGDYSGVMLQPCFPRNLTCLKNFQIWSNESLESLQLHSCTALEELNILGCPSLTSLEGIQSVRHLSIFSSPSLPPYLEHFSRQGYALYPQLEKLVTDDPCILTTPFCKQLTSLQRLELRTCESKSIRLTDEQERALLLVTSLQELQFWKCSYLMDLPGELHSLPFLKKLEIRNCQRILWLPEKGLPPSLEELDISCYGGCSKMLAAQSRALATSKLKVKIDGKYVN >cds.KYUSt_chr4.43461 pep primary_assembly:MPB_Lper_Kyuss_1697:4:269531650:269538253:1 gene:KYUSg_chr4.43461 transcript:KYUSt_chr4.43461 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRVLSLLAGHLLDQQPEGNAEAKLPRLRHLLVRVESVAEAAGERRITSHALLAWLAELVNGARSGRATSSTRSRAEEARKKDMASSCRRGDADGERFFFHLPATRCWRKGGGSSRAGGADGVWRASGKETLVLAPRCGRPVGAERTLVFCAGLTLHLRIKNGPTQIVIRVIKNRLIENEFFFSFVFPHSTTTVFSE >cds.KYUSt_chr3.49085 pep primary_assembly:MPB_Lper_Kyuss_1697:3:306664565:306666292:1 gene:KYUSg_chr3.49085 transcript:KYUSt_chr3.49085 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASSHLRLLLLRRHRLFSTATTPIPSTPPPAPAPTTESVLYSLRSLSKEDPSLALAFFRRSAESGHPLGSAAYNLMLRTLASRPTSAAQHFWPFLSDMEAAGHSVDQGTYLAALASFKKASLNADYASLTDRLAKARTDAHPVAAAVLRGLDDEEEDGSLDKRLEGVELTETAVTKVLREVRDHPAKALAFFRWAGRQNCGYKHESAAYNAMARVLGREESMREFWDLIQEMKAHGMHLDIDTYVKLSKQFQKRRMMTQAVELYELMMDSPYKPSQADGPLLLRRIAVGPSPDLELAYRVVRKYEAVYEFKSKDVFDGIHRALTSNGRFDEAAEVMYDMRAAGHQPDNITYSQLVFGLCKANKCDEARKVFDEMEAEGCVPDLKTWTMLIQGHCAAGEVDKALHYLTEMIENNLDADADLLDVMVKGLCNQDKVDAAHTLFVEMVDKGELSPWKHTYKHIIRELLRVRKLEEALGLLKSMKARKFPPFADPFPPKIAEYGTLEDARDFLKALKRSVNTYPAYTVYLLMFKSFFAEGRYSEARDLLYKCPFHIRKHHEVTKLFESIKAKTTS >cds.KYUSt_chr2.41417 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257598038:257600163:-1 gene:KYUSg_chr2.41417 transcript:KYUSt_chr2.41417 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSQNPGAVVPVDDSGKPKEKRKKLKGKRAVTRFLKSLRWKKKKEFQRMTAEEKILYKLKLARKKEERLLAALKKIEPNEPSEPTHDPEVLTPEEHFYFLKMGQKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPEEVKEIASELAILSGGIVLSIEEGNTIIMYRGKNYAQPPPEIMSPKIALPRKKALDKSKHRDNLRALRRYIPRLEQELEDLHAQMKLAGGHRGQSVVKDVAFVSDCADTISVKKDSSNSIHTRSVSELLSESIERSEKLDGENSEVDDDSTSESIPYSESEDLSDMFETDSEEQVEDSKERPLYLDRLDKFPTENNDNEPDDFEEHLRKIASLSDRTDSPAKELKVSELDEIDKIFLRASSLLKKR >cds.KYUSt_chr5.41390 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261267399:261268202:-1 gene:KYUSg_chr5.41390 transcript:KYUSt_chr5.41390 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVHMSKLSLPELKKHLADHVSCSTTFLEKTSLCWKIPRKTCRAAMIWLEDQSSIRSMVKYVTDADIMDLYARHPTEEEFEEHVDVRENVPQTMQNKDVFGGDADPTDRDKQEHDRTDRYNTEHNDAAKSPTFGALMEAKGKGIKETTSDEYSDSEDSDFLCDHDADDSNSSAEDDEAISYRLQARELKKKIKKKMLGEEEIKGCTVPEEFIVSEVNEEEEDGEEEQFQDSEDELSYSEDSDGEVKTRKTKHRVYDENAEVQEFEL >cds.KYUSt_chr2.20123 pep primary_assembly:MPB_Lper_Kyuss_1697:2:126550521:126556167:1 gene:KYUSg_chr2.20123 transcript:KYUSt_chr2.20123 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAAAAAAVLRVAVVGLLVLVLAHPPLAAALRPLRERVASAGAAASTGSWADEHAFFKRDDNDVSPYSWNITGTYKGTWTFAAATNGSSRFLEFVTSKGDSVLELLSTPTKISGVHYVQGTITFHDVIDNAHDRGPAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQDSSEEKNRRKNSLTYDMEKHCNIEIAAKVVQVSSNQNEGEHEKYRLEGLMESPAVDDDGECFSSLLLNATSLNVEAYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEVMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLFLMYSFWIPQIVTNVIRDTRKPLHPQYILGMTATRVAIPLYIFGCPSNFMRIEPDKKWCIAVTVFMGIQAAVLLLQHYLGSRCFIPRQILPEKYCYHRKVEDNANQPIDCVICMTTIDLTQRTSEYMVAPCEHIFHSGCLQRWMDIKMECPTCRRSLPPA >cds.KYUSt_chr7.20792 pep primary_assembly:MPB_Lper_Kyuss_1697:7:128905042:128908396:-1 gene:KYUSg_chr7.20792 transcript:KYUSt_chr7.20792 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSGKRTSQHRDHEREDRDQKRRPTTYTQESSSVDELVVYRILCPDKVIGSVIGKNGKVINSIRQQTNARVKVVDPYPGADKRVILVYCYVKHRDLSHSDIDVGDDDREPVCAAQNALLKVHDAIVDSLAINNDSEDEEANILVPASQAASVIGKSGAVIKRLRSMSKSFIKVRPKDPNEVTHSCAMSFDNFVQITGDARAVKKALFAVSTIIYKCPSKENIPLETSIQELPPAIILPSELPVYPASNLYPVSDAAMPSGHPSLAILGATRHDSHAPEFTLPADAHGRLPIYQSMVPAIPTYNTPKCSGELVLRVVCPGDKIGLVIGKGGVTIKSIRKESGARVDVDDAKNDREESIITIESTEATDDVKSAAVEAVLLLQAKINDENEDRMYLRLLVPGNVIGCLIGKGGSIINDMRSKSKATIHISKGSKPRRASSSDELVEVLGEVDKLRDALVQIVLRLREDVLKDSVERQNSGKDGKLTVATTEPMYSSSFSMPALLPYSQQITPLRYDQRSESERGSDIYPRSSSYGYSSIQVADDGFGARSSYSSKAYTRRGPDMEMIIPSSGLSKVIGKHGTNLDNIRKISGADIEIIESKSSRHDHVAHISGTSEQRHSAENLIKAFIMST >cds.KYUSt_chr7.9854 pep primary_assembly:MPB_Lper_Kyuss_1697:7:60313477:60317751:1 gene:KYUSg_chr7.9854 transcript:KYUSt_chr7.9854 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIEYEEAVARCKERRQFMKAAVACRNAFAAAHSAYAFSLRDTGAALSEFAHGEGVPPPPAAAPAPAPIDEEMPPLPPIDTMLPEFSPSTGKIHSDSIREEDELEDQDATDDDDSQLDNRHHAQPASPPPPPLDPKATEDDEIVPDPEDGNYVPASPPPPPPPAPIPSSSYDDEEEPRTPPPSLPPKHPKKNTGKGKAKNKEVHHQHTESAPRVDLLLRVLKEVDDRFLRASESAKEVSTLLEANRMHYHSNFADNAKGQRHIDHSARVMQIITWKRSFSGLQNGDDRKDDFENDPSETLATVVDKILAWEKKLYDEVKAGEIMKLEYQRKVALLNRKKKNNADIDVREKTKAAVTHLHTRYIADMQPMYSTVSEIQHLRDNQLHEKLLDLADRMAKMWEDMHMHHANQLRTVLDLKSADISDFNIETSAHHHSHTLQLRDIVEKWNLNFSDLMSYQKEYINALYSWLKLNLIPIESSLEKKVASPPWMKQPPVKALLHVKQPVPCNVLCIGSFGKRYS >cds.KYUSt_chr7.5059 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30195422:30196102:-1 gene:KYUSg_chr7.5059 transcript:KYUSt_chr7.5059 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYIPVPRKYCPIRKKNTMIWHAALSTFVLNKACELVTKEKTRVPLFRNRDLKAIVEPILKYIDHETRHREAELLNKPMENYARMKRIYTNCVLAAPLTHAMVHRALSHLMDHQAHATRYLAMTTDGKMAWFNAFLTKYYL >cds.KYUSt_chr3.44183 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278930574:278934059:-1 gene:KYUSg_chr3.44183 transcript:KYUSt_chr3.44183 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRSGEAGVATGDTADADRARLHQLGYKQELKRGLSLMSNFAFSFSIISVMAGVTTTYNGGLRYGGPASMTLGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGDKWAPLASWVTGWFNIVGQWATTTSTDFSLAQLVQVIVLLGTGGANGGGYMASKYVVLAIHGVFLILHGLINSLPIRWLSWFGKLGAFWNTAGFFTLVILIPTVAKERSSTKFIFTHFNDDNGMGVHGKAYILALGLLTSQYSLLGYDTSAHMIEETKNADWSGPMGIITSVALSTTFGWIFLVSLTSIVTDIPYLLDPANDAGGYAVAQALYTAFNRRYGSGVGGLVCVGIVAVGIFFAGAMCIASNSRMGYAFSRDRAMPFSHVWQRVSKNEVPLNVVWLSAVVAFIMALTSLGSLVAFQAMVSISTLGQYIAYALPIFFRVTTARKSFAPGPFHLGRYGVFIGWAAVLWVAFLTVLFSLPVAYPVAQENLNYTPVAVGGVLLLSLAAWVDAVTAIQGRRPLCRDPDLEEEQHEIHPHHHPPGDAEARKEGEDRAARMQGRAAAPKPPAR >cds.KYUSt_chr1.41060 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251833931:251841890:1 gene:KYUSg_chr1.41060 transcript:KYUSt_chr1.41060 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKNQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYTPPPSTFNVLLGSYCLSPAVKTHSNSPSIGHNNVIRFQNSKFSTSNLNLRSCSKGPNKLLVTRASAAVQGQTNVTQTPLTGGQQASGESSSRPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPIASIQNRIRRWKALTGKTIQLFIGDICDFEFLSEAFKSFEPDSAVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTLNVLFAMKEFSEECHLVKLGTMGEYGTPNIDIEEGFLTITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRLDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELARLVTAAGAKLGLEVQTKSVPNPRVEAEEHYYNAKHSKLSELGLVPHLLSDSLLDSLLNFAVQYKDRVDTAQIMPSVSWKKMGAKPKTVSV >cds.KYUSt_scaffold_3611.83 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:391388:395970:1 gene:KYUSg_scaffold_3611.83 transcript:KYUSt_scaffold_3611.83 gene_biotype:protein_coding transcript_biotype:protein_coding MMHARMIVSAMEAVELEDSGEHQQPLEASLASDVIYEDAPVCPCIGIEHQAEIPNLCTEDERHQLMASPLESMLPGFDFPVTIGLAVPIVWGPTEVHKEEGLGIHHSSETEARASCQDEDGRVTSVCPTSNSISDHDSTYQDPDPVVPVDHIEFDGNRAQDGNLAPCSTREDPDFANNPMTKQVEVDQFTPLPYSSTSLWSGIEAECFLLGLYIFGKNLSLLSRFVGNKTIGDVLCYYYGKFYKRDAYKRWSDCRKARTRRCILGERIFTSWRQQEIISRLKSLALKEAHDSLAEIFKSFNDGQTSLEDFVFALKSTVGIEAVVEAVGIGKEKHDLTGFVLDPSKPNQALSGHPDMPTGKDCSSLASEDIIKFLTGDFRRSKTRSNDLFWEAVWPRLLARGWHSEQPKDVSTTKNSLVFLVPGIKRFSRGDLTRGTHYFDSVSDVLKQVAADPVLLDIEVGGMDYGVTAEQNGDATDLNLNQDDPLDGNQELPRYTIIDTTLVQGAEPLRVRELRRLPADAKIRPGTSRHSRNIVNVSSSEEQDVNNRLLVDQEYCGQVAADVNDTEMVWAYNVGKETQDDSLQNMMAASCSDFPVNGHSSNGSSNKIDLTCLFDPKRKTERRKYLSPVSKRRRLSSCNTDQTSRRSFNFSKGDGSAKEKIKAPSTSSKPTVRDVCGNFRIKTTAGCSTEKPREQIRDASNALTSDRWNEKMKVKNLNEHKKVNVKNLNDKSFECKVDMVPEVYSQITITEAKFGKGAQVSSLAGQVKQEAPLDGKTSARVRAMPSDDHGHMKAEEVPSISNGNQVRDPEVTGGPANPQADLASQADSRRHGTRNRPPTAKALEAVALGFLGGKRKGEPKSPGTSRPPQRPRKPSKDWVYAPTSSDTDNPSMEPDSPR >cds.KYUSt_chr2.34898 pep primary_assembly:MPB_Lper_Kyuss_1697:2:215185442:215187032:1 gene:KYUSg_chr2.34898 transcript:KYUSt_chr2.34898 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >cds.KYUSt_chr2.18393 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115933099:115935546:-1 gene:KYUSg_chr2.18393 transcript:KYUSt_chr2.18393 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDGHSCREILDFTEEEFNVIDTGRIRKERKRRSGRDAGVFEPGAGKDKQIDRNSLSEGEKRSLRMAQTMASMTGLSQGVRLPGPAGRRASRLTVRASAEAETAGRRAVLGLMATAIAGGAFTQQAAHAGTVAAIKVGPPPPPSGGLPGTDNSDEARDFDLPLKNRFYLQPLPAAEAAARAKESAQDILKLKPLIDRKQWPYVMNDLRLRASYLRYDLKTVIASKATKEEKKSLKDLTGKLFDTLDGLDHAAKIKSPSEAEKYFGETKTVLGDVLAKLG >cds.KYUSt_chr5.4824 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30594437:30597405:1 gene:KYUSg_chr5.4824 transcript:KYUSt_chr5.4824 gene_biotype:protein_coding transcript_biotype:protein_coding MSRELNPIPSHRRLLLFPPPPSSADPAWLRRLSALQATTTATPHQTPRRNLLGMLGRRASARARPNVLVTGTPGTGKTTTCALLADAAALAHVNIGDLVRDKGLHDGWDEGLDCHVINEDLVCDELEDRMEEGGMLVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYMGAKLTNNIECEIFQVLLEEARDSYKEDLVMPLRSDNVEDISRNVGTLTDWINNWRPPSQHLEYPELNLADVFALAGSRLVP >cds.KYUSt_chr2.23082 pep primary_assembly:MPB_Lper_Kyuss_1697:2:141174548:141197102:-1 gene:KYUSg_chr2.23082 transcript:KYUSt_chr2.23082 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCFTGYGACRTDCGNSDAHPDVRKIITSDPYVSVCLSGATVAQTRVIANSENPKWDEHFYVQVAHSVSRLEFHVKDNDVFGAELIGVASIPVEQITPGDMVSGWFPISGHYSNHMKASPELHLSIQYKPFDQNPLYKDGVGADGTENIGVPNAYFPLRKGGRVSLYQDAHVPDEFRPNIEIEGGRAYEQNRCWEDICHAIVEAHHLIYVIGWSLYHPIKLLRESTKPLPNGVPQTVGEVLKSKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKFFRHSGVHCVLAPRYASNKMSIFKQQVVGTLFTHHQKCVIVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRIFKDLNTVFKDDFHNPTFPVSKSDGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKINRMSWIVSPSADELNAHVCDEKDPENWHVQIFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYVKAIRSAQHFIYIENQYFIGSSYYWSSHRSAGAENLIPIELAIKIARKIKAREPFTAYIIIPMWPEGNPTTAAMQEILFWQGQTMSMMYKIIADALRKEGLDDAHPQEYLNFYCLGKREITSDSSTTSHSNENSPMRLAQKFRRFMIYVHSKGMIIDDEFVLIGSANINQRSMDGLRDTEIAMGAYQPHYSWAGSRGPPRGQVYGFRMSLWAEHLGTVEECFRRPQSMECVQLVNQMAEDNWACYVSPQMVDMKGHLMRYPIKVEKDGRVGPLPGHESFPDVGGKVLGTHSSLPNALTT >cds.KYUSt_chr2.35301 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217914351:217915424:-1 gene:KYUSg_chr2.35301 transcript:KYUSt_chr2.35301 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSVEVPPYFLCPISLEIMRDPVTLATGITYDRASIERWLFDAAHHVTCPVTQRKLAPEDRDATPNHTLRRLIQAWCALHEVERFPTPRAPVDACRVATLVDEARGAGRRQELAALREIKAIAAESDRNKRCVEATPGAVDFLVSVVRHHCAASRSVEDLLELSLDSPTSTSPPEEDALSVIYSLKPSKKSLLQILERNNGAFLDTVLHVLRRPSYRSRTYAVLLLKAMVSVMEPARLMAVRPDVVQEVVRVVSDRVSAKAVKAALHVLCRLCPWGRNRVKAVEAGAMTVLVELLLDEASRHSAELAVVAIDHLCGCAEGRSELVAHPAGLAVVSKKAMRVSPATTESAVRVLA >cds.KYUSt_chr6.3858 pep primary_assembly:MPB_Lper_Kyuss_1697:6:22167210:22168505:-1 gene:KYUSg_chr6.3858 transcript:KYUSt_chr6.3858 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAAAVAAAVSKVLSDDDLLAEILVRAGFPTTLVRAAAVCKRWLHHASDKAFLRRFRKLNPPRILGFYTQIFQGDPRFVPMLPQPPELAAAVRIVKGYSFGAPNDGDSLVSHEVLVHDCRNGSVSTALRSTRNHAMRFEELLGFTVGMDSPLCPEKAMAIDPPLSPHHQHPKYRGLGPAGFLILSREEKDGSLSYFFVLLENPTSLDTTSETNFAVHVFMLKDGAWCMLASATTQIDHWREDIRAVLVDNRIYIMATYIEITVLDLTTSSFSTVKLPPGLSDSMISRADDASSVYLVGMKEHQLGIWLHKGDSWSIMGTICLREMCANLMMSDCTVKDVIISPLWIIHVGDNAEFVILQMGRSVFYLDTRCRTLRTLYETPEDELYQVDFSIQPFMMIWPPTFPALKCDPTRFAFWPLDTLYSDLIDVF >cds.KYUSt_chr3.37709 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237255686:237258821:1 gene:KYUSg_chr3.37709 transcript:KYUSt_chr3.37709 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGLLLIVLMLPWLKLGVGSGNTASKNKSATSRSSLLGFGCKMDELSTGHGGEGERISPSARFLSSSPMAGRGGEDMVRKGGPILDPGVGSCLSYRCCSCLRSHLMLSSLSCHGGEEGSADCMVEPEDLPCHLPGGCYGSPLILCVEHVASLIDAVIFGRKGSRAQPPSWRPPSLLLRKLDDALLPSGRASREERFATRKLLQTNVLTRSKDAQVFHAAAAAEGSKKHGVQVAASMNLKKQTPGTESNPIQN >cds.KYUSt_chr6.11324 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70148504:70151818:-1 gene:KYUSg_chr6.11324 transcript:KYUSt_chr6.11324 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFFRKLHIGDGSGDGAYSSPAPPPSRSKGTTTGGGNAVHHPVPEQQLPSMVSSWLDSVPTRPPSPTPPVPAEPASTSPSLGGDERRPQPQQEEGMDRRQPQPQEGEAERERRRSQEEDAVEERVIRESSEAEERKRKQLHGLDRGIGEFGKGKTKLRMHYYKRVSCGGGESSLLLRERADPAAPVPPVKGKSTPSTSPLLLGLGGGISINISISSISTIITTISIYAIDVIPLIVCAELNPEYCF >cds.KYUSt_chr5.4497 pep primary_assembly:MPB_Lper_Kyuss_1697:5:28723136:28724709:1 gene:KYUSg_chr5.4497 transcript:KYUSt_chr5.4497 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGFRSKRGRSVSPGKDEDGGGVDHISALPDAVLGEIITLLPTKDDARTRILASRWRHLWRSAPLNLEGRSLINHPDKRGKFAGVVSRILSSHQGPGCRFCIPDGHGRSIYGAATTMDAWLRSPALDNLQELDVWYDRCHQVPASTFRFKATLHVLTIGGCDLSKSSTVQGLGFPVLKQLGIECVIISESSIHSLIASCPVLECLSITYTYGFSCLRINSHSIKKIHIYGCGTDEPEQTDPIELKELIIESAPCLEILQDICNDGLHASIVSAPKLETLGILNEHKRDGVDYSTRLVFGSTVIQGLRVDSLATVVRTVKDLSVCMMALNLDIVIELMKCFPCLEKLSLQGWSTGEKNLWRRKHRKLIGCLDIRLKTIVISSYRGIWSEINFATFFVLNAKVLEFMIFQVGSTSEEFIAEQKKKLQFDNRASRVAQFRFTTGVAVEV >cds.KYUSt_chr5.19020 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123116259:123116474:-1 gene:KYUSg_chr5.19020 transcript:KYUSt_chr5.19020 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTLSVWPSDMDAARSGKVFIRTTGYGRFSFHLDTGKLERLSTETGMDYGHPIFAYFLAWPPAFLAPDHY >cds.KYUSt_chr2.27779 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170612901:170615932:1 gene:KYUSg_chr2.27779 transcript:KYUSt_chr2.27779 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNRDVTEDRALSKIAAFRCFHIHHAGLEYHLTSSHELFHFEFLVSEDCQAVYVSLKTDTRREELLATAGDERGERVFFYRSRFKKRCRRLETTIEKTKHVHPHIMEPGSSGMTIAVQKDNVESRSPGNMGHVHAHTRESGSSKDAHKESEVDYVPKENGEKKFYAIAVSALHFAGGFLCHKLQLILIPHYTVAIIQHQQF >cds.KYUSt_chr5.35951 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227133871:227138472:-1 gene:KYUSg_chr5.35951 transcript:KYUSt_chr5.35951 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLRGIRTQALFRANAGLFCTTRLSPLALFTTRVESVQTTNPKTAPKSIQQAAKEAAEQKMQGFEAVIGIETHVQLSTITKAFCSCPYDYGSQPNSTVCPTCMGHPGTLPVLNAKVVECAVKLALALNCEISMTSKFDRKQYFYPDLPKGYQISQFDIPIADKGYIDLDLPVEFGGGHRRFGVTRVHMEEDAGKLLHSESGNYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAEVQRLVRYLGVGNGNMQEGSLRCDVNVSVRPIGQSEFGTKVEIKNMNSFNEISRAIDYEISRQIHLHKESQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLAEVVLTSEYIDEIRNSLPELPEAKRRRYENMGLSMQDVIFLANDDNVAHFFDSTLEHGADAKLAANWIMGDMTAYLKDEKLSIHESKLTPLELSEMIASIKNGTISGKIAKEILVELIAKGGTVKSVIEEKDLIQIADPGAIGAMVDKVLADNPKQLEQYRAGKTKLQGYFAGQVMKASKGKASPVLLNKILGEKLKGN >cds.KYUSt_chr4.5589 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32414823:32418473:1 gene:KYUSg_chr4.5589 transcript:KYUSt_chr4.5589 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGAPSSQGKNRRKQKANPFTVAYNRPTGAAPRAGLVVLRDPTGRDLEAGHVLGGELGRGEFGVTKLCTDSATGARMACKSISKRKLRTPIDVEDVRREVEIMRHMPPHPNIVSLSAAYEDEDAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQTCHRNGVIHRDLKPENFLYASKKESSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRNYGPEIDVWSAGVILYILLCGVPPFWAETEQGVAHAIIRSVVDFKREPWPRVSEPAKDLVKRMLDPNPLTRLTAAQVLEHPWLHDSKKNPDIQLGDAVRAKLQQFSAMNKLKKKALRVIAEHLSLEEVADIKEMFDNMDVGKNGKLTFEEFKAGLRKLGNKMHDSDLRMLMDAADVDKNGTLDYGEFVTVSVHVKKISSEKHIQEAFSFFDKNKSGYIEIEELREALTDELEGPADEDIISSIIHDVDTDKDGKISFEEFAAMMKAGTDWRKASRQYSRQRFTNLSLKLHKDGSINDDDRK >cds.KYUSt_chr4.11736 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71369568:71369957:-1 gene:KYUSg_chr4.11736 transcript:KYUSt_chr4.11736 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQPQQPPSHPEHTQGRRPAALRPPVHRPQPRLRPSAPAALSRANGGSTPRPQPAWRPETRRRNQQRGRPPPADPVAALTAEGVDDRKQPCHQREACHVTHRRPEAAAPVSNLRRLRCKQPEGPRCP >cds.KYUSt_chr5.42545 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268063025:268066757:1 gene:KYUSg_chr5.42545 transcript:KYUSt_chr5.42545 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCVIMHNMIVEVERDDSLFDNEWDGQGELVTPQGGPASFQDILHAHHEIRDLAIHNQLQADLVEHMWQHLAMDAVAVAGCFRDKTILVTGSTGFLGKLLVEKILRVQPDVKKLYLLVRAPDAASAEQRILSQVLGKDLFNTLREKHGLAGFQKLIKEKIVPLAGDVGTRDFGLDSSRLEDLCEEIDIIIHGAATTSFYERYDVSLATNALGAQYGCEFAKKCPNLKMLLHVSTAFVAGTQEGLLLEKALKMGQALRPGYHLDIEAELQLVEKVKTELTAAKSGGSDQSEKTIMKELGLKRACHFGWPNVYTFTKAMGEMLLEQQRGNLPVVIIRPTMVTSTYQDPFPGWIEGARTIDALVVAYDEQAFPCFVGDLKDTMDAVSNTQ >cds.KYUSt_chr3.17748 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108908073:108908405:1 gene:KYUSg_chr3.17748 transcript:KYUSt_chr3.17748 gene_biotype:protein_coding transcript_biotype:protein_coding MDACRIAGLPDYHHGVIFAGLMALGFVIVVSTVSLATGHKCALPSKLLAGYVAPALRGQIPRKPRTPSSTRCSLRLAFPEVATLAAPPDLHPCGPPAPATTAPPGSTHAA >cds.KYUSt_chr6.14267 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89140624:89141421:-1 gene:KYUSg_chr6.14267 transcript:KYUSt_chr6.14267 gene_biotype:protein_coding transcript_biotype:protein_coding MESDAIHAPTTSSSSSDSTVINGGAQVVPKNLHRPKTVKRKRQTATSSSTAPGGGLSQETEVVADDDERTAGRHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAAVAIKGRAAHLNFPHLADALPRPASTSPADIQAAAALAAAQCEPSSAVAEKNETSSASAASSGTAATVTAQCESSSSVAETSSTSPASSGTAGSGEENALFDLPDLLLDLRDGLWCSPAWAPAADEYDGGEATLHDPLLWVEQCWT >cds.KYUSt_chr5.5860 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36503585:36508671:-1 gene:KYUSg_chr5.5860 transcript:KYUSt_chr5.5860 gene_biotype:protein_coding transcript_biotype:protein_coding MERPWPVLLAPRQIVRQGRERGHVSTCHCVSCKAAIPLTHSLPFSRHLPLSLSPIPEASSVASSCSATAATAAAEDGGWGPGTCRCLACTSSSTAKVTHTLPAPTLVRLPPPRRLIASCFADRDRWPPEARFLEAAHHGDVRKIKKIAKELDVQGRGIPATVASTTYMGMNALHAAGGRGRLPAYRYLVEEVGMDVDKPDTAQAGLMLAFTPAAHAVTNGCLPAIKYLVNHGADVRQQRSKGNITLLHTAALLGYSEIVEFLLSRGADVDAISDLGTPLAVAALRGYASIVKILLQNNADGGTKVSCDYPFDNPLVKAAEKGLTEAIKCLLEAGANPNVHDTFGRLPIELAAEYGTREDVEILFPFTSPISTVEKWSVDGIISHVKMEIKQLEDGNFVKKRMSDLKRQADEAFKKQDYPNASLLYTQALRMDNFDAKLLSNRSLCWLRMGDGQRAFDDAAKCKRLRPKWAKAHYRQGAALMFTKEYDGAYSALSRALELDPESEEIEKLFWEAMELR >cds.KYUSt_chr3.33541 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210590938:210592314:1 gene:KYUSg_chr3.33541 transcript:KYUSt_chr3.33541 gene_biotype:protein_coding transcript_biotype:protein_coding MQTETGACPVRVVSRRMIQPPSEEPVRDIHLTPWDLRLISTDYIQKGILLPKPPAGVAGQRLVDALASSFALALARFYPFAGRLAVEHHDGGKTIAVLLRCTGEGAEFIHAAAPGVTVADITGSLRIPPVVRAFFSLNHVLDADAAADAESPPLPVLSAQVTVLADGVFVGMSLNHSVGDGTAFWEFFNTWSEIHRGGEMASTTRPAPLVQRFFLDACPVPVRMPFGELRDVVRRFERPMVEECFFTFSAASIRKLKARANDEIMAAATVSSLQAVLAHLWRAVSRARRLPPGQETSYTLVIGCRGRVDSLPAQGYMGNAVAFGKASCTAGEILDKGLGWTAWQLNRVVASFDEASVEEWLDRWTREPDFFYVGNLSSGGDSLTTGSSPRFDVFGNDFGWGKPVAVRSGAGNKIDGKATVFEGPERGGSMSLEVCIAPAALERLLGDEEFMDSVSMPM >cds.KYUSt_chr3.43202 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273067445:273070237:-1 gene:KYUSg_chr3.43202 transcript:KYUSt_chr3.43202 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEGGAKVSAGGGCKAVFPAESVMLPASMVLVQLFSIVLVLLSKLALNTGMQPFVLLAYRNLIGAAAVAPLAFFFERKAKKVPNLIECGWITINATFGVILAMGLYYYGLRGTNATYSVIFLNLIPIVTSLVAIALRAEKLAFTSWPGKMKLLGILACVGGTMVVTLYKGKMLRHPWPTHLLRPHTHAAATPAVHHNMVAGTLFLCGSCLGYAFWFIIQVRLAKVFPSRYWATTLTCLSGSLQAFVIGILIDHDTSAWRLKWDLQLLTVVYSGIFNTGVAFILMSWAVKRRGPIYPSMFNSLAMVATVIMDSALLGTSIFLGSILGTLLVILGLYAFLWGKGKELQEAMAAAKNASGKEEAGDCGEHGSQELEQRRGSNELA >cds.KYUSt_scaffold_6468.851 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4142634:4143104:1 gene:KYUSg_scaffold_6468.851 transcript:KYUSt_scaffold_6468.851 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHTLAPKLAPHIVDSAHHVEGDGGIGSVRHYNCSSAMPFNVMKKKVEYLDVDNCECKYTLECDGVETSTWHIKIRPTTNGGSVAKVECTSKGTEGKDMMLKAKESATEMFKTVEAYLIANPDAYN >cds.KYUSt_chr2.25103 pep primary_assembly:MPB_Lper_Kyuss_1697:2:153544309:153556373:-1 gene:KYUSg_chr2.25103 transcript:KYUSt_chr2.25103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type domain containing protein [Source: Projected from Oryza sativa (Os07g0446100)] MNLKEDAEEEDNLFDPAITVSLAVGMSFQRSTTSAPSLVKQMVHQLIQIMAADGTLGMRPEVSESGSALAVDALSLVKHVLLAKKYGANITVAVIEDKPKESFPEHDTQMSSIRWHLSEGSLTGQQELVESSSSMGAWSALARDAPLVELPLEIAVQVARPLHVCEVVMQVWRERQVLLRLFLKFCAVQMGLQQSKEELLYQQWIDKEGKTPLMVASMRADLLNVAKLLLELGANVNAYRPGSHNGTALHHAAKKGLELTVHLLLSHGANPFITNDDCNTALDLAREKGHVNVVRAIEGRISLFCGWMRENYGPGFLEAFAPQFLTRKIWAVILPREVGNPTRPVKLELAIYPDLQAAKPRVVVKLWKSQVEQPKYNLADPSMTIFDKVTKSRYKILSANEGDKQQIRSFYSACCGMAQVASMVPARPVSAPTPNPLPINSCSAASGSSTPSKEDVELAMAINASIQSAIAEGVPDLQPVTSTTNNSGWANKANSSLNGWGPPDSTTPSKMSGQVQIDAPSSSTYNGWDMPGTSSNQSLSRPHKSQNNPLTVIPQVAPLALPTPTVPPVLPTPTVLPVLPTPTAPPLAEGTFYAGPVQYPSIDFTPVDVTMPQTEGDTTVNSAKTGQNDADTSSSGNTPSGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRAKINQIIRLYAV >cds.KYUSt_chr3.37691 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237127803:237128228:-1 gene:KYUSg_chr3.37691 transcript:KYUSt_chr3.37691 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTASARQKKEVSSLGTDQISWALPGQSYLDVRQNIKLLPKFVCTLLRGDDRSVRTAPPSPSDTPACALFDYWQTAGPLFTRVPALLSDCTSPSITVDDALGTQLALPLQPRLNSTANAPFSTTATYQVYALHRSYPATY >cds.KYUSt_chr2.40262 pep primary_assembly:MPB_Lper_Kyuss_1697:2:250109808:250114247:-1 gene:KYUSg_chr2.40262 transcript:KYUSt_chr2.40262 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKYNQVNNKGIDDGDDVDDDEGGDRCGSLQDPVPFLLLCGGDGGDIAEAAEWLMEVGFAIMGRSVATMDIGLSQMLFHLMKRSQRMVILGSLQVPQSLANCYPDALHPGRSPAAKATAAASSTERLRLRGDMEPSEFRPHAGYRITLSLVQLRYYLDPVRVFASYLIDLSISASGVGGKPFGFGAKIATKCANENTQAQELNLRSNQAGELVAAVEDVTPQKRSAKIHDFCFGIPFGGLLFSMGLLGYFFSRSTVSLVLGVAPGLATLFLGILSLKFWRSGRSSFLFILGQAAISGVLAWKYSHAYLLTNRILPWGFYASLSTAMACFYAYVLLAGGNPPPKKLAPAS >cds.KYUSt_contig_686-1.452 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:2810888:2819391:-1 gene:KYUSg_contig_686-1.452 transcript:KYUSt_contig_686-1.452 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAYNLEDNEWLQRLFKSKEKWALVYGRQTFCADMISTQRSESLNAMLKRYLHVRLDLLDFFKHYERAVDDRRYAEVESDFYASQTSPKVPHVRMLIQTSKEYTPAMFEIFRGEYDMGIKRKEAGSSSKRNKSGLEQNKNKSKKAQATMYKSGNCASAPSEVNPIFNVQLNNNNLAGFNWSMPQLPQAGNYNFQALSGPMPQLSQGGNYNFQALPGAMPQLPQGGNYNFQALPHAMPQVENYNMQVLQRAMPPLHQANATFQQLIGLQSQQPYSNYNTQPSQSSGSVALPDMGWTTYQNGQLPSKMVSVKHSSQYLCVRYGITNLNVDSRTSATSKGNNKIVRVRSETTGGRRDEARFVYRSSFHNRKYVCVEEVLVSHKRLDGHTTKEGITFFLERAPRKCSPSSTKAPVEAVIPSQELVHVDTSRYYRLRYRYLTGLEAVPERYHNGTVTSTVGLSLVQTSERYSERYQ >cds.KYUSt_contig_7440.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001529.1:66287:68320:1 gene:KYUSg_contig_7440.12 transcript:KYUSt_contig_7440.12 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRATVVAVVLMAAAHLTGASLTQQYYSPPQSSPPPPPPQSSPPPPSPQPSPPPAPPQSSPPPLPQASPPPRQSSPPPSTPSPSSYGGFQASGWQDGSATFYGDDSGQGDDFGGACGYSGSDIAKLYSTRTAALSTPMFSDGDGCGRCYEIQCVKSKWCTKGSPSIIITGTNLCPPNQNKPNDNGGWCNPPRQHFDLAPPSFKTLADKVAGIIPVQFRRVPCQRSGGVRFCVKGNNNWLLLHVMNVAGGGDISEMAVKMAGGDWVQMSQNWGITYQAYAAMDKSKALTVRIIGGSSPQQTIIVADAIPASWSTGLCYQGSNNFW >cds.KYUSt_chr5.16925 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108976423:108983013:1 gene:KYUSg_chr5.16925 transcript:KYUSt_chr5.16925 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEAGRKRERLAEADGAGKKQAREKKVSGKISADSQAVPFHGQETDPLSKLVEDLRLDQAVARRNKENPGMEPIVVEELTEQERMEFVREDRAREIRVLHEISERMYAKLLASEAEQARAPPPPPDPNQEDRSELDYSEYRRSWYDKWSSQYGSFEDTTPIPCMRFTDNPMPHLTCNPSTVQIFSVKITEIAGGLQCPLDVFGMISMRDALDHNRNIIFCRTRDNCQSLTQQDRNLVLTGPVRAVVHEYGSVYIDAVLKVKGSSESEDKDLSLLIKRCNHYEPLESIVSSRSYSSKLSTLELAYGIIVSSVEATITVQVIEGSWPAGFRGQFTAGTASLPQMEVLLLDSGEEKVAAADGMVELSRRVVSVERLGQLMVSALLLRGCDKVAEAKTFFKPLEAGRSLGELDVGLCKMQVTVAWSPFLKGYPMRGFSPAME >cds.KYUSt_chr2.5593 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34592410:34593398:-1 gene:KYUSg_chr2.5593 transcript:KYUSt_chr2.5593 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGDLSPPADERSEQQPIPVIYTSRYGRTPEGTPISYQLYYKPLAPSATRRLVYEDGATKVLRLINMISPDQLEDDEYYRKFCLRVTEEGQRYGGKLVRTVVPRPDPSGAPVAGVGKVFLEYADLDSSTYSKAMLHWMWFRGKHVMALFYPEDKFANGDYDG >cds.KYUSt_chr3.38184 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240328385:240330526:-1 gene:KYUSg_chr3.38184 transcript:KYUSt_chr3.38184 gene_biotype:protein_coding transcript_biotype:protein_coding MECENDHFAANGDGLCMAQPARADPLNWGKAADDLSGSHLDAVKQMVEEYRRPVVTMEGASLTIAMVAAVAAGADTRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSMATAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGLKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVRGAVKNCVKMVARKTLSTNGNGHLHNARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERDVQTSVFAKLAAFEQELRAVLPREVESARCAVENGTAAQHNRITECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFVAMNKGKHIDALLECLKEWNGEPLPIC >cds.KYUSt_chr7.19193 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118845847:118851856:1 gene:KYUSg_chr7.19193 transcript:KYUSt_chr7.19193 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARVKALHDEVNSLLTTLDLGTSLDGMLPHADVLCVIRYKAHQDPGEEDTPWPREGEEQRDMEMITKLDPTSLEALKGREEGWPAQDPVEPAPRPDHPVPGPVNRAQPDFPGPDLHRTGDQPDGDLRGFRLAPGRPAPRPDHPVTGPIKIGAVMCLPNYAMGCSCGRSVRAFASVVDKVMSMLLQMRSLHTRKGHVPTPCFSAHFAAANQEAVWRGGADFAQKLFVRLEALVSKCWSSLRQHGFPQLDGKPLPFGQILKLGLGDEQLEGLLLTQIGRRDLLLHGSLPGWCVFIITSVMFAQVFHVARWRLVAAPGSYDAGRQDSVATAARPVSVATAAGLFSAATTTAVASATALDLVVVVALSTGTAVVMAVVATATAARRLALDAVPRQ >cds.KYUSt_chr1.2225 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13030692:13039713:1 gene:KYUSg_chr1.2225 transcript:KYUSt_chr1.2225 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRGVVLCDVPELNCMFKCYKGSGKCLRCCQDLGLVHGYCSLFRWDLCYCCKNYTDIADRSSCCMVIDFVGQLQNTEIHSSSLADAYPVKVESMSEEDLKGENRRRSGTGRRSFESSPAARLQAERLAWEERVDEAGDVDEDDGFARAFNETSWHFFEVDDHVDQRKEISPEALSFQKLSL >cds.KYUSt_chr7.12781 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78826872:78828330:1 gene:KYUSg_chr7.12781 transcript:KYUSt_chr7.12781 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGAGAVAAVTRVAQRVVAPSAPTPGGELPLSWLDRYPTQRALIESLHVFKGRAAGDYAGESPVEAIERALAAALVSYYPIAGRLAANDAGELVVDCTGEGVWFVEATACCTLEEVDYLEYPLMVPKDELLPHPTYPADDPLAEDSLILLVQVTKFECGGFVVGFRFSHAVADGPGAAQFMSAMGEIARGRSAPSLEPAWGREVIPSPPAASVGPLPIPTELRLQYLAMDISTEYIDHFKARFLSQTGHRCSAFEVLIAKAWQARTRAFAFARGSPVHVCFAMNARPALRCPALPHGFYGNCYYIMRVSAPAEAVSDAPLSDVVRLIREGKKRLPAEFARWSRGELEADPYRITSDYRTLLVSDWSRLGFAEVDYGWGAPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADGVDAFHRDMMRLDD >cds.KYUSt_chr4.19914 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125248847:125250819:1 gene:KYUSg_chr4.19914 transcript:KYUSt_chr4.19914 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAMRAATAAFFSPSVSSSPNPRHHQHPLFSARRGRTHPRRRLRALPGTDCNAKRNIAKLLANSIIYRYLCSEDLLEDVLGGKEALATFRETDEKGYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLGLLRHGVSIWIDVPLELAVNDMLKSMGANAISDPDSFSKAMGKLRQRYDNLKERYANSDVTVSVQNVASQLGYGSIDSLSLEDMVIEIVRQIEKLVKAKAMMEAAGKPE >cds.KYUSt_chr1.31030 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188035197:188045796:-1 gene:KYUSg_chr1.31030 transcript:KYUSt_chr1.31030 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSGAPGLGTAGLSGSRRSSRSWGSSISHSFRQPGMDDDPFRRGSASSSRRHDDDEENLRWAALEKLPTYDRMRRAILLGGDHQLQDMAGLVEIEHLASGDGGRALLERVFQDDSERLLRSLRDRVDRVGIELPAIEVRYQDLSIEVDAVVGSRALPTLWNVTTNFLQSLIGRLASSNKKTISILQNVNGILKPSRMTLLLGPPSSGKSTLMRALTGKLDKSLKVSGSITYCGHTLSEFYPERTSAYVSQYDLHNAEMTVRESLDFSRRCLGVGARYDMLAELAKRERDAGIKPDPAIDAYMKATAVEGQETNIVTDLTLKVLGLDICADTMIGDDMVRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYVKQLVHVMNETVMISLLQPPPETYNLFDDIILISEGYIVYHGPRENILEFFESSGFRCPERKGVADFLQEVTSKKDQQQYWYREQEQYCHVSVPDFAERFKSFHVGQQMLKEMQIPFEKSKTHPAALTTKKYGISSKESLKAVMSREMLLMKRNSFLYIFKVSQLIIIGLMAMTVFLRTKMPSGQISDGGKFFGALTFSLITILFNGFAELQLTIKVLPTFYKQRDLLFFPPWTFGLANILLKVPVSLMEAGVWVILTYFVMGFAPSAGRFFRQLLAFFATHQMALALFRSMGAIFRSMVVANNFGMFTILIIFIFGGFLIPRGTNRATDRPTQSRIVLPFLPLSLCFNHINYYVDMPAEMKEQGFAESRLQLLTDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGSVEGSITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDEQTRKMFVEEVMTLVELDGLRNAMVGLPGVDGLSTEQRKRLTIAVELVSNPSVIFMDEPTSGLDARAAAIVMRAVRNTVNTGRTVVCTIHQPSIDIFESFDDLLLLKRGGQVIYAGELGHHSHKLVEYFEAIPGIAKITEGYNPATWMLEVSSPLAEARLEINFAEIYANSGLYRENQELIKELSVPTPGYEDLSFPTKYSQNFYNQCVANFWKQYKSYWKNPPHNAMRYLMTLLNGLVFGTVFWQKGTKIDSQQDLFNLLGATYAAVFFLGASNCFIVQPVVAIERTVFYREKAAGMYSPLSYALAQTSVEIIYNSLQGFLYTIIIYSMIGYEWKADKFLYFLFFIISSFNYFTLFGMMLVALTPSAMLANILTSFAMPLWNLFAGFLVVRMAIPIWWRWYYWADPVAWTIYGVVASQFGESAGTISVPGGSPVLVKKFLHDALGVRHDFLGYIVLGHFAFVIAFFFVFGYSIKVLNFQKR >cds.KYUSt_chr3.23959 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148662146:148665218:-1 gene:KYUSg_chr3.23959 transcript:KYUSt_chr3.23959 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAGSLEDLVPADNALPMDTALAWSRHDWKREEAEQQRRLLDLAATRPQRVYYATWNGRASNSTTDPGPQRHGNIHNTYMPPLGLQAHATEFGDLADCDHEEEACIGAHEGDYKMVYEEPDLSGGVQCLDYGIVYGVDDAEAEE >cds.KYUSt_chr1.9196 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56272871:56273302:1 gene:KYUSg_chr1.9196 transcript:KYUSt_chr1.9196 gene_biotype:protein_coding transcript_biotype:protein_coding MACGYGAARDVIMRLIPLLLLIVASLSTVTRSAWVGDYASNHGCGETFVEELCDPGETAANRACSDACHYNGCRGGRCVYLGSGGIGTARGCHCGR >cds.KYUSt_chr2.34553 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213346320:213348306:-1 gene:KYUSg_chr2.34553 transcript:KYUSt_chr2.34553 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSLQARSTSRIKKRRHGSKDMKENGNNDTLGPIDRARADSRFSRPDPAPAVLGPPKLCARPASGSGPCDRSRAARAASTWAASCWRRPEFVWTGPFLIIVTAAAFNAAGIAALFGHGFTAAAFKAADIAAPFGHVLAAASLLTITTALGHGHIVSARCVASSPPSSAGSCSEQRQQQDSNAAPLCSVHASTGAVVHGRRRRRPRVSTGILRLSSSRPVHPFIRLQLHLPTSSCVHEGLHAVRQHARVCRLPRYDCCSYRPRYASSGSTSTPAVGHVQLRLVLAGHAGSASTGSGSCAARLRVGLA >cds.KYUSt_chr1.35759 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217920984:217924873:-1 gene:KYUSg_chr1.35759 transcript:KYUSt_chr1.35759 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGVGGVSGRSLEVVHAEDSGKMNVAMAEVAEEGMVRTANLAVKIRMATGEEDMVPELEVKKAERGPLVHPTREPAEETEALKLEEKALSLRSRLQRRMHHRQKRTRSAKMPLQMSKKKRKKKIRELQMISGSCKLVKMEMTLDEFEKVMEEKRKALLALKSEERKVEIDKDLQAMQLLSTKKENDEVFIKLGADKDALKKKETAEEGERYYGGRGLGGRGRGDRGGFRGGFGGGYHAPPAAPAIQDQNQFPTLGGK >cds.KYUSt_chr5.11023 pep primary_assembly:MPB_Lper_Kyuss_1697:5:71476614:71476883:-1 gene:KYUSg_chr5.11023 transcript:KYUSt_chr5.11023 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGCDACGQAEAAVLCCADEAALCRRCDAAVHSANKLAGRHHRVALLSSTPACSSSPGQGDDGGSHPACDICQVRTCGAIPFWFFSDN >cds.KYUSt_chr2.55493 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346102802:346105309:1 gene:KYUSg_chr2.55493 transcript:KYUSt_chr2.55493 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMSRAIRAFGGGAAARRNLSVAPSKEAPALAAAVLAGRRAGRRDPGEDGSRVQWVFLGCPGVGKGTYAGRLSRLLGVPHIATGDLVRDALASQDPLSKQLAEIVNHGKLVSDEIIINLLSKRLEEGEEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCIGRRKCSQCGGNFNVASIDIEGQNGGPRMYMPPLLPPPQCESKLITRADDTEEVVKERLRVYHDLCEPVEDFYRARGKLLEFNLPGGIPESWPKLLQALNIEDPDNKRSAAA >cds.KYUSt_chr3.10308 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61209400:61214492:-1 gene:KYUSg_chr3.10308 transcript:KYUSt_chr3.10308 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENYGDVPLEELQSKAISGRSWTDISDLDEAATGRSVLIRGFAQAIRQVGKKRAFVVLRDRISTVQCVLSASDDTGVSTQMIKFAASISKESVVDIEGVVSLPEKPIEATTQKVEIQVTKVHCISPAIPTLPFNLEDAARSEAEFEKAEQSGQKLARVLQDTRLNHRVVDLRTPMNQAIFGGILDHVEHKFRDYLRSKKFKVVHSPKIISGSSEGGAAVFKLLHLCEFTGLDAEMEIKEHYFEVCDIIDGLFVSMFNYLNENCRLELEIINKQCPFEPLKEAGIEIEPMGDLNTEAEKQLGRLVKEKYGTEFFILHRYPLAVRPFYTMPCYDDPAYSNSFDVFIRGEEIISGGQRIHTPDLLRKRAKECGIDLSTIKSYIDSFRYGAPPHGGFGAGLERVVMLFCGLDNIRKASLFPRDPHRLEP >cds.KYUSt_chr7.17711 pep primary_assembly:MPB_Lper_Kyuss_1697:7:109667840:109670346:1 gene:KYUSg_chr7.17711 transcript:KYUSt_chr7.17711 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGAGGEDASGAEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRVIQDSEIMKEDDSNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSNDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQL >cds.KYUSt_chr3.21646 pep primary_assembly:MPB_Lper_Kyuss_1697:3:133029001:133032281:1 gene:KYUSg_chr3.21646 transcript:KYUSt_chr3.21646 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAREMAKHLLHPRSSFFLVVLLAALISYQVQVSTAQNAPSQVRVGVILDLTSPVGHRRRTGIRMAVEDYYAAHPGSATRVELHFRDSAGDVLRAASAAVDLIKNAQVQAIIGPPTSAEAEFVSRIGDRSHFPVLSYSATSPELSAAQTPFFVRTTANDSFQTAPVAAVLGAFNWRAAAVLYEDSPYGAGILPALADALQGVSTKIMDRASVPSDADDARIDAVLYRLMAMPTRVFVVHMLYPLTARVFRRAKKAGMMSEEYVWIATDGVGGFMDRLSPEDVDAMQGVVSLQPYVELTNNVKNFSARLRERSRLENPSDADVVDSTLMRLWSYDTAWAIATAVEAAGVPSPAFQTPQQGTALTDLDRLGVSATGATLLKAVLATTFDGIAGKFKLVDEQLQLQAYEVMNIIGKGARTVGFWTPESGISQDLSPDSSKALKLKQILWPGEPRSTPKGWTVSPNGRMLRVAVPVKRGFKQFVDVSENLTTGETKITGYCIDVFDEEVDIVVGDVTIRASRMADADFTMPFTESGWSMVVAVQGTSSMWFFVSPMSRGLWLASFAFFCFTGFVVWVIEHRINPEFRGTPWQQFGLIFYFSFSTLVFSQEERLESNLSRFLVIIWVFVVLILTSSYTASLASMLTVQQLLPTVTTDVRELQKRHHHIGYQEGSFIKDSLVSMGFDERRLRTYKTEDEIADALSRGPANGGIAAVFDEIPYLKVFLSNYCEGYKMVGPIYKTDGLGFVFPRDSPLTGDVSWGIVTLAEGEKMTKIEKAWFGDTATCQSASSLSHSSSSSLIFREFGGLFLLTGVASSLMLLVYLANFAYRERHELLAAEATADSGSVPLWRLRAWLQHYDTKDLRSPTFKNVGESAKRTPRWTGESPRSGGASPFSVRFSSEMNAASSPEDSPVSELDNSSQQGVQEATTSQTVEMATSTASQLH >cds.KYUSt_chr7.32920 pep primary_assembly:MPB_Lper_Kyuss_1697:7:205294309:205295187:-1 gene:KYUSg_chr7.32920 transcript:KYUSt_chr7.32920 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDENGETSKAPLSRGFSRGVSILDLVLRVIAVVGTLASAIAMGTTNQTLPFFTQFIRFKARYSDFPAFTFFVVANSIVSAYLILSLPLSIVHIISSRAKYSRLILILCDAAMLALVTAAASAAAAIVYLAHKGSARANWFAICQQFDSFCERTSGSLIGSFAAMVLLILLILISAIALARR >cds.KYUSt_chr3.14043 pep primary_assembly:MPB_Lper_Kyuss_1697:3:84981172:84982294:-1 gene:KYUSg_chr3.14043 transcript:KYUSt_chr3.14043 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTTSDSDVTSLATTSPSRSPKMSAYYVVSPSRDSRDDGDKSSSTQATPVYSSPLESPSHQSSLGPHSRASSASRFSGPLLRSPSGGKAASRKRPRGHGKGWHEVDVIDEDDGEYDDDDEGEVSRGCVVAFWFSVLVLAFTLVCLVVWGAARRYKPTVVVKSLTVENFYAGEGVDRTGVPTKLVTVKCSLKIDVDNPSTMFGIHVSSTSIQLMYSQIPIANGQLEKFYQPKASRHVASVILHGDKTPLYGAGATFSDTGDTVPLTLDLVVNTRGYVIGRLVRVTHERRVQCPVVVSSHSDRPIRFTQSACSYT >cds.KYUSt_chr7.37077 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231418883:231419146:-1 gene:KYUSg_chr7.37077 transcript:KYUSt_chr7.37077 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRVAATGGVAPPSVSRTIGGGAPGRHSGASRAANPSTAFQLLLVAHSVDTLRVANPVLRDFLISLVVPGVDALMVDIFSVDAAS >cds.KYUSt_contig_7734.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001663.1:18192:20008:1 gene:KYUSg_contig_7734.3 transcript:KYUSt_contig_7734.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQRVEGPPFSREYGDNGGGDNPPWRGSLRPYDTATTAPWYLSGKQLLRAVTAAALYAWPSSSLLRPGLPRLIALLPLFPLLVAAPLAFPSSAIVRGLAAFFLAWLGTFKLALLAVGRGPLDPALPVLPFLFTALLPVKLAPASSNKAKPVSLVSCAVKVAVVAAVVRLHQLNHRLHLYARLALYGVHTYCFLDLLLPCIAAAAPPALGMEAEPQFDPAVPGVLARGIPLGAAGGTSLVSAILRPSVYDPVRALAGVPPPSWPPSPYPRSCTRPWCTTSACGRRSDGMMAAFFLLHARLLRSRGVVRAAVGWRVGGRRRRGPWRRCW >cds.KYUSt_chr6.31546 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199370422:199373687:-1 gene:KYUSg_chr6.31546 transcript:KYUSt_chr6.31546 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGSALVAALRLLLLPAILLLSRCRTGAAQSSPPCGSSDLHALRGFSAGLDAPVAGWPAAPDEGCCAWPGVLCSGASVVVVGVVLPNRTLRGKVSASLTDLTALRVLNLSGNALRGALPAGILRLSFLEALDVSSNALAGALTSISISLPAIRVFNVSYNAFSGALPVLPGGANLTAFDASGNGFSGPVDAAAVCASSPGLQVLRLSMNRLSGDFPAGFAQCRSLTELSLDGNGITGALPDDLFAATSLRILVLHTNSLSGGISRGSVFDALAGTLQELSAPSNLLNGSLPATLSLCVNLRVLNLRNNTLAGAIGLDFRAVNRLVYLDLGANRFTGPIPASLPECAGMTALNLGRNHLTGEIPPSFAAFRSISFLSLTGNGFSNVTSALMILQRLPNLTSLVLTKNFHGGEAMPEAGIDGFTNIQVLVIANCELTGAIPAWIAGLSKLKVLDISWNKLAGPIPPFLGELDRLFYIDISNNSLQGEIPASFTRMTAMLAGNGSGNDEDTAVQDFPFFMRRNVSASGRQYNQVSSFPPSLVLARNNLTGGVPPAMGALARLHIVDLSWNGFSGSIPPELSGMTSLESLDLSHNALSGAIPPSLTQLTFLSHFAVAYNNLSGKVPVGGQFSTFSRVDFAGNPFLCGIHVRKCDRNQTAGGASGSSGRRRSAASAGVVAAICVGTALLLAVGVAATWRAWSRRRQEDNACRVAAGDESCDDSSFEATRSSTLVLLFPSGDDDDGAATRRTTVITLGRGGEGDGRLRRLAHRGVRRVRHGVPRDARRRPRRRREAPLRRLPARWSASSAPRWRRSPASATATSSRSAATRRVGKDVRLLIYPFMDNGSLDHRLHERADVLPWPARLRVARDAARGLAYLHGGDNGVSRPRVMHRDVKSSNILLDADMEARLADFGLARLGRGNDDTHVTTDLVGTLGYIPPEYASLPAATYRGDVYSMGVVLVELVTGRRPVDMAARLGARDVTAWAVRLRREGRGHEAVDAAVSSSGKHREEAESVLELACACVSEVPKARPTAQQLLDRLDAIAAGTTADPETSSDDRAARAVDNF >cds.KYUSt_chr4.9922 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59996130:59998112:1 gene:KYUSg_chr4.9922 transcript:KYUSt_chr4.9922 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGAPLAVFLVSSLKSGARLRHGEQLHALAAKSGLLLANPFVRNSLLAFYARLTPASHAPALAHHLFDEIPLALRDPAAHNTILAALARAGRLDLARRMLAEMPQAHRDTVSYTTVLTALARAGRAEEALAVFRGMLAQGVPPNEVTLAGVLTALSHDTAPVPVSMAHAVTVRRGLDGFIIVATNLVHAYASSTSQVCSAREIFEWMPEKNTVTWNAMLNGYAKAGMLQMAAEVFGRIPERDAVSWLTMIDGYIRADCKSEALGAYAGMMAEADTRGNAALLVDLIKLCARHAAVSEGQQLHAVILKDGFDAHLFVQATLIHVYGSCDRLDLARMQFRLSDKSHVASWNALMSGLLRGNLMHEARRLFDGMPQRDTISWSTLLSGYVQSGHSNTALQLFFSMLGAGVEPNDVTLASTLSAVADSGTLEQGRSIHHYIVSKSIKLTDNLSAGLIDMYAKCGSVADAVQVFSYVKRKSSSVSPWNAIICSLAIHGHANMSLQLFSQLQSTSIKPNSVTYIGVLNACCHAGLVTEGKQHFETMSREYGIQPTIKHYGCMVDLLGRAGHLEEAEHLTETMPMKSDVVIWGSILAAARTHRNVALGEKAAEELAKLDPNHGASKVALSNIFADARRWNNVSLVRKELQDGNLERLSGSSGVVQ >cds.KYUSt_chr1.36612 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223469000:223471777:1 gene:KYUSg_chr1.36612 transcript:KYUSt_chr1.36612 gene_biotype:protein_coding transcript_biotype:protein_coding MVELSVFVRDLCFLFFALCFLVAVLATGTVTVWVAAAFVSLYAAYVILVWTSQCCAGASAGKPDLAEPLLLDGDAPTMPSHSAKPTDPAKKSRLQRVLHALTMPLYLPRRLTIPDIAGHRWRKPYAVASAALAPVLVATTFTSRSAAVASLDHGHGVGIPLAGAFPGLLLAALAASTTDAGSAPRSRRHRAPWLAAGFPMSVLVGLHAGAGAGGAAGGHRAHGGRGLRACWASRESFSQRICYIQISVYFANLYFSIFDVLAN >cds.KYUSt_chr2.18748 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117973012:117983181:-1 gene:KYUSg_chr2.18748 transcript:KYUSt_chr2.18748 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPEACRNRDSCPPDLGFAMAAALEGFLWFRRTWFHVGAGIPGVAPHYIPPPSTFNVLLDSYWFHVGTGIPGVAPHYIPPPSTFNVLLDSYWTMLAALVILLFSLPAPSAGDRSFCPDVANGTYNPNSAYSSNLGSLADDLIARATDSHSATGTAGTGSEKVYGAVLCRGDSTGADCGRSLREAFDGTINASSTGAVCALHRDVALYSELYQLRLSDQDFLSTFSNAPEWVDGTNLNLVPAAETRQFDELVSKLTRTLAETAATRPDRYATTDAPWSSEERQRKLYGLAQCTQDMPPQRCRACLGGLFAEMRQKIGSGRMGGAIHGARCTLRYETGTQFFTATGKLLPMLFEENSN >cds.KYUSt_contig_1790.395 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:2008690:2011679:1 gene:KYUSg_contig_1790.395 transcript:KYUSt_contig_1790.395 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNSHQRIEITELGTNEPNEEANIDALQEDGAFGVNFKNDISVHDYLPAMEAICDKLENASGKALVVSDIKIHLDYKMANGHRAWRNVLHKLIDAQLVEEFSAEVDDKLGKRLGHKNSRKLHERVSSMCERLNLTLIREVKRKISQDRVWTKQDFLHYKSATDLQSIERLPDDNASCSNGWSLVPSRGPDSLHGDLVVNNKFMFEEECHDEPVGH >cds.KYUSt_chr3.32277 pep primary_assembly:MPB_Lper_Kyuss_1697:3:202795589:202796299:1 gene:KYUSg_chr3.32277 transcript:KYUSt_chr3.32277 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAAEKAPVAPPTGLGLGVGGGVGPVAGGPHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAARDFRGSKAKTNFPFPSSSLSSPLLAGGGSPSSNSTVDSTGGGCGGQAPMQALPLPPALDLDLFHRAAAGGGGAMRFPFNGYPVSRPGPPMHPYFFYEQAAAAAAGYHRALKTALPVAAVAQSDSDSSSVVDLSPSPPAATARRAAAFELDLNEPPPADN >cds.KYUSt_chr4.43129 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267189802:267192543:1 gene:KYUSg_chr4.43129 transcript:KYUSt_chr4.43129 gene_biotype:protein_coding transcript_biotype:protein_coding MHATSIEESPTNAVADQPRSRCLAGERAALLSFKAGTTDLHGRLASWEAGRDCCQWSGVHCSNSITAGAVHHVTKLSLPFYLLGGEISSSLSSLVFLRHLDLSGNFLGNSRPIPQFLGSLVHLRYLDISRNIFDGPILPQLGNLSKLMHLNIGSCCFFCDTNPTDVSWLSRLRKLKHLDMTSWDLTTAAANLFPAINVLLDLRVLRLPYCRLTSYSLQFHNLSALTVLDLSYNSLQGSFPAVVGNMTSLEELNLGYNRFAGLLPPSWSNLCSLRLLGLSASDVNDDIRKVIEKFGCTWKTVQYLYLSDANITGSLTSYWIAQLTSMRELELGGNMLTGHIPTEIGRLSNLTVLYLRNNAFSGNITEKHFSDLANLQTLHLSENLLAVNLAANWTPPFKLQDVYLRSCRIGPQFPLWLQTQTTVERLDLSNNSIGGAVPSWFWKSVSNASEVYLSDNQLNGTLPTTLKQLPMLYVLELSFNRFTGVLPELPISLGELIASNNLLSGPLPANLELCTFLYFLVISHNLISGTIKPSVCQMKVLNVLDMSDNQLQGKLPSCWTDSQSNQSQLNVLMLRNNSLSGEFPSISSSKLALLDLSYNNFFGSIPVWIVKKMPLLKYLILRSNIFSGHIPEEITELDYLQYLDLAENKISGTLPYFLAKMKAMSYVHNQYVKDGPLNVGHPVYITSYNRQQRYFDSLYMVFRGEMLQYSSNAPYLVSIDLSRNSLTGDIPREIGGLVGLINLNLSRNHLHGTIPDQIGQLQSLEALDLSNNELYGVIPEGLSNLSALNHLNLSYNNLSGRIPTGRQLQTLDDPSIYVGNSYLCGPPTSNKCATNQPTVPSHHEQEGRNNLMFVFLGMGIGFTVGLNITLLVLLFKEKWRIAYFRLVDNLYDMVYVFVILTWKSWVKRNMPEA >cds.KYUSt_chr6.23787 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150326339:150326581:-1 gene:KYUSg_chr6.23787 transcript:KYUSt_chr6.23787 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNEDAPPAPSLALPTPSPEARVLLRRFASVMAARPAGIRRGTWSPEALGLTNGVAELRLNEAASHLPSSSMEKPGRR >cds.KYUSt_scaffold_2697.235 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1557776:1562007:1 gene:KYUSg_scaffold_2697.235 transcript:KYUSt_scaffold_2697.235 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRQRRRAWTTASKARLDVIGGEHAWTLHNPISSCLKYHAGVHPKFRGLITGLAQVKDSQIDFKLLRPENGRTTLMRLAEDHGDVRSHRRLWRGAGKIACDFFPFPRL >cds.KYUSt_chr5.38472 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243243250:243244276:-1 gene:KYUSg_chr5.38472 transcript:KYUSt_chr5.38472 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGGASRSREGEFPMGQRRSLQLQMLESCMGSEVRQEEMDLDLNLPPQMVIYLDLNFSPHEEEEEDVQVPEAEDVEIPEAILA >cds.KYUSt_chr6.6396 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38748916:38754831:1 gene:KYUSg_chr6.6396 transcript:KYUSt_chr6.6396 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSSRRWSSGAVVEAGGHEEKLPLRGGLELHAHGHAGEQQEAGRGRHRRSCVRDGLVLCLLTLPAIVLLLQRWRTSSSPDWVFDVDPPMEDDDDQDIKDGVFDDLSPSAQIEYDRLLGGLLIEGFDERSCRSRYQFARYHKSERIPSPYLIERLRRQEALQKKCGPGTKSYRSAAEQIRSGQSINSTKDCNYVFLIIHAGLGNRMLEITSAFLYALLTDRILLVDRYKEIGDIFCEPFPGTSWLIPSDFPLSYDEFTLGSPESYGSMLQNNVISGNMYRSLASAKPPYVYLHLDGNYGFHDKLFYCEDDQQFLKGVPWLIIRTDMYFVPSLFLIPSYHEELSKLFPEKDTVFHHLGRYLFHPTNDIWYSVIKYYRTYLAKADKIVGIQIRVYEKKGILQRNGPFPHILEQILSCSQNEKLLPEIAGTDKAATTAGNNRTVAVLATSLSSWYSDEIRKRYSKHRTVDGTTVKVYQPSHEEYQKSRNRKHNMKALAEIYLLSMSDELITSGFSTFGYAAQGLAGLTPWIMYRSVNHVVPDPVCGRAMSIEPCFHQAPFYDCKAKRDTDLGKVVPYVKHCEDVSWGLKIVNRTRL >cds.KYUSt_chr2.28526 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175067149:175068562:1 gene:KYUSg_chr2.28526 transcript:KYUSt_chr2.28526 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAAAAIAAAVLLAPASAEVFTVGDAAGWTLKYPAVWTDGKAFVVGDSLMFMYPSDKHNVMEVTGTDFKACNVTGNALGTWNSGSDTVPLAKAGRRWFVCGVGNHCAQGMKFLVVTADSSAQAPAAPPSSLASFMEPINNTKFYQLSNGGSLIFKRDLDALSEHLGRPHPKFFGTQVND >cds.KYUSt_chr1.6979 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42859082:42859842:-1 gene:KYUSg_chr1.6979 transcript:KYUSt_chr1.6979 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVKDSEQAALAPSPPAKLRPAELVLRLLLFAASLSGLVVLVTGKQTAIVPVVLTPPFRFGPVAAEFTNSPALIYLLVALCMTCLYSLLTAASSVKSGGSSCAKKIFVHTLLDVYHAAVMASATGAAAAVAWVGLKGNEHTRWNKICNVYDKFCRHIGTATALGLVASILLVLLAGLNAYSLYRRRR >cds.KYUSt_chr2.41111 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255513564:255514857:-1 gene:KYUSg_chr2.41111 transcript:KYUSt_chr2.41111 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTVSKDIITLKGSAAIVSEFFGYAANSILYNRGVYPEESFARVKKYGLPMLLTQDEAVKTFITNLTSQLSEWLEAGQLQRIVLVIMSKAAGEVLERWNFNIVTDVEVVEKGVIKEKSDKEIMREIQAIMRQVNSCISFLPCLEEPCIFDVLAYTDAETAVPFTWVESDAKLIDNPQMVKLHSFDTKIHKVDTMVSYKVDDLDDE >cds.KYUSt_chr1.9212 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56371330:56374407:1 gene:KYUSg_chr1.9212 transcript:KYUSt_chr1.9212 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCSMLWDRSPAPPEDAITINGTVVVAKNFGLSAPGKSTTLRLFSGTQIDHGTRKGKLSTEAALRGGKKSKHGKTSTVTYHVTFVVEAEFGTPGAVAVKNGNRADQFFLRHVRLELAHDRSIHFECNSWVYPYKKTNSDRLFFINTSYLPSKTPEALLLLRDEELRSLRGNGRGERKDWERIYDYDYYNDLGNPDNPDHVRPVMGGTRMHPYPRRCRTGRALSKTDEVTETRKHVINLDFYIPPDERFSPGKLAEVLTLGVQAVTHFVVPEMKTMIQGNNFKSIELLTRDLYTKPSQPAADGEVMEQLKTSVPSKKTYKQVAKNVKDTPVKFPIPQVIQHDLEAWRSDEEFAREMLAGLNPVAIKRLQKFPPVSSGGKKSSITAEHVESQFADVTIEMAMRQNRLYILDHHDYLMPYLRRINTLGVCIYASRTLLFLKSDGTLKPVVIELSLPATDGGDGEISRIFLPASHGTDAHLWQLAKAHVSVNDSGYHQLISHWLFTHAAVEPFVIATKRQLSAMHPIHKLLEPHFKDTMQINTLARSILLNAGGILERTMYPGRYALEMSSAIYGDWRFTEQSLPNDLVRRGMASRDPAMPGGLSLHIEDYPYAVDGLDVWRAIDGWVRSYCAHFYHHDTDVEEDTELQAWWDDVRSVGHGDRQGDPACWLDLDSVDNLAETLSTLVWIASALHAAVNFGQYGYAGFPPNRPTRCRRFVPLPGSPEMTQLEADPDKFFLEMIPDRFTATLGLALIEVLSNHTSDEVYLGQRATSTWTDDGELLRLLDRFREELRRVEKRVTERNKDPRLNNRRGPVKVPYTLLFPDVAGTEKGLTGRGIPNSVSI >cds.KYUSt_chr3.36321 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228448289:228451836:1 gene:KYUSg_chr3.36321 transcript:KYUSt_chr3.36321 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNKMHFLKLYSSSTAVRNGSLGGSPLGTNKTGRPTRLAGLDNGADAAATKSPTGRSPKVERRTTMSAEREKRRSPMKLSELESHVSQLQDELKKAKEQLYSSENSRKRAVQEAEEARAQAASATALVRDSQAQLAELSSVEQTRIFELRRLSQERDRSWQSELEAMQKQHAADSADLVAAMGEVHRLRVQLAAAARADRKQEVAEALATVDELKAKLTASEEAEAQARALHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVTELEESRAKLKALEEEVAETASVKAATVERCNCSKDSESEVADLRSALEDVEVRFQEEKILSTVETQCAYELMDQIKVESDLRHGKLAAALATAKSEAIFLKASLFDRESELKRAQDATKKLQDDARTDSTADNLKAQLQGALQENGQLKQELRQYESAEKIPAKSEADAAAEAAKKGETEAELRRLRVQAEQWRKAAETAMALLTVGKGGNGKILDRGESLDSGTKYAGLCDELDDDAAVARKNGNVLRRISGMWKK >cds.KYUSt_chr6.32914 pep primary_assembly:MPB_Lper_Kyuss_1697:6:207237940:207248080:1 gene:KYUSg_chr6.32914 transcript:KYUSt_chr6.32914 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRRSGSVVKWLNHYSSAQSILVVGDGDLSFSLALAAAFGSGQNLVATSLDSYDALTSMYGKAQSNVTKLERAHQLLVRRFFRNASHLLRPDGEIHLSHKTGQPYDRWQIEELAHEFSLVISEMVTFRKQDYPGYNQKRGDGQWCDQGFPLRNACTFKFRFKREEAEEPCSRKFTAVQRESGTAPCAAASDLVKKASQRNTEKYLVRARRNERRKYDIGVHGTNQADELASSFIRCGPQINERSGALLERYNGFPPALGWLEEEDDVLKGETLDELNRNLAEPPVQGNANSPYIMERWLTREGTRMEYHYESYQLGHGGNLMFEKDLKQLVEYLGRPYPEFFGVPLNNQPGRQPQWEVTADLRGKLGAPIWETIWFSMAPPNRSTNQDAMLQMLQMMMADREVERAERQANLVVLQQIAQNNQGHGHHDHPGSKLKNFQNTNPPMFSKTEEPLDADDWLQTMENNLEVVGVEAAEKVLFATHYLSGPARAWWTSSRAMNVGQMMTWEDFKLKFSKYHVPQGLIKKMRDEFRELKQGRMSVVEYRDRFLTLSRYAPNETDTNEKRKERFLNGLHDEMQTVLVNIPFADLEALVDSAIQMEGKLHQANENRKRRMMHQNGPSNAQKYRNNSSGGFAPRHNKPPVPTFRPNFTHHNGGHQKPGNNNNHNNNNNNNHTSNNNTTNTAPRTGSNAVPVTPKDKSTVTCYECGIQGHYSNECPKK >cds.KYUSt_chr5.28 pep primary_assembly:MPB_Lper_Kyuss_1697:5:298363:299169:1 gene:KYUSg_chr5.28 transcript:KYUSt_chr5.28 gene_biotype:protein_coding transcript_biotype:protein_coding MACVFFVASLRWQEWRYMRVLQPSISANKASSSSICADWRSVRWSASCFFYELPWRKMKKKVRRSSSFSKRLELICYSLVVLILLLAGQGGEGERNRFEVAAAASGWFLLLMWCYEAAFARSSVTRCMSLILAVHAWLAPALSKWRLLRQAHYQGTSAGVLCLAPASPGRMATLVEPDAPWRRLFNLLVWRPFPSSSLASHVACAPSGVVPGGAVDGRRWSSRQGSDQGPDCFSLFQFMVLCAYFQDMVLIFQFFRVLCVIRSVTALV >cds.KYUSt_chr7.17310 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107206174:107207873:-1 gene:KYUSg_chr7.17310 transcript:KYUSt_chr7.17310 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEFEKGSGPRRFSYNELSRATRGFSNDEKLGEGGFGAVYRGLLRDQGLHVAIKRVSKMSSQGRREYISEVTIISRLRHRNLVQLVGWCHKADELLLVYELMTNGSLDAHLYNSRKLLTWPTRHRIILDIGSALMYLHQEWEQCVVHRDIKPSNVMLDSSFNAKLGDFGLARLVDNSRSAHTTRMLAGTKGYMDPTYAVTSRASAETDVYSFGVVILEVACGRRPAVPQEDESKVVLVDWVWGLYGRGTILDAVDARLDGEFDARKVERALVVGLWCVHPDYSFRPSIQQVMGVLQFEAPLPDLPPEMPAATYAPLRGGNASSRTSLTGSSGTRGYSSTSHRTEKSRSFATADTTSDDGRLANQTAGTDENVQIRNYTS >cds.KYUSt_contig_7441.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001530.1:62541:65661:-1 gene:KYUSg_contig_7441.6 transcript:KYUSt_contig_7441.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRESIVEIVRHIRETPFLVHLFREPHATRRKPASRRTKPYQRPRGLGQHGQGDDRAGSILHRNWPNTRLGRHPDRDVGYPPPPPTSLAPSLQSWRLQERISATTPTGVSPWALLDDEPSKAAPTAMVTIPGDLHPQTTFGD >cds.KYUSt_chr5.4229 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27050613:27052004:-1 gene:KYUSg_chr5.4229 transcript:KYUSt_chr5.4229 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHKKLLQFLRPDPAVAAVKMPSDDDEDDDRCTPLPSPMSSGSASTSAAASPSPYMPSPWVNLPGLGAGSALAGTGATGLLGSLVKADGHVYSMAAAGDLLYTGTDSKNVRVWKDQREFAGFKCGSGLVKAIVVAGDGRIYTGHQDGKVRVWRRDSTDDLAVHKRVGSLPRLGELLRSSVRPSHYVQTGRRKQTSLWLRHFDAVSCLSLDAEAGVIYSGSWDRTFKVWRVADSRCLESVRAHDDAVNTVAAAGFDALAFTGSADGTVKVWRREEGKHGATRHVMERVLRKADSAVTAIAVAAEARVVYVASSDGAVTHWQWRRGAARESAPRNGGALRGHRMAVLSLAVAGRVVVSGSADRTVCVWRRDEGADHSRLAVLTGHTGPVKCVAMDEEESLDADGHRRWVVYSGSLDGSVKVWRVSDAPDSMAVMARTPAHVWKGTPTPSPVGAWSTPRRGLERS >cds.KYUSt_chr7.11718 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71999601:71999948:-1 gene:KYUSg_chr7.11718 transcript:KYUSt_chr7.11718 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRPRSRVKGGGHGLGAAVRKRGTSSADAPEANGGCAGGCSCESSSGRGGSGSIDFERKVNKGLGRGKDLVDVLIQLPHVPLGTIVRAMILAMCFRFFQSQPDPILICIGSSK >cds.KYUSt_chr4.54420 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336236570:336236854:1 gene:KYUSg_chr4.54420 transcript:KYUSt_chr4.54420 gene_biotype:protein_coding transcript_biotype:protein_coding MWAYGSVWDASDWATDNGRYRADYRYQPFVSGFREFKVAGCEVGAPALCRPVAAGPGGGLSAQQGAAMRWAQQRSMVYYYCQDGSKDRSNYPEC >cds.KYUSt_chr2.26335 pep primary_assembly:MPB_Lper_Kyuss_1697:2:161211061:161212788:1 gene:KYUSg_chr2.26335 transcript:KYUSt_chr2.26335 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAWRDRRCLPIQPPQPGRTALPLPSAGSGRRPYSRSSAPPSSLERRHPPPRPAHSNDAALPIGGIAAASLTHGILLRTRVNTAGRTQDCLLRNRENAAARTREIAAALLKNAKDKERRKYDDGSNGSDYEPNDDEEG >cds.KYUSt_chr4.4668 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26735867:26738752:1 gene:KYUSg_chr4.4668 transcript:KYUSt_chr4.4668 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRQLIQATVILLATWFLVNSSALAVPAPTSAPAPSPPPPATGTLCIPHERDALLAFKAGLTDPGNYLSSWRGEDDCCQWTGIECSNRTGHVIKLQIKSNSATDDQTISGPLGGNISSSLLNLRHMKHLDLSWNNFGGKPIPEFIGGLKSLTHLLLSNSHFGGRIPPHLGNLSNLISLDLSTQWESCYSPDLAWVSNLRNLGYLAMNDVDLSAAIDWAHAVNMLRSLTTLELETCGLQNIMPPPLHPNLTSLRALYLGYNSFNSSFGAKYLLWDLPSVQYVSLSSCGIRGPIPAAAGNLTSVMSLSLDRNTFTGVVPSTFKKLKKLQVLKLWDNSISGNLEDILNILPANELQALYLDNNNLTGSIPAQIDQFSSLSKLQLSNNKISGEIPVGIRELKNLTELWLDSNNLNGTLTQDHFTNLTSLQVLWLSGNSIKMLVNNTWNAPFQLISASFRSCILGPQFPSWIMLPTLDTLDISNTSIHDSIPFQFWIKMYTVQVLDLSENKIVGRLPTYSLFGNMQGVILDISSNQLVGPIPTLPPNLAYLDLSGNNLSGVLPSDTGAPALAILMLFNNSFSGTIPCSLFELQQLEFLDLSDNQLNGTLPNCPGAPRTSKLTMLNLNNNNLSGEFPSFLQRCKELNFLDLAYNNFSGSIPTWISSKLPDLAFLRLRSNMFSGGIPDDLTRMKGLQYLDIASNNISGKIPISLGNLIAMAHTPDEQGALYKIVTYRIVSVYRWTNAYSDSLSVVTKGQQLEYTTGIAYMVNIDLSCNSLTGRIPEEIGTLAALKSLNLSWNHLSDIIPQSIGELQAVESFDLSHNDLSGEIPTSLADLTSLAHLNLSYNNLIGTIPSGNQLRALDDQTSIYIGNPGLCGPPVSRNCPGTETTPRVPQDQHEGMSDVLPLYLGIGSGFVTGLWVVFCGFLFKRNWRICCFSFSDRVYDWVYLQVALRWASLTRKNQ >cds.KYUSt_chr6.13229 pep primary_assembly:MPB_Lper_Kyuss_1697:6:82692290:82696084:-1 gene:KYUSg_chr6.13229 transcript:KYUSt_chr6.13229 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSLQHLLPLRPACHAIRGGLRSTSTTYPTGGSSDSADGGRLASSSALATRCSAEVRQRLVQAQQVAKHYYDGRHREVEYAVGDWVWLRLLHRSHQSLNPRAKRKLGPRYAGPFIIVERIGTMAYEASVARRGLAFTTYSTWARRSPIAWEGLSEEEATWEPREEFQQHYPDYQLEDELFAQAGRDVMTGLFKTKGGSQLKEYCTNLTKEDCRRQSGSFVSCAKVHFRRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYELDQTPDIAPMMAGALAPPRQIKPHRAEYCSEIELGESQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGSPLVNRNIDTDVIVAEVRETSRKPDEMYAMLERISPRTRKLELFARMHNTQSGWLSLGNQVSGTRLVDEGLRARYKAAYPDFEVQPPSPPRASAPTDADQNTPSQKPAVSDGERPT >cds.KYUSt_chr7.10184 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62352786:62354052:-1 gene:KYUSg_chr7.10184 transcript:KYUSt_chr7.10184 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDGRARPLPQALLLAVGVAIACYMAATPSSAESDAGAGAGSSCAAKLAACGGYLNATDATTVPDSCCNPLKEVATMEAACVCSILMNKAALQTFGVTPEQGVHLAKLCGVTTDASTCNKYAAAGAGGTAASSGSTGTAASTVAKPTASGATTRHLTLMAAPSLVALGFSFICWSIVA >cds.KYUSt_chr7.371 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2083158:2083469:1 gene:KYUSg_chr7.371 transcript:KYUSt_chr7.371 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTNLARQQAVVIFGRSSCCMCHTVTRLFCELGVNPTVVELDEDPSGEEMTQALARLLGRNPAVPAVFIGGRLTGSTDRVMSLHLSGNLVPLLRNAGALWV >cds.KYUSt_chr3.36737 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231073817:231076902:1 gene:KYUSg_chr3.36737 transcript:KYUSt_chr3.36737 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHRCSLHCYGLAFQAECYHYLLDAAVKMYQLGIDWTTPEHGPINSAKRLCTVISPDVPNGAHAAKSSKHCVVLDIEGTTTPISFVTDVMFPYARDNVRKHLISTYDSEETKEDIELLRIQVEEDLRNGVVGAVPVAPSDASKEEVINSLVTNVESMIKADRKITSLKQLQGHIWRTGFESKELHGVVF >cds.KYUSt_chr3.14427 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87620864:87621307:1 gene:KYUSg_chr3.14427 transcript:KYUSt_chr3.14427 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFSLVLLVVLAASPLSTTANVMCDGVGTYTANSTYEANLRRLASILPAEISSSPQGQGALALGYWPNRLRATWTCFGGSSSSCSACIAAGFQESEAECPYSKEFFFSDGDCCELHVADFLAFEHFFRESCHGIILQKIIFDFEIN >cds.KYUSt_chr5.38222 pep primary_assembly:MPB_Lper_Kyuss_1697:5:241703013:241705282:1 gene:KYUSg_chr5.38222 transcript:KYUSt_chr5.38222 gene_biotype:protein_coding transcript_biotype:protein_coding MEAICGPAPTREGRRQHDWRPPGHHILLDRPSTEMTATAMEALCGPAPTREGRRPRLRVPRRPSLSHFPLVESGLALPQRNQGLDQIFSMACNAVQQDSEEGWGLEADCWFRELRILSLSFGALVANSIAIHVALALMQFGTLDI >cds.KYUSt_chr3.13211 pep primary_assembly:MPB_Lper_Kyuss_1697:3:79475801:79477717:-1 gene:KYUSg_chr3.13211 transcript:KYUSt_chr3.13211 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRGWGQRVLEEEIVEPPKSPVRMTRVGVVVLDSSLVYLISIQPKLIINMASSTPCLVLLVCLTSLVQASLIAANPPQQPPFELPESEVRDRFSKWTTKYSKHYSCHEEEEKRFQIFKQNTNAIGAFAAQTSTNVVVGRARPQTITTVKASMNRFGDLTPSEVVEQYTGFNNTSFQPASPTPLPLDSWKPCCVDWRSSGAVTGVKFQGTCSSCWAFAAVAAIEGMNKIRTGELVSLSEQVLVDCDTGSGGCSGGRSDTALSLVAARGGITSEEKYPYSGVNGKCDMDKLLFDHQASVKGFKAVPINDECQLALAVARQPVTVYIDASGFEFQFYSGGIYRGPCSADATQVNHAVTIVGYCEGPGEGNKYWIAKNSWSNDWGDQGYVYLAKDVPWSTGTCGLATSPFYPTA >cds.KYUSt_chr3.35278 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221536395:221537996:-1 gene:KYUSg_chr3.35278 transcript:KYUSt_chr3.35278 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSHCFVTVDVATDNGALPAAPVMVDAPQQKLQCPNVLDAEEFRRQGHQVIDFIADYYARMGDYPVHPSVTPGFLRDVLPTDAPRRPGPDAFGSALQDVRDLLLPGMTHWQSPRHFAHFPASSSTVGALGEALIAGINVVPFTWAASPAATELEMVVVDWLGKALHLPESLLFTGGGGGTFLGTTCEAILCALVSARDQKLAEIGGNRIGDLVVYCSDQTHFSFRKAARIAGIQRDHCREVQTYHGDMFALSPTELQAAMQADVDAGLVPLFLCATVGTTQTTAVDPVGELCAVAASHGVWVHVDAAYAGSALVCPEFRHVIDGMEFVDSFSMNPHKWLLANNDCCAMWVKKPCALVAALGTEQEYILKDAAAEGHGVVDYKDWTITLTRRFRALKIWLVLRCYGVDGLRDHIRSHLRMAEAFEGMVRADARFEVVTERHFALVCFRLRSPEKLGGEKTANQLNRRLLEEVNAATPGPYMSSANVGGIYMLRCAIGSTLTEERHVNDAWKVVQDRASAILRKMEIIYSVLG >cds.KYUSt_chr2.4169 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25246839:25247102:1 gene:KYUSg_chr2.4169 transcript:KYUSt_chr2.4169 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKFQVYDKTKSVEQTLSSARSDIIKDRHIFTNAAWVTCAFNKVAKGATNVGTRHDDEEDVVRGTTEGFWRELIHTHLLIVQRRIY >cds.KYUSt_chr1.34050 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207092876:207093355:1 gene:KYUSg_chr1.34050 transcript:KYUSt_chr1.34050 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWRAVMGSSSSGGDDPALGGVEFWHGAERAGWLTKQGEYIKTWRRRWFVLKQGRLFWFKDPAVTRASVPRGVIPVSSCLTVKGAEDVLNRQFAFELSTPTETMYFIADAEKEKEEWINSIGRSIVQHSRSVTDGEVVDYDSRPQPSPKSKSEPSE >cds.KYUSt_chr4.7222 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42728987:42729630:1 gene:KYUSg_chr4.7222 transcript:KYUSt_chr4.7222 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGAKSFFMVAVAAVLVMSASAQSGCTVALIGLYPCMNYISGNETAPTKSCCSQLSSVVQSQPECLCSALSGDSVGGMTINKTRALELPKACNVQTPPVSKCNDAGGASAPVADAPTTPELQTPAAADSGSKATPSGHLQQNGVSSLHGPVGLVFALAAAAFYAVSTV >cds.KYUSt_chr4.41496 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256729994:256730467:-1 gene:KYUSg_chr4.41496 transcript:KYUSt_chr4.41496 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLFDPFDGFPFGSGSSSGGSLVPRTSSDTAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERNKEQEEKTDTWHRVERSSGKFLRRFRLPENAKAEQVKASMENGVLTVTVPKEEVKKPEVKSIQISG >cds.KYUSt_chr6.32658 pep primary_assembly:MPB_Lper_Kyuss_1697:6:205719932:205722650:-1 gene:KYUSg_chr6.32658 transcript:KYUSt_chr6.32658 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQEHGRDNDESFLVLTLAAVALFCPWYTSQLDMKNAVLDDELYEVVCMQSPLWYSIQTRLRLLSSSLIEAIDQIVNEVTQAISKIQMMNTDSMDSCDHSHILAELKAKLNEVAPLNQLEGCQKELNVALSKYLKLLEKSFNPDISKAYRNVDFETSTINNIIANHFYRQGLFDLGDSFVHECAESDRSYLKSSFQEMYGILEAMQARNLEPALSWAAKNHDQLLQNSSMLELKLHSLQFVEILINKGSKAEALQYARTHLGPFGFMHEAEIPRLMACLIWDRLDQSPYAEFVSSTQWEKLSEELIHQFCSILGQSSDSPLNVAISAGFQGLPTLLKLTMVMAAKKQEWQAMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMLMPCGHAVSKQSIMKLSKSSSRTFKCPYCPSEAVASQCKQLRF >cds.KYUSt_chr2.39042 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242093202:242099188:1 gene:KYUSg_chr2.39042 transcript:KYUSt_chr2.39042 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSATSAAKTHLFCCHEEHPAPHQTGGGLLLSAPQRPRAARGARLVAANAAAVAEAAPAPSGTSGRKPRVLVAGGGIGGLVFALAARRKGYEVTVFERDISAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMRHGCVTGDRINGLVDGISGSWYIKFDTFTPAAERGLPVTRVVSRMLLQEILARAVGDDAIMNDCHVVDFTDDGDKVTAILEDGRKFEGDLLVGADGIRSKVRKSLFGETDASYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLELFSGWCDNVIDLLNATDEEAILRRDIYDRPPTINWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKAWEESVKSRTPVDVVSSLRSYEKERRLRVAIIHGLARMAAIMATTYRPYLGVGLGPLSFLTSLRIPHPGRVGGRFFIKFGMPLMLSWILGGNSSKLEGRPLSCRLSDKANDQLDQWFQDDDALEQAMGGEWFLFPMSSGDKSISQPIRLIRDEQRTLSIGSRPDPSNSDSSLSLPLPQVSEIHATITCKNKAFYLTDLGSEHGTWFNDNEGRRYRLPPNFPVRFHPSDAIEFGSDKKAMFRVKVLNTLPYESARGGGEVLQAA >cds.KYUSt_chr3.45613 pep primary_assembly:MPB_Lper_Kyuss_1697:3:287359817:287362288:1 gene:KYUSg_chr3.45613 transcript:KYUSt_chr3.45613 gene_biotype:protein_coding transcript_biotype:protein_coding MASERCVRHHHWSNRRRIVGRLAVLGSLPHALFVPPKFMPTDGGGAARPLKLNLDFSPPKSAALVFLFFVLLGVSAFLAASASTDTILAGESISGNQTLVSKSGAFELGFFSPGPGIHYYLGVRMRNMVENSPIFWLGDRVVVTDLLTASLEMFSGSLYIKQSGASLWWSPAGNRSSAAVAVLLDNGDLVVRDQGNSSLVLWQSFDYPGDALLPGARLGLDRDTGKNISLIFKDFSHNSSLSVDASRRNGFVLTTDGHDSRGTFPDWMVSSQDNGSSLLLNHPESPNRPEFLELRLGQVSLLRWSESDPAANNSTTAGWVPHWIFPSDCKSGGFFCGDFGACSSDGKCGCVDGFVPSYPIEWGLGYFVTGCSRSLPLSCESDGQTEHDDSFAPLDKLQGLPYNARDEVAGNDQECRAACRSKCYCVAYSYGHGCKLWYHNLYNLSSAARPPYTKIYLRLGSKLSKNKGLQTKGIVLLVTGSIAIPSLVVVLWLLWRFRRDSFAFRKFEAEGPLVVYSYPQIKKATRNFSDKIGEGGFGSVFRGTMPGSAVIAVKNLKVLGQAEKQFRAEVQTLGMIQHTNLVRLLGFCTQGTRRLLVYEYMPNGSLASHLFSKETSVLSWCTRRRIAIGVAKGLAYLHEECRDCIIHCDIKPENILLDAEFSPKIADFGMAKLLGHDIEAALTTFRGTIGYLAPEWVYGHAITHKADVYSFGVVLFELISGRRTTGNTRFGNHRYFPLYAAYKVNEGDVLCLLDDRLGGDGNIKELDATCRVACWCMQDDEVHRPSMGQVVRMMEGVVDIDLPPIPTSLQNIMGVDDSGIYSE >cds.KYUSt_chr2.36889 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228003521:228008506:-1 gene:KYUSg_chr2.36889 transcript:KYUSt_chr2.36889 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGDVFFGYVDVLLPPSSGGREPVFRNNEIRISRRSPASDRCPPLAVLQRLADMVSSSDVARGVSNLELYGVSRVLPRSDSFNGNGFAFGKLLWRSVKLQISDGAASTSGSKKSLKRVFQKSSCHPNMAMVIDDRMNVWDDKDKRRVHNLPPYTPSVCPEDKVVNGCYVLQILRDYILEVHKGFFRFI >cds.KYUSt_chr2.46962 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293745988:293749384:-1 gene:KYUSg_chr2.46962 transcript:KYUSt_chr2.46962 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPCILFIVLLALLAISVSAIVSAVGASSLSPTSGNGSDTDLAALLAFKAHLSDPEGVLASNWTTSTSFCQWVGVSCSQRTQRVMAVELPGVPLHGSLAPHLGNLSFLSIINLTNTILTGSIPDDLGRLHRLKFLDLGRNGLSGTIPPTIGNLTRLQVLVLKFNHLSGAIPADLRNLHNLGFVNLQTNYLTGSIPTDLFNNTLKLSYLTIGNNSLSGPVPYSIALLPMLKFFDLQYNQLTGPLPPAIFNMSKLHTIYISHNYLTGTIPDNGSFSLPRLRIFSIGFNIFTGKIPQGLASCRGLKSISMPTNFFEGVVPTWLGKLPDLIFISFGGNNLVGSIPSALGNLTWLSELDLGRCKLTGPIPANFGQLGQLWDLRLRSNQLTGLFPASLGNLSELSNLSLDQNMLAGSLPRTIGNMNSLVRLTFTETNLQGDLVFLSSLSNCRKLRYLDISSNNFTGGLPDYIGNLSSQLENFYAFDTNLVGELPATISNLSSLRVLDLSKNQLSGAIPDAVMTMENLLFINLRRNSLFGTIPSQIGMLKNIVKLHLEHNKLSGSIPEDIGNLTNLQQIRLSYNQLSSTIPSSLFHLDSLLWLDLSHNFLSGTLPVNIGYSKQIYHMDLSVNHLTSSLPDSIGKLVMMISLNLSHNSFYNQIPDSFDKLASLQALDLSHNNLSGSIPKILANFTFLARLNLSFNNLQGQIPKGGVFSNISLQSLMGNSGLCGAPLLGFPMCVDNSVRTNGLKLKFFLPAIIVIIGAVSSCIFVVIKRKVGKQQKVTVSVGMVDTTNRKLVSYQELAHATDNFSESNLLGSSNFGKVFRGKLSNGLLVAVKVLDPQLEHATRSFNAECRVMRMARHRNLTRILSTCTNPEFRALVLQYMPNGSLEKLLHHSQGRRHLGLLERLGIMLDVSMAMVYLHHEHYEVVLHCDLKPSNVLFDEDMTAHVADFGISRQLVGDDSSMISANMPGTVGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGRRPTDAMFVAGLSLRQWVDLAFPTELVQVVDGQLLELQNYCSSSRSLVDGFLVPVFELGLLCSSELPDQRMTMTEVVVRLQRIKIDYVKWAAETQSADK >cds.KYUSt_chr5.3294 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21262536:21269129:1 gene:KYUSg_chr5.3294 transcript:KYUSt_chr5.3294 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRPRAAAAGFEDSPVFNFINNLSPIPIHKPQDTSHNVQLFKSLDLAPVSSIFASPHVNPPKEHKLLTRDDSVQPSQDSNSPNSVRTRLGKCDKNQNADGNEDLARDQGCTGLEDVLLDHTGPDQMDSSQPGGIVHENQLPEQHEDGFAAYDGDYLITHESSSHMLRLAPPFASETQLVNETLNADNAFSGNSMSTDEPSGTYMNNAGHDPHLYWDGPVEGSAPDYTPQLLPGACQSQLAPNDQMCNAVEEPSEYLPMDQDQSALSQHMRVMSRRCLFNEKAGVVNKAAKKTSGHHSANTTTPRCKTSSGDNNSKPMRTPPCALPGIGLHLNTLATIPKDKIVPHVAQPSINQASNFPCAVSSSPPTSEPNTEDSSQAVVVANADEPSQAGPKKKRHKFDDGDGTACKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPGNMEIVLSTREQIESRNPLAFAPKVIRTSEPGQELGEYTNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSISCRCEGCKNAFGKREGVALLSVDEVKLGYEENSALVKEEKNENDNQLVISQAANPAPAENVLTTPSVMNIRPLASILPSSSKRPRSSAKLLGQSPRLCNSQAHPKADILLSPFENYSAEMVWGPGTSDSLKGGLSPQTSVKVVSPNKKRVSPPRIGTGLSPICKSGRKLILKSIPSFPSLCGDVNNSDPKTTFPAP >cds.KYUSt_chr2.39890 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247655076:247656062:1 gene:KYUSg_chr2.39890 transcript:KYUSt_chr2.39890 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLLGDLRRRLLASAPRPPPLHRLSTTTSTPATRVPNTPVAVLWDLAASRPPSKLPLYDSAVRLHLAASSFGRLRLSVAFLHPTHRLPALDPSADAKQLCRVCGRGFRARDALLRHFDTIHTREHAKRLERIESARGDRRVRLAASLSLKLSKYAKAARELTAGASPGSPADELHRAGVSAELSRYPSASLRERAQEVLDEGSVRCLMLVSGQDALSPLLRLAREKGVRLVVVGGESGLARWADVGFTWSQVIAGKPRTAAPSLSGKWRDRDVLKRLEWRYEEDDDEEDVVFEEDGDLDGSEELVGSTNRKPWWKLESDGEDSCIGG >cds.KYUSt_scaffold_2697.218 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1464505:1472662:-1 gene:KYUSg_scaffold_2697.218 transcript:KYUSt_scaffold_2697.218 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTDADAADDNDQIDGALVMSIERLQEIQDELEKVNEEASDKVLEVEQKYSEIRRPVYLRRCDIIKTIPDFWLTAFLSHPLLSELLTEEDQKMFKYLDSVDVDDSKDVKSGYSITLNFSENPYFEDSKLVKSYAFADDGTTTINATSIKWKEGMEVNGNAIKKKGNKRPLVEESFFTWFTDTEHKSLADGVQDEVAEIIKEDLWPNPLKYFNNEVEEFEDDDEEVPTSTKTKREGTPMVQGREKTEKGRREEEEERGGGPPGPGSGPTGPQAGPSGPRSCRANMDSEEEDTEDYMEHFEDDTSSSTVDVEEHVELDTDYGSTNIIDMTDIEELYTDYGSTSIIAMTDIEELYVDNGSPSMDDMVEQRHEYDIDDMVEQRHDYDIDTMAEPHLDSTMNNAGAPTYPYLANVAT >cds.KYUSt_chr1.10883 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66532808:66535769:1 gene:KYUSg_chr1.10883 transcript:KYUSt_chr1.10883 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKMGTGIRKVAREVLGVTKGKKPHAKDTWWWNEDVQRAIKEKECYKSWHHDRSTSNMVKYKEAKKKARRVIKNRWTEYFDGLFNDGNEGTMPKLDDSFDDTSRRFVWRIQELEVKEALKRIRGGKALGPDGIPIEARYVELRHERGRYHIGRDGAHVLFIVCITGLVHDMGERVTSGGSDLRRQAMFDRLRGPSHVREQRLPLHRQLRHRTCDVELRHDLARPRIGKSSQDPTTFPIIDESTMVAPTVLKDTIFMAPTTYSLECPCRDAKESTATSTPAAALASPTTTAYVVPMLVAATTSDGTLQGDAISCGALTPTTNSLDNLYHDTHEDSLVPGLRYKLTIVAVFDP >cds.KYUSt_chr3.22894 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141558521:141560519:1 gene:KYUSg_chr3.22894 transcript:KYUSt_chr3.22894 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQPQYRSSYYAVLGVHPRASAAEIRAAYQRLAMRWHPDKIASGRADPARAEEAKARFQQIHEAYKVLSDEKRRALYDAGMYDPLDDDQEEVEGFHDFLQEMLSLMAAVGRELWSVKAKSSDREDLRWVENKLRELYAAVRPGQPSQ >cds.KYUSt_contig_1861.153 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000219.1:774508:775972:-1 gene:KYUSg_contig_1861.153 transcript:KYUSt_contig_1861.153 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPARENLSLQLEDTYHTSLSPTPPFVCPITTSTFPPILSTSRSPRQGRPEPLHNDVVTRPWAPSGGMDDGAQRRRPLPSLSISLRPPCPPRPNPPLRFVQVYRSTPPSSASVSCCEEDDAGRSAAEENDAAELALDAHPRPPRRATARPDVDRRSPAADTNEGGVEGEDFGEGFEEDQGQANQGKPSLDL >cds.KYUSt_chr4.47154 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291858408:291860819:1 gene:KYUSg_chr4.47154 transcript:KYUSt_chr4.47154 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGVLPPPALLAMGQKGGPRDRDPIHPMTGRPLDLEGVTIFDDPSATKGDAEEPLLDA >cds.KYUSt_chr4.8516 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51140665:51141027:1 gene:KYUSg_chr4.8516 transcript:KYUSt_chr4.8516 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPACTAAPSPCHQRGATTTLTGLPACTISVAPARCDDDDIREEAAGMQRGAIFTISEARRRRRVRAKAASVQRGAILSTSDSRDNDVMAGLLACSGAIPSTPASRDDDVQHRRCCSS >cds.KYUSt_chr3.13458 pep primary_assembly:MPB_Lper_Kyuss_1697:3:81147114:81149516:-1 gene:KYUSg_chr3.13458 transcript:KYUSt_chr3.13458 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGMPKSRPIQAAAEVVFDPSVSGTRKPRRADAPSSTSEYHHFMGSSLSNMYHKPPPEKSADMSDDEPDDIDIEKLLKDVELFDASTWKDRKQIQNRKVVELGGKAIKKQRTPLSVAKPAMKNQQKREQKKIEEERLLGIFRKRDKGNKFEKTRPEDRVLKATEGRFKNGILDVKHLMGAPKPSSSFRDEPEREMRGKGKKGGKGKGKEGQHGLKTSYNENDTSNIFGNSALFIRTDVILPSDKTRQYPLKALLVPYEGLRL >cds.KYUSt_chr3.25079 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155708510:155714256:1 gene:KYUSg_chr3.25079 transcript:KYUSt_chr3.25079 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSRALRPRPCLAAPRPGCGLRVARSVPAYGGATEKAEWGLAIAPAPAAAASGPVLRSRQFLCKAEANISSNLPESIPAGASQYEKIVELLTTLFPVWVILGTIIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGYAIALTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIAMTPLLTKLLAGQLVPVDAAGLAISTFQVVLMPTIIGVLAHEYFPKFTERIIFVTPLIGVILTTLLCASPIGQVAEVLKTQGAQLILPVALLHAVAFALGYWLSKLSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNKGLPADDKDDFKE >cds.KYUSt_chr5.39401 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249341089:249342492:1 gene:KYUSg_chr5.39401 transcript:KYUSt_chr5.39401 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIAAFRPPPSRAAAVASVGGANAGYSSKSTSLPQKQKRVRDHAFDGIMEVQKRVRRFLALHALLLYAASPTAPSGAFSAGGSGAVSVSFSRLGALARRQLRLSPLDAGQFMLRHPHAFHLFLHPVHRILHVRLTPRAAAALRLEADAITASRPDAILRLRKLLLLAPPHHRLRLENIHLLRRDLGLPDDFADSVIQSNPALFRLAPDGFVELVPSPDDPPDLTVAAVERSRERHYREHRSPGDGEEDARFAFPTRFPPGFKIGKYFRIAVWKWQRLPYASPYADVSGHDLRSLEAQRRMEKRAVAAVHELLSLTVERRTTLERLALFRDALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELLDSNEIHDARRKQEELLLMSPEKANLDRMFTSMGRGWDELGGGRRGGAELREKFLGDAGGRKRKIGGHDDDDDDDGADSGEDSGVESLYIE >cds.KYUSt_chr5.41082 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259253869:259256349:1 gene:KYUSg_chr5.41082 transcript:KYUSt_chr5.41082 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIELEPEPEPGVEETTCPSLPPEYVAAADPRTAEEDGEEAFEDALTDEQLREKARSQANDAKAEGNKHFGAGEYEEALSQYEIALQIASELESAEDICSACHSNRAVCFLKLGKYDETIKECSRALELNPSYVKALLRRGEAHEKLEHFDEAIADMKKIIELDPSNAQAKKSLFRLEPLAAEKKEKMKEEMLAKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSLSFQQ >cds.KYUSt_chr4.52549 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325980398:325983100:1 gene:KYUSg_chr4.52549 transcript:KYUSt_chr4.52549 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENSVPLLLKSGSEVEEGLGRRLLEENKKLWVVAGPSICTRFSSFGLTVISQAFIGHIGPTELAAFALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHTMGIHLQRSWIILNGCAVLTLPLYLFTGPLLTFIGQDPSICAVAGTISLWFIPVMFSYVLSFTLQMYLQAQGKNAIITYLAVANLALHLSLSWLLTAKYHLGLEGVMTSMIIAMWFPALVQLAFVLFGGCPVTWTGFSSAAFADLAPMIKLSVSSGIMLCLEMWYNTILVLLTGYMKNAEIALDALSICLNINGWEMMISIGFFSATAVRVGNELGAGSARRTKFAILNVVATSFTIGVVLFVFFLFFRGKLSYIFTESEEVAAAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQRIVAYVNITTYYFIGIPIGAILGYVLGYHVKGIWVGMLLGTLVQTIVLLFITSRTDWDKQVEAARERLNRWNKDGSKP >cds.KYUSt_chr2.14900 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93936909:93937328:-1 gene:KYUSg_chr2.14900 transcript:KYUSt_chr2.14900 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFPRSWLSSIVIPASSSSTLSEQLHRSTPASPSAPRQHLPLAQAAPPIPVKFLRPRPDQAPTPARQHPPIVVKYLRPRPDQAPTPARKHLLPRPGSNNSNKKAPTSPSSTSALGQIRLQLRQGSTSIPVQHLRPRPD >cds.KYUSt_chr4.26439 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166112958:166114633:1 gene:KYUSg_chr4.26439 transcript:KYUSt_chr4.26439 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLPFASLAATPDADIVLRGYIAWNSRRALQVMDKVFPKDSAVQPSLVVVYLGGNDSIAPHPSGLGPHVPLEEYIDNMRKIAEHLKSLSDKTRVIFLSCPPLNEELLRKSTSTALSEIVRTNETCRLYSEACISVSKEMDIKVVDLYNAIQKRDDWATACFTDGLHLSEEGSNIVVEEILTILKEAEWDPCLHWKAMPTEFAEDSPYDLVTSSGLSTINPSEWFYHRKLSWE >cds.KYUSt_chr4.45425 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281179280:281180656:1 gene:KYUSg_chr4.45425 transcript:KYUSt_chr4.45425 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETAGGAGEEAMGGESAGGSAKDSMGAGEPGKKSMGGAISAEPGKKSMGGAISAEPGKKSMGGASACGSGKESMGRAGGIVERRQDITDAILHYLQSLKPDPHFANPLEVSALRLLRPTESIPRLCGGITSAFIVSADKNLVALYAGPYRAGTDLKGGYLIYDASKNSLSTIPKPPYDYSRNELGLGAVVVTLVGEEDTYCLCELTKIRDSDPPEAALCTWRPSSQEWMVEVASFPTELSPPNYFFMADMCFSYQGSILCWVDLFKGMVVCRSMLQIGGRPELSFIPLPRECATYDCSGEGSEPPRRAEEFRSIACVGGSIKLVTMNGYGQRPGHELSLTIWTLSMDLGGWNKGKEYRVRDIWASEAYLSLGLPNILPSFPVLSMDKDDVVCLVVTHWNRAVDGKVEYRGQYLLCVDMQHNKVFLRSTNTEQLRSQLFASECSAYPHCLEDHQVIH >cds.KYUSt_chr6.31469 pep primary_assembly:MPB_Lper_Kyuss_1697:6:198961507:198965226:1 gene:KYUSg_chr6.31469 transcript:KYUSt_chr6.31469 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLREAVAGLGQISDNEKSGFISLVSRYLSGNEEHIEWPKILTPTDEVVVPYDTIDAPPEDLQATKALLDKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVLQIESLNKKYGSNVPLLLMNSFNTHDDTLKIVEKYANSSIDIHTFNQSQYPRVAADEFLPWPSKGKTDKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDAHVDEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVEGVKVLQLETAAGAAIRFFDHAIGMNVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNSARTDPSNPSIELGPEFKKVGSFLGRFKSIPSIVELDSLKVSGDVWFGSGIVLKGKVTITAKPGVKLEIPDGKVIENKDINGVEDL >cds.KYUSt_chr2.55571 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346646853:346648361:-1 gene:KYUSg_chr2.55571 transcript:KYUSt_chr2.55571 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRVPNHALVLALVVVFATAHDVASATESSSHTRSAEGTSGGNAPSTTVSLVGCRCAPSRSSTGSVKGCPCSPPPPPACPPPPPPPPPPPPPACPPPPPPPPPACPPPPPPACPPPEPSPEPWDDFENEKLKALYPVIHAFKDTITSDPLGVTATWVGKDICASHKNGTAYKGFYCDFPPDDNTTLTVASIDFNGFHLRAPTLAGFIDAFPDLALFHANSNNFSGDLPDLTHLRYFYELDLSNNAFSGSFPAAVPPLGGLLFLDLRFNGFAGEVPPPVFGISVEALFLNNNGFSGRIPDTFGSTTAQYLVVANNRFTGPIPKSIFNVSGTLSEVLFLNNDLSGCLPYEIGLVEGLTVFDAGGNRIRGPIPLSFGCLADVDALNLASNQLYGHVPDVLCLLYKTGKLTNLSLSDNYFHSVGYHCLELVRARVLDVRRNCIPGFPGQRPPFECALFYADPRNHCPFIPHIPCDLPGFKPPAIAALPPGKGVVHGYGEGSGGGN >cds.KYUSt_chr4.20834 pep primary_assembly:MPB_Lper_Kyuss_1697:4:131091674:131092537:-1 gene:KYUSg_chr4.20834 transcript:KYUSt_chr4.20834 gene_biotype:protein_coding transcript_biotype:protein_coding MATELSSSMVAMDAPMSSSLGAMGPLLRKLDSLLAPEYYRLPHLLKVGIELLKVDLQDISADLVEQSMADFPNKMAKYWMDEVRQLSYEIEDCVDNMMARHNGAGTKVRSVHGHRVRRVKIAPLPKTMKPCTRISKIARFRTLVREASQRHERYQLDGCIPSSSYAFTHQPWISTPCHGLAPNYLVGIDDSKMKLIQLLTNEAEHELKVVPIVGPAGVGKTTLAKELYRDLGRHYECRAFVRVSRKPDMIKLFLGLLSQVQRRQRHSDVCTVQDLIDILREHLQDKR >cds.KYUSt_chr3.6605 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38003339:38005825:-1 gene:KYUSg_chr3.6605 transcript:KYUSt_chr3.6605 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVASAVLWTAVVQLASIAGLWHPRVLADCWGGGGSGGAAAGLAALAGEDRTAARLSPLALVPRRVYRSNGYLIVTCNGGLNQMRAGICDMVTIARHLNLTLVVPELDKRSFWADPSDFGDIFDVNNFINSLRDELRIVKALPLKLQIKSRRRIYSMPPISWSNDTYYLKQVLPIARKHKVIHFNKTDARLANNGLPMHLQMLRCRVNFEALRFTPQIEALGRKLISTLQKSGQFVVLHLRYEMDMLAFSGCAHGCSSKETEELTRMRYAYPWWKEKEIDSEFKRLQGLCPLTPEEITLVLKALGFTKDTLIYIASGEIYGGERRLAALRAAYPKLVRKEKLLSPDELRPFQNHSTQMAALDYMVSIASDVFIPSYDGNMARVVEGHRRYTGFRKTILLDRVKLVELLDHFQGGKLSWDEFSAAVKVAHQKHMGQPTDRRAIPGRPKEEDYFYANPQECLGSKGGLRDVL >cds.KYUSt_chr5.17923 pep primary_assembly:MPB_Lper_Kyuss_1697:5:115890194:115897338:1 gene:KYUSg_chr5.17923 transcript:KYUSt_chr5.17923 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLALLPALLVLLCSSLALASEPSSRPGPTLPWTCGGDHLAILETSDGRHNLSINGVSVQDRAEGCDRLRSYFGSGCLRCDERSEAWRGAWAHYCSDGTQSSYVDSLSSFEVSTSSEKIPPTPHRIPPSPSRFAPSPQIARAGSVDLTVQQILRATQNFSHSFKLGEGGFGTVYRAVLSDGVVVAVKRAKKDQFAGPRDEFSNEVELLAKIDHRNLVRLLGYTDKGNERIIITEYVPNGTLREHLDGQHGRTLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTDSYRAKVSDFGFARSGPNDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEIISGRRPVELKRAAEERITIRWTFKKFNEGNMREILDPLLEDRVDEEVLEKLLSLAFQCAAPTREDRPTMKEVGEQLWEIRKESGPSSSVAPNVAAVAPMGSSVPPSVATTNREAEVQSLELLNYAQIIVVRGEATFRDMEHEFQVWSDSMGYKWRFYVKVVAENQCITRFPNAKCIEELSHFGKFFMKTVPDTIIKIEKWAEDIEQFAEMEEAWFRVKGIPMRYRNKSTAYYAASMAGLPLVLDMNYLRNFAYISVTLGCQDPALVPSSRIGTIKKGFYEFKFTREVIDPNTNPATYYAAAVENSKDDS >cds.KYUSt_chr7.1372 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7472781:7473577:1 gene:KYUSg_chr7.1372 transcript:KYUSt_chr7.1372 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAGVKWIYSRVGVSSTGCRLRRQHKMTHQESASMLAMGTTASSWIIRTLQFEILMSNPGVKSLRYIDDQTSFKCSPWLTSNLTPPPVQRQSSYHCSTIGRGAVVEEQQLRRRHSDGRSRKFVLCVADTDVERSTNKSDEMVLGDFSWLSFYFLLLIRSWFYGWLLRMPIEV >cds.KYUSt_chr6.3621 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20902382:20903198:1 gene:KYUSg_chr6.3621 transcript:KYUSt_chr6.3621 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSGRHHPAVNDVFLTLVGASNALSDVQRRLDLEFRASYPDHANPAKLVGRVKRVQEEVAALKDLCRDLLAQKQELIDLMRTSLAAQRSATQRLLASSGLPLMTEHDEAAYGNLKQVIDEWTGQLRPMAGDADGEDQDTNQILFSAIVD >cds.KYUSt_scaffold_2697.193 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1311997:1315503:1 gene:KYUSg_scaffold_2697.193 transcript:KYUSt_scaffold_2697.193 gene_biotype:protein_coding transcript_biotype:protein_coding MARALHPLFVAVLASCLVAVLGLSGGAADAAAGRKMVGVYELKKGDFSIKVTNWGATLMSVVLPDSRGNLADVVLGYDTVAEYVNGTAYFGGLIGRVANRISGARFTLDGKAYRLFPNDGKNTLHGGHRGFSKVVWTVKEHVGGGSSPFITLYYHSFDGEQGFPGDLDVYVTYQISDAHVLSVHMNATVRDKATPVNLAHHAYWNLGGHGSGSILGEKVRLFASRYTPVDAALIPTGRLAPVAGTPYDFRKPAAVGARIGGLLHRGVTGAMELWADQPGVQFYTANGLSGVRGKGGKVYDRHDALCLETQGFPDAVNRPNFPSQIVRPGQVYSHDMVFKFSF >cds.KYUSt_chr4.19285 pep primary_assembly:MPB_Lper_Kyuss_1697:4:121144400:121147728:-1 gene:KYUSg_chr4.19285 transcript:KYUSt_chr4.19285 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSSGVGRTRRTVRSTVGRTRRPVGRTVGRTSGEDGGEDGGEDGGEDLEEDEGLGGMPRELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKNTSTRAEGKEIACDVILHELCGVRVSGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQHPPRYVGEDRACFLAMVIWWTSREYARKHEEGKRSVREMGGGSHVLGSKNLALTLQDESKTRKEPHPETGSLWVNDLAEGQCGAYRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIADGLVDPSTIPSLRQIRRGRTSEQPRVETRPRASDLAIEKLRVSSSSILYASLHVFHCNVPDIAATQRRRRWKKGNGGTKRSRCGCSSSLGRTCEMQQRDVAARCNNDSRCSSEMFMNQTVLTSPPGSSGPSTSCPPMFPHFEVEKDKEVEMDKEVEKDKEEAKVDVACGLMGKQENSGKICTIFAVHMHTAKATAHGKGTLCRAHAHGKGRPRCPPGAAVGIFAVQGGREAHGKAKSLPCELERKHTAKKLARQRGQTHGKGIRTATMPQLTATLRRSAKPLPCDFRATHGKDPFAGRIFAEQSLPCTAARQRLCRAGLSLCRAFGLHGKALFCRSASFYK >cds.KYUSt_chr2.6019 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37467503:37467874:1 gene:KYUSg_chr2.6019 transcript:KYUSt_chr2.6019 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPRSLVDRIAEVAGNIKEAAGAVFKNNDECLEIGQLVNKVSTLLSQLEGKKMADEPAMRGALEKLLATFRRAHTLVIACQRKGLGIVWLSILPSRLSTQLHEVLDQIVSNIADMTAIVLA >cds.KYUSt_chr7.2454 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14306937:14307728:-1 gene:KYUSg_chr7.2454 transcript:KYUSt_chr7.2454 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMAPPRRQSLLPPPPVAGAPRFVVPLRILHPETARLHGSRCRHVPRPGSVFGSDRRFLGSFFPDAVENSLLENVVLLTAWHGFVLVRLAAETLGRRGDIMAMFNLLAGTCDVLPPLKGEWSDRAIGCAILTGADCSLNRKQQTSTSLAFFKLLIITTDIYDQPCKVCAFTFDEASWSTLCEFSFDDRKNRRIMHPTAVVCRGMAHWLDWYNALHTLDVDVETCQVSRTKIHAPIENIMSKNTHDLPHLSVNVGGTLYLLHL >cds.KYUSt_chr6.6424 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38893478:38894929:1 gene:KYUSg_chr6.6424 transcript:KYUSt_chr6.6424 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAVWFGEDGTSGSPEVVRDKLLGGLLVPGFDAQACLSRYQSALYRRTSPHFPSTYLLERLREHEALQKKCGPHTEFYRKAVEQLKSGQDIKVMDCNYLVWIAYSGLGNRILTIASAFLYAILTNRVLLVDGDKGTADLFCEPFPETSWFLPSDFPTKHLKNFSAGSPESYGNMLKSKALKSSPAFLYLHLAHDYSDFDKLFFCEDNQQYLQTIPWLILKSDNYFVPSLFLIPAYQEELMKLFRQRDTVFHHLGRYLFHPSNVVWGMVTRYYDSYLATADEKLGIQIRVFDTETGPFQHVLDQVLACTLKEHLLPEVNTQELVVPSRKARSKAVLVTSLNSGYYEKFRNMYWEHPTTNGETISFHQPSHEEHQNSDKKMHNMKAWAEIYLLSLSDVMVTSAWSTFGYVAQGLSGLKPWLLFKPENRTAPDPPCRQVLSMEPCFHAPPFYDCKAKRGVDTGKLVPHVRHCEDMSWGLKLVDQS >cds.KYUSt_chr5.38780 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245502577:245502906:-1 gene:KYUSg_chr5.38780 transcript:KYUSt_chr5.38780 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIFPFVFVAFRKRRTRAPDSYERLVVPGGAAHGREHARFDVGGAYQSQSCRFPVRRPSADGLGFSHDDDRPRPEDLSGELSPPSSGRGVSRSRRFSSMRVLACIGGP >cds.KYUSt_chr5.29432 pep primary_assembly:MPB_Lper_Kyuss_1697:5:186527168:186527995:-1 gene:KYUSg_chr5.29432 transcript:KYUSt_chr5.29432 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALAGRRRVAKVMTVDGATFRYKAPATAGTALRGHPGHQLLESDEVRRLGVRARPLDRDAALKPGKLYFLVQLPRGGGGRGDADDDLRAPRKTWSGALHVGARERLESLMLSRRTVSDVASLMPSSRGAVVGGGAGLGGEGVSGRRSSSVEAGADGAVRLRMRLPKAEVARLMKESRDPAEAAERIMQLCVARDQGGGPAPSGPARHASAPASALYTGKTAVKKEVSIFPSRDLACVDRARPPCYSTFSFPKLAETIIWHRANQLPSSIRHGR >cds.KYUSt_chr2.27401 pep primary_assembly:MPB_Lper_Kyuss_1697:2:168086071:168088273:-1 gene:KYUSg_chr2.27401 transcript:KYUSt_chr2.27401 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPAATPTVREIWRAQRADGPAAVLAIGTANPEKCVPQEEFPDFFFRATNSDHLQYREAKPERLLFSTFTAGEISGSSPLRRPPRRREGRGTQDLRFRHVDRREIGVRATGVSAATNKVSAAGIRRSGGRICFPDGDGEAASASLRWAFVLSDLLLPVWIISGSGRESGEMRLGDGRRREPASSRGLLHWSGWRGVFVQIPVSAIIWIFPDMATFAVISKVKLMIFRGLLKNSDHLTALKDKFKRVCLKLGVQKRYLHHTEELLRAHPEFIDHESPSLDARLDIVATAVPELAAQASKKAIAEWGRPATDITHLVVTTNSGAHIPGVDFRLIPLLGLRPSVRRTMLYLNGCFAGSAALRLAKDLAENNRGARVLVVCAELTLMLFSGPKEGCFQTLVNQGLFGDGAGAVIVGADPASPGERPLFEMVSAAQTVVPDSDHAITMHLTKGGYGGNISTREVPGFIGDNIEQCLQDSFGPLGIAAKWNDLFWAVHPGSSAILDHIDTVLKLQPEKLAASRLVLSEYGNMFGVTIIFILDELRRRHKEQGGAGVPDWGVMMTFGPGLTVETMVLHATQHTQASV >cds.KYUSt_chr6.26884 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170435180:170435881:1 gene:KYUSg_chr6.26884 transcript:KYUSt_chr6.26884 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTAAKAKQVSSGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIIDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHISDPVNNNAWAFATNFVPGK >cds.KYUSt_contig_1467.221 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1419618:1420838:1 gene:KYUSg_contig_1467.221 transcript:KYUSt_contig_1467.221 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRLRLTAAESAAVVLDDGGEDFPTHSEWAIVGKVLSPTKLHISTISAALRPAWGNPRGLLFNPAGDNTFVAEFGSRADKERVVDGPPWVVGKHAVLLKDFDIDQRPQDMVFNRLKIWARIINLPFGYMNKKWGSAIAKPLGVEGSVPVVACDSTGRCWGSFLRVKVEIDTDKPLMRGVTIFSQRRNMTEWFDVQYEQLPRYCFACGILGHSSLECKNPGDRDVDGKLPYSSDRLCPPEEKNKKSQSTRSAADSSSQGHSSQHIHSERPSPMGGTGSSTRQKQQGGEDVAVSSPMKQNKHRGRANQAKGGQNVVKESALAKKDGTTLAGQKRKPQKVYRPVVPPSVEEMPVNSLALTVCPVDLPRETQDAACVDDHSIDSNKKMRRETSGSADQAGAAEQPRQTQ >cds.KYUSt_chr4.10856 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65894534:65896379:1 gene:KYUSg_chr4.10856 transcript:KYUSt_chr4.10856 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTDMSSLWMPSLPRVATRSSDKVRRESSRLEKLRTIGYSMLPKSTHVTIGTKMFRNLFFHHRLEKTKFPKSADVTFATKML >cds.KYUSt_chr3.8045 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46334672:46338001:1 gene:KYUSg_chr3.8045 transcript:KYUSt_chr3.8045 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDEDVRLDLDKLPIKRLEAIDEAGNEHYPPEEQRLAAIRRIDFSWVIERDAKKAKKAAEETAQQAWPWQGLMESLQQAQQELTVVLDLISTVEANDAVTVATASKPKSTPNEVLVDMAVSAATKLQQLRHLGRYFKQSAKTMEQQFQKEARFYGSLIRLQQNWKVKRQRGTGPGSETFMFDVIDTSQLDTAAMPRFSSMALIPIDQDSLGTLSVQVPQKSCRFLSLQFRGDGANGVENYARKLNGVSSTSSAEEIDTLEDDDVNKSVKHAHSILRNIHKSIFEEQVFDMVIRETFVQSEGINVTGMRDDFLQLAIGQESLLCLSLTDSGHDSESEMAGHEEHTNSEDAANLVLATTNGKQEPLKRDASGFLNPKSLEICLLHMFHENILKKVREKYRNIVRYPSPAQTAADDRGLLSHFCMTVAHRIFSNKVHLELESVVSRVPYLHLRSLPTWHSRTSSWSLCLRVPQPILASDRPTNPSDKDEPKYKSRSQFNTKIILKDGQISLLGEGSPSIAGSLTRKPSDGYLINSYNCDLEDLPTMLLQQVASQVINWLHEEAVVLGMNVTRDFLCLYFDLDQGETLGLVAHVDPDDGYGCISWYLTIDHPVEDGKMSTDNPEFEKRRFLGYLSLEVLYSTLMDLINLCSTGVHR >cds.KYUSt_chr3.9484 pep primary_assembly:MPB_Lper_Kyuss_1697:3:55724205:55730396:1 gene:KYUSg_chr3.9484 transcript:KYUSt_chr3.9484 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQGIPFFIDNIIRTKLSFRLAFGCIKTSHGSLPYGIIRGGVSEAVRWYRLGASHAQDISVEKKAFACLPCPILSPLHRVLRPASPHYRPPAISHRPPSSPHPRIVPDPLSPSRVLLRRFLNLFVPDIISDDLTDELRDEVALASLRSRHLTPLADHAASAVYVASAVL >cds.KYUSt_chr6.5913 pep primary_assembly:MPB_Lper_Kyuss_1697:6:35426887:35428438:1 gene:KYUSg_chr6.5913 transcript:KYUSt_chr6.5913 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAERPHAVCLPYPAQGHITPMLNVAKLLHARGFDVTFVNTEYNQARLVRSRGPAAVAGVPGFRFATIPDGLPQSDDDDVTQDIPALCKSTTETCLGPFRRVLAELNADTAHPPVTCVISDVVMGFSMEVAKELGLTYVQLWTASAISYLGYRHYRLLMKRGLAPLKDVEQLKNGYLDTPVDDVPGLRGMRLRDFPTFFRTTDPDEYMVHYVLRETERTAGASAVILNSLDELEGEAVAAMEALGLPKVYALGPLPLLAREEPPTASSAINLSLWKEQDGCLEWLDGRAPGSVVYVNFGSITVMTNAQMVEFAWGLAQSGRQFVWVVRRDLVKGDAAVLPEEFLAETAGRGLMPSWCPQQEVLDHPAVGAFLTHSGWNSTMESLCGGVPVISWPFFADQQTNCRYQCQQWGVGMEIDSNVRRDAVAGLITEIMEGEKGKGMRKRAVDWKECARKAAMPGGSSHGNFDELVRDVLLPKN >cds.KYUSt_chr6.12191 pep primary_assembly:MPB_Lper_Kyuss_1697:6:76019964:76023156:-1 gene:KYUSg_chr6.12191 transcript:KYUSt_chr6.12191 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRVVVMDGEAAAAAERNAAKEKLIEYTLDGSVDIKGQPAVKGKSGGWLAGGLILVNQGLATMAFFGVNVNLVLFLTRVVQQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVCGLALLSLSTRLYLLLPVGCGTERTPCAPHSGTEMGIFYIALYMIAFGNGGYQPNIATFGADQFDEEDPAEAHSKVSFFSYFYLALNLGSLFSNTFLSYIQDRGSWVLGFWLSTAAAALALLLFLAGTPRYRHSQPCSNPIGSICQVASAACRNWKSGVSPEAEMILYEGDEKTDSSGGKKLLHTKGFRFLDRAALTAMDTDDSACTKPRDQWKLCTVTQVEQVKSILRILPIWLCTILYSVVFTQMASLFVVQGAAMRRTTMLAGFSVPASSMSMFDILTVATTIFLYRRAICPFLARLTGRPTGPTELQRMGLGLVLGAMAMATAGTVEHFRKAAATTANGSDLHIIWQVPQYALIGVSEVMMYVGQLEFFNGEMPDGFKSFGSALCMMSMSLGNYFSDVIVTAVTKATAVQGRPGWIPADLNEGHLNKFYFLLAILSVADFAVYLVFASRYRKIGKVDGRSDDEESYDDEQVAPTTCHA >cds.KYUSt_chr2.770 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4558954:4560255:-1 gene:KYUSg_chr2.770 transcript:KYUSt_chr2.770 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRLAVVLALTAVAAHAQLCGHGIVCPRNLCCSKSGDCGLGDPYCGPGCRGGACHHIETAFYMCGSKGDGRPCPGNLCCGRGGICGISQVHCGDGCESGPCHASVRCGSQAGGKLCASNLCCSHDGHCGMGVEYCGHGCQGGACQPPPAPALPAPTPPTSQVAANTPAPAIPAPTPPSSQVAANTPAPPLPAAPTPPSTQVAAANTATPAPSQHRGDLSKEDHQGCIRRVDDTPVPGSCLCYRMCAHKGGGDSKAGLTDAQSQTCFVDCVLSDGGWVLCPAAAAAPEPELVPKKTVRLPVMRPWVSTSKEEKHIIDEELYVELEFESDFPGEVSIMVWLNTPEGKDRELDDTQDEPRFVGSLIVSKHNRAPPYREHGFFNISANVDAIGATGDEKVVVSLVLTPADDTARRRWSEVVDVFVFRGAIITARRL >cds.KYUSt_contig_319.829 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:5331568:5331813:-1 gene:KYUSg_contig_319.829 transcript:KYUSt_contig_319.829 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVELLDMGVRIAARFHSHCPQTARMYYHPPASSAAANHAGDGAGMLPTEGAAAGAVAMMKRQQRAAVDAADIILYTVV >cds.KYUSt_chr5.19779 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128402360:128407153:1 gene:KYUSg_chr5.19779 transcript:KYUSt_chr5.19779 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDLDRQIEQLKRCEPLAEAEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTEIFDYLRNQEVFLRSGLRQITSFEAAHVTCDTLPFHAHPSTGRWVLQQTSSCFPRE >cds.KYUSt_contig_257.361 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:2779163:2780170:-1 gene:KYUSg_contig_257.361 transcript:KYUSt_contig_257.361 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAVLGVTPPSPKRPRNEETPPPADSNGADRLSDLPEGVLHHLLSLLPAHEAVRTCVLARRWRHLWMSAPGLVVTEINGFRSAEKLDLFVTRLLLLHRSGDGGDARLESCQFSLCGFYFEFDGFLPASAPHLTRWILTALQRQVKALRVSLGDFEEDFQLPHQPLSSHNLTTLDLDNVDTNDSVLDFSGCPALLNLKMSFAHVNAHRIASQSLKHLIMKYCGFYAEERTRISLPSLVSLELIDPMGRAPLLESMPALQTATFLFNYDCTDICSDRIGRFDACGRSMCEGCYYNYEPVDDHNDSLLLKGLSEATELNLEAIPDVVCTQAYPFNP >cds.KYUSt_chr6.31230 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197767595:197775202:1 gene:KYUSg_chr6.31230 transcript:KYUSt_chr6.31230 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLGEEHSFIIESDDDEEDPTHGDAGAADEDGGSSSDSSSCATPRARGSDGSHPNSYTNQWPQSYRQSIDILSSVQSPSLSFLGTPTLSRLSNSFLNSSFRGKTPEIISNLVKPLLRPTTSDEQQQQQHEDVHKSSQYLLPSKKPSLQQIPENQKAPAIGHGPSPYQQCSYTQGALNGINVLCGVGILSTPYAIKQGGWVGLVILVVFAVLAWYTGVLLRHCLDSTEGLQTYPDIGHAAFGTYGRIAISIILYVELYVANPITKYALTITPLAMSLEELLPRSQQKYSNIILLRSALVISTLIVALSVPFFALVMALIGSLLAMLVSPPPLCKWYHWIDKEQPTWALQEIDDRH >cds.KYUSt_chr6.15191 pep primary_assembly:MPB_Lper_Kyuss_1697:6:95254746:95256326:-1 gene:KYUSg_chr6.15191 transcript:KYUSt_chr6.15191 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRVAFSLFLLLAVAESAAATRSPSAFVQNAIYSNRITIFSKSYCPYCMRAKRIFKDLKENPYVVELDLREDGREIQGVLLDLVGRHTVPQVFVNGHHVGGSDDTKDALSNGQLNKLLGKSQSQSQ >cds.KYUSt_chr2.25634 pep primary_assembly:MPB_Lper_Kyuss_1697:2:156837167:156840276:-1 gene:KYUSg_chr2.25634 transcript:KYUSt_chr2.25634 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRRPATRESLSIASGELLDECAIAGATTPFSIQSTAAKLQPLLNPIVVVSLIDGAIPLHAVPLTPR >cds.KYUSt_chr7.31565 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196589500:196596591:1 gene:KYUSg_chr7.31565 transcript:KYUSt_chr7.31565 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFVVVLKDDPLGIQRPPDKFVDFVAGNEPASLHLQGAACDCCRWIVDVLSDARGKMYIYTGWEKFARYRNLKAGCMLTFSYLSERDMSVKVFGETRYRRCYHDDISEEDDDLGKRRRRRLKWRSWSGERKIASEKALSIILRCGVLKAVLDRRETVKLGLPIVDGPSTLSAPSTMVSRVKTRLLSYFVSRLFDRLVDIRSRMDLRVFRVNFKSAVIQNAFQWVLIIIGVIGSFIFYFFVWYFKYKRLMPL >cds.KYUSt_chr5.13821 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89862785:89864293:1 gene:KYUSg_chr5.13821 transcript:KYUSt_chr5.13821 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEAMDLSWGARCAGAVYLALSLCVVALAVVLQITRWWPWCRCHVCRAYMTGSWAREYTNLCDWYAHLLRESPTQTIDVHVLGCTVTANPDNVEHMLKVGFDNFPKGKPFAAILGDLLGGGIFNVDGDAWSHQRKMASFELGSVAVRSHAYKIVAQEVETRLMPVLAGAADGGLVIDLQDVFRRFAFDTICKISFGLDPGCLEQGMPVSEAAVAFDTATRLSAIRALAVSPLLWKMKRMLNIGTERELRKAIKVVDGLAAAMIRERRKQGVASGKRNDLLSRFMASARDVVGDKDKFLRDIVVSFLLAGRDTISSGLTTLFMFLSKNPAVAAAMRAEAGSRKPVTYEHLKGLHYTHAVIHENLRLFPPVQFDSKFCAAADVLPDGTYVGAGSRVMYHPYAMGRMPSIWGADCEAFRPERWLTGPGGSFAPVSLYKYPVFQAGLRVCLGKELAVTEMKAVSVAVVRAFDVEVVGENACAPKFAPGLTASLSGGLPVRVRRRV >cds.KYUSt_chr5.19981 pep primary_assembly:MPB_Lper_Kyuss_1697:5:129729245:129729730:-1 gene:KYUSg_chr5.19981 transcript:KYUSt_chr5.19981 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAGPSMSGADGLHALAEEAEPRRNFSIAASCLELALSPAHAASLLPLAEARARLRLAGLLLAPFMGLANAKAHLERAPRSSAPRLRPVEEAHAPPRARPPRARVRVWSPPPARPSCGGSRRRRYRHHTVAVIADELATAQSSTRSWRQQRLRHRVTRI >cds.KYUSt_chr1.35454 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216220300:216221935:-1 gene:KYUSg_chr1.35454 transcript:KYUSt_chr1.35454 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYFKKTQASKLGDAQGIPFFIDNNIRAGDAAAARTCLHFCLVCPGFTFIYDAGDLPCRFFLFVDTSRVSSHMP >cds.KYUSt_chr1.7920 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48601257:48602132:1 gene:KYUSg_chr1.7920 transcript:KYUSt_chr1.7920 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRMPPAPFPAVEKKNKLRKPYTITRPRERFGRDWKMIEAFVSTKTSVQIRSHAQKHFLKAQKLGLEECLPPPLHPRRAALHHHQPPPLHPDADMLVPNMDWAYASPEPCVPGLQHEAQAGSWPDHGSASQHEETIDLPLSPDDLRFAQVYRFVGDVFAASDAVVPVEAHLQRLQLHGVDPLVLDTIVVVLRNLEANLCA >cds.KYUSt_chr7.11912 pep primary_assembly:MPB_Lper_Kyuss_1697:7:73160376:73163262:-1 gene:KYUSg_chr7.11912 transcript:KYUSt_chr7.11912 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGAVRPISIVPLPRGNSTLLPAPDVDATTRRRRNPAGALTTRRPAAGMSSSSAAPKGPTAADALRRNRILSSKLYFDVPGSKAPVVYSAAYDIAFLGIEKLHPFDSSKWGRICRFLTAEGHLEKNRVIEPLEASKEDLLVVHTDSYLNSLKSSFRVAAIVEVPPVTLIPNWVVQQKLLYPFRKQVGGSILSAKLALERGWAVNVGGGFHHCSAEEGGGFCAYADITLCIQFAFVRLNISRVMIIDLDAHQGNGHEKDFANDGRVYILDMYNAGIYPFDHAAKRHIDQKVELVSGTKTDDYLDQLDKALKVAESRFQPQLIVYNAGTDILDGDPLGNLNVLF >cds.KYUSt_chr2.13818 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87519555:87520283:1 gene:KYUSg_chr2.13818 transcript:KYUSt_chr2.13818 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAERLALAFLFAAAAALAASAVDTKLTLQNLCPFPVHPLVTPNGNFSSISDNTVHLDPNRGLVSFPFPDTFWAGSVVARTFCRTPTSCDTGSSPPRTVVQLAVHSTEDLATYSVSLEDGFNLATVVTPLFSGGGQCPALGCPLNLTNGCPVDQVKFDDCGVMVACKGDPGYFKRRCPLTRVNGTDREPLPQSCIAPRELKVVFCQKELAHLTMVGAASAQTELAHLTMVGAASAQTEHL >cds.KYUSt_chr5.17165 pep primary_assembly:MPB_Lper_Kyuss_1697:5:110831706:110834682:1 gene:KYUSg_chr5.17165 transcript:KYUSt_chr5.17165 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSGSGAAEDGSYEYEEEFVNNARGMKLFTCRWLPPKGQTVKGQVFICHGYAVECSVTMRGTGTRLAQAGYAVYGVDFEGHGRSEGLQGYVPSFDVLVSDCDAFFAAVVASTANTDLPRFLLGESMGGAVALLLHRARPSYWTGAVLVAPMCKIADEMRPHPVVVSVLKAMTNIIPTWKIVPTADVIDAAYRMQEKRDEIRNNPYCYQGKPRLKTAYELLRISLNLENSVLPKVSLPFLIVHGGDDKVTDPSISDLLYRSAASQDKKLNLYPGMWHALTSGETPENIHTVFQDIIAWLDERSTSTKSSAAAMGISSEMEQKAKHDEQHFDKL >cds.KYUSt_chr2.29023 pep primary_assembly:MPB_Lper_Kyuss_1697:2:178382128:178384619:-1 gene:KYUSg_chr2.29023 transcript:KYUSt_chr2.29023 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQVGASGGVGFCFGPLDGGRRRARRAVLRPPRSSATVAEDQEKVRLGESSVAVSKLGIGAWSWGDTTYWNDSEWDGARLKEAKAAFDASVDSGMTFFDTAEVYGTALMGAVNSESLLGDFIRERKKQGAVDVTVATKFAALPWRFGRGSVLSALKKSLERLGLPSVELYQLHWPGLWGNEGYLDGLADAYDQGLVKAVGVSNYNEKRLRDAHARLKKRGVPLAVNQVNYSLIYRTPEENGVKAACDELGVTLIAYSPIAQGVLSGKYTPENPPTGPRGNTYTPEFLTKLQPLMNRIKEIGVSYGKNPTQGNVVPIPGAKNAGQAMEFAGALGWSLTADEVEELRTLAREIKGIKMPIEES >cds.KYUSt_chr4.54173 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335038222:335042758:-1 gene:KYUSg_chr4.54173 transcript:KYUSt_chr4.54173 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSNVIVPPTLEEVIAFGGIPKASIGVRFNSRLESQHDADMPQMEKAMRQEAGTVAKRVLDAYLTLHGVNYILKFPAPEPAELKESAAEEILKEDAMKWRFEQYLMTEHGSNYKTKERVKAWLFEMFKKCALEVEKRNAEGGVASFGTDLFWVETELEFRRRREVEDN >cds.KYUSt_chr1.1346 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7308617:7309762:1 gene:KYUSg_chr1.1346 transcript:KYUSt_chr1.1346 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSPAATAAPASYWCYSCDRFVRAAAAPDVACPGCGGGFLEEMTAPPPTRATSNPYLRRPRAHHANDLRLRRSRRNTSSTPSSSSAPFNPVIVLRRSAANDATPDALAGGSSFELFYDDGAGSGLRPLPETMSDFLMGSGFERLLGQLAQIEAAGLARSSETPPASKAAVDSMPTVPIAAAHVRADSHCAVCKEPFEVGAEAREMPCKHIYHEGCILPWLQLRNSCPVCRHEMPTDSMPTDPARSHPTEEETTVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNNGGAPRRISWGSRQSRSTETSAVRRVFRNMFACFGRGSSSSSQASSAQMRPDEASDDHSAVFSQGSRSRSMSWRLEDGHADAMVQR >cds.KYUSt_chr4.37609 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231820507:231829672:1 gene:KYUSg_chr4.37609 transcript:KYUSt_chr4.37609 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAGAASASAAANDDDVDDLYADLDDQVTAALAAAGESGGSNAKDSDDANEAVDLGDGLAGYSSSSEDESEDDLHIVLNEDGCAPPPPPSAGRCDGESEERELRASLLKGLSGSDGGPRKLGGHHYKGPLDKTTVPITGQGDIGHQQAFQKDYYFFLPRNRTVFDVNIEAFQKKPWRQRGVDLTDYFNFGLGEEGWRKYCFGMKEFTQKDRCLAGKSLGMDQESYHNLESCKSMPKSATYSEFNASDDLDKVNMTRSPSNHSNSYDNSTVNDKCMTTERILANSPGVKCLKETSLVVDRVVDKEVLSASSSECTGGKLDLRDSACTRGHSSSPDYSDMLSEESTDDRYFKRANRHSNSKDLHSDTKFKDANVRSVLCRQSSKSDQESNKGDSHSSTPSPSDDRYHKATKFLRTHEPDFKSSGVYANRQNGYRILKPGREGEKEQKRKSSARVRHDRHDVFNKEETIADRYPSRYAREYEGKRSDSTFLSNDCRNAVRNKVYEKRDYSPIERAALRNDVQHFSRVSNHQRSRSWHEFNDDEDAVENFSSAKGWQQRHDYRYGYKSMLKAEVSDDVDGRVYRESYYQETRRVRHETHDHSEDDEFFHYNGYRSRELRGPEVRGEYRSRRSAESNYEHLGHPYHLVLSPQANDYPKNSERNWPSPGLTSLSSRNRCIDNERIRQAEMMRYDHDGYDQDSKQHSSSVHVGNIQRSAAAAETGCCILPVKRRLHADMGSINHKDFGGLAFPKGRRLTHHQSMISDQKPYAAEVHNSPNDFVREAICSFSVMRNNKTISNIERRHERLNFQLKDADSIHLNNRKRKFKRQGNETRCEIESESGGCLAADKNLDGSKHQDVRQKVKKLNGSYQQSVYQDLEKKRCQKRQNTDADEIEEGELIEEDHEDTVQLSKPRKAVLRSVVEASSAAQLESASGMPKDVGATKECDEKRILAVMEKMQKRRDRFKEPVVAQKEEDNGKSEQLAVACAAEDAKNQRPARKRRWGGNG >cds.KYUSt_chr1.6939 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42632345:42645009:1 gene:KYUSg_chr1.6939 transcript:KYUSt_chr1.6939 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSDAVMARWLQSAGLQHLAASSAGPAAGDQRGAGALGGGAGGAGMLPSLLMQGYGPQSIEEKQRLYMLLRSLNFNGESLPASVSEPFTPTAQNFGSGNSADGLYSPELRGDLGAGLLDLHAMDDTGLLSDDVDSEPFEPSPFMPKETDDEDDDDVLTGNQQGLADNYNAVTSEKESTNRENNVAKIKVVVRKRPLNRKELSRKEEDAVTVHDLSSLTVYEPKLKVDLTAYVEQHEFCFDAVLDEDVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMIRLLHQPVYRNQNFKLWLSYFEIYGGKLYDLLSDRRHLLMREDGKKQVCIVGLQEFEVSDVQIVREYIERGNAARSTGSTGANEESSRSHAILQLAVKKHIIVTDTRRQRNRDANEAKSTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNTRKEQSTAPTIPSMRESSSAPSYPVSVEAEEIPNQIQEKRPVDTSRRGAENFTSSSSVEPDRNTFSMIPSYSNRGKEENGVSGLNDRERVDLNSVRTGYNSKAQLIQNSTNMQEEEKATKVSPPRRKAYREEKAEKQSNYTKKDSGSEISRPGYKVQQARQLQQQQRPISASVSQASSRLSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRRVSFISSAAICEYAAEDVVDGKVAREEGEGSHVISMEQDVMGIVQAITGMLTGKLSPHSREGKWICTLRGGQKGMSDAGSDAGSIASRHVAQPELPEDDASRCSDCKSTEHEPQLTFRISVKHVAEVLKGFTEFKKCLVNKIGFTGILKLSLKFSAWAMNKVDVHPRAICINENKILRFWVEDIHKVSVSPAATVM >cds.KYUSt_chr2.46809 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292655086:292657055:1 gene:KYUSg_chr2.46809 transcript:KYUSt_chr2.46809 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTDSVTAVHDFLVTGYSLLDGMGVGRHVSSSVFTVGGLEWAVRFYPDGSTAHCVGNASAFLYYCGREKDVRARFTLNLMEKDGRLSQVTNAYMKHSFSPASDNWGFIKFIEKSKIQGSPFLHNDCLTIRCLLTVAKESRTQDVQTSLISVPKSNLHKDFENMLNDGEGADVTFDVGGQLFRAHRCVLAFRSPVFRAELFGPMKEKATQCINIDDMEPLIFESLLHFIYTDSLPDHCKDGKAEAMQHLLVAADRYGVDRLRLLCESKLSDTIDVQTVATTLALAEQHHCSQLRQACIQFMASPNMLAPVIETEGFKHLVASCPFIMKDILDRVSSIWNDRFLVPMVPPAQDIVGEPDGSRDCDP >cds.KYUSt_chr3.30144 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188616228:188616857:1 gene:KYUSg_chr3.30144 transcript:KYUSt_chr3.30144 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRIVKDFGNGHYIVAFGEDRVYTTLTSSGDVVTGWLDLIYRIHRRRLDTLVVGLDVEWRPAQSRGAAPGPVALLQICVGRRCLVFQILRADYVPDSLFDFFADERLTFVGVGVGADAQKLSAHYGLEVANTVDLRYFAADVLGKPALRRAGLQGVVWQVMGVWPEKPHHVQVSAWDAQRLTLEQLQYACADAFMSFEVGRRLYDGVY >cds.KYUSt_chr2.41457 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257879625:257889428:-1 gene:KYUSg_chr2.41457 transcript:KYUSt_chr2.41457 gene_biotype:protein_coding transcript_biotype:protein_coding MHDANDSAEDDFYSGGEAGLAASDDGDADYDFADHDSDDSAELLSHRQQQSYCILSDAGIKQRQGDDINRVSTVLSISKSEACALLRSYNWSVSKVHDEWFADEERVRKVVGFPEKRIEMPNDRELTCGICFESCPYASMSAAACGHPFCSACWRGYISTAINDGPGCLMLRCPDPSCAAAVGQNMINSLANEEDKEKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVVGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGSWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRHKALGDLQSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQIFLEADSPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLIDVGPSTSQSMSTKNTTSKNLGGKSKSGKNKTASSKSGSSSRGVDDSNIWTCDQCTYVNPKSAKACQACDHQHR >cds.KYUSt_contig_1790.373 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:1884843:1887443:-1 gene:KYUSg_contig_1790.373 transcript:KYUSt_contig_1790.373 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAGTATKFTQMPELSTNLQLLIYAPLDNSSLASKIGRHELQSLNLQDKNTTNHDQKLESVAPSSTLGELGRTDLKDFEQLMSLSKSSSSFDYTTSDEEFDMEEEEDIAMVLVLHERNNKRPKRGGSVLGTVKLRRERIDADQRLMRNYFIEDPIYPERWLRMPPAGETSARETTWHVPHDIVFKILLLLDIKPLMRFACICKAWHITADRCFQHEYDSPHEACVLIAPQTKSSSGRGVPLTITTHGLYRWEIQQGDSQATLVEAMHSFPTVEEPRRHTLSHCDGLVLVPTDSGLVHVLNPATRRVLKLPPSTRGVPSSFSNLTMGFNLALGLGHDPRSDTYKVACFFYRSRYSAHDYRYIPGLEVFAIGRDEHWRDIEVTPPYHVIAERTADTSKTYLLSRTLLLLFAL >cds.KYUSt_chr4.45338 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280658732:280659934:-1 gene:KYUSg_chr4.45338 transcript:KYUSt_chr4.45338 gene_biotype:protein_coding transcript_biotype:protein_coding METDGIDDFYKHHHFKPSKEEAVTYFLPRLLAGTPLPHGADSLIRHADVYACEPRDLAAQFAPVPNAASTGDRFFFTTCRRKSGNDARVLRRAGAGTWAVQTTEDVYHEGAKVGEAKHLSFKKGKTTTGWVMKEYRCLRPEAVVADGEMVLCKIHLAQHAPAAARQESDAYKLLPQEPAQPAPAQQSHKRPAPAAASVAAADPPCFKKMRMAASAPEPAASAHIPAPVEMEFEDCPLWFTSAAPVSSPAASMEVPHAAEADGDTGRFSCTMEELLGAQEQHEQTLPVSVEDEDFDWDSLHRESEVHLLLKPWDDDDGWESPAQEEQTPPIEAEKNNIQQVDTHQPAPSASWELPEDLRNLLADHDDQEALLYMGCSYNTVAAACLHAPSLQGFFSFGAVN >cds.KYUSt_scaffold_6468.782 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3783726:3786317:1 gene:KYUSg_scaffold_6468.782 transcript:KYUSt_scaffold_6468.782 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVPRSSFCSFLLICFPLLATLCQTSNALGGSMIEYLSCTASGNSTAPGSDYAANVNQFLTELPENAVSKNGGFFSGTLGNGTGTVYGLAMCSADYSRADCRDCLTATAGSNTGSLPSRCAGNTTVFAVFNKCLVRYSDTNFIGAPETDVIFSYGGEGPFKPMTDPLGYNTKVQDRLKLLTAEAATSPKRFAANVAEPPYALTQCTWDLPPDKCKQCLDVLSANASARIYMTIEGKRKSYSCTLRYSNTTFAVVPFEDTSSGPSSGSSTVIIAGVVILALAVLMLIWWIVSWYKWKHTRDSFGKGSRLQRFDYRDLSIATGGFSKENEIGKGGFGVVYSGSLKNKEVAVKSIVKDSRGEFKDFLAELGAIDGTGHVNLVRLEGWGCNINNYMFSCLYKQAVNLFLVYELVPNGNLHEHLYERAEVLSWAMRFKIVKGLWSALNYLHYQCDPYILHRDIKPANILLDNEFNAKLGDFGLSRVTQVSGQESMQTAVAVGTRSYMDPLCMTDGNVNLLRSSDVYSFGIVLLEIAHGNNNPDGVRNLCRNHPESFVNNVADKKLKGQFDKRQMERVIALGIRCSEPIDESKRPSLDSAILHFLENGGELPPPKIHQDEPHAATLA >cds.KYUSt_chr7.18821 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116578360:116578635:1 gene:KYUSg_chr7.18821 transcript:KYUSt_chr7.18821 gene_biotype:protein_coding transcript_biotype:protein_coding MCENRYLHKKVRLNLIFNGHDNKIAILNKIVLLELQWRREGSSSSGGQRVPARRREASPAAAERGRRLALRHEAREVASAKGGRPPAGARM >cds.KYUSt_scaffold_1700.645 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:4117400:4118892:-1 gene:KYUSg_scaffold_1700.645 transcript:KYUSt_scaffold_1700.645 gene_biotype:protein_coding transcript_biotype:protein_coding MMADSEDPQELIAAAIFRSSSSFAHPPWMSSENPEICDLVAAPAQPNQMTKREEEGLIVGDAAPPPLRLPPPLPPERSNVEEEDFPGKWELHGLRLHLLLGGQPLQECFPHLFSHSTRPNIKVAATLSLGLRNSLGPRLTVAATDDF >cds.KYUSt_chr7.12644 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77832072:77832302:-1 gene:KYUSg_chr7.12644 transcript:KYUSt_chr7.12644 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAMPAELSYTGKDFAWPHAQEGSSFVAAAEALPSSSGVRSVRRRANPKGLGLPFNGEAEGVEVEIGGEEGRRG >cds.KYUSt_chr6.24692 pep primary_assembly:MPB_Lper_Kyuss_1697:6:156098135:156101072:1 gene:KYUSg_chr6.24692 transcript:KYUSt_chr6.24692 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASQSQPDFDYLFKLLLIGDSGVGKSSLLLRFTADSFEDLSPTIGVDFKVKMVDVAGKKLKLAIWDTAGQERFRTLTSSYYRGAQGVIMVYDVTRRETFTDLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKAPEADAAASGCC >cds.KYUSt_chr6.8581 pep primary_assembly:MPB_Lper_Kyuss_1697:6:52777986:52783722:-1 gene:KYUSg_chr6.8581 transcript:KYUSt_chr6.8581 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLIGTAALAVVVSLAVHVVLNCPIQPVPSPPLPAAPYPPNNLLQGLEKLGEPGLIAPEDVYVDAAAGGTLYTATRDGWLQRMHPNGSWEQWRFVGGTGLLGIAPSADGSMIVCDAHKGLLKVEEKRVTILASTVQGSTIRFADAAIEAADGTVYFSDACTRFGFDQWFLAYIESRPTGRLLKYDPRTGGASVELDNLAFANGVALSRDEAFVVVCESGGFRCSRLWLKGGKAGQADTFVQDLSGCPDNIRLASDGSFWIAIHQLRSPLLDLVTRWTWTKRVVASFPALVDRIMATAKGAMVVQVSENGDIIRVLDDSNGKVINFITSVTEYNGDLYFGSLSTNFLLVLVANVGFSAMAPGLIGSAALAVVVSLAVHVVLNCPIQPVPSPPLPAARYPPNNLLQGLEKLGEPGLIAPEDVYVDAAAGGTLYTATRDGWLQRMHPNGSWEQWRFVGGTGLLGIAPSADGSMIVCDAHKGLLKVEEKRVTILASTVQGSTIRFADAAIEAADGTVYFSDACTRFGFDQWFLAYIESRPTGRLLKYDPRTGGASVELDNLAFANGVALSRDEAFVVVCESGGFRCSRLWLKGGKAGQADTFVQDLPGCPDNIRLASDGSFWIAIHQLRSPLLDLVTRWTWTKRVVASFLALVDRIMATAKGAMVVQVSENGDIIRVLDDSDGKVINFITSVTEYNGDLYFGSLSTNFVGKLSLANVSQGKKEAHVTDL >cds.KYUSt_chr3.13983 pep primary_assembly:MPB_Lper_Kyuss_1697:3:84620711:84622352:1 gene:KYUSg_chr3.13983 transcript:KYUSt_chr3.13983 gene_biotype:protein_coding transcript_biotype:protein_coding MALVALALLLLAFTVSLLYLLRKPTPPRSGSDGTRRRQLPPSPRGMPLLGHLHLLGSLPHRALRSLAAAHGPVLLLRLGRVPAVLVSSAVAAEEVMRTRDLAFASRPPSAMAERLLYGRDVAFAPYGEYWRQARRVCVVHLLSPLRILSFRRVREEEAAALVDRVRDAGAGGAVVDLCEPLLVYANTVVSRAAFGDKSARGLYVDGDKGRVLRKVFTDFEELLGTAPLGELLPGLGWVDAVKGTEGKIRRTFEALDGVLEKVIDDHRHRRQAGRQKGEDSDDHWDFVDVLLDVNETDNEVGIRLDTIEIKAIILDMFAAGTDTTSTAMEWAIAELIAHPNSMRKLQDEIRAVIGVADQHVVTEDHLDKLLYLKAVVKETLRLHPPIPLLVPREPPNDAEILGYHVPARTRVVINAWAIGRDPATWDRAEEFVPERFLDSAVDYRGQDFELIPFGAGRRGCPGVGFAVPTVEMALASLLYNFDLAPAAGTSLDLREVNGLSVRLKSGLPLVAKPRFS >cds.KYUSt_chr5.16275 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104748669:104749577:1 gene:KYUSg_chr5.16275 transcript:KYUSt_chr5.16275 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSPRLLVRGLPGTQTTKTPACIPIPTQSHRARFRRCHLSSSRDPTPVSEDPQAPPDSLRVAFACGGAGGHVYSAFALADELHASLPHSRSLFLGGPAPSLESSTAAASSYAFATVPPCLPRALLAAALHLHRFRPHVLVPTGGPPSLPSCLAALLLGLPFVIQDQDAGPAPATRLLAPVAQRVFLAFNAPVRLLPKRKCAVYGNPVRMAIRNYLRVSKAAAMARFFPRAGPGCEEGAEVVLFLAGTLGSPEINVAVLNMYYEMLTKRKNRYLIWQTGPEDFCEMESLVRGHHRRLFLTP >cds.KYUSt_chr1.39899 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244266077:244270747:-1 gene:KYUSg_chr1.39899 transcript:KYUSt_chr1.39899 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLTALLVLDEARRRRNPTGGQTRGSGSYLVAEECRRGGRRSWKTVVKLLAPTAAPTGAPVAGEVAEVLAELRQAIGRLRLKLRGGPARGGVVRLNLPPLFWSTWSKSALVVNSKKVHLDLVLDDMARVGQGTFWFARVVLAFPNPPGPGTHKKKKGTHNNCSRWKRPHVAARSATAGGRQRPIFSLEINEQRRDSRPSSSSPRHSIGIGVRISARCGKIPFDPSPATLIPPVSPILASFSSPGPVCGAGFRRGDRGAAMAENGAAEVQLLEDDGDEEEFEGDRGLASSPADASRCCCVYWWIYALHPLQISLRILNRFI >cds.KYUSt_chr5.15930 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102661498:102663232:-1 gene:KYUSg_chr5.15930 transcript:KYUSt_chr5.15930 gene_biotype:protein_coding transcript_biotype:protein_coding MERTERRSWSDVLGGVAIRASFAGDHADEEGTLLDLAQSTEDFADTGLPAHHQTTTGLTGIYPAQIPGRKLLHHLRANHNHHGWCRHQIWRTGKGELQRPDRRPAATPAAGIDRSGGGLSQGTGNGQRPLPLTQSRGDRGAEAGGRATLAPPGVSLAPTRSRPERRHPLRPALPGRAAASRIRLQGREERQPPRGPRASASQPQGGSLTAAVYRTGKARRRPPAAAGRGEGEVAG >cds.KYUSt_chr5.15642 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101031871:101033541:1 gene:KYUSg_chr5.15642 transcript:KYUSt_chr5.15642 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGEAAATTPSDGFFLLLCPRCCGVVSGAKVEQRGFVQEYMQTVVCTGDSWKMVHLVVGSWLKADGSGSLLRHRRAWVPVLKFDGVSRDMLPWSDSFNGNGLSYGKLHWRSEKLMISDGAASSSDDDEGDDALSSDDPGSSLDEAPS >cds.KYUSt_chr1.30953 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187486357:187488911:1 gene:KYUSg_chr1.30953 transcript:KYUSt_chr1.30953 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLKKYKHQLREGGPTEVLPYYVEPNLEEKVKAGVILCWLRKGMKKIKDLLSLQFWLPGAFAPVIWTIVKWLEIGVPGQYSDKQTAGKQGCQRLRELIGEEVGARKQDAVIQVISEMNVPLGEASLNEEHKATILDDIMEADGDTRDVHARWGSSGQRGPTNAAGSSRAAARPGCSANLQSDERKQPMEEAEEDVCMRGEHDAEEVILG >cds.KYUSt_chr2.39230 pep primary_assembly:MPB_Lper_Kyuss_1697:2:243259635:243259886:1 gene:KYUSg_chr2.39230 transcript:KYUSt_chr2.39230 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGRALPPPATGCAPDVEVARPWSWDSAGGSSTEGGDMLVQGRAMAMDVSLLRNDEGRMKRELVAWAKAVASMAIRESMRC >cds.KYUSt_chr1.40152 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245917254:245921969:1 gene:KYUSg_chr1.40152 transcript:KYUSt_chr1.40152 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASVSSSTSAPLSLSLPLHPSPCRRLPALLSRPPPRRFALAPPRPAAALLSSPRNAQQENELEEDEDQEQDSEEEEYEGEDDMVEVGYVSGAHGVRGDVLVSPRTDFPDLRFATPGKRWLRARAAGKMQVREVDLVRGKAHTGKKGWIVRFHGVDSLDEARQIVGSAVLVKTEDRPEMEENEIYSLDLVGMRVIVKDTGKLVGTVAQVFNFGGGDLLQVMVGSAEGEVQPSSKNLDSAPSHQHVWIPFAQDIVPDVDMESREMWITPPKGLLEINTRPEKSKKERRAMDWKEKKKLQRRITAAKKVLNEMEQGHILEGLASGDKLEKDSLAEQIGSIDFQLFKHAMNSVSKQIDSTFKNLVANSSSSRKNVMRIPCETLMSHEEKGNYSFSNEFSKGREILQTSKAAIVLVTNDSDSYVMDAELQRLLNSFSEFMKGEENHSSLPFVIVSPAGQVDSIKSYLVETDYFGFDIQKVWILEEMKLPIVSLSSEIKSKKILLKSPWEVLQRPAGTGSIFSSLSSNKILEALNAMGIEYVQICSVSDRLVLGHPLLFGAASSRSSDVSIKLRKTRDNTEDGFDMILSINHLNKMCRDVSKVSFTAHPEQHEHVERADGQWVTVQPEVENSHRLSADVTSVLDSCSPDKLFVMEMVE >cds.KYUSt_contig_2594.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000404.1:91705:92073:-1 gene:KYUSg_contig_2594.4 transcript:KYUSt_contig_2594.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLESYWLGKAGFVDAVQSKVREHGQRFRRKTLMSTKIPSGSSPGSAARETALTWWLHSRTQAAERTPGGSGKERFPRRIFSMLTGYLTEFADTSSLLSVLAWKTGRNKGRTAAGGADEEED >cds.KYUSt_chr2.39529 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245157106:245159421:1 gene:KYUSg_chr2.39529 transcript:KYUSt_chr2.39529 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPVFVALLCLAAIALASGADARKMVGVYVLKKGDFSVKITNWGATIMSIVVPDSKGNLADVVLGMDTLAEVVNDTSYFGPLTGRVAQRIARGRFVLDGKVYHTYLNDGKNAIHGGHRGFSKVIWTVKEYVAGGDSPYITLYYRSFDGEQGFPGDLDVYATYQLSSPYVLSVRTNATALNKATPVNFLQHVYWNLGGHGSGDVLGHTLQLSASRYTPLDKELLPSSGRVEPVAGTNYDFRTPTPIGARIRQVMGGQMVGYDLNYVVDGEGMRKVATVRDGKSGRGMELSANQPAMQFYTGNWLNNTKGKDGMVYNQYGGFCLETQAYPDAVNHPEFPSIIVRPGQVYKHDMVYKFSY >cds.KYUSt_chr5.12678 pep primary_assembly:MPB_Lper_Kyuss_1697:5:82685453:82689470:-1 gene:KYUSg_chr5.12678 transcript:KYUSt_chr5.12678 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAWPVSGGLSGRSSGSASGVVDGDVFTLPADIGRMPKCGRSTLNSAFSAKDMAFAAEEMSARELGWVFDIGLAGVAKVDMFTHFNRDHSFFLLQCVDSNNGKLVLGHGRSVPVNGSNMSSILGLKEGGSVELEHVDGPPEAEEVYDARLMLGLSLGNTEIDTVALVSIVKEEHPAEPDEYHVNRFKLAYAMLAVSVFFRPGGKRWQVPRDAYLLAAMIPDLGNINWGNYVARGIIDGSFQVQKELANRSRGHSVYGCLYALEVLYFDHVAGGAYAVNPGLLPRVMQYGAASISMLIRQDTLNLATEKVYGMFMRNFSVAPLIQSRGIRIGLGSVAPSVGRMGIGIHGVGDCGSVASGTIPAVAAPTSEHRNVQVLQVSIEDVPKLVRSTEDWLRDAMAAEEVRSKERTSKFYAALSDDIQMNDPHVIDKVDAHEKKEEWLTLFHLKKMLEHLVERGVGQYVPRLLDKLAKINSSVDGTTAGVVSACVRSGLPICDMPGAVMQGDGVPIRSAGSELFDRVSSQLGKAPAVYGGPSPMGGGIAGTPDNMLPPKKRSTSDAMYDPDTKELIVYTATKCKRARAEINYVVCGRKKVNVSERTKCPFSLEVGFRAPTYKVAELGYRNMIFAKQADMKKLWVIHLTPTLLKMSGFYMLTEFHRYGCLGKKGYIAVTRMLADGENTWNSEGDLTRWRHPMPLEWAEEVMGGCDCLEDRRMRDMFVGAAIRYNVETCQMVWVPMMTSSGFMVLYGFDFECETLHVFDPKRCTGGRELLESIHFDICDKLLQGMADCIESCFDGWEVDQSKWKFVCHEYLNEPIASADAVLYVIHYMMYFDGVCVRRPLRNADLYGMKLRLANMVLNMPGNVGHPPENVKNPEVEDTATMSSGAEPFAA >cds.KYUSt_chr4.22421 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140868562:140870570:-1 gene:KYUSg_chr4.22421 transcript:KYUSt_chr4.22421 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRCSRHRGKVKAFPRDDTSKQCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPIVIVGLVAYVKTPRGLRTLNTVWAQHLSEDVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYGTVIRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYNFFEKEVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKVGQETHDASTEFDRTEKDITPMGGFPHYGVVKADYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFVDTSSKFGHGRFQTTDEKQKFYGKLKA >cds.KYUSt_chr1.21996 pep primary_assembly:MPB_Lper_Kyuss_1697:1:130018469:130022617:1 gene:KYUSg_chr1.21996 transcript:KYUSt_chr1.21996 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTFRCRNRSAEPLQRRRPRPDQWPPTEVRIPFNVNNMHCHLLVLNFDKEEIQVLNSLPLIRDEAKETTLVECIQTCIIEAVQGGLVQTPGPINITEWKKKKAYKARMAKLDKDNAADDDIQVISNPNDASKKEESPPNDASIKEISPPRPIGSQKLKRGCPRKIETLKPTNPVKDQYKLTTDAVTGLVEGRARRKSNPGPQQIQEDKSTNTSSIQDVDPLAKQPFLLHMAIGTFARDGAALDRTHLIIMFNASSTSDASSRHHAASRPPAASTPPGPPPRPPAPHRDAVREALCLRHVVPKSSRPAARMWHFVMFNTTNAPCGISSNSFHATLARSTVVYMPISKLAVYSSHHPWAAVPGTPSRRRSSRAAGLATRSLYAALGSYSQHHLLCLIPVVQRG >cds.KYUSt_contig_686-1.1316 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8260204:8260802:1 gene:KYUSg_contig_686-1.1316 transcript:KYUSt_contig_686-1.1316 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGGSLVLANSSVSGEHEDSRSIGVAVGKETDIDFVAGYSSEMDVWEQTSDVPPGLDGVLPQMVIQGVEKKRCGRLEKKNKDCSIPTAKRAEHRLAEPFRDLTKEVTSNKGPEEEMQEKMKSYPRM >cds.KYUSt_chr6.26190 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165907052:165908932:-1 gene:KYUSg_chr6.26190 transcript:KYUSt_chr6.26190 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYTLVGPPEARNATTALAAAAEAPQAVDAFVDLLDAGFTKETGDGEGKTLTENCSPTFVSSGDPCLDFFFHVVPGTPAASVASLLAAAWAADPATALRLVANLRGVRGSGKSDREGFYAAALWLHAHHPATLARNAATVAAFGYLKDLPELLHRIVHGGRSTRTPGKKARLRAFHSPKPRREDRPPRVGSTEERTAASLAHDRELAAAAAASRRSKRAHAAARAVDLYSRDSTYRSLHDRTADLFAQLLAEDMRKLADGKVREFSLAAKWCPSLDSSYDRSTLLCEAVARRLFPRGSSPELTDDIPDAHYAYRARERLRKAAIVPLRRALKLPEVFISARAWESVVYTRVASVAMKNYKELFLEHDADRFNAYLADVKSGKKRIAAGALLPHQIVESLGDDGGVADLQWQRMVDDMRALGKLTNCLAVCDVSGSMSGLPMEVCVALGLLVSELSDEPWRGRVVTFSEHPEIHRITGDTLSEKTGFVRAMDWGMNTDFQAVFDKILEVAVDAGLPADKMVRRVFVFSDMEFDQASDRPWETDYEAIVRKFSEAGYGAVVPEVVFWNLRDSKAMPVMSGQKGVALVSGFSKNMLKLFLDGGGIVSPRVVMEKSIAGPEYDELAVFD >cds.KYUSt_chr3.44675 pep primary_assembly:MPB_Lper_Kyuss_1697:3:281958831:281961233:-1 gene:KYUSg_chr3.44675 transcript:KYUSt_chr3.44675 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGAGGGVLGAGSSYQRFVHSALELTRLRTALTPHPSQEKFRFIQPNDDTTVLNALSYSAPKIRMLRSLTVEKKNSVQVLDFAAFSEAEYDLPIFCANAFTTPAQSIVVLDLNPLYDITVDIDYKDKYYRDLMPLVQKYSELLPWGGKITSESLRFFSPIVIWTIFEPTECNHDVLFSALMDYYKVWLQLTDQAAEENDAVKIVRNGEAQHRYLTWRTEKDPGYPLLKRLIGESQAKDLVSEFLFEGVNSLGSKSFLDYFPEYARDDGTVNKKRSMIGKSFETRPWDGTGQFNAG >cds.KYUSt_chr1.41005 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251464630:251467122:-1 gene:KYUSg_chr1.41005 transcript:KYUSt_chr1.41005 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMTSAKRRMVAPSIGSSMLPDELMTEVLMRLPVKSILRFRAVCRSWAAALSSEDFCSLHMAKAEAEAAVAPPKLIFISPTPSFDSTLVYSGSSSDPSDGLLFALNGVRGDFADMSPAPCRGLTLLHDLVAPAYYVFNAATRAVTRLPPCQHAVASTAGLGFDASTKEYKVVRLSSGNSDKKQRIKCEVYVLGDRWRPAAGGVPFRFCNFASAAVATVRWSKRKQRPVFADGFLHWLIYPATLLTRPRAAVLSFSLQDETFKWIRSPPFEVSLSGVHLVELSGHLCMVRDLRPHGTILEIWKMKDYISGDWSLQHSIDLLQHVERDLIDPQIIRVIGSVGDYISGEKVILVTSKRKAITYDPVSGILKTILSIRETSSPYETEKSTPRVCLFQQSLAPVHKTSEEIALLSPLAKAIKEVLFRLPGDFVVQLKLVSKQWLRLIGSESFLGSYYAHNNMDRRPKIMLVGSGAGGLGFSFAPLKKLLLGAPAQGTWLKTNVVCSKPCHGMNLLSTEMEDYLCNPSTGYHRSFRTRMSLYDVPDHVLEMMRGNPCTSEKHAFAVGNKNSGLGFNPLTQEHVVVQTLYHLKDFKSREYFLTCSVITHCSGQDQFEPPLPLNAMPPAYLSGVLYWMSESRLGQSYKRAVVSFDIPTQSFSIIPCPPCIAMWNDTSPCQAFVVELEGTLCVVLADPVADELDIWKLEHDGWDRAYKVYLDGWLGYSCNSFGVSVVTLLAVDPKDGRILLNTGMKLGLYDPVRRTIENLYDLDEVLRLQQWHTFFDELPYSQGKHCSHQVPLEGEDPADSKILPWVPFLYEESLASYPRAPIARGLY >cds.KYUSt_chr7.23370 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145474591:145474926:-1 gene:KYUSg_chr7.23370 transcript:KYUSt_chr7.23370 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLRRHCWHLHNPFILLYSIRTLASVTAVWNDLATNYNAVVLGSDGLTAVPNICDSCTTHSLSLDAAPYSESRGPGRRLERLRHMALGPDGADFDAAEPAALTPAPRRA >cds.KYUSt_chr4.12965 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79702481:79703264:-1 gene:KYUSg_chr4.12965 transcript:KYUSt_chr4.12965 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHLRLLAAVAGLLVACAAVAPRSSALNVGLQSADTDGDGVSKQQACSRTCESDHCTTAPFLRYGKYCGILYSGCPGERPCDPLDACCMRHDYCVQAKNDYLSTQCNESLLECLAELREGGGTFEGNKCMIDEVIDVITVVIEAAVVAGRVLHKP >cds.KYUSt_chr4.668 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3497416:3498939:-1 gene:KYUSg_chr4.668 transcript:KYUSt_chr4.668 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRVLDLEDTDSGLTDHVLEQIGKQLPRLKFLSVRGCKDITRLPDSLGGLRQLQTLDIRHTKIAILPHSIIKLVKLQYVRAGTTHVTSSEGGNAARPSPDEDDCESTSSEDSLSSEEDGVGTMDSNSLMRTDGEGTIRSQPPPAGDDGMSINYDDTSRRPQAEDDDRASTTGAPCRSKARNAVVSYSCSWWSKKKLCASQQIDVNFGVEAPAAGIGKLTALQTFGVVNVGGARGKSILKELNKLTQLRKLGVCGINRENWQDLCCNILGHGHLKSLSVHLDKDDDGASFFSCTGAMFSSLPKNLKSFKLYDGDGHGNVLVPWVWIKQLTNLRRLTKANLGLAISTQDDIDSFKEFPHQVKFRHVCVKPTQDCELRYYKYRGCQGLGSQVLKIDCGSYKLVIAFVDWIAKDVEVLVVHFSSTESSLKLSGLEWLRSLKEVVLKGSYSEAVKQHLQEQVDGHRRKPVLKLEDGESHQSREPKDPAAPCACCYTCASCCFNGIASTCK >cds.KYUSt_chr2.53693 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334972384:334980977:-1 gene:KYUSg_chr2.53693 transcript:KYUSt_chr2.53693 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPRDNGQWTSSPEPELEPMMLDDNVESRSHTMDDSNGKSSMGVDRDQSFMDADMNGKSPLDLDDESKGKCSSSHPEVPIDMSLESLEKFCREASRSFFDEIGLISHQLNSYNQFVSHGLQELFDSLEEITVEPDYDPSKKGPGGWRHAIIKFGKVKLHRPVFWSEKKSRDLDLKPRHARLQNMTYSSQMEVDVNIKVYSMEKSDKAKTGNDLSGHSKDFIVDKNQPMPIGRLPVMVNSNLCWLHKDKKSEHKDKKSISSDKEAFEMIDTGDSDASVMDAISATINESDELCEGFRKSSKARQYVDDLVKSQKFPPAESFDDYVSKNLFPGIRGNRNKAYFLGYMVKCLLMAFTGRRKCDNKDDFRNKRLELAGELLGRELRAHLRHAERLMVKALQRDLNSDRDLEFPLHCFDSSIITNGINRAFSTGSWCHPSKRNERCPGVVAMLRRTNPLQTMSDLRKSRLQVAYAGKVGDARYPNPSYWGKMCFMSTPDGENCGLVKNLAVTAIVSSKVAQPLIDRFVSCGMNKLDEIHVEEIPKMDKIFLNGDWVGSCTDPASFVRRLRCMRRGGLVDRQVEIKRDKHQSPGEVRVFSDAGRILRPLLVVENLNKITKRKGHHPYSFHTLLQQEIIEFIGVEEEEDIRCAWGIKHLFPTSEEEDPGYTHCELDGSFLMGLSCGLIPFANHNFARRVLYQSEKHSQQAIGYSTTNPRTRVDTLSHQLFYPQKPLFKTFTADCIGRSNYPFGRKDDFSRPEYSNGQNAIVAVNVHQGFNQEDSLILNRASLERGMFRTELIRSYKAEVDTKGPIKRLKMKEKVNFGKMQSKKGRVDNLDDDGLPYVGASLQSGDIVIGKVSESGEDHSIKLKHTEKGIVQRVLLSANDEGKNFAAVSLRQVRAPCVGDKFSSMHGQKGVAGFLECQENFPFTRQGIVPDVVINPHAFPTRQTPGQLLEAALGKGITLGGKIRYATPFTTASVEVISEQLHKAGFQRWGAESVLNGHTGARTESLIFMGPTFYQRLTHMAEDKVKFRNTGPVHPLTRQPVVDRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSEMHVCQTCERAANIIIRPVQGGKKIRGPYCGFCQSSENIVKIPVPYGSKLLYQELFSMGICLKFKTEVR >cds.KYUSt_chr2.54336 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339002395:339003338:-1 gene:KYUSg_chr2.54336 transcript:KYUSt_chr2.54336 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPSRASLPEAPEEIRLAMGELASTSPPPPATMATAVLQVVFVDGERTVDLGTVTVQPSLGVRKLQAVVAGPPAVLVPSAEMTILKRLPPTDLASLASSPPPPAAFGGWDYDYDAQLRELQRQREWYLLSTAATDPYHLPGAARGPVLVRVDDPALVAVPGLRGGGGGHASAGVPLVRARRRHRRLPLARRPHRAAQEDALYLAVALPDAFPAAAAGPQPRLLVRRRPDARLLLAVVD >cds.KYUSt_chr6.20656 pep primary_assembly:MPB_Lper_Kyuss_1697:6:130268546:130273780:-1 gene:KYUSg_chr6.20656 transcript:KYUSt_chr6.20656 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYHLVVSETKERESSEDDNDAYRIPKDASFSRTNSTRFITLEKNGKILELFPREVSTDQIAAIEDAYLSMASALSEADGIDYTDPEEACSYSFPAKYQRNEENVETHKWVLFEFVVDVLITRKALANALAAAPSMWTLGNAGMGALQRLAQDSNYAVASSAARAIDELRKQWELEEGDSLRFVVNQNLDTKETGDDSSAEDDTTP >cds.KYUSt_chr5.27333 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173051816:173052256:1 gene:KYUSg_chr5.27333 transcript:KYUSt_chr5.27333 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIAAPAATPTAAVSPWHSPVPYLIGGLAAMIGLIALALLILACSYWKLNNILGTGSTSSSSGAGATDGAGSKSPAGTAAASPSMLTDLVAVVMAGETVPTFLAAPIVRRAGDDAADGEGSLDTEDEKNRGNSGVVRSRQLVVTD >cds.KYUSt_chr3.9644 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56664729:56666067:-1 gene:KYUSg_chr3.9644 transcript:KYUSt_chr3.9644 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQYGTPDGRTRRPSLSLRGLGSIFVGGRWYVVIRVPKSLRAKRELLKHAPKIVEKGKKMLILYGTKTSAVLNSVLADLFHPKRDHAVKYTKKDSIMRFERGGETSPEFFSLESECSLLISVWFSFKDEAHQSCFGKDL >cds.KYUSt_chr4.39345 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242763004:242764609:1 gene:KYUSg_chr4.39345 transcript:KYUSt_chr4.39345 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFRENESYYGPDVGSGISLSSPEERQEGGSDNVRPVTSGDMSITNDTQNQGEENDGVSDNNSCHGDEGDVVGSSPLLSQGEESSMHGDPGDDTKEIVGLKHHIAREFEVKDLGHFKYFLGIEVSRGPKAQEQLTWMLPLESCGTLKAAQEKVFFTLSKETYKLSVIPMRTGLVL >cds.KYUSt_contig_815.321 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1893919:1897299:-1 gene:KYUSg_contig_815.321 transcript:KYUSt_contig_815.321 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRLLHHYRSRSASSFARSPDTSASAGDAHSLGPAAADVLDSPFGHVDGLSRPDVREAAYEVFFMSCRAGGTNGKGAGNGNGALSHHPAPWDSGGGGGGDESPRIGAGPRGGTGMNVVNSRVKRALGLKARRSSQPSTALRSGMNTSSSSSAPGSPGRAVRAMMNQPSGTGSPRARRPMTSAEIMRQQMRVSEQSDARLRKTLMRTLVGQVGRRAETIILPLELLRQLKLPDFADSGDHHQWQLRQLKLLEAGLIIHSSIPIDHRHSASVLRFREVLHAAEARAIDTGKASDAMRALCDAVLALAWRSAPAGEACHWADGYPLNVLLYVSLLQAVFDLRDETVVLDEVDELLELMKRTWTTLGINKMIHNVCFAWVLFQQYVATGQVEPDLAGAALAILTEVAADAKQEKPRDAVYARVLSSALAAIRDWPEKCLLDYHEMYGNGIGGTGTAAMESALSLALAAGKIIAESLPGMGISADHESGGVGSFAGDRVDYYVRCSMRSAFTKVLENGLGQEDSMTTDHPDDPSEILTRLAKDTEELALSERESFSQALRRWHPFPAAVAATTLHSCFGVVLKQYVAKAICLTDELVRVLHAAGRLEKALVQMVMEDVADSDDGGKSVVREVVPYDVESVVVGFLRTWIEERLRVAKECVIRAKDTESWTARSKNEPYAQTAVELMKLAKATMDEFFAIPVSARDDMVQDLADGLDAVFQEYISFLASCGNKQSYLPPLPALTRCNQDSTIKRLWKRAAVTPCRAPLTGARGTVYNCGQSASAAGGHNPRPSTSRGTQRLYVRLNTLHYVVSHIQALDKSLSFFSGGGGGACASPSAAARLLAAPSCHFDHARAAAQSAITHVAEVAAYRLIFFDSHQSFYDGLYAGGVADARIRPALRTLKQNLSLLLSVLVDRAQPVAVREVMKASFQAFLTVLLAGGNHRSFTKEDHGLVEEDLRSLKRAFCTRGEGLVTEDVVESEAEVAEGIVALMGQTAERLVEELSIASSCGSPRMGNGTAGQRMPMPTTTRRWSRTDPDTILRVLCHRDEEVASHFLKRAFQLPKRHR >cds.KYUSt_chr4.25832 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162472877:162479771:1 gene:KYUSg_chr4.25832 transcript:KYUSt_chr4.25832 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSEPSAADLAAAAAEATAKTALWALAAALPSIRAVVPVTLELSTSNYLQWRGMFSDAVEKYALEDAYPTDPPPQWVRNDAIVRSWLNSAVAPELLAMIVDTRTPLPAHALWTRLSNIYHDNADTRSSYLEQEFHGLQQGSLTVADYCRKQKVLADELNALGTTITDKRLVQNTLRGLGPRLAYMRTLLLKQRPLPPFLDVRSSLLLEELTLQQQSESSSTPSAFVARGAPTPPPPRGSAENTGPPRRPEVCRNFQRFGTCRFGARCRYVHSAFPQQRGGTPNTSGKGPQTPWPSMQNPWAGSIQMWPGPPPRPPPPPRPPPLGLPQAHHAMLSAPPPWPYGSTSPMPWPPGAAIPWTPGVTPSAPPPASTYNPTAPPPSFDQAQLMQAFNTMSLTPPSSNEWFMDSGASAHMTGNQGNLSHILTSHSPYPHVIVGNGQTIPTTHIGHTTLSSPTHSFSLNNVLVTPDLIKNLISVRKFTTDNLLSVEFDPFGLSVKDFRTKNEIVSPLPKSYRGALKDPQWHAAMVDEFTALQNNRTWDLVPRPPGSNIVSGKWIFRHKLKPDGSLDRYKARWVLRGFTQRAGVDYGETFSPVVKPATVRTVLSIAIAQDWPVHQLDINNAFLHGTLAETVYCAQPSGFVDASQPDHVCRLNKSLYGLKQAPRAWYSRFADHLLRLGFVGSRADPSLFIYTRNTETLYLLLYVDDIVLTASSEQLLRHTITALEREFSLKDLGALHYFLGVAVTRSPTGMFLSQRQYILDVLDRAGMTECNPCSTPVDTQSKLGATGAPVADPSTYRSLVGALQYLSFTRPDVAYAVQQVCLYMHDPREPHLNAVKRILRYLRGTVDYGLQLHRSSPTSLTAYTDADWAGCPDTRKSTSGYGVFLGDNLISWSSKRQQTVSRSSAEAEYRGVANAVAEACWLRQLLSELRRPLQRATVVYCDNISAVYLSTNPVQHQRTKHVEIDLHFVRERVAFGDVRVLHVPTSSQYADIFTKGLPSTIFTEFRSSLNDESSSRTLAESLCNLQKIQFLNLRQGIGRSRTGACWDGYVPPRCLRDMRIKIWSSRLPPWITGLLLPGLTHLTLHLSSLETVDLEIIGGLPELIMLRLSTPSDSIAFPATAMGGALFPKLRHCKTDLPLKFPPSAMPCLASLKFSVHLLCLRDAGFDFDELVRMISSPRSRASRTRTLDLVRALIGGAQPHLIEKKSKQQSGNPRSILETLVRKGKRKIPTGRGLGLAGKKEQGKWGHRAATANSHRLLAHAGKENSAATEPPRAAVAQAHGAGEGKKRALPIEPLAGCAPTQGCKHAPARGPKGALQLRLLVAVDVWWPGEKGGAPPSLASSQTGQARGRRSLTAAAVEYRENRTVEEGQAAPLAASHKLAMRARGPPPPSPSRQRVAMERVGGKVRLGLGFDRFGLPFILAESEGPPSDRIRRPGVPAAWAPWPGRVGAVWAAWAPLARPLAGRRKAAATGRTACGPLLPAAMAPRCCASLDACGPRGLRE >cds.KYUSt_chr4.43948 pep primary_assembly:MPB_Lper_Kyuss_1697:4:272406455:272408725:1 gene:KYUSg_chr4.43948 transcript:KYUSt_chr4.43948 gene_biotype:protein_coding transcript_biotype:protein_coding MEHASDLRVLRQSQETVNPKAYPLADSQLTMTILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKVPIQNLKDAIEKLLI >cds.KYUSt_chr2.53996 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337056583:337058482:1 gene:KYUSg_chr2.53996 transcript:KYUSt_chr2.53996 gene_biotype:protein_coding transcript_biotype:protein_coding MADHMSWEHVVHMCRQLHPEEEEVAAAGVEAEQLDLEAAAAEAEVAEAEAEAAERAEGRLVATRAEIANAMAELAEARAAIAAPPADTVIHDIADDDPPVPGRFDRAGDRRVPLASFESPGGDARRRRAWVAEEEAAGYAMAMAPGLMCSDLDSLQRRGPFSRAGRAGEPGAGGQSPHQRSKKMAGAAKASSTVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANVRAGVSQGKKQLHASAAAAEKRRAEKAEEGLRTVMYLSCWGLY >cds.KYUSt_chr7.36784 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229808643:229818097:-1 gene:KYUSg_chr7.36784 transcript:KYUSt_chr7.36784 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGPVPPSWSFPGVPDASGENRNLGVDFVRFREFSLLGFLKPKTAENRNWHFGILLIGLNFSSRNLLDSAAGGTITLGEATKLLDNMMINYYEWHTERAPQGKKVNSVEETSSLSDKIDAIMSMLVNDRTNVDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRNTPPGLEAMLKEFISTQTAFNKSVEENLGKIDILASKVDSLVADVDLLKSKVMPNENHHNKIVTTANAIQVRINENIRLMAELRARWEREENEKEDNIARVWTITTTSNANATHVAAPPTINGREEKLEREASIPRKLEDGWEPIIKMKVNDFDCNALCDLGASISVMPKKIYNMLDLPPLTNCYLDVNLADHSTKKPLGKVDNVRITVNNNLVPVDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEETDFFAITNLGKILCRNPSKEIFSELDEINAQGPILPRSFQKTEEETKWGHEVARLQGGAAQVVIGSMASSTHATVSEIRRLQRADGPAAVLAIGTANPPNCVLQEDYPDYYFRVTKSEHLTDLKHKLTTMCQKTGTEKRFFHHNSELLDAHPHFFDGGRPSLHDRLEIAAAAAPELAASAAAKAIAKWGRPATDITHLILSTNSCARTPGADIRLASLLGLHPSVARTMLQLNGCGTGSGSLRLAKDLAENNRGARVLVACVELSIVAFGGPEDNYPHTLISQASFGDGAGAVIVGADAVRPLERPLFDMVSASQTTIPDTDRAVTMQLTEGGLKYHFLMRELVPIAAQNIEQCLSAELERLGVDAEWNHLFWAVHPGIRAILDHIDGALRLEPGKLAASRTVLRDYGNMLSATVIFVLDEQRRRMEEDGEEGVWGVMVGLGTGFTIETMVLHATTNLKQKLALV >cds.KYUSt_chr5.22296 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145551415:145555883:1 gene:KYUSg_chr5.22296 transcript:KYUSt_chr5.22296 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTTTNPACCCSLQLRHLLRRAAALPPTTRPLRPRLPLRLRTQAQASPSPEPSLPPETSFAGWSDEDQDNEAAASGPFGGLLGPGLAGLFLLAGITYAAISVRSPNGSRPPIETLPTHSETAPYSTDSNTSNTPSQEDPAQVLLPSDSQDDTGEEAATTSPSETSKISAEHDMEDTLESSDLPPTDDNYIAGDGATRPDHFTASEDATEIPVPAPPVSSPAESDVAAAYDDKFSGGAAGPSEGAPVLQDSIDSEPSLPENRHMDDDDDTLASDIMVLDSGDVVAIQDTAIEAARFSDKDEVSTSRFPDYIEHGSADRDLLAEGVNPRKETLPSDQDEGQNELDNQDGLFSSTAPGKSFSSAGIPAPSLLSAALQVPAGQIVVPAAVDPTQGNALSALQVLKVIEPGARSGDLCTRREYARWLVVASNCLSRNTYSKVCPAMYIENVSELAFDDVTTEDPDFPFIQGLAEAGLISSKLSRSDMNISENVQNSHYFFSPDSPLSRQDLVSWKMALDKRQLPEVDKNSLYKISGYIDIDKIDASAWPALAADLGAGDHSISALAFGFTRLFQPNKPVTKGQAALALSTGDYAEVVMEELARIEAEKIAEAAVNAQGALIAQVEKEINANFEMELTREREKIETLEKLAEEARDELDKLRAEREEEKNALIRGRAAVESEIEVLSKLRCEVEEQLQNVLSKKVEISFEKNRIGKLQKEIEDENKAAVQLQYELEVERKALSMARAWAEDEAKKAREHARALEEARNQWERQGIKVVVEEGLEEDASAGVTWANVGKEHPVDEAINRAESLLEKLKSFFAEMKVRSRHALERVMQYVRSFISILKERVAEARRGSTGLGAGAMRKVNQLSSEAKAFGSSVGDKSKRVVEDCKEGLEKFVHRFKTD >cds.KYUSt_chr7.24951 pep primary_assembly:MPB_Lper_Kyuss_1697:7:155765492:155769869:-1 gene:KYUSg_chr7.24951 transcript:KYUSt_chr7.24951 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGGADPARQHHLSPQGGGGGQPPVPRSPTPLDLSAAAASSAYHHRRLSPSPRPPAHPQPRPPSPYGQIPPAHHARSLSQPLFFSLDALPPPPYADLAVPPSPPSSTSDPPPFGLPPRRAAAHRRSHSDIPFGFSHLSPPPPPPPPAPVKREPPAGPDGAGGDDAALYDLVNAYMDLDGIDALNSDDRDSRASGTRADSSDNEADSQSTSADNKNKARHCRSLSMDSFMGKLNFAAGEESPKLPLPSPGGGGGLSRTGSGSLDGGGAAALFGAEFANGEFSEAEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSGGLATQNNELKIRLQAMEQQAQLRDALNEALTGEVQRLKLATGEITDGRMSKMGLQQQMNSQLIQMQQLQIQQQQQQQPSQMQQAQQQQQQQQQSQQSA >cds.KYUSt_scaffold_2697.399 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2597326:2601632:-1 gene:KYUSg_scaffold_2697.399 transcript:KYUSt_scaffold_2697.399 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFDYPAAMGEGAMGGSDDEDEEAMKKLYADAAVGAAVAAEEEEDDAGYDTAAMKAGDEKGIGKEGLRKKLLKEGEGSQRPGAGDEVEVHYTGTLEDGTKFDSSRDRDTPFKFTLGRGQVIKGWDMGIKTMKRGENAVFTIPPELAYGEDGSPPVIPQNATLQFDVELLSWASVKDICKDGSIFKKILAEGEKWENPKDSDEVFVKYEARLEDGSVVTKSDGIEFTVREGHFCPAISKAVKTMKKNEKVLLTIEPEYGFGEEGRPASGDGAAVPPNAMLHIDLHLVSWKTVIEIGNDKKIQKKILQEGEGYNRPNECANVKVKLIGKLADGTVFVEKGHNSEEPFEFKTDEEQVIEGLDKAVLSMKKGEVAFVTIAPEHAFGSDESKQDLAVVPPNTTVYYDVELVSFEKEKESWELKDNTEKIEAASKKKDEGNVWFKMGNYARASKRYGKALNFIEYDNSFSEEEKQLSKALKLSCKLNDAACKLKLKDYKGAKELCTEVLETENTNVKALYRRAQAHTHLVDLDLAELDIKKALEIDPENRDVKMGYRRLKEKVKEYNRRDAKFYGNIIEKLSKMEGEEADGGRSQGPSKKRGLWPLARSIFTTDGSKESTLRLVLRLLIPVMVLVSLCVAYYVQSGVPEIDCVNC >cds.KYUSt_chr6.7066 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42846238:42852981:-1 gene:KYUSg_chr6.7066 transcript:KYUSt_chr6.7066 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSVAAGALVPAGPKPDPAPSTGGDAPQTQAQQHPASPAEDAGAEGSASPSSSPEEDGPGEAAAAAPDRELLCPICMALIKDAFLTACGHSFCYMCIVTHLTNKSDCPCCSNYLTKAQLYPNFLLDKVLKKMSARQVAKTASPIDQLRHVVQQGNDMSVKELDSLMTLIAEKKRQMEQQESETNMQILLVFLHCLRKQKLDELNEIQTDLQYIKDDISSVERHRLDLYRTKERYSMKLRMLLDEPAASKMWPSPMDRPSSPFLPNSRAPLNASSPGGLNNRKHDLRAQVSQQGYQRRDALVCPDPPNPPIQSGNVIARKRRVQAQFNELQEYYLQRRRTGGQSRRQDDIVAMNREGYHEGLEDFQSVLTTFTRYSRLRVIAELRHGDLFHTANIVSSIEFDRDDELFATAGVSKRIKVFEFSTVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNIIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASAINIDMKANICSVKYNPGSSYFVAVGSADHHIHYFDLRNPSAPLHVFGGHKKAVSYVKFLSNNELASASTDSTLRLWDVKDNCPVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPASSHRFVSSDLDDAEEDPGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAP >cds.KYUSt_chr4.45726 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283017885:283018372:-1 gene:KYUSg_chr4.45726 transcript:KYUSt_chr4.45726 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPGVGLGANIVHSPCTPRGGTAVLTHGRRRHLCRLRSNAKKSPEEEERGGGDANALSGVLPGRSVLLRAGAVIFALGFVDAGYSGDWSRIGAISKDTEDLLKLGAYAVVPLCLALALAVPGDSGGES >cds.KYUSt_chr2.24254 pep primary_assembly:MPB_Lper_Kyuss_1697:2:148070795:148071553:-1 gene:KYUSg_chr2.24254 transcript:KYUSt_chr2.24254 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGVMESKAVVLTLLLCLSRAAVGAWARPVANKGKHANEEMFLLIKKHFGKGLGGGGGLGGGYGKGAGLGGGGGGLGGGGGYGGGGGPSGGFGKGGGLGGGFGKGGGGIGGGIGKGGGLGGGIGHGIGGGFGKGGGLGGGIGKGGGLGGGIGKGGGLGGSIGKGGGLGGGIGHGIGGGFGKVLKLFLLFLLVATFFFGVLSGATV >cds.KYUSt_chr5.33875 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215244552:215245717:-1 gene:KYUSg_chr5.33875 transcript:KYUSt_chr5.33875 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRLVGRFLLLVAVAVVGDLPVGGCSLLRFCRRPAVPLPITPKSSAAATVAPSADLDAPLLQARRAAPEVPPLRRHRRRRQRDGGGRSGHAAHARLHHRQRAGRAHGTELRPVLFEGWFANDIAAGGQLTTATDVENFPGFPDGILGIDLMDRCRAQSVSFGTNFFSKTITSIDFSACPFRVSSDDTVVHADSVVLIAVVGGGDSAMEEANFLTKYGSRVYIIHRHDAFHASKIMQAHALSNPKIQVIWYSEVVEAYGGSNGGPLAGVKVKNLVSGEVSDFQVAGLFFAIGHEPATKFLGSQLELESEGYVATKPGSTHNSVKGVFAAGDVQDKKYRQAITVAGSGMY >cds.KYUSt_chr6.28854 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182798906:182800237:1 gene:KYUSg_chr6.28854 transcript:KYUSt_chr6.28854 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLLFLLIIIASATAATPDPDACAGRRIHIRQLPARFNTDLLRHCDTAFPLADHPSATPSCSSLANHGLGPRTHNRTRSWYRTDARLLEPFFHRRLLDHRCLVDDPDRADAVFLPYYAALDALPFVLEPAMLNFSGVHGVPLAQFLAGDRPRVLARRHGHDHFLVLAGPAWDYSQPPDTDPRLWGSTSLLRRPEFDSFTFLTLESRAWPWQEHAVPHPTSFHPSSLPRLRAWIARARRSRRPTLMLYAGTVSKPSRPNIRGSILAECANRTLDVCNLVDCSGACALDPIRYMRPMLKARFCLQPPGDTPTRRSTFDAIVAGCVPVFFENASARTQYGWHLPPGRYDEFSVTIPKDAVVLGGVQIVETLAAVPEEEVARMRERLLELAPRVVYRRHGSEEMGMDAVDIAVEGALRRIRRRVRALEDGRPEALYSLDDDDQVI >cds.KYUSt_chr4.52079 pep primary_assembly:MPB_Lper_Kyuss_1697:4:323244947:323245925:1 gene:KYUSg_chr4.52079 transcript:KYUSt_chr4.52079 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRPLPHISPSPASSKPLPTTTFLSPTHFPLNPHTPRVRLKSNGQRWQITAPATSFWATGPLDRQAPALICVPCPANGASCPVTLILGDDIGPLIPGAVQQVMELAPLLQDLVTVDAQQVMELDPLLHQPLFCLKGEEEQRYPHGASAPQGKAETDFSLVAPVV >cds.KYUSt_chr2.47975 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300016125:300016710:-1 gene:KYUSg_chr2.47975 transcript:KYUSt_chr2.47975 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLMSTCGHLLNYVQTYEREYMEGKLNSVSLLVLQSGGSMTISDARKELWRPIDKCRRDLLRLALREDSAVPTPCKQLFWNMCKMCHFFYFRGDAFSSPKEKAGVVDAVIHDPLRVRGNLLFDLPYSRT >cds.KYUSt_chr3.33042 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207471667:207472640:-1 gene:KYUSg_chr3.33042 transcript:KYUSt_chr3.33042 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIGYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDDDELIIKLHALLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLNRGMDPHTHRPISAAAAASGLTTASNAASSFPSSPAAPASRLPNALYTAPTSTVSFARPSPSDDGHSSSGGSTDGPRCPDLNLDLDLSMSLPCSPPPKTTTPAPASPLHTPQHHHQQKQSICLCYHLGVRNGAACTCKAASPAGHRVFRFLRPMEEGQYI >cds.KYUSt_chr5.38636 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244480372:244480815:-1 gene:KYUSg_chr5.38636 transcript:KYUSt_chr5.38636 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHAATGRGEAERPATERVVSRHYRYVPREREEYAARRALFLQSYRLSTRCEEEKEAIGLHGRVIRRLRDAGVAGRAAAASAASRARGAARWWLGAGVGRAWRGWRRPLRRLSAQHPSALLGLGFGCFGASGRRPSREYHFLEGFA >cds.KYUSt_chr1.3180 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19262394:19265243:1 gene:KYUSg_chr1.3180 transcript:KYUSt_chr1.3180 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRRFSSSISPRARSWSPHSAFAAATERVRAGTLTSEDAHHLFDQLLARPAPVHVRSLNGFLATLARAPDSATCRDGPALAIALFSRVCRQESGQRMAALTVHTYGILMDCCCRARLPELGLALFGRLLRTGLKTNETICSTLLKCLCCAKRTNEAVNLLLHWMSDPGGVTNAFSCSIVLKSLCDHSRSQEALDLLQTVAKKGGGCSLDVVAYSTIIHGFFKEGQIGKACDLFHEMVQQGVVPNVVTYNSIIDALCKARAMDKAELFLRQMVGNGVPPDKVTYTSMIHGYSTLGRWKEATKTFRQMTSQGLIPDAFTWNSFMASLCKHGRSKEAAEFFHSMTAKGHHKPDIFSYSILLHGYASEGCFADMINLFNSMESNGIVPDCHVRTILIDAYAKCGMMDEAMLMFTEMAGQGLSPDVFTFSSVIAAFCRMGRLADAIEKFNQMISIGIQPNAVVYRSLIQGFCTHGDLVKAKELVYEMMNKGIPRPTIAFFSSIINSLCKEGRVSDAQAIFDLVIHFGERPDVIIFNSLIDGYCLVGKMEKAMRVIDSMVSVGIEPNDVSYNTLVNGYCRTERIDDGLILFREMLHKRVKPTTVTYNIILDGLFRSGRIVAAKKMFHEMIESGTTVKISTYNILLGGLCGNNCTDEAIVLFQKLGAMHVKFDIAILNIMINAMYKVQRKEEANELFAAISARGLVPNASTYGIKIRNLLKEGLVEEAENMFSSMEKSGCAPSSRLINDIIRMMLEKGEIVKAGNYMSKVDGKGISLEASTISLLVSLFSKNGKYQDKIKSLPGHLVHDELANGERITNARSISWRMSSLSLSRLATLSRYLAFPEPQHIWCMLAEGDYSEIDMVADMELIMFSLFKP >cds.KYUSt_chr4.4074 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23320530:23321888:1 gene:KYUSg_chr4.4074 transcript:KYUSt_chr4.4074 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAMLFIQEDFKLSDEQVQLLTGIMNVSAIAGCLTAGQVSDYFGRRRTFSIAAWIFFIGSVLMSFAGSFGMLMAGRCVTGVAVGYALSTAPVYAAEISPAKTRGSLSSIPDISINFGILIGYVANYFLGRLPLTYGWRAMFGISALPSAIFAVGAVFLPESPRWLVMHGRVDEALDVLQKLCATGDEAQVLWNEAAPPEEWSGGLGVWKEMFLHPTPPVRRMLVAGMGVLFVQHLSGINGVQLYSARVFKAVGIASTNEILAANIGVGLAKTVFILTAILLVDRVGRRGLYLSSLAGVIVSLSCLGLSLTVIEHSKPNQDVPWPRAAIASVFTFVASFSIGLGPITGAYSSEVIPLRLRAQGVGVGVAFNRVANATVALTFISLSNAITMGGAFFLFGFLSVGAATFFYFFCPETQGRPLEEIEEVFRQGWRERRHNLHGPFHVFKHTEMR >cds.KYUSt_chr3.3736 pep primary_assembly:MPB_Lper_Kyuss_1697:3:21259635:21263119:1 gene:KYUSg_chr3.3736 transcript:KYUSt_chr3.3736 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 zinc-finger transcription factor, Floral organ identity, Cellular proliferatio [Source: Projected from Oryza sativa (Os01g0129200)] MHAPVGPLAGHLLCLCMPFSTKYPATPGLCKRRQEGSPLDLNNLPEEYASKQAHVESSTTTAASSAADPTRTKKKSLGGKDEAGKVYECRFCSLKFGKSQALGGHMNRHQRETETLNRARQLVFGNETLSAVGAQMSFRDVNMGGTPPSAVLGGGGFRGGVNGGGGGGVMGDQLCQPFRPVHPRVSSPPYHYLYSTPPSAMHPMSYPATYPAPPRQQTAASVGDYVIGHAVSPGDALMQQEQQPRRPSGFSCFGAPFSTPPPTTVAANGHPDHGSCNCSFGCSGHPQQKC >cds.KYUSt_chr2.33118 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204461121:204463436:1 gene:KYUSg_chr2.33118 transcript:KYUSt_chr2.33118 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSMAAAHYQGLFHSPPSHNPAFQIHMGASSTPQTPLMAAAAAAASAKRPPQKPPTRPPAPTSAAAAASVAAAYKAANSGSVDLTPAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFEARVDAALARKKVDIQEALKTPPSLQRTLRIYVFNTFANQARTIPPPKAAEPPTWSLKIIGRVLEDGAELDPAGVVPKHNPMYPKFSSFFKRVTIGLDPSLYPENSMITWENARSAAPQEGFEVKRKGDKEFLANIRLEMNYNPEKFKLSQPLMEVLGVEVDTRARVIAALWQYIKAKKLQNPSDPSYFMCDPQLKKVFGEEKMRFAMLSQKISQHLAPPPPINFEHKIKLSGNGANSSACYDILVDVPFPLQKEMTAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLVAGEANRNIERERRADFYNQPWVEDAVIRYLNRKPPGGNDGPGLLSMSIVVLQSEFMGP >cds.KYUSt_chr3.33194 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208267633:208270357:-1 gene:KYUSg_chr3.33194 transcript:KYUSt_chr3.33194 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGPLPCSANPRDGRGGWRASLFIIVVGFVERIGFIGVEGNLITYLSGPLGMSTAAAAAGANAWSGTVLVLPLVGALAADSRLGRYRAVLLAGVLYLLSLGMLTVSSMLQTSQSHHRSCHGAATACSSAPSPAWLAFFYAALYLLALAQGFHKPCSEAMGADQFDATARASRSSYFNWFHFSISWGYAIAATAVTYVQENIGWTVGFAACWAVMLVYLAVFLLGTRTYRAEQPVDGSSLARLTEEFTFSQKVVATDTDTQRLLVAEPEEGTEGFLARLLPIWLSSLVFAAAMAQVTTLFTKQGSTMDRRIGGLVVPPAALQSFISITYITLVPVYDRALVPLVRRLTGHPAGITTLQRIGAGMAMSCVAMAAAALVEAKRLSTAKNAGLLDRPDVALPMSLWWLVPQYVLVGLAEVFTCIGLEEFFYDQVPDALRSVGLALSLSILC >cds.KYUSt_chr7.33678 pep primary_assembly:MPB_Lper_Kyuss_1697:7:210082885:210084068:-1 gene:KYUSg_chr7.33678 transcript:KYUSt_chr7.33678 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEEIAAKERELEARAKALDDREASLNQQIAATTNATSVSLPAGQTPPPNPNPTEPTTTSPSTYATSIKLHVPITLSLTDDNYISWRVLFLVALSRYGLTAHVTGEATPSNTSPTSAWGRDDYTVLSWIYGSTNTDFPGIVMRPGATALTIWDAIENLFRDNKTHRAIQLEADFRNTPQGDLSFSDYCAKLKNLADSLTDVGQPISDETLALTLLRGLNDTYAHLCSFLPFQVLFPSFLQTCSALILEETQRRTDAHNAASTAPSCDRSSPAPFGGIAPTAVAPTTSPTTFAAETVDTAADVDVDAAATTPGTLLTDGMASAISRPSRSAPQHDTTSVHRPGPLHPHRNQQPQIDPALLAALNNL >cds.KYUSt_chr2.5078 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31501778:31502749:-1 gene:KYUSg_chr2.5078 transcript:KYUSt_chr2.5078 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLQSAKDLKNVNFMSRMEVYAVATISGDPITRQCTPPDPYGGRYPTWNATLRFAVPPTATAGGSGCLHIVLRTERSRGDRDIGEVIVPLDEILAGAGYDLGPRPQQFAAYKVRTVHRAETRGVLYLSYRLGAVVAPQNRVAPHRLETRAAADEPVVAYPAMLPYGQQSYDYMPVLALMPPVPRASGRLSMEPASPKASGGHMALSPSSSQARDGHTSLPPPLPKAYGHLSMPPPPASIQTSGHATPQKSAGYAATSPWTTARNNGNADFGRELSTGLVGGATGGMMAGDMMSEAAAYNAGYRAGLAGEWGRPAVY >cds.KYUSt_chr4.27402 pep primary_assembly:MPB_Lper_Kyuss_1697:4:172080971:172082412:1 gene:KYUSg_chr4.27402 transcript:KYUSt_chr4.27402 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGWPEDMIATELKRLESKLKQFAEVETLLLKECEQVERARQRISAGRIQVMSGRLNHTGTRLPKPNGGSSTVASNPVNISPRPVGKPGSTAEATRPASSTNIMHGQGHPQMPFLQRQPQMLSFGPRSPLSANQTQPSAQASNIMFSSAAMPNSITPSHNHQLLRSSSGNNSSLG >cds.KYUSt_chr3.29330 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183556496:183559039:-1 gene:KYUSg_chr3.29330 transcript:KYUSt_chr3.29330 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARLALLSAPRSYSAAAAGAPPTAPAPYAGVPPPAAGSKAAEFVVSKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGAARYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKSMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >cds.KYUSt_chr7.6284 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37848876:37849130:-1 gene:KYUSg_chr7.6284 transcript:KYUSt_chr7.6284 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSFLTFDLGYYRGLLKSRGLFQSDHALLMDAAARADVESVASGPPEVFFQLFARSMVRLGMVDVKTGGEGEIRRHCTVVNS >cds.KYUSt_chr5.38038 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240224660:240233722:-1 gene:KYUSg_chr5.38038 transcript:KYUSt_chr5.38038 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSYWFHRILLVIQIQNSTVLVHDSLNMDPALWADMRKMMQKVWRRFVDQKVGEFKKELQFKRAKTATGDIQPPGTNLCGYYVCERIRRYTTERQPSENNIKRINLRTTLSPEARFRPLQEELAGWFAREVIDPRGEHYVEDVLCDPGILPSILAGAVVTALILYLPVVYLVLVLEKPARRRQVPAFGEWNYYYHYDEPEVAAPAAAAYCYGAVPEPEACSDVWFRYSPPPRKPAPAKKTRRRVPEGDSDASLEKRGRGSARPASEANGARATRVVRPVDEDLYQVPPPELASSHRRRPRRSLWMGCLGCVA >cds.KYUSt_chr4.30124 pep primary_assembly:MPB_Lper_Kyuss_1697:4:189100323:189122258:-1 gene:KYUSg_chr4.30124 transcript:KYUSt_chr4.30124 gene_biotype:protein_coding transcript_biotype:protein_coding SPGEASLRRRRAPVRLCVALAALVACTIWLWSSSAGLTVGSYKAHDVDVNKLWRTAESKGWRASSAPRSYWPPPPTEFETNGYLRVRCNGGLNQQRTAICNAVVAARIMNATLVLPELDTNSFWHDESGFVGIYDVPHFIKTLKYDVRIITSFPEISTNGKSKKLKAHQIRPPRDAPVTWYKTVALEKMKNYGAIYLTPFSHRMAEDINDPEIQRLRCRVNYHALRFKPNIMKTSSEIVNKLRSEGHFMSIHLRFEMDMLAFAGCIDIFTPQEQKMLIKYRKENFAEKELVYRERRLIGKCPLTPEEVGLILRAMGFDNTTHIYLASGELFGGKHFMRPFKVMFPRLENHSSVGHGKLEENTRGLAGSAVDYMVCLLSDIFVPTYDGPSNFANNLMGHRLYYGFRTTVTPNRKALAPLFMDREEGQTAGFEERVKQVMLTSHFGGPHKRIHPESFYTNSWPECFCQVNPVNRADECPPDNIYEVLENQFLSEEGFGEVKATNQADSTSQPEESTI >cds.KYUSt_chr6.29340 pep primary_assembly:MPB_Lper_Kyuss_1697:6:185954123:185955965:-1 gene:KYUSg_chr6.29340 transcript:KYUSt_chr6.29340 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTYMPPGSAMYVFVKQFNKLLYDRDVEESFQEKRTRLGGVVCKVGEPMENHAAKIYMRTMFEKFQDSMYKIGSYYADKVVPGEMYVTTHFDCESREKWCKVQYKVSGSGGYYTCECGMYEHMGMLCCHVLKVLVHLSYTSIAWKWDVNVDAYTTTMESIKVMVPKLKKVGVEGDGLGLEARLNVKKARVDGAAAQMVVQYLHWDNGVSDAISLDATLLAPSKNRSGGRPTNSHDKPPYETTSKRTRFYTICRFPGHKSTTCPDCPPGVAKPRKEAKCSNCGLPGHSNKACACWGKRHGSSSNQIGPLFCCGSGWGVELLGVALDLGNIAKRMRWNMMVCWLLPTASNYSLLGSHQSVAYVGGSWPSAPGVFRA >cds.KYUSt_chr3.41115 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259478371:259482502:-1 gene:KYUSg_chr3.41115 transcript:KYUSt_chr3.41115 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAYLATVPALRRVARWAPVALFSSGIVAGDKPVLVRDFVKSALYDPNHGYFSKRAGPVGVLDSAIRFNQLQGRSAYMKHLDKLYKKHDISWFTPVELFKPWYAHAIAASILRTANLSVPLKIYEIGGGSGTCAKGVLDYMMLNAPPKVYNNMKYISVEISSSLAEKQLETVGEVQSHLSKFTVEHRDATDRSGWGSKDPQPCWVLMLEVLDNLPHDLVYSPNQVSPWMEVWIEKVNGSSQVCEVYKPLQDPLVSRCNEIVSMNEQDPSLREKLSFAAKGIFSKVFPKPRRAWLPTGCLKLLDTLHEALPSMSLIASDFSYLPDVSIPGDRAPLVSSKKDGKTLDHNNYLDAQGDADIFFPTNFWLLEQIDHHCSVFSREQKNRGSFKPVKSRRTIILDSAAFMEEFGLPLKTKTKDGYNPLLDDFRNTKFYLSVPTHNKV >cds.KYUSt_chr4.49194 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304648849:304650281:-1 gene:KYUSg_chr4.49194 transcript:KYUSt_chr4.49194 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFLPVMEYLARRAFLAAGLCSQTATLPCEAGGTRTIHYWAPPGEPRLPPLLLVHGFGPMATWQWRRQVVPFSRRYHVVVPDLLCFGGSSPCPSSPPPSESAQAAALAALLDALPGLVKTTRVTVVGTSYGGFVAYALARAAGPGRVGPVVISNSDLLKTVEDDRALLQRAGGELASTADLLMPLDSRTARRLMELTFYRRQVTAMLPDFLIGEIVQKLFIDKREDKIELMKAVTVGTDEFQVIPLEQDVLLIWGDHDQIFPLDKAFAVKRCLGENVRLEIFKETGHVPQMEDPNRFNEVVFDFLLASLKSPNQHDQY >cds.KYUSt_chr4.8119 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48501094:48503871:-1 gene:KYUSg_chr4.8119 transcript:KYUSt_chr4.8119 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRSPDSTSRTTVPLFLIRAISSSSPGFRKFLVHLVKQLWGDPKRLGKFLDQWFSPAASRVNPLRVLPIPKAPEFPDIMRLLQALLRKATVQAVLVATATLMVIHLSRARPVEDDDEGALLQRRLPLFVRDGVWRIIAPRGPLPTLRWPPRTWTADAMEALRFDLRRREMDHSDLTLHSGVGQPVMLMAHRDCVEKNSNGNNPSCRGKAQNADGVKKTEQAISISTYRPHLDLKGTLSRLLHLKGTPQANKTVSSATTENVGKFWNDRAANAENLQGKKQNSTLFKEETKLPEKIADEGCKSNDRSEATLSKLQMCLAALPRRGEAAPPV >cds.KYUSt_chr2.55596 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346817072:346819646:1 gene:KYUSg_chr2.55596 transcript:KYUSt_chr2.55596 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAELEAQGFRPLDETSLVAYIRATPALAASLGGRVDALEVKEVGDGNLNFVYIVLSDAGSVVVKQALPYIRCVGDSWPMTRERAYFEASALQEHGRLCPDHVPEVYHFDRAMSLIGMRYIKPPHIILRKGLIAGVQYPLLAEHMAEYMARTLFFTSLLYSSTTDHKKRVAQYCGNVELCRLTEQVVFSDPYRVSKFNHWTSPFLDKDAEAVRGDDGLKLEIAELKSIFIERAQALIHGDLHTGSIMVTTDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFAQDGQADQANDRKDYKEWILKTIEESWNLFQQKFLGLWNKHKDGNGEAFLPAIYNNPELLSVAQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFDSIEDASKRASCERRALDCAKAILKGRRQFESIEQGLSMVFLYWDGDKTAFESVETDLGVFLVYCRLSGIAVS >cds.KYUSt_chr6.21035 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132754492:132763031:1 gene:KYUSg_chr6.21035 transcript:KYUSt_chr6.21035 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASDAQSGTSGGPRGKGPKTIDEMLGQLDLQEEDFNDVCLDEVEEEIKESTQWLALARVKTERGFSQAAFFGEMRAAWNLAQEVRFRAIGQNLFIVQVYCLGDWERIIDRGPWIFRNQAVLIEPYDGFSRAEDVELFFMPIWAQIHELPEAYCKEKVVKQLVEKAGEKAMEVKTTGNWGNYVRVRIRYDVRKPLLRFVSFIREGQRQVFALRYEKLAGFCSVCGLLGHDYKECGRGVYEEKDHKYREWLYADTPRQSIRGEAGARKRLNMHDQESGKEGQLLLTDENMVGTTEEDDNDTTSVDSQEVDATDVNLLQKVKPRVTAEMNERLLARFTEEEEVKKALFAIGDFKAPETDEIISVAQGAFVPGRLITDNVLVAYECVHAIKGRKQGKAGFCAVKLDMHKAYDRVEWGFLRDMMTSHLWNEVDAWKSKVFKDESDFNMMLWIRGSLPLLALKDISITLYSVGLAIVPALEANAGNDVVDIPALAHVPPLDEAFVHNVIEDESMEDIGNHQEIIGISDSQVDFDRIGSYMIARSHVSFSACIRSFC >cds.KYUSt_chr3.23555 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145584592:145589417:1 gene:KYUSg_chr3.23555 transcript:KYUSt_chr3.23555 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHVLIKHTNTRRSSRPEHGDSREAHHGARRVGGRRGTAEEAQLDLHVRVGGLAVGGEVAGQLGTGTDLHGRSRRQRSGAGREVAVVDGRVLAGWWQVEAIEQCLRQLLWLEQGILRAAACAAPSSARPSGVRRQRWLQGVLGIGEQIVRTRRRRFHVGAGIPGVAPHYTPSPTTFTWPSSPTGPGGTFMSITLGASTKLLDDMMINYSEWHTERTPQGKKVNSVEETSSLSDKIDVIMSMLVNGRSHVDPNNVPLASLVDQEEHVDVNFIKNGNFNNNAYRNNFGNNYRPYPSNNGNGYGNSYNNSMSVPSGLEVMLKEFISTQTAFNKSVEEKLGKIDVLASKVDSLAADVDLLKLKVMPNETKDSKSFATANTIQIRINDNIRMMAELHARWEREEKLAKENNVAKVWTITTTSNVDASHVDKPPTINGKIIGVGNVSTSNTTHAKLPETAETVCDKSAEIFRVLGTMIPLL >cds.KYUSt_chr2.39574 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245519035:245522185:-1 gene:KYUSg_chr2.39574 transcript:KYUSt_chr2.39574 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEQKGKRPKIDEDGDERMDDAVLISIEQLQEIQDEIEKVNEEASDKVLEVEQKYNEVRRPVYARRNEIIREIPDFWLTASHAYYFSAFTLQFLSHPILGELLTEDDQKIFKHLESIDVDEFQDIKSGYSITMTFSSNQYFEDTKLTKTLSFSDDGNITVKATSIKWKDRMVICLVNCFFTWFSDAKNKSFSQGVIDEALSNLSLQEDEEEFEDEEEQEESDEEEDDDDDEDEEREEDEEE >cds.KYUSt_chr6.19456 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122424880:122428458:-1 gene:KYUSg_chr6.19456 transcript:KYUSt_chr6.19456 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSGFYAGEGLQIDPNWLIDPKLLFVGPRIGEGGHAKVYEGKYKNQNVAIKIVHKGDTPEEVVKRQGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVSLRPRNLDPRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTIKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTSCWKEDPSDRPNFTQIVQMLLHYLSTLSPPEHMAPARTFSSENAILPPESPGTSSLMASRGDITPKGNIEDKPRGFFFCFSQCY >cds.KYUSt_chr4.5397 pep primary_assembly:MPB_Lper_Kyuss_1697:4:31136876:31149810:1 gene:KYUSg_chr4.5397 transcript:KYUSt_chr4.5397 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAALRVPLPRLLRGPSLIAAAGAASRRRAAAAACGPTPALRFLCASSPSSSGPGGPVPRASRRDGEDEDGLPVRERVVQVELHKEATEAYMSYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLASRRPYRKCARVVGEVLGKFHPHGDSAVYETLVRMAQDFSMRYPLVQGHGNFGSVDADPPAAMRYTECRLDPLTEAMFLTDLELNTVDYVPNFDNSQKEPSLLPARVPSLLLNGSAGIAVGMATNIPPHNLGELVDALSVIIQNPEATLQELLECMPGPDFPTGGIIMGNDGILEAYRTGRGRVVVRGKTEMETIDKKSNRTAIIIKEIPYQQNKSTLVQRIAELVEDKVLEGISDIRDESDRSGMRVVIEVKRGANPAIVLNNLYRHTTLQSGFSCNMVAILNGHPKLMGLKEILQAFLDFRCSVIERRAKFKLSQALERKHIVEGIVIGLDNLDSVIQTIRETSNHTMAKESLAKEFGLSEKQAEALLDITLRKLTSLERKKFIDEADSLSKSISKLNELLSSKKLMFQLIEQEAADLKNKYASPRRSFIEDSANSEVDDMDVIPNEEMLLILTEKGYLKRMNPNNFNLQHRGTIGRSVGKMRNNDSMSDFIVCETHNHVLYFSDKGIVYSARAYKIPECTRTAAGTPLVQLLSLSDGEKITSIVPVSKFCEDQYLVMLTVNGYIKKVPLNVFSAIRSTGIISIRLAPGDELKWVRLCGDDDLVALASQNGMVIVNTCNK >cds.KYUSt_chr1.322 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1786280:1788076:-1 gene:KYUSg_chr1.322 transcript:KYUSt_chr1.322 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPLLTPYKMGQFDLAHRIVLAPLTRQRSYGNVPQPHAALYYSQRASTGGLLITEATGVSDTAQGYPDTPGIWTAEHPGGKAPVSSTETRLGPQTSFDGHLDEYSPPRRLTVEEIPGIVDDFRKAARNAIDAGFDGVEIHGANGYLIEQFLKDGTNDRDDEYGGSLENRCRFALEIVDAVVKEVGSHRVGIRLSPFTDISDCYDSDPHSLALHMSTKLNDHDILYLHMIEPRMAIVDGRRVVPKRLLPYRKAFKGTFIAAGGYDREEGGKAVTEGYTDLVAFGRLFLANPDLPKRFEVGAKLNKYDRMTFYSSEPVIGYTDYPFLE >cds.KYUSt_chr4.18998 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119337738:119338883:1 gene:KYUSg_chr4.18998 transcript:KYUSt_chr4.18998 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPRIVPNPACLGLPEEIFGWEILVRLPAKDVVRCRAVCRAWRRLASTRDFLLENHLHQPSLPLVLLSRRQRGCTWSDLRAFHHRAATPEAQLQPVVRIDDSTAITVQTSRDGLLLLSVCTFSPYIERGFICNPTTRQIGQVPEIQDFHVTVLYRHPPTGEYRLLLQMMGMYGQKKPCYVFALGCNQVRPRSIGRLPEQVDFFDASVLVSGNLHWSWWPRPVKKDQSEKTITVFDTTAESFRLMRGPVIPTSTAYLYEVDGTLGIYSCNDTITTVDIWLIKDYDSEVWSHIYHVKLPVAEIRGDERRDVMVVHEGRDVFVLYSFGQTLFLVDTDGKLLTSLQLDAYIIFPATHRIKQSLVQHSFFSALQDASLNAWSFI >cds.KYUSt_chr1.5973 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36721157:36721597:-1 gene:KYUSg_chr1.5973 transcript:KYUSt_chr1.5973 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAQGLGFSMTSPSAPPRFPSSGVHARDFHEPATAISTVTATLEILRAMPTGDIHMRPYRGAIGLYTGQCSSCNRNGCGGPALSIMVFGAFYTLTGDMLRLILAPYGHYRNGALRRRPWRTPTAKCRGRRRTARSAQLLEAPLGV >cds.KYUSt_chr7.33543 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209232046:209246871:1 gene:KYUSg_chr7.33543 transcript:KYUSt_chr7.33543 gene_biotype:protein_coding transcript_biotype:protein_coding MNAATLTALVDRWRPETHTFHLRAGEMTPTLQDVSMILGLPIQGDPLCMNTTSDGWRQQMENLIGMALPPPEDPKARAPTGAAFSWIRLNFGHCPQGANRDTLRTYTCVYLWYMISRTLFADSGGKLAHWCWLKALTVLEHRWSWGTTTLAYLYRQVDCEPYGTYYRIGAGMADLNHKFLEEARLWRMRCPLICMWLVKYHQPHRVIRQFGLYQECPPQWQDTDHALHRQRQRKITNWHVHHSGHVIAFQHCLEAIRNAGHVEIVPHDLAAFNNYLQWFHQSTQIELVKPAYDDDILDDLIEFDEVVQSQHDIYARKGRSTSIASKLNFVRSEIQKTAEECEVVWDQSYRDEKPIGPLRHFIKERHGDKVQDSEPPTPVSVMRMGKNRVNMEDEETLSSVAQCIEQLRQSSSSSQEKESSLKQLLDLVQTRDTAFGAVVSHSQAVPTLVSLLRSGSSGVKMLSATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTEKFWSATVQCGGVDILIKLVGSGQTKTLANVCNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETSIRAEAAGALKSLSAQSKEARREIASSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNAESISASDPLVIEKTLMKQFKPKAPFLVQERVIEALASLYSNTVLCKTLADSDAKRLLVGLITMAGTEVQDDLMTSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDECKWAITAAGGIPPLVQILETGSPKAKEDSATIIGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILSSPKEETQANSASALAGLFHCRKDLRETHIALKTLMSVMKLIDTQSDRILMEASCCLAAIFLSVKQNKEVAAVGRDALAPLVSLASSTVLEVAEQATRALANLFLDRELAAQVSFEEIMFPLTRVLKEGSIDGRIHGAAAIARLLLCRPVNQLISDTVNRSGAVLALAGLLEAANGDASATSEVLDALVLLSRSKVSSGRTKAPWAALAENPHTILPIVSCVADAVPSLQDKAIEVLSRLCFDQHDVVGGLVSEIPGCISSVARRIIGSNILKVKVGGCALLACAAKEHCQKQIEILCDSSLYIQLVHSLVGMINTTNLPSENGDGESIADIKISRCSKENNNDAELACPTAVISGNMIPLWLLAVFTRHDNKTRAEILEAGGVEMLNEKISQNAFLYVGEEDSTAWVCALLLALLFQEREMNRSNSALHSIPVLSNLLRSDEPAYRYFAAQALASLVSNGSRGTLLAVANSGAATGLISLLGCADVDIADLVELSEEFMLVHNPDEVTLEKLFRVDDIRVGSTSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAVDCAPNMLLMAEVGILEALTKYLSLSPQDTTEEATTELLGILFSIAEIRQHESALGAVNQLVAVLRLGGRNSRYSSAKALESLFCADHVRNSESARQAIQPLVEVLSTGMEREQHAAISALIRLLCDNPSRALAVADVEMNAVEVLCRILSSDCSAELKGDAAELCGVLFANTRIRSTMAAARCVEPLVSLLVSEANPAQLSVVRALDKLLDDEQLAELVAAHGAVIPLVGLLFGKNFMLHEAVARALVKIGKDRPACKLEMVKAGVIESILDILHDAPDFLCVALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADTGPEGQYSTLQVLVNVLEHPECRADYNLTPRQTIEPVITLLNSSPPAVQQLAAELLSHLLLEEHLQKDTVAEQSITPLIQILSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQTDPPLPHVVWESAAAVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNIRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATICIPHLVTSLKTGSEATQEAALDSLYLLRQAWTACPAEVFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLKQSVGNASAFCKLTLGNNPARLTKIVSTGESPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGANRNLEIEFQWSNK >cds.KYUSt_chr4.52541 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325906173:325911186:-1 gene:KYUSg_chr4.52541 transcript:KYUSt_chr4.52541 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSVWGCIDDLNPTCARGRLAVPEKTPDLESAPYRLHRRCLHLLLDGLGKRLPDCRGDLWLSHQNCPRVHVRLRRRDVVRGLPLFLAAEDLGLEENDSHDGNITMAHACPRGVYMYVDVVCPKEQEKQGKGTNEVWCEVALPRFAHGLGASELMFGQLRCHSRMCSRSTEAARATGLKTTSLNGLVLDTAWDRDSHSGNGAWRCICFEDRLKSTILIFKGVIMSSSMESTYLPATTESIAKAQEAKDASASISILYRVIQDPSSSPDALRTKELAITNLTNYLTKENRAEDLRNLLTQLRPFFSLIPKAKTAKIVRGVIDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVESRLAALLLENREYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAIFSLKYMLLCKIMVNQADDVAGIISSKASLKYVGPDVDAMKAVADAYSKRSLKYFETALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSKVEIEHIAQMIELPIDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEELTTEAIFPATLETISNVGKVVDSLYMRSAKIMA >cds.KYUSt_chr4.8469 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50693026:50707628:1 gene:KYUSg_chr4.8469 transcript:KYUSt_chr4.8469 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRPLAAALRLAPLSPPLLLFLASSSSSASSSCSPAASSPRGCSALRMDTAAVEAASTGAIWSTPSAEPRTISIGKQIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYNGTIEKLVKDLNYPAELLTWQFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVAAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDSNPGKVPSGTDYALMYRDVRSAVDLCHRDGTLKRMVAKEPGRYINEDLAIVPMLEMLRKSGRSTFLVTNSLWDYTDVVMNYLCGPYISDLGSSHKQKWLEYFDVVITGSAKPSFFHDDNRTGLFEVEPHSGKLLNADLQASKIGSPRSSQHQPKPIHKVYQGGNVGHLHRLLSIASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEQEVKLLSESKSTRKELRQLRMERDSVEDRIHRLEWSLQFENLAENEKEKLLTEHANLLQKLKGIRCLLRDAQLQHHQKFHKVWGQLMKTGYQNSRFAHQAHLGPSATAMQGHLRTYMNAGAPKRFKEDEEKEQLAKELAKDWNAVFERSINTLFLTEMVRGLSLTLKYFFDRKVTINYPFEKGPLSPRFRGEHALRRYDSGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFSTETHEELLYDKEKLLENGDRWETEIAENLKFLLNHKLHMWPGPQEQARQKRRLQHPPMCALCCQEEETVEHLTIQCSYTREVWYQLLLPRRLHRHTPAPDSQLASWWPNLSNDTPKAERKEVNSLVILIARELWLERNV >cds.KYUSt_chr5.20830 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135255759:135258907:-1 gene:KYUSg_chr5.20830 transcript:KYUSt_chr5.20830 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRLLLPLIALLVLHPLRTVTSSDAGHLLAAKHELSDPAGALSGWDARHHLCAWPHVTCAKKSTTAVAELYIYNLSIAGVFPSSLCSLRSLRRLNLAGNDIAGPLPACLADLPALSDLDLSGNDFSGEVPAAYGAGFRSLVEFKMVQNSLSGNFPAFLTNLTTLKVLQLAYNPFTPSTLPDNLGDLANLRQLYLASCNLTGKIPSSIGNLGNLGNLDLSFNRLSGEIPASVGNLSSLFQMEAYSNQLSGRIPEGLGSLRNLRNIDISMNQFTGELPEDMFAGPSLESVHIYQNQLTGRLPASLGTARRLTDLRMFGNQIEGPFPPEFGKNCPLEFLDMSANRMSGPIPPTLCASGNLTHLLLLGNRFEGAIPAELGQCRTLLRIRLFNNSLSGPVPREFWGLPNVGMLELRSNALSGTVDPAIAGAKSLSNLQIQGNRFTGALPAELGSLSNLQELVASDNSFSGRVPPSLVQLSQLFLLDLSNNSLSGEIPGDFGRFKRLTRLNLSHNLLTGIIPPEIGETSGMDMLDLSNNELSGGVPAQLQNLHPSAFNLSYNNLGGPLPRFFTASRYRESFLGNPGLCYGLCTRNADPDAIQRARINLAATILSTAAIILLIALAWFTYKYRIYKKRAAEIDCEKAAWVLTSFHRVDFDERDIVNSLDESNVIGQGAAGKVYRSVVGPRSEAVAVKKLWATTTTTGGAVTVASSKDDKDTFEAEVATLSRIRHKNIVKLFCSVTSRACRLLVYEYMPNGSLGDLLHGAKAGVLDWPVRLKIALHAAEGLCYLHHDCVPSIVHRDVKSNNILLDAEFGAKVADFGVAKTLGDDPASMSVIAGSCGYIAPEYAYTLHVTEKSDVFSFGVVILELVTGKSPMAPEIGEKDLVAWVCDSIDQNGAESVLDQKLVDQFKNEMRRVLNIGLLCVNKSPNNRPPMRSVVKLLLEVQGQNKPKAKKEEAPAF >cds.KYUSt_chr4.12668 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77920073:77922719:-1 gene:KYUSg_chr4.12668 transcript:KYUSt_chr4.12668 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPNRAGDRDADRVNAQPFLDSRRMAHAAGSQLPAEPSGARAGLRGASLSVESCVIVLDAMTNAGAAALGARTARACDGCMRRRARWHCAADDAYLCQACDASVHSANPLARRHHRVRLSTASSSTSSSQLPRVDPDDPAWVHGLKRRPRTPRSKPGMTSKHDEPAATVAVPDLEAEDSGSGIVGYNDGVRAEEEEEVYDEDLLYRVPVFDPMLAELYNPVPADEGADLLEQKPAACCFASLADQPSAECASGLADGFSGFDVVPDMELASFAADMESLLMGGVEEGFDDLRFLDEEKPHMNLDFDMDMDFDDQTTAAPAPEQQEDRKRKRPDSDKILKLDYEGVIDSWARDGGSPWFHGERPHFDPNDSWLDLTSGSRGFGLGAAVTPVTGGEREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRTALPPLPPRPPMMVVAPHGHGGAHGRFRF >cds.KYUSt_chr3.34542 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216721334:216724564:-1 gene:KYUSg_chr3.34542 transcript:KYUSt_chr3.34542 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVPSPRRLTRALSSDSSSYLPDEMLHLVLSHVDAPRDRAAASLVCRRWHRIDALTRKHVTVGFCYAARPARLLARFPALESLALKGKPRAAMYGLIPDDWGAYAAPWVAALAAPLDCLKALKLRRMAVSDDDVAALVRARGHMLQELRLDKCSGFSTDALRLVARSCRSLRTLFLEECSITDKGGEWLHELAANNSVLVTLNFYMTELKVAPADLELLAKNCKSLLSLKMSECDLSDLVGFFKAANALEDFAGGAFYEVGELTKYEKVKFPPRVCFLGLTYMGTNEMPVIFPFSASLKKLDLQYTFLTTEDHCQLISKCPNLFVLEVRNVIGDRGLQVVGDTCKKLRRLRIERGDDDPGLEEEQGVSQLGLTAVAVGCRDLEYIAAYVSDITNGALESIGTFCQNLYDFRLVLLDRQKQVTDLPLDNGVRALLRSCTKLRRFAFYLRPGGLSDTGLEYIGQYSGNIQYMLLGNVGESDLGLIRFAIGCTNLRKLELRSCCFSERALSLAVLQMPSLRYIWVQGYKASATGLELLHMARPFWNIEFTPPSPESMLRMAVDREPCVDAQAQVLAYRSLAGQRSDCPDWVTPLHPAA >cds.KYUSt_chr2.50157 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313652480:313653970:-1 gene:KYUSg_chr2.50157 transcript:KYUSt_chr2.50157 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSAHGSGGAFLPGGLGLGRGGSGGGGSGKGSWSGSGIGGDSSSAIGGGGASADAWTRLASSGLEDDFLGPVGAGAGGGPGGMPYGHFLDACFLCRKPIACNRDIFMYRGDIPFCSEECRREQMEADEEVERKQKSTAKKLSARPASLGEVESPPRPPKTRAGSILAG >cds.KYUSt_chr3.6960 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40136510:40140062:1 gene:KYUSg_chr3.6960 transcript:KYUSt_chr3.6960 gene_biotype:protein_coding transcript_biotype:protein_coding CALGGYDGNQMVSTVEIFDPRANSWRISSPFSIPRGYGCAVTVNDNVYLIGGINADAESVETVEVYNEKQGWSIPGYKAIGQRRMARNFVPDAWGWITTLPQFSQWRTSTMSLCICATSSASASSQPPSMSLSVVKTPSYLTFSISANYREPIFLWTSKPVHLKMKAQPSLDEQDTVQLFVDVVNQVLRYGPDNNSNSSKPPFRFPGAQHLHGGSFGDAFNIAFLSLAFLVCTYEAPRDLRRGCLDSLRAQLTGPRCRGAAKTLVRMLGANLEDQWMRTMNLAVTNWIVELRSSSSRAMPGALLPLFSYALQASGLWKVQLYCPVIAMGMEDPGAAVTQDERLLFSLTYQQLEGVVQLAYRTVRKEKWVDVEVKVDNIRCDVDSLVSETLMAERGYGSEEKHFPSRVMLQITPMQQTDILSVSISKSSDNPTHEYGFDKGIEAAFDPPNSFGLKASVAESLTLAMKPWKFEQSAHGNTATLNWFLHDGTNGREVCSSKPSKLSLLQPKAWFRDRYSNAYRPFTKQGGVIFARDEYGDSVSWKVCAGALGKTMDWDIRGWIWLTYWPNKQRTSHSETRLVEFRECLQLPLAKFP >cds.KYUSt_chr4.27522 pep primary_assembly:MPB_Lper_Kyuss_1697:4:172890298:172891347:1 gene:KYUSg_chr4.27522 transcript:KYUSt_chr4.27522 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPTPFLFLNDVSTDFLVDGDLGACPGAGLCWPDDSLDNVLGYVSMLDDGFLRDLGLDFSLPDSRLRRLDDGSGYAGLPQDDVKPDSSGALAAALAPGGRNSGACHVLDSVPDGQLPQPQPPPPQAPSFSCSHTSSGRRSLSPTSQPQASDEDGLRWTVLRKPHQRRAARRPHGWPTWTLALPLALIPPAAAHDGDGNDRKDKDFELASCCDIAGGGGNDLPLPRPPGAGQKRPKKQAAGSKNNGKVGKICTHCRASDTPQWRAGPDGNGTLCNACGIRYKMGKLFPEYRPSNSPEFSSNEHSNRHRNVERIRQRKKLKVMAPEMPLNPEAHQPKLLLPLPVCKYETS >cds.KYUSt_chr4.16719 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103918074:103918523:-1 gene:KYUSg_chr4.16719 transcript:KYUSt_chr4.16719 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWRFILPCASSVGNRFNPLSYWCPWRTLDGIGLSQVSFDKLAGSGGDQDPVDAVLENPRGGGLKDKLAGSGFLGKFRAANLWSSYMAEKLGCCDLRLGWRPLHTPVQLLRVCVCNLLGGGPSSSKRRCTPFSGQVVLSPALSKVVTI >cds.KYUSt_chr4.37143 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228529521:228531644:-1 gene:KYUSg_chr4.37143 transcript:KYUSt_chr4.37143 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSVRFLPSSTAPAVAAANARIAWLARAGNMEGARAAFEAMPLRTTASYNALIAGYFRNHLPDAALGLFRAMPSRDLGSYNALISGFSLRRHTLPDAAAALASIPVPPSVVSFTSLLRGYVRHGFLHDATRLFHQMPERNHISYTVMLGGFLDAGRVDEARRLFDEMPDKDVVAQTAMLSGYCQAGRTAEARVLFDEMPKRNVVSWTAMISGYAQNGKVNLARKLFEVMPDRNEVSWTAMLFGYIQAGQVEDAEDLFNAMPERRPVAACNAMMVGFGQRGKVDAARAVFERMRERDGGTWSAMIKAYEQNEFLVEALSTFRDMSWRGIRPNYPSVISILTVCSALAILNSGRELHAAMLRCSFDMDVFAVSALITMYIKCGNLDKANRVFSMFEPKDVVMWNSMITGYAQHGLGEQALGVFNDMQFAGMAPDSITYIGVLTACSYTGKVEEGREFFNSLCRNSAIRPGAEHYSCMVDLLGRAGLVDEALDLINNMTSEPDAIIWGALMGACRMHKNAEIAELAARKLLELEPESAGPYVLLSHIYTSTGRWEDASKLRKFISSRNLNKSPGCSWIEYDKRVHLFTSGDVLTHPEHAIITKMLEKLDGLLMESGYSADGSFVLHDIDEEQKVHSLRYHSERQAVAYGLLKVPEGMPIRVMKNLRVCGDCHAAMKLIAKITSREIILRDANRFHHFKDGLCSCRDYW >cds.KYUSt_chr1.38441 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234937372:234942985:1 gene:KYUSg_chr1.38441 transcript:KYUSt_chr1.38441 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVWLTCLSHALTTETEEVMGLLLGDVEVRPIIPQALRSPLPPRMPPQIDPLYHLQSSSRGGSTALIWGASPQMRCERKKDRVEVGKIQVIAFQSLGGTQPTLGAIVPVISSPVIDLESSWSSSDNSARYGLDGIEQDTGDSRASNGSKVWGRSQDADLYSHLDANQSAKHQPRENALVLYEQGNSPVASVDQDGSDLSPSIQEALHRSTMDISGAEYRRKEVPLHVFPTRHLLKLDATMTSYCEMQRVLFQEEQSAYNQAMLQNICDGKMHPLTSIHHTSTYNSSLCKLMEYCLSPAITVLQDRVKENELRLAMLQEEAKQLEAENQSMRLESPRRLMQRGTSSVSSAAASAIQGGPRSPSGSSRRKAT >cds.KYUSt_chr3.29003 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181350430:181367715:-1 gene:KYUSg_chr3.29003 transcript:KYUSt_chr3.29003 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYKVTANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYAKNVIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDKSGKISGAAIRTYLLERSRVCQTSSPERNYHCFYFLCSAPSEDIKKYKLGDPSSFHYLNQSSCIRVDGINDAEEYLATRNAMDMVGITEQEQEAIFRVVAAVLHLGNINFAKGSEADSSVIKDEKSRFHLDTAGELLMCDCEKLENALIKREINTPEGVITTTVGPNSATISRDGFAKQIYSRLFDWLVNRINASIGQDPSSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETLSQKLYEKFKTHKRFAKPKLSRTAFTIQHYAGDVTYQSDHFLDKNKDYVVAEHQELLNASRCSFVSVLFPPAPEENTKSSKSSSIATRFKMQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFRDFLHRFRILAPELLKEKNDEKVTCQKVLDKIGLQGYQIGRTKVFLRAGQMAELDAIRTEARNTAARGVQGQFRTHAAREQFLILRNASVCLQSFVRARLACKLHGFLRQQAAALKIQKNIRCYFARRTYSQLCLSAITLQTGLRTMAARNEFNARNQNKASIQIQSRWRRHRDNLSYIKLKRAALTYQCAWRGRVARRELRQLKMAARDTQALKVAKEKLEERVEELTSRLSLEKKLRTDLEKSKATEVSKLQSALHDMEQRVEEVIAIQENESAKKAVEEALAEEREKISSLTSEIEGLKVLLVAEREENNVSKKAHANAQERNEELNKKLEDAVEMSKQLNDIVKRLEETVKEGEVLLLAERQQKEEANAALAESHLRDQAFAIKIEDAEKQIALLQETVKRFEYSITNLESSLEIEKQQHEASVIELADAHGKIEELLREVGNTDEKSTLLQSTIKRLEERLTEKDALSTTERQESEATKKLLNELQGKNEELLKKFEDSEKNIVHYQDTTQRLEENIVAVEISLKAERQHNDAIMKQLADTQVEIVELQRNLEDADRRNSVLRDSLERLDEESTAREALLVAEKQETEVTKKTLTEALDQIEELVKEIECANNNMHQLQDSIQRLEESASATEAVLLTEHQEKDATSKALAEAEVRIEGLLDEISSANRNIDLLQNTVKRLEEGATATDTLYLAERQAHDQTKKAFSEAQEVNQELLGRVEEANEKIEHLLEDMERLEKDATTRESLLLKTKQSYDDTITELLEAQEENQRLTSRIEHSDKKIDLLEVSLKRLEESTAVLDSQLAIERHENSKIRSELSDAWQRIEELRNEAQDNHASLAELDNTIRRLEENVSAKETLLLTEREQNASTSKLLAEAQLKIAELIKNVEDSHRNSDSLQATIERLEEDGTAKDVLLLTEKQAHEATRKILVEAQEKNEELLMKIHDNDKNILQLQFTIQ >cds.KYUSt_chr7.13715 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84697827:84702613:1 gene:KYUSg_chr7.13715 transcript:KYUSt_chr7.13715 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFDKPWFLSEGKLAAANLVRVPFSHDDQKEWTNRELHRLDPRKQPRKISSPSPKNQLPIPAHASSRPAPLQDSLLLLPALSLQLSHTPSPSSPSPKSPSPFTPHAAARSLIFALQPEDILVLDAVRSGAYRGRPSRTRHGGEDGDQHGWEVWARPRVMRRLHLHGASWTGSVQPSWMGSAPCSAQP >cds.KYUSt_chr5.418 pep primary_assembly:MPB_Lper_Kyuss_1697:5:2961672:2962211:1 gene:KYUSg_chr5.418 transcript:KYUSt_chr5.418 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAGSTNSPILLLLLLAVFAAGASATTVTITNRCSYTVWPAVVPAGRGVELRPGSSWTLDVPVITGATNIWGRTGCSFDKGGRGHCQTGDCGGLQCASGSSSNPAVTKAEFSVYNGSYYYGITTLKGFNLPLDFSCSSGDALRCRQAGCQVAYPYQKYYQHTCGANGSQLQVVLCP >cds.KYUSt_chr2.6468 pep primary_assembly:MPB_Lper_Kyuss_1697:2:40301711:40302680:1 gene:KYUSg_chr2.6468 transcript:KYUSt_chr2.6468 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFTDLLQPDGSPIHLDDVSPTHRRSNVGSSPLPRVLYSSGTPAPGPYGPYAPPPAPYGSYPPPPYPYPQPPPHAPPTGSGSGTVPPYPPPSYGSYPPPPYPYAPYGPYPPPPPEASAPSSESNAAETIVPPHAKRLDWTPAEEEKLVHAWIINSKDSIAGNCKTGTSFWGQIAETFNSTSEPARRRTSKQLKDHWNSYNKKVSLFNAYYIQEESLRRSGADDAMVMRAAMERYANDKRVTQPFRRHHWWEAVRNEAKRKGQHGPGSGTDFTSKRSRLGVSGEYSSGDATTEEE >cds.KYUSt_chr2.847 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5035350:5036312:1 gene:KYUSg_chr2.847 transcript:KYUSt_chr2.847 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLVVTNFARPSLHFPIIDPFKGRVNKGVRRSCKVTAGQLPLDGFGLCIGNRAGCLTITLRSRFPLLPLPLLSVALIGRQRWAPTSRATLSLTTPPSLFSPSLAPKLTTPLPNKKKLSKMTTRDLVLPCFLFLLAATAAAGSASASPVSPAVAAEFVRRSCRSTTFPRACERSLMPRAPAVGRSPRRLAHAALAVAADAARNASAYIGPPPKRGAMGDCAETARDAADLLRQAAAELGGRNMGRASSPRFAWRLANAQTWASAALTDADTCLDSLATYSSGAPRDDVKRRVVAVEQATSNALALVNRLQPPRRRHQMLS >cds.KYUSt_chr7.36720 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229489808:229493190:1 gene:KYUSg_chr7.36720 transcript:KYUSt_chr7.36720 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLKADYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRKAAAGIDYDSSYGKARPNDTILKLQRTSPYYKRNRAHVCSFFVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVAMKLLGKAGEMPSLAPPDDETIRTLYIGGLDNRVSEQDLRDQFYAHGEIESIRMVIQRACAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAQRPDGDDAGRQGHVSHGGLLPRAVISQQHSGDQPQPPGMEGQHQVAPTSHYFNIPAPPGAEQRMYPSMDPQRMGAVVRSQEGDGKPGPQHAGQGQPSSSSGQGYPMRPQAPYYPGGQQYPPYYPPYGGGYMPPPRMPYPPQYPPYQPMLPQSAQPQASSSQQPATPGQQQAQAPPTQQPAAQN >cds.KYUSt_chr1.19339 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113594430:113596976:1 gene:KYUSg_chr1.19339 transcript:KYUSt_chr1.19339 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPYTGAQPPAPTTAAQQNHSLAFRVMRLSRPSLRPDPAALLRFDPRDVFLPEDALTSPDPSAAADVLQGLLHPPDSGASTTVPGDFTFRDRFLLHETADALAPPGLLVLPQAFGFALPFPSSSRMLCATLAIFTFDLVVVIESRLVCTALYNDGDAERKYLPQFFKFTVSNPLSVRTKVRTIKDTTYLEACIENHTKSNLYMDQVDFEPAQQWSATILEADEHPSVVKSTIRPHQAKMLTFVL >cds.KYUSt_chr4.22384 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140638026:140642781:-1 gene:KYUSg_chr4.22384 transcript:KYUSt_chr4.22384 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLEFFRSLPGVIQALFQPDDTTRALSVELWVVVPALLVLVQFGVSSMGPRFAISPQINPGIELLRILSYYSVSYTLGLMKPSVSKQSSESTANDFFQIWAVLIVTMQDSARIGRPYRPKEMTLIDLLASLWSANQLRSQTELYLKVPLWAIWCIHAWRIIWYYISSNAAAGANDDNMKLVSDYMAQSGHTDDDACADTMTGYKYLVVGEDRQEKKIDKPRFRLQLEETRPQELITVDKVWNWSRHSSDDDKLLGRHTDSDNRYKDVCLSFALYKLLRRRFYGISMPEAKDQASRRLVSDAILDNTNDPNYDRVFRLTEVELSFLQDFSYSKHAVVFARGFPYHRQLLSSCMIAAALYLAYAVRDIPSTMMAQDEDGRVARITHGVLITHFLIFIVVFRELLEIWVYVMSQWSKVLVVCHYIRIKLKGSVQNFKVTPLASGEAGVDHVQYQPEAAPLDGGEGGKDHPQGWAWRLRRWIAEKVERIMLRIIVSGLHRWIVVKVARIMFRIGSLGFDSNIYYDFKVNFRLHSTRNIRYVKLQSEVKTRIFGSLKALIISASTPGREENDSSDSYIQSTNNGRLMSYLGVAFTDSEGSLPVPDSRRKLPVPPLMEFLHDNLDGDTHKILAWHVATSLCQIRLLEDAGRDQDDLYTLPTSSFGDPDGFAALWPDYTAAATLSNYCVHLVKVALIPDNGLVASKVLDAVGGEARAALRGCRTWKQTHDRLMANAWAPDPTPDGTTIVKIGAQLAVELLRRYGGRDELWRRLSKFWTGYLLYLSASTRASKHQIHLQGRGELTTHLWALLSHAGFLGVNTEHGQLLLDPVDQTFA >cds.KYUSt_chr1.33799 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205382998:205384978:-1 gene:KYUSg_chr1.33799 transcript:KYUSt_chr1.33799 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTGRSPFEDGSTDSVAGSVRCVVAAESVRCVVAAGRSGGGSPGNTSAIALPVLSSNSTEAEKNETSGQNKRNWEIRKIKERAERRLNRDRENKKEMCQDSCNTGHRSDGPKSSCSPIRSKGRPSLSMSRAKTSGGSTRPTLNMSTSKLSNDAEADAAAGSSRWPGGKESANGAATQLAMLMARAAHAMQQPSGHGTNLVGLPCPLSVTTHFAAELDAASNQHTTISSPLAVQLPALAASNQLAAELVAAASQLTRKLAASIFLLLLETRYIVEHLLCVQISQENWTTLFFSHANESASSMEQLFFIARYIVRDLFFLLAIY >cds.KYUSt_chr6.8881 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54636061:54636279:1 gene:KYUSg_chr6.8881 transcript:KYUSt_chr6.8881 gene_biotype:protein_coding transcript_biotype:protein_coding MELCCDRLLLVDLLLDPTTRAPVPGADDDFTDGNGSVRLRDAGALEKTMAMAEDCASLVSRARQDAFGAPAT >cds.KYUSt_contig_1539.3585 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:14675850:14686496:1 gene:KYUSg_contig_1539.3585 transcript:KYUSt_contig_1539.3585 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAALHPTLPHAHRHPSHPNPTTGLLRLFPTRRPHRRPRRRGFGLAVSTCASSTASSSAAGRGDRSEAASSLERCIAASAPSPVAPAAAPPRAPPAMKGGKQYGAFGAITLEKAKLDISQRKKKIIPELATGGGGGDIGKRIGHGGGDGGDDDGDDDDYFDDSDDGEEENGGLFRRRIVIQELFNREFIDAVMQEWCKTMSNLPAGLRQAYEMGFVSSAQMVRFLSMFSRPTHTRSFSRALPGWLARGLVGRTLADPSFPHKMAFEFIATFASSVWWEMNIRKERFEQEWDLAVVNALTASCCNLVVLGLLAPCRSYGSTSRFDIQNAIEKLPNNIFEKSYPLREFDLHKRINAFLYKAAELSLVGVIAGSVQSGLSKALSARKEGRLSVTLPSVSTNALGYGAFLGLYANLRYQLLCGLDQYAVKRFDVLGVAIFFGTALRAVDLAAAHVTATEGLEKRALAASWSSLSPGHWRCAGTRGAGCRPPRPPYTAIEIVGSYAWKL >cds.KYUSt_chr2.36107 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223051927:223052799:1 gene:KYUSg_chr2.36107 transcript:KYUSt_chr2.36107 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRPPEHQVAGHRAAPNKLGPLVDGAGLFYKPLQALDRGEQELAFYTAFSVHPAVPPRIRDKFFPRFHGTRLLPTAATPGESHPHIVLDDLLQGLASPSVTDIKIGACTWPPRAPEPYVAKCLAKDRATTSVLLGFRVSGVRVSDAGGAVWRPDRSELKGTDIPGVRCMLRRYVSSVGGDGMDCARAAAVYGGEGGVLAQLRELKAWFEVQTLFHFYSASILLSYDANAVTAAGGGGGVRVKLVDFAHVVESEGVIDHNFLGGLCSLIKFIADIVAETSDAAAPSCDS >cds.KYUSt_chr2.35753 pep primary_assembly:MPB_Lper_Kyuss_1697:2:221003561:221004772:-1 gene:KYUSg_chr2.35753 transcript:KYUSt_chr2.35753 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRGAKASSSKADPFDSDSDDDLVPKKGGKYSVPAGGKKQYKDGFRDTGGLENQSVEELENYAAYKAEETTDTLNGCLRIAENIKQDATNTMITLNKQGDQIGRTHEKAVELDQDLAKGESLLGSLGGFFSKPWKPKKTRQIKGPAQVSRDDSFKKKANRMEQRDKLGLSPRGKGNTRNYAEPTSAMDKVQVEKQKQDDALDDLSGVLGQLKGMAVDMGSELDRQNEALDNLNDDVEELNSRVKGANNRARKIIAK >cds.KYUSt_chr2.52378 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326785173:326786822:1 gene:KYUSg_chr2.52378 transcript:KYUSt_chr2.52378 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEKKLLKRTNFLEYKREGGHREADVTQRYSLVERDDYKKYNGICCMAQKLVNIIKQMDPRDPFRIEMTDMLIDKLYNMGVIPTKKSLVKCENLSVSAFCRRRLATVMVKLKFAEHLKEAVTYIEQGHVRVGPETVTDPAFLVTRNMEDFITWVDTSKIKKKVMEYNGALDDYDAMI >cds.KYUSt_chr2.53771 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335486392:335486713:-1 gene:KYUSg_chr2.53771 transcript:KYUSt_chr2.53771 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAQLVDMLYGLVERVTGYGTHAEDDKDAKPMKLATTQAFVTEEAIEVRSRSLPESGGSGAQVNLDGI >cds.KYUSt_chr3.43017 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271933296:271940723:1 gene:KYUSg_chr3.43017 transcript:KYUSt_chr3.43017 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAGPAGAEQLPWRQHYKNLVLLAYQSFGVVYGDLSTSPLYVYKSTFSGRLGQYQDEQTVFGVLSLIFWTFTLLPLLKYVIIVLSADDNGEGGPFALYSLLCRHAKLSLLPNQQAADEELSTYYREGFSAQHGSSPWLRRFLEKHKKVKTGLLLVVLCGASMVIGDGVLTPAISVLSSMSGLQVRATGLQERSVVLLSCIVLVGLFSLQHRGTQKVAFMFAPIVIIWLFCIGGIGLYNIVHWNPRIYQALSPYYIIKFFRTTGTDGWIALGGILLSMTGSEAMFADLGHFTSASVRLAFITIIYPCLTLQYMGQAAFLSKNMFHMRTSFYDSIPGPIFWPVFVVATLAAVVGSQAVISATFSIVKQCHALGCFPRVKIVHTSRWIYGQIYIPEINWILMVLCVGVTVVFGDTTLIGNAYGIACMTVMIVTTFLMALIIIFVWQKNILFALLFLLFFGSIEAVYLSSSLMKVHQGGWVPLVLGFIFMCVMFIWHYGSRKKYLFDLQNKVSMRSILSLGPNLGIVRVPGIGLIYTELVTGVPAIFTHFVTNLPAFHEVLVFLCVKSVPVPYVPPDERYLVGRIGPRAYRMYRCIVRYGYKDMQRDDENFENMLVMSIAKFIMMEAEDVSSSASYDIANEGRMAVIRTTEDAGTPLGMRDLSGLADSISTTRSSKSESLRSLQSSYEQESPSAGRRRRVRFELPNEDTMDQQVKDELLALVEAKHAGVAYIMGHSYIKARRSSSYVKKIVIDVGYSFLRKNCRGPSVSLHIPHISLIEVGMIYYV >cds.KYUSt_chr6.26987 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171050131:171050769:-1 gene:KYUSg_chr6.26987 transcript:KYUSt_chr6.26987 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSWSRARIYGGQIWSFLGFLSLLLLLLWSELAAEKETIVSFNKVLAVYRIKVFEILDVELLRAGRGGEGEGRCVEDSGSVELLLDGRGGEGEKLYRATFSTLTVWRSGGSAGSRCGGGSHLSRHRGGGGGVMEAHDVRVCPLRPKQGSLLASRRRILADVAVSDGRRAAPPPLEDFNGDFLALSHGRRAAPPSWDGACSSSAGLLQPPII >cds.KYUSt_chr2.47989 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300106778:300107044:-1 gene:KYUSg_chr2.47989 transcript:KYUSt_chr2.47989 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRMLRREIAEPFYDKKEAAKLAAQTATLEAPKLPVRVHPATRKPSTLPLPPPLKQLLAGPRPSPKGLWDRRIENLA >cds.KYUSt_chr7.28848 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179613938:179615158:1 gene:KYUSg_chr7.28848 transcript:KYUSt_chr7.28848 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEPITGMSRLSMAMLFLLSLVLAAAPRIAVVEAVVVQGLQVGYYNHSCPEAEQVIRDVVEAEVSMDHNIAPGLIRIFFHDCFITGCDASILLDETPAGDVPEKESSANGFTLVGLKTIDVAKTTIEAMCPRTVSCADILAYAARDAAVAAGLPSYEVGGGRRDGTHSRMDDLPGNFPVPGHTVPRLTELFNSRGLSQEDLVTLSGAHSIGGAHCFMFSNRIYGFSKTSEIDPSLDPAFAERLRKMCPRPQPDDNPEASRKVPFDERTSEKLDNVYYQELLERRSLLTSDNTLAQDPKTRPLVEKYAKDMALFQKKFGEAMQKVSALDVLINPDQGQIRRNCHLVNKARGQQFPMIRWPRAPKHPMLQMINWFIRGFQQD >cds.KYUSt_chr2.37637 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232935890:232939340:-1 gene:KYUSg_chr2.37637 transcript:KYUSt_chr2.37637 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTVHAALAANSYPDLAPICDDLFLQVASQGVATDGWPYAVHLLAHLYLNDLNSARFLWKTVPQEVKDAHPELAAVWKIGQCLWNRDYAGVYTSAHGFEWGPEIADFVAAFVESYRKRIFELLTSAYSTISVADVAHFMGMSEEDATNYAVQNGWSLDAAAKMLTVTKQKPQTNQKLDASKLQRLTECVFHLEH >cds.KYUSt_chr5.11553 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74935519:74936381:1 gene:KYUSg_chr5.11553 transcript:KYUSt_chr5.11553 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCARISRRWIGFKILPELTVTYGVKQNACEWGPLPANLPHHHLVPVDGAPHHLLPILFRSAGPRPFFLPPCLLLSTPYATTRCSPAPALPSPSLAAAPCLLSIFPVTGASPSSTPPRRRIPSSTHRCRPPCSTILAASSSSCATCITQQQQHRQGLASSAASRAAAAAGDRGPRQGKDSALVLALVGAEGASMAGLQINDQI >cds.KYUSt_chr6.817 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5221464:5222585:1 gene:KYUSg_chr6.817 transcript:KYUSt_chr6.817 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRLQPHLDGNVKKLVGVVWSSRISSGSGDLRIIKELHRQFILFLRLREGCGLFYPFGDFPSAIDNVDRLREERQRRRRSLLVANAGDCRAVLSRRGVAVEMSNDHRTCCLHERKRVESLGGYVDDGYLNGQLAVTRALGDWHLDGLKETGEPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDYFSNQNSVDFARQRLQEHNDLRRCCRQIVEEAIRRGATDNLTAVMVSFHQEAPPQLRVASRGRVERSISADGFNNLRVLLEGQ >cds.KYUSt_chr7.30476 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189729151:189741225:1 gene:KYUSg_chr7.30476 transcript:KYUSt_chr7.30476 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADQLRELGAKLGADLPPSHDALANLLQQAAECLHGIEQSPGSSVMEAIQPCLNAVAREELLKHQDEDVKVLLANCFCEITRITAPEAPYSDDVLRTVFKLIVGTFGGLNNVNSHSFGRRVAILETVARYRACVVMLDLQCDDLITDMFRTFLETVRDNHETNIVKSMQTIMGHIIDESEKIHESLLHVLLSALARKETGISSSTRKLARSVIKQSTGKLEPYIRKFLISSLAEDKSSSNDHFDHHEVIFNLYQCAPKVLKVVVPYITGELLADQVETRSRSVELLGELFSLPEVPVLESFKSLFVEFLKRLTDRVVEIRVSVIEHLKKCLMSNHSRPEAPEIIKALCDRLLDYEENVRKQVVAAVCDVACHAFGAVPIDTIKLVAERVRDKSHPVKCYTMERLADIYKMYCLRGSDSSTNSDDFEWIPGKILRCLYDKDFRPESVESILCGSLFPPEFPIKDRVKHWITAVTHFDKVDMKALEQILLQKQRLQQEMLKYMSLRQISQEDAPDLQKRIVGCFRSMSRLFTDTTKAEEYLNKLHQLKDANIWKMFTSLLDCATTFRDAWSIRVDLLKSLGEKHELYDFVSILSVRCSYLLVNKEYVKEILSEASEHKSSGNTKLISSCMNLLTAISSFFPSLLSGFEEDIIELLKEDNEVLKEGIAHVLSKAGGNIPEQLASSSSVALLLERLCLEGTRKQAKYSVHALAAITKDDGLMALSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIIIFITKKILDCSNETPEVSADKSEWGDSTYGCLLKIYGIKTLVKSCVPCKDGEAHPGIENLMGILKNILTYGDISPDKISSASDKAHLRLAAAKAVLRLSRQSDHKVPVDVFYLTLRISQDDFPQMRKLFLSKVHQYIKERALDAKYACAFLISIDDYRTPQYEEFKHNLIEVAQICQQVKMRQLSVQADVNLLTAYPEYIIPHLVHVLAHDPSCPNIEEYEDVKPFGPIYWRLHLVLSTLLGEEGLQYSVPGMKKESFMTILSILRSIKCSKDVVDANKTKTVHAICDLGILVAKKLCPDEINASEYQMVPLPAQLYVPIQNDETENSVVNDDQKWLGCENVLSHFESLMTAHIAEVESPTDKMLIDETDEFGNEIPLGKIVQILKSRAAKKTGRKQKATSVSVNTAKDDDVLGLLREINLDNQENLGESLKSTPKKQQMDVKESNEKPVGFSTPKRKRSVSKSRPHSAKDSKDSDELLVHSVSREKAKNSENKLKKKSITDSVVTDSVASPTSTKTPVSKGKNGAKKSHTEVSSSSAKKSANKDSTARTADLASLNGSFKRQKPRLVSGLAKCSTHDSSSTDLVGQRIKVWWPLDKAYYEGVVKSYDSAKKMHTVLYDDGEIEQLNMAKEKWKRIESNGSSIKQQKKNLPGTNQGRPSPLKRKVKPKAPPKNKRRKASGGNKYGEANDSDASGSLARSHSDEDVKSDGHEEKEVAAVSSAEKERTEKESKEDVELKEEKADVNGLSSKEESDDETLVSVTLSSTLDP >cds.KYUSt_chr4.47796 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295861101:295862106:-1 gene:KYUSg_chr4.47796 transcript:KYUSt_chr4.47796 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRPASSEQAQGEDGAIRYGDVFPAVRGGLAKKPVAPQDAATMQSAETLMFGETVKGGPAAAMQSASMRNERMGAVAHDQATDATAEQGVSVSETRVPGGRIVTEFVAGQAVGQYLAPDDDAPAVGGGGGAGVADGTKITIGEALEAAGYAAGSRPVELSDAAAIQAAEVRATGLDANIPGGLAAEAQSAADSNALAASHEDKTTLGDVLSDATRLLVADKEVEVDDAARVAMAETRNKEDGTARPGGVAASMATAARINSHSGTRI >cds.KYUSt_chr4.21567 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135741512:135742048:1 gene:KYUSg_chr4.21567 transcript:KYUSt_chr4.21567 gene_biotype:protein_coding transcript_biotype:protein_coding MADFCYTPELEDLHRLLPREILADIGVPDVVDDTTARCSHAAVEELAAHLASVLGLASTRHQRQLPPTTRDMAAQGCGRMNGAGANGGIGEIAYHAPVLGKKGLVLDFEAMQRAQRFVPDALCAGTLYHPLPPMRHGSAGTGVFLPRAEAYQTRASRQSRLPRKEAPNATTMLKLHRQ >cds.KYUSt_chr1.687 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3717117:3719529:-1 gene:KYUSg_chr1.687 transcript:KYUSt_chr1.687 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRTYSTMWMIGGFAFLVYMGHLYIWAMVVVIQIFMAMELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLFTYGRFLSRELVNTVSSDHLLFKLVSGLIKYQMIICYSLYITVSKCNGSLPVVYMPKKGPVDRVA >cds.KYUSt_chr2.13580 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86052170:86053031:-1 gene:KYUSg_chr2.13580 transcript:KYUSt_chr2.13580 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCFQFQGAKVVAVDEEREEPAAAATAAGTRRLMKLKVILMGLVHTARKTFERSIPAVRYTASFYVVFPSVQQIKIRSGTEGLYSPSNQTLQVTSTRRRRASFNESHLSDTVTTLVYYCAGWVFTASAIQYINSEND >cds.KYUSt_chr3.22032 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135680505:135684014:-1 gene:KYUSg_chr3.22032 transcript:KYUSt_chr3.22032 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAMAEKDAGAAGGVAPESSVGAGGERLSSRFRRICVYCGSAKGRKASYQDAAVELGQELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMVRFSDAFIALPGGYGTLDELLEVLTWAQLGIHKKPIGLLNVDGFYNFFLSFIDMAVSEGFIKEDARRLVISAPTAKELVLKLEEYVPEYEVGLVWEDPSQIPHGFTPELESGIATS >cds.KYUSt_chr6.7435 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45181525:45183159:1 gene:KYUSg_chr6.7435 transcript:KYUSt_chr6.7435 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFTGEAPPTCSRPNPDRRDAVPPRAAAPGLGAVVAQGGACEDSVRCSRMLHGDWDQEKAAAREGCFGDEGGDVQAHGGGFRFSILPESVKSMEKKPKVAHRRKGHGSEPHGAGSDEAQPHGGQAGVPRATPSESDKWTSSDRPLASL >cds.KYUSt_chr4.39184 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241869145:241874809:-1 gene:KYUSg_chr4.39184 transcript:KYUSt_chr4.39184 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVSGRETLLPLCPSRPSITYSECHLDAAAVSIGPRSGPDQREHTHPGGELNFQYLSPYIAADLPEDQGRNQVILRSSFLSSAGGGLKQTSVPLSWRSRWAVDAGIWRSSSEISSLFALSRACGASICFNLAVDATVEVERWCGRSGRRWLAHRGGAYQRWFLRRTYGARVGEINPESVGRSPFLLVEGQPNHFLPELKFQGRHCGILLEVVVFDCGGFVDPSGTFPGVGDVDLAEMLRTGWRFYILLRGPFCKMQGLACNIWFDVYLLSLLELNALRRSEAAACEAAGISRNED >cds.KYUSt_chr2.14826 pep primary_assembly:MPB_Lper_Kyuss_1697:2:93532069:93532923:-1 gene:KYUSg_chr2.14826 transcript:KYUSt_chr2.14826 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEMMCCGTASFKDVDRCELSGRGETPRKEEKPVHVAKEKKKPAGGKKENPYASLGLEKFSTVLAELESRREKVLRRVEGGGDHVMVRFVQSGAKGWVPIVVKLPPEEPAAKGKPKKKCKLKSAVSPPLSQPSTPRSSTESSSPRGEVVFEQAAAAETTPAVVASAVPKKVAPVRRWSWGKRAIRPSQYWPLAAVLLLVSLVVFGRASAICCTTVLWYLVPILTGGEEGHGVKIGKDHVKKATDKKIGGKLSWSSLPPSHGKKNSSGANEVISPRSHAHGKKG >cds.KYUSt_chr7.3005 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17852781:17853412:-1 gene:KYUSg_chr7.3005 transcript:KYUSt_chr7.3005 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRQAGLEVHAAVLLPSIGGGEGGHGRAQWLAAANPKQCQLGGADSRHGGSGGQPTTASPATTPSKLNEEDMRIWKEGDMWATITGEYFWKNGDGWSMESSTAGGSSSPSTLKKKLQEENSLLPMKLKGVELELEMGKAENKKMLKKHRHELRRRDWRECVMLCLFSVCLVLVAMISVR >cds.KYUSt_chr2.7836 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49005207:49005832:1 gene:KYUSg_chr2.7836 transcript:KYUSt_chr2.7836 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLCHEFQTDLPAAEVWEVYGSLLIGQLVPQLLPDMLSKVELVDGDGGVGTVLLLTFPPGTPGLEFYKEKFVKVDNQNYVKEAIVVEGGFLNYGFTEYLVRFEITGKTEETSVIRSTIEYEVDEDHISNTSLVSTSAVAAIAEAITRFIKEQKCSEQAPKKTPDKQSQ >cds.KYUSt_chr4.33183 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203373006:203373479:-1 gene:KYUSg_chr4.33183 transcript:KYUSt_chr4.33183 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSVCGGDRGGDPRHRTAEGTQPWPAGVCVGHRGGDPSRMDAVESPPRSSSSEKVPSGRFWALAFEDNLSSSEEEEEEGVSVRTWDYLCRSPTADVNRDLIESTSGLLRQLRNDVIDNNDNVSQLESSLLLPTRYELLLFRRPGVLLRRAALNCRR >cds.KYUSt_chr3.31291 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196622863:196624846:1 gene:KYUSg_chr3.31291 transcript:KYUSt_chr3.31291 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGASLERRSSVRRSGSTVREEPPPAEEPAAAATGPSDMDVLKEKFSKLLLGEDMSGSGKGVPSALALSNAITNLAAAVFGEQRKLEPMAPDRKARWKKEVGWLLSVADHIVEFVAKKQVLDNGVEMEVMGTQQRRDLQSNIPALRKIDAMLLDYLDNFKDRNEFWYVKRDSCSESEKEEAGSDEKWWIPVVKVPPNGLPPASRAWIQHQKELVNQVLKAAMAINANCLMEMAIPECYLESLPKNGRVSLGDALYRNITDVEFDPDEFLSSVDLTSEHKICDLKDRIEASVIIWNRKVHNKDGKSAWGSAVSQEKREQFEERAQTLLLIIKHRFPGIPQSTLDIAKIQENRDVGFAILESYSRVLESLAYNVMSRIEDVIISDNVAREKAKKDLPPGTEPAPTPLPEGADSMTLLDFMGWNGDAEGKVEDKSPSAADQDDGRLMKLPNIMTNLKNTYMDVLGGHRSPPGRH >cds.KYUSt_chr4.7511 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44709337:44711622:-1 gene:KYUSg_chr4.7511 transcript:KYUSt_chr4.7511 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPGKKGAAAVLPVEGRRNVLVTSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGHNVLYVCGTDEYGTATETKALEEGCSPREICDKYHAIHKEVYEWFGISFDHFGRTSSPQQTEICQEIFQKLFDNNWLSENTMQQLYCESCQRFLADRFVVGSCPVEGCDNESARGDQCDKCGRLLNSTDLIDPKCKVCDGTPCVRDTNHLFLELPLLREKLEKYIDETSGAGSWSQNAIRITDAWLKEGLKPRCITRDLKWGVPVPHDKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSALLGTGENWTLMKTISVTEYLNYETGKFSKTRGIGVFGNDAKSTNIPADVWRYYLLSDMGIPFGYPLLVYLARPNMEKTKYGEGPTRQPEEVVD >cds.KYUSt_chr2.20964 pep primary_assembly:MPB_Lper_Kyuss_1697:2:131844624:131859462:-1 gene:KYUSg_chr2.20964 transcript:KYUSt_chr2.20964 gene_biotype:protein_coding transcript_biotype:protein_coding AIVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLLQGFKDLSCRLKLQDEVLVSDTERLSMTHSNVKKLQRHFQADTYPWIQRLKHQELVIERRLLRIMRIVEALENRGFRVQLSKEEADLYERLVAIIKQIKGTGGDLSKRTYNLLSTSRLLASTGGASGPIYIPNSAKVDEQSVTDLLEALQQQTEAVAKLGNVLKRDTRDVEIVLSEDIDMVEDGASRRPLNIALVLGDRNDALKRDMTRAGTSTKRRAMETKPMERELEMRKMELQRPGRNFHPPGPELPVRCLPDAFQRSEKWIKPELPPRGTGTSAQVPPYFRECAKTTLDVTARKLAISGTRPEVGRNFLPDRNFRPTRPKLPPVTGTSAQDDRNFRPIEVQENNTFQRVTYPFAPSL >cds.KYUSt_chr1.1296 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7011057:7012946:-1 gene:KYUSg_chr1.1296 transcript:KYUSt_chr1.1296 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAKAAAAIAGAESAVGRHASSPGSSTVTSRKRRRRTDSSENPPTPAGPIRHIDPTLANEILVLFETPSGFAIFSLKYDLNQPDVMKKLWTIFVKDYRSTKHVFLKEFQTFKDKSSAFNPGTGGVSKELAEMIARHHRPGQALAVGKPEYKRFIETSLEVMWGLENLMHSLEHV >cds.KYUSt_chr4.15619 pep primary_assembly:MPB_Lper_Kyuss_1697:4:96481426:96482534:-1 gene:KYUSg_chr4.15619 transcript:KYUSt_chr4.15619 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSMFIEWAMETLQHDDPFSSLQDLHWPELQNGIAPAEAAAQDGHPLRATDSWSSGADSGRENTPRGAAVENDGWSSNCSVGSTTYPPAGWNFSSTMPQPSTHDEITPTAHEPAQDVPQPAQRSPASRKRTLKSAASTETGQTSSPEPCVQEHVMAERKRREKINRRFIELSTVIPGLKKMDKATILSDAVRYVKEQQEKLKELEDRNVRSVKPVVLVKRPCIATKPYGATTTGSSLPEIEARISESTVMLKIHCEDGKGVLVKLLAEVEGLHLSITHTNVIPFPSCTVNITIMAKASPLLHDLLLSEIISLFFKIKKIRMKRP >cds.KYUSt_scaffold_869.110 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:602708:604110:-1 gene:KYUSg_scaffold_869.110 transcript:KYUSt_scaffold_869.110 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDSTGQVESTVVIAGRACAVLRDLDPRIEDRQPDLLLLRRRSMDPGEGMNNYCHNSIQSVGIDVSFTDLLNMVAKDAEMSKYPCCSDAISNASLSRTFSNFQLAEDGGAVDVTSHAREFPGGLIKESMRKYCEDKKTVMFQPEVGMEFSSTVEAF >cds.KYUSt_chr6.30537 pep primary_assembly:MPB_Lper_Kyuss_1697:6:193477396:193483494:1 gene:KYUSg_chr6.30537 transcript:KYUSt_chr6.30537 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKKRKSPPPVSVADRISALPDSLLHHVLSFLPAQASVRTCVLARRWRHLWRSTTGLRIVGLDDEVELDVEDLRKFVDHLLILRERTDLHSVEIKFNEFCEEDQPYVKTWVRFALMCKVRTLTLHVGASEYLDLDDLPLVSRHLRTLDLDGVGLQETFLDFGSCPVLQDLKMSHCDINACRISSHSLKHLTIYCCHNHFDCRIHVSTPCLVSLELSHFSGRTPFLENMALLESAHVYLSDYCQDVCLNYDSGVFCGANNNACKNCVPIEDDCSREYVLLGGISNAKHLKLLPESKTFIFARDLKHCPTFTKLKTLLLNEYWCEGPDLDPLACILKNSPVLEKLTLQLFSTGPNHKVVIKGSYSSMERPSAISEHLNMVEVKCNVIDDKILKVLKFLTTFNIHTASSYIVLSFVFRIRFRLYYSVALSAYPVISAALVVLAEFIQLLDCSSPLLFFPMVDDELPLTGHLANASIQLLPSPPTTNGIGIQRYIKFKLDTAASNYNKWHNFFLFVLTKYDARDHVRQETSPHLATAEWRVTDVDIVMWIYTKISDELRDVILTADITAYTAWQALKSFFIDNASGREIYLNKAFHGVKQGDMTVVAYCRKLKGIADVGAAVTDKKLTMRLIDGLDKRFKGQQEILEGDVPFPTFMQAQSRLQLAEQKIASHASEPPQICTSTTMAPPVSILTGISTPAAHRATWPGTANPVAAARSPIAVAASSMVAAVALRATHITPTAVVIRATAHTATIVAASMDETVAVGAGVATLAAATTKAMQPVPRWRWNPWCCAALHSVTNNLHVLLDSYWFDKPWVLTEEKLAVVHIIPSSWGSQRTSALPSQGSYFLVSLQSNTHVSRPLWLLCDGFGNGNTSSEVQ >cds.KYUSt_chr3.4953 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28200461:28200982:-1 gene:KYUSg_chr3.4953 transcript:KYUSt_chr3.4953 gene_biotype:protein_coding transcript_biotype:protein_coding MWEATTKHARQCEAGDRVYAYTAGQTGGVGGATVYVNSICQLVKIELAGVECVPQHLNRAQKAYVHQLLLEAFEQRASLQEADMLPHASHSSNDLPLLQTPAVPPPLPATPLWFQGTPDIDFQIIDDLANQGNFAFKMFDE >cds.KYUSt_chr5.36303 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229453509:229454202:-1 gene:KYUSg_chr5.36303 transcript:KYUSt_chr5.36303 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLCDPLWSIKRSEVRTRSDWVGEKLLTGGTAQKVEHGEKLASHSARPRLETLSSSHVRTWVTTLFLTLKLPGTEKGKIDG >cds.KYUSt_chr4.9253 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55860295:55868248:-1 gene:KYUSg_chr4.9253 transcript:KYUSt_chr4.9253 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRASRQEHDACARQDELEIMVKEEEIMAEAGGRGYMDMLGLGDEAMADYFLCPSPSSPYLSSATVSTTTTNASTHAAAASPTCASYLLPPAGPYRHILNFGGRAEQQYPGAGGDMFGALQYYYNGAGGGHAVPVAVPQKSSPTTECSSSISSMSSSPTATAVSAPKPQPPKKRGSRSSDQRKAAAPAAAAGNKRPRVRRERMGERILALQQLVSPFGKTDTASVLHEALGYIRFLHEQVQVLSSPYMQRLPPSAPRAPEPRVPSDLRSRGLCLVPLACTDHVAGGGNGNGADVWSTVAAMGVAADQDQEEGKAAMMRGDRHLPGQLA >cds.KYUSt_chr4.49680 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307545332:307564812:1 gene:KYUSg_chr4.49680 transcript:KYUSt_chr4.49680 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEKLRWRFCDGGVTDLLDARSLHGPPDVTKKMQFHSSLVQRLALEKEIEGHEGCVNAIAWNSNGSLLISGSDDTRVNIWRYDNQELLHEIDTGHSANVFCTKFVPETSDEVVVSGAGDAQVRVFNLSRLSGVRPREILMEPAALYQCHSRRVKKLAVEVGNPNVVWSASEDGTLRQHDLRECSSCPRAGSANQECRNVLLDLRCGAKKSLADLPRQPLAFKSCDISSVRPHQLLVGGSDAFARLYDRRMLPPLSSCQTARKPPPCIKMFCPLHLAETRKSNLHLTHVAFSPDGKEVLLSYSGEHVYLFDVDPDNMSSVRYTADDVRDQLCLPPFHKEPATKKSRRNNFPAKATSRNSSRVDTVKKLMQFAIKSLESGTNLMHGIEACCEILEAMESDIDDSFIYDCLCTRAGLYLKRRWKNDVYMAIRDCNRARNIDFTSFQAHLFMAEALLQLGRLKEACEYAEAARSLVPSNSVSAKQVENIKERLAAAEHEKNKKDQGNTNNDARHGRLRSLSDLLFRADVSGSSSQEGREDSDYDDDMELDFDTSVSGDESRDSDPGAAPGSLSLRFHRREDEPNEQSAENRSIESTSNGDSAYEPEVAIDMKQRYVAHCNVGTDIKQASFLGERGDFIASGSDDGRWFIWEKRTGRLIKMLAGDGSVVNCIQSHPHDCAVATSGIDNTIKLWTPDAEGTCMVAGPEIDVLSVIENNQKKLSRNRELFLYCTDRYPKAEAYGTCNWCLSAGQGSGGATPSPVRSTIKAPVRPRPAAHCRDDVSTCSGGGARSVPLPAKVAARSDFTAELNKPIKKEQQRRRLVLRRSASDLGSGVVRASRGAGPLSPGVPRGRPRVQRYKLLEELDQAVKIVATARQEADSLRKELGQLEKKLKEEEKEKVEAQSQKKEKEDLLHKSTAALLEAADIPADSIGKLPTDSAANAISMAIESGDLVRALLQRNKSVLSRFHAMIFPKADQKKTLGKLVNVFSVDTEGIIEVFKLTSRTYVALLGFQLLMGYDFKADMELLTTELPKDQDGIAIDLSPFSLSACKCAR >cds.KYUSt_chr1.11782 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72688127:72697185:-1 gene:KYUSg_chr1.11782 transcript:KYUSt_chr1.11782 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFQPVPKDGSPAKKRPTGAAAASDCVDGPAATAASAGGGEGAPCEEPRRFVTWNANSLLLRMKSDWSAFSQFVARLDPDVICIQEVRMPAAGSKGGPKNPSELKDDTSSSREEKQTVLRALSSSPFKDYRVWWSLSESKYAGTAVFVKKKFEPKKVSFNLDRRSSKHEADGRVIIVEFESLLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQQVDKPLIWCGDLNVSHDEIDVSHPDFFSSAKLNGYIPPNKEDCGQPGFTLAERQRFGNLLSQGKLVDAYRYLHKEKDMECGFSWSGNPIGKYRGKRMRIDYFIVSERLKDRIDSCKMHGHGIELEGFYGSDHCPVSLELSKEAVEASELKSSV >cds.KYUSt_chr2.44679 pep primary_assembly:MPB_Lper_Kyuss_1697:2:278113687:278114739:-1 gene:KYUSg_chr2.44679 transcript:KYUSt_chr2.44679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like cytoplasmic kinase, Salt tolerance, Oxidative stress toleranc [Source: Projected from Oryza sativa (Os04g0540900)] MFQGCGLFACVGRRGADLRKRGEAGAASSRVAAEPAVWEEEDDCAGAAARQMAWAEVESATGCFSSRVIGHGGFSTVYLASLSSSRLAAVKVHCASERLHRAFRQELDVLLSLRHPHVVRLLGYCDDRDEGVLVFEYAPNGDLHQTLHGDGPALPWSRRVAVAFQVATALEYLHDGHHPAVIHGDIKASNVLLDANMDAKLCDFGFAHTTGATPTAGRGRSSGRAIMGSPGYVDPQLLRSGVANKESDVYSFGVLLLELVTGKEAVCRETGRRLTAAVGPTASVADVVDRRLGGEYDAAEAAVVMDLAMRCVGDSPGLRPSMADVVHVLQEKTAALVSAVGSRMDRKMMF >cds.KYUSt_chr4.834 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4362621:4363155:-1 gene:KYUSg_chr4.834 transcript:KYUSt_chr4.834 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWFSSPAPTFCTAAAGHDVLRELWNRQAAISIPGKFDAIVICDLANWALEHYNSNHPGAPEFHCHGYLTPELDLKAACVGFRKDLWYHLNFLARRTSDGHAVETRRFFAEMRFEPSSDRLIVETCIILGKYVAHAVYVTLSMQLG >cds.KYUSt_chr4.2595 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14532765:14533940:1 gene:KYUSg_chr4.2595 transcript:KYUSt_chr4.2595 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALETAIEEVLATLSDEQRADPRFFPDNHEAWITFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATMSRRRGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNQAATAAANQLAEDLPIDAALEWSRRDWERQEAEQQRRILDLAAARQLAARAAAPSSSRNAAPREVIKLEESSDDDIYRPSPPRAGDAGRGTSRWYEAPPPQDDAGSSDDDDDGGDYTTFYRHFGM >cds.KYUSt_chr2.19830 pep primary_assembly:MPB_Lper_Kyuss_1697:2:124860776:124870774:1 gene:KYUSg_chr2.19830 transcript:KYUSt_chr2.19830 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRNPLPSSFSRGGGDGGGNHPHPPPLHHPHHHLPPHHLDEFRDPPPRLPPHHLDDFRDPRRLPPAHPDNFREHPPLPRHHFEGHGAAPLPPASHMAAALEERIGVEIEEAHVLLEQNQRLAATHVALVQEVSAVRHDLGHTARAIGAAQQEGDLRIREAYERLMKMETELRAVEEMRAELAHVRMDIQQLDAARQELLGQIQGYTQDLARSAVELQQVAAVKAETQELRHETQHLRSGIELEKKGYAESYEQGQEMQKKLVSVASEVEKLRAEVANAEKRSRAAVSVGNQGYVGSYGNPTANYPVNPYNAGYNMNQTEAAVAAVPPPVPTAAPVVPVDLTVIKKRLGGGGDMAVHDSSGSLAFRVTAADAGGRGRALLDASCSTLVTARSSEVMIPAWEFVRACTEILGGSFWMKFVLPNFHPHTWSRDITSDARFSHSDRAKIITVMWAIWNPRNSWTHDKGSFDPVQSVKMAKEALAVIQIPKKDAVILPGYGWRPPDGDKIKINIDGGLSMEARKGGAEGVARSSSTYLGAWSKPHPGITDPLIAEALALRDGVIFAKLRGYPDVVSGDC >cds.KYUSt_chr2.5721 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35445437:35455039:-1 gene:KYUSg_chr2.5721 transcript:KYUSt_chr2.5721 gene_biotype:protein_coding transcript_biotype:protein_coding ATNQLCEANELVCLTALTAAKNHPTPAAAIMSITGEVPGEGSDGEEVFIDDEDIINEIPLDEEDLPDQDDDDDQEEDMMDEVEDHSAYAFHGHTDEVFAAACSPTDASLVVSGGKDDRGFLWRIGSAEDVQELPGHKDTVCTVAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSDSGFEWLKWHPRGHLIIAGSEDCNVWMWNADHNAFLNTFAGHSSTVTCGDFTPDGKLICSGSDDATLRIWDPKSAQCRHVVRGHSYHTQGLTCLAVTWDSQSIVSGSQDSSVHIVSINSGQVVGSLVGHTNSVECIGISPRYHWVATGSIDQTLIIWDLAHQAIRSTCEHDEGVTCLAWLGSSRYVASGCVDGTVRIWDSLSGDLARTFTGHIDAVQSLAVSADGNSLVSVSSDKSARVFDISMFK >cds.KYUSt_chr3.31573 pep primary_assembly:MPB_Lper_Kyuss_1697:3:198389600:198400466:1 gene:KYUSg_chr3.31573 transcript:KYUSt_chr3.31573 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGCHDARIRLLDQAARSRARSSRVVDRSGRFETGLVTGRTPESFSSLLELARMAPVLGPIHTKKRNMLTTERMDMLTNIQFNNRMLSKKERRSRSKSYEMRATSLVQTYHGVCLEKLLELTNNFSLVEVQGCAQMQQTCEMHVHAYLGSMPYTSDNLALEEEDKEIDVQVTRTDNGTEYINKTFDAFLSSQGILHQTSCPNTPLQNEVVERKNTHILEVARSLTFTMNVPKFLWCEAVMTATYLVNRMPSKILAVSLAPTLTRPAPGSRRKTAATMPAAAASGGGVADAEELFRTRRIAEIRAAEGATRREIAAKEEDLRQLVGRSYRDLLDSADSILLIKHSSDAISRNLARVSDSLSSLSPPPPEPPTSAAPSGARARLYAAAARAKYLVDTPEHIWGRLDEGMLLEAAGRYARAQAVHRLLSRDAAAAARFPLLAHQAQLVEAFRPQIAQRARERLADRRLPVAAHADALAAVAAIDAPSLTPSQALLLLLSSRRAWISQALAELASDPAAYASVLCDVARIVQATLGHVGQLFVHALTELPLFYKTVLESPPPAQLFGGIPDPDDEMRMWREHWDQLEATMVLLEPDAVAQTCTGWLKECCDEIFGVVAGAQRLVDAIGSGEGLGSVQRLMRETLDGRKGLEASLEQWLKSVFGSEIESPWDQIRGLILKEGKDVFEDWMEEAFVRRMKDIVHSEFDSLGGTVNVMESVEAIGANADPKDAADFLVHMRKASTGGSVWFSESKIKKGGVLAHLKPIADENDFHSCLDSYFGPEVSRIKNAIDSKCKSILEDLLIFVESHNSVPRLKELVPYLQEKCYRTILGVLNNLEAELGKLSDSLGTKSGDVSEPAASVIVERSLFIGRLLFALRYHSSHVPLILSSPRQWVKDSGGAAFARLSSPTPRHSRASFDSAVPFTPRRHTFDSPRSPGNQFSDSPRRQPLAAAASLFGADDSSNPKLDELNRTLKALCITAHTLWITWVAAELSGLLSYALNADDSLASSAPLRGWEVTVIKQEEPADGPMEMQIALPSMPSLYIISFLYQSCLEIHKIGGHILDRIVLHKFAWELLQKVINIYENLLASIELGNSQVSDKGVLQILLDLRFIGDILSGGKKSPTNSSETQVKQDSLPSTMAKSSYRRKQSQFQADSATIEPINKLINKFSQRLDPIDWATYEHYLWENEKQSYKRCVVLFGFLVQLNHMYTGAVQKLPTKANTDSNIMRCSQVPRFKYLPISAPALSSRTPKSSLQSPSEDSASRSPWKSYSNGERSTASEYDSNASLGSAAPLLKSFVTQVGSKFGENTSRWGSMLSDGQVGKLSDILPGPAAGFFSSFTSGVRYDS >cds.KYUSt_chr3.31063 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194903145:194903867:1 gene:KYUSg_chr3.31063 transcript:KYUSt_chr3.31063 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSATMSMSGKASDPGSAWFGTGSRSPAPAHNVRLIATAVAVFASVLGLALLLHLYICHVRRRNSRRRTAAAAAALAAEPKAPKTGLEPAAIAALPTIAYQEAGGEPGECAICLGAAQQGEAVRVLPSCAHVFHVDCVDTWLLSSSSCPVCRAVVEPPPPPPSSSSATAAGSVHEKQIVVKEDVGTSGQPCGLGASLMKMLSRERPLAPRRPLQGDHLEMRLEDLESQLPQQQHSVDTN >cds.KYUSt_chr3.3609 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20466843:20472194:1 gene:KYUSg_chr3.3609 transcript:KYUSt_chr3.3609 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPHLLLPWRLLLLLLLTLAGSSTASNGASAPAPAPKPVGFGYKLVSLVERPNGGGLVGYLRVKQRTSTYGPDIPRLRLFVKHETKDRVRVQITDAAKQRWEVPYDLLPREPSPPLAATCPGPPFTAAEYAGQDLVFTYGRDPFWFAVHRKSTRQPLFNTSHSPLVFKDQYLELTTRLPGDAALYGLGENTQPGGMKLRPNDPYTLYTTDASAINLNTDLYGSHPVYLDLRSVAGRGVAHAVLLLNSNGMDVVYTGTSLTYKVIGGILDFYFFAGPTPLAVVDQYTAMIGRPAPMPYWAFGFHQCRWGYQNISVVEGVVEGYRNADIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLAFLDKIHARGMKYIVLIDPGIAVNNSYGVYRRGMERDIFIKLDGQPYLAQVWPGPVYFPDFINPNGASWWIDEVRRFHELVPVDGLWIDMNEASNFCTGKCTIPTKHKCPIPDSKEPWLCCLDCKNLTNTRWDEPPYKINASGQSARLGFNTIATSAMHYNGILEYNAHSLYGFSQAIATHKGLQSIQGKRPFVLTRSTFVGSGAYAAHWTGDNKGTWENLRYSISTILNFGIFGMPMVGADICGFYPAGEPPLEELCSRWIELGAFYPFSRDHANFASPRQELYQWASVAKSARNALGMRYRMLPYLYTLNYEAHLTGAPVARPLFFSFPDFAPCYGVSNQFLLGAGVMVSPVLEQGATSVSAVFPPGTWYNLFDTSKVVVGSGSTVKLDAPLNEINVHVHQNTILPLQRGGTISRDARETPFTLVVAFPLGATEADAEGAVYVDDDERPAMVLAEGQATYARFHASVRGGKEVTVGSQVSMGTYSLRKGLVIEKITVLGLHGAGRDLAIQVDGSDAAAIATSSPYFAGAGGEEEVVEGGQRSVTMEVGGLELPLGKSFTITWNMHIEA >cds.KYUSt_chr2.29340 pep primary_assembly:MPB_Lper_Kyuss_1697:2:180509717:180510418:-1 gene:KYUSg_chr2.29340 transcript:KYUSt_chr2.29340 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNVHKRATLGNPTITGLVIRWDKDTIPSRIDPQFLPDAVNASSHERPATNVAGAPRRAPNIAAASWAAAAAVLRQKTDGSPRSGRRPSRGRAAVESRREALGASLGWWFPAGTAAFPRLGQASSADAGVAQDRRPQGRGHVGRLPRVPRARRHHSPGAQGQRARGRRLQALEKPRRRSSKSRRQQSHGANPSLARAASGRKSKAASAAVHCRGRQAFSMAAAPSRSPGRED >cds.KYUSt_chr4.8009 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47742803:47745125:1 gene:KYUSg_chr4.8009 transcript:KYUSt_chr4.8009 gene_biotype:protein_coding transcript_biotype:protein_coding MRREIRTRLRICNAIVRRSVGLVEEWWVSRPSGTPHMPKVVEQWCPPDEGVIKANADGAFLPVLGSSDIGVVLRNHHGGFLRGASCFLAAATDPERAEILACRKALLPAADAGVERLCLETDCLAAVAKLTSGEMDRSSHGPLVEEVKDLLKGFTDSTVKHIEIDTVVPRVSRIHPTPAMACAKHMTAAATGEDGPWCWGRCGSERVAAEEKVTAVEGPWCWGRFGSERFPAISKVKEAEPSEDPLAFLPSQGKEVSDESVEQEAQRILLEQPVKEEQVQGIHLEQAKCTDAPPSERRISPNLVNFLLSLKREVPTIDHLDELTGIFPPEWIEKRRQEHKERVALYNQIDDDMELFQARLRKELKDNGYIKAKEVDADSVARFTKLEAWGREQFAKSEKIVYDESEWED >cds.KYUSt_chr2.46662 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291796325:291796882:1 gene:KYUSg_chr2.46662 transcript:KYUSt_chr2.46662 gene_biotype:protein_coding transcript_biotype:protein_coding MTANMRVAKEVEVFPAGEECALKQCQHGPMLKSCGTKRKAPIKVCNYKRKAAGGIHLYQGSGKKLRRLPQEEVDWILADRANEDRAPAEVKALRRLHRGLWPSLEEEEKTDSYGPRAYIETEEEFCKFQAWVRHEYDQHGYVEVDTKLLAARAKVRSASDQAREKELEKFDFNGHEDLKRFFAPL >cds.KYUSt_chr1.11209 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68559839:68563311:-1 gene:KYUSg_chr1.11209 transcript:KYUSt_chr1.11209 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTRNGGANVVAAILGLLALAPMVKLASGHDYGMALKKSILYFEAQRSGTLPPDQRVTWRESSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSILEYGKQMASAGELQNAMGAVKWGTDYFIKAHPEPDVLYGEVGDGDTDHNCWQRPEDMTTSRQAFRIDPQNPGSDLAGETAAAMAAASMIFRTTYPGYANLLLEHSKQLFQFADKYRGKYDSSITVARNYYGSFSGYGDELLWAAAWLFEATEDRFYLEYLATNGDALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGEHADALQRYRQNAEFFVCSCVGKGAVNVPRTPGGVMYHQRWNNLQFVTSASFLLTVYADYATVTGRGAVRCPAGAVQPFEILAFVKSQVNYILGDNPRGTSYMVGYGLNYPRQVHHRGASIVSIKTDPSFVNCQEGYSSWYGKQSGNPNLLEGAIVGGPDEYDDFADERNNYEQTEAATYNSAPLLGVLARLAGACGGIDEYHQSVPEVAPSSANYTLRPSHRHHHASEHLEIKQNVTRTWASKRRTYYRYSVTVTNRSRKTVRGLHLGISDLSGRLWGLDKARYGYVPQKWLPALRPGRSLRFGYVQPGPPANVWVTGYKLV >cds.KYUSt_chr5.8076 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51031878:51032879:-1 gene:KYUSg_chr5.8076 transcript:KYUSt_chr5.8076 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCLGVPVRPASLEAFPWSRMVEAHTVAAGGSPAQMVAAAASGCSAPIASQQHGRSLGCIDLPPPPPLPSDGPTPVRLFLPPQAATPPSQTPPVRQLQDLIEPCQEPLPFTFLDMQHQKQASPQPMTPPSQVPSPSLSLDEQHHELNASGQEHRDSPSSEEVQVKTPVHVSVAVTPMSSQSQTSAQQTPLFVQIQMPLLAAPDPTSTPPRPPTTRRKTLAGITGFAGFPVHRSSPRLKAKKRAMPIAKLAEKMLCQRLGIVAEGQAVTEDAIAKFVQMFQGDLPDIAVAALRALFRLDCDFATAVEDALVEHGGAAAVDHAGDTATEAVGQA >cds.KYUSt_chr4.10133 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61315835:61316281:-1 gene:KYUSg_chr4.10133 transcript:KYUSt_chr4.10133 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHCGQAPVRSSGLHLHPRDSNRERPHLHPRARGLPTPRDPNRPASASAAPAAAMAFLARALRHSKPYFSSCNPSVAASYRWISPTAASGLPEAGAAVAPTDPELPPQEPVGDTRVELPSNLEDVLEVFVDGHAVKGSCEVAGIDIP >cds.KYUSt_chr3.6742 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38729075:38731651:-1 gene:KYUSg_chr3.6742 transcript:KYUSt_chr3.6742 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRHLFVVTCLWTLSCALLLGASSDGLLRINLSKKRLDSETLSAAKLARQERSDDGYHRYFGVSGDDIVPLDNYLDTQYYGEIGVGTPPQNFTVIFDTGSSNLWVPSSKCYFSVACYLHHKYKSIKSSTYKKNGEACTISYGSGSIAGFFSEDSVLVGDLVVKNQKFIETTREESPTFIIGKFDGILGLGFPEISVGSAPPIWQSMQEQKLIAKDIFSFWLNRDPDATTGGELVFGGVDKKHYKGEHTYVPVTRKGYWQFEMGDLLIDGQSTGFCTGGCAAIVDSGTSLLTGPTTIVAQVNHAIGAEGIISTECKQVVREYGEMILEMLVAQTRPQKVCSQIGLCVFDGSHSVSNPIESVVEKEKRGSDLLCTACEMAVVWIQNQLRQNQTKELILQYVNQLCERLPSPNGESTVDCHQISKMPNLAFTIANKTFRLTPEQYVVKLEQSGQTICISGFMAFDVPPPRGPLWILGDVFMGAYHTVFDFGQSRIGFAETA >cds.KYUSt_chr3.12477 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74520956:74534472:1 gene:KYUSg_chr3.12477 transcript:KYUSt_chr3.12477 gene_biotype:protein_coding transcript_biotype:protein_coding MFNESVSGPLQCSYDQYDVQSPYREEITLGLSNNAGCADQPRHIGSQDNEGSGREIAHVVPNLSAIAAQTPSGWVSLRNQNDTKINNPIGAMAALTLATPLVQALPCMAGEITSTITPAMMPVPTLPTTVGQNEFGKTPAMMPVPTLPWMAGEDPEAWIRLLKRDYSRDPAMTLPVWNQIPVQISSPARAMAPSVMIQGDTPNTIPNNIHTPPSSVSTPIHARDISRRVQGTGVPSGSPHIPACAGVSSPTIYCSTSNASREKASPQIEALDGMEFRKIFMIFAYLCGNRIEDELSVDYIRSLMRLPLVDFESQIWNAFGHKYIDVSGRAKGPYTETRRTHLAKVVGDDNVLVVKFMGKSSEIMTDFAPYHKGEHGRIVVQDGEPLIHTDGTGIISEDLAMKCSTSIPEKNYLKSQFRMFYKGAAVKGTVLVDRRLPPATILVRPSMIKIKSDPELCGVHSISSLELMKMKTDPKLNGVQSVNSLEIVTTSNHPKRTSTSRFLIALLYYGGVKAEYFMELLHDAVEGAANARYNYDDALKLAFIYADMEDSMSARMILSGVPLEDAYLQSRLATMSQLERKGFKEGKLPIDDCFYLMGTTDPTGKLRPNEVCVILENGQYSGKVLVYKHPGLHFGDIHVFTSRYIEGIGDAVGNSRYGLLFPTTGPRSSADEMANSDHDGDMFWVSINAQINVPSELIAKKYPHFMDRKQLYHSKSILGRIYDKAVKVQSDNVGSIEISLDLRFKERDTSKCKPFWTRHYKEYCKDSGPLAEIQDKEEVDLKFSELYTKYKHILYHAAEFEQTPRDLKDVFDEACAIYQIVYESAVAAKKPGKCSFAWKVAGHALCHFLALETEGGKVLVPLSVAKNLLKKDRKK >cds.KYUSt_chr2.5776 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35882689:35885373:1 gene:KYUSg_chr2.5776 transcript:KYUSt_chr2.5776 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSFIFLVLNLHILCSLSLLVPTKSASNETDLSALLSFKALVSGDPLDILSYWNGSVHHCQWPGIRCGLHHPDRVTSLVLNSSHLSGQISPALSNLTFLAELSLSDNQFTGIMPEELGKLTRLRSIDLSGNALGGYIPSTLGNCTKLLHINLWKNNLQGTIPSSLGLCKDLVSLVLTSNNLVGNIPPILGNLTSLLLFRLDVNNLTGSIPSSLGQLRVLRTICVNDNLLSGEIPRSLYNISSMETFQLAYNNLQGSLPSDIGASFPNLVALVLFANQLHGQIPDSISNCSYLYSIATASNKFTGLIPSSLGSLQNLEVIAVSDNLLEAKTTRDWGFIDQLGNCTELQVLDLGYNQFQGMIPISIGNLSTSLYFLSLGNNGISGSIPAIIGNLVGLTRIQLDSMLLSGPIPHEIGKLWILELIDLSNNTISGEIPPIFTNLTRMSRLFLQNNELQGNIPEQMSHMQSLESMNLSDNKLVGNIPNEIMFQSLSIALDLSNNYLSGALPLEISKLKNIQTVILSNNNLSGEIPSTIDGCEVLQALYLDRNMFDGSLPSSFGNMRSLQVLDLSHNSFSGELPDSINYMKLQHLNISYNNFEGELPKGGVFQNITAVDVRGNPDLCGGIPQMKLQRCVTRIQEHKRNYQRIIIIVVSTIGAFACLCMAICFFARRYCRRTHNNAKSSHGMQFEHKRVSYNDIFKATDGFSLDNLVGRGAFGTVYKATMNLETPAIVAIKVLDLQNQAGSKTFLTECKALRNIRHRNLVKVLSSCSSIDHNGTDFKALIFEFMPNGSLETWLHPTACTARPFEALSLTQRMDIAIDVATALDYLHHHGTVPIVHCDLKPSNVLLDSEMIAHVGDFGLAKFLVQRDIMISHSAISTGIRGTIGYIPPGT >cds.KYUSt_chr2.2716 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16232510:16235937:-1 gene:KYUSg_chr2.2716 transcript:KYUSt_chr2.2716 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPSPPRAHAQAPPLCWDKFLHKKTIRVLLVETDDSTRQVVAALLRTCMYQVIPAENAQQAWSYLQDAHSNIDLVLTEIVSCPSGNSLLEKIMSHSVCKNIPVIMMSSEDYMGTVFECLSKGAADFLVKPVHKNELKNLWQHVWRRCHSSSGSGSGGGTSDIQTQKCTKLKSGDESNDNSGSNDRNGDTSLGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQDMSPDQSADPLDSNRWLPDEFKEKELEIGAPSKLNTEDQSSPNGSSIKPTDRRCEYPPQNNSNGTIMENMEEPIVRAADLIGSMAKNMDAQEAARAKDAPNCSSKMPEGKETDRDDIMPSLELSLKRARSSGDATDAIQEEQRNVFRRSDVSAFTRYNTCAVPNQGGAGFAGSCSPDGNSSEAAKTDGALNMKSSLDAALTKQGSNGSSNNNDMGSTTKSVVTKPGGTKVSPINGKTHTSAFHRVQQWTPVAAAGNDKADEVGKKNAAGGKDKAGEAESKHPCAAVHDENVGSGGVPQSNVTDPSAPVEGHAANFGSNSGSNNSTNNGSTAATASAVNLETGGIDKRSGHAMYLKRERRMAAVSKFREKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQQPPPAAVVER >cds.KYUSt_chr7.10352 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63505046:63509046:1 gene:KYUSg_chr7.10352 transcript:KYUSt_chr7.10352 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSNKSEEICDSVPNPKEMNTSRKHHDPESSQCESVEDGPRILDPVMKKRASTLTKNFVSTDPSYLRTLSQTHAGWIFGAIAELVDNSRDAGASRLDISVQTMFSKKAEGKVPVLSVIDDGCGMTYAEMMRMISFGHKRPNEHSKDQIGRFGIGFKTGAMKLGKDAVVLTQTSTSRSVSFLSQSFNENKDNLEIPVLTYHKEGQYMEVDLTVQSKATAEYNMNAIKEFSPFNEYVIGQKLGLFGEDGTGTQVYIWNLDKWGQDYTLDWNSGKTDENSIDRGRGDILIRSKRVRSRPGQTSTKVPLDYSLQAYLKVMFRNPRMKITVQGSQVKARPLDKTLNKTSVISDVIMGKTIQLTLGRSTVEFDRTNCGVFLYWHGRLIESYKRVGGQKHNADTGRGVIGVADITELIDDEDGNSWVLNNKQGFQDCEKYATLEAWLDKKMDEYWDTNFESIELVMLQI >cds.KYUSt_chr7.1917 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10967335:10967949:-1 gene:KYUSg_chr7.1917 transcript:KYUSt_chr7.1917 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPEKLMAQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNVDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQAIGKSMGNIVKSLDSSLATGNLQKMSETMDSFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKDKEKVDEDDLTRRLAELKARG >cds.KYUSt_chr2.52309 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326300027:326301315:1 gene:KYUSg_chr2.52309 transcript:KYUSt_chr2.52309 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDLPDNQEKGDSAGTWSEDRIPVVDLDVLVNGDAAQRSEAIRHLGRACEEWGFFMVINHGVPASLQGATMDACKEMFGLPAEENAEYMNPTLMAPVSLGTSLNSAYWRNYVKVNVTEYATRTRGLMLALTAAISESMGLDDGRIAEALNLEDCFQLIVWNQYPPAGPEVGLPPHTDHGLLALLFQTGVDGLQVQKNGRWILAKPIPNSYFVIAGDQLEIVSNGRYKAALHRAMVHGEQARMSSVCLLGPCLDTVVQPIPELALQGVEFRGIKYREYIAHQRTKTVNENAAVVVARAQREILARQGSPNNPEINA >cds.KYUSt_chr2.46245 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288857997:288864151:1 gene:KYUSg_chr2.46245 transcript:KYUSt_chr2.46245 gene_biotype:protein_coding transcript_biotype:protein_coding MALFIHFGPNTFTDSEWGTGHADPAVFAPSALDAGQWARVAAEGGFGRVVLTAKHHDGFCLWPSALTDYSVAASPWRDGAGDVVGELSAAVRAEGIGLGLYLSPWDRHEPVYGDTVAYNEHYMGQMTELLTSYGDVVEVWLDGAKGDDTEMHYMFDAWFALIHQLQRRVVIFSDAGPDTRWVGDEAGVAGRTCWSPFNKSDVTIGGIVAEYSRSGDLYGEDWVPAECDVSIRPGWFWHASEKPKSATTLLDIYYKSVGRNCLLILNVPPNTSGLISDEDTQVLQEFTEIRRTIFSQNFAANATVSASSVRGGLDNLQFAPSNVLQDGIHSYWAPQEGQASWEMLFDLKRSASFNVLQLQEPIQMGQRVIEFHVDILVGELWQTILEGTTIGYKRLLQFPAVEARYLKLCVDSARADPLIAFFGVFMDPFSVAYGLPSHTNSSEVIMLRTDHTAGDKSTATIFIEQAKPLSPPPATMSDQQLPSAALPSTSPLPPNSDPVPPPAPTLAFHIPAFPSKRKRTGFRRKVPSAGSPADPAAASNAPNPAVPPSASDDIIVINREPTAEAVTALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHILTRWRETFTSWLAKEALASLIPPHCDHLLTSAYNFLVSHGHVNFGVAPAIKDRLPKEPTRPETVIVVGAGLAGLAAARHLLASGFKVVVLEGRKRCGGRVYTKKMEGGGRSAAADLGGSVLTGTFGNPLGIVAKQLGLPMHKIRDKCPLYRSDGSPVDPEVDKKVEGTYNKLLDNASYLRASMGDVATDISLGAALETLRQSDGGISTQEEMNLFNWHIANLEYANAGLSSRLSLAFWDQDDPYDMGGDHCFLPGGNGKLVQALAENVPIVYERTAHTVRYGGDGVQVAVNGGQVYEGDMVLCTVPLGVLKNRGIKFVPELPQRKLDSINRLGFGLLNKVAMLFPHVFWSTDLDTFGHLTENPSRRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETTPPTDAVSSVLQILRGIYEPQGVEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFITGVREAANISIHANARVTKTKVQKSPSTNALACATLLVDLFRQPDLEFGSFSVIFGGKASDPKSPAILKVELGGPQKKSSTEGGKKEQHHSNKSLFQQLQAHFNQQQQLYVYTLLSRQQAMELREVRGGDEMRLHYLCEKLGVKLIGRKGLGPGADAVIASIKADRNSSRTKSGPSKLRVGVSKSPHLLSRDP >cds.KYUSt_contig_1790.175 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:942023:942420:1 gene:KYUSg_contig_1790.175 transcript:KYUSt_contig_1790.175 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPCSKKKKCLLPGFRFSHCRYLLSLLRPALSRELELERHGLKLLPLSPSSFTPCIDGRYLLLGLEAEQNHSKIGKFSNDFF >cds.KYUSt_chr4.53643 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331899256:331900173:1 gene:KYUSg_chr4.53643 transcript:KYUSt_chr4.53643 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSKQKPVESSADKLYEMFSKMFEQQQLKTTPEIFRYALEPNPVKLSGPGNYISWARHARLILSSHGYDDLLLPNEEVDIQSDVNAKQVNDKVLVWMLGSMEPTVREQVETMASVSEVWAALERQFAGKSNKMQATRVMHELTHLKQDSKSITEYAGEMKKLYRDLHYYHPFEPVDKRDLAIHHKWFESFVSKIFLDGLDKKFNLRRQLIFSKPEWPSLEDIVSNVMEEETRLDDGNEDTQNLLDARAAMSQSMHTSGKKVSADNNRWFCDYCKKNGHCKDNCYKLHGFPPGGKREISARGRS >cds.KYUSt_chr6.27817 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176489421:176492567:1 gene:KYUSg_chr6.27817 transcript:KYUSt_chr6.27817 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNRNLPFLGLAVVLLVSLAYPSSSCTEQEKRSLLQFLVGLSQNGGLAVSWQHGTDCCQWEGISCSRDKIVTDVLLASRGLQGHITPSLGNLTGLLRLNLSNNLLSGGLPQELMASGSIIIIDVSFNQLEGDLQELSSSTPARPLQVLNISSNLLTGTFPTTTWKAMENLIALNASNNSFTGPIPNEFCKSSPSFTVLDLCLNQFSGRIPSGLGSCSRLIVLKAGSNNLSGTLPDELFNANSLEYLSFPKNGLQGTLEGAHILKLNNLTTLDLGENNISGKIPESIGHLQRLEELHLNNNNMSGEVPSTLSNCTNLITIDLKSNNFSGQLSKVNFSNLTNLKTLDLMSNSFSGKIPESIYSCSNLIALRLSSNKFHGQLSETIGNLKSLAFLSLAKNSLKNITSALQILRSSKNLTTLLIGGNFKNESIPEDDIIDGFKNLKVLGIEHCQLLGKIPLWISKLGNLEALFLSNNQLEGSIPSWIQTLSKLFYLDISSNNLTGVIPITLMDMPMLKSEKTEAHLDPRVFELPVYISPSLQYRVPIAFPKVLDLSDNKFTGEIPLEIGQLKALFSLDFSFNRLTGQIPQSICNLTNLQLLDLSSNDLTGAIPSALNSLNFLSAFNISNNDLEGPIPSGGQFNTFPNSSYDGNPKLCGSMLNKKCGPSTIPPPPTKQRDKKAVLAIAFGVFFGGLAILLLLGLLLVSVKMKCLTANNKREDKEDVEATSFYSNSAQTLVMMRMPRGKGDENKLKFADIVKATNNFDKENVIGCGDYGLVYKAELPDGSKLAIKKLNSEMCLMEREFSAEVDTLSMAQHENLVPLWGYCVQGNSRFLVYSYMENGSLDDWLHNRYDDASSFLDWPTRLKIAQGASLGLSYIHHVCNPQIVHRDIKSSNILLDKEFKAYVADFGLARLIRPNQTHVTTELAGTMGYIPPEYGQAWVATLRGDMYSFGVVLLELLTGMRPVPVLSTSKELVPWALEMKSEGKQVEVLDPALRGIGCEEQMLKVLEAACRCVNHNQFMRPTIMEVVSCLASIDADPLQQRSDKIQ >cds.KYUSt_chr2.5108 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31660637:31661517:-1 gene:KYUSg_chr2.5108 transcript:KYUSt_chr2.5108 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTGGPRVTAGLPPGDGVGNSRGVATRRLHGEHEGCNVGSAASRSFAASRWRRGEQDFPATRWRRGEQEHNLGGAEIRNFSDSQRRAGASASRQQRGERDGGDLGGVTSRSFAASRRRRGTRRCVDFLRRPDGHLDTAGIPAMASAEKKVARGWSWEMEDNFQGSYVKRKCKRWRLSVLLYSQLSDRDRDHDKLVVL >cds.KYUSt_chr3.17425 pep primary_assembly:MPB_Lper_Kyuss_1697:3:106710074:106719608:-1 gene:KYUSg_chr3.17425 transcript:KYUSt_chr3.17425 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIVELGVVPSALDPLVIYCDNMGAIANAQEPRSHKRLKHIKLRYHSIREYIEDGEVKICKVHTDLNVADPLTKALPRAKHGQHQNAMGVSHRDNYYFLGPTRREDLDREVARKRKKKARRRRRPQILPHRRPLLRHHRAAMFGSTNPFGQSSGSAFGQTSSNPFGAQSGFGQTSTPANNPFGSPTPAFGAPQTGAASPFGATPSAGAFGQPSAPAFGATSTPAFGAASTGGFGQPSTPAFGTPSSSPFGTSTPAFGASSTPAFGTASSSFGSGSLFGQKPSFGGFGSSPSQSSPFGGAFQQTQPAFGSTTFGATTTPAFGTTPTPAFGATTTPAFGSTSTSLFGASSTPAFGSTPFGSTTTPAFGASGSTTAFGVSSAPAFGASSTPSFGASTSAFSFGSSPSFGQTAAAAGTTPFGTTPSPFGAQTSPFGGQTTAPAFGQASFGNQSGGTRVQPYAQTPDADSATSGQPAAKLNSISAMPAYKDKSHEELRWEDYQRGDKGGPNTSAAPVANSFASPQPIFQANPTTPANPFAKASTGGFGATPNPFSSATIASFGQTSSSAFSANTSPSLFGNTTTSLFSTPSTTTNPFNTGLSINNTQSAGLFQSSPAMTQQPFSQSFNQQSSTPAFSTGGFSGVGGFSGVSNTLNLGQFGQQTTTQSNMVMQPTLISNPFGTLPAMPQMSIGNGGSSPSIQYGISSLPVSEKPLPSRAVSMVVPRHLSQRRIKLLPRKYNQTSDGKVPFFADDEESSVTPKADAFFIPRENPRSLVIRPTEQLRSHSAVDRQSIPSVTTDLGKQKDVLVSRERNKASMPPTGSDPVENGIYHDGHHNASNKPEAEARYVNGSSVDKLMPKLSQADYFMEPSLEELAAKERAEPGYCCRVRDFVVGRQGYGSIKFLGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCVNRKTNEQYTEGPRVDKYKEMLVKKAEEQGVEFISFDAVKGEWKFKVKHFSSYGFAEAESGLVDS >cds.KYUSt_chr2.54568 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340482595:340484892:-1 gene:KYUSg_chr2.54568 transcript:KYUSt_chr2.54568 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFFADDGADELPRTASHPFDDDDITTEPATDGGAGYASFADAGYSSFTDADGADEDADVDEEIAVDSDGGAVPVRHVSGGYAPSPFFPDSDFGGDDGGGPVLPPPTEMGREEGALLREWRRQNALVLEEKERKEKELRAQIIAEAEEFKIAFYEKRIQTCETNKVHSREREKIFVESQEKFHASADKQYWKSISELIPHEIATIEKRGKKDKDKKPSIAVIQGPKPGKPTDLSRMRQVLVKLKHAPPPHMMQPPPAPAAKEGAKDAAKDGAAAPANGTKQPAESEKAPANGLPEEEKAQPAASE >cds.KYUSt_contig_402.266 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:1650424:1650981:-1 gene:KYUSg_contig_402.266 transcript:KYUSt_contig_402.266 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSTFSTKSAYLHMQHQLQDPEASQIWNSKVPKKVKVFGWLLHLNKINTRANLLHKHIISSDICPRCQAQPEDRNHLFFSCPASAAIWRHMRLTPNAISFSDIWSSPLPSNLPRSVWNTVALIILWKIWDARNAKVFRNIDQPHTLTVKNIISDLTLWTHRFKQAALRVDADLRSHHLTLCNL >cds.KYUSt_chr1.28216 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170244836:170248985:1 gene:KYUSg_chr1.28216 transcript:KYUSt_chr1.28216 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDGRGGAKDVAEKKKAKQGGFRTMPFILANDFCDRLATVGFGSNLITYLTLQLHLPLVEASNILTNYHGTTNLTPLVGGLIADSFAGRFWTITVGSIIYQLGMVCLTLSAALPSLRPPPCAKHAVECQRASTYQIAVLYLSLLATSIGSGGTRPCNMAFGADQLELDARKRRGGKAPMWSFFNLYFFGIELAKLMAVTVVVYIQENVGWGWGLGVPTIAMFVAVIGFVSGYSLYVRMPPGGSPLVRLAQVAAAAFKKRKAVLPDPDLLYDDKVLDAGISTTGRLLHTDQLKFFDKAAIVMEGDVLPSGEPKLWRLSTVHRVEEIKSIVRMLPIWAAGILMVTASSHNSSFAIQQARTMDRDITPSFKIPPASMLIFNNLAMLVTLAFYDRVLVRVLRRFTGRPNGITHLQRTGVGMTIAMLGNVAAAAVEKRRRSVAAASGMLDAPKGAMLPISVFWLVPQYAIHGVANAFMDVGRMEFLYDQAPESMRSTAAALYWLTISAGSYLGTVLVTIVHEKTRGSGQWLQDNLNRGKLDNYYWLVVGLEGLNLIYFFVCVKYYTFKPLDTVVGSEEEVEAYHGNVNGTNKDKKGATSK >cds.KYUSt_chr1.9748 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59568939:59569220:-1 gene:KYUSg_chr1.9748 transcript:KYUSt_chr1.9748 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEEYNRVTKELEDAEKAEAESALPKEVFATITGLEPGTDEEANSSDPMEACPSDYELRIKRDFAPCRNPEKDASPGTRSIYPQRRWSSKQA >cds.KYUSt_chr3.3266 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18702997:18705958:-1 gene:KYUSg_chr3.3266 transcript:KYUSt_chr3.3266 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGCLLWCVPGDLGMARRRCYGGGGGCPCVIHTVWETLTKERVKVELEAASFREKLDQLMKSKEALRMKTLETKLLITDKKKEVKLAKVQARREDAKLKAELDMKMIALKEAKAMKELLAERGIS >cds.KYUSt_chr4.38735 pep primary_assembly:MPB_Lper_Kyuss_1697:4:239154166:239154585:-1 gene:KYUSg_chr4.38735 transcript:KYUSt_chr4.38735 gene_biotype:protein_coding transcript_biotype:protein_coding MADIENLAMAEPAVARRPMYLLWVEKTRDFFARYSTANHGSGDEDDAVAVAAEPQEHTRWILIFLVTVGAVFLFCAGMYMTLRDEAIPIYMMFIWESVALGPGFVAAFLIAECKKETAGRPPGDLIPQPPGPQPSDQLF >cds.KYUSt_chr2.32370 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199551579:199552286:-1 gene:KYUSg_chr2.32370 transcript:KYUSt_chr2.32370 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRSVSLPSRPHREVEEELCSLEACISSPSLAIETISDCLSRLGDMYGSIEEIMCLPSNQVCSSQQRKMLDGEMECSLEILDLCNAVRSEFAELKNIIQDLQMAIRKGDNEAVQVGVQYYSRLLKKAKKHFKKTVKKVTSSKEDCRMVRLLSEARKITTSLLDSTLHLLSKQIAAPKRSLVSKAFQKKNSLVFKEEQMQTLGCSITDLEAGVGLLFRRLVQNRVTLLNILCV >cds.KYUSt_chr3.847 pep primary_assembly:MPB_Lper_Kyuss_1697:3:4340798:4341665:-1 gene:KYUSg_chr3.847 transcript:KYUSt_chr3.847 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAIVEMDINWFRCPDCSLVLTPPVFQCKEGHLACDGCRAGKCQRCNGTFNTRNKVVEHIISVSKFKCPFTGCHGFFPFRDLRAHREACLHAPCFCAEPGCTFAAPPPTLLRHLVVDHLWPAHPVVYGQVLRLRAPLLEPRRLLHAKEDGGVFVVVIGALGAVTAVSVVCVRKAGGPSQPCYTAELRASDPPLPGAGAASMIRMPMETVTSTDRPGEVSVEKLPWVMSVPSTYLLAGVDGDGASKKLHLKIQIKKIS >cds.KYUSt_chr1.37663 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229911933:229916942:1 gene:KYUSg_chr1.37663 transcript:KYUSt_chr1.37663 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHTVGHNVRNRVIGLNYGRSGEVVSRYFKRVLHAIGELRDELIRKPSLETPAKIEGNYRWDPYFKDMEEEISEDSETEAIEWARWDEEKFIIVLDHEHYTNHILDHKEDEPFLNKPIEHFEEMATIHGGSMATGQFARGSSEPLASNNVVHLDEEVAATPDEVGQSQNVGESSAPKQKKAKTNHSADAGLQATLLACSERLAIAIEKTADTNNNSTLELWENMKKLPGFGLDFLAHYYAYLVENPRTATAFQVLENEQKMIWVARKIKHSMDTRGFSNDSFPSPSPSAPEAPPSLSWGASCCTGAWGVGGDAQPCDSDCAGVGDGWGGASCARRGVGARPHGLAGTGGFRCAQEAAASRGWSCDRFAAGDGDLRPGSRLGGGGDGDARPPGAAAERSRLARLGSPDVAPCLYLGGLDGDRSGPVAVVAADDSDAASDFSSRERAGRAALRTLSPPPPSFTLATPSFALAFFSADGATTALAPATRLGLGRGLTRGVSESTSLTFSSSGGGRSRASTTFLRCSSLAAPGGSRSFLLTQQPMPPLSSLLLAELS >cds.KYUSt_chr7.6651 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40151040:40152812:1 gene:KYUSg_chr7.6651 transcript:KYUSt_chr7.6651 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPAATAEAEVAGGGHGEALKVLESLASSSLACSVPQFPTKWNAVKDKLQQLSSGLKLLRNGVRNDGDGEEEGEEHHVLVQFLQSASATVRSILAVASQCGDGTYKGGRLRLRSDMDSLSAKLDAHVRQLKEMASSSSGAPAPSQAIVAVRPGADAGVGEKRFFLKDLFSRIRVGGPAQRAQALSTIGELMAEDEACVRVVALDIDDGVAMLAGFLESQDPRIQEEAAGAVAVIASSDSYRGMLVKAGVIAPLVQLLENAAAVATARARESAAQALCELTENSDNVWAVCAHGGVTTLLRAVADADSGGRLLVSSFAVLRNLSRVEEVKVFMVEQGVVTELVKLSQKMEEVRKLGAVELLHSMALDDADVREEAIGMGVIQSLLQLIYPDLPYSYKAREVALAAIWFFCFSSASSIDDLTSSDVLGWLLFYLNNGDYAVLECTLKILRHLSEVSEEYCRMMGRAGYFSALASLLGAKSFRVREMAAQVLTSLLSNHPNRVIFIQDGDNLDRLLQLLDPSEGKLMAKGLILSAIVSLAETNSGRKKIVSSEHFSTLKELADSGDYDAKKVVKKIANNRLQSMFSKIWSA >cds.KYUSt_chr4.9790 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59224085:59226199:-1 gene:KYUSg_chr4.9790 transcript:KYUSt_chr4.9790 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYTRIQGDYVAIVKNPNELIPPIFMINDLGSCLEWNEAMQKITGIKREDAIDKLLIGEVFTLHEYGCKVKDHATLTKLSILMNTVISGQDPEKLAFGFFNKDGKYMESLLTASKRTDAGGKITGALCFLHVASPELQHALQVQIMSEQAATHSFKELTYIRQELKNPLNGMQFTRNLLEPSDLTEEQRQLFASNVLCQEQLKKILHDNDLEGIEQCYMEMNTVEFNLEEALNTVLMQGMSLSKEKQISLDRDWPVEVSSMYLYGDNLRLQQVLADYLACTLQFTQPSEGPIVLQVVPRKESIGSGMQIAHLEFRLVHPAPGVPEQLIQEMFRHGPGVSREGLGLHISQKLVKTMSGTVQYLREAETSSFIVLVEFPVAQLNSKRPRPSTSKSNF >cds.KYUSt_chr2.49674 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310783433:310788201:-1 gene:KYUSg_chr2.49674 transcript:KYUSt_chr2.49674 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSWDETTLEAAVEVVQADPQLSKYKVWEEAALAATVDAYVADERQGQEAEWRLREEAERLEREEARQRREAGYPSPMDDIQPAVKSAFTKASKQGTSYPISRSNKYLRQETQTLKRVATLLEPFDESLPDKSGVASAKSVEEEAFDAENDGQVFVQDRPPTTKEPRGTGNRIDLSVSHHPTARKYTY >cds.KYUSt_chr1.23266 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138108557:138110239:1 gene:KYUSg_chr1.23266 transcript:KYUSt_chr1.23266 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSCPAGDAAPDGTAEELLERARGLVPAALEAARAATGFGGRWKAIAARLERVPPCLSDLSSHPCFSKNALCRELLQSVAATLAEAAELGALCREPPKAGKLQMQSNLDALAGKLDLNLRDCGLLVKTGVLSDATVPAPSAEAVSTAAASQTDVRELLARLQIGHAEAKNRAVDGLLDALREDEKSVLSALGRGNVSALVQLLTATAPKVREKAATVLCLLAESGSCEGLLVSEGALPPLIRLAESGSLVGREKAVITLQRLSMSPEIARAIVGHSGVRPLIEICQTGDSISQSAAAGALKNLSAVPETRQALAEEGIVRVMIGLLDRGVVLGSKEYAAECLQNFTSSNDGLRRAVVAEGALPSLLAYLDGPLPQEPAVGALRNLVSAVSQDNLVTLGVLPRLAHVLRDGSVGAQQAAAAAICRISSSPEMKRLVGDHGSMPLLVRLLEAKSNGAREVAAQATATLMSCPANARDVKKDEKSVPNLVQLLDPSPGNTAKKYAISCLLSLSASKRCKKLMIAHGAIGYLKKLSEMDVAGAKKLLEKLERGKLRNLFTRK >cds.KYUSt_chr4.54798 pep primary_assembly:MPB_Lper_Kyuss_1697:4:338490102:338495836:-1 gene:KYUSg_chr4.54798 transcript:KYUSt_chr4.54798 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRLNTVADHVVQPSQTAFMQGRNILDGVAVLHETVHEMHSKKLNGVILKLDFEKAYDKVKWSFLQQTLRMKGFSPEWHALINNFVYGGSVAIRVNDDTGHYFQTRKGLRQGDPLSPILFNIVADMLAILIERAKTDGQIEGVIPHLVIYRCTGILRSWLPLQRVKKRDLFTEMQNLAKKLRSFFYKQPEDGSTLADSTLRLSERMEISVEALNGNIITLQVDPSDTINAVKAKIQDHHCLIFGRKQLEDSSTLADYGIQDGSTLKLLPRPQERMGIHISPLGDYFYVKSSDTIDTLKAKIEYEYAIHPDQQHLTFNQNMLEGGRTLAYYDIRNGSTLDFALRHRSGLMQIFIKNLTGKTLALMVESSDTVYSVKEKIQQVDGIDPAVLRIIYAGTQLEDHRTLADYNIEKESTLHLALRLLSCSKCPGN >cds.KYUSt_chr1.3336 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20113844:20114251:-1 gene:KYUSg_chr1.3336 transcript:KYUSt_chr1.3336 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKASGISAKSVPRQQTYSGNRRTTNVETEDAAKHASSSSAMSTKLVRTQQNLETRKPDAHQQKGLKGASSTG >cds.KYUSt_chr3.6974 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40225746:40227217:-1 gene:KYUSg_chr3.6974 transcript:KYUSt_chr3.6974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Dehydration and salt stress toleranc [Source: Projected from Oryza sativa (Os01g0165000)] MDPADGKRGGGADCSAPERKKKVRRRSTGPDSVSEIIKKWKEQNQKLQEENGARKAPAKGSKKGCMAGKGGPENSNCKYRGVRQRTWGKWVAEIREPNRGNRLWLGSFPTAVEAAHAYDEAARAMYGATARVNFSERSPDADSGCTSAPPVLMSNGPTAVSLPADGKDESESPPSLTSDAKDEPESAGTVVHEVKTEASNDSTGVREERKAVEVFQPEGKGLRKEEKVSYDYFNVEEVLDMIIVELSADRKMEVHEEYQDGDDGFSLFSY >cds.KYUSt_chr7.40244 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249901056:249904589:1 gene:KYUSg_chr7.40244 transcript:KYUSt_chr7.40244 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSASISASMASLCGGGGIGTPPALSRQPPEARKSGGEESGESYYEMALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKIEGNLPLYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKEMEERGLLYLGMGVSGGEEGARHGPSMMPGGSVEAYQYIEDILLKVSAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFAEWNKGELLSFLVEITADIFSIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVAASKIFQGDYTSDVPVDKAQLIEDVRKALYASKICSYAQGMNIIKAKSTEKGWGLNLGELARIWKGGCIIRASFLDRIKKAYDRNAELANLLIDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWYKIANSKI >cds.KYUSt_chr5.4215 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26957004:26957749:-1 gene:KYUSg_chr5.4215 transcript:KYUSt_chr5.4215 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQTQPVRPGDVYPPTAAGKDARRQRERDEFLAREQQPQQQTDGGLRVTEADQHDGTHVVTASAGGQLIAQFTAPTPGDTTDDAVTIGEALQAAASDAPVGLADAAAAEAAETRATGLGRVVPGGVAAAAQKAAETNMRLGGGVGDADEEMVRLRDVVGSATAVLPANKAVTREDARKVAAAAEKNSAGGGGSDVADAVAAASEMNKGKMTR >cds.KYUSt_chr2.25538 pep primary_assembly:MPB_Lper_Kyuss_1697:2:156248901:156257066:-1 gene:KYUSg_chr2.25538 transcript:KYUSt_chr2.25538 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIEQLLRRNSKTKIDQNIANGIHDQKEEQSVQSLRESLLASNQLPEKFDDYHVLLRFLRMRGFNVLKAKEMFLNMLKWRQDCAIDAIAKDFKFEEYDALKRCYPHGFHGVDRFGRPLYIERIGSVDLNKFMQVTSIDRYIKYHISEQEKTLSFRYPACSLATNKHISSTTAILDVKGLGMNNFSKAAREMFIEIQKIDSNYYPETLHQLYIINAGSGFRALWKVLKAFMEARTLKKIQVLGANYLSPVLEAVEPSNLPDFLGGTCTCSATGGCLLQDKGPWTDAGTIRSSKVANETRENIQLKQANEQISGKVQELEDCAAQTKEVIVDRWPLPLFVVIGDTSTTIRLNAKMAGLLTLALAKEGLSNDGFVDAPNPKSKKRKNNKKNKKNKGVARKTRDSSPRRGGMPSPT >cds.KYUSt_chr1.40705 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249638901:249642403:-1 gene:KYUSg_chr1.40705 transcript:KYUSt_chr1.40705 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPREVVEISSDEEEEGCSGKLSVSPGPLGWVAKLGASPAPVPRKKKGRSAGVRGVKYEDGEDDDCVVLDGDPHRPVAASGAKGRNARRDGASDEVEIVAVKGEIACKDFPHSRHSCSELPFSTTSHVKHCSMCYCFVCDAPAPCKYWGKGLSNDDHCHATDKETKWKTLRQVFKYKNRPAPYLEKHRNVVHTTTPTGRQQEYYEGCTPEEYRVQDEGEYFEPDEEATVCVRNLPYDIDSEDLAQLFVYAGGVVFSEIIYDRETGQSCGYGFVTMSTVQEAEKAVELYHRREMDGRPMTVNKASATRVARIDEEPSPRRSLSSFKIFVANIPWKADNSSLKQLFSKYGEVINAKVVKHHGVRAFGFVTMATPEASDHAIWYLNNQTWRGRRLRVRFAKEKGRA >cds.KYUSt_contig_988.494 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:2856420:2857238:1 gene:KYUSg_contig_988.494 transcript:KYUSt_contig_988.494 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPALLLMLLLPLLLANAARPCAGADPISTVCAKNGTSAQTQASIDQVLSSLVPRASASYYATATAGRSPSTIYGLAQCRGDVTRQDCALCIAAAAREVASTCRGSADGRVFYDYCLLRYSDANFIGLPDTGYTLILLNTQNATGVDLAAFDRAQAKLMSRVAAEAGQAARKGLARNTARLGGGGGAAKTTIYGLGWCTLDITAADCGLCVAQAVAELPNYCRYRRGCRVMYSSCIARYETYPFFFPLDGAGEPADQAGHLEKIVVNQ >cds.KYUSt_scaffold_869.2011 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:12498640:12499902:1 gene:KYUSg_scaffold_869.2011 transcript:KYUSt_scaffold_869.2011 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAAACSSKPKLRRGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEDLIVSLHKILGNRWSQIASQLPGRTDNEIKNFWNSCIKKKLRQRGIDPTTHKPLNDAEPHDECKQQLPGAEDDHCFGGAAGSDIDPLAPPHSPVDCSFDPMSVTNVPAMQASYGSFCDYGGVSSDAATYSAYTGGGDSSSNSNGTWGTCANVVEPLAHMDIFRDAEPYPFLDPAKFSPWHQHQDPHQQHGAGGASSESFPIRSLSRDLPESCFELARGALEDEFDFL >cds.KYUSt_chr1.20319 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119766306:119766755:-1 gene:KYUSg_chr1.20319 transcript:KYUSt_chr1.20319 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRYALMDWVNSPSRSYAALSSPPAPTSQGDTGGRARGSIRRLAGGAEAVGLNNAPVFPCRGGGQDGCESTGGRTVERALANSAAALVSDPVGQRGSCWPWLTAVGLPGVDGGQVADERSPAVSVDRLPVTRGIWPGGDESGRWGTGD >cds.KYUSt_contig_60.379 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:2230675:2230878:1 gene:KYUSg_contig_60.379 transcript:KYUSt_contig_60.379 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRLHLRFGFREARRQGPASTTASKSVNGWKSRLQSLDSASTFTSSSTPASSSSSAASDVDTSAVN >cds.KYUSt_chr3.38584 pep primary_assembly:MPB_Lper_Kyuss_1697:3:242976102:242978911:-1 gene:KYUSg_chr3.38584 transcript:KYUSt_chr3.38584 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSRDGTDEEGRQGAGALVFLVIGGRQQGNKAALEVARMTADGAMEDPRPWVNIQSKFEDYNGPGSCSGRGTHCAWTRLIATTAGSCRQRYISSDDGRWFMDVIHVANGLLARLDSVLSAAFSASNSLPRACDPPAKERKLCVLELVGGDRPGLVSNVS >cds.KYUSt_chr3.3961 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22512992:22513403:-1 gene:KYUSg_chr3.3961 transcript:KYUSt_chr3.3961 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEGALLVVGRPSHAAAAATTIRLPSDTQAAASTRPWDCCNFALCTRSIPPYCRCMDEVDHCAATCKDCAASTSDPSRHICQDMFIGFPGPMCTDEANNVGN >cds.KYUSt_chr5.1765 pep primary_assembly:MPB_Lper_Kyuss_1697:5:12060535:12061704:-1 gene:KYUSg_chr5.1765 transcript:KYUSt_chr5.1765 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGFAIMDCAGINPKYAAGREGWATIKCASKRAYGCGDTGNSAVQDLDLLLHQGDLENLSSSLSVHAGDDVFRWIDQVIAEKKGRPAAPGKDRYFMSKVEIVDKGLIVVTLSFSEYGGFDYYLVFDSFDRSLSMIPYIPEWAACYTKCPLPLRHESGYSLVLIGGEFSFGREGPKKYIWQWSPMAASWGSIPDSWQTQKLCLPSQMNNKFFSPDVAFSCNGFAFWTDLALGTLFCDCSALLSESYLVKSKFIPLPPGYQLDRHEEGIWPVEVYRTMGYVGGSFKFVSIDKPRDHCGTRVKVWTLAAPGPSHKWTLYSEFRLKKLWKDFKMAGLPKNLPMWPMLREQEGSTLYLILADKTQITGQKHYLCRLDMPTRSLLQAKLLHTRR >cds.KYUSt_scaffold_1854.107 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:521931:523318:-1 gene:KYUSg_scaffold_1854.107 transcript:KYUSt_scaffold_1854.107 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGSRFFCLQEEDDDPVEDARATAEEAAWFALGFEPEVRQIGRSPALTKEEVEADFWSKIGYPTRESRAWSRATASETFPVARRSCPFVIVAFAHYAAVVFRCFHFFIVIVAPLFFAPRSCRLVVADIVLFIAVLRLDVAARVLWAAAIVRRGSRRFPLGCGDGRGCEASGASRGDGGNGCARVYTRVLGSWGGSLWGSVGRRSESLHGTSWLPGVAGGCAHAATRPCAETACPVRGSFCGISSAFSSAGASAASALHAASAVPAISRSVSVFCP >cds.KYUSt_chr6.12100 pep primary_assembly:MPB_Lper_Kyuss_1697:6:75310806:75318383:1 gene:KYUSg_chr6.12100 transcript:KYUSt_chr6.12100 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPWRRYAVSLVEVVVSLGLPRGVERLHLLDFCSPVLSVICCCELFLEPYVSCCWESLFVRMFVVSYSPLHLLELVASRCRLSYHAYLREVGGDGSVLGGVEPKTVVVHEGVVPARFFLWSVTSSGSSCPFEESALQAIHFLQRLYGFVIRDFNYEGETDQAEQHYNRCKIYGYWGRFEGLYHYLVKTISDQNYRANPACVRDNESEVVYAILQFLS >cds.KYUSt_chr5.196 pep primary_assembly:MPB_Lper_Kyuss_1697:5:1351772:1352458:-1 gene:KYUSg_chr5.196 transcript:KYUSt_chr5.196 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVLEAAAMIQSPPSKKMEASSSSDEAFEALQQHTEGWSKKKRSRRPRALEPSEEEYLAFCLVMLARGHRDAAPEHGCSVCGKAFASYQALGGHKASHRKPPTAPAAAAASAVPEEDKPRAAASSSSGSGDAAGGGKVHECNVCQKTFPTGQALGGHKRCHYDGTIGSAAAPTVKAAKAAAAASAPTATNRGFDLNVPALPGLAEEGEEVLSPVSFKKPRLMITA >cds.KYUSt_chr2.53033 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330912107:330913760:-1 gene:KYUSg_chr2.53033 transcript:KYUSt_chr2.53033 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRQEWVGDWVRSNDTLVRGLPIFIGGVSLLAVLLNRAVSGIAAVSDASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVDCKRVDPDVSTSALHELLWAWDSLMAATCCKSLVVVYGGNCILQIGVAASTPEDGKAVNVDAQKFIQGSLYTSAIESKKQSYLANLALYPGRTELPFLPANTQALILQPIGDKGIAVIGGDTIRGFTNLDQYKIKSSRPKTLNLIDSYSSSGDSSSQAWIAMIADKLDATLSKS >cds.KYUSt_contig_319.1238 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8277132:8288555:1 gene:KYUSg_contig_319.1238 transcript:KYUSt_contig_319.1238 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRDHVSPSAPLLSDSPEPDRVPPPSQGKQPDQKWKPKAASSAAPTAGIQKMTLAPGASAQVWVPRAYATSASSPSSSSSSSVPAEHGAAADKLSALFKGAEDFAVDDSTFVDAQIRAAFYPKFENEKSDQETRNRMIEMVSQGLATMEVTLKHSGSLFMYAGHLGGAYAKNSYGNIYTAVGVFVLGRLFREAWGKEAPQMQAEFNDCLEKNRISISMELVTAVLGDHGQRPKDDYAVVTAVTELGHGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRESATSFFAAYDALCEEGTATPVCNTLDEIADISVPGSKDHVMVQGEILEGLVARVVSRESSVQMEEVLKNFPQPSLDGGDSGLGPSLREICAANRSDEKQQIKALLDNVGSSMCPVHCDWFGNGGLEAHSRNADKSVVTHFLQAHPTDYATKKLQEMIRLMKQKNFRAAFKCYWNSQKVGSLSNDNLYYKMVIHVHSDSVFKRYQQEMRKNQGLWPLYRGFFVDVNLFKANNKKAAELSKDSNTLLKNIDGALDPSSSTKDSLADEDSNLMVKLKFLTYKIRTFLIRNGLSTLFKDGPSAYKTYYLRQMKIWGTSASKQKELTKMLDEWAVYIRRKCGNKQLSSSTYLSEAEPFLEQYAKRSPANQALIGAAGDLVQTENFLAILDAQRDEEGDLQRECGAAPSSPASTSLDVVSKTEGLIVFFPGIPGCAKSALCEEILNTPGGLDDSRPVHSLMGDRIKGRYWQKVADERKKKPFRITLADKNAPNEEVWRQIEDMCGTTKAAAVPVIPDSEGTDSNPFSLEALAVFMFRVLQRVNHPGNLDKASPNPGYVLLMFYNLYDGKSRREFESELYERFGSLIKMPLLKPDRAPLPGDVKGILDEGISLFRLHQSRHGRAEPSKGSYAKEWAQWEKRLRVILSGNANYLTSIQVPFEVAVKEVLEQLKAVAKGDIKTPDTAKRKFGNIVFAAVTVPQADILGLLRKLGENDSDVNNFLNGIKVEDNLSKAHVTLAHKRAHGVAAVASYGVYQNQEVPVSFSAFLYTDKMAALEAKLGAVNGENVNSRNEWPHVTLWTAPGVAAKEANALPQLVSSGQAKRVLIDPPITISGVMDFY >cds.KYUSt_chr2.41443 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257735180:257736876:1 gene:KYUSg_chr2.41443 transcript:KYUSt_chr2.41443 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSISYHTPKATANPLSMDLEALPLLGFVIALILLLAKLIYTSSPPAPSKRLPPGPWQLPLVGSLHHILLSRHGALPHRALRELSGRHGPLMLLRFGAVPTLVVSSAEGAQEVLKTHDAAFASRHLTPTLAIFSRGGEDILFSPYSDLWRQLRKICVLELLSARRVQSFRHIREDEAAALLRSVADECAQGAGGSAVVEIGKRMSRMVTDIVVRSAVGSRCPRRDEFLRELDIAGKLTGGFNLADLYPSSPLARWISGAIRETERCNRDVRDIMADIIRGRADGGEGGSEEDEEDLLGVLLRLQKEGGVQCPLTAEIITTFVMEIFAAGSETSSTTLEWTMSELIRNPRVLRKAQAEVREAFDGQHRLTEDDVGRLSYLHLVIRETLRLHVPLPFLIPRQCREPCDVMGYHIPEGTKVLVNAWALGRDGAYWEDAEAFKPERFTEETGAAAAAVDFKGGDFEFIPFGAGRRICPGMALGLANMELVLASLLYHFDWELPGGGRPEGLDMSEEFGITIRRKSKLVLRAVQRIPFAN >cds.KYUSt_chr4.16147 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100057348:100057847:-1 gene:KYUSg_chr4.16147 transcript:KYUSt_chr4.16147 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTQEQANQTITRRSSESPTSSPVRSGSGLTDKIAMAWRKKSGSYSSASSSPGASPCYHDEREKVPKGHVPMVAGCGERVVVPVRLLADPCIAELLDMAAQQYGYGQSGVLRIPCDADHFRRIVGGALQRSSC >cds.KYUSt_chr2.13597 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86172792:86173229:1 gene:KYUSg_chr2.13597 transcript:KYUSt_chr2.13597 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRVGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLSNRFYCPSVNVERLWSMVPAEKVAEAGADKAPVVDVSQFGYFKVLGKGLLPDKPIVVKAKLISKIAEKKIKAAGGAVVLVA >cds.KYUSt_chr1.25552 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153382188:153388221:1 gene:KYUSg_chr1.25552 transcript:KYUSt_chr1.25552 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVGAGVGSWDTELAWHLLTVLLRIGRPAAAAELAATVASRATYVTPQLVERLCLAPRSPLRSSGGVVTVSETAAVAFLRFLGCDVPARPMAGLGASEGRRWCPVVQIRYGRKRKASDAARFGVKRRLLLETDTDSADPDQQQSQQLIVQSCSSVATGEVHLEVTQDWLSILGTSVAEPSLKFSTGVPLVSTVAKISTACLGVRDGNFLGDMTSALGLKDLSTPSSVDIPFPCAEKSENIHAPADNEIGRIGEPEGPLAICTCRVEDSEDIEKESALLPLTLDKTVVGDKKVWFDADLNIFLERPRCPFHSRDTHAVDNIVGTNFTPEQEAVLSGSPNAGDCEEIPSCGQDANTSAVIQEKKEIQLLSQSPTHTKAEPVLHELIHEMTESSCQPSLDIKVEPAALPLEATSYDGVNNRNLNIIAENVEITDQNGQQQPRNEVSADFPKEQDEKIVKQKKRNKKDAVPKEDKDQVAKTAQKVAKKVEPAALPSEGRSYDGINNRNLNIIAVNLEITYQNGKEQPHNEASTNFPTEEDKNIVKQKEKLEKNDALPKEDKDQVAETAQKVDKKVEPAALPLEERSYDDINNMNLNIIAENIESTYQNGKEQPHNEVSTNFPKEQDKKNIKQKEKQKKNAALPKEDKDQVAETSQKGQSEPNPLPSFKHFVVEEEEGARRKEDGRLFAIKCPRGKAHAHHVDNERKMLERFGGKHFVIKFEGCFRSGELDCFVLQHVKHDRPENLKKEINLFELQWYGYCLFKALSSLHKQGIVHRDVKPGNFLFSRDQTKGYLIDFNLANVSKSEAISRGKDITSQSSLKSALVIHDNEAAAGSKQPLGSKRKRSNKIPGCSDPRVDNKSMYGSQAADGSGVTSAKDATSTKASLDRLKQPLYKGRKELMNYLNGVESPNQNTPAAPVSQRKRVAAPVGSVDQKLFRLTPMPLRSGGSAVAGSGLFNSKGHGKQRREGPCVGTKGFRAPEVLLRSSHQGCKVDVWSAGVTLLYLITGKTPFGGDPEQNMKDIVKLRGSEELWEVAKLHNCESSYPSELFEAKFLRSVDLKTWCFANARRPEFLMQLPNSLFDLVDKCLAVNPRCRITSEDALSHGFFTPCHESLSKQKSKSLKIRGLAGEASQLPPCSPRDTIAKASKS >cds.KYUSt_chr6.32064 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202550888:202553547:-1 gene:KYUSg_chr6.32064 transcript:KYUSt_chr6.32064 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGGDETAGSCRLSPAEAAPLDSEAILGEILLRLPPQPSSLPRVSVVSKLWGSLVTSAAFQCRFRAHHREPPILGVYGKTSGDIVFTSVLNPPDRISAERFAVPVSADDFFDSWNLLGCRHGRVLAFNRVRLELRVFHPVSGDLRVVALPREFNVAGYGHGFSTSGAVLCAAGNDDYPGHGHSSPFKVVLVGTFHGNQRPAIARVYSSETGLWGDLVSTRGPCGGFFGGHPGTLIRNAIYWWLHGFDIDILEFSLVSKKLAVIKRLPIAGAEIRRANMRIIRAEDGDVGIVISMYPSFHLWDHKVSCHGVGKWVLRKTVNLQNIIGLPPSTNAPIVAIVAYAEDTDEIFLLVRGAGDPYRGSLIMVQLESMRVREYRGSFLEDSYHPFTDFFTAGTVGTRSIPVTEPNQPLSH >cds.KYUSt_chr1.42128 pep primary_assembly:MPB_Lper_Kyuss_1697:1:258037297:258040174:-1 gene:KYUSg_chr1.42128 transcript:KYUSt_chr1.42128 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCTNAREVVVGDGGVTVVLAHGYGVTQASWDKILPSISKADKVLLFDWDFTTSDHAGVDDEDVGRYTFGRFADDLIALMDERQVRGAVFVGHSMSAMVGCIASARRPDLFAHLMLLCASPRYIDSEDEGYVGGFKDASIHAMLGAMESNFQAWVKGFVPNAAGSGAVEEHIMQSFLAMDPDVALGVARMIFFGDEREALDVVPTSCTIVQARHDFAAPPIVAEYMQGRMASAGTGVTMEIVESTGHFPQLVTSARVAEILDGVLLCLRDKGAYDAVGAPVHPASDVEVDGGAIDVMT >cds.KYUSt_chr5.23198 pep primary_assembly:MPB_Lper_Kyuss_1697:5:151253481:151258293:-1 gene:KYUSg_chr5.23198 transcript:KYUSt_chr5.23198 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPFPVFFSAASTTTTSSASAYPNMVTETMPSMPNYTDFLTSGAFPSLLGLVPSSSTGASGEVILLGVGPLLGEICREHISGKCRLQATSVAPAIAHTTDTSAPTRTTARRRIPMVKKKHDSEVSDSSIKKDTRPNRVPLPVEAMRRHVELREPTPLMLFLFDNPSI >cds.KYUSt_chr4.24471 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154087649:154089948:-1 gene:KYUSg_chr4.24471 transcript:KYUSt_chr4.24471 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGLKSVDGAHEESIWAAAWVPAADHRPTALLLTGALDETVRAWRPDDLAAASPPARGHALGVLSLAAHPAGVIAAAVSLDSYIRVFDVDSGASVATLDAPPSEVWGVQFHPKGVALAAAGGGSGSVKLWDTEKWQPIASLPVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTGCDDSHIHIYDAKEKGLIGAMSGHASWVLSIDVSPDGMAVATGSSDRTVRLWDINARASVQTMSNHSDQVWAVAFRPPGGEGVRAGRLASASDDKSISLYDYS >cds.KYUSt_scaffold_869.48 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:298898:300475:1 gene:KYUSg_scaffold_869.48 transcript:KYUSt_scaffold_869.48 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRGVMPSSAAAAMLGMEMHLSHQPQQQSQMHANSAFQQPDHHHVAGAGFQHHQPVPVRQHAPPSYSSSPSPYAAPSSMAVKAGGHKEDEMGNGNGAGAAQQQQQQAGTGACPWSRMKWTGAMVRLLITVVYNAGDDGEGVSAGGRTAASHGKAAAHGHGGHGQQAAAQQKKGKWKSVSRAMTDNGFIVSPQQCEDKFNDLNKRYKRVIDLLGRGKACRVVESPALLDGMDELTPKAKEEARKLLSSKHLFFREMCTYHNPGPAAAASHGNDDGAACFHHPRPASIAPSAAAAASSAARQVVHSSMRAEVDDDDDSDDIRSSNEVEEDDDDDLDDRGGHIDGSNRFHQQPSNGYHKRRRDESAAGAGEDEEEADGNRRARRRTRVAEDGASALQQLQIELAAVMAAGSDQQQVRHWMRRRAVELEEQQVMYESCEYQLRRQRLKWERFQANKERDMERQRLRNSRLRLEGRRMMIMLRQKELDLDMAEANYSSSVDHHTGAQTPPLAAFHQQLASSPSTTGHPN >cds.KYUSt_chr4.47735 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295493966:295495140:-1 gene:KYUSg_chr4.47735 transcript:KYUSt_chr4.47735 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFLPLHLPASPRLATIARAASGVVAAAVQTSQLEEAFGRKGLKFSTDATGAPTAELSVRNGSSLQVRLNDGLVTSYRPKVYWKDELSYREVLHTVAGADAVKGGVGLVLNDASSSSSASLLAGSEWSVTDADSDSYDAVQVELGCSAGKGGTLEVSYVVTLYELSMATAVIVKNNGSKPVELTSAVLSHIRFDKRRGTAVEGFQGCPYCSHPPPASGFALLTPAEAMKREESGWFGGGGDEEPRQGAWTVEENLYTVLKKKVSRVYAAPPEERKKRIYNTAPSKFTTIDQYSGLGFRLIKMGFDDMYLSSPGGMYEKFGDDYFLCTGPASILVPVVVEPGEEWRGAQVIEHDNL >cds.KYUSt_chr1.6161 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37869511:37880420:1 gene:KYUSg_chr1.6161 transcript:KYUSt_chr1.6161 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARDSMAALLDAGLFDSAQTLGCFLVSSGGASNEASVSAKAESLVLHGDALYGEKEFRRALGAYKQAMQCSKSIPKQAASSTRISVSTTGRSPSPNSSNATPFNENEVKSKIALCHSALHEYREALQEMEGIPSKVRSLKMNLMLGKLYRISRNNRASAICYKECLRQCPYVFEAIAALAEMGLSSKEFSLLFLQAPNRGGKPPGDFVDAQRWWNRYVEAQCCIASHDYKGGLDIYVELLQRFPNNVHILLEIAKVETIIGKNDEAIMNFEKARLIDPNIMTYMDEYAILLKFKSDYTRLNKLVHDMLHIDPARPETCVALAAFWERKEERKALTYAEKSLRVDDRHITGYIMKGNLHLSSNRPDLAVTDFRAAQELRADLRSYQALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHIAEGRNKEAVLLLERYLRQWADDSLHIKLAQVFAATNLLSDALSHYQAALRINPYNEAAKKGLERLEKQMKGIDPDAPEDEDENEAEDDGDQDDAELLHRLHRIDPRDKLYVPMGRSKGATAAVRKRDDAPKINGEAPRPCPSLIPDLLSNIHDRLGFLDRVAFAAVFTSSCDDDLFKPHAPWLVFPRNTPETVELFSIADRRGATVPAPAPALRDHVIVGSARGWLATADARGQIYLVNPATGEQHELPHIATTGVFLPSAKYHHFSLVMEPFLTIRYGHGPPFDHCWVNTHTWDNSLMRTRFYRKVVLSSSSSSRRPGAYAAMLLWRRELGAPAFASSEDPVWRLARSPDGVEDAIHHDGQFYSVSYTGLVEAWERDAVSGAYTSTAVAPRLAVEEHKKEHGEPSCRKYLAAAPGGRLMVVIKYYPEQGRRSWAWSFKVHVLGDDGQWKETRDIGDLAVFVGMNNSLCVPTTGRPQIEAGCVYYTGDELGALEARKDSYLYSSSRDGSDQGAVGVYSLKDGTLKKMEALGKEQRSFYPPPAWITPSIP >cds.KYUSt_chr6.21947 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138465680:138466861:-1 gene:KYUSg_chr6.21947 transcript:KYUSt_chr6.21947 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPPEPDDDAVSLPRAPVAASPRVLVLTCADLLHRGDLEGARRIAGAVLSSTDPRGDAADRLAHHFARALALRADEGHGGGAPAVVGVGGGPASSTAYLAYNKIAPFLRFAHLTANQAILEAAAGARRLHIVDLDASHGVQWPPLLQAICDRADANLGPPEVRITGAGPDRDVLLRTGDRLRAFASSLNLPFRFHPLLLPCTGQLAADPATGLELHPDETLAVNCVTFLHRLSRDGELAAFLRWVRSMNPAVVTVAEREGSAGGGDDDDDLPRRVAAAMDFYSAVFDALEATVPPGCAERLSVEQEILGTEIDAVVAGPGGGGGRPRGFEEWAAAAHAAGLSPQPASTFAAAQARLLLRLHYPSEGYAAEEARGACFLGWQTRTLMSVSSWH >cds.KYUSt_chr2.33870 pep primary_assembly:MPB_Lper_Kyuss_1697:2:209334708:209335157:-1 gene:KYUSg_chr2.33870 transcript:KYUSt_chr2.33870 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKAHVTGESSAIPGEEETGADKEAAIPVDDDDDASKKTPNFVKRLKFGGGEKEKESPFYRDYKTALTSLVSFGRGDAGGSSGMGDSVPTMKEFLSMVRECGIKEGIDLMFTASKLAVKREHRELLAAFETSEGRLDYLQRMHNEMNK >cds.KYUSt_chr3.37885 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238306040:238309986:-1 gene:KYUSg_chr3.37885 transcript:KYUSt_chr3.37885 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAGALGVALVAALLLALAGANSEGDALSALRRSLRDPGAVLQSWDPTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLEHLQYLELYKNNIEGTIPSELGDLKNLISLDLYKNNVSGTIPPALGKLKSLVFLRLNGNRLTGPIPRELAGISSLKVVDVSGNDLCGTIPTTGPFEHIPLSNFEKNPRLEGPELQGLAVYDTNC >cds.KYUSt_chr6.2023 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12017767:12026223:-1 gene:KYUSg_chr6.2023 transcript:KYUSt_chr6.2023 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEQSRKSFEREKIALQQAQDAMAAKENAVAETAAASARENCMLELMAESSLDMAGSFLDTVTEDERVEARSAVLLRLSKEHDSNFWGTPERTRQIVRFQDRALQVREFLDFCTRTLSLVYGTMFPRNKMPETLPALMEKFWDAPRIHGFVRAQLAAGARFAMIMIKICCPKLDMGQIVPKCLAKMAKRKRNFGKYDDIVTPVAEDMMDELLRMDAEFFVKGSYAEHSTRALPPCVQGVAGAMKGAHPQDRKQKNKKQDLQVLAPYPGCLGRVINMFDLSNGVVATKMLTDKAHRDVSPAGKDRSSAYTMPTTNQFPAQLEDKQRDSQPRKTSPTKRSSSPTNRSGVAPVKMLMEKDMWREGVPEDEPLNVVARLMGLNDAPVHQSDVVSGRKPSKEYQSGAFGEIFGRNVKPKKESRCYQNQRAGTRHEQIWGGLGDQPSKISNSNSRHQGNEPSCDKRMSLVREKFAEAKRLATDEKLLHSKEFQEALQFLSSNKDMFLKFLDEPSPLLSNNHYGFEPVTPPSEVKQITILKPSESMKRRGNAHVGRQLYSDGNESESNRCGRQYQSLSATPANLTLSEPTRIVVLKPGPAKSHEDIMIPVSPLASSTEPDSEDDSVMAVDEIVSSRRLAKEITWQMRMRLKDSQDEDNSVSYEYHDTYIEDCSFSKSEVEIAKEVSGEISEDLEFGTPTSGRSWEFLSRSESPYSASCSSQASNRREPSVVKEAKRRILERWSVVSSTVSCEEERGARRSTGTLGEMLTIPEGKKDQEEFGGITIESTSPELDTEDPFSCLPRSRSLPLSLSYGGREWNRADVGSQEATTNGKSRKPSSFREKVSSLFSKNKKPAREKLDLSGTSSGNDTLASGSAGDGSQGSNHFTLEDAQKLTLVNADENVMQRHMTSSCHANDVASNRTKDVCPLPSLDALGFYGESQEQPSPVSVLDLDGPFLCDNNRRLLYSSENFITASSHALSRSPLIGSFSRSLSWEDCPPEVMSPNSLRLSRLFSKADDDQDSLTFIQKLVSSAGMDRKGCVLASPLDSRLLAKLSDYQGEGIKSRERRSKEKLLFDAVNEALIELTWTTELSAYPWGSTCSQRRDCDDSSCNSAADEIWRVIRSWSILDRYPPSQVIERNLLLDMILKRELVETASADTTRLETFEINSMVCAVVLEDLLEEALVDLI >cds.KYUSt_chr6.709 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4565739:4571688:-1 gene:KYUSg_chr6.709 transcript:KYUSt_chr6.709 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSHIQGSIPIFLHLQNEELRTGVKPNVFAVLKKMKQRKTPHPETGSVWVNPQCETQCTSYVDKFKHKHGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGGDGSEGAGGPGAKGADGAADSEVPAAPDIDDAADATAAAGDVGAAGTDELADEPDGSVYSTGYSYCSSFLHADQDKAMTMKPAEAMEEDKVDAMVVVHLSELSSAREDWDGIIPSPDCSPSPSVLRLSPSLRWEQAREPLHQGIDGNRTCRIGPGMAFANAVRLCSREDVVSCIVPCAASRTRIAEWAKGLMRESISGIGRPGPTYETTCSGQEQELQEEQGPSYSLSLLMLIAPVQFQVKFTLPKVFSRSAAPLASKSTAELHNGRREPHIRKAVRAIFSELDEINAKPPIFPGRLQNTEEESERGQRATRP >cds.KYUSt_chr3.49448 pep primary_assembly:MPB_Lper_Kyuss_1697:3:308938578:308942403:-1 gene:KYUSg_chr3.49448 transcript:KYUSt_chr3.49448 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTSASTKPAAPRLNVPAAMAGSLRLDASPVTSRSASRLLDLPKTPSPSKVTYSDRFIPCRSSSRLHNFALLDRPSPNSKNSPAAPDTPYTRLLRAELFPDSSPNADSPNTNLFRYKTDHSAPSSPFANADKNDCGGVGSGASTPKKAPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNMLAVGLGTCVYLWSASSSKVTKLCDLGPRDSVCAVHWTREGSYLAIGTSLGDVQIWDSSRCKRIRNMGGHQTRAGVLAWNSTILSSGGRDKNILQHDIRVPSDYISKFSGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPVLRLAKHTAAVKAIAWSPHQHSLVASGGGTADRCIRFWNTANGNMLNSIDTGSQVCNLAWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTLRVLYLATSPDGQTIVTGAGDETLRFWNIFPSMKTQQYMAKILAVAIESVCKISASFPCGPSIHD >cds.KYUSt_chr1.18648 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109200307:109200876:-1 gene:KYUSg_chr1.18648 transcript:KYUSt_chr1.18648 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIRALATMAVFLLVALSTSHIASSLRPGLGVCRASGYLPGKAGHCEKSNDPDCCEDGKRYPQYHCSPPVTATTKAVLTLNSFEKGKDGGGPSECDNSYHSDKELVVALSTGWFENMARCGHQIKITAHGKSVYAKVVDECDSVYGCDNEHNYEPPCANNIVDASPAVWNALGLDQNVGMEDITWSQA >cds.KYUSt_chr1.20453 pep primary_assembly:MPB_Lper_Kyuss_1697:1:120556932:120557249:-1 gene:KYUSg_chr1.20453 transcript:KYUSt_chr1.20453 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRGRGRGREWDGDELAASPQPLAVPVLCLVRSAGDLAAGAFVGSLVGYGLLHSLFLPPVSLPFLLSLATINCSRTFEQHTVADRKFYRVSFGHAGAGDNTEG >cds.KYUSt_chr6.3474 pep primary_assembly:MPB_Lper_Kyuss_1697:6:20046812:20048989:1 gene:KYUSg_chr6.3474 transcript:KYUSt_chr6.3474 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTREEDQEHHGGWEDQEEEVGGEDQEEEGGGEDQEEEGDEEDLSEDEGLGNLVFDPDPSLEWCEPEDYQYVPALERLRPRDRKPYRRGITQLPSLRSWRYRHVVLVPYGRSSFQLEDPTQRPPRGYSNILGGLLRWYFPGIVNFPTGGCDVAWRWAHYSLAEDPLGRGTAADMVVAKFGAEGKENACDDVLHQLARKRVTGMHYEARIQCVRDWHADRFVHMSKEDARDTLMQPWQYLQNPPQYVGNDDRCFRAMVMWWTCPQYLKKHEEGKAKRARDARWIAYPRQHPHLSSPAEGGAKPNVFAVLKKMKQRKTPDPETGSLWVNPQSETQCTAYVSKFKQKHGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRQIRHGRKSGQPEEEMAAKEQAAQEQRAQMEQQILQYQQQQTQMMQQMQQQQQMMQQQQAQMSWLMSQTALSSPPGSLPPPPYSMWMPPPPTQTPGTPITVNNMNIIRSMNLGESSCAQPATCTCSSVQYANANVHSINLLIDFMSQGNDNEAGGSGGGQG >cds.KYUSt_chr4.1726 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9202781:9205304:1 gene:KYUSg_chr4.1726 transcript:KYUSt_chr4.1726 gene_biotype:protein_coding transcript_biotype:protein_coding MYLETGIAAILVAVVLCREDVVEPWRAAAAVLLLRPRCLQGDMAMFRAVASEQLKLLCLVFLMGNSTSFRALPPDPANACETELYARVGITQCLLLAAAYTAGMLLLGVIMQVDNTAAGADGTKGIASVAAGWKRAALRGMLLLAIGLSSAASFLAVATFEDGFRYRIGCATAGTGPRSPLAMVMMVVMALAHGGAAWLAAVSQN >cds.KYUSt_chr5.7164 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44719403:44721532:-1 gene:KYUSg_chr5.7164 transcript:KYUSt_chr5.7164 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNSQKVVSKEKKGELLITMVFSSFPIFLDPPNWSQMQQQPHLQCLMGGGGGGGGGGGNDHHHQQHHQLMPPSSAQLAPLPGGPENTESPAAGGSSSASLQLVVSGQQGGPGEQPPRPSVSMTERARIARVPLPEPGTLRCPRCDSANTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNTKRSSKKRQGQGGGGVVAAATSSSSTTSTSTTTSAAATNAADIIASMQALPHHLGLPAAAALEASLEGYHSHHQHQHHNLSFLPPQFLQQGLHGYHFADGDIGSQLADGFPRGVASGLLAQLASIKMEEHSSGAGGAGGGFMGGHEQYWPGSGGGGGWPTEFLSGFSSSSSGNVL >cds.KYUSt_chr6.3053 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17874819:17880103:1 gene:KYUSg_chr6.3053 transcript:KYUSt_chr6.3053 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLSALLRAKLRCRLALHANAHCITPGSSLPLPLPHHHHADFLVRAPLAVPARWLAARPSRKKKDAAAMPPSTPRAGAAAKGGGDGKAQDAAAAAVMKDAKIVTATKKGAAVLDPHIPDDMKKSWHVLQAGDEIYDATLNQTNVGANNNKFFIIQALESDVGKSFMVYWRYGRVGSRGRTELMGPMQRHEAINLFQFKFEDKTNNQWVSRHSFRCHAKKYTWLEMDYGKEGDKAQKKELVANQIKETKLETRTAQFISVICDITMMKQQMMEIGNSTPSFLMTSVSKRCVEALGEIEIATKLLEDDSTDQDDPLYARYKQLGCDFTPLEVDTEEYSMFIGAGNRMLLWHGSRLTNWTGIFSKGLRIAPPEAPNNGYMFGKGVYFADMFSKSANYCCFSETSNKSGVLLLCEVALGSMNELIYGDVCANDLPKGKLSTKGLGKTAPDMANSKITDDGVVVPLGKPKKGILNYNEYIVYDVNQIRMRYVIHVSFNSKKN >cds.KYUSt_chr5.3797 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24566744:24568206:-1 gene:KYUSg_chr5.3797 transcript:KYUSt_chr5.3797 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGLSWPPERGSPIRALKNQGMNRILWVHHVAVWREGDQMTRVFGLRWNDRTVSRFRRKSEASFSSLDVHNGIQRINAASARLQKYAESRKELDRSLDVLFQRKTAQELDRHLSMVQRDHEQRSQIVERGIRDDAAVFEEAKRGDQSMKEEELKQERIGQEAEARQKAAAQAEKAEYEAAKNEAVENEAAKSRAEAVSASSQISQNATMVPDAMDYLVAEFHKVCMYTVPKHMHALSAQARTTDYFRLIGYQEEDGKLESTEAYLVHVVAYVKLYAAMIQTDIKGVWHPHGLAEGWKWLAMFLNTLPATTATAFALHACFPQDGWFFTSQEIWITVRENSRCNIRAVRTSFERTTLQSVEVYK >cds.KYUSt_chr6.23427 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147972394:147981011:-1 gene:KYUSg_chr6.23427 transcript:KYUSt_chr6.23427 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLRKLHLSGAGSAGTGTATASTPPPDHHRPRQQRPANSPPPPPPVVAASAPEPAAAPEEPTGMGAETTMTRLEEEYHVRLALAISASDPAGLVDPDSVQMRAAERISLGGPAPPPGDRTTMEALAARYWNHNVINYDEKLCDGFYDVCGAPMDPGFQLKFPSLTALRSVLPAGRDVPYVAVLVNRERDPALRRLEGRAVAIAAQSRAQRGGIASAELVQKIAGLVVDAMGGVVEDADGMNREWFARSRQLCAGLNTIALPLGSIRIGLSRHRSLLFKVLADQINLPCKLVKGICYTGTDEGAINFVKIDFDSAEYIVDLMGAPGTLIPSEISVSQFQDSNNSQLSSDAIEESVAELCIALEQVSGVYESKNDMGGSSSDLALTTPRLEDGGISQQMEVNETSKYLAPEGVDSQFAQNLHDLLLESGALLPTGLLSDKNSRNSSSTTEMSKTTSPDGKETAGWLLVAQTSQNSPKDSVAGDCLPKLPFPSYEDVPHPVENTEATIRNLDADISTEGGKVADDSLVNVSGSSSVTMDKLSCSSTKTISSVMDDVAEYEISWEDLEIGDRIGLGSYGEVYHADWNGTEVAVKKFLDQDVSGVALEQFKCEVRIMSRLRHPNVVLFLGYVTHPPNLSILTEYLPRGSLYRLLHRPNSIVDETQRLKMALDVAKGMNYLHSSHPTIVHRDLKSPNLLVDRNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPANEMCDVYSFGVILWELATLSIPWSGLNPMQVVGAVGFQNKRLEIPKEVDPQVASIIYSCWDKKTLTIPETYLWIMQKRKKNTKYLCYYMDQVFHVEACGDVFKISALRMRKDKGSAHPFVKNCKNYLKQRKGAAEESVAC >cds.KYUSt_chr5.42489 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267762295:267766863:1 gene:KYUSg_chr5.42489 transcript:KYUSt_chr5.42489 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAQAAGAAAVPRVERLNSEVQNQLNLEGMRARAVGLYKAISRILEDFDVIARANPNASPKWQDVLGQFSMVSMELFNIVEDINKVNKGFVVYPKNVNAENASILPVMLSSKLLPEMEIEETTKREHLLAGITNLPVPLQIDKLKTRISMIGSACETAERVIAECRKTYGLGTRQGANLGPTLDKVQAAKIQEQEGQLRAAVNYGEGLRVPGDHQRQMHSALPSHLVEVLSTGDGAHNFGDNSGAYPKNTSGFAPNGVSAQGNPMQASGGLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKMMQASQQQQQLLSQQQQQLRPTAVGMLAQVPGQQQMQYSQAALSQLQNRHLQAARMQPGMAQSQLNQGNQLRSHLGQFTGAANNAMFTAAQASSNSQMMANLPGTMQGTMQSQSILPQMQFGLTGGHPQRSHPSQMMTDQMYGLGGTNASSMMGMQQQQQQQQQQQGLYGNMQGGGQNLQQQGMVGLQNQQNQMQNQQNQMQNQMQNQLQNQMQNQNFPQQRQQNQQ >cds.KYUSt_chr3.48490 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303355915:303358855:-1 gene:KYUSg_chr3.48490 transcript:KYUSt_chr3.48490 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRARDLLHLHSPIPCSSPNPLRTLPPRLLPRFLSSSSSPAPDAVSSSSSPPPAFTGNLKKALAGLRRIDLDGLRWRVFDAKGQVLGRLASQIATVLQGKDKPTYAPHVENGDMCIVVNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMAKDPTEVIRKAVMRMLPRNRLRDFRDRKLRIFAEGEHPFHDRPIESFVMPPRKVREMRPRARRALVRAQKKEQDRDAKAQGKAEAGAKAKVAA >cds.KYUSt_chr3.13617 pep primary_assembly:MPB_Lper_Kyuss_1697:3:82102949:82104220:-1 gene:KYUSg_chr3.13617 transcript:KYUSt_chr3.13617 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWPGFKGDGADPDPSLLSDELAGDSESGYEIDAHYDAFLDLPREIHDPCFTGTSLMHLRSLVGLRSREGIPTCEPETQMDQAYITLLTEKNNLRIAVEQLEHSFATRELKLKDVIRRNSENATRRLNLYANICISAVSVAVTVFALMVVMLLK >cds.KYUSt_chr7.18680 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115776573:115778392:-1 gene:KYUSg_chr7.18680 transcript:KYUSt_chr7.18680 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGDLAGSQLFLIPSYKRAIKAKGNKTETAPNRRRSLPSSSLLAPFVLYLRCLYTERTGSWWCRVSAEPEQRSSGVVALLFENPAMEWLDEYEKLVIRMDTPKVVIDNAVCPTATLVQVDSARKRGVLLEAVQVLADLDLSVKKAYISSDGRWFMDVFHVTDRLGRKLTDDSVISYIQQSLGTWTEPSQPAALEGLTVLELTGADRTGLISEVFAVLADMGCSVVDARAWSHRGRLACLVYLRNEDADAVRVACIKTRLAPLLRGDSDASGCVVAVPACSVPHADRRLHQLMYADRDQDRALPTPSVSVESWAERGYSVVTVQCPDRPKLLYDVVCTLTDMDYLVFHGTIDTNCGQARQEFYIRHADGSPICSEAEMQRVSQCLQDAIERRSFEGVRMELRTPDRPGLLSDVTRTFRENGLLVVQAEVSTKADMASNVFYVTGTTAGQEVHQSAIEAVKERVGIDSLVIEEHRPQLYQKILPDDRNGGGIGLIHLGNFVKRNLYHLGLIKSW >cds.KYUSt_chr2.11208 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71189659:71190702:-1 gene:KYUSg_chr2.11208 transcript:KYUSt_chr2.11208 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSLGDLVGGTSGDALSQLEFAIPAIINWARCRFNGRTESKRFDHGAASQPERSQVVPEEYHLIDEQQQWCSQQDQAAGFLSIGTLGNVIDEPAEEHHPLSTHDDLPEFTIEEAEKLQEVLAKLLWRAKSKSSAHGAEDGLGLPLDRFLNCPSSLEVDRRDLLKRDEGDDDLSPNTKIVLSMSKDLLGNTSNSKGSGIKNKSFKFMLKKMFVCDGGFAPSPALKDPVESGMDKFLGTVLGKKINVRPSSATESKSYCSEDRPNGKSRRGNRSRQEVDDEEEDYCRWDRTDSECK >cds.KYUSt_chr7.4437 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26850086:26850814:-1 gene:KYUSg_chr7.4437 transcript:KYUSt_chr7.4437 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPQPTMGDVAGGSQVYPASAYPPAATVAPGVTPAGSHPTAPPLPSNPAQVSAENQLLYEKSQQFQQQLQQVWAKRLSEIEQDSDFVNHTMPLASIKEIMKADEDVCIIPDDVELVFSKLCEMFVLELTLRSWMHAEENKRRTLQKNDISAAIARTDIYDFLVDIIPRDEMKEEVVGLRGAGQPALAEACQYYFAQEAQQQVHGAPMVYGGQGQPVTYAYQDPQEQQQGPPAEQQSFDESG >cds.KYUSt_chr5.2301 pep primary_assembly:MPB_Lper_Kyuss_1697:5:15547877:15549786:-1 gene:KYUSg_chr5.2301 transcript:KYUSt_chr5.2301 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGLSSGSSQTRPPSAPPSPPPRRKNAQPRRLRTPSPSPPPAEDDDEEHWGGEDEEDGEEHGGQDEEDGGEDGGEDLEEDEGLGVCRRSMFKYGDPRRKPTREYSNILGGLIRKHFPGIVNLPSGGRDVAWTWKHYSYAEDPSGKCTNMQERVVRHFWKYFTRAEGEEIACDVILHELCRVRVTGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQNPPQYVGEDKACFLAMVIWWTSPEYARKHEEGKLKRLEMGGSEDRRDTKLVWPHPETGSVWVNGLAEAQCGAYRSSFKAKHGEDADPTTEDFDVEVAVLAGQGKKGGRLWIADGLVDPKTIPSLRQIRRGRTSEQPRVETRPRASDLAEEKLRAEMEERERRHQEEQMQMQQQLRENMQMQQQMLQQMQQ >cds.KYUSt_chr2.40016 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248526315:248531766:-1 gene:KYUSg_chr2.40016 transcript:KYUSt_chr2.40016 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDNERPYHSNVFHEPVSTGGAKVDCEPEKETKNNLLSDKMVEQTNLSEHTFVKSEHQNGGKTRQIWIDDVSYDKDVAEINLPDDVVSSDYGGNFVKDVCIDEGVLTDKKASVEKVESEKIFPYFDSSTGDANADLTEDIRVDPLETAHKTDIITLHVARATDGNSMEEIRVDHVKTPEVRDLEGNKSTDDSTNTNVENLSPRKSLSNEAAEQCQQKSTVIHETSETHKPFCVVEAIDEVASDDFHEAKASISPEAGNLNGLPVESTSDGFSATNPEEDVGAQLDERGLNPATHYNPFIAYGSFEDSWEPKYALPSIVDDVSVVPICPVGKTDSFSDLVNGGTLRLGVQPSEESNDQRGSLVERTDSFSDLVNGGAGGFDSIVTDETKIKHSRLDSIEESSGRLDVQASEESNDQREDLVNEMRTGGVHGMGTSGAEPSEAMGDNHPKCETDTFEDAHDFNPRDMEEDDTNVIKDKNDSKSTRLAQTESLVQQNGPDSARLMARTGIRNPFESSFSGASITSDALAPSAHIGNVSLRSDSSTTSTRSFAFPVLQTEWNSSPVKMAKADRRRFRRDRSWGYRLLCCVAEMRMLRWMCGHMRKDQIRNDDIRDRVGVAPIAEKLVQHRLTWFGHIQRRPPEAPVHSGRLKRTDNVKRRRGRPHLTWEESVKRDLKDWSITKELAMERAAWKLAIHVPEA >cds.KYUSt_chr2.48167 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301234462:301234998:-1 gene:KYUSg_chr2.48167 transcript:KYUSt_chr2.48167 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCRRSAASPASGSKRSRSPDNVEDAWRLFCKRSATGSRRAACKYDGGEFVPAKLRDFTRGGRWYHEDPPLKPMSGPKFSAWLAEWERQRRVSEAWRATIGSTSGGGAPLAGEEEEEDEDKDPAFLKAIQDSLKAAAEKNKAEEEERAAAIATVKEAEARAAQAEAKADGFIIDLSD >cds.KYUSt_chr1.4025 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24465720:24466241:1 gene:KYUSg_chr1.4025 transcript:KYUSt_chr1.4025 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGMNDRDTLIDLESGNNLVISEYSHGTDANFGVARAASNGSSNEAKDDGNQHMDCSPPCTEAAARNGDDRKSEGEEKLGLLDSSGGEKAKKKRSKKPPRPPRPPTVLPLDASDQKLLNELNELALLKRARIERMKALKKMKNAKHSSTSNFCPMIVTIIFCLVILWQGIP >cds.KYUSt_chr3.14919 pep primary_assembly:MPB_Lper_Kyuss_1697:3:90799654:90805088:1 gene:KYUSg_chr3.14919 transcript:KYUSt_chr3.14919 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDPSSAAHADGGGDLWPFDSLTTSLLFSSSPPLHPLPGTSSSWMLTPQSPLWLFDDRQMMPIEVGPAPAAAPEDTSIPAPLAAEDVHRARSGNSDTPSKTVERLNNKWQFNLSLHDDSTNSSCLFKEKLTHALRCFKDSTDQHLLVQVWAPVKSGDRYVLTTSGQPFVLDHQSIGLLQYRAVSMMYMFSVDGENAGELGLPGRVYKQKVPEWTPNVQYYSSSEYPRLNHAISYNVHGTVALPVFDPSVQSCVAVVELIMTSKKINYADEVDKVCKALEAVNLKSTDILEHPNVQICNEGRQSALVEILEILTVVCEEHKLPLAQTWVPCKHRSVLAHGGGLKKSCLSFDGSCMGEVCMSTSDVAFHVVDAHMWGFRDACVEHHLQRGQGVSGKAFIYHRPCFSKDISQFCKLEYPLVHYARMFGLAGCFAICLQSPYTGDDYYMLEFFLPPSCRDEDDQNALLESILGLINQCLRNLKVAGNGDSNEASLQLSNVIMIENEDSKTNVQFENPEGCFRESLEDDTHGGTHEFDKGNSKVSEVHLLADDNSQNNGASVSRQNGSAASDSSLLHKNGKPPERRRGKAEKTISLDVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPTIPIGPSSDSFNIERASQSKAELSSPVVDGDRDSSLQKSQENGSHFGALMPQQIFVDASNNVQLEADKASHSRSSSGEGSINSRTSEGSCQGSPANQTFVCQPIASTFLEPQLNLQGFTKEPFEEVELPLSRMPIEDSGSSKDLNNLFTSAIDRPILAPRGNFGAMQNSRTVTIKASFKEDIIRFRFPCSSSVMGLKDEVAKRLRMDAGMFDIKYLDDDHEWVKLACNADLEECMEISRHSGTHVIRLLVSDIAAHIGSSCGSSG >cds.KYUSt_chr4.14291 pep primary_assembly:MPB_Lper_Kyuss_1697:4:88049780:88050398:1 gene:KYUSg_chr4.14291 transcript:KYUSt_chr4.14291 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSTATSTSASLGSALTASRPASAAAATAPAEAPCCPYLAPIADQLGALQPYLGPIADRLGLAYAGSIAHGAGADALVKFPGGVAFTVAAMLEAPVEVAAKSSHSTRAAMRTGEEAAMLRFVLPACAVGREQATGPLLSKFRNPLHLSHVK >cds.KYUSt_chr4.8990 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54019391:54021057:-1 gene:KYUSg_chr4.8990 transcript:KYUSt_chr4.8990 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQIPHPPSLHSHPLPEFLLPPSSLSFFRNLLPSRKDFGIDVECSSACMGLDVGEIGMPLDLGLDLKLFVAKTAGRLAAKDPPAVDACIRGLEEERRKIEVFQRELPLCVRLLAQVIEFMKEEAAKRSAAGRDAADDTDKRKWMSTAQLWVDSNADPVPKDQEIESAMPKPMLFGGAPAPVGFGAMPPPPPPASQFFIRDDSKVEGLPVLPVMASRQFSPPAGDDRRHHALPAKFATTMPMPPPGHSLQQTQEQQSRKARRCWSTELHRQFVAALRQLGGPQVATPKQIREVMKVDGLTNDEVKSHLQKYRLHNQKSPGSSSSSHPIMLIGDVWAHQEQLSLHSRSPEGPLQFSGSGVAVSALTGSDSSEEDDRSEMISKALHL >cds.KYUSt_chr7.27682 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172819955:172822796:1 gene:KYUSg_chr7.27682 transcript:KYUSt_chr7.27682 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSGDPPEPSPPPPPAAAAAAAGGEIWGTLEELLLACAVRRHGTASWESVATAVQSRTRPSAAARLSPNSCRLRYRLIHRRFAAGADDDEHAEPDADDAWVEELRKLRVAELRREVERYDLSIGSLKSKVKRLKEERERGLAGEAAPASKKEAREAANGCTEEAGGENGASGEASGRSCKESTSSDLKPPPGHDSGGAPADDGKPEVKEEPDAGEVAVKKESSGESVAGSKEADAEKESSDVQSAASPSRRRRRRRLRKVGGGELASASAPVALPAAEAEPLLAFLESVRTSKSGAVFERRLESQESGEYRGTIRRHVDLEMIRSRLESGGAAGGPGSTTCYASATEFYRDLLLLCANALVFFPRGSPEHAAAARTRALVSKRISADLHKDGPGTSGKDAAAGAVSKKPKADAEVAGSLLEKAAPIIVCRKRSSIAKAAAAAAGKDEKVEKGDTDDGEEEEDEGRKKGGAKDKAKGVRTNKGRGPAKSSAPNQKTGKVSESAVAAEGTKKSDKKGGKPAAAGGVIKKRNAVDFLKRMKQSTVPSTERVSLLETLKLSAATEQKNTGKGDGRKDPGSSSGSKKSAAATESGSGGRRSVGRPPKRAAAPPTPPPSKRAKEDRPTRKRGGKK >cds.KYUSt_chr4.11820 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71849925:71851667:1 gene:KYUSg_chr4.11820 transcript:KYUSt_chr4.11820 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNGGEKKPAVVVAAEDGGAKKVAVADGGDKSKPAAEAEAPVCFKKTVGDDATLIDATKDYFKQLKVTDADTHWGCIKNRVRLAREYVADKTGSSLPFHLTALREPTFLVRRKVFGKKKVEPEVKVDGTPAPAAAAEEGAKPAAAPAAGGESH >cds.KYUSt_chr1.37231 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227556860:227557240:1 gene:KYUSg_chr1.37231 transcript:KYUSt_chr1.37231 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRFSATTVVIAARPPPRALVRVARAETFRCAYSKEGLKACSAMNLSTAVLNGLGLRRMSRPWGSELVEEEMDTENTRLRRLSRALNPEWVLACVLGYIFFNPGRLQRRYNDDRTSPAASRSEQ >cds.KYUSt_chr3.33502 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210310058:210311061:-1 gene:KYUSg_chr3.33502 transcript:KYUSt_chr3.33502 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRRPSSPPQYSAHPLEDDDLLHEILLRLPPQPPYLLRASTVSKRLRRLATDPKFLRRFASTTEASLLGDFSYEVGSFSFRSTLDPPYRIPPRRLSLRSDGSEGWACLDCRHGRILFDDFPRLRDCANPQRAVLCAAGDQGHVHGACHSSPFKLVGLSACHHNDVASIFGSVYSSDTGVWSDLVSTTLPRKGINLLSHSALVGNTLHWLITTDSILEFDLVAQRLAVTKRPLGAPPRHDNVQITRSEDGGVGFAALSGSCSGWGWACARVSAFLMETAWASLVLIIQQPLPQPGKEAHPPWGQGRAH >cds.KYUSt_chr3.43621 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275266740:275269364:1 gene:KYUSg_chr3.43621 transcript:KYUSt_chr3.43621 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHHLLDRTPGRTRASFIVRALRDEVRLDAEAVAALHCTSIKSGAVLDAPVRTSLLAAYARRPGAADVRAALALFHEAADPDMILWNAAVSTLARRCHLADAVALFRQMTAVLGTFDSTTVAVMLSGASRAGDLELGTAIHATAAKRGLDAELNLCNALVDMYAKCGRFRASETMFWSMPCWDTASWNSMIGGSTFNGLSEVSARYFRDMARLAVPADEVTLSSVLSASARADDLFSFGKSVHSCIVKSGYEYTASCSVPNSLVTFYSELGLPEDAEKVFLRILNRNLVSWNAMIKGLVENQKVREALAVFREMTSEYQPDLATLVTVISGCADQGLLCEGKEIHGYIVRKGLLNYEESCIGGNSLLGLYMKCDDSSTADLLFRTMPIRDLISWNTMISGHSRHDTLRVEAQAMFKELLSEGLSCTLTTILAIIPSCSRPEDLGFGQAVHSLILKNGFASGVSVVNALMHMYICCGDSLAAFVLLGSIMPVSDIISWNTVIVGCVHNELHGDALEAFRFMHSTLRVNPDSITLVSVLSACGTLKLQSLGKSIHCMSLKHLLACSLRVKNALLTMYFRFVDTESAELIFYSLGDRNLCSWNSMISGFAQNNQGWRALQFYQKMEDFAPNEMSTVGIICACTQLGDLRHGKSIHGRVVKSDLQNNVFVSASLVDMYSKCGRLRSAVKVFESSAEKSIACWNSMISALGFHGHGLRSIELFCKMIQSGIKATRSTFIALLSACSHSGLTDEGWKYYHLMSEKFGITPTAEHHVCMVDMLGRAGRLQDAHKFVESLPSKGARGVWGALLSACSYTPELKMGESIAKHLLCLEPENSGYYVTISNLYAYQDMSCGAVQVRGILQHKGLMKPRGHSIVG >cds.KYUSt_chr5.3696 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23862913:23863670:-1 gene:KYUSg_chr5.3696 transcript:KYUSt_chr5.3696 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMSYAHADVENLKATELRLGLPGAEESDKMPTPPSTPRAGNKRALAGDLREEEPKTAPPAAKAQVVGWPPVRSYRKSCFQQQSTKSKVSAPAPEKKQEEVAVAAVVAPPAAAGSAGSLYVKVSMDGAPYLRKIDLKMYKGYRELREALESMFLGFSGDASSVNPSDFAVTYEDKDGDLMLVGDVPFEMFMSTCKRMRIMKGSEARGLGSSKE >cds.KYUSt_contig_1546.75 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000163.1:458511:459826:1 gene:KYUSg_contig_1546.75 transcript:KYUSt_contig_1546.75 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARVVVYVPLSTRSRCPVDGWQGAPDGGREGRPGRHAAIKESAAGEYKEEGNQFVEMGHKHFTFKESAACEYTKAITQMGALSFLNPDSSVLLANRTHAHYPVAKAALVLDLLIEAESFSRRGFEQEEPKKLLASNSIKGTSYCSTEGKLRAEAEEGSVPGAHLSKQAEAVSAVVLHCPVVLLLYPDVMSSDFIEDFSGD >cds.KYUSt_chr2.18572 pep primary_assembly:MPB_Lper_Kyuss_1697:2:116957140:116960073:-1 gene:KYUSg_chr2.18572 transcript:KYUSt_chr2.18572 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHLLLFVAIVTVALFASPAAGEYPWLICSSSTYTANSRYEKNIYRIGAILPKKASQSPDLFATAQVGAVPQQVWALALCRGDANASYCFTCLDQAFRVLPDSCPYRRSATVFYDSCVAQYSNIHSRATDDTTYNPTRPTLFNINATVEPARFQRVVAALINATVNYAVYNSTRLYASGEADLDQEFPKVYAWAQCTPDLTPERCRDCLVQNMEYLPTMFTSSIGARLLGVRCSYRYETVPFFDGPVMVRLPGTAASSRAPASAPAVTPATAAGEGRKYSASAFVLIVALPTIAVINLVACFLLWRRRRTLEQEKQPSYYTEAEDIDSVEYMLIGISTLRSATGDFAEINKLGEGGFGAVYKGTLPNGEEIAVKRLSRSSTQGVEELKNELALVAKLKHKNLVRLVGVCLEQQERLLVYELVSNLSLDKILFDTEKREQLDWGKRFKIIKGIARGLQYLHEDSQLKVVHRDLKASNVLLDTNMNPKISDFGLAKLFRRDQTQDVTNHIVGTYGYMAPEYVMRGNYSVKSDAYSFGVMVLEIVTGRKNNCYDTQQSEDLLTAIWEHWTAGTVLSMMDLCMRSSFSESEVLRCIHVGLLCVQGNPTDRPLMSSVVMMLGSETVSLSTPSKPTFYGRDNAGANSGTVFGSNFSVHDGPR >cds.KYUSt_chr6.31137 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197346181:197346570:1 gene:KYUSg_chr6.31137 transcript:KYUSt_chr6.31137 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTRAAGRLLPASTLLGAARPCRRTYSSKVHGIFINYVDHGRPHLFARPTSSRTVDAMLDFLPDDDRLRLWWSVLDHSGGLLLCDMTVELCVCNPATRRWTLIPRLQRQREGKSGVYLAFDPAASTS >cds.KYUSt_chr1.115 pep primary_assembly:MPB_Lper_Kyuss_1697:1:719205:719901:1 gene:KYUSg_chr1.115 transcript:KYUSt_chr1.115 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLLPLIESDRGADILEVTYSYNTSGKLFDAPPATVTVRRTGTGGVPEIDDPAAVPEVQTEEARLKTVTMMKQARAMADGKNLGDARDKLVEAQNGLEDIVEQSSPLVDMLRTELQQLLKLFKSQDAKKLDKDPTAPVPSVEEDVKEEVAANPLGPIAGPITFYIQAAIQALQTIEKLISKQ >cds.KYUSt_chr3.39593 pep primary_assembly:MPB_Lper_Kyuss_1697:3:249471071:249473483:-1 gene:KYUSg_chr3.39593 transcript:KYUSt_chr3.39593 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGRAGCTLDRKASPTENALKRTSSRGKIRLRITVGPYNLGPGYLAAPHQAASVRRLSNKERFPGPDSGGPTEGSTANPKDAFGLALTTLTLSIEVGKTRGVPGASQQFPNCAPEELGDMANEEQVISVLMFITQHTSPIRWAMSLLDVAISG >cds.KYUSt_chr4.43631 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270512830:270513324:1 gene:KYUSg_chr4.43631 transcript:KYUSt_chr4.43631 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPLVQVPLLGARASPFDSNKIEFVKTPSPEKEAAKISELDLAALIISGRKKGSPGFTCRMIAEGGKPCIKSQETGQKCARRLERVDQEMIDCFMTLQPAPPVLPRISCRPKLDQIELDAIRTVQDLRDFEWDILTQFSTQEYALAWLCDCGNEEEDEEDVV >cds.KYUSt_chr3.31082 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195020950:195021285:-1 gene:KYUSg_chr3.31082 transcript:KYUSt_chr3.31082 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQFGLSANNFGEGWDDLDSIHLHQEEAGWEDSGLDDIIIILSGFDGFGCSPAPFDVDNGGGNLVEYWTDQKMEVAAFGPFTGVVHGWHGHDGVGRREELLLGLPILEQL >cds.KYUSt_chr2.47051 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294358752:294369681:-1 gene:KYUSg_chr2.47051 transcript:KYUSt_chr2.47051 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGFVFFARIFLAGAFGGILVDERTRRRVVVDEKTPPGTGAGRRGDWGISAGENGDMQANWREWGYASKLEGKSTGFGFLVADYAGPHDVPLSFHVSPNCPRPCSATVAAMCLFFDSSIVFFSAAVERHSRWRRSIHKENLGSARRGMGLKAPPRRNVLSAINTGGVNGSPAPSEVGSEAGAAAPAVEFSGREDVERLLAEKMKGKSKNDYKGRTEQMSEYIKRLRACIRWYVDLEDGYLAELEKLQGQIDAENTRHTEFEAQLSDAFQELIAANLEMTTRCNSLEESFNKEKADRLVAEESYDKERQERESAEASRDVLMVDLERVTHEAKRFSEQLKMVQDTNKRLQEYNASLQLYNSNLQADTSKNGETISKLQREKSAMMEAMTNLKDLNNSIKNQLDSSMTSQKEAIRVKEELRKEVECLRTDLQQVRDDRDQSVAQVNTLTAELAMYSEQARTSSKDCAVLSSKVSAFEETCSSQQKQIETLQKHLADATEKLKHADVTAIEAMTGYEEQKGTIKDLEERLAYAEFQIIEADKLRKKLHNTILELKGNIRVFCRVRPLLSDGDSKSQEEALISYPSSVENAGRGIELINQGQKCSFSYDKVFSHSASQDDVFVEMSQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGREQKGIIPRSLEQIFKTSQSLESQGWKYCMQASMLEIYNETIRDLLAPGRSNSVEMSAGKQYTIKHDAQGNTTVSDLTTADVFSTADVTSLLEKASHSRSVGRTQMNEQSSRSHFVFTLKIFGSNESTGQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQAINKSLSALSDVIFAIAKNDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNIAPEASSVNETVCSLRFASRVNACEIGVARRQTQARSSDSRLSYGSSDSSELVVYLLPGRELQGIMNELMILRWSAGLEEAAAAENKNKERRFWCVVEMDVEIQQPQEVGKSRVVKVDKDEAWELFTTQASNEGRTVVAHFGASWCVTSLSMNYKFEELAQTHPDKLFLFVDVDDVQGVSSKLGVKAMPTFFLIKSKEVLKKIVGANPDELAKMVDSSADDSAVTTLPDIVIQ >cds.KYUSt_chr2.3838 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23135130:23135705:-1 gene:KYUSg_chr2.3838 transcript:KYUSt_chr2.3838 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQSAAAKPAASALSKGAPSPSFRLRNGSLNAVRLRRVFDLFDRNGDGEITVEELAQALDALGLEADRASLAATVGAHVPEGASGLRFEDFEGLHRALGDALFGALADDGEEGGGGEDEEEMREAFKVFDVDGDGYISASELQEVLKKLGMPEASSLANVREMICNVDRDSDGRVDFGEFKIMMQGINM >cds.KYUSt_chr3.42378 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267907783:267915674:1 gene:KYUSg_chr3.42378 transcript:KYUSt_chr3.42378 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLCRLRASYETPVFDPGDGGASVVPSGHEAGVWQRVHHLRQKHCRSEAGLRTKTRTTTTITEIRARPTEVAQSPRDEMNGDVVRMGDNNPREIVGIGSVQMKMHDGMTRTLTDLRVFGCTAYAHVDNGKLDPRAVNFVFLGYGSGVNAYVTDAVVFSGNSDDEQQRIGVQVEHVEEKENDVAEIDNIIVQHSPPVLQQTNRFIAADRPRRNKGIDYNDVFSSVVKHSSIRAFFDIVVMHDVELGQLDVKTIFLQGELEKEIYMDQPEGFVVPGKEDLVCKLKRACASTGGCNQSALVHEQETSKKYGILSREKPESSLLQIRGAFRVSSAGRGFHFGAKAVLGSMARGRGMLVGKNPTKTLAATLLLLLLLLAVSPLCARPAASQPLHSEPMSTDPPAAAPPPPPPQSPQPKIPHAQPGGAARLRRIALGVLFGSLAGFLLSLAFLYAIRAAVLHARDAPAVARGPVSFTPQISPKSLQLALPSARPLARGTYHKLVLDGDLTVAVKRLDTAFAHPDSSPLPPLSKADMRRVQRLLEQLARVRHLNVMSLKAYVREPDRLSLVYDFVPGGSLEDVMKRVRSQQLSLDWDTRNRIAAGIAKGLRYLHFECSPRILHCNLKPSNVMLEEGFEPVLAGCGVARLFDSGLAADPESSGSLYTAPECYQSSRYTDKCDVYGFGLILGVLLTGRDPTDPFFSGESGRGGLARWLRHMQHSGEAKEALDSSITGEEVDEEEMLMAVRVAIMCLSDVPADRPSSDELAAMLTQLHSF >cds.KYUSt_chr3.47606 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298506381:298507685:-1 gene:KYUSg_chr3.47606 transcript:KYUSt_chr3.47606 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAGVPAAIQKMEAGSRVTPCNVETLDLKSAVSAMSDVPMAKLTMQAETDDEVFLLWEPGYKPAAAPPSPNILSARYKGHDADARGRVDCQFVDFDLEEESPLQPGRHTDALAPSLHCSANVLSVKVASSGAAGFPLSLYGSILVKDALDNDGICLFRRERDDPQIITSQDESLLLTGPTRGLVAFDYLDFEVDLKLKTRLVEDDVDFSRGTIMHNCIFDKSPSISNKLESPHSILELNYAPVRRALESTVEVKILKDSTQEEDDGNNMDAFVGGLYGKISARNSGLSEEIVLFDSGASGVAIALGDDGVLKLSRCVVAVPVRDSLVVTVETWAGCGGDDLQEATMKSSFTFMPLLCGEGRAIVPCARHTMQIRVFWSALYIPFVDP >cds.KYUSt_contig_686-1.944 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5723634:5723888:-1 gene:KYUSg_contig_686-1.944 transcript:KYUSt_contig_686-1.944 gene_biotype:protein_coding transcript_biotype:protein_coding MDITFDSKGAYIAIHYGGVYNAIDFGETDIAIGQGCTILSTIATPMTPSDVAAQHTGFITSLDLGILHQLHHSPTVGGSLDPCK >cds.KYUSt_chr7.11140 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68385098:68393208:1 gene:KYUSg_chr7.11140 transcript:KYUSt_chr7.11140 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRKKLVGRYEVGRTIGQGAFAKVKFAVDTDTGAPVAMKVLDKATVLDHHMLKQIRKEISIMKIVRHPNIVRLNEVLAGQTKIYIILELMTGGELFDKIARQGKLRENEARKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDSRGNLKVSDFGLSTLSQNGVGLLHTTCGTPNYIAPEVLSNDGYDGSAADIWSCGVILYVLMAGCLPFEESDLATLYDKITAAHFSCPDWFSPGAKSLIQRILDPNPNTRMTIEEIRADTWFKKNYVALRRGEDENVSLDDVQAVFDNIEDKYVSEQVTHKDGGPLVMNAFEMITLSQGLDLSSLFDRQQDFVKRQTRFVSRKPPKTIVATIEAVADSMGLKVHSQNYKLRLEGISANKMSPFAVVLEIFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCGKLESIIWRPIEVSAKSVLLRTTTC >cds.KYUSt_chr4.23482 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147838787:147842598:-1 gene:KYUSg_chr4.23482 transcript:KYUSt_chr4.23482 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALGREAGEPGTASAAVMEAKTKEKKDGVVKEVIRLERESVIPILKPKLVMKLAYLIEQDNDRAEFMKLCRRVEYTVRAWYLLQFEDLMQLYALFDPVHGEKSLEQQSLTPAEIETLELNFLTYLFQIMDKSNFKLLSDEENDVAHSGQYLLNLPIKVDESKVDNKLLKKYFKEHPRDNLPEFADKYIVFRRGIGIDQTTDYFFMEKVDVIISRVWRFLLKVTMIDKLFSKKRELRPKKDTKKTDEVNEEEEQADLVVERIRLEKMELSIKNLLRKMTIQEPTFERMIVVYRRASRETKPDRGIFVKHFKNIPMADMELVLPEKKNPSLTPMDWVKFLISAVLGLATLISSLEMPKADIWVVTAIVSGLIGYCAKIYFTFQANMVTYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEIKEVIVSYYILMEQGKATIQDLDLRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRIICVPLKRANEIIGTTTEEMVMRAQQAPAGP >cds.KYUSt_chr2.1325 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7850881:7851207:1 gene:KYUSg_chr2.1325 transcript:KYUSt_chr2.1325 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVWVFRKDGVMELERSPTAASGRGSKALVYVPANETMRSLPALERRLRSLGWERYYEDLAVVQLHRRDGSVDLISLPRDFARLRSTHMYDVVVKNRGHFKVVDI >cds.KYUSt_chr6.18246 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114720689:114721572:-1 gene:KYUSg_chr6.18246 transcript:KYUSt_chr6.18246 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLGLLALVLLLASTMAAAQNCGCAATECCSRFGFCGTTSEYCGTGCRSGPCTVPVTNNVSVASIVTPAFFGALVAQAAADCEAKGFYTRDAFLTAIGGYPSFGRTGSDDDSKREIAAFFAHVNHETIKFCYINEINGPSKDYCDPTNTEFPCAAGKGYYGRGPLQISWNYNYGPAGQSIGFDGVNDPDAVARSPVVAFQAALWYWMNSVHDIIVSGQGFGATIRAINGALECNGKNPNAVNDRVAFYKQFCQQFGVDPGTALTC >cds.KYUSt_chr7.24785 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154474617:154480781:-1 gene:KYUSg_chr7.24785 transcript:KYUSt_chr7.24785 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGKGEAAPAAVAGGEGENMAAWLVAKDTLKIMPFKLPPLGPYDVRVRMKAVGICGSDVHYLKEMRIAHFVVKEPMVIGHECAGIIEEVGGGVKHLAVGDRVALEPGISCWRCRHCKGGRYNLCDDMKFFATPPYHGSLADQIVHPGDLCFKLPDNVSLEEGAMCEPLSVGVHACRRADVGAEKNVLIMGAGPIGLVTMLSARAFGAPRIVIADVDEHRLSVAKSLGADATVKVSTSTEDLAGEIELIQAAMGGDIDVSLDCAGFSKTMSTALEATRPGGRVCLVGMGHNEMTVPLTSAAIREVDVVGIFRYKDTWPLCLDFLRSGKIDVKPLITHRFGFSQTEVEEAFEGNVDDEAESGAGFFDLNQPLDSDDEDDNHEPIQENEESGGEGNFDEDVSSQPIVPFVGMQFDNEDVALKVYNEYAYKMGFRTRICSSKYSRKRGCEQVLINMVFECVHTRKGAAAAATLGDTSESAARKQCSATHMSSRSKNSSHQPASASMEMSDSRQRNRVVRHNCKAHMIISLREGSFIVTTFTSEHTHALLKELGRHRYYRSHRKIPEEDLEFLELMHN >cds.KYUSt_chr6.28465 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180363261:180364607:-1 gene:KYUSg_chr6.28465 transcript:KYUSt_chr6.28465 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNKDDAFYRYKMPRMLTKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTSKLAGLLENFIKKYVQCYGCGNPETEVLISKKEMITLKCAACGFLSDVDMRDKLTTFILKNPPEAKKGGKDKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGASSKDGAAKGATKKKGAGSDEEHATSPTHSGDADFIVAADDDEDDDDVEWATDTSAEAAKKRMQEQLSAATAEMVMLATEETEKKKKQAGSTNGTAKTEDKPNGNHAVAKPTPYDELVAEIKANLGNAATAAQLKGVLSSSTLPQKDVISALFEALFHGASKGFAKDVMKNKKYLAAAVPDEAAQMFLLQAIEAFCGKCSAEALKELPVVLKFLYDGDVLEEDTIVQWYNEAVAAGKDSEVVEKAKPVVEWLQSAESDEE >cds.KYUSt_chr2.25837 pep primary_assembly:MPB_Lper_Kyuss_1697:2:158035951:158036631:1 gene:KYUSg_chr2.25837 transcript:KYUSt_chr2.25837 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEEKGLVLLDFWVSPFAQRCRIALAEKGLACESVEQELLGDKGELLLRSNPVHKKVPVLLHAGCAVCESLVILEYIEDAFAEATPRLLPADPLARAHARFWADYVDKTVYGIGTRLWKLKGEGMAAARAEMLQTLRTLDGELGGKAFFGGEAFGFVDVALIPLTSWFYSYEKHGEFSVQEEFPRLAEWARRCGERESVAKILTKPEDVLDFISLLKKCYGIVE >cds.KYUSt_chr2.29600 pep primary_assembly:MPB_Lper_Kyuss_1697:2:182098541:182098915:-1 gene:KYUSg_chr2.29600 transcript:KYUSt_chr2.29600 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLRLLPGRATFYSAMTRERSLSGDSYRSVIDVDDLSLMKWETEPVRVRFQCRYPERIKGSVLVL >cds.KYUSt_chr1.30946 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187422617:187424528:1 gene:KYUSg_chr1.30946 transcript:KYUSt_chr1.30946 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRLKTTYSMLGLNGRVLPPPPPPQPEPEPDADNSSDDDVDPRFAVWREANFADAEVEAAEEAAQWVMGKKRVVAAMTTATTPVFPFTAAADETEPPHHFSDYGFDPQLLCFPQPPETKRFKLHKPISKKRQRTQAQHSDTKRRRRWWSSAAAAALHFFLKRPSSSPAARTAGASSCSSAATFPPTGPLYFADDGGDDATGCTCWSPAVRSGRLAAAELGVASVAVPYASLRDIDFGGGVGGAGWAAPSMPIYLVT >cds.KYUSt_chr7.11679 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71728117:71731086:-1 gene:KYUSg_chr7.11679 transcript:KYUSt_chr7.11679 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEEAAAAGASAEADLSGGGAVLSFEFAFNSPNFSDRVLRMEIIAGENAPGSSENASGESIANHRKEKGYKGQSSGSSSIVVGAPVLREKTIYINSAVLAARSPFFLKLFSNGMKESDQTHTTLRIADSEENAVMELLSFMYSGKLTTTEPAHLLDILMAADKFEVVSCTRLCSQLLTSLPMTTESALLYLDYPCSISVAAEVQALTDAAKEFLVNKYKDLDKFQDEMMSIPLVGIAAILTSSDLQVKDESFIFDFLLEWVCTQYPKLEDRSEIFCSRLLPLVRFEHMSWIELGKVLTFIYNIMDHEQAVTKRIIEVLAYKLDPSWQQTSHAADSTTCWQLPERAYTYNPVEVVSFDRPRPQVIVYMDLMRDECSRLFPSGDKYSVPFHLVGLQFFLTACCELDQQSNYNSFGLWFGIDEEPDKPISLTIDLQFAARVKSSGHFVSMFEGRYAVTDDSMRGCNDLFDVPWSTFIADDSLFIDGVLHLRADLTVVKQPADLQT >cds.KYUSt_chr3.18288 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112407871:112409858:-1 gene:KYUSg_chr3.18288 transcript:KYUSt_chr3.18288 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLLATTSAVYYAFSSREQFYPAMVYLSSSKICFVLLLNTGLVAMCVAWQIVKRIFLGTLREAEVERLNEQSWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVDYIETTPSVPILSHIRIVSFMVFLLAVDCIFLSSSLMSLIKKREASVAIFFSFEYMILATSTVSTFVKYVFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRVSDYVRYRKITSNMNERFPDATADELDA >cds.KYUSt_chr2.53492 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333679577:333683877:1 gene:KYUSg_chr2.53492 transcript:KYUSt_chr2.53492 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSVVPAAGGHQEDIAPLPLIRCPRCNRGTTIWFISSTEKNPGRHFYKCEYHGTPVCNFWKWEDKYVEYIIARWGHVFSPAPLQAGTDELKAMLLQNKADIKNIKWMLMGWITVVALLLAAKYQPSFTLHPVFTPHTHSLPLPPLQALDPHLVLQQPEPVWDTEVFGIPCGSRDIHAPESQCSPNTIKFIRSALGMTDKGNQILKVSESVIGRPLDEHTSSNLEKDCFKISFVIFVMGHLLAPSTKHDNRSIDFWSALSNTDNIQDFNWCEYVLQDLFAAVKTVKDDIANNRPTTHLYGCHLWAQIFYLDNLDLGIFNLRHSVMPRVAAFDDTQMRRMILQCSTTVNGVEQWSGATVRDASQICYMRAIADSPPDQITPTMSRSRPPVFPHHAPNLADAHISHTGSALTKPCPTIPSTQLLTASDYATYMKDMYPLLASSELGIILKQHNALGVQQANAVRNNSINESTRFLDKLVQVLSDTCICCSLRSLPCLVQQRHQNSHSNPSASNLFRRRLDQADSDGTVSNYILPMSVGHNCYQPSVFVFTADSSSTRSTKRCRPAETPDTAPQKDQQGIQSERTDSGSSYLTPKDKIIRHKNTRDVKIKNWASSVRDSILMYNDDTSTPPHAVLIGQTADPPTKLCAKHTHYAIDHWAALVDVEYQSIAQQDKLSFIVMSIPQPNGPRSFMSHPHPRFISMPIKTIVDQLVGHTFLEHELCCLIIRRLRQLDTTVLYTTTEGAWRHWFEVDFSVSEKAWDIKSVQDQFIGHGVSYNIALCRLDSIIREKGLLLHQLMKLHGNLIEVPTGIKAITSSP >cds.KYUSt_chr3.28726 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179293496:179297628:1 gene:KYUSg_chr3.28726 transcript:KYUSt_chr3.28726 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPTEFTSYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIASAPPRVVGHGAGPSGLTPPALQNDSQSGAVEGRISGWSAMDRRRAPPPPIASVGNSSKQKAPVGNDAPISKDPATSGSNLLGRSSGSSRRAAVSSSRDAMASDTYEPSRSRTTDASPGAFRRASGTQRSPPIDSAEPKRSSSARHPSNTKNYESALKGIEGLNFDGDERVQY >cds.KYUSt_chr1.19026 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111743324:111750105:-1 gene:KYUSg_chr1.19026 transcript:KYUSt_chr1.19026 gene_biotype:protein_coding transcript_biotype:protein_coding MGNARSTARVLPFKTHVPDLEWKIQDFSLLLDLGAKSATSAAFHCSGFEWYLHVNPMHENPGSGTPYVALFLMLSRKKLKRGDTVHAVFELSIYNHSKGMYCGCQANYNFDFKNDRSKKECLIPLKELLKSSTFLVDDICVFGVEILKVDVSSPEEKAVVVQKKTTTVQNMFLQNKGFIEGTYTWTINNFSELDSKHFVRSPTFEVGGLKWYIGMYPRGDKFSTDSLSLFLYVDASKNLPLEFKNVAVMTLSILDQKNGKHLTRTAGLWVCTYGWGWSDFLGLKKLKDPSGGYLVMDPEYAPGVLDLNEPVPEDDSVFDDLQKEHTPLDNTRTASNADVTRSSEQNKHASGSNIGASSEQSKHASGSNMGASAGTDPITGETLSTDDSGGDDDDEVQSTPVSQTEVQTPYPGMIFDSWDEAKMHYNRYAKKLGFSIKCSTSKISTIDGQKDKQMFVCNKNGKNEDINMQEVAPVRQRNKSITKKTECKARLRIKRKGKKWHVTYFVEEHNHSMIKKFSLKKYLRSHKGIPKEERDFVKLLHKVNLSFGRVMRIMGEVYGGLANVPYDAKSVSNFMATINEDQTHKDMPKLLSHFAKIKKEDPDFYFNLHTDHADKVDRIFWVDGPAIAAYKNYNDCVSFDTTYMTNMYNMPFAPFIGINRWHIMQNAQAVLGNFLSKHEELRQELNAIIDYSMSVDEFETRWADMLRKHSVADNTHLDDLYRLRATFVPAYFKDRFFPFLQTTARSEGFNAVLKTYSNPHLSLHHFFEQYLKLQEKINVAEDSVEFMDEDKTFRVWGDYPLEEQALNVYTRPIYLRLRAELRKVTSYNVQLIGGQSYDVLPIKTYVYGYGSRSYQVEANVETETYSCECCKFSRDGLLCCHIFRVMVQLGCINKIPEKYILDRWRVQEETIVEEKMDLPKQPVGRKMNNRERQQLRYGTLCNDYTRVARMASTSEKGKAIADKYILALEKELLEMKASESAKRKKKKQAAPYSDEAPDVENVGDDGQGNSSKFDHVEDPVYTAKQGRPAEKRKKSGLHLKATKVVKCSVCGSIQHTAATCKDKITPGPESKEIDFFREMV >cds.KYUSt_chr1.38078 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232527686:232534878:-1 gene:KYUSg_chr1.38078 transcript:KYUSt_chr1.38078 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARPSACRGGDGSPAATARAPSGASSRGFCARAVSVDDEAPSSSAAAAGGVYDLSAPYLSVRIRCRKEDADLLSESLLCFGACSVTVDDIADAANLDEISITTIFAYGENVGSSVSSAARSAGLDYSPVYETSVGKQCDWVTLVQESYESTKVIDGLWIIPKWRTPPDPQATNIIINPGLAFGTGEHPTTKLCLLFLKEVIKGGERVLDYGTGTGVLSIAALKMGAALSTGIDIDPQAVASAHENRLLNGMDSNKMPVYLVPAGAEPSSFSSSIDKSEERKPSSDPELKSSKGTFDIVAANILLNPLLELVEDIVGYAKTGGTVAVSGILCEQVPKVEKAYSRYLDILSVSEMDGWACLQGTRKA >cds.KYUSt_chr1.34820 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212118443:212121410:1 gene:KYUSg_chr1.34820 transcript:KYUSt_chr1.34820 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSWPDSRKFLFAPENLCYGSKPGKMASVSCTGFLERSIMAIPYLLVPIRRPSSWHERELHQIRRRCLCHIPATLVNLSSLQELQLSANKVSGPIPRYCGHGLNRERIQSPLPLPLSRRQGPGHAGAAAPEALPAAPPPPYRPLLPRPPPGGSQSHAQWREHRSSLCHLRHLLSSLSSRVILSLVTPVSAFAAAVAAYNTLVPDHALTASPLPYQLTARR >cds.KYUSt_chr4.40562 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250384062:250386315:-1 gene:KYUSg_chr4.40562 transcript:KYUSt_chr4.40562 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAASSQETLSFPPGPTLRRRFRRTREQFSLEHLQQLVTKGLWADAARYVKSFLPTGPRSFDAQVLYNFLLMHHYIASFVAGDKAALGLILDNEWMHYVTFAHEADDDRLPPPVMARSVLFIQGVRACMDWEEVRRSAAFSLRRLVWQTPELSGSLTLPANHMMPHDVLPIGLGFCRRRQVKKQVPRVKSVAVARVIKRSRRHIRRLERSSLESFHEAKKWLSTVIDNSLHIGMPSECYARQPSGKEGSTILPVWNLPNHAGSCGMTAVKNAEDTKRFNQLSLYGCRRKIAPRSSGMTTDHILVHCPCARQLWFIVIQKLQWEIPIPEPTDTPGVEWVYLCHDSLRSVT >cds.KYUSt_chr3.39513 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248950681:248951178:-1 gene:KYUSg_chr3.39513 transcript:KYUSt_chr3.39513 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDLSGNKLSGPLPENISDQLSYITNLDLSNNSFSGEIPAGIANMTYLNTLFLHYNQFDGRIPAQLSNLSRLVSFSVADNSLSGPVPDSLQGFPSANFTGNPRLCGPPLDKKCKKRFRVRIHIRPIRIHVKLRRINDASSIGAAAGFVVGFVVAFYFPQVLIL >cds.KYUSt_chr7.25412 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158557791:158559803:1 gene:KYUSg_chr7.25412 transcript:KYUSt_chr7.25412 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLGRQRGEPLPIVGAIESGVAAVQQAMQLRRHVACVVVGVLQAQRQRHATAEVGHVAAVRHEPGVFAVVRERVLKKAAPPRLDRALASMPDIGFDLLMDKILHGRSRRRRPGRRKELELTTEELWSSSVVVDEEEAAKANPMAGASLHRLARDLLRSEQGELAPRRSSARRSFGRRPAARGARNCPEARGVEGKHKADDAMPETSLGLNRASSPSGGAHRAGSSAGCRWREARASGRWREAPAA >cds.KYUSt_chr7.23522 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146586223:146588442:-1 gene:KYUSg_chr7.23522 transcript:KYUSt_chr7.23522 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGLPNTAGDLLRQHGKTRGAVHDDDDDDYCLKGVRHLSDAGITRLPGRYVLPACDRPGRSAGAAPASARVKLPVVDLARLRVPSERDGVLRTLYAACRDYGFFHLVNHGVGAQSVAAMLGVGARFFELPFPERARYMSPDVRAPVRYGTSFNQANDAVLCWRDFLKLSCAPPLGDVVPSWPDSPADLRDVAAAYAEASQRVFVEVVGAAMEAMGISGAEDGVMEELTSAGSQMMTVNCYPACPQPELTLGMPPHSDYGFLTLVLQDEVEGLQVMHDGEWLTVDPVPGSFVVNVGDHFEIYSNGRYKSVLHRVCVNSTRPRISVASFHSVGAQRVVGPAPELIDEKRGGEPRRYMDTDFTTFLAYLASAEGKHKNFLQSRRLD >cds.KYUSt_chr5.39363 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249125823:249132649:-1 gene:KYUSg_chr5.39363 transcript:KYUSt_chr5.39363 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWTVPSAALLFLLLLAAIFAPAGGVEILSKSRLERCALDSGAGGSLACDHKIVLNLAVPSGSSGREASLVAQVVEVEKNDMQAMQTVRDPPVITINKSATYAIYDLSYIRDVAYRPEEKFSRTRKCESDAGADVVRECERLRDQNGHIIEHTEEVIVGPENKTVVSKDNFLRVNLIGDYVRYQSLPTFEDFYLVTPRKGVGDGQPQDLGDDFSRWMLLERHAGVQTFSFGITELVNTNLLIELSADDIEYVYQRSPGKIISINVPTFEALSQVGTAQVTIKNIGKLEASYSLTEQFFIMKPDEVLIRSFYLRSSTDQASKYRCTTILKGSDFSELDRAECQFATTATVFDNGTQIGPPNERRVKGFFEAIKDLWLSTWDSVVDFFTGRSCSTKCSSFFDLSCHIQYICIGWLVMAGLLLCLLPAVAVLLWLLHQNGLFDPLYDWWEDVFGPPETMQAKRGRGHQAHAHHHHHGQHPHARKKRRSGVPGQHHHHHHHHHRHGGQPDAAAEGGERHRRSPALGVQHRDGDHKHHRHGKAPQRERESDGGGVEYKEQRGHRDRRGGGDRDRERERHHSRAV >cds.KYUSt_chr7.37778 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235547161:235550927:-1 gene:KYUSg_chr7.37778 transcript:KYUSt_chr7.37778 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVGGRRRRPAVDERYTAPQGLYPHPDIDLRKLRRLIVEAKLAPCHPGADDPRADLDECPICFLFYPSLNRSKCCAKGICTECFLQMKSPTSCRPTQCPYCKMLNYAVEYRGVKTKEEKGVEQIEEQRVIEAQIRMRHQELQDDAERLKNKQTAAASTDAITIVECCDTGGTSTPAAAGVQGNDVLLSQAQQSELLLKNAERLKQMREGNFDMDLEEVMLMEAIWLSVQDQEALGNPGSTGAIPPTLPLRCNNASVSVPAEAAPSGGFACAVAALAEQQHMLGDSSSTATCQTSRHDILSRSQRSFTDDLSIAGSSSSGTRVEEPSNSRTRQIREGAEYSNNDGWSEAAEAGTSFAGSDVTVEAGAANLAASDVSSIGTVPDSFEEQMMLAMALSLADARAVGSSPGTTWR >cds.KYUSt_chr5.6429 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39763598:39765052:1 gene:KYUSg_chr5.6429 transcript:KYUSt_chr5.6429 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGKKIKKARREEIATATLPDDVMEDIFTRLPAKSVLRCRCLSRHWAASLSSDDFADRHLHRRRGAVVPRKLLFFSQNSRARDNNTSVHGCSLHSPDDDDGAPPLMAGLPRGLRNSGVGQCNGLFILRNGITWMYYVWNPSTGQMTALPRGRSTMGRPWPSDHHSADNCCESLGLGYDARSKKHKVVRVCHRAAVCEVYELDSPTGFWRPPAAAMALQPCRAHYPSVFAQGHLHWKARKPHEHALIVSFCLAEEAFGVLSPPPGAGRFVLSEIDGRLCLSTSAGEVRRQKRYDIWLLRDHEAGGAWDLLCRVDVSPKCLRPLPREKGFVEGGVMPLAFTDGGRRVLLRPGLHSDPRRLCAYTPATGEMEELPLGGASVTHYGESVTQAMLLLPYEETVVSPGTPYERLVFSSASAQALRLALRRLPALDLRRLKLVCRSWRAMVESDRFLKLHDEVDHAGTGLDMECYMRRLEEAEIFVLCP >cds.KYUSt_chr6.13547 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84887126:84891003:1 gene:KYUSg_chr6.13547 transcript:KYUSt_chr6.13547 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFVGLGHLFVVSFLFHFSSFMVLPSITDVTMDAVCPGQDECSVAIYLSGFQNAITGLGALVVTPIVGNLSDRYGRKALMTLPVTVAILPLFILACKRSEVYFYVYYVAKIVAGIFCEGSMHCLSLAYVADHVGTRRRAAAFGLLSGVSAAGFLSGTVTARFLPTSSTFQVAAAVAAAGAIYLRAFVPDSGAAVSFDDEACDPLLQGSPCSSATSSSSSSDGELSPRLPPYKGVLPSPSDMVALLTSSLTLSAAAMITFFYSLGEHGLQTALLYYLKAQFGYSKDEFANLLLIAGAAGMLSQLTVMPVLAPIIGEEMLLIIGLLGGCTHVFLYGIAWSYWVPYFAAAFIILSSFVHPSIRTNVSKSVGSNEQGIAQGCISGISSFASILAPLIFTPLTAWFLSDTKPFDFKGFSIMVAGFCTLIAFVISTRMRGERCGASEKKGMTEKQLDGQGKLHARF >cds.KYUSt_chr3.1410 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7833165:7835402:-1 gene:KYUSg_chr3.1410 transcript:KYUSt_chr3.1410 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQDPHRVREEVTPRLAEALRILGQQVDLLNLLDPRLQACAQPSPAQLASHRGENQGDARQLRVIIAVLTNSNPHLNVVADLLLSALKRALDSGATRNPLPFLGHSHSQDQIMDYLLTIPRTTHASFHPNRFPVSIHIALASMRLAVDYHLDKCICILRRIGLPDRTALYQPADHAMVEARNYLAQANLLLSRVQGHIFDALYGHMLR >cds.KYUSt_chr6.19881 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125387723:125391724:1 gene:KYUSg_chr6.19881 transcript:KYUSt_chr6.19881 gene_biotype:protein_coding transcript_biotype:protein_coding METAVPAEAKRAATGGGREAKPKASKKGHAVSRFASGLPPVKLTGAAVPDLNRTPRSGDSCPDATEKTRQDYWKDRHDSDIQEIIYSGGFVRDDRAGTGVHDDWPPTQDAEDIETARLFATQQTPPVACTEFVLAGERLSANTRETTVQILQQKPCTHRSSIARETAGSSTPATTSSPPSSSAPFADLGHLHHARVVAAAVEPAGRPLTSALTEVFDMDCLQPPPCSSTPPSIAKLGARLRPLAASIPGPSACLDYWASRNAPDGLRQHEIGKDSIGSA >cds.KYUSt_chr2.27090 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165977760:165979715:-1 gene:KYUSg_chr2.27090 transcript:KYUSt_chr2.27090 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAAHRLASRAAAGSISRRALATAPAPAEAGEDPAFVEAWKKVTNIIDPPQTPMSAMKPRPPTPASIPSKLTVNFVLPYKSEITNKEVDMVMVPATTGLMGVLPGHVSTIAELKPGVLSVHEGNDVTKYFVSSGFAFIHANSITDVVAVEAVPLEQIDPNLVQKGLAEFTAKLGSASTDLEKAEAQIGVDVHSALNAALTG >cds.KYUSt_chr3.44423 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280320851:280327736:1 gene:KYUSg_chr3.44423 transcript:KYUSt_chr3.44423 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSARKKRKVRLPENEELARKILEKHRSMIAEQPGGLSEHQGQALSAAYRGVCLANLPFRTPTDLSRIKGVGDWVVRVMEESFPESNTPGETGKKIKQKQPYAPQIGSAPYAIVITLYRELMRGKDFMLKQELIDASEASGLSRHGIGPNNYKSKQVNSCKDRYELTEKGKETACSFLARSVLDDNHAGPSNHHSEEDFSDSDSDEQYERSNPLIGSENFAERGGVRKSKAGKGPATDSLLPSREMFGELSFSAMGSAENSLLAMPPRHSDENFLDAYDVVLILDDRETLGCFTTEILEGFDVQRTTGYADTERKYGHLTRSIIDYYRTNFSAAADSSRLCLTYDEFVKRCSDLKKVTVSNVFALQLMQVPQVTEDAALAVTRLYPTVFSLAQAYSILVVLARNCPEVVFKYDLERGDMVEFKINAFSLNINIYKRNSSSAKIYVCPE >cds.KYUSt_chr6.6616 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39895152:39896045:1 gene:KYUSg_chr6.6616 transcript:KYUSt_chr6.6616 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRSSLIIASVLALFLFSSANGGSIAIYWGQNGNEGTLAETCATGNYAFVNIAFLCSFGSGQSPQLNLAGHCDPYSNACTNLTADIHSCQSRGVKVMLSIGGGAGGYTLNSEQDAAELAQYIWNSYLGGSGKRPLGDAVLDGVDFDIESGNPDYYGALAAHLKSYSGQGGKKVYLSAAPQCPFPDASVGKALETGLFDYVWVQFYNNPPCQYTPGSTANLLNSWKQWTSAINATYIFLGLPAAPDAAGSGFIPTGSLESQVLPALKASTKYGGVMLWSKFYDDQDGYSSAIKNHV >cds.KYUSt_chr4.26743 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167992174:167996724:1 gene:KYUSg_chr4.26743 transcript:KYUSt_chr4.26743 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAPPAGPADDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDAPDVSDFDAKLKANGADLPDYFVRTLLTIIHAILPPPAHNPSSAASQSGAGSSKYRALTRPDDPDRARDLRLELERDAAAAAPAPAPARDRPRDDDRSRDRDHDRRRDDRGHHDRSRDRDHGRGRGDRDRAHDDRGHDRGDRDSDRGRDRDRDRGRRDRDREGDRDGNWRRDQDRPQERDRDADQAQGRSRRYGGEEGEQERGGGRNAGNALTNSSGDPELYQVYRGRVTRVMDTGCFVRLEDVRGGREGLVHVSQMASRRVANAKEVVKRDQEVFVKVVSVKGDKLSLSLRDVDQDTGKDLLPMQRGAEDAPRTNPSVGTGGALGSGRRLGLSGIVITEEDEVAPISRRPLKRMSSPERWEAKQLIASGVLDVRDYPQFDEDGDGMLYQEEGAEEELEIELNEDEPEFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQEQRQTLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILVDENLSQYSVVMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILVFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFEPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDIVAAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVVDPKWLVELAPRFYKGADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >cds.KYUSt_contig_786.74 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:460590:461732:1 gene:KYUSg_contig_786.74 transcript:KYUSt_contig_786.74 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMPMSALLSALRGAGRQHLTASTVAARQAITGSHVLRVHGYNKVLRKKVPNGHFFESAPFGAGGHTWKVVCYPNGSDRDHAGYTSLFLKSLRPHDDPFVFDATTARLQASVLDRDGKPWRTQTAEHRSFLGYEAWGWKDFVKNDDLDLVDDCLTVLCDVTVDDLPLHAEEVVTAAAATPPPEPTASALPPSFDGHGWFPEAIWSDMPTDDMVTIHVGGKSFPAHRFLLEAHSPVLKLALQNVTSSQLHIAGGLALDAEVFEAMLQYMNNYSPSCSEKIKVEPTIADRLLVAADKYGLEKLKLACGEALCPRVDMGSVAAMLTLAERHGCPVLKDACIQFLSRAGNLGSFASTDGFQRLMKDCPSAAEEIADIAVKQYA >cds.KYUSt_chr4.20383 pep primary_assembly:MPB_Lper_Kyuss_1697:4:128252331:128252888:-1 gene:KYUSg_chr4.20383 transcript:KYUSt_chr4.20383 gene_biotype:protein_coding transcript_biotype:protein_coding METLLDNAATAEQDREQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFETPEMAAVAHDVASLRLRGREARLNFPDIAHLFRRPATAEPDDVRAAALEAAAQVRFRPDLLLQTGGCGSGGSCSPDLLDDVAWDVMLGADDLEAQSPNMWAELAEAMLLAPPVWEGSAVDNDEWANGSLWDPSCWSY >cds.KYUSt_chr4.17556 pep primary_assembly:MPB_Lper_Kyuss_1697:4:109895676:109903156:1 gene:KYUSg_chr4.17556 transcript:KYUSt_chr4.17556 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHTRARPAGGDLRPPEPPLDPFEFLSRSWSASAVDVPRPRPGAPPSPALVGPIAEDAACELDDGAATAGSSFSFASAATSQFIMERILAQSEVAPLTSGRLSHSSGPLTGGGSLTDSPPVSPEIDDSQYCRVGTPKPQAYRGGSKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDLAMASAATLVAAQCVEAAESMGAEREHLEAVVSSAVNVRTPGDIVTVTAAAATALRGAATLRARALKEVWNIAAVIPVEKGTMGGGGGGHHQKQQNLPKQHRKLESNGSSLSDLSLEEENTFLGVCSQELLVRGTELLKRTRKGALHSKVVSVYINRMGLVALKMKSRHVAGTITKKKKSVVIDVCKDVAAWPGRHLLEDGEHRRYFGLRTADHRVIEFECTSQREYELWTKGVARLLSIAGERKRPLYQSRQRSEGEESSLLKKKKGRPKRRRRAPAADHRHPADRCFHRA >cds.KYUSt_contig_7442.32 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001531.1:193298:194165:-1 gene:KYUSg_contig_7442.32 transcript:KYUSt_contig_7442.32 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSCGHVIGVPVTSKAYAIEESTTRGTGPVKKDGDRLAVSLTHPSPYASFGYKHSSKGQVIHWVNKLGRRAQGFRDHVTLGPKLSETVRGKLSLGARILQAGGVERVFRQAFTAEKGERLTKALQCYLYTTSGPIAGMLFVSTRKIAFRSDRSLTVTSPSGDVARVPYKVVVPLRRIKRVKPSENAENPEHKYIHMATVDGFEFWFMGFVSYQRCCKYMQQVIASELL >cds.KYUSt_chr5.27527 pep primary_assembly:MPB_Lper_Kyuss_1697:5:174253105:174258632:-1 gene:KYUSg_chr5.27527 transcript:KYUSt_chr5.27527 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVFGEQGRKGADRVIGAQTESVFLHGPQQQSRGGVSDMVFKTSGTIFARKCRTVEVYMYVLWSYVDGSRDWDDVVEFPVVDLPPAVSHAKPISLDDEEGVVDDPPVPLLVIFEIEEEVRSREEEVTTGRDGMKTRSNHKIVREQKEVDEEDEEANIGEEDAYFNMHDSDYEISDADDDLFVNHVDEDEEEVKKHSAHEDEKVKVRFKTFKEKDLKDPKFKVGQMFEIDMLRKAITEYNCLQRRSIKMSINDKRRLQYLESFRADQDMNLKNFSRVVQKQWHMTPGRSKMRRARRLAMKTIYGDKEEQYKLLWDYARSITVAKYERSMEYMKALNPDAHALVDKLDPKTWVRAFQSDLPKCGILLNNNCEVFNKYILEARELPVLSMFYRVKNQMITRHYSKGKEAAVMTGILTPKIKKKLDKNIELANNSFAEGAGDGLLKLSEVVCSTPTNYIVDLKSRNMYLQEVREEWHSMPTCHLMHQT >cds.KYUSt_contig_2097.104 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:456319:457635:1 gene:KYUSg_contig_2097.104 transcript:KYUSt_contig_2097.104 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRLRGLVDAARMTSPGFFTSSTIVCDGSGGNTTAKEMHVHSTHNQSSVRPAQANKTFMCFRFGSCFGSGSGQDYGRSTPKTTHRGGGGGRWSQGDYGYYSGVDHKAPPAYQQQPAADEPGRKDEHNGGVRRAHVDAGGHGVYAPYAQDKADNTLKHPAAWNGKVGDNAGHTYTERLNDAADYHREHTAVDYHHHPATATANLARMVNSCVDFDES >cds.KYUSt_chr4.41152 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254432101:254439098:1 gene:KYUSg_chr4.41152 transcript:KYUSt_chr4.41152 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKHAKLLKTQKFASELDHHVDMSKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLDVKEVDGKHIQIQLTGFMEKNTIKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQRKAEEARIAQEIQKKREKDGRDTELDKRKMIDGGTGNSRFQGDSLGSALNNPDANAEKERELDLKHSSRTKSREHRRPRSTSLSPRGRQRSISPRRRSPSPSRQRSSRRSVSPRRSVSPRRHSPRIAPSVSRRRSPYSRRSPSVPRSPSPRRRFPIRRRSPPSGRRRTPSPYRRRSPPRPPRRSPSPSRRRSPARRRLPADRHWSPSPARRRPRSPSPGRRRLRSPSPRRNRPESPGRGQSPSPHRSPQLRSPKRVRKSPISSRALSANRQVSPQRRRSISPYSRSPNRSRRSLSRDTENGTNGMPSTKDRDVAQSNDREMSGHRSPGSEQRRLTNSLRSPTNAERVSTRDSPLKSTGKHLPSQDSTDSSGDEKELSRARENARKANSSRLKSKDFDLQLKEVDVDKSSPREKSPSRLQKDGGKDIPRKYDNELSDSSEDARDSRRTRRQSDSPDDSRVKQQSPAIDKISNEHAKAASHNANVAKKYSGKVGEASLSDVGSPVQKAKKRTYGSNHIDHHSSGSEESEKDRSHSEKHRHKKGHKHKRHHDDSSESNSDSDGKESKRRRREEKKLRKEERRLRREERHRRRADRHASKQKLKYLGTSPSDLEKDLSGSDADVKKKGSYTPREEPDPNKLEVELRQRALESFSAKKSKHVVTPSSDVDKDTESNSDDDVRKKLL >cds.KYUSt_chr5.41217 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260171032:260172339:1 gene:KYUSg_chr5.41217 transcript:KYUSt_chr5.41217 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVVSSKLVKPRYHEGAARPDTTEHVPSSVFDMITYHFQMAIIYAFSAPAPSTADIERGLSDVLALYRLFAGQVTMGPAGALGVLLNDRGARLVEACVDGATLADAAQSKPTPELLKLHPDLDGEHEEVVQVQLTRFACGSLAIGFTANHAVADGHATSDFLVAWGRAARGLAVSSPRHNHPDLFPPRDPPLVEFEHRGVEYRRPTPNANQGHHGHHGADNVVIHKAHFTKDFIAGLRAKASKGRGRPFSRFETTLAHLWRTMARARGLDPEETSTIRVSVDGRRRLAAPPGYFGNMVLWAFPRATVGDLLNRPLKHAAQAIHDAVARVDGAYFQSLVDFASSGAVEREGLEKTAVLRDALGPDMEVDSWLSLPFHELDFGTGGPSYFMPAYFPTEGMMFLVPSYTGDGSVNAFVPVFDHNLDAFKQCCYSME >cds.KYUSt_chr7.3602 pep primary_assembly:MPB_Lper_Kyuss_1697:7:21445723:21446328:-1 gene:KYUSg_chr7.3602 transcript:KYUSt_chr7.3602 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVAEISELAALRPIQTTAVRGALATAAAADDHDAATAADTGCVTPTARATAGEAKDDAAGDGIGCATPTASELEEAPQRDGGADDASCYATPMACRTTMPLDVCEFDAVAAAGDAAAAVNFTTPTSDESALRPATVCPPAPRKLPPALKRKLAPLQQRLFYPVPLDLASVFKPAPPPAPPATKKMRAHVVESSLPLGT >cds.KYUSt_chr5.43097 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271866185:271868474:-1 gene:KYUSg_chr5.43097 transcript:KYUSt_chr5.43097 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAASFLSSLLGGGGGDAVPAGDPAATVASILIYPIKSCRGVSVPQAPVTSFGFKWDRQWLVVNAKGRACTQRVEPKMALVEVELPPEAFDEDWQPTPDSCLVIRAPGMDPLKVPLAVERATLDDVSLWEWSGSAYDEGTEAAEWFSAYFGKPTRLVRFKEETEIRPTNPDYAQGYKITFTDCFPFLIASQGSLDALNELLKEPVTINRFRPNILVDGCHPYSEDLWKTLKINKLTFEGVKLCDRCKVPTVDPERGTFGTEPTETMLTFRSGEVIRPSHKNKQKVYFGQNLVCKESVSAKGKGRIIKVGDPVYVLQTYPSSNEAPA >cds.KYUSt_chr5.33459 pep primary_assembly:MPB_Lper_Kyuss_1697:5:212266861:212269988:1 gene:KYUSg_chr5.33459 transcript:KYUSt_chr5.33459 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNVRTNLFLAYKTLGVVFGGLVTSPLYVYPSMNLSSPTEGDYLGIYSIMFWTLTLIGVVKYVGIALNADDHGEGGTFAMYSLLCRHADIGILPSKRVYSVEEPLLHDQSTIARRPSKLGKFFERSITARRVLLFMAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSRPVVEALSAAILIGLFLLQKYGTSKVSFLFSPIMAAWTFTTPIIGIYSIVRYYPGIFKAISPHYIALFFLRNKRQGWQLLGGTVLCITGAEAMFADLGHFSKKAIQIAFLSSIYPSLVLTYAGQTAYLINNANDFGDGFYKFVPNPVYWPMFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQQKEGEVYSPEVNYILMVLCVGVILGFGGGKEIGNAFGVVVIMVMLITTIMLTLVMIIIWRTPLYLVGMYAVPFVIIEVSYISAVFTKILEGGWLPFAVSMILALIMFVWYYGRQRKIEYEMVNKVTLERLGELLAIPEVQRVPGLCFFYSNIQDGLTPILGHYIKNMSSLHTVTIFVTLRYLLVSKVDERERILVKRLGPDGVYQCTIQYGYADNLSLKGGDDLVAQVTRCLQRHIETNTDRQSTISTEEEVANLKAARLAGVVHVRGKMRFYVGDDAGCFDKVMLLLYEFLHGICRSALPALGMPVQQRVEIGMLYKV >cds.KYUSt_chr3.9810 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57657898:57661710:1 gene:KYUSg_chr3.9810 transcript:KYUSt_chr3.9810 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSCVDEDGGDVDGEAFRGHFPIPAACRNRDSCPPDLGFAMAAALEENRNWPFGISSIGSDKWCVAWDMVNAMETIPYFTDIRSKKITILAVYVDDIIITGDDVVEIAKLKGCLSQAFELAQLRREEANVRSATLSPRCPPNRRPNPGSLLSAVLSPHRRHDAIVSFILLSPIAALRLACPPPFRYAWGCRQGSPPPPLPFTGQRSRKGREFVGAVSPSSAQGSTSRLREEEEEVPGRGSAAQVKCGPMTHCGNPSCHGLVPPQTRVDSRAATSPSLLELVVVLLAEVSCRQSSLFASSIALLGALAPAVLVHFSSF >cds.KYUSt_chr2.6411 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39977579:39979950:1 gene:KYUSg_chr2.6411 transcript:KYUSt_chr2.6411 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLEAAPSVEIFDFGIWNHSCDMDEERRRRSFGERTNPSWKTFEFRSRKQWLLKELDVTGFNPVEKQITFIRSVMGRSPNLKTITLRDYMPCKDCLRQPVDEGVAPLVGQLGQPFQEEDWGHQVEPLQAVLEVEGLGLQDRLVLRQQMVELHAFPSGRGTAPSGPVAHHYAASERIVAYMLPDVLDQICLQLVVYS >cds.KYUSt_chr2.29873 pep primary_assembly:MPB_Lper_Kyuss_1697:2:183799484:183803768:-1 gene:KYUSg_chr2.29873 transcript:KYUSt_chr2.29873 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-type homeodomain protein, KNOX protein, Shoot formation during embryogenesis, Control of seed shattering, Control of internode development, Repression of lignin biosynthesi [Source: Projected from Oryza sativa (Os07g0129700)] MGGAYYGTPLALHQAAVAVAAAGSSSQYQFHHPNKHGSSGEISQAEADAIKSKIMAHPQYSALLAAYLDCQKVGAPPDVLERLTVMAAKLEARPPGRPHEARDPELDQFMEAYCNMLAKYREELTRPIDEAMEFLKRVEAQLDSITGGSHGSARLSLADGKSECVGSSEDDMDASGRENEPPEIDPRAEDKELKYQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARLKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGQFMADGMYRLGS >cds.KYUSt_contig_1537.170 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:972519:974163:-1 gene:KYUSg_contig_1537.170 transcript:KYUSt_contig_1537.170 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSLRNCSLNGGFRRRVRHQDHDEGSDDSEGITVELNSEVSLPYHWQQCLDIRTGQVYYVNWEDGTRTTVDPRTPSHFSTQSTPRSISSASRRGASTSSSGSGYTSASSVGTVAAAEWFSSATGGYGYNESHIYDDGDDEESSSSSSRSSAISSALSSFSPTDESASSGSSSDNGNNAAGHVLVAAGCRGCFMYFMVPKSAGLCPKCGSSGLVHLGGSNNASYA >cds.KYUSt_chr4.21307 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134147458:134149200:1 gene:KYUSg_chr4.21307 transcript:KYUSt_chr4.21307 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRGLSFGPEESPREITAGDAATPPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLAGLEAYLFQVCYMLVHKPSPSLDRFVIDTCAKSMRIALKVHWILAAELELEETDDLDGVDKVQEQCQAAATVQGEWPPLVRPAPLSPVASPRANPMLSRIRSSKQRLMSLASSPSLGLSSPPANAEDAGKQPVTPSSEDNKLLRRLSFGPKVFFRRSIEKDEEQDKDGFFKRLLRDSKDKEDYDGDKEGFFKRLLKDSKENDEEEGDKDGFFRRLLRDSKDEDMELTPSSDGLLKRFFRDKEDRPGDDDEKEGFFRKMFKDKNDERRESTPGKHGDEGKSLEDDDKEGFFRKMFKDKNEERKDGGSMKQNDDREKVGVNAEDDKKDGFFRQLFKEKNDEKKEGTTPSSKKEDDDKGNKSIEDDSFFRRIFKDKNEEKKGAAHDRNEDDKCEEGDKENFFRKLFKDKHEDRKIEGLDKNVDDGKSTSGIEEEENSEFLSFRRLFRVHPEDAKSGHTEGSQPNGISEGSPGSESFFKRLFRDKEDSEILGSKLLKEARQLLLTILS >cds.KYUSt_chr1.1412 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7713356:7714509:1 gene:KYUSg_chr1.1412 transcript:KYUSt_chr1.1412 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALLAVIASLVGAVSCEFAVYAGYGFPRPNPNLPYRFRPPAYYPPANPTPGLRVGYYTHMGRCPQAEKIVREVVEKATAGEKAGLIRLFFHDCFVQGCDGSVLLSGADTERTAFPNLSLRGFEVIDAAKAALEKACPGVVSCADVVAFAGRDASYSLSSGRINYRVPAGRYDGKVSRAGDTFQNLPPPFGDLNLTTAMFAAKGLSQDDMVVLSGAHSIGRSDCSSFPDRLPPVANSSTAMEPKLAQQLTATRSVNVLQDAITPDKLDIQYYTNVLSRNVLFNSDASLTTSTETEGLVEFYAGKRPLFRGKFLGPIQWNHDFEDAMVKMGYIGVKTSAEGEIRNTCAFINKP >cds.KYUSt_chr5.2151 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14686755:14689897:1 gene:KYUSg_chr5.2151 transcript:KYUSt_chr5.2151 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSMKLGSKPDAFTRRGQAWFCTTGLPSDVIVEVGEMSFHLHKFPLLSKSPILGRLMEENSDLDECIIKLSDIPGGAKSFELVARFCYGVKIELSPANIVHLRCAAEYLQMTEETAADNLINQAETFFNQAVLRSWKDSLEALKTCDVLLPHAEDLHIAKRCVESLAAKASIDPDLFGWPVSEHGAMLSPEGSVLWNGISTGAKLGNFSSNWWYDDASSLSFPTYKRLISTMESRGAKEEIIAGSLMYYAKKCLPGLNRRQSKGLVAVPLNSAITLSEEEQRRLLEDIDRMLPLQRGLVSTNVLLWLLRTAMILKVSRACISNLEKRVGMQLDKATLEDLLLPNFSYTMDTLYNVECVRRILDHFLEMDQTTGGSGSPCLDDVMASPSLAPITAVAKLIDGYLAEIAPDMNLKPPKFESLAASLPEYARPLDDGLYRAIDVYLKAHSSLPESEREQLCRLIDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLMVSDNLEGGSSRTLRGSDAVAMSGEAGASWVTTAAVRENQALRAGMDSMRLRLAELERECSGMRQDIRKLGGGKDGGWAARVQRVFSLKMKLQMCSTDEGRISEQHRSAAAKLEKLQAKVSKHKKHLSINA >cds.KYUSt_chr7.7038 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42367113:42369236:-1 gene:KYUSg_chr7.7038 transcript:KYUSt_chr7.7038 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEMLQRKMQPIMRVRSRERWGSSMIKIFSITSWGILNVLGRPQRFAWGHSTCVVGGTKAIVLTSRSRDHVRDGAAPAAAALISGLRPAPPPPRPDPVPPQRPLPIRPKVRRGRRRVAAVTLLCCAAMSPVPPPAQARRRDSPPLHPRPRLPPPAAASRRSSRLPPAGRFRMENCGALVSSADVPVPAAPAHGQLLSASADAISGLLPSSASLPLGASPSAALACAAPSVGEAGQQLEALTAAPSSAQLSGTAAPALSTPPTASPSAPSPRGPSASPLPALWVSFADVDEDSDADDVEVLAPKTPPDVIKTCCGADVPCSAVVDGVAGNGAPPLAAMLWPPSWVSAADNIDEDGEEELVPQTPPATKTFNTAAVVTVDGVEVDGVADERDGWQEVLPRRGLRCPAPPAPTFARPPVPAWLKGRCCRCLAPGHRATVCCEPFRCSRCLDNDHRARVCRNAWRPLSWLAGHVALSPRQANAPRRVQSGVSLPSVVPCRRSWASVVAAPVSSLASADTKSALEVQAKLLQEAVRPLQEAVDSLHGWMLAVGGFLERAEAVLGRLSQTPIDPLVPPVVGKVGTSGAGLHGCFSPRARVSSVITAPVMHILPELMELCGGVLTPPSVEEVRPGSLESSDVASPPCLGVVKCDIVGDAVSLSPEFDRQVVSIGDEVSESGLLSAVPGAVVAREVCDFLATLAIAYPGPAVG >cds.KYUSt_chr3.30209 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189161123:189163213:1 gene:KYUSg_chr3.30209 transcript:KYUSt_chr3.30209 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKALITALVERWRPETHSFHLASGEMTVTLQDVAMLFALPIDGRPVCSTTDHDYGQMVVDCLGHDPRGPSMPGKSFLHYKWLKKHFYELPEEADEQTVQRHVRAYILSLLCGVLFPDGTGRMSLIYLPLIADLSLVGTYSWGSAAVAFLYRALCSVASSHNIKNIGGSLLLLQLWSWEHSHVGRPLARSPLCMETDIPQDLPPIGFRWVGARAQSENATRCLKQYRDELNLQRADQLKWEPYMLIESSSLPPLCTKDADLWITQAPLINFPIVEMYLPERVMRQFGLRQCIPPPFRPTLQTLHRISRRGREREDWEETHHEYIQEWEARRHRIFREAEQYDLSSYDEYLQWYSGATRRYLVPSTSDDAEAGLLSPPDDSSDLQYKAKSPMIRKAVDKLHGMMKKAKTAMSSTADTATQALVFEFLHGFEDVLHDLGEMKEKSGPEAPPFGSGTGSYVDSAATHDDPLHFVEAEQNIISDDQEVQYQENEDLHTVEQDTLSLEPMDEENHSSDNMLLGVEENYVSDSLAIENCAAEEYVIPQHNEDADQAGHPADMEHSLVLLEHMAACEENSSFDAAPSPGPSSPALAAVCDSATENGGLDRPGAQQSDDAKLEDKDVTVAEHTDANDSNGCNGVSSSDP >cds.KYUSt_chr7.27167 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169844111:169844338:-1 gene:KYUSg_chr7.27167 transcript:KYUSt_chr7.27167 gene_biotype:protein_coding transcript_biotype:protein_coding MWWPSAVSSAEGATTGDKVIGKVCQMACKAASKVRNTAGKFCKVTCKADGNVCRSPGLAVRLRLEAIRDSVDSVE >cds.KYUSt_chr4.52998 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328514052:328515482:-1 gene:KYUSg_chr4.52998 transcript:KYUSt_chr4.52998 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRVAVIGAGAAGLVAARELRREGHAPVVFERAAAVGGNWLYDDSPASAASAADLLGAGGVHSSLYASLRTNLPRECMGFLDFPFVPDDGAGTESSYSGDPRRFPGHAEVLQYLEAFARRFDLHGLVRFETEVVRVRRDDAGAGWKVSYCSRKLAHGSSEQEEVFDAVVVCNGHLAEPHIADIAGNHPMSYTPTVTRVQLSIDTWPGKQMHSHNYRVPDSFHGQVERAEEDGSVVFKDGSRVKADAIVHCTGYKYNFPFLGDDVGIYVDDNRVGPLYQHVFPPHLAPHISFIGLHIKVRLTC >cds.KYUSt_chr7.3167 pep primary_assembly:MPB_Lper_Kyuss_1697:7:18797390:18799577:1 gene:KYUSg_chr7.3167 transcript:KYUSt_chr7.3167 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRFIEMGFPAEKVHKALEHAGGRQDEEAVLEWLVAHQETDLSTDIEGFSSSSEGVDMADFDDKSLGAELTEKDRKFLYLVEMGFAEDEVSSCIDICGEHESVEVLADAIYAAQVDKRDGSYGGNFADRELSADKAIGTSGGVKRKHVGAPLGDKRRPHNKQLGHVDRAFGSGPVVGFDLPNESTSTSMAEGPPYFYFESLPCSRQRVRDESPFLGNQPEFVDSKHFSVAARERSYIHNLPRHGRFHINPTQPRTIHGVFPETEKWWPEWDTRTQLNCFRTMTAPATVFKKMRLVLAKCDDHQVYRKQILDACKEWNLIWVGPKNVAALDPGEVEKVLGLPRDHTRGFKMTDRYWCLGNSVQVDTLAYHFSVLKAMFPSGIRVLSLFSGIGGAEVALGRLGIHLKVVVSTEFSEMNRNILRSWWDQSKQTGELIQIDDFQQLKGDYLETLLRRVGGFDLIIGGSPCISGKGYNLVGKELEQSSLFSHYSLILEQVKQVMRRM >cds.KYUSt_chr2.44151 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274567648:274572938:-1 gene:KYUSg_chr2.44151 transcript:KYUSt_chr2.44151 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHFILLMLTVAGAGAATSPNAYPCASAAANSYPFCNASLSFPARARALVSLLTLDEKIAQLSNTAAGAPRLGVPPYEWWSESLHGLADNGPGVNFSSGPVARATMFPQVILSAASFNRSLWRAVAEAVAVEARAMHNAGQAGLTYWAPNINVFRDPRWGRGQETPGEDPAVIAAYSVEYVKGFQGEYGDAKEGRMMLSACCKHYVAYDLEKWETFTRYTFNAKVNAQDFEDTYEPPFKSCIQEGRASCLMCSYNQVNGVPACARKDLLQKIRNEWGFQGYIVSDCDAVGIIREYQSYTSSDEDSVAIVLKAGMDINCGSFLVLRTKSALETGKIQEEDINRALFNLFSLQLRLGLFDKASGNQWFTQLGPSNICTKEHRELATEAVRQGTVLLKNDNNFLPLKRSEVSHIAIIGPAAADSYLMGGDYTGVPCDPITFLKGMKAFVPQTTVAAGCKDVSCNSTEGFGEAIEAARRADIVVVIAGLNLTQETEDLDRVSLLLPGKQMDLVNSVASVTKKPIVLVITGGGPVDVSFAKHDERIASILWIGYPGEVGGQVLPEILFGEYNPGGKLPITWYPESFTAVPMTDMNMRADPSRGYPGRTYRFYTGDVVYGFGYGLSYSKYSYNIVGAPRTISLSRSSSPSLISRKPAYTRRDGLDYVQVEDIASCESLTFSVHISVSNDGAMDGSHALLLFARSKSIAPGFPLKQLVAFERVYTDAGRSTNVEIMVDPCKLMSAANTEGRRVLLLGSHHLMIFDEGPSKKRRGRPANVGHFQDEVGPDQSLRIVFKLTLSRLLIPQDFIKWFGEIPSNIIVRSNTGLAHHAVLHWQAVSKLCNKHPKIKKTKAIKSLIYKVEARRLPAGCRSTPEKAHVDEDLVIDAELDDLAANEVARG >cds.KYUSt_chr3.40492 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255371746:255372476:1 gene:KYUSg_chr3.40492 transcript:KYUSt_chr3.40492 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPHAVDAELLKGAPQEDEAVQLAASSSFLPAASSSMPATSSRFLPIAASGACQERAVEVAVVAWDGSRDVAGTRGRACAKRANRRVVERN >cds.KYUSt_chr1.33678 pep primary_assembly:MPB_Lper_Kyuss_1697:1:204574692:204581624:-1 gene:KYUSg_chr1.33678 transcript:KYUSt_chr1.33678 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFFVLNTGARIPSVGLGTATGKAEPGVIGAAIYAAVKAGYRHIDCAPQYRNEKEIGLTLKKLFEDGVVKREDLFITSKLWSGNHAPEDVPEGIDTTLEDLQLEYLDLFLIHTPIRSKKGAIPTPENFLPVDIPATWGAMEKLYHSGKARAIGVSNFSCKRMEDLLAIASVPPAVNQVESHPGWQQMKLRELCKSKGVHISAYSPLGKPGSPGSMGKGFLSNPIVVSVAEKLQKTPAQVALRWGLQMGHSVLPKSVNETRMKENLSVFGWSIPEDLMAKFSEIPQVKTLRAEFVVHPQARIPSLGLGTAKTEPGTVGEAVYTAVKAGYRQIDCAPAYRNEKEIGLALKKLFEESVVKREDLFISSKLWSGNHAPEDVPEGIDTTLEDLQLDYLDLFLIHAPVRTEKGAVRTAENYIPLDVPATWGAMEKLYDSGKARAIGVSNFSCKRMEDLLAIARVTPAVNQVESHPGWQQTKLRALCQSKGVHISAYSPLGRPGSPAFKGPSFLSNPIVISVAEKLQKTPAQVALRWGLQMGQSVVPKSTNETRIKENFDIFDWSIPDDLMSKFSEIPQACPNTSVF >cds.KYUSt_contig_786.366 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1975566:1979290:1 gene:KYUSg_contig_786.366 transcript:KYUSt_contig_786.366 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHHRLISCHHFIHSHNSIAIWNRTGMPILRPNKSPAHSIDAARPGSGDHPPGSGWSWAAAVPGLTRHPWATNQQQQQQQPRLNPAGAADGDHIDLTRHGKLRIPSPLRVQTSINFVMRMVLPRTCRSLYPLYGAHDYSHQMSQSAPQAEPYGDNLPHIDPWGLPKLRRKRWRHGGSWRLLVTLAGLEA >cds.KYUSt_chr2.38818 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240645429:240647377:1 gene:KYUSg_chr2.38818 transcript:KYUSt_chr2.38818 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGAGGGGPPDGGVGEGPTTLDELYHINVVPAELHFKFRKELQGLRVGLNLEFYNLEVNDFEAKVVLKPLDFDRKWKFQYKPISGDVQLLSKKIPLSKYLNLQVGIGHNFQLKATGWKWKLSTCLGGDGVSQIRNKSKLSVFPGFDLRLGWKAEYVLPEIHGAVGTGEPAFSMNYGRLQASIDRIEAIVTHSDRY >cds.KYUSt_chr3.45387 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285952273:285955456:-1 gene:KYUSg_chr3.45387 transcript:KYUSt_chr3.45387 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQHNPPAHQYADNPKPNHGRRKACGAPTKMGASDQASQPGAKVGTGGDQANQPGSKMGTSDQPNQPGAKMGTSDQANNQPGSVATTERLDRLLSQAANRCCADCGAPDPKWVSLTFGAFICIKCSGAHRSLGVHISKVVSVKLDEWTDDQVDFLIDSGGNGAVNTTYEAFLGNYTKPRQDCSADDRNDFIRRKYEFQQFLSNQQQLSCVSQNGKHHYQQQQSSSNRYGLGHAFRNSWRRKEDHKPVKKAVEVGMVEFVGLIKVNILRGTNLAVRDVMSSDPYVILNLGHQSMKTKVIKSSLNPVWNERLLLSIPDPIPPLKLQVYDKDTFTTDDRMGEAEINIQPLVAAARAYETKAVTDTTELNKLLAKDGIWIPRDSPISVIDNKVKQEVTVRLQNVERGHLEMELECVPLTQ >cds.KYUSt_chr4.964 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4996056:4998586:1 gene:KYUSg_chr4.964 transcript:KYUSt_chr4.964 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEMQANAAATSGQEGDLADVVARANIAVASSSTSHHQPRPPPPPPPASAHKMVPYEEQQRRPMSVACGVGDAAMLEAVLLPTVVLDPYLLPPTGSYGLPQQQHHQQPLAFQISEHACCGASAGDVDAGEDAIKISPPSAHAHQMINRKNDVRKVVCIPAPPAMSNRAGGGGEVIPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCMARKQVERSRSDPNMLVITYTAEHNHPWPMHRNILAGYARGHSTDVTTSAAKKQKITSFTDNVASSSPSNHNNFLPEQNVMGCGNQTASNSMVVAVDGDGSTGIREGGGLMYELASNGVVQPDEVFAELEELEPDNSSLLVNVNVYSRGVSSNYEWHKL >cds.KYUSt_chr3.6459 pep primary_assembly:MPB_Lper_Kyuss_1697:3:37054009:37057553:1 gene:KYUSg_chr3.6459 transcript:KYUSt_chr3.6459 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSQFMDKQILGLAASASPSLPGGGGSGGAELLDLMGPDPQEESEDRLLRRRHHSSNGAADVMPSYDFQPIRTTAAAPAPAAAPASSWGSLDSSSRAASASSPYNLKSAGILENRAPNNVNHEEDRSNFGLVTIADIDRTMKKYSDNLLHALDGVSSRLSQLEGRTHHLENSVDEFKLTIGNYNGSTDGKLRQLENMLREVQAGVQIMRDKQEIVETQLHLAKLQPAKTETQSSENSGVGQADSRQQSVAQSQHQVLTPSQPQAFPALPAPNAPPPPPTYQSQPPAQFPGHAPHSQMPSAPVPSVPALPQDPYYTPSAQPTEAMHQQYQAPPVSQPQAPPAPQQHYQAPPVSQPPVPPAPQQQYQAPAAPQPQAPPAPQQQYQAPPVPQPQAPPAPPQQYQPPSQFPQYSQQPQSANVNPSSAPPAPQQTEYGPSHNYPPNVHPPSPYMPPPTESAPPFYGQNPSMYEPPAVRPNSGPPPSYGSTGYGPQGGNSFSESYGYTGSPSYRGNAGMKPTPFAPSGPSSGGSSGNYGSRLPTARILPQAEPVSSTPAGPTGNRVALDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNG >cds.KYUSt_chr3.28046 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175039516:175039716:1 gene:KYUSg_chr3.28046 transcript:KYUSt_chr3.28046 gene_biotype:protein_coding transcript_biotype:protein_coding MASRARSDDVELCQYCAAVVEHTEDESAGGDTASSMDPVEDDAPTSRASAADVEAYAGMVRRRPSL >cds.KYUSt_chr4.15017 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92742648:92748423:1 gene:KYUSg_chr4.15017 transcript:KYUSt_chr4.15017 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGRNPTGETLAATSAPLPPPPLQRPPPPPYPPKAAWGDAEAGQRGRRWGWSQCRKGWAVLEIKQYVNQLCDVALASVDIILRAHRKANSSKPATYNQVTEAYQTINPFTADNGKDQQSLPAPKLTAAASVQTQLPPKKRILASLSLPCVLRCLGSRDAAYRKQYQLLLQFVPTAHPSTNPRETEVASGSTLFQISAFFCQPKDNSTSLSYRSYCKNSSSQEEERER >cds.KYUSt_chr6.15884 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99869335:99871199:1 gene:KYUSg_chr6.15884 transcript:KYUSt_chr6.15884 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKQPEKAPSPPPVPQQPSAVAGAKPKKPSKIAAAAAPTDEKAKKAPQPKRASNAEESAVAGNGKKAAAPIVVKAKAAAVVEAPVVATVVAKGPAPVRTSSCTKEEVDAILIQCGRLSRSSSGTGMAAASSETGGHRRSKRSCDLDRERSGAEEDGDWERVPVSRPSPHRGSPQRKRSGSRERTGGGGGSRRSSRSPGRRGEGAASASCGGARQQPGKMVSVPAREKGPAAAAASSGKRCASPRSSSPARVAAAAGNENAGVGQQKAVVQTPSLSRSSSRKAEQSPLRRSPMAEIDENSLRNNSSGTNAKPHKKSTEIAVATPTKKTTTERPKEQSSQKEKPSETRAPSSKTTTGTRTVSVVAESTHPRSGCRSRRASRDFDQNPNLYLLEDIQSYHTSAAAAATPPSFTLPACVSKAQSIVDAVADLNSSSSGSRSCGPGRSADDKGSVNAAVGGDVDVDLAEPSVHRYVSVRDIRGRGETEMQESAGSNTLSGNVWTPSCESTDRTWSTSRSSNHGDEVVEQPRQIKQRPAAQPEPSGRSRAGSSGANNAHRGRSAHRGSGSGAVASGRSGARGVVSASS >cds.KYUSt_chr1.18738 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109838948:109839448:-1 gene:KYUSg_chr1.18738 transcript:KYUSt_chr1.18738 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGCVITEDCALAVSTDRMWKVSCSGDALVKTCAGIFDSVDVEGDGGPGSVTTLTLSAAAAAAPGAGGSVVRSRVLVRDNATLVLRNEVLKGSKQVSGQLKSQVTEVKFEPAGEGACVAKFKVEYERLDGGGALSAEEQAELIGGYLALMKIVETYLVANPSEYA >cds.KYUSt_chr5.33646 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213536364:213538394:1 gene:KYUSg_chr5.33646 transcript:KYUSt_chr5.33646 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAWAAVAAVVVLWWMWAGAGAVWLEIPPSGTKCVAEEIRNNVVVIADYSVLYEHHQVHPTVSVKVTSPFGYTLHTKEKVSADQFAFTTAEAGNFLACFSADGDSKGLVVKLNLDWKIGIAAKDWDSVAKREKLEGVELELVKLDATVQAIHQNLILLRIKESDMRDVNEKTNARISWLSMMSLSVCILVSVLQLLHLKQYFRKKKLI >cds.KYUSt_chr2.13328 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84526945:84527361:1 gene:KYUSg_chr2.13328 transcript:KYUSt_chr2.13328 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVRVDHGQVMALQEGKEEPQCKLLAVRQPSSVRLLIHSRGNGIFATRAHALHSVELRPPLHQPVPQLAEGANVGCKRTEEIWHHRALLLPDLRANHAYAINSYALDTFRRWEWDLRRHTGFLGNEDMDYGTSLEDM >cds.KYUSt_chr3.43516 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274614604:274619578:-1 gene:KYUSg_chr3.43516 transcript:KYUSt_chr3.43516 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAARARATPVHPGLPDEIFIWEILLRLPHKPLLRCRAVCRAWRRATSTREFLLAHHGRQPSLPLLYKRNRAYDDGGYLDIMPLDHRAGVAAADQLHSVARIDTGGAFTLIEASCDGLLILGTNEGDLCFSDVVCYSVCNPATRQYAPLPQLRGFCIAGMYRHPPTGEYRLLLYPGAFWLHDEPEPSAVYDCYVYALGSCEPPRDIGWPAAEEAIHSIRSVLFRGRLHWFIEKDGSQSCMVMVFDTTAELFRQMRAPAVPGGAKLFEMDEMLGMASFDDGVTRIDIWMTQDYDSEVWAFKCRVELPVADLYMRFGLDEFCGLMVLSWVDDGVLILFKSGDWLLHIGMDGKLVASFHRELLDTRPPAPHPLWRRATSTCDFLITHHGHQPALPLLYEHNFSPSVDIIPLDLRAGRAAADKLHSVARLGFDETYIHLQASCDGLLIFCTEADSCFSALLHFSVCSPATRQYAPLPLLRGFCLAGMYPHTPTGEYRLLLYPDSMLPYDELPPGTQDACYVYALGSCHPPRHIGWPEVELEIHAIVPALIRGSLHWHIERDEYEGNKIIVFDTTTELFRQMRAPAVPGAADLFEMDGVLGMASFGNGTLDIWTMQDYDGEVWAFKYRVELPVAELTERFGFNTYYSDVVVSFWDDHVLILVQSGEWLLHFDIAGKLVASFHRKLLRATQFRLCYDRPDIFQEGAQPWAQLGA >cds.KYUSt_chr5.42993 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271006547:271007546:1 gene:KYUSg_chr5.42993 transcript:KYUSt_chr5.42993 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSACFHLGCSGARSVPLLQDCRLPFLHAGFGGRGKASAADLSSCTAPSWPHDPLLEQDFPNLMGLAKMLVLVVGEQRCKMAGGLLCWNSMVEGSNPSVVEVDRRMQPYDLVALKKILKLLLVEFINAGDPAPPLSLVQETTPRLGAFCADGFSSSRPVCHSGGTSASARRRASATPQVVSSLVVRSTAVALKLCSSDGGEGLDRVFRFLLRSFLQSLRAMMQSL >cds.KYUSt_chr2.16291 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102502605:102503462:-1 gene:KYUSg_chr2.16291 transcript:KYUSt_chr2.16291 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATTPTTVAAAAATATATVSAISSTSDSDSESGDLQLLLPNLLPASTAAASASASEAQLHQFHIPALPSPVTVRTIPSLGLTFQLWPSATTLLRFLPASPHLLPRAPSPHCPLSILELGSGTGAAGLALAAALPAHTVLSDLPAALPNLLHNASLNASLLDSRGGSVSVVSLPWGDAAAMEAVVAPAPASGFDLVVASDVVYYETLVDPLMETLRFFVKGEVVFLMAHMRRWKRTDKKFFGKARKVFDIEVLHKDPPPEGWRHGPVVYRFTAKKQPGKK >cds.KYUSt_chr7.32348 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201530312:201540712:1 gene:KYUSg_chr7.32348 transcript:KYUSt_chr7.32348 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVGKVPQAHRWHAFSTSANAVPADAPKKDIDDQIADTQILRNLVKYLMLNDSPDFRFRLVLSLGLLVGAKVINVQVPFLFKLAVDWLAALAGTEASLASFTDANATMLALFASPAAVLIGYGIARSGASACTELRNALFSKVTLRATRSVCRMAFLHLHELDLRYHLSRQTGALNRIIDRGSRAINSILTIMVFNIVPTILEISMVSSILAYKFGSTFAWLTSASVATYIAFTLVVTQWRTKFRTAMNEADNASSTVAVDSLLNYETVKYFKNERFEVEKYDKYLKQYEDAALKTQSSLAYLDFGQNVILSSALSAAMVLSSYGVMSGALTVGDLVMVNGLLFQLSWPLNFLGGVYREFRQSLIDMKSIFQLLQEKPGIKDEPHAEQLQFKGGCIEFENVHFGYIPERKILDGASFTVPAGKSLAIVGTSGSGKSTILRLLFRLFDSTSGSIRIDGQDIRSVTLESLRDCIGVVPQDTVLFNDTIKHNIKYGRLSAKDEEVYDAARRASIHDVIMNFPDKYDTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLKSLSTDRTSIFIAHRLTTAMQCDEIVVLENGMVVEQGPHDILLSKGGRYAELWSQQNNGDANNALAV >cds.KYUSt_chr7.8783 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53208839:53212004:1 gene:KYUSg_chr7.8783 transcript:KYUSt_chr7.8783 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMANGQGLREVPDAEPDPLSDPDEAQPDLEWEGERETGAGGSDEEEDVDVDGLASFLEFEILCGSSDEDPLDEQEEEKDMPVIHDAKKGKRKSDGDGSGSGSEDEHQKRARREKGKGKALPAEHPQIDTGMFTNIPVELFLQIFKFLSSEDLISCALVCRFMNAAASDETLWRRLYCMRWGLSSNATSNAKLRDCAWKNLYIQQDREDMVEFVRYTPTEFKEYYIQMQAAKRSQAPLPSEVNDDKIILDKTVADQVSSWKSRRGLTDDAVKGHSCSGSTCLYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLVCTISGHCFERFLCPDDEWDTCDTDQQQGGVTDEAEPFMGSGRFAPFRDVIIGWVMTVPMRRNSNMPCDSADMLTRVGFVIPSFLLGKGK >cds.KYUSt_chr5.40834 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257788384:257789788:1 gene:KYUSg_chr5.40834 transcript:KYUSt_chr5.40834 gene_biotype:protein_coding transcript_biotype:protein_coding MHYDELLRRMEVGMSNQVSLGGGGGGGDHKDAFTIARLPPHVREANRSLYEPTMVSIGPDPDGKAGLLAACIKAVRGMEPRARRCYGGIPEVGGDDLVHLLVLDGCFILQFLLECSESRTGCIMQGASIYVYYDLLLVENQMPFFILVKLFSLVMGSAAAAEDAVDQRLLDLIFGFFSLREPLCQVPAPEPAPAGHQFTEVYHLLHLQYQRIVMTPEHHRKIRRLRRLGTSLYNIPTGIASFIRRPVTGTMTPLAIPCMTALQEEFNVKFKEKLLPESQFDVTFHGGTMEIPRLVINGGTRILLANLFALEQTKNCWNEGTMTGYLVLMNALVNTGADVAVLQRHGIMDNMLSNEDEAAAFFNQLGGSALFDPRKHRYAQLFKDTNEHCNSRWNRYMAVLKRDHLKTPCSIINHLVAAILLFVSVMSVSYVICRYRHTCT >cds.KYUSt_chr2.13016 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82535847:82537304:-1 gene:KYUSg_chr2.13016 transcript:KYUSt_chr2.13016 gene_biotype:protein_coding transcript_biotype:protein_coding MEACAATPVRRPADPSPSSPTPSPSSLRQWRPAAQRNLRNQWSRMLAAKARWLAAAADGRSHASALVNAHLSRSYMSGMDLGVLKDMPGIRDRASAKLAHKEVQCREMLLSTYKEMRLVVLEFLSINLKEGPDP >cds.KYUSt_chr5.31842 pep primary_assembly:MPB_Lper_Kyuss_1697:5:201904638:201909572:1 gene:KYUSg_chr5.31842 transcript:KYUSt_chr5.31842 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAPWRDSGAPRSSPAKDYGGNEEDLPELAQLMSTGGLCVGLLDPVSNIILNTVSLLPPGFQDAGPRKSTSLANKSMFYDIASRSYLALIGFLLDYFGCLIEEQAGHYLRWADADLALAVLLIEYDLFSASALTELLPNPGSGRTQASLELAVRRAGHPAPDRLVQLTSSVFPPQRLEAIKSLLAGGTNLTVDDLYLLHRLIQQSGATSNVHITLLPQGGLITRVLEQAVHEGAVAEETSYLGDGYKTTTIKPVGDYISSLRSSEDMDHKKELCWTKGYARQRSFKDHEVSCERLETLRMRILDIIHSLYLDAIALLPGKGANWLIRDILVAGHCYGLLNPVGNIIVNSIWHNRLCPLPVADCNAQYDILDPLSLLRTEVRSLEGTVEFIRAMATQISMRDMEINLYRSRCDTRWAYERFFIGRYTGKADPLFRAAVSAQHPLPAALELFHKQLSKDFDKMEELRSVFNTTSVLSVGDIDRIADLVDEIIKITPELQPSLQSQVPQMHKDAYSTMLRKRSEYECQSKYFRSKVGEMLDKYSAQHPWKGKYTLGVICAVEKCGYLSTEGFFVNFMAEGTNGRNTLFFAEFWVSHKPDESKPDICCPLDPPYTGRCFYSKNSARKIVYPDSVKYFMCDVTARGVGNVDDITDTDFVYFNSEKDADFVKKVNSYYAENSLLPANCFGY >cds.KYUSt_chr2.37141 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229851961:229853352:-1 gene:KYUSg_chr2.37141 transcript:KYUSt_chr2.37141 gene_biotype:protein_coding transcript_biotype:protein_coding MESECFGISTVTDNLEAKDFLQEYKSVLNSKATQDSLIARQVYPDDVDLAELRAMEAFDEEQLAADALQA >cds.KYUSt_chr3.43761 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276102644:276103976:1 gene:KYUSg_chr3.43761 transcript:KYUSt_chr3.43761 gene_biotype:protein_coding transcript_biotype:protein_coding METEIAEAGRGEVAFAMRALHHLARLDAGASNLAISPLSIHAATVLLGAGARGATLDEIVAFLGPPGGRSHALLASHVALRVLADSDDTGDGGPKVRFANTIWVDDAAARLKEDYARVVAEHYRAQACQASFSTMPEETRGVINQWFEAATAGLIKDFLPPGSVSADTRCILANALYFKGVWERKFDAQLTQPRTFHLPDYTEVLVPFMSSRESQYIDCCTDWKVLKLRYACGRGGARARQFAMYVYLPNQRHGLHSMLQQLASNPELLKGSMDLRRAVPVGDFRVPKFTISYKTEATGLLQSLGLRLPFDKEAADLFEMLEPTKTADERFFVSNVYHQSLVEVNEEGTVAAAVTTFGCQFASSPSMFCTPVPVVDFVADHPFMYLIKEELSGVVVFAGQVVNPSL >cds.KYUSt_chr6.11900 pep primary_assembly:MPB_Lper_Kyuss_1697:6:74026135:74032471:-1 gene:KYUSg_chr6.11900 transcript:KYUSt_chr6.11900 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDPNPFDEGADDSAFSNGGGRGGAGGGNSQFQFRPAEPAGFGGGGGRDAAVDIPLDNMNGSNGKDSELSQWQADLKRREADIKRREEALKSAGVPMEDKNWPPFFPIIHHDIANEIPANAQRLQYLAFASWLGIVLCLVWNFIAVIVCWIRGGDSKLFFLATIYGMLGIPLSYLMWYRPLYRAMSSYLHNSFIKLSLLLKSQLQGLKGRRAAPTEGWAANMAFCSPHTTTSLHSPRTTIPNSGFRQNQVILFTTRSSRRSNTRNGARTFQVSCAVDKPIVIGLAADSGCGKSTFMRRLTSVFGGAAEPPRGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPKANDFDLMYEQVKAIKEGKAIEKPIYNHVTGLLDPAELIQPPKIFVIEGLHPMYDERVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKFFNPVYLFDEGSTINWIPCGRKLTCSYPGIKFSYGPDTYFGQEVSVLEMDGQFDRLDELIYVESHLSNLSSKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQIIAERVGAPAQAAKV >cds.KYUSt_chr2.51522 pep primary_assembly:MPB_Lper_Kyuss_1697:2:321956973:321958497:1 gene:KYUSg_chr2.51522 transcript:KYUSt_chr2.51522 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQCNTRPAWDPLPTPPLTNFPHELPNLLPMSLTSCPISIYSRLHGTFSGVSEGFASPAVRRHGRDDRGIGSCVSGPPSAATITTRCVTKPAASSARPRPRPSPRAPPRPATARPPGDLRHVPTGHARPWLAEATPAASSVPPTPVAATAAPPGHGSLRPGDNRASLARPWPSCSCCLAGPARPCPRVADAAGGVPARACPTRPESRRARTAPTGSTPADRFSVSPRGTSARHNAHHSATGAPHCRCRDSWQNGEARSLVSLFAVRFPRGAAATHRRPPSTSSSASSDDPHRLLRPPLLHRPAIPPPATLPQVGLHHLLSPDLRRGRPAPPPPADLRRFSHRGQPAPSPLADLQHLNHHGKQQLITSRWRLDPTGWSAHTRRPAAPPERAGAWPAREKARSMAWQSWSGARADGILFSLRWLIPDRLRWPYNLFSNFR >cds.KYUSt_chr3.38537 pep primary_assembly:MPB_Lper_Kyuss_1697:3:242732163:242733248:1 gene:KYUSg_chr3.38537 transcript:KYUSt_chr3.38537 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPPPPQFFDPIPVSRLQIPAIGFRLRQAHSARTIFHTMARLAATAVLLLSLLALANCRVLEAEPETVVAISDEQQGQADPAVLQETDAAEAIPSLPTVTVAEGVLRLPSNRRPCHHGFLHRLIRWARHHGGLSHRRSAFAGAGEARVVPAFLPEEPRQAELEAVAEPDPDTRPDTDGEQKPFHGEEEVDGEENESVKAWKREMLRRFRAHGLHFHHLHHHQEHAAGENDDSDVQEQQNKAEGVAAVYANLLSRQFHHYHHGDHQEQENKEEGAADMQRQHVKLFSRHFHHHHGEEEQEQKDKEQGAERVPVELPSRPFHHHNHGDEEQEETARKHFFHHHHHMDSAKTRLTKSKNWQGN >cds.KYUSt_chr7.38219 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238290685:238294055:-1 gene:KYUSg_chr7.38219 transcript:KYUSt_chr7.38219 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHLRAHAFAANPLRGLAGSPSAVSPSAAADALRSLLDGADAAAHNHLFQVLPFRRGRPLARSPDPPAPSSSSSPAPPPVWRLAWLPPSRVPGIPPEVFVFLGSHGGEGDGKEAAAYWAVDVSEVEGARFGGAGEESAFVDLRTLMVAADWRDKDAMGELAIAGHARALLEWHNTARFCGACGAKAVPTEAGTRKQCSNESCKKRIYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETLEETGIEVGQAIYHSSQPWPVGPSTMPCQLMVGFFAYAKSLEISVDKKELEDAQWHSREDVKRALTFAEYEKAQRSTAVKVNQICKGVERGQTISSDLSVESEEPTPMFVPGPFAIAHHLISSWAFEGAPKVPSSFSNL >cds.KYUSt_chr6.20663 pep primary_assembly:MPB_Lper_Kyuss_1697:6:130309166:130312248:1 gene:KYUSg_chr6.20663 transcript:KYUSt_chr6.20663 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRLHPCPSFSPPPPHPAVRRHLAASPLPKLPFPIPSAVAALRARNPPLRLAVGRAVSGERRLGVDEEDLREALAKTRQLVECAMFASVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLAAGRKTMVATVLLLFTLSGPVKASTYLLMHGVVGLAMGTMWRLETNWIVSIILCSIIRAVGACGYVLVSSFLIRENILQLITVNIHASLTFILAAAGVNAIPSMDAIYVIFGTL >cds.KYUSt_chr7.18009 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111794801:111796186:-1 gene:KYUSg_chr7.18009 transcript:KYUSt_chr7.18009 gene_biotype:protein_coding transcript_biotype:protein_coding MALEDSTNTTSAGVCVVSRRTVQPLRSGEATSAVELKGETVHLTPWDLQMLTVDYIQKGVLLPNPPTGDRGELLVGRLASSFARALGRFYPFAGRLAVEKRQGTVAVSLRCSSEGAEFVHAVAPGITVADVAASTYVPRVVWSFFPLDGLVGADAAAGSRPVLAAQVTELADGVFVAMSLSHGVADGTAFWHLFNTWSEMSRLSGADAEISAPPPVLERWFPDACPVPIPLPFAKLDDIVRRFECPPVQECFFHFSAERVQSLKARANAEATGAGSATISSLQSLLAHAWRAVSRARRLSPAEETTYTVLVGCRGRVKRVPQGYAGNAVVRATARATAGEITDNGLGWTSRLLNRAVASLDEAAMVGSLSSWHLDPRFAYLAGFWNPAMLVTGNSPRFDAYGNDFGWGTPVAVRSGGANKVDGRVTVYQGRDGRGSMGLEVCLAPEALARLVADDEFVCQD >cds.KYUSt_chr1.11567 pep primary_assembly:MPB_Lper_Kyuss_1697:1:71155647:71162932:1 gene:KYUSg_chr1.11567 transcript:KYUSt_chr1.11567 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF1620-containing and WD40-like repeat protein, Scaffold protein for assembly of the restoration of fertility comple [Source: Projected from Oryza sativa (Os05g0230600)] MAPPPRRLLLVLVGFLAAAALATLAVAVYEDQVGLADWHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVIASLDLRSGDIFWRHVIEKNDPVDQLSLSLGKYVVTLSSGGSILRAWNLPDGQMIWETNMQTSTASKSLLHVLSNNKVAKDNLVLVSAGQWIYAVSSIDGVISWGKKFTLDGLEIKQVTQSPENDIVYVVGIAGSSKLAVYHLNAKTGEIIKDIQKSLPGGLCGEMLLGSDNRLVALDEARSALYIVEFKGESISYKKVQISDFVQDISGTVKLLPLMSNGIIALQSSSTISLLKLQGLNRLEVIHSFDRPAAVSDALTITEKDEAFAVVQHVGSQIEFIVKVTSDASNEIIREKVNIDQHRGSVEKVFLNNYVRTDKSHGFRALVVMEDHSILLIQQGAVVWSREDGLASIVDVMASELPVEKDGVSVADVEHNLFEWLKGHMLKLKGTLMLASVDELAAIQALRVRSSEKNKMTRDHNGFRKLLIVLTKAGKVIALHTGDGRIIWSNLLPSLRASKSGEMPSALRLYQWQVPHHRVIRENPSILVVGRSGADSVAPGVLSILDSYSGEELNSQRFDHSVVQIIPLTLKDSSEQRLHLIIDSNSYAHLYPKSPDALNSFVPEMSNIYFYSVDIQKNAIRGYSLQKSSDLNLDDQYCFGTRELWSINFPSDSERIAISETRKMNEVVHTQAKIIADQDVMYKYLSKNLVFVATVSPKAAGEIGSAAPEEASLVAYLIDAVSGRILHRVTHHGAQGPLHAVVSENWVVYHYFNLRAHRFEIAVIEIYDQSRADNKDVMKLILGKHNLSAPMTSYSRPEVMVKSQSYFFTHSVKAMAVTQTAKGITSKQLLIGTIGDQVLALDKRFLDPRRSVNPTQQEKEEGIIPLTDSLPIIPQSYVTHSVQVEALRGIVSIPAKLESTTLVFSYGVDLFYTRLAPSRTYDSLTDEFSYALLLITIVALVAALFVTWIWSEKKELRDKWR >cds.KYUSt_chr1.39728 pep primary_assembly:MPB_Lper_Kyuss_1697:1:243133246:243134063:1 gene:KYUSg_chr1.39728 transcript:KYUSt_chr1.39728 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHGKSSGRSAERIGIASASLIFMAKKASALAGVALLCILLVVSSAFVAAEASGRQWGHGGESGAVAVAAAATTPGRWRLVRRALREEVVQTDGDVDIGETKRKSPGGPDPQHH >cds.KYUSt_chr1.34900 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212657011:212658471:1 gene:KYUSg_chr1.34900 transcript:KYUSt_chr1.34900 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAGAVCPSLPRLSWRRDLGAACCSLAPATTPQSTRLSPSNRGTSSERSPCSDAAVSVSLQRQSRRFQYKPRCTQAEVANSTVDDDEACELVRGTDVVIGQGDDDSVRAYLLEAVKNNNGTCVLFLSDIFGFEDSATRDFAYRIACHGYNVLVPDLFRGNPWKKSLPMDGFQAWLAEQGPDRVAGDIETCRKWLVDDFLEAAASKKLAVVGFCYGGGRLVETLARDADACFCAGVCFYGSRMDASLGARIAAPVLFVCGDGDPLCPVETVRELEKSASGAKAAVYAGRGHGFAHRPESLEDDGDAENAFALMKSWLHEHLIS >cds.KYUSt_chr2.46169 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288206723:288207769:1 gene:KYUSg_chr2.46169 transcript:KYUSt_chr2.46169 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYGWSDLPMDLLLSILQRVELPQALAFAAVCASWRSAATAAGIPRSRTPWLVSWADFLEQRELQLKSKMKWVPAAATCKFRHLLDADKKAVLSCSPSNGGDYVAMIIHRDSDWLSFVRAGESEWRTASMLDVSKHDQYTDCAYHNGRFYAVTLHGKVDKWDLNAPDGPTKEVVIEHRHYAPVITRKLVSTPWGDLLQVRVIYTNAKSRYPDNVKFQIRKVDLEGLRNVSMKDLGDHAIFIGLNHSACLPTGSLSGIPKEH >cds.KYUSt_chr1.29915 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181183410:181183664:1 gene:KYUSg_chr1.29915 transcript:KYUSt_chr1.29915 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAAGEKGRVPLFGFGSKSAFSASQLKEIKRVLTVTTERDRSWPGELQAARFLWSVGTEQGTGDPPARSNEFPDPGPIDSKK >cds.KYUSt_contig_2887.91 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:441130:442320:1 gene:KYUSg_contig_2887.91 transcript:KYUSt_contig_2887.91 gene_biotype:protein_coding transcript_biotype:protein_coding MLARFGCPSSCKPASSRNYCSKAPADAMSTRRCRSAVNSRSMVAGRREEEEEWRRYLTPERFEVLAHLEPWAEAHMLPLLKPADEAWQPSDLLPDAAALGADGFHQACLDLRARAEGVPDAQLVCLVGNMVTEEALPTYQSMSNRFEATRDATGADGTAWARWIRGWSAEENRHGDVLSRYMSLSGRLDMRQVERTVHRLIASGMAMHAPASSYHGFVYVAFQERATSISHGNMARQVGAHGDASLARICGAIAADEKRHEAAYTRVVAKLFELDPDATVRAMAYMMRRRITMPAALMEDGRDTDLFAHYAAAAQQAGVYTTSDYRGILEHLIRQWRVEELAAGLSSEGRRARDYVSGLPEKIRRMEEKAHDRVRKEPTPVTFSWIFNSPVNVVLP >cds.KYUSt_chr1.40773 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250041244:250050976:-1 gene:KYUSg_chr1.40773 transcript:KYUSt_chr1.40773 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVLSLTCAGLGAPEEDEDGGAVGYVKGEHCLDNLKDLQRLLRRDDPERREVFKQVCKWKIASRDLVPIIENYQADRNLVITAVKVLVFLTMPVDPSSEDVAQQIEYLWDLKAALTRNVAIAVIVSLLEDPLDHLERTAFTEDDWKLVQLVLTLFRNLLAVQEITLPQKASGEATQLLFLADSFLELMFQENMMDLILVLTQHIDEPSGYLKHENLLLLEIFHYLFLGRDPELIAKVRTEGSKSICDDIVKEHQSIEKSDNITFFKVVRFVLAFQHEKASNAQKSSAGPQISETSPSNESEDNLPFRGDICGPVAATLNEDMFNIVISRWRETYEDLKQTKDYKTLSAAGSLMKNMMPTLSSTMQNRDFETRLELGLFAFEIGMIYLVLKVHPEDSRESQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDTHKQPKSALADLVETIHIMLQLMEKLQSRGALRVAKRTRKGRKKKTSGDKNENAKPETENMETENMETENVETENMETENVETENVEQSYIDPTGGTKCTSDSLPDLRSEDPLAELTVPEEGKVDSNVTELPDTAVETVVSLEGTTQLGGDPSCAGSAEMKGNAINEEEDTSDSSADDCPPATSEADFNVSRLISSLANNSVVQNICWLLRHYKTNSFRTNHYIICMLRRFCEDLELSPMLYQLSLLTTFYDILAEQQASSSKEYANIVNFLSKVIRKMLRAMKKQPLLFVEILFWKSRKECHLIDADALLNEIKGDVNNNASEVGASKGWRGPVNIADSLGDDEADNVIPQEPYDADKDGDSSSGEREGDNQKSMGATNRRNRLLSLSGSDSEDNDRYKDDRKCSHLIAEALDPSGKISSAQISRKLTQLGLRNAKKRTKVPEAPLSAGDLATQPQNHTLDDPKPESTRRRRKRLHGLSSNHDNGANHPISSDEETLQALKSRTKTKELSSEDFSPSISQHQDEALQGTDSDDETIGSMLRDVSLQPESNSLDDNSGHAGEAELLDDFSEPEMDNRENSEQRVTNEMNMTESGDMGSSYGSQKAGSKRRNRLVIDDDDDDE >cds.KYUSt_chr1.17766 pep primary_assembly:MPB_Lper_Kyuss_1697:1:103506928:103507305:1 gene:KYUSg_chr1.17766 transcript:KYUSt_chr1.17766 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPAPSDSLEMAIPHPRRYHERSREGHGGRGVGGSVILHGWSVDTAASPWVPWPPPRCASSRVVGDHDAASAADRDAANPVAMLEYREHLVREKWIHIAERLRWMWLFPQSADLDGVACRYSCS >cds.KYUSt_chr6.32105 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202784062:202785500:-1 gene:KYUSg_chr6.32105 transcript:KYUSt_chr6.32105 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKLPAVAGLVLLLAALNAAGVESQRWFPPPGRCRYRFGEWICDGAVPSPENPTPSPPAALTSPSMFVFGDSFADNGNIPKDTQQLSLISRQWYDPYGASYGKDNGTAAGNFNATGRFSNYLVQSDFIGRILGMNEAPPTYISSGINGNCDNAGMTFAAGGSGVFPVPLGVPKLSDQLDNFERLVASGCITKAQIDASVVLIAVSGNDYDRVGVAQPSAFGDVTVFIKNVTSQIAAAVDRLEKVGMNKILVNNLHPVGCTPAQTVPSKYATCDGAGNTASKTHNENLHQLLADNGNVMLLDLYGAFIGIVDKEHAGDKGSDLSRQFKNKKTPCCIGNDPTGFCGQMSSDAQNPVELYTVCPNPERHFFWDEMNPTQAGWTAVMTQLEGPIRQFIWPSQS >cds.KYUSt_contig_319.1659 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:10939343:10941838:-1 gene:KYUSg_contig_319.1659 transcript:KYUSt_contig_319.1659 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRARAGITASDDRLSALPDGVLHTILSFLPARQLVQTSLLSRRWKDLWCSTPCINIDEREFDIGSGRNVQAKKWSKFENFNTNLLLFRSNAASVDKFCLYAHEHNHRDVDKWIRRGIKYCPAHLHISVLNDVAFKLPSLGSCFGTLRRLYLSNVSLDNCFAELLSSGSSPLEILELRSCWNYFWDITSHTLKDLFIDSCIHHDAHPLVITAPGLTSLRLNITNTSYADGISVYQTTALAKAAIVIPSPVFFPVDNQRNLLRSLFSVTKLELGGFETKVKEHCEMEWDSKRRSINLQRQNQKAFQCPKLKVVEVLYEDDHHHRLAEFLWVITARLPHASITLTKLR >cds.KYUSt_scaffold_869.1283 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:8207220:8210463:-1 gene:KYUSg_scaffold_869.1283 transcript:KYUSt_scaffold_869.1283 gene_biotype:protein_coding transcript_biotype:protein_coding MDKENANPIVHGAVGSKRDASLFNAVPSTDVTAVPSTDVAAASAGASEAAAAGRGQIPPGYDPYEPVPRLGGTDFKRLLTHAENIGHTNPKVGASVNPNSFRAKHLALGMHLRSIQRVEISGGRMPPLKPKAPKDHAETTTCRCPDARHQEELGRNGTERRLRLNRSLGIAAMDSGMLPTSPALDRSSVARLAILSEPEHINLPLSGLLAAGPSAMPCTAGTPTSLR >cds.KYUSt_chr7.13526 pep primary_assembly:MPB_Lper_Kyuss_1697:7:83307523:83313805:1 gene:KYUSg_chr7.13526 transcript:KYUSt_chr7.13526 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSGSLSGPDSRVETISRLAQWRIDTFGPCSYRRSDPFKLGIWNWYLSVEKSRSVYVRLFPEPGRLAKEQPPHARFLLRVSWAGPPRRSTVSPVYGTMDRVESIPFHPLYYWALRGERAMGLGVICGSVSMAIRLGTAVLILQATESSSSVWPNEGVVQKVASKSTLGCLSRMLTESIHADVTINTTDGVLKAHKSVLAACSPVFESMFVHDLKEKESSTIDISDMCMDSCSALLGFVYGTIRQEQFWKHRLSLLAAANKYGIGDLKACCEESLLEDISSGNVLERLHVAWLYQLEKLKKGCLTYLFVFGKIYDVRDEINGFFHHADRELTLEMFQEVLSVWKPI >cds.KYUSt_chr6.10466 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64626478:64632221:-1 gene:KYUSg_chr6.10466 transcript:KYUSt_chr6.10466 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAHAKVMLWSMRWLTIQAFNATCMLVCAFVSISSAVRVLSFGRGTVWTAMAHIITAVIGSGVLSLAWSVAQLGWVGGPTAIVFFAGVTVVQSSLLADCYISRDEDGHGVVVRNKSYVQAVRLYLGEKSRLFCGFFLSISLFGSSVVYTLTSATSMRAIQKANCYHTEGHGAPACSAAGGGSDVYYMVLFGVAQLVLSQIPDFHNMAWLSIFAAVMSFSYSFIGFGLGAAKVIENGVIKGAIGGIALASTTQKVWRVAQALGDIAFAYPFSLVLLEIEDTLRSPPAESETMKKASRASIAVTTFFYLGCGCLGYAAFGDATPGNLLTGFGFYEPYWLIDLANLCVVLHLLGGYQVYSQPAFALAEQWFATEGSSVDLNVFRLCYRTAYVAAATAVAVWFPYFNQVVGLIGAFSFWPLAIHFPVEMYITQAQVAPWTARWLAIRAFSAACLLVCAFASLGSAVGVFGSKES >cds.KYUSt_chr4.806 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4245194:4245655:1 gene:KYUSg_chr4.806 transcript:KYUSt_chr4.806 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDNLFAFERSHPPIANAAGSIVNRGRLTCTPTPKKPEEGERSQTMEANRDEAIVASVPDVGQARLHLRVRRGQHRRRCRSLDLNLLLLYATSGAAATILVPLLRTRTAAPPNRPAANQFEERRDWGKERRRGDWASPRSRFSLPHTGRRGW >cds.KYUSt_chr5.37200 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235233583:235245248:1 gene:KYUSg_chr5.37200 transcript:KYUSt_chr5.37200 gene_biotype:protein_coding transcript_biotype:protein_coding MDARMITRFKVRPSLRNYREMRIRTRVGWLRWAGCALAFLATELELSSQSYNGTEVGSLSQRFPIDLEKLVILNRDHDAIMLEEVGGVSGLSGLLKSNLERGINSNEEDLLKRRDLFGANTYPRKKRKGIWRFVFEACRDLTLVILMVAAAISLSLGMATEGVKDGWYDGGSIFFAVFLVIFVTATSDYRQSLQFQHLNAEKQNIQVEVIRGGKRIGASIFDLVVGDVVPLKIGDQVPADGVLISGHSLAIDESSMTGESKIVHKDQKAPMLMSGCKVADGYGSMLVTGVGTNTEWGMLMANLSEDIGEETPLQVRLNGVATLIGVVGLSVAGAVLVVLWLRYFTGHSNNPDGTTEFVAGTTGAKQGFMGAIRIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEVYLSETKLNPCDNTGLMSSSVASLLIEGIVQNTAGAVFSPEDGGTAEVTGSPTEKAILSWGLKIGMDFNDVRSRSSVLRVLPFNSVKKCGGVAVQVSDDNVHIHWKGAAELVLASCKSWLSIDGSAHPMSSDKYNELKRSIDDMAMSSLRCIAFAYCPWELRMVPKEDLDKWQLPEDNLTLLGMVGIKDPCRQGVRDAVQLCSAAGVKVRMVTGDNVETAKAIALECGILNANDVESETIVIEGKVFREMSESAREEVADKITVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDSLMKRHPVGRREPLITNIMWRNLFIQAVYQIVVLLVFNFDGQRIFHLHNESQEHADKIKNTFVFNAFVFCQIFNEFNAREPEEKNVFRGVTRNHLFMAIVGITTVLQTLIVEFLGKFFGTVRLGWKLWLLSVAIGAVSWPLAYLGKSIPVPARPFQDYFKHCFSGRRKRQDEEQGGKS >cds.KYUSt_chr5.33243 pep primary_assembly:MPB_Lper_Kyuss_1697:5:210908917:210913633:1 gene:KYUSg_chr5.33243 transcript:KYUSt_chr5.33243 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLSPLREPPLTGDSSNTQQQLTPSMGLVASVGRIWAGSAFAGIGDTVAIRGVLYTENIAIVPNDECSEIMAPSLGTNRLYIISRSHRAATSIQNSKRELQSFEQPLRPSRRPAPHARSPSSSLHCPPRTCCVNLHQMASAAAAGAASSQRVRVVLRLRPFLPSEASTAAAPPCVTVIGGRPGSEVTVQLKDPRTSRSKCYKLDAFFGQEDRASDIFDKEVSAVIPGIFEGVNATVFACGATGSGKTYTMQGTDDLPGLIPLAVSTILKLSANTLCSVEISYYEVYKGRCYDLLEPKDKEIMALDDQDGNLQLKGLAWVPVRSMEEFLQVYSKGVERRNVSHTDLNDVSSRSHAVLSIRASNDAVTGKLNLIDLAGNEDNSNERIRSSLFALSSVISALKNNEPWIPYRDSKLTRILHDSLGGNSRAVMIACLNPMEYHEALYTVNLAGRSGHMKQTASARVFSRAKVMNQYDENIEKVLFDSVDHTPAKNITRLSSQDAVKAIKKVVLPSSSPCKEDKFDSLLSKAISPISPNMVILKQQTDEDLDCPPSLEPKTPIGACNIAGVISSATPLDKLNAHGSDLMESYIQQYLEFLNLASKEELQEVKGIGEKRAEYILELRKDSPRPFKSVRYRHL >cds.KYUSt_chr5.14920 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96517441:96518496:-1 gene:KYUSg_chr5.14920 transcript:KYUSt_chr5.14920 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLPSAASCGLLLAAALVLLLSHGAHAQGGLSSSFYDNSCPSTRDIVRRVIQDARVADARIPASLIRLHFHDCFVNGCDGSLLLDEDLQAGIMTEKKVPANDRSARGFDVVDDIKRTLENACPGIVSCADILTLAAEISVELAGGPYWSVPLGRRDGTTTNIESAINLPSPFDPLETLQEKFKNLGLDDTDLVALQGAHTFGRAQCQFTMRNCTNGQAVGALVNLDGVTPDVFDNKYYGNLLRGRVELPSDQVMLSDPVAAMTTAPIIRRFSGSQSDFFSNFATSMIKMGNIGPLTGRDGEIRKNCRRLNK >cds.KYUSt_contig_988.62 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:447875:449925:1 gene:KYUSg_contig_988.62 transcript:KYUSt_contig_988.62 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAIKNNMEMLDNNHDSSSQIQVRPQDSSLQVSKDQKIGHASLQPGSTLRTDLTISGPKVYSDAALKARRLSGATPKSRSGLGIFYDFQEGQHTTKVLIQASTVSASSPLHAEALALLLAAKIAAALKLSQPTFLTDNLPLAKAVTANSMTDPQVTGDRCCKSCVGVAAGIVPPPISRGAPPSRSNPDTEMRIPCRLGCYKCRKAVRAIFSELDEINAKPPIFPGRLQNTEEESERGQGATTPHGGAARGGPAPPYGVGTPSTPLRRLFAYKIPFDLKTQYELTKLQKDSRGAAAIAKLQFGGQNSVSAPCRDGELPPEPSPPPSSPPSSPPSLPP >cds.KYUSt_chr4.23363 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147174962:147176260:-1 gene:KYUSg_chr4.23363 transcript:KYUSt_chr4.23363 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYELGRLLGKGTFGKVHYARSLKSNQSVAIKMLDKEKVLKVGLAEQIKREVTTMRLVAHKNIVQLHEVMATQNKIYFVMEYVKGGELFDKVAKNGKLTEGDAHKYFQQLISAVDYCHSQGVYHRDLKPENLLLDENGDLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKRGYDGAKSDIWSCGVVLFVLAAGYLPFHGSNVMEMYRKIEQGDFRCPSWFSHKLQKLLYKILDPNPSTRPSIQKIKESTWFRKGPRDTLAVKERTPSENVNTNTKAGARSRKNAHDVKPMTATNLNAFEIISFSTGFDLSGLFIQEECKKEARFTSDKPASDIISKLECVAKALNLRVRKKDNGVMKMQARKEGRNGVVQLDTEIFEITPSHHLIEMKQTSGDPLEYRELMEDIRPALKDIVWAWHGDDQQQQD >cds.KYUSt_chr3.10659 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63326904:63329520:1 gene:KYUSg_chr3.10659 transcript:KYUSt_chr3.10659 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSVRARADADDDNAVLTRSARAKKGKTESQATSSISVPLFKNLHPPKEDDIIVDSAIALRSFFRVVDSIPADNLLRLHFGTAPKICGKLFMATAALMTLLGHNRYDISESPAGVMYVSTRSVDDAVMLESQNGVPGAIAGSSDPRHDDATAASNSFLLGLESMRAEIKEAVRCSQSANDAWLETRRDVADLSVFLNNQHHHREPTTQDYLAALRLAPDAFAAIKWPITSVKEFKVAVLAALDARGVAVDELVALGMLTAPCLTAHPRAKSSGKRKAPDEPTEASSHGVLLTRKANKLAALIVDGVTNNRLLDRCWVELQGNPVVYVTGIVLKLILTGEADLDVSFCDAASRMMNRIEAVKFACGHTEAPRCFMPPTFVHRVLSRIQSDVALVDILANSTIEFNVRACMEFVAVVPAGNGWACYAWGFSKRNFTLIDPLAHGMTVQEVKNKHRATYEALFHKLTVHAIPAIRDAPWTEVIHTDVGGSCPRNSTGIYSVLAAKIVDCNTGEIALDHFDMAQCTRNIVYSVVDLDDNKTKIPDISSLYGATKLPVPLSLVRK >cds.KYUSt_chr2.43773 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272430001:272433863:1 gene:KYUSg_chr2.43773 transcript:KYUSt_chr2.43773 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLRKLLSVSSCSLKEIQRNSPLLETEVVVRNSPEIPQDVLLDIFALLEIPDLIRAGSVCSSWRSACTTIFSQPELYKRPQTPCLLYTSESAGENVACLYSLAEKRVYNFTLPDPPIRSRYLVGSSHGWLLTADEKSELHLVNVATGQQIALPSVITNESVKPIFDNAGTIDKYELWDPMHDIDLDFQYIGHDMTIHALDELRDVFYHQSFIFLDPSTGSYIVVLIHGLSLQLSFARVGDCKWTLLPPGWDYQQCIYTDGLLYAFTRFGRVDTFDLTSPTFTMNTITDCMKNYIPACMYVVQTPCGDLLQVRRDFDLIDTDDNKLICKTKKILLYKVDMAAKELVEMKDLHDQKENLDNHQNKMKLKHQEEIIAQQGTEQQKAFVIRARRDHKLNGKFSGLDLTT >cds.KYUSt_chr7.14662 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90566655:90571842:1 gene:KYUSg_chr7.14662 transcript:KYUSt_chr7.14662 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRSKRGYHYNDQDSPPPPRSKPRFDRRGAGGGGPNPNANNYHRRGPPGGGPDRRGGFLPPDPAPPPFAPPPPPPPSSSSAAAGGGPAPTTSFRIICPESKIYGFTASFIADAQDDTGATITVHAPFAGDPVRAIETADGDADGGGRPQQAFSPAQEALLLVHRRILDTQPDDGDEDGEYGPRGKDARDRGKVTTRLVVPRQHVGCLLGKGGKIIEQMRSETKTHIRILPRDQNTPRCVSLSEEVVQIVGEGNCVKKAVLIISDRLKESLHRDRGPFRGRNSPEHRISQADEYLGGQPMPAFEEPYARFDQIRNNGSMEPPGYEFDSNGSKFNEHPEMPFDEIIFRILCPNDKANSLVGSRDGIIEMLQVDVGVDVRLTDHIAGSDERALIITSREGPDHELFPAQEALLHIQTYIVDLGPDKDNIITTRLLVPSSEIACFEGRDGSLSDIQRQTSANIQILPREQVPSCALESDELIQIVGEIRAARNALMQVTTKLRSYLYREMYGPNQIGSINVHGSISPVKDSPRGLYPGNDLPMAMYQQAPQMTTSWHSKDSGVSASGSFEQGSNINDDIRQSAPKRFAVPLVTRSTLEVVIPNSAVASLSMRAGSKLAQISEMSGASVTLAEDRPGAMEKVVRISGTPEQADKAQSLLQGFILSIQDDMPSG >cds.KYUSt_chr6.29723 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188476939:188480472:1 gene:KYUSg_chr6.29723 transcript:KYUSt_chr6.29723 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTAGGSTTRSWQPTAAGADTTDLHFWLQWRVAVCGLWVLCCVVAAAYLIWRHEGPGAHRRPGGAVDKERRNRRPDGLLYDDEAWRPCLRDIHPAWLLAYRLVSFFVLFSLLIVIVISDGGSIFYYYTQWTFMLVTIYFGLATALSIYGCSKFSDWNAVAAATDTELGPYAAHGAGNKPTADEEDDGTREIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYSMNFLLIGMHSVNAVFLLGEASLNSLRFPWFRIAYFFLWTALYVVFQWIVHASTPIWWPYPFLDLSANLAPLWLNQVFSSERVVQVLCGSIHAITVLRDLQTGDEPETQPSL >cds.KYUSt_contig_686-1.1427 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:9036417:9037202:1 gene:KYUSg_contig_686-1.1427 transcript:KYUSt_contig_686-1.1427 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSKIEGTELSGGSGGPDDDRGENGTGEPTGGAVVTGNRRPRGRPAGSKNKPKPPIFITRDSPNALRSHVMEVAGGADVAESIAHFARRRQRGVCVLSGAGTVTDVALRQPAAPGAVVALRGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGTLTAAGPVMVIASTFANATYERLPLDEEAEEEEARGGGPGGPMPPLMGGMPVDPSAMPMFGGSLPPNMMPGGAGAGLQLGHEALAWAQAQHARPPY >cds.KYUSt_chr7.24777 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154422478:154423158:1 gene:KYUSg_chr7.24777 transcript:KYUSt_chr7.24777 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSTERSSSSDSSWPSPAKRPAGRTKFQETRHPVFRGVRRRGTAGRWVCEVRVPGSRGERLWVGTFDTAEAAARAHDAAMLALRGPSASLNFADSAWLLHLHVPPGAPAASGLSDVQRAANEAVADFQRRVAARRAAAAYGGASEASSAPALTGNDLGATSAAADALPAGAALDTDMFHGDMFGGMDADSYYANLAQGLLLEPPPAAVDCLEDDECGGAEMDLWS >cds.KYUSt_chr2.48802 pep primary_assembly:MPB_Lper_Kyuss_1697:2:305327792:305329883:-1 gene:KYUSg_chr2.48802 transcript:KYUSt_chr2.48802 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVLSDLQALKGLYGLLHKGQQANENMLSDPLMSPALERRLSIQPGRRPAGDAGQRLKPLASPSLSIHASEWSRRLKPQSPARSRDGRHDYDDHRRRERDDRLLARVASNRSSRTAMPRLPPTTPEQRIARLGSHRSSMAAEPWRGDASDSASRVGRSDRYSRLRRGSGRGDRSSSSPERSSRRRSVSRAPSTTRLLGRNTAQGAGGSSTSRCVGRLDTGLSRRGSERAAGRGAGTSRWSSPSSDGEAVTIRSRIRPNRELLDRCVRRAEEIEELSLRQRRRKDSSVRSGISTGRSSRRPRQMLDPIDSGSTYSSSSASASIPSPSMSPTAPSTPAASASPCSSSSVSVSPRGGARPEYPLEEASSTSKSRRRRERQERREGRLRRFKDKLALVFHHRHDHHHHHHIGRSDSPLSRGDRRGKKSKSPWSYLGGMFQHRTAGQDRGKKSTVGHDGGKKKSTAGHDGGKKKSTAGQDEGKKKSTSKTVVTAKRRGGGGHAPSLFDALVKHKFGGRKAPARAGMVRTRSLSRVQVKKTNWWQQLGRRRGPAEGKRRPRRLGQGKAL >cds.KYUSt_chr4.47764 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295698994:295703076:1 gene:KYUSg_chr4.47764 transcript:KYUSt_chr4.47764 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLFVKIVEDCEAASDYFKHRRSAAGIMGFSGYHEISAAMRVLAYSIPADYTDEYLRIGQDTTTESVRRRALRLECVRCFPKGVAAEVAFFAKKEEQKAAKKRDREARKAKKEAKKKEKAARKKEEKKKGAGPSTITVDSSSLEFEWSSTPVSSTTPPTSFSHSRFQGCYHRCRPRRARRAFHGALPIGTTTTAASAHPIIASSTSAHPTTASSAGSNSAGTGAWSIAGGVMQNCDNCTTTARNRCESDPNCNCPRDCSNILQASCSSRCNSRECDRCTYKGYNDCRDKCCPMIPCDQK >cds.KYUSt_chr3.15795 pep primary_assembly:MPB_Lper_Kyuss_1697:3:97049865:97054131:-1 gene:KYUSg_chr3.15795 transcript:KYUSt_chr3.15795 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFCKMLIASDASTHGGSSVPYRAAEKISLPWTQMKFFCKMRTVSDTSTNGGSSIKPHEMLQSLEDLDGAQVGMLLFIFGECASLQHPWCPGLHSFPFVRDKSLTDVESTGMRRFQVKP >cds.KYUSt_contig_1993.354 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:2017407:2018422:-1 gene:KYUSg_contig_1993.354 transcript:KYUSt_contig_1993.354 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRVQRPLQDRRQRVGSSWAETNRVQWGHWTRFGSAVGHLLGSKGLFSTSDSLGGPSWAVPLGRRDSTTASLKEANADLPPPSLNLDQLIQAFGKKQLSPRDLTALSGAHTIGFSQCVNFRDHIYNGTNIDPAFATLRKRNCPAVAPTGDSNLAPFDVQTQLVFDNAYYCNLVAKRGLLHSDQELFNGASQDALVQLYVANPSLFASDFVAAMIKMGNIGPLTGTAGQIRRNCRVVNS >cds.KYUSt_chr4.41208 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254733203:254735055:-1 gene:KYUSg_chr4.41208 transcript:KYUSt_chr4.41208 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRTSQERSVRNKRDASTRVEESKVAAKQLQLLPPDVLRHILSRLSLKEVVRLSTLSHEWIRLRICHPDLVLTQKTFFGKTVAWRTYQHPETTEFITKVDKLLRPLWSTSTTTTTTLDKFVIKFCLGRRHKYHIDKWIKFSTASRSKHIALDFTMERTSTGRESDKYVFPLRNLGGPNGSCIKSLELGYVRLKLPPNFCGITNLTKLALNKVSINEADLDSLLLSCAFLESLSIEFCSLTSLCIRQELSRLQCLRVRYCHLEMIELHAPNLAKFAFDEYHRQIVLAECLKLSEATFVSNLRLSVINIADFELNYTFPKLPTAIPHVHKLVALLNFVQLGRYTGSFPSPRVTKAAQGPQHHHLNSVYISGFCDALGLAEVTLYMLENATVLERMVVDPVSYGDPYTDSIYSASKAGSRKGASYNISRNRVFAKEHLDREQFGHILTIL >cds.KYUSt_chr4.37844 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233361765:233362418:-1 gene:KYUSg_chr4.37844 transcript:KYUSt_chr4.37844 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRVSAQRSRMKRQQSAENLAVRAAQFAENNEAMLATTGVVLQHCELVEQANRVLAADARQLYTELERANSQLRLFGQFMGMPMDVPEIPVHLTELYGGMQIPLPPPPSLSPSLLPPPSLSLPLQPPQSISLPLALPPPSLSLPLQPPQSISLPLSTSLPLLPLPLSLPLPPTLPLSPPLLLSLEMEMQMLFQPEPDSDQPDDVMDDAGSLPPRP >cds.KYUSt_chr6.17670 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111183718:111185073:1 gene:KYUSg_chr6.17670 transcript:KYUSt_chr6.17670 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPAAGRVRLNVGGRVFETTADTLASAGRDTMLGAMLDASWNAPAATTSEYFIDRDPACFAVLLDLLRTGGLHLPPHVPEAALCREALYYGLLDRVRAARWGHFDGDRLRLAASVPGRAPGDGAAVRAAPDGGCCVAHGAAVRVYNWMLQERRPVHLAHAPVNDAAYLDAATLLVAARDGPGSSTRPSSGGVAAFSALTGELRHRFLVAHDRQPRPFTPGALAFNNQHSKIFASCKGRLNEYGVAAWDAATGEQTDFFYEPPACALGDADALQWLDGTSTLMAATMFPRADSSFVTLLDFRCRSVAWSWSDVGTPASLEDKHAVHAVAMEDGWSVCVVNQYDDLGFLDLRSNAGGVRWRSGSKLQMSRKKVKGEETCYPKLATHGGQLFASTTTGAISVFSGPDHALTSTLRASDGGVICDLSIGGDRLFALHNEQNVFDVWETPPLPII >cds.KYUSt_chr7.1748 pep primary_assembly:MPB_Lper_Kyuss_1697:7:9988087:9990299:1 gene:KYUSg_chr7.1748 transcript:KYUSt_chr7.1748 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTPHPCAFADLRLARCRLMVRATADWRSSRGAAHRRLEDPQAVDLRLARCRLKGRAATTWRSSRAAELDMPSQQPADGSQWVTGTRRRASRRMSSSSWSAEPTGGCTRLLPWVKLC >cds.KYUSt_chr5.38288 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242148386:242155512:1 gene:KYUSg_chr5.38288 transcript:KYUSt_chr5.38288 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDEVLDDILSRVPPRRLAACRRVCRSWRDVIDGRGLVLAHLAPGPVQGIFVSFISKMMHCFFSRAAVTEAPPSIDGSLQFVPTISADKLTIGTRVWDHCNGLLLYQNQGTMYVCNPATQRWTTLLASPLHLDVPRFRDRLHLVFDPTVSLHYRVMFFPEVPCKPTPPRYDLPGSKKYRYNSTYMGSRTDYIQNLPPSLRASYEQEVETVGSMEWPPYSYALQVFSSETGLWEERCFLRQGDAVTTVSKIWSKQGQPTCGIALRGYAAVYWQGALYLNWPGGFITRFSLLEGNYTVIKTPRLVDTSFWEPMSFAGYNTMPNVYLAKSKQGIYYTALQGYRLQIWSSRTPRLPVADSSEDEDLVPARSPTISAGDYVHGSDEEVAVLAQTKAVSEAEARARFRREEADAVRQDIWCHILSLMTLRDAACAACVSRAFLCSWRCYPNLIFNTKIMDMSRDRGFTCTVDDILKKHSGIGVKTFELDFSRCCKPNVNEYLHGWLQIVVTPGIKKLTLVMPQEEAVSFPCPVLSDENRSSIRYLHLVHCAVRPTDNLCCLRNLTELHFDWVRITGDELGCLLSSCVALQRLKLTRCPEITYMKIPSWLQRLSYLQLVNTTMAFYPSKFLHLKHVGICIIGAYDYFSLVSFLDAAPLLETFDLRVEAFQHTIGELLSDNPSQLQQMAGYHHDKLQRVKICRFYSWKSLVELTCHILENSSSLEHLTLDTTDDRFDAATSKCSYNRSDKCSFLAKPMDAHKSVLAIRKHIKGKVPSTVQLDVVEPCRRCYPIRHLS >cds.KYUSt_chr5.27468 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173918546:173919613:1 gene:KYUSg_chr5.27468 transcript:KYUSt_chr5.27468 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMNPARAFLYLVVERCRYPVQSLYTVHRVPPWSLFFSTKGGQLPRSEAAKIKEAPLPSPIVTLYPSAGDLPMNFVLFGRGKDKIMATDYLGRAFLYDDALGAVSALPSMKEPEYDPVSVAVGDDVYFLSNPPDAHGRSVQALADQTYWSRRSEMHWRLVPPPPYAKQKNHTADVYDGAVEAYTLVGESQLWVSAGSYGTYSLDTQKKICYSIKGHEVSRGEWSKVGDWRLPFQGRAWYAREHKRWFGFSERDQSILCAADLQQQPPVVSHEWEGFTVPKAHRQAPVRSFLVHLGTGGRFCIAKFSNDESGRDTAMLTGVEVAPCVDGEALCLIKHKTYRYEGLGEEDNPICLL >cds.KYUSt_chr4.49137 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304317281:304318274:-1 gene:KYUSg_chr4.49137 transcript:KYUSt_chr4.49137 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGSQGSSSTSPLAMAMHHLLLLVLVASILQAASSTTANSTADAASATAYDILEQNQLPRALLPLGVKSYVLHEGVLTVTLPGECNFFLTLGGDQYHFKYGSSIGGVIKPGSITEVHGVRVFVKTEWLGFAGVKRVGDQLTLDVQGNLQTFPVSSFTQSPKCN >cds.KYUSt_chr4.4948 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28299322:28317239:-1 gene:KYUSg_chr4.4948 transcript:KYUSt_chr4.4948 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDDGRFKLPLGLPVDFWVKNNTSQDNLIGSFSYRIGKKGIVATFERGKPNLQKEIPTHPEPVPEYCLRVQRFVGELHSVVNMKYRIWGDQGQAALEKASICLLNCGPTGTEALKNLVLGGIGSVTVVDGAKVEQADLGNNFLLDEGCLGQSRAKSICSFLQELNDAVKAKYVEESPATMIDTNPSFFSQFTVVIATQLPETSLLKLDSICRSANIVLVAARSYGLTGLVRVSIKEHCVIESKPDHFLDDLRLHKPWTELKQFAKSIDICDKDAVVHKHTPYIVILVRLAEKWADAHDGQLPSTRQEKREFKDLIRAHMLNVDEDNYKEAVESSYKVSVTPGISDDIRQIIDDSSSEVNMSSSDFWVLVAALKEFIANEGNGELPLEGTIPDMTSLTEYYVSLQKIYQAKAESDCLAMEHRVKSILKRIGRDPESISRAYIKTFCKNTRKLRVCRYRSMEEEFSAPVLSEVQKYFADEDSCFAMNFYILLRAVDRLAANYSRLPGIFDSEIDEDVPRLKLAAVSVLTEMGLNGASLSEDLITEVCRFAGAEIHPVAAFIGGMASQEVIKAETLKEKLRRATQFSRAGLVVPKELSLFKKHGYQEVSENSDTSEEDFPHKFADLTDAKECKRDLTGGKSKNVVENDTVKPVECDRMVDVGLRNPEPITEGTFDLSDILAHSTIKPSVPTRDEIDLQEEELIQGEAVVQECSNPPIFVTVSSPYEVEKARRDLPIIMMEQEIMEAIYENPVVILCGETGCGKTTQVPQFLYEAGFGTSNRLDRKGIIGITQPRRVAVLAAARRVSYELGLKLGKEVGFQVRHDKLTDSALKHYSVIILDEAHERSLNTDILIGMLTRVVNARKNLHAEYLEKSRLGPTKPEDVINPLKVVLMSATLQLKNFISNRRLFDVIPLALKVPVRQFPVSVHFSKRTHDDYLRYAYNKVMSIHKRLPLGGILVFVTGQQEVHYLCKKLQRASKQQTDKKPKKVEGGESSSNPEVDGKEIYEAYDGMFSSEDEANSGPNVDSSDTETESEMDTDWEDNVSNTYESKEEDAPVLEFLRSAEGSSLLKASFKDVSEGFGVPESVAKWSDATSLEQAIPFPSFSKCTKPMSVSHVDTGKQKVKNYNHATGMASYEIQWISKASASQRAGRAGRTGPGHCYRLYSSAAYGKDKLFPEFSEPEIKKVELDGVVLMLKFKGIDESKFPFPTPPNEESLVEAGHSLKAVKALDNHGKITPLGEAMVQYPMSPRHSRLLLTIIKILKSQKGFARPNFILGYAAAAASALSSPNPFLMQNEFSCEPKEHNPDSYDQDQQERNRQKNKLKAMIRDSHAKFINPSSDALTIAHALRLFELSENTVEFCRSNSLHLKTMEEMSKLRKQLLRLIFHHSKSCEEFSWKFGGDEDVEEAWRSQSDKNPMQLIEEEILGEGICAGWADRVAKRICTLSASSKDAMKHNWQLPLHCLPVKDGSIRSKVFACALLKGDVLPCLKEAREFLSLSPSAVLGPVIHRKVGDLLSRMKSATRVPPPESVGSAPLRRPLSRAELHRRPPHLRRTPAEDPRPSARAAAVFSAVFAGECSAAFFFVLAGKMDVFGADDSDDSDLDEVLNDDETEMILLLFGMKHMEDRAKLLDQRKGSVMGRMCISRNRALGHEQLMQEYSVLRGGDTESASSDEFTAGEK >cds.KYUSt_chr4.12358 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75484562:75487669:1 gene:KYUSg_chr4.12358 transcript:KYUSt_chr4.12358 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRVGTSSRYANYPGSKRTCRECHGLADRVAGTPPTGDGPTRQRTALTAFLSNSGHHKLRERPCRRGAASPNPQNPEAERDTRPETSKQRAMPSIRALKRLADSRPPPPQPPAAAEEEEESPPQHSSCSSSSPPWPAPSPAYPLRDFPGREAAALCGAFRDNVRWLLNRWGPVPAPAPAPSAAADAAASPARRAFLADDRARAVVPIVAVEVLAASSPAPLCELCRCAGWSHHWVSKRRYHFIIPADANWDQHFGTDVLLGRDDHLLHGLIHSNGFGHLVSLRGREGGSTSLSGRDLMDIWDRLCSALRVRAVSLVDLSRKHSLDLRLLLGVVNGETWFTRWGYCLARGSFNVSPATYAAALEALAFLHVDHLRSRHVRRVVTVYRRLSNKPLTSVREFLRCLLDWKHHEPPLSPPPAKQCPWLPFLLPKPCPMKREVQPRQGQQCKRFEDAVDLAHCRFSKSRLLHAAEVVVAKLLEHGNDAEMTRQALRDASRGDIGDTGLLDFVIKSVGDTIVGNHVVRRLPNATTRILQFSLEECEEPVQMDVEVEHPSPEARWAGASVDVERDLRAVYRAMVKALSDAAQAVLDCKHWVKCWGLGDESDDQLRFLAEWQPQPWEADDLTRPMPAGEIVVVPLHTSIGELLVEAEHALRDTYCFFEEFHAEKLDGITGEKWDPVVLGGAESGDTIGVHGHGADMETGLRCEGGLDAWEVRCVCGAQDYDGERMVVCDMCGVWHHTRCVGIEDSVSVPPLFLCILCGGELMGPILKDALVT >cds.KYUSt_chr5.39323 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248828034:248829430:-1 gene:KYUSg_chr5.39323 transcript:KYUSt_chr5.39323 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAKPRGVTTFLDAMKDTSPSPTTPAAAAKEALKEDEWEVRPGGMLVQKRSPDADAPAAPVPTIRVKVKHNGVTHEIYISAEASFGELKKMMSAKTGLHHEDQKLVYKDRERDSKAFLDMAGVKDKSKMLLLEDPDAQAKRLLEQRRAEKAHRAAKHISRVSLDVDKLATKVSALEAIVGKGGRVVEADVVALTEALMTELVKLDAIAAEGEVKAQRRMQERRVQKYVETLDVIRAKNAAAAPKSNGNGKVDRSLHLPPRPPPVSQRRQFNKQQQQQPAAPAAGKAVAPTASWETFDLLSSMPSTSSATATTTMAAATTTTTAAPKNASPIPRFDWELF >cds.KYUSt_chr4.24116 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151783313:151791810:1 gene:KYUSg_chr4.24116 transcript:KYUSt_chr4.24116 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVERRDHSSRRSGHTRSRSPVRERVSSPRKQSPSARKERSRAERTRSPRRRSPVKASLSHRERSPQREKVKERVRSPKHTRALSRSPSPAGKREPRSLSPRSRRLKRAQDERERSEVIEGDHRKPPSREERSTRKHRERDEGRDASRDRKVDGRLDNDDGRDHSHSRDRRADRDDRSGASRDARSSRDDERNDSRGKRSDPYDRKGASREQRTDQDDRRDSARQRMSDRHENNAGSGRSSRRGRSVSPEENTHRGRHESHSSPRASRSAARLEDTSSRADGASRSGDPDSLAMMNTAAEALEVKEKQKPSFELSGKLAEETNKVGGITLLYSEPSEARKSDIRWRLYVFKGGEALNEPLYVHRMSHYLFGRERRIADVPTDHPSCSKQHAVLQYRLVEKEQPDGMMAQKVRPYLMDLGSTNGTFINENRIEPHRYYELFERDNIKFGNSRYGLCSHLSFGMASKAVRRRPADKGSDKLMETTTTTPDSVTEPLLGNTAQGSKSEGYEHTIRPDLWDGKTHQCLHWAHIVSDFITQSAKRIESSLFFVVNAISQFGSLLARLFGCSCAPQSSQHGQTMLVNLSPLQDSFHRLLYKADGERAEWEYPFAVAGVNISYTLAQTLVLQSGNRSSKARVCFAQLLEDDKMAFDNLFCVAFQMLDAQWLARRASYMEFNEVLKSTLVQLEQELTSEGISSVQNMPSFRMLKRLCFDVKLWG >cds.KYUSt_chr4.10343 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62600134:62604045:1 gene:KYUSg_chr4.10343 transcript:KYUSt_chr4.10343 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRPATLLVAALALAAACAGWRAEALSVTVTDTECIHEFVPYEGDSVTGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVHSMKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRRRVMFYTIAEYLAFMAASALQVVYIRRLFSKNVGYNRV >cds.KYUSt_contig_1537.413 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:2424455:2428014:1 gene:KYUSg_contig_1537.413 transcript:KYUSt_contig_1537.413 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIQHLLYSCCFSRQDDSWWSKAKHATPKPLRKGLASIALLTPWLIWKQRNDCVFNGAEPSVRNVIAKIQDEAARWARAGAPGLRVLLPHTWDNFCSRDDTVFCGVFDGHGPYGHLVAKRVRDLLPVKLGADLEKDEGRETPTSNMKSNTNEVSLPLKPETTETTTSARAEPNAEHPAIFTTFRTSFLRAFHIMDRDLKLHKNIDCFFSGTTAVAVLKQGHNLIIGNLGDSRAVLCTRNEEDQLIAVQLTVDLKPNIPSEAQRIRQLRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKEYGIISMPEVSCHCITEKDEFIVLATDGVWDVLSNTEVVSIIRRATSRASAARFLVESANRAWRTRYPTSKIDDCAVVCLFLNTQEANKTSSSAANNLANVAEGSVDKHSTIVQLSSGVSAEVVTAVVKDRDELSVVDTVAKPVTISDLRNHDPGTKQIIT >cds.KYUSt_chr5.31484 pep primary_assembly:MPB_Lper_Kyuss_1697:5:199509236:199510069:-1 gene:KYUSg_chr5.31484 transcript:KYUSt_chr5.31484 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAVEKPEGVEIREVWADNLEAEFAVIRDLVDDYPYVAMDTEFPGVVCRPLGTFKSPADFNYATLKANVDMLKLIQLGLTFSNSDGTLPTPNNRPCVWQFNFRGFDPRTDVAAADSIDLLRRSGIDFARHASDGACARRFAELLMSSGVVLNPDIHWVTFHSGYDFGYLLKLLTGSTLPDTSAGFFDLIRIYFPVVYDIKHLMRFCNSLHGGLNKLAELLDVERVGICHQAGSDSLLTALSFNKLKDSYFGGLTERYAGVLYGLGTEGGETTSVH >cds.KYUSt_scaffold_869.84 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:467567:469835:1 gene:KYUSg_scaffold_869.84 transcript:KYUSt_scaffold_869.84 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLLEMGKKMEMIKLFLVGLSILLRCTQAAAHGHESSGGRRRLAAASNLPVPITLLTSAVNKGAVCIDGTPPAYHMDPGFGAGKKRWIIDLEGGGWCDSVAGCLYRKASRLGSSNLMDKQMYFAGILSSSPVDNPDFYNWNRVMIRYCDGASFAGEGYDARNRLYFRGQRIFNAAIQYFLSIGMASADQVLLTGTSAGALAVMLHCDQFSAFFAGRRTTVKCLADAGLFLDAYMLMLQFTMLILHQ >cds.KYUSt_chr4.48478 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300224953:300227177:1 gene:KYUSg_chr4.48478 transcript:KYUSt_chr4.48478 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPSLPPLPPTPSPAATTLASNLLSFPAPRPRLAATHRRAVVAAASSRPPPPPSPEGGDGEDEEEQEVERAMGMDGGIPGTSGELLRQVSSRAYGMRRHLMESLDALAYDVLETNPWREQPKPVYVLARRDNQLWTMKTRRNRSEVEQELGMLFSKGGGSGVGTKSKYSGSKFSMLVEDVTEGVLVFEDEDDAVNYCDLLQGCEGIAEIEASSVFNLCRQMKALAVLFRRGRTPPTPQSLERDLRARNRSLED >cds.KYUSt_chr1.8283 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50999426:51002239:1 gene:KYUSg_chr1.8283 transcript:KYUSt_chr1.8283 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTLLQMKSSLIDPQGILSGWSLEADVCSWHGVSCLPGESIVAGLNLSGYGLSGTLSPAIAGLISVEFIDLSSNSLTGPIPPELGMLQNLKTLLLYSNFLTGTIPAELGLLENLNVLRIGDNRLHGEIPPHLGNCTVLETLALASCQLSGSIPYQIGNLKNLQKLVLDNNTLTGSIPEQLGGCVSLRILSVPDNRLGGSIPSFIGSLSVLQSLKLANNQFSAAIPAEIGSLSSLTYLNLLGNRLTGAIPEELNRLSQLQVLDLSQNNISGEISISTSQLKNLKYLVLSDNLLDGNIPEGLCPGNSSLENLFLAGNNLEGGIEGLLNCISMRSIDASNNSFTGKIPSEIDRLSNLVNLVLHNNSLTGVLPPQIGNLGNLEVLSLYHNGLTGVIPPEIGQLQRLTTLFLYENQMSGIIPDEITNCTSLEEVDFFGNHFHGTIPQRIGNLKNLEVLQLRQNDLSGSIPASLGECTQLQALALADNRLSGALPDTFRHLTQLSIITLYNNSLEGPLPEALFELKNLTVINISHNRFSGSVVPLLGSSSLAVLVLTDNRFSGVIPTAVARSRNMVRLQLAGNQLAGAIPAELGNLTRLRMLDLSSNNFSGNVPSELSNCLQLTHLNLEGNSLTGAVPSWLGSLRFLGELDLSSNALSGGIPVELGNCSSLLKLSLSDNHLSGSIPQEIGRLTSLNVLNIQKNSLTGVIPPTLRQCNKLYELSLSENSLEGPIPQELGQLSELQVMLDLSRNKLSGQIPTSLGNLVKLERLNLSSNQLHGHIPSSLLQLTSLNRLNLSDNLLSGMIPAVLSSFPAASYSGNDDLCGAPLPTCGTNGRRLPSAVVSGIVAAIAIVSAAVCMALLYIMLRMWSNWREVSVSSSDGEEPPEHGKGSKCSARDGKYWKVGSGLVVASEEEKYSSASESSVLHGKSTEASGMNLKG >cds.KYUSt_chr1.34707 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211363693:211364594:-1 gene:KYUSg_chr1.34707 transcript:KYUSt_chr1.34707 gene_biotype:protein_coding transcript_biotype:protein_coding METSTIVLFVVVGFFGLGSTVLGFIAESTKLTRGDIEVSMTKCIYPANPAFALGLVAAILLLVAQIIVSAVTGCCGCCKPRGGGFSGSKRTISIVFAVLSWIAAALAELYFLQGAAWNAPVTREAAEGCYYARNGVFRRAALLSIVATVLGIKSYLLLRAAAAQGPVAILGTPAAGEPKHDGVAMGHPVAPVYGQPPYGHYPPPPAQGYGHYPPPPAQGYGHFPPAAPGQQHAQAV >cds.KYUSt_chr2.49544 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310056967:310062318:1 gene:KYUSg_chr2.49544 transcript:KYUSt_chr2.49544 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEECAEVGAAVLGQFAAQFLEATMGATAGSKTEEIKKELLLSRRVVDVGLERWLRKEEDEEKEKPLARLAPTIAPADLVQPAEEDAPPPVAMTDEERNKMILLKELHQSGAMQIGLDRFLVEYANIEVYKRERTDLYHRFVTGFCTGCATGANQSAQKTPSLAPLKKEKEQKKKKEKKKEEEEEEEEKEEEKEKKEEKKGKKEKKKSPKNW >cds.KYUSt_chr4.45651 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282487322:282489667:-1 gene:KYUSg_chr4.45651 transcript:KYUSt_chr4.45651 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKGSKLPEKTQQEKARTVEKTLQERARTAEKTPREKARTAEKATTVKQSLVKRPASLPTKLNLIKQSSVSSQASSNLVSSKDKNTLKGRLTSSPAIITGKRTSNSGKAGRSPMRSSNANIDGKSGSDLLITPLSIESDITASVKIQDDVQDSCVTDHLVESTAAELSADATEYAEKFRIEPEETSSEDGLDMSITSSSVESDVEAQDDVQGSCIAGHSVESALAELPPSATEYVDKSGPTAKDACTFISEDEVECHENIEALAAELPIKSIIALELQRSFDGQEVKAVITKSDLEHMQPEQNAIANRALKGEDIQTDDAALYQLSERLTAVQTAGVHDSALTESTLQSDADGVKVNAGVESLVIESREDVGAHEDTDDAAPCQSSKDVECDADGVEVNAGVESVVIESREDVGAHETTDDAAPCQSYKELTAVQNADVRSETEADEVKIIENGSVQSVITENGEYMGAHEDLQGLPELGALDKEHADPEYCLDCSAGNATENVNAAEIVEVKTFNGTPHCQSILETSSDGELLEQPKPVLTEPIQTDVVTSVHNDGTFEQDKLKSMIVAQQLLEELSDDENYEEYDYELVELDESDAEHEGVTINPNIDESSKEKGQWTKRISSLHPDEASTTPYKLKFKRGKIVELTPDSNGPRRLIFRRRAASEVANGDGQLARRIYKRNIRNNGVPAEPDLESPGVKLRHQDAQDKKDAQGLFNNVIEETASKLVESRKSKVKALVGAFETVILLQDGNPSPSTPQASMSPYSVHNDGEKASDGPV >cds.KYUSt_chr2.38857 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240807227:240818804:1 gene:KYUSg_chr2.38857 transcript:KYUSt_chr2.38857 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRHRREASTSAMPSGAENIEITNEASAMLLYEAPSGIAVFSFDGTYLNNPVKILEFFLKYDSVSTPIDLVSEAIDENLTEELTRLCGSEKKLVVGSAQHKAIIEEKLGITCLRVDVPDCEVIQCSPKNHVGTLLPEEKSKASKRDLDVEELTDFLRKYDFDIKPEMVSKSIAELANLVLDIELCQMEHLKFFRILLKDFIVKSGIDTKNWRVMLFVFNFLVFSTWDIIEAPSMLLYKAPSGIAIFSFDGTYLDIAVKCLVEFIKCQKVSSPFGLAIDDNLAERLTRLCGSEKKLVVGSAQHKEIIEEKLGITCLCVDIPDCEVIQCSPKNHVGTLLPEEKSKTGKGDFDVEELIKFLRQHDFDVKPEMGDDGMARDIAEISEITSVDEEDKKAKTASGEKPESGRLFNVIYRTPPPCRLLVYLAGSPCRPSALSSLALLALARHGARQHHRPRGRSLHYWEARLLYEVNYAAPSDFRGPPSWRLSVGGVYIPPPQVGAATLKEEIQVVLAIMSDEERALLEWYPENHAAWDAYFRERYERELASFEGPPPLPARNNSEGRHCWWSALGRTLAAVLDHIQTGNSPILQMPPWTLASIFRCRGSSSSSGSASRSRSTGSAPPATPTTLLHVKLEPVSPPHNTCGGIVKRERRQLPPRGCFVHVKREHAEEGGHTGGRLRKSKKEPTPATSPDIEDHTILEAVMARSTNGVNPPTSRCSLTRHSPGRRRSERRRRRGASSGCSRRQRHTAPVASSSSMTPMMKEERRRLRLDALGPQTRAAAASRRRCR >cds.KYUSt_chr2.40174 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249477021:249480330:-1 gene:KYUSg_chr2.40174 transcript:KYUSt_chr2.40174 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAQLLLCGVLVLLVSHSLANVNGDSDVSTSSGSGTKTYIVFMDPSSMPAAHPSPAHWHAAHLQSLYIDPARSLLYSYSSAAHGFAAALLPHHLPLLRGSPGVLQVVPDTLFQLHTTRTPEFLGLLSPAYQPAIHNLDAASHDVVIGVLDTGIWPDSPSLADGDLPPPPAHWRGVCEAGVDFPPSSCGRKLVGARSFSRGFRAATAGRGRMGAGRRSARDRDGHGTHTATTAAGAAVANASLFGYATGTARGMAPGARVAAYKVCWPEGCLGSDILAGIDAAVADGVGVLSLSLGGGAAPYFRDTVAVGAFGATAAGVFVACSAGNSGPSGATVANSAPWVATVGAGTLDRDFPAYVTLPTGARLSGVSLYAGPAQSARPVMLPLVYGGSGDNASKLCLSGTLDPASVRGKIVLCDRGVNARVEKGAVVKAAGGAGMVLANTAASGEELVADSHLLPAVAVGKLTGDKIRDYAARGGKPMAMLSFGGTILGVRPSPVVAAFSSRGPNTVVPDVLKPDMIGPGVNILAGWTGVAGPTGLAKDGRRTRFNIISGTSMSCPHISGVAALLKAAHPNWSPAAIKSALMTTSYIVDNTNSSLRDAAGSLPATPFAFGAGHVNPQKALSPGLVYDISTNDYVVFLCSLDYSETHIRVITKMSNISCPRKSRPGDLNYPSFSVVFKKKPKHVMRYRRELTNVGPAMSVYNVKVSSPASVSVTVTPSKLLFKKVGQKQRYYVTFESKASPSHAKPDFGWISWVGNEHVVRSPVAYTWKM >cds.KYUSt_contig_49.61 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000023.1:463092:469166:-1 gene:KYUSg_contig_49.61 transcript:KYUSt_contig_49.61 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPEDLRCKRSDGKQWRCSAPSMPDKTVCEKHYVQAKKRAASSALRATLRRSSGSGTAASSSSPYANASSYASAAQDADLDGDDGTDSDDDLPTAVPMAVARPVYGRVVGEPVYVAEPTARNVVYGLPLSNNSITAAELVGRASAAGPQPCSSTATVKTTCHQCRKTANAVWCASCDRRGYCHHCISTGYSDIAIDDIRKVCPACRGICNCKVCLQGDNLIKARVQEISVVDKLRYLHSILAYVLPVLKQIYSDQCYEIGVETRADGPKMDIVRAKMNSDEQMCCDFCKVPVFDYHRHCPRCLYDLCLDCCRDIRGSQTSVVRGEYAEGKGHTVDRNKDVLSNKARLEPSAANVNDKLFPQPIDVNDIGIRSLFPTWRINNDGSITCGPHEAGGCGSSKLILRRIFKINWIGKLVKSSQEMVNGCKAHDLENVCSCRNAGKRLDSTGQGSLSVSKCSDSDGIDGNSVYPPVLENLKYEGIVHFRKHWINGEPVMIRNAFEPSLSLSWDPLSIWRGIQEIMEEKMDENAIVKAVDCSNQSEVHIKLNQFIKGYSDGHKSEDGKLMMLKLKEWPPASVLEEFLLCQRPEFVVNFPLVDFIHPKWGLLNLAAKLPPDTLQSEVGLKLVIAYGRQQEFGKGDSVTNLMIKMGDVVKHPIYDQAVYLNEHHKRVLKDQYGVEPWTFQQHIGEAVFVPAGCPFQVKNLQSTVQLALDFLSPESLRESARMGQEIRCLPNHQDAKLKMLEIGKISLYAASFAVREIQKITLDPKFNIDVRFEDQNLTRAVSENLARVTKQRKVSCG >cds.KYUSt_chr5.27823 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176121455:176121799:-1 gene:KYUSg_chr5.27823 transcript:KYUSt_chr5.27823 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDQQVLLRSADLVKFAVPASLAQRAGRVAAAVGAGERVVELPRGVSGKGLATAVAYYKARADAEARGADVEDFDDEFVGGLTYDAAIDLIHAAHHLGDDGLFNLFVGYRVN >cds.KYUSt_chr3.36598 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230200839:230202539:1 gene:KYUSg_chr3.36598 transcript:KYUSt_chr3.36598 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKPASIAANSTTAAKPPAPEQPLLEVAEEEVVIDFKPNSKCRVDLRLRSLHPSLPVAFKVQTSSPLKFLVSPPRGTLQPLSSATIRVVLRPQPHAPQSFPRSRADRFLVLSSLSAAHLDSAASITGSAAAGAIRLRVFFGGPYLLRLAADAGDSAAVRLILRRQPQLLPVLQPEALMPDTEQWAPLHAAAARGDCEEVRRLGPEALAARDKDGRTALHVAAAAGEVEAVAELVEMGADAASADARGKTPLDVARDKGYKEVVDILQRWELVMTAARRGDLQSLQLLLSKRTGIRGRDQYGLTALHMAAIKGHCDVIALLAGSGCMDIECEDVEGHRPLHLAVEGGCADAVDLLLDMGADVHAKTKRDATPLQMAETMGYDDIAQLLRSRGADEAAAAAQLCIASSSSSSISCA >cds.KYUSt_chr1.37671 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229978152:229979621:-1 gene:KYUSg_chr1.37671 transcript:KYUSt_chr1.37671 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAISAVAGELVSRFVSLLANKYHSAYSEDKQLERLQQLLLRVGMVIEEADSRCIANSCMLIQLKMLAAAMYRGHHVLDTIRYMKHKEFSEKPVCDSSALSASTPYKRSRTIGSNTSAGSKVFSSELQSALQNLEAGVANMAEFVVLLGGCERISRRPYDAYLHIDNFMFGRHVEKQQIMRFLLQHNTTPGSPDVLPVIGGNGVGKKTLVSRVCNDERVRSQFSMVLHQNGDDLFRITDHERISGRKLVVIEFVTDVDEDDWATFHRSVTKMDRGSKVIIIGRNAGLEKFGTVKPISLSCLSLEEYIYLFKTLAFGSANPTDYPQLVAMVEEFAIVLGGSLISANVLADALRKNLSARFWLYRLNRARDTVKKNISRFGVHPQELFSRGRPVHLIGHYILSPAAPSRIIESANGVTSVLEEGVPRIMFGDLIAQEGHVVLPRGDFRLISWESRLPPYTSFVHLVQSAPSCIDDKPETPLSGRKRPGLF >cds.KYUSt_chr3.41806 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263790008:263790953:-1 gene:KYUSg_chr3.41806 transcript:KYUSt_chr3.41806 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRLEDDDHCSSLIGANVINAIWLATTSWEREYGALYPDDLCKLDSVLKSLAHRLEGTINPEDYDEHDVALAEKEARPFLKKLEAAYSDVLGQLEAIKGDRLTLEKQRADVEAMQVRLREETEKYMKLADKAKEREAAARLDGEKVGMALEAYDHAVTRSTERVDALRKRREETAAFLGRLDRQRAPFDGENPPEAAIILAYPSAA >cds.KYUSt_chr3.19724 pep primary_assembly:MPB_Lper_Kyuss_1697:3:121388197:121390566:-1 gene:KYUSg_chr3.19724 transcript:KYUSt_chr3.19724 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFPGRRRGYPVLVVAMAVAVLSAANPRAAVDAYKNYTVGDDKGWYDGLAVDYQAWAEGYNFSLGDFLRVTHSVPTDHYRAVVFNTDKNHSVVQTRNETVYKSCDYDDSAPEDTVDWSAAAPEFSKDAVTAAVPLLKEGKTYFFSGNYDGEQCESGQRFAIAVAHGQGLPPDLRPPVADAPGPAAGPDSADAAPAFDFSHPKNVSTPSYTDDSDDESSSSTSGSSLTLASLRPGLMIMALAVLFVMQV >cds.KYUSt_chr2.7441 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46688644:46702097:-1 gene:KYUSg_chr2.7441 transcript:KYUSt_chr2.7441 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGAGAGAGAGGWDSLDDDDWGLTAEQFDKLEQDAYRVLAERKASSSAASTAPIASPLPHRAPVSPAATASSPLRNDHPASRISLESRFGKVEPLSPSRLSQSTAVNNSQGSSSKISVHLFLHSSGMIAAKFPYNQKLVDAFRKIPRASWSGKERVWMFPPTSLSTAEEVLREVPGLAVEVQRLDPLVQRAFAAALAAKDLRGLYDRIPTDVESKLMPFQREGVRFALQHGGRVLIADEMGLGKTLQAIAVASCLHEAGPVLVISPSSLRLHWATMIQHWLNIPTEDILVVLPQTGGSNKAGFRLVYSNTKGDFCLDGAFNVISYDVVPKIQSTLLDLDFKIVIADESHFMKNSQAKRTIASLPVLQKAEYVILLSGTPALSRPIELYTQLQALYPNVYKNVNEYGSRYCQGGFFGVYQGASNHEELHNLMKATVMIRRLKKDVLSELPVKRRQQVFMDLSEKEIKPIRALFRELETVKINMKSCDSQETMESLKFSQKNIINKIYNDSAAAKIPAVLDYLGTIIEADCKFLIFAHHQPMIDAIHQHLLKKKVNCIRIDGQTPVAARQTLVTDFQNKDDIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNIYYLLANETVDDIIWDVVQGKLENLGQLHPEEEEDEVAAAGVEAQQLDLEVVAAEVAEAAERVEGRLAATRAEIANAMAELADAGAELRRRGRPSRPLRPMPSSTTSRTTTSPCPCASSAPVTSWFRSRPSSPRPGTPGAVGLGQRRRKPTAMQWPWAGGKCAPT >cds.KYUSt_chr1.23528 pep primary_assembly:MPB_Lper_Kyuss_1697:1:139894425:139896680:-1 gene:KYUSg_chr1.23528 transcript:KYUSt_chr1.23528 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRCFLAVLFLLLSLHPHLAASYLRARNNYIVHLDPMARPADSLEDWHRSFLPQATAPLDSDDDAGPRIIYSYTDVFTGFAARLTDDEADALRATDGCLRLYPEAFLPLATTRSPAFLGLRLGNEGFWSRSGFGRGVVIGILDTGILPSHPSFGDDGIDPPPKTWKGACEFKSVAGGGCNNKIIGARAFGSAAVNSSAPPVDDAGHGTHTASTAAGSFVENANVRGNADGTASGMAPHAHLAVYKVCSRTRCSIMDIIAGLDAAVKDGVDVLSFSIGASSGTQFNYDPIAIAAFKAMERGIVVSCAAGNAGPDPGSVGNGAPWMLTVAAGTMDRAIRTTVKLGTGDEFDGESLFQPGNNSAAAPLPLVYPGADGSDTSRDCSVLRGAEVGGKVVLCESRGLNGRIEAGQTVAAYGGLGMIVMNRAPEGYTTFADPHVLPASHVSYDAGTKIAAYANSTASPTASIAFKGTVMGASPAPAVTFFSSRGPSRASPGILKPDITGPGMNILAAWAPSESHTEFSDGGVGLSFFVESGTSMSTPHLSGIAALLKGLHPDWSPAAIKSAIMTTSDAVDRTGVPLKDEQYRHATFYAMGAGYVNPELAVDPGLVYDLHADDYLPYLCGLGIGDDGATEIAHRPVACASLKPTTEADLNYPSLVVNLLAQPITVNRTVTNVGKPGSIYTAVVDMPGDVSVTVQPPMLRFTELNEKQSFTVTVRWAGQPNVAGAEGNLKWVSEDHIVRSPIVVPAKAA >cds.KYUSt_chr2.41760 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259875977:259877164:1 gene:KYUSg_chr2.41760 transcript:KYUSt_chr2.41760 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase, Polyamine biosynthesis, Salt and drought stresses, Abiotic stres [Source: Projected from Oryza sativa (Os04g0498600)] MAVLDSAPVSAIGFEGYEKRLEITFSEASIFADPHGRGLRALSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYSHKIVIKTCGTTMLLLTIPRILELAEELCMPLAAVKYSRGMFIFPGAQPAPHRSFSEEVDVLNRYFGGLKSGGNAYVIGDPARPGQKWHIYYATEQPEQPMVTLEMCMTGLDKKKASVFFKTSADGHVSCAKEMTKVSGISDIIPEMEVCDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVMGMDASALAYGDVVKRVLRCFGPSEFSVAVTIFGGRGHAATWGKQLEAEAYDCNNTVEQALPCGGVLIYQSFTANEEVAVSAGSPRSVFHCFEGENAENHPLVKEGKLANLLAWRADEDDVEEDGLLC >cds.KYUSt_contig_1358.48 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:317792:319349:-1 gene:KYUSg_contig_1358.48 transcript:KYUSt_contig_1358.48 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVRGGGRGGGAGAEETAPLPLPPPPRLVAAMSSSSPASIRALLSRTAGGGAADCQQSPRSLLSRILLRGGDHHNHGGNGGGGGGTFGCRVRLPRRYSSTSNASSDGGGARKDDGSAASEVSADDVGGAARVKVVERVPELPLETPRSSLGSGRKKPEEELVSMNLGLGASLVLLLSKSAVELNRMVELRAQMEALASEMRQMTPPGNEKQGAAGPAAASSTTSSQESTVIKDPIAAEDALSDNCSGARTADRRAVAVLDHSQMEAELQVELGRLQMQHRAVHVEKRAIAPPMQGLKLPPLHVKTKNHVAVDSPSRSCVDDGDVVQENAEQEEDEDDDEEEEYDDEDDDDLSEGHGAVVDGNSSPPHGGVSARALERRLHELLQRRQQDRIVQLEAALDGAQRKLQEREREVVWWRDAAKLVSHRRDESRRLRCTAAS >cds.KYUSt_chr4.10367 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62855347:62858850:1 gene:KYUSg_chr4.10367 transcript:KYUSt_chr4.10367 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESSCLREEGAAPATSAGASRAPVKGGGRCAAAEEAGGVSEAAAVVAVGWLEDMIVEVSDTKLMLMKNAVTRKNRRVRMQRTRAPWDPGVSTWCRWLPPCDNQSTITRRDAYASGVSTQGSLIAIVMGPWTCSYTLLPRNI >cds.KYUSt_chr2.11533 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73351218:73351523:-1 gene:KYUSg_chr2.11533 transcript:KYUSt_chr2.11533 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVERKRKGEAVAGKGGGGDALAGRVGRGENRGRGGYVLPARSGFAFNSEQQGRGGEEGPSPHLVESEGCAVALDHGRPAAPGFAEEGGGRSGELALGLC >cds.KYUSt_chr1.22316 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131980532:131982946:1 gene:KYUSg_chr1.22316 transcript:KYUSt_chr1.22316 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVRLHRRARHGAQRAQHAAVNERRRSPTTTTLQGGRRADEHGATHGGLRQDSAVEVSDETALEAVVEELEADPQLAEHEAWEEAALAATVDAYDVGERQRQEAERRERRERGEVRRETEWQRQEAERRRHEEENRVALEEAERLEIL >cds.KYUSt_chr2.45134 pep primary_assembly:MPB_Lper_Kyuss_1697:2:281334522:281336135:1 gene:KYUSg_chr2.45134 transcript:KYUSt_chr2.45134 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPGGAVRRRGGRRDAGEAVRKGPWMAEEDAVLLEHVRVHGPRDWSSIRSKGALQRTGKSCRLRWVNKLRPNLKTGCKFSAEEERVVIELQAQFGNKWARISTYLPGRTDNDVKNFWSTRQKRLARLLRAPLRTRSSKIRSTNTKAPVSSVDSAMGSCQDHVPFVGSSSGGQCCAAAPPMEYQDAARMSHDQICSGFLSLEPLPLQVAAPVTDGGASSSNAAHQFAPEPPFYQHPYHLVDFPDMPGRCDIGSAGFLGPSTMDYHPYQELLPIVQPAPMMMPFFGMDCARGPVKAEARDDFFDDLPPDMFDSLDQLPPSTRNSL >cds.KYUSt_chr4.40542 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250274776:250277393:1 gene:KYUSg_chr4.40542 transcript:KYUSt_chr4.40542 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRNQLAATRSLVVVLGGEQPEWECLARMDKKKGKEEKRSSHHPNPLFDQLEVIRRPLFIGNYTGVDCCVDSKQGGTIWLICSSVACFFGIFIVASSALGIEVNLGVSSGWLWLMAWMAMTLYLVNGLEGVERMEKGVADQDDSATGHLV >cds.KYUSt_chr5.16930 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109008556:109010727:-1 gene:KYUSg_chr5.16930 transcript:KYUSt_chr5.16930 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGKLRLQPHPHPPVAPFSVPPPFSPPTTTTSSSSRTHHLVHSAISPAAPLSTTHQDDDALLALLRAGDTDAAYRLFASSPSLPESPTAASRLLAQLSYTSTSSRTFSRAAGLLHRLRAQGGLTLLDANSLSLAASAAARSGNAHLAYSLLLSMLRRGLLPDRRAYTAAVSRLGPARALRLFHAVLHHLRRAPPSPSSLPDTAAFNAALSACADAGDCRRFRDLFHETRNWGAGAAPDALTYNIAIKMCARAGRRDLVARVLERMLAAGLAPCATTFHSLVAAYVGFGDIPTAENIVQAMREGRADVCLLLRQVAAAAENNTPDEHSAVLEDVVGARPEDGTEAPLLPRTYPPNSRVYTTLMKGYMNAGRVDDVVAMARAMRLEGETMPESRPDHVTYTTVISTLVAAGDVDRAHSVLDEMGRAGVPATRVTYNVLIKGYCQQLQMSRARELLEEMTTDAGIEADVVTYNTLMDGRVLMDDSAGALALFNEMRSRGVPPSTVSYTTLMKAFAASGQPKVALKVFEEMDKDPRVTVDRAAWNMLVDGYCQQGLLDTAKQMVERMKERGAQPDVATYGSLAKGIAIARKPGEALVLWNEVKERCEAGAGGKPPFKPDEELLDALADVCVRGAFFKKALEIVACMEENGIAPNKTKYKKIYIEMHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYGSEWRISPLVSTQDDDDDDDLS >cds.KYUSt_chr4.40363 pep primary_assembly:MPB_Lper_Kyuss_1697:4:249090809:249101981:1 gene:KYUSg_chr4.40363 transcript:KYUSt_chr4.40363 gene_biotype:protein_coding transcript_biotype:protein_coding METPPHNPISPPSPPPVADGSPAADAGAGGEQADGGFGAGLEPLWSLLFGTPEELEPMWSPPREFDVSAEFAAAVADPEPLVDDGGGPWDGAAWRSTGLVAGEGSTRALSPPTAAPGFAEFDLPASDSSQGAPEVRPLDHYSPMPESPSTPVAVDMREKLVFISDISAPVPESVPSPAPAPACLEANVQESAPECTLNTMPSPPATSGDDADLSRLETEDASNYCSASEKIASASMAAVDLNAEYDPGANVDGCGTSGAISTDAKSLWGSQKIATPTVAAIVAPPDSNEYSFGASKITVAANAAPPESNEYSFRASKLRSVDKPIKEATTTTLHTVGKPIKGTTTAAPGNVGKSIKDTTTTAPDNIGKPTKETTTTAPDTTRKLIKETTTTAPDTGDLPNRVEQQGSPEIVKRKSTSSGNENKRGGLNIQVVVALPAVNYRSIKRGAVSVRAPKKTRMASKASSVGSGRLPPISPVVNSEPPMHKTEPYPHSPPSKYDLDTVKNSPLKRVDNTDVVPCNLGLLSAESQVVTEVPKELDGKLSKPVRAKRLRGSTESQVVTEVPKEPESNPHLPPSKYDLDTVKNSPSERVDNTGVVPCNSGLLSAESQVVTEVPKEPDGKLSKPVRAKRLRGSTESQVVTEVPKGPESNPHLPPSKYDLDTVKNSPLERVDNTGVVPCNSGLLSAESQVVTEVPKEPDGKLSKPVRAKRLRGSTESQVVTEVPKGPESYPHLPPSKYDLDIVKNSPLERVDNTGVVPCNSGLLSAESQVVTEVPKEPDGKFSKPVRAKRLRGSTGDMHAKLQSSHVSSINMKKVDFSKKQKSPVPNKKDLSLLDLYCGCGGMSTGLCLGANVGGVNLVTRWAVDNDEVACESFRLNHPETRVRNETTDDFLELLKEWQKLCKEYVRQSEVKDQADALTESSNGIPDESSVPPEELEVWKLVDICYGDLNNVRKRCLYFKVRWKGYGPNDDTWEPIEGLGNCTDAIRDFVIEGHKQKILPLPGDVDVVCGGPPCQGISGYNRNREFDAPFKCEKNMQIIVFMDVMQFLRPKYVYMENVLDILKFADATLAKYALSRLISMHYQAKLGIMAAGCYGLPQFRMRVFLLGCHPEEKLPPFPLPTHEAIVKNGCPLAFERNLVGWSDSTKGQLAKPIVLEDILSDLPKVGNEESRDEMAYVKGPQTEYQRYIRTFKSEVQGPKSHVAKAKSKKAKPKLYDHRPLALGNDNYLRVLQIPKKKGANFRDLPGVVVGPDNVAKLDPTKDRVLLPSGRPLVLDCILTYEDGKSLRPFGRLWWDEVVGTVLTCPNARMQALIHPAQDRLLTIRESARLQGFPDSYRFRGTVKDRYRQIGNAVAVPVGRALGYSLAMAYLNKIENDPLMALPPKFAFSHNIEDTTYSRVDG >cds.KYUSt_chr7.31344 pep primary_assembly:MPB_Lper_Kyuss_1697:7:195191317:195192636:-1 gene:KYUSg_chr7.31344 transcript:KYUSt_chr7.31344 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAKAAADGAMGSKAARACDGCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERVRLRPTSPLLLPQQPAGASAPPPREKRRGEEVVPAWIKRKARTPRSQAKSVGQLLSRRLVVPDASSGGESPEGQKCGGETDEEAELLYHRVPVFDHALAELCSSPSAGEESTAVASCCGEDGGAVVDNLAAAPLSPVPAEFMPVDGLVSFGPTDAELMEFAADMEALLGRPGMDDGGEEEPFCMEALGLIDPTDASVKLEADGCMLACGLEQELDVSGDIFDLDFDYCSPQAATPDENAASSDGQLFPKSLALNLNYEAIIEKWESSPFADGERPDVKLEDCWPHDDYSLQAGAWMMGGGGQLGYGGDGVGTTPRLRMGADGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRLANGAVAATACVA >cds.KYUSt_chr4.25178 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158223403:158224041:-1 gene:KYUSg_chr4.25178 transcript:KYUSt_chr4.25178 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHRHRSDRSSTAAVLLRNMPSPLQHSLCGVGVSGSLPVRNDAHVISPASGVTQSDGDDDTPPLPGCCRPGNPGSGGCVVAPPLPHGAGVAGDDCNGGGEKGLKRGAGGDVGLPPAPAPAPPPPPGIPGKPPGLRCLTPGNPGNRSSSASAPSLVILAASDDRPATTMAATTITTSARFDDAIDRPPGKYRSTTGSKIDGATLASCLCMMA >cds.KYUSt_chr2.606 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3708304:3710603:1 gene:KYUSg_chr2.606 transcript:KYUSt_chr2.606 gene_biotype:protein_coding transcript_biotype:protein_coding MFARCGGALDLQGPQAEYSEELLREKSDRAHAEFKRNMKVIQQQMDEQWRLEVEFWAKLRGELHTIEKTCNVLMFLCASTAILSLTSAM >cds.KYUSt_chr2.19534 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122922860:122925738:1 gene:KYUSg_chr2.19534 transcript:KYUSt_chr2.19534 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSSATTPDSNQGGGANGYGYSSQAKQAQTPPSYNNNNNAQPPPQGEVRYTPPAMNAPVVPPVVAPLKPMPDTILGKQFEDVRSVYTLGKELGRGQFGVTYLCTEITTGRQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQPNIVEFCGAYEDKGSVHVVMELCAGGELFDRIIAKGHYSERAAATICRGVVNVVNVCHFMGVMHRDLKPENFLLATKDENAVLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILQGEIDFESQPWPSISESAKDLVRKMLAQDPKKRITSAQVLQHPWLREGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFSNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDNSGFITRDELETALIEHEMGDADTIKDIISEVDTDNDGRINYEEFCAMMRGGLQQQPVRLK >cds.KYUSt_chr1.34777 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211826214:211827440:-1 gene:KYUSg_chr1.34777 transcript:KYUSt_chr1.34777 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPKPVDKIVAATPPPALELRDWSQLPDLVTSDVLSRLVPCLRSLSAFAATCRPWRRFFIASASTILPRIPPLILQAQNFSSCRLLGFSRLVIGDPIPVPLPAFRGTLLSATRGYLILLVELRGARRGTLVVTDALTGVERLAMPLPRGERHWYGYYRYASVTPTHLLLFDNRHHEFAAVRFPTKHPDQEWTQHPLPRGAGSVSSVVDFHGRVLGVTDQAELLEFRVRIIHPRDQYEAVQLLPTTGLPDGAAFERSEFGPRLVVAGERLLLLLLLMTDPVGRGGGVSTLRVEVKKVSVHALDMAAMRWEELDDIGEYSLFVDCPGRTAVACTGTAGCGVVANRVYFLVSKPYYCCDHRQPPFLAFPPGSDGVPSGWGSPWHKLIEVDDDREWPPSQTWVYPRLFYRS >cds.KYUSt_contig_60.179 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:999737:1000585:-1 gene:KYUSg_contig_60.179 transcript:KYUSt_contig_60.179 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPWAQAPHLLQEFEFFLVVDFEATCEKDRRIYPQEIIEFPSVLVDGATGRIQSAFRRYVRPKYHPVLTPFCRDLTGIKQEDVDGGVDLGEALLLHDRWLQAATAGTRKGGSLAVVTWGDWDCRTMLESECVFKGIEKPAYFDRWVNLRVPFQAVIGGGGRFNLQEAVRAAGLEWEGRLHCGLDDARNTASLLVEIMRRGAKIAITGSLAPLPIQHKEEEQQQQPHTSLCGGAAGACFCYCGVASRAMAGPMQGRCYWGCGNWTPTMGAVCPYFLWSN >cds.KYUSt_chr7.8180 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49473000:49474890:1 gene:KYUSg_chr7.8180 transcript:KYUSt_chr7.8180 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGSASSCYSAVSLGRVERATGRCGAHLERRRPSIAASGSGGAQHNPVGGRGSRHRCGSDPYEQLEVARKITAMAVAARACRLEHEAARLRQKLAAKDRLSAELAERADALDQALRCGEPH >cds.KYUSt_chr4.1720 pep primary_assembly:MPB_Lper_Kyuss_1697:4:9177588:9178052:1 gene:KYUSg_chr4.1720 transcript:KYUSt_chr4.1720 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAVTVVVALLLVCVLFNARVADSAVYNVGDSSGWSFNTDSWSTGKRFKAGDVLVFKYDATAHDVVAVSAAGYRACAKPASGARVYKSGADRVTLARGTNYFICSIAGHCQSGMKIAVTAA >cds.KYUSt_chr2.1423 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8533368:8534750:1 gene:KYUSg_chr2.1423 transcript:KYUSt_chr2.1423 gene_biotype:protein_coding transcript_biotype:protein_coding MELCSVASIMSLGSLAIQRTYLCSLVIRALPKLLGSSLKKFCNDVHPALTETIMGTLPELPQDVLMDIFATLEIPDLIRAGVVYPSWHSAYTSLQNLGLYKLSQTPCLLYTSESAGDNSAYLYSLSEKRSYKLTLPDPPLRTRCLIGSSHGWLITVDERSEMHLVNPITCEQISLPSVITIEHVTPIFDECGLLHKYELSWHAGIYDAFDPPSIYDLDKLRDEFQLKAFVFDDTSTGSYIVVLIHNPLRQLSFAKVGADKWTWLPPYDVYDDCTYKNDILYAVRSTGDIHAFDLSGPVVTMKMITGTSDCVSTGSSYIVQDPWGGLLVICRIFEDYEFLRDRAPVFWKTDEINMYEVDMVASELKEINCLNAHALFLGHNQSLCLSAKEYPSLKANHVYFTDDSFFWTIGLKNNHRDMGILNLDNNRREHLILPQLCSNFPAPIWITPNLRRLKLASEAA >cds.KYUSt_chr4.9144 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55028601:55031291:-1 gene:KYUSg_chr4.9144 transcript:KYUSt_chr4.9144 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVELDAMAVEVKLDLEDHARKMTNMAEAAMDSLYNGWEDRWVKSEWKKEDGTAGEWSHTSGNWSGDAQDKGIQTSEDYRFYAISAQYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGETPYRFILVTVSRSCITHPSWIGRSVDHQYLIDNCSIMFGPDICGYTTKRVHAILTKYGKNNLIKKEVPCETDQLTHLYTFVLRPDATYSILIDNREDWEDNEYIPDPEDKKPEGYDDIPKEVTDPDATKPEDWDEEEDGEWTAPTIPNPEYKGPWTQKKIKNPNFKGKWKAPLIDNPEFKDDPYIYSFDSLKHIGIELWPPPFILY >cds.KYUSt_chr2.38330 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237513144:237516544:-1 gene:KYUSg_chr2.38330 transcript:KYUSt_chr2.38330 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRKRKHVCAGGDRLMDLPDSLLHTILSNLTAREVVQTCALSRRWRHVWLSAPSLDIDFREFRSAADPGDAAKSHKTMLQQEEFVQFEDFADNLLCRRSTSGASLDTLRLRFECPQTTTHGRWVRRGLKCCPAVLDIRHGAGNLPIPFQLPPVGSGGARRLRKLCLDGLALPSDFEEQLRSEFRVLEDLEIRNCRMTWVSRIASDTLKNLTVECPQNDGKLLIVAPCLASLHIALRSSYYDITLGEAHSLVQASISLVGTIQQQRYWPTTELRALCKLLRALSNVNSLELVGFQEMLVHLIGTAARLPTRQLTLHPVPDTGSFIPTSYSSSSVPPPAFAGHLVGTFVLTDMFFHFALAVLSSSSPSFGRCAGRSVVVCAFHTLCVVGVPSVTPWWCSAVGLKKLQQAVEWPIKHATAFARLGISPVRGVLLHAQRPLLPRLQHMLPKLLSFL >cds.KYUSt_chr1.10148 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62219677:62221107:1 gene:KYUSg_chr1.10148 transcript:KYUSt_chr1.10148 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYVTPFTPIPSFFDAPAVGADYDQSSLMAFLMNDDFTTDALFAYADNTPPTTAPPAPTFSAAPLQPPAPPAPTSHGRKRALTPTAADEPSTAGASRSATLRRKTSPPTSPATSGGDPSPPSGRGGGRRVWVRERSTEWWDRLSSPTCPDPEFRAAFRMSRATFDALCDDLSAAVAKEDTALRAAIPVHQRVAVCLWRLATGEPLREVSRRFGLGISTCHNIVLQVCAALTTVLLPRTIRWPHDLSFHNTSGIPGVVGALCTDHIPIGPPKDGVADYYNRRLTERNTKASYSVAVQAVVAPDGAFTDISIGLPGSLSDAAVLDRSALHSSCQSGALGPHHRLVGGKSYPLTDWMLVPYAHRNLTWAQHAFNERVAAARAAAQDAFRNLKARWRCLQRRAEHKLPDLHNMIGACCVLHNFCQRSGEEIDPELLVDLDNHFADEVVAAQPVRSAAAEKERDRIAHDLLHGGHAVTFF >cds.KYUSt_chr3.21387 pep primary_assembly:MPB_Lper_Kyuss_1697:3:131136672:131139065:1 gene:KYUSg_chr3.21387 transcript:KYUSt_chr3.21387 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQHLQHLGEAEAAASADQDSMSNLTTSASAGANPPPPTPTAASNKRKRSLPGNPDPESEVVALSPATLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRSSKEVLRKKVYICPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTVFSRRDSFITHRAFCDALTEESAKAIGGMPVMPQGHHHAMLYSPPPQPHQLMQQQHQDLVAFQDQQHQIMQQQQEQHCNYAAVKPEMQPWPTMPYEDVHHHPLLQPICTTTAQSSATSGPTPTQQQHQHQHQQLPAAAAHLSATALLQKAAQMGATIGGAGAGGTRQHYTQMAGAATSAAGSATFGLGLSCLNSQQMMTLARTASHGRGAEDGSGGGATGGANDGMTRDFLGLRAFSHRDILGLAGFDSSCMGAAVNTATNNASLPPCFEQQQHGQSQPQQQQHSTNEPWHGMSSHS >cds.KYUSt_chr6.26738 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169498428:169498646:1 gene:KYUSg_chr6.26738 transcript:KYUSt_chr6.26738 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKVAGEPQLLSKKAGCNSHGQDSSYFLGWEEYEKNPFDAVANPGGIIQMGLAENQLSFDLVVCLIRRQQT >cds.KYUSt_chr4.18340 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115367535:115379022:-1 gene:KYUSg_chr4.18340 transcript:KYUSt_chr4.18340 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGDVLTGNRLLFLVVTGKRYWQRIEDKFHKLMSRVRHHVDRTYRSLKGRWDAIKPECSRWAVAMDQVVSNPPSGATVDEYDHIADARYRDMAGSKGKSFTMRHCFDVLQHLPKWKLRDEEVAPKKAAMVALDDTEDEKDGRNADKPEGNKKAKERIRLEGEAALLRDKFDQMMKSKEVIAIKTLETKRVIIETKKEVSLAKLKASREEAKTKAKLEEMRIDVKKAKATKLLLAEERAILMMNTKEMNEVQLEWWKETSAEITARRRAARQEATAAADVPPGGGADVTPGGTADGADGVRRTTTSAMATTDIRLSIEHQTRFAFRLASAISSSSDSKGPSGNTAFSPLSLHVALSLVAAGAGGATRDQLAATLGAAGPGQAEGLHALSEQVVQVVLADASAAGGPRVAFANGVFVDASLQLKPAFKDLAVGKYKAETQSVDFQNKAAEVAGQVNSWVEKITTGLIKEILPAGSVDNTTRLVLGNALYFKGAWNEKFDASKTKDDKFHLLDGSSVQVPFMTTTKKQYLLASDSLKVLKLPYQQGEDKRQFSMYILLPEAQDGLSNLANKLSTEPEFMEKHIPTQKVPVGQFKLPKFKISFGFEASDLLKGLGLQLPFSAEADLSEMVDSPLAKSLYVSSVFHKSFVEVNEEGTEAAAATAAVVTLRSLPLEPVKMDFVADHPFLFIIREDITGVVLFIGHVANPLVSSQTDRKHGYFEVSAPLYSVYYLPAAVHVKVILLIMHWIRMWFFLQPAEKGWDIVRRTTTSAMATTDIRLSIEHQTRFAFRVASAISSTSDSKGPSGNTAFSPLSLHVALSLVAAGAGGATRDQLAATLGAAGPGQAEGLHALSEQVVQVVLADASAAGGPRVAFANGVFVDASLQLKPAFKDLAVGKYKAETQSVDFQNKAAEVAGQVNSWVEKITTGLIKEILPAGSVDNTTRLILGNALYFKGAWNEKFDASKTKDDKFHLLDGSSVQVPFMTTTKKQYLLASDSLKVLKLPYQQGGDKRQFSMYILLPEAQDGLSNLANKLSTEPDFMEKHIPTQKVPVGQFKLPKFKISFGFEASDLLKGLGLQLPFSTEADLSEMVDSPLAKSLYVSSVFHKSFVEVNEEGTEAAAATAAVVTLRSRPVEPVKMDFVADHPFLFVIREDMTGVVLFIGHVANPLMSS >cds.KYUSt_chr2.40617 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252301066:252305295:-1 gene:KYUSg_chr2.40617 transcript:KYUSt_chr2.40617 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNVTPSVRVFGMPVRDDVRDLVIKVKYGGTLKRFNASVNGSHFDHDLAALRSKIANAFKFSPSDEFVLTYTDDDGDTVMLDDDDDLRDAAISQKLNPLRIDVQLNSSSAGAKQQASDSRSSMSAMVEQLAQVKSAIDDALKFVPEQVPAALAKLSHELHSKAASSGPPSAQLLDRIAELIAPRSSVQPTSGLTDSSPGSSSDHLPHVRQMLINSPLSELATIDAQHTKALGLESVLAEEAKSQVGQASGYTSTENPSVLGISGGKLIFPRKKRTDAQSKGKSHAQVRGKSVVSSSVPPVPYMAHGVPTLGSAAEYERNMYTKINDDLRKMDCPVPNVESNGKISGEHSVFPPPRGLVYNSVPTVESIGKVNWEHSLFPPRRGPVYNSVPTVESNGKVNAEHSVFPPPRGSVYIPITNPSFTTPGWNGKKNGSFEARFTHPLAYDRNSLKFYDQNPLKFSTHPYGFNQDANSLGSCGFASSAYDSITQPTVHKWIECDGCGITPIVGPRYKSIVKDDYDLCINCFSLAGNEAEYTRIDKPMLVSERLRGIDKTLRLQLDCCFIKDLTVPDGTVMAPSTPFRKIWCMRNSGSTAWPSGTQLTWIGGDQFARQSSVKLGISEFGFPTGGEIDVCVDFVAPAKPGRYISYWRLTSPDLLKFGQQVWVLIQVEKPVQTSGKNDSAAINLSLAADDNSTTLKPFMVEQRIQTSGSKQTPTINLNLPAEGITTVWTDSASDSDTDDEDKTTFRDIYSALRSHQHKGSKAVGSVMPFAPAAVEPVQVPITDPDTSSTGAVVTPAGVPPPEAAALPNPISVPAPLPAATPVNSGEVPVSVPAAPSPDETFNDMEEKLLRELAEMGFRQADLNKEVLRQNEYDLQKSVDDLCGFHEWDPLLAELKELGFNDDTDVREVLGKDDEGSIIKRVAMNLLSREKDQ >cds.KYUSt_chr4.8282 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49546156:49549024:-1 gene:KYUSg_chr4.8282 transcript:KYUSt_chr4.8282 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQRKGQKPLALRGSCDHIPFQQQGSIQHDIMTDEETNYSLSGEHEQDNSTKVDITQCASTSSGQTSSLQVQETLLMKLQSLENGYNVQREKIIKYYDNRLKAKLAELNKKKMADMSVLLAEHATSQTSSLPSESEKEDINPANTSLAKSTSTVGGCVMENQPIPSPQSEGDEDDPTLHVVNHSMTSQVRAISPWFVEVNYHKDMNPENGSPAPKLPDDLVVEVLSRLPFKSFCRFKCVSKDWLAFSSDPHYSKKLLKIPTGLLYQRRDNSAIMLASLPHNDKEFDEALSFLPQYEQLELKDSCNGLVLCKYKSSYTPVGICRFIVCNPATREWRVLPDTPRSHYDPFYNMSILAFSPSWSAKFYIFNFQRLSTRYLGFGPGKLQVYSSDLSTWFVDDTSWLYERRVVSQPHLFIDGALYVRRDLHEILVLKRLEGISHGIPPTLRIIELPHEDVGFMDRIGKGCLGQSSGALHYAVPEEDARTILVWSLDVDEPYEWSLKYRLNMSHAFGRDNLRRYDTYCWNCDYDVMALDLERDGLVMFDKRADKLRWYSISTGELTEIQPEDHRCDKLSDKHYHYVASYSKLQVLTM >cds.KYUSt_chr6.1087 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6898598:6908579:1 gene:KYUSg_chr6.1087 transcript:KYUSt_chr6.1087 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSSAGPAPQPPPSIPPSSDQAVWADASQLVAAACADLQDGDLVHGENFSLFAAMSALEIMDPKMDSGMERSGYYSIEEAIEDGIAPVPLSLDKTLDIQRTLDVIDHLFSCEATWHKGHTLAQTVFTCIYLVKIERIASHAVLNSFCRILRATCNALISVVSTARTHEEEDLFTMSFGLALKDEGDDKCLSVLNSVEETISRQLRACKSQALSKKKTLEGLESLQDNPALEEDYCRALLCRLRFRKHFHHVVTCMRRPNGRGLDLARKHITSCLTELSLMLKSQEFLKSRSDITLHQGDASCTTASGCCPIGFDVSLNSRLLSPAPPRAVEILAWGDAIRYFEKLLHDLDIICALSLDPVLENVLQFIAQFQKSVPDLVPRAFLQTLLIQDGKLYGRDLICDVISRALSLPDIVGDKEFQTNEFVVQLGQLVFNLLKILCTNTAWQRRKLGKSLQDWSTISIQLELALKREFGETRNVLAHENICMRVSKQLLVWSQEQTYWIASRFLILGFELDLYSPSEYCMVYWYMHVAFIKLIEKMQLRILASNENARRKGKKKKDHSKDSGRDAAFPASCLLLQCYVLLSEGLSMLLAALRNESKSFQLPSIFNSEQERFMQHFDLLQKARIPEGITYYSFKEAAAQAHMADVMKYNFFKEIQKIIPSLKGSFAGDLEKLAEIRQIEQVAEHNRIALNIINHVGAGDPSLRVSFEFTHHPHFAVAVVKRS >cds.KYUSt_chr2.42288 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263433638:263433912:-1 gene:KYUSg_chr2.42288 transcript:KYUSt_chr2.42288 gene_biotype:protein_coding transcript_biotype:protein_coding MNREREDENLRRGRRQDGGVVVEVRDRSHDATAPLSCSPNLSVRGGEGAAVCVSDSRDGDAKDHDFKPALYETGERNGTAQGITYADWAAF >cds.KYUSt_chr4.11530 pep primary_assembly:MPB_Lper_Kyuss_1697:4:70133920:70134649:1 gene:KYUSg_chr4.11530 transcript:KYUSt_chr4.11530 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCSTGHRVSATDLGDCSPSSCSGEASHMLVWQELATSDRYLPRPMQQKLLKSAKPIRVFVAVGKAATVLLRDGANVWLDPNEVNEISMATWLG >cds.KYUSt_chr4.27803 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174665039:174666685:1 gene:KYUSg_chr4.27803 transcript:KYUSt_chr4.27803 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSSMVSNMAPWTSWNTSDSNPCLWSGVTCSVFSQRSGSSAGVLHLSMSAFGLSDSTALASSICSLDTLQSLDLSKNRFTDFPQQLASCPLGARLRVLNLSNAQVAGPLDDFSGFHKLEVLDFSFSYLNGSVDRQLSYLPRLRILNLSYNRLDGDLPTNMAHSLEELVLSNNQFSGQVPVGLFRYKNLTLLDLSQNNLAGDVPDEFFKLFNLQTLLLTGNDLGGMIPGSLSSVTTLAHCAADQNNFSGSIPSGITKNVKVLDLSYNMLSGEIPSDLFLAPGLHTVDLTSNMLEGHIPRGFSRSLSRLHLGGNMLNGSIPSSIGDASSLSYLDLENNYLVGGIPLQVGKCNKLVLLNLASNELNGALPTEIGKLSRLSVLNLSQNSFSGAIPTSIGSLQYLTELDLADNELTGFIPTMPISLGPVLNLSHNHLSGSIPSDIGSLLVLQILDLSYNSLSGQIPSSLAVMPMLTQLVISYNQLSGSLPIFTFNQVAVDSTGNPDLTSIDKVPEMAEDNKSGIWVAAVSFVVCFVISFYGDGIRNGWFTG >cds.KYUSt_chr6.10521 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65121254:65122321:1 gene:KYUSg_chr6.10521 transcript:KYUSt_chr6.10521 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLLAFAFARFVAREHRRPRVTGRNFGLAAAHRQSFGPAAAASRRNFGRNFGRRHQRRLNRNGGLRHRRAPLVARRRRRRYGPGAGLRRRHNHGHHHGHRWVQREAAATMPEAVHEAVAGATEVAAIPEAVTISPFVAASVAAAPEREDEASASNISADVNDLLPPPPAFTVPPMEWLLGGPSAGWLVDESERNFSDEATPPLQYYLRHGYGPCLPSPTPSDEDPAHFAPPGYAPMPEFSSSSAMVRNQEKIEDAAPAAARSVVPDLNLPVPEEKEEENEDAAPSLTLPTPSPEARVLVRRLASAMAARPAGIRRGTWSPEALGLTNGVAELRLNEAAPHFPSSSMEEPGRR >cds.KYUSt_chr6.8083 pep primary_assembly:MPB_Lper_Kyuss_1697:6:49724302:49730288:1 gene:KYUSg_chr6.8083 transcript:KYUSt_chr6.8083 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKPTNPSAKGKGAASASAPPPPNPAPGSARPKKILKKKKKVKADPEKQKAAAAEVAATATAEASASAPAPVPVPAPADVSPSAAPVVAENNGADGKTAAENNGADGKMAAENTGDGSKTAAENKGDGSKTATDNKADGSKAIAQNNGDDRKTVTGKKGDARKTATGSSSADRKKERRRGEGHGRAEEKGKKDEEERSCAGFIFMCNAKTKPECFQNSVFGLPKGKIDVVEKIRTGAKLFLYDFDLKLLYGVYKATARGKMDLVRRAFNGRFPAQVKFKIDKDCLPLPESSFKHAIKENYSSKGKFTPQLNSRQVRKLLALYKPISLHRSSLQHVEESHRVERRMPRYVDERRQPHDYEERRLSRHDEDERRLLRHDEEVHHPRFVEERRLGYAYEERRPPHHVEEIRRAQFVQEVHAPTHLPESQYAPPTYYRHIAPASNDLYHPHQTNMIYERSPVDAANRDPYLAREYRGPPPAEFAARSDHVDELYRSYKLVTRPQGLRQDPPYAPVAYENPRAPYPEDIQQRPVSTRVPVSSLYSFAGAPAYR >cds.KYUSt_chr3.35442 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222540444:222541022:-1 gene:KYUSg_chr3.35442 transcript:KYUSt_chr3.35442 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEDEPGWPLLAAIVLLLGAFCTIISYTTVYPEHLPDFWVKLPAVEGLDRASAGASRAPTFNITLRVDSHGAPWRLRAKPNGTGRVVVAYQGVPLAHADLPEFTVSGDIIGSVPIVATSEGLGMPDELYDRMQSQRRRKERVKLAVHISLDGHGVLLWCTAILHGQPRGPFVCPKLYGPTFSTTDPREWS >cds.KYUSt_chr6.11348 pep primary_assembly:MPB_Lper_Kyuss_1697:6:70333515:70336313:-1 gene:KYUSg_chr6.11348 transcript:KYUSt_chr6.11348 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGDVAVAVPAAVPSPSCAGAGCKKNKRLEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASVTSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >cds.KYUSt_chr3.46881 pep primary_assembly:MPB_Lper_Kyuss_1697:3:294565490:294567733:-1 gene:KYUSg_chr3.46881 transcript:KYUSt_chr3.46881 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPTGKRNYCRIPGTVKLLFETPSGFAIFTFDEKYLKKSIEIIWLHEFRTFEDTRNVINLTAQTIDVNLIKMLWTYCAWDETLVVGSREYKDIIEKTLGLKCLYDDAVTEVMWGVQNLMHILVPQEQSKMTMDDRLPMSLGLNMVLNRHKIYIKQEMLNECIIKKTSKVYATDLREKAHVKFLHKMFDEDFKVFSKVDTKLWNLFKLATALKIMFDPHGILKYGNPHKMFSHDELTTIRRDAHLYQQKLDKDLVLAVYDNSVTLREGKAELLYELRTLVQEAKAALETEEVEKKPATNGVDSRRKVGATFLQGSDSTQPK >cds.KYUSt_contig_686-1.1047 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6463545:6468688:1 gene:KYUSg_contig_686-1.1047 transcript:KYUSt_contig_686-1.1047 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAMEMAAVSMEKPSGGTSPLRQRAGTEILSPRSWRRDGGGSGRSIGPFLDKDLAAMARQEATTIECVGFLTEDIIKEILIRLPARSLLRFRSVSKQWRNLLSSPNFILAHLQHASQRLLLFSDRDLSTPLRIQSNIFDEAWSPSSSGYSADDLFASCNGLLCFNEESSLKICNPMTGHSLHIVKPVRTSDCQFRCEYAFGFHPKTNKYKIIHFMHEFHGQGSPCRVDSIQVYTLGEEEWREIRVPSAHLLLSLGVVNVDGAMHWITGPNGGCSDIAVMSFDLNEEVFTSVRPPTLQQEKKARYCTCAYSITEIDGKVCFVITLTHSRLQSPEQYNAPGEFNIWMLDCHSKQKWLPMYTIIWPPGFWSTVRPPVFIHGRKVLLQDIGGQLWSYDVTANSCQLQISSQRMVASHRRPRVVHSYFYKEFFKQEAQSAQL >cds.KYUSt_chr2.30698 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189072379:189074259:-1 gene:KYUSg_chr2.30698 transcript:KYUSt_chr2.30698 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVLPSVATARESGGGHSVALNADRAVSLLVACSTARRASELHAAVLRAGLDSDRAVAFRLQRAYAASGRLDHSLTLLHRTQDPTAIFYTSAIRAHSSRGLSLAALALLSDMLSDGLLPTAHTLSASLPACRGISLGRALHAYAFKLALSGDSYVATALLGMYARAGDAAAARALFDEMQPDPHVVSVTAMLTCYANMGALDDARSLFDGLPNKDFICWNAMIDGYTQHGKPNQALQLFRQMLRSSVQPDEVTVVLVLSAVAQLGTAESGKWLHSYVNNSRRVRLNLRVGTALVDMYCKCGSLEDAVAVFHGIADKDIVAWNAMINGYAMHGESTRALEMFAHLREQGLWPTDITFIGLLNACSHSGLVEDGRKFFRSMEQEYGIDPKIEHYGCMVDLLGRAGLVDEAFHLVQSMTLTPDAVLWVSLLAACRLHKNMALGQQIADYLVAKGLANSGMYILLSNIYAAVGKWEQVAQVRSMMKASGIQKEPGCSAIEIDRKVYEFVAGDMSHPRTDEIYAMLDKMNGLVKEHGHVPQTEIVLHDLDEPMKERALAVHSEKLAVAFGLVSTEPAATIKIVKNLRACSDCHAVLKLVSKITGRKIVFRDRNRFHHFVDGSCTCGDYW >cds.KYUSt_chr2.43866 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273065718:273068321:1 gene:KYUSg_chr2.43866 transcript:KYUSt_chr2.43866 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRAALGSKFASGVLVRAPPGLTQVLALTLAAREEQDGSGRRSRLRELARAQASMGQEQSQPAQAAEEPSPLPAEPAPAPSQAPSPAPSSLEELAAEAMSFSEDNNDSIDVKVQKALECPCVADLKSGPCGSGFIDAFSCFLRSTEEEKGSDCVKPFIALQNCIKENPEAFSKEILEEEENDEEAEKSNLKVRAPAWSREAKPKL >cds.KYUSt_chr5.42069 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265183662:265188978:-1 gene:KYUSg_chr5.42069 transcript:KYUSt_chr5.42069 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLAVTCCTAALILLFLPSCASQDRLVPGKPLSPGATIVSDDGSFALGFFNPSNSSGTPARLYLGIWYNNIPELTVVWVANRETPITNITTPVLSLTNSSNLVLSDGDGGPVLWTTTGVPAATSSTPTAAVLENTGNLVIRAPNGTMLWQSFDNLTDTFLPGMKIRIRYDKRTNGDRLVSWNSPVDPSPGRFSYGGDPNTLIQIFLWDGARPVARTATWTGYMVKNDRKYQQDSTGATITVSLAVVNNDEEIYVTYNVSTNAPHTRYVVTHSGDYQLQSWSNISSVWLVLTKWPSTECNRYGYCGPYGYCDVAVPTCKCLDGFEPASTEEWNSGRFSAGCRRKEPLRGCGDGFLALQGMKTPDGFALVGENKSTTSEECASECGRNCSCVAYAYANLGGDKSGGDVTRCLVWTGELIDTAKVGADTGSDTLYLRLAGLDAAAGNTTKINIVKIALLILGSGVLVLICISLAWLKFKGKDRKWRRDRKIRVDRVSTINESGEESLPYDHEFPFVKLEEIALATQNFSETCMIGQGGFGKVYKGKLAGQEVAIKRLSRDSQQGTKEFMNEKMEALHLQPPVALPTFLDII >cds.KYUSt_chr2.46367 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289728208:289730231:-1 gene:KYUSg_chr2.46367 transcript:KYUSt_chr2.46367 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHHHRYPFTFPSGYKHFARTSHHRSRTHAPARVAILHARMDTPSSTWEEGSQSDYADLDDDSGMELQRIRVGLPRHRREEDDDDADSDVSSECSGEPGSPYGSPYPRWPVCKLAPRMPQPPLLQRLGTAPRLAGGMLDRKAGYSELQLMRERFSKLLLGEDMSGGGKGVSSAAAISNAITNLYATVFGSCHRLEPLPAEKRSMWRREMDCLLSVCDYILEFFPSKEILPDGTAREVMATRPRSDIYVNLPALEKLDDMLLEILDGFQKTEFWYLNDKAHKDSGDDSAPCRPVSHRGEERWWLPVPCVTKLGLTESARRDLRKKHDCANQIHKAAMAINNGILAEIKIPESYTQTLPKCGRASVGDAIYRHMSFPGKFSPEYLLDCLELSSEHEALETADRVEAAMHVWRRKANHGHSKSPWSAVKDLMESDKNVMLASRAEDVLLCLKQRFPGLSQTTLDASKIQYNKDVGQAILESYSRVLESLAYTIVTCIDDVLFADESANKIS >cds.KYUSt_chr4.49753 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308137724:308138098:-1 gene:KYUSg_chr4.49753 transcript:KYUSt_chr4.49753 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAVVAAIIALLAVTAAAQGPMPAPRMAPLPAPPARSPATAPAPVATPPTAASPSPMASPPAPPMETPVEAPSAMTPSAMTPSAVSATPAGAPTDTPASSAVYTSTVSFVAVAGAVAAAIVF >cds.KYUSt_contig_686-1.707 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4195711:4197530:-1 gene:KYUSg_contig_686-1.707 transcript:KYUSt_contig_686-1.707 gene_biotype:protein_coding transcript_biotype:protein_coding MPARKRPAAAVLATTTTKESATSRKRSRKSTDEYDEVTRLGEGGFGVVLKARHRATGKTVAIKYLTSPDDNTEEEPDEAELRHEARLLEACNGNPHLVGFEGLARDTATGNLRLVMEYVAAPSLHAFMRKDSRHGRPLPEPKVRAIMRKLLTGAKLMHARHVVHRDIKPANILVGQDGHLVKICDLGIGISMSDPPPYTQVGTMPYKAPEILLRKPDYDALVDCWSLGCVMAEMLAGKTLFEDDGREDHMDDADHVAQLWGIFGVLGMPDDRTWPEFKSLPLTGKVMEFKSSLPPTHKMLPEGQEHSRLRDIFPGEKLSEQGFQVLLGLLTYNPDKRLTAAKALKLPWFAAPPPSAKAVKVEALPLPRNKVSRFMAPLTGRKENRESVPDTRREKRGTEIRIESNDAEEFVEGGREGDVGCWLELGRSVFQQCCVGPKALRDGDLCAEADPDRESASNDTANRVADDAAGGWG >cds.KYUSt_chr6.25948 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164495428:164501946:1 gene:KYUSg_chr6.25948 transcript:KYUSt_chr6.25948 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSRVGLDPSPSPTCDQTDTTQPKRPDATYPDQAHVDALGLFDEKGKLLGSASNPIQMWKDKDCVEQSSTDIWHAVCAAVRSACSLANVAPEDVAGLGFTATCSLVAIGVDGSPVSVSSNGDSKRDILVWMDHRSVDQAERISSQGSPVLQFYGGGLSPEMQAPKLLWVKENMQESWSMVWRWMDLSNWLTYRATGDDTRSLSHMEQWMASDSSDMEACGRDEVFWAQIGLGDLYEGNHAKIGHSVALPGHPLGSGLTPTSAKELGLLPMTPVGISILDAYAGGVGVLESVSNAEFKAAMSDEEAICHHMVLVCGTSTCHMAVSKKKLFIPGVWGPFLSAMIPEFWLTECGQSATGALLDYIVQNHPAAPLLANQAASQNLSICELLNKMLLSMSHEQNMPFLSTLSQDTHVLPDFHGNRSPVADPKSKGVICGLTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLSKNSLYIQEHADIIGCPIILPRENESVLLGAAILGAIAAKKFSGIRDAMRALNAPGKVVYPSLDPKLKKYHDAKYQIFSRLHLIKPKREAKEWTSPLEYKVAALRIKVEEGPEEFSGQCRFLE >cds.KYUSt_chr6.2384 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13897351:13897759:1 gene:KYUSg_chr6.2384 transcript:KYUSt_chr6.2384 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSAPFFVLLALAALLLAAQCSAGDDAPTEPMLDPKCQEFTTMCTVDTCTDFCLSIGLGAYQGFCSFHDLTMYCCCPIPAGTPPRRT >cds.KYUSt_chr2.38001 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235197732:235198673:1 gene:KYUSg_chr2.38001 transcript:KYUSt_chr2.38001 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAYDHQLLLGREIEDGEVVSSSGSDTETDDEDARYYLQAPRLDEGKLSAASSLRGGATAVEEEVGDSVSAATAVVSNVSDGTTAPVQSARSNDPVVSGDSGSSSARSGVEPGQSGAAPVAPPRQATFIPLMVPAASQQQLVLDHPAASRQAPQGRGFSCRECGRWFQTHQGLGGHAAGHKNRRLAAEAAAAGIDPEAHIACRGGARSARPHACKICGAEYRSGVSLGGHMRKHYSGKPIVSRKRMRLNPPGQLALAPPLQQQQPTQAVEAPVAAPPLQLQAPPAVEAPVAAPQLPPGCVRIFGVTFVKPAN >cds.KYUSt_contig_786.84 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:534875:536782:1 gene:KYUSg_contig_786.84 transcript:KYUSt_contig_786.84 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSILGALKLAPSPLVPATQPSGRTSSSSSLHFHLANAGAAALVAASLLLADPALAFRGGGPYGQQVTRGQDLTGKDFSGQTLIKQDFKTSILRQTNFKGANLLGASFFDADLTGADLSDADLRNADFSLANVTKVNLTNANLEGALVTGNTSFKGSNIYGADFTDVPLRDDQRDYLCKIADGVNTITGNATKETLFCK >cds.KYUSt_chr4.52402 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325035786:325039015:1 gene:KYUSg_chr4.52402 transcript:KYUSt_chr4.52402 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDDEDGRTELPSEASLRAVLLHGSLDIWIHEARNLPNKDILSNTMGGLLKSCTSAPEGAASTSDPYVTVLVASATVARTSVIQDDENPRWAQHFLVPVAHEAAAVNFVVKDSDVFGAELIGAVAIPSETLQAGDRVEGVYPVLEPKGKPCAPGAVLRLSVQYVPVARLTMYHHGVTPGPDFPGVPNTYFPLRRGGRVTLYQDAHVPADGCLPEIRLGNGTSYRHGQCWHDVYDAMSQARSLIYITGWSVFHTIHLVRDGDKGKSLGDLLKKKSKEGVRVLLLVWDDPTSRSVLGIQMEGYMGTRDEETRRFFKHSSVQILLCARSAGKRHSWVKQKETGTIFTHHQKTVIVDADAGNGKRKVVAFVGGLDLCGGRYDTPSHPLFRTLQTLHKDDYYNPNYAVTDDRGPREPWHDLHSKIDGPAAFDVMKNFEERWLKASKRTGAKKLTKSCNDSLLWIEKIPEIAAIDDEIYSSDSDSERWDVQIFRSIDSNSVKGFPKDPREATSKNLVCGKNVLIDMSIQTAYVTAIRAAQHFIYIENQYFIGSSFHWDSHRDVGANNLIPIEIALKIANKIYANERFSAYIVIPMWPEGNPTGTPTQRILYWQKKTMQMMYEIIYRALQEMGLDGKYEPQDYLNFFCLGNREAEETPSTSSGSFSASNPQDQSRKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMAGTRDTEIAMGAYQPHYTWANMLSAPRGQATN >cds.KYUSt_chr5.40185 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253823230:253823820:-1 gene:KYUSg_chr5.40185 transcript:KYUSt_chr5.40185 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCGGHWAARRLLASPADQSMDAQQAHLERVLGATATVLLVASLSYFALSTLYRCLHAARVVITPPSNDDDPASGTQDDTKRALAGIPVRMFVLQFPADAGGARKEEAYANADDCAVCLAEFAPGAEVRVLPACHHGFHRECVDRWLLTRAPTCPVCRAPVAAPVVEDADAGKEDCAGGIRDHGSRDIPAVALVE >cds.KYUSt_chr2.31223 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192691420:192696049:-1 gene:KYUSg_chr2.31223 transcript:KYUSt_chr2.31223 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGEPREWRIRFRRQFGLAEVVEWNNLRGEVQALPIELDDDVVSWSLEPSGAFSTNSISARLSQGAVITSFKEGVLTMSAMQSSYLPATTESVAMAQEAKDASESISILYRVLEDPSSSSDAVRVKEVAITNLTSYLTKENRAEDLRNLLTQLRPFFALIPKAKTAKIVRGIIDAVSKIPGTSELQISLCKEMVEWTRAEKRTFLRQRVEARLASLLLESQEYTEALTLLSSLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEGFNALEDPKAIFCLKYMLLCKIMVNHADDVAGIISSKAGLKYVGPDVDAMKAVADAYSKRSLKYFETALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHVAEMIELPIDHVEKKLSQMILDKKFSGTLDQGAGCLIIFEDSKTEAIFPATLETISNVGKVVESLYMRSAKIMA >cds.KYUSt_chr5.8356 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52825127:52831967:1 gene:KYUSg_chr5.8356 transcript:KYUSt_chr5.8356 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGLLARDFGLRPQGKAAPMSAARAAAPAGSARSAAPSAPSYDDLFKAPSSAPPPVDPVFDFGSFTDPSATTAPPPKPKHSSMPVFDKPVYDDDIFDGVPGVKTSSGQQYEDVFAGTQAAAPAPAYDDLLGGFGRKPEAREKWKPAPAPPSSAAGFDDLFAGIGRSSPAKQRETAGTKEKQVPTSQPAGMASDPFAVFETTSTSARQSSGIFSDPLDELGRNSKPQKDHGNVTVDSGLFEGASTFSQVPKSEHLFTSKLSDGSKESNGSTKARDSSPVQSFPKRNLAQQPSVDKFENVFSQSQSAQYSDVHVDISAPGSEKYSANGVDDQSPRSNESEGEIWLTVSEIPLFTQPTSAPPPSRTPPSLAVKKKPHESKAKRREDDYLRQSSQNYNHHKSSAKQTSGSSMDELEDFAMGKPQKSAYDNVNPFNDEEFERSSSAAASAAAMKEAMDKAEAKFKHAKEVRERERDAKHRNREQREQDDEARSYALDREERKRQEELEREKEMRQKEEKEREQRRLEEERELEQERERGRGRQAVERATKEARERAATEARAKAEREARQRAERVAVQRAQQEARERAAVGARDRAERAAAESKERAAAEAKEKAAAQTRDRATAERAAVERAQQDARRRSERAAVERAAAEVRERQAAEARERQAAAAAVAAAREKQSKPDDLESFFGMGARANSAPKQRAPAADPTFNSHTQSRGAATSASAASMRKASSTTNITDDLSAIFGGAPMSSDEFQAVEGESEERRRARLERHQRTRERAAKALAEKNERDMNVQREQAERDRISESLDLEIKRWAAGKEGNLRALLSTMQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLHQKYIAEKVFDLLKVCLPFAIPE >cds.KYUSt_chr7.16929 pep primary_assembly:MPB_Lper_Kyuss_1697:7:104911063:104912637:-1 gene:KYUSg_chr7.16929 transcript:KYUSt_chr7.16929 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRALALVLLFSALASSCEVALSYFPPAAKEDFLGCLVKQIPPRLLFAKSSPAYPSVLGQTIRNSRWSSPDNVKPLYIITPTNTSHIQSAVVCGRRYKVRIRVRSGGHDYEGLSYRSLQPENFAVVDLNQMRAVWVDGKGRTAWVDSGAQLGELYYAISKYSRTLAFPAGVCPTIGVGGNLAGGGFGMLLRKYGIAAENVIDVKLVDANGKLHDKKSMGDDHFWAVRGGGGESFGIVVAWQVKLLPVPPTVTIFKIPKSVSEGAVDIITKWQVVAPQLPADLMIRIIAQGPKATFEALYLGTCKTLTPLMQSKFPELGMNPSHCNEMSWIESIPFVHLGHRDSLEDDLLNRNNSFKPFAEYKSDYVYEPFPKSVWEQIFGTWLVKPGAGIMIFDPYGATISATPESATPFPHRKGVLFNIQYVNYWFAPGAGAAPLSWSKEIYNYMEPYVSKNPRQAYANYRDIDLGRNEVVNDVSTYSSGKVWGQKYFKNNFERLAVTKGKVDPTDYFRNEQSIPPLIEKY >cds.KYUSt_chr1.11581 pep primary_assembly:MPB_Lper_Kyuss_1697:1:71241556:71250888:-1 gene:KYUSg_chr1.11581 transcript:KYUSt_chr1.11581 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSVPPYSAEVAFLGEGDVDPEATEEDSLVGGGDSNSVDCMPGSYSSSLSLHGVRGDDEHSALENSSSNSSPFYILIPQDVVPIEMARSRFLDIIVDHFICENVIEVAEPSVLEFLQGNDKLNKRKQQEARYEGDPRFALPLMYIANLYETLVGDVNARLASLIGSREKTIGVALEAAGGLYRKLTQKFPKKGFASSHPCVAREPGRVAQTMNRQTQVAKQSEVSRSFGTNTAGVPVQGGSPADRNNGAGYSQNAAPNQNTLAAAANKKGPECFRCHKTGHCINDCIAELCDCCQSADHATRDCPTLRAPKPRIAVYGVGHVDLTFWKIPLSGDVRPCVENTRLGRVAVEGGIMTIPELIAQLQFLMPDDHYQWDVQQMEDNVFRVNFPSRSDLVKAQHFGKFCVPRSQITLSFDFWRKDVEPVWTAEEVWVRVHGFPPFALDEIVALWAFGDIFGETTDIDIPYTRANNVLRIRISCLDPALIPTSLDVKIRNDFFRLSFEVEGLRAPTTQEDNVSEDMHKDDDMDHDGPTNNSGDDIDREVKRKKNEEESKDTDYEPSHPATSAGTSNAVSLTQLAQDNDNMAVDATPRAAVNLDMCTGSLISLNPAESNLDELGRDRTLAGQEGSVVSPDDRMGMEAAVCVHGTCTQRTSMETVAAVCVCMTHAHSGR >cds.KYUSt_chr4.41440 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256343172:256343660:1 gene:KYUSg_chr4.41440 transcript:KYUSt_chr4.41440 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPLSLDLFDPFDGFPFGSGSSSSGGGSLFPSFPRTSSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERNKEQEEKSDTWHRVERSSGRFLRRFRLPDNAKPEQIKASMENGVLTVTVPKEEAKKPEVKSIQISG >cds.KYUSt_chr5.38511 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243604079:243608848:1 gene:KYUSg_chr5.38511 transcript:KYUSt_chr5.38511 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSTWWSWLCEDAPKKKLSHGGLWGSNPQDFVKQAQARKAFHLVEVKIVVVKLKWNAQDDAMCDKIHWVDGPWPVELRQALCKLWLQYEHERDSRIHGNVEYATKNYQLVLAKRDLEKKNLELHKQLGNALEYVAEVTTDDLELETARRQKAEQEVASMKEERKKMECYIATLLEEKKNLECHVAGLNEENKMLEASGATVKEEKRKVEFYVADLLKLTHDHRAKMKKIAELCEEK >cds.KYUSt_chr3.17341 pep primary_assembly:MPB_Lper_Kyuss_1697:3:106174648:106177464:-1 gene:KYUSg_chr3.17341 transcript:KYUSt_chr3.17341 gene_biotype:protein_coding transcript_biotype:protein_coding MHALAPAECSHSPHSRLQPLFSRGPPRLGCSLLRDHSPLIASVRRYLPTSITAFSGSGSYVMARRSLLLAFLVVAAGAAITANAKVYAPVDSILLNCGSTADGLDGDGRKWQADTNNNEWLGDAGKSSIMAAADELDSSLPSTIPYMTARVFTVETAYNFTVNPKDRHWLRLLFYPSSYNGIAPEDFRFSVSTSTGLTLLRNFSVWVTTKALTQGYLVREFSIPRTPTGVLTVTFTPTPMTNVTYAYINGLEVSSMPDIFDDPATMVGFADQTVDIAGATFQTMYRFNVGGGFIPPSNDSGLSRSWFDDTPYVFGVMQGVTYNAGARFHVKYPSEIAEYVAPVEVYTGTRSMGSDARVNQNYNLTWTMEVDANFTYVVRFHFCELLLNRPNQRAFDIYVNNKTAQSDADVIEMTSERGVPTYKDFAVHMPDEPGDEIMWIAMHPSVALRPQFYDAILSGLEVFKLNDTAGNLAAPDPLPSKLLAEAELGDSDKDRSRKSKEQANMATVIGGTAGGAAAVGIVAAICVVVYHNKKTKELSGSESHTSGGWLPLYHSHTSGKSSGHLAANLGGMCRHFSLAEMKAGTKNFSESLVIGVGGFGKVYRGMVDGDTKVAIKRSNPSSEQGVLEFQTEIEMLSKLRHRHLVSLIGFCEEDNEMILVYDYMEHGTLREHLYNKGGKPPLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDENWVAKVSDFGLSKSGSTTVNQAHVSTMVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLMARPALNPALPRDQVSLADYALICQRKGTLPDVVDPTIKDQIAPECLIKFAETAEKCLADQGIERPSMGDVLWNLEFAMQLQDTFDGGSGRRRGEDDGSGRPVLEPSNSYGSTTTLGTSSNSRAHEASVILEEEDDDMTNGAAFSQIVQPTGR >cds.KYUSt_chr5.11850 pep primary_assembly:MPB_Lper_Kyuss_1697:5:76916168:76917896:1 gene:KYUSg_chr5.11850 transcript:KYUSt_chr5.11850 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQNVDRLHHRAGSKPIELHGSVYEVICLDCGTSISRESFQEQVKDLNPKWALAIDSLEEGQPGSGKSFGMQQRPDGDIEIDEKFWEQDFDIPSCIQCGGVLKPDVVMFGDNVPQERADNAKDAARNCDALLVVGSAVMTMSAFRLARYCPEYFRWEV >cds.KYUSt_chr4.43633 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270516436:270520033:1 gene:KYUSg_chr4.43633 transcript:KYUSt_chr4.43633 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPRPFLLQALKPLHSSRGPLAVRRTLSAHAAAADAAPRGDAPPPARTRHKNSRTPVPPRVSVNTALFFPPGVERDAAVTEEMVLPGSNIVVGPYAGDSRVKEAEFIGCSAHARDCPKDDRPEFAVLGRSNVGKSSLINALTRRKEAALTSKKPGKTQTINHFLINKSWYLVDLPGYGFAAASKTARMDWSSFTKGYFLNRDTLVGVLLLVDASVPPQKIDLDCANWLGRNNVGLTFVFTKCDKVKKGKGGRPEENIKEFQENIKLVYPEPPPWIMTSSTTGLGRDGLLLHMSQLRNYWDNEAT >cds.KYUSt_chr7.6923 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41729256:41747602:-1 gene:KYUSg_chr7.6923 transcript:KYUSt_chr7.6923 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTPSVEPQIMFFVLGIYNMAGASTDAATKEMEALHVGQTETKENLNKAASVESDVTSSKEPKVADSNGATPGAQSSPPEGDDDDGPSEDGAAAAVKKKKKKSKAKKKKAPLQQTDPPSIPVDELFPSGDFPEGEIQQYKDDNLWRTTSEEKRELERIQKPMYNSVRRAAEVHRQVRKYMRSIVKPGMLMTELCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLQATRDATNTGIKEAGIDARLGDVGAAIQEVMESYEVEINGKVFEVKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRIGETKYLMALKNLCDVGIIQPYPPLCDVRGSYVSQFEHTILLRPTCKEAKVIFITVEFIHLHLSPADPSSVAMLSQYLLSRHASKRVMAPSHVTFCNVEQLHIYNRSWEATAKLISGRIKNNRGGDLIYHAILVDENGDKMEAIASGQTALRVNNELRTGHVYYIRDVIGIVVYVSPVRFLPSTNRNTPCREVVLMNNRWEFICLRIWDKHVSRHMMKWRRAERDMSILSAILFEMSSTDVEMSESQENNMKQTETEGSTEMSSTAGDVGEVKENDDKHSDMVDIAKMSSTVEEVREIKEDDNYQSDMLQGLQNQLELAAQMSELNLGDKWKDLAVTTWKWVECIQKPLQTDSSSCGLFLLKFMEEWTGQELAHPVTQDGLKLFRKQLPYMMLNTDLNILKGRPELPQPDQKGEASDVLMWEGNGPPPTELSQGNQVANATSPPFKKIKKSINKDEAVAKLRKYILSVNDDEAKTQIWVQSSNPYPLSISLKQIKDLLKDTNGMDKECFNMVTLVTDSSIDPKKRLLFDDKREIMARICDHWPGMLYHVSECNSVAYALRIKAVVHMLSYRENECADNIPQIVRELVNMAGVADTSNGGEPTDRKERNRQRRQNYAQMDPQKKDELLKKRRESYQQKKAKLQSTQSVTGTQQSALTQLESTPAVKGATAANTENVVRAENEAYQLQSPHMSSQWQESLVTALNKENEVPSVNKKNEVPSVNKENEVPYKDDEWLRRNDNYERQSIPMPFQGPEIIPTDVIATYCTQESHGGPSALCQLESTLVVKGDTARDKENLVPDDNDALHMQLPHMSSQGQGSLVTAKTVNKEAEVPLAHKENEVHSQDDEWLRRNDNYQMQSIPMLLQGQEIIPTDENPSMSMEGVNGSETPTQSAVVNNGEDEGVILEEDSEDEEGYMFAGQEDDADEDVEIDEVNDGSALFQTSRIHMTWCTTKYQLETHMLKHC >cds.KYUSt_contig_319.691 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:4376366:4385321:1 gene:KYUSg_contig_319.691 transcript:KYUSt_contig_319.691 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methyltransferase, Maintenance of methylation, Gene silencin [Source: Projected from Oryza sativa (Os07g0182900)] MDSFAPRFDLEGRDSTAPIPDPGCRRRCRASSVSRWGFTRFIMYPSIGCRETSVMGKSPRSPVTTGTKRCRAKPQKKGEESTENGKLENSPQDATNGVEKGTGTAARKRPRRAAACSDFKEKSVRLSGKSSSVMIKKNRMEEEEIDAINLTKLGPEDLPPCRKLIDFILHDADGNLQPFEMSEIDDFFITALIMPADDDLEKERERGVRCEGFGRIEDWAISGYDEGTAVVWLSTELADYECVKPAGNYKSYYSHFYEKAQVCVEVYRKLMRSVGGNPNLSLEELLASVVRSINAIKGYTGTMSKDFVIATGEFVYNQLIGLDQTSGSDDQKLATLPVLLALRDECKSRVELTKRLSNISNGSLKIKDVKCEEVAEDDDEKLARLLQEEEQWKMMKKQRGKPTQKNVYIKISEAEIANDYPLPAYYKPSSQEMDEYVFDSEDSMFSGDVPVRILNNWALYNADSRLISLELIPMKSGAENDIVVFGSGFMREDDGSCCSTAESANSSSSSSKADNQDSGVPIYLSPIKEWVIEFGGSMVCITIRTDVAWYKLRQPIKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFVDVIKKVAEFDKGDPAYVSSNIVLVERYIVVHGQIILQQFTDFPDETIRRSAFATGLLMKMEERRHTKLSVKKKVQETRGQNLNPIATMGTSSKRKAMRATTTRLINRIWSDYYAHHFPEDLKEEDGNEAKEIDDEQEENEDEEAEEEVQIEEEKVPKTPPSTRSRKLKSQAIKEIRWEGASTGKTASGEALYKCAYSRELRIAVGGAVTLEDDSGELVICFVEYMFQKPDGGEIVHGRILQKGSETVLGNAANDRDLFLTNDCLEFELKDIKELVPVNLQSMPWGHKYRKANAEADKIDRARVEERKKKGLPTEYLCRSLYWPEKGAFFSLPRDKLGLGSGVCSSCEHREPDCDELKILSKTSFIYKEVTYSVNDYLYIRPDFFSQEEDRGTYKAGRNIGLKPYAVCHLLDVRESAGSKKVDPASTKISVRRFYRPDDISSAKAYSSDIREVYYSEDIINVPVDMIEGKCEVRKKADVSNSDLPVMVEHVFFCEHFYDPATGALKQLPSNVKLMSVVRKATGALKKNKGKQICENDEHDSGKWTEVPKENRIATLDIFAGCGGLSEGLQQAGVSFTKWAIEYEEPAGEAFRQNHPEAAVFVDNCNVILKAIMDKCGDADDCVSTSEAAEQAAKLAEENIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRYFLLENVRNFVSFNKGQTFRLAVASLLEMGYQVRFGILEAGTFGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKITLPDGNYYAAAKSTAGGAPFRAITVRDTIGDLPKVENGASKLILEYGGEPVSWFQKKIRGSTIALNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSSGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDSYQFAGTIQTKHRQIGNAVPPPLAFALGRKLKEAVDAKRQQA >cds.KYUSt_chr2.47661 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298142883:298145374:1 gene:KYUSg_chr2.47661 transcript:KYUSt_chr2.47661 gene_biotype:protein_coding transcript_biotype:protein_coding MVRILPWPQLVWAKTVWAGAVRLERRQTQDISPTSKFSTSCELEVQKPIPWVAWGPLPHRSVYEFLLKTPACNQTKESVSEFAKRCEGFKLTQAEKLNIINWRPSSVPDVYSMVEECAKRFCRDEQGVPCDGEQRATELLNLVNEVLPPAPTEPEDEVMEDA >cds.KYUSt_chr4.8690 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52139708:52142983:1 gene:KYUSg_chr4.8690 transcript:KYUSt_chr4.8690 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSLIQGISISVSDDDEASGKVRVRVRRKRNRPPASARRRFLRRAARWGAPFLLAFLAVSLFTYEYYRLSPFYASSSPSPPPPRAAGNLSRAADGARKSCLKMLDPERLRNLELPETPEQNLPVKEVVYRSSLPHLEDNISSNVTNSRFNSFTGYQTLTEREESFKTKETTAVHCGFYNENGGFRVSDVDEDYMKSCRVVVATCAFGGGDDLHQPIGMTEVSVKKVCYVAFWDEVTRSAQQEEGNKIGEDRMIGHWRIILVRDLPFTDQRLNGKIPKLISHRLFPTARYSIWVDSKSQFRRDPLGVIEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKIQLDQYRQDGIPDDKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRLPGVHLFPVCARKDLVNSFGHRRKVKPLAKDGR >cds.KYUSt_chr3.6297 pep primary_assembly:MPB_Lper_Kyuss_1697:3:36098371:36101197:-1 gene:KYUSg_chr3.6297 transcript:KYUSt_chr3.6297 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRLVKESSTTTPGKKAVDRRRPLHFAAFLLLADAALVALIIAFVPYTKIDWDAYMSQVDAFREGERDYTKIEGDTGPLVYPAGFLYVYSAIKFLTAGQVFPAQILFGVLYIVNLSLVLLLYIKSEVLPWWALGLLCLSKRVHSIFVLRLFNDCVAMTLLHAAMVLIVYHKWYLGLIIFSGAVSVKMNVLLFAPSLFLLMLKAMSIKGVFVALLGAAGVQVLLGIPFLLSHPVEYISRAFNLGRVFIHFWSVNFKFVPEKLFVSKELAVTLLVFHLTALMVFAHYKWFKHEGGLLRFVHSRFKDATSVQQFISCKPIQSNLSKEHIVTVMFVGNFIGIVCARSLHYQFYSWYFYSVPFLLWRTQFPTVV >cds.KYUSt_chr7.40534 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251691804:251693732:-1 gene:KYUSg_chr7.40534 transcript:KYUSt_chr7.40534 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAGCMEQWMPTAAMVVTNIVIAVMTALLKQALNQGMNRLVLITFRQMLATVFLGPIAYFKERKRRPKFTAEVFVYMFLSGILGPVLLQYTLFVGLEYTTATFAATFSNLLPVVTFLISLAFGYEALEVRSKSGSAKMSGTLVSLTGAMLLTFYKGTSLTHHHHLASSPPSTPVVVSSDVNDHGHASAVRWVLGSVSMLANVVGFAVWLLLQRLLMRRYPAVYSATAVMSLLSFVQAGALALSTQRITVEMWKLRGTVEIAAAVYCGVVASGIGYLLLTYCVEKRGPVFTAAFSPLSQMFVAGIDLFFLHEPLYLGSVLGSVLVIMGLYLVLWGKREEAAAAASAAPVKPVPVQVAAGQGDVAEQQERV >cds.KYUSt_chr4.12427 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75882405:75882779:-1 gene:KYUSg_chr4.12427 transcript:KYUSt_chr4.12427 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSLALLLALLLACAFIQSSYGSRPSPGEKSVVLSPVLHPGSGEPRHRDGTAEVNDDGATTLAPLVDDGGVESVQKKGGAGMVLSSKLARRSLEEGAAGDSAAKSSCRSNDASVTCPPPALH >cds.KYUSt_chr1.38754 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236791868:236792485:1 gene:KYUSg_chr1.38754 transcript:KYUSt_chr1.38754 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGDETFASPTAAADFDFGQPPLTNGAGAATKAAAAAYDVGEMDALREAKRDLEERLAAARHENGFLSAEAARLEMQVAKAREDIAAAERAAADAEGQAAALRADVKRLQALLAAADASDRGADGPGLGGALATAHQEKLALEEEIKALKASAAAADKDEDEDTAAPSTKDGLLASHGTVAAAAAAGAAATAAIAVLLLHLKR >cds.KYUSt_chr1.36308 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221414657:221415702:-1 gene:KYUSg_chr1.36308 transcript:KYUSt_chr1.36308 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTLPVAHKLSLASPFLPRHRRACRSPVQHCRRRRHGAVVAYMEPDPNSPAAILGRIVGALPVVGLVARILSDDGGVGGDTVDFAEFRRRVSKNCTVMDSQAFYDFNDRRGKVGDPFYVLLCCWLAAIGAGLLKSEEILEGVARLRMSNDIEYEEETFLEMMKLAREKRAKSKGQAPQVPMEVRAEKALEAIYVCCFGQDMVEPEDEELLCAMLNAVFPSVGRPAVERMVSTLAKQVASGERRGPDAKVVSKEVAARQLKDLEFLKENKLDSI >cds.KYUSt_chr3.26566 pep primary_assembly:MPB_Lper_Kyuss_1697:3:165420618:165425515:-1 gene:KYUSg_chr3.26566 transcript:KYUSt_chr3.26566 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNRVQLFTRRHNIICGSRGDRVGSVPKDPEAQLIKSGGGTTYNTDHKKIRNYNKVFTFCTRDPRSKTESAIRSSSISTASQHITAKNAIAGDITTWDGAPGACCDGAKRPPLWHDSLEEDRCFEFLLRIDDDPLGIKRLPDKFAEFVDGVEPAQLQLREASCNFCRWPIEVLFDEQGKMYLHTGWDKFALNLELERGCQLTFLYEGDGEMIVKVFDDTTCRRHYHTSESGSDTDS >cds.KYUSt_chr5.43399 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273436523:273443718:-1 gene:KYUSg_chr5.43399 transcript:KYUSt_chr5.43399 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAATGGGRRTSYSLLSQFPDDPAAPAVLQRQSSGGSSYGPGSSVSASSDYPFNHHLPPSAAPAAAAGGTPKSWAQQAEETYQLQLALALRLCADAACAADPGFLDPGDAKMMGGPGPGGSGSGRAFPLAPPTPTAEALSHRFWVNGSLSYSNTIPDGFYLIQGMDPFVWSMCTDVHEENRIPSVESLRSVRPGDSSIQVVLVDRRADFDLGMLENYAASFLSSSADIKDVINQLAKLVSSRMGGTASNEENLLPRWKESSEAITSSSGSIVLHLGKLPIGLCKQRSLLFKMLADKVNVPCRLVKGCKYCKAEDASSCVVRFGLEREYLVDLIGEPGQLSDPDSFVNGPYSLSVPSPLRPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFSDASTGASSGAAVAVDQMYSKKHDAGDGIASGWVPVKGQPTINSDIILPEAPREILPLMTSSNLTADKKKEFQLLEGNQYLRSTVSDLSLAVDDLIIPWNELVLKEKIGAGSFGTVHRADWHGSDVAVKILMEQDYHLDRFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRTGAREVLDERRRLNMAFDVAKGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTYLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFAVILWELMTLQQPWCNLNPAQVVAAVGFKGRRLEIPIDLNPQVAALIEACWANEPWKRPSFANIMETLRPLINKVAVPQLLRSDS >cds.KYUSt_chr7.10743 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66010618:66013194:1 gene:KYUSg_chr7.10743 transcript:KYUSt_chr7.10743 gene_biotype:protein_coding transcript_biotype:protein_coding MPRERRRQQADEGSHGWGSGETKQQRPWQDRTSGLQWKPLIGAKKLSGIEDMMLKLDSKIKELNPGRADGGGHVDSAQQQAEDDDAEDLKDDSHSVAGDEDAPQQQEYDNAEEEQHDQYEALAQDSPSEDPLRRSSSGRSQACMDHTRGFSLVVLRRFSM >cds.KYUSt_chr3.8075 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46587357:46592104:-1 gene:KYUSg_chr3.8075 transcript:KYUSt_chr3.8075 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASFAGELAGASSFLDSGSRGASFRHRKVDFTFQRRDKRAASLRRTCCSMQQGPPPAWPGRAVAEPGRKTWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVRRFKPNLVAVRNESLLNELKEALADCDEMPEIIPGEQGVIEVARHPDAATVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHNVKILPADSEHSAIFQCIQGLSEGSLRRVILTASGGAFRDWPVEKLKDVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRLPILYTLSWPDRVYCSEVTWPRLDLCKLGSLTFKAPDHVKYPSVELAYAAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVELTCDAHRNELVTSPSLEEIIHYDQWARRYTASLQASSGRSPVLA >cds.KYUSt_chr4.3343 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19045745:19046839:1 gene:KYUSg_chr4.3343 transcript:KYUSt_chr4.3343 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASPSSPAGNISGEDGSPLTTSAIVAQAVSGSHVVNVDGYSRTKGPGNGKYIESETFAIGGHRWRMRYYPDGEDSTDADGISIFLCCSDRTGANEVKAEFEISLLDQERQPVPSYSRPSSEIHTFSSKGPSWGFAQFIKRKDLEESRYLMDDSFSIRCDVTVSKEIFTEQVPPSVVVPPSDMHQHLGNLLLTGEAADVTFEVGAETFAAHRCVLAARSSVFKAELLGPMKEKAATLVQIDDMEPRVFRALLHFIYTDSLPAVDDGDGAAAMAQHLLVAADRYNLERLKLICEGRLCDHVCRGNVATTMALAEQHGCAALKKACFRFLTSPGNLKAVVASDGFEHLKSSCPSVLEELVAKLAP >cds.KYUSt_chr5.3998 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25811616:25813690:-1 gene:KYUSg_chr5.3998 transcript:KYUSt_chr5.3998 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLYRVPAGDAGALPQLFHDAGCGPDFVIRRRRVGEHYEAWLHRSRRIRASLDEPEEDAIAVSYLVSELGFRSLVNFRLRRREFDLQIPSFKAPCDVEEGARDKDRCTGVDLDPEDTSCLIPSYARRLASTAAPAQRDEDQASPRIHARPSEEARVRQRRAAASSRPTTPASPRRPRRRRATTPRHGCGVACTLRRTGRVSMDETESKVDGSTSNGDDTSVVVQIGKPANGGTVIGVGGVANKALADVFLAEANHRNFMARIRLAVQLGPMYWVHELTDWVYMLMGSTRYRERDFWSWLGVVGDPIQNNDDTCSICATLVCLEARHRLDFERRYGFNKFPFYITAAAVADLKNQCTVRGVWTPLYGAHEEGVLRVIQAMGGAEVANRFGWKPCKLQVKSWECHSNRDHNGQAMRQSEIAKLIQTKGPLLGTILVHTESYYAPGWEDRVYRGKPRGTKGNGHLVVCTSYCYHPTGRVGSPTELHIEVVDNHTRTGPVRWILAIAFTRFFVVHVEPLNAREVRPSLWRRLLNTILRIFRLAVHY >cds.KYUSt_chr4.45610 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282229727:282234993:1 gene:KYUSg_chr4.45610 transcript:KYUSt_chr4.45610 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTLKVNRDDFSLRWYEEEPSIARGGEEQLDKKLDVKLNMELDMKTSHERARKERESCARGGDLQASAWPGQTGRDAGPPGPSPGRPASTPDQPGPNQGGRRSYFWCPVQGLETGDPSQKRFSGVVPPAAPLIWLDHNENLTILLLHPREVNADETTPPEEPSDICKNQRRPPAGNEALPRGIVQDKSNFEFESLGRNPELEEPPPAKSLLAIPVGIKQKAVVDKLVSKFPAANFTLMLFHYDGVVEGWSDLPWSRRAVHVAAADQTKWWFGKRFLHPDLVAEYDYIFLWDEDIEVDNFDPLRYLDIVRKEGLEISQPGLDRRSKIHHGLTARARRGGAVHRRFYKTAGGGRCYGNSTGPPCTGWVEMMVPVFSRAAWRCAWRMIQNDLVFAWGLDFKLGYCAQGDRTMNVGIVDSEYVLHRGITTLGDAGDGNTVTAAASRSALRQRSSTEMLIFNRRWNDAVAEDECWTDPYPEPPTATTKD >cds.KYUSt_chr3.11772 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70166958:70167179:-1 gene:KYUSg_chr3.11772 transcript:KYUSt_chr3.11772 gene_biotype:protein_coding transcript_biotype:protein_coding MMPADGSQQEVIAAPEVTSADAGTSSSLQEALVQRPPLPLPLPMSPPRKSNAAVFTRRSSRMLGSVPSPGVGH >cds.KYUSt_chr3.32951 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206951010:206952836:-1 gene:KYUSg_chr3.32951 transcript:KYUSt_chr3.32951 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRTFRGGCVSTPDFNATEYCNNKLVGAKFFQKGQVAMASRSPLDVEGHGTHCASIAAGAPVPNANLFGFGQGTAKGTASGARIASYNVCGGGCTSSDIVAGINEAIADRVDVLSISIGLNATHLLSDPMMTASFRAVREGIFVSTSAGNYGPDKATVKNLAPWVCTVGASTMNREFRAPVVLGNGKTYTGYSLYSGPDPYGTMKPLVYSGDAGSDGCEAGKLDPSKVKGKIVLCAAGGAAQGLAVKQAGGVGAIIASSAAEGEYTRADAHLLPAVSVTNTDAVEIAKYSQTPNPMASISYFSTVTGVVNPPSPRLASMSGRGPNRVAPEILKPDIIAPGIQILAAWTGVVSPSQLAMDARRVKFNIISGTSMATPQVSGIAALLKVARPGWSPAAIKSAMMTTAYNVDHTGGVITDMSTGKAAGPFQIGAGHVDPNRALDPGMVYDADEDHYISFLCSLGYTPPIIAIFTGGPPVMDICSKRQAIAVGDHNYPAFSVAFKSYDEKVTQRRVVRNVGSNVNAVYTFSYLASPIGWSAIVNPSKLVFDADHQNLEYTVTFSLIKSAASKSSETEAHSALVWTDGKHKVVSPIVLTWPTTTAAMAVM >cds.KYUSt_scaffold_1854.235 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1291295:1291621:-1 gene:KYUSg_scaffold_1854.235 transcript:KYUSt_scaffold_1854.235 gene_biotype:protein_coding transcript_biotype:protein_coding MHQCSRTAPPTASRPATPPPSPRAGARRRHLALGLPLASPSVDSPTCRRGHRAGTWSPAALGLADGASNGVAPGTLLPGGSSDEEEGGRNARPASLVLSALFGRGCGD >cds.KYUSt_chr6.29925 pep primary_assembly:MPB_Lper_Kyuss_1697:6:189522151:189523041:1 gene:KYUSg_chr6.29925 transcript:KYUSt_chr6.29925 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQKYTVALFFAVALVAGPAASYAADAGYTPAAAATPATPAATPAAAGGKATTDEQKVLEDVNAGFKAAVAAAANAPPADKFKTFDAAFAASFKGYLATSAAKAPALIPKLNTAYALAYKAAEGATPEAKYDAFVTALTEALRVIAGALEVHAVKPATEEVPAAKIPTGELQIVDKIDAAFKIAATAANAAPTNDKFTVFEGAFNKALKECTGGAYETYKFIPSLEAAVKQAYAATVAAAPEVKYAVFEAALTKAITAMSQAQKVAKPAAAATVATGAATAAAGGATAAAGGYKA >cds.KYUSt_chr2.13515 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85689294:85690872:-1 gene:KYUSg_chr2.13515 transcript:KYUSt_chr2.13515 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARRQGERATSFAMACNLLSQFVRKNGAAAVDLGLGISKVEAEAKTTLHGADGDRKETMELFPQSAGLGAVQDAAAPDATREQEKQQLTIFYGGKVLVFNDFPADKAKGLMQLASKGNPVVQNVSAPATGADSTKVQAAVPVLESSLPAAQADAPKPARTNTSDMPIARKASLHRFLEKRKDRLHAKAPYQASPSDASPVKKEPENQAWLGLGPNAALKPNHGL >cds.KYUSt_chr3.43527 pep primary_assembly:MPB_Lper_Kyuss_1697:3:274662563:274673944:-1 gene:KYUSg_chr3.43527 transcript:KYUSt_chr3.43527 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAQTPCPREDLARPERRFQDGSSQSSTSRAGDAAPGGEPQGPSPPRWLRSADGMLFGALGPCPVCTSCLYYYGGHYQCNGYVSEWSKCTYTTTQPVRIKKKWKIPDEIKNDYLTKWFKSQKVKKPDRVLPQMTPQKSVGQSTQQFPIGEALDKLRISVVGQSKDVADEWKEKLKLAGAIFNARVTKDINCLVSCGGLDNENPEVRKARRLKIPIVRGDYLGECIRKNRVLPFDLYKVETTLESSRGSTVTVKVKGRSAVHEASGLQDTGHILEDAKSIYNTTLNMSDMTQGVNRQGFCSLSFVKFVTYIVIEEDDGSECYVFRKWGRVGSEKIGGTKLEEMSKTDAIQQFKRLFLEKTGNPWEAWIQKTNFQKQPGRFYPLDIDYGVRQAPKRKDISKAKSSLAPQLLELMMMLFNVETYRAAMMEFEINMSEMPLGKLSKDNIQKGFEALTEIQNLLDNTGNQELALRESLIIAASNRFFTLIPSVHPHIIHDKDDLTMKVKMLEALQDIEIASRLVGFNGDNDESLDEKYKKLHCDITPLAHDSEDYKLVKKYLLNTHAPTHKFGKGLYFADLVSKSAQYCYVDKNNPTGLMLLSEVALGDMHELKKATPMDKPPRGKHSTKGLGKTVPQESEFVKWRDDVVVPCGKPVPASIRASELLYNEYIVYNTSQVKMQFLLKVRFHHKR >cds.KYUSt_chr1.38909 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237873779:237876596:1 gene:KYUSg_chr1.38909 transcript:KYUSt_chr1.38909 gene_biotype:protein_coding transcript_biotype:protein_coding MASAACVSAVHLVAAVGLGGIIFVGGQPDSSSNLSMDSRCCRGWRSGISTRGLRPPLASEIDLDLCTLSSNLRPDLKIPFKFSNLQLPPKTARNVELALTGVFATNYTPIDIVLVDLLLIGCVSDLTCDDMHQNARLIAGSTEAAQATRLTTCPLQTGAVQWSIPELPSPPMASGHHQKLPEIWLNLTAAPSYGISAPLTILSGIAFCGLAGYRKEPKDINPEENYVILAITQMEAGASRQDADMMVLASSVLSRGSSAM >cds.KYUSt_chr4.33348 pep primary_assembly:MPB_Lper_Kyuss_1697:4:204462160:204465231:-1 gene:KYUSg_chr4.33348 transcript:KYUSt_chr4.33348 gene_biotype:protein_coding transcript_biotype:protein_coding MASETDEKAKMESLTSPAAFVEGGVQDACDDACSICLEAFCESDPSALTGCKHEFHLQCILEWCQRSSQCPMCWQPISMKDPTSQELLEIVERERNVRTNQTRNTTIFHHPALGDFEVQHLPVAGNDAELEERILQHLAAAAAMGRSHHLGRREGQRGRSGSHGRPQFLVFSAHPNTPSAGSVSSSSAQGEGGNDLRILVPRAGDLSPRANSIEEAGNQSPGVLTYDAEQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPVSNDRAGPSDIQSFSDSLKSRLNAVSTNIWFKLVPPTVSEEGLHVPIHHIQRYGQVAV >cds.KYUSt_chr3.24445 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151698477:151701151:1 gene:KYUSg_chr3.24445 transcript:KYUSt_chr3.24445 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVATTALEGDVASPSPWSLLCGLAALLVLWAVSRTAERCWLRPRRISRALRAQGLGGTVYRFPAGDLAENGRLNEEARSKPMPPCHDIVPRVLPHLHNTVKEHGNVCLTWFGPTPRVVIADPKLVREILSDKSGQFEKFISKRLSKLIALGLASYDGEKWARHRRILNPAFHLEKLKGMLPAFSTCCTELISSWETKLAASSGSHELDIWQDFQSLTGDVISRTSFGSSFMEGRKIFQLQGEQAERIIKAFQYLYIPGYLFFPTENNKRMKEINQEIEWLLRGIIEKRERTIEDDGLFGNDLLGLMLQSNKDTETPSLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVLLGMHPEWQDRAREEVLSVFGKDKPNFDSLSRLKTVTMILYEVLRLYPPAVTLNRKTSKEMQIGGVVYPAGILLELPIILVHHNPDVWGKDVLEFKPERFAEGISKATKDQPVFFPFGWGPRICIGQNFAMLEAKMALSMILQRFEFQLSPSYTHAPYTVITLHPQHGAQIILKSL >cds.KYUSt_chr3.13006 pep primary_assembly:MPB_Lper_Kyuss_1697:3:78129606:78132744:1 gene:KYUSg_chr3.13006 transcript:KYUSt_chr3.13006 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPLLRLQVGAGDAGSFLTKADVEGGGADPSDLDADLDPDEEEDGAILLGVQELPCRRDTVGATGGGIPRMGEFPPSMCASTFSRVRPDALHQRRRPVALFLAGGGGGEAFGHKLEVCSSFVLYLRMLNRPPGSPPLATPEAPKPPPARVLPPAAPTTPLPLEEAV >cds.KYUSt_chr3.1372 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7644117:7644383:-1 gene:KYUSg_chr3.1372 transcript:KYUSt_chr3.1372 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAAADGDYVRAAPHIALAVSYVHAVQESLKCINARVRAIAVLFTSETTRASLVGGEIATVRDLLAAIFMRWNSALESIVKARDEFN >cds.KYUSt_chr6.6051 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36312878:36315805:1 gene:KYUSg_chr6.6051 transcript:KYUSt_chr6.6051 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVPASSLLVPLLIITITIVQFSVPAATSNVSFGRTTAHAEVGGFEDVCRGARPGTPAQMVNVLTIDGGGIRGLIPGTILAYLEGKLQDLDGPDSRLADYFDCIAGTSTGGLIAAMLTAPGEDNRPLFAAKDINPFYIENGPAIFPQRTNTFFAFMASLIRPKYDGKYLRNKIKSMLGNTRVRNTLTNIVIPTFDIQLLHPIIFSTYDAKNMPLKDALLSDVCISTSAAPTYLPAHYFQTSDADGKTREYNLIDGGVAAINPTLITMTQIIKNIMRKGKEKMYPAKQTDCKFRVVSIGTGSSSDHGLYTAKRSSRWGIIRWLIPIVDIFMEASSSVVDIQASMLFEWLHRKASYLRIQDNSLRGAAATVDVATPENMQELIRIGERMLDQPVSRVDVETGKYVVVPGAGSNAKALARLARQLWEESRTRKRHSASGGE >cds.KYUSt_chr5.40770 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257405492:257406772:1 gene:KYUSg_chr5.40770 transcript:KYUSt_chr5.40770 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRNPAAQSGSAGLAALSAGLARCLAKENEDSNLVFSPLSIYAALALLAAGARGDTKDEILRILGASSRRQLRKFVSGVAQDALTDQSGSGGPRVAFACGVWSDLTCPLKAAYRSTVVDKFKAEASTVDFIHNKEAARGQINAWVANATSNLIDSVFAPGSITRLTRVVLGNAIYFKGKWEEPFKKKYTRNKPFYRMGGGNVDVPFMSSGSSQFISVHDGFKVLKLRYQMPRPQPQGTYYAEVSSDHKKRKKVSSDSEYTQFSMCIFLPDARDGLPGLVDKIASQHGFLHKHLPKENVRVNEFRLPKFKLSFGSSIVAILKKLGLESPFGGEADLSRMVERDESGLPLIVSDVIHKAVIEVNEEGTEAAAVTVVRAGKRCAAKPRRPPPRVDFVADHPFAYFIVEEGTGAVVFAGHVLDPSRES >cds.KYUSt_chr4.49596 pep primary_assembly:MPB_Lper_Kyuss_1697:4:307084070:307087124:1 gene:KYUSg_chr4.49596 transcript:KYUSt_chr4.49596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Uroporphyrinogen III synthase [Source: Projected from Oryza sativa (Os03g0186100)] MVFPSSLAPSPFATPSGTFHRSHRPGPGRASRAGAPGRRLAAYSSPSPDVVVTREHGKNAKLVAALEKHNVHSLELPLIRHVEGPDTDRLPAALRDEKFDWITVTSPEAAAVFLEGWKAAGRPKVRIAVVGAGTARTFDEVLQSHDGSLEVAFSPSKAMGKVLASELPRSSETVCRVLYPASAKAGHEIQNGMRARGFEVTRLNTYTTVPVQDVDPQILKLAVSAPVVAVASPSALRAWLNLMSQVDNWNNSVACIGETTASAAKKLGLDSIYYPATPGLEGWVESILEALKAHKQSSS >cds.KYUSt_chr3.33450 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209971086:209972294:-1 gene:KYUSg_chr3.33450 transcript:KYUSt_chr3.33450 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRRRLSLSSPELANPLDDDDLLHEIMLRLPPQPPYLLRASIVSKRWRRLATDRKFLHRFRIHHWKSPILGDFSYQRGKFLFRSYLDPPYRIPPERFSLRPSGSKQWTCLDCRHGFLLFDDMISSQVIVWDPITDDLHIIPYPLRFHESRIVLIQSGAVLCAAADQGHVHGACHSSPFKVVVLSSYPHKGEAANEIITFASVYSSDTVGIWSDLVSTTLPWSAIMFPIRSTLVGNTLHWLLAMNTTGILEFDLDTQRLAVTKRPLGAPPCDDSVEIIQSEDGGVGFAALSGPRYRPCLQIWDRKVDPHGVITWVLRKTLELQKILGLESRIENNKLSMLHYSDDVHAIFLRVQSSVYMVQLESMQSKELVKRIRSSIYLPFTSFWTEGNCLLILTLHDS >cds.KYUSt_chr4.40076 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247491553:247493061:1 gene:KYUSg_chr4.40076 transcript:KYUSt_chr4.40076 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYGSGGGDLLSEAMCSGARVVVVEDCVDAPGAFVLHLLLKRALAGGGGGGGAALLALAQPFSHYDRVLRKMGCNLSVHRKSDRLHFFDLQAFPGGASGGGIADSLAQLYNSVRRAVEANRAKESDGRFTVMIDDVSLLEVAANGSADDVLDFLHYCVTLTSEMNCSLVVLVHEDIYSSEDGVGLLLHLRYIADLVIRAAPLSTGLAADVHGQLSVVNKGMMLSEQRLAKGQKVWNFHFKVKENGAEFFYPGSRH >cds.KYUSt_chr7.25281 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157628660:157630914:1 gene:KYUSg_chr7.25281 transcript:KYUSt_chr7.25281 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRVNLMGLEFDSAKEYYHLKILDKNQSDSTISCKCTVQEGGKLAIHKVELNQIRQLVEDISCLSQDLDLRLMLSTKKNLKNINPEVKNAIDSLVSSAILDPDVKGGLRWPFGKESIDGRFTIVGVWHTNYKAFGNKTLKLYLRHADRFDHQSSTGEVSNEVTFKLTGISKRLQDGSKEADSVKEMLESAVRMIWDSALCYKMAR >cds.KYUSt_chr1.25927 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156014405:156015190:-1 gene:KYUSg_chr1.25927 transcript:KYUSt_chr1.25927 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWTFLICSLAGLLFLWQAAWLLDRLWWRPRRLERVLRAQGLRGRPYRFLIGNLMDDYGRKNKEPPMPLRCHDIVPNVVPFLHNAVREHGKTCISWFGPIPMVTITDAELTRQVMSSKSGHFEKLQFPKLSRLLAEGVATYEGEKWVKHRRILNPAFHVEKLKLMLPAFSSCCEELVTKWAASLGSDGWWEVDVCPEFHSLAGDAILLDLKRLLQHKIAKSSN >cds.KYUSt_chr4.17353 pep primary_assembly:MPB_Lper_Kyuss_1697:4:108525538:108528941:-1 gene:KYUSg_chr4.17353 transcript:KYUSt_chr4.17353 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSVPLPRIGISNPFKRGRGERISIAQPSPSPILSVGSAAVPPRGRGSSVRFPIAVHAANMETYTTDNALAAMGFGKFQALVLVYAGMGWVAESMELMLLSFVGPLIREEWKISAQDESLLSSVVFLGMLIGACGWGFVSDKYGRRTGLLFSTLFTTGMGFMSALSPNYLCLMALRFLVGVGVGGGHVFSSWFLEFVPAQNRGTWMIVFSFFWTLGTVLEASLAWVVIAALSWRWLLAFTAVPCFLLLPFFGITPESPRYLCAQNRMSEATLVLERISKTNQAALPPGILTYNRGIEVDQSAPTCEIDHLLPVREKECTDDNAMSSKSGSAAALRSLLSRNLRRSTLLLWFVFYANSFAYYGLVLMTSQLSDANGSCASGLRYVKSEQDASLYKDTFITSFAEIPGLIVSAVLVEWLGRKATMWCLLFTCCGFLGPLAFHQSEIWTTGLLFGARACAMGSFTVLCLYAPEVYPTSVRSTGVGIATAIGRIGGIVCPLIAVGMLRSCHQMEAIIVFEVVLCLAAIACMLFPVETKGRDMN >cds.KYUSt_contig_686-1.296 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:1873868:1876737:-1 gene:KYUSg_contig_686-1.296 transcript:KYUSt_contig_686-1.296 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSDRSRWRSAGKSGIRTVTRARRRMALQRRDKPPLWCFRRRAQEAWLQEQHFGSERAGELARTANPSGGRFNTVGRRALWYGHDVDATLRENGYRPCHRGQREPVYFPQAVTMAPPPTTQMAPERTAASGTSRTGSSAVGRTRSAAPAPPFGGIVIRDDATRLLCSGSSDDGVGPSLPRQGGLHLATTSTGEEEMVGEAEPQAALRGDGDEEEFPTQSFIIGRSIDEDYHHIAIDPRQAAVWSARDHGANYVDLAEPSELPTPKEEKADEKESDGADS >cds.KYUSt_chr5.36016 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227521341:227521754:-1 gene:KYUSg_chr5.36016 transcript:KYUSt_chr5.36016 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAYSSAGASSSGAGASSSSPFHASPRSLHFFVRATDSKTIAIHAAWDDTVATILRHLAGRGYGRDMRLLNAGRQLSPEDTIASLALPPDSTLHLAARLRSTPHPRAWQLYELTHHICSLVLSMWLRYLSMGMHQK >cds.KYUSt_contig_2437.54 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000337.1:285165:285872:-1 gene:KYUSg_contig_2437.54 transcript:KYUSt_contig_2437.54 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSEVTSPASLSASPPLSPTSRGLDKRRRDGAAGRHSTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPAAVLNFPELAASLPRPASAAPYDVQAAAARAAAMDTGTAPMPAASTSPARHPPGQMFGQAVDDELEEIIELPSIDEDIAADQVVFGATFPDPGAEQWYEPAWLEHVSGIGSHDELAVSGLGLDPYQFLGQQDGIVASGCGALLWNL >cds.KYUSt_chr6.5655 pep primary_assembly:MPB_Lper_Kyuss_1697:6:33971532:33972882:1 gene:KYUSg_chr6.5655 transcript:KYUSt_chr6.5655 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPLLRLLHFLLLLLPAPLHDYCFPRLSSGGLDVYHPLILFAGFGCPDLEARLTEAYTPSVPRCGALKGKGWFPIYKFNTLDLVEHDYVPCFQEQMALVYDPVHNKYRNLAGVETRVLNFGSAYGFSEKQMVPDRENLCLVSLRKELEAVGYRDGDTLFGAPYDMRYAPPSEGYVARVQKLVEHASSKNGDKPVILVGHSFGATAVLGFLTSTPLPWRNKFIKHLLLISPPPPTGFTLTITQLTSGPSVFQIATVPIMELRPLWRTFASALLTMPSPVAFGHKPLVITKHRNYSAYHMEDLLLALGFTADQTLPFLRRRTLEVEAPLVPTTYIIGGGIKTPEQLVFWEGDFDVPPENVYGEGDGTVNMASLLAFGKELRRQQERNNVHFKALAIANATHAGIVLEEHLLRMVMTEILQANR >cds.KYUSt_chr7.26532 pep primary_assembly:MPB_Lper_Kyuss_1697:7:165666461:165681557:1 gene:KYUSg_chr7.26532 transcript:KYUSt_chr7.26532 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGSGPWLQSSNGFLGRQVWEFDPNAGTPEERAEVERLREDFTKHRLEKRESRDLLLRLQILSLHVTGALNIVVSSEHRREICRYIYNHQNEDGGWGKQILGPSTMFGSCLNYATLRILGEVPDASNVALAEGRKWILSHGSATAIPQWGKIWLSIIGIYDWSGNNPIIPELWLVPHYLPIHPDEFKQHLPRFDDYFWLSEDGMKAQVLNPSESFRNIVVDYPSVECTSSVIDALMMFKDQHPLYRNNEIDGCVQKAALFIESKQQKDGSWYGTWGICFTYGTMFGIKGLTIAGRTYDTSSSIRRACKFLLTNQQPTGGWGESYISSENEVYVDSGRPHAVNTAWSMLALIYSGQVERDPIPLHRAAKLLINMQQDTGDFPQQVGDSVRLRSFPIVFGHITMANTTEPAALTDRRQKPVIETALRLTLELLRLRPEGTSSDQWLASIYGLAHVMSEVAVVAGGSADAAPRGAPPVGNPFFPLSRGRAKRFANLYFQLPKVSDARVVNAFTVGTTNRWVAEKMMFSLGPTTVTWIFEIANKCANKELGEDSSSEPSRQERSPPRLKSKSLQDAPEEDSPEHQD >cds.KYUSt_chr4.6491 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38254999:38258714:-1 gene:KYUSg_chr4.6491 transcript:KYUSt_chr4.6491 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPRPAPATEEARDHEEEILLRLPPDDPGCLFRASLVCKPWRNLLTSPAFGRRYREFHRTPPLLGFFVNHDSVCVWFEHSTPTSPFLPMHPDDHRTFVVLDSRHGLVLLRAHASKTDEPAGSLIVWDPVGRRQWEFPPPEFANTIIDADAAVLCVADGCDHLGCHGGRFLVAFVGTDYSVSHASVFSSETRAWSPVASCHPPEPNLEMAGYQPKALVGNVLYFNCVLGSIILRFDYISLELSMIHGPSIDKPDPESPNSVLMKTEQGVLGCAIMQESSLCLWSMDTVPDGSVAWTPGRVIKLGMSFSSDPINVAGFADGFGVFYLRTYSGIFTVDLKSGGVKKILPVRSFSAIPYMSFYTPDQARAIRLPSTMASSSENAEAAQDENYDPLRTHSSGQVSSEEGKCEEGVGWEEVGDGEKEGDEKHGAQHEVEIAQGFFNEGSKVLEDGDFDYAVCCLRRSLEISHRNIHKCIGFEFPKSGDAKGISLWKSRIQNLKKANEALLAHKGDDASATEICLEESSLAKDIQFVTNILLSALEKKQSGLLLVPERTLRLQAKASNELNVEQIYAGPSPKKFAQDSPSAE >cds.KYUSt_chr2.52510 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327619701:327623480:1 gene:KYUSg_chr2.52510 transcript:KYUSt_chr2.52510 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVVPAMQCQMGALGKSAVRARAGGRVWGVRRAARGTAGFKVLALGPETTGVVQRMNQLLDMDTTPFTDKIIAEYIWVGGSGIDIRSKSRTISKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNIIVMCDTYTPQGEPIPTNKRARAAQIFSDPKVSSQVPWFGIEQEYTLMQRDVNWPLGWPVGGYPGPQGPYYCAVGSDKSFGRDISDAHYKACLYAGIEISGTNGEVMPGQWEYQVGPSVGIDAGDHIWASRYLLERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHDLHISEYGEGNERRLTGLHETASISDFSWGVANRGCSIRVGRDTEAKGKGYLEDRRPASNMDPYTVTALLAETTILWEPTLEAEALAAKKLAMNV >cds.KYUSt_chr1.26009 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156468994:156471146:-1 gene:KYUSg_chr1.26009 transcript:KYUSt_chr1.26009 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGTGEEMNVPSEAARILEALAAIRCASRFCSRLIARRARSCESSTISMPLTGDGVRHRYAIDLSASAPSDDACDLRIMIVSPASSYIVFIAASPLDLEKLFYFWIFDIFNRYCT >cds.KYUSt_chr1.2309 pep primary_assembly:MPB_Lper_Kyuss_1697:1:13552287:13552963:-1 gene:KYUSg_chr1.2309 transcript:KYUSt_chr1.2309 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKDKYEEKDKHEEKDRGMFSNMMHGGGYGYPPQGYGYPPQGYPPPGVPYPAYPPPVAYPPQYGYPPSGGYPHSGYSHGYGSSHGGGHMGPWLAGGAGLAAGAYGAHNLSHGGHGGYGGHMGYGGYGGHGHGRHGHHGYGHYHGGHGYGHHGHHHHHHGKHGHGKFKRWKC >cds.KYUSt_chr4.39726 pep primary_assembly:MPB_Lper_Kyuss_1697:4:245366318:245368032:-1 gene:KYUSg_chr4.39726 transcript:KYUSt_chr4.39726 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRSATVRTACAAPRDSLAGSRNPPRLQPDDDEFLEEDIVLCCPIKLSRIGGCRSCSAGYIKINHPINKEHNGGLEDYDADKIGNGDDHWAYNFPRLVDFITFDAERDCATVCAVEKSFPQSSDGDESVEDFWIRQAL >cds.KYUSt_chr4.8523 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51151447:51151710:1 gene:KYUSg_chr4.8523 transcript:KYUSt_chr4.8523 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARCDDDDIREEAAGMQRGAIFTISEARRRRVRAKAASVQRGAILSTSDSRDNDGMAGLLACSGAIPSTPASRDDDVQHRRCCSS >cds.KYUSt_chr4.38226 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235707041:235709097:-1 gene:KYUSg_chr4.38226 transcript:KYUSt_chr4.38226 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADYDRAYRPYTAPPPSSAAVADYDRPYRNEIVPYGDRRLDLVVKPPPARSPPPPLPVTKSGGGGGGIGSAWCFSDPEVKRRRRVASYKAYSVEGKVKASFRRGFRWIKDKCTGFIHGWGTDYLYKSSDRWRQLDNIT >cds.KYUSt_chr5.19165 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124005082:124007583:1 gene:KYUSg_chr5.19165 transcript:KYUSt_chr5.19165 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIDVLDNDDLRDILRRLSAADLLRAALACHRWRRVASRCCPRAAPLLGYFFHPTKDSDRTCSDAVFNPLDASVPRLSLDFAPDASNFQLYDSHQGLLLLEPAVNLPKGILPRFLVLDPATRRRVLLPPPPRDTVPDDRIWCTSRYYIGSALLSRAHPSKLSFEAICFAIDDGHPRAWVASVDDGDCRWRALPRDEDIYWHICNSWRVLVLDPSTLKFSYLRAPDALGCAKYRVGETPEDGRLCIVTDAESQLQLWVRGQTRWSDRGWLLERKIVDLRVLCDMMPGLPNHPMFRTLSVWPSDMDAARSGKVFIRTMGYGRFSFHLDTGKLERLSTETGMDYGHPIFAYFLAWPPAFLAPDQY >cds.KYUSt_chr2.12810 pep primary_assembly:MPB_Lper_Kyuss_1697:2:81154464:81157302:-1 gene:KYUSg_chr2.12810 transcript:KYUSt_chr2.12810 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHAAFAAEDAVTAVPPPAQAGRHFSSFPPRRARDCRKATLGRMDLAASGVLRGGSLLDSMKASSPRHAKFAAGADHEDWMEKHPSALEWIEAVVAAAKGKQIVMFLDYDGTLSPIVEDPDSAVMTEEMRDAVRSVAQHFPTAIVSGRSRDKVLNFVKLEELYYAGSHGMDIKGPTTVSNHKAKADEVLCQPATEFLPIIQEVYETLTAKMEAIPGAMVENNKFCLSVHFRCVDEEEWDALGKEVRAVLEGYPDLRLTKGRKVLEIRPSIKWDKGNALEFLLESLGYAGRGDVFPIYIGDDRTDEDAFKVLRNMGQGIGILVTKFAKETTASYSLREPAEVKDFLGKLVMSTGTKG >cds.KYUSt_contig_1358.53 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:374712:378574:1 gene:KYUSg_contig_1358.53 transcript:KYUSt_contig_1358.53 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRRLFNWRMPSGLVEISGNILVFDHCFSTDLFEEDELKPYIGGILKQLLARYSIDSFMVFNFEGSKKNNQTARIFSGYDMSAMGYPRNYEGCPLLTLEMIHHFLRSSESWLSLSQDNFLLIHSEHGGWPVLAFALAALLVYLRRCGDERKAIEMVHKHAPPEVVELYSPLDPAPSQLRYLKYVSRRHISPELWPPADKMLNLNCVIIRKEDNEIIKVNVRCPVRGDIVMECITVDEDFNHEVMVFRVMFNTAFIEDNLLLLDRNQIDILWDTQHRFPVDFRVEVIFSEIDTTTSIHTSESLSDQKESFSNLDAEISHVDLSSKNNHTTDGASDHKGLNNVHDGFDVVSLQETEISNTTAEQGILDSKSVQFFQKEPVNVHSSAPKFDNDKDAVADTLSLPEAEPFGPFSQELELSEHESAPKFDGDKDVVADILSLPEAESNGTSSQDREAFEDGPAMEKLEGDTIKTTPNYDTPSVDPSGSEAGAATAEWSDTNTDTFLSGTPSSSAPSSPPRFDEDTMEAETAEAQPQSTELQI >cds.KYUSt_chr3.46083 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290129982:290133047:-1 gene:KYUSg_chr3.46083 transcript:KYUSt_chr3.46083 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPRWLAGRQLAEAGDGDGFGRRFLLEGIAEVMFCHSVWESSGEIPRSAVIGRWRRSCVVFPLEGIDLELLRSEGPVEDGWCWRRGLSGNDDNETTPMQVVSYTFLCVLVATILLKLKHRRSAGGLNLPPGPWALPVIGHMHHLVGALPHQAMQRLAQLHGPVMLLRLGHVPTLVISSPEAAREVMKVHDAVFANRPMYVAADVFTYGGADISFARGLHWKPLRKLCATELLSPRSVRSFRSVREEEAARLARSVAAAGGAVVNVGEMAKVMMNDVIMRVSVGDRCAQRAAYLEELDRVLDLMSGFNLTDLFPTSRLAKALGGRALKATWEVHHRIHAIMEAMISDHKVAMESEGDNADAGGDQRGDILTILLRFQRNGGMGGGVTLTNANISGVLFDLFAAGSETTATTTTWAMSELMRNPRIMAKAQSEVRGVLQGKTEVTEADIDGRLPYLQMVIKETFRLHAPVPLLMPRLCNEPAKVMGYDVPAGTTVFVNVWAIGKGDESWTDAGEFRPERFETDMVDYAGTDFRFIPGGAGRKMCPGMMFGVSNVEIALASLLYHFDWKIPGGGNPEKLDMTEAYGITARRKTELLLEAIPFVP >cds.KYUSt_chr6.2623 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15337574:15337985:-1 gene:KYUSg_chr6.2623 transcript:KYUSt_chr6.2623 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIYSCPITPSVDHLRLRRKSPAAAKSEHCSQPREPLQNATIKSRGWSAMAAIETPNPIKDTQQLPSGKALWRTAGGLGGMRLWVRPYPPAAVEWLRERRQIEGLCWLALLP >cds.KYUSt_chr4.14473 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89355751:89358997:-1 gene:KYUSg_chr4.14473 transcript:KYUSt_chr4.14473 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFLAALSFLAALSFLLLAAAATATATAAGAREDPIYSGELDWSSQPNFKLQNFSLSREDAFQLLSRPADATRRKLRERTGVRKKMEVVQQDDEALVKLENAGIERSKAVDSAVLGKYSLWRRENENDKADSNVRLMRDQMIMARIYTVLAKSRDKLDLYQELLSRIKESQRSLGEATADAELPRSASERAKAMGQVLSKARDQLYDCKQITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLEDPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDAAIHVENVDDFKWLNSSYCPVLKQLESAAMKEYYFKADRQKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQRDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNIAQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHRWQTMNENRLLWKLGTLPPGLMTFYKLTHPLDKSWHVLGLGYNPTVEHSEIDSAAVIHYNGNMKPWLEIAMTKYRPYWTKYINYEHSFVRGCKISQ >cds.KYUSt_contig_973.275 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:2417366:2418157:-1 gene:KYUSg_contig_973.275 transcript:KYUSt_contig_973.275 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGASNNGFPRQSLHTWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPQGHALDVAIEEARMAMTEEERADLRHHPENYTRWNSFFLRRWECELASYDGPPPPPPRNNAAGRRRWWSAPDRTLHNVLEHIEGGNSPRLTMPPPSRASTSRQWGNSWQARGMAASSSSSGSAARSISRSAPSLAPVKKEPDSPPRHRTRGGGIVIREPSTAQGRRRPKRDHDTSGERKRKPAKVKVEEADTAEDAAILEAVIAR >cds.KYUSt_chr2.44461 pep primary_assembly:MPB_Lper_Kyuss_1697:2:276634211:276638216:1 gene:KYUSg_chr2.44461 transcript:KYUSt_chr2.44461 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDQPLTDYEKERLSRIRENEARLQALGIRRLAASPLLNQPSSAAAAAAKRKQKKRSDDVDEEYLPSDESGGEEEAHGESSSASDEYDGKETKASSRSRQKGKKKKLLNSGSSSKSTFREEGVPVTDFMDEDAALQQAIALSLAEPSQNSVTAIGAETSSAGVKGRKGTPCENKNNTPIQDSAKNRKTKKQVRSRIQLSEDDVVAFFFSFDEARKGHITPWDLERMANVNDFIWTDSEISKMIQCFDSDRDGKINLEDFRAIVSRCNMLQEPGQ >cds.KYUSt_chr6.25074 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158873823:158880134:-1 gene:KYUSg_chr6.25074 transcript:KYUSt_chr6.25074 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAPPAAGVDLSPAATDLGRVHLLPCGIRHNSAAAVSDYFKPRDTGVEVDGIRVEEAFFRGRKLQGATVALPDGYRGYVLEKKNGEKDTQGMDGDVSSFVSRAEFQNITYWNHDTMPSAEDPLPRCFHWLTIANAVSTFLPPDLMSFDHVSHSKMHKPVTAEDMANMSTMQNRNS >cds.KYUSt_chr2.38702 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239865567:239866124:1 gene:KYUSg_chr2.38702 transcript:KYUSt_chr2.38702 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLIPLLVVLLAGSHAALASSTVEQTCANATKGSERRDLDTFCVTTLQAAPGSATADAHGLAVIATNLTLANYTAAVATIKGLQRRGGWTDGQLAALATCRQRYIEALNVVHSAVHALATGRKQAYVADMGAVRRAATDCDDAFAGARGAAAADKEDESPLGKVNADAVDLTTVAMLIVISL >cds.KYUSt_chr6.27818 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176493210:176493671:1 gene:KYUSg_chr6.27818 transcript:KYUSt_chr6.27818 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVDSGGMQVKWGTRGSEYAVRVRWRKRRRHFRRERWSCHSPAARSDGDSGKSKRRVVLLELLTGMRPVPVLSTSKELVPWALEMKSEGKQVEVLDPALRGIGCEEQMLKVLEAACRCVNHNQFMRPTIMEVVSCLASIDADPQLQRSDKIQ >cds.KYUSt_chr3.25158 pep primary_assembly:MPB_Lper_Kyuss_1697:3:156231336:156232874:-1 gene:KYUSg_chr3.25158 transcript:KYUSt_chr3.25158 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGTFPFQWPMDPASSTGLDASFLPSLLPPPPAPAAGSDDSVAYYGAPQMHAASLPDLAPHFPSRDAAAELAMRRAEEEVAGIRLVHLLMSCAGAIEAGDHALASAQLADANASLAALSTASGIGRVAVHFTDALSRRLFFSPTSAPQPPAGTDAAFLYHHFYEACPYLKFAHFTANQAILEAFHGCDAVHVVDFSIMQGLQWPALIQALALRPGGPPFLRITGIGPPSPPGRDDLRDVGLRLADLARSVRVRFSFRGVAANTLDEVQPWMLQVAPGEAVAVNSVLQLHRLLADPDDHNHHTHHAPIDAVLDCVASLHPKILTVVEQEADHNKPGFLDRFTEALFYYSAVFDSLDASGTASPMAEAYLQREICDIVCNDGGARAERHEPLSRWRDRLARAGLSAVPLGPSALRQARMLVGLSSGEGHSVEEADGCLTLGWHGRPLFSASAWQASPAVAVDAEEDNPHNSNTSNVSSSGGDGGGDSNNSAGSSSNGKSRSSGVVGAAGNMFL >cds.KYUSt_chr5.4197 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26838998:26841958:1 gene:KYUSg_chr5.4197 transcript:KYUSt_chr5.4197 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRPRDRVLPPAAPDTNTSRDPPVARSRPTSTSPAFALSPTFSPDPPSGDLHNAAFALPWTIPTSFLSRCSRLSQLPKLTTFLCYLTTPVRRPTPLTIPISPSTTSILCSNLASPPVAVEVKLLHARMPNGENEVKCGIEKNLKGKDMDEINWIG >cds.KYUSt_chr7.18382 pep primary_assembly:MPB_Lper_Kyuss_1697:7:113863630:113865412:1 gene:KYUSg_chr7.18382 transcript:KYUSt_chr7.18382 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASEQIDLDVIRDIDLNKLEPWDIQEKCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNAVKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLEDPSSAAGAGADATATAMVVTAAASSDTGGEDGWVVCRVFKKKHHHKEAGGGSGKRGGGRDEGGKAARSSSPLYSSDDALDQILHYMGRSCKQEHELSSPRPRPAASRYLRPIETVLGGQGFMKLPPLESPSSAATPRTSTTTPLGLGLDSGNRELSACADELHFPQRDSGITDWAMMDRLVASHLNGQHDASTDQLCFDGASDGNAGDNDVLDNAAVDGLAFYSAAATRLLGGTATGGAGSSDDDLWTFARSAASSERLSHVSR >cds.KYUSt_chr3.35133 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220473486:220475815:-1 gene:KYUSg_chr3.35133 transcript:KYUSt_chr3.35133 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLLEVLLVDVKALAGNDFLGKLDPYVVVQYRSQERKSSTARDEGRDASWNEKFKFQINSTSANAQHKLIFRIMDHDNMSSDDFLGQATINVADLISIGMEKGRSEMIPAKYRVVTADNSYHGEIKVAVTFTAKKIWILRPRRSSMRRVGSVVVGVSATSSELEVEEERHAAWSLVLEAEEEDLKAAWLSELEAEEELDAEGEDAAALGPCSSREDAALEKEERRRRGEGEERIKE >cds.KYUSt_chr1.39640 pep primary_assembly:MPB_Lper_Kyuss_1697:1:242573175:242575983:1 gene:KYUSg_chr1.39640 transcript:KYUSt_chr1.39640 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMVLGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENVAKHWKSNEAEAVETAKEWTRVYASGA >cds.KYUSt_chr2.39186 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242887799:242889174:1 gene:KYUSg_chr2.39186 transcript:KYUSt_chr2.39186 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILEIAKLTKQSMEPRQGKHTVRATYNFTFLQGNTMEEYTKKPQTRRRRDPAVRCAVRCTIELQAYLHRTGKGRAGATVPLSSSRVLELQAAAPGKGRAPAADAKAVKLQPCAALEDAPSSFRAPCVTSSLPRELDHCGLGAHVLVQDFAHLGNYALDGTLPIFTGYRV >cds.KYUSt_chr7.19481 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120825604:120827335:1 gene:KYUSg_chr7.19481 transcript:KYUSt_chr7.19481 gene_biotype:protein_coding transcript_biotype:protein_coding MANATNHVDAVSDQAALSVRLLARLRKNKNLAFSPLSFHAMLSLLAAGASAAARDQIVSFLGPAGAEAHAALASEENLIRPGSYGAKAGPTPSTATQRSWSSRRSGTTRSYKIFFRSKVASSVLAAAETRYATSVWVEASLHLSPAFAAAAAATYKAEVRPAAFKDRPKKATAEINQWVARNTGGLVKDILSRAKPLDSSTRLVLANTVRFRGNWQDAFSPDLTAEGTFYIDADPGHAVRVPFMTGSSDHELLRIGVHPGFKVLCMPYRPRSSSSFAMYIYLPDARDGLRGLVRALGANPAKLLHHSVVPVEGFTVGKLKIPKFEVSVRMEARPILEGLGLDLPFLSSAEPFSEMLGPPAPPVALASMVHQCFLSVDEKGTVAAAATVADDEGCDWSDDPRVNFVADHPFLFFLMEEFTGVVLFAGQVVNPLLH >cds.KYUSt_chr1.38466 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235157122:235157784:1 gene:KYUSg_chr1.38466 transcript:KYUSt_chr1.38466 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSITAIAVAFFLVAAGATEARVPQHNGANTAMYIFSQGRKVSAGTTVSVPQHKGVNTDEYILARKLAGTVTPTQACDQLDGNKKVCYTIAKLAGVTTPRTLLETAVRVALGRARALKATFDTAKATAKTGNPMASILGSCDKNYDDLVGALEEVTRSLQKGNTGDLVSKMTAASTYATDCDNWYSERSLTSPYEAVQRHTAQAVSVALGVAATSKNL >cds.KYUSt_chr7.26130 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163125052:163127826:1 gene:KYUSg_chr7.26130 transcript:KYUSt_chr7.26130 gene_biotype:protein_coding transcript_biotype:protein_coding MAARASTLLLLLALAVSAAGDQGADDGAAGNATVRLDRRTKMFVHTARDANDGAAWAEQDAELGLFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYMFFGLRLLYIAWRSDSTASQEIEEVQEKLEAGQGKSTFRRIFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAVGVAVGATLGHTICTSFAVVGGSMLASRISQGTVATIGGLLFLGFSVSSYFYPPL >cds.KYUSt_chr7.6832 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41226481:41227236:-1 gene:KYUSg_chr7.6832 transcript:KYUSt_chr7.6832 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQQILNYLFNAVNEKSCGHGKSMTKSAPEPNSSEIKLPYKTLKKIVVPALPVIASAPGCLSGKSTPGRIEDGGHKDGPSEPSTSDQVTSLPKSLNLVPHKQVILLEKAPGSLAPRKGNGIELGDSIIGTSSRSSNDDHVPHPGPLKPRIEPWSPSSSNPSGKPDDIEQTDENAYLLCVKVIRQLECEGHVDVHFRLKFLTWLSLQATQREKEIVSVFVETFTDDLTSLASQLRDTFSEAIYSKKPPMAP >cds.KYUSt_chr3.33664 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211366653:211374588:1 gene:KYUSg_chr3.33664 transcript:KYUSt_chr3.33664 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYEKQQQQPQMSAPYYAYPAPQQPAYYAPPPPPPAPRRSGPSCFLCFIFKIIAIAIIALGALTIVLWLILRPRAVRATAVSATLSRFDLADAPSGGGRDGQLLQYNLTVDIRVRNPNRHGIHYDYAEAQASYDGERFGYDPVDPFYLERKGERTVTAAFGGSSLVDDGGALRSYRREKSDGFYSVKVRLYADLSFKVRVFNARRKSKITCALRLPVPNTSATPVTTQLGTRLARDIGYQTNGERDLPKFRALDEASYDGERFGYDPVDPFYLERKGERTVTAAFGGSSLVNDGGALRTYRREKSDGFYYVKVRLHADLGFKVRVFNARRKSKIICTLRLPVPNTSATPVTTQLGTRLARDIGYQTNGERDLPKFRALDEASYDGERFGYDPVDPFYLERKGERTVTAAFGGSSLVNDGGALRTYRREKSDGFYYVKVRLHADLGFKVRVFNARRKSKIICTLRLPVPNTSATPVTTQLGTRCSVDF >cds.KYUSt_chr5.36663 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231795885:231798124:1 gene:KYUSg_chr5.36663 transcript:KYUSt_chr5.36663 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPGAARRNKAKDALISAARLILPLFQTKAYSNHLSGRIPEWLGSLSQDSSLEDRSFFFSFEYVGPQVTRGASRPPPGCPTSCGGVSVPYPFDIGDGCHWPGFNLTCDRTRGRLLIGTLEVVEISLANSTVRVKDSAGAVNITYHGTPDGNGTWGGLTAAGPFVVSETRNSTGRCLSVGIGIGSGAGLLLLVLGAIFVTRKIKHQRAKMLKQKFFKQNRGHLLEQLVSQKADIAERMIIPLVELEKATNNFDKAREIGGGGHGTVYKGIMSDDLHVVAIKKSKVAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFIPNGTLYHHLHIEDPRASLPWMDRLRIATETARALAYLHSAVSVPIVHRDIKSQNILLDGTLVAKVSDFGASRCIPIDQTGDATAIQGTFGYLDPVYYYTGRLTEKSDVYSFGVLLMELLTRKKPCSYRSSDEESLVSYFTTLLAAGDLARVLDPQVVEEGGKDVEEVAMLAAACVRMEGDHRPTMRQVEMTLESLHVPHGNIVTCDMDAPNYAVIKGTNREEVSRQYSLEEEYLLSSRYPR >cds.KYUSt_chr2.3086 pep primary_assembly:MPB_Lper_Kyuss_1697:2:18162596:18162952:1 gene:KYUSg_chr2.3086 transcript:KYUSt_chr2.3086 gene_biotype:protein_coding transcript_biotype:protein_coding MQIASPDAHYVPKSPPPPPPSPPPDPAPAAAKKQKPLTYTQSGAAHAVTLTTVSAPSSRDGDRYHDDGGGQVLDGIILVMRASAALSAFVAMSLVASCRHGDWMDFLRYQEYRCVHHN >cds.KYUSt_chr1.4447 pep primary_assembly:MPB_Lper_Kyuss_1697:1:27372314:27373538:-1 gene:KYUSg_chr1.4447 transcript:KYUSt_chr1.4447 gene_biotype:protein_coding transcript_biotype:protein_coding MTELSHIQELVKQLDVQLGGCPDLCKHLAAQIVTVTEKSIGMIMSGHFHGPKRSATDAGIDSPAFPATPSPTGGVSGMPKKRKMMEKGDRRVRVSSAAGGADAREDDGFSWRKYGQKEILGAQHPRAYYRCTHRKTQGCAAIKQVQRADEDPTLYDVTYHGTHTCLHKTAAAAKVQPATPNPDAGSLLRSLSSSLTVNTEGLTPGPQQSTPFSFSSPSVSGLTAPPEHYTFSTPSMSENCFGQGVSLSPSLPELSPATSDWSYIPFEEDSSIVSALVSGTSIPETAFSLDELFDPNFDVSFFLAEM >cds.KYUSt_chr5.40630 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256439726:256440539:-1 gene:KYUSg_chr5.40630 transcript:KYUSt_chr5.40630 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLRRALSLAPVPPPGLPAFFSSATTGVAPLRSPFDDRLLRLLRSKMSYISDRRPPYRVPPHSPSSFNPSSRSPWRTAARPPRGQEDQDRRHATLFDGAAEPGPDAYLFHRVEALEQGPRLHLSLIVEVARADRVLGFICSAWPDDLAVRHVLTLRGAGDRGGRDFAKLEPAEREAVKKFLQEREVEAELAEFLHEYVANKETMEMRRWLKTVESFVEK >cds.KYUSt_chr2.38585 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239194602:239195435:1 gene:KYUSg_chr2.38585 transcript:KYUSt_chr2.38585 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPGDDDGSVLPSARTPTTVVDGDVVFSCVALLFLALVLVFVLYHYFIVHRPAGVRVAAGTASSGPRLGAGAAAAASAPGAAGGKGVVPVVLRALPVTLYRAKDFPEEELECAVCLADLSDGEAARFLPKCGHGFHAECVDLWLRSHPTCPLCRVDVDKPDALPPALPPVRPERANYGTNLPTNVLFRGSQDAVTTRGTAGGPRSSGGVTAIVIDVPETTSSAVVPRDGDATKSQGLARLRSIGRRWSSRGRCEVGASSSAGSCHRVTAGARTQD >cds.KYUSt_chr6.32713 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206062003:206068366:-1 gene:KYUSg_chr6.32713 transcript:KYUSt_chr6.32713 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKLETCNTRGRGCWRLRRLPLVAHGTKLSKVNYKKEWWDILEWDMDRLEEHHHPFLYKSGHSLHYKAQLLRVIKADTIDAAIERILSELGADTRRSSNRENAIYFDGWNGLGASAVLQAVAKRLAVSSDLSMRPAGLEFQKIIHIDCSKWESRRAMQRKIAEQLKLTDSVMAIFDKQDEEDDFNGLDQVFRAEIAQISTEIYQTMQNCRFLVILHNGGNEEIDIFNPGLSLYEYANSKMLWTFRGRFRLDSKVIYNVKNSTTTHVLLSASRDKRDLKEFWSYLVRHEAAQVSWNKHGHAIIDSEIAAKCVSYMLKQSWIGSHIIDYHWDIHASNYWICDGIITLAGIDQAWKVGNALQHELSAGSSAEVMPYWMSTTTCGFVLSPSGAILDNMFQHSHRLSVLKISRCAFSFSSPPFLCCHSLRFLCLEHCQDLQTRTSTTDRYQSDADKQEKELDKSPIMSWQCFQSLWVLDVRYTDWDQILSTEVVDLMTQLRELNVIGAKNWDMSHLRGRVRNIRKLRVSKSTCFFKHDVFLEIENIELLEFSGNTVSRGMTSLSGPASNSSLKTITIDGCDGLKIISFKDCKELENLLLKGSMWDLEELDLSGTKLKTLDLRGVESTLPGRIILLGCERLCAIFWPKSVIKEEKERYVLRIDTTSTSTSTGGEESTHAHPQGDQSIQQQKEKLFKDGWQISSTDARFLRSLSPVRSYFRNAHLHIDIYSSAILGGRNIQRTSSDKLPHIMDSEYRDVAKDGHVEVISTLWQDSRRTCIIKVMMNRQGNKLLEDAPSASTSALLFPDFICEEATSLHIYDNSSITSIPVHQEESGWTNLMWCRVERCPKLHTVFTIPRGSHVEVQLRKIWGRPINNTRTTAPRLRFLHLDHCPRLVHVLPFSRGNILASCALHTLEILEIVYCSDLKEVFPLDLELHAQDDMVIKFPSLRCIHLHELPTLQRICGRRMYAPNLEIIKIRGCWSLKRLPAVESNTKPPKVDCEKEWWDNLEWDGLEEHHHPSLYESSHSLYYKTQLPRASLLR >cds.KYUSt_chr5.4794 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30480359:30481669:-1 gene:KYUSg_chr5.4794 transcript:KYUSt_chr5.4794 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNHISGQSKSKNFFALLCGSTAIHALDADQKNPIRRLSLHPISDETDILSLPKAEALAVLRTLVVFHTGSLAIVSQLSNYELLRVLDLKKCAVLTNEHVKKICDLLLLKYLSLGEGIDEIPREIAKLEWLQTLDMMRTQTVYVPVEVMELPRLKHLLGKFQLFKCDITKKKLKELLSTTSNLERFSGFFIDDSKEFERLMSRMVKLSKVKIWCDEKNWTDGDSLSTAIKTFSKKSHNLSLDGQKKGSLSIDCKVCPKKILESLSESSRLTSLKLHGDLTPFLGFTVNPSMQEISVAGIEELCLSGTNLRGDEILRVVKLFSALTYLKLVEDDLGPLEIPKGCLHSLKRLCLVGVHILRKITIQSGALLCLVSLHMLGKQENPSATEITSLKHLKEIGLHSGVAQDIKEDWQSQASQHENKPKLVFIQSPEHSAA >cds.KYUSt_chr4.33573 pep primary_assembly:MPB_Lper_Kyuss_1697:4:205921692:205922956:1 gene:KYUSg_chr4.33573 transcript:KYUSt_chr4.33573 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGGEAGTIPLLTPYKLGPHLDLSHRIVLAPLTRQRSPGNVPQPHAAVYYAQRATAGGLLITEATGVSDTAQGHRPTPGVWTTEQVEAWKPIVNAVHQKGAVFFCQLWHVGRVVGALRPDGTTAAAPPPVSSTDRWIATPRMNDGVEEEFAAPRRLSVEEIPGIVDDFRRAARNAIDAGTSKHQARTSSSARRSYFTTLYSVQGSTFDGVEIHGAHGYLVEQFLKDSANDRNDDYGGTLENRCRFALEVVAAVAKEIGGHRVGVRLSPFADYMDCHDSNPHALALHMSTKLNDHSIIYLHMVEPRMARTDGRRVVPKRLRPYREVFEGTFIAAGGYDREEGNKVIGEGYADVVAFGRLFLANPDLPKRFELAAELNGYDRATFYTPDPVIGYTDYPFLA >cds.KYUSt_chr4.6381 pep primary_assembly:MPB_Lper_Kyuss_1697:4:37529666:37531030:1 gene:KYUSg_chr4.6381 transcript:KYUSt_chr4.6381 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGTAGGAGVRRSAAASRAVLGEETMGTLLAIKAGRGIARATAMVIALVVDAGHPARRRSCCGGLRNSRSQPLRQAALLPCLLLAPLLSLPGALACTTSPTVVAHAVVEPLLELLLAPAVDATPATPPELGMPRSLGMALPHPVLAPSLDATADAARVTRAWELLAAPLPRHEVGLARGRARVRSTSPRATRRRSRAARTPPATPDPPSSPTAVCPSSPSSKGSCPPFSIMAHEQGGSAVRICLTPFSASSTSKSAMRALQLIAPSSSTGSRPPGFEASPTPPLLLEGPLVRTPPRLQVSRTTEVLGPLFRLAEPALLSPPMSSPPIRPVARRKTLAGVGVARTMGFSIRRNSDRLKARRKAAPIAAAAETAVCKGLGIIKDGQEVTEAAMVEFARRFKGQVSEDVLAAMRALFKVGTPEEEQLDDALLLGGGAAGLDQDLSLIDGGSTAAV >cds.KYUSt_chr2.30968 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190932052:190933392:-1 gene:KYUSg_chr2.30968 transcript:KYUSt_chr2.30968 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGTCHGCENNGSGEHMKHPKDASEYTGGHVRDTCFLPAPGLPPMEYPVGDEDELHRAEEARLRAELTAYEDASFFEKFKGPHPGPFLVREPLVETEEMRQLWLALEGP >cds.KYUSt_chr7.35290 pep primary_assembly:MPB_Lper_Kyuss_1697:7:220500064:220500810:1 gene:KYUSg_chr7.35290 transcript:KYUSt_chr7.35290 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGPVQENLMLEPNKPRVLLAASGSVAAIKFESLCRIFSEWAEVRAVATKSALHFVDRSSLPSDVILYTDDDEWSSWKKIGDEVLHIELRKWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYKKPLFVAPAMNTFMWSNPFTGRHIEIINQLGISLVPPITKRLACGDYGNGAMAEPSQIHTTVRLACKAQTFGTGSPCVMPSSSNPA >cds.KYUSt_chr4.26081 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163980439:163982786:1 gene:KYUSg_chr4.26081 transcript:KYUSt_chr4.26081 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPEGGVGGLCAGRGGLVGCRGGGPESLIVLRTSTLCREGDKVILAQFSESKMYPDLDEQASITTQTQAVVVLGVAPGNKAGQFEMAAGESGEGCSCGANCKCNPCNC >cds.KYUSt_chr5.6431 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39774032:39777388:1 gene:KYUSg_chr5.6431 transcript:KYUSt_chr5.6431 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKKTWQLLMLHLLTHILLFLTSSSQPTNNETSNNGDLSVLLSFKSFIASDPTQALSSWYWDRAGNGTSKKVPDFCKWMGVTCSDRRHPGRVSAIRLQGLGLVGTICPQLGNLTRLRVLNLSANILEGEIPANIGHCAALHAVDLRENHLSGSMPASLGLLSKLSFLNINHNNLTGDIPMSFSNLTSLTNLSLWNNHLHGQLPSWLGNLTSLTHLELSQNGFTGHIPPDLGKMANLVRFDVMGNKLEGLFPLSMFNISSITDFNIGLNQLSGSLPLDIGFKLPKLNVFATFLNQFEGPIPASLSNASALTYLLLGGNRYHGPIPRDIGVHGHLKLFSLGNNVLQTKEPRDWDFLTSLTNCSNLRILDLEQNNLEGFMPVSIVNFSTELNWIKLGRNKIAGTIPAGLGKFQNLTTLALQHSLFAGTLPLDIGQIPSLQYLDLSHSRFDGHIPQSLGNITQLSSLLLSNNFLDGKIPPSLGNLTKLTSLDLSGNSLKGKIPQEILSIPSLTVLVNLSNNALSGSIPTQIGNLKSIGIIDLSKNKLSGEIPDALSSCVQLNLLYLQRNILQGQIPKGLSDLRGLVKLDLSDNNLSGPIPEFLEDLEFLTFLNLSTNNLYGHVPNTGIFCNASALSLTGNSMLCGGPPFLQLPSCPSIGSHQASQHRRYVILFCTLGTLIFFMCSVTACYLVKTRTKPNNVDQEVGFHNEKHERISYAEIHAATESFSPANLIGSGSFGDVYTGTLYLDESLSTVAIKVLNLGKRGANRSFLRECEALRKIRHRNLVKVITVCSSSDRNGDEFKALVLEYICNGNLDEWLHPNTTTNSMTFRRLVLMERLCIALDVAEALEYLHHQIEPSIVHCDIKPCNILLDDDIVARVTDFGLAKIMHTEECKPSGGGTESSSLAIKGTIGYVAPEYGSGSEVSTDGDVYSYGVLLLEIFTGRRPTDSFTDGATSLISYVKMAYPNNLLEILDVSATYSGNTQHIIDIFLYPMFKLGLACCEDSPRHRMKMNDVVKELNAIKKACSARMPVHGFQATA >cds.KYUSt_chr1.37184 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227230215:227230535:-1 gene:KYUSg_chr1.37184 transcript:KYUSt_chr1.37184 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGLPNHLGDASKKENDTAVPPPPDPKTGSRFPPMFDAGKSEQHHDDASNKVTAPAGVVVASLRRDFSSVLPPNPTPSEKRRKEPPFRHTAVEKQHCRRRRGRC >cds.KYUSt_chr6.10934 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67731297:67732713:-1 gene:KYUSg_chr6.10934 transcript:KYUSt_chr6.10934 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLSVFFLLLGFAACSCSASHHDPSVVGYSQEDIAVPNRIHDLFGSWSVKHSKIYASPKEKVKRYEVFRQNLKHIVETNRRNGSYWLGLNQFADVAHEEFKASHLGLSIGLAGTNGTRAATTFRYESAVDLPWEVDWRNKGAVTPVKNQGDCGSCWAFTTVAAVEGINQIVTGKLESLSEQELMDCDSTFDHGCGGGLMDFAFAYIMGNQGIHTDEDYPYLMEEGYCKGKQPGSKVVTISGFEDVPENSEVSLLKALAHQPVSVGIAAGSKDFQFYKGGVFEGTCGTELDHALTAVGYGSSDGHDYIIMKNSWGTSWGEQGYLRIKRGTGKPEGVCDIYKIASYPTKSNSTGWGG >cds.KYUSt_chr1.35889 pep primary_assembly:MPB_Lper_Kyuss_1697:1:218745717:218749663:-1 gene:KYUSg_chr1.35889 transcript:KYUSt_chr1.35889 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAALGLRNGSSGSLALAAAAGGRKAGPARGWGWRGGAGDKERLQLLHRALRLVGRRGAGLLLLLAVASAALLCSLFAVVKDDTTSSIIIASNYEVTKAIQNSAYPSTARPVVMSKDQYSASDVNTNQLHLSFENLTNHPCDGFAVPPTLFDKKRTGPRPCPVCYVSVDQAFALMPLQASPSPVLENLNYVSEDSGTANFSNQGSVFGGHVSLDQRTKSFDITSSMSVNCGFVRGKKPGQGTGFDINDDDLLEMEKCRGLVVASAIFGNYDMIQHPRNVSELSKANACFYMFVDEETMAYVKNSSSLYKDNEVGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLQLVVDPYLLLERFLWRKNASFAISRHYSRFDVFEEAEANKAAGKYDNASIDEQIDFYRNEGLTHYSTAKLPITSDVPEGCVIIREHVPISNLFTCLWFNEVDRFTARDQISFSTVRDKIRAKVGWMPQMFLDCERRNFVVQAYHRELLEQMIASRRNAPPVEPSRRLKPGSRKAPPSKKPLVKRKKEKKPGRRRVPKPVTWAMDAV >cds.KYUSt_scaffold_6468.758 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3667414:3669911:1 gene:KYUSg_scaffold_6468.758 transcript:KYUSt_scaffold_6468.758 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERKRVLVVGGSGYLGQHLLAALASAGDVDVAFTHHRDAAPLQLLEALPGVRAFRVDLRSGDGLEAVSASFGQPHVIVNCAAISVPRACETDPAAAMATNVPSSLVNWSLSFGNDKSLLIHLSTDQVYEGVKSFYKEEDETLPVNMYGKSKVAAEKFITEKCSNYAILRSSIIYGPQTISPVDKSLPIQWMNDVLSQGQEVNFFNDEYRCPVYVKDMVDVILSLAKSWLSDGKKIQVLLNIGGPDRVSRLQMAESVANVRGYSHSTIKSVPASSVNRGVASPPDISMDITKLTQMLGIQPITFHDGVRATLDAEASTL >cds.KYUSt_chr5.37656 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237802782:237805723:-1 gene:KYUSg_chr5.37656 transcript:KYUSt_chr5.37656 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYSSFPFPNRPPLHFLLRPGEPPPLATHIRRPNRSAPAGRAPTPSPTFSRRPALHAAGEGPNSFPDLQLADGPCQRRSPLPPPRACRAPFLLLSQWPGSGAPVSPAASSRAAGLLSRGCCFLMAQERTGWPILRGAELARRPGAGGDDHEQVVRLEKKVIGKREKKEDVTFSGHELALVLPTLAADLRPPPPLARASAAGQDSRLPPYELAVPRHRLPRAAPGRMRMVEGPEMVRRRAVDHGSAVRGGLRESGVARGGGRPHRREREMGAGDFELQQGEPATRVEAGPSLRGCPRPAQLARRRCEAALLHWQPLPSLLGNEFPADAPLRRDSAPSLPSSGGRRDPTRR >cds.KYUSt_chr2.11813 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75186016:75187807:-1 gene:KYUSg_chr2.11813 transcript:KYUSt_chr2.11813 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGSRSLPVMPMEKEGSGPRPTRPSGGSPPVAGDLGPSTLEETIGMSSIMHFFMSLVLLVLIVVKEPSVLNGGCSGIALFVGPPAAAAVAGGGITMF >cds.KYUSt_chr5.28048 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177461498:177461977:1 gene:KYUSg_chr5.28048 transcript:KYUSt_chr5.28048 gene_biotype:protein_coding transcript_biotype:protein_coding MNTASSSSTTLGLGCTSYGAGFGQSSGHRRCTVKAAATATASRSTDYYKVLSLDHSANLCEEDVKRAYRRLALQYHPDVCPPSRRAESTELFVELRRAYETLSDPATRIQYDDELRTGTAARRPADGFPKDVWEAQLSVLWARSERRQSAAGSCGGNRF >cds.KYUSt_chr3.19445 pep primary_assembly:MPB_Lper_Kyuss_1697:3:119565916:119567241:-1 gene:KYUSg_chr3.19445 transcript:KYUSt_chr3.19445 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPTYGAMPMPSWEDIQLTPWDLYCLSIKYIQKGILLPRPPAGGEANLVDALASSLSRALGRYYHFSGRLAVDEHGDGTVTIPLRPTGEGAELVHAVAPGVAVADIVGSVVQDFLPLNGVCNADAAIDSSLPVLCAQVTELDDGLFIGMSMNHTVGDGAGFWDFLNAWSAIHRGDEEHMRAPAPVHRRWFVDTSPVPIPVPFSTLQHAVRRLETPPVRLAHGFFTFSAASVKKLKARANDEMLKAGEAATISSLQALVAHLWHAVSRARGLPPGQATSCSLLTGCRGRMRVIPAGYVGNAITLSMTPSCTVGETLDKGLGWTAWQLNRAVGSFDEVKVREWLDRWAREPCFSSPASSSRSRGALLITSSPRFNVFGNDFGWGKPVGLCSGPADKTDGKVSVFEGPEREGSMSLEVSLLPDAMERLVADNEFMEAVAIPRP >cds.KYUSt_chr5.27049 pep primary_assembly:MPB_Lper_Kyuss_1697:5:171259402:171261973:1 gene:KYUSg_chr5.27049 transcript:KYUSt_chr5.27049 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSRIRRRQAQAQAEEAQGAGQDLLMSLPPEMLDNILRRLPFDKLVRTCCLNPAWHRRWESIPNLDIWFSAGSNAVPDARVLWRCAAPVRSFTARVRMPHFYRAARWLQALARKRVEKLVLKFDNPWFSRSAGVLGPALFACRELTHLELCGYCHLPRTPHGFGGFPNLVTLLLSHVAFPFSGGAAQLEHLISSAVDLTELSLNDVKTSHFDDGAPAQRCAIRAPKLRVLKLIMFFDNGCRLSEEFPLLEEAIISIDDLFWTPDYINTFRRIRNAKRLLIETDSIQINENPLQGISWKFQNLKAGHMSANFGKLPSIMSIFSLLRSAPHIEELHIEVEITKRDDENDEDFANGEIDDPDDAIDEEIIKAEISDDLFANLKHVSLDGIKCLPNDIWFMKFVLSKTRLLESFIVTFGYRQISKSYLDACTELAMCQKASPQAKLMVRLRDEPDSI >cds.KYUSt_chr1.10557 pep primary_assembly:MPB_Lper_Kyuss_1697:1:64611872:64612315:-1 gene:KYUSg_chr1.10557 transcript:KYUSt_chr1.10557 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGKSAMEATKEAAANVGASAYSGMEKTRAAVQGQAEKATAHNASDKEAAEVRMRERVRGAEEEKQHAMLANAAAKERASAGTYHQSQGAPGIVPGGGPTGGNVEDGVAESRPVGLATGTGRPSAAHNPHVGSDFPQARGTGGQYQ >cds.KYUSt_chr2.40981 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254643018:254645670:-1 gene:KYUSg_chr2.40981 transcript:KYUSt_chr2.40981 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIAQQPAWAQALAAAGLLVAARSAARLALWLYAAFLRPAKPLRARYGAWAVVTGATDGIGRALALELAAAGLGVVLVGRSPDKLAAVAAEVGARRPGAQTRTFVIDFAADGFAANVAALAESIRGLDVGVLVNNAGLCYPYARYFHEVDEALARDLVRLNVEAVTRVTHAVLSGMVQRKRGAVVNIGSGAATIMPSAPLYTVYAATKAYVDQFSKSLHVEYKNKGIDVQCQAPMYVATKMASIRKASLFAPSPETYARAAVRYIGYEPRCTPHWAHALLWFLFSVVPEPLVDRYLLGTTLSIRDRGHAKEARRKVL >cds.KYUSt_chr3.25393 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157647531:157653963:1 gene:KYUSg_chr3.25393 transcript:KYUSt_chr3.25393 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGNMSGRWRELHGSDRWDGLLDPLDVDLRRLLITYGEMIMATYEAFIAERRSPNAGMCRYRRADLLQRVEVSRPEWYHVTRYIYATASADVHGKVLLRPFCRDGRARECNWMGYVAVATDEGVAALGRRDIVVAWRGTQRALEWVADMKLAFASAAGILGPEGADGSDPSVHRGYLSLYTSSDQCSGLNRQSARMQVLTEIARLMDKYKDEDTSITVVGHSLGATLATLNAVDIAANSYNKPALWSAARSPTPVTAVVFGSPRTGDRDFRDIFHRLPDLRMLRIRNRPDRIPFYPPVGYADIGVEMLIDTRRSPFLKPHGNESQSHDLECHLHGIAGWRGDHGEFKLVVDRDIALVNKFNDCLTDEYPVPVGWKVHHNKNMVKGPEGRWVLEDHEPDYDDGDDSL >cds.KYUSt_chr6.10589 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65579036:65580622:-1 gene:KYUSg_chr6.10589 transcript:KYUSt_chr6.10589 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVVSPSQLHRVLLLSWLLFAAGVLCFLPRHVAAARVGAGSYVTVSAASLAASGTTCDDPAPAIAPRQLINGTSAVLRLAHRHGPCAAPSRSSVQPGPPFAEVLRADQRRAEYIQRRVSGARGSNGNLKLTASSRPATVPATMGYSIGTLQYVVTVILGTPGVPQTVELDTGSDVSWVQCKPCAPPACYSQKDPLFDPAGSSTYSAVPCAADACLELEIFGEGCSSSQCRYVVSYGDGSNTTGVYGSDTLTLSPGNTLSPFLFGCGYAQTGLFAGIDGLLGLGRQSMSLKSQAAGAYGGVFSYCLPPKETSTGYLTLGAPSTASGFATTALLTAWNAPTFYMVMLTGISVGGQAVGGVPTSVFAGGTVVDTGTVVTRLPPTAYAALRSTFRAAMARYGYPSAPPIGILDTCYNFSRYDAVTLPTVALVFGGGATLALEAPGILSSGCLAFAPNAGDGDAAILGNVQQRSVAVRFDGSSVGFMPGAC >cds.KYUSt_chr5.37947 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239742805:239744569:-1 gene:KYUSg_chr5.37947 transcript:KYUSt_chr5.37947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 55 precursor (EC 1.11.1.7) (Atperox P55) (ATP20a) [Source: Projected from Oryza sativa (Os09g0507500)] MERWRRGGCLLAVALAAAMVLASAVPGEAKLSPGYYRSTCPRVESIVRAAVARKVKETFVTVPATLRLFFHDCFVQGCDASVMIASVTNDAEKDAPDNQSLAGDGFDTVVRAKAAVEKACPGVVSCADVLALAARDVVTMSSGPRWTVELGRLDGLVSRASDVTGKLPGPDMQPDTIAAMFADNNLTVDDMVALSGAHTVGFSHCTRFADRLYRSSAVAGPSYYRASYARQLMAACPRDVGPTIAVDMDPVTPTVFDNTYYANLAGGLGLFASDQALHDGAASRPAVEGFASNQTLFFEAFKEAMVKLGRAGVKSGRGGEIRRDCTAFN >cds.KYUSt_chr4.15899 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98344618:98348564:-1 gene:KYUSg_chr4.15899 transcript:KYUSt_chr4.15899 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDISNQASFTRAKKWVQELQAQGNQNTVVALAGNKADLVETRQVQIEEAKTYAQENGLFFMETSAKTATNVNDIFYEIGELTFILFVGRIVSGNMCTTHWFAAPSKL >cds.KYUSt_chr7.38118 pep primary_assembly:MPB_Lper_Kyuss_1697:7:237675641:237676840:-1 gene:KYUSg_chr7.38118 transcript:KYUSt_chr7.38118 gene_biotype:protein_coding transcript_biotype:protein_coding METAESRPWSDLQPEQSRPWSDLQPELLGLILRRLPSLADRVRIRAVCHPWRSNSMFQSLPLPFPWLALPDGTFLSIPSGEIHRISVPEGACCQGSIDNWLFLMHNGEVCSLMHPFSKTSLELPNLAKVWKSKILYDPDYEFKPIFYKLVVPSSLDSSPCSLVAAMIMDEGNCGTLCISQPPIAIDSFRDDNHPVRLLRDVAFFDRKLYVLGVFGGLFIIGLDDIGISSMECIIDSLGDLDGIPQSLSSEEGYMIREYLVECGSRLLMVRRWFHSMARSTSDDFFEHERTVALQVFEADLLTKPCRWRTASDLGRHALFLGQHSSKSLPARECSEYQEDCIYFMCDYPRPKYSANPLRDSGVYNIRDGTFTPLMSGSTEVPPRLVGQWRPTWFFPPEVV >cds.KYUSt_chr1.40967 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251199778:251200167:-1 gene:KYUSg_chr1.40967 transcript:KYUSt_chr1.40967 gene_biotype:protein_coding transcript_biotype:protein_coding MYWARRSYQRLGGSPSRHLKVARLGGRARRRTRAPGAVRRMAALLLSPVRLLVRLRDAYVDAMLALAAGGAARPCAALATAKPGEQGSLWAKRVPRARSTGGRSSDFERRMMAHIYSTLATPELPGAAA >cds.KYUSt_chr2.40956 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254515356:254515586:-1 gene:KYUSg_chr2.40956 transcript:KYUSt_chr2.40956 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTRLIRCVVARVMQNIHCGESVTIEGQAYTVAAVTHRYQLRKGRYEPSEKRLDVLSTGRYILNLYLDSLLDKS >cds.KYUSt_contig_2239.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000286.1:48776:49720:1 gene:KYUSg_contig_2239.6 transcript:KYUSt_contig_2239.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTPRSSIGHILPGAGFFAVGLWHLFSHVRLFSLRPDSYVAPVWFPVTGARYLEPALVIAGSAVELVTEMFVDHSTFLPFDADGSIPSDRLHNHEHAIICLALIVYAGAAVHLDRARAPGRRALCLLLVSVVFAQELLVFHFHSTTHAGVEGQFHWILQVVVAACLGTTLLGIGFPREDRREPGPVGLHHVPRGVVGRHRRHGGCPAWRPRGCSLVREDGRDTVRCRDKASLHRARALVNLQFGWYLSFTTIFVLAIYLYVCNKYPAEQAYARLVHKAAGDEEEQDHLELEAYKCSVHDMGGDIRARLIAIGD >cds.KYUSt_chr6.34174 pep primary_assembly:MPB_Lper_Kyuss_1697:6:214030962:214032030:1 gene:KYUSg_chr6.34174 transcript:KYUSt_chr6.34174 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCPRCGASPLTWGVCENGKNAGKEFFKCCRNLSEFSPCRFFIWKDTYIEDLRSSRIMAAVPSGVFETQIEYAPAVALPSSDSLVEVLNHLREMNEGIADIRAGVAEARSAYKGFCSAKRTTRIIALTLVVVWIGCVLAKFIQM >cds.KYUSt_chr3.40663 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256497913:256500309:-1 gene:KYUSg_chr3.40663 transcript:KYUSt_chr3.40663 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGEHFLRQLSSSNGLQTPQEGYSSGGGGGGRRRGSRRWSKKKAGRGGYVGGGKAEAAGRKRVMVVVDDSSGAKHAMMWALTHVANRGDFLTLLHVLPHCGGDDDMAPSLANSLGTLCKACRPEVEIEALVIQGPKLATVLSQVKKLEASVLVLSQTKPSTFCWLSCLVRSSSEEFVEQCISQAECLTLAVRKQSKGVGGYLVSTRWQKNFWLLA >cds.KYUSt_chr1.1292 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6990071:6991096:-1 gene:KYUSg_chr1.1292 transcript:KYUSt_chr1.1292 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSQMRSSTSLAAAATDRCWEEREEEQGNGGAGSWRKNGEETGERRNRGYVLARTPIEPAMSSLDVTATGEEPKRTWPEVVGLPIKEAREIILKDKPDADIVFLPVGTPTTRDLRPDRVRIFVDTVASTPHVG >cds.KYUSt_chr4.51359 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318652584:318654681:1 gene:KYUSg_chr4.51359 transcript:KYUSt_chr4.51359 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGYAWALAAGLNAALAAISAKFFAPTLLKYGMVILFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFQEPLPSKPVKLSLALEDPCPQWLLNS >cds.KYUSt_chr5.16787 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108058715:108059047:1 gene:KYUSg_chr5.16787 transcript:KYUSt_chr5.16787 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSSQSQSSVGGGAGASRPATVGPRGTAAATAGMRRRPGRTSSSSGVGGGFSGGGSNMLRFYTDEAPGLRLSPTMVLVMSVCFIGFVTALHVFGKLYRSRTAASSASA >cds.KYUSt_chr2.47704 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298400359:298401609:1 gene:KYUSg_chr2.47704 transcript:KYUSt_chr2.47704 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVLDLLAQPRGIGLLLLGACREDCLLDGGHGHSASGQKDTRRVERGERTSPRKGQGLSSNHGGAGSQPSLSMAMNTSSWRRKREDRGGKSDLERNRERLGLEIYLIRSPIGALAGTVGQGGDDEPATV >cds.KYUSt_chr2.38215 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236572941:236575406:-1 gene:KYUSg_chr2.38215 transcript:KYUSt_chr2.38215 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGPRHEGAAAEDEALEGEAVLVAAAAAGEKGEGETDGEIESASEEDAKENEDEAAEKEKKDKEELEEWSEIRLAIAELSPISHGKLSSSPPTLPFLSISLLLLQVLALLFLFSFRFNLHVDVEMWSLLFFALSLIHRLPHGIADKIGPTMAVLRLDVQRNIERLQELYLLDPSKYSTLTAMVEKEADEGTARKADSCARAVLWLTRSMDFTVALLQRLEDEEGSENQSLAQLVEAAYKVSLKPWHGWIASAASKIAMKLIPERKVFVGWLVGKDPSRSVLKDEIERLIPLLQPFLVDIHNMLAKFRLDRLKST >cds.KYUSt_scaffold_2697.632 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4041325:4044129:-1 gene:KYUSg_scaffold_2697.632 transcript:KYUSt_scaffold_2697.632 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLKKTGSDATQPKSLSSEEQQEKEDIAEKEDEAKRIHVANCVDKNGRSVLILNMSIKITKSLLDANMRDKVKFLYASKPDSMKTMEELFDKDTLEASSFGGRSTSATFDINKYAERMRGADKMRGESRNANGCN >cds.KYUSt_chr4.3663 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20824487:20827250:1 gene:KYUSg_chr4.3663 transcript:KYUSt_chr4.3663 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLARSSHSAAAVALVALALVSAVAGEVFFQEKFDDGWEDRWVKSEWKKEDGTAGEWSHTSGNWSGDAQDKGIQTSEDYRFYAISAQYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGETPYSIMFGPDICGYTTKKVHAILTKYGKNNLIKKEVPCETDQLTHVYTFVLRPDATYSILIDNVEKQTGSVYDDWDILPAKKIRDPEAKKPEDWEDNEYIPDPEDKKPEGYDDIPKEVTDPDATKPEDWDEEEDGEWTAPTIPNPEYKGPWTQKKIKNPNFKGKWKAPLIDNPEFKDDPYIYSFDSLKHIGIELWQVKSGTLFDNILITDDAEYAKKLAEETWGKHKDAEKAAFDEAEKKKLEEESANATDDEKDDASDDEEDDDDADIDTGSDVETKDDSAVKPQQVSTDEKVEEISKDASQKKDEL >cds.KYUSt_chr3.6271 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35995574:36002548:1 gene:KYUSg_chr3.6271 transcript:KYUSt_chr3.6271 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYRPTRGFLPDREPLASWSFLVLRNGQHFFPMASVGWIVWALAMVWSRFGGGMATPGPRYAPPDPTLPKPWKGLIDGTTGYLYFWNPETKVTQYDRPMGPPPAPVAPAPAPAPVAQPQYHHEERPRNRDHPPERHSESAGSRAPYADHKPRSDPSLEQRYSAGANPATMAPTNPAPQATNGSSMSVDAYRAKHEITVIVRGNEKPAPFMSFQSTGFPAEILREVQQAGFSAPSPIQAQSWPISLKGRDIVAVAKTGSGKTLGYLLPGFILCKSLRNNSRDGPTVLVLSPTRELATQIQDEAIKFGRSSRISSTCLYGGAPKGPQIRDIERGVDIVVATPGRLNDILEMGKVSLRQVAYLVLDEADRMLDMGFEPQIRKIVKQVQPKRQTLMFTATWPKEVRRIASDLLTNPIQVNIGNTDELVANKSITQHVEVTTSMEKQRRLEQILRSQEPGSKVIIFCSTKRMCDTLSRNLSRQYRASAIHGDKSQAERDSVLSEFRSGRCPVLVATDVAARGLDVKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGIAYTFFCDNDAKYASDLVKILEGANQTVSQQLRDMVGRGGYGGRPRGRWASSNDSYGGQGSFGGHTRDSSSFQSSAYNSSSQYGGTPSFNASNNNQTSGVSSLPASNNQSGEGLSFHERFYSSRGGDRARSRSPPKAMGVSNW >cds.KYUSt_chr2.25446 pep primary_assembly:MPB_Lper_Kyuss_1697:2:155672478:155674277:-1 gene:KYUSg_chr2.25446 transcript:KYUSt_chr2.25446 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRMPQRGGGGNIQSSVVGGGGVHAFDVRDGGGARDVEHLGCDASVLLDPTSANAQPEKLGIPNFPSLRGFEVIDAAKAALEKACPGIVSCADIVALAGRDASVILSNGKVNFNMPSGRYDGRVSIANETLFNLPPPFATLQLLKDMFASKGLSTDEMVTLSGAHTVGISHCSSFSDRLPANASDPSSMDDKLAKSVQRQCNKTGDPTVVQDVVSPSFLDKQYYQNVLKRKVLFNSDAALQSPETINEVRLNARISGRWEKKFKAAMVKMGSIELKSSATGEIRKQCRFVN >cds.KYUSt_chr5.38856 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246007566:246009911:1 gene:KYUSg_chr5.38856 transcript:KYUSt_chr5.38856 gene_biotype:protein_coding transcript_biotype:protein_coding MRHILLFLSNASFLFGLCCPCVAVRLHVLLHAPAFTRRLPAAPPPPASRSAISTPSINPSPTSPRPSLPTPLSMDGAGDDPTRRRRLLPLPSPRAPAVATDPSSLRRLPPVHPTPASPRLPAGFGHYQPQPGGGGAGAGGSNHARSLSQPQFLSMDFLFRPHYPDLAAPAPIAVTPPPSAPPPGSEKGPSGLPPLRAGHRRSQSDVLLAISSQPNPQMPPPAPVTAEALAAANNSTLDGILGAYMGPKAPVTVASAQERRDNQDGQVRAWSPADSSENEADSGDGGLPRHARSLSADSFVGKLAFGAMGLEPSNNLPPPSPGPGAAAGLARSGSGSIGGAAAIFAKEFACMGFSEADKKKIMESDHLSKIVMTDPKKVKRILNNRLSAAKSKERKARYIAELERKVQVLQSEATTLTSQVNMLQRGYSLLSTHNSEMKIRLQALLQQAELKDALNEALNSEVQRLKLVAGETSDPQMPNASEQQMSTRMIQLHQLLKKPSKDQQDQQQKWS >cds.KYUSt_chr6.32014 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202260274:202266042:1 gene:KYUSg_chr6.32014 transcript:KYUSt_chr6.32014 gene_biotype:protein_coding transcript_biotype:protein_coding MWYNQLSEFVLQKGYSNNDDFPCVFIKKSLKGFCIISVYVDDLNIIGNAADISVARNHLMTEFEMKDLGKTKFCLGQRRRLPYAAAFRSDCRCASIFSLPAVSSSVSPPTVPAPEPVELRYAISRSRGEVVIVVRILASGILASARREENASPARMSRRLSTPAPLDDDDLLGEILLRLPPQPSSLPRASLVCARWRSVLSDPQFHGRFRKRHGKPPLLGFFTGDVGRRHVFTPVLDSPDRIPAARFSVPWSRKGYDCWDFRGCRHGLAVLVNDRGRGVVVWDPVTGQHRRHRVPFSPNPRYGSIWQSSWHWHPAVMCTNAEHGHAHGDCFSSPFKLVLMCKGPTHAFACVYNSVSGVWGNIISTATTSSSILSRPGILVGNALHWLFRAGGGVLVFDTEKQSLGVIDKPADINVTDCWSCQLLRTGDDGNLGLAVLSKDSKPSIQLWKRQSQSSGVVKWVLLQKTIQLEGLFESRMFSASRNALMVGYDEDSNEIVLSTYVGVFILQIESKLFRTVSKRLPLDDKMFYPYKNFYTAGF >cds.KYUSt_chr4.42839 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265338629:265340536:1 gene:KYUSg_chr4.42839 transcript:KYUSt_chr4.42839 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRLRFPLLLLVAVVLACRRCGAQDAPNATVPAQFACMVPTPCDTYVVYRTQSPGFLTLGVISDLFGVSRAMIVSANNLTTEDGVLLPGQPLLLPVKCGCTGNSSFANITYPIQSGDTYYALALTAFENLTSYGLVQELNPDAPANTLEIHQEVTLPLFCRCPTPAERADGVLKHITYLWRPLEDDMDGVSKLMNASRQAIAKANNVTTDFTSNAAIPMLIPVSQRPQFPPLQYSASTGHSGAGKRSPAGATIAVSVAVTFVAFAALCVAVFAYRRYRRDKATVHLGTRSAPNPRLCWNHKDFHSSSSIARMINKGGDKLLTSVSQFIDKPIIFGTEEIMEATMNLDERCRLGTSYYRAKLDGEVFAVKPAKGDVSAEMRMTQMVNHASLIKLAGISMGADGEYTFLVYEFAEKGSLDKWLYEKPPSSLSMPSSSSHVDTLLWNQRLGIAFDVANGLLYMHEHTQPSMVHGDVRARNILLTADFRAKISNFSVATPATLDAAATSSDVFAFGLLVLELLSGRRAMEARVGAEIGMLWRDIRAVLEAGDKRDAKLRKWMDPTLGGVYHLDAALSLAGMARACTEEDASRRPKMADVVFSLSMLVQPLPVGDAFEKLWQPSSDENIAIVNEVAAR >cds.KYUSt_chr1.6387 pep primary_assembly:MPB_Lper_Kyuss_1697:1:39378283:39380412:-1 gene:KYUSg_chr1.6387 transcript:KYUSt_chr1.6387 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPSHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNRLKYALTYREVQSILMQRHIQVDGKVRTDKTYPAGFMDIISIPKTGENYRLLYDTKGRFRLHAVRDEDAKFKLCKVRGVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGTFETIHVEDAQGHQFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAAKA >cds.KYUSt_chr5.37968 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239822155:239822532:-1 gene:KYUSg_chr5.37968 transcript:KYUSt_chr5.37968 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAEKGSATRKAGLITKTLDRCRSTPVKQKPAGGCFSVYVGAGRERFVVRTECVNHPLFRTLLEEAEEEFGYAAAGPLELPCNAEAFARVLQQIEEEKQRAVGLARRNSYGLLGSGRPVIVGRS >cds.KYUSt_chr2.16176 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101754363:101758940:-1 gene:KYUSg_chr2.16176 transcript:KYUSt_chr2.16176 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAPPPQPPPYRPYRQARAATPHARAISCVRFSPCGRLLATASLDGTVALLSPSSLAAIATLRGHTHGVSDLSWSTDSFYLCSASDDRTLRIWDIRSVLSGPKPADPAVDRCIRVLKGHTNFVFSANFNPQTSSQVASGGFDCTVRIWDVKTGRCTRAIDAHSDPVTSVHFIRDGSIIVSGSHDGSCKIWDAGTGACLKTVIDDKKPSVSCSMFSPNGKFILLATLDDSLKLCNYATGKFLKVYSGHANKVYCIQSAFSVTNGKYIVSGSEDNCVYIWDLQGKNILQKMEGHTDAVISVSCHPTENKIASGSLDNDKTVRLWVQDG >cds.KYUSt_chr3.48447 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303052732:303053538:-1 gene:KYUSg_chr3.48447 transcript:KYUSt_chr3.48447 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRRTTTGRQKIEIWPIESEDARQVCFSKRRVGLFKKASELTILCGAEAAAVVFSPAGKAFSFGNPSVEAIFERFDPIGMVAGGGGAGAGGGAGEDINQLVADLNRQHDELTARLDAEKANKDQADAAMAMAKERSAPSPVAAWLEGYVRDKEEEELMEFEAALEVMQARANQELQDALNHSRDMAAKARSNMVPVTAPQQQPLGMGAAGNGGFDFDAGSSSSSANNGAEMDMQMQLMMTMTPPPPMLELDDMEIEMLLQGFDFTP >cds.KYUSt_chr2.53017 pep primary_assembly:MPB_Lper_Kyuss_1697:2:330817343:330819993:-1 gene:KYUSg_chr2.53017 transcript:KYUSt_chr2.53017 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPTTTHHRLLLPASTHRRAAAALAPSALRLPIRARTTTRICAAAAAPAAAATAAPPASAAVAVEGKPTVLVAEKLGAAGLALLREFANVDCSYGLSPEELRAKISLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLCGMARNVAQADASLKAGKWARNKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGMHVIAHDPYASADRARAIGVELVSMEEAMTTADFISLHMPLTPATDKMLNDEAFAKMKKGVRIINVARGGVIDEDALVRALDAGIVAQAALDVFTKEPPAADSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVTGALKGELAASAVNAPMVPAEVLSELAPFVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGVIEPISDAFVNLVNADFTAKQRGIRISEERILMDGSPETPLDYIQVQIAHVESKFPSAISESGEITVEGKVKDGVPHLTKVGAFEVDVSMEGSLILCRQVDQPGMIGSVGSVLGEENVNVSFMSVGRIAPRKRAVMAIGVDEEPSKATLTKIGEIPAIEEFVFLKL >cds.KYUSt_chr3.12772 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76610446:76610676:-1 gene:KYUSg_chr3.12772 transcript:KYUSt_chr3.12772 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSANGGVEREQQGVGRRFQMPLHYPRYTRENYEAMPEWQLDRLLSDYGLPVHGTLHHKRNFAIGSFLWGAGGN >cds.KYUSt_chr7.35558 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222201850:222202764:-1 gene:KYUSg_chr7.35558 transcript:KYUSt_chr7.35558 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSPPTISGATAAAPSQLAISNGVLLAAVIFLFMVVVFVFLLYLYAKRFLGVNPMLHGPSTPSSRFLFVGDSPFPRRGLPAAVLRSLPVSVYAAGASPRTPGAGKHDALECAVCLSEVADGEKVRSLPKCAHRFHVECIDMWFHSHDTCPLCRAPVGADAEGLPRVPREDPATVDFPAFPTNILFWGTRDDDSVANTGLGMPPPVTAARPSASGRRNENLVIDIPHRQMAVGASSPLPASRMPGTAADDLRSPMSARLRSLRRLLSRGKQAMVGTSSSSPRDIEQGLAGRPPKTPKTPPSSN >cds.KYUSt_chr3.17121 pep primary_assembly:MPB_Lper_Kyuss_1697:3:104917375:104920122:-1 gene:KYUSg_chr3.17121 transcript:KYUSt_chr3.17121 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRREDGAGGLEAARHQGTEVGGDHEDEGSGDGGRQIDRCWSWSPLQRPPAALGGGDHDQAGEPHARSAPSDEVEDHSAYAFHGHTDEVFAAACSPVDASLVVSGGKDDRGFLWRIGSAEDVQELSGHRDTVCTVDFSSDGKLVACGGMDGQINVWNTATRTLQGTLEGSESGFEVVGSLVGHTNSVECMGISPRYNWVATGSID >cds.KYUSt_chr1.1908 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10999788:11014709:1 gene:KYUSg_chr1.1908 transcript:KYUSt_chr1.1908 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASLTRGSCPPPSINNNPPQPTPTLSPIAADQQHARSPQHHHQHSAAVVAMTVEVCVKAAVGHPDTLGDCPFSQRVLLTLEEKKVTYQMKLIDVSNKPKWRKCLNSSKTLQNLYQTTILPQWVRECSSVESDQPHGFCTQKALTILGACPGPFAQRVLLTLEEKKEPYQTKLVDLSNKPDWFLEINPEGKVPVFNSGDGKWIADSDVITQIIEEKYPTPSLVTPPEYASVGSKIFSTFIAFLKSKDATDGTEKALVDELEALDAHLKAHGPYINGENVSAADLSLGPKLFHLQVALDHFKGWKIPETLTGVHAYTEALFSRESFVKTKPAKEHLIAGWAPKVNPNSEQQKRYRLVTLESDSFERQGEMEYQWEFYQLGHGGDLTFEKDLKQLVEYLGHPYPEFFGIPLNNHSGEPPRWEVSTDLRRKLGAPMAPPTRNATQDAMMQLLQTMMADREAERAERQANLAALQQIAQNNQGHGNHDHPGSKLKNFQNTNPPMFNKTEEPLDADDWLQTMENNLEMMTWEDFKLKFSKYPVPQGLIKKMRDEFRELKQGRMSVVEYRDRFLTLSRYAPDETDTNEKRKERFLNGLHDEMQTVLVNIPFVDLEALVDSAIQMEGKLHQANENRKRRMMNQNGPHHTQKYRNNSSGGFTPRYSKPPAQTYRPNNNNSNGGPPKPGGNNNNSHYNNNNPNSNSNNGNNNNTNTGPRTGSNAIPVTPKDKSTINCYECGVVGHYFNECPKKLAKIAATTAPPAQQQRRFAGRRNQNNNNGRLYHMTATEAQEAPQTTPSMSSC >cds.KYUSt_scaffold_869.372 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:2376853:2379991:1 gene:KYUSg_scaffold_869.372 transcript:KYUSt_scaffold_869.372 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARWLLPQSKHKPLIPALVLLVFASQSLCCYSAGSSEQERDRVAFLPGQPRSPQVSHFSGHVTVNEKNGRALFYWFFEAQEQPAHKPLLLWLNGGPGCSSVGYGAASELGPLRVSTFAAGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSSDLTSLSDDFVAEDAYNFLVNWFKRFPQYKDREFYISGESYAGHYVPQLADLVYERNKDRKANTYINFKGFIVGNPITDDYYDSKGLAEYAWSHAVVSDELYDQIKKVCDFRASNWTDDCDKAMSTIFRQYHEIDIYNIYAPKCNLAQTSVSSSADEALKYSDHEPFRRRIRMFSGYDECYSSYAQKYFNKADVQIALHANLNGMHPGKWQVCSDSILRSYNFSVVSVLPIYAKLIKAGLRVWLYSGDADGRVPVIGSRYCVEALALPIKTQWQPWYLNQQVAGRFVEYHGMTMVTIRGAGHLVPLNKPAEGLTLIDTFLLGKQLPTHR >cds.KYUSt_chr7.36992 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230993963:230994250:1 gene:KYUSg_chr7.36992 transcript:KYUSt_chr7.36992 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATLDDVIRRLLEARGGRTARPAQLTDPEIRRLCAAAKDVFLSQPNLLELEAPIKVCGNHLLPPSNPPPAPRARLSSIHSQPPVGSVLRAPSS >cds.KYUSt_chr3.40511 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255555566:255562299:1 gene:KYUSg_chr3.40511 transcript:KYUSt_chr3.40511 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSVTALSQTALAPSSRPGCRRIRRAACSSACQDGESEAAVRDIVCFGAGSLDEVGSSLDRLNLAFSPALVRRVVDSCSERSDSGRRLLRFLSWCRSKNPGGLGDREHDRAISVLAQMGDLTAMRIAVGDGEKDGRRMTPETFTAVVEALVKAGKEDEAVRLFRGLERQKLLPQQSVGAGGDGIWSSSLAMVQALCMKGYAREAQGVVWHHKSELSMEPLVSIVQRSLLHGWCVHGNAKEARRVLDDIKSSGCPMGLPSFNDFLNCVCHRNLKFNPSALVPEAMDILTEMRSCGVTPAASSFNILLSCLGRARRVKEAYRILYLMREGKEGCSPDWVSYYLVVKVLFLTGRIIRGKRLIDEMLENGVPPTAKFFHGLIGILCGTEEVDHALDMFKIMKSCQLVDTHTYDLLIEKFCRNGSFEIGKELWDDATKSGIVLGCSEDLLDPLKTEVFRPVRPAESRTSENHRSLFHFEYTLNFMDISQEQQDFGVLLKQGAEGRVFVSTFVGQKCVIKERFSKKYRHPLLDSKLTLKRLNAEARCITKARRLGVPTPVLYAVDPLPHTLTFEYVDGLCVKDILLGFGSDGINEERLNDIATQIGNAVGKLHDGGLVHGDLTTSNMMIKNNTNQLVLIDFGLSFTSAIPEDKAVDLYVLERALISMHSSCGDVMGKILSAYRKASRQWCSTTNKLAQGGYLILALVQRFVTYLPNPSQISTSNFKPLVLHFSLPPPLRLPTTITMAPGALARILLGRRAASPLLARPFSAKARAPRRAPEPEPLSESEDDFASGGEVAPTEGISKPLAHVLKELGKRVPESLIKTRVEDNGFALKYIPWHIANKILNVHAPEWSGEVRNIVYSSDGKSVSVVYRVTLHGTDAEIYREATGTASAAEDTGFGDPVQKAEGMAFRRACARLGLGLHLYHEDLS >cds.KYUSt_chr7.40305 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250258071:250272368:-1 gene:KYUSg_chr7.40305 transcript:KYUSt_chr7.40305 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATCRWRRDGDADELTGRGIHLYVRGPGEEEEYDFEAFIEYANRVKMNYDDFDALERLVAKTLPVISLYVCSIKKSHIVKNKAKMYFSRRFTLKHILPNIQLPAIIKVYSRGANVTEVTMVMNMSTVKGAPKGGAMITSHWMDVVRQNDIKKNQKYVFWFRIRGFFGFFSVLLLHLLAPDLFLLLYWEKVVVVDDPTYIPHKSIRPLMKNWTEAEAERRSQYDYANGRGRGKVKIINDLTPQANASAQTKQNTSQNGEQSRSTRLRQRRSNKDILLESLKKELTYHIDTQLALVPKRCAEEVLKMLNKNGVMYKPVEGSESDKGGDEEEDTSIHIDDSSKKEFMYKNSSDELDALVSNNLTKNSGSWAQYVGIRRIQPSSLDSHGSHPRHIRQVSPRPKTTSLGQQTSNNIGSELIHWYLGKLKSYVRNKARPEGSIAESYLADECMAFCSRYLEGFSTKHNQPHGAVDDAVPRLGAEQAHALVVCVRADLARRAHRPRRDLLPAAAPPCAFAGDVSGFFPQASLSFFVEEIIGVIQRVDGSARFLPPDHGSDLAARTGALRLIDALRRPCPHQRIPEGTRGVLRVRPATAAPARFLLLIRAGGHAHDRRVASSNSEFIRHSRQVWAAPNEFNQAQPDGDGSDPVNFSDMTRKKMHVVESNEEYLVEEQIEQQNCVEKIQESSDHQVITTSNDEEGSTDCDDDADNDEGTKGRKKRKLKYIWNLPVGKRIVVKCNDLDQPIGKEAKHLGNFLGTIARNGSLCSLSYKDWRLLIGEKDKETNVRINLKAILDQVKMRFLYPSRLEPYILKTIRDRWRQHKSDMKALYFNDKKSIEANYSNGPSCVSPDQWRALVNNWTSQKAKARLKGILEEQPELADTSQGKTAWKGDALNIVLGDEKSGHVHGLGLVPNPNKVLDVSTSRRFQNIQFTSLEDIPNEAMLSLSVEMEKIGQYVKNQGAEMLELKEKIRELEREPDQRSLEIMELKEKIRELERGPNQRSLNLVPTLRDDPPVDGHNSKRKRVYGTSPSKQLPMVKEPNNLMIKQSGFPDLDSQSSIKPATQDKNKESLVQNGNAQQGEKNAAVHNVSSLLLFGAYRHYEL >cds.KYUSt_chr2.23433 pep primary_assembly:MPB_Lper_Kyuss_1697:2:143112260:143116304:1 gene:KYUSg_chr2.23433 transcript:KYUSt_chr2.23433 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRALTAALVSGKAAWVPVIEIDSLRCCLCSSQQGFVYRLLGLDNVKESMRNIASPHEINVASTGTLTGTCATGNFYKRITSQGKQPGETVRQTSPTPPVVSM >cds.KYUSt_chr2.50156 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313639897:313641773:-1 gene:KYUSg_chr2.50156 transcript:KYUSt_chr2.50156 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATTASLRAPLRRSPRPTSFGASHGCASGTHSLHARPRRRTLACRAELQQDAPFVAAMGACVLASLALPPPSVRGEAAEEEDDGEFGATDTRMGVMGIISFLPYFNWLSWVFAYLDGGSKLYLVYAAVYLAPYLRTNLSLSPDESWLPIASIFICILHVQLEAAIRSGDIEGFKFVEKAQKLFFPTSIKGKDAHRGKKRESLGTSQRNTRIPSAHESREKLRNSDIFKRRLDDPNDEKPKKSDWH >cds.KYUSt_contig_1788.30 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000203.1:136364:145749:1 gene:KYUSg_contig_1788.30 transcript:KYUSt_contig_1788.30 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPQPESVPPAAAVPEDDDEEEEEEEDEEDEEDEEEEDEDDEEEEAEEEEHDEETDALLERAQVVISRVLEQEADPNPRLIHTLATICEDQEARHLQDCANDPSFNNANTRGSHTIGKLANLIKDNDDFYDLVFCKFLSDTSYSVAVRCASARLLLSINDALASQFPHAFEDATIENLKKWIREDAQACDWKHFGNGENPTDTEMLRTYAVGLLSMSLHSGGQLVEDILNIGVSAKLMHFLRTRVYGDVTSSQQDSKHPQGRDDNRGRVRLLQDSLVLDGTRDGDGMPTDPILEKVLDQGAVMRQAEGEQLMDATVDMPQPDGLMCSAGSDAKSVYGEKHPACESLRDELLKKKLSRAGSRLRGKSKAGERLLDIEQTPLSPTSALGVGNRASKDKNEDKVEVLEKAINLNNSSEAFEAAYTLISKEEYEDRFRDCIIGLKDITDIVLKAVRAAEAEARSANAPEEAVKAAGDAAAELVKSAALEVWKSENNGDAVVLAAEKAASTVVDAAMSTSVSRSSDQVKEVHVVEEDVKISPDQDLEDFVLPDYEQLLQLREKYSILCLQVLGEYIEALGPVLHEKGVDVCLALLQRVIKDQEGCGHVSLLHEILKLICALAAHRKFAALFVDRGGIQKIFSVPRITQTYTALSACLFTFGSLQSTMERVCALSSDTLNNVVELALQLLECPQDSARKNAAIFFAAAFVFKAVLDSFDAQDGMQKLLSILHVAASVRSGGNSGALGSSNTNEGNDRSPAEVLTATEKQVAYHSCVALRQYFRAHLLQLVDSIRPSKSIRSIARNTSSARAGYKPFDIGNEAMDAIFLQIQRDRKLGPALVRTRWPVMDRFLLSNGHITILELCQSLPADRYLHDLAQYAFGVLHIITLMPYSRKLIVHATLSNSRNGMTVLLDIANSVVGYVDPEVICPALNVLVNLVCPPPSISNKPSSTANQQPGSYSESRDRNAERITSERNLAANQGESGTPVVPSGVVGDKRISLGIGAGGPGLAAQLEQGYRQAREVVRSNNGIKILLQLLSTRMVTPPVAIDAIRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDTSTQTSGSDNARWINELTQVAIELIAVLTNSGKETTLAATDAAAPALKRIERAGIAAATPISYHSRELMQLIHEHLLGSGLAATAAMLQKEADLAPSQSTAVVLSVHRGAVAQEPSSVQVQQQWPSGRVQGFMPNKTLTAADQAGQRSDSVVPSSKKKPMVFSPSFSKRAQPFLSFTGDAATSHKTPMPLSLKRKLADTDLSFASAAKRPAITDQSCQSPVFKTPAPTRRGLSVAVDSPTTTAFHPGRSNISTENFEDSQGTPHLCANDQQSGNLERVTLDSLVVQYLKHQHRQCPAPITTLPPVSLLHPHVCPEPSRSISAPPNVTARMGSREISREFSGIQVPRRDRQFIYSRFRPCRVCRDESSLLTCMTFIQDASRVAAGNHSGELRIFDSNTAGIIETQSCHQHLVTTLESASSGGGNELILSSSLNEVKLWDAFSVSTGPLHTFEDCKAARFNHTGTLFAAISTDATRRAVLLYDVQTHNIDMQLPNNSSLGSGRNYVHPIIHFSPSDDMLLWNGFLWDRRSPNPVHQFDQFTDYCGGGFHPAGNEVILNSEVWDLRKFKLLRSVPSLDQTVIKFNGRGDVIYAILRRNLEDVTSSINTRRVRHPLFPAFRTIDAVTYSDIATVQIDRGVLDLAIEPNDSLLGVVAMDDPDEMFSSARLFEVGRRRPTDDDSDPEDGGDTEDEDDDDDDSEVDALLGSDLALGDTDSDEDQSNSSDDEGGDDDDDENMNSGNENDDDDAEFDEGDFAVAGGLLEIMGGRDGDESDMIESFSSGDDEGGWIM >cds.KYUSt_chr1.31442 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190676041:190676628:-1 gene:KYUSg_chr1.31442 transcript:KYUSt_chr1.31442 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVDQSPPRFGAIVHAINAENIDRGLKGEDTDNMQIEEGLTKSESNELENKTSKEATYSKLTNELSDNKTSQQLLSALDNHLATTSGTEWPGTIQNELALPIQMEKHMRRHNKPKKAEDYVVSPEGT >cds.KYUSt_chr2.49472 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309621254:309624742:1 gene:KYUSg_chr2.49472 transcript:KYUSt_chr2.49472 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKSKEPPPSIQDATNQINKRGESVDEKIRKLDEELARYKEQIRRTRPGPSQDAIKARAVRLLKHKRMYEEQRTVLYNQTYNLDQVGFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQESLGRSYNIPDDVDEEELMGELDALEADMEFESAAVPSYLQPDEEPDLNLPAAPSYPTAVPLNRHQGVPESRWRRVTWSAVRRARRRRCTRRPSLAAALPLMLLEVEAGCVREEVEREYLSYDEPKTVFPGEACDDLGGEFCEAPYQTGVSKE >cds.KYUSt_chr2.45075 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280898485:280901247:-1 gene:KYUSg_chr2.45075 transcript:KYUSt_chr2.45075 gene_biotype:protein_coding transcript_biotype:protein_coding MIAISLSAKVAAALSHNAAVDISALVAIRSGIAAAARDLELLRAFLRFADSRRGADPLASAWVDQVRGVGFELEDVADEYAFLSGGGFVRACANLGAWFALSGRLRKARARLRDLSDAKERYGIRSSVEACSPHGAAVAVASRKLAEAAHFVKEEEIVGFGAHRRLLMKWLTDDADSRQTLVAVCGMGGVGKTTLVTNVYKEVAASRHFDCSAWVAVSKNFTTEDLLRKISKELHRDIGAGMPRDIDEMDYRSLVKALHGHLAKKRCLLLLDDVWDANAWYEIRNAFVDDGTRSRIIITTRSQDVASLAPSTRIIMLEPLPEQEAWSLFCNTTFREDATRECPRHLEGWALKMLSRCCGLPLAIVSIGNLLALKKTEFAWKNVHDNLEWNESSDTGIKQVSSILNLSIDDLPYHLKRCFLHCGIYPEDFPIKRKILIRLWIAEGYIEEKGQSTMEEIADDYLNQLVQRNLLQVMLKNEFGRAKRLCIHDLIRDLILERSTKEGFIVFLRCLPALESRKKIRHLILDRYESDHLPVPKMTLIRSLNAFMSDMDSSLLSRFRLLTVLNLWFVQIDKLPSSLSNLLNLRYLGIRSTLIEELPLDLGKLHHLQTLDAKWSMVQRLPPSITKLKSLRHLILYRRQSADFRYPTPGRAVVFPQGLQNLTCLQTLKYIEADEKIVKSLGSLKHMKSLELFGMHESILVHLASSISKMSGLLRLGIVGRDANVTMDLEPFYPPPLKLQKLSLTGMLARGKLPSWFGCLDNLMQLRLCSSEIKGESIRLLSSLPRLLHLSLVNACNTKSLIFPEGCFPVLKKLSLHELCNLSQLEFRKGSLVHLNVLSLGCCDELTEVPQGIENLIRLDKMELFEMASGIIEKMQDEEASGGDHEDSRRTIAVKNTRWHYGQLLHDTIYIKFHCSNTGH >cds.KYUSt_chr7.1048 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5680079:5682474:1 gene:KYUSg_chr7.1048 transcript:KYUSt_chr7.1048 gene_biotype:protein_coding transcript_biotype:protein_coding MERSISTTQEENTKNGRNGNYGSCAAGSVGTVGSSYPADGRAPHHSRIRARLALATDRQTDGLPPSGELQVPMATTASASPALHLLHPTTSSRRCHGGRARLVTPRACSAPTRRGLIADTATAAVAAAAAPLLLPRTPPALAADPLSEWERVGLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKDGGGSWFPRSIPSAEDEDFNYRFNSVSFSGKEGWIVGKPAILLHTKDAGESWERIPLSAQLPGDMVYIQATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQLYWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGTGIVEDFEEASVQSRGFGILDVGYRSKDEAWAAGGSGVLLKTKNGGKSWVRDKAADNIPGNLYSVKFIGDNQGFVLGNDGVLLRYVG >cds.KYUSt_chr2.26844 pep primary_assembly:MPB_Lper_Kyuss_1697:2:164405679:164408390:1 gene:KYUSg_chr2.26844 transcript:KYUSt_chr2.26844 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERRQAELIGQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLAGTQYASSLDLLRLFAYGTLKDYKSNSSTLPALLPEQARKLKQLSVLTLAESTKVLPYDQLMQELDVSNVRELEDFLINECMYSGIVKGKLDQLRRCFEVQFAAGRDLTPAFGLAYMQVLLAESVYVLVLTICFALLI >cds.KYUSt_chr5.31726 pep primary_assembly:MPB_Lper_Kyuss_1697:5:201062570:201068337:-1 gene:KYUSg_chr5.31726 transcript:KYUSt_chr5.31726 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPEGHGLHPGHGKLRKYIRFLNLSTGAIVGSKLPLFSDHCVLDSVDGLLLLQRDQDTAIRLLHPFTGDIAELPPLITLLRRFNFQFSPAEDSPQTRRIWNKFRKVGSTAVSVSADGAITVMIIISGIKCLAIATVGDQQWSVARRNLSFSLNPVSLRGRIYILDHVSTTTRISQILPPRHDAKVPASSSVPPSPELIATGPPSEHRISSYLAECDSEILLICLGHPIFSRITVYRLADLILGRSATTRMSGWSSRVHRKRSLSTMGCSSKRRGRTTPAGVTSSAEPDASSSWASMQPDLVRLIGWRLLETGDLLDYVRFRAVCHHWRSSTVCPRGRGVADPRFHPRRWMMLPEGHGLHPGHGKLGKYIRFINLSTGAIVRSKLPLFSDHCALDSVDGLLLLQRDQDTAIRLLHPFTGDIAELPPLITLLRQFKFLFSSTEDSPQTRRIWSKFRKIGSTSVSVSADGAITVMIIISGIKCLAIATVGDQQWSVARRNLSFSLNPVSLQGRIYILDHVSATTRIFQILPPQHDAKPSASSSSVPPPPELIATGPPSEHRISSYLAECDSEILLICLGHPIFSRITVYRLADLILGSLLFYFLTSYLVVFRPMSAGTKEQFVFRLRQLREELHRQKAYTTGGDQVQGNWSGGVGQGDRGGQGQRPPPKAKGKKMAGANSGIGGYALSGKPKGGSRTGAPVGGECFKCGREGHFQSECEFDPLCVLCSSEGHTSASCPKRGKGFRLQTLGHAITGGGFYNIDVEPLKGSKRAGEAFTAVIKFLSAPLTEEQLSAELKHLVDDLWDWQVRRLSETEFSVTFPTRQTLRLSTGSGKLYLPLSKKDTEIREAFNTPKPYLVLPSTWVRLTGVPEDLMERERLLVAFGMVGRAIDVDDLSILKRETEPVRVRFQCRYPDRIKGSVQVFVNGEGFTVGVQAERAPRGGNGGGAGDPPPPPPRDDREEDDSDYFSSDGEWNKHGRKKKDKDQRTEPGLGAEPVDGSGPAGAKTVAMGLLGSWSAPTGGHAPDGRANGGFNQYGTNFGVAMESLPFQLDMNEQHKGVEKEKITTPAETLVPMTTAGDPSLSADTISQITDPVPSGMDDSQVEEGYPEKMDMTVGWEGQGTDSVMLEDDTVSVDSAVVQPRDDLHKALRETAPVAQGRRSKTIAYSRKKKSATPGMPVRKSSRHTGVRASTPILERAMKRAEAKGLDGVGEC >cds.KYUSt_chr5.11695 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75858037:75861463:1 gene:KYUSg_chr5.11695 transcript:KYUSt_chr5.11695 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRRRYCSCSSHGLSLPLLLLSFFSFLRLHASFAATAAAAPPLRLNSTQESILRDLSRGERIAGWNTTASNPCLWSGIACSPSDSTSFSAVTGIALSGYGISNSSVFASICALDTLLSLDLSRNSLADLGYRFLGPSCSMKEGLRSLNLSSNQLAASLADLSGFPRLEVLDLSFNHVRGNLSTELGRFPQLRSLNLSTNGLNGGVPTSMVLSLEELVLSGNHLRGPIPPGMFGYADLVMLDLSQNNLTGDVPDEFWKLDKLETLLLSGNGLSGAIPGRLPNSTTMSRFAANQNKFTGSIPSGITEHVKMLDLSYNKLSGKIPSDLLASPVLETIDLTSNSLEGPIPGNFSAKLFRLRLGMNLLTGSIPDSIGDASKLAYLELDHNSLTGSIPPRLGECSELALLNLASNGLHGQVPDQISTLEKLVVLKLQMNNLSGSIRSTFSSLTSLSILNLSRNSFTGEIPQSVDQLSKLSNMNLAGNKISGPIPPSVGSLQFLIELNLGDNFLTGTIPKMPVSLSTSLNISHNNLSGSIPSNIGSLKELEILDLSYNSLSGTVPSPPDLPPSLTLLVLSYNQLTGYFQAPPHVVVSTTGNPGLTTASDTDDDGIPSDGKKKNHAVLITIFAIVGALVGLCLLATVIMFSLSKRIYRVEDIGPPPEQVLPQIINGHLITMNSIHTTAIDLSYAMEAVSSPANIFLKTRFCTYYKAAMPNRSIYSVKKLDWSDKIFQIGSQEKFGHELEVLGKLSNSNVMVPLAYVLTENNAYLLYEHAYKGTVFDLLHDGRSDGLDWPSRYSIALGVAQGLTFLHGRTQPVLLLDLSTRTIHLKSVNEPQIGDIELYKIIDPSKSSGSLSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGRPSVSDGTELAQWALSLSVRPEQREQVLDTRVSRTSVGAHSQMLSVLNIALSCVSFSPDARPKMRNVLRLLVNAK >cds.KYUSt_contig_1993.226 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:1406477:1407602:-1 gene:KYUSg_contig_1993.226 transcript:KYUSt_contig_1993.226 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASWFCSLLALFLVFSGTYGQLSPNFYSLSCPTLLSVVSDATSQAILAEKRMGASLLRLHFHDCFVQGCDGSILFDDAPGLVGEKTGFGNVNSVRGFEVVDAIKTKVEAVCPGVVSCADILALAARDSTLGGPTWTVPLGRRDSTNANLSQANSDLPPPFANISGLIAGFAKKGFTAREMTALSGAHTIGFAQCKNYRDRIYNDTNIDPTDLVFDNKFYDNLANRQGLIHSDQELYNPGGTQSQASVVSLYRSNSNTFFNEFAAAMVKMGNIAPLTGAAGQIRLNCRVVNS >cds.KYUSt_chr4.54394 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336116385:336119391:-1 gene:KYUSg_chr4.54394 transcript:KYUSt_chr4.54394 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPYADIRVCPCTTLSELYGVMLMAFTVLAIWFPQVHLVLVGGWPCSKRCGAADVAGRPVDSSTPRRRTFTIAHCDECGGHDGRRHRDLAALSTRLLIHLGSDKANLALSPPLSFHSVLVLLAAGATGATLDQIVSFLGPSTGGTAHASLASHVASGILTGETGAEPDVRIAVGVWVDSSFRLRPAFADKAASQYKAAGRPMPFQEKTEEARVEINRWFEDKTGGLIKDLMPNDHLSSDTILVIGNALSLRGTWLDPFDRDDTVDGDFFLPDESSPVRVPFMTSTNSQRISSHPGFKVLQLPYECSGNHRFSMHIYLPDDRDGLQALVRELSSDTAGLLDRCVPQQAVMVGDFRIPKFKASFKIEASDLLKNLGLERPFHFSYDFAEMVDCSEPLTVGSVLHQCVVEVDEDGTMAAASTEADVIMGCSIEGEEPEAVDFVADHPFLFLVTEDRSGILLFAGQVVNPLL >cds.KYUSt_chr6.26435 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167405489:167406620:-1 gene:KYUSg_chr6.26435 transcript:KYUSt_chr6.26435 gene_biotype:protein_coding transcript_biotype:protein_coding MGIETVNTTDKETGEKESTGAEYDDCNQQRADDDLNGWHEDDLHSNDDDLHSGDGDDLHSDDYGYYDDDSEEEVEPCLRISYEAGDSYSIKRAYSHSRPQKGQPDRNVDSQANVFVDLNLTAAGTGSSTIGNTCYVYGEITAHHQLYGDKNVLLFYRGEEDRAKVTDGELELLRPWAAVPIYSDPLLIIKVSLHVKTNPKHDCDGRTISFQGDLTFDRDQYEKTISNADHGEVKVQITYQ >cds.KYUSt_chr4.41642 pep primary_assembly:MPB_Lper_Kyuss_1697:4:257576226:257581135:1 gene:KYUSg_chr4.41642 transcript:KYUSt_chr4.41642 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAACCLLLVLATTTISSVYAQAADGGCFRKEREALLSFKGGITEDPHSLLASWNGQDCCLWSNVRCNNRTGHVIKLDLRNNFLLDDMMVLGYTRPYQGMHGKISSSLLALHHLEYLDLSGNYLGGFGVSIPRFLGSLGSLVYLNLSCMSFDGKVPPQLGNLSRLLYLDLNPWFLNNDDGNNLHVDDISWLPRLPWLRFLDMSSVNLSTIGNFVQEVSMLSNLRVLRLSECEIVFPHTPIVRSNLTSLELLDLSRNLIDTLNPSYWFWDVRTIRHLDLGDNRISEPFPAAMGNMTSLEALHLGGNFFTSLNPKALSNLCNLRELTLLGNHIDQDLPEFLEGLPHCAWTKMEFLDLTHTNLSGEIPKWIDQWTDLRILQLSSNRLVGSIPREIGGLSKLSKLYLDGNQFNGSISEEHLGSLINLEELDLSYNSLQMVISSNWTPPFKLQLAYFPSSKLGPHFPLWLKRQSDVTYLDISDAGIVDHLPDWFWVVFANVQYLNISCNQISGRLPSTLEFMSSAMPIVFDLNSNMLTGSLPQLPRLLVELDISRNLLSGPLPQNFGAPFLSDLLLSENSINGTIPVHICELHGLNVLDLAKNRLIGRFPDCAEVSVGTKKLNATFSAEALMLYENNLSGDISFLQSCPELIILDLGHNKFTGRLPTWIAERVPDLSYLRLRHNMFSGSIPIQVTQLVYLQYLDLANNRISGFVPHTLANMKAMTQDHPEGLNNPLWSSYDRPEGHSEGELYKAAKYDDSLEVVTKGQYLDYTSSLIYMVGLDLSCNNLVGEIPVEITSLVNLKSLNISHNQFSGKIPETIGFLGSLESLDMSCNELSGGIPASFSNMTMLSKLNLSYNNLSGRVPTGNQLQALIDPASVYIGNNYLCGPPLPRNCSGPEVITGGDLDEHQSDTRYFYLGVLAQLDLKSTPINTKGYETLLQFMDKLDSAFEQVMLQRLGHHDEIVDDLAVLMESPGNWSHCSPL >cds.KYUSt_chr2.28785 pep primary_assembly:MPB_Lper_Kyuss_1697:2:176799519:176801553:-1 gene:KYUSg_chr2.28785 transcript:KYUSt_chr2.28785 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRRRTRSTTGVGRTWRRWWVGRTRRRSGGGEDQEEEGDEEDLSEDEGLVNLVFDPDPSLEWCEPEDYQYVPALERLRPRDRKPYRRGITQLPSLRSWRYRHVVLVPYGRSSFQFEDPTQRPPRGYSNILGGLLRWYFPGIVNLPTGGCDVAWRWAHYSLAEDPLGRGTAADMVVAKFWKYFKRAEGKENACDDVLHQLARKRVTGMHYEARIQCVRDWHADRFVHMSKEDARDTLMQPWQTLLECVGNDDRCFRAMVMWWTCPQYLKKHEEGKAKRAEMRGGSHIQGSIPISLHLRRRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQCTSYVSKFKQKYGEEANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEQRAQMEQQILRVPAAADTDDAADATAAADDAAAAGTDELADEPDGSVFSTGESSSSTLLHVDAATAHSDPGDTYHRQQHEHHPEHEPR >cds.KYUSt_chr2.25855 pep primary_assembly:MPB_Lper_Kyuss_1697:2:158173277:158173948:-1 gene:KYUSg_chr2.25855 transcript:KYUSt_chr2.25855 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASIMLKGESMNMARVATKKGIEYSLTAVSRLESDRLKTFTLEKDKHGNGPKSNLPGDSEIEKGVVFPMMDRGQLASATVQEDIPQKIVESTTHDRFMNKIKPMSDITSQEEFVVGSNFVTPQKNKLGEVTAPEGSAEQLKTRSTLNNKGQSCVSITGKAPRSHSKSASNTKSALGDSTQPAGSSRKRARKGWTTLKQIAEKEELERKVKMDNFIIPFFMQ >cds.KYUSt_chr2.17422 pep primary_assembly:MPB_Lper_Kyuss_1697:2:109858540:109860658:1 gene:KYUSg_chr2.17422 transcript:KYUSt_chr2.17422 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHLAAALLIALLAPASASDVSSFPLTQAQSPSNASAGPSSPPCRLDLSAELFGGVAAACGAGGGPGSLDRGRCCPVLAAWLFAAHARTALSLPAPAPSGLDGGDGDEEPMVPYDNQRCVDALGTALEKRGVKMSGPNKTCDMVLCFCGIRLHQIGSLRCPAAFAVGTTAVGTAAKNATPTAAVKDLEKSCRNASYAGCSRCVQSLQKVKGNVSREATGGDRARRMLGLDCQLMGLTWLLAKNKTAYIPTVSAVLRGMLYSAHPTESGGGGHSRVAGGGSAAPPKCSPDQENMPLAVDSLQFEHAGSTSSAAAVMLRGGIGCSLLLCLVLCLVWEAFL >cds.KYUSt_chr7.19585 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121370246:121370818:1 gene:KYUSg_chr7.19585 transcript:KYUSt_chr7.19585 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTRALLLAIVSTAALLGTALSASYTVGAPGGSWDLKTNSTRWASGVKLYAGDQLRFQYAVAEHNVVEVTKSGYDACNVSNNTVATYQTGNDTIPLIAAGSRYFICGVPGHCAAGMKLQVNVSSQQTPPPPPPPPPQQQCRMRKGKLRCNRPASPSSSASAAAGVDRSAVAWLKLAAVVVAGLVLLC >cds.KYUSt_chr1.8323 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51224754:51229527:-1 gene:KYUSg_chr1.8323 transcript:KYUSt_chr1.8323 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILLHGTLHVTVFEAQELSNTSRPSSQAPQFLRKLVEGIEDTVGVGKGASKLYATVGLGKARIGRTRTLTDESSSPRWFESFHIYCAHLASDVLVTIKAKNPIGASVVGTGYLPVRDIFGGDEVERWLPLCDDSRNPVEGGGKVHVKLQYFDISKDHSWGRGIRSGKHPGVPYTFFSQRQGCKVTLYQDAHIPDGFIPRIPLDDGRYYEPHRCWEDIFAAISNAKHLIYITGWSVYTEITLLRDANRPKPPGGGVTLGELLKKKASEGVRVLMLVWDDRTSVGALKKDGLMGTHDEDTFNFFQGTDVHCVLCPRDPDDSGSIVQDLQISTMFTHHQKIVVVDHDMPQPQHASRRRRIMSFVGGLDLCDGRYDTPFHPLFGTLDGAHHDDFHQPNFATAVIGKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDLLVQLRDLADDIIPPSPVVYAEDRETWNVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDQIIDRSIQDAYICAIRRAKNFIYIENQYFLGSSYCWKPDGINPDDVGALHLLPKELSMKVVSKIEAGERFTIYVVVPMWPEGIPASGSVQAILDWQRRTMEMMYTDIAQAIQAKGIDANPKDYLTFFCLGNREAKKAGEYEPPEPADPDTDYLKAQQNRRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPYHLAASRPARGQVHGFRMALWYEHMGMVDDTFQRPESVECVRKVNAMADRYWDLYAGGEPERDLPGHLLTYPVGVTSDGAVTQLPGVEFFPDTEARILGAKSDYLPPILTT >cds.KYUSt_contig_1537.230 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:1321985:1326849:-1 gene:KYUSg_contig_1537.230 transcript:KYUSt_contig_1537.230 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCWWGRTTAGGAHALGVRKKDDKVKAKRYGADEGWAGLLGPLLRLGCSGKQEKRAKERKKGSRTIDHRPMALLKAARVSDVPTLDVLVPDLAAAARIGAGAKQQRAAGRLAVIGHRGKGMNALSSPDRRLQEVKENSVRSFNEAARFAIDYVEFDVQVTKDACPIIFHDNFIFTEEQGKVSDSRVTDLRLEEFLQYGPQNKEGKNGKPLLRKMKDGRVLNWNVQSDDPLCTLQEAFEKVNPRLGFNVELKFDDNLVYQEEELSHILEAILKVVFEYAKDRPIIFSSFQPDAAQLMRKMQSTYPVYFLTNGGTEIYTDVRRNSLEEAVKLCLASGMQGIVSEARAIFRFPAAISKIKEADLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVPEITKAISDLIATPQTDIEIEDLSSKVMKDATSTPNFTHREISFLLRLMPELVQ >cds.KYUSt_chr5.29077 pep primary_assembly:MPB_Lper_Kyuss_1697:5:184096588:184098655:1 gene:KYUSg_chr5.29077 transcript:KYUSt_chr5.29077 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGDAFRGHFPVPAACWNRDFCPPDLGFAMAAALEVHTPHHLASLLPHRRTAMAAPPLASHLLAVAVFLACSVAGHTVHGAPEPAATTVDCHALLSFRSLIMGDPSRALASWTSTTTTATNISSAPPPCQWRGVSCGTRGSRRGRRHRQGDV >cds.KYUSt_chr3.44908 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283042848:283044970:-1 gene:KYUSg_chr3.44908 transcript:KYUSt_chr3.44908 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANRDQSPFFHQWVDGVVPQQQLALVVEIFSDELVGDPFRRRATSARCTTGLPKQPMSFTGSAIIARAVRNLWIGGISPAISKQELQEEFQKFAKIEGVAFSRDQTSAYIDFEKLGRNCVLTSRGPGAERNGQNRATSIVEDQCLLVKWELEM >cds.KYUSt_chr1.11805 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72811558:72816940:-1 gene:KYUSg_chr1.11805 transcript:KYUSt_chr1.11805 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAAKGGEKPALRKPVFVKVDQLGPGTVGHTLTVKVVSAKPIAPRARAAGGGPAASRQVRIAECIVGDETGVIVFTARNDQVDLLKPGTTVLLRNARIDMFKGSMRLAVDKWGRVEVTEPASFTVKEDNNMSLVEYELVNVA >cds.KYUSt_chr3.37328 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234659137:234664081:1 gene:KYUSg_chr3.37328 transcript:KYUSt_chr3.37328 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGSFNPPAITELNASAGALPPSILLDARVYIADRKNSTTATLDTCEGREIQVTIRFAAPPALSYVCIHCPGYTEADFSNEPRVVRSEKQFLLLHLSLWGAGFEELVDEYYMYQAIRGKPKLFPIPDLGPKLRHLISLDGFGIYPCDNEEFILAGLTYFEGGYQLRSYSSASKAWTAKHAHFELEGLLSEERMPVGADKVILLGGSLLGWVDLWKGILVCDVLCQDPHLAVGFIPLPLNQNMDNHICPWFVRDVVACTNGSLKFIEIEHLLSTPPPPAACQQNGAPTPDVMYDEPYFVTPLDDLETEEPCKFVGWKATVWNRSLSENCWRKGFQGHGDDILFSVEKLADRDVIVSTVRNLLPAFPTLSIHGDHVVHMSSSGRLHTGETHMIAIDMSNNTLKALVPRPPVEADGDCPYFPCVLSNYLSNHSGTDIFFIGAEVIGSAFKVDLAPKPIPGRALPADNGLSGSFVLKLGGVSLGKSGISSGLTGAREREFGELGRDGGADDGADEGADIRPRLAINRPPDVKLLVLEIRPTDGERPMGGADGRMTGWRVGVDDRELERLTEAVLEEELVRQIAGILPEDELGRLTAGAVLDDELETIRGREVGVDDLEFCADKLFTPVELPMEWEP >cds.KYUSt_chr7.37617 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234572504:234586581:-1 gene:KYUSg_chr7.37617 transcript:KYUSt_chr7.37617 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLWCGGPICSSQDVASCAFKETFDSSTCTNHLVVTGIAALFVVVLAIQLIVKICKSGASARQLVALSSPLHLSAVVFNGSLGLVYLGLGLWMLGSNVSQDASAYLPHSWLVNLSQGLCLILAACTFSIRPRFVGEPFVRSWSVLLTIFAAFICCSSVVNIVEQKTITIKACLDVLSLPAALLILLYSFWCPHDEEGYAGVGNGLYKPLNIEIDHEVADSEIQVTPFAKAGFFSEMSFWWLNPLMKMGYVKPLEDKDLPLLGANDRAQNQYMMFMQKLNSEKQSESLAAPSIFWTIVSCHKRAILVSGFFALLKVLTVSTGPVLLKAFINVSLGKGTFKYEGHVLAAVMFICKFCESLSQRQWYFRTRRLGLQVRSLLSAAIYKKQQKLSNAAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNAVGAAMVSSLIVIVITVLCNAPLAKLQHKFQSKLMEAQDVRLKAMSESLVHMKVLKLYAWEGHFKKVIEGLREVEYKWLSAFQLRRAYNSFLFWSSPVLVSAATFLTCYLLKIPLDASNVFTFVATLRLVQDPIRSIPDVIGVVIQAKVAFTRISKFLDAPELNGQVRKKYYVGIDYPITMNSCSFSWDENPSKATLKNINLVVKGGEKVAICGEVGSGKSTLLAAVLGEVPKTDGTIQVSGKMAYISQNAWIQTGTVQDNILFGSPMDTQRYEDTLARCSLVKDLELLPYGDFTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMSALSDKTVLLVTHQVDFLPVFDSILLMSDGEVIRSAPYQDLLENCEEFKELVNAHKDTVGVSDLNKNIPLQRTKEISANETDGIHGGRYIESVKQSPVDQLIKKEERETGDAGSKPYMLYLRQNKGFLYASICVISHIIFIAGQISQNSWMAANVQNPHVSTLKLISVYIIIGLCTMLFLLSRSLSVVVLGIETSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSADLSIVDLDVPFAFMFSLSASLNAYSNLGVLAVVTWQVLFVSVPMIVLAIRLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFVKNLDLVDKNASPYFYNFAATEWLIQRLEIMSAAVLSFSAFVMAVLPQGTFSPGFVGMALSYGLSLNMSFVFSIQNQCNLANQIISVERVNQYMDIQSEAAEVVIENRPAPDWPQDGNVELIDLKIRYRKDTPLVLHGITCRFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAEGRIIIDSVDISTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLGKCQLLEAVQEKEQGLDSHVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAVLQKTIRTEFKYCTVITVAHRIPTVMDCDMVLAMSDVTYEELPDELKKRYDEIKVTLEADLIGSFRRTRSHGIGWKGFSPQGALDGIDLSPRRRNAPGPCGRRSTTWWLIRYTVTREPGQHVGACRSSRDPGDHEAPVLAVRTSSRDTSRGVATPVPSTAAICVGSTEVPATPAFVVYKIGGDPSDYQFLPEAPKEIPHGPGRCERKTKFLRGDQEVAMAEWTRGEPRVLQMGKATKPTRGFDFDVTKTEQIFDLLLKEKQLTIPEGLKFPTVQELNGKPYCKWHNSLSHATNDCRDTGNHSGKDGDEGSCSRSKDTEEAAPRDRLHHDGKRYVTEGEVKNIRYQRPLSDHLLNKYVSQYDQRRRSSYDDEGDRLAREARRYRRHDRDEEGHERRAIEKSRDDSDRHWDCPFFRHCWDSGMSRLPTIGNCPECNQKKKEAANVSVFGRLGPLPPQSKRL >cds.KYUSt_chr5.40021 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252928076:252929416:-1 gene:KYUSg_chr5.40021 transcript:KYUSt_chr5.40021 gene_biotype:protein_coding transcript_biotype:protein_coding MEADALPGWLAGMELASSGEVAATGRGKKKALRKVRGREKKPSSYRNNRREQEREEFIKFLREEVPRETREEDYIDDYRDLWECLFSDRFGSFDDQTALGPMRHTFGPIPLYAASDCTLQIFYIRVTDISKGGLQWPLHVHGLVAVRDSVDHNRNFLFNRTRDDCQTLTQEDPFLMLTGPSRALLLIDPIGIEVQLKVKSKAEPEKDELLAFRVFDYHKAYHSDEVESPRILCKRCTIEFAYAPLLPSVEATVTVQVVMGHGMIVSRELSPAVLPD >cds.KYUSt_chr2.54865 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342189662:342191453:-1 gene:KYUSg_chr2.54865 transcript:KYUSt_chr2.54865 gene_biotype:protein_coding transcript_biotype:protein_coding MITNFVSSTWNGIAGAGGCSDGQGSSSKDGGNVEKDAASDLSLRLKLLASEDLHLKLVASLDLRRRLQDPVFAVDTMNGWLLTDCRHRAEMASVEYFNLVDLWEKYHKWSVCFGEDYSMDFWSDDEDKEKMSRSHVFFEGFLGLTSLKSVDLSR >cds.KYUSt_contig_786.436 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2381340:2386512:1 gene:KYUSg_contig_786.436 transcript:KYUSt_contig_786.436 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVQAVSDVWPGNLKKMLAKAESELSNRVFFWSYGCCIQWYPPQVVTVVINPDSAEGYKTRGMANALLCVWEEAARDLHATSSIECDGEINVVVEPHAHKMVEHRWRWWTEDVLAAEPCALSGGGSFKGEQIEVLVSTPKLDQDGKDDEGLLSSSKENQECEGNTPPGKYSLPVRVTVSKGDGSGLVFTCTANPDDIVIESLSMRRKPPGADEGDAVTYDEGPDFHELDKNLQETFHKYLELQETATKLLHEYMISKDRRARLAEDSKQGQAE >cds.KYUSt_chr1.36260 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221084418:221084985:1 gene:KYUSg_chr1.36260 transcript:KYUSt_chr1.36260 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLVKENVQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNRPPVKKEGLASIL >cds.KYUSt_chr6.6132 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36826436:36826777:-1 gene:KYUSg_chr6.6132 transcript:KYUSt_chr6.6132 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLTVLRWTELKVTASSASPSTKNKPGKAPELQDLEIPSPVLTVMVRREELLAMGSGWICLVLEEAGEIFFQHQQGQDEDLQQHMLVAAIMASHLRGVFILVGNLAVDSAG >cds.KYUSt_chr6.26707 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169312767:169314511:-1 gene:KYUSg_chr6.26707 transcript:KYUSt_chr6.26707 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAYYLRFFLALLLPLLLLKLLRKRGGGDGAVRLPPGPWRLPIIGSLHHLAGSPLVHRVMADLARRHDAPLMYLKLGEVPVVVATAPEAAREIMRTHDVVFATRPSSPTIKIMNADGEGLIFARYGALWRQLRKICIMELLSARRVQSFRGIREDEVGRLVAAVAAAPPGEPVNLSERIAVLITDSTVRALIGDRFKRREEFLQTLEDGVKLVAGFNLCDLFPSSWLASFFSGTARLAQENHRKSFELMDYAIKQHEEHRAASAAASENGDVEEGEDLVDTLLRVRKEGGLEVPLTMGMVKAVILDLFGAGSETSATTLQWAMSELMRYPNVMRKAQAEVRDNLQGKLKITEDDLANLKYLRLVIKETMRLHPAAPLVLPREAMEPCKILGYDIPKGTTVLVNAWAIARDPKHWEDPDEFKPERFESGTIDFKGTDFEYIPFGAGRRMCPGMTFAQASMEIVLAALLYHFNWELPDGVKPGELDMEEEMGLTVRRKNDLYLHAVVHVPSI >cds.KYUSt_chr3.39793 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250754726:250756678:-1 gene:KYUSg_chr3.39793 transcript:KYUSt_chr3.39793 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYESGGHVHGGAAVAAGGGGMTIKEGVGGRDAHEDDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAPENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPTADTDRYHKTEISLCRVYKRTGIDDGHGQHFSARSTMAARGRGATARQDSKQGSSSTSTPTPPQTPSKLHLLQAGECTSPLVTDHAQTHRPAPAPRQQQLATAKPCGGGLGYLQSAAVAAGHQQEAAAAFLYQQYSKNTNTFTSTYSLLNLVNAASMGSASAAAIDELSTLVGHGAGQLPSYQSPTAAGGHHHDHFVVPLPTPSSQPITPLGTLPMSLAAISDKIWDWNPIPDAGTGRDYGNAGFK >cds.KYUSt_chr5.18623 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120416491:120418092:-1 gene:KYUSg_chr5.18623 transcript:KYUSt_chr5.18623 gene_biotype:protein_coding transcript_biotype:protein_coding MQKATARDEGEAASGEAGGDRLSKLPDDILLNILERVDTLEALRACILSKRMLKLCTMLSRFDIDVGSLSLYRKARLNATPRLVWYNNAVAGVTENVLSARNVEIPIRELRVKFFLRRDEFLSISKAVARAMATQKVDDAEFVLFTETACLSCTHGDLLCHAKKFNSWFGDCAAAFAGLTRLWLRNMRFGELDIPNILSTCKRLESLRLSYCDAGICSMLQLEHAQLVELHIDLGKFETVHLNCLPNLQRVNYTCWSYQDPLTFGSVPQLSKLSLENIGISSTKNLQLSELLVNVPWIKDLRLDFKSEKIWILPECPELLAPVLGELQIVDLDNLPEGCDIAWTMFILEAAPSLKEVRITVWDHLCIMVTNKDLRMNSGYCEKANVEWQPSAADFKHKNLVKLTIYGFQPDENFVQYVRRVMEVAVNMKDISLHDREVCERCGGWEPSIQVCPSRYPRTSEEKDILREEITKELRISSPAVIHFRS >cds.KYUSt_chr3.48514 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303472808:303475134:-1 gene:KYUSg_chr3.48514 transcript:KYUSt_chr3.48514 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTTEPLLLLPHQQQRQPPRTNRVWGAAVAVVLVLLLSLLLLRPSRPFGAPPPPRVELTLLAGAMEKGADFYNWNRVYVRYCDGASFSGDAEYQAQDGSTLHFRGLRIYEAVIDELMEKGLINATQALLTGCSAGGLAAILHCDDFSARFPQEVSVKCLIDAGLFLDE >cds.KYUSt_chr7.24220 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151011565:151011939:-1 gene:KYUSg_chr7.24220 transcript:KYUSt_chr7.24220 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEASISSSTNITMMCDGLRRLGDIYNGVQEILGLPRNQVAKMLAGEMECSLELLDLCSTMQEIFVEMKAIIQELQVALRKGDGAAAQAKIQSYSHLAKKAKKHFRRPRRRLLLQVAGWSHY >cds.KYUSt_chr3.37134 pep primary_assembly:MPB_Lper_Kyuss_1697:3:233494335:233496189:-1 gene:KYUSg_chr3.37134 transcript:KYUSt_chr3.37134 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPGIVNADGSAATGFGSAAADEQFYEAVVRELTRGQELTSQLQAEVLRALRGQGHAEATAAFILQEVSRAFTFCISIMDGSAPAPAAAPSHAAVATAAAAGARRARDDGAPRKSIMTPSPNSDGYEWRKYGQKRIMGTSFPRCYFRCCYHRERSCPATKQVQEQHSSNGGPRTFLVIYVHEHTCHRTAPAAAEPEAAARSPTDMIDFSAGAGFSRQLPGGVVQLSKEELEQQVLVSSLTCVLQGRQLYPGGGSPEEWSSQGCPRQDGAPVPAVSIETSAELPASLGDDDGLDVMDYDVSDTMYFGASSSYSYGGDHELLL >cds.KYUSt_chr7.6799 pep primary_assembly:MPB_Lper_Kyuss_1697:7:40999033:41003070:-1 gene:KYUSg_chr7.6799 transcript:KYUSt_chr7.6799 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAGVADLLDSEYHTGKAQRTGFTSNEVVSFDLCPADHRSILTDFCSADPRGSGGEGHVAWFRQPRAIANLGTVFEVCEKRRKKRLAPASFLKGLLSPPTHIRCSALCVDGRDTSSRLAVRCCPPLASPAAAQAATADDEVLKNRSSSRSAGKATGRQALDLEGLISGAGPSGKLWCGRSGMFFWGSVQTRKEKVGEAWCGSEKSKDRRKARWWS >cds.KYUSt_scaffold_2697.67 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:465712:472524:1 gene:KYUSg_scaffold_2697.67 transcript:KYUSt_scaffold_2697.67 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGGRRGGRGRSPGRPRGRGRGRRGGAATAPRSPSLAPSSSSQEERCFEFLLRINDDPLAIKRLPDQFAEFVDGVEPTQLQLREASCNFCRWTVEVLFDGHGKMYLHTGWDKFARDLDLEPGCQLTFLYEGDVEMIVKVFDDTVCRRHYHTDDSGSDTDNILRTGEAMKGLVHLWSTWGIQIMVLASFTLQLWLLICGGIRRRSSSMVLRASLWLAYLMADSTAIYALGHLSIISRSSEHQLVAFWAPFLLLHLGGPDNITAFALEDNSLWLRHLQTLVVQVLGAAYVINKYMSSGGILLLLASISMFVAGLVKYGERIWALKCGGMSSIRDRFNKWGVTGRVKPYRFVVEGKSEEEILLEAHYSFAACMCVFLDVTLEEAQIEGLHAIDPGYSFGEVVVGEDIYKLLELELSLMYDILYTKAAVMHTWYGFCIHLTSLLGTAAAFLLFQLSIRGGRADYNTADVIISYVLLVGALVLETISVCRAVFSSWTCSFFHRNTQRDSTDSGTLALAKWLLHVLVSVRRPVKPASRRLWRDSLGQYNLLHLCYRDTTEPWSRLTTKMGLGEWWNRSHFQGTFSGTDSLSTSDLRNLVLQALPPPETADLNSRGRMMLEKFEAYKDFARWSVSIDFHQSILVWHMVTDLFIEVYDAGHESKLVEATRVLSNYMMFLLVVKPDMLPGRAHHNLYLDVSNNFEAFWPVKDSTVMGSPRSWNLCHMIKELFQHEGPTNFSRTPQRKKIAEKLFNSFESYSTESIDPGIDPRRGRFRMTGNSCAALLAKELRDLGRASWFGAMASGESVASPADVEAARCDVVRTAAWRRCTAVWRLRRPWRSGLIPALEHQVGRCRHHHLSSSGVRPQPLLRQTSDGDRQVGDGAGCDTAGVEVVVQREVIREMTNGGGGGTSLVFPMLKRGDYTKPGHGDGGELASGIAPGTRSRTPQSLGERTSTPWRHCSASRLYVAMAGVPGGGLRGNDDGGDQGLVAARSC >cds.KYUSt_contig_1658.248 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1649401:1649934:1 gene:KYUSg_contig_1658.248 transcript:KYUSt_contig_1658.248 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLPQRTASPIQLDLSSLHLVGLAGGHQRLHNEFLQASLHSVHLAVVFPGEFSSSHANEFIVSPSKHSAITQGMEDADTTKVITRYSYVPPANTVEDERTRSGNVSFCYKDASGTTLEVMDSKPDTSGEVVAAAAMPDYVEEIFPNSSHRDGSIYSGTDHWKTDYRISDRNESN >cds.KYUSt_chr6.4357 pep primary_assembly:MPB_Lper_Kyuss_1697:6:25232369:25233409:1 gene:KYUSg_chr6.4357 transcript:KYUSt_chr6.4357 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSNLLRSMANDAKHQAAMRKQGVHGFPRLSASSKALILLPVLLLAFIYLIVYPKEFELQALMSSCGQPPNAYTAAANGSSTTVHAYAREPDFRLLIGILTRADFYERRHLLRMVYGLQLASPDLAAQIDVRFVFCRLYKDDQRVLIPLEILTHGDIIVLDACEENLNGGKTHTFFTAAASLYADAPYDYVMKADDDIMFRLPELVASLGAMPRQDMYYGATIPCGSMDPSKGYMSGMGYALSWDLVEWLAGAEEVTKGRIVGTEDKMTGEWLRLGGKGRNRFNAKPAMYDYPLPVPIDSCSHAFVPDTIAVHRLKDNPRWAHALGYFNFTAGLKSSKFYKFDA >cds.KYUSt_chr1.40028 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245132273:245133369:1 gene:KYUSg_chr1.40028 transcript:KYUSt_chr1.40028 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRPPSAPLPALFAARCCASPALFAASWCPSPPLAAALRRPFLGRQPSPPLRWPPTIAASPLAAGWLPDMLSNAREGAPPSMRGLGKGTWGELADWVSGGIAGTVPLECITMSHSLMSSSDLREARAVAASVEADGGMATEATNTGMGRSDGSIGNSGTMMSAGPAPVCERRDGERATRATRGGPTWLQRFERTSGKGDHAPTMVLSCCSY >cds.KYUSt_chr4.22467 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141267889:141270679:-1 gene:KYUSg_chr4.22467 transcript:KYUSt_chr4.22467 gene_biotype:protein_coding transcript_biotype:protein_coding MSQALARRGYPFLLVRVRAVRSLCSHAAGGGASYGGGGERWRGHPQQQEESKAVKVSVWWDFQMCQLPPGANPCRVAPRITAALRAAGIRGPVEITAFGDVFTLRRSVQEVLAATGVAFSHVPPSGKPDSDRSLMADLVYWIAQNPPPAHFFLISGDKHFANILHRLRMSNYNVLLACPNTEPSVMCSAATIMWPWDALIEGVGFSRKHFNHPPDGLSGSWYGHYRGDLDDPFLNAEPEQSMNVPLHTKKPEKPPIVPKSEVSGPDYFKVIDSVPGDSQPAVVGEKSFTRLNSGQSNGEGKRFIETKEPEKPPTVPGYVANMIRIVLNYYPEGVNLEDLLSELKRKGVVDNRLLGFKNFSDLLQSIPCYVKFIDPLPGDSRPAVVNGKNFKRMSSAQSNDNGKRFIEMKNGKSPLSNAPSSPSDILPPKQQKNLVIDAPSSPFDPFSRDQRKFPPIDFIKPAESPACHMEADTVIAAGTPSSGLQGTISKKGLFERIQILWNGPKPTKPQVYPCNDATFSKGFNDAATPDGKGLANNSAFSTSLSNDPSNNCFELDAKGNFVNTKNYSNKTVDISEAGKIQGFGESNKGIFSWAASWWSSGKSDKQDNRNHTDATDGTRIDSEKGSASVKIADCARGAQVGVEMFEKSYFWDALEEYLLTPHGLELVSEAKAR >cds.KYUSt_contig_686-1.762 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4587780:4588424:-1 gene:KYUSg_contig_686-1.762 transcript:KYUSt_contig_686-1.762 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHNHGEVASLHFLQPPNPSRSFATHHHMSMALPPEVYFPPSFDPTALLAGDDVAAAFEFDTILEEAAHHASGNGSPSSGSDAVNYCQLGGADSGVGGAEEERRRRRMVSNRESARRSRMRKQRQLSELWAQVTHLRGANRRLLDELNGALRQCADACRESANLRDEKAELTKKLEQLSEQSAPEEKAASSNHSSCSSETCCKESTTVAASIE >cds.KYUSt_contig_786.69 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:433090:434172:-1 gene:KYUSg_contig_786.69 transcript:KYUSt_contig_786.69 gene_biotype:protein_coding transcript_biotype:protein_coding MSALMSALRGAGRQHLTASTVAARKAVTGSHVLRIHGYTQLMSKTAPMGTAIASTEFGVGGHDWRIECYPNGRDEEHRGYTSLFLSSPGCDATARFGLTVLGPAGKPTSCARASQGDVQFRYLGQEAGWKDFVWNEELHEGEHLVDDCLTVLCDVTVDQGMHADEVALADEVAPLRFDTRGLFAEAIRSKNLSNVVVHAGGESFSARRWVLEALSPVFKAELQDATSGELHIDGVDAEVFQAMRQFMYREALRSDCYEHVEVEATMAERLLAAADRYGLEKLKLACGETLCARVDSGSVGAMLALAERHACPVLKEACMAFLSCAGTLRSFVATHGFQRLKRECPSAAAEIVEIAVMHMP >cds.KYUSt_chr3.2233 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12849777:12850561:-1 gene:KYUSg_chr3.2233 transcript:KYUSt_chr3.2233 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRVTHQAVVYHLTLFGEQTEDSPVPQPAEGIEETAPGTADTAAGATDVSSADAEGGKQREAETLAPGQTASTPLEANPGSRAALPDGIGGCCGTPAIPWEELDCETTDAKERRNLGVDEHDDKKTTAPMARACTR >cds.KYUSt_chr3.34994 pep primary_assembly:MPB_Lper_Kyuss_1697:3:219480878:219483048:1 gene:KYUSg_chr3.34994 transcript:KYUSt_chr3.34994 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVVWRRALPRRIVPDRTRPVKRRRGNQAATSRSHSLLRDPTPLPAAVAMAATLLRRALQLRRVLPSPSSRALLPTASSRLLSTTNSTQQNTTAIPIDLSSDESRRRLVNSLMYRSKQRGFLELDLVLGTWVEQHIRSMDEANIRSLLQVLDLENPDLWKWLTGQEQPPEALNSNPVFVAIKSKVLDNLSKHSSPETRSAPGQPWVRGWDDKRGLDGPKYGNQ >cds.KYUSt_chr3.39339 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247820666:247821064:1 gene:KYUSg_chr3.39339 transcript:KYUSt_chr3.39339 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGVVALRPSWTVAEEVLPCMHSPEKRHSSSVADFPHDSQNHQLLPPQHHHDERKESSDFGQHLEACKRNYYSKKGMGTKEQRQPKSLLAMDLNRGRWHRSHRQPMSISLPGSQKLEGEKKEDGLRWIWIQ >cds.KYUSt_chr7.14074 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87047957:87049243:1 gene:KYUSg_chr7.14074 transcript:KYUSt_chr7.14074 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMTHCVVEEAEGRYITNSRMLLQLRMLMEAMYRGYDVLNTYGPLEQIRTHVEVSSSYTMAFPIVRRSGSKEVQTALETLEGATTNINEFITLLTGCERMFRSPYSCYLYMDNFMFGRQVERQHVMNILLQDNDPHRVLTVLPVIGGCRVGKKTLVWSVCSDERICSRFSSIIHVNGCEIQTIDHDSFSNVRTLVTVEIQSDVDDKEWYKFHSLLLTRTGKGSKVVIISRLEKLARFGTVNLVRINTFSQEEYSYLFKVLAFGSSDLVHHPHLALIGKELATMMKGSLVHLNVYSSMLRNNLNVPFWIRVLKLYRTMMETNLSTFGEHLRALLDKGSTVDITEFSPSSSSSRFMLLVGGKDSSRSGELPRMTFGDIIAGYVVLPMKFELVWESRLPPYTVISATCVAEKHEHSPIPRKKRCRLDTSM >cds.KYUSt_chr2.36649 pep primary_assembly:MPB_Lper_Kyuss_1697:2:226316025:226317752:1 gene:KYUSg_chr2.36649 transcript:KYUSt_chr2.36649 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALMQQQWSSKDGDGQEVAIDYFLRPRCHAMEASSPWCSYGSSDLLGLHALGLSGVALTVFYGPPHWDTGRLVCEKVNLGSVAGVLERDDGDEAAQTHGHDLDEKFPVIKSSPVTVLSRSASAKEGTIKPLN >cds.KYUSt_chr5.18391 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118843661:118849651:-1 gene:KYUSg_chr5.18391 transcript:KYUSt_chr5.18391 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGHFEYEDDDIKEVVVEYHDTHAAVSEELPDLEMEATVGSGKPPYNLQDVGSSSLEMKESTSTGDCEDDDDVKEVLEYHDTDAAEEQDRLPDFEMVDTVASSKPPYKLPTRIFNKLYPHQREGLIWLWSLRCRGTGGILGDDMGLGKTMQVSAFLAGLFHSGLIRRVLVVTPNMVLTHWIKELSVVGLRHKISTYYGPKKDVRHYELQHVFKEGGILLTTYDMVRINYKQIRGDFYDEEEEGKMWNYVILDEAHLIKNPKAQRSQCLLEIPCVHRIAISGTPIQNNLKELRERIKPYFLRRTKSEVFLETGLTDDKQLPKKNELVIWLKLTDRQRQLYKAFLKCELVHLAASRGNPLAAITVLKKICDHPQLVTKRDEEMDMAENNDQEMEMAEKMAMNLGDMPRDDGAVEVGPEVSCKLSFILALLRNLLEEGHHVLIFSQTHKMLNLIQDFQEGLGAQIFLLTTKVGGIGLTLTKAARVIVVDPDWNPSTDDQSIDRVYRIGQTQDVIVYRLITSATVEEKIYKTQVLKGALFRAATEKNEQPHYFSQSVLIMIPLLLVLAGFPIRYAMANFVAYLQEIQDPFSLPEQGFDVSLTQKQLQEEHGYPLDIDAPLREHIEFLEQQPGTAGVGYHSLLFSKTEVLPMFTENNALGRGAHAKPKDECFTATTCTNSEIPEEMNRLTETFASTTLEPRLSDCADKIVNALDNGKLTVGADSSPSSSKEMIY >cds.KYUSt_chr5.16022 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103239227:103241470:-1 gene:KYUSg_chr5.16022 transcript:KYUSt_chr5.16022 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSRPPSHLHALVLKLGMSGDTFTTNHLLISYSKFGLLGHALQVFDELLHRNLVSWTAMVSGSVRSGAPELGIQLFVSMIRDGFCPNEFALASALRAACDQSMAHVKLQFGISLHGVAVKVGVDADPFVGSSLLLMYAKHGRVAAAELAFVEIRRKDLTCWNAMLDGYVSNGFGRDALMVASLMHQSGLTADMFTYVSAVKACLIAGELDFGRQLHGSVIHNMFESDTSVMNALVDMYFRAGLMGIAMAVFGRIRHKDTISWNTVISGFAHDEDERAVVGCFAEMKQSGGKPNEVTLSTMLRLAGAKENASLGLQIFGLAYRYGYSDSVLVANAVINMLSRCGLLYCAHGFFSNLSFRNTVTWNEMIAGYGLYSCSEDAMRLFRDMVCFGERPDEFTYSAVLSALQEPHEPKNHEQVHASILKQGIASQQFVSTSLIKAKAAFGSVQSALKVIEDTGKMDLVSWGVIVSSFLSNNLNDDVIFLFDLFRSDCMNKPDEFILATVLNACANAALIRKCRCVHSLVIRTGHSKHFCVASALVDAYAKCGDIAAAKSAFAAVSSSGDAILYNTMLTAYANHGLIDKALSLYQHMTRAQLVPTPATFVAIVSACSHFGLIEQGKLVFSVMLSVDQGMNPTRANFATLVDLLARKGLLHEAKGVIEVMPFQPWPAVWRSLLNGCRIHGNKELGVLAAQQILRMVPSNDGAYVSLSNVFAGDGEWHYAEEARRIMAEKQVRKVQGYSRIEI >cds.KYUSt_chr1.23290 pep primary_assembly:MPB_Lper_Kyuss_1697:1:138256397:138269974:1 gene:KYUSg_chr1.23290 transcript:KYUSt_chr1.23290 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGGAFRGHFLVPAACRNKDSCPPDLGFAMAAALEGSTGVCGKIYADKIYAGTLVRCCDFFTMHAGFFIRPAGFFFHSSEVLLKPIISLLYGLHLTQRNLRRFAKVGATGNMIPALPEPSINFALPDIVLTTASCTTRYEAISNNTRADAGSAKGKHTVLQRGCQQSGDAARGRSGCCKRRVVVLLASSDEVAGGRWGCCHKCVAVVREKGDGDTTSGWRCYHRGLPVLSVVVDEFLSNLRLTARWSCRRQCCNLMGGDAARRGGGASGRNWHDVGGCRRCEVGERVTERMKNGRRTKELVWTMDMIFLPCKTYTCQSVDPEVAKSRTSGGLSAPHIRKCIGPAHPSLKPVKIDYPKKVRSSRFSQSRRGRQKKKREQRSGESDMAAGSLDLPVVDLASPDLQSAAEAVRKACVDSGFFYVTNHGIQDELLAAVFAESKKFFELPLEEKMLLHRNSAHRGYTAPYDEKLDASSKFRGDLKESFYIGTVRDVDMQNDPNQWPPEERFPSWKDTMKLFHATALAASKRIISLIALSLDLDPEFFSNIGALDCPSAALRLLHYPAHSDYGMITLLVTDGTPGLQICREKDKEDWKDVHHIGGSTLHRVVSVGKERYSILIAPEHLQDLSNNTSERRDSSAPVGSQMEENASPKDERDDPMNPEAVFINPRSSADDISDTAGSMHDDDVDRAAFVDAAAEEAEALPLKRPSGGFADEDDLFDLFRGFGPYCGPNSPDVDCFFPFQGEGYSFGSRSSFFRETSVISSLETFASQYTSLEANKAQQQKEAKSSSSKLEGAIKIAAEARQEVDSLKEELEGLKKRLKDEEASRLAAEARALEKDDLLRQSSLALLKAADIPVEALDKLPNNSRRMLCR >cds.KYUSt_chr7.25987 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162229507:162231294:-1 gene:KYUSg_chr7.25987 transcript:KYUSt_chr7.25987 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTASSQPTDIAKRMAVSKNAADDMMRVLSIYDTGVLPAAGEAAGEAYGSDEEEAFAAAEDVILRCNSSSSSSGMNDYLYAVDDAIAAAALQGGLASRAAEAVQAAMPRLEEEARALLSNSSSSSARRHSFSYSDDLVVDDATSPPDASSPSPRLSPRAAASVLGVADRMLRAGYGPELAQVYVAVRRDALAEAVAHLTGAADPVAIEEVLRMDWPALDQKMRRWNHAVRAVVRTVLAGERQLCDEVFAADEELGHECFADVARGCVLQLLGFADAVAMSARATEKLFRTIGMHEALADVAPELVALFAGDARDLFDAEVSAVSARLGCTVRRTIEEFGAVIQAESSRRPVLAGEIHPMTRYVLNYCGLLADCRGTLDIVLVDTIDSFDGGEADNDDGTGATSTPSGRCMRELMTRLLRKMDEKSCLYDDAGLKHIFLMNNLYYIVQKVMDSPVRELLGDDWIRRHRGQIRQYETGYLRASWIAVLSCLRDDGSAAGPTVKDKARSFNAAFEELYRNQTSWKVIDPQLREELRIAVSERLIPAYRSFLARPRTRTGSSSGSSRHSASRYIKYSLEDLEDYMLDFFEGVQKFVK >cds.KYUSt_chr2.13092 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83087207:83091827:-1 gene:KYUSg_chr2.13092 transcript:KYUSt_chr2.13092 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCRVAQRASRKLGFKFFGPYEILSKVGNVSYKLKLPESSRIHPVIHVSQLKKAIRPQDTVSTDLPLTFVDACFAVQPLSVTDERIIRRGSKQVPQVRIQWSGLPPECQSWEPVFAIVNAFPQALAWGQAGSPGRGIVTTQYLSEAVKISKLAEDFESDPEMMEQAERIIIKCGHLPLAIVTICGFLASRPASTMEWRKLNEHIGVELEINSSLERINKMVISSYNGLPFHLKACFLYLSIFPEGHNLRRRRLVRRWIAEGYSSKMLSLAAEEVGEKHFRDLLNRSMIQTSKETASNGEKVNLYQVNNLMRAISISKTTEEKLVCTLDDSNTNPNSRDKIRHLVVSSRCSRDKNNALVRMMDLSRIRSLTVFGEWRKHLVSSKMRLLRVLDLEDTTGLRDKDLIPIGKLRHLKFLSLRGSQGIFHLPRSFSKLLNLETLDIRGTLVTTLPGAIVKLQKLSYLRAGYIPSDEGDQSINFAEFRVTILELLSQLCGSYRTKRSVDKEQMGVLNNFGPTIGFIRSVLLKGLDPHGVKVPKRIGKMKILHTLGVVNVARGKTVIKELKTLTKLRKLGVTGINKKNGEDFCSAVQALSHLESLSVRSEGKTGLEGCLDNLSSPPKNLQSVKLYGHIAKLPTWINPEKLRNLSKLSLWSTHLEQDGALRDLAKLPKLAILCLWRDSFKKCKGATGEEDPLSLKFGPGTFPQLNRLQITDLDQLQWVVFENTAMAELEILQVDKCARLDEGGFSGTEFLPKLKEVRVRGKYKDAFKKTLQEQVTKTTLITTRK >cds.KYUSt_scaffold_6468.971 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4714634:4721522:1 gene:KYUSg_scaffold_6468.971 transcript:KYUSt_scaffold_6468.971 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAYRISRVTLVPSLMDIVLPSLEKNLSCGYNSLKIIVFSGENLSLGLWKRVHEILPETTIINLYGTTEVSGDCTFFDSKDLTAILEHEEITSVPIGFPISNCEVFLVTNDGIADEGEICVSGACLFSGYLAELVRNHAECSKSSTYYRTGDYARRLKTGELIFLGRKDRIVKSYGQRFSLEEVESTLMEYPDVSSAAVTFQSKGSQDYTAYLVLKSKDEIVKDSPQYREVSSHGIITSVRSWLIKKVPPAMTPNLFLPMKSLPLTSSGKVDYVKLSSLECPLEPLEVQSGNAPVNPHLQVIKKAFCDALLVDEVSEFDDFFTLGGNSISAAHAAHKLEIDMRLLYIYPTPSKLLHALVVDYSSSVSPIDESHPKKGMNVSASIQRSSDPFAANVDDSFYEGKSQINGKRAHGHIAGRYGNETDGRLNKYTFSPNDRYQAKNLCMDTCSKDKSNVMGSQWILNFCLQKKWSIGRCNRFMHVYEGKMQIGDVCSYVSCNKRGYLQELWNVPLDSCVDASPLLVLNNGMLNIFIGSHLFLCIDGCSNALMLFSLTCGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFHDISTGKLSWTVQTDGEAHNIIYVACTSGLVTAISLEATVGGPIFAGACVSSALPSQCHMLPTETHLIDASIDSGEHSVVLLLSTLHRFLSTMPPPFDQAHNVQQSVDGMCEKPHPACPVSLL >cds.KYUSt_chr2.53786 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335675947:335682562:-1 gene:KYUSg_chr2.53786 transcript:KYUSt_chr2.53786 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCPPHVSWRFGASWMPKRMGTGSSSSSSGPRLSSTPSSTPPTTLFSRVKVETKETPRRRPSDDLILNSDRHVPSPPHGHLSLVTPKTEPGTDGASSKKKKKAPAIELVAPRDYVRTTIKMEAPDDLEEFPGEKLALAASLDDVPPVDMEFALAWSGEQHVKEEEAQIWLSRVDQSPSNTMTEALKPTMDALVGSELLNHSSQGVKVAVACCLTEVTRITAPEPPYGDDVMRSFAKLDDTEGPLFAKRVSILETVAKVQSCVLMLDLDYDDLILQTFDHFFSTVSSSHQENVITSMEKIMMVVIKESEPVHPALASCLLRYLKKEKKDSLPASFMLAERIVEGSSISGRDDEVDAVGEDTSTEKKLANPMRDIKRQKLVHNSASEIVLLRLQQSSALVYGIAVDKTAAGVPELNSETWSAVFLRLDASDVYKCQFLSPVWRRIIRTPDFVASYRDMALQSPNQMFLFRSYADPSLDVNGSLACFTDIYGLNETPHGHCILRSSEIFLADGADNSHEYDDDSTEMELSRANQAYYIHDSCEGMLLISMGTRLVVYNPFIRRWGRLPELGDIKLAEGKTICAFFATGEANNREFKILYRSTTAFNIYSLNSKAHKVVPHIDEIGSGTTPLSTCLADRLSYGFSMAAVKFEHWLCWWGHHTHSVLFFDTKTEKFQLIDIPEVDEGLTMFQLLTMKGKLAAAVYSWNPDNIFLPIEQCLIGWPSSTIDVWVLDDMATSLWRKHLSLEPPRTTLLRANNYMGNLEYDVLLVNDAETVLLPCIEDLLVCGLDWSIRKKIRKDNHHVIPLCISIEQGFETHSWLDQTEVPFVFSQSDTDQDAIGDSSEESSGDDADGDGDGDDDDSDDAGDDESHDDSDEADDDDGDDAGDDESHDDSDEADESDSPPSKRRK >cds.KYUSt_chr4.38924 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240371955:240372599:-1 gene:KYUSg_chr4.38924 transcript:KYUSt_chr4.38924 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKFGFGSNTPPAFVFNPTDADIVAHYLLPRALGLHNPHAHAIIEDDPCSAPPWEVLKRHGHEDSDHAFFFGPPTDASSRTVRGGVWQRRKGNGYEDTVTLVHPAGGREVDIRHRRHELAFSVANRECEHAGYVMHEYEIVSPPLPGTVLTRIKVHKNAYRPPAADAGGADGQQADLQVPGPEQPGPSQEHDPATNGDGFAGAQDGALYDRR >cds.KYUSt_chr7.22663 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140564920:140566851:-1 gene:KYUSg_chr7.22663 transcript:KYUSt_chr7.22663 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLSGVSLGDVLTICGLFAVQCIFGLYMMFLNRLLAAGVPSLFVIVVACAASSVVVLPFAVAVERKKWPKSWSPVLVIQLVLISLGGVSIYQVLMMLGVERTSPAIASAMPNLGPGFIFVIAASLRFERFEWKCRYTRAKILGTLVCLSGAMCVSFLKSPDPVTAPKSVPGDDGAFSNIKIDIEWILGCLYLLAGVTVFACNTVLQAATLKRFPAPLSICVITAMMGSIFSAMIQVIMEGKLSSGTAENVPRIVGEIVLVGGGVIGLCTTFQVSSIGRKGPVLVSMFSPFQTVFSAFISFILFRQWIGTGCLVGIVLMFAGLYVVLWAKNREDKMAELATPSDETESDVERPFLQ >cds.KYUSt_chr2.36160 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223320870:223324854:-1 gene:KYUSg_chr2.36160 transcript:KYUSt_chr2.36160 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLVSMVRDDIALRFGTSLLGKLAHKVPHNGILNIFIEMHIAKLNPKPYEFMKGLMIIVDDVGGFLPALNHSPWNGVTVGDFVMPFFLFIVGVSLTLAYKRVPDKLDATKKAVLRALKLFCLGLVLQGGFLHGVRSLTFGVDITKIRLMDALHWKFETLHNTLPDQMIKPCPFLKTPPHEALPVSFILYPALLRSCPFTLLIPRIAIAYLVAALCEIWLKGDDDVNYGLDVLKRYRYQLFVGLLLSIMYTVLLYGIYVPDWEYQITEAIPDQVVMQLAWLTVQCWGLIISIEEQFMRVPRNAAYILHKVDLFHLMLHHGVRLHLILKAYLVAFSMDFIGMRMNKPLYTISYTLATAGASGLLFAGIYTLVDMYGFRKPTISMEWLGKHALMIYVLVACNVLPMFIHGFYWKEPKNNLVSSRPCRASFGC >cds.KYUSt_chr2.2253 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13653307:13655691:-1 gene:KYUSg_chr2.2253 transcript:KYUSt_chr2.2253 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEGEASGSAPPPVLDAAGAMGPEEAAARKRYDALMQVRAKAVKGKGAWYWGHLEPVLVPPPASGAPPKAARLRCGLCAATFSASNPSRTATEHLKRGACPNFAAAQGAPPPPPPPPPPHHQNQQLQLVTVSSPASSIVPISSIPPSSSSSQRRHSTGGGGGRKRHALAAAYAAVSEAAASHQHHIVVADPTAYSPTPPTPPGMPTPRQVLSGGRGDLGPLAMLEDSVKRLKSPSASPGAMLPRPQAEAALALLADWFLESSGSTSLSAVDHPKLKTFLRQVGLPELPRAELAGARLDARFAQACADAAARFREARFFQLSADGLRDPVITLSVNLPNDTSVFHRAVPMPAPPSASSGYAEELLLDAASSVAASSGDIRHCAGIVADRFGSKTLRDLEAKHHWMVNLPCQVHGLSRLVRDMARDLPVFHNAAAKCAKVAAYFNATPAVRALLHKHQMQEHGHAFLLPVAAPPYNDGEFTAAPFAMLESILTSSRPLQMAVHEESYKVVCIDDPSARDIATMVQNVVFWTEVEASHSLVKMIMDMVKEMEAERPLVGQCLPLWEDLRGKVRGWCRKFSIEEATAMNVLERRFRKNYHPAWSAAFILDPLYLIKDAGRRYLPPFNYLTPEQEKDVDRLITRLVSPDEAHLALMELMKWRSDGLDPLYAQAVQVRQPDPLTGKMKIANKQSSRLVWETCLSEFKSLGKVAVRLIFLHATAKGFKCTPSMTRWLTASGSSSSGIGRAHRLVFIAANSKLERRDFSNDDDKDVELLTEGDDDPMLTENAVVDPSSSV >cds.KYUSt_chr7.1015 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5485343:5485627:-1 gene:KYUSg_chr7.1015 transcript:KYUSt_chr7.1015 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTINNSCVISLCLAGDLRTTMVDGNGWGADCPKVCYGRCSNNWKNEMCNDKCNVCCKRCNCVPPGTGQDTRHICPCYDTMINPHNGKLKCP >cds.KYUSt_chr5.40859 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257885537:257886784:-1 gene:KYUSg_chr5.40859 transcript:KYUSt_chr5.40859 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSHMPSPARLGMTVSSPSLPPNQSPANPTSSPPQANNPPSSAAAAASGAAAPTLTTSASLLPLLPPLPRAQSLLHLMSSLASNLFELSPNRAAWISAYRGSLPNFLAPSSATAPPPPLPAPVSTTKEAMSMLTSLQTQLFETVAELKETLDLQDARTRLAREARAKDAALLAFAKKLREAHHVLDRLVDDYDDYRRDAKRPRGAAAVDEPEPASNGDFGASLHSKLKLDDILTYAHRISYTTFAPPEHGAGLPLRGALPPAPQDNEMRMSQLYQFADLDVGVPKKLLESKEGGTAETESAPLFVPPPDEPRPSMLPITVPAGWSKGLPKDLLPDIPLPPPGWKPGDPIELLPGGILPGVKPDEPRLGVPMPVWPMLPRDQPIQVAAVSLDIANSSSSDEYSSDVGSSDEDDED >cds.KYUSt_chr1.33954 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206404750:206409130:1 gene:KYUSg_chr1.33954 transcript:KYUSt_chr1.33954 gene_biotype:protein_coding transcript_biotype:protein_coding MLSINRRLTWYSSAARFKAGDRAVAVLRYPMQICHAMQGSSPPDAVADVAQHPQLDRAQVTLSPQSTSSSHTSPSLQLFFSLLCSAPPPSAKPSGRKNPPCLHPSPAQIPGEPSQTRSPTYQAARSMGGEAPEPGRLTRALSILDGSGVPEEALHLVLAHVDDPRDREAASLACRRWHRIDALTRKHVTVPFCYAVSPARLLARFPRLESLAVKGKPRAAMFGLIPDDWGAYAAPWVAELAAPLECLKALHLRRMVVTDADLEALVRARGHMLQELKLDKCSGFSTDALRLVARSCRSLRTLFLEDCTITDNGTEWLHDLAANNPVLVTLNFYLTYLRVVPADLELLARNCKSLISLKISDCDLSDLIGFFQIATSLEEFAGAEISEQRELTKYADVKLPSKLCSFGLTFMGTNEMHIIFPFSAVLKKLDLQYTFLTTEDHCQLIAKCPNLLVLAVRNVIGDRGLVIVADTCKKLQRLRIERGDDDPGMQEEEGGVSQVGLTALAVGCRELESIAAYVSDITNGALESIGTFCKNLYDFRIVLLDKQERITDLPLDNGVRELLRGCTKLRRFALYLRPGGLSDVGLSYIGQHSGIIQYMLLGNLGETDGGLISFAAGCRNLRKLELRSCCFSERALALAMLQMPSLRYVWVQGYRASQTGGDLMLMARPFWNIEFTPPSTENAGRPMEEDGQPCIDRHAQVLAYCSLTGKRSDYPQYVVPLHPA >cds.KYUSt_chr2.5824 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36223987:36233035:1 gene:KYUSg_chr2.5824 transcript:KYUSt_chr2.5824 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYAVAPRLKLTFVHHRAATPPFPSVQEEIRTNRPDNPKNVVTLDQGGAHGGVFTLVGAASASAVVYRCRVRCIGVNVVVDAREDERRRRVLLHSAIDGNLDLLARMAVELDAAARGGAGAGGVWSTCGRGALHLAAANGRAHVCRYLIQDLGFPVDAPSSSGETPLLLAATFGQTETAAYLLERGASPRAPDADGETPLHWAAYNGDRELAMLLLHRGADAGAANPRGTPLHVAAERAHPDVVSVLLRQGADPNKVANGVFTPLVSSLVGGSLKCMKLLILAGANVNAGGFSGATPLFIACSRRGTAPFVKCLLAAGADPNARDELGRLPVEIAAVYAEMEVVELLFPVTRRAPKVPDWTVGGIVSYVNSAAYKKWAIIVASTSKDELKQQGYSAFQRKDYDEAILLYSMALKIDDTDAVLYSNRSVCWLHLGVGDEALSDAQACTRLRPDLAEGYYHQRMAFNLLQDYASASDALLKTSNLDQENIDINDALRPFIARLCAFYFLVLINPSRPLAAVSSAPFAVARETAYQDLCPLVQCRAIVPLGSPDLYAPTVNAQLCLIASKPAMVWKPELPKFVLNRLVQLVRSGVCFNMGFKEQQMKKLFQPNLLVMAIDFIADNEAEYAEYRNLQPPERRSWLRTWLRNQFPA >cds.KYUSt_chr7.21450 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132995678:132998424:1 gene:KYUSg_chr7.21450 transcript:KYUSt_chr7.21450 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGYNGVLNSSAEISAKLLSLGLPSSKLLLAMIAKIVRVPRQPLSWPLCRRPGLKGPNRVVAYFCSITVLTIGIIMTPSKNVKTPRSGHPKFKNLRKRLQYKHKKEDSRDVPDVEKQLPSEVHSVPKDVEKQLPGKRRKKQLFFNRASPMKVVKLYKSQNASHLQIISANGFGSFLGIKCSKLHPDLSNYLMGSFNHESCSLDFPGRGSIPITDEVVKKVLGLPLGKYPALYTVDSEATSFVMNTLGFGNGKQPKLTDVETKLKAMVKGDDLYFMTWVMYVVCSVLAPTIGIRVCPKCYPAIMDPSKIKDLNWCRFVISVLIETAKAKGVKNPFKACMAFLEILYIDSLDTEDVNVSQDGPRICA >cds.KYUSt_contig_815.32 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:200325:201644:-1 gene:KYUSg_contig_815.32 transcript:KYUSt_contig_815.32 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSGRCDARAREVAGQPRLQSSPTTTKYGRFESAARPWRAPVPQGGGAAASWPPVERQVAAGRTAAARARSKRHHVCFKAGAGLLQRADAGDARGHRRYYKGNQGWRRCYKKPPPLLHVSASVATSGRHIWYIRPPVLVRSSSAVATSSHRCCYIRLPVLLRSSSAAATSGRRCYMRPPSLLRPVTAAATSASAATGGRLRCYVRPSPLLQEVAFAATSGRHRCYIGQRCYRRPPSLLRPAVAAATGGRRRCYIGPPLLLQEVAAAATSGRRRCYRRGCKRSHGSASSDVQDLYANEEGIFFVYRVAFFNVTSSEIGRLVGSNRTAVHPGDRGFDPPGDAERCPDLLQKLCSFSSIPLSTMVTGPVLQYVDDRLIIYRATPSAALALKILVDSFADATGLAINLAKSTFVPMSLSDATCCILVVCLFLCNAVLNALPS >cds.KYUSt_chr6.23126 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146184524:146186335:1 gene:KYUSg_chr6.23126 transcript:KYUSt_chr6.23126 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSYFDASLRPPPESGDAEEDERDSWDWGEALIDSVAYMIDESNHTSARCPFTTREGSPEQLQVTFCLNPPPHVSYFSIAYTSGEPTRFVYEPRILATAGNLALICARHGPGSLGRPKYNFFVYHAPTRRHESPRLGLLPHPEPDLFPWDKYCYFTQGEVGILRYRTRSHRPPFIITHTTPKNDEYDAYKIATIYTRIEESGITDYDLFIYDSKTEAWTRDATVFPQEPPRHFSSDRVVTIARTMVWVDLSQGMILCDLLHAPPQEDKSTGEGRPRQLRYIPLPKSVRPLGPHEIPSFSRDVAVVDGRVKFVALEIDSSCPGRWTAATWSMSVGDSEFRKDGEVKCADIAPSDALDLGSFFVGHPTLSSHCGDILYLMAKASLYALDRESIVIAVDMKNMKVGRVAKYTMQRAGCMTFAYMHTTISKYLAPPRDSKGNMKRRGQILLGSFHKKPHVITFMSGHGEDQVEGAGDHMYDLPSMSDDEDNMDLG >cds.KYUSt_chr2.4334 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26669897:26670698:-1 gene:KYUSg_chr2.4334 transcript:KYUSt_chr2.4334 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNAAPAPAAAVATEECGMRKTVVVVGVDDSDHSYSALEWAVRHVAAAAGAELVVVHGKPSASSVVTFGSPGAGDVVRFVEADLRKRAEDVVDRARRLCIANSVHGLIEVIEGEPRYVLCNAVDKHGADLLVVGSHGYGAIKRAFLGSVSDYCTHHAHCSVMIVKQNKPKK >cds.KYUSt_scaffold_1259.81 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:599741:600346:1 gene:KYUSg_scaffold_1259.81 transcript:KYUSt_scaffold_1259.81 gene_biotype:protein_coding transcript_biotype:protein_coding MACSQQPPALDSACNAIAIGYIAKGSQPHRKQQDKLPQQAHHYDLQLYRRQRENRRMLPAFSSGSHATWDTASYLYLLPHLRPPCLLKNKYLGALETDNSTRSSGMASPAPVEVGAQGTIGSLVCREIEYYRTTDGTSAKKKNGSKKAAANGGSPRTPSKARKKGGAFLPSMCSSAEVAVAAAAGSQAPRFRYRHLGEPQD >cds.KYUSt_chr3.31447 pep primary_assembly:MPB_Lper_Kyuss_1697:3:197518048:197518599:-1 gene:KYUSg_chr3.31447 transcript:KYUSt_chr3.31447 gene_biotype:protein_coding transcript_biotype:protein_coding MERISCHADLRALQDRSDRRMFLNLVSLESVSIASDSYALLRNLVVERRNWRCSDMDMDFLSLVASVAAELHKMKLALSPLLDREAKPGEAFPKDLLLLKNSALALLSLWEDANEIVKKCLGSLAEQDVFLGHAEAVGAVLEDSVSQVLRGQRNFAWLQGRVPTFLKEVDLLLSTRVCFPYSD >cds.KYUSt_chr2.11247 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71445345:71445821:1 gene:KYUSg_chr2.11247 transcript:KYUSt_chr2.11247 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADGDGPEEELLVACECCGFTEECTARYISVVRARYGDRWICGLCGDAVGEELGRAPISPAEALDRHVAVCSTRRASAPPSPEDNAGDLIAAVRLLLLRRLGSSPPSTPPRRVRSTPSSPRRGGASADVDVTGDSASAGSGIALVRTGSCFAALVE >cds.KYUSt_chr7.2235 pep primary_assembly:MPB_Lper_Kyuss_1697:7:13009355:13012699:1 gene:KYUSg_chr7.2235 transcript:KYUSt_chr7.2235 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLSLARLALPLQSLPAAAAGKRPANATISFAANSFFGAPLAVAAATALSPAAPLARSLSVVAKAGKGYKMKTHKASAKRFRVTGTGKIVRRCAGKQHLLSKKNAKRRKRLSKMVAAFAQNIPAMCELHFTVPMSGAVLCALNSRLEASITVRGSAWSGRAMQVERRRGGVQVNKSDYSNVMGALPYLKVNKKAGGEY >cds.KYUSt_chr5.28718 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181904462:181905174:1 gene:KYUSg_chr5.28718 transcript:KYUSt_chr5.28718 gene_biotype:protein_coding transcript_biotype:protein_coding MDMADDIGLATLHPHLDASSMAAAFPKPKGGAASCLGHAPRGSLPVDKGVASEAAALLEEGKGVLLTPSSFGSKSPQDVERLCFAFVLYCAARLKGMKEGSSRVRLWKILEGCNLNYDDFFKESQQFRSKIDQILRSRYGSDWEDQLEVG >cds.KYUSt_chr7.18993 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117672661:117675847:1 gene:KYUSg_chr7.18993 transcript:KYUSt_chr7.18993 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTEYMTYMFKYDSVHGHWKHSDIKLKNDKTLLFGEKAVAVFGVRNPEEIPWAEAGADYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEDKYTSDVNIVSNASCTTNCLAPLAKIINDNFGIIEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRIEKAASYEDIKKAIKAASEGNLKGIMGYVEEDLVSTDFIGDSRSSIFDAKAGIALNDNFVKVVSWYDNEWGYSNRVVDLIRHMAKTQ >cds.KYUSt_contig_3233.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000628.1:53074:56052:-1 gene:KYUSg_contig_3233.9 transcript:KYUSt_contig_3233.9 gene_biotype:protein_coding transcript_biotype:protein_coding MINTPSRFAPRWRASVFYRWLLGTREEGAEQNARRSLLQNDPNAIEFYFEVVDTGVGIPKEKRESVFENYVQVRLMGGEISIKDKEPGEAGTCFGLNVFLKISDAEEHIEHGRAVPSLFREPGCFKGGQCVLLVHGDETRRILHSWMENVGMKVWPVPRAELLAQTMEKARATVGASQSRPASISSSQGGGDDLEGVADRCFSSKEMVTQVLRNSSGSHVGHLHPFGLLVVVDISGGRLNEILQEAPSLARIKHQVPCRVACITDLKTSSEDLRMLKEAASCDMDLRKPIHGSRLRQLLQVMRELQASPFPQQLPHQVGVTINELPTADQAAAASSEITSAVTVPQEPPRLGDDKPLEATAASSETTSAAAVPQELPKLEDDRPLEGKRVLLVEDTRVLQFIQKKMLSTLGATVMVAADGSEAVAMFINALEIASGGASSEERLVLPYDVIFMDCQMPVMDGYEATKRIRMEESRYGIHTPIIALTAHSEEEYLQKTIQAGMDLHLTKPIQKEQLVEVVHQELLVTSHGRRLQLGMGLDVISKDPHLSLCPSDRVRLVYGLDP >cds.KYUSt_chr5.40762 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257345276:257346703:-1 gene:KYUSg_chr5.40762 transcript:KYUSt_chr5.40762 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDKLRIIETAVVTPNDLSLPPRSLPFTFFDVEWLRERPQPLVQRVFFYRLTQQHHVAVQRLISDLKHSLSKALTFFYPLAGHVRRTPGARSNRYELFYQPGDGVAFTAAEYDLHDVDDFLASSDHPVPVSMLAPLVPLLPKGRAVLAVQATVLRRGGLALGVTLHHSACDGASYTQFLHAWAAACAGATDDMPPPPVIDRSLISDRNNLYDIYCAGISLPSDGAEIELAGTTTSSYLDDQLLATFTLSQELIQSIKDALAAEAARRNAPTPLRCSSLLAAYSFIWSCYCRAKHPMDAAGDQARATTYFLFAVDHRKRLNPPVPDQYMGNCLSPAIAAACQDELGAAAGTGTAAGLFAAFTAITVALEQALSDGSHERWDRCMGRVREAAKAGLLSVAGSPRFHVYDVNFGFGRPAKVYMVSAARTGGISVAEAGDGRGGVEFGIPLPAAGMERFSRCFADANRDVGTVHEQD >cds.KYUSt_chr7.32432 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202018936:202019765:1 gene:KYUSg_chr7.32432 transcript:KYUSt_chr7.32432 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQCEMKDVQLTLEEKACLDEMIKQAERSLEPHELLAGALNNQTVGPLSIVATKIFAGQVVRNFPNPLCNLDGFAMSGTFATGVKAAVVYSAKNKAGVECGWLLAFNDTNNAVGVRVFAECGLKDKFRNINWAQVEQKLEKSGTIAKAHDLETGTSLYAGICGPTGKSAAGAVFLG >cds.KYUSt_chr4.23527 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148083570:148083941:1 gene:KYUSg_chr4.23527 transcript:KYUSt_chr4.23527 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAATLQLLLVAVVAAMLLAAPHAADAAISCGQVNSALSPCILYARGLAGAPSAACCGGVKSLAAATKTTADRRAACSCLKMAAGRMTGLNNGNTANIPAKCGVSVPYGNISASVDCSKVN >cds.KYUSt_chr4.12262 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74698015:74699922:1 gene:KYUSg_chr4.12262 transcript:KYUSt_chr4.12262 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPRLLHRHLALPLARRHSPLHPWPPAADAVLSRGLASSSSSAAAAAAAGREKSSRRTLGYLLGVAAAMVGASYAAVPLYRRFCQATGYGGTVQRREVCPHPLPYYPSFDLLMQVRSLSVFWNCAFAGITTRLCVIWSILTAQLPTLDAVEFDADLALTR >cds.KYUSt_chr1.31271 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189713552:189714921:1 gene:KYUSg_chr1.31271 transcript:KYUSt_chr1.31271 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVQRRHPIPRLQIQTTAPPDLHCTATMAPAVARVLAIVLLAAAASTLAVADPSPDAVALQAFRSGLEDPDGELQSWDPELVDLCSWSHIICDANNRVTHIELGYSNLSGPLSPELAKLDQLQYMRVGDNNIQGTIPEEFSDVGNLVSLDVYNNNISGPIPASLGKLSALKFMRLYQNRLTGPIPMELTGLSKLEVLELWNNDLCGAIPTSGPFQNFPPNSFAGNPRLRIPGQQQGADC >cds.KYUSt_contig_2860.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000546.1:64512:67019:1 gene:KYUSg_contig_2860.17 transcript:KYUSt_contig_2860.17 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAGFSAGSPKVDFAIDMGNPLLNRTVDGFLKIGAVGACKVAAEDAFECLHKGSVSKNKLEQTLKNMCKEGVYWGAVAGLYVGVEYGVEKIRGRYDWKNALIGGVVSGAIISAASNSKADKIAKDAITGGAIATAVEFINYLT >cds.KYUSt_chr4.23526 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148078730:148079092:1 gene:KYUSg_chr4.23526 transcript:KYUSt_chr4.23526 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAAQIVVVAIVAAMLLSAPFAANAAISCGQVSSALSPCMAYAKGGASPSAGCCGGVKSLANSAKSTADKRAACNCLKKLVGSISGIKAGNAASIPSKCGVSIPYAISTSVNCNSIN >cds.KYUSt_chr1.3115 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18894701:18900773:1 gene:KYUSg_chr1.3115 transcript:KYUSt_chr1.3115 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAATPERLPKQRRGGYLWKKALLHFSLCFVMGFFTGFAPSSSSSWRSGGGGGGDPVPPHRVGDQLAASRVALNGNQRINLAPPSPDGAGGGGATVDVDDDGNDETGPRRMLIVVTTTRSGAGERRRRRPELLRLAHTLRLVRPPVVWVVVEPAADAPATAEVLRGTGVMYRHLAFRPEENFTTAAAEAHAQRNAALAHVEKHRLSGVVHFADAAGVYDARFFDEIRQIEAFGTWPVATMSAGEKKVVVEGPLCTASKVVGWFSRNFNDGTTRSVTYNAEVDLNPAGAAGTRAHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVQEVVLEDRTKLKGIPSDCSQIMVWQYTMPSPASHKTSAPKTHHRRARGSGNGSDSTAERRICPASAVRSAAMN >cds.KYUSt_chr2.54444 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339675830:339677262:-1 gene:KYUSg_chr2.54444 transcript:KYUSt_chr2.54444 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSICILFLVLNVARVESRPNPDDRPKLRHLFVFGDSFGDNGNTRKPPVDPVLGSAVESEDSRQWFFPYGSFTDRRDNPTGRFSNYMVQSDLVANIMGLDVAPPAYMLTERNTWDKSGMTFAIGGSTVYHPPSNKKRVSTLRHQVDRFESLIADGTISRKHVEHSVALIAFSGNDYVTVGDAGGMDMGINDFIREVTTEIVTNVQRLQEMGVAKVLINNVPPVGCAPSQTMPNGFTRCDRGGNNYATVHNRNLKRLLKEMEDVHIIDINNAFTNIVDGDNTEVSSFFDERLAPCCQSIDPNGYCGQLGQSDTDYRYTLCEKADKYFYWDDMNPTQAGWETVMEQLEDPIKEFLGLN >cds.KYUSt_chr3.22822 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141107550:141108047:-1 gene:KYUSg_chr3.22822 transcript:KYUSt_chr3.22822 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRFAAACGALSQYVKEAADHRAQLARPAPSPSPAVRPLPLMPGADVTSRGEEPEADPASRDAAAQLTIFYGGRVLVLDDCPADKAAVLLRLAVAAAAKPKPETEPQVGARGDVLVATADLPVARKASLQRFMDKRKGRLAARDQPYLRPDAALRDHLALAL >cds.KYUSt_chr2.27734 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170387116:170387355:1 gene:KYUSg_chr2.27734 transcript:KYUSt_chr2.27734 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVTAVIAIAAVVLGWITIEMACKPCLETGRRAMDRALDPNYDPDDSPSANSTMPPANEPLLADLSSASAATPAKAI >cds.KYUSt_chr7.4350 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26285319:26286226:1 gene:KYUSg_chr7.4350 transcript:KYUSt_chr7.4350 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRAEIPQVLKAAAGQGRAHELLDKLLIVAGRRSSSQVLVAGRRRRILQVLMGRDRGRGRSQEHLGKEIMVKELLGEEMVQGLLGEEMIEARKSWPLLPFRCRLCHRQAPASARTHSFGGSRRRP >cds.KYUSt_chr4.15444 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95421265:95421747:-1 gene:KYUSg_chr4.15444 transcript:KYUSt_chr4.15444 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGHVAAATCGTPRTVPFAWEHEPGVSKLQSPAEAKKQPTSRRTTSSASMKKAEAPRLRVPLPPGGAGAPAMSPSPSASRRGVVRPEEDPFLAAYLACTASGRKAAGRGRDVGAQKLLGWAGLRLSLGLGLGASCKSSCGVVEGSVVRLPKVREADDRC >cds.KYUSt_chr2.18783 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118198852:118200212:-1 gene:KYUSg_chr2.18783 transcript:KYUSt_chr2.18783 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDDNVEEGKKDVTDDNAEEGKKGEKKGKKKRNRKKRKVNDEDKDSDNKDDVTDDTMEGFLELEEIDGADYGIFGTIVEDVGAGERKVGNDQKRKTKRGKRKRGDGAKRLDADVGGDCADGLVAESKEEEGETAEEKGKRKKRNRKKRKVNDKEKDSESKEDATDDNVEEGKKDVTDDNAEEGKKGEKKGKKKRNRKKRKVNDEDKDSDSKDDVTDDTMEGA >cds.KYUSt_chr2.46524 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290806305:290807711:-1 gene:KYUSg_chr2.46524 transcript:KYUSt_chr2.46524 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENHAAMESVAVVAVPFPAQGHLNQLLHLSLQLASRGVEVDVHYAAPAAHIRQARARVHGWDQEALRSIQFHDLGISSYASPPPDPTADSPFPSHILPLFDAYITGARAPLAELLHELSASHRRVVVVHDRLNAFADEEAARLPNGEAFGLHCLAASILVGQINAKLLRDNDLAFRGVEHYAPKEFLECARRARPSSKISPGAGILTNTCRALEGDFVDVVAEHVAADGKKIFAIGPLNPLLPASASKQGKQRHECLDWLDKQPPASVLYVSFGTTSSLRAEQIEELAAALRGSKQRFIWVLRDADRGDIFAEGAGVSRHEKLLSEFTRDTEGTGLVITGWAPQLEILAHSATAAFMSHCGWNSTVESLSHGKPILAWPMHCDQPWDAELVCNYLKAGILVRPWEKHGQVIAAKAIQEVIEEAMISDEGMAMQRRAMLLGDAVRASVADGGSSRKDLEDFIAYITR >cds.KYUSt_chr2.46639 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291603834:291604718:1 gene:KYUSg_chr2.46639 transcript:KYUSt_chr2.46639 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPKRAHVPAKSRNSSSNPTRGRRSKPQAVAKPPVTPPQGHAPPPPPMKGADAEPETGSSPTIDVSLPATQTALRRPAQVIERKLDELDAWLTKALASRPRRSDVDGSLFTDIGAKTDFLRMLIAAERDSYRGALPEHLVDVEWRFGVLERTIEDWAHSAVAAPTKEEEESDEAAAGSASGSVSGSGGCSCTDSCSGFEVMGKEAAFDAKCNLDQDDAEAHKVKEAAAVAATAAAAAPIEVPSATRTTARRRWWTRRAALCGAAAVVAVVALGAGLALEFAAVAQQSAYVVPT >cds.KYUSt_chr6.8047 pep primary_assembly:MPB_Lper_Kyuss_1697:6:49511209:49512685:1 gene:KYUSg_chr6.8047 transcript:KYUSt_chr6.8047 gene_biotype:protein_coding transcript_biotype:protein_coding METPTAPAPDLLSLALPEDEPLPLLPPPPRLRQMTEMETLTTPAPSSQLDAETTPLASDDLLGDWIRCEAHHKVGV >cds.KYUSt_chr3.34871 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218621456:218626102:-1 gene:KYUSg_chr3.34871 transcript:KYUSt_chr3.34871 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGEVGLVGGGEEAMEEDGAQGAGRGQVVLMWGYLPGVSPQRSPMLGPVPVRLPPAAAGDEWRDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYVTAGKHEETPEAFPLPSDVAIVRADAGWAHCVAITVSPKSQVSRTSSGAASGPSESRGTEDSTKRRRLSSAKQAQDSSTSNDENLSAPPCIVTFNTGVKISAVAAGGRHTLALSDFGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESASYGKDRPAAMKGNKNAEGHITKVMGNRVNSIACGGRHSVAVTDSGALLAFGWGLYGQCGQGNTDDVLSPTCVSSILGVKMQDVAAGLWHTVCISADGGVYSFGGNQFGQLGIGSDQAETIPKLVEAPSLEDKNARSVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCMVPVEAYRPLNVSCGWWHTLVLAESPT >cds.KYUSt_chr7.16041 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99565173:99565505:-1 gene:KYUSg_chr7.16041 transcript:KYUSt_chr7.16041 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRADKENLPSAAAPAAAAVARLHGVAVKSCKLKRLGRARRRVPLRDITNLVVAESAVAEWRQALLQRPQEGSATAELVKAGPAGKNRLAAAPAGSKAGRYSLRKEFR >cds.KYUSt_chr2.53992 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337032115:337034064:-1 gene:KYUSg_chr2.53992 transcript:KYUSt_chr2.53992 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFCTIPRGRRPSPSAAGAPYGGHHQPLPPPEWIEPYTDLADPSPYTAASAAPPTPSPWLPRVVSLVLRAPPATLAADLRAFCSTFLLRLSPAFVAAALRSPQLLPHPLPSLHFFRSLPNGTDLAAHPDHLLACYVSLLHSFAQSREATPDAANHARQLVAELRARGDAVLQHLAPASSASLIRSLAALGLADDLLWAWSAMRAAGVEPSRLTYNCLLDGLVNAGLLDTAINVFDAMSTEDRVRPDVVSYNILIKGYCRGGRAQDAIARLDHMRERAGELSPDKVTYLTLMQCHHGEGTFSQCVALFQEMEERGMGKDIPQHAYVLVIGALCKDGKPFEGMAVFERMLKRGCPAKAAMYTALIDSLGKFGRETEAMSLFERMKASGLELDTVTYGVIVNCLCRFGRLDDALLCFRNCVEKGVAVNAIFYTSLIDGFGKAGMVDQAQELFEEMRVKGFVPDSHCYNVLIDGLAKAGRTDDACALYKRMEADGCDQTVYTYTILIDGLFKEHKNEEALKFWDAMIDKGITPTAAAFRALANGLCLSGKFSRACRILDELAPMGVIPETAHEDMINALCKAGRFKQACKLADGIVSKGREIPGRVRTMMINALRKAGNTDLAVKLVHSKIGIGYERSGSIKRRVKFQTLFV >cds.KYUSt_chr1.41722 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255765768:255768413:1 gene:KYUSg_chr1.41722 transcript:KYUSt_chr1.41722 gene_biotype:protein_coding transcript_biotype:protein_coding MTICSCEETINEFEMLTRDAGRVQQDTLRKILEANADAEYLTRFGLDGRTDAESYKSCIPLCVHSDVEPFIQRVADGDSTPVLTGKPITSLSLSSGTTQGKPKFIPFSDELLEDTLQIFRTSYAFRNREYPIGKGKALQFVYGSKQVLTEGGILATTATTNLYRSQRFKEGMKDIQSEGCSPDEVIFGPDFHQSLYCHLLCGLIYSDEVHSVFSSFAHSIVHAFHTLEEVWEDLCADIRDGVLSEKVTAPSIREAVSKILRPNPELADSIYKKCTGLSNWYGVIPALWPKAKYVYGIMTGSMEPYLKKLRHYAGNLPLISADYGASEGWVGSNIDPTLPPEQVTYAVLPHTGYFEFIPLEKPTGEETENSANIHYIESEPVGLTEVKVGKIYEVVLTNFAGLYRYRLGDLVKIASFHNSTPKLQFICRRSLVLSINIDKNTEKDLQLAVEDAAKLLEGEKLDIVDFTSYVEKSTDPSRYVVFWELSSETSDEVLSGCANALDLAFVDAGYMGSRKIKTIGPLELRILRKGTFKEILVHFLSLGGAVSQFKTPRFVNPSNGKVLQILNRNVTKSYFSTAYGL >cds.KYUSt_chr6.22370 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141344329:141357553:1 gene:KYUSg_chr6.22370 transcript:KYUSt_chr6.22370 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQQAIEGKAGTRSCRPRSDPDWTHAAASSPCHRRPNRRHPAPRPAPCAPAPRRRRAGPALAPTTAVPHSLHRRGHPRHLRRVKLARRPRRPPEAVARPPVAAAAAVERPAPGNARGRLEAEKNRPAATILEARPASPVPPPAAALRGRGFLGGARYVCSRRLRAVGRLELRMLQSGSFNKNFCIKYGIEQRGDDASLEGATGAMKALGWNCQGMGKSLGSPKMCHLTRMIHSTKAQRAKKQWIKDGDRNTSFFHKAILKHKQELWDTLKDMKRNASPGPDGFNVEFYQATWSWIGDDVAMLGLYDSGEPRPREVSLATKQVLNQSRNDALFDRKKGEPYQINLNAQALHNNLELYAPLDSKLQDNLPQEPDMMTADPEQGSTLRTDLLITGATIFSDASWKCKMNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSVGGGLGGGALGGDYANFGARGGGGSSFGAGFGAGSDVRPGDWYCTCGAHNFASRSSCFKCTAFKEEAAINGGAGGFDGDMSRSRGFGFGAGGMGGGMSGGMGGGMGAGAAGGRSSRPGWKSGDWICTRLAFPCISMFVTVLLRANADVSPHRSGCNEHNFASRLECFRCNGPRDSGSATPFENFL >cds.KYUSt_chr2.14422 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91235897:91237624:1 gene:KYUSg_chr2.14422 transcript:KYUSt_chr2.14422 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDSRSPRALLYYWASHPSAAAAAAAAPRAFFSPSSTTPAAAARTVVIEHTSRGERAYDIFSRLLKKCMERDLFMDPEEAHTWGLIDEVIEHRPVSLVSDAVASDPPNPLLEAKPSLGRSLLRLDGWRLQGEERHLGSLLPGGPTPRTNSKI >cds.KYUSt_chr7.9576 pep primary_assembly:MPB_Lper_Kyuss_1697:7:58427713:58430274:1 gene:KYUSg_chr7.9576 transcript:KYUSt_chr7.9576 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGLTILTCLAFVLLITTTTWPPVALAASGQHRRRSNLWRGDYIIVSGDDALVSPNGDFSCGFHRVATNAYVLAIWFTASADPHTLAWAARRDAPVNGIGSRAELLGDGSLVLQDFDGRTVWTTSTGDTGADRAQLLDTGNLVVSDAAGRTLWQSFDWPTDTLLPGQLITRRSRIVSARARGATSSGYYILYFDSFNILTIMYDGPETNLNYWPNAFKTWYENGRMAFNSTRLASLDERGRFSSSDGLRFEASDVGPGVTRRLTLDYDGNLRSYSLDVAGGGTWRATWAAILRPCGVHGICGRYGVCTYLLDGPACACPEGFVPADPADWSKGCRRLFHLRCGEDVRFAELPNVDYWGFDFKLLADKNSGIFNVSFETCRQICLDDCNCEGFGYKKGGNGKCYPKVALWNGRGPEPKQFMYLKVPARDWKNLNMSSVMSLRYDGHACTKQEHNASGSYYMSRYLKNVGDSKINFVYVYSFLAGLFVVEAMVMIAGYLFMFRADRAATRRVHDEGYNLVLGQYRRFTYQELSNATCDFQEELSSEAPWPVYKGVLEDGRDVAVTRLAEVTPQADQVFRSEMSVIGQVNHMNLVRVWGFCSERSHRLLVSEHVENGSLAKALFNCGAEELRLGWHSRYKIAVGVAKGLAYLHHECSEWILHCDMKSENILLDVSLEPKITGFGLVKLLSREDTTGGVPSRVQVTREYAAPEWALSLPITWKADVYSFGVVLLELLHGKRASEWSAADSPKGEDACVDLHGILVWIEDRKIECQGKLSTPGSWLEKFVDARLLGDFNRLQAAAMLDVAMLCVEDDPDRRPSMNVIVQKLLSSQDVGSASLRRVCPAPTHEIRSTM >cds.KYUSt_chr6.935 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5894139:5895132:-1 gene:KYUSg_chr6.935 transcript:KYUSt_chr6.935 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPASDWIPRASEVRLGEERRRREKEAEMAEARRQALLRRKLLILQISLASEWAIKQMEKGWRPQISGQGLEEQVAAALAMVKMKDPEDPRRLMAEQGLEDLENWTP >cds.KYUSt_chr3.17285 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105822479:105825298:-1 gene:KYUSg_chr3.17285 transcript:KYUSt_chr3.17285 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKRRRVEQHDDATDRLGDLPDCLLHDILSRLGSRQTVQTSLLSRRWRHLWRDVLRGNVIVNESEFAGEQWERFEDFADHVLPASIPTETPHLDAFGLNLVSQRVTYSCSGRWIRRGLRRCPAAVDIRTAHDVTVSWQPHWSCLDGSRPQPDVSAAGLCAAGFTRRLTKLHLVGVGMSATFLEDLGTYCPVLEDLHIENFRMMDDLVCIASPTLRNLALVQLRDHLASANLRITAPLLVRLRLELAYGGLNRHCVVAGLATGAEPLALVREALIRLTDTSHHLQRSERRRKKGKLEFLTSMRAFLALLPNSVKLHLAGFTTTALLEEESQEFPVLHRLKTLILERCDLGVEFQALPGIFQNTSDLEKLGLHHCTNPLQELLHREDWTWRLEPRRAPCHAVSVRRHINHTTAGTSRVHEVSPLNQELGGA >cds.KYUSt_chr4.7873 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46913664:46914708:1 gene:KYUSg_chr4.7873 transcript:KYUSt_chr4.7873 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFVRWMNPREWLLLLLLLLPASVSPNCPCFLRLSRRGLALGDGRDQHEMAANFPPPPEAATFPAPNAPARRAPHIAIFNFYCPAFDPGSLHGFVHSFPPH >cds.KYUSt_chr1.25161 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150947487:150948590:-1 gene:KYUSg_chr1.25161 transcript:KYUSt_chr1.25161 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCRAAACLLLLLILSCAGPAAAARPAPAHGRHDGAGWHAFKRLQDARRGSHVTGLRELKRYLATFGYMPAGTAGAEHGGGEPTDAFDEHLEAAVKRYQSRLSLPVTGSLDAATLDQIMSPRCGVEDDHDHGVSVSPDHHGGAVVSRFTFFKGEPRWTQRSDPIVLSYAVSPTATVSYLSQEDVRAVFRRAFDRWALVIPVSFVETDDYDAADIKVGFHKGNHGDGVPFDGPLGVLGHAFSPKNGRLHLDASEQWALSFSDGTETSESIDLESVATHEIGHVLGLGHSTSPHAVMYPSIKPLQKKADLTVDDVEGVQLLYGSNPDFSLSSLHSEQDTSMAPERSSWVASSARLLVGAVSIILLTHL >cds.KYUSt_chr1.2159 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12578505:12581140:-1 gene:KYUSg_chr1.2159 transcript:KYUSt_chr1.2159 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFFVSRSKALTMMNRFSFEEAQMARVLLLVSREQPMAMAMPVSGRGDRAPERAFTCKTCGRVFPSFQALGGHRASHKKPRLDGDLKPKLHACSICGLEFAIGQALGGHMRRHRAMASGGRVMPPVEAIKKRGAESDDVAVGGGMSRGLLLDLNQPPCGDENCMDVDSDSSGECGHHHDAAGHTFHQFLDTGTMAMAVDCVGY >cds.KYUSt_contig_1158.112 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:671408:673324:1 gene:KYUSg_contig_1158.112 transcript:KYUSt_contig_1158.112 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVGSFQRRGAGPGTTRMRSTGEEAQMEESLVFSETIKDLKTLRSQLYSAAEYFELSYIQEDGKQAVVSNLKEYAVKALVNTVDHLGSISFKVSSLVEQKFDEVAEANLRVSCIQQRTQMGQACMNREGLSQQSLVITAPKYHKRYILPGGESIPNAVPNFSEMNKAKNRTAQMQQAFSAAQPKTKDKQPSFRKLRSMARTPSQRAHSSSPVQHPRFMAPSETAIPNKKDKKSDSPISSTTPLTRSGSLSKKPSLLKTSSVRVQMHTTSDPKRLAPLRSYADRYNEDSKEGEQTPKKSKKFLKSLLSRRKSRKEEPLPCYFEDY >cds.KYUSt_chr4.10456 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63440420:63444410:-1 gene:KYUSg_chr4.10456 transcript:KYUSt_chr4.10456 gene_biotype:protein_coding transcript_biotype:protein_coding MYTDCRQLLQLYDFGMLLLLQQCAVQQGLAWQELHTSHVSLTSEIAVVAVLSTSRECFLLIPLLAHNSLPLQEQLACSFLTFRDCMEGLTGEQMLAFKEAFSLFDKNGDGCISLEELAAVTRSLGLEPTEQELNDMMREVDTDGNGIIDFQEFLNLIARKMKDGDGDEELKEAFEVLDKDQNGFISPVELRTVMINLGEKMTEEEVEQMINEADTDGDGQVNYDEFVLMMKNAEHKISG >cds.KYUSt_chr5.38170 pep primary_assembly:MPB_Lper_Kyuss_1697:5:241177503:241181990:1 gene:KYUSg_chr5.38170 transcript:KYUSt_chr5.38170 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAMGSQSLCLLILLLFIPSRVLSESSDISTLYTLRHSIAEEKGFLRSWFDLEIPPCNWSGITCSGHNVVATDLSFVPLYVPFPSCIGAFVLLVGLNFSGCGFTGELPDALGNLQHLQYLDLSNNQLTGPLPASLYNLKMLKEMVIDGNQLSGQLSPAIGQLQYITKLSMSMNSISGGLPTELGSLQYLEFLDLQINRLNGSIPAAFCNLSRLLHLDISQNNLCGSIFSGISSLVNLLTLDLSSNNFVGPIPREIGQLENLKLLILGQNGFTGTIPEEIGNLKWLEVLQLSVCNFTGTIPWSISGLVSLKELDISENNFDAELPPPIGRLGNLTQLIAKGAGLKGSIPKELSNCKKITLINLSFNELTGSIPEQLAELDAIVAFSVEKNKLSGNIPDCIRNWTNARSISLGQNFFTGPLPLLPLPHLLSFSAESNLLSGSIPAKLCEDNSLRSLILHDNNLTGSIEETFKGCKNLTELNLQDNRLHGEIPGYMAELPLITLELSLNNFTGMLSESLWESSTLLQISISNNQLTGQIPHSIGRLSSLERLQIDNNYLQGPIPPSVGALRNLTILSLHGNGLSGNMPVELFNCRNLATLDLSSNNLSGHIPRAISNLIMLNSLSLSYNHFSGVIPAEICVGFENEVHPDSEFVQHKGLLDLSYNRLIGQIPTAIKKCSTLKVLNLQGNLLNGTIPSELGTLDESISNYTQLSSIDIHNNSLTGSLPPALSDLSRLNYLDLSSNDFYGSIPCGRKSREPLSINLATFQHSLLRVTTEDILKATKNFNKEHIIGDGGFGTVYRAELPEGRRVAIKRLHRHFQGDREFLAEMETIGKVNHPNLVPLLGYCVCGDERFLIYEYMENGSLEMWLRNRADAVEALGWPDRLKICLGSARGLAFLHEGFVPHVIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTLIAGTFGYIPQEYGMTMKSSMKGDVYSFGVVMLELLTGRPPTGQEDVEGGGNLVGWVRWMMAGGKGNELFDPCLPISGVWREQMVRVLAIALDCTADEPWKRPIMQEVVKGLKMAQTMECGPLQYVLGDQLNLEKK >cds.KYUSt_chr2.1239 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7334714:7336312:-1 gene:KYUSg_chr2.1239 transcript:KYUSt_chr2.1239 gene_biotype:protein_coding transcript_biotype:protein_coding MASREATSSGGVGAGAANQIAPPRMRKDKAVMGDTAAEKEGTLVINMTSAREAARPRFLAVGLFLSTLLVSSDVLMERMKKVWRVRGHTEASQIEADEGRMFIIEFSEEGDRRHAVCGGPWQYKMDAFLVVAMEPEVDPTSVPFNYVPMWVQFRNIPLYLLTKALAWELGWQMGTTIMIDNNSRGKITDKFPRERVQLPLYAALRKHFILEDEITGEKVKVQICYEILPNLCLFCGYIGHMEARCDLPAADRKVKFSMDMRVQAVHFDDPRAWFLPDAMGQAQAQPAPTAPWRATKPKATIVHQVVVEQVAKGVAKLNVSDHNTPPTEVLSDKGDDPVLLGPEKMAEAEADKAGAGVEGIVKDVVPFADNTEAADKEEVHGPGGNPTSSAPPSDASSFVKETKRWKRKEHGEAEVQSDTNTIPVPLEHHGDKVKVQASRTRPREDAEEDNRERGGKKGHFEVPPLELCLGKEGLRKLKEAELSRHTKEDTEKGNMAEEGGKKKEGGQKEKAAAGPGATGKLSGAKVCARQEP >cds.KYUSt_chr6.8906 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54818758:54824726:1 gene:KYUSg_chr6.8906 transcript:KYUSt_chr6.8906 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDDVLPDWMDNPDHAMDVSDLSASSMEYESGNTDEEEFNISSANYNAPREDEESWGDDDVDESMDADGVDVEDDNVEQEEDDNVEQEEDVDTDNIQSDVVEEATDDERLDCGFVDEVLVGDNSSYDYYGDSDLETIEEPVRRRHVKSVGKKKNGSEDEDESDTEDKRKFYWEVMEKTFVSEAAAYTFYNGYARQEGFSVRKFKFKETKGANKIVRRRRFVCSREGKRNSKFLTMDNRTRRLRPLSRCNCKAELDVKLDRDYGMTLVDLILHYENAIVRIRETEAKDDCISSQTSPVPVTNLRRIERAAAKVPETMYDPDYAGVHVGPERCHHHLPCHKYVAFEGTNTGRRFLGCGCKLVLEKRELEKKNLELHKQLGNLSSEQEAMVPKSAAELEKVLKEKAELQIATLKEEKKKLEYYVADLFNIRDRNLKKMKAIQEICASWE >cds.KYUSt_chr2.55566 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346590440:346599896:-1 gene:KYUSg_chr2.55566 transcript:KYUSt_chr2.55566 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWLEGLGVEMERSDMSFSVSTNHCGGRGCEWGNGNGISSLLAQKANILKTSFWRMVCEILRFKKEALTYLEYHENNPDLDRSETLGQFIQSHGYSLSFQEAYLIPVCAGSWSCSSQGVLSLSAFFVLSFFRNHDLLQLFRHAQLPTVKACSQSYVNKVKGELESMGCRIKTSCLVKSVSSFDGAGYRVLENDGSEETYDSVILGVRAPSSLKVLGTEATHYELKILGACQYVQRDIYLHCDQNLMPRNLSAWSAWNFLGVTSTGFSVTYWLNHIQKIESIRPFLVTLNPPCVPNHVLLKWSTSLPVPSVAAAKAYLQFDQIQGKRGIWFCGAYQGHGFHEDGLKSGRAAAQGLLGKKCELMLNPKQMVPSWTEAGSRLAVARFFNQYITIGNLILVEEGGSVFSFGKACDKCHVKSVIRVHDPLFYWKVTTEGSIGLAEAYINGCYSLVDKREGLLNLILILIANRDARRNRGIARKGAWTPLHAIANLKYAKNFLRHVSRKNTATQTRRNISQHYDLSNDFFKLFLDKSMTYSCAIFKMEDESLEAAQQRKLNLLISKAKVEAGHHVLDIGSGWGSLAIQVVKQTGCKYTGVTLSEEQLKYAEKKVKEAGLEEHITFLLCDYRKMPPCKYDAIISCGMIEHVGHEYMDDFFAICESHLAEDGILVLQFISIAEERYEQYRKRPDFIKEYIFPGGCLPSLARVMAAMTSASRFCIEHVENIGPHYYTTLIHWRDNFIANKDQVLALGFDERFLRIWEFYLIYSAAGFKSRAVGDYQVKTSIRLMLSFPEMVPLEWISCIGHISA >cds.KYUSt_chr3.25962 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161366880:161367482:-1 gene:KYUSg_chr3.25962 transcript:KYUSt_chr3.25962 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYVTDVPFKRAVISTTVTSSGAAVERWVDEVCSMHGGAPYEIIVGLDVEWRPSYSPTQNPAALLQLCVYQRCLIFQLIHADYIPGSLLGFLMDSQFRFVGVGVDADADRLGRDYGLQVSNLEDLRGVAAEVTGIPELRQAGLITLARQVLGESIEKPQRIRMGPWDASRLSREQVHYACIDAYISSQLGGTLLDSDY >cds.KYUSt_chr5.40363 pep primary_assembly:MPB_Lper_Kyuss_1697:5:254798641:254815628:1 gene:KYUSg_chr5.40363 transcript:KYUSt_chr5.40363 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRLLVVDVFLSVIAISSKQLINYMRNISKFRSLSPADEVSAIVIDVGSYSCKAGYAGDDTPKSVFTSLVGSIEQTEDTDEAKPEKEADSTPDPKNGSKPMDVDKAKTKRKYFVGQELEFRRDHMELIPPMKDGIVTDWDVVDNIWNHAFRRRLLINPEEHPMLIAEPSTNSGQQREKAAELMFEKYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVISAVHDGYVLQKSVATSPIGGEFLTDCMMKSLESKGVVIRPRYSFKKKEVSPGDYKVVDLDFPNTTDSYRLYCMRAIASDIKESVCRVPDTPFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPYLSQTIPGVEGFGDSTSIRGLPRMVLDSVNRCDVDIRKELLSSILLSGGSSSILQLKERLEKEVMEESPQNARVKVLASGNSIERRFSVWIGGSILASLGSFQQMWFSKAEGKVHGSSQENAETLLSRRRRGSAILVSCCFQMSEEWMTDRSNQLKENVLVILEACSTTIDKLNLVDTLQHLSIDHHFDEQIVSILRNIHASESNSSNLHEVALRFRLLRQHGLWVSPDVFNKFKDEDGAFNVGISNDPRGLLSLYNASYLLTHGETELEESILFARKHLESMESNLKPPLAEQVRRSLHLPLPKTLKRVEALHYMSEYKYESMHNSSILEFAKLDFNLLQHLHLKELKALSRWEESAISLLPEYLKNFYLKLISTFKEFEDELKPDEKYRVSFSIKAFQILSINYLQEAEWSHHNYKPRFNNQVEVSSICSGAPLACVGLFVGMGDTATKEVLDWALGCTDAVKASAVVTRLMNDLASFKRGKNKNDVASSVECYISEHGVTGDVAIAKIGSMIEDAWKTTNHARFELAELFPAVQLVANVSISMWFMYANQKDSFTFSNGLDGTIRRLFLNPIRF >cds.KYUSt_chr7.37698 pep primary_assembly:MPB_Lper_Kyuss_1697:7:235141109:235142257:-1 gene:KYUSg_chr7.37698 transcript:KYUSt_chr7.37698 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVKCTTVIAAAAGLLLGASLFFQMVSATEEDGQGRYNAMFNFGDSLSDTGNICVNKSATAQLLLTFANPPYGMTYFGHPTCRCSDGRLVVDFLAQSLGLPLLPPSKLSGADFRKGANMAIVGATALDFDFLKSIGLGYPIWNNGAINVQLQWFRDLLPRICGGTPQTCKPYLAKSLFVLGSIGGNDYNAMLFFGFTVDRARSYVPNVVDNIAAGVERLIDLGAVDIVVPGTLPIGCYALYLTMLPSVNKSDYDEHGCLRPLNDLAVYHNTLLQDRLGGVRARHGSAVRVMYADFYTHAADMLRDPPRFGFTTAVTACCGAGGGAYNYEFDARCGMKGATACKDPWRHESWDGVHPTEAVNKLFADGWLRGPYCHPPILH >cds.KYUSt_chr2.39954 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248043673:248049274:1 gene:KYUSg_chr2.39954 transcript:KYUSt_chr2.39954 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVSTATVRVFNIPPSAVATELLAFFDSAVAADGVAYACEIAAARRGWLSRGHGTVQFDSTAAATAATKLASSGRLPHFLGSRLSVTPATTDLLPRAPELALRVASARLLVGDRVAEREFEEADAWDGVRVEVIPGKRRLDLCLNHKSQRYKLGVHFEDIGHCFGCSLDGMKAILMQLTYAPRIHTTISGPAVYARFAEDRFHACKEDANFTWVRALDFTPNQSFGKCSTIVLKLDDGASVSNILDSIPFSGELGELVVSSMDVSPSSKVVPLVDCPSGCSVSYEALFRLNSLVHMGKIVAKHVNADLFKALEGIPDHISRRIFEKMSKLESTCYEPLQFIQQQACSRKMSHNPLLFRKIESEGKLMRCYRIHITPTKIYCLGPEEEVSNYVVKHHIKYASDFVRVTFVDEDWSKLFPDAISARNGQGFFSQPFKTGLYYRILSILKEGFSVGPKKYEFLAFSASQLRGSSVWMFASNDSLNAEDIRRWMGHFEGIRSVSKCAARMGQLFSSSRQTFEIAVSQQVEEIPDIEVTTDGTKYTFSDGIGKMSERFAKDIAHLIGLDHVNPPSAFQIRFGGYKGVVAVDPDSFRRLSLRPSMKKFESKSTMFNVTSWSKPQPCYMNREIISLLSTLGIRDETFELMQHDDMRELDEMLNNREAALSVLGKIGSAETKTASKMLLQGYEPSLEPYLLMILKAHQDNRLTDIRTRCKIHVPKGRVLLGCLDETAELKYGQVFIRITKSSKEQKENDQPYFCEDNRDNGKAIVVGKVTISKNPCLHPGDIRVLEAVYDQGLYDKNLVDCVVFPQRGERPHPNECSGGDLDGDLYFITWDDKLIPEKVDTPMDYTAARPRIMDHVVTIEEIQKNFVDYMINDSLGAISTAHLIHADRHPMKARSPECLQLAALHSMAVDFAKTGAPAEMPRALRPREYPDFMERWEKPMYISNGVLGKLYRAAASRMESSHALSSLVQSNPAFDSDLEVPGFEVFLERAEEYYDLYAEKLTTLMTYYGAAHEEEILTGNIRNKLMYLKRDNKRYFEMKDRIIDSVAGLHKELLGLFKSHPKEEWSRMASAWYHVTYHPDHRRPGKKQFWSFPWIICDELLKIKESNAKQRRQQADDDDVPAPAPMDCGP >cds.KYUSt_chr2.52581 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328052523:328056837:1 gene:KYUSg_chr2.52581 transcript:KYUSt_chr2.52581 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAASIASHVPPGVLASLAALPNPGELVRRAVRLEDELRELLRLNGRDGATAEQGGNRAQQTRERFLRAYERLKSELLDDRTFNFDFTDETRQWVAKMMDYNVPGGKLNRGLSVIDSYMLLREGTEVDDEDFYLACVLGWCIEWLQASALVLDDITDNAYTRRDNLCWYKLPTVGLSAINDGVLLKCHVQAIIKRYFKEKFYFVDLMELWNEIGLQTAMGQMLDLITTHTGAKDLARYKIQGYRRIVKYKTSYYSFYLPVACALLLNGAKLSDYVELKNVLIEMGVYFQIQDDYLDCFGDPQVIGKVGTDIEDYKCSWLIVQAMELANENEMKILYENYGKSSTECVAAVKNVYKELDLQDIFLEYESRVYKHLVSTIDAEPDRAIREILKIFLKKIYRRKK >cds.KYUSt_contig_319.747 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:4797841:4805651:-1 gene:KYUSg_contig_319.747 transcript:KYUSt_contig_319.747 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDGDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALDGFSYRQLGLLNRDVTAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVQIPPPPKGHALDVAIEEARMAMTEEERADPRHHPENYTRWNSFFLWRWERELASYDGPPPPPPRNNAAGRRRWWSAPNRTLHNVLEHIEGGNSPRLTMPPPSRASTSRQRGNSWQPRRMAASSSSSGSAARSISRLVPSLASVKKEPDSPPSHRTRGGGGIVIREPSTAQGRRRPKREHDTSGKRKPKPAKVKVEEAESAEDTAILEAVIARSLQDLVPAENAMPLDQARAREDKRVEMLLSYLARCTLIPDKHASPRHRSARDRIVRSIYPPLGTPTEPWARLTNRSLQLSPTGRPAYGGPQVSLTDTHLQKQLAPLSLPRLHFPHSRKEKKRDHQIKRVKGEREREEGAEALAMAGWYEEASGLLLRPPALAEMAVDVLLCAVPIWAAVMIGLFIGWAWRPRWTGLLFLGFRSRLRLLYVPPGLGARRLWLACTALSAFSVAPSLLSSAFRRHGKRQRQDHDTDQPCGDAAAYADGRSIFEGKHDSITEKDLDHLVQLLDDKESGHTAWQHLMERTTSNMTYKAWRHEPEVGPIMHCSQTIFEDATPELVRDFFWDDDFRLKWDPMLVCFKTLDEFPQNGTTIIHWIKKFPFFCSDREYIFGRRIWESGKAYYCVTKGVPYPSLPKKEKPRRVELYFSSWRIRPVQSPKQDGQQPMACEVTLVHYEDMGIPKDVAKVGVRHGMWGAVKKLQSGFRAYQLMRKSENILSRSAIMARVTTKTCIAGSDDPFDQGPSTAERSSNEDSNSRAVQQGFDWKWVVVGGAVAAVCVLNTGIVGKALLLGAARRHAKK >cds.KYUSt_chr4.9931 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60046714:60049532:-1 gene:KYUSg_chr4.9931 transcript:KYUSt_chr4.9931 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFSFRADHLKYKDPKKPVSVRVKDLLARMTLAEKIGQMTQIERENATTGVLSKYFIGSVLSGGGSVPSPQASAEAWASMVNAMQKDALSTRLGIPMLYGIDAVHGHNNVYKATIFPHNVGLGATRDPALVKRIGEATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTTLISGLQGDVPASEAGRPYVGGSKKVAACAKHYVGDGGTYLGINENNTVIDVHGLMTIHMPAYYNSIIRGVSTVMVSYSSWNGKKMHANHFLITDFLKKKLKFRGFVISDWQGIDRITSPPGVNYSYSVEAGVGAGIDMIMVPFGYTEFIDDLTAQVKSGIIPMSRIDDAVYRILRVKFTMGLFESPYADPSLAGELGKQEHRELAREAVRKSLVLLKNGKSASAPLLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGLDGNGNTAGTTILSAIKSTVDPSTQVVFSENPDSTTLSDKYDYAVVVVGEPPYAETFGDNLNLTIPGSGPSVIQNVCKSMKCVVVLISGRPLVMEPYIGAIDAFVAAWLPGSEGQGVADVLFGDYGFTGKLARTWFKSVDQLPMNVGDKHYDPLFPFGFGLTTEAKK >cds.KYUSt_chr5.32199 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204273919:204275537:-1 gene:KYUSg_chr5.32199 transcript:KYUSt_chr5.32199 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTAHGLDHARIVGTLLHDTAFSPGRGRAQLLIPPPMAATRAAAAAASAKQVTRKNFPEALRELAAHMKECDYVAVAALKTGAPTGWRRALPVDTAETAYLKAKFAAESFQPLHIAVCPFRVDATSRSTVVAYP >cds.KYUSt_chr4.2046 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11207614:11209542:1 gene:KYUSg_chr4.2046 transcript:KYUSt_chr4.2046 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPIQPLFALTCLPLMALLLFPMVSDASKGSRQLRLRCGATSSASDSDGRTWEGDANLGMALPGFPANASYLDPLLPSPVPYMTARLFTSNFTYAFGVDPGRVFLRLYFYPTAYGEHSAADALFSVTAGIHSLLNDFNPFQTAQVMGHTYLIREYSLSVTSGKLKVTFFPSSRHVGSYAFVNGIEVVPTPDIFTTPVPRFVNGGNRDLVPVSSDIGFQTMYRLNIGGVTTSGKYDSVFYRSWEEDSPYIHGPSDGVNFFRDSNVTISYPPSMPDYVAPVYLYETARSMATDVDVNLSYNLTWVLPVDAGFYYLLRFHFCEIQNEFTEAYQRSFFIYINNHTAEEQMDVIYWSGGLGIPFYTNYIVVTVGSGQTDLWVALHPDISARSEYVDAILNGLEVFKLQDNSNNNLAGVNPTLMQNRDGGSNTASHGQDKTDASIPAIVGGAASGFLALSFVCFCICVLYRSQKKTDNHGMANWSRWNPPDGHRHLLLTTSHSKDSKLCHRFSFMQIQEATNNFNAAFLLGKGGFGNVYHGQIAGGKKMAIKRGNPLSQQGIHEFRNEIEMLTDLRHRHLVSLIGYCDEGSEMILLYDYMANGTLQEHLYNTNKPPLPWEQRLVICIGAALLAALPAHRCEVGHHPP >cds.KYUSt_chr4.52759 pep primary_assembly:MPB_Lper_Kyuss_1697:4:327306782:327311012:-1 gene:KYUSg_chr4.52759 transcript:KYUSt_chr4.52759 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVRSAPPALPSQVRQPRAVVFFLAVLLWLETEAGMGCAAGISVINKAPVSRPDLGDLAMPLCSSGDLHGGGRVMGKELFDTVFGSLSSAAHRRPQADIPPTLIAKGRLLRVDFRQLFFNLQAKAPLGRPSCSFSAAIHILSDPSGHIPAVGEDGRDLRLRSMLGGEGSDSVFISRSRASDVLERSLEDRRGLTEEFSGRVIGLFNDEGSRRRVRLRRTELHGGGADLISALPEDVLLEVLVRLRCARAAARTSLLSRRWRGRWTQLPDLIFRNATLARLLPTLTSIQARVSLLDIRIPSHHGLEAAAVPSLFHAAAGLSPMDFTLSLPRMMDHVDMELPRFHRAASIQLYAENLRLTPPGRSAGFPALERLSLSGCSVDLAALVLRCPCLRVLWVAQVSLDDGIDIRSESLQELYVRAGYSSTDRINVEAPVLKKLTMLFRTKDKLNVSIVAPILEKVSWECSSYSTTTMTAGFGPWGLSKVSLHTAESLGHRVITGAGEDTCLQLSNVNVLSLQMKPCGLFDAQLDFVKKIEEHLVTDFSVLELIMEYDYGSLPRSQYAQDVLSENPSSPLTSLQ >cds.KYUSt_chr2.33161 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204726664:204735051:1 gene:KYUSg_chr2.33161 transcript:KYUSt_chr2.33161 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKAAGRGGGGGAPSVPAEIAGRVTCCSGGRGRVAVGCDDGTVGILDRGFRLSYGFQAYASSVLFLQQLKQRNVLVTVGDDDQASSQSSAICLKVFDLDKVQEEGSSSTTPFCVQILRIFTNQFPQAKITSFLVLEEAPPILLIAIGLDNGSIYCIKGDIARERITRFPLQVEAVSGGTSSPITGLGFRVEGQAHQLFAVTPSAITLFSLHHQPPRRQTLDQIGCEINAVAMSDRMDLIVGRPEAVYFYEVDGRGPCWAFDGSKKFVGWFRGYLLCIIEDQRSRKNTLNVYDLKNRLIAHSMPVGDVSHLVTEWGYIILIMSDKRILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYILTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKQKDVEKLNHFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLARYNEALQYISSLEANQAGLTVKEYGKILVDHRPAQTVEILLKLCTDGGDPTTRRGSNSMRLLMIPSPMDFVNIFVHSPQYLMEFLENYIKAVKDSPAQTEIHNTLLELYISKDLSFPSMSLENGLEDHNSKDRKGKDTANGYKSGTREKAKLGKEDAKMAKDIVDRQRKGLALLKSAWTSEMEEPLYSIDLALIICNANSFKDGLLFLYEKLKLYREVISCYKQAHDHEGLIACCKKLGDSSQGGDPSLWGDLLKYFGELGEDCSKEVKEVLTYIEKGDILPPIVVLQTLSKNPCLSLSVVKDYIARKLEQESKLIEDDRKSIDKYQEETELMRRETEDLKTNAKVFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAAEYRSVMEAKQKLELNARDHDLFFRQLRGSKDGFSVVADYFSKGVVSKTTIPPENAS >cds.KYUSt_chr2.54990 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342875383:342877602:1 gene:KYUSg_chr2.54990 transcript:KYUSt_chr2.54990 gene_biotype:protein_coding transcript_biotype:protein_coding MPETYVAAGYSIPVEMIMQANKDIYAKKDARHLILKSRKIGIDPKAASASFSPTAHAKGNGHGYRVVAATKFEAKAICFALRVIPASNRTWLPLYHRHGPCSPPPSGQRAPYSTASILRRDQVRADAIQRRLSRKGPSGVSTALGTALGLGTLEYVIAVGLGTPSVRQTVLIDTGSDLSWVQCRPCSQPPCHRQKDAVFDPSKSSTYSPFRCGSPACARLAADNTTNGCSGSNTNRCGYVVKYGDGSNTTGTYSSDTLMLTPSDLVVNFRFGCSHDITGFADTDLTDGLLGLGGDAQSLVSQAGKRAFSYCLPPTASHTGFFTLGVPRVSASRFAVTPLYRAAQSATFYLVKLQAITVAGRRLDVPPSVFSAGAIMDSGTIITRLPPAAYRELRAAFRAEMINMYPPAPPEGILDTCFNLTGVRDLRVPRVALVFDRGVAVELHPTGIIQDGCLAFASNHARRSPGIIGNVQQRTLEVLYDVAGGAVGFRRGAC >cds.KYUSt_chr2.47418 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296713043:296718798:1 gene:KYUSg_chr2.47418 transcript:KYUSt_chr2.47418 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVQRSGCDRGALQRRTEAGGHHRPASTSYGRKATLLNPFFPETKQSEGKTFDSSHDAEPSGSSPAPVSILWRSNVTTTFSKFAIDEKAELFTARDAILGMRFSVAAITLQHIGSTVHADATAPCFPYKRLRLRLIRSTNVEEDMNKITRQMVLCSGTGSTSAPLLLPVLAVLVAVALLAPAPAAAAGMAGASVRAVHLEALLEFKKGVVDDPLGTLSDWTVRAGGVPRHCNWTGIACDGAGRVTSIQLLETGLRGTLTPFLANISTLQVLDLTSNAFAGPIPPQLGRLRELEGLILTVNGFTGGIPPELGELGSLQLLDLSNNSLSGEIPSRLCNCSAMWALGLEFNNLTGEIPSCVGDLSNLEIFQTYMNNLHGELPPSFAKLTKLKTLDLSANNLSGPLPPEIGEFSHLWIVQLFQNSFSGEIPPGLGRCKNLTLLNIYSNRFTGAIPRELGELENLKALRLYDNELSSAIPTSLGRCKSLAALQLSQNKLTGSIPPELGELRSLQSLTLHANRLTGTIPPSLTSLVNLTYLSLSFNNLSGPLPENIGSLRNLQKLVIGDNSLSGPIPASIANCTLLSNASLAYNEFSGHLPAGLGRLQGLAFLSVGANSLVGDIPEDLFDCGSLRTLDLGENSFTGGLSRRVGELGELRYLHLQGNSLSGTIPAEIGNLTNLIDLKLGRNRFAGRVPANISNLSASLQVLDLTQNRLDGVLPDELFELRQLTTLEVASNRFRGPIPAAVSNLRSLSLLDLSNNMLNGTFPVGLGGHDQLLTLDLSHNRLSGAIPGAMIANMSTLQIYLNLSNNAFTGPIPQEIGGLTMVQAIDLSNNQLSGGIPAALEGCKNLYSLDLSANNLSGSLPAGLFPQLDLLTSLNVSHNGLDGEIHPDIAALKHLLTLDMSSNAFGGAIPPALANLTNLRALNLSSNRLEGPVPNAGLFRSLGMSSLQGNAGLCGWKLLAPCHGGVAGKRWFSRTGVKILVTLLVLALLMLLLLVAILLVGYRRYKKKRVRSDGSGHLSEAFVVPELRRFTCGELAAATSSFDEGNVIGSSSLSTVYKGVLVEPEGTVVAVKRLNLKQFPALSDKSFLRELATLSRLKHKNLARVVGYAWESGRMKALVLEYMDNGDLDGAIHGPDAPRWTVVERLRVCISVAHGLVYLHSGYGFPIVHCDVKPSNVLLDGDWEARVSDFGTARMQGVHLTDAAAPESAASSSAFRGTVGYMAPGRVNNFNVHAPFQWGFSAGCSLHREAEHFLVSCDAELAYMRSVSPKADVFSFGILIMELFTKRRPTGNIEEDGVPMTLQQLVGNTLSRGLEDVAGVLDPGMKVGTEADLSAAADALSLAAWCAAFEPADRPDMNGVLSGLLKISRVCGGD >cds.KYUSt_chr3.3283 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18824619:18826357:-1 gene:KYUSg_chr3.3283 transcript:KYUSt_chr3.3283 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPEQRRTTAGSQEREQVAGRGQEHEQVAWESDGDGKRRCGEEEDKTDSASDSSSSDGDPSLAGVSNYPDYLEVFIPAGDLEAAQRLAVVTIEPPNVFFSAHAGVRDALLLSGLPFEVVGSSVGAMYMRFPSGAEREEAMRMQPFFHEDTRIDLFREEEYDRVVRTPGVYALVSVTGFQAEHVNPTGIPAMFSSFGKVLEIDPITLAGHDMASVYTVLLMYRSRDVTCDIGPVGGPWGSRTASVCIAST >cds.KYUSt_chr5.2915 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19085930:19087446:-1 gene:KYUSg_chr5.2915 transcript:KYUSt_chr5.2915 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPRAPTARGQGPRLDTARGHGSLLRRRGRDGGRAWRSQRRARRALPARAACGSWQRTRQAGAWGAVGQGGVREPAEGAAGERGMEELKVRGSNTKDSSSRSIGLAAFTRSATVADVLPSALGGFARTACGRSSYRVLIPKPGEDLCVLGLDLARAPGVVALGSG >cds.KYUSt_chr5.43130 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272050530:272056478:1 gene:KYUSg_chr5.43130 transcript:KYUSt_chr5.43130 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKSVEFVLDLIVAITVFFLLVVAFYAFFAPFLGKQVLEYVAIGIYTPIALAVFILYVRCTSINPADPGIMSKFEDGFINVPVNNDGSGGINLPQKANSGVGTHSPTSTCRSSLDGHSNQRGSSIGEANINLSSQLPKKRSNCYFLGGIVCALFVKEDCRKPDDSEQQASGEEALFCTLCNAEVCKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFIALMAISLLWLAIEVGVGIAVLVICFVDKNSTRNIQEKLGNGLTPAPFAVIVGLFTLLSLVACVPLGELFFFHMILIRKGITTYDYVVAMRAMSEGLPEDEEGANIIYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDHDEVIPHLDPGMVPSTVDPDAAGYAERANKSKKPVKISARSLAKLDRNEVMKAAAKARASSSVLRPIDARHGHEADISSSGNASVRSSMSVDYNGTKESRSEMRLSPLQNSYPQSLASMDDYETGTQTASSLSSPVHIHKLATHSQSRVAPHPAPPPERPALGITRPPVPTTQINNPMFQSATSYVRENRRASVVWDQEAGRYVSVLAQTRTGPGVELPARNPGFLANPSGEPGNHGRNLAPANASSAAIPPGQPSERLTYTGQSIFFGGPILSTTGINAERSEAGTRARPEASRDPSAQQRDIRGERARTGSFPLFEPGKF >cds.KYUSt_chr7.11841 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72718077:72721046:-1 gene:KYUSg_chr7.11841 transcript:KYUSt_chr7.11841 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGSIDSGSSQLLQENLGVVCDILASGVL >cds.KYUSt_chr5.36747 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232332988:232336003:-1 gene:KYUSg_chr5.36747 transcript:KYUSt_chr5.36747 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPRWPPGFRFSPTDEELVLYFLKRRIAAARPTPYIADVDVYKSHPSLLPERSALRTGDKQWFFCSRLDRKYPNGSRASRTTADGYWKATGKDRAICNGGRAVGNKKTLVYHHGRAPRGQRTDWVMHEYTLLPDALPHAREPFALYKLFEKSGAGPKNGEQYGAPFREEDWLDDHDANLLVQLPLATEPAAHPSTTAATVPRAATLLIGDLELPLLQNGDDPPTTDHPPSSISTPVSSQIPLQHGRTWPSDDATTSSRAMPAAAENAELPLGDLEGLLMQISDDQRAANSFQEFSASVPQLQLQHDDHQPSPNTDMEEISVADYAASSGVADASECAGSGTELPFGDLEGLLLQLENDQENIEPLTEADFSAPVPHHGFHQAGVGDFQGCPGAVFSSVDPSSVLQESTNLGPQCEPSNHIAQSALTNMPLSWETNCTEETSALRSVSGLASYDSQDADDEFLEINDFFDLEDAGQGVNCTATEHLISASNGMYDSMEYADASMFLPGSFDTAGVGTENQNGYLGDNGSQNQAFHYTSESWTQNQVALNVRNSMQHNHVIFSSHASGTSNIHTMNEEPSNRNPQDSQSWFNTAVSTLLDAVPAGPALAAESNVLNRTLQRISSFRSEQASHEEPSAPVIQLRRRGAGLISVSLLVLLAAILWAFATGTGYAIKFCKGLWSSSST >cds.KYUSt_chr3.17731 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108785429:108786929:1 gene:KYUSg_chr3.17731 transcript:KYUSt_chr3.17731 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRILRTLCLCRDDNDDDESVSVAEQATKSKGRAPDGHQKLLWATVRPLRLLMLVEEVEDDRDGQGQVGDDGLDPVAPPPPSSSTRTCSAPSRPPSSAPRWRLAADA >cds.KYUSt_chr6.7837 pep primary_assembly:MPB_Lper_Kyuss_1697:6:48072870:48073741:1 gene:KYUSg_chr6.7837 transcript:KYUSt_chr6.7837 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLLKPHSHCSALPSVIRCGPATVHAQRSAGRSGAAALKARAFPLDVVPLMVTMVEHVDNQRDWVVTKSIWHLSDTAIKSFYTFYAMFTVWGICFFGSMKASTHNKWSGDPFYDSEYYREQGGDGTVHWYYDRQEDLEASAREELLREDLLEEIEKRVGGLRELEEAGIK >cds.KYUSt_chr1.40871 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250753815:250755893:-1 gene:KYUSg_chr1.40871 transcript:KYUSt_chr1.40871 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVTQISAFTTAAAHTLCFACLAVAHSLAGRGALVSDPALALRLVVFLKAAARGLLGLPIGAFLNAFGAVALGAPVGINYFTSSDVVEYMISMPSHGAVIGAWLGAWPMPLDWGRPWQEWPICATYGAIAGHLIGMVVSLILIVAQKRRVRAKAD >cds.KYUSt_chr4.7049 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41723483:41726324:1 gene:KYUSg_chr4.7049 transcript:KYUSt_chr4.7049 gene_biotype:protein_coding transcript_biotype:protein_coding MFAYQKAPDLEAGDGSSLLYPGMEESPDLRWALIKKIYVILSIQLAMTAAVAGFVVKVPAVSEFFVSSNAGLGAYIALLILPFIVLFPLHYYHQKHPVNLILLAIFTVSISFAVGMTCAFTSGKVILEAAILTAVVVISLTAYTFWAAKRGHDFNFLGPFLFAALMVLMVFSLIQIFFPLGKLSVMIYGGIASLIFCGYIIYDTDNIIKRYSYDEYIWAAVSLYLDIINLFLSLMQVLRAADS >cds.KYUSt_chr3.30594 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191858440:191860479:1 gene:KYUSg_chr3.30594 transcript:KYUSt_chr3.30594 gene_biotype:protein_coding transcript_biotype:protein_coding MATAISASALLSSAFSGDRRQRRAARPAPRRAVPAGLTVRCEQSDKQKRQPLAALVPREQRFMFEGDELCGPDIWNTTWYPKAADHVTTEKTWYVVDAEDKILGRMASAIAVHMRGKNEPTYTPSVDMGAFVIVVNAEKVAVSGKKRSQKLYKRHSGRPGGMKEETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGSEHPHIAQKPIPLPIRDKRIMKSA >cds.KYUSt_chr4.23090 pep primary_assembly:MPB_Lper_Kyuss_1697:4:145335468:145339147:-1 gene:KYUSg_chr4.23090 transcript:KYUSt_chr4.23090 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLIMLSLLSQLVSEAVEPEEVQWSNCREEVQSLSPRSGDVQGRPPVQALAVLWQWAAPGVLHRRAACRLSAAIGERRLSSERRRDCQYEGQLSDCTTHGTTCAYGNSPVVCNHCGTQIVHRQVQGHAHLCPGQPQIQQTSSSLTQLTAATTQAVIQHLLLSLRQIVLLLWTRLLVQIPALGRARAARRALVIAVLPAATISRWGRPARSRELPRIPALDGVRASGATAAAVHDDDDAALAALETLYFLFTSHLSTESARLGLLTLSDNDDMHGDMMLLYFLVASHLWTKSARPGMAESASSAATTDGVGSSGSILLLGGVGRIGTISVLGGVGSSGGRREATAIAIDACRSAVKGEELID >cds.KYUSt_chr3.5478 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30988836:30993950:-1 gene:KYUSg_chr3.5478 transcript:KYUSt_chr3.5478 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLLGDHGERKACWTSRTAAGRQAGEGERTSRWMARDGEKSCCGAFRTNLGANTPWLVSISDLKTKERKQKLSRYRMKKFKRKFGRKIKEGHGKQPAKNTREVRQDAPWRHGQAEEVKSWEDHRGCCGADEEDKVVARNYATAELPVKLVVI >cds.KYUSt_chr4.1917 pep primary_assembly:MPB_Lper_Kyuss_1697:4:10471729:10474401:1 gene:KYUSg_chr4.1917 transcript:KYUSt_chr4.1917 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGDEQEKQTGHGGCDGGGDASEWKKVAELRAVVEAKDPAAKEEDDFMLRRFLRARDHNIGKASAMFLKYLAWKCAAKPNGSITADEVRNELAQDKLYVHGHDKMGRPMVYLFGARHIATKRNLNEFKRYVVYILDTTCTKLEAGQEKFASVVDLKGFGYANYDIRAMLEALDIMQNNYPERLGRVFLIHVPYVFMAAWKMVYPFIDDITKKKFVFVADKNLDATLRDAIEESQLPEEFGGKLKLQGFNAPCN >cds.KYUSt_chr2.37053 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229311805:229313023:1 gene:KYUSg_chr2.37053 transcript:KYUSt_chr2.37053 gene_biotype:protein_coding transcript_biotype:protein_coding MSVELLTKFLTILFGYAMPAMECFKAIEQRTGRTDQLRFWCQYWIILVMLVIFDEIAGALVSKIPMFYELRLAFLVYLWYPQTRGTDIVYETFVRPLVMQYEPNIEERLRYLRANAGDLIVFYLKNFTDRGYEMFLRVLDYVRSQATSGSKTRRFFSFRGDRAERPSFLEDDYVPGGDRRGAARQRRPRGGDY >cds.KYUSt_chr7.14473 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89451366:89451863:1 gene:KYUSg_chr7.14473 transcript:KYUSt_chr7.14473 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAAALWDVLAKAANLAQMSGLHAVMLVAVCATLLRIPQSNRECAELERCARRLHALLQWPASGCGGVALALLWSESDMGGPVSKALGDAAGLVESYKKSSLWRRVRTGRSMVTQLRDMQDVVNSYSALVLFVNAHLLVAQATTRPTSDTTTYVRSNPSILAT >cds.KYUSt_chr4.22342 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140357302:140362363:1 gene:KYUSg_chr4.22342 transcript:KYUSt_chr4.22342 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCSCFLALFGGVLQLLLCVLSPVWDFDQILSVFFSLPDMDLRLDAKPISSGASPNHSQSPEARSRIINDENQETEAGKSSGDKLALTTMLNDSSLQLGQLPPPEGSVKSLSEKLSAALLTINAKDDLVKQHAKVAEDAVAGWEHAEAEVSNLKRLLDASSLKNTSLEDQVSHLDGALKECVRQLRQAREEQEEKIRDAVAKKSQEFESEMSELQNIIADLKQQLEASDLQEKLQVAEKESKDLKIEMLTLSKELKILALERDLSNQAAETASKQHLESVKKVTRVEAECRRLRHVTRRTSLANDSCRPVLNNACMESLTDSQSDSGEHMLAIDSEIKNSDLWASALVAELDQFKNSNEGTRHLVNNPIEIDMMDDFLEMEKLAALPEADHTSSSVGAETDSDQTVTRDISRVETEAVQLQMIELQEKVGKIEHEKKELEMAFAEARNQLDKSRDTLMAANNKLVDLQMQLNLANESKNAALGQAERFDGERKSLALQVESKSAEVEKLQDVVASLEESGDRKELELQLESTSVEVANLRNAMAILEEKIDAEKTLSAQHKAASNMAEAAKDSLEAQLRSAQKEIGQLRGIMETLKSEVQMEKMHHKELLAQIEAMKTESERTCSVESAKESLEAQLLVANSEIAKLHVTVSALECDAAKEREYSSEIKMQLEAVEGIRKVLGSELESAHQETMKLQEKVLSLETRLKDQIALLVELTAKAEDAVSGRRVMEGQLEVANLELAKLTNKVTLLQGKIEQEKLLSEEYEAKCRKLEAQLSRDTREAKLWRLANTNGDLKFKQEKEIASAAGKLAECQKTIANLGLQLKSLTDLDGVATQPEKLESKDTLLDFREGGAEPLADELYSLDLPRNNGSRISPVPAVESPSRSSFFSGGLSSISSYRSKARK >cds.KYUSt_chr7.1845 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10605714:10611125:1 gene:KYUSg_chr7.1845 transcript:KYUSt_chr7.1845 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVDPAAAAALAAAEPEPEPLSSAAAAAAAEDDDDDEEEGDVCRICRNRGDDEHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYAQNAPTRLPFQELVFGVGMKACHVFQFVLRLAFVLSVWLMIIPFITYWIWRLTFVRSFGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHEADRDDAGRERHGARAVRRLAGPNNRVPGADGNIDELAEAQGIGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASSPMLAKVMPFTESAISLANETLNSAFNAMKNFSSDSHSEGVIGHVIEVVTQSLKINATALTVIQGTGKTSLLKGTSTGSSYLSDLTTLAVGYMFIFSLVFLYIGSLALLRYARGERFTIGRLYGIAAILEAIPSLCRQFFSGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGTTIAQRVEFFTLSPLASSSIHWLVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPSTFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKALLHHWFAVVGWALGLTDFLLPKPEENGGQENWNGRAERRDRVHGGREMVAPQLEQRMIQHDDGRGNANEANDVAEESDADDQGDSEYSFALRIVLLLVMAWMTLLIFNAGIIVIPISLGRLVFEAVPRLPITHGIKCNDLFSFSIGCYIIWSAAAGTRYAIDYIRSRRLAFLVQQICKWCSIVLKSSALLSIWIFIIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRMKFERVREDGFSRLKGLWVLHEIITPIVTKLLTALCVPYVLARGVFPVLGYPLIVNSAVYRFAWLGCLIFSTLFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSPRPISNEADDSRAPPGDEGQALIPLEDQEDDVGLRFRRNHQQPMQVLD >cds.KYUSt_chr5.33113 pep primary_assembly:MPB_Lper_Kyuss_1697:5:209981848:209984256:-1 gene:KYUSg_chr5.33113 transcript:KYUSt_chr5.33113 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSRPRKRSRGGRATEAAAAEADALMSLPRDVLDEILTRLGILDAVRTSALSRAWRRRWEALPSLDLSFPGRLEDDKGAPKGLGGVDSILLRWPGRVRLFRAAGIDEHYAGRVHDWLHVLARRAVEILDLDFDRGFPALPTSVFSCRRLTSLSLFGCAIPLLPPGVVAFPELTKLSLVNVRLPDYGEYLLEEIIDASPLLDFLVLTDVSFGGDYIREWVIQAPNLTHLTISSEINYGWVLEELTSLRSAVIDLWDFVVDSKFAKFLLGVVQVRKLLVVTCNATAVQMTGINWRRNEMVFIELILSKAKLLHTLSISHGEKIVMPSEDALNELLRYKRASAEAQVLFKVLRGSVKFWIYCIVRCPLSAPKISSIIQSDRV >cds.KYUSt_contig_528.461 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:2876361:2876918:1 gene:KYUSg_contig_528.461 transcript:KYUSt_contig_528.461 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSTTNALHNKPHTAATKAKETQKRKLDPTQLLGVLNHHISLHQSSMGVQSNEGAVMFSSIALLQQRFRELERVKEKREVRLLQILTTREADLLPHALGVGSNDLAVAAPGEQPVRWLFHPELLYPCRPLRGTAALFPLVPATTTASECKTIQLRGDSLAGDLWPSKAYTQASSEVDVDTSLHL >cds.KYUSt_chr1.35196 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214590431:214590934:1 gene:KYUSg_chr1.35196 transcript:KYUSt_chr1.35196 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTLFLLALALVAASTGERGGAVTADDDDLAWRRETMAEFVRVFSNPASAAAADSETTHRAAAFMKRELGPLAPIFRAIGKMPETSAADVRAKEEAFDAAQELSMRHFRQLLAPHGSGSGASTVSDHDEAIHRATMFVQTPIGSFGIVFNDVPEKRKAEGRDAAE >cds.KYUSt_chr2.54686 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341168463:341171572:1 gene:KYUSg_chr2.54686 transcript:KYUSt_chr2.54686 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDMKAVLADLERDAASGADARTPRTKLVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDALRQAMHSTGILCAVMLDTKGPEIRTGFLKDGKPVKLTKGQEITVTTDYEIKGDSNTISMSYKKLPVDVKPGHVILCADGTISLTVLSCDPEAGTVRCMCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRKLLGQHAKRIKLMSKVENQEGIVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICVEAESSLDNDAVFKEMIKAAPLPMSPLESLASSAVRTANKARATLIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTVSSEGPARDSLIYRGLIPLLAEGSAKATDSESTDEILQAALKSAVQKQLCKAGDSVVVLHRIGVASVIKICTVK >cds.KYUSt_chr3.39734 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250384272:250384577:1 gene:KYUSg_chr3.39734 transcript:KYUSt_chr3.39734 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYKAIKERKTRSYSRCSSTGSARGFGAGGVEDGDVWEQKQWKDGGVGRDQMTHRRHRSLEELAGEVGGAAPEWRADGRMRRGKSSRIFSCIGGM >cds.KYUSt_chr1.6578 pep primary_assembly:MPB_Lper_Kyuss_1697:1:40502313:40503278:1 gene:KYUSg_chr1.6578 transcript:KYUSt_chr1.6578 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIKNVMLLDSGAFDCGVCQCPLKPPIFQCEVGHMVCSECREKMAAAETCHVCRRTLAGGYKRCYGAEHIVESLRVPCPNKAYGCSFMLARYDESVHLQVCQYPPFHCPAEDCVFITGNQPALLEHFQYTHKWPSTTVHCIVPNSATRPKPTGTNLALVDGFNVLYIIPSGPVILKVTRESCGRVITLIKVRRAGRRAKRCRFMLVYETPCGTHRLEYAFNNMASTNPSLTGGGLSTTDDHFNFVVPNSVEPLNLIFSPPHSHSCWQFNDLDAEAGAVLPFRKQLWQSRA >cds.KYUSt_chr7.21199 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131319308:131319532:1 gene:KYUSg_chr7.21199 transcript:KYUSt_chr7.21199 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPACIAAPPPPQPRGATTTSGSVLPVCNIDAVSKPTAHARDNGVETARVQLMAVFIGTDQTRPDRSTDGQRP >cds.KYUSt_chr3.15972 pep primary_assembly:MPB_Lper_Kyuss_1697:3:98278952:98283671:1 gene:KYUSg_chr3.15972 transcript:KYUSt_chr3.15972 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIHGRLNYRSMADVEVVNDASTDSLCRPPSLALKWQGQGLGLLVLIEPALITKGARESCLLETELCELIHDVSGACLACLVAWEPTPLVRAAGLVENQISSPAMDLDMDDYMDPYDEAEADAAAEAAGVNGPTIAASDGEESDDSDAESDYEDKSYALLKSGKHRVRNPDGTFRCPFCPGKKKQDYKLKDLLQHADGIGVSSKHRRHGRERAFHRAFGRFVREDPSLAHELATIVGLPDPYPAAPPKPDAAAAAADKGKAVLPNGHITGGSSSAAATQGPPQDGKEKFAWPWCGILAAGAGFNADAFADRVAMFSPENIVPLVFDESQGMECYAIVRFAPGWGGFSDALALDNHFSMNKLGKKEWEASKISSGGALNNGEGNNSSDSEIKVYGWVAREVEYTAGDLVGRYLRKHTNLNTIDEITKRQREPMGKVVAKLASQLQAKNQHVQDLETKTNATEFSIARLEADNRKLHEEYNEAMRNLHRKARDNAVRIFQDNENLKLEIENNKRELISRAKELEKLSAENANDRKRLAELADEKQKTKDDKSELELASIEQQRNDEDILKLVEDQKREKEDALARMLELEKELHEKRELELEVTRLNGTLQVMKHLEGDDDGDIHNKMEKLSERLEHERKRLEEMSGELVKKERESNDELQEARKELITGLDDILSGRTAIGIKRMGELDVRPFENACRRKYGNDDYEMRAAELISAWQDEIKKPAWHPYKFIKADDGTDKEVVNNDDPRLRELWLDYGDDVCNAVKIALSEVNEYNPSGRYVVPELWNFQKNRKATMKEVFRYIFQQMETPGKRRRGR >cds.KYUSt_chr6.4838 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28453143:28455014:1 gene:KYUSg_chr6.4838 transcript:KYUSt_chr6.4838 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAASASSSSSSERRRAEPEAAELRLRRKTLETVLEQCQRALELMREPDDDLPPGDALPGAGDPDDAEGAGDGEGLPTTPPSDADYETDELCNLLKSRVESPEFLQKLDNIQKSVYQNGAVDETISWDIVSAADIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKETKIVIMEDEDFMSVIDLESLHF >cds.KYUSt_chr2.12537 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79554676:79557649:1 gene:KYUSg_chr2.12537 transcript:KYUSt_chr2.12537 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGPTYPRPSGRFAFGALVFCATMNDPASASARFLLPSACSVQVGPLTCTVVAVPELPTPPSQWLPIPSPPKTSSPLLLPPPPASASLPFTLEPAEQRRPVDLLRTPAYSAMAPRVPSLLVAAVLLLGLAAGAARASNEEGDALYALRMRLSDPNGVLQSWDPTLVNPCTWFHVTCDHASRVVRLDLGNSNVSGSIGPEISRLVNLQYLELYRNNLGGEIPKELGKLKNLISLDLYANKLTGRIPKSLSKLSSLRFMRLNNNKLAGSIPGELAKLTNLKVM >cds.KYUSt_chr1.41441 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254194572:254194838:1 gene:KYUSg_chr1.41441 transcript:KYUSt_chr1.41441 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRSSPKPKPKPRGLGRKGGGALPPASRAEAAAARRSAREWELWSAWALNAAKVVAHYGFVPLVVAVGVLKSDPRPSLFQLLTPF >cds.KYUSt_contig_662.203 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1258689:1261004:1 gene:KYUSg_contig_662.203 transcript:KYUSt_contig_662.203 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFTKILRDAQDLDDSIRYAAERDLSELQQLDFPNFLLSLSAELASDESLPECRRFAGIILKNSVEAKYSEDNNLHIRQWINLDPLIKSQIKESLLTTLGSLVPDAWHASSQVIAKLAYIDIPSRDWQDLIGRLLGNMAQQGASPPLQQATLEALEYMFEEFLGLEQDKIDDVLDAVIRAMNRAEQSSQVRLAAVKALQNVVMFANFANDDCRNCIMTAICDAAKSDGAVIKHAAFGCLSAIASKYYRMLEPYTESILSLTTEALKGGVESGALQCIEFWITICEKVIELRKQNKHDAHAISTVDCSFIEKPLSSLVPVLLKTLLKQERDDDAKAIFISAIKCLDLVAITIGDAVVPIAMQFVEVNIKASDWQSRWAATFAIAGILQGPSIEKLSPVVRLLLDRMEDRNVEVRGTAVCTLRRMFDLLHSPACANRIFTDANLPRIVAVLAKRSEDVPDVSEEACRAIYFLAKGYESISSELGHSKKEISSELSSFLSDVFDVLFSTSAPAKETPFRLPTSASAYEALCEVVRVSNIQDYKASVAIGVLMPCIMRRLNMVLDGKASSSGDKRNKYDLLVLLCDLLHVIVQKLGNTFPVWRTPYVLLLFCRVLTFDSSAARDKAALAIGALAHVVGPNFVDHMPILLQHFNVKLLFPIYLQVIGDIFLVLGDEILPHCDYIMDVLYRGLSKPMLKPAILECFGEISLAIGKNFEKYLQAVMRRLKDAADPEYYDDVLEEDEVDYSNQLRQGITGYKGPEIWVESSGGSNRFQ >cds.KYUSt_chr1.29549 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178878079:178878744:-1 gene:KYUSg_chr1.29549 transcript:KYUSt_chr1.29549 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSVVDSPPQLPAAGAWGSLFTVQEPKKPRHVSVVPPFSAKKPAYGVKRNLEMCTEALGCETGGVDTTAYDADEGTERKRRASAEETKVERKVRVLPPPLTTLAAGASRMRMVHERREGRLEVYAVRASGMEADRRGGRLRLRFLSCDGCNAAVCSTDQEPQEAEEDEAEEVDLQPETEYVVAKYMRRGRCLKVESGATAASCGIKWEPEQAAAFWVAIT >cds.KYUSt_chr2.10855 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68964402:68965122:1 gene:KYUSg_chr2.10855 transcript:KYUSt_chr2.10855 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYSAGPEQRIAVCCALGIEEAGKDTGQGPVGAGSEQEQKELDETKLDRTLTSRGREEELAAMAEEQVCDGFGGWERPGTRQMALRVRLDVAVQLQVVGWPGMHRSVAGDELLRRMPVVNVGSPKEDGKKNNEEVQEVGNVTRTTLVRSRSPGED >cds.KYUSt_chr5.34351 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217841930:217842912:-1 gene:KYUSg_chr5.34351 transcript:KYUSt_chr5.34351 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTEKVGCCSQGSRCRLWLDRERWGNGSVITPLGPRHAVVVSISAAAVGAELERLGVVNRQTPVLPVELREELVALARHVSLEPLGSGSRSSPLRTTAIPSRRQPLHSARTRVLLPQHLPATGGEGWGRRWRGDEDDGAALPPEEKVWGVVAPPLALRNTAPQRTISIRRPALLLPPRISSS >cds.KYUSt_chr5.6931 pep primary_assembly:MPB_Lper_Kyuss_1697:5:42976498:42978108:1 gene:KYUSg_chr5.6931 transcript:KYUSt_chr5.6931 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQSGMADLAARLTKRLADANSNGNLVFSPLSIYAVLALLAAGAGGDTLEEVLGVLGARSRRELEDSVTRLLDGPLRDTSGSGGPSVAFAHGVWSDLTRPLKPAYREAVVGTYKAEAGVVDFRNDAEEAKRRINAWAAEATRNLIGSAVPPGPMHPDTKLVLANAIYFRGKWDLPFDERWTENKLFYRLDGTAVDVPFMTNYDDRHYIGEHDGFKVLKLRYKRSSYTRFHHCMCIFLPDARDGLASLMDKITSSPGFLREHLPQRMVKVGQFRVPKFELTFWSSVTTILNDMGLRLPFGPEADLSEMLEDDGSNLPFLLQEVFQKAVIEVNEEGTRAAAVTMAPAYSPTCAPDYSRRVNFVADHPFAYFIIEEGSDAAVMFAGHVVDPSNGTGVAIQSPAPFKESATTKPKLDEASDEVNNRWTSPTCPAYSSVYNPFLISPEYRPTSPSYGPVSPVYVPTYPAYAPVSPAYRPTVPSELNRFSPSPAYTKLDDGVGPYQPKEAEVMSSGSLPKATAAQGEGTGENALPLEKTE >cds.KYUSt_chr4.49272 pep primary_assembly:MPB_Lper_Kyuss_1697:4:305131456:305138048:1 gene:KYUSg_chr4.49272 transcript:KYUSt_chr4.49272 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCREFTGLARPATTMLQPGRSRNELCFLPLERKELGRRKKPAGRGVTVVAAVSEELPRLASAAGNVAAAGRPPPPGKVAVRAALTVRRKHKEDLKEAVAGHLDALWDMVGRGVVLELISTKIHPRTEKPVESGRASIKDWCQKQGAKGEHVVYTAEFTVDADFGEPGAVLVANRHHREFFLESIVIESGLPCGTVYFACNSWVQTTGELRDKRVFFSNKPYLPSQTPPGLRAIREKELKDLRGDGTGVRKISDRIYDYAMYDDLGNPDRGKEFIRPILGGQKIPHPRRCRTGRPPTDTNMLSESRVEKPHRIYVPRDETFEELKQGAFISGRLRAVLHTLIPSLIATVSADTHNFQGFHHVDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPSILSKDKFAWLRDDEFGRQAIAGINPVSIERLTVFPPVSKLDPAIYGPPESSITERHIAGHLNGLTVQEAMDKEKLFIIDHHDVYMPFLDRINAIQGRKAYATRTIFFLTQAGTLKPIAIELCLPPSQSGEPEPSKVLTPPCDATSNWIWMLGKAHVSSNDAGVHQLVNHWLRTHAIMEPFILAAHRRMSAMHPIFKLLHPHMRYTLEINALARQSLINAEGVIESCFTPGPVSGEITSAYYRSHWRFDFEGLPADLLRRGVAVEDATQPHGIRLLIEDYPYANDGLLLWSAIGSWVESYVQLYYPDAGTVQNDDELQEWYQESIHVGHADLRDAPWWPPLSTPRDLVGILTTLVWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPEPERDAAEYAMFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGRDERWTGDEEAVAAHLAFVADVRRAEETIEGRNGDHGRRNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI >cds.KYUSt_contig_686-1.76 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:560744:561721:1 gene:KYUSg_contig_686-1.76 transcript:KYUSt_contig_686-1.76 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPTAPPPASADDEIVYESMPCIRIYKNRVERYFGSEFVAASTDSATGVVSRDRTISPQVSARLYLPRLDADAKLPVLVYYHGGGFCLGSAFNPTFHAYFNNFAALANVLVVSVEYRLAPEHPVPAAYADSWEALAWAVSHVAGAGAGDEPWLADHADFSRLYLGGESAGANIAHHVAMRAGAEGLAHGAKIHGLVMIHPYFLGTNKVGSDDLDATARESLGSLWRVMCPATTGEDDPLINPFVDGAPGLDALACGRVLVCIGEGDVLRDRGRLYYDRLTASGWSGEAEIWQAPGKGHTFHLLEPLCDEAVAQDKVISEFLNR >cds.KYUSt_chr2.3903 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23533562:23537760:1 gene:KYUSg_chr2.3903 transcript:KYUSt_chr2.3903 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPKSGEPPKPPPGRSPNLNLPCPLPPIPGGAQQGHSSATAPPRSQHRRARSEVAFRFPDADGGGFDEIGSEDDLFSTFMDMEKIAGGGADRDRAAETSSSPPRPTKHRHSASFDGFAMGCGGAPGKHQDGGGGLFADVLEAKKAMSSEQLSELASVDPKRVKRILANRQSAARSKERKARYMTELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENTELKIRLQAMEQQAQLRDALNDALKQEVERLKIATGETAKCDEPYNMGMRHVYNPSFFQLSEQHTAQHDASVHQLPAQFQSPHANVPNHQMLSHPNTFSDMMQQDSLGRLQGLDIGKGSTSVKLEAEVAGKSEGSSISAG >cds.KYUSt_chr6.30229 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191562617:191564129:-1 gene:KYUSg_chr6.30229 transcript:KYUSt_chr6.30229 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPSMEKVAAASAPASDADLISHLPDAILGTIISLLPTKDGGRTQVLSRRWRPLWRSAPLNLDILTWIPHVPISVLASAISQILSQHPGPTRRFSFPCRHLGFSAEVESWFHSWFHSRALANLQELNIIYTDGGMSLVSSENSIHTLKVLALKSSGRELQTVLKFLGGFPCLERLYVIFHKHHGMDKKDEPQYDPLHPIECLETHLKKVVFKSFDTVTTTINQLAYQHKLLQVENRASRDARIEFKYTPDPEHYGRTNLDKHIHDLSMADPFGHAG >cds.KYUSt_contig_60.329 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:1936614:1938420:1 gene:KYUSg_contig_60.329 transcript:KYUSt_contig_60.329 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLYLLCSPWFVPVCPVCVCGLHPSHTQTQVLLAPRHTAKDEPQNRRLLIASGSYTTKIQFAEQASAQSSHLAAMALAHQITNQPLLSSPPCLPRASGSNARTARQPFLGQSCCLTLRGSARSCVVVRASSSAQAEPKSGGGEGGGEGEDPYEEYEVEILKPYGLKFTKGQDGGTYIEAIFPGSSAEQTGKFTPGDKVLATSAVFGEEIWPAAGYGQTMYCIRQRVGPLYMKMQKKFGKWDSGELSEKEIIRAERNTGNVSTKLREIQMQNYQKKMEQKIQREDDLRSGLRLYKEGKYEEALDKFESVLGSKPEIDESSVASYNVACCYSKLDRIQAGLSALEDAMKAGYEDFKTIRTDPDLANLRKSEDFAPLLNKYDESFINESAINAIKSLFGFGKK >cds.KYUSt_chr3.43727 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275901476:275904631:-1 gene:KYUSg_chr3.43727 transcript:KYUSt_chr3.43727 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRERARLEYLNSQEPGDASQASAIDIVDMLLAEDDDTEAFQTITVEPVSATKSVSTLGSKVAKCLAKRADHSLPLEKAGIFDWFDTPNQDARIASNILRENAIVHVKNRISYFDSQRCGGYGSGTRAGEMLECIDEDLGMDCLKKPEQAAVTDDLYEAYDIGPNTQMAAEAMEELFNASPVSYNVMEHEHPENSLGKETKVDSLCPVNSPVQNQMLACLPQSSERMTSQLTRLKVDDIEMTNGESSIPLTNHPSKSKPRKNTKHMTGKAKTRIESGVVKGAINYEVSEVTMGSGANDSKIPCLLGKDATIHPKRKRTSMFTSGSTKVEFSKATRSTAEGAKTAQVAKLSAAKPSRDQDTIKGMKMIHQSSFANLKASAANSPDVSVRIIATRSKARGIQKETSDFNQFEGTFSTGIEKQSTSQQKDHDSTSKNRAPLRELSSTESQSRTHKSKKGRRVLITPNAKPSKELLKILIVAAGGKPLEKITVSMMKNRSFEGVFVISCEQDRSICLPFVKNGLEIFDSELVLNGIVVQKLEFERFRLFHD >cds.KYUSt_chr6.30730 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194845063:194853695:-1 gene:KYUSg_chr6.30730 transcript:KYUSt_chr6.30730 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVGSHGVATLRRYKYSGVDHSIVAKYILQPFWSRFVHIFPLWFPPNMITLTGFMFLLTSAFLGFLYSPHLDTAPPRWVHLAHGILLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESLAFGSTAMCGKATFWFWVISAVPFYFATWEHYFTNTLVLPIVNGPTEGLMLIYVCHIFTFFTGAEWWAQDFRKSVPLLNWVPLVPEIPLYVIVLFLMIAFAVIPTVGSNTQNVYKVVAARKGSMLLALAMLFPFGLLMAGALVWSYVSPSDIMRTQPHLLIIGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMSLAYFPFAIVNALTARLDDGNPLVDEQLVLLMYCLYTVALYMHFATSVIHEITNALGIHCFRINCHDWLANISSFFFGWSLKLAMTMLRRAMAAFWLWLLLAVVASTAASGMKVPAIFVFGDSTADVGNNNYLPGSSARADFPHNGIDLPSGELRGRFSNGLIGVDFLAADLGFSRSPPSYLALIVANSSGGGEAMSNTTKKTMGVAASIRGANFASGGSGILDSTGATINMTKQIEYFSDLRDQMSARLGANRASTVLSKSIFLISAGANDVFDFFLQNTSLDANALQQFREAVVSTYDSHVKTLYNIGARKFAVIDVPMIGCCPYWRSRNPTGECLEPLNRLASKLNEGIRDLFGDLGSKMQGMNYSIGSSYELVSSLIEDPLSAGFTEVKKACCGGGRFNAEEGCTPSSSCCSDRGKFVFWDLLHPTQATSRLAGRAFYDGPARFVGPITFRQLAEA >cds.KYUSt_chr3.7051 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40666716:40667033:1 gene:KYUSg_chr3.7051 transcript:KYUSt_chr3.7051 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSSLCCGPRKSSSRPELARRVHASADPPMADELSPPIPLPLPLPLPPPPLPPWPRPRPPGRKVPPLLWVEEEEESIAGRLGAVRWIRSRPRAGGGSTGGRS >cds.KYUSt_chr4.51620 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320401692:320402507:-1 gene:KYUSg_chr4.51620 transcript:KYUSt_chr4.51620 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPTTQLIEPSSFQDLDDSISFGWVESAKASPSSEHLVDDVRHAFGSPGSSVIDMYPAELFTVRGTTAMTSDFDFHLPVVPDGTESPTLLVSASRVLRGGHLLPCEPGGVAQEHGDGVDVVPSMTQWSDSSSLFDTAHNMLRSCTSAMSDAGSKLSWRQRPPWADRSSSSSPPRKVLPSYLRFLVPLYRKVRALRPARTRVVAPTAPSATLGSTASAIEWCHGNANAAIDDAILYCKKSSAQDIL >cds.KYUSt_chr6.22351 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141236839:141238647:-1 gene:KYUSg_chr6.22351 transcript:KYUSt_chr6.22351 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVNNLSIAEGAAPAAGAEGHKKNRIQVSNTKKPLFFYVNLAKRYMQLHEEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDARNRPIQKAKIEILIGKTEKFDELMAAAAEERAAAGAAEEEQS >cds.KYUSt_chr3.27012 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168560611:168562184:1 gene:KYUSg_chr3.27012 transcript:KYUSt_chr3.27012 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPCPRPLSRNRASQLTDGRYPALPHSLRADRAAASLSSAWSDRLATVLIGCSSACLERVFTPSSYGSAKSDSGVAKDGQSPFEQVASQTVSPNDDMLVM >cds.KYUSt_contig_786.493 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2762199:2765108:1 gene:KYUSg_contig_786.493 transcript:KYUSt_contig_786.493 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAARRAAALLRPAAAPLLRPTPAPAARFSSKAEYKAFVACLRDISASAQADKNHSGGSVRDKADSARAATHDGEILRVIDNVIRSQHRGLVEENSSDFPFEISENEGLTELTLTRSLKGETIEVLVSTPKLDQDGKDNEGLLSSLKENQEDEGNAPPEKYSLPVRVTVSKGDGSGLVFTCTANPDDIVIESLSMRRKSLGADEGDAVTYDEGPDFHELDKNLQETFHKYLELRGITPTATKLLHEYMISKDRRVLPKTASKDTRNNLVFLTKLHSFLKKD >cds.KYUSt_chr2.41072 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255306889:255311881:1 gene:KYUSg_chr2.41072 transcript:KYUSt_chr2.41072 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDSSASSRQSSFNSLAKDLELPLEQGCLSIIVLGASGDLAKKKTFPALYHLFEQGFIQAGEVHIFGYARSNLSDEGLRERIRGYLKGASEEHLTEFLQLIKYVSGSYDSGEGFDKLNKEISEVEMSNKSGSSRRLFYLALPPSVYPSVCKMIRTYCMNPTSRAGWTRVIVEKPFGKDLDSAEELSSQLGELFEEDQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNVDNIQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKDEEVVLGQYQGYKDDPTVPDDSNTPTFASIVLRVHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFRCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVTIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDAGKLKSVSYQPGSRGPKEADELSEKVGYMQTHGYIWIPPTLA >cds.KYUSt_chr3.3076 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17598778:17599560:-1 gene:KYUSg_chr3.3076 transcript:KYUSt_chr3.3076 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKFLQILEEKKKRVLEKKEAPLKWQQKLEAAIKATEEKEKKLKSRKHRRRSYSSSESDSESESDSDRKHRKRKERRRHRKHGHSDSDGARRRKCRSKRRSSGSSEESDSDEPDSGSEEECRRKKHSHKRKHRRHSSRSDSDSDYNSDVEDRRSTKKDHHSRSRRRRHRSSEDDPEEKSRSRHRKRHRSSDEDTPSDSDNHKHHRSRSIGESSDDGAATSERDKMNDKWSHKSKRHHGHHHHHNRDDHHRSNSVGGMT >cds.KYUSt_chr2.20015 pep primary_assembly:MPB_Lper_Kyuss_1697:2:125946324:125946884:-1 gene:KYUSg_chr2.20015 transcript:KYUSt_chr2.20015 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPAHAQSVASSLTVAMTECTEGRALFKTQSLRCFQIVQGSSSAIEASPLCIGIGVTCRALCHHSIKMWLLPSGLQVILNQERTSCQACCEGVDDDEMHRLRELIAQEAPGMVLQATSSQPLDIPTAVQNSRLASQWKNMARRDGHVFQISFWIGQLSNRHVPLGVSLKQFMILGGGGGGAYET >cds.KYUSt_contig_2887.156 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:753288:757186:-1 gene:KYUSg_contig_2887.156 transcript:KYUSt_contig_2887.156 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLASSRGTLLLPAAAPPLAAPRSSPSLQIQNHVHSMPTLRHKAHTTVACQASPATKYMETPEIVDLDWENLGFGLVDTDFMYMAKCGPDGNFSKGEMVPFGPIALSPSAGVLNYGQGLFEGLKAYRKTDGSVLLFRPKENAIRMINGSDRMCMPAPTVEQFVDAVKLTVLANKRWVPPTGKGSLYIRPLLIGSGAILGLAPAPEYTFIIYVSPVGNYFKEGLAPINLIIEDNFHRAAPGGTGGVKTIGNYASVLKAQRTAKEKGYSDVLYLDAVHNKYLEEVSSCNIFVVKGKTISTPALEGTILPGITRKSIIEVAESKGYKVEERPVSVDELLTADEVFCTGTAVVVSPVGSITYLGKRVEYEGNQGVGAVSQQLYTSLTSLQMGLAEDRMGWTVQLN >cds.KYUSt_chr4.51005 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316178759:316183182:1 gene:KYUSg_chr4.51005 transcript:KYUSt_chr4.51005 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLPATTILLPPLPLPDRVLILPCLLLPKKKKNQLCVPCGTTSSLRPESSAQRRRPPFPFLVAGMRAARGPHLANEAYCWIPVAVAIMMQYLDFSHASTSRKWTNKRQPGEGFDAPRNSVEFAMEAPHSYGVFQEDASASYSCNNNMRQHPKASAPIKKLIHEDISFRSSEGHKRVPSVIARLMGMDSPPLNSATGEIITTHSDDRRQETTTRSMSRRERDPAETIATKHVSFVQQKNSSRHAPKQEIRALDDEEEREILGQLRKTSGSGAGEEWSKQPPREHPQEEELQKFKKEFAAWQANKVREQSRALELDYRHVDEEVDGGARCSEIVPYRYHQQEKQHRGGRDGNRRVHDEVDGDAHWGRRSKDGGNGGPSISGSRTFSLTSAAEDCSSTRLPLSRFYYEEEEKPLSPARIVILKPCPELSADDVDESSMGSPELMKNENNMEAFLEEVKMRLKIELEGHMASDDRAADRWADPKQIARNIADQIRETVTMDMHHPALVRSESTRSYRSSDVQSQMDYICRDARKQLTDRLRNVLRKEPETDQPAFGSHRRRAAVSTASPSWFEEEPRPKPTSPRREVAARKSSKKIRSKEEKKRAIESSEVRSFRHGWYKNSPAVAAVESSDEAASPRNLMRSFSAPVSGTSNFVKLLSEGEPRVLAGARMQSKHDGHGYNGMPPSPEEKLPKGRKDALGIKGRVSNLRQNLGLRGLLFGKKLHSAAVDESSSSFFLDDFPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMMIRGGYPSPVSPLEASFSGRRSPLKPATSSSDSEPGNVHPWLEREQTEEVPQTSPALQDDNEDDDTAETDHPMKAFIRAVLVVAGMYGQSQNSDHLSSTSQMIKPIPTCVFEEVLSSASPPDVDSDVDHQLLFDLINEALPGAVRGTTTLCTFSKWYAAPRRALNGKRLLDGLCKTVLARLEPPSDDEPSRTSSVDGLIERDMSMFPWIGVFRDDVDGLGEEMEAEILSELVDETLWDVLLNVGD >cds.KYUSt_chr3.39217 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247096953:247098587:-1 gene:KYUSg_chr3.39217 transcript:KYUSt_chr3.39217 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYPIQALLLGVLLVLGESSSQPTSGDRATLLAIKNDWGNPKQLASWDPAVNADHCNWTGVACEGADGQRVVTGISLPNLNITGEVPEFMCNLTNLARLDLSNNSLTGGFPGDALYPCSQLRFLDLSINFFNRDLPGDISRLSPAMEHLNLSCNHFSGAVPAAVAGLPALKSLLLDNNQFTGAYPAAEISKLGGLERLTLAFNAFAPAPAPLEFAKLTNLSYLWMSSMNMTGEIPKAYSSLTKLTLLAIMGNNLTGEIPAWVWQHQKLEHLYLFDNNLTGELARKVTAVNLVELDVSSNNLTGEIPEDFGNLRNLNLLFLYMNQLTGTIPASMGMLPKLRDIRLFENKLSGELPPELGKHSPLGNLEVCMNNLSGTLPESLCANGKLSDIVVFDNGFSGELPKNLGDCVLLNNIMMHNNSFSGNFPAKIWSFPMLLTVMIQNNSFTGALPAKISGNISRIDIGNNRFSGSFPASATGLHVFKAENNLLSGELPADMSKFPSLVELSLSGNQLTGSIPASISLLQKLSTLNLSDNRLSGAIPS >cds.KYUSt_chr3.14119 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85474505:85475221:-1 gene:KYUSg_chr3.14119 transcript:KYUSt_chr3.14119 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTGEDGQALVSHSSHPHPLKLVATGGALFQCDGCRQIGDELRYRCDQCDFDLHVCCAQAPAVLEHPMFEGRALTFFQRRPAIPAGGCALCDVCGDPVLGFLYHNREHDLDIHPFCATLPERIVVEDDGVLNLTKAAGHSCKVCGEVGRRGRYLSYRFQDDDGKLVYIHVACVLEASFSSGDHVVQANSTTTGEDAPRRTGRFRRFCKVAFRVARVSYSVATLDPVGLVTAIVSPI >cds.KYUSt_contig_815.317 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1876269:1878251:1 gene:KYUSg_contig_815.317 transcript:KYUSt_contig_815.317 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAWWLGILLGAVPLLAFAVWHCNDAFYCAAFALRRRGLDRRLPPGHMGLPFFGETGAMLWRFKVTRRPDGFIETRKKAYGEGVGMYRSHLFGHPTIVVCLPSVNRFVLQAHDSFWVRWPAKDLLGLSSMFNAEGSAHTRIRGYVVASFSQPRSLRNIARVAQPRVAAALRSWAEKGTITAATEFRKLMFECTCEIFISMKPSPLAAKMDKWFAGILDSLRALPVDLPGTALNHGRKCRRKLNAVFQVELEKRRKQEKCGHVGEEDYADDLMSGLMNMVDDQGKKLTDEEVLDNIVSLVAGGYESPSYGLPHCNLFYSINQDQTSMK >cds.KYUSt_chr5.18648 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120569273:120589362:-1 gene:KYUSg_chr5.18648 transcript:KYUSt_chr5.18648 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEISALLDLLRPAPDPARRLRAPAAQPGLQALAAALAAVPPPEPARAGAVLAAARAVVSAVLASSVEQVESMVVEIVERSLEFCLLYLEKSSYECDDFGLLNEVAFFMESVLLSGTASKAYSLEPGIINDIIEQWSCVPIEPERLSPQEKYFCYLKGFNCSNSGDDLQRFRWTLSPECLQQNYVIPENTELLHAASPSAMVSIARHFAVVHLHCIPRLLTLVQKLCQSPALEVLEDINFNMRLSFTQRILKLAHGLAVEFPCDVSDTMVLCSVARCADSLPVLFGLKFKFSNHDRVFSGDGVGIMLLQILEEFLQLIQTVFCNSDICCTVQVCILASLLDIFSTKTWSYEKSAVCLMPPLAYSPQIVQYVLKLLKSTKRWTSRVDRDIPGNVALDYSCSPGIDGLSCRARSAVVPLLKKYTCEEYLQYIFPSEEQWLDDLVHLIFFLHEEGVKSMAALEKPQLTCTKQAVVSELESVASHEEEALFGNLFAEARSTGIADSVEQPTSLGSVSSSSQHGPIQLAADLICFMKTCIFSPEWCSATYMDACRKFHTNHLEQFMSILNCQACVSDENGAENTSSYHMETNLLHINMACFELLQTFLVSEECPASLREDLVEKVLNAENGKYTYNHYTLALVARAIISGTNSAYNLGRKVFVQYFDYLLEKANDKSSSPLNFNDFCEALPCAFHLEILLVAFHSTSGSEKSDLTKIVLSSLEKMRHSPPRKTAPELTRWALVFSRLLLVLRHILLYPLTRPSWLFTRLRSRMRDIQVKEEQLRSMNDCLPSFATVIVEGMLADTVKEYATTSSLLLQLLDVTPAHAEFYSDKSALGTLGLNVADLSVTISEILGCWRDMKPEVAEDLIVERYVFLICWSTFAGIGYHGSDALLRNDGLSNPDFANVDLFLSFALSARDDASPLVGAKLPAVAFGFLKSLHSEILLASNKLETWDFSRKGAWLSLILSLINTGEKTDVDSHEQSLLGKSFAKYISENSGHCLSVLSSLLETYLLTFREAYLSLVDRGRHSKDHCHPSLLLKHSAFDKSKHHILLEKVGSNMEMLDRLCDLPARIDGVAIKLGEVQKNCFPLKCLLHGFPSEYASSNSALLSCILVIHEIIHTFDGYIKIMQPGDRDQVDVDVISKLLSMVMAVRSDRIFRSIYGQCDAIFMSLINHRDDLAGYTDLFTLKQLEGFLADVNSKESIDHETEEILVSTIVDLVEDIRAKSDVFKFFLGDAEGAPEGASSLFAPEHAEMSVFIDMLDRFQSEQVNLKIIRLFTDILRAGLCPTLKVKLQNRFVGMEVSCFSSWLDFRILGHSLKTESTNGIVVGSTALRESAVDFLMCLICPSSETLAKELQHHLFGAMLLLLDRAFLSCDLQTAKAYFHFLVQLSSEESHFKQLFEKTLVLMETMVGNEGLLHALKFLFMFVESVFGDAGLNRTALKRLSSKNSVNSCGSGSLIPKQLKNSDDPFLHTNQENNSAIDCDASSGEEDEDDGTSDGELGSMDRDDEEDGNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSNCQCLKPRKFTGTSSVPPPAACSFQPMLPYHDDLEQVADSGSDFEDDISTDADNSLKLSVPKGFSDGLPVFLNNLDIEVRMLELCKKLLPTILSQRELNLLKDRKVVLGGDMLVSHASDVFQLKKVFKSGSLDLKIKADYPNSRELKSHLASGSLTKSLLTVSIRGKVAVGEGDKVAIFDVGQIIGQPTAALVTADKTNVKPLSRNIVRFEIVHLIFNPSLDHYLAVAGYGDCQVLTLNSRGEVTDRLAIELALQGAYIRRVEWVPGSQVQLMVVTNMFVKIYDLSQDNISPLHYFTVADDIIVDATLVPSSMGKLVLLVLSEGGLLYRLNVLLAGDVGAKTLTDTILVKDAVSMHKGLSLYFSSTYRLIFVSHQDGTTFMGRLDTDSSSVTELSYICEEDQDGKSKPAGLYRWRELITGSGTLTCLSKFKSNAPLAVSLGPHKLFAQNMKYGTGSNTPMVGVAAYKPLSKDKTHCLLLYDDGSLHIYSRAPSGGDSSTNLTAEQTKKLGSSILSSRAYAGTKPEFSLDFFEKTTCITSDMKFSSDTTKSSDSESIKQRLTSDDGYLESVTSAGFKVSISNPNPDIVMVGCRIHVGNTSASNIPSEITMFHRVIKLDEGMRSWYDVPFTTAESLLADEEFTITVGRTFDGSSMPRVDSIEVYGRAKDEFGWKEKMDAVLDMEAHVMGGTAGGKIGKRPQTLQTAPIQEQILADALRILSRIYLLCQPSCCTDTIDADLNNLKCRALLETIFQSDREPLLHSAACRVLQAVFPKKEIYYHVKDTMRLLGVIKSLPAITSRIGVGGSASSWVMKEFIAQIHTVSKVALHRKLNLASFLENHGTELVDGLMQVFWDILDLERPDTQMINNLVIPCVEFIYSYAECLALCANEKSGASVAPAVALLKKLLFAPYEAVQTSSSLAISSRFLQVPFPKQTMIANDDGSDNNAKTSASTMNPTSGNAQVMIEEDPATSSVQYCCDGCSTVPILRQRWHCNICPDFDLCETCYEILDADRLPAPHSKDHPMSAITIELDTFGGEGNDIHFPIDEPADTSVLHPPPDDRSVKTSQSPIHVLDASGSADFPGSMADQRTVSISASKRAINSLLLSCLIEELRGWIGTTAGTRAIPIMQLFYRLSSAVGGPFMDSSKPEHFDLEKFVKWLLDEINTSKPFPAKARCSFGEVSILIFMFFTLMFRNWHQPGSDSSHAKSGGTSDLTEKGPVQVPVSTTSLPSPSDDQDKNEFASQLIRACSALRQQSFLNYLMDILQQLVHIFKSSSTNGEGGSSGSGCGSLLTVRRELPAGNFSPFFSDSYAKSHPTDLFVDYNKLLLENTFRLVYSMVRPEKDKSAEKDRSYKVPNTKDLKLDGFQDVLCSYISNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQYSHEVKKLHKIVNKSGGFRNPVPYERSVKLIKCLSTLCDVAAARPRNWQKFCLKRMDLLPFLMDNFYYFSEECIIQTLKLLNLAFYSGKDVNHNVQKTESGDLGVPTRTGSQSSDSKKKRKGDDGSEGASEKSCMDMEQAVEGFNGKEGDVLKRFVDTFLLEWNSGSVRQEAKCVLFGLWYHAKNPFRETMLKVLLQKVQYLPMYGQNIIEYTDLMTCLLGKANDSTAKQNDTELLNKCLTSDVISCIFDTLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLESLKSETKFTDNRIIVKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNNWSLWKRAKSCHLTFNQTELKVEFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFMAKPSFSFDNMENDDDMRKGLAAIESESENAHRRYQQLMGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTCKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMAYLHQKNSNDANALPAFSIPRSPSSCYGCSTTFVTQCLELLQVLSKHTNCRKQLVGAGILSELFENNIHQGPRTSRTLARAVLSLFSEGDADAVQELDKLIHKKVMYCLEHHRSMDIAQSTREELQLLSETCALVDEFWEARLRVAFQLLFSSIKVGAKHPAISEHIILPCLRIISQACTPPKSEAGDKELVAGKSNLMLQSKNDDTIGHSPSNVTTSKVQSDASVKSSDGSRRGQDLPLLSYSEWESGASYLDFVRRQYKVSQAAKGVQKTRHDPQKPDYLVLKYGLRWKRRACRKSSKSDFSKFALGSWVSDLILSSCSQSIRSEICTLISLLCPSNSSRQFQLLNLLMSLLPRTLSAGESAAEYFELLGTMIDSETSRLFLTVRGCLTTLCSLITKEVYNVESQERSLSIDISQGFILHKLVELLNKFLEIPNIRARFMTDKLLSEVLEAFLVIRGLVVQKTKLINDCNRLLKDLLDSLLIESTANKRQFIRACITGLQKHVKEKKRRTSLFILEQLCDLICPVKPEPVYLLILNKAHTQEEFIRGSMTKNPYSSVEIGPLMRDVKNKICQQLDMIGLIEDDYGMELLVSGNIISLDLSISQVYEQVWKKHHGQTQHSLSNTSTLSAASSVRDCPPMTVTYRLQGLDGEATEPMIKELEEEREESQDPEIEFAIAGAVRECGGLEIILSMIQSLRDDELRSNQEELASVLNLLKYCCKIRENRCALLRLGALGLLLDTARRAFSVDAMEPAEGILLIVESLTLEANESDISISQSVFTTSIEETGACEQAKKIVVMFLERLCHPLGTKKSNKQQRNEEMVARILPYLTYGEPAAMEVLVEHFEPYLRDWGEFDRLQKQHEENRKDDDLSQKASMQRSAVENFVRVSESLKTSSCGERLKEIILEKGITKAALGHLREKFASAGQTGSRTSAEWTAGLKLPSIPFILSMLKGLAKGHLPTQKCVDEEGILPLLHALEGVPGENEIGARAENLLDTLANKENNGDGYLGEKIQELRHATRDEMRRLALKRREMLLQGLGMRQEFGSDGGRRIVVSQPIIEGFDDVEEEEEGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGSGRGDCVFTTVSHFNIIHYQCHQEAKRADAALKTPKKEWDGATLRNNETLCNCIFPLRGPSVPLGQYNRSVDQYWDQLNSQGRADGSRLRLLTYDIVLMLARFATGASFSTDCKGGGKESNSRFLPFMIQMASHLVDGSANQQRHAMAKAVSTYLSSSPSAPESPSRVSAPLSGARGSTGSSEETVQFMMVNSLLSESYESWTQHRPAFLQRGIYHAYLQHKHGRSTLKLPADSSSSAVRSDEGSSADPDDGKKLFAIVQSMLVYTGLIEQLQQFFKKGKKLSGTSKSSEKDESSSKWEATMKERMSNMKEMVGLSKDLLSWLEDMTSSEDLQEAFDVMGALQDVFSGGYTKCDDFVRAAIQSGRS >cds.KYUSt_chr5.23529 pep primary_assembly:MPB_Lper_Kyuss_1697:5:153239555:153241972:-1 gene:KYUSg_chr5.23529 transcript:KYUSt_chr5.23529 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPPHLLPLLRRRRRHLSSSSSGAAAAAEIVGALTGAPSRDTSRNLDCLLRRLGDRGLASALSSLPSPLPAASALRLLHHLISNSPASASASSTHEHDLLSPHVSALLLPSLVADRSTFPSARRLIKRLIHLHSLHTAAAAVSDASSTPSSDLLINTCLTSPARGSIRHAADAFHMLSSRGASPSIRTCNTLLEALACAGQVDAACKVFDEMRNCQNVTLDGYSYTSMVKALCREGKVDEGFKMLAELVHAGLQQCAGAVPYNLLMDALCKSGRVDEAFRLKERMEESKVTPSVITFGILINGLARSERFGEVGALLQKMEASGIIPNEIIYNQLIDWHCRKGHFSEAIRLSDEMVSKQLKPTAVTYNLIARALCKEGDMERAERILEQMLSTRMEIHFGLFNSVVAGLLQRTGKLDSVVRLISEMITRGVRPNDALLTACMGQLCKGGRHQEAVRIWLKMLEKGLCVNIATSNALIHGLCERRDMKAAAEVLRTMVNKGVKLDRITYNIMILGCCKEDKIEEAIKLRDDMIRRRLMPDIFTFNTILHGYCNLGKMEEAIQLLDQMKVEGLQPDVVSYGTIIDGYCKAKDIQKANEYLADLMSCGLKPNVVIYNALIGGYGRNGNISGAVDVLHTMKSNSIRPTHVTYCSLMHWMCHAGLVDEAKTVFEQCRENSIELGVIGYTIMIHGFCKIGKMDEAVTYFEKMQSRGIPPNKLTYTTLMYAYCKSGNNEEASKLFDEMVNSGIVPDNVSYNTLVTEFSQSASLDKAVELPAISSVLTQSMYNVLVNSITTPRCQKEAASSE >cds.KYUSt_chr1.31386 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190358598:190360810:-1 gene:KYUSg_chr1.31386 transcript:KYUSt_chr1.31386 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWFNSLVRAVLRSRGSAFRWSLCQGSAAGKEAALGPRSLWGGIPIGATSSSERLFMIQAMASHSQSQAGGGGYAGPSGRQPQGLARQGSLYSLTLDEVQNQLTEPLLSMNLDELLKSVFPDGVDPDGAVAGQSEPPSGLHRQGSITMPPELSKKTVDEVWKGILDSPKKKSGEEGGWRRRERQLTLGEMTLEDFLLKAGVVTEGCLKDSSSDFPPNMDAAGSSVIAAGAPSLSSTAQAQWLQQYQQQALEPPHPSMPGSFMATQLAPQPLAVATGAILESIYSDAQITSPMLSALSDPQTPGRKRGASSGGVPDKVVERRQKRMIKNRESAARSRARKQVYFYCPAYF >cds.KYUSt_chr4.25029 pep primary_assembly:MPB_Lper_Kyuss_1697:4:157366743:157371276:1 gene:KYUSg_chr4.25029 transcript:KYUSt_chr4.25029 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNQHLRHQIERVSPTPGKALRLSDADRVEVGWITPPSASSNQEYRRNSERRSKSNHDRPSRKLMLEAAEADATKETPAPPPTLDLHHAPALTMGGSPPSGQLLLLDAPIPALGAAVARAPRSKAPPAEALRKSARSKGATEGPVLERAIRATAEKNSMSKCSIEDAATPSSATPALAQDLIEVHSRAEARLADHQPTACSPIAPGVPQELDTELVMATWLPTLFGHICLLEIRVPSGFAVEDDFSAAESIFYGGVEIHDRHRDMRLDIANRSYEEKPPYVTKVEKLCSSSTKWMDVIAYGGSFLGLVLVSSHLLRCDAGRNGAHGEKSGMLPCRWWTEEVLMM >cds.KYUSt_chr4.8716 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52297713:52299184:-1 gene:KYUSg_chr4.8716 transcript:KYUSt_chr4.8716 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGAEMIVIPAANNDEDSCTYAMQLAAACILPMTLKNAIELGMLEILVGAGGKALSPSEVAARLPSTTTNPDAPAMVDRMLRLLASFNVVSCEVEEGKDGLLARRYGPAPVCKWLTPNEDGVSMAALLLMCHDKVTMKSWYHLKDAVLNGGLPFEKAHGMPAFKYHGTDPRFNRVFNEGMKNHSTIITKKLLESYTGFDGLRALVDIGGGTGATIHAITSKYPQLSGVNFDLPHVISEAPPYPGVRHIGGDMFKEVPSGADAILMKWILHDWTDEQCATLLRNCYNALPPHGKVVVVECVLPVNPADASRNAQTVFTIDMIMLTDTPGGKERYQREFEMLAKGAGFANVKATYIYANAWAIEFTK >cds.KYUSt_chr4.34299 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210462171:210465765:1 gene:KYUSg_chr4.34299 transcript:KYUSt_chr4.34299 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDVLSAKLLRSSLHPHAPFRPSPSLPSRRHRRSSPIHCRLTTSLSSSATTTSEGDADQDLSALLSGDSAKAASGAGSRKKRSNSGASSIPSGVRLENISKSYKGVTVLKDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEDPDGGNVIKAKENMKIAFLSQEFEVKASRTVREEFLSAFQEEMGVKDRLDQVQAALERATEDMDLMGRLLDELDLLQRQSQDVDLGMVEVKIQKLMPELGFVPEDADRLVASFSGGWKMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQDVPMVIISHDRAFLDQCCTKIVETEFGVSKTYKGNYSEYILEKAIWVETQRAAWEKQQKEIEHTKELIARLGAGASSGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGRSGRTVLAINNLKFGFGDKTLFNNANLIVERGEKIAIIGPNGCGKSTLLKLALGMEKPQEGEVILGEHNVLPNYFEQNQAEALDLEKTVLDTVADAAEDWKIDDIKGLLGRCNFRDDMLDRKVQFLSGGEKARLSFCKFMVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFVKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELARAEELEEKAPKVKAKSKMSKAEKIARKKQKVQAFQQSKQKSKSMKNSKRWN >cds.KYUSt_chr7.29965 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186526674:186528098:-1 gene:KYUSg_chr7.29965 transcript:KYUSt_chr7.29965 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVLTALLLLGLWAVMIRRSRYTSQHAGAAAPFFVEKIGDPAVAHRVLVEDADAFANRPVLPFFVALSNARGAERSENVSSVPYGPHWRALRCNMTAETLHPSRLGLGHLAPLQREAIQNLVAALSAGAKGTVVVRNYLYVAVFRVIARLCFGGGVDERQVSAMQCLVHDFQLGIGEIKPVPVSSPLAKLAQWRQQRQLLAIYGRMSELLLPLIAARRRQPCDDGGRRPYLDSLIQLPVPGPEPEGKGSGRRALTEDEMVNLVLEFLGAGTGSLVVCLEWTLANLVALPDVQKELRREVDAEAATVSPDRSQLIRGMPYLHAVVLESLPMHPPVPLAFRHVQTDAAGMSVGSAAVPGNSDLIVQFLLGDMGRDRKTWTDPDEFRPERFLAGGEAHGIGPLPGPKEMRMMPFGAGHRFCPGVGLTMVVVKCFLAALVREFEWAAPTDAVDFTELDAFFKTMKKPLSARITPRN >cds.KYUSt_chr6.19518 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122831376:122833547:-1 gene:KYUSg_chr6.19518 transcript:KYUSt_chr6.19518 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPTPSKIESARKWIVDHKLRAVGSLWLTGIGSSIAYNWSRPNMKTSVKLIHARMHAQALTLAAIACSGLVEYYDKEYGSSGPKVDRYTRQYVAHSHKD >cds.KYUSt_chr2.14241 pep primary_assembly:MPB_Lper_Kyuss_1697:2:90133169:90137366:1 gene:KYUSg_chr2.14241 transcript:KYUSt_chr2.14241 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKNGGPANPAADSSGAGARRGRRRHRALAPEPAPRSLSVSSLRSSAAASAAAERLLEKKHEAEEPAGKAVVSVAAASRSFRLRSLRNSLEGEQVAAGWPSWLSAVAAEAIQGWIPLKADNFQKLEQVGQGTYSSVFRARDLDTGKIVALKKVRFNNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFTEAQVKCYMNQLLSGLEHCHSRGILHRDIKGANLLVNNEGVLKIADFGLANYCDPSRNHPLTSRVVTLWYRPPELLLGSTHYDSAVDLWSAGCVFAELLRGKPILQGRTEVEQLHKIYKLCGSPADAYWKKSRLPNATIFRPHCPYLSTLRDVFKEVPEHAFSLLETLLSVEPYNRGTASYALASEFFRTEPYACEPLSLPQYAPNKEMDAKLREERLRRKASSRGHRPEASKKSSRLSRAEREQNAVNKQSDCREVPKANVTKDGVRHVSTKLNGDAKLFADVQRVSTAQVKERASHFTNDSLEEIPFSGPLIVSSSSGFAWAKKRDGCSFTRSRTRSSSRDDFAAEVGQDNKLQLKENVGLREQHNRDVQIARVNPRVQEPHEVANRAVLKKWSQLEHPDSFDSRDTYHSQKFSNAIYLGDALSSKNSMKDHDHGERVEYSGPLMSQSHKIDELLEKHERHIRQVVRKSWFGRDKVVSEINRKKSTQVRKA >cds.KYUSt_chr6.32758 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206421102:206422694:1 gene:KYUSg_chr6.32758 transcript:KYUSt_chr6.32758 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHQTVYDGANQNGTVVELAAAQEKLQRPDVLNADDFRRQGKQVIELIADYYGGISDYPVHPNVTPGFLRKLLPTEAPACPEPDAFTSALQDVRDIILPGLTHWQSPRHMAHFPASSSTVGALGEALAAGINVNPFTWAASPAGTELEMVVVDWLGKALHLPNSLLFAGGGGGTLLATTGDAILCAVVAARDQKLEQIGVGRIGDLVVYCSDQTHFALVKSARIAGIRRDHCREIRTCHANMFALSPMDLHAAMKADVEAGLVPLFLCATVGTTKTGAVDPIAGLCAVAAAHDVWVHVDAAYAGSALVCPEFRHVIDGAEAAVDSFSMNAHKWLLVSNDCCAMWVKKPRTLIAALAVEQEVIMKDRASEGHDVVDYMDWNINLTRRFRALKLWLVLRCYGTDGLRDHIRSHVRIAEAFEKMVEADARFEVVTERKFSLVCFRLRSPEPEQSGGEETANALNRQLVEEVNAVTSGPYTSFANVGGIYMLRWAIGSTLTEERHVADAWKVVQERASAILCKMESINASRA >cds.KYUSt_chr2.9842 pep primary_assembly:MPB_Lper_Kyuss_1697:2:62332798:62335837:1 gene:KYUSg_chr2.9842 transcript:KYUSt_chr2.9842 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSRSRNLVTTLASDGDVAEDLQSTVSSTARGQDMHAPAEANGVEEGPGLIKQQECTVGACADTAHSRRDEVPDRRHSPLHDGSRASDHVADHARHTVFFVAGADHTVYLLRLIILQHICAALQLPINSIHTIRHSNGPSRGIST >cds.KYUSt_chr1.38105 pep primary_assembly:MPB_Lper_Kyuss_1697:1:232718166:232720368:1 gene:KYUSg_chr1.38105 transcript:KYUSt_chr1.38105 gene_biotype:protein_coding transcript_biotype:protein_coding CAFLLHDEIGRTSIDPLNMLDRVSLRFISVALRKLDGLHVSPGVTDYLSIRIPNGQGTHARKRKWLDAPLTATTPWTLSLTQLPFVGEATRFRTTTASGEEMIRRNPRRHGDPPDPVREALVHGRPASPWEGTHLHPPLAIARITHVLSPSADRQR >cds.KYUSt_chr7.2947 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17462052:17468035:-1 gene:KYUSg_chr7.2947 transcript:KYUSt_chr7.2947 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTPSRATDPSRSARLRPKPADADADLGRGTSRSARLQPKPADAELGRGKRQRKAATATDDDGQPVGAGVADADAEQERGASRSRGKRQRKAAGKADAGTRGRGSAAKDDDPEEAAQAPVGEAPRTMDADGAISDDICADEPDAEELRMGDDDEEASDGVAAGGGDGSGAGKKRVARPSAKRTSKAATPDHFVGEPIPDGEARQRWPERYAAKVPKGSDSKAKRSDAEEEIRALRHYTTVCVDDANFHLGDDVYVKAAPGVDDYIGRITELFEGSDHGSHFTCRWFFRVEDTVISPSLLEVNDHKHDPKRVFFSEEKNDNLIESIISKVNIIYVGPNMTPTAKAQLISKCDLYYDMSYSVAYSTFANMPPENGGAMGSEADADSSKKKPIADIVAPPDEQMETATLLDLYSGCGAMSTGLCMGAAWSGLKLNTKWAVDMNTDACNSLKHNHTSTQVRNEKAEDFLSLLQNWDALCKKYDVHNSNSLPQTSNNDEDDENENLPEGTFEVEKLVDICYGDPNGTGKAGLWFKVRWKTYDASYDSWEPSDGLSDSPERIKEFVENGYRESILPLPGCVDVICGGPPCQGISGLNRFRNYEHPLTDERNKQLVVFMDIVNYLRPKYVLMENVVDILKFADGLLGRYALSRLVAMSYQARLGLMVAGSYGLPQFRMRAFLWGALPSVVLPKFPLPTHDVINRGVVPNAFSQCLVAYNENEDKHLKEALVIRDAISDLPKVGNHQPNDVMDHCIGPKTKFQQYIRLNRKDMKDYSLGDATPKEGQLFDHQPLQLNNDDYERVQQIPVKKGANFRDLKGVRVGENNTVEFDPDIPRVLLSSGKPLVPSYAMTFIKGKSLKPFGRLWWDETVATVVTRAEPHNQIVLHPNQNRVLTIRENARLQGFPDYYRLLGPIKQKYIQVGNAVAVPVARALGYSLGQAYQGEFDGDYPLFKLPRNFIPMDQATLTRLSEGTSRGEVEEAE >cds.KYUSt_chr7.39979 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248343136:248343645:1 gene:KYUSg_chr7.39979 transcript:KYUSt_chr7.39979 gene_biotype:protein_coding transcript_biotype:protein_coding MPARSWRIDSKNSPCTCPAVGPAGRHDLEAYWTSSTASPPRGAPSGTTPDTAGCPAFPRPVFPLPAVLEPLHGVPVAAPAPVAGGHRANHRDRSPAFPRPCPVFPQPAPTKTTSGRKSVFPVASLLVTSLSYPILHVAVLLELLRIEAQEDDPREEELGREQDCRRKIG >cds.KYUSt_chr4.16337 pep primary_assembly:MPB_Lper_Kyuss_1697:4:101557489:101560763:-1 gene:KYUSg_chr4.16337 transcript:KYUSt_chr4.16337 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKLKEIFGGSTSQLVGGDSEDLSSPSHHEELQVASTSGRDVLSSSSTSPTCSKTRGSKVSPTLTPNTSSNDDNDDDDNDEEYNTLVHNMAMVYASLHEEIKELETQVLSLKKDLEKRHEGKSALDKMLSVQQSPNDKSGLGFNSNNKNKSKSKSNMNKGQDKVNDSAKIVCFKCKVEGHHVRSCPLKKKKHLSEKQQGKRPQSQGQAQAQPQVEDRPFPKKNQDIVPQEKKSIKKKKGNTCYLCREKGHFASCCLARSKIGNDYVLGDSWEEPIPKSYGLPCLGDELAVPDAGDAGEVVIGEDGVVVSQPRCALTPGEGLGEQGAVPMGGAVEAEVDVGGASVVRILDEFPQRDGALQVVGQHLRTLTERATRS >cds.KYUSt_chr3.34896 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218799428:218801508:1 gene:KYUSg_chr3.34896 transcript:KYUSt_chr3.34896 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTTIWREASRIVYEEGPRAFWKGNLVTIAHRLPYSSISFYAYEKYKYWLQMLPGLDKNSGLGADVGVRMLGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALFAICRDEGPRGLYKGLGATLLGVGPSIAISFSVYETLRSHWLLERPCDSPVLISLACGSLSGIASSTFTFPLDLVRRRKQLEGAGGRANVYKTGLFGTFTHIVRTEGYKGLYRGILPEYCKVVPSVGLIFMTYETLKSMFTGGASDE >cds.KYUSt_chr4.1296 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6845261:6847012:1 gene:KYUSg_chr4.1296 transcript:KYUSt_chr4.1296 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLFRSVPPLGPGRPSLLTFVELPRWEIHGGTAKARLDGFMISTVGYEISVLSHNMEIIKFALWSAQHDAQTELKSMTLMQIAHAAMDIIEEDVPLMSISTWYFWHDDKHVERRCASTPFSAVVKSTAPSSAVVKSRCAIDTLVKRCRGPAPSSALVRGGRGERGREEAEREHARGDERKGQRGRVVVLLGGVERSMEQASEVAAAVVEAACPQEARGGGGEEGG >cds.KYUSt_chr1.7057 pep primary_assembly:MPB_Lper_Kyuss_1697:1:43383722:43384732:1 gene:KYUSg_chr1.7057 transcript:KYUSt_chr1.7057 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGTQEIIDTSETYHCPSAGVVIVCCGDEDVAGLHAGSPVKDVVTAPRRCRGDGAALHGGSPIKDTVVAPHGRHGDGAALHAGSLITDAVVEACGGVDGTAVHAGSLVKVVVGAPRGCHGDGAAPHGGSHVTDVVVVSRGSGVDGAMLRAGSFVADVFIAPRVCHGVVGAALHAGSLVADVVVAPRESGVDGAMLRAGSLVADVVVAPRGCHGVGAALRAGSPVADVVVAPRWCHGIGAVLRAGSLVKVVVVAPRRCHGDGAARHAGSPGTDVVVAPRWCHGVGAALRAGSLVKVVVVAPRRCHGDGAVRHAGSPGTDVVVAPRRWWRWQWAAHR >cds.KYUSt_chr7.6414 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38709922:38713663:-1 gene:KYUSg_chr7.6414 transcript:KYUSt_chr7.6414 gene_biotype:protein_coding transcript_biotype:protein_coding MYEASFALANDSTKTEKIMLVSPAEVPGEGPTKKRRGRPAKIGHFHEDAGPNHLLRIIFKPTFGRLMIPKAFVKWFREIPSNIIVTTNTRCNWMMTTRREGNDTFIDQGWTTFAIAHQLKVGQFLTFRKVSSLEYSVVIFDHTCTKLNYYRVCKLVCRELVSSMISAKFAETMIVFLLVLLIAGNLTSEGKRPLSILELGAQRITSPRCFLVAPAYPRRKKGSMDPAELLTGPALPWSYLACGLLGLALLWPATRLLEQFWRRPRRLERALRGQGLGGTRYRFLTGDAMELGRQNKEAWAKPPLPPRCHDIAARVMPFLHGAVREHGAPCMSWFGPVPKVTITDPRLVREVLASKFGHVQKVKFPVLGKLLALGVATYEGEKWVKHRRILNPAFHAEKLKLMLPAFASCCQELVGRWTQSLGPDGSWEVDVCSELQTLTGDVISRTAFGSSYLQGRRIFQLQSEQIVHFMAALHKFFIPGYMSFPTKNNRRMHRINNEIESILRNLIAKRMQAIEEGESTKDDLLGLLLESNMSDKDANGQSVKGMSTEEVIEECKLFYFAGMETTSALLTWTMVVLSMHPEWQDRAREEVLRIFGKHKIDYEGLNRLKTVTMILYEVLRLYPPVSAFTRQTYKEIEIAGIKYPPGVIFEMPVLYIHHDADIWGDDVHQFRPDRFAEGISKASKDPGAFFPFSWGPRICIGQNFALLEAKMALCMILQRFEFDLAPEYTHAPHTVLMLRPMHGAQIKLQAISS >cds.KYUSt_chr5.37364 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236234180:236249895:1 gene:KYUSg_chr5.37364 transcript:KYUSt_chr5.37364 gene_biotype:protein_coding transcript_biotype:protein_coding KTLNDINADLPELLVVVSSSCPARCRPDSTWSKCKRLPGEGRGTTGPYPWTWLLALPTVTWFFFVLALTLVADVGRCWCRRRGCHRPCVLLLDDNYGDEIDHDDLPPSRRRAGPPPPSRFLHRAVVNGRASR >cds.KYUSt_chr2.38093 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235847592:235852259:1 gene:KYUSg_chr2.38093 transcript:KYUSt_chr2.38093 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAAHEAPPAVVLVSAGASHSVALLAGNVLCSWGRGEDGQLGHGDAEDRLVPTVISGFDAPGITSVICGADHTTAYSEEEQQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGIQIKQLACGDSHCLAVTMDGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGICVKMIAAGAEHTAAVTEDGDIYGWGWGRYGNLGLGDRNDRYVPEKVSLVEEEKMVLVACGWRHTITVSSSGSLYTYGWSKYGQLGHGDFEDHLVPHKVDALKDSSTSQISGGWRHTMALTSDGKLYGWGWNKFGQVGAGDIEDHCSPVEINFPDEQKVAQVACGWRHTLAFTEKKNVFAWGRGTSGQLGHGEIVDRNTPKLIDALSPDGSGCKKLESSTAVPFSAKVWVSPSERYALVPDEKVPKPGEASGNGADASVPENDVKRMRVSS >cds.KYUSt_chr5.29784 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188856970:188857590:-1 gene:KYUSg_chr5.29784 transcript:KYUSt_chr5.29784 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSEWGGEDEEDGEEHGGRDEEAGGEDGGEDGGEDSGEDGGEDGGEDGGEDLEEDEGLGGMPQELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFHWDCQSPYWWPRRGLDLEALRLRGRS >cds.KYUSt_chr3.5979 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34095548:34096588:1 gene:KYUSg_chr3.5979 transcript:KYUSt_chr3.5979 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAACAASLLRCSVRGSGHLQGALPNLRDVSARVPAAAPHVPPPRSIHHRDPAERGHRGRGAGRGSGRTIGKDAFAKVRLVVDVETSGTVAVKFIDRSTVFWNNLMYQFFEGEEKDQREASQSPEHS >cds.KYUSt_chr1.42835 pep primary_assembly:MPB_Lper_Kyuss_1697:1:262064686:262064970:-1 gene:KYUSg_chr1.42835 transcript:KYUSt_chr1.42835 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALETAIEEVLATLSDEQRADPRFFPDNHEAWIAFFR >cds.KYUSt_chr5.36176 pep primary_assembly:MPB_Lper_Kyuss_1697:5:228720890:228722732:-1 gene:KYUSg_chr5.36176 transcript:KYUSt_chr5.36176 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHLLLLAPALLLLLFLLPPPPPPPQAAAQARVFSVADYGAAGDGSRYDTAAIQAAIDACGAAGGGRVLLSAPGDYLTATVHLRSRVVLEVAPGARLLGGTRQRDYPPESSRWYVVLAENTTGAGVTGGGEINGQGGAFVITPNAQKNIMVSWNVTGDCQGDECRPRLVGFLDSKDVTIHDITLNQPAYWCLHLVRCDNSVIRNVSIYGDFDTPNNDGIDIEGSNNTIIADCHIDTGDDAICPKSGTGPVYNLTATNCWIRTKSSAIKFGSASFFNYERLVFDNITIVDSHRGLGIQIRDGGNVSDVMFSNIKMRTRYYDPSWWGRAEPIYITTCPRHAGYKDGTISDVRFINISSVSENGVFLAGSSHGLLRNLKFKNVDLTYKRWTNYTGGLYDYRPGCQEMVKHKTGGMMLEYISGLEIDNVNMRWSKGNLKGWDVNPLLFRPSTVDGLSFHDWKSLDVQ >cds.KYUSt_chr7.24115 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150266058:150270310:-1 gene:KYUSg_chr7.24115 transcript:KYUSt_chr7.24115 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMTPSSPAMKLAGASSVLGGGRITMRKATAKAASSNSPWYGPDRVLYLGPLSGEPPSYLSGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAIEGYRVAGGPLGEIVDSLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPAIHQKQQQHISSALFHPVAMAATTMTPSSPAMKLAGASSVLGGGRITMRKATAKAASSNSPWYGPDRVLYLGPLSGEPPSYLSGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >cds.KYUSt_chr1.41666 pep primary_assembly:MPB_Lper_Kyuss_1697:1:255454522:255455251:1 gene:KYUSg_chr1.41666 transcript:KYUSt_chr1.41666 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRHAARRLGVGALQRAPRVSPVHRPMSNTSAGGKPQTTAGPCDELRAEFEEAKEELFDLCMEMRSRGAFKTMSWEDIQNLRLTSHLAAQVKPKPDDAMWRRYKRHRTFHDFQSAYFCLGFIGYSFLTSNKNEDGGQSEASISSLDTEPASERLN >cds.KYUSt_chr1.33049 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200531054:200535962:-1 gene:KYUSg_chr1.33049 transcript:KYUSt_chr1.33049 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSTARAADAALVKNTGAPRVAEADVVPGGWSRRGAAASGGGLVLTVADEQEHGLGGEIVPDLNVQPMAEDPLLGETSSVRKRKFEEFDDLEDSGHSYISCEVESGDAVSYNSDASSDNEVSKYNVLSYEKKVEEKIWAEGISAYMKPDGRYYCKFHPSKQVPRDGMREGLVSHVKEVHPKDLRDKANHAALRKVLEYYAAATATARAVALSILLASSVSKALIPATAAICKAPSPETLLHARPNTVT >cds.KYUSt_chr2.43374 pep primary_assembly:MPB_Lper_Kyuss_1697:2:269938327:269940951:-1 gene:KYUSg_chr2.43374 transcript:KYUSt_chr2.43374 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVFCLFYLHAHQPRAGESSCYVATTRSVRCHGHCCSAPTNSVVNLALASSLHISMECKNGHVAANGDGLCVAQPARADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTMEGASLTIAMVAAVAAGADTRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVATAPDGSKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFMVALCQAIDLRHIEENVKNAVKNCVKTVARKTLSTDDSGHLHNARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAEQDVQTSVFAKLATFEQELRAVLPKEVESARCSVENGTAAQQNRISECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNGEPLPIC >cds.KYUSt_contig_2197.134 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:757698:763774:1 gene:KYUSg_contig_2197.134 transcript:KYUSt_contig_2197.134 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGSSLSTSMEGVVISHHDVCHGFRHHPCRHLHALSKLIKGEEALQLGLIDSIVSPNDLVNAARRCALDICELKRPWNRSLYKTDKLVPVGEAREILGFARAQARKRAPNLKHPLVCIDVIEEGIVSGPRAGLWKSALLLVDCGMDVYKIDRACTKFGMPMGPFRDADRVGFCVVAATMKQYLENFPERAYNSVLIHLMMEDNREGEATGKGFYNYEAKRKGTPDPEIMKYIQKSRSMTGVTLYPELMDLSEKDLVEMVLFPVVNEACRVLDEGIAAKASDLDIASIFGTGFPPYRGGVMLWGDSMGAKYVHDKLEEWANRYCDFFRPCSYLAEIAKGRIQLSAAADQVKARL >cds.KYUSt_contig_2860.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000546.1:49207:50435:1 gene:KYUSg_contig_2860.13 transcript:KYUSt_contig_2860.13 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSVPDGLPDDHPRSAGDLAEFFNSLRTNTSVAYRALLASLCAGSIHKGFPPVTCVVADGMLPFAIDVAEELGVPALAFRTASACSFLAYLSVPKLVELGEVPVPAGVDLDAPVRGVPGTEGFLRRRDLPSFCRGGHDPLLQIFGEVTAHSCKARALVFNTAASLERSALAHVAPRMRDVFAIGPLHAILGSLAVISLEQFTEFLSGLVGAGHPFLWVLRPDMVGASHNAVLQEAIEAAGRSKARVVDWAPQRDVLRHRAVGCFLTHAGWNSTLECVTEGVPTVCWPFFADQQTNSRFIDVVWGTGLDMKDVCERAVVQRMVRQAMESGELRRSAQALAQQVRRDIAEGGSSATEFNRLISFINQLSLHATKSG >cds.KYUSt_chr3.3162 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18172620:18176289:-1 gene:KYUSg_chr3.3162 transcript:KYUSt_chr3.3162 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSRDRHEHARLQPHAALVRQGGGSTLAQALMMRVDADGMPLGRFSFDIVHGLPAKTISLSLFLPLPLPAPSCLQLRRVTTTATAASDDAAAEQRVAKGPGERLQGQRGDCAIRGNNKGSVPVEIAELAKSMLDFDGASAVMPSSFHDISDLEFQDMGQEYDFIILMHPNSPNLSIWPKL >cds.KYUSt_chr6.4965 pep primary_assembly:MPB_Lper_Kyuss_1697:6:29322215:29323102:1 gene:KYUSg_chr6.4965 transcript:KYUSt_chr6.4965 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTSAMSSRRERRPKPSPSPASASCRPASHRGQGVSPEHVAPSCIVLKVRPPPSLNPHLHHGRHTHPALGSTAGSPPRAAELPVTAAAAARGRRPGKPPHLPRTWAAPPAVPALPERPLRGTARRAARAARIGPGRPAPPAVTNSSATTSHGRRASPQTAAPADRRRARRPSLPGTARRRRRNSPRAPPPLHAELPLQTRELAPSAAGTSTLRSPCLHALTPSREPASPPPPLEASLSPRIRRISTKRHARAALHGREEMTRPPPTPPGLCPATPSGGGGRNGKRRATPGGGA >cds.KYUSt_chr7.27685 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172841239:172841804:-1 gene:KYUSg_chr7.27685 transcript:KYUSt_chr7.27685 gene_biotype:protein_coding transcript_biotype:protein_coding MESNYGLAVSKMVVKMGFNPSTGLGKDRQGIVAALEGVSRLAHAMLGSVSEHTPSSWPRGPGAACSSSLHGATAAVQPRAGPRFGGRIWGASSGRPLTLLEHGVLDGRLIGGIGQEPAVPLRRYRGLLSSDAHSRNATLQLQDVACIACEYAHPLLSKT >cds.KYUSt_chr2.19380 pep primary_assembly:MPB_Lper_Kyuss_1697:2:121872085:121873554:1 gene:KYUSg_chr2.19380 transcript:KYUSt_chr2.19380 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPEGAKVLDAYKKALATAASVTAYAMLARGMARELLPDELRAAVSWGTALLRSRLGAREKERRTIVIRRQLGNSAYGGENDLFDASLTYLATKIDPHTMRRLCLERSRKKEHDGSSSWSTLLCMEHGGSTTDAFDGVEFHWTSVESGSDGNNNNNRGKESLELSFDVEHTETALDKYVPFISSTAEELRLRDRALKIFLNQGSGWKGINHHHPATFETLAMDPSMKQSVIADLDRFLKRKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSGVYDNSCLQRLLIDMPNKSILVIEDIDCSFDTMSREPRKAPGAGDTYYSSEEEEDHEEYERRAGAGYQQERKITLSGLLNFIDGLWSTSGEERIIVFTTNYKDRLDRALLRPGRMDMHVYMGHCGWEAFKTLARNYHLVDDHALFPEIQALIAVVEVTPAEVSEMMLRSEDVDAALGVLMEFLQGKRRAQDEAQDEKGVAK >cds.KYUSt_chr2.430 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2605180:2607711:1 gene:KYUSg_chr2.430 transcript:KYUSt_chr2.430 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARSYWVGPYGATKIRPHVNAPSKHSSCFASVGRVGAWPAVVIKTNGARATTSTALLPTAGTMHAIVLAAALILFAATAADGANDLVAPLVASASAPPHVEHAAPAAAEVDDAPSGSLKTYLVIVCRTNGPKENGNKLLEWHASLLASILNTTADSLLVDALSAARPRLVFSFQHVVSGFAARLTNAEVAQLAKLPWCVEALPDATYRLMTTYTPELLRVSPPGTGAWSVGGSMGEGVIVGILDNGIDPRHVSFLDDGMPPPPAKWRGGCHFGGAPCNKKLIGGRSRGPQSHGTHTSGTAVGAFVRDVKLSPADAPGAVASGMAPRAHLAFYEVCVGDTCSATEILTVTEKGAFQDGVDVISISASDDTQKPFYKDLIAVGSLSAVMSGVFFSTSAGNAGPLERSVTNCAPWQLTVAASTMGRRIVSRIQLGNGVVLDGENLNQYNPVENRPLVFVSGMFADGALNGVDVRGKIVACDRSEDPITRADMVEKAGGAGMVSWSSLKRGAATTPVDSLTIAASRVPHADGQVIMAYINSTASPTASLTFLGAQLNRSSLPAIAEYSSRGPCNMSSVGVLKPDITGPGTNIVASVPGAGSNASTGTPTTTFGMLSGTSMSTPHLSGIVAMLKKARPEWSPAAIKSALMTTADVTHPDGTPIVDETTGRPNCFAMGAGLVNPTRALDPGLVYDLAPADYIPYVCGLGYEENFVKDIIAQPMMNVSCATAGKIEGKDLNYPSIMVTLTPAAPSVVVRRTVTNIGDPLSVYTAEVVTPKGVAVEVVPNVLGFGVMLRRSDFTVKLTRGADAAANGGTAEGSLRWVSRNGKYSVRSPIAILFDPLPNN >cds.KYUSt_chr6.10194 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62659443:62661230:-1 gene:KYUSg_chr6.10194 transcript:KYUSt_chr6.10194 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLPTSAPARAAAGRKRKGATLAKLAACKRPASAQAISGWASLPTDIVGLITCRILDRDVVDYISFRAVCSDWRACTPRPCDPTLRDPRLRPRDWVALCDGDAVRPDDAGQIVFFHTRTARRLRVPLRELRGHRIVGFTDGLVILMHKTKTVVSVLNPFTRVVVDLPPLAPIYHEVIRMKKSLLNMNAAVCSSVSSENSIAVVVCFMCSNVVLGANPGSDWEVLHRGLHVLRTLPFQGELYGVAFRLYAVDLNSQHHKLIPVNSLGDNVLFLGDDRCLSVSARDLPSLSSNSICFSLGTFPIVMHSLGTGLSEPLAESCQIHDGKDRIRPSVRPFTIADHLITYWRSKGLMFHEYRYIPESFTELIKNIRAQNAQLKVPRVSLHSR >cds.KYUSt_chr2.10449 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66230631:66238033:-1 gene:KYUSg_chr2.10449 transcript:KYUSt_chr2.10449 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIRTLTQLWIGGRVTEPWASSDQPSPEWIQAVELLWVVQYNSTERSFCPLMMDPHDELPPPPPLPPNVVPIKAEDAAKESPPNKPTKPTRLPIARPGLGKKGQPIQLLANHFKVSVKSSDDFFHHYHVNLKYEDDQPVEGKGIGRKVIDKLQHTYRSELANKDFAYDGEKSLFTVGSLPQVTNEFTVILEDIGTGKSAANGSPSSPGGGDRKRVRRPYQTKTYKVELCFAAKIPMAAIAMAIRGQESENTLEALRVLDIILRQHSAKQGCLLVRQSSFHNNPASFVDLGGGVMGCRGFHSSFRGTQSGLSLNVDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWPKAKRALKNLRIKAAPGNSEFKVVGLSDRKCNEQTFPWRQRNGNNGDTNIVEITVSDYFLRHKGIELRYSGNFPCIHAGRSKRPTYFPVELCTLVPLQRYTKALSTLQRSSLVEKSRQKPQERMSTLNDALKRSNYDSDPMLMACGISIAPKFTQIEGRVLQAPKLRVGNGEDMLPRNGRWNLANKKFLRTCSVTRWSVVNFSARCDVRGLVRDLKRLGAAKGIEIEEPYTVIEEIPSMRRARVSDRVDKMIAEIKSKLPGLPKFLLCLLPERKNCEVYGPWKRKCLADFGIVTQCLAPTRVNDNYLNNVLLKINAKLGGLNTLLQIEVSRTIPIVSEKPTIILGMDVSHGQPGQSDRPSIAAVVSSREWPLVSRYRATVHTQSPKLEMMSSLFKPDGTADNGLIRESLIDFYTTSEQRKPDNVIIFRDGVSESQFTQVINIELEQIIEACKFLDEKWEPKFTVIVAQKNHHTRFFQTGSPENVPPGTVVDKQVCHPKNFDFYMCAHAGMIGTSRPTHYHVLHDEIGFTADALQEFVHSLSYVYQRSTTAISIVAPISYAHLAAAQVGTFMKFEDMSDVSSSQGGHTSVGSVPVPELPRLHENVRSTMFFC >cds.KYUSt_chr4.28237 pep primary_assembly:MPB_Lper_Kyuss_1697:4:177444472:177446122:1 gene:KYUSg_chr4.28237 transcript:KYUSt_chr4.28237 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPHVNPRATEYGVVLGGEGDIQVVFPNGTLAMSATVRPGDVFWIPRYFPFAQVASQTGPFEFFGFTTSARRNRPQFLAGATSVLRTMLGPELAASFGVPEEELREVVHAQKEAVILPPVQEKEPRVIRQMVKEPLHQARLSLAVAARLLRPWVRLEEGGAAGLAHSSPAAAPAAFSRRPLRRARFSPLVAAHLLRPCVRLEEAGTAGQASPPTL >cds.KYUSt_chr1.18218 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106347728:106351023:-1 gene:KYUSg_chr1.18218 transcript:KYUSt_chr1.18218 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQPIIIPFRLILLPLTTLAQTHALLHIRFESLEFKVYALPIAKVIQEHNWGVDVAQSGLVHGEQEDYMDILMNDIQRRKNQIKARRNASWGGADHPPPPPPVIKGNDQESVDLTQSGQDHSDQEDCMAILTNAVERQKLRIRARRASGGTDHPAPPPPVQNPPSASPAAPAYHEWRKRQPAGPPTGSSGTNTNTSTSTKPPSFKKPASIVCRVCGVRCMTASHLKMHEMGRKHRNKVAYAAGEMNVRCDVCDVPLLSKVNVEEHYAGKQHLHRVFASSSGASSYVARPPRNPIA >cds.KYUSt_chr2.51055 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319264755:319273821:-1 gene:KYUSg_chr2.51055 transcript:KYUSt_chr2.51055 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGLDIDKALIALKKGTQLLKYGRKGKPKFTPFRLSNDESTLIWVSSNQEKSLKLASVSRILSGQRTLVFQRFLLPEKDHLSFSLIYKDGKRSLDLICKDKVETQVWFTCLNALISPGQHGSQIQHAGEMRSAALSFDVGRESSLSSSSTFTSDSFENKLSSANSKDRSSGEYTYSERTDVSDMQVKGSSLDIRVSVSSALSTSSHGSGGEDSESFGDVYIWGEVMCDTGSDGNTHSPGATTDILVPKPLESNVMLDVSYVACGVKHAALVTRQTEVFSWGVECSGRLGHGASTSIFQPCLLESLSAYNVEIIACGEFHTCAVTATGDLYTWGDGTHNAGLLGHGSTVSHWIPKRVSGPLEGLHVSTVSCGTWHTALITSSGQLYTFGDGTFGALGHGNRETISYPKEVESLKGLRTISVSCGVWHSAAVVEVIMTQSNASSGKLFTWGDGDKYRLGHGDRSSKLKPTCVPSLIDYNFHKSACGHTLTIGLTTSGHMFTVGSSVYGQLGNPNNDGRYPRLVEEKVGGGGVVEVACGSYHVAVLTNTGEVYTWGKGANGRLGHGDIADRKVPTLVEALRDRSVKRIACGSGFTAAICQHKWVSGMEQSQCSACRQPFGFTRKRHNCYHCGLVHCHSCSSKKALRAALSPNPGKPYRVCDSCHVKLSKVLDSGVGYSRNNIPRIPGDTKAERMETKANRAASITSSDMIKSLDVKAAKQTKKFDYSPQFPAIWQLNDIPFIGSGDLHNTESFESLRDVNELLKQEVQKLKDEVNSLRQQREQQDTELQKSEAKANEAATLAAEEVSKSKAAKEVIKSLTAQVKEMAERLPPVDSDMKPPRLGYLPGVVSSETGREGQKRYDPGGIPYPQTPTSAASARFNGLPPQAHQIINPSDNMMAPHDSMFENFNKSRDFPAAQQRMNGGMAGHRPRSEDFDRRETERFQINLQGWNMRDSSSPGNQVEAEWIEQFEPGVYLTLVSLHDGTKELKRVRFSRRRFGEHQAESWWSENHERVYDKYNLFFVAVCGLFTRLCPRFTDSVYVGSPLFTDFRGLFPRLWLCFADFVSLGLPLYIDFCGLFTRLCPCFADFVYVGLPLYIDFCGLFLRLFTRLCPRFADFLYVGLPLYIAFRGLFTRLCSRFADFVYVGLPLYIDFRGPFTWL >cds.KYUSt_contig_686-1.1282 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:8015673:8016773:-1 gene:KYUSg_contig_686-1.1282 transcript:KYUSt_contig_686-1.1282 gene_biotype:protein_coding transcript_biotype:protein_coding MGERYPRGGLDVNPSFAYGPKPSHMQRLQALARWVGGSSGLGRHRLAEKKIASLQCAQALTISWVQDIWRVKHDNMADLCKQVKELKRSFLQFQLNHVLREFNADADAQANFAVELPVGEIQEQSNFPC >cds.KYUSt_chr4.54544 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336959777:336961903:1 gene:KYUSg_chr4.54544 transcript:KYUSt_chr4.54544 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSGSQPPSISRASSEEGDFFDSHHPESDQEDDGPSTGGYTASEIAGRFIKAIDGKAHVVDTAAPIDSVKGAVSKFGGILDWREVSDLYLDDLPVALSLSVTAIAANSLTECLLQRRQRVQEELGKVAGEKAEYQRRSRAAEAGRADARRDLAGATGEIDDLWLSVKRAQIAEAQARKDADLAKLRLRKTEKRAAARAELAGLRERHAAARAELGEVRKELGAVRRERDAVAAEAGAAAARARETAGEAVAAGEAVREAAEELAAVKAELESSRAAHDVAEEKRLRLAMAWQEDKVRWQNELEQGEEEARRLRDELLAAGELESKVAVASEQLANLRAELFARAVEGASAEETTAVGAPSAKLANARKELEEVKTSVEKAQDEAKILRVAAASLRADLEKEKAELAAVRRKEEATSASIPPLEEELGWLTSELTVAQARARDSGEERSTKPEQLSEARREAERAKASAQAAQEEIAVAREEARVAKAAVQTMEARLEAVMREILAANASAETATASADALVQQQDNKSGAVEAGVALAAEEYEELSRRARETEEVVGKRVVEAVKLIKEAKDAEVRSLEKLTQLTKQTEQRRQALQAAMAEAEEAELCKAEAERELRQLQAEQRRASGSAGGETASPRTGLAEISAFDGSGRGNPHILSPRGGYMPRADMAAMSAAEEADAKQKKNFFPRMAMFLARKKAQSWNGK >cds.KYUSt_chr3.38652 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243499575:243503119:1 gene:KYUSg_chr3.38652 transcript:KYUSt_chr3.38652 gene_biotype:protein_coding transcript_biotype:protein_coding MADASAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLARVALDILRERRNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEDHDSCDDLQMLRYGFEGFDEKGLFMTVDSDTTRKRKDADKDHADQDSDGAAAKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQNEERILGAARQDFSNKGPSENLNLRSSFQEQPSNISSGYPHPSQKIQGQNSVLDSQLEDTKRTVPLPVPDKSLNSVGSVAASHNVAGVSPLGGVLSFKGLPVNQDRKPSETMNLGCPAWNGGVPSKQFMQYPKHNHARCDLLGDYACLPKPDLEHPIAPGHLFTPPPLISMSCSMELDARNFSDVKPGLLDCLKSLSPALTCTVDSVSTQLSDSVVTSADADRKFSSVEGLPSTNFDQTSNQGALVRSQEPSIICSADFSSLTEDLPGYPLQSVSFENIGLGGIDLFHYNDAMILSGLQSNWYDDQDQFAGDTIDYPLMDGCLFA >cds.KYUSt_chr5.27826 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176131891:176135858:-1 gene:KYUSg_chr5.27826 transcript:KYUSt_chr5.27826 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTTYDYIVVGGGTAGCPLAATLSLKYKVLVLERGGSPYGNRNISYMENFHIGLSNTAPDSSSQAFVSTDGVINARARVLGGGTCINAGFYSRASSSFVQDVGWEADLVNESYPWVEDKVVQWPKIAPWQAALRDGLVEAGVSPFNGYTYDHVSGTKVGGTIFDANGHRHTAADLLAAGDPNNLRVLLHASVHKIVFNSRQGRLKPRAVGVQFADEDGRLHQALLNNNKDSEIIISSGAIGSPQLLLLSGIGPKNDLKKHNIPVVLHNKFVGKGMADNPMNSIFIPTKSPPRQSLIETVGITEAGVFIEASSGFGQSPDSIQCHHGIMSAEIGQLSTVPPKQRSLELAKMYAHNKLNLPKEVFQGGFILEKIDGPLSTGHLLLIDTDVKNNPAVTFNYFSHPQDLSRCVYGIKTIEKILKTNRFAQLSPDGAGMERVLNMSVRANVNLIPKHANTTESLEQFCKDTVITIWHYHGGCHVGKVVDQQHRVLGVSGLRVVDGSTFSKSPGTNPQATVMMMGRYFGVKILRERLGQACGV >cds.KYUSt_chr6.7174 pep primary_assembly:MPB_Lper_Kyuss_1697:6:43499503:43500045:-1 gene:KYUSg_chr6.7174 transcript:KYUSt_chr6.7174 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRHRTNSKGPPKEVDKSLSHHRRQGLELLPTSILSQRPATGHRDSSPACSTTRLPTLSSKKIHRSSTPLTLATMAGQEMKRRDKNHTEEGIWALIETSCSTATQHHLPPWKPPWEAEPPPSSTAPWHRRSTRTEEESSGLICGDLLPQHHRLQQLLPPEKHSNDHHGKHAPDPADGI >cds.KYUSt_chr1.35923 pep primary_assembly:MPB_Lper_Kyuss_1697:1:218900178:218900600:-1 gene:KYUSg_chr1.35923 transcript:KYUSt_chr1.35923 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEFQEADVLWPQHSYHSSDSVDDVDGADVKEFMTKVSSPLELSAPVGVPRRKRRSRSWTPQQFSGGSSGRDEDEGDIGCTNDAKRNVPPHVLAERRRRLAGRSTAAYSMCAGKGRTLKGRDLRNIRNLVLKMTGFIEK >cds.KYUSt_chr3.37763 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237536978:237541054:1 gene:KYUSg_chr3.37763 transcript:KYUSt_chr3.37763 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQFPSLAHARRASSRCLLAVGALLLLSAVYFLLLAPSPPRPVPGPLSNPSAATTSFVASLDRFLDSPPRPTASPAAPADLDAAIRTQEEARLYGDPGGAWPAPLRVYVYEMPRKFTYDLLSLFRDSYRQTDNLTSNGSPVHRLIEQHSIDYWLWADLIAPESQRLLKNVIRVQRQEEADIFYVPFFTTISYFLLEKQECKALYREALKWVTDQPAWKRSEGRDHIIPVHHPWSFKSVRRFVKKAVWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDLCDSKCVSETQSKRSTLLFFRGRLKRNAGGKIRSSLVTELKNVEDIVIEEGTAGVEGKVAAQNGMRKSLFCLNPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKIALFVSSNDAVQPGWLVKYLRGIDTKIVKEMQSNLVKYSRHFIYSSPAQPLGPEDLTWRMIAGKLVNIKLHIQRSHRVVRESRSLCTCECRVGNITRLL >cds.KYUSt_chr6.10444 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64452162:64455537:-1 gene:KYUSg_chr6.10444 transcript:KYUSt_chr6.10444 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSARSGRLAGKDSLCLLPRIVLEAQHIITLCWESTPGSLHTVKQPPNHESMGSGSHNESVKIPRKHLFCVCAPTRHSVVPSASITCHGLLRESWKPLKLSLLGLQAARWISLSLWDVMRLLL >cds.KYUSt_chr4.4693 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26884711:26887411:-1 gene:KYUSg_chr4.4693 transcript:KYUSt_chr4.4693 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAKTECEILQSANVKVFSYNDLRLATRNFRPDSVLGEGGFGSVYKGWIDEHTLSACKPGTGIPVAVKRLNQEGLQGHREWLAEVNYLGQFCHTNLVKLIGYCLEDEYRLLVYECMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAYLHSAEAKVIYRDFKTSNILLDTDYTAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDIYSFGVVLLEMLSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDTRLEGQYSLSGAQTIAALAVECLKFESKMRPSMDAVVTILEGIQDSGEAARKLASDPKGAGRKMTSASVGRNSDKPRRKSSNDLQKAAGRDPKTSVLHSS >cds.KYUSt_chr3.1686 pep primary_assembly:MPB_Lper_Kyuss_1697:3:9627411:9630770:1 gene:KYUSg_chr3.1686 transcript:KYUSt_chr3.1686 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEGSEHTSSTKLLPTLENLREAGKPPEPTAQAKAPAFNSSHRAPHFLGNASNKDTSCNTSTSPNPQDFGLSPGRQKKGVDARRALDFLRISLRPNLDLAMVAEPLVHKVLSMATSSSFSKKAKKASADGGGGGAVGILSFEAANAMSRAADLHRSLSDAEAARLLGPLCLGSRAVRTLVPGDDARLLALALAEKLDALNRVAAVAARLGRRCAAPALQGFDHVYADLLAGRYSAAAAPFAPASSDSALVRQLDRLAAATAALYAELDALADLEQSARKLPTDEARRALEQRARWRRHDLRRLRDASLWNWTYDKAVLLLARAVCAVYRRICLVFGDPMLGLDLLAPSSRRHRQQCGQSQQLFSSPLTSSSRYSAPIRSSNLGQDNAIAKSGPIAIISLADTPRLRPSNCGGNLFMECLSLSSSVSWKDGFDDEFSEDASCISAIRSGMLTTPLASTTTTTPSKIKKSPRFGPKSTVTSLAPPSTIGGSALALHYANIILIIEKLLQYPHLVGEEARDDLYQMLPSSLRASLRKNLRTYAKNIAIYDAFLAHDWRETLEKTLAWLAPMAHDTMRWQAERNVEQQQIVLKGNVLLLQTLYFADREKTEAVICELLVGLNYICRYEQQQNALLDCSSSIDFDDCMEWQLH >cds.KYUSt_chr4.41145 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254376618:254376970:1 gene:KYUSg_chr4.41145 transcript:KYUSt_chr4.41145 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKEQLMKKVVADVLAFAGIHETTLQIYNHIKNWRTKCSIILKMKIDRILDWSEDGCCFYAADDDTANEYIQRYPRHRPYVGTPITNYA >cds.KYUSt_chr1.40295 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246734474:246736968:-1 gene:KYUSg_chr1.40295 transcript:KYUSt_chr1.40295 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRPDRDSEVVAASLAGSAGPFAAPPKSVLRSGRSSSSPPLLPAAAPAAVLNGSRPRLQSIVPRRPRQKATEARHCANPHLVGQALEKELRLPPHQLRVTHHHPEDFFVLFDIPSHRDRAIAMGRLHVDGSTFLLQAWRESDHAVHLTYNLHVRICIERMPMHMWNREGAQEVLGNHVLVDRLDSRTYSQDNTELFSCWVWCRNIDRIPGHHGFSVFPQDAGRIVEMNGYSPPRREVAPPPECLRYDALIHIDLVEDWTVREARTPPSGQSGVPSSTSSDEPPYPAVQPYTWRFGVPDGEERGSGGRRMDGCRYFPTYQRREDEGDSSGQRRNWRDVAAAPAQPRGQVSSSSGRDGARQRSRSPTSHRQRAASLPSPTVNVMRGSDEVLPPPPPLPTTGPLPLCLFETAAEAPALPAKEPTPVPSNAPTTATPGHVAFDPLIDLVAPAHHVDMEWGPVGVDPMCLELEAACAATICQPLSFTSSPSTMPDLPMVPHPWATADFGVAPAEDAVQVSVVASQVDDMRLAGEPAYEEEVQREPAEDFLQMLFKVPPTAILGISPLNPASPAQAAATPKRSLRQANTASSIPVAQRATLRLAKEMNVISGDGRRVEEAAAGLVERFKEPLSEVDIDGLAILTRVDRDAVHRAAQQAVAGRAAALAN >cds.KYUSt_chr3.47857 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299839985:299840590:1 gene:KYUSg_chr3.47857 transcript:KYUSt_chr3.47857 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKRTVNKVHPLVTLFCQVLTLFTLHRSTGGSSARRVVRWCLPLPVPPFVHIYSSPSFPSRCTTRSSPLSLTMGKNFIPFLLTLALVAASTGERGQAWAASWDDGKDMPQRQDAMAEAVRAMSNYDPAQDGDEAVQRAMGVVNREIGLLRPIFQAIGKMPETSAADVRAKEEARAAANELLTRHFGQLLPGGSAKIVEEF >cds.KYUSt_chr2.7129 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44644746:44645186:-1 gene:KYUSg_chr2.7129 transcript:KYUSt_chr2.7129 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPTRRDEEGVEDLRKTRRVRVATSTPIGREEDACQAATSAPVGQDAKMRRRRPLLSSARKVRRIGVADAEAAAPGMPRRAGEAAAAGGRRSVASLEQVVGGWRRRPSPASSRQRVAAAGGGGVRERLGAGGGGSGRGMGRGSGD >cds.KYUSt_chr1.31592 pep primary_assembly:MPB_Lper_Kyuss_1697:1:191560419:191561963:-1 gene:KYUSg_chr1.31592 transcript:KYUSt_chr1.31592 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSRVGRSRRLGSMADVMCAQVSRMSRWLPYEKIGSYAYARGTIVKKMAYVRRNVVVFVAGREAVACVAGDKEDGGDRRKRARSGQQGRVTAMHVCVPVAREYVLAFVSTGKVGAGRKAGMAKSQYVGDGRPVQLPSSGDLGDAVGHRGVPWRQVQGDGDSDDGSCNNSGDGMSWFRGRLLALNHAKPLAFRR >cds.KYUSt_chr5.11172 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72375799:72379032:1 gene:KYUSg_chr5.11172 transcript:KYUSt_chr5.11172 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIDRYKKAHASGSTSGAPLIEVNAQLYYQQEAAKLRHQIQMLQNTNKHLVGDSVGNLSLKELKQLESRLEKGIAKIRARKNELLSGEINYMVKREIELQNDNVDLRTKIAEGEQQLQQVTIARPPSVAPELNPFAALDMKCFFPANLFEAAVHAQAQAHAQAQAQAQASLQLNLGYQLAPPGVGDTAHHF >cds.KYUSt_chr7.37663 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234943933:234944835:1 gene:KYUSg_chr7.37663 transcript:KYUSt_chr7.37663 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGLPRLIRISCVDRDATDSSGDDSPRLVARRYVEEVRIEQQPRTTASPPAAPCHGGDVLGTKRKHGGMDAAEERKYRGVRKRPWGKYAAEIRDPNKAARVWLGTFDTAEEAARVYDSAALRLRGPSATTNFPAPSSPSAAMADIDRDANNLLASSSEESSDESQHVGSPVSVLRTPAETATTIANGGKLDEMADPLSSTMNLSPDRLLQEEAYCDGGGVFSPFCGAEVIVPPEDEDCMFPGLSFAAPRILDHDDYPISSHLEYHSAAEPVSLLDLGELPMWQEVDSFFSDQALLSALL >cds.KYUSt_chr6.18006 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113302167:113312980:1 gene:KYUSg_chr6.18006 transcript:KYUSt_chr6.18006 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKLTRTFSRQFSSGAARVWRQLSLEPQRTPRRAGAAAAAGAVAGPTRFGLARQSSLDPTPAAESASPLAMPDNLDATMRLLYAACQGDAAGVEELLREEGVDVDSIDFDGRTALHIAACEGRGEVVRLLLGWKANINARDRWGSTPAADAKHYGHFEVYTLLRSRGAKLPKTKKTPMVVSNPKEVPEYELNPLELEFRRGEEVTKGYYVAKWYGSKVFVKILDKDSFSDGDSLDAFKHELTLLEKARHPNLVQFVGAVTQNVPLMIVSEYHHKGDLATYLETKGRLHSYKAIRFALDIARGLNYLHECKPEPIIHADLSPKNIVRDDEGKLKVAGFGSLSLIKVSEDRLQMAQPVSKFDSVYIAPEIYRNETFDRSVDTFAFGLILYEMIEGSPAFHPKPQEEAVKMISSEGLRPLFKNKPKSYPEDVKQLIQECWDPTPSVRPTFSDIIMDHVVDAQLFLQTEFYQLENGGEIIFERDLFSLSEFLGRPPPEVFGGMINDQPGGQLQWVIMVDLRGRFTLPMSARIQFSFRENNWADGLARGLQEGLARLCGQNFMDFEDSRFVHYARHNSLGVLMNLPSHPQLRHHVDHLDFMLNETRIDLENSREYANHTHIQLAQQAETIKVIAGERRALRRANQKKDYAINRLKARIATLKATIATQAEQILELEGEGEGENIQGDGYSYVSNDDDYEEEVDDDLEFHPYEDGHEHLAAGVDNVYPINIDGE >cds.KYUSt_chr1.25857 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155560044:155560919:-1 gene:KYUSg_chr1.25857 transcript:KYUSt_chr1.25857 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKLHPYANAVGVCAPCLRDRLLVLAAERDRAGATAACSSSGASSQASSPTRGRSASPYAATAPHPQQQQHRRSDACAYASSRHGHGHRPELLFFSTPQVGPSTRAADDEPGGGDRNKSAHRRRSFLAALFGAGRRQKVVVDEDRSRKEEDDPPRRSTSWLSAIVRRKRRPPPDASASSLPRPLDEEPGSPVGSTSSSWWFPSPSPARHHHHQQHRRGRPGGSAASGDGISGFAVCLSPLVRPSSGGRRRCQPSDASSQGESHRRHMSAGGATSFDRNTSRKLADMGRFR >cds.KYUSt_chr5.3374 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21871773:21872705:-1 gene:KYUSg_chr5.3374 transcript:KYUSt_chr5.3374 gene_biotype:protein_coding transcript_biotype:protein_coding MPRERSRTGGAADGLERGELQHQPPAFLLPGGGLRFLVAGDLSFLVASDLSFLPGGDLRRVEGVEETESGGRNAEAMEDARRRRAGLRRLVGGRLRVEQGHDVFEGGGGGSGDELDGWDIPRSDVTLPQLLDQIFGTGERIGWVLRPGPPAQTERRTLSSSLAPFNISTASWLGR >cds.KYUSt_scaffold_1259.520 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3516458:3517218:1 gene:KYUSg_scaffold_1259.520 transcript:KYUSt_scaffold_1259.520 gene_biotype:protein_coding transcript_biotype:protein_coding MWSALRSTRKTYGPNSSSQDDASKEAQLAGSTSSAPGRGQPCPQRDRLPKRGTTEPQAAQVPPWGGSLRDCGTAHIHLREHRRPPSIVHLVTSAARTPRAATPRWRRVPPNPARAAAHALGAEKDGQGGGAWPHPGAGGQDGRGPPNPDRAQRAQIRASKPAPP >cds.KYUSt_chr7.13336 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82191637:82195210:-1 gene:KYUSg_chr7.13336 transcript:KYUSt_chr7.13336 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSASASLTTITTPRVSASAAAAGRTKSGALSLSTGPRRAPGICAASPHPRRRMVAAAAATEMAPAARGEGGDKPFVEEMRAVAMRLHTKDQAREGEKEPQAPPVARWEPTAEGYLRFLVDSRLVFQTLEDIVDRAAVPCYLYLSDAEFRNTGLERSEALKKDLEWFSEQGHTIPEPSAPGTTYASYLEELSEKDPQAFICHFYNVYFAHTAGGRMIGKKVAEKILNKKELEFYQWEGTLSQLLQNVRSKLNQVASNWSREEKNHCLEETEKSFAYSGDLLRQIFT >cds.KYUSt_chr1.32473 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197225310:197226538:1 gene:KYUSg_chr1.32473 transcript:KYUSt_chr1.32473 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLTGGLRRSLSTAASRPPWALIQLTSMDKSGAPAPGASCHLDAPPFLTNLTVPAHFVHPRPLLDPATGKHGSVPGQTTRFVCNPLSGELYRLPDIDDTKRTSACNHLGILTQSQNSDAPPDRYAVAEMFTAGGREEEEGLVMRRFLSETGEWEKLAGLPSPLPAAGRQMDVVTAVVAFSDRLWWVDESWGAVSVDPLSDRPEPRFVELPRGSVLPDLKGMVFIKELGRYRRMGVSDGKMRYVEVSKEKPYVISAFSLDDGGSSWTLDHEVPFLHIWMDERNQFPPLKEMPAIGAIDPLNANVVYLVCGNQLLGVDMVNKKVTGSSRLAIPRVPILPCVLPTWLESTKIPYAGNTLAL >cds.KYUSt_chr3.42399 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268107342:268109282:1 gene:KYUSg_chr3.42399 transcript:KYUSt_chr3.42399 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRIFDPARTMLLPAWMVRFDKLDVAKAVLPDDGRMVKFQAKLTRLSLPPELQIIASGGVVRPTAGGGRRAAAAADSGGHRLSSAASSRLQFLDHAGVRGMASYPPWRLEERPPAPLAGAPPLPSAAVPARCRIAVVDFAAWGACVALLSGSAACGG >cds.KYUSt_chr7.32450 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202115583:202117504:-1 gene:KYUSg_chr7.32450 transcript:KYUSt_chr7.32450 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWADQWDTGADPSPRRGGDGKKQGGDRVEKTKAAAATGLKKVKAGTAQGFQWIKDKSLVEIWPFAPVGNGHMHRMANRCKPSGAKAPLLHSFFFHGRSSKPCPLRCFIGMHQETDDEVPENVLTRLLDVV >cds.KYUSt_chr3.24472 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151792113:151792771:-1 gene:KYUSg_chr3.24472 transcript:KYUSt_chr3.24472 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDQAHPHPVAVSPRKIGQSIAEMPLRRVRSSAGYLAVWLHPSSRWAIEITRASVHLSLGTFKTPALAACAYDAMVRREQMRLLIQQADEADMAAFRAAHPKHMAAELEYYAQLVTQRTAASGATTARADASSLAASASSSAVGPSTVAVESSMDMDSDWWDNLVHEIDAEEAAKKAAQ >cds.KYUSt_chr5.22677 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147981863:147985535:-1 gene:KYUSg_chr5.22677 transcript:KYUSt_chr5.22677 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSFQVINGGTTADDIGLSCLDFGKIQIMQSHTSTPTLVSEESSAKGERTVTPCACQQEVLKGQFQIDYHWFLGCQSEERIRLGTFDTTHEAARAYDAVAWRLGRPRQQMNFNDIWTREQEEMLAPPSPAVTTEQRRRARELEQRLHVAEQAERLRLEWARAFPKDVTAMEAFYAQKKVEKAKAATKKKADREKRRAESAARKAERAEKAARMARRAEEKKRGAGLSTNMPTSSSSFEWTTTPVSDTTPTSGSSDHDWEDSE >cds.KYUSt_chr4.28104 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176625600:176626665:-1 gene:KYUSg_chr4.28104 transcript:KYUSt_chr4.28104 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGCFFVAMMAIAMLATASNGQPLVPHFYDKVCPAALPAIKKVVEEAVAAEPRMGASLLRLHFHDCFVNGCDGSILLDDTPFFTGEKNAAPNANSVRGFEVIDRIKVAVDAACKGNVVSCADVVAVAARDSVVALGGPSYEVPLGRRDARTASQAAANNSIPAPTFDLGRLVSNFAEHGLTAQDLVVLSGAHTLGFSRCTNFRDRLYNESATLDASLAAQLRGRCPRADGDGDDNLAPLDPTPARFDGGYYASLLRSGGVLHSDHQLLAGPTDGLVRLYGADHEAFRRDFAVAMVRMGSLSPLTGSSGEIRANCRKVNY >cds.KYUSt_chr7.37963 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236668950:236671989:-1 gene:KYUSg_chr7.37963 transcript:KYUSt_chr7.37963 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKKSVDLSDLNNPLLPAAAALSAEDRAGLVNALKDKLQGLADHADLLESLPPNVRTRVEFLRGIQSQHDEMEAKFFEERTALEDKYQKLYEPLYTKRYEIVNGVVEVDGAAKEPTSEKAAEGEDSDAKGVPDFWLTALKTNEILTEEIQERDEAVLKYLKDIKWTRIADPKGFKLEFFFDTNPFFKNSVLTKIYHMVDEDDPILEKAIGTEIEWYPGKNVTQKVLKKKPKKGSKNTKPITKIEECESFFNFFSPPEVPEDEEELDEDAADELQGQMEHDYDIGSTIRDKIIPHAVSWFTGEAVQAEDFEDMEDGDEDDDDDEDDDEDEDDDEDDDEDEDEDDEELSKPKNKVAGKPKGAAKGGAQGEQPTECKQQ >cds.KYUSt_chr5.42227 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266085426:266087111:-1 gene:KYUSg_chr5.42227 transcript:KYUSt_chr5.42227 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTARTTWSPACAGRRRPCSDASRRASVFLPGGVHAPWPRSHSVAARVASSSTNPAGCLEAAAPWSGIARPAPPIMDIDLAAPAVSSEERVRQLVMKQAGLAAAAAARTPRMAQLAGRDAGALDVAFDRCGAVCKEYAKTFYLGGRTSWWTARTRRTRSALALDRWESRLDDVFAGRPYDMLDAALADAVAAFPAVNARPFRDMIEGMRMDLARSRYATFDDLYLYRYRVAGTVGLMTVPIMGVARRGRIYLPQDELAMAGISEADIFAGRVTDEWRCFMKGQIARARTYFRQAEQGAAELNQESRWPQCSAGPGISASYRQILDEIEANDYDNFTKRAYVPKAKKLAALPKAYFKSLRLPPSQRH >cds.KYUSt_chr5.5047 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31857964:31859235:1 gene:KYUSg_chr5.5047 transcript:KYUSt_chr5.5047 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYAFNSSAMGNQHAGASAHTAPTGYQTAKLLAAKCMRALANRMHQQLAAGASSGRVRAGAHLPASPPTALSSGCSYLQPRRCPACLLRRLRVQPARYVRPAARPPVPRSSGYLCLVRLRLAISSGCYAPAPVRLRCSHVRLRPRPARPPVASRHGKHRLLHAAPRPASPEPSAPSCCVPPWPPPAAIASAGSTSTPSGSVCGAPGPPTAATTASCTPCRLASWPALPLRNIVRLSPVHAVAGSPDHVRATPQAAAPVHIAAGYRPAGSATRPHRLRLVRIARRLLLLRSRLQLPPRTSCNHAPGCRPRARPRQPASAAPAVPPPRPSPPSSCATASCGLRPPRPPPAPRASAPTPATPAAPCAPSAGCAAMSRPAVGSRRAIVHVFYRLWKEKNKGKGDPAGEMAGWKKKRDRKRRKAQVC >cds.KYUSt_chr2.30068 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185125432:185125866:1 gene:KYUSg_chr2.30068 transcript:KYUSt_chr2.30068 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKATPFVLLAMFFLLASSAAAGGFFGWIPWFGGRQPSGGDGAGFSGGWGAGGVGPGGNGGVGGAGFSGGWGAGGVGPGGGFARRGVVQPSMMCGEGRCNNMRLTCPSWCFNSYSYSGKNGAGGGGGGGCTFDCTNSCQAHC >cds.KYUSt_chr7.32151 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200248329:200255858:-1 gene:KYUSg_chr7.32151 transcript:KYUSt_chr7.32151 gene_biotype:protein_coding transcript_biotype:protein_coding MSGALTIDGDCYSFSCATSLVYIDLPGKQDSHCLAAVWCRSLETMGAYQPPHQCSQKPLPSPSPSHGSVSPGPRAVFAWLALLSAVLCLAILHLLRGSQLGRAVVSPLFQYITSSTYNHGVSAYSCDYSEGQWVWSPGHARRYNGTECNVKDSENCVRNGRPDSGYLDWRWQPSAAAGCQLPDFDAAAFLAAVRGKHVAFVGDSMARNQAESLVCLLAASFPYSMVYRDQDPGTRLFWRWAFPSHNVTVSSYWAPFLARATGRSENYSMTYSTVYLNELADRWSADAESMDVAVVSTGHWFWRPNIYYNGSEVLGTHLLSGLNHTEIGFLSPYREAIRTSVKRLAGSGSRTGRVVVVSTFSPSHFEKAWDDPTTCARKTPYMEGETEVGVIPAELRSIVREETAAAATRNGGATRIEVLDVTKLATMRPDGHPGVYMHRDPFARGVPERLQSDCLHFCLPGPVDTFNEILLQLLNKQEKPWDEGVVGGVSGVRQELVLGRHEWVLEVIHLLQGAARGGLHEPHALAGTVHDELADVRHREAAEDGVSAHQRGSQSPLDVLDQELAGVISSSAALKDAHGLDEGALHGLAVEPGEWEFAAGRVQQSGLLLRLARHGPRGSRRTRSGGPHGGGCGITIVGDSGADRITSSFDADGSIMVPDGYGFCFSLDLHHLSLAPAAASNFIGPGFRVFSPPRSDCLTNIHAPKTILCSLLRSATSPAIFLGSVFDPKNGDCLHIELAKTNSRKRNGGGGDAYRVIDKRVHRTEENSGNDNNGDEGGDDLSGNGNGGDNDGCGLV >cds.KYUSt_contig_915.105 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:688582:691252:-1 gene:KYUSg_contig_915.105 transcript:KYUSt_contig_915.105 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFPVSGGRSVSLALFSDVSNSRELLELMQSGTLEPEAAFINASLVPDVFPVLAAAHKALLSKSREALTTRTLHSELVYNYSGSKHITESLKRCGIADDMQYILAARFDASDEEMKAVEKLISGTEIDLSELETRADQPKILKVFS >cds.KYUSt_chr2.45047 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280775461:280777368:1 gene:KYUSg_chr2.45047 transcript:KYUSt_chr2.45047 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSLVDSAAATLCSSTAGGRRRGSAGSCATRFLNCSSSSKEHGVSASYSIGKMLSGVRSAARRKLFRNEHEWLGGSLSEFTSGDAGSSHWWTTLENNFVLEASEDQYGGVLVDADRLPTDQAAFARSLAASLSYWKSVGKKGVWLKLPVDRSEFVPIAVKEGFKYHHAEEAYLMLTYWIPEEPSLLPANASHQVGVGGFVINDQMEVLVVQEKYHSLGLDGVWKIPTGFIQASEEIYTGAIREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFMCMLRPVSNAIKIDETEIQAAKWMPLEELVKQPFIQEDHMFQKIMDICIQRLRKCYCGLTAHNLVSKFDGRASTLYYNVDEPEDVNCDAA >cds.KYUSt_chr6.7718 pep primary_assembly:MPB_Lper_Kyuss_1697:6:47379467:47379763:-1 gene:KYUSg_chr6.7718 transcript:KYUSt_chr6.7718 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSNPNVAAKEKNRRRDREEATERHNHEMERHKRENELCNRVHQAEMAASKALWELTRSQMKVVTLEVKVMILELKVKELVAAAGTANAAADKNE >cds.KYUSt_chr7.34379 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214728659:214729627:-1 gene:KYUSg_chr7.34379 transcript:KYUSt_chr7.34379 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGADDEILFEYGPIFRQYKSGRVERYSFPPIPAGVDPATGVTSKDVVIDSANGLWARIFLPPGIHDGAKLPVVVYYHGGAYVLGSAADPMTHSYLTGLVAAANVLAVALEYRLAPEHPLPAAYDDSWEGLKWVASHATAGGGGEPWLADHGDLSRVFLAGGSAGGTIAHVMAVRAGEHPGGLGIGIRGVLIVHPYFGGAADIGTEGTTGKAEKARADAFWRYLCPGTQGLDDPLSNPFSEAAGGSAARVAADRVLVCVAEEDGLRDRGVWYYENLKASGYGGEVELLESMGEGHVFYCMKPRCERAIEMQERVLSFLRK >cds.KYUSt_chr2.5661 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34917716:34919362:-1 gene:KYUSg_chr2.5661 transcript:KYUSt_chr2.5661 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMLLAISSFFIISAHASSDNFLLCLSRAIPEQLLFTRSSPPIASVLASSVRNPRFLTPATVRPLCIVTATNASHVQAAVVCGRRHGVRLRVRSGGHDYEGLSYRSARAEEPFAVVDLVGFRSVRVNRREATAWVDSGAILGELYYAIAKVSGRLAFPAGMCPTVGVGGHLSGGGFGMLLRKHGLATDHVMDATLVDAKGRILDRKAMGRDVFWAIRGGGVGGSFGIVLSWQVKLVAVPPTVTVFTVERSVEQGAVDILAKWQEVAPSLPDEQGAVDILAKWQEVAPSLPDELLVRVVAQGQVANFQSLYLGTCDALLPVMSSRFPELGVDRTHCKEMNWLQSVANIFLGGGATVEDLLKRTASGDTVFNKATSDYVRQAIPRDIWLQIFTSWLARPTAGLMILDPYGGKIASVSESETPFPHRAGVLYNVQYMNFWGSAGEEAARTGWVRDLYAFMTPHVSKNPREAYVNYKDLSLGANVVGGGNVTSFEAGRVWGEKYYKGNFRRLAMAKAQIDPNDYFRNEQSIPPLGNQIILTNVARVDHAA >cds.KYUSt_chr3.47771 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299363738:299364139:1 gene:KYUSg_chr3.47771 transcript:KYUSt_chr3.47771 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLLILLALVLLAAGSTGQHQHGGGAATTGWDDDDSDNLQQRQEAMKEAVKVFSGYNPDTTDPRVLKRAVATVNGAMAPLRPIFKAISRMPENKAADVRAKEEARAAAIELLTRHMGELLPGGSVKITNEL >cds.KYUSt_chr1.30673 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185740599:185747203:1 gene:KYUSg_chr1.30673 transcript:KYUSt_chr1.30673 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGADDTEPEAQPSTRTAAAPPPPSPPIAPPSSPLPGAGLGRRLLGSIRAFALPAPRPAAAPKVGLGLLLHASPDHPLLHPPTPSASPSASEDPLDEDAAAPRPRAHDAEPLSRHGVADAAAASAPTNSMSRPTHVASPSNDESIAADVSSASGEEGDPVAVEDASERRRADHAEPLSPVSRYRPIDPAARRAPANTMLTQAAPHLLQHLMPAADADGDQDQEPGLVLVDGQKADEFRAGDESRPTNVVSPSEGEQDESITTHVSSASEDDDDPLEDAAERPHAADPELLSPVNRYRLMDPAARRAPANTMLTRWASKAAPHLLQHLRPDTGGDLALEDHDAAAAGDDQEEKVLQHCTGDQLRAARDDQEVLQQAAIQPRDETAYAIDAVEGQEFVEQDAIDRKGEGTNDTAAEGQDKAIEQCDSYGLGTTGDIEDDREAVEQCVIDKKGAAMDDNAVNDHEVAGPTVVDEMRATIDDISTEDLEKKMEQYATYDSIENDNAVEDQKVVHQGAIHPWDETTYDIAVEDQEKVGSAENIDVVEGQELVEQDAIDRKGEGTNNTTAKGHDKAVEQCDSYGLGTTENGDIEDDRDVMEQCVIDKKGAAMDDNAVNDHEVAGPTVVDGMRATIDDISAEDLEKKVEQYATYDSIENDNAVEDQEVVQQGAIHRRNETTYAIAAEEQEKVGSAENVNVAEGQELVEQDAIDRKGEGTDGTAAEGQDKTVEQCGSHGLGTTENGDAEDDREVMEQCVIDKERADVDDNAVKYHEVVGPTEGTDSTHSVVNDISAEDQEKKVEQYTTYDSEAIENDDVVEDQEVVEQEVVFREGLTIDHDDSVEDHNVVDQSIIERMPAPTDDIFVEDQKEVVEQCAVHEPRATEDEYTVKEKEKVVEQRVIDKQGASNMDVAANNQDKLMKEHIIDNQGTVPMDDIAVKDLDKAAAQYASDVIDENGRTKDDIYVEEDDYLKEQVIVDNWGTFSDATALEDQKNEGEQCKGDEQIVGKDMYAVHMKGSMLEQGTGGKQGATKSDFTVEKYKDVVERVCHEWGAPDDDLAMDTAASQSIREVSSSEVVSATANGSDGGIWKKEKVKLHIRYPQRPGKLNCPFYMSSGSCSYGFSCQFHHPPLKAKPDGSWCPSEQGNHGVAETLELNRIGLPIRRGARNCTFYMRNGACRYGKHCHFNHPEHVIDAQFYTPTGWEDNALQLEKSSDQTTLDDTSHLKKSSDDTTLGGTSYSKKSSDHATLDGTSYSKKSSDHATLDDTSSSSEILPPNILRMLLPSQKVLPSTEVKVKKDSDWQSTSDDSDGCCSADSSDGPLCKQEHVDYPERPGRPECPFYMRFGDCKFASACKYHHSKDKYPTRYHPKVPSLGGEQREYPERPEEPECPFYMKNRFCKFGAQCRFNHPKDSSPTVQNSTNAKKSVASNEHHQSTTTTLEDYMPQQQQYPERPGQPDCRYYLQFGECKFLSACIFNHPRDELQVGWNPSGPAHSDQIEPETHGMPECPFYMKSGKCQFGSACEFRHPKDICSTTEGAFGQRTDLADDTSTRPENVVQKQQAMYPERPGEPRTTRLTTELVHIYRLSKAVTILFTVSMLSSASILLFPGVAANSSGYE >cds.KYUSt_chr7.8709 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52781801:52786003:-1 gene:KYUSg_chr7.8709 transcript:KYUSt_chr7.8709 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLFTLPNNAFSLLYTIRATDHRSTTYPCDHKGKGRLVPSYPCRSTDEQTLAHSWTAPATGWVKISIDSSFNNQDSLGPAGDSGDGVLDYGQCTHVKEPEQIHIMGDLSEGKDLDEMASWLPPNSRCEAHPVKQTLSARQRTRHRSCYRRLPRPHLQEGSSHYLARPTVDATKTPGGSTILHAYIIPHPSSIPRSTMPPRLGAIYMVDEYHSANIQQLPQKQCPRGRTTQVAPPSSDLGDPDQEFPPEQHEWVDAGYDDDAFKKVTTCDAAIACQDRSRSTVFTGSRASPTRRV >cds.KYUSt_chr7.28401 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177264674:177265614:1 gene:KYUSg_chr7.28401 transcript:KYUSt_chr7.28401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Caffeoyl-CoA 3-O-methyltransferase (Fragment) [Source: Projected from Oryza sativa (Os08g0498400)] MASNGEVKDIHNSESTKTLLKSDALYEYMLKTMVYPRENEFLRELRLITKEHTYGFMSSPPDEGQLLSLLLKVMGAKNTIEVGVFTGCSVLATALAIPDDGKVVAIDVSREYFDLGLPLDGLIANEEDEGSFDFAFVDADKPNYGKYHEQLLRLVRVGGVLAYDNTLWGGSVAMPDDTPLTEDDIQVRDAMREFNARIAADARVEPVQLPIADGITLCRRIA >cds.KYUSt_chr6.27528 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174698426:174700684:-1 gene:KYUSg_chr6.27528 transcript:KYUSt_chr6.27528 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEGAEEDPEGAAAAAAASSARAPPVAVAGIWGWPWPEIAGDAPLREIPRWRRNPWCCAALYSSANNLHVVLDSY >cds.KYUSt_chr5.20067 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130368301:130372202:-1 gene:KYUSg_chr5.20067 transcript:KYUSt_chr5.20067 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGAAGRPWRVIPRPVLETVLHNHALHPRVPQPLLLHGPRGVGKSTLLLDRLLPQWSEPPHYAAFVDFLNPAPTSPAHAHATAAPWSLLADPTAPSLPTLRLQLESALEGLTRAAVLKGAVGSKDVLAALSRSHGLHTALSRLAGPAAARGRGTSSVPVLWARAVLAATSSARGEDPTFRIGEGEAANCSMEETAYMQEAMAALRVAKELLRMQEGWRKEALREMNRTGRFSRPLVNSATDWPCLLLDVLSGAAEVDFFQPKLVLNNVDVLKRATCEDDTMVPAAMYHDSFIWRLIALGANEQCLPVFMSTSDGYYSSQAFVDFGFPNIFISRETFGWTQQEAKLHMVSEFFSEKEWKVVDEILGTNTRHLSEIYMLKQKASRPEVLHDTNIEEIVDTYLAHLQVSVVNPAMDTALSMVQKFASDVREGKIPENRLSFGAPWRHPPRTDNPDTSYKWAKIQLMDFVQSFVNAEFGLNYLADDSLEILDDPAAVAMMEACSAEIRVEPSRINIILMATCNTWAKLPALDERNRLQVTSYIMSRKLSFV >cds.KYUSt_chr3.20172 pep primary_assembly:MPB_Lper_Kyuss_1697:3:124477581:124478279:1 gene:KYUSg_chr3.20172 transcript:KYUSt_chr3.20172 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPRPPTYMAHSGEDMAAFYDAWVGREEEIVTQLTAALSARQRDALVPLVDAAMDHVASYYEHKSRLADRDVVAALDPRWLNPLERTFLWAWGWKPALVFRFLDGQGVRSHQQRRALEDLRAATEAAEKEVEREVAAVQESLAGPRVLAALRRQHPLNGEADEAVAAVGRSLRVLLAAGDTLRERTVRGVVGVLVPEQAGAFVAAMLRFHLGVRRAGRVWSSGHGRRRAP >cds.KYUSt_chr2.20050 pep primary_assembly:MPB_Lper_Kyuss_1697:2:126108897:126111347:1 gene:KYUSg_chr2.20050 transcript:KYUSt_chr2.20050 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKDKSRKSSSAAAMAALAAAAAGDGSRADGMMYPSGDEDKKLNLVNVPVITVGASSSSASSVAARRNAGAGGAVAAGAGGPSCQAERCGADLTDAKRYHRRHKVCEAHAKAAVVLVAGLRQRFCQQCSRFHELLEFDDTKRSCRRRLAGHNERRRKSSAEANGGDGCRHADQDGRSHPGNPPLNHFQIR >cds.KYUSt_chr1.40031 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245157330:245162739:1 gene:KYUSg_chr1.40031 transcript:KYUSt_chr1.40031 gene_biotype:protein_coding transcript_biotype:protein_coding MTWVGMMRGMRSWHELGIGHFSHGTVGQRHGGVEERCRDAEVVMVGLGPPSKSSMGTYMNPACKIYDVTKYLEDHPGGADVLLHEVTGKDGTEEFDDAGHSKDAKELMKDYFIGELDLDETPDIPELEVYRKEQDMAFASKLLANAGQYWAIPVAAVGISAVVAILYARKK >cds.KYUSt_chr3.13004 pep primary_assembly:MPB_Lper_Kyuss_1697:3:78098439:78100189:1 gene:KYUSg_chr3.13004 transcript:KYUSt_chr3.13004 gene_biotype:protein_coding transcript_biotype:protein_coding MLLATGTGHPPWSVCAARGREVLSLTGGASSVPEVGAQEAKTALQVGKEGGRPEGMGEEVSAQEAETALQMAGGDISREAVTPRMEKVIGWSRPAMSSQPPASRDPSMLDLASSHLHRER >cds.KYUSt_chr2.47551 pep primary_assembly:MPB_Lper_Kyuss_1697:2:297516278:297517603:-1 gene:KYUSg_chr2.47551 transcript:KYUSt_chr2.47551 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYNFLDVAFGPYSDYWREMRKLLVVELLSTRRVQSFAYARAAEVDRLVDSLAATPPAIPVNLSEKLYALSDGIIGTVAFGKMYGSASFERSSFQQMMDETLRVLGSFTFEDFFPSSALARWADRLAAGVQEDMVDALVKMWRDGDGQEGPLALTRENIKGILMDTFTGGIDTCAVTTIWIMSELMRNPRVMQKAQSEVRAAVTNKPRVGEEDVHHLKYLKMILKENFRLHPPGTLLIPRETMQSCKIAGYSVPAGTRIHVNVWAMGRDPDIWDTPEDFYPERFQDTDVDFRGSHFELLPFGSGRRACPAIAMGVANVELVLANLLYCFDWELPEGMKEQDIDMEETGQLVFRKKVPLSLVPVKH >cds.KYUSt_chr4.6192 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36375355:36376560:-1 gene:KYUSg_chr4.6192 transcript:KYUSt_chr4.6192 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSPDLQVMATLSPDLPDELVHEILFRLPPDEPACLFRLSVLSNPWRSLLSDPDFHHNYRKFNRSPPMLGFIYNSEVSSVTHFVPTTGPCPPCTFDPQPADFIVCDCRHGRVLLDNGEVSMELVVWDPMTGRRKDLSNPCRSLFYLGTAVLCAVYGCDHATCHDGPFHVVFVSIDAEAGSATAYKYSSETGEWSTPTSEFALFDEHDIVVEPDLFDELGPVEDGAALHSVLVEDSLHFLLMSGPQGSRILKYDVGRHFLSVIVLPAAVHYRSTILMATEDGRLGVAHLDRLILHLWSREVGPDGVAAWAEYRVIGLMPFLPIGDPAIKVELIGSVEGANIIFATTALGVYAIDLKLLRSRKLCEGQAIRPFFPFMSFYYPGIVAVILDLQRLARRAFAT >cds.KYUSt_chr5.21751 pep primary_assembly:MPB_Lper_Kyuss_1697:5:141985081:141996645:-1 gene:KYUSg_chr5.21751 transcript:KYUSt_chr5.21751 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVGSRRKLCSPGTSRCAQKDKGRTNGAGTHRFVAGVEEEEREELTDFAFDSWREWVEEDWLDEAKLWPLDFDKVWPIFDSAQSREFRKIVQGIISELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASNPLPAALTDVSYLHAAALLPVTKARIALERRKFLKNANIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKAKLEGELWDERISTSSGQNSHLVSKATRLWESILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDTSSSVPHSELLSARAGESQMLDKQEQISLFQGKEEALSRLDDRNGRTQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGEGPELLRSASDGEASTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSITDLSEEVNSVPSNPVDQTNSRQLLSGQNTVFVRPEESSSEVSEMTSKLSSTHIDKAGSSPALKLPPLFSLTPSSSGKGTQTQRRNALARQPSQEILPQEKTLVLPSTKDQGNGSIHENYGYFAHDIRRSVREAALSKPLSNTESPQDDSSDDGSEHFFIPLSSALSSAGNFFDPLSSSQSFMTDDALDQLFSPPLLLESSLFHDAYEDLLEATGPLLPRAPDQGRCLDATRRYHPQSRCDWSNRQIGVHNITYEPRRAVKSEALADFFADWEEALQPISPADLKHWTLYFDGSKNLEGAGAGIVLISPKGDTMRYVLQLQFEPCTNNMAEYEALLHGMRIAKEMRATRLRCFGDSDLVASQTSGTCDATDANMIAYKRAVDQAGANFAGHIVEWVDRCKNEEADTLAKLGSKRLPPPPGVFHDILTHPSVRVPREADITEPPAPEFVLVAVVSDTGDWTEPYMNYLERQVLPMDETEARMIVRRCKSFTIINNEL >cds.KYUSt_contig_915.335 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:2210659:2211723:-1 gene:KYUSg_contig_915.335 transcript:KYUSt_contig_915.335 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEILSCTAEHSSQYSSGASTATTESGATRPPTVPSFPVAVTDEAVTSRPASAQSSSSSRFKGVVPQPNGRWGAQIYERHARVWLGTFPDEDTAARAYDVAALRYRGREAATNYPCAAEAAELAFLAAHSKVEIVDMLRKHTYADELRQGLRRGRGMGARAQPTPAWAREPLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLKRSPETTTTTTGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLGAGDAIVFSCSAYGPEKQFFIDCKKTTPMASRTASPSVSPPLEGTAKVEQVRVVRLFGVDIAGAKRGRPAEQGPQELFKRQCMEHGQRSPALGALVL >cds.KYUSt_chr1.24123 pep primary_assembly:MPB_Lper_Kyuss_1697:1:143869269:143872897:-1 gene:KYUSg_chr1.24123 transcript:KYUSt_chr1.24123 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPGAPNYSPAFKQYSGYVITDKHLGKALFYWFFEAMDKPDEKPLVLWLNGGPGCSSVGFGQAQELGPFRVKKDIPELELNHYSWNQAANLLFLDSPAGVGFSYTNTSFESDPPGDNSTAHGSYAFLVRWFQRFPQHKMKEFYIAGESYAGHYIPQLANVIVEENKKASEQNYINFKGILIGNAYMDGDTDLQGIVDSAWHHAIISDALYSTFLKSCNFSMEILSPECEAAWVEFGALYKLIDIYSLYTPYCDLGYPALNASSSSVQTRRIDARVDLLKMPMGFDPCTQTYATEYLNREDVQRALHANSTGLPYPYVLCRNTINSVWKDSDMTVVPIVKKLAQEGLRIWIFSGDTDGRIPTTSTRYTLKKLGLPIKEDWSPWFSHKQVGGWTVVYEGLTFVTVRGAGHTVPTSQPKLALELFMSFLANQNLPSKPF >cds.KYUSt_chr2.27221 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166902621:166903491:1 gene:KYUSg_chr2.27221 transcript:KYUSt_chr2.27221 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPTIIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGVVFVNQLVKWDEKLKQDLDKMLERAKQANEQRYFGLCLLPLTLHFGIMFPYAMLLGKSLEPLAPNSAAWKIICLSH >cds.KYUSt_chr3.33279 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208919507:208919860:1 gene:KYUSg_chr3.33279 transcript:KYUSt_chr3.33279 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAEAQIVVVAMVAAMLLSAPYAANADITCEQVNPVFGECVKNMRAGVPLSTGCCNGLKSLANSLTTIADNRATCSCFKTFVAKDNGVKLGRVLGLPRMCGISGRSTLSVILPSC >cds.KYUSt_chr1.40308 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246852645:246853430:-1 gene:KYUSg_chr1.40308 transcript:KYUSt_chr1.40308 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSTFAGKAVKDLPSSPLFGEARVTMRKTSPKAKPVSSGSPWYGSDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >cds.KYUSt_chr3.47526 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298070406:298072989:-1 gene:KYUSg_chr3.47526 transcript:KYUSt_chr3.47526 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGGGGAGAGKEVDQVAGGSEQPQQRMKIGWPTEVRHVAHVTFDRFHGFRGVPAELQPEPALAKAPSASKTAFGVSTESMQCAHDARGNSVPSILLHLQRRLYDQGGLTTEGIFRVAADGAQEQRVRQHLDLAGTIPDDDDDGAAVDVHCLAGLIKAWFRELPGGLLDALPEEEVARCTTAEEAGRLCGKLAPAKAALLDWAVQLMADVASEEGRNRMGARNVAMVFAPNMTQAADPLTALKYAVQLREAGCDCCRWTVDVLFDGRGKMYLHTGLEKFVHYHDLEVGCVLTFSYLGNADMSVKVFYDTRYRRHYHGDCDEKDD >cds.KYUSt_chr3.38981 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245674763:245676292:-1 gene:KYUSg_chr3.38981 transcript:KYUSt_chr3.38981 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAADSYSTSTTPLLLLGSLALAVLLLVLFGHGRRKARLPPGPPALLFLAKFVALRRSIFDLGPMIVDLHARHGPVISIHLFRTLIFVADRKMAHRALVQGGATFAERPPPVDPTRLFTSGGRDISSSPYGTYWRLLRRNLAAEALSPARVAHFADARRSACDGLVSGLLREQERQGQEEAVTLRPLLRRAMFELLVFMCFGARLDREALDEVEELQHQVLVAFTSFPVFAFFPAVTKRLFRRRWAGYLAVRRRHEEVFVPLIHAERAGGDPPCYAESLLAVRMSDDVRLTDAEMVSLCSEFLNGGTDTTVTLLEWIMAELVNRPDVQAKVYEEVRANPDLNDLQGMSYLKAIVMEGLRLHPPGHFLLPHGVQHSGDGGVEIGGYMVPKGAEVNFLVAEIGRDETVWTAAREFRPERFLEGAEGHGVDITGSREIKMMPFGAGRRMCPGYSLGMHHAEYFVARMVMDLEWRPPVEGEEVDMAETLDFTTVIKHPLRARLFARTNSHNA >cds.KYUSt_chr4.36699 pep primary_assembly:MPB_Lper_Kyuss_1697:4:225625565:225626485:-1 gene:KYUSg_chr4.36699 transcript:KYUSt_chr4.36699 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLIVRPELGVEVVTCPRCLSGFVEEMADGRRSSNATTESGADDAGARPEHQAVPPWPPILMDLLGISYGPDAGDAAAVGDLTLLARRQYRQLAFLQLLNALREGEADGNTPDSALERLVLVSPTDRGGSNGGAARGPGLTLGELILGPGLDLLLEYLAETDPSRQGTLPAKKEAVAAMPTVKMAAAVTCPVCLDEFEVGGEAREMPCKHRFHDECIVPWLETHSSCPVCRYQLPTDEAAVMEPAGSANGGDQEMVSASGAGSASEGGGDDDGGGSGGRRRWLARPFARLFSRRWNGGSSSSSSR >cds.KYUSt_chr3.29230 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182909603:182910932:1 gene:KYUSg_chr3.29230 transcript:KYUSt_chr3.29230 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFELDNLLSSWLLVVKSKAMDNCTVQNPAPRVTGFFRAPGLFVRLSNKGLNAVDPDSVWSPTSPLDFKNLSSNTVNTNLKPPGLLGIEADLKLRTCSPRVGLGLVDALTADESSLHFGGKNSFLESIRPFLDLALPKVATDASGQKTGSAGVAMNGFSCFAQCEDEEYTCVIQHGPNPRTTHILGGETVEVSKGVRSNRPIFSIEPISEQSWPSMPADGVTSGLCSYCRRRLRQDRDMYMYMGEKAFCSNECRKCCIDEEIEEVEELMMLDSGNCAALN >cds.KYUSt_scaffold_6468.334 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1636562:1637368:-1 gene:KYUSg_scaffold_6468.334 transcript:KYUSt_scaffold_6468.334 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLDELEVVDCPDILSQRLVLPSLKSLKVVNSGNLAHNIECCSLTYFEFSSKHVNSITLQMWSVPALEELCISGCTSLTSIGTDSIGTFPSLISLTVSYCNKLSTLDDFLTAEHLPAVEKITISGCCELLSLPSERFGSFHSLKDFEVKWCDSNVWWSYLPSLKELTINCCPNLESIGGEEAIAKVEDVKVRFCRTIGDIKPDFIRGSPYWKEI >cds.KYUSt_chr1.29844 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180749117:180749761:-1 gene:KYUSg_chr1.29844 transcript:KYUSt_chr1.29844 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCSCTTAVHGEETEARLVFWGGPTRLAAASGPRPTTAGDVTAEAPDHLVCSGDSFFIGLPIPALPSVEQLQAGRTYFVLPATRFSCCQALTAASLASLSPAPMKVSLAGASSPFDYVTGDDGMSLIRVLPEFIEKLITCGGGGGKSKCGAVSPDQLCSTPELRKHYMQLVGTRQQRPWSPGLETISEARKRRRIPSPVRLVGLAKNLR >cds.KYUSt_chr3.1544 pep primary_assembly:MPB_Lper_Kyuss_1697:3:8833506:8833892:1 gene:KYUSg_chr3.1544 transcript:KYUSt_chr3.1544 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTPAPVPPSSPWDAAASSGPAGHGGDGDDHGGGERSRLFSCLFCEKKFLKSQALGGHQNAHKKERVVGWNADLYHYHHEEAYPAAAHDQATAPAGSWRRARTMATTTLDSGDKQMQQKLDLSLKL >cds.KYUSt_chr1.38893 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237694064:237697327:-1 gene:KYUSg_chr1.38893 transcript:KYUSt_chr1.38893 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFHPSAHTLSWTNTAEAVEDVEQTNFTRACEQLKNSLELGVVTSGFGCLMLACCVEKKGLVFAAAFSPLSQIFAALPESHPGFSACDHVLYLVFLRITGVHVCSSRFLFLAQEYSNIEWTFDLQHRKVENASRRQREQKARERKDLAAALMQRAASIERMLGDPAPRCPHRVGEPR >cds.KYUSt_chr4.19750 pep primary_assembly:MPB_Lper_Kyuss_1697:4:124258386:124263296:1 gene:KYUSg_chr4.19750 transcript:KYUSt_chr4.19750 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGTPEYYRDGGSDMDLLMLSTFDGLDSFTELVAGPSFGDSILSSFGASPAQQLMHISPSSPPVNLEAQGDVSTTDGSDCSDGLASNEVKHMCTQTIPKAVHGGVTLTDRMLRALSILKDASSSGAVLAQVWIPVINGDHQVLTTSDQPFLLDERLAGYREVSRQFTFSTAEGPGLFPGLPGRVFISGMPEWTSNVMYYNPSEYLRVNYAIHNEVRGSLAMPVFDPRSGSCCAVLEVVMTQEKDNFCSEMDNLSNALQSVHLSTVKARKHPQSHTRNQESVLTEILDVLRAVCHTHMLPLALAWIPVCSNSNLNLSAEYGDQPVKFGLRNKDVLCVQESACYINDMRMHDFVHACAEHPLGKGQGVAGNAILSNNPFFSSDVREYDMHDYPLAHHARKFGLHAAVAIRLRSTYTGSDDYVLEFFLPLACKVGEEQQLLLDDIAVTMQRECSSLRTVSDAELKQNAITVPSGTRCSSADVSVNSCDQIDTVSNEVKANMPLDNQIRSTAEQLVDNKYAKKFEAGTTSQSFKIRSSTEKNVRLSILQESTSYGPKIRISAEKNVSLGVLQQYFAGSLKDAAKSIGGTYSIAVVSLPVLCSLSYNTEKDMPEAWNIKVAISTSEGTRCQDESNGSYLAKEMTCAVRMDDMRVEGVNQKNVLWDYVSMPQQCKVEAKANTADTKVEQSLASSSSMTDCSSGGTSSDETLNIVNRTNSSIVIKASYKDDTVRLKLPASMKYQNLLNEVARRMKLSVGTFQLKYKDDEDEWVILESDADLQECLDVLDTTGSHIVKVQVRLRDVPCATGTSSASSSISGH >cds.KYUSt_chr2.50908 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318454103:318458489:1 gene:KYUSg_chr2.50908 transcript:KYUSt_chr2.50908 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAEAALLRAKASQLREAMRRSEAVREESAAAVASVGGRMAAVDDAVRPAQARTSSASKVHDNVARSLQAVQDLVRQFDLVREAESVILDGPSKGISAYLEAVDKLRGAEDFFTSKINCKAGDDVLRRVDELLPKAAAELETEFSRLLSKCSKPVELELLLNCLPSRSSSKDSAEGRLNAGAACSLPTLVEPRYMPLLSKLVQKSVELGHHKQFLRIYRDIRSSTLELTLKQLGVEYVKAEEVHNVQIESLNAKIAQWVQCLQIAVKLLFASERILCDKVFKGNHGSKDHCFAAVTSKSLLTLLSFGEAIAKSKSSPEKVFSLLDMFEATLEIQSEVEAVFAGDECSENRKSAISLVKCLAEATKKTLGDFKDNIAKEGPKSTTTDGDVHPVTSYVGNYIKFLFDYQSSLKLIFQESSNGDGTNSGLVSEFTGVVHALETNLDVKAKQYKDLALGNLFLMNNISYIVRSICSSKVKDLFDDDWVQRRRRIVQQHATQYKRVAWAKVLECLSSQGLTSSVGSAIEGTLGSIGSYSGTTSTSAIKARFRSFNKQFEEVCQTQINWAVPDKELRDNLILTVAEILSPAYRSFLKRFGYFPHLA >cds.KYUSt_chr7.40171 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249366286:249372215:-1 gene:KYUSg_chr7.40171 transcript:KYUSt_chr7.40171 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRRTCGGEDGSDEPAVDMDEAEAPIDEGGWSLNPLSTHTGSVRKQVVEQWRRGKHSRQSEHAAKSRHGRRPNRSSGIRGGSSNVKSIKNSKNVYAASQAGDDFKKIFVSYASEGNIKDLKNDMILEGKQDSKKVYAEDLKKILAARPRSEENLKEISVSYGSKLEAGVKEIFVSYGSEGGQDSKKASVVDLEKILAARPRNEENLNGFFATYGSKLEEDLKEISVSYGSDGEEDLKNISASYGIKGEEGVKEISVSYGGQEDEAGLNKISASEGEKVTAGGGHVHVHTHGHRSRRIADVFFFHDMLRPGTVMTPTIPPTSSLPALLPRHVANSIPFSAKRFPDIVAMFAPASLAIAGEMRWTLDTCEHPRPLPGQKAGCATSIESLAELTASLLGTRDVLAFSADMPLEVADTSALRARHNVTAARRLSTSLEVVTCHDLTYPYAVFYCHTSNPTAAYMVTLESQDGAAPAMEALAVCHLDTSQWSPENPFFKLHSVSPGEVAVCHFLSKLSTIWVRAGELGDLRAAE >cds.KYUSt_chr6.25222 pep primary_assembly:MPB_Lper_Kyuss_1697:6:159810818:159811792:-1 gene:KYUSg_chr6.25222 transcript:KYUSt_chr6.25222 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRRCPVLGYCCAPLPMAPAGSRRAPALVSAGDAAAAASLLDTLFHLAGSVDPRLHLDGCRQATSSEASPPPPARRPCKSPPTSTSVLLLGPGGGAARDFLTSHVPRRSSPAADGATRGEWRCCHGYGAVLLWHDDAAAKVLAWSFHGRPTLLPLGGRRCFHRGADAAAMGCRSCFNGRAALLHTWSALLPWAAGAASFSGGAAKGRTGSCKGLRQELRRAAARASRGLAGRCKGRPPELQRPIATAPSGGGRRPPELQRPIAAAVDAGRWSYKHRTSELQRPTAASGGRRRPPAAGAANAGRRSCNDRSPELQRPIGAAGT >cds.KYUSt_chr3.40123 pep primary_assembly:MPB_Lper_Kyuss_1697:3:252831458:252833095:-1 gene:KYUSg_chr3.40123 transcript:KYUSt_chr3.40123 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSVAVVTALLFCLAAHGCCAPPPSSPPCANPPPTPVTTPPPYVAPSPPAPDSSANGTNADTGGWLDARATWYGAPTGAGRDDNGGACGFKNVNLPPFSAMTSCGNQPLFKDGKGCGSCYQIRCVTQNHPACSGVPETVIITDMNYYPVSRYNFDLSGTAFGAMALPGRNDQLRHAGIIDMQFKRVPCQYPGLTVTFHVEDGSNPYYLAILVEYEDGDGDVVQVDIMESRPDTSSEYGMSPTGEWVPMKESWGSIWRMDTRRAMQGPFSLRITNESGKALIANKVIPADWEPNGIYSSTIQFD >cds.KYUSt_contig_319.1248 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8316843:8317561:-1 gene:KYUSg_contig_319.1248 transcript:KYUSt_contig_319.1248 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAVVTPGFDLAHPEFNQIRLCRESANAEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDILLGKSKGGGSAF >cds.KYUSt_chr6.20532 pep primary_assembly:MPB_Lper_Kyuss_1697:6:129433856:129436294:-1 gene:KYUSg_chr6.20532 transcript:KYUSt_chr6.20532 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVRVSDAISMGTRGMCYRLQSGNRIADIIETVMGVERYGTWMRQERDCDETELFLRNINDPAHKLVRLIVGLDFGVAYQFHNLLNPGNFQGNSYDIVSALQSYGEFVSGSISKEERKYVLHNSCPGAASSRCGSGLRMMLKCCPWFRESLLEKAAAMPYVEVSNGMYAFPLMAQSSKKLHDGSK >cds.KYUSt_chr2.48485 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303284848:303285402:1 gene:KYUSg_chr2.48485 transcript:KYUSt_chr2.48485 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLARTLTLARPPAPRSSLRPLRVRGLSAKVELIEIDLSEESSSSSSSGAADEPMGMRRLNDAIHGVIVRRAAPEWLPFVPGGSYWVPQMRRPLGVSDLVGTVAYSASGAVDTSAMARAAVDRATLTKDEAMCFTTPRGWPSEAYFVEGEAYLDGGCGMKCFMPLWLSLSLSEAFNSYPLCR >cds.KYUSt_chr3.43358 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273813697:273814416:1 gene:KYUSg_chr3.43358 transcript:KYUSt_chr3.43358 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHPPTGEYRLLLYPDPTVMYEDRPPGAQDALYVYGLGSCQPPRLIGWPEVEVVVHAIVPALFRGSLHWHIEQDQDESNMIMVFDTVTELFRQMRAPAVPGAADLFEMDGVLGMASFSGGALDIWTMQDYDGEVWAFKYRVELPVAQLTARFGFHKFHSDVVVSSWDDDLLILVKSDEWLLQIDITGKLVTCFHRKLLFTTRFCLKQTLVQHTFFPTIEGYVVNPFPFISLDDSIVNT >cds.KYUSt_chr2.1679 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9979408:9983254:-1 gene:KYUSg_chr2.1679 transcript:KYUSt_chr2.1679 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEVHIAVGKNFRKEKANILWAAANFPTATIVLVNVHWPSKWMPFMGSKVPYKYANEKEKEMHRSRETETMVRMLSRYKNLCDSREVRAHYLTHDDILAGLVNLVKKLKIKRIVIGSRNMSRQVVLRKCCQIWVVLNGKYMSTSNDHLKHTGSTGCAGSSELLACTHELSNRSDGYTTPASDFADEIIGEDGVIQMDGTDQLAMEAEQEKEESNAYVEVEIFPEESADQSDEMHSCRNVTQQAAKLMEEMEKLQSKLKDLQDEGHTYEESILSPRKRNVLLKEMTLSKNRCPELQIREHIVQFSELQIGRATNNFSSQNLIGEGGYGPVYKGKLGGVPVAIKLLRARGSQGFPEFQQEVVVLSRIEHPHIVRLIGVCQESCALVYEHLANGTLKDGLSNGLPWRDRIRILAEQRSALAHLHSSRPHAIIHADMKLANILLDARNVSRLGDFGTARVVHMKPLDEDTVCRRTNPMGTIGYMDPVFFMTGELTTESDVYAFGVVILQVLTGLLDLNIAEQVREAMKMDAVHSLLDASAGSWPELQVERLLRLALRCCHMERKRRPTITSDADWRSLEILRTMSTGSKSRRWSLGS >cds.KYUSt_chr3.38978 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245622310:245623575:1 gene:KYUSg_chr3.38978 transcript:KYUSt_chr3.38978 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFGSAVASESVSRIFSIISGNPRENGSREDNAERIEFAVLKIHSVVAVSEDWQILHQPLLSWKARLKCAAKEGDGILRAYRRRSTERQRDERTAISLQAISTARKRIARAAKRFVPFGFGRGDDVDADQISDATVRRFERLAGVADEFFRYVQFGGRPRSLIMASSFKVPTELLLAGKTLEYSIRNGSMEALLLLHPFDVGRRKEIFLFLSYGDTTSWQKNFILSVRFRLLTSDILDIVMSSLELLPPQFGAACVTTREFAREFLAQETRYSINPSSMSTWCIHMSQRFYYDSIENPSAATGDKPQLPLPIIRVDARCFTLPPNGPSHTPAEDDLPLRLVCHVDPHLVPKSYSEHYDQIDLETLQELFPKVTNEGAHARKGNWWCPLTSTYLFVEPQFSVPPPTLQQMYLLENSERAV >cds.KYUSt_chr5.16087 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103640473:103644611:-1 gene:KYUSg_chr5.16087 transcript:KYUSt_chr5.16087 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALWCCCKGEVDDDDDDPHVYRGGSSAYQYAYYYPSSPASTSCRPHHYVPLPAAVSSPTPASSVLRLQSSPPPPPRPQRPLLHVAPQGVSVASSASTTRSSLILRPQTPPAAAPPPSRPQRQLQAPPLVPRGAASSSASTSGSRLVPSQPAPVPAPRPTQRLHPPPPPTTTTVSHGDTVAFSTSSCVVLRHQKHKRLQPPPVTDAVTTPVPTTTGLLRFEHDLLNFVLNKMVPEGLGRHVTSSKKAQAKWYRKISEAYVRIEPPPKTSAEAAMLVATALGKIHRANLEGVLSFYGFMIPTPSVVTENHPASLPHGVQFVLNTLPVHAKCIGDGDGFTAYVDTADPGESTNVPQEVREAVIAMARTPKLRKSQKKNVLQTKLNKAGYRIIYTSKDEILAKQYRFRLRGIDAPEKEMQYGKESQDALVKLIAGKSVMVHVYGQDQYNRYVGDIYCGGVFIQEHMLKEGHAWYCKIYDRRPEFAEWEMKARHARQGLWASDNPEKPWDWKRKHNVRHEKRSDNSDKTMEWRRKTAQCEIQEHPISVILMDLNLKWRVLE >cds.KYUSt_contig_1307.86 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000126.1:594973:596463:1 gene:KYUSg_contig_1307.86 transcript:KYUSt_contig_1307.86 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAAAPCHLVAVPFPGRGHVNSMLNLCRILAARDGVSATVVVTEEWLGLLGGSPALEPGIRLEAIPNVIPSEHGRAADWVGFVEAVYTKMEAPFEQLLDRLGAAPAAIVADTYVPWAVSVGNRRGVPVCILSALNATIFSVHYHFDRLPVAAGGSAEITDVADPSLIESYIHGLKSIRLADLEPSHTDKTRLDKILEAYPYVRKAQCVIFSSFYELESSAIDSLRQELHCPVFAVGPCVPFMSLQENNVHPAEDQGGYMAWLDEQPANSVLYISLGSFLSVSSAQLEEIATGLAQSKVRFLWVLRDTCSRVQELIHGSNCVIVPWCDQLKVLRHPSLCGFFTHCGYNSTLEALYAGVPMLALPIALDQPINSRLIVDEWKVGYGLKEKSRTDGVVGSEVIAETVKKLMSCDSSEGVRRASLMKEAALTAIEGGGSSHRDVTSFIDYISHFKS >cds.KYUSt_chr7.35505 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221872875:221874440:1 gene:KYUSg_chr7.35505 transcript:KYUSt_chr7.35505 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAVGEADKSSMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLADSQNEGRRNLLDIADPEDSLVFEQEVIKLMETSITKAMQYLQNKGLCLMPIALASAISTQKGTSAAAIPPER >cds.KYUSt_chr5.27178 pep primary_assembly:MPB_Lper_Kyuss_1697:5:171959579:171962917:1 gene:KYUSg_chr5.27178 transcript:KYUSt_chr5.27178 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFATSRPASLALAAFLTFLNIFAFLLAVGAERRRSTGKVVPDEYDDRSYCLYDTDASTVYGVAAFFVLLLSQVLVTGVTRCLCLGPALSSRGCAVAAFVLSCKNVLQFALLHNLALHPADSSSCISFSSTTRLCSFLGEVENISGPALPVTCASVIDAPRCRCHIVSTPAHAFNAPQRIPLPLRDPACASVWPPFSRVYPFATWRPKVRTVAGREANRRARRFAAPLSLSYISTTRPFPFIFFAFSSFAHVAPRLCASAALRPPSLVQAPAPANLRRPSAELRRAEFFGNTFLASAAFVLLRELFRFAIDGARRRPQSRLHRPTEHSPRASAPLKVGINFALLAVRLLSGS >cds.KYUSt_chr5.4994 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31512831:31528013:1 gene:KYUSg_chr5.4994 transcript:KYUSt_chr5.4994 gene_biotype:protein_coding transcript_biotype:protein_coding MYELFSKFFEQQQLKAIPEVTKYALEPNPVRLSGPGNYISWARHAQLILSSHGCENLIVEEDEKQKSGDITAKQANDRVLVWMLGSMDPVVRQQVEIMPTVYEVWATLEKQFAGKSNKMQATRIMDELTHLNQGSKSVTEFAGEVKRLYRDLHYYHPFQPVDKKDMAVHHKWFESLVAKLFLDGLNEELNLRRQLIFSQPEWPSLDDIISSVIEEETRLAQRKEENLNYKEERAALSMQSRRGPRSFNKIDKSRLFCDHCRKNGHTKEMCFELHGYPSWWDKGKPRTGGVQIENKKQASHIASLQQRPVVDVRALEEFSSRLRLSEGSSSSQGSSKPDSCLAATSHQGGTLTCDRISSQGEPNGNADNNSCHGNIEDATANSPLPHQGAEPPLHEDPGTDSNSLNPTNPSSTMGQGHNLLPFVNPQDSLPIALRKQTRTRSAPGYLKDFVTQKHDIANYMSYKYCSPVFQNFIASLDSGSIPSHWKQAIEDPKWREAMLDEMRALEKNQTWELVDLPQGKQTVGCKWVFTIKYNLEGKVERYKARLVAKGYTQTYGIDYEETFAPVAKMNSIRTLISCAVNLDWNIYQMDVKNAFLHGDLHEEVYMDIPPGFEPKQNSGKVLRLCRSLYGLKQSPWAWFDRFRQAMLKRGYKQSNADHTLFYKHVDDKVAVLIVYVDDIVITCNDLEEINELKHHLAQEFEVKDLGQLRYFLGIEVSRGSKGIFLSQRKYTLDLLKEIGMLGVRPAATPIEQNHRLSYDAGIPVDRERYQRLVGRLIYLSHTRPDIAFAAIFLPVTSQEAQARARRPRPSPPALPCAVHLQVTAFSVGIDAISCRRDGTVNRCLYSVADRLLRVRADNRPDSSGVGSADFTYRLAPEYPYPAAYDDATDTLLFIDANAVPGLGDGVSLDLSSCFLAGESAGGNIIHHVANRWAAATHQRTSGCVRVAGLLSVQPFFGGEERTESELRLDGVAPIVNLLRSDFGWKAFLPAGATRDHSKAGHELARSRLGQSHTLARSISARLGLAAVCGGGTLGSFVHVKVPPQPVAAGLTTRHSSNVPPPITAASLAATGYSGMCDVSLGQRAAMVVLTFALIGGLICQNFQVVEFPEGIHAFYLFPQLAASAKIIEDIGAFVECNRKRMAREEGSSEEARTYDDVREEQVARNTEKMKSLGLAVISSEMKASKKAAGKPKKKRALEVAIPESTRVLRSQSVMHESDNEEDVDNEQELEENEELGLDDQQKKKKDGRKRTRMHTVYNRGNQPPVPVSFNEKGQPNGDNASEFSNFIATLVKTHIPLGHEDWRLVPVEKKNALLTTLRKYYVVDDKLKDYVMGTSHKKWRDFKVDLKKKFFKPEKTDEEILTLRVEDNRVSYDDCVWLIKFWRSEEAEKDIDTAIEEHPELLEKSIQQGDILSHVLGKERNGYVRCVGLGPTAGSLGIPGAQKLKSTKLQMAELEAEKAWRTNEALMDHMDDFKEDTKAQMDALMAEMAEMRSMLSQSIAGNKNFMHTSAIQPEASVGEYSTSDQSVHDVDSIGEEEVMMQELREAQQQYEKKRAEAAQLIRKKKEGAQRLNNEAELQKRKEVELLHKKKEEALMQKNNEQDMQKKREIESKKKQEDLMQKKKEQDMQKKREADLKKKKEATVTQKNHQKGNEVILFNVYRDHTTPVAKATILATDRKKIVGGRELGTECCEVVVNYIIKRDAILPRPIGDVTTMGQAQGRTIAWLYKHLEVDKSKMKQASPPQENELPRAKPEASNHGIHKPLKQLHYTTEYQSSEARVHGYTRIRKCKTEQGVSAAANQNPALGDNRECLTGMIWWQSIAVAVKLADDLVGRTDST >cds.KYUSt_chr3.18631 pep primary_assembly:MPB_Lper_Kyuss_1697:3:114602789:114607669:-1 gene:KYUSg_chr3.18631 transcript:KYUSt_chr3.18631 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVEDEGEEEQCFLVEPLFYDEAKEMAWALAERERRRREEDEMARKVAENLRRDEAHQTVKKSIIEYNTKLERNVYTRFFLRDFSVFDINELSLIPPMRYTDSVYEDEFALEDSANILSVSVVSSDVSLPINVYGSVIVRDSIDYKCIYLFRRHRDDCQVINDEDKTLILTGPDRGLVLVDFIYLEIDLKIRDLKDDKEDQDKQFSKGLISIDGRVLSRERDVVVRSETLESWLSTMEVRVATVLNAVEVTFEIKLLMGHLDGNITVGIKGIKEPILIHDSKADGVVTCDESRVVKLRRRVMTICLKEMLAFHIVNEADGVKGERTLDLTPKRTGSDHWNTLCGAGVFGFTVVWSLMDFRM >cds.KYUSt_chr2.37073 pep primary_assembly:MPB_Lper_Kyuss_1697:2:229469822:229470990:1 gene:KYUSg_chr2.37073 transcript:KYUSt_chr2.37073 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALFLANHLPSTATARYHHRHHRLLQPRRQQLPPGSLASRAIRPQPRRRLSAVKETKEEEAKTAEEITEKYGLEVGLWKIFSWKEEEGGEEGGEKKTKSRTDQAKDLLAKYGGAYLATSITLSIISFTACYLLINAGVDVQQLLAKIGITTDETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVANWIGKITKGGD >cds.KYUSt_chr1.16048 pep primary_assembly:MPB_Lper_Kyuss_1697:1:93279643:93287537:-1 gene:KYUSg_chr1.16048 transcript:KYUSt_chr1.16048 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSMMPVKMDPAWIRAPCASGPMVGANCRCVMDEYAIEGTSRHGERYGRKANGEYASIHMICNESAMSHRWGIIKVCNKFQGNYEKCGRMKESGKSMVGYLYDMLALYKDENEDKHVILDPLVRASREVYHECIGKEVMAAQASTTLMAMAAETPPMVVEDPPMTVEARVIDEPDVMEDTMSSLSTDTLQSTITEDDAASSYLVCVLHFDGASKGNPGKAGAGAVLMTEDRIVISRLREGLGIATNNVAEYGGLILGLKYAIRHGFKRIKVYGDSQLVCYQEWNAEANREAEIAATTLAVGRKGNAIISWYKLITGWQITIKYLITTGTTCCADAPAPSAQYIAPSAQALPTVTIGVASSAQLASGPAQAPSA >cds.KYUSt_chr4.986 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5096404:5097654:1 gene:KYUSg_chr4.986 transcript:KYUSt_chr4.986 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPYILSLSVILFINQANPGMTIETDIPLGSRIDAAGTQSWVSPSGRFAFGFYPEGEGFSIGVWLVIDTSRSVVWTANRDDPPVSGGSVVLTYGGSLQWIPANAGSQGKSISATSTPAASAGMLDTGNFVLFDMKKQVIWSTFSSPSDTLLPGQNLPPGSQLFSSVSDTNHATGKYRLFNQEDGNLVMYPVGAIDPDSSYWNTGTFGSSYLLTLSLDPNGTLWLFDQNSTYTDVLFLANRSSSNASPDRRQSFFHLTLGADGILRLYSLVFSGNGKAPITEVKWLQPSSNRCLVKGVCGPNSYCQVTANGETSCSCLPGFEFSIANQGCWRVQQIGGCVNNDDIRMISKMVQVKNTSWSDNSYNVPPPRRQQQASKLAKNFANLTVPVRLRCLTRTAQSRCFLRDMAGWFLVAT >cds.KYUSt_chr5.18419 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118972465:118978966:1 gene:KYUSg_chr5.18419 transcript:KYUSt_chr5.18419 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTGGAVEVHKNDTVKRTAMARRGFGREGKPIRLLSNHFAVNLSGVDAVSIKSDDDTVIDGKGIGRKVIDKMLQTYSSELAGKDFAYDGEKCLFTVGPLPQNNFEFTVIMEETSSRAVGGSPEHGSPSLGDKKRAKRSHLPKQFVVGISYAAKIPLRSVALALQGSDSDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDSRNLVDLTGGVSGCRGLHSSFRTTRGGLSLNMDVSTTMIVTPGPVVNFLLTNQNVRDIRDLDWLKAKRMLKNLRVRATHNNMEFKIIGLSDQPCYKQTFPMKVRNGSSEGQTVDITVEEYFKTKQVVLDKPYLPCLDVGKPKRPNYLPIELANLISLQRYTKALSSQQRTMLVEKSRQKPQDRMRVVTDALKSNKYDDDPIFSSCGIKIENQLTRVDGRVLSAPMLVVGNSEDCFPSRGRWNYNNKKLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFSLVDEDSQARRCTPIERVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGPWKKKNLHEMGIVTQCVVPSNKMNDQYFTNVLLKINAKLGGMNSKLAMEHRHMTPMVSKIPTLILGMDVSHGSPGRSDIPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYQTSEQRKPSQIIIFRDGVSESQFSQVLNLEVDQIMKAYQNMGQGPPPKITVIIAQKNHHTKLFQADAPDNVPPGTVVDSGIVHPKQYDFYMCPHAGPIGTSRPTHYHVLLDEIGFSPDDLQKLVLSLSYVYQRSTTAISVAAQMSQFMKFEEFADTSSGSGIPSSTATVPELPRLHADVCSSMFFC >cds.KYUSt_chr3.46619 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293167544:293167924:1 gene:KYUSg_chr3.46619 transcript:KYUSt_chr3.46619 gene_biotype:protein_coding transcript_biotype:protein_coding MMITLADGVARAQAGDGERRQSCTAAVEWVSGAGGVSRLRAWLESVLGYTAMAELRLTLPAPSMTPLIAAKSGAIPGRSSLRHGPYSPAKEAPNTTSIARARRRSCGPVTVELPMEVRCAEAGWAS >cds.KYUSt_chr7.35823 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223750368:223752743:1 gene:KYUSg_chr7.35823 transcript:KYUSt_chr7.35823 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHHHPASAALFLADAGTLRRSYLRLISLSSTLRHLDQLLGVSLASGHYPLDPAPATSLLLRYASFRAPPRHLLRLFRAFPLPDRFLRNALLRSLPFLRPDLLFPSPDSFSFAFAATSLTSSCSRGDPISHSSAVRALHALAVAAGFSGDTFVASALTKVYFILSRGDDARKVFDAVPSPDTVLWNTLLAGLSGSDALEAFVRMAVAGSVRPDSTTLSSVLPAAAEVADVTTGRCVHAFGEKCGLAQHEHVVTGLISLYAKCGDMDCARSLFDRMAGPDLVAYNALISGYSVNGLVGSSVELFKDLVALGLRPNSSTLVALIPVHSPFGHEQLTWCLHAHVVKAGFDANAPVSTALTTLYCRLNDMDSARKAFDAMPEKTMESWNAMISGYAQNGLTEMAVALFQQMQALNVRPNPLTISSTLSACAQLGALSLGKWVHKIIANENLELNVYVMTALIDMYVKCGSIAEARCIFNRMDNKNVVSWNAMISGYGLHGQGAEALKLYKDMLDAHILPTSSTFLSVLYACSHGGLVEEGRTVFRSMTSDYGITPGIEHCTCMVDLLGRAGRLSEAFDLISEFPKSAVGPGVWGALLAACMVHKDGDLAKLASQKLFELEPENTGYYVLLSNLYTSKKQYSEAAVVRQEAKSRKLVKTPGCTLIEIADKPHVFMAGDRTHPQSDAIYSYLEKLTTKMIEAGYRADTEAALYDVEEEEKEHMVKVHSEKLAIAFGLLNTLPGTEIRIIKNLRVCLDCHNATKIISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >cds.KYUSt_contig_402.411 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2541848:2543717:1 gene:KYUSg_contig_402.411 transcript:KYUSt_contig_402.411 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNDTRISKDWVKSHWVHIERKPDVLSRIPSVRVQTKQPDNVKSTGGLDSNSAISDKEATALQTNSTGDKQTGVDAQTEVSLNDKASASIEDDEKQTILQKQPRCNDAEDDCNGEETMADTRTEVSFTDAASAAVEDEQQPILGKRPRDDAVEQNCNGQVFTDKGPALDEEEQKVLQKRPRDDDDAEEHCYDEVFSLTDTASAAVEDERHTVLGKRPRDVAVEQNRNGQVFTDKKSALDDEEQKILRKRPRDGDDSEQRCKDEVFSLTNKAATPVEDEKETLLGKQPRDDGSEQHCRDEVFSLTDRASASAEDEKQTVLGKRPRDDDDDDEQDCEGEVGVDVDVSKL >cds.KYUSt_chr4.9684 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58476216:58480281:-1 gene:KYUSg_chr4.9684 transcript:KYUSt_chr4.9684 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVQEAKLLRQVNALIVAHLRDQNLTQAALAVAAATMTPLSAAASLPNHLLRLVAKVLPSLPPPPLGFTQPGPKPFAARCWFVGSQGLAVEQGEAARGGGAPAAFDSAGGGGMGRPLATNAVDFSMQNVKGPSKSFSKHEAKHISDHKNVARCAKFSPDGRYFATGSGDTSIKFFEVAKIKQSMLGDSKDGGRPVVRTFYDHMQPINDLDFHPTSPILISAAKDNTIKFFDFSKTAARKAFRVIQDTHNVRSVCFHPCGDYILAGTDHPVAHLYDVNTFTCYLAANPQDCTAAINQVRYSDTGSLYVTASKDGSLRIWDGVSAECVRTISGAHGSAEATSAIFTKDERYILSCGKDSSINLWEVGTGRLVKQYAGAVNGQFRCQAVFNESGEFVISTDEQTSEVVVWDALTTEKVARLPSGHTGAPRWLEHSPVEPAFVTCGNDRSVRFWKQTA >cds.KYUSt_chr1.7357 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45069433:45071477:-1 gene:KYUSg_chr1.7357 transcript:KYUSt_chr1.7357 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVAVVGAGLSGLAACKHLLERGCRPVVFEADTVLGGVWAHTPACTKLQTPRPLYQYSDFPWPDSAAEFPDHRQVMDYLGAYARHFGVLDCIRFGHRVLGMEYVGVDEETVAAWEEWGGTGGAFGSGDGEWRLEVANADGDVQVRIRLTNDFVVLCIGRFSGVPNIPTFPPGKGPEAFDGQVMHSMDYSNMDSEKAIKMMEGKRVTVVGYLKSALEIAAECADVNGTEQPCTMIVRTKHWNIPHYYAWGIHISKLYLNRFAEFLIHKPGEGFLLSLLATTLSPLRWIFTKFAESYFSIPLKKYGMVPDHSIFEALVACLVAIAPKDHYKRLDEGSIVLKLSKTFTFCKEGVLLEGESSPIRSDIVIYGTGYRGDEKINNMFKSEYFRSIAVGSTSTTLPLYREVIHPRIPQLAVLGYSESLSNLYTTEIRAKWITHFMDGGFRLPCIKAMQMDVLEWEKYMKRYSRGYFRRSCISVLNIWYNDQLCKDMGCNPRRKNGFFAELFEVYGPGDYANLHPK >cds.KYUSt_chr3.40957 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258541199:258541984:-1 gene:KYUSg_chr3.40957 transcript:KYUSt_chr3.40957 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPFVLRVRLALSLKGVSYEYVEEDLKSKSELLLKSNPVHTKVPVLIHNGKPVCESSVILQYIDEAFAGIGPSLLPADPHDRAVARFWAAYIDDKLLKASSQASSGKTEEEKAEGAKQAAAAVETLEGALKECSKGKPFFGGDSAGYVDLMLGGLLPWVHVGDAVKGVKTFDPATTPLLAAWADSFGALDAVEPVMPEVGKLVEFAMAMMSHAAAAAAATN >cds.KYUSt_chr5.35413 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223744863:223750338:-1 gene:KYUSg_chr5.35413 transcript:KYUSt_chr5.35413 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRHYPLPALLRLFSASCRRRSGLPSHPCPPNTELPPPPPVAKKVPFTVSAHGRSWSDPFHWMRDTSDPDLAALLAAENAYADAFVSSAGGGGLRARLAAEMRARLPPSVASPPQPWGPWSYYQYVPDGMEYPILARKLRPSGGLAGSLLSYLSDWDKEEVLLDWNEIAEKFGYVHIGSCRTSPDHRFLAYTLDTSGGELFSLEVKDLQSKRVIFSSPHKGIVSLAWSHDSENLFYTVCDDTLRPNQVFCKKMQSDEADFLVFTENDVNCCVDITSTKDFKYITVYVMESGNVKEGLWPVKKRTNKVQYFLEHHSGFFYILTNAPLEDIETSAEGYYLARCRAEKALMDRWQVVALPGSDCIFQDMDIFHEHLVLFLRKDGLPLFCSIDLPVKVDFQEPKELGNLTPWFFPTPSNLCSILPGANNDYMSSTYRLVVSSPVIPDLTVDYDMRKKTFTILHQEEVTGLSSSLCTSGLQSNVSSIQQSLQLIEDSQSWSDLSKLLTCERVQVISHDGVSIPLVILYSREAHIHGESPGILFGYGAYGEDLDKSWCSDRLSLLARGWILAFADVRGGGDPSWHLAGSKTNKINSIQDFAACGMHLIKEGFVHESRLCAVGCSAGGLLVGAAINMMPNLFSAAVLKVPFLDICNTMLDSTLPLTILDYEEFGDPNIPAEFDAIRSYSPYENLSPGICYPPMLVTASFNDTRVGVWEAAKWVSKVRDITCPSCSQSVVLKTNMQSGHFGEGGRFMSCEETAFEYAFLMKALGMDDIAAK >cds.KYUSt_chr7.14730 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91078213:91082292:-1 gene:KYUSg_chr7.14730 transcript:KYUSt_chr7.14730 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVIFLSGFLLGLLALALAEGAALLWAVRALRRGRPRPPSPPPEAAAAVLSGDRPVPTEKQGFLWMLEPEKMPKVSINNHIPSGAKKDVKEKKNIVEVFPIRMLAKIKDHLLVLSGPDGSQTRIELLDCTVVAVSASNLPSRKWAKRYPIKLESKGSEICKGSNASLHQSLVLPNCESIPVSWMISEKDDWVPRKVAPFIWLNREPSEAASHSTDTGTLQPDDVATLKVSANQKGSKSSPPAPFNDGEQLKSTISFHGPNQEPATDASTSSCSSLPSETEPSDQLTIPLMSTTRQFERNTSEDASGSSLQLLAMVPAGERSSASSSASPDEYTKRKGAKRAAVMGLGRRMSNKLEDKRRHIVEKIKENAAHKG >cds.KYUSt_contig_3764.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000731.1:26191:28896:-1 gene:KYUSg_contig_3764.1 transcript:KYUSt_contig_3764.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSAGAGVGHPVVWLRVPPGDPPQPSPPPAGSAAGSGVHLRLPDVEPVMQIFMKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLERLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPQTSSV >cds.KYUSt_chr2.43698 pep primary_assembly:MPB_Lper_Kyuss_1697:2:271800004:271803862:1 gene:KYUSg_chr2.43698 transcript:KYUSt_chr2.43698 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRALYMYAAVPALLLCSAATFIQSPTDVFGPVDLLEPTPSSARDFGAVVSDPPFAIMRPGSAADVALLLRTLSSSAKPRAPRAAVAARGAGHSLQGQAQARGGIVVETRSLPRAVVVVAADVSGGDAYADVGAGVLWVEVLEECLKAGMAPPSWTDYLYLTVGGTLSNAGISGQAFKHGPQITNVLQLEVVTGNGEIVTCSPTESQDLFFAVLGGLGQFGIITRARIRLQHAPPKVRWVRAFYDSFETFTKDQELLISMPERLDYVEGFMVLNEHSIQSSSIAFPAHIDFSPDFGSDGSKKKVYYCIEFAVHDFQQDDGYTVDHFVELVSGEMSYIRPHMYSAEVSYFDFLNRVRMEEESLRMQGLWDVPHPWLNMFVPRHAVARLKDLLMDTVLAGHFDGAILVYPLLADKWNKNTSAVLPSTPDGVMYVFSVLRSADPSRCGRRCVEGILEQHRRVADEACRAGGGGIGAKMYLARQPSQAHWRDHFGPGWDRFVARKARYDPVRVLGPGQGIFPWTDSATSMWR >cds.KYUSt_chr3.29484 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184568268:184568793:-1 gene:KYUSg_chr3.29484 transcript:KYUSt_chr3.29484 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPRPPPNMNFTVAVEGHVWCKGCRYAGYVESKGASPLQNAAVMLRCRRENSTWSLWGATDASGHFTIQTAKLVAPFRSRDCTAYVLGSPVRACRRDLKPRWNKGLPLKLRKFVPVSDVGLQALYSTGDFLFAPNTPGKC >cds.KYUSt_chr2.5891 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36625052:36625786:-1 gene:KYUSg_chr2.5891 transcript:KYUSt_chr2.5891 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVLRWICVVPARRQRRRRPRAARLVLWGGETREAEHGRTAGEVMVEHGAGGSVVCRADGFRVGRPAPVLAVEDRLEAGVTYVVVPVDRLPAQGQGAVTAGSLAALSYDRHHEGARTVSSSSSAPSLAAGGRSPFEYVKDGDGRTVISVTEEFIVRSVTGRRSPAGDRADDEENGALICSTPELRKHYEQLVGAARDRPWSPRLETIEERKARRMVDVVISPRTMSPARLLGLVKGSSERAR >cds.KYUSt_chr7.40552 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251771916:251773195:-1 gene:KYUSg_chr7.40552 transcript:KYUSt_chr7.40552 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMEIPEEVTVKVSAKMVSVTGPRGTLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGFRYKMRFVYAHFPINASINSGNKGIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKKKDIRKFLDGIYVSDKGAIKEE >cds.KYUSt_chr1.36621 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223509453:223516346:1 gene:KYUSg_chr1.36621 transcript:KYUSt_chr1.36621 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEADAAAAPSAAPGRLRNAFGGVLCAFTLILIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGSIWWLVNALNIPLSVETVCVFTAPIFSAFASWATYLLTKEAKGTGAGLMAAAILGMVPSYISRSVAGSYDNEAVAIFALVFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVLTSEHFASFLVFIILHVVAFVSYIKGLLTPRLYKMAMTLVITVGMAVCFAVVAILVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIISCFLPLSDASSFMVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSSAFDVLTRSMKFQLSKLFDDGSAISGNGSTEATSASAVNTNSSKSENKTEKSEAAPKEKSSKKNRRKEKEVTESVPAKSKKEKRLSVLPLEASVIGTLLLIILGGFYVVHCVWAAAEAYSAPSIVLTSRSREGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFDSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGEFPHIKEPDYLRDGQYRVDAQATPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRAKLRKLKSGSKSSSTLAAGRKKNPWQ >cds.KYUSt_chr6.10756 pep primary_assembly:MPB_Lper_Kyuss_1697:6:66637132:66637722:-1 gene:KYUSg_chr6.10756 transcript:KYUSt_chr6.10756 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRTSLSHVADLHTLQRRVDTDTGRLHAARSITVRSPPLPFILRRLLPAAAASPSGAAICHCVETSLVDAPRRAMDVVVRNVSLRGIIEVEERSTFRPHPDRPDDWTQFRQETTIRCRPLAKLAAVAEKVETRCAERFLQNSAKGREVVERICRYLEAEAAGAAPSAV >cds.KYUSt_chr2.6954 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43420559:43422067:-1 gene:KYUSg_chr2.6954 transcript:KYUSt_chr2.6954 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPCDEIDLQLFAACTKISVGNGQLARFWKDRWLNGVAPAEMAPLLFRLTKRKSLSVAEALSNGCWLRGLSRISSEEELHQFLQLWVLVDHVQLLDQPDTIWWQYSSSGVYSASSAYDVQFTGRLLQPHLENVWKAKVEGKVKFFLWLWLRNRNWTADRLSSRGIACNPTCCLCDQEPESAAHLLINCSFSKEVWATVAPSNASLANACSQATSVRSWWAKVNLCTPKAQRVETVVLACYTLWNVWKERGRRIFEGKAMNVAVLVQHIREEVKQVVGSLNPSVFVGLYSFPPSINEKAGLLRFGSKKDEGDKDHGNDEEDENEDQDQMDQSGVELARGWPQDGTIDVRLLTTPRLCEIVDEALDHPERAERRVTALKGGVNRSPGGELSGSSGSSDGAFASPVRPPPHAAASPTAVACRNQVVSASQGFFAG >cds.KYUSt_chr7.11648 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71568741:71569346:1 gene:KYUSg_chr7.11648 transcript:KYUSt_chr7.11648 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRQSEWIVLRRVHAFLKSRKLHRAAYALEKEARLKLDLPHLHTLFAKGRWRAADEYVTAFLGAAKEETGSTPAASATLFVVRFERFVRALRRGDEAWAMRYFGRAVEPLLDSHPDKAAARAECIRVLELDNESLRRSYPDDDRRRRERETEFIRRVYENESISRAFNDVFDGNLRFMRRTTSLGLRRHVRRGPPCDQR >cds.KYUSt_chr7.26471 pep primary_assembly:MPB_Lper_Kyuss_1697:7:165293674:165294597:1 gene:KYUSg_chr7.26471 transcript:KYUSt_chr7.26471 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSVQFRIDYEQTKQLPIGKAILSDVFSVGGHNWRIECYPRGAHKEHKGEHLSIFLKHMGRTTRVKAIIEAFLMDKDGEPCTKATSRARVHEFPIDGESNDDDVDWGWSQFVKGTALENDYVREGHITFVCGIMVIHDNPILVPPSDLQIHLGSLLDHMDGMDVSFTIDGETFHAHRAVLAARSPVFRAELFGSMAEARMTSITLHEITPATFKVMLRFMYTDALPEEDELGDSSTEILQDLLVAADRYALDRLKLMCAQKLWDKVSADTVATTLACAETHNCQELKSKCIDFLAVEENFKEADG >cds.KYUSt_chr7.12492 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76934590:76936125:1 gene:KYUSg_chr7.12492 transcript:KYUSt_chr7.12492 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNSTNACATPNHGANGGSNQSGRDHIILFPFMAKGHTLPLLHFATALSVHQKNLLITVVVTPANLAFARIRLPASVNLAVLPFPSLPPLPSGVESTDTLPAPALYPTFLNATALLREPFAEFMASLPSPPLVLISDFFLGFTHGVAADAGVRRIVFHGMSCFSLAMCKSLIASPPPSVEHGTSFRVARMPEHVRITAAEVPDTIAKIFDPEDPVSRFIIDHVGESDERSWGVLVNSFAALDDEEYVAALLSFYQPDARAWLVGPMFLAAGDMPEREEEHDPEGCLPWLDERAERSETAIYVSFGTQAYVSDEQLDELARGLVQSGHHFLWAVRSAAWSPPVEVGPRGRIVRGWVPQRSVLAHRAVGGFVSHCGWNSVMESLTAGKPLLAWPFMAEQHLNAHHVADIIGAGVRIVDVKVAGDAVVERAEVEEKVRRLMDACEEGRKIRERATWAQQAAKAAVSDGGTSRVALMKLVEELQRSYCDVIVGKQDHGANRIILTQAHSSTKA >cds.KYUSt_chr3.30681 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192490422:192499873:1 gene:KYUSg_chr3.30681 transcript:KYUSt_chr3.30681 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGAHHPCAACGDDAHAACRACSYALCRACLDEDVAEGRTACARCAGEYAAFDTAHAKGTAVEEGEQEVDDQLAAEGLRGRVTVASQLTDRQEEVSHARTMSSMSGLGSELNDESGKPIWKNRVDSWKEKKNEKKASAKKAVAKAQVPPVEEQIMDEKDLTDAYEPLSKIIPISKNKLTPYRAVIIMRLVVLGLFFHYRITNPVDSAFGLWLTSVICEIWFGFSWILDQFPKWCPVNRETYVDRLIARYGDGEDSGLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKVSCYVSDDGASMLTFESLAETAEFARRWVPFCKMYAIEPRTPEFYFSQKIDYLKDKIHPSFVKERRSMKRDYEEYKVRINALVAKAQKTPEEGWVMPDGTQWPGNNPRDHPGMIQVFLGETGARDYDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPSVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGIQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSACSWCCCCCPKKKVEKTEKEMHRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKSFGQSSVFIESTLMENGGLPESADPSTLIKEAIHVISCGYEEKTEWGKELGWIYGSVTEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSVPLVAYCCLPAICLLTGKFIIPILSNAATIWFLGLFTSIILTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMVIGLDTNFTVTSKAAEDGDFGELYVFKWTTVLIPPTTILVLNLLGVVAGFSDALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTVVILWSVLLASVFSLLWVKIDPFTTSAETVNTGACSSIDC >cds.KYUSt_chr7.29657 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184567556:184571636:1 gene:KYUSg_chr7.29657 transcript:KYUSt_chr7.29657 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRHLLPLLLLVLLLAPSPAAANESHISAVIGSSGLDFAKDVLIGEAARSLTPLRLPGAEKSMRVPFLGGVRAAVSNITLFHLDVGDDSALRPGDSGLVVVASGVSANISMAWSYSYDSWYFGPLQISDSGTASILVQGMEVGITMEIKNYNGSLALNVSQCGCYVKDLEISLDGGASWFYQGLINAFEDDIRAAVEKVVPENIIGSTSKLDSFLQGLPRSVSLDNITALNMTFMNDPQYRNSSIEFDINGLFTSAVAKTSNLQKHPQLSLSCGGASNMLVLSLDETVFNSALDVYYKAGSMHWVVDKVSDQSLLNTAGWKFIIPRLYWSYPNDDMVLNISMASSPIMWITSENIGATINADMIIDVLHGTETVPVACISVVVSASGVVEASGNKVYGRVELNDFSLSLKWSNIGNFHMSLIQGVIRVFLNTACMPYLNSRLGHGFILPVVHGFTLKDVYVITSTQQLTLCSDIAFANVSSLASLPVL >cds.KYUSt_chr6.519 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3214607:3222200:-1 gene:KYUSg_chr6.519 transcript:KYUSt_chr6.519 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIARTTQASATEYYLHDLPSTYNLVLLDVVSRGRFLKSVLCKHDEGLLLVKVYFKRAGEPLDLKEHERRLERIRRAFQGLEGSHVLPFQIWFQTDKAAYLLRQYFYSNLHDRLSTRPFLSQIEKKWLAFQLIHAVEQSHSKGVCHGDIKCENVLVTSWNWLYLTDFASFKPTYIPDDDPSDFSFFFDTGGRRRCYLAPERLHEHGGESQVSADAPLQRSMDIFSLGCVLAELFLEGQPLFELSQLLAYRRGQYDPMHTLEKIQDAGIQDMVLHMIQLDPKERLSCKSYLQKYETVVFPTYFSRLHNFFSDIVPLGSDARVEKTQENFERIHDMMISGLTGEQNQVSGKEDIGGQVTNSPRESAKSASLVKRNIPGDHHQIAGDLNLLLKEVEQRNVHGGTKSYAASNVSRANGIGPSQQVNQTQSQTTSVLAAQSNDVSHVHKISKSNLLALVSGYDAQSDTYSFDIFQQTHSKVSCEGMVLLASLICSSIRSVKKPELRRAGLILLKISSTYIDDDSRLQLVIPYVIAMLSDPAAIVRCAALETLSDVLCLVQDFPISDAMIFPEYILPMLSLLPDDTEESVRVCYASNIYKLALTAYRFLLRSRSITDAGPLDKSVVAAGSQSAEAPLKKKHDKIDRQLARLRKSIYDIVQELVMGPKQTPNVRRALLQDIGYLCYFFGHRQSNDFLLPILPAFLNDHDEQLRAVYFGQIVVVCYFIGSRSVEEYLLPYLEQALSDDMEAVVVNVLDCLTMMCESRYLRKRVIVGLFGKALPLLCHPIQWVKRSAVRLIAACSESLGPVDTYVYLSPHLRHFLHREPPSLSEAALLACLKPPVSKAVLYQALEVTKDTGNVLLKGDGKKEQTLPGGKYPATTQSGPSATLEDAVRLRTSNLSGNVSLDIKDSISSDKSLYYGYAPHASTVSSSFYDGLSKGIPSYSVTTDKRGLGEIFMPWESNRHPGLSSSVQGLQTRENHFSSRHGDLSISDSMKSSLSVPGDGVSNSETAVSPSFTRSAVALETGWKPRGVLVAHLQEHRLSVNDIAVSDDNTFFVTASDDSSVKIWDTRKLEKDIAFRSRLTYNLGSSQALCTTMLSGSSQVVVGDSDGALHLFSVDCARGVGSVAERYSGIVNVKRKDLKEGAILSVANCSSDSFSPTILFSTEHCDIHKWDARTNSESWSFKSSPEEGYISALVMGQCGNWFISGSSRGVLTLWDHRFLLPVNSWNYSTVTPIEKLCLLIPPHNSISSAGRPLVFVAAGYNEVSLWNAENGSCHQMFRTASIENEAVMPKTPSRPVNKTNAKDIRRAGSYKYRIEELSSPPPRLPGIRCLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSAKGVGNDECYDIKSSYGVQVVQEACKQPTPSSRLTHKTQLAMAAADSAGCHRDAILALASVNLSSQRLISASRDGAVKVWK >cds.KYUSt_chr6.27574 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175001076:175002350:1 gene:KYUSg_chr6.27574 transcript:KYUSt_chr6.27574 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMAESVQDQGQRAGADVMAAEDGEAAQAVVYTRSKKPETELCGVRRKYRGERVASKEQCAGTVSADEEATGKVDGARRAVKKKNMAALKKKGVRAGNFSTAEEAAGKLGGVKRAVRKKMAARRPEARTEFRGVSRRGINGKYGAQITHSKGIVQWLGTFDTPEEAARAYDAAAVELHGSRAVTNFQPMGESSGQATGSVMKVRKPAAPRPDAWTEFRGVSRSLNNTYGARIWDSKGKCSLMLGTFGTAVEAARAYDAAAVELHGASAVTNFMASDERSGQAAGKVKKPAAGSVKMKVEKPAAARPDAMTEFRGVSRQPSGKYRARIRDQKMKCAVSLGTFGTAVEAARAYDAAAVKLHGAAARTNFEQQPVDLLDDFAELPALEFSESLIPGPQMGDLRTELPPAEWELVLEFLKDFTDVVA >cds.KYUSt_chr4.46983 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290708237:290711365:-1 gene:KYUSg_chr4.46983 transcript:KYUSt_chr4.46983 gene_biotype:protein_coding transcript_biotype:protein_coding MARATTPCPWPSSAARLFFSLSFSLSLLCCVSNAAAATGGDEAAALLAIKASLVDPLGELRGWGSGLHCGWKGVLCDAQGVVSGINLAGMNLSGTIPDDILGLTGLTSIVLQSNAFDRELPLALVSIPTLREFDVSDNSFTGRFPAGLGACASLVYFNASGNNFVGPLPADIGNATALETLDVRGGFFSGTIPKSYGKLQKLKFLGLSGNNLNGALPAELFELAALEQIIIGYNEFSGPIPAAIGKLKKLQYLDMAIGNLEGPIPSELGQLPELDTVFLYKNNIGGKIPKELGNLSSLLMLDLSDNVLTGAIPPELAQLTNLQLLNLMCNRLKGGVPAGIGELPKLEVLELWNNSLTGSLPPSLGAAQPLQWLDVSTNALSGPVPAGLCDSGNLTKLILFNNVFTGPIPAGLTTCSSLVRVRAHNNRLNGTVPAGLGRLPRLQRLELAGNELSGEIPDDLALSTSLSFIDLSHNQLRSALPSNILSIPTLQSFGAADNELIGGVPDELDDCRSLSALDLSSNRLSGAIPSSLSSCQRLVSLNLRSNRFTGQIPSAVAMMPTLSVLDLSNNFLSGEIPSNFGSSPALEMLNLANNNLTGPVPATGLLRTINPDELAGNPGLCGGVLPPCGANALRASSSTSGLRRSHVKHIAAGWAIGISIALVACGAVFLGKLTYQRWYLNGGCCDEAVEDDGNGSWPWRLTAFQRLSFTSAEVLACIKEDNIVGMGGSGVVYRADMPRHHAIVAVKKLWRAAGCPEEGTVDGRRDVEAGAGGEFAAEVKLLGRLRHRNVVRMLGYVSNDVDTMVLYEYMAGGSLWEALHGRGKGKQLVDWVSRYNVAAGVAAGLAYLHHDCRPLVIHRDVKSSNVLLDPNMEAKIADFGLARVMARPNETVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPIEPEYGESCVDIVGWVRERLRSNSGVEELLDAGVGGRVDHVREEMLLVLRIAVLCTAKSPKDRPTMRDVVTMLAEAKPRRKSSSATVAATVVDKDKPVFTTSPDSGYL >cds.KYUSt_chr4.35744 pep primary_assembly:MPB_Lper_Kyuss_1697:4:219618306:219632674:-1 gene:KYUSg_chr4.35744 transcript:KYUSt_chr4.35744 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGVSVPSLPKGKDFGYEKRGRPPRPRESDFVTGEELEDDEEEGYAEAERLAPQRAKRKREASAAAAAAAEDLTLIDIVKHNGRLISHAVKRLVEDYESDPKSVIFQILTMLFEACGARHDIAAKDLDMADVDDIVFKLVDLSKNGLVEDNYNSKRKDLKNFKENLVSFWDTLVLECQNGPLFDDILLQKIKDYVIALSCTPPRVYRQVASLIGLQLVTSFISVAKTLSAQRETTQRQLNAEKKKQSDGPAVESLNKRLSVTHENITYLEELMRKIFSGLFMHRYRDVDPDIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYDVDANIPSLGLFTERFYSRMIQLADDIDISVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPMIRRAIGELVYDHLIAQNIKTSSGARDVDNESSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWKCIISMLLDETPLYELTDMDGTNLVRMLRASARKAVGEKIVPATDNKKLSYTKSQKEILENSKSEITNALMKRYPQLLRKYIPDRAKISPLIDMMMLLKLEMYSLKRQEQNFKAAIDCIVDAFFKHGDKDTLRSCIKAIAFCCNKCQADLLDYAENKLKNLEDELVLKVKTAIKEVEAGDDEYSLLVNLKRLHELQLSKPVKNDGLFEDMYRILSHLREMDNEVKSFLLINMYLEVAWSLHAIGVENPSETSIDGLLSKQSSLFEQLYYFLVVLPTCQKEGRSTTVLSCRVCIITAEMWCLFKKSKYSATRLESLGYLPQLDVVQKFWKLCEQQLNISDETEDEDANEEYIEDTNRDAVMIAAAKLLLADTVSKDYLGPEIVSHYVSHGASTTEIIKHLITVLKKNENVDIAALFFEALRRAYERYMAHVHDGENQNLIGKCYSECQDLANRLAGNYVGAARNKNKSEILKIIQCGIPYAFADPPKQLSFLEAALMPFVSKLASSDIPDILSDVQKRAQEINMNEDPSAWRPYFTFVEHLREKHAKNEVLHEEKEEKPVKRRGRPRKVRDEPPARNLFDGNNSSDEESASGSDQRGHGGDDDDDDDDAFDQPLINTFRPSASKLRSLKVSQQGSQKKAPMASGKNEVSASNLSYGYDRNGKYPG >cds.KYUSt_chr6.18058 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113706966:113707851:-1 gene:KYUSg_chr6.18058 transcript:KYUSt_chr6.18058 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLQIRVVVVSVAVAALVFVALILGFVAESGNSKSFVGYDGTYCVYRRTAALGCGIAAALFLIAGQAVLGVASGCFGRCGEARARPISARRRRIVASMYGIYWYVRAYTLATVAAAATFMLLYGAWRNRATTSRPTRARYHSGHGCRTILEHGFFASAAASSALAFVFGITAYVYQEMSDPEQPQGGVAMGQQPHFEPQQQAYQQAAYPAMGYPSAAAPPPPYGGYGAKAPAGPAVY >cds.KYUSt_chr1.39929 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244469681:244472523:1 gene:KYUSg_chr1.39929 transcript:KYUSt_chr1.39929 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLTVNKLDFEEVTVNLGKGQHRTPEFKKINPMGQVPAIVDGRFRLFESHAILRYLATVFPGVPDHWEDGGYLQLVGDERRDSILGPREKIGAWMENVKKATGPHFEEAHELIFKMKARLSANRVKTVSKL >cds.KYUSt_chr4.5905 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34609594:34611506:-1 gene:KYUSg_chr4.5905 transcript:KYUSt_chr4.5905 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKGGSAVIAVVLALAGMAATSSAAVYKVGGASGWTILGNVNYTDWAGKNTFHVGDIIGKFCISDYFKLLSGEFKYPQGIHNVLEVKKADYNSCTNSTPIATHTSGDDKVTIKSPGHRFFICGVPGHCAAGQKLNVRVLKTQRPRSSDAPSPAPAASASAPAPAAASPRADGQTASTPPAASGTTSDGGATTSAPAPNANGAGIVSAGYPAFAALAVAVAVSMTMLQY >cds.KYUSt_chr2.35323 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218018469:218019548:1 gene:KYUSg_chr2.35323 transcript:KYUSt_chr2.35323 gene_biotype:protein_coding transcript_biotype:protein_coding MPADMDLCDALSLELEMAAKDERFGATDCLCGLDPKPRSGTRELHTKRARSYCKCGSLLREVDKKKKKKKKKKNRRKKKNRKKKKKKNRKKKKKKKKKKKKKKKKKNRKKKKKKKKTKKTKKTKKKKKTKKT >cds.KYUSt_chr3.559 pep primary_assembly:MPB_Lper_Kyuss_1697:3:2993165:2998455:1 gene:KYUSg_chr3.559 transcript:KYUSt_chr3.559 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIESSPAKKKDATGDIAVDLYPFIREYKGGRIERLLHSSFVPVSEDAAANRGVATKDVIIDKSTGVSARLFLASRAAAAAAAAGEMLPVIVYIHGGSFCTESAFCRTYNNYAKSLAARTGALVVSVEYRLAPEHPMPAAYDDAWAALQWVASLSDPWLADYADPERMFLAGDSAGGNIAYHTAVRASRDGSLMDIEGLIIVHPYFWGSERLASETVWDGVAMFSPDLVDRLWPFVTAGHAGNDDPRINPPEEEIASLTCRRMLVAVAEKDILRDRGRQLAARMRDCAWAGDHVALVESEGEDHGFHLYNPLRATSKMLMESIVQFVNQRTALALPLPAALLPELHELHACEGKTSDSEMNSAKPIPGVPARPYIDMFGYGMAMKYWSGQKSMPRGSLHIGQAKASRTSMIAYKEVYNELEKLFDGCEVNHISRLSNDEADVLANIGSQCLAIPPGVFWEEIAERSTKSKKPKKKEKDEKPSGAMKATVEEEEEQDLVMMWGLDMVGKLHKASPGGYEYMLVAVDKFTKWIEAKPINSPDGASAIKFVKSIVFRFGVPHSIVTDNGSNFTSKEFKAYCTEVGIKLHFASVAHPQINGQVEKANGIICNGIKKRLLAPLEKARHTWPQELPSVLWSIRTTPNTATQETPFFLIHGAEAVLPIEIEHDAPRVTEYDEEASRQALEDDVDALDEARDEVLSRVTKYQQDLKNYHSRRLRPRSFQVGDLILRLTQKSHEKLESPWLGPYIITEVIEGGAYRIKDKKTGVAEPNPWNVAQLRRFYA >cds.KYUSt_chr2.12145 pep primary_assembly:MPB_Lper_Kyuss_1697:2:77021180:77022387:1 gene:KYUSg_chr2.12145 transcript:KYUSt_chr2.12145 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPGTWPLITAILLIRGLFAAFPAASSGRVDAGDMLMMDRFLGWQATHNRTYLSAEERLHRFEVYRDNVEYIDATNRRGDLSYELGENQFADLTQDEFLARYTSYDAEHRSSHDDASDIKTTAGGGGDDDDLRSSGSGDDSLEVSSPPPPPSVDWRAKGAVVPPKSQSSSCSSCWAFVTVATIETLHWIKTGKLVSLSEQQLVDCDQYDGGCNLGSYHRGYKWVVENGGLTTEAEYPYRAVRGPCNRAKSARIAAKITGQGAIGPRNELVMQKAVAGQPVGVAIEVGSGMQFYKSGVYSGPCGTRLAHAVTVVGYGTDPSTGAKYWIVKNSWGQTWGEGGYIRMRRDVGGPGICGITLDVAYPY >cds.KYUSt_chr1.33463 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203423444:203423828:-1 gene:KYUSg_chr1.33463 transcript:KYUSt_chr1.33463 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSLNFAKHDVDVVAGTRVKTHFGRPDWRKVYKRIALRHVGQRVGVFYCGEPVLTEKLRNLAKDFSTDTNTKFEFHSENF >cds.KYUSt_chr5.7558 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47664857:47666738:-1 gene:KYUSg_chr5.7558 transcript:KYUSt_chr5.7558 gene_biotype:protein_coding transcript_biotype:protein_coding MPDASTDARRHQLGDKLEFQEGRNHGHIYTPSWVRSSSGPSTAAETTARCRGFHLTTHLGKAMARKKIREYDSKRLLREHLKRLAAIDLNILSA >cds.KYUSt_chr3.47351 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297020455:297024591:1 gene:KYUSg_chr3.47351 transcript:KYUSt_chr3.47351 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAGAGVTPSSLLRLPSSPAPSSPASSSARPQRRAPQPLLSLAATPSRSSFAAAAAASDNGSSSSYGNQTPLMAPFSSLMLDEGSRSKKPYKWQRVLLKVSGEALAGDHTENIDPKVTMAIAREVASVTKLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMMATVMNAIFLQATMESIGIPTRVQTAFRISEVAEPYIRRRAIRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPKREPNARILETVSYNEVISRDLSVMDMTAVTLCQENNIPVVVFNLQNTGNIAKAIVGEKVGTFIGCTRNQDKSAEGALDQEKSLICSQDGTAELIARKGWTGWLGGLLRSQAFSCLDWLTVAKNMIVLHLPPLSSKSRDILHHTAISC >cds.KYUSt_chr1.18927 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111120095:111120899:-1 gene:KYUSg_chr1.18927 transcript:KYUSt_chr1.18927 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKWEQRLDYVLVPLGLAVMVGYHLWLLLRIRRRPATTVIGINAINRRIWVRHIMEDPSGKHAVLAVQTMRNSIMASSVLATVAITLSSLVAALMASGAAHGLLSGTAAALNNSDNNNKNIVVGAAGVAALSAKFLAILVCFLVAFLLSVQSIRYFWSLGVRAFYFSCPMFLWLFGPIPMFVACVAMVCSLYFLDVYKEWDRTEKGEPIIADHGHEDEEEATTTIASSV >cds.KYUSt_chr3.1071 pep primary_assembly:MPB_Lper_Kyuss_1697:3:5761642:5765155:1 gene:KYUSg_chr3.1071 transcript:KYUSt_chr3.1071 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIKTETDDGNLEVLQQRQMQNEAMASQSSCQTKPSLAGDSLKEFASLTNSTLVEEWDKNVTHVIVGRGADSKSLNESYEVLMATLSGKWVVTAGWIVDCLVEPIPRPKTCLAEPIPGPEISYEVTSIDGPKKGRAEATEMAPKLFSGLHFLLSAYMKPEDTGNIKDLIVAAEGQVLDEMSPCSLQENLDRNPAKVYFVYASTYCLDLGKEMEEAVKYQDSGAQVISEWSLYGAIAAYDVRILERIST >cds.KYUSt_chr6.28169 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178529671:178531713:1 gene:KYUSg_chr6.28169 transcript:KYUSt_chr6.28169 gene_biotype:protein_coding transcript_biotype:protein_coding MARLCFPLLVVQLLLLIAGVAGGPWQEFLRPQSDVDNDVIGTRWAVLIAGSKGFENYRHQADVCHAYQILKKGGLKDENIVVFMYDDIANNSANPRPGVIINHPNGSDVYAGVPKDYTGEDVNVKNFLAVLLGDKSALTGGTGKVINSSQDDHIFVYYTDHGGPGVLGMPTLSESLYANDLVETLVKKHLAGTYKSLVFYLEACESGSIFEGLLPANISVYATTASNANESSWATYCPGGREGEAPPPEFMTCLGDLYSVSWMEDSDVHNLRNESFELQYDNVKNRTLSWSHVMQYGDLGLNAQTLDIFMGSSDPANHSATVGSTDNSLGQLSSAVHQRDADLLYFWHKLARHFAWDGKYRRSSDGMSEEARKELLEVMAHRSRVDKGIERIGGILFGTEAGPQFLTAVRPTGWPLVDDWDCLKSMVRAFEEQCGLLGQYGMKHTRAFANMCNAGVGPIAMPNLASKACAASTPSAF >cds.KYUSt_chr6.17554 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110509104:110510625:1 gene:KYUSg_chr6.17554 transcript:KYUSt_chr6.17554 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGSTFMLVVGGMTPGMAKSSSLRARRTSRIRKICYDAKDMKKRRKQKRNRVPGRLPAPAARSAALGPASAWPARAGFPLAGWAISPAGPPGSPWPTGPPARWAMSGWTAPRPASGSSPAPSIGRWRRPEFVRTGPFLIIVTAAAFNAAGIAALSGHGFTAAAFNAAGIAALFGQVLTTAAFNAASFVSAPALAAAGFFTIIAIAAGNAAATFPVDAAAGFASAASLRLQQRPRA >cds.KYUSt_chr3.30268 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189551554:189562815:-1 gene:KYUSg_chr3.30268 transcript:KYUSt_chr3.30268 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSKQQQPLLLSPALAAGSGMGRRRYLTFLAIAMALVASYHLLQAPTPPSRYHALFLTLGSNSSASAHLRALTLRPHVAGTEANAAAAEYVRAALASLSFPTRVTPYSVLLSYPAHRSLSLSAGPGLPNNVFPLVQETYPGDPYAAAAAEVIPTYFAYSGSGSVAAEVVYANYGEKSDYAYLASQGVDVAGKVVLARYGDIHCEDMVRNARAAGAAAALIYTDAKDFGGPGAKGKRKWFPDTRWLPPSGVQVGTLYYGNGDPTTPMWPSCAAGEDCERLSMDELDGSEAMPGIPALPISGRDGETIQKAMGGGVAPPEWQGAEGAPVYRIGPGPAVLNLTYIGNETLATIENVFAVIEGREEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAERLSKLEKKGWRPRRTIIVCSWDAEEFALIGSTEWAEENMDILGSRAIAYLNVDISVFGPGGLMPRATPQLDELIKEASKMVPDPDDPSHTLYDALIRNDPPITRVAGAGTDFAAFVQYIGIPSLDMSYGLFSEYPVYHSLYDDYVWMERFGDPLFHRHVALASVWGLIALRLADDEIIPFNYVSYASELVESSKVVEDGCPGCPVSFTPLHKSIKQLEKAATKILTEKKVLQSQKWDLNTRERTLKAREINDRLMMAERAFTNREGLAGRPWYKHMIYASSDQDDWGTKAFPGIVSAIANANKLNTSESWRLLQHEIYRGARAVSKASAVLDDCLNRLEEDYDNNEGAEIIGYEEPDLSGANMASSRPGRNVKVMDSTLTEYEGGLADILRAMLLEFGCDPQIQVKKYMYYDGTVLAKCRVGLRLPESLGMSIVMPAGEARTINTAYHIAIMRAITDIREHKTKELMGSEFTHIPHMQEEEDPMLNHYKYAKRKPIAAAKYMDNSRNFISLLFQLNHHLTGAIDTMLEEFTEPKEEIRGKEPMENVVHTPAYSAGDYISLDPLERETTPVTPGNYLGSSYGGYEGGEESGNNQRSETPIENSTGWRWGSDTGTHSTSVYYDGEMNEDATTQNQSYPSDTKAVRAIFSELDEINAKPPIFPGRLQNTEEESERGQRATTP >cds.KYUSt_scaffold_2697.748 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4740812:4741174:-1 gene:KYUSg_scaffold_2697.748 transcript:KYUSt_scaffold_2697.748 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIKVEMTSDKCRSKAMALVAATVGVDSVALAGDGKDMVVVVGDGIDSVKLTTALRKKVGHAQLVQVGDAKKWKTHAAATAVVEYPWNYYQYPPHAVPVYEHHAGAYGYHYPSNTCSIM >cds.KYUSt_chr1.10704 pep primary_assembly:MPB_Lper_Kyuss_1697:1:65519836:65521233:-1 gene:KYUSg_chr1.10704 transcript:KYUSt_chr1.10704 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSLLLLLLLLVAPPFSSSAVVRPGRFNTKAVRSIINRSAKEFLKDRAQQGVTDFSGRRTNDDQLGSSAADTYGAFIFELSVGTSPQQIPVIMDITSALIWVQCGSCAATSCLRYTPLDTTTFMPDLSRYVGCDTQYCQMVVPGVQPKCNSQYPACRYELDFYAADATKPTWYTSGYLANETFNFDTASVAGIVFGCSDDITLPDLAGSSGFLGFNRGALSLVTQLQITSFSYFIAPDDTGYNFVSWNWDEDVAPAAVGSRPKSQSTPLLAPTANQNPYYYVKLTGLLVDGQPLTSIPAGTFDAQADGSGGVYMSTTLPVTYLKEAAYNALRRELVSKIQSQGVIPVDTPGDLYHLCFLTQYFANAKVPTLALVFDGADATMELTVNNYFFDLPDGQTCMSILPSTDVSVLGSLLQAGKTMTYDIHGGQLMFQTAAGVPARPPVPLIATLLIAWGLGLQTLL >cds.KYUSt_chr2.40039 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248675486:248683819:-1 gene:KYUSg_chr2.40039 transcript:KYUSt_chr2.40039 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGKTKFCLGLQLEHSPAGILVHQSTYAQKTRTRSGLAKEEGEEILGPEFPYLSAIGALMYLANCTRPDIAFAVNLLARNQDLSVVGYADAGYLSDPHTSKSQTGYVFLCGGTAISWKSSKQTLVSTSTNHSEIMALYEASPPLRLRSACPPSSEFGRLASDKAAAAVDEMRLLGGAAAEDEGMALRKAEEAAARRCEAARWLRQMAPAAVEALPERPSEEEFGTALRNGLILCKVLNRVNPGTVPKVVENPVDAVQSADGAAQSAIQYFENMRNFLVAVCEMNLLTFEASDIEKGGSSMKVVDCILCLKAYHEWKLSGGIGIWRYGAIVKIAPSSKRLPSHSSRFGGSADQNQQMLEFVHLLSEVSLEETRVEETQHSLFQQFVLRVVRAFLLEWSEADELPLDDMVLETILEQASKEFTILLVSHRNQVRSLLRKMMKDESRVCSKLELIEAISKSLNETNLSLLSPQQLPQRLDGGGGLEYQQEELEKLKLSFNDMKSQVESTRAKWAEDLTRLESYFEAQNHNAYHKLLEENRKLYNQVQDLKGSIRVYCRVKPFPKTQSDQRSTVDHIGENGEIMIMNPEKQGKDGRKIFSFNNIFGPNVSQSDVYADTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPDITAEGTLGVNYRSLNDLFDISQNRSDTTAYDVRVQMIEIYNEQIRNNSHVNGLNIPDANLVPVKCAQDVLDLMKLGHRNRAVGSTALNERSSRSHSVLTVHVQGKEIISGSTLKGCLHLVDLAGSERVDKSEAAGERLTEAKHINKSLSALGDVIAALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHVNPEADSFGETISTLKFAERVATIELGAARVNKEGAQVKDLKEEIGKLKSALDEKEREAAQLRDVTNSITSDKRNARARSPLTTGLRYKPEARQDSSIDTCTSEIRSSSSGKQRRFRSPLSVRELDDKSPVISRELYFSSRKFKTPSPPVRSSFSSERSGTTKTVEKTESIIECTPTSKVVEPPAKAPHGSSSARRTPVSILTEQSLRKFRDSEENRRAKPPVRESMTKSRLDSARKEEEQQTSGAKVRSEARVRKNWSDIENDFGNSEPTFHSSRKAKKLLPQPATRQSQTIDLRASVREVEAVTEGKHRRNRPPHAERTNVPLPETRRSVSLPRGKFAPV >cds.KYUSt_chr6.15847 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99730855:99731172:1 gene:KYUSg_chr6.15847 transcript:KYUSt_chr6.15847 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSYYWHALPLNLCRPGERAITKLFRFTLPLKSNTLLLRDPPMYLEVSWNITIRILFPTLAGHLLPLSLMPNEYAATSTSCMSSIPIAHRRHLSDGAPPCMAPK >cds.KYUSt_contig_1181.199 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:1216469:1217143:-1 gene:KYUSg_contig_1181.199 transcript:KYUSt_contig_1181.199 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMLNSNPEAQLELMNAMLQLEQLTALPDHAMAVPATPPSSCMQAPRHQHFSSAPPMPGTNGGGGTYHDPYPQLPASAACYDGSHCRSEEYTATSEPPARGGGDSNGPAAMREMIFRIAALQPVNIDPDTVRPPKRRNVRISTDPQSVAARMRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAANGQRGASPSYQGLNGHGPW >cds.KYUSt_chr4.11872 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72151274:72152677:-1 gene:KYUSg_chr4.11872 transcript:KYUSt_chr4.11872 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQQHLPPTILSVPPPILNVLETMLVAPSPSAGAALPESSLPLTFFDVLWLTTPPVERVFFYSLAADADVATILSNLKASLSQALHAYYPLAGRLRLKPGTADRYELHYQPGDGVTFTVAEYSNDGADFDELATDEPKKVAKIAPLAPTLPEGGALLALQATVLRGGLAIGVAVHHAACDGASSTRFLHAWSAASTGAADGPAPPVTDRALVNDPGSRLYDFFVKAMPTADQMERVKLSDDQLFATFTLSKEYIQRVKDVVAAEAGRRGAAPPRCSSLVATFGFICSCYQRAKDDAGSNIGDRPTYLCFPINHRSRMKPPVPDEYLGNCVGVAMHAAPEDQLAAAGAGGLFIACTAVAAAIEEGMGGVRSPETIESWIERFKEAVVAGTGMLTVAGSPRFRVYEVDLGFGRPAKVDIVSVARTGAMAVADDRSSCGGMEVGISLRAAGMQRFQKCFEDAIAFLHH >cds.KYUSt_chr2.8021 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50398822:50406421:-1 gene:KYUSg_chr2.8021 transcript:KYUSt_chr2.8021 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAPTQAVLRAHIGVGNAMGLQFSQDAWSHARLLTSPILSSAQAFPMAASIHLTEIQFDEHLVAVLLQACLGGSANMYRVQRINSYCFKFFVPSIATMNLILAQRSIKGRRFTIVFDHISHSPEPQTPNHLPPTISGVRVADNDAYTPTAQAAPTPSTHVSSALQEPIAAHPATDGGRGNKLRPAKDLPNAQRLQLSTSKKLPTKLLTQAKPTAGDGLLGQHPATSPPFSLHKKYGTLLKEKTVKTDADDSQPPPAMDTNQDGNTTPPQTPSNTNDHPSSSSTSHPAPANEIWFGTHRVILDARNDFPPAPPAAPRHPPFGVVCRGTQTMDDIDEDSVHADDRIVPDTPAPANSPTHDVANSLATLQAQADLAHSAADTAANAVVADAEDLVNSIRDMALAGPNFEFGSTSSQPPQGTAPPSPLIHENEARKRRVRAKRAADSAMKLRRSHRLAAKEEDNYIDMVSKAIKAKAATFDMPPATAALSRALDEAGLAARPGIPCDDVAALVAVALACGAEEADVQAISDDNNADVAKPQDSDPANDDGDAEGLRQGDPLSPYLFITVADLLQKLISNACRDGLLAHPLSDDIPCPVLQYADDTLIIIRATPQAAENLKTILDNFANATGLSINFHKTTLVPMNVDNNTADAAAHTLGTSISSFPQTYLGLPLSSTKLPASAFQPIIDSCDRYLAGWCASLLTKGGRLVLLSAVLDSLPTYFMSSFLIPISDVRLSEAQDTRSLLDGSPFSTKGAYLHLQSQLEDPDVTPIWKSRVPRKFSVEAMGLTRTQTVTAAAGAFDAQAAVERLEQEMAEIRTDITGLKQSTSVIADIQHNMVTVTAMEPMMQKYLAPPASPKGTVQIMHAAGNSAILNPVSSMHIPAITSAPVPWSNAPHTLGMPLTSVPLCTSGSTTAVMTDSMRCTTTHTMSQGHTQYVLQDNGTHVPVHFQIPPITTTGIHRGADGRRPSAYHRLALGVWYADGDPRHSSSGKVSLGTAQLAVGVEETVGVEGEGRGRGPPVGVLALGVAS >cds.KYUSt_chr6.31582 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199628709:199632212:1 gene:KYUSg_chr6.31582 transcript:KYUSt_chr6.31582 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRGSALLLGVLLAGSLFALCAAKEEAKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDGERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNREGKPYIQVKIKDGETKVFSPEEISAMILGKMKETAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGSEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDHRIMDYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFDGKEPSKGVNPDEAVAFGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLNGIPAAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVKEAEEFAEEDKKVKERIDARNQLETYVYNMKNTVGDKDKLADKLESEEKEKIEEALKEALEWLDENQTAEKEDYDEKLKEVEAVCNPIVTAVYQRSGGAPGGEGADGGMEDEDHDEL >cds.KYUSt_chr5.8392 pep primary_assembly:MPB_Lper_Kyuss_1697:5:53100362:53107017:-1 gene:KYUSg_chr5.8392 transcript:KYUSt_chr5.8392 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSPRSSADGDGDGGVGGSGGGKRRSSSPPLPFGSPVKRSKAEVSAEGDAESEKRKEDAPVAAAAAAKEKAPWAKLISQSQQISHVHIFDAEFRVGRSEDCHIHLKDHNASRILCKLWRLEEPSGCHLEVTGKKGLVELNDAIQFTLDNFPYYLSPNTKSVLLSVAFPHMEKKLIPMENKLVKSLSGIVSLNQRILLSGPSGSEIYQETLIKALAKNFGARLLIVDSLLLPVNELRPEVEALGVDELIEVIKEEIISSTLIVLLKDVEKTLTGRTESHASLGKELPPGVLIIGSHTEKDNPNDKGSLGSILQDAAYPMKHLNNIFPNKISIELPKDEAHLSELKKLLRHDAGNLRAKANTLNLHKFLTDRGLGCDSIEELPIKDRLLTHQEVDKAVGSGLSYCLQQNKPDILKDAKLVLSAERYGLSRLNNLNSRSSKKALKPVKGILFFGPPGTGKTMLAKAVATESGAKFINIAMSSITSKWHGDGEKYVKAVFSLASKASPSIVFLDEVDSMLGRRANPGEHEAMRKMKNEFMVHWDGLRTKDKERVLVLAATNRPFDLDEAVIRRFPRRFMVNLPDASSREKILKLILSKEELATDVDLQSLANMTGGFSGSDLKSLCLAAAQRPIREIIHREEEEKHLARVEGRPEPPSRGSEDIRPLSMDDLILARGQGCASLSSDSKTMSALIRWNELYGDGGSRKKKKKKNLSYFV >cds.KYUSt_chr2.44152 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274583056:274584096:1 gene:KYUSg_chr2.44152 transcript:KYUSt_chr2.44152 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAAAAAALLLLLATALSAAAAAELPEFREAPAFRNGAGCAGTPTIHIAMTLDGTYLRGSLAGVLSVLRHAACPESIAFHFVASSASPPRRLARLRAALAAAFPTLPAAIHRFDARLVRGRISSSVRRALDQPLNYARIYLADILPRSVPRVLYLDSDLLVVDDVALLWATDLGPRFALAAPEYCNANFTSYFTDAFWRHPAYPAVFANRSRAPCYFNTGVMVIDLDRWRAGDYTAKLEYWMDVQKQEARIYELGSLPPFLLVFAGDVRAVQHRWNQHGLGGDNVAGQCRELHPGPVSLLHWSGKGKPWLRLDAGRPCPLDALWAPYDLLRRQGARDDLLAAVA >cds.KYUSt_chr4.8867 pep primary_assembly:MPB_Lper_Kyuss_1697:4:53227888:53229417:1 gene:KYUSg_chr4.8867 transcript:KYUSt_chr4.8867 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASQLSFSSPIAARGRRQTRASASATDRHEVVSPKLRLPLRKVPGDHGPPLLGALKDRLEYFYGPGGRDGFFASRVRAHRSTVVRLNMPPGPFVAKDPRVVALLDAASFPVLFDTSLVDKTDLFTGTFMPSTDLTGGYRVLSYVDPAEPSHAPLKSLLFHLLTHRRHHVIPAFRDVYGDLFGLMENDLARAGKSDFGAHNDDAAFGFLCQALLGRDPLDSPLRDQGPKLITKWVLFQISPLLSLGLPTLLEDGLLHTFRLPPALVSKDYGRLADFFRDAGKDVIDEGERRGIAREEALHNIIFAMCFNSFGGMKILFPSMVKWLGRAGGRTHGRLATEVRAAVRANGGEITMQALAEMPLVKSAVYEALRIEPPVAMQYGRAKRDMVVESHDYGYEVREGELLFGYQPMATKDPRVFSRPDDYVPDRFLGEDGARLLRHVVWSNGPETASPSLQDKQCAGKDFVVLIARLLVAEIFLRYDSFDVQVGSGPLGSSVTVTSLKKATF >cds.KYUSt_chr7.38170 pep primary_assembly:MPB_Lper_Kyuss_1697:7:237996979:237997653:-1 gene:KYUSg_chr7.38170 transcript:KYUSt_chr7.38170 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPHPAPPLPAARRRASAVRRFPPGCGRQHSNAAPPPRRPVPAPYSANGDPPPKPSAKTSSTPAAARFPGTEAHDRGGAHEPIAGTKAPADAEVVVRRLSAVRRYPPGCGRGLAVPKPEDSAATRDGEAGGAIELLAAVCDLETKAIAGDQELVPSASGTDNRDANSDGWGKSGGDGGGGAPEGCGSPGPPTPPCTMAGITLVPLVPWAQHGQRSLQRRRTF >cds.KYUSt_chr4.47367 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293118499:293120082:-1 gene:KYUSg_chr4.47367 transcript:KYUSt_chr4.47367 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQLNVLKALDQAKTQWYHFTAVVIAGMGFFTDAYDLFCISLVTRLLGRIYYTEAGSNEPGHLPANVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHEAKGVIGTLCFFRFWLGFGVGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGFGILFGTIVTIVVSSAFRNAFPAPPFYVDAASSIGPEADYVWRIIVMFGTIPAALTYYWRMKMPETARYTALITRNTKQATADMSRVLNKDITEEEEKVQLQVASGDTWGLFSRQFMSRHGLHLLATTSTWFLLDVAFYSQNLFQKDIFTKVGWIPPARTMSALEELYRIARAQALIALCGTVPGYWFTVAFIDIIGRFWIQLMGFAMMTIFMLAIAVPYDYLVKPGHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGVSAAAGKAGAIIGAFGFLYASQDQKKPDKGYSAGIGMRNALFLLAGTNFLGLLFSLLVPESKGRSLEEISKENYDDDATITPAGA >cds.KYUSt_chr2.24501 pep primary_assembly:MPB_Lper_Kyuss_1697:2:149865698:149866940:1 gene:KYUSg_chr2.24501 transcript:KYUSt_chr2.24501 gene_biotype:protein_coding transcript_biotype:protein_coding MCILSRAAGLANTSSLLSPTRPSPTNRCTSPAALLVPRRPDEVRRLVRLTFVRPPLIMPSCFLWDYIKIENGSLHVLVLGLGTELAIQMPEIETSILFAKQTLQQIELSGPKFSDNIYVVVWHPDFTHSFSGCFEGKLKQHKVVEEQLHRVATTWTAQLLKEPLQRAVLDIALGA >cds.KYUSt_chr1.11948 pep primary_assembly:MPB_Lper_Kyuss_1697:1:73786337:73790165:-1 gene:KYUSg_chr1.11948 transcript:KYUSt_chr1.11948 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSTMLGALGGDADPIPPGDTNPHPMPMGFDDIWMEGHGHGHAANAQQQNQHQENQNQQQHMYQGMQQGMEDNVADAHQDGNHQEDVAADIPDLNQLPREVVELSDDDVIEINKPTDFPKSKRKKKTITEISLVRRSERIAKLNGGYRDVASAIAAGFIPLSEQDEAEDEEQEDETMIPLDLAPKFEAVAMDHDAPPPPYLPLKTVQAIGTGLCQMPPQVVSDGALMYDSSNDRKGIHDPYAVEQIPNRYETTLSRLSRSYLPLSCDLDGGAARRHGGGATSRADQGPVVLPPSALSNRLPALPALRLVTVAVAAMIVGAMVDVRPQDRRLLGAPDPWLPAIDERPAGCWSSWSWKLASVPAVMSMLQVAAAGTGSGRLAAAEFVLLIFE >cds.KYUSt_chr3.10505 pep primary_assembly:MPB_Lper_Kyuss_1697:3:62425187:62426128:1 gene:KYUSg_chr3.10505 transcript:KYUSt_chr3.10505 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYRPASTSRCHRPVVVVLLPVAVAMMPVEVVMLPVAGEMMLVEVVMLPVAVEMLPVEVVMMPVVAEILPVVGTMLLVEVAMLPVAGEMLQVEVAMLPVAGEMLQAEVAMLLVAGEMLPVEVLVVAVEMLPVEVAMLPVAGEMLQVEVAMLPVAGEMLRAEVAMMSVAVEMLQVVVEMLQVEVAMLPVAVEMLQVEVAMVPEAVEMLSMEVAMLPVAVEMQPVEVAMLPVAVEMVPVEVVMLPVAGEMLHVEVAMLPVAVEMLSVEVAMLPVDVVMLPVAEEMLHVAVVILSVALEMLPVEVAMLPVAGEML >cds.KYUSt_scaffold_3611.155 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:888410:895104:-1 gene:KYUSg_scaffold_3611.155 transcript:KYUSt_scaffold_3611.155 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGSISALIAKQWKVESHPGPFPCLFDLTISVVFSLSLYWLGLRNWSNGKQREKVNNTVLFYQATHDKLIAEFPKYKLITPFVLSKRFSFPFRNVDVASLPIGSEDLEVVLGYIKKWIPVLGCVENSCGNGNGVHPCDVVLLAGAKLMFICTVLGYIKKWIPVLGCVEISCGSGNGNGFHPCDVVLLAGYAEEKEDRAIANCGCSLQKSGIFGCLNLANILGEAMSFQGLDFGVRRHSRCLNLRES >cds.KYUSt_chr2.39572 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245514589:245517158:-1 gene:KYUSg_chr2.39572 transcript:KYUSt_chr2.39572 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGESSVRRPLFGGAISTAFPVRFQDVSNIREVPDHQEVLVDPARDESLIFELLDLKPEVDDAGSALWFLRDVADEQDAGDNLVIEHSGTVELAGLRFGEAPAVAGTAIARLAVSKGRQGREAQNIVRLYLANIRLKNAATDVVITAYEPLLIKQLQLGQQYPRNKQDACQCLRSSDLQ >cds.KYUSt_chr4.45193 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279916969:279917943:-1 gene:KYUSg_chr4.45193 transcript:KYUSt_chr4.45193 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPARAITATLLMFACCGLAVTADKAPIKWLKAHATFYGGADAAGTMGGACGYDNLYAEGYGTRTAALSSVLFKDGTSCGQCYKIACDRKRADPAFCKPGVTVTITATNLCPPNNALPNDNGGWCNLPREHFDMAQPAWQKIGVYEGGIIPVMYQRVPCVRKGGVRIRIVGHDYFNLVILMNVAAAGSIKSMDIKSSDSNDWLPMSRNWGAYWQCGGYLTGKMLSFRVTDTYGQTIVFNKIMPVGWKFGQTFASKLQFK >cds.KYUSt_chr1.35032 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213445419:213446548:-1 gene:KYUSg_chr1.35032 transcript:KYUSt_chr1.35032 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDITVVFAAADPDLITPPSPFGPVLWNLELGELRNLSLAQAGGQSGTRDGDGHRPRELRPARFLVDEALSVRGIVSASLHCYAREGRMPLLVVYNGNFFLYTTNVKSDALKADKRISFNRYMSFIL >cds.KYUSt_chr4.26422 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166017631:166020001:1 gene:KYUSg_chr4.26422 transcript:KYUSt_chr4.26422 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAAAAAQGEGDLLHQFERILHDDPLIDEVGFLHPTQFDSLLADGTNKSQHFWCSDHKLAVSTDVLPGLYRAARRAHSDATLNGPSSSPSAAALIMTHSKALLILCPDLLTAWNSRKKVLSANYDLKHLEDELQLCALILSYSPKNESTWCHSMNHKLLVYNRRWVITKLAQHIQDMSELIDSESLLVKQIAESLLLALLDSHGVENGKDSLNWQSNAHLMWKEELRWNEMLIRRYQGREQDAVVKGKVEERLQQSVGSLKEVLGRVSPDKSRLWTELLHC >cds.KYUSt_contig_1467.281 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:1722108:1724525:1 gene:KYUSg_contig_1467.281 transcript:KYUSt_contig_1467.281 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALRRSGGARGFRAADEVEVEVSGAGGFRASAGEVEVEVASARGCPWREAAGIEVKAEVSSREAEEEDSMKKEYTWFMELKIAATGLGWDDAKQTVDASKEWWDEHLQRCNSPEKDIKCNHLRYRKRGPKYLDDMDVLFQKAHVTGASASCPGDISSDECSDDDVAAVDTTDKSAEIKLASLKKAKANKKRKELANDNDEKANKKRKESSNANKEKDEKSPFFRTYAGTCEKIGFAAEKISSNFVASSAPPTNHVPSIADVMQMAKDCGVKEGTALMHTTTMMIVKPDFREIFSLLQTKEGRFDLLQREHEKEMKRV >cds.KYUSt_chr4.18563 pep primary_assembly:MPB_Lper_Kyuss_1697:4:116391212:116391472:-1 gene:KYUSg_chr4.18563 transcript:KYUSt_chr4.18563 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPRRLLPVPARPALRCLHPVPSCEDGTACGGRTSASTTRISNNRACGGLQEAHLDLGRDNADDLRRPDPTSSISYPHGTAGIGS >cds.KYUSt_contig_988.203 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1225967:1227942:-1 gene:KYUSg_contig_988.203 transcript:KYUSt_contig_988.203 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALLAEYERQQRLIASSDDPEDCPVLWAAFLASLNDKDAWRGGVLGVAQLKEQQYDGAMQFLVVHFMFKSLSGPSAAFGVGIYSIYLVAYYVEVVDKHNDDKQYVWESKADGPFAISEDTWNEPLGCGTDIYMSHQTNINEKTRGVLMNRLLPEMHCKLELLRETLFPMFHLEGYFDGGNGELEIGLTVRFVNPHDAFYLLGKVFWCGCEFIAFTTYNIFTNFHAIF >cds.KYUSt_chr5.41845 pep primary_assembly:MPB_Lper_Kyuss_1697:5:263887723:263888193:-1 gene:KYUSg_chr5.41845 transcript:KYUSt_chr5.41845 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSPMNMALAATTVLVLILGSASAGTVDMAATCKAAATNDAGVNLELCMSRLGNSTASDAWGLAQVACDACISSSIVYRGDLVDLTLQLEVGNKELKPLVEPLSECGSHSAHTRDSFEEAKKEVKQNSYAAAIKQLDDGISWTEKCSAGITAVQG >cds.KYUSt_chr2.33117 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204455414:204456738:1 gene:KYUSg_chr2.33117 transcript:KYUSt_chr2.33117 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHQQLLHLSPHQEHLMLSPGFFDVVVDPLHFHDHGDVFVEPLAAAAAGVVDDSAWMEDLMQLGDELFGGTGDNDVVVDDMVGDEQAWRQEGDGGSPDDQACSYDDVISPEGSGEQGAGCEPSRDDSDLSGTRKRRDRSKTIVSERKRRFRMKEKLYELRALVPNITKMDKASIIADAVEYVKNLQSHARKLKEDVAALEARPGLPGRRQQQQQQKDRPVAGRRQGQHGRSGDKDDEGSSSRGGGGGGARVTHVGAVQVGEGRFFVTVECEQRDGGVAAPLCAAVESMDCFRVESSNIGCESDRVVSTLTLKVSEARDDVVISERTVKLWVMAALLNEGFRPEATAEIC >cds.KYUSt_chr2.17583 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110799267:110800241:1 gene:KYUSg_chr2.17583 transcript:KYUSt_chr2.17583 gene_biotype:protein_coding transcript_biotype:protein_coding MLLREIPPPPLSLHSSTPAPQPRPPLPQYCLGGGGLGPCLRVDPRSTAPFSSLDKAAKPTTRFPERCLAARARHAMENMVFFFAELALMEYMVVPVVVYMLVK >cds.KYUSt_chr1.25422 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152476943:152479176:-1 gene:KYUSg_chr1.25422 transcript:KYUSt_chr1.25422 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRRSGCKKKIEHMRCKQKIRLQLWRSAATQNPLAVTKTLIGDDGDDAATMNNNGEAGNHDEVMIDEADVNDEEASASHGQAPVRDVVMEIELANELTGEWGMPWMTLTLMLAGNSKYLSSLESAPSAWCAKMYILKA >cds.KYUSt_chr3.11118 pep primary_assembly:MPB_Lper_Kyuss_1697:3:66169095:66182330:1 gene:KYUSg_chr3.11118 transcript:KYUSt_chr3.11118 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLHQANENRKRRMMNQHGSSNTQKYRNNSSGGYTPKYNKPPAQNYRPNYTNHHGGPPKPGGNNSNTNHTSNNNPNNNHNGNNNNNTGPRTGSNTIPVNPKDKSTINCYECGVVGHYSNECPKRLAKIAGNPAAPAQNQRRFAARKNPNNNGRYYNMTATEAQEAPQNMPKGDCVGWLFARVGVSTLLPPLANPIECPFLTRLRLRVGPTFGGSACRSLWVGFVSVGCGIGPWKRSPPTGVDWGFPQAWFLESSSPNPVASSSSNALASSSPNHLASIPTALRPRRRRRRRQTSSTQTAPILVLRAVVVFSVEPTGEQAEEEALHVPPVPSMGPSVLEMRFGSSGVLRASPKMLRQAHRSVYLCQQGTIMAMGWLTRPNIIVYGGTLKHGNFEGNSYDIVSASSNVLLMLTYLDDYAELRKFVSGSISDEERKNELHNSCPGGRSMWRYFIIANIGMSFTGSCAKAHI >cds.KYUSt_chr4.34383 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211070452:211074668:-1 gene:KYUSg_chr4.34383 transcript:KYUSt_chr4.34383 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGKVVCMAKLAEQAERYDDMVEFMKKLARMDVDMSAEERHLFSVGFKNTIGARRASWRILSSLEQKVAMGEQASRMINIYRKKVEDELRVICNEILSIIAIHCLPLANTGENIVFFYKMKGDYYRYLAEFSSETEKKSAADQSLMAYQFKELLDIDDVGTMSAFCNPSMPADTGHRACQVAKQAFDEATNEINSAGVEGYNDSILMMELLKDNLELWTSEPNEGETAKDNDIDMEG >cds.KYUSt_chr2.41387 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257360897:257361577:1 gene:KYUSg_chr2.41387 transcript:KYUSt_chr2.41387 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSTMLLLQDTLKAASPSEAKVMKKATAVSVAATTVIYLLCGCVGYAAFGDGVKDNLLTGFGFYEPFWLLDVANACVAVHLVGTYQVITQPIFAYVELRAAAAWPDSAFVATKEVTLWPTRLRVAVCPLRLTWRTAYVCVTTAVSMSMPFFGSVVGLIGAISFWPLTVYFPIEMYMAQHRLPRGSTKWLFLQALSAVCLIVSVAAAAGSVADVVAEFRHHNPFSR >cds.KYUSt_chr4.49734 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308030216:308033157:1 gene:KYUSg_chr4.49734 transcript:KYUSt_chr4.49734 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVYAQGLFGYGAGRDSPYALAPWCDAVGAAAAGPGDLFADWDVVPNAEDQWEPVSSWDLSEASTESKAAAAGPEPAAAAGRRKRRRTKIVKNKEEVESQRRTHIAVERNRRRQMNDYLAALRSLMPSSYAQRGDQASIVGGAINYVKELEQLLQSLEVQKSVKNRSGSTDDGRSPFASFFTFPQYSTSCNNIDAPTASDGSSASNVVKSETAVADIEVTMVEGHASLKVLAPRRPKQLQKLIAGMQQLRIPPLHLNVTSVDAMVLYSFSLKVEDGSKLSSVEDIAAAVHEILGRIQREGEEEAMGGRLSSSS >cds.KYUSt_chr4.40273 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248619944:248622502:1 gene:KYUSg_chr4.40273 transcript:KYUSt_chr4.40273 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPVLDMLLWLLLASALLGAASSAFVPADNYLVLCGTSASVTVAGRTFAGDGQLPARSLTAPQSVEANYTSPTTVAGADDPALYRSARIFTAPASYTFALKQPGRHFVRLHFFPFRYQSYDVATDAAFRVTVQGVVLLDGYTPKNGTAVVREFSLNVTGGTLVIAFTPTGKLAFVNAIEVVSLPDDLIVDTAKIVGRAVQYAGLSTQALETVHRINMGVPKITPASDTLWRTWLPDQSFQLDSTLTEHRNATPSKVQYKPALATKDTAPPEVYATATELSTSGEPSTINAQFNMTWRFNAPTGSDYLLRLHFCDIVSKTGTGVAFNVFVGTWQVLENYEISVDTINTLAVPVYKDFVLGAKDATGLITVSIGPSTLGNAFPDGFLNGLEIMRMVGSTGAVAGAADASTRSSKVKIGIIAASAVGGVTLAMALGFIALRMLRRRKQGKKKPSDTWSPFSASALGSHSRSRSFSSKSNGGNMVILGQNGASAAYRIPFAVLQEATGGFDEGMVIGEGGFGKVYKGNMPDNTMVAIKRGNRRNQQGIHEFHTEIEMLSRLRHRHLVSLIGYCDDRGEMILVYEYMAMGTLRSHLYGADQHDLPPLSWKQRLEACIGAARGLHYLHTGSAKAIIHRDVKSANILLDDTLMAKVADFGLSKNGPELDKTHVSTKVKGSFGYLDPEYFRRQMLTDKSDVYSFGVVLLEVLCARTVIDPTLPREMVSLAEWATQQLKNGNLDQIVDPRIAAMVRPESLKKFADTAEKCLAEYGVERPAMGDVLWSLEFALQLQVGSSPDGSDTETPLVPRSTTPQVQRSQSIASVATDDAMTTNLGDLEGMSFSGVFSKMIKSDEVR >cds.KYUSt_chr2.9987 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63214085:63214942:1 gene:KYUSg_chr2.9987 transcript:KYUSt_chr2.9987 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGEGLAGKKRKSAEAEDMPPARREPRRGLGVAALESIRAQLETVENFYVFPSFSPPAPQPPPSSLSASVMVPSVRFNPYVGNGAAQRDYCYTQYYGPQHYGLPSRYLQQLQASNGHALPRHLQNHQAGQKNDIAMAAPLLDKKDHRRPPQAHGQAKRPPVAFVDLVDSDDDEHSAEEELDLELRL >cds.KYUSt_chr6.21667 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136716184:136716984:1 gene:KYUSg_chr6.21667 transcript:KYUSt_chr6.21667 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPGGGPRSSSACAVVGEEEEEAAGLVGREEAGPAGLEEADGGFCGVLRVAGGGSVMRGVLGQWMSRRAVARCAAPVDGLAAGQLRLHARIGSGSARARSGPLPGSSCKFMEVLVTWWWLEGDVWRRCCGLHPPEMNGTDGCCILRRRMAPLQVLHPSFQCGEEEGGMLAHRVEELCCADGCGGRASRWQGLREMNGTTGVLHPSTEDDSYGCAGSSCLRLSAFVLELVLVLVGVPSMWRSGGVHGALRRCSVGFCVCSPLRGGG >cds.KYUSt_chr4.39451 pep primary_assembly:MPB_Lper_Kyuss_1697:4:243440825:243443131:-1 gene:KYUSg_chr4.39451 transcript:KYUSt_chr4.39451 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPPPPPPPTDEPRSTGGGGRPPIPPYVKAAAGSLGGVMEACCLQPIDVVKTRLQLDRAGAYRGIAHCGATVARAEGVPALWKGLTPFATHLTLKYALRLGSNAMLQSAFKDPTTGKVSAQGRLASGFGAGVLEALVIVTPFEVVKIRLQQQKGLSTDLLKYKGPIHCAKTIVREEGIFGLWSGASPTVMRNGTNQAAMFTAKNMFDIVLWKKHEGDGKVLLPWQSMVSGFLAGTAGPVCTGPFDVVKTRLMAQGRTGDIKYKGMFHAIRTIHSEEGLRALWRGLLPRLMRIPPGQAIMWTVADQVMGFYERTYVQSASV >cds.KYUSt_chr4.9899 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59823293:59825797:-1 gene:KYUSg_chr4.9899 transcript:KYUSt_chr4.9899 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACRLRAGVLKPLEDGLTVILIGSLECFAQVKKLLVPLLHRHRMALLTAPAVFAALLLFWAVLGSTDADVAPLYKDPAQPVEARVTDLLGRMTLAEKIGQMTQIERLVATPEVLRDNFIGSLLSGGGSVPHKGATPQEWQAMVDGFQKACMETRLSIPMIYGIDAVHGHNNVYGATIFPHNVGLGATRDPYLVRRIGNATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQSMTELIPGLQGEVPEGFTSGMPYVAGKNKVAACAKHFVGDGGTVNGINENNTIINEQGLMNIHMPAYLNAMKMGVSTVMISYSSWNGKKMHENGDLINGYLKGKLNFKGFVISDWEGIDRITNPAGSDYSYSVKASINAGLDMIMVPNNYQSFISLLTGHVNSGAIPMSRIDDAVTRILRVKFTMGLFENPYSDPSMAGYLGNKEHRDLAREAVRKSLVLLKNDGKASDKPLLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGDTGRTTVGTTILDAVKAAVDPSTAVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDNLNLTIPEPGLSTVQAVCSGAPCVTVLISGRPVVVQPLLAASDALVAAWLPGSEGQGITDALFGDYGFGGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGYGLTTNATKAY >cds.KYUSt_chr1.17654 pep primary_assembly:MPB_Lper_Kyuss_1697:1:102786487:102787257:1 gene:KYUSg_chr1.17654 transcript:KYUSt_chr1.17654 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPDPAAGPLPAPPQPHETTLTLTLALSPPALIPPKPRPRRPRAEGGASPRSRFSLTGDTPPCSECGKCFPSAKALFGHMRCHPERPWRGITPPSSPHSRHGAAAGQFTVQERDVANSLLMLSGARTGAGKGKKIVNVSAITPSATTESCGTSASAAPTGQVNFDDHKCSVCDRGFASGQALGGHKRCHWDKACAGVVVIATTPAGSGASPVSSSEAAVLDLNLPPSPRLGTLPVLKSDQGSSLNDMLDLKLGY >cds.KYUSt_chr6.21578 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136112354:136113619:1 gene:KYUSg_chr6.21578 transcript:KYUSt_chr6.21578 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKIGKGHPGVRVCTTRQNQARSTASIKASKVNGNQPRNSHITLPEVSMAATNTTDRRQALQAFDDTKAGVKGLVDAGVIIVPPIFHHPPDSLPTSSSSVTIPVIDLFASRSDVLAQVKAAAETVGFFQVVNHGVPAVLLAETLASVRRFHESPPESKAPYYTRDLAKKLRFNSNFDLFQSPAANWRDTLFCQALPDPPGEDELPPAVRGVLPAYSGAARRLAVAVLELLSEALGLAPGRLAEMGCADGVVVVGNYYPPCPEPRRTLGTSRHSDPAFLTVLLQDYDMPGLQVLVGGEEEEEDGRRRAWADVPPVAGALVINVGDLLQLVSNGRLRSVEHRVVANGSRDGRARVSVAAFCNADLSARTAAREYGPIEELVSSSSAPALYRSVTVPEFLSHYDDKGLDGRPALDYFRLPRP >cds.KYUSt_chr4.27419 pep primary_assembly:MPB_Lper_Kyuss_1697:4:172200601:172202698:1 gene:KYUSg_chr4.27419 transcript:KYUSt_chr4.27419 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMWSPIIGLLLLLLPLAPSSASYIKYPLEGNVYPTGHFLVTMNIGEPLQPYSLDIDTGSNVTWLECQHPHHRCHGSPGVKRFYKPGPANLRVDCKDPFCTSLHADLPGRRPRCPRKHPHECHYEIGYVDMRTQGVLVNDTISLGGGRNELIVFGCGYDQRLKNLPGPKPTPAPAAHGLLGLGMGKVGFVAQLKAHGVITKDVIGHCLSSSSSRKGGGYLFIGDYDKLPSTGMTWAPLKKYGLHYSPGQATLHLDGQQIYANGMNVVFDSGATYTYVPTQIYKPLVAKLKAIIGNTLDPVRHHALPNCWMRRGTVEPFDDVSRPSGILTLRFDNNIVMTLLPKNYLIRVENNIACLGILNGSDVGQNERIIIGDATMRDMLVVYDNEQGRIGWASGDCKYSATTSRL >cds.KYUSt_chr5.13351 pep primary_assembly:MPB_Lper_Kyuss_1697:5:87006381:87007430:-1 gene:KYUSg_chr5.13351 transcript:KYUSt_chr5.13351 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGALTAVFSRSKSNKSGVDSPPWPWPSCGDPQTDSFRAGGEPCASAASGSRCGPVASRHRKLVGAAGGGEMYKTVNSVYFDPDGGESCSGLKAGEDDGDEWVVVEDDGLPTATAACAEEDLPEAVIRSLSRTTLSTHRFFFDQDRPATNSILPAASSPAGSAPPEEEEEEDKPAALSEKEKQPGPGDGGKSLVAEESVAVAVESADPHQDFLASMEEMVAAHGLRGWDALEELLVWYLRVNAKRHHPLIVSAFVDLLLRLTTGTPSATSTTVTTSSSSTSTGSSASIGSSTSTSTSTTASTGSNVITTAAAAAGQCRGGKEASASCSSSSSSCVPSGFGEAGRGEG >cds.KYUSt_chr2.47832 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299085678:299086013:-1 gene:KYUSg_chr2.47832 transcript:KYUSt_chr2.47832 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKKLPLKPTTSSKAGASGFPNEEAAAEKAAVTDVHGEMMQQKRESILEKCAAEFAQFVDDLFGNGPNAVPVRWVDQSPFMRRYGQDYEEEEEEELGSVVDGTPPSVSN >cds.KYUSt_chr5.35832 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226297781:226300649:1 gene:KYUSg_chr5.35832 transcript:KYUSt_chr5.35832 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAPPPVLQLQVRDDRTPDRHRGCSPRFPPSLATTAGQGEENEGDQQAAEQLLLAATAGQELLHRTTSTDLAESKLRRVHVQLVESSCWSTFIVCTGYGNIEYLKGYAHVNRCPFCTRRLGGTDFNCLLTHVENIGNTNPKVGASVNPHSFRAKHMALGMHHRSIQRVEISAGRMPLLKPKAPKGSNKWRQMG >cds.KYUSt_chr5.15894 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102504455:102504892:1 gene:KYUSg_chr5.15894 transcript:KYUSt_chr5.15894 gene_biotype:protein_coding transcript_biotype:protein_coding MATICRTIDHTERPLPLMAGQKGAIPSHDGPLSGKRVTTMAATAKPCQCTPESTPTIWDEPDLGATAATNPSPSSSTSRPTMLLPSTRSFAASARRRAPLTGAGYGNSSYQKGYTKTKASYIYYSILGHLACISINPDKIACVHD >cds.KYUSt_chr4.44744 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276939344:276940976:1 gene:KYUSg_chr4.44744 transcript:KYUSt_chr4.44744 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDRRRQWTLALVTAASLLERADEELLPAVYKEVGEALGASPAALGSLTMYRALVQAVCYPLAACAAARYDRAGVIAVGAFLWAVATMLVGVSATFLQMAIARGFNGVGLALVVPAMYSLVADYSDDSTRGSAFGWLVMASRVGAMVGGSLGVLLAPTTFLGVAGWRLPFHIVAVFSVALAVTTWFLASDPRPAKTSKKTTAKVAKELILEAKDVMRVPTFQILVAQGVAGSVPWSALTFAAMWLELVGFTHWQTTAITNLNVLAGALGALFSGYIGDPMARRFPNAGRIALAQVSTASTIPIAAVLLLALPNDPSAGAAYAAVFFVLGFVMPWCPAATNNPILAEIVPDNARTTVYALDRFFETILSSFAPTLVGILAEQVFGYKPASSGAAVVENERENAAALARAVFAEVAVPMAVCCITYSLLYCTYPADRQRAQMAADLVAEYEQDSENPVAATPVTVDSINQALLAKFCQKGPPAPV >cds.KYUSt_chr2.9543 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60171129:60172067:1 gene:KYUSg_chr2.9543 transcript:KYUSt_chr2.9543 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALVFGVPWPGGGYYKKSCPRVEAIVRDEVKKFVYKNAGIGAGLIRMFFHDCFVEGCDASVLLDPTPANAQPEKLSPPNFPSLRGFEAIDAAKDAVEKACPGVVSCADIVAFASRDAAYFLSRMTVKINMPAGHLDGRISNFTQALFNLPPPFFNIIQLIASFAAKGLNTEDMVVLSGAHTIGVSHCSSFVSDRLAVASDINAALAGILRRQCPTNPTPANDPTVHQDVVTPNALDNQYYKNVLAHKVLFTSDAALLTTPATTQMVLDNANIRGPWETKFNKAMVKMGAIGVKTGNQGEIRRNCRAVNHY >cds.KYUSt_contig_528.136 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:911618:914065:1 gene:KYUSg_contig_528.136 transcript:KYUSt_contig_528.136 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPTSLEAEKVPATDSIDEEVGQREEATPVVLKKGPWTTAEDALLVNHVRQHGEGNWNAVQRLTGLLRCGKSCRLRWTNHLRPNLKKGSFSPDEELLISQLHAQLGNKWARMASHLPGRTDNEIKNYWNTRTKRRQRAGLPVYPPEVQLQLAITKRCRYDDFSPLPSPQQSASNVLSLDAAADAASAGYTSARPPPLDLAGQLAMANRSVQFRAQTPFSAPSSPWAKPFARNAQYFQFAHSSPVSPTTPTGPLHPVTPELSLGYGLHGGDRTRFTPLSPSPGARVELPSSQLRPVMPPSSAATAPVASGGLDEGASQDQQNAASLEAMLQELHDAIKIDPPAHANGAVDSRAEQNGVSGENKSEGELKDDIDTLFELMIPTAFPMPEPAAPAAAPNHSGSISQPSSDDQDLGMDHIAVIGGAGSSEQDWSLDGACQWNNMSSIC >cds.KYUSt_contig_988.206 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1237020:1237349:1 gene:KYUSg_contig_988.206 transcript:KYUSt_contig_988.206 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASSSTVINASEPAASPSSPPSADPVVDGFIKTLLCVGGGCDDKLEDMARACSFHRFDAWRTPPATDVEKCAKAAAALRKCMTAKAATYDSCGRDDAEKQQKQGNLS >cds.KYUSt_chr4.33976 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208546489:208550222:1 gene:KYUSg_chr4.33976 transcript:KYUSt_chr4.33976 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSCRPCNLLCPVAPSATTAPLPHQRPPHLLGTPPQRLLSPPSRLTQTRLLCCKTAMSTSSSPAAAGGNPQPFKLILGSSSVARKNILNEMGFEFQVMTADIDERSIRREDPEELVMVLAEAKADAIMSRMRISDYQKEGDQPTLLITSDIVVVHEGIIREKPSTKEEARQFLKGYSGGHVSTVGGVVVTNLTTGKKLGSLDKAEVYFHDIPEEVIEKLIDEGVVFRVAGGLLLEHPLTLPFVEAVVGSSDSVMGLSKDVANKLIHEALTV >cds.KYUSt_chr5.42548 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268087147:268096351:-1 gene:KYUSg_chr5.42548 transcript:KYUSt_chr5.42548 gene_biotype:protein_coding transcript_biotype:protein_coding MDATANIQKVASMRQQGSRSIWRRGDDMFSRSRREDEDEEELLRWAALEKLPTYDRIRRAILPFLDGGGGDDEPLAAARKGVVDVHGLCPRERRALIDRLVRVADEDNERFLLKLKDRLERVGIETPTIEVRFEHVVAEAEVRVGDSALPTVLNSITNALEEAGNVLGVLPSRKRTMPILHDVSGIIRPRRMTLLLGPPGSGKTTLLLALAGRLDKDLMVSGNVTYNGHGLEEFVPERTAAYISQDDLHIGGMTVRETLAFSARCQGVGTRYDMLTELARREKAANIKPDADIDAFMKASSMGGLEANVNTDYILKILGLEMCADTMVGDEMLRGISGGQRKRVTTGEMLVGPAKALFMDEISNGLDSSTTFQIVNSLRQSVHILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGPREDVLEFFESVGFKCPERKGVADFLQEVTSKKDQKQYWERRNEPYRFMPVSDIVRAFQSFHVGRAIRNELAVPFDKSTSHPAALTTARYGVSGRELLKVNIDREILLMKRNAFIYVFRIFQLILNSCIVMTLFFRTNMKHDSVADGGIYMGALFFGVLMIMFNGFSELALTLFKLPVFFKQRDLLFYPAWAYAIPSWILKIPITFVEVGGYVFITYYVMGFDPNVGRFFKNYLLMLAVSQMSESLFRFIAGAARNMTVANFFGPFVVLIFMVLGGFILVREQVKKWWIWGYWISPLMYAQNAISVNEFLGHSWDKILDSTTSNETLGVQILKSRGVFPEARWYWIGLGALLGFTLLFNALFTLALTYLKTYGNSRPSISEVELKEKHANIYGEVMNNDHLDSLSSHGPTPVVSEDTRPVGRGMVLPFIPLSLVFDNIRYSVDMPPEMKEQGVVEDRLELLKGVSGSFRPGVLTALMGISGAGKTTLMDVLAGRKTTGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPHVTVYESLLFSAWLRLPEDVDSNKRKMFIDEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGEEIYAGPLGHHSCELIKYYEGIQGVSKIKGGYNPATWMLEVTTVGQEQMLGVDFSNIYKNSELYQKNKALIKELSQPAPGSSDLYFPRQYSQSSIKQCVACLWKQNKSYWRNPPYNAIRFIFTTIIAVLFGTIFWDLGGKVRKAQDLFNAMGSMYAAVLFIGVMNCSSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYTLVQATVYGVIVYSMIGFEWTAPKFFWYLFFMYFTLLYFSFYGMMVVGLTPHHHISSIVSSMFYAIWNLFSGFIIPRPKVPIWWRWYCWLCPVAWTLYGLVVSQFGDVMTPMDDGVLVKDFIEDYFDFKHSWLGYVAAVVVAFGVLFAFLFGFAIAKLNFQKR >cds.KYUSt_chr7.24178 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150763908:150764357:-1 gene:KYUSg_chr7.24178 transcript:KYUSt_chr7.24178 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPQANEAEVEQELLSLGASISSSTTISTMCDGLRRLGYIYSGIEEIVGLPSNQVGKMFAEMECSVELLDLCSTMQEIFVEMKAIIQELQVALRKGDDAASQAKIQSYSRLTKKAKKHFKKTTNKATSEGDGHATDQG >cds.KYUSt_chr2.39658 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246003839:246010603:1 gene:KYUSg_chr2.39658 transcript:KYUSt_chr2.39658 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMEDEDEEDEVEDEDEEEDEVEDEVAAGNWTWEVKPARVPNSLLGALIKKFWPGRYTPLSTVPGGETRLATTWADYEDAPAVGFATAAEAVTTKFWCFYRVDPEHDARARLTLRGACERLTPQQWYNQKVTSASAFWANKGKRVRKEYFVGNQPKEEWAMTIEEYMSVCPEWAEQHREAWEELIRARWLREDEEFAAVSRRNMENRGTGGTHCAGNRDYTRYKGKKVAEAAPGVVLHDAQIYDMMRTKKKPNPALPQPQYYGNAKAAKEDYCDMVKSRHPEVDDPLSIPVDEESLVLSGHGRPHGRFPFLNKAVKPTPATSYTRLKHTLTADSPQPRPRPARLPAYDPEFEAAFEACNEAYKQAAAQWNRQNTAYMAYIGEMMISMSTGTPPPARVTVAGDMPIMPSRAAFAATYYGSTPEGIAVMGRSPCCEKEGLKKGPWTPEEDQKLLSYIEQQGHGCWRSLPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRSDAPGGADGGAEAGAQHAKAAAHLSHTAQWESARLEAEARLAREAKLRALAAASASNSSTSAQYLPHPAAHGLDSPTSTLSFADGGAALASVLEAHGAAVRAAMQQPMQAYEEACKDQSHHWSNDVDAADAGFAAAGFTGLLLDVSLNHNTTRQAGSIDAEAEDAKAHETEEEKNYWNSILNLVNSSTSPAAVPEHEEYSPAQEF >cds.KYUSt_chr3.27806 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173591676:173594983:-1 gene:KYUSg_chr3.27806 transcript:KYUSt_chr3.27806 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRGGSPTAERRRGIRRLLLPRGDASPSPPLLPPPPAPEHGLLRRKGFASAALLRGLGCASAAASQAYAPGPGSAAAAAVRSSADWHGRRRKGKDKRKERGGGGGGGGLVAGGIGADVWCAPGIPFAAEASSVDCVVARHQMLGRARGGEAERPPHRERPCLSRRASMQEQISSSFMESPPPPLPLPHLDGPFFGADLLPSARLRRMRGYRPAPGGLEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMSYEELLELGERIGHVNTGLREDEIIRNLRKVKHPAFDSSFRFPTEVEKKCSICQEEFEANDEMGRLHCGHSYHVYCIKQWLSQKNVCPVCKTAVSKT >cds.KYUSt_chr5.17087 pep primary_assembly:MPB_Lper_Kyuss_1697:5:110134539:110135394:-1 gene:KYUSg_chr5.17087 transcript:KYUSt_chr5.17087 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQPSSPAAPPPPSFINTSAAGAGNATGAAAGLNAMHPAVMQLHHHHHPYATSCFVAPHQGTYMQQDTTAAAGALPVSGLEFAGKTSQQQEWTTQQHMMMQNNNVNSVAAGGSSVAASGGMNNMIPPPWPCCRPLRTLELFPTRSTGGSLRDECSSSKSSSCSTSTN >cds.KYUSt_chr1.1119 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6112635:6116653:-1 gene:KYUSg_chr1.1119 transcript:KYUSt_chr1.1119 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESATARIFLAACFFFLSNTHHVTAATSPPPSFSFDFSNTSKDQLGDLRFEGDAALNGNLVDLTCNDDSLFCLGRMSYNHPVALYDNNTGEVASFATTFTFAINILPNTTQRGDGMTFFLSGYPSRLPPGSSGSVFGLRNWTNTSPSGEDRFVAIEFDPFNNGQWDSISNDHIGIDLNSLTSISSTRLPIYSLNGTMTATITFDNATRILEAILNFDYNSSLAPASVKTQLPAQLDALLPPVVSVGFSAGTGGYSELHQIHSWSFNSTMAATGRQGQNLVIGGLVILVLAVLLAIWSTFSWCRLKRIRNSFGKDSRLKRYEYSDLSMATDRFSEKKEIGKGGFGVVYSGSLKKKDVAVKKILKDSRGEFKDFLAELGSIDGTGHVNLVRLEGWCCSVNNYMFWCLGRQHVELFLVYELVPNGTLHQHLYEKTEVLSWEMRFKIVKGLCNALHYLHHQCSQYILHRDIKPGNILLDSEFNAKLGDFGLSRVAEHNNVTSVQTEAAAGTMRYMDPQSMTDGQANLRRSSDVYSFGIVLLEIAHGKYNPGLVRHLHKNRPYTFVEDVADEKLAGQFDRVQMERVIILGLRCCEEVASKRPSLDAAAMQFLESGGELHAATIHKDEPRPTTPRA >cds.KYUSt_chr3.12863 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77159764:77161456:1 gene:KYUSg_chr3.12863 transcript:KYUSt_chr3.12863 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAGSPQLSLSGFSSLFSISSATHPPPAVHPHHLDLPCLSLSIGTAAGAGAGEDQGVSSSGGDDQDTTASVRMMKNRESALRSRARKRAYVQELEKEVRRLVDDNLKLKRQCKQLKAEMAALVQQQPTHSFGRWVPALHDMDSDDEMLALLLEDEQAFDDDLREHLLIIASLQDMLDAEAEKRKRPHRGESGRGERSPSPGRGWRAYHAAQ >cds.KYUSt_chr4.13522 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83296987:83297433:-1 gene:KYUSg_chr4.13522 transcript:KYUSt_chr4.13522 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDKKITLKSSDGEQFEVDEAVAMESQTIRHMIEDDCADNGIPLPNVNAKILSKVVEYCSKHVQAADGAAAADGAPAPAPAEDLKNWDTEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTP >cds.KYUSt_chr5.9406 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59650430:59652567:-1 gene:KYUSg_chr5.9406 transcript:KYUSt_chr5.9406 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAIAAASAAVVALAVANRVLYKLALVPLKEYPFFLAQLTTFGYVFVYFSILYARYRAGVVSREMLALPKSRFAAIGLLEALGVAAGMSAGAMLPGPAIPILSQSFLVWQLIFSALLLGRTYSLRQIIGCFLVTSGVVFAVASGVDDGHLLSGVKFIWPLLMVLSSAFQAGASILKESVFVDGAKRLQGKRPDIFVVNSFGSGFQALFVFLLLPLLSNLRGIKLAELSGHLNGGAECFLNVGESPIDCGGAPFLPLLFILVNMAFNISLLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPQGAKLSTSFIIGVAVLLMGLILYNLPQSSKESKAD >cds.KYUSt_chr7.1822 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10427202:10429581:-1 gene:KYUSg_chr7.1822 transcript:KYUSt_chr7.1822 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGGEAEEEQVMSEVHLGCPPRFSGLYLSRFTFSSLPLEHCVGGSNGEQHSGGCEMAAATSSSCDLPDAVAVDDEGDLVLDRKRRRKRDRRRSDDHVLTLQHGITSSLQSVGLQVWKAAMLLTDFVLHKSFTSSEFDGVTAMEIGAGTGLVGLAQARVASKVFLTDRGTDILDNCLANVHLNSSTLKFDEAKVHIRELDWKMSWPPPVGTRNASDPSSIYLWAANEIEEAEKATLLLAADVIYSDGLTDLFFNTVRQLMSRGVKKVLYLTLEKRYNFSLDDLDVVANGYKHFRTFFTVQDGCGGVNNAASKPGLVGERIDLEKVPQYIREYDRGEDLEMWKLMYCCPD >cds.KYUSt_chr5.42237 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266162640:266162951:-1 gene:KYUSg_chr5.42237 transcript:KYUSt_chr5.42237 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPARRDDRGLDHRQGVAVAPRRRPGPAPPSCSEVPVLLDEDGAVGEDLEPLPMSLRRHYLRAPETCRRETGSYTKRESPLQRRRWPERQSRRRHVGSSRGW >cds.KYUSt_chr5.3629 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23517602:23522266:-1 gene:KYUSg_chr5.3629 transcript:KYUSt_chr5.3629 gene_biotype:protein_coding transcript_biotype:protein_coding MFHWLDSDDSFEWESDGEAEPSSAPASRNMDAAGLSTLDPNGRANGEAPPNSLVEGYVGMGFKREMVVKGIEEIGHNDSNALLELLLTYKTLGDEAAGNCSTSGLIPRSTEDDDDFDFENWDGDDDADGREPNSDGSGREEFLREMSEKDNKIKSLVDMGFPEDEANRAITICGVDTALSVLVDSIYAAQAAGNCSYMNPSEYEVTDRGFDSFGGRKKARLMEDGKKKMKRYGGGAQGSRAPLEGYHGERMPLPHPMVGFNLPTDRLRSVTRSLPKQAIGAPYFYYENMARAPKSVWMEISRSLYDIEPEFVDSKYFCAAARERGYIHNLPIEKRSPLRPLPPKTIFEAFPHYKKWWPAWDHREQLNCFETRVATPNQIKQIECALARTSNPPPVTVQRYVMDQCREWNLVWVGKNKVAPLEQDEMEYLHGFPKNHTRGVACKKRYMCLGNSFQVDTVGYHLSVLKDMFPNGINVLSLFTGIGGAEVALHRLGIHMKTVISIEISEDNRRILKGWWDQTQTGTLIEIADVKSLTNDRVESFIRRFGRFDLVIGGLEGEQPALFYHYSRILKAVKSAMARI >cds.KYUSt_chr7.18311 pep primary_assembly:MPB_Lper_Kyuss_1697:7:113455358:113457492:-1 gene:KYUSg_chr7.18311 transcript:KYUSt_chr7.18311 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRFRLCAKGGDGGNGCISQRRSRICPIQCNKNLQVVMEGQVKATRGGNGLSKKQIGTGGPDKVAQVPIGTVIHLVRGEPPSFTVNAPTRSLDPWDIPDAAEDSADSSNQNNNKDIIYGNEAERGINNQWEKQTYTSSCSKTGFSNAEDCNASNTQYQVEMDEKDQSDDDDGEFWEDEDETEEEDEDADADADEAREEDDIQYSVAEMTRAGQRLIVARGGEGGLGNASIGNDVHLSKEDRQQKIFRLSAGEPGTEAFLVLELKSIADVGLVGLPNAGKSTLLSALSKARPEIADYAFTTLRPNIGSLTYDDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLSYVLDLAATLNGRKGIPPWEQLHDLVVELEHYQEGLTKRPSLIVANKIDEDGADAMYEELKRRVQGVPIFPVCAILQDGVPDLRVGLRDLMDASALQGVDLSKIIVD >cds.KYUSt_chr6.6543 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39478921:39479582:-1 gene:KYUSg_chr6.6543 transcript:KYUSt_chr6.6543 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKLKAARLLEQMSAHLATDAGKEICDKVGFVYQLHISPKKMGVDEEIFVVDLKKGAVSTGPYAGKPDASFTFTDDDFLAISSGKLNPQMAFIRGKLKIKGSISAAQKFTPDIFPKPSKL >cds.KYUSt_chr6.34171 pep primary_assembly:MPB_Lper_Kyuss_1697:6:213984559:213985627:1 gene:KYUSg_chr6.34171 transcript:KYUSt_chr6.34171 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCPRCGASPLTWGVCENGKNAGKEFFKCCRNLSEFSPCRFFIWKDTYIEDLRSSRIMAAVPSGVFETQIEYAPAVALPSSDSLVEVLNHLREMNEGIADIRAGVAEARSAYKGFCSAKRTTRIIALTLVVVWIGCVLAKFIQM >cds.KYUSt_chr7.8513 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51618479:51620131:1 gene:KYUSg_chr7.8513 transcript:KYUSt_chr7.8513 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRPRVITGCVDCNGARGNQSVALDHIHDYYKRALRRLPPELIPSLLEAGFCFGFLDPVSNIVANTVSHELGKGREANTVSDELGKGRENRKRSRAGISKNGDKSESRRTAISKIITESSKSIRLLPRSSDSSDALLLNSSIAARSLRGLVTFLTSYFRYLNTWDALYYLSLSKADLLVTVHLIQEVRGTNTFTIQDPTAKIALGCAAISALHPAPAVATLVSRSFLLASLVDEAFSLLETQNYISQSIMERLSELLTHPRDMTNRGSRVQQAIARLRHMKMRTVTRLQQRKMQPPVGSGLENSLKMVLLDKIHMLYLEAISCIPKDELRSLHHHGLLKAGYCYGPCDPVTNIILNTIWYDTAFPPEQHFEVATICTKSLARIELLSLHGLVAYICVRFPGFSAYEAMRRLLICNASLHSVIEMAKREGHDEDILFSESVAYDTASRATHHPRPAAFVEFARTVMPHVGRTLGSTREVKRGLSSRDVFTISKTLPLELPSSKSSEKVQILSSPATKIISANLDESKACQDTIVKMVEAALRRYAQQQAS >cds.KYUSt_contig_3828.14 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000743.1:46266:47418:-1 gene:KYUSg_contig_3828.14 transcript:KYUSt_contig_3828.14 gene_biotype:protein_coding transcript_biotype:protein_coding METTVLSLAKSVLNGAVAKATSVITEEVALQLGVRRDVAFINDEFEMMQTFLLAADEERGEKKVVRTWVKQVRDLGYDVEDCLQDFSLHLEKPSWWRFPCTMRKRRSIANEIIDLRARVEDVSHRNLRYRLIEDPNSKPTTAADRYLSSTAAVFGIDGARRAAKLENDKVDLVDLITKDDKDLRVITVWGTGNDLGKMSTIRKAYEDPKVTKKFRCRAWVKLVNPFDPDEFLRSLVRQFYGNSDLIGTPKQGRTMGVHISKSMAAECDMENEFDRHVNENQYMIVIEDLSTISEWDWIKLYLPNTNKGSRILVSTQQIELASLCVGQPNQVSELKQFSSDQSLYIFFHKEVTACSPFKWS >cds.KYUSt_chr5.12264 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80005886:80009598:1 gene:KYUSg_chr5.12264 transcript:KYUSt_chr5.12264 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVLRPVSNNASAHHGQSPGVSSRIRHDDSQETGVPKSLNDKLASRVDLNDFSPQHGQSIESYTSSNVRDEENKETMKSLNEKLAAALLTISDKEDLVKQHAKVTEEAVAGWEQAEVEATAIKKLLEAASQRNVYLESQVNHLDKALKECVRQLRLAREEQEEKIRDALTKTSLELESENSKLRNHIAELKKQLEATKSEASLSVQPDLYEKLQTMEKENLDLKAKLLVQSKDLTILSLEKDLSNQAAETASKQHLESAKKIARVEAECRRLYHLTQKTALVNDCRPLPSNACVESLTDSHSDSAERMVAVDSELRNSDSWASALISELDQFRNGKASARNTTNNPVEIDLMDDFLEMERLAAVHESDQTSSTFDMETDSDKAVTRNNSFKIENEELRNHVADLHSKVEIVESEKTELEMALIDARNQLDISCDALVAARNRLVEMQMQLDSANDSKYIALGDVDRLEEEKKALESQLESKSVEAEELHAVVASLGENVEKKESETQLELASAEAAQLRVTLASLQKRIETEKALSVQYKAKLDAACNVKESLEIQLYSANAEVQKLCDVVLELEKGIEKEKVLHEELTTQSAGKIEAAVEAVKKPLEAQLCSANTEVEKLRGILEALETEIEKEKTQHEELTAQLEMKIEAQRTHSEVVKESFEAQLCSANSEVAKLCDIVKALESELEKVKALHEAEKSHSVESIKESLEAELQLVNSEVVKLRDMVTVLEHDVVKEKEFSEELQMQLEALEAIKRVLESEVESAQRDSQTLNEKVELFQVKLKQQITSAAELTAKEEAVQSERMSMEHQLEASKVNVVKLTNMVSLLQGEIVQERLLSEDYEQKCRKLEAQLSRDIRDAKLWRLVNSNGDLKTKQEKELANAAGKLVECQKTIASLGRQLKSLTELDNVVLEPELLLESRDGPLDFRTTDPDHLQKRNTGADFAVFDDELYDPNHLQKRNTGADFTVFDDELYDPNHLQKRNTGSDFAVFADELYDLDLPNGGVTCFSPLPSIRPASPPPSEMSVFAGGLSSLSSYRTKRRSKS >cds.KYUSt_contig_1781.74 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:430308:434641:-1 gene:KYUSg_contig_1781.74 transcript:KYUSt_contig_1781.74 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTPAMPAGDCEKETAHKRIFKEFMAGVARFEELVDGGRQFLARFQQELVNALVEELQCLVEDAYAATLTANLSATKVLDYTVADNSTNDASHFTEEKEEQPANQLHRDSSLVMVMILVHNMLKLDYTMQEKIVMSLSLKSSSAELQSYCLMWDLRPYVDGKVMDLAWKSCP >cds.KYUSt_chr1.22237 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131454147:131454587:-1 gene:KYUSg_chr1.22237 transcript:KYUSt_chr1.22237 gene_biotype:protein_coding transcript_biotype:protein_coding MATWSSRSWSGCTDAGEDLPVKKEEAHTTDAAGGGGINPLTTDSDGKRTQLLGIHRREQKLQELPKLEPRLVLHQASSALSLAGTAGRENAESSNMKREAHATLLRSLASSLSYCWVHHWGRTQYNFGNRTLMCCASAPKREKNSS >cds.KYUSt_chr7.40601 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252039844:252040783:-1 gene:KYUSg_chr7.40601 transcript:KYUSt_chr7.40601 gene_biotype:protein_coding transcript_biotype:protein_coding MERIPAIVVVVLIAAAAQLASAGFPNQYWPAPAPATPAPSGPSTGFPTSGWQDAHATFYGDDSGLGHDFGGACGYSGENIAGLYSTRTAALSTPLFQDGLGCGRCYEIRCVQSKWCVAGSPSVVVTGTNLCPPNWYQASDNGGWCNPPRQHFDMAPPSFYKLAARVAGIVPIQYRRVPCQRSGGVRFYVAGNDYWLLLYVMNLGGAGDVSTVSIRPADSSNWIDASQNWGITYQAFTRLEKSVGLVVRLTTGSSPQKTIVCGDAIPAYWASGLTYQGSSNFW >cds.KYUSt_chr4.53272 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329892418:329892831:-1 gene:KYUSg_chr4.53272 transcript:KYUSt_chr4.53272 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRKTHALSCSCCAEMERGQGASGSSRRVHGVQSVVCTFVSRVHQSHIKTAVMQEIDMDMRKRREELTGRLLAEDECAGKESIRNEQERHANLLSTMAVMRSDSRQQFRQFWGQQKNVGYILILIQTEDKLTRKR >cds.KYUSt_chr7.10970 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67465871:67467859:-1 gene:KYUSg_chr7.10970 transcript:KYUSt_chr7.10970 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSAAVHCARSTLSPPSSHPRRAPAPASLVVAPRRLRRGAAVVAAALGDMRPAIDENPEGVLSGEWPDNFSLVSYEDLRKYLESQIVSTDKMSPTAKLSEVMSRPVEVAMADQKLAEIDALFATQSGLPVLDGEGRCIGVVSKKDKAKASNGLDSTVAEVMSSPAITLTLDKTVLEAAALMLKHKVHRIPVVNEQLQVIGIVTRTDVFQALEEANKA >cds.KYUSt_chr7.36696 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229337913:229339450:-1 gene:KYUSg_chr7.36696 transcript:KYUSt_chr7.36696 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRRRMASMCALPPPELPRFNKTREHFPSLKDYNDYLEEVEDMTFNLIEGFDVEAIEAKISRYQQENAEQIYLSRAKRAEDLAAALKASRTNPVKAGSSDTAAGSSQGISGGAGVQGQYAPAAVPGGLNQPRPTGNAPQPIGGALDPLQGYDEETMRLRAERGARAGGWTAELGKRRALEEAFNSIFI >cds.KYUSt_scaffold_869.2121 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:13098530:13100883:-1 gene:KYUSg_scaffold_869.2121 transcript:KYUSt_scaffold_869.2121 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVALVASLLFSVSSAFPWGGQLDPHFYDHSCPQAQQIVASIVGKAHYREPRMAASLLRLHFHDCFVKGCDASILLDSSASVTSEKRSIPNKDSARGFEVVDEIKAALEAACPRTVSCADVLALAARDSTVVTGGPGWIVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKLQGLDIVDLVALLGSHTIGNARCTSFRQRLYNQTGNGLPDFTLDPAAAAILRPGCPRSGGDQNLFFLDHVTPFKFDNQYYKNLLLRQGLLSSDEVLLTGSPATAGLVKLYASNQAIFFQHFARSMVKMGNVSPLTGGKGEIRSNCRRVNRN >cds.KYUSt_chr4.37631 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231996577:231999336:-1 gene:KYUSg_chr4.37631 transcript:KYUSt_chr4.37631 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEPEVIRDKAAMRAWSRRRRAEGKTVVLVPTMGFLHEGHLSLVSTAANASAGAPVAVVVSIYVNPSQFAPTEDLATYPSDLAGDLRKLASTGAVHAVFNPPDLYVRGTDGSGGAAVSGSCLQEAAGGHETWIRVERLEVGLCGSSRPVFFRGVATVVSKLFNVVEPDVAVFGKKDYQQWRLICRMVRDLDFAIEIIGSEIVREADGLAMSSRNVHLSREEREKALSISKSLVNARTSALKNSNSASQHMKNQIAQTLSEAGGRVDYVEIVEQESLVPVDTIDRPVVICVAAWFGKVRLIDNIEIHPGS >cds.KYUSt_chr2.3368 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20126515:20129561:-1 gene:KYUSg_chr2.3368 transcript:KYUSt_chr2.3368 gene_biotype:protein_coding transcript_biotype:protein_coding MYRYFGAPAVAFWKGGQPEHHFLAGKASEAQAAPAATDAFTVPDWISWFAASDPQLDAQVAAMEAPNGMAPAAAATAMHHAEFNAHFSHPLPRNALRKFVKKIPKVVQPVTCQVCNMQFGSVNNIRSHITGNKHKKNLEKLQDSITPKVAEIPDGAVAVHRTKRKRLEKPVPCELCKLQLTSINEFRSHAAGKKHKMNLEMLIDSMTPKLAEPPNGDVGDSTEAAAAVIDGMIPAAQPMENKSPAATPEDLEAGAPEGELKVCTVSNVVKKIPKVVQPVTCQLCNMQLGSVNNIRSHITGNKHKKNLEKLQDSITPKVAEIPDGAVAVHRTKRKRLEKPVPCELCKLQLTSINEFRSHAAGKKHKMNLEMLQDSTTPKLAEPPNGDVGDSTEAAAAVIDGMIPAAQPMENKSPAATPEDLEAGAPEGELKVCTVSNVVKKIPKVVQPVTCEVCKMQLASVNDIRSHETGKKHKKNLEKLQDSTTPKLAEPPNGAVGASTGPSAAVADGMIPAVQPVENKSPAATPEDLEAEAAEGELKICTVSNVVKKIPKVVQPVTCEVCKRKFTSVNAIRDHERAKHKKNLEKLPDSITPILAESPNGTVGASTEPAAAAAVTDGVIPAVRPRKRTGPAATREDLEAKKRRVIEQGVA >cds.KYUSt_chr4.28692 pep primary_assembly:MPB_Lper_Kyuss_1697:4:180276444:180276689:-1 gene:KYUSg_chr4.28692 transcript:KYUSt_chr4.28692 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQLRFLFGGRQQGTLLGGAPLLPGPTVGLTTDDSVDTPGESFAPLVPMLAMPLGTVFPVEGFIGELGLLLSRVLAFSG >cds.KYUSt_chr5.5884 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36609429:36613475:1 gene:KYUSg_chr5.5884 transcript:KYUSt_chr5.5884 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGPAATLLGKVFTMLSAAPVAAYVDSLELGHNSEQIKAKLAHTRGLLHNAQVSDAGHNPGLQDLLPVLSRNADQAEDLLDELHYFQIHDKLHGTSIATTQPNLLHHGRNVLRHTATSWAAFFSCANDAHTLHFQPVIMSRKIKSVLQDMQTQCDSISDLLSSIPSSSMAVALLHRPQIGSTIMQDTLYGRRDTFEETVNRIVSCKQTVSVLPIVGPGGIGKTTFTQHLYNDARAENHFEVRVWVCVSTDFDVLKLTREILGCILATQEEGSSGAANETLNLDQLQLSIACRLKSRRFLIVLDDIWKCDGENQWKTLLAPFTKGETKGSMVLVTTRFPKVACMVKTVDPLELRGLDANDFFTFFEACIFGDFKPQDYDDELAGIAAKIANKLKGSPLAAKTVGRLLQRDLSPEHWNGVLTKHEWLKQKSDHDIMPSLKISYDYLPFDMKKCFPYFGLFPEDYRFHNSEMNLFFVVIGIADSAHQVDRNYLEELADNGFLMKQVDYNGRYYVMHDLMHELSKSVSAQECLSISDLDFRADPIPQSVRHVSITIEDRYDESFEKEMCILMGWIDIANLRTLMIFGEYEKRMAKILKECFKEINSLRVLFMTVNSSESFPHRFSKLIHLQYLKIRSPHTDKVSLPSTLSTFYHLKFLDLDDWHGNSDLPEHFSHLENLHDFCAGRELHSNIRNVGKIKHLQELKEFRVKKEIMGFELRELGALTELGGKLIICGLQHVSTKEDAVAAKLKLKKSLNELKLLWDRDRPTIGVDILDAFQPHSNLRVLTIANPGCTIGPSWLCLDICLTSLETLTLEGVSWNTLPPFCKIPNLKVLTLKRISGMHQFRCGGTPGKCFMRLRTVVFLEMPDLAEWAAEPNCHCFPSLEIIECVGCPNLHVMPFSEVFWTNLCKLYVSWCPKMSLPSMPHTSTLAELVVKGDNYQKLKIVAKRLVVRGYGGDLDFHNLGKVEDMTIKDVSHISVTDIKKLEVLKKLDIGRCDGLFPGDLDGSIVLHSVRTLSLDVSHLTSKSSAKVLNCFPALSVLKIEGSYRDDEECVMQLPSSSSMQELSFFYCKSLVLVPVENGGALQQDNSSLRSLEIRNCGKLFSRWPMGEAGGGIQHASLGGPFPASLRELYVRDEPSMKSMVMLSNLTSLTSLSLVDCNNLTVDGFNPLITVNLKELKVNRFLEVPKTGITVGAVDLLSEVARNKLMPAGYISRLEELTVDNISGLLAAPICNLLAPTLHTLKFIHDKQAESFAEKQEKALQLLTSLQILEFGWCEGLQSLPQGLHHISSLKELLVLDCPKIQLMPEKGFPISLRKLRIHPRSTEINEQIENIKRTNPDLTVPGY >cds.KYUSt_chr2.30112 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185437197:185439207:1 gene:KYUSg_chr2.30112 transcript:KYUSt_chr2.30112 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCVRAGSVKRVILTSSITAVSVRPLQGEEHVLDEESWSDVEFIRAYAKQTRAWAYPVSKVLLEKEASKFALDNGISLVTVCPVTTVGKAPATKAHASIPMIVSLLSGDEAMIQTLQRSEKANGSTPMVHIDDLCRAEIFVAEEESASGRYICCSLNTTVLQLAGFLAANYPQYNVKIDSVGEIGGDQKPPRVCVSSAKLIREGFEYKYNTLEEIYGDVVDYARAMGILKA >cds.KYUSt_chr4.28566 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179480000:179482690:-1 gene:KYUSg_chr4.28566 transcript:KYUSt_chr4.28566 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAPRCALLLLLLSAAALLPAALAQGGGSNSTAPAPAGTPFVPRDDILLDCGATGTGNDTDGRRWDGDAVSKYAPGNLGSATAAAQDPSVPQTPYLTARLSSAPFTYSFPLAPGRKFLRLHFYPTNYSTPSLNAADARFSVTVPSAKLTLLANFSAYQTATALNYAYLVREFSVNVTGPTLDLAFTPDKSHPSAYAFVNGIEVVSSPDLFGVSTPELVTGDGNNQPFPLDATTSIQTMYRLNVGGQAISPSRDSGGYRSWDDDTPYIYGAGAGVSYQNDDNVTISYPDAVPLYVAPTDVYATARSMGPDPNVNLAYNLTWIMQVDAGFMYLVRLHFCEIQYPITKPNQRVFDIFINNQTAMQGADVIQWASPNGIGSPVYKDYVVNTFGSGAMDFWVALHPDQTNKPQFYNAILNGLELFKLQLANGSLVGLNPVPVVEPLMGAGPAKKKSLVGPVVGGVLAALAVLALGYCCFICKRRRKAAKAAGMSDGHSGWLPLSLYGNSHTSSSAKSHATGSYASSLPSNLCRHFSFAEIKAATKNFDESRILGVGGFGKVYNGEIDGGTTKVAIKRGNPLSEQGIHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQNAPLSWRQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPYLKGKIVPQCFKKFAETAEKCVADNGIERPSMGDVLWNLEFALQMQESAEESGSIGCGMSDEGTPLVMVGKKDPNDPSIDSSTTTTTTTSISMGDQSVASIDSDGLTPSAVFSQIMNPKGR >cds.KYUSt_contig_973.544 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:3981704:3982532:-1 gene:KYUSg_contig_973.544 transcript:KYUSt_contig_973.544 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGLGGSLTHPLSSVKEDNVVADVPINEAAPDGSIVEEEEAAPDGSIVAEEEAAPDGSIVEEEEAAPDATIFEEDKVEADGSIVAEEEVPCLVCHQLPGTIIDFSKWVNQVAMHKCAGCGEIRDYFQRSSDGSSMNSYYGDEEEDNGSDLDKYR >cds.KYUSt_chr5.28380 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179747129:179755607:1 gene:KYUSg_chr5.28380 transcript:KYUSt_chr5.28380 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLGAATKLLDNMMVNYSEWHTERAPQGKKVNSVEETSSLSDKIDAIMSMLVDGRTNVDPNNVPLASLVAQEERVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYSNNRNTPPGLEAMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLVADLATSIYWLDGYQLPCLPGELELPLTTSIKRHMAPPLLPKLMDMAEARHCRRSATFLATSFLSLALILCGVWSPPMAAAAQEMKSINAGPRVVPVRLHRPAFGPESLAFDHHGVGPYTGVSNGRILRWSGSGRRRPGWTEFAHNYKHKTVPECASKKKLAETESACGRPLGLQFYRKTGDMYIADAYLGLMRVGRRGGLAEVVATEAAGVPFNFLNGVDVDQETGDVYFTDSSTAYQRSDYLLVVVSGDATGRLMRYDPRTGNVTVLSSGLTFPNGVALSADRTHLVVAETSSCKLLRHWLRGPAAGKTEVLAELPGYPDNVRPDGAGRGGYWVGLNRDKDWADTGTTPNSISAVRVVVAGGGNGTVAAALRGFGDVTVSEVVQRNGSLWIGSVETPYVGLFNDYLLVVLSGDATGRLMRYDPRTGNVTMLGSGLAFPNGVAISADNTHLVVAETNTPNSISAISVVIPASSSSNGTVVAALRDNKVSEVVQRKGSLWIGSVETPYVGLFKFASLTHA >cds.KYUSt_chr2.10913 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69316664:69318361:1 gene:KYUSg_chr2.10913 transcript:KYUSt_chr2.10913 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQSYAPGDASSPEDDSLSSPDSSPLRLPTKSAAPAVDETALALSVAASTSRPLDPSVHLVPFNPTADQLWAPVLGPQHPHAPISSASGHRNHKLGHVEDAALLPFLFDEQYNTFHRFGYASDPSGLQIIGDAQAANPDTVYNLPPSEHKRRRIQSKDDNQEPVPPEADNPAADEWVVHNKKSPWAGVREGPPVELTDEQKQYAEAHAAKKAEKEARGEGKEKTEVVAKTTFHGKEEKDYQGRSWITPPKDAKAANERCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLESKTCMRTYMGHNKAVRDISFCSDGSKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQNILLAGMSDKKIVQWDMRSGEITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEYGIPVVIKYISEPHMHSMPSIAVHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVSFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYW >cds.KYUSt_chr2.40856 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253776256:253780054:1 gene:KYUSg_chr2.40856 transcript:KYUSt_chr2.40856 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRYKAQATSTVTHTRMHDFFVFFFFFATDDWASGSFFGFRHPMVDVALGVPWGEEGFESYRASPVDMRVVTSDGQSIAAHSYVLASSSPVLERTIDSARRGWGAECTIRVLGVSADAVHTFIHFLYCSKVTPEEEEVVGAHGAQLLALAHAYRVGWLKKAAEAAVSARLTPDSAVDMLKLARLCDAPRLYLQCARLAAKDFAAVEHSEGWRFARRHDAALKVELLKLVEDADQRKKRWTRERAAQEACRQLGEAMTTLEHIFPGSDGVACATDGCSCHGLQLLMRHFSTCTKKTAPGGCARCKRVLQLFRLHASVCDRTDQTCRVPLCRNFKGKTQDDKADKTWRLLVKKVTRAKVMSSLANRQVPEVVAASWARYNTRVAKLR >cds.KYUSt_chr7.18563 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115021365:115023736:-1 gene:KYUSg_chr7.18563 transcript:KYUSt_chr7.18563 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGERESTKHEVEAEYHCHDFDWEDLRADVEANPSFSYHLCPFPTTTTTDSPPAPPSEAWKSFHRRHASGKFFKERRYLLKEFPELLKSKECAKVLEVGCGNGSTVVSILRCSPSITVYACDCSEDTLQKANEIVFNTQGVDAKDRFHPFLLDVSKEAFPDWLFCNWCQSLGAKAVDLSLDSGHHNIRNEQPIVLKENQCCIHGIDVITMIFTLSAIPYDIMLATLRRCVSVLKPGGLVLFRDYGIYDMTMLRFLPHQRVGFREYIRADGTFSYYFSLDTVRELFDAAGLLEVTLKSQWVDMADYIEQ >cds.KYUSt_chr4.36282 pep primary_assembly:MPB_Lper_Kyuss_1697:4:222940716:222947705:1 gene:KYUSg_chr4.36282 transcript:KYUSt_chr4.36282 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRNSGGGADAAHEPVLTEDAVCHELVLTEAAVRLVLVLTEDRSTPAAFGTVQEIEPAVYWLRGLIFMYGSYYELNSLAPAEELIRPRDQEWMRFGIILVVYSDRLNMVSLSSKECSDYSSNVVDVVVDIGPLDGVVYV >cds.KYUSt_chr1.3107 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18792507:18794502:1 gene:KYUSg_chr1.3107 transcript:KYUSt_chr1.3107 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSRPPLVSGIALLGLLLRVFRKGPEATIEELHAKLGDVFTVSFLFGQKVTFLIGQEVLSHFFRAPASEISRGDLFEFTVPMFGREVGYGINRGTRDEQLRIFVEALKPSKLRSNVEPILREVKAYFSKWADDGIVDLKHEIEEVLMLISARCLLGKEVREKMFDEFSTLFREIENGVSFVSVFFPYIPIPAHRRRDSALLKITETLSEIVKSRRRSGGTEEDMMQRLIDSKYKDGRSMTEEEITGMIMTLLFAGKLTSAHTSTWTGACILSSTKCLTAVVAEQKAIIRKYNGQVDYNVLLEMDTLHNCIKEALRLHPSAPMLVRKAHKHFTVLTKQGNEYDIPKGHTLASPVVLNNSMPHIYKDPKVYDPDRFSPERAEDKAGGKFSYTSFGGGGNHGCFGEAYAYMQIKIIWSHLLRNFDLQLMSPFPKPDWSKFVLLPRGKVLVSYKRRQEVPST >cds.KYUSt_chr3.26084 pep primary_assembly:MPB_Lper_Kyuss_1697:3:162190463:162191953:1 gene:KYUSg_chr3.26084 transcript:KYUSt_chr3.26084 gene_biotype:protein_coding transcript_biotype:protein_coding MPRACCAQVYLPPPADTDHARRLAFAVIEPAADAPGFLLRRALEELGGNPPVGLAASDFGALMVVFASPADRERALARAPLMLEGHSISLERPEDGANRAAWLHPRFAQLSATGFTLEHWDAHGIHAAFRSIGSVCCIDPQFLDGLDFSAVRVVVKLAHADDVPPILMVQDAFNECSSKVRMRIVRSWACDGAGQLHGHFHVGGEPGRIVARRQGNLERAKALDGVDGLPADCGFPLPAPPSSPLTVLWDQILARRLASQFPECGMSTDQVSLSPSPFPSVSSPSPCPTPAPLLLQWYDTIRVPATPLVASSDAEDGCEEAVPPPVPLASPVQVASDSTVLLPCAGEAEHEDAVRKQPVRRKRAVDSAFKARRSSRLAAKEAPQFLTMLSKAKAAKASRYNLDGGTPRFLEAAADAGFGGASDPGPIPLPRLIALAAACGVDPNAVVDADPMPSSSA >cds.KYUSt_chr3.40577 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256003954:256009488:-1 gene:KYUSg_chr3.40577 transcript:KYUSt_chr3.40577 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAGRLLPLLLLLLPLLAAASTVTYDHRSLIIDGRRRLLISASIHYPRSVPAMWPKLVAEAKEGGADCIETYVFWNGHETAPGQYYFEDRFDLVQFVRVVRDAGLYLMLRIGPFVAAEWNFGGVPVWLHYIPGTVFRTNNEPFKSHMKSFTTKIVDMMKKERFFASQGGHIILAQVENEYGDYEQAYGAGGKAYAAWAASMALAQNIGVPWIMCQQYDAPDHVINTCNKFYCDQFKPNSPTKPKIWTENWPGWFQTFGESNPHRPPEDVAFSVARFFGKGGSVQNYYVYHGGTNFDRTAGGPFITTSYDYDAPIDEYGLRRLPKWAHLRELHKSIKLCEHSLLYGNSTSLSLGPQQEADVYTDHSGGCVAFLANIDSEKDKVVTFRNRQYDLPAWSVSILPDCKNVVFNTAKVQSQTLMVDMVPDTLQASKPDQWSIFTEKIGIWDKNDFVRNGFVDHINTTKDSTDYLWHTTSFNVDRSYPASGNHPVLNIHSKGHAVHAFLNNMLIGSAYGNGSESSFSVHMPISLKAGKNEIALLSMTVGLKSAGPYYEWVGAGLTTVNVSGIKNGTIDLSSNNWAYKIGLEGEHYSLYKPDQGNNQRWHPQSEPPKDQPLTWYKASVDVPEGDDPVGIDMQSMGKGLVWLNGNAIGRYFPRTSSTDDRCTPSCNYRGQFSPNKCRIGCGKPTQRWYHVPRSWFHPSGNTLVVFEEHGGDPTKITFSRRVVTSVCSLVSDNYPSIDLESWDKSVSDDGTVAAKVQLSCPKGKKISSVKFASFGDPSGTCRSYQQGSCHHPSSLSVVEKVTFPIS >cds.KYUSt_chr2.42529 pep primary_assembly:MPB_Lper_Kyuss_1697:2:264970193:264970465:1 gene:KYUSg_chr2.42529 transcript:KYUSt_chr2.42529 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGHIRDVGRTADAAQVKDQRGQRKQRVRHHTNSTFSTASAFPSIPENSQHRLHPGPSSSPAARNISGDDFVNSGDVRVAIHAVANELR >cds.KYUSt_chr2.13306 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84331785:84333628:-1 gene:KYUSg_chr2.13306 transcript:KYUSt_chr2.13306 gene_biotype:protein_coding transcript_biotype:protein_coding MREAHPRAAEVLGSGPGAAIEEDYLDEDHTIPVTLPSTWTPTSDEKSAGSTCRGWGRLPSTHAYGPEITAAARRPTLPKNCSLQLLHPTQTSPGGASKKVAAQQRIAAAQSEILDFRPGDGEKVSGWVQLRDPYPNLPMWHLQEGHGATARCRHPIRFWTFVREMGKSLADTDTKVEFKRKFRSRLEFESALSFVNPRSIQGNLRETQSQIATTVGGESKGSTTEVVELQEARAHGEIGDIFKMMLKIYKSEEARSMEVPKKGSFELGSISSHFSQMWDNGRQTRPLLSTDAKFLATSMLAHDTLVGHVSTLELCTEHIDWLCRWVPQFAERLERIKKDDPMDKKMLEMAEMEEVSFAGYIRSWERVRRRDNSIRFEDSSKHT >cds.KYUSt_chr2.10522 pep primary_assembly:MPB_Lper_Kyuss_1697:2:66821771:66823786:1 gene:KYUSg_chr2.10522 transcript:KYUSt_chr2.10522 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIKCNSITHALLGCLVMDPNMKQLQEALVDIETDAEQLLLARHQLVENDKMRNANREALTALRKRARTTKTSVPSPFDVIMKEMEGTSGKQLVKEICPTCGNHDPKEHTWLMFPGSDIFARVPFHVTHTILDKDQERLDYDTKKLQSYVKEKSFLISEKGALADKISPGIVKSLVSLTDKPK >cds.KYUSt_chr6.19223 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120870865:120882609:1 gene:KYUSg_chr6.19223 transcript:KYUSt_chr6.19223 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFVKKPKITEVDKAIVALKTQRRKLALFQQQLEKVIEAEKEAARQLVQQKKRDRALIALKKKKSQEELLKQVDTWQLNVEQQLADIELASKQKAVFESLKTGNAALKSIQSEINIDDVQKLMDDTAEAKAYQDEINAALGEQLSAEDEEAVMAEFENLEAELSLDSLPDAPVTAVRPEEKTNAPVDTEAPAEDVDDVIELPDVPVKAPKRPEAPEKTKDVLLFLDRRLYTDLRLQHAVLVEPISLVEMAYYHGQVSSSVNDLENLQEQISKLSQNLHQLALQRGIILEDGPGCYDPYSQGHPYVVPTCHICGFQGHTAADCQRGYPPTQDCVGMNFAQQHGSYHNNYSVGWPENPTMTYRNNNPPISSFPPSYPMQGFRYEEESNCSPQQFYPASIHVPQHEEMLPAELNGPLVGQPSTPQVQAHTREFDDEEKPSLLSLEFNWSAENDPVRPVIIKEMKKIKDGRDLVEEMKKIEKKMKLSNIISSQLELELSAPEVSLDTCEVPTPSHVPEQTSQSTTEAATLEEERVAEIEEERSQTLEERESQLEFTSDLVEGPIPITPEDVVDMNTEDSSARVASQESESQVELQLVMQESAYAGLTIPLNDLFLSDFSAIALHYIIPSLKKELTIGMLHCDHAYLDNETAIDACHDTYIPHDRVLLRDACLDNAHLSHNDNCYPSYDTMLAVTYNSHHDFTCAPLIEAKHGSSRHPMGSWWMYGMVMRSKVEQLGVVSLDRALGLRGGE >cds.KYUSt_chr1.5805 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35729799:35730314:1 gene:KYUSg_chr1.5805 transcript:KYUSt_chr1.5805 gene_biotype:protein_coding transcript_biotype:protein_coding MANARLIAVVATLVILLEVSTCAVARHHGKPDPCSGEDDGSMLGTLHKHKKPGHCPSPGGGAGGTPGIMTVNGFQKGEDGGGPSECDGKYHSDKTLIVALSTRWYAGGRRCHKPIRITSKQNGRTVVARVVDECDSNHGCKDNIVDTSQAVWDALGLDSNIGEVPVTWSDA >cds.KYUSt_chr2.36515 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225395108:225400709:1 gene:KYUSg_chr2.36515 transcript:KYUSt_chr2.36515 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAAESPSPAPSSSSGSDDFAALLDSELELASAVDSASPDDPATSLASSDDDEEEEDAVVEVEDAGQSSTKRCKVEVQHQDRGTTIRPDEDAIASSKDAQIKICPPHPGFFGGLCFRCGKKQDEEDVPGVPFGYVHKGLRLGTSEMDRLRGSDLKNLLRERKLILILDLDHTLINSTKLHDISAAENKLGIQNAASKDDPNGSLFTLEGMQMLTKLRPFVRKFLKEASTMFEMYIYTMGDKAYAIEIAKLLDPRNVYFDSKVISNSDCTQRHQKGLDMVLGAESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFSVKSLSESMQDERGIDGALATILDVLKRIHTIFFDSAAETALSSRDVRQVIKGVRQEVLQGCKLVFSRVFPNRSVPQDQFIWKMAEQLGAICCADVDSTITHVVAVDVGTDKSRWAVANGKFLVHPRWIEASNFRWHRQPEEDFPVKVKKKEMDKENDVAAATTGKENDAAAAAATAKENDVAAATTGKENDVAAATTAKENDVAAATAGPKDS >cds.KYUSt_chr7.16012 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99340533:99341156:1 gene:KYUSg_chr7.16012 transcript:KYUSt_chr7.16012 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAHKSTHKHCTKTHITGCLLHYDVVGRRYPTHAWQARGGGALFAGQGTALVFASYPVLLLLVLLSAFFKHVWIALVLYCSAMLALSCAGRVFAGPVVVAHDETAVERGGLSQATLAAIPAFVYGASGAGSGRVGDGEAECAVCIETLRAGETARRLPVCAHTFHVGCIDMWLDSHATCPVCRCHIEPHKGGKMAPLLPEPPLPPV >cds.KYUSt_contig_1781.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:24047:26334:-1 gene:KYUSg_contig_1781.2 transcript:KYUSt_contig_1781.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAPPSPAILPPSGSAPLLAPADAPTPEAAARTPNLPDTPASAPDPETPFSDAATPSDADASALAPPDAAATDDALDDDGINDPSGAARKHMTLAPPAPPSKKSKKKSSCVWTRPTSRKGKKKAKQPSHAASGGAGASSSGAGGRPRPSCGEDEFLLTPAPRLAADRNDDGPDLPVLLSRVYRSEKIELSEDRLTASGTKGYRMVRATRGVASGAWYFEVKVLRIPPMGGTRLGWATNKADIQTPVGADGYGFSYRNVDGSKVTKAWRDDFANEAYGEGDVLGFYISLPQGEQYEPKQPQLVKYKGMPFHAQPAKDEKKMADPVPGSEIVFFKNGVCQGTAFEDIPGGRYYPAASMYTMPGEPSSEVRFNFGPDFVLFPEDFAGRPVPRPMSEVPYQAYELKNEGPAENGNVEKAG >cds.KYUSt_chr5.14568 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94435568:94436776:1 gene:KYUSg_chr5.14568 transcript:KYUSt_chr5.14568 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAATSRPAAAAASSIGIKQLAEVVVVRHGETSWNASHIIQGQMDPELNETGRSQALVVARRLSREVKPAAVYSSDLRRASETARIIATACGVSNLVFAQALRERHMGDLHGLVYPDAVRRHPEIFSWDGRTQEIPGGGESLDQLSQRCVSYLNTVAEKHKGERVIVVTHGASTEELCLHADPTSPVRGKLHNTSICLFRIGDGEWILEKAGDVAHLSQGGFLEDAFGGDGASA >cds.KYUSt_chr7.2566 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15023338:15024339:1 gene:KYUSg_chr7.2566 transcript:KYUSt_chr7.2566 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYVRREGHMSGRREEGKGSRVPCLEEAKLDEEEQASEVWNHLLFAGQLVLAGRSTMGRRRHLPWHHHRGPQHQEQVHVQVYYAIFFGLIEEKMSMDGA >cds.KYUSt_chr7.35167 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219680278:219680981:1 gene:KYUSg_chr7.35167 transcript:KYUSt_chr7.35167 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDLPWSAEQRNWAALPRDVLCIILSFVPQADILRGAGFVCASWRRLAQEEPLLWRRIDLAAATDENEDAPARWQAMARAAVLRSAGRCESFRGRVNGKFLLFLAHSAPSLRSIHVTSRFTTSSNKLIRVVAKKLSLLEQLVLSDDGLIYREASLAAFVEHCPRVELLDSGRCLHLSDRTLRTMVESSIKDLRRTGRFRGP >cds.KYUSt_chr5.19955 pep primary_assembly:MPB_Lper_Kyuss_1697:5:129585008:129586639:1 gene:KYUSg_chr5.19955 transcript:KYUSt_chr5.19955 gene_biotype:protein_coding transcript_biotype:protein_coding MYDEAGMPAGSDDPEIQEEGRQEGPSSGGSRSRVHDVKLEINEKAKGVSLSSLEEQTPTLDRAVAAARSRRSTGGNVIVVSVGPYHQYESRSSCLITREKKEAIVNFLSEPMFGLGIDNIVEWARARGEEAKARGWHMGNMDSEEPAKMLRRDGCLVLFAISLLRKTSVQGPTGSTDLGKHFDYLSEDILKHKKETRLDLLLLGNQIPFCVLIDLHTRLKDTLFKGVNGTLEEIALSCFDDIRPSPITNPSTRVITARRPARSRKNEIKAKFSCWFGRGNILGLNTAEENSSRAATLPSPSTNSNTSPSTNPSPSTSFPEPIHHLLHLFHWSRVQPGKHDQDPSKHLLGEPDSNLPCATWFEDSRISFSKHAAAPDILNMVFQRNMLGMRGVLRVPALHIHGYSNLVFHHLIAFEQSHLCSSLAVTTYSICMARLLQCEADAKLLRKNRILAHTHETDEMIVRLFRELAHDFRHAYYSKDLLDLCNDVDTHHHSTAARANRFILQCFPGQTVTFFVILGALFSIATVVNAIYSVYRFSHPAKQ >cds.KYUSt_chr6.14916 pep primary_assembly:MPB_Lper_Kyuss_1697:6:93429509:93437169:-1 gene:KYUSg_chr6.14916 transcript:KYUSt_chr6.14916 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAIALFRLLVQVSFLFSDAACSPGRGECDEEGVDRAGLDEEELEEDEYVLLGCQRAAGVGDGGGDDGISNFDAPFWMSSTMCSRVPPNAPEEVAPLLVSAVLPADEADRAINLHVVLRLEVGDPPGVVAGGRPGEWALNCTVERRVHSSGCIRWKRLDPENLQIFAQVINGGSTMTMTDNENQFDESMAIQGKFSFMALLNGELDMNYSSSATHMQGQTHLDADGTSGTSTHQFGQKNSEHVEPEDIPWETSFFNDMQLDEVVHEDDQGEGDKQEFDHTWNLDRSNDNNGEADSARTRNSDKSDEKGQGENNYITEEDVEIFLENEEAATDENNEHPTQTTSPTLAEELKPVKGMEFKSREDAHKFLNTYSYAAGFSIAVASVYRTTSKKRNNEITRATIKCSKHGYNTEAEHEQVVAQRQTTVFVRTDCKVEIVINEKNGIWKIKNLKLEHNHPLEPGSRFFRSHVYMTKEEKSIIRTMKQCNIPTRLIVSVLAHMRGGMEQLPYNKRKVCNYASTVTRELKHSDLMEVLAWFNKKQAEEPGFYYSLDLDGENKVKSISGLMQGQDSTINYMEIAYALTLRS >cds.KYUSt_chr6.32073 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202581259:202590262:-1 gene:KYUSg_chr6.32073 transcript:KYUSt_chr6.32073 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSNMLEDKMLWLWILGPLPAHSELPTNIDAQDLFGQLFRTNPLTIFGHVVTSRQAIEGEELKPYLVEGEELKPDLVEGEAIDVDEDDDEDPGHSNEGDKIDDDAELGDDFKALDKSKTGDALVMRNAEENFGKNLLQHFDDESDEENEGAKKESDGMVSNKPDPPMTSLVWKEKKQWGPVQATRMSSRIPRDGKTVIEKAQDLKKAKNLKISKANQRRRKKQISQLQGDTGMVEDNKGMLDIVVNYYKDLFCKEPCLDIDLMDDFWDLEDMVSQEHNDMLNAAFSEKEMSGMRINFHKCDLVPINVDDNDAQFIAQSLSYKLGNVETSGLELCSVENFTEGRRKRRAESVVEQVGDVGSAAAGCCRGRSLADTSEEDEDLVRILEANDLILVGDGAGFKVLKRP >cds.KYUSt_chr4.39254 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242258992:242259834:-1 gene:KYUSg_chr4.39254 transcript:KYUSt_chr4.39254 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPATRRRRPPPPPPAWTPEPWSDGETSALLEAWGPRHLRAGGGPLLTADWRACAAAVAARRAAGGRAPRTVDQCKNRMDYLKKRLKALRLGPSPPPPLSGYLRRLRKLLRQAPSVPFGVSHRRHAPSVPFGVPHRRQPANPKPKPEDDDDYDYDDDDPVRGAPLHRDLPPVPKRRRTAVALSPVSPSVAHSHGNAAAGCTEVAAALDRLAGTYERVEAAKQREAARLEERRLETIRDLEIERLRVLVDVAVPAPADAETPSAAPAPAPAPAAASPAAA >cds.KYUSt_chr2.20839 pep primary_assembly:MPB_Lper_Kyuss_1697:2:131125037:131129736:1 gene:KYUSg_chr2.20839 transcript:KYUSt_chr2.20839 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIVHAGTGWKLKVVRERPHALQDDEGSSVAGTQPALRTRVQRLSGAMQEAQPHPIADRVFHFAMMSVVVVLGQLLGLHKALLHLGQHLIAGSSPVVATTTSSEQPPAPSWSSAPPVGTGSLDLAVVTDAIEHPLGPELVVDPGPGGPGPRPRAANADATQIPTTNGVVRTASSIINRLRHPDGVSAPGRRSGADKRPLCRAVASPTASSTLKTRLASPSPNREMTSSPRAGSSSPIGSPIIMNIEKEEIEISDDEEELNEDGSVRSDIIKFYKEESTNPIKYMSSCNSRVAITTDMWTWNNQKKGYMVITAHFIDDGRKLRSVVMRFIYVPAPHTAEVIAEELAEALLERNLDEKLSTVTVDNCTSNDKAIELLVKKLGPDKLMLKDDDNGQEASFWSVLEDIEEGLEGLKL >cds.KYUSt_chr4.37494 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231007460:231036827:-1 gene:KYUSg_chr4.37494 transcript:KYUSt_chr4.37494 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPPPPLAKKSSRRPSATSPRRVRGIRSYLIGTQGMTTPPSPPTRSRGSPNRPGARSLRPASPRRRRWRHGSRPPARAPPRRPVACPSGGYGFRRHPPDFGVGDRDGVPSPVYSAAPAPSPVYTTAGDPPHPSFPDPPHARFAEPSAGRAEYPAQGATGLAPPRYAKLEFAAYDSVEDPLNRLQPMASKELEGDSRDCVAGVVQGLTKGLVEAMMTEAKMAGGVNGDEVEVKVVTVLERWKTCEGESLSSRVQQIKKYLADFETGGSATYVPEFPRKLDWLNTAPLQFGRDLKGRVVVLDFWTYCCINCMHVLPDLEFLEKKYKDKPFTVVGVHSAKFDNEKDLEAIRSAVLRYNVTHPVVNDGDMYMWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDEVVGAALEFYDERKLLQNNSLPLALEKDRDSRLTNSPLKFPGKLTIDVQNNRLFISDSNHNRIVVTNLDGEFICQVGSSEEGLVDGQFDTASFNRPQGLAYNSKKNILYVADTENHALREIDFVNETVRTLAGNGTKGSDYTGGGRGANQVLNSPWDVCYAPSEETVYIAMAGQHQIWKHNISDGVTEVFSGNGSEKNLNGSSSTNTSFAQPSGISLDHELQELFVADSESSSIRAVNLKSGGSRLLAGGDPTFPDNLFRFGDHDGTGSDVLLQHPLGVVCASDKQIYFADSYNHKIKRLDPVTKKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRFLVADTNNNAIRYVILNERGADVRTLDLTGVQPPSPKPKTLRRLRRRLSADTNVINVDGGSSMEGYLSLAISVPDGYHFSKEARSKFDVETEPANAIEIEPVNGSLDSDGLASLKFKRTSSSSSMGRINCKVYYCKEDEVCLYQSVAFDVKFQEEVPSQAQITLAYTVVPRDNSGDAGTRLVVHSRVAVRAGVIACNHPLPPLFKDARQFSPLTNHLVAAASPLPDLLLAAPKMSSSSSRKIAAANGFDRGSLTVAEVWALYNARYPVTPDMRAASAGGPEDGRERRWRSAAAEAAHGPMDGRHQGPSGSTHRRGAVGSDVGGQQQRRLVDGVLPGENVIRGIRNGAPRLEMPSSPPPSPQWQPRRTMYSSSSHSSSSGPARSTPASSYRSAPYTVPKREVKEEPATPINTRRGGSGSRRQQGRRGGALLIPKTEVKEEPEEASQAALLAEYERQQRLIASSDDPEDCPGLRAAFLASMNDKDAWRGDLDSAIALSLRDSGKPLVDLTDDSEAGPSGAVKDEPVGERVKQEVVTDDMYNFQQYYDASGCRKWF >cds.KYUSt_chr6.18881 pep primary_assembly:MPB_Lper_Kyuss_1697:6:118914593:118916340:-1 gene:KYUSg_chr6.18881 transcript:KYUSt_chr6.18881 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQLRFAAGGPPQGTANLSRISEGAALFPGAGGEHAVSRSFSTGGSTTGGFSIVGPWDESRDIIGTLDLSGYESQFSGLGSSSSLELAGMDKYMQAAQQQQDGVAFKVRAKRGCATHPRSIAERERRTRISDKLRKLQDLVPNMDKQTSTSDMLDLAVEHIKGLQSQLQVRIELNVRFQLLQGETLAAVVILNKQEAEAQYTQTDSCTRPADAALAAAASDANVAAPAEVLMPPLSKCEAAEDVEINA >cds.KYUSt_chr1.27900 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168223702:168225732:1 gene:KYUSg_chr1.27900 transcript:KYUSt_chr1.27900 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAHHHRVFSSTTASSATPRTLLLHHRRSSSLTFPSSSSPPAHGRPRGARALRHASSGSGAVPAASSSSLEELSRSCTTWTWRGMRVNYLVRGEGPPVLLVHGFGASVAHWRRNIGVLSESNTVYAIDLLGFGASDKPAGFSYTMETWAELILDFLDEVVKRPTVLVGNSVGSLACVIAASDSTRDLVRGLVLLNCSGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQKSIASALFGRVKERHNLKDILLSVYGNKDAVDDELVEIIRGPADTEGALDAFVSTVTGPPGPSPIALMPEIKIPVLVLWGDQDPFTPIDGPVGKYFSGLPSELPNVRLHMLEGVGHCPHDDRPDLVHEKLLPWLANLPSTAEPEVALT >cds.KYUSt_chr2.46714 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292125335:292128344:1 gene:KYUSg_chr2.46714 transcript:KYUSt_chr2.46714 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTHDFEVTNYRQLDGLGVGKYVSSSTFSVAGCDWNIRFYPDGLNSKENGDGNATVFLYHLSPAKDVRTQFTLNILEKHGEIKVTNYDEIMRIFSPSSYNWGYHDFVKKSKLKSLLDINNGYFTIRCVITIIKEPRTELRLWEWRDWSRGLPHHGFPGALSYLAYDFTHHTGGCFFLTDSGSDNFPTRYAYDTDDTLRDAFTA >cds.KYUSt_chr5.16020 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103222304:103231390:1 gene:KYUSg_chr5.16020 transcript:KYUSt_chr5.16020 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVHSSSSSSSSPSLPTPSPAAAAVALRADADLPAANGPDPLLPAEREEEEDDGRAVGLVACLPQTVVLCEQRHDGFDEAAAAAAGPSTSGPVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALETIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSTSSKDCILLAACESHQTLPQSAEYPADVFTACLTTPIKMALHWFCNRSLLRGSLDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHELFQRLFRQDLLVASLFRNFLLAERIMRSANCSPITYPMLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSKDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRRGQEACMNAGLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPEIVICLLAEPQPEVRASAVFALGNLLDIGSSSVNGGDDDSDDDEKARAEINVVRSLLQLSSDGSPLVRAEVSVALTRFALGHNKHLKIVAAEYWRPQTNSLLKSLPSLANINNPSNVYSPSNFLQGSSGLSSHIGPVLRVGSDNSATGRDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGLNYTRSRPIIDSGIYSQFISTMCSVAKDPYPRIASIGRKALSHVGVEQVVMRNRFGSGGAGETSAPSSNIGMARSSSWFDMNSANFSMAFRTPPVSPPQHDYLTGLRRVCSMEFRPHLLNSPDGLADPVLSSAAAPSTSELNILPQSTIYNWSCGHFSRPLLNNSDDNGEASARREERERIAMDCIGKCQRSSCKMTSQIASWDTRFELGTKSALLLPFAPIVVAADENEQIRVWNYDDALPVNTFENHKLSERGLSKLLLINELDESLLLVGSSDGNVRIWRNYTQKGGQQLVTAFSSVQGHRAVGRSAVVDWQQQSGYLYASGDMSSIFVWDLDKEQLLHTIQSSADSGISALSASQVRSGQFAAGFIDASVRIFDVRTPDRLVYMARPHSPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDIRRAAEPYLTIEAHRGSLTALAVHRHAPVIASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEDNYQVR >cds.KYUSt_chr4.10213 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61781277:61781654:-1 gene:KYUSg_chr4.10213 transcript:KYUSt_chr4.10213 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVESAVVSCECCGLEEECTGEYIGGVRDYFGGRWLCGLCSESVKYEAGRSKRAAPVGVEEAVRAHMAFCRMLKRGDPAERVAEGMCQMLRRTASGKPRASLTSSSSRRTVAATSASAPLSIGF >cds.KYUSt_chr1.28074 pep primary_assembly:MPB_Lper_Kyuss_1697:1:169297023:169301559:-1 gene:KYUSg_chr1.28074 transcript:KYUSt_chr1.28074 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALRLLLALTVAACGAVAGAAAGGGRGRVDLWPMPASVSRGARTLYVAKDLRLSTAGSAYADGKAILAGAFSRMLAVVQMDHAINGSYAGLPVLAGVKVAVHSLDDELKFGVDESYKLTVPATGTPIYAQIEAQTVFGALHALETFSQLCYFDFILGVTGLHSAPWTIVDAPRFPYRGLLIDTGRHYLPIPVIKGVIDSMTYSKLNVLHWHMVDEQSFPLEIPSYPKLSNGAYSYSEKYTMNDALDIVQYAEKRGVNVLAEIDVPGHSRSWGIGYPALSPSATCQQPLDVSNDFTFKVIDGILSDFSKVFKFKFVHLGGDEVNTSCWTTTPRIKTWLLQHGMNESDAYRYFVLRAQQIAIKHGYDIINWEETFNNFGDKLDRKTVVHNWLGGGVAEQVVSAGLRCIVSNQDKWYLDHLDALWQGFYMNEPWTNIYNPEQRKLILGGERLWTPLEKLAKDPSTVTARLARFRCLLNERRVAAAPLAGYGRTTPSEPDSCIRQ >cds.KYUSt_contig_786.515 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2927026:2928560:1 gene:KYUSg_contig_786.515 transcript:KYUSt_contig_786.515 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSEGNFVWSRVVTEGVKSGDIISCMGEEEGQKLPIILKKATPCTSNELIQFDPSELSLPFMPNKPLVFPVNIINNTDYYVGFVTYHPETNAGVYDTVPASGVMPPRSTQRLIVRREPEEDEQYDMQCEDKFFLWSSLVHEGVEASDIQAYADYKGSMELPIVYNKTRLCTSDELIQFDPPQLPFPFLSNKRVSMLNLSKGMFKIANVTDHIVGFSIWSHEDNYARYKMEPQEGILPPQSAQTIEVRRILNGNQTEDLQCKDKIFVWNGIVTKGVEVSDVGKYWKKKDKELPVVLTMVSSLTFQL >cds.KYUSt_scaffold_1854.553 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2943792:2944067:1 gene:KYUSg_scaffold_1854.553 transcript:KYUSt_scaffold_1854.553 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSCPSIRNRHAILQLLLATKAGQEQRKGILCSSAKKRHLASTAGQGNFGRAAEIERRTRVRSFDEEEDGAASYPFSLRPPEQREGKHQP >cds.KYUSt_chr2.7763 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48641094:48644296:1 gene:KYUSg_chr2.7763 transcript:KYUSt_chr2.7763 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGEARPFLLFSKPSSRRTDPPPAQPQPPKPDPTPAVQPDDASSGDSGSDSDADPAPSLITEHGDSCAAAAASFADLGLSDWLVDVCGSLGMRRPTAVQRRCIPRALAGENVLGIAETGSGKTAAFALPILHRLGEGPFGVAALALSPTRELAAQLAEQFRALGSPLGIRCLAAIGGFDPLGQAKGLARRPHIVVATPGRIATLIKNDPDLAKVFARTKFLVLDEADRVVDSNFEEDLKVIFDCLPKKRQTFLFSATMSENLRSLLELSGSKSYFYEAYEGFKTVEGLKQQYIHIPPDGKELHLVYLLAKMKEDNIRSAIVFVSTCRACQYLDFVLQELGQPAVSLHSHKAQSLRLSALHRFKSGQVPVLISTDVGSRGLDIPTVDLVINYDIPRFPRDYIHRVGRTARATRGGLSISFVTQRDICLLHEIEDDVGNRFDAYECDDKEVTKDITKVFKARRLANMRMVDEGHEDKVQDRRDQKKRDQARKRKHEE >cds.KYUSt_chr6.31581 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199620200:199625802:1 gene:KYUSg_chr6.31581 transcript:KYUSt_chr6.31581 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLCHHLLAHCKSLLELKRIHARAITHGLHPHNQSISCKLFRCYADFGRPTEARTLFGEIPQPDLVSLTSLVSLHLQLNQHRKAMSLFSRRVVASGHRPDGFSVVGALSAAGGAGDLRVGESVHGLILRRGLGSELVVGNALVDMYGRCGRFEGALGVFEEMDLKDEVTWGTMLHGYIKCVGLDSALSFFDKMPMKSAVSWTALITGHVQDKNPVRALELFGRMVLEGHRPTHVTIVGVLSACADVGSLDLGRVIHGYGSKFNIGTNVIVSNALMDMYAKSGSIEMAFAVFEEVPLKDAFTWTTMISSFTVQGDGSKALELFGDMLRSGVAPNSVTFISVLSACSHAGLIQQGRELFDRMRGIYNISPQLEHYGCMVDLLGRGGLLEEAEAMIYDMDVEPDTVIWRSLLSACLVHGNDRLAEIAGQEIIKREPGDDGMSSGGEIDGDVGVEETHGRDIRRYKCEFCTVVRSKQYLIRTHMVAEHKDELEISEIYNSNGEKIVHVVKQICKECGACFRKPAHLKQHMQSHSKKRSFVCPLEDCPFSYIRKDHLNRHMLKHQGKLFTCPLEGCGKRFKFKANVQRHVKEMHEQEDQNVSKSTQHCVCEEEGCNKVFKYASRLKKHEESHAKLDYVEVVCCEPGCLKTFTNVECLKAHSQSCHRFVQCDICGGKHLKKNIKRHLRAHAEGLSTERINCSIEGCESTFSNKSNLTKHMKACHDQVITFSCRVRGCGKVFTYKHVRDRHEKSSAHVYVEGDFAEMAERMHSRPRGGRKRQAVTVESLSRKRVTIPGMASSLEDGAEYMRWLNHSQ >cds.KYUSt_chr1.15367 pep primary_assembly:MPB_Lper_Kyuss_1697:1:89487656:89495098:1 gene:KYUSg_chr1.15367 transcript:KYUSt_chr1.15367 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEAGGGAEAGGVHGDEVARSYIAEDAYGSAAYDDLPSAAYDVYGSMSSPEPRMEVEQKPVLVGNTNEQAIPRKDEQAVKPTISLDSSVINLPSDVQGQAGTSNIGPGYENPPNEWDVYPPYASAEGLEVGPAVVYNEDPSMMYHGGYGYDPYAPYSPISTPVPAGVSGDGQLYSLQQFSFSAPYYQQPVQPGMPYLSSPTPISQGETMMPIDPTQGAFIADTLSPNSFLFGPRPEWFSSEPA >cds.KYUSt_chr7.25850 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161380391:161382910:-1 gene:KYUSg_chr7.25850 transcript:KYUSt_chr7.25850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glutamate-1-semialdehyde 2,1-aminomutase, chloroplast precursor (EC 5.4.3.8) (GSA) (Glutamate-1-semialdehyde aminotransferase) (GSA- AT) [Source: Projected from Oryza sativa (Os08g0532200)] MAGAAAAAAAAAVASGISIRTVSAPRASRAPRARSVVRAAISVGEKAYTVHKSEEIFNAAKELMPGGVNSPVRAFKSVGGQPIVFDSVKGSHMWDVDGNEYIDYVGSWGPAIIGHADDTVNAALIETLKKGTSFGAPCALENVLAQMVISAVPSIEMVRFVNSGTEACMGALRLVRAFTGREKILKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATVGTLTAPYNDAEAVKKLFEDNKGEIAAVFLEPVVGNAGFIPPTPAFLNALREVTKQDGALLVFDEVMTGFRLAYGGAQEYFGITPDVTTLGKIIGGGLPVGAYGGRKDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLMEPGTYEYLDKVTGELVQGILDAGAKTGHEMCGGHIRGMFGFFFASGPVYNFDDAKKSDTAKFGRFHRGMLEEGVYLAPSQFEAGFTSLAHTPQDIEKTVEAAEKVLRRI >cds.KYUSt_chr4.51259 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317931740:317932990:1 gene:KYUSg_chr4.51259 transcript:KYUSt_chr4.51259 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQAGKEAAIPLLTPYKMGQFDLTHRVVLAPMTRCRSYGGVPQPQAAVYYSQRATRGGLLISEGTVISPDSMGYPEIPGIWTQEQVEAWKPIVDAVHRKGALFFCQIAHVGRVYTTEIKTGEQAPISSTDKPVTPDDEAGIVYPKPRGLRIDEIPHVIEDFRRAARNAIEAGFDGVEIHGAHGFLLEQFMKDSANDRTDEYGGSLENRCRFVVELVDSVVNEVGAHRVGIRLSPFVDYMDCVDSNPEGLASYLVEQLNKHQGFLYCHMVEPRMAIVDGRRQIPHGLLPFKKAFNGTFIAAGGYDREEGNKVVSDGYVDLVAYGRLFLANPDLPRRFDLDAPLNEYDRSTFYTQDSIIGYTDYPFLDGDNYSSSACDVSIE >cds.KYUSt_chr2.49933 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312319693:312320303:-1 gene:KYUSg_chr2.49933 transcript:KYUSt_chr2.49933 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGRMAASCEEQEEDIGCPSDSEMSATDSMLSSSWEELEDDATSSSSSSGSTESFEMSSLMAQLPLKRGLSKFFDGKSQSFASLAAVGVLEDLAKPPSSKRLKTSRSCEVGLQDAQRRRFASRNAAAFKKVSKGRLSVLGRAPANKLTTLRPAVTATARPQGLPALLFA >cds.KYUSt_chr7.17794 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110314196:110314825:1 gene:KYUSg_chr7.17794 transcript:KYUSt_chr7.17794 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSPKMSAPTLLEYEQPPPVTGMDTNHRGLGHLDFHARQNQVRLSHMRLSMPSSCWRQRPSSRGRDRDHENGSKLPDGEEASESPMRMAQMKCDGGGEIPSEDGTVEARQRRSLSTVALLRR >cds.KYUSt_chr4.20350 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127989013:127989471:-1 gene:KYUSg_chr4.20350 transcript:KYUSt_chr4.20350 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNHLILLLVAVATSFVASSAALTRSNSNAAPTVYEMLEKYNFPPGILPDSVQNYTINEGGSFDVTLAGECQIDVRGFTLRYQSKIQGNIQPMVINGLEGISVSLGINHVGINSVERDGDQLKFSAGLISKSFPVGSFAKSPRCNPGPGSP >cds.KYUSt_chr4.12644 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77731597:77736329:1 gene:KYUSg_chr4.12644 transcript:KYUSt_chr4.12644 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLTGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDIMRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSADTVFYVKDRFLRFFEYSTQKEVQVAPIRRPGSVSLNQSPRTLSYSPTENAVLVCSDVDGGSYDLYIVPKDSTGSLQDAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLVLGELQAAGVKYVVWSTDMESVALLSKHAVVIASKKLVHRSTLHETIRVKSGAWDENGVFVYSTLNHIKYCLPNGDSGIIKTLDVPIYITRVIGNNIFCLDRDGKNKLITVDASEYIFKLALFRKRYDHVMSMIKSSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGFMDKVGFMCKIAGQNNNLMGQFHNALYLGDARKRAEILENAGQLPLAYATAATHGLSEIADRLAAELGENVPSLPAGKSRSLLIPPAPLISSVDWPLLRVMRGTFEVGLDATGRAEVEEDDEAVIPDWDDEDLNIVDASDAVANDDGFNAEEGEANEEDGEDGGWDLEDLELPPEADTPKAAGNTRSTVFVAPTPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKSLFLDLHMGSHSYLRALATAPVVPIAVEKGWSESSSPNVRGPPALVFSFSQMEDRLKAAYKATTEGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELKDNVNRQQELAAYFTNCKLQRVHMRLVLSNAMALCYKQKNFATAEHFARLLLENSPNEVQAKKARQVQQQCSGKRDSAELNYDYRNPFVVCGSTYVPIYRGQKDISCPYCASRFVPSVEGQLCTICELAVVGADASGLLCSPTQQR >cds.KYUSt_chr1.32626 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198147314:198147661:-1 gene:KYUSg_chr1.32626 transcript:KYUSt_chr1.32626 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTFLLLAGAVVLYAVVVAPMAAVGEEWRPIPKVDDPLVQGLGRCAVDEQNKVTNCRLRFVKVLGGKVMGDTKYMLAIDALRLDGSHKTYEAEVLKQSSAGKNTCKLVSFSPNC >cds.KYUSt_chr5.16114 pep primary_assembly:MPB_Lper_Kyuss_1697:5:103776890:103779307:-1 gene:KYUSg_chr5.16114 transcript:KYUSt_chr5.16114 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAAVNLTAAAAEATATVKPVAAACYDNNLVNSQGMFLGDEPLRFSLPLLLIQVSLILLLSAAAHHVLRRLGQSRFVTHMLVGVLLGPSVLGRNHELRGVLFSERGTYILESVSLVALILFLFSMGVKTDMSLLRRPSGRAVAVGLAGSVVPLAITLPVFHVLQPTLPADLRGSSLITELAVRLSLSSFPVIADALAELDLLNSELGRIALTASLITDVTSWFLRACFAATFLITQAKSPVFTAKILASFVAFVLFVAFVARPAGRYIAYKRTPAGDLLSEGSFVVVVIAALLSALVTDVIGFKYMIGPMMLGLALPGGMPIGATMTERLDSFFIALFLPVYMALAGYRTDLSELGAHEEKWCALELFVALCVAGKMVGCIAAGLFFAMPIGEATALALMLNIRGIVEVAAINNWGDTMKASAEHYSTLTLSMVLITAVATPLIKLLYDPTGRFARAKRRTMEGARPNAELRVMACLYTEDHAAPLIDLLEASGANRDFPVSLIVLHLTELVGRAASVLKPHKKSSSSTSNSPSDRIVNAFRYFEQQVSPGAVTVSPYVAQSPYSSMHHDVCSLAHSRKANLILLPFHKSSDGARSTANNAVRAANRAVLQYAPCSVAILVDHGLAAGSACATASNRNLLQRVALYFLGGPDDREALSYAARMPESGGTAVTVVRFKLRNWVGMGGRDEVRDEEVLQEFWQRYRDDERVVYVEKTVEDGEGTASVIRSMSDKFDLLIVGRRGEDRDVEGSALTSGLSEWSECPELGVLGDMLASAEFASKVSILVIQQQAAPLTAAGAGEADH >cds.KYUSt_contig_60.60 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:322808:326373:-1 gene:KYUSg_contig_60.60 transcript:KYUSt_contig_60.60 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLRYYGIGLENGNYTVTLEFAEFESPDPVAWKNRGRRVFDIYLQGERKEQNFDIKKAAGGKSYVAVKKQYFVLVGKLTDGRFVAVKQLSETSHQGKKEFATEIETISKVQHRNLVKLYGCCLEGNKPLLVYEYLENGSLDHALFGKGNSNLDWSTRFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDGNLNPKISDFGLAKLYDEQETHVSTKVAGTFGYLAPEYAMRGHVTEKIDVFAFGIVVLETLAGRPNYYTTEDQKKIYIFEWVWELYEDNHPLDMLDPRLKEFDTEEVLRAIKVALVCIQGSPHQRPSMSRVVAILTGDVEAPNNVAKPSYITEWQVKGNGDTSFMSSEPNEQSSLAPSTGLVQLPFVGSFINEEGR >cds.KYUSt_chr6.1618 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9869904:9879733:1 gene:KYUSg_chr6.1618 transcript:KYUSt_chr6.1618 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPVANTAGVMSNLSPSGNGSGVPHNSTKGDESSKQVEQAAVDGPHEMSIEELLNKIKGADGISVLFVPSTDGRRLVAVALNGLRDGRGSPDVPTPAVVMKEGMEEDKNHHKDLAGTNQEGFRTWLMFLASLAATVTFTAGHAPPGGFWSADDKANGYVAGTSVMRDKFFYRYLAFYYSNTAAFFVSLTIIVMLAKDKKGNDRASRMNDPIEHNRFVFIGLVATCILNLGSSYVTGSSHNPKEGMPSMVMFASVLIYLSLHWIKETIAWVKKIPSKIRQAWLKKDTNSMMLQVTVNANKLGTLFIAGLLFPSPATAGLRSLQTIIEASNSSPHERHQDQESSKPDAPPPPPPSVPAAAESSFKVRDASSLKISPRHDLAMIFTCKVCDTRSMKMASRESYENGVVVARCGGCNNLHLMADRLGWFGEPGSIEDFLVDKGEEVKKGATDTLNFTLEDLAGTQVNSKETSGEN >cds.KYUSt_chr2.2397 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14450846:14455675:1 gene:KYUSg_chr2.2397 transcript:KYUSt_chr2.2397 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIPTDLVVEILQRLPWTSRRRMRLVCRSWRDLVHKRTTEMKQRRDAVPLIVTTKSVYVLGDLGSSKSRPTRELWRSTDVYKHMEVVGVCNGVLCLCDDTKPGGAITLANPATGDFLALPPIPRAGLFRRHNSRRSGRSWHQAYSFGYHHGTGQYKVVHVPCFFKTKETLQVFTLGEASWREVPAPGDRCRLDAGVVSVNGATYWVVEGSERIVSFDHRSEQVKTVTPLPVSDGPIGHLTEVQRRLSVAIVTRVDPKSVYYCGRGDGTIEVWILENKSKEQTWVHQYSLRSLSCLPGPSSIQMVRPIFIHGDYILMVQGQSQRLRPYRRRPNSYVTRQECSAVHINSCDPQAFISNMKGDICRVFAYVESADALGVYRRWLLTEAEIRTHDIYKPFIPKDMHVLQFCWKKVHGMYAEAGAIQMRALTYALGIPLRVETVDRRKSTSGQDVRVKRLDFFNHSGLGKRPYYFVQSYHSSCTAHKSLERGSGDNLLSSDGTPLLTLLSRPGHCDILYPN >cds.KYUSt_scaffold_1854.551 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2929721:2936291:1 gene:KYUSg_scaffold_1854.551 transcript:KYUSt_scaffold_1854.551 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMEAEVEELRAALLLGPGGAGAWRRPGAAVKRAAAAEEGAAEPRAVCVTGGISFLGLAVVDRLLRHGYTVRLALETQEDLDKLREMEMFGENGRDGVWTVMANVMDPESLHRAFNGCVGVFHTSSLVDPGGISGYTKHMATLEAKAAEQVVEACVRAESVRKCVFTSSLLACVWRQNSPHHHRFPTTVDESCWSDEAFCRDNKLWCALGKTMAEKAAWRAARGRDLKLVTVCPALVTGPGFRRRNSTPSIAYLKGAHAMLAEGVLATADVERVAEAHVRVYEAMSGTAGGRYICFDHVVRRAEEFAELERQLGLRPRGTAPARGDMAAGPSSELCNRKLARLVSSRRRCTHDAYLPVAYD >cds.KYUSt_chr2.53131 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331441201:331446249:1 gene:KYUSg_chr2.53131 transcript:KYUSt_chr2.53131 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPLLLLLAAVATASAASTPHSQPTLPAPAPSSATKGGGAASHSQPTIPAGHAAPPSATLASAPPPQAALLAAFLAKADPTSHLRFPLAATPCAHPGVTCDGGGGQITHLVLEQAGLNGTFPPSTLSNLAELRVLSLKSNALHGPIPDLSALANLKALFLAGNRFSGPFPASLAALRRLRSLDLSGNRLAGALPPGIEAAFPHLTALRLDANHFDGPVPAWNQSSLKLLNVSYNNFSGPVPVTASMATMGAAAFAGNPGLCGEVVRRECHLLFFHADGSPTTTTPGQTTDQTPPHDGNTLPEPDTSAPRAHRTKKRTTALALAAALSSLAAALLVCAVIAARRRGRRKHRRPSTAAYPSPKKSAAAASQVSRELDNADIGYVECVRDDDDQAAAMMMPEEKARRLGRSGCLTFCAGEATSYNLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGPAASEPETFEQNMDVIGRLRHPNLVPLRSFFQAKEERLLVYDYQPNGSLHSLIHGSRSSRAKPLHWTSCLKIAEDVAQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLSFLLESSEVKDDAAYRAPENMNSNRRLTPKSDVYAFGVLLLELLSGKTPLEHSVLVATNLQTYALSAREDEGVDSERLSMIVDIASACVRSSPESRPTAWQVLKMIQEVKEADTTVDNEDTDLTSNS >cds.KYUSt_chr7.18731 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116083547:116083765:-1 gene:KYUSg_chr7.18731 transcript:KYUSt_chr7.18731 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALTSTELRESRRHMVNGACVGGGNVVGICGIRYCSRDATFMLMEVVRWAWPSSPTSARCCDLLGIWDIR >cds.KYUSt_chr7.19320 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119866254:119869526:1 gene:KYUSg_chr7.19320 transcript:KYUSt_chr7.19320 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPEGGKSRSRTKLLIDIQNAVQKRWEEHRVFEAEPGSKPPANGEKFFGSFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFAFHCTGMPIKASADKLAREIEQYGNPPVFPAAVENSSAEVVDDCQVAVVPDKFKSKKSKAAAKSGVQKFQWEIMKSFNLSDEEIARFQDPYHWMTYFPRLAKDHLKDFGLGCDWRRSFVTTDMNPFYDAFVRWQMTKLKKLGKIVKDMRYTIYSPLDGQPCADHDRATGEGVQPQEYVLIKMEVLSPFPPKLKVLEGRKVYLAAATLRPETMYGQTNCWVLPDGNYGAFEINDTEVFIMTARAALNLAYQHLSRVPEKPTCLAELSGSDLIGLRLRSPLAFSETIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLVTKPALRAKYGVKDEWVLPFKVIPIINIPEFGDNSAEKVCSDLKIRSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFSGRKVQEAKPLIRKKLLEEATAVLYSEPEKKVMSRSGDECVVALTDQWYITYGEDEWKQKAIQCLHNMNTFSGETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHYLQNGNMYGKEVSSIMPEQMTDEVWDYVFCGGPAPQSDIPSSLLCKMKLEFEHWYPLDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFLTLEQAIAEYSSDATRFALADAGDGMDDANFVTETAESAVLRLTKELTWMEEVMASDSSLRSGKPSTFADLVFENEINIAVKETEKSYDAFMFRDALKSGFYDLQLARDEYRLSCKTGGMNRDLLRRFMDVQTRLITPICPHYAEHVRKLLKMDGFAIKAGWPVAGTIDPTLRSANKYLQDSIVLMRKLLQTRESGSKKPKKGAPPPPSEKNKLTVGLIYVNEQYYGWKEQCLKVLLSNFDSQACCFAPDEEINEALRNCFIGQEADFRQVQKQCMPFIKLKKAETRNFGPHALNLKLPFGEIDVLEKNVELIKRQLRLEHIEILSASDEAALAKAGSHLSVLNKTPPSPGEPVAIFMSRQEFEAQY >cds.KYUSt_chr1.36741 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224202983:224209856:-1 gene:KYUSg_chr1.36741 transcript:KYUSt_chr1.36741 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAAGVHRSAIRSRGHDAAMPTTTSSSGASSPFSSDGGGTASPNRMLRARHHLLSTAGDAMDTDVAQPAAASLIDFSAQPPEAGHANNMDDAGGGGGASGGLASRPPLSGPRSGFRRHGLRGMKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFDAYKRANQMFADVVYEHYQEGDVIWCHDYHLMFLPKCLKDHDINMKVGWFLHTPFPSSEIYRTLPSRSELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFKRALELPAVKRHINELTQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPEWNDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSINHALTMTSDEREKRHRHNYAHVTTHTAQDWAETFVCELNDTVAEAQMRTRQVPPVLPSRTAIQQYLQSRNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPDLKGPLRALCEDERTTVIVLSGSDRSVLDENFGEFNLWLAAEHGMFLRPTDGEWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCIGHFLGKDEDIYVFFDPEYPSESKVKPDGASVSVDRRQNGRPSNGRSNSRNSQSRAQKSQAAPERSSSSGHGAANSHHDWREGSSVLDLKGDNYFSCAVGRKRSNARYLLNSSEDVVSFLREMAESTTPRAGFQPGAADYMFMDRQ >cds.KYUSt_chr7.16364 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101344097:101344867:-1 gene:KYUSg_chr7.16364 transcript:KYUSt_chr7.16364 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLRHLGGRRQVFRRNERHRYGFRWYGGRRHGPRRGRRGGDGAGPSNAQAVLPAPLVILEAQPPPAWILAGPAAPNLFADEQMEPENDDMDVDDPALAAPPPPLPCPVHGWACPRLAQQGIHVEEEAEPEVPEVSSRAASPDLPSPTSAHELATAEPAQSRLDPPLHAPAAARDAVLDNDAGGSAAAAPPPPRRLRFIVPRAVLQASHAGRRSGDWSPARLGLSNGHSNGVSPGTQLPGGSSDEDEGGRGINHRR >cds.KYUSt_chr1.17795 pep primary_assembly:MPB_Lper_Kyuss_1697:1:103630991:103632777:1 gene:KYUSg_chr1.17795 transcript:KYUSt_chr1.17795 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLARPGKRGSLEGEMSSDGGTSQMGVLGALGLSVTSSVAIVICNKYLMGKLAFIFATTLTSWHLLVTFCTLHVAQRLRFFEPKPIDTQTVISFGFLNGISIGLLNLCLGFNSVGFYQMTKLAIIPFTIVLETIFLSKKFSRSIKASLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVCQILTNQIQKRLKVSSTQLLYQSSLYQSAVLLITGPFVDKLLTNKDVFAFPYTFKVVVFILMSCTIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLILSFGYVLLKDPFTFRNMAGILVAIFGMGLYSYFSVSESRKKNEVGPTLPVNTQMSEKDSAPLLGAKTSPWQESNVLESFDDVPRTAKSAFSRQMNA >cds.KYUSt_chr3.34179 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214382941:214385270:1 gene:KYUSg_chr3.34179 transcript:KYUSt_chr3.34179 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELAVASPFVQWLKPPASSSSSSPSCSTFSSSSSRHQEFPEEYGNSMTCLPLLRMLEEGKRGAGGDHEHHAVKEEIISTAAGVLGPGVDLNIGLPVGGSCDDDAMEDEEKVDAEDDDDAEDEEDEGEMDEWKPAHGSCKVEKEEHGEAVVSVEGSNSILDMGEFGVAGAESSVAMSSQYWIPTPAQILVGPVQFVCHVCNKNFNRYNNMQMHMWGHGREYRKGPESLKGTQTLALLKLPCYCCAAGCRNNVAHPRARPLKDFRTLQTHYKRKHGARPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGQHFPVVVDGGGGAATQDRVISFQRSKKIQEEQSDQ >cds.KYUSt_chr3.32550 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204617071:204621706:1 gene:KYUSg_chr3.32550 transcript:KYUSt_chr3.32550 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGIRATYSRRAASKNIEIKKDDETIMEKEDVTESTLEIERIRGDPSMLQSMTVKELRELTRRMGVSGKGNKKDLVSALLDSLGQEVTDKDGASKVEKVGPSEVPSKRKGAASVVVEQNLETSEVISETPSKRSRTKQKSTKGTTPKENSVTTVKLNKTSIQKETVVVHGTVDDAEPWTLLVHKKPRPEWIPYNPKVMRPPPLGKDTRAEKDVEVIKESLLEGYTNSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDTEGRVVTVEFDDFYLLTAYVPNSGDGLKRLTYRVTEWDPCLGNYMKELEKSKPVILTGDLNCAHQEIDIHDPAGNSRSAGFTKEERKSFETNFLSKGFVDTFRKQHPDVVGYSYWGYRHNCRKTNRGWRLDYFLVSESIAERVHDSYILPDVSASDHSPLGLVLKL >cds.KYUSt_chr2.28428 pep primary_assembly:MPB_Lper_Kyuss_1697:2:174489417:174490742:1 gene:KYUSg_chr2.28428 transcript:KYUSt_chr2.28428 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKSKDEIHLKIKSKDKSSDNAEDEKKEIEIEIDAKVVEKEEVKGDSGDGSKSLAKCKETKKDKEKEKKKKSEKHEEDDEKVGKKDKKKKPEKHEDEHEEDKKESKKSEKHEDDEKANNKDKKKKPEKHEDDDKVDKKDKKKKPEKHEDDDDEKASKNKSEKTEDDEKASKKDKKEKDKKKKEDGAEGSEKEKAKKEKGSKTESKDRDLAEDKDIIKKNAEVAGVESNKDSVSGGLETRDIKLTDGDSHKKETDASEEKKDGKDKRKREKEKKQDEKINEKGEQGKKEDKEKENKKDKTDKKEERKKKEKETKNKDGDEEEEEGKKDKATKDKKKDKKKNKDKDADDDGEDEGKKDKEKKKKDKDAKEKTNDPAKLKKKLEKIDTKLQDLHAEKEDILRQLKGLELEAGKSTEEKKPVQILEESGKSNTKEEDLVAAP >cds.KYUSt_chr4.39048 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241087521:241089782:-1 gene:KYUSg_chr4.39048 transcript:KYUSt_chr4.39048 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRALLLALLLLLAAATASAAGARPATTTTAATFVRFAPAASLAERLEGDGPQQCWESLMDIKSCTGEIILFFLNGEAYLGPGCCRAIRVIEQSCWAADAMLTVIGFTPEEGDMLKGYCDAGDDDDTGGDGHHHSIGGSSAPPPSGGLDDVASDVTVAAAAGRKGRLEQLQCCTVGQHVGCRPRRTEAEATTAGTKRDTRHASSPVLRPATSSPLAARLVRLFCAVLGARRSEPPFRMPAPKSRLLLLVAAIWSLGLLCPAAGEAGGGSCELSVARGGALYNFSLAAPTPAHRHGVLSEDGFYKVAVNDSVIWFQLCDQMIFNFDPPVCLRCELKKLHFYGV >cds.KYUSt_chr3.35330 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221890486:221904976:-1 gene:KYUSg_chr3.35330 transcript:KYUSt_chr3.35330 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPQQEVFEGPQPMEESVSTVDQENLPDTSTSRFTWKIDSISKHNGGKLHSDVFVVGGYSWRVLVFPTGNNVNQLSMYLDVADAKSLPTGWSRNAQFSLAVINQLDSKHSSRKEATHTFNSRESDWGFTSFMPLMDLYDPSKGYIVNDQCIIEAEVAVRRMTDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIHFFRKAVYHMPTADTPPGSIPLALQSLFYKLQHGDSSISTKELTKSFGWNTYDSFMQHDVQELNRVLCEKLEDKMKGTIVDGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVEMLEGDNKYQSEKHGLQDAKKGMLFTDFPPVLQLQLKRFEYDFTRDTMVKINDRYEFPLQLDLDRDNRKYLSPEADKSVRNLYTLHSVLVHSGGVNGGHYYAFIRPTLSNQWYKFDDERVTKEDMNRALEEQYGGEEELPHTNPGLNTNPLRFTKYSNAYMLVYVRESDKEKIICDLDETDISEHLKTRLRKENEDKENKKKEKAEAHMFTALKVARDLDLAEQIGRHVIFDLVDYEKIHSFRVSKDMTINQVKEELSKEFRIPAHSQRFWLWAKRQNHTFRPSRPLNQHEEANTVGHLKDTTTRLQDSELRLYLEIVQGNHLTLPLKTKDDILLFFKLYDPDKEELRYVGSLFLKASSKPSDIVQKLNEIAGFQPDEDIELYEEIKFEPTVMCEPVDVDVSFKLSQISDGDIICYQKRCSPDSMDQHRHPNVPSFFEYIQNRQVVHFRLLDKPKEDDFSLELSKRSTYDDVVEKVAHHLGLDDPSKLRLTQHNPYSQQPKPQYIKYRGLDHLSDMLHHMNQMSDILYYEILDIPLPELQGLKILRVAFHHATSSEVQFHVARLPKGNTFSDLSEDLKSKVELSRSDAELRLFQVFNNKIMKVYQPAEKIDSINDLNGPLHVEEIPEEEKNAGPRDRLVHVIHFFKDNQHMQYYGDPFFFLIHDGEALSDIKVRIQKKFQVPDEQFLKWKFAYIGYNRQEYLQDTDIVLSRFQDPSSLKCHSTSVIEAQ >cds.KYUSt_chr3.34288 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215077557:215081563:1 gene:KYUSg_chr3.34288 transcript:KYUSt_chr3.34288 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAHAPATAARGGLALYELYRAASRAAAPAVLLWRRLRGLEHPSRWPERLGRPSAARPRPGSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPILLTTTTLSAFEVIKDLLPDAVIYQFAPLDCPDAIERFIGYWKPSLILLMESELWPNLIMSAAEKGIAVALLNARMSLKSFNRWSVRLGLPLVSLMLSKLSLVIPLSTIQAVRFQLLHTPPHKIHFAGDLKYAVGDVEAGEKELNTIKDLQQQFNDRPIWMAASIHKGEEEVILRVHHELIKTHPLLLLILVPRHPQDSKDVSLALKKQKVNFVLRSTKEVVSSNTRVYVVDTLGEVELLEALKEMLGDSKALEARQKAAKDSFSIMSDGVVNRVWNLVSMFAVESQQKRGIVD >cds.KYUSt_chr5.10012 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63934350:63938155:1 gene:KYUSg_chr5.10012 transcript:KYUSt_chr5.10012 gene_biotype:protein_coding transcript_biotype:protein_coding MALASFTASLPIAAATAVVRTRAAVVRRAMSSSVSVSGSQARVGVVQMTSVGDLDANYATCSRLTKASPSLPSPNPARPCRREIGADHLLRFATGVLLVPSAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGVVIARLPDRLSTGFAAADIDLSKVEAVRTKMPISEQRKFNSILKSSSL >cds.KYUSt_chr5.2903 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18987897:18989552:1 gene:KYUSg_chr5.2903 transcript:KYUSt_chr5.2903 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPYPFGFSSGCTIRLGCDDDVAWLGDARELGLHVRNVTARGIILELIPDCSRAFNATVGALFSDNYAPSSGNTLVVSSCSPVAQGARIISDCSSNPPASNMSRSSSHCSANESIRCIVSLPPSDSSGRHFLRKHEVLSSECVGLVSSMSYQDEPTPGLLLGLLRLDWWVQGASSWEGRKLQVSTGVAAACGTFLLSASIAVCFFLHRRGRSNAMKTDKKIPKHARLFRGELVEDELDQGAGAPRRFYYDELTVATDNYSDDRALGKGGFGSVYRGFLSDMNREVAIKRVSETSRQGWKEFVSEVSIISRLRHRNLVQLIGYGRTVLCRAPISHGKGPNPLGKGFAMRPCTAKNTRQRSGRQRRLCRASRENRTAKALPCDLALPCAAPAFAVRFALPCAGRLCRAPYFAVRLRAKPHGKHLALPCASTPSHTAKHPTATPGGSIGTLCRAAFSLPCACAR >cds.KYUSt_chr1.31370 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190265880:190266338:1 gene:KYUSg_chr1.31370 transcript:KYUSt_chr1.31370 gene_biotype:protein_coding transcript_biotype:protein_coding MIELADEERRNKWIFWQLQLLGQFICAVALRLVMSIDSTSPRDYLCILRQLPVYEGAGESFAHMDLNQDELVQDLDGEESAVVAEIVGAAESTFGLQKRVWRLEKMDSIPAGQGTARSMNQLSPWRNQIRNLQTGQKCIPLVPRSVHARSRE >cds.KYUSt_chr7.23720 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147761292:147763965:1 gene:KYUSg_chr7.23720 transcript:KYUSt_chr7.23720 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDGQIRRDRIIIDTDPGIDDSMTILMAFEEPSVEIIGLTTIFGNVTTEYATRNALLLCERAGHPEVPVAEGSPEPLKGGEPRVADFVHGSDGLGNLFLPAPTTKKVEESAAEFLVNKVSQFPGEISVLALGPLTNVALAIKKDSSFASKVKKIVVLGGAFFAAGNVNPAAEANIYGDPDAADVVFTSGADIDVVGINITTQVCFKDEDLLELRNSKGKHAQFLCDMCKFYRDWHTKSDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDHGLKKYDHTIYE >cds.KYUSt_scaffold_1700.388 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2541830:2544781:-1 gene:KYUSg_scaffold_1700.388 transcript:KYUSt_scaffold_1700.388 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEAIKAREIVVKKLENKDFVGAKRIALKAQRIFPELENLSQLLTVCEVHCAAEAKLNGLLDFYGILQVDVMADDATIKKQYRKLAFSLHPDKNSFPSAEAAFKLVAEANSTLSDRTKRPAYDSKWRVASKIAPKQDSEQKQGTQPNKSTQPKQATQPMQGKKPKQARMQKQNTEPMKKSVPSTFAEHAIWTKCNHCRTKYQYYSAILNHSIRCQNCNKNFVASKLNEQDVPSVFTSNATNGVGQQSSFPSQQDCSRKFSPRLNRDAKPSVNVATNDKHMKSASTVGDEKVNHAEAGEKRGVEFSAGNLSKSSTPSSNDKANGKMASGPADPDIADSTNTCSRVVDTSAEPGAIGSPSPRRSARRKANPDANIVVSPSKKKRTIKDWFSNAEMFDGNVAPVDVKIGEAHVSSKAQKQEKGRTTDEGNQENIKEVMHDAAAVKKPSNSGQFSYPDPEFFDFDKCRHNSLFAVDQIWAVYDDRDGMPRYYARIKRVDATKFTVQYTWLEHEAMNEEEDRWTDELPVACGTYKLGETDVSPGTLMFSHIVPWGKGRKRSSYEISPRKGQVWALYKGWSMQWSSDADNHKTYDYDIVEVLSDFTMEAGVAVAPLVKIKGFVSLFAKVIGKSSFVIPSSELLRFSHSIPSYRTKGNEKVGVASGFLELDSASLPSNLDVAFPSVTIDKTINSGFIDASGFSTAGPGNEQSVQKENKRSGAKHMDDFPERTPNQQQKVRTASVPGSSQQLYTSPSTIATYPDSEFYNFEEGRSYNKFERGQIWALYCDLDKFPKYYGWVSKVDTEPFRLHLTWLEHCPQLEQEKMWLDHDIPVSCGRFKLQNWRIKYDSNDAFSHLVEANQVSGWQRVFEIHPRVGEIWVIYKNWAPDWVPSSNDACECVIGEITERTEASTKFSFLTQVDGYRFVFRPDNERGILEIPANEKSRFSHLIPSFRLTEEKGGKLCGFYELDPASIPDAFLFRGSTC >cds.KYUSt_chr6.33733 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211636623:211640223:-1 gene:KYUSg_chr6.33733 transcript:KYUSt_chr6.33733 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSGGAINKAASAAADEMSLLMGVRKDIWFIKDELETMQAFLVAAEGMKEKDLLLKVWAKQVRDLSYNIEDCLGEFMVHVASQSLSRHLMKLKDRHRIAMQIRDLKGRVEEVSNRNTRYNLIDKNQVLAKATDERESCMEDIRNQSGTNIDEAELVGFLRPKEELIKLLDVHANNGPTQVVCVVGMGGLGKTTLARKVYESMENFSCCAWITLSQSFVRMDLLKIMIKELLGDRALKTQLEGNVVRQENLANYLRKELLEKRYFVVLDDLWNLDDWKWIKSIAFPSSNNRASRIMVTTRNVGLAEECSLESSRPLIYHHEPLKTDDAIKLLLRKTRKTVEDMKNDKDMKDIVTKIVKKSGRLPLAILTIGGMLATRVVSEWKSIYDQIPSEIERNQNLEAMRRVVTLSYNHLPSHLKSCFLYLSIFPEDFEVKRRRLVERWIAEGFVIRRDGVSVEDVGNSYFKDLINRSMILPSKVNIEGAVKRCRVHDIVRDVMISIAKEENFACSTWDNLTGIGGDNFRHVAYQGRGGQDKGLDWNHIRSFTVFGERPMKPIPSVCSPDFKMLRVLDLCDAKFGITQKDINSIGMLRHLKYVSIKPNGQSYVYKLPRSIGKLQGLQSLDIGYCYVASLPTEITKLASLRSLRCCKKTISGNLYIIYPSMLLASTFCLPIIYTPLIDPDDRSRMMMKFHMAWTGRLSNSCGVRVPKGISNLKELEILEVVDIERTSRKAVKELGELIKLRKLNVTLGASQQKSKIVCASIEKLTSLRSLSIGVDDYSWRSGASLKCLHSISSIPPLLRTLKLGGGLGEMPGWVGDLVHLVKIMLMDTNLTEGDDSLNILGALPKLIHLHLGRESHVGEKLAFRAEKFTNLRKLVIFSMFNMSELIFEVGTSPHLEKIEISGCKLESGINGIKHLPRLKEIVLGFEAKVARLGVLQREVDTHPNHPILRLWKDWTCYDLDAVLQVQGSATAVQAEEATAGESSHPQAAGDDGEQQVS >cds.KYUSt_chr5.13511 pep primary_assembly:MPB_Lper_Kyuss_1697:5:87839580:87841472:1 gene:KYUSg_chr5.13511 transcript:KYUSt_chr5.13511 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFNYPAIYKPKKAPSVVCSGLYLAARFFCSKWSHNNSLKDEWLARIDRNSIDVFALHERMQLCAKRRSLLVGKSCHGLAVQLGLVADTLTCNILINLYTKCGQNDCARYVFDAMLVRSIVSWNTMIAGYTRSGEDVQALKLFSRMHREGTPLSEFTLSSTICACAAKYAINECRQLHTVALKLALDSNSFVGTAILDVYAKCNMIKDACWVFEKMPDKTGVTWSSLFAGYVQNGLHEEALSLFRSAQREEVELTEFTLSAVISACASLASKIEGTQLHSVSVKCGFHGNLFVAASLVDLYARCGQIEKAYAVFAYMEHKNVVIWNAMIAGFSRHAHSWEAMILFEKMHQLELFPNEVTYLSVLSVCSHAGLVEEGRHYFNLLMSDQTVEPNVLHYSCMVDVLGRSGKTDEAWELLHKMPFEPTASMWGSLLGSCRNYTNSRLARIAAEQLFQLEPDNGGNHVLLSNVYAASGNWENVAMSRKYLKDSGAKKEPGRSWIEAKGKIHVFVVGERKHPEIRDIHNKLEEIYDDMRKIARRTKIECDLHDVHDDQKEELLKYHSEKLALAFGLICLLPNMPIIIHKNLRICGDCHSFMKVAAHITERLVIVRDTNRFHHFKNGSCSCGDFW >cds.KYUSt_chr1.7848 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48213848:48217610:-1 gene:KYUSg_chr1.7848 transcript:KYUSt_chr1.7848 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGRVVSAVVVVDGVPGVLGRCRLEPSARTLARVAGAWRHRPVEVIIVAILQFNQRHGPGRDVPCRGRAQGRQVIEEDTASARAFKGRLDPRAMGNSCVTGSGRSNQGQGQVSNGAQTTFKWTIDGFSSLLDKGNAWTCSSVFQIRGLNWYLRLNLRDTKSGDKEEYVSLKLVLAQRHVRSDKVVEASFKFLIYDQSYGKHHEGHQVSHNFQSTSTTSGTSCLIPLATLKEKSSGFLVNNCCILGVEFTRVVVAEGKDVSETLFVQKINNICSDPQVYSWNIDDFFLLKNLSISPVFELCGHKWFITIHPSGQDKSGNYLSLYLSMKVSDTLRENSGNLVELSISIKDQETGKHRKFSGRCQFSKNSPSWGWPKFISLEDFKDSSNGFLLKKKCCIEAQIAVIGSSKIE >cds.KYUSt_chr5.2048 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14029021:14030074:1 gene:KYUSg_chr5.2048 transcript:KYUSt_chr5.2048 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAYLSTAVFGELLRMSQEEFGFAGGNDGRIMLPCNAAVMEYATCLLRRNASAEVQTSKLNSIRSFHHQLSITPSITHFSKFTAEEQDHEPTMVSAKRLPQLAKKWQRMASLGGKRLTATAKEDEECCTSSAVKGHCIVYTTNGRRFEEKCLCQG >cds.KYUSt_chr1.25015 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149832007:149835145:1 gene:KYUSg_chr1.25015 transcript:KYUSt_chr1.25015 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPPAAASRIAAAAAILCLLLASAWAASAAAAEHGQQRALVAVQPQPTTQGGLGPRRHHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLASLGADADRVLIASADVPRDWAFLSLAFPRATRQIRGRGFAGGSGSTLPYLLQHTARILGSLFWREEDGMRVVIVENLRNPYEGNLGGMNRRFKLTLNKLYAWSLVDYERVVMIDSDNIFLHNTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKSMLHDLEIGRDNSDGADQGFLVGCYPDLLDKPLFHPPENGTKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPILPLGLSWHKQRWDDLGYAAEIPVVLIELLMYIAIIAITRLARPQMTKLCYNRRPEKQGALVQGLIKLAAIVAMVAAYTIPFFIIPCTVHPIIGWSLYLFGVLAFSSAVINAFLLPPLAVLTAWLGMVGMLFVMAYPWYHDGIARILVVVAYAFCSAPFLWASLVRVIDSLQTMLERDPYFPRLSEPAQETEFSKLF >cds.KYUSt_chr7.26723 pep primary_assembly:MPB_Lper_Kyuss_1697:7:166811098:166823212:-1 gene:KYUSg_chr7.26723 transcript:KYUSt_chr7.26723 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSSPTPPEIRSPEQDRAAEDAADDAAQGEEEQFDDAFDIPHKNASHDSLLRWRQAALVLNASRRFRYTLDLKKEEEKEMIRRKIRAHAQVIRAAFLFKEAGEKDIRESCTGIKPATASHSFPIELEKLTTLNRDHDSLVLQELGGVEGLSGLLKSDLDRGINAAEDELLQRRDVFGANTYPRKKRKNILRFIFDACKDLTLIILMVAAAISLTLGMATEGVEEGWYEGGSIFLAVFLVILVTATSDYRQSLQFQHLNEEKQNIQVEVLRGGKRFRASIFDIVVGDVIPLNIGDQVPADGILISGHSLAIDESSMTGESKTVRKDQKAPFLMSGCKVADGYGSMLVTGVGINTEWGQLMANLSEDNGEETPLQVRLNGVATFIGMVGLSVAGVVLGVLVIRYFTGHTKNPDGTVQFRAGTTSAKQGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEAHFIGTKLDPCDDVKAISRSAAALLIEGIAQNTTGTVFLPEDGGAADVTGSPTEKAILSWGLKIGMDFTDVRSKSSVLHVFPFNSEKKRGGVAVQSATGVNVHWKGAAELVLSSCKSWLSLDGSVQPMGVQKHNEYKKSIEDMAKSSLRCVAFAYCQCEIEMIPKEDIADWKLPEENLTLLGIVGIKDPCRPGVRNAVQLCRDAGVKVRMVTGDNIDTAKAIALECGILDANGVTSEPFVIEGKVFREMSETARGEIVDKITVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVIAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRQPVGRREPLVTNIMWRNLFVQAIYQIAILLIFNFSGTKILRLENESPDRAEKMKNTFIFNTFVFCQIFNELNARKPEEKNVFKGVTKNHLFMGIICVTTAFQILIVEFLGKFFKIVRLNWTLWFVSVAIGLVSWPLAYMGKFIPVPVRPLQSYFKRVPCWRSSRRDEEEGGQG >cds.KYUSt_chr1.5665 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34989706:34991824:-1 gene:KYUSg_chr1.5665 transcript:KYUSt_chr1.5665 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWFGSAEKVPFSKKTLRTVCSQIAKESLQDDITKTMESFRAMISKDDKFVFSAQVDDDNRLRSLMWTSGRSRSLYEHFGDAITFDTTYETNIYKMPFGMFVGVSNHFESVIFAGVLLTNERAADFKWAFSEFVTMMGGKAPVTMLTDQARAMAIAIKDVLPKTNHRWCKWHVLRKAQEVLGHVYKKHLTFSDDFHKLVNHMLTIEEFEAGWHAISEKFVAQYSKLINDRESSDHECEKNTKQKSIELQVGYPIEKHASLIYTRKVYQLFKMELLKSTKYVIVPRKENNIFEVKHVQAELRDSWCKVNYVIDVQDPVGFYKCECGLYEHFGIVCSHIIAVFIHRGVCSIPDCHIMKRWTRQARMSKYNPNLRNLAKDRIMESRSFRHKVVYMSAMELVNQAEVDEKSCEIANRNIERGKKEIEEYRLSKLRTCQVGYGDCSGTSNAADANGADDTMDCTEVGNPGLEIFDRSKNIGIPVCSIKAPLIKKKDGRPTNRRFLSRLDANIKKKKPAGKAKRSKDPGGLSGVVQSRFCSKCKSLAHNITGCPKMMLEDGPN >cds.KYUSt_chr1.1073 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5745079:5751419:1 gene:KYUSg_chr1.1073 transcript:KYUSt_chr1.1073 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGDVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEENINWPFGISSIGDDEWWEYFPCPYCYIEVEVPFLCDHLHEEHCFDMKNAHFDDRIAVPQVCPICADNLGTDTAQHFRDQHSQLLKLIGIGIWSQRRKPSSKARGAAAADKETCEEDDDSYFETTSYIVGNPVADHSPDPLLSQFICTVAPPPVDSEPGNAKEKDHAPPSLYDHRVDPVVMDDASRHDLEERLQRVEFVKQMLMTTIAQE >cds.KYUSt_chr4.51025 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316310546:316311211:-1 gene:KYUSg_chr4.51025 transcript:KYUSt_chr4.51025 gene_biotype:protein_coding transcript_biotype:protein_coding MFTYKSVRLESRQHPQLRAITSRSTEKNNMKTCFLLVAAVAAAAFASARCSPAQAAAPPRATTIGATCQAAHDADSRVSASFCNTRLRDAFAPDTRYLAVAAAGEGVSNANDARREISTAIQRHDEGDDQEADPIYRRVLEVCEEFYGIVRERYEAARQAIDEQRYGDVEEELLSVPSTGRSCDDKFALSRGGSGAPPFLQYCEDNTQIALLTIAITSLIK >cds.KYUSt_chr3.32788 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206096867:206097907:1 gene:KYUSg_chr3.32788 transcript:KYUSt_chr3.32788 gene_biotype:protein_coding transcript_biotype:protein_coding MADFLGHGIFSSDGDQWLWQRKASSYEFNKRSLRNFVVSTVRFEAVERLLPLLSRAELDGLTLDMQDVLERFAFDNICCIAFDEDPACLTEVGLGANGRAEFMHALNDAQIIVMARFMSPVKWAWRIKKLINIEPERRMSEAVATIHGYVNRIIRERSERGEAGLARKDDFLSRFISSGEHSDESLRDVVTNFILAGRDTTSSALTWFFWLLSNQHEVEDKIVHEVCTVRASSRSTDAAFSFDELREMHYLHAAITESMHGVPTGALTGRGGCVPARELVQVPHFPRWAKDVPRQGDGLHTNEVHRRVLERFSFQFVGGEGRPGLVFSVTLGMEGGLPMQVKKRGH >cds.KYUSt_chr5.41983 pep primary_assembly:MPB_Lper_Kyuss_1697:5:264750714:264750917:-1 gene:KYUSg_chr5.41983 transcript:KYUSt_chr5.41983 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEEQRQPGAPGAAACETAVPDQGRDELHARPALLGYVHNSFVTSNLVRSFADLVHVHLARAVAVS >cds.KYUSt_chr1.32466 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197181126:197183434:-1 gene:KYUSg_chr1.32466 transcript:KYUSt_chr1.32466 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLSGAVAGGIRRSLYTATSRPRPPWALLQLTSVDESGAPAPGASFHLDEPPFVTNLTVPAHFVLPRPLPDPKTGKYGFVSGQVGAISSDGFLLVRFWEARYDIPAIAGDDDEESSLASALLGLSLADMDINPEGSRFICNPLSGELYRLPDLDGTKRTTMYHHLGLLTQAERDQGPPARYAVAEMFTLGDGGEEEGGFVMRRFLSETGKWEKLVGLPSPLPAAGRRMAIGNAVVAFGGRLWWVDESWGAVSVDPLSDRPELCFTELPRGSVLPDLEGMVLTRKLGRYRRMGVSEGRMRYVEVSKEKPFVISSFSLDDGGSSWTLDHEVPFLHIWMDDRNQQFAPLKEMPAIGAIDPLNANVVYLVCGDQILGVDMVNKKVTGSSRLDVPEFPLLPCVLPDWLETSQIPYAGLSKKTTAKREVSPDADKKDTLHVKVELMK >cds.KYUSt_chr3.28888 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180338419:180345572:1 gene:KYUSg_chr3.28888 transcript:KYUSt_chr3.28888 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKGGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRWGPQFEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQDSRIIATHTDSPDVLIWDVEAQPNRHAVLGATDSRPDLILRGHEENAEFALAMCPAEPYVLSGGKDKSVVWWSIQDHISGLGDSSKSETSPGASGSKHSKTANEKDSPKVDPRGVFHGHDSTVEDVQFCPSSAQEFCSVGDDACLILWDARTGTSPAVKVEKAHGGDVHCVDWNLHDVNYILTGSADNSVRMWDRRNLGPGGGAGSPIHKFEGHKAAVLCVQWSPDKASVFGSSAEDGFLNVWDHDKVGKKKNPNSPGGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEEEVLSELENFKSHLASCTPRA >cds.KYUSt_chr7.16643 pep primary_assembly:MPB_Lper_Kyuss_1697:7:103113819:103114190:1 gene:KYUSg_chr7.16643 transcript:KYUSt_chr7.16643 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTPPATLQPCEHARGRALRSPPRVWRRRRCQAIRARPFRSVKEAVAVFGERILVGETNSRYSSNVVAIANSHANAAAIVPMYSAPSSPPSFGSPRSGYDDDDDEDGSVVQLRKRGTELD >cds.KYUSt_chr4.23466 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147703095:147704759:1 gene:KYUSg_chr4.23466 transcript:KYUSt_chr4.23466 gene_biotype:protein_coding transcript_biotype:protein_coding MNCQAHPSEPSYHTSGPLLTSGGRGPGGFMQSTAPGPNTPAAAVRSLSPRSAAAGHQLHALLTKHGLLHHPPFLRALLSRLPASPSALSLLLSAPPGVLSPSIFCPAIAAFSSSPVPYSSLVIFNHVSSFSLPTPLPAFPALLKSCARAFKLCSRASKSAAAFTSKGMELHCRVLKLGYGTDQYVQNALVSMYGKLGRLRDARMVFDEMPVRNAVSWNALVGAHGAVGDSQGAARVSKDTPDKNISWWNTEIVRNARVGDMEKAARVFREMPDRDAVSWNSLIGGYAKLRRYRRALEIFQEMQGNGIKPTELTLVSVLGACAEIGELELGKVVHSYLDSAGIAADGYVGNALVDMYAKCGRVELARQVFESMSTKDITCWNAMIVGLSVHGYSREALELFDSMKLEPDRITFLGVLTACSHGGLVSEGRAYFDSMTARYKIVPDVKHYGCMIDMLCRCGKVSEAYQMINDMPIKANSMLWKMVLAACRVHVHMDVADKVFHKLRELMPVDDGDVITISNVYAESKRWDDVEHLRTKVIEPRASKHAAHSQVNVT >cds.KYUSt_chr2.45022 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280598009:280599034:1 gene:KYUSg_chr2.45022 transcript:KYUSt_chr2.45022 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAGEVDDFGLDLIREHLLGCGGGVPATAASYLGSFCDDVTIPVLPPSVADPAAYQPTSFFPPQQEQQMQGYMDMAQEYANSSPAADVGEATFPAPEPVMIQLGGEPSPVRAPPSALTISLPAPGSFGWAGDAGAPAPVAVADAEDFRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTSKEAARAYDRAAFRMRGAKAILNFPNEVGTRGAELWAPPAPALQDADAGGATSKRKRSQEQEDSEVEVVGVVVNKAVKTEAPSTSSAPVSSWDTLSSMSTGTASSTVTSADTTPDGGFPPTPQTSDWEQYWDALLGGMPLLSPMSPHPSLGFPPLIVS >cds.KYUSt_chr4.38457 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237142222:237143919:1 gene:KYUSg_chr4.38457 transcript:KYUSt_chr4.38457 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTIIGASTVLVVAVVAAVCVVTFKEANNEKSNEELTTSVKSIKSFCQPVDYKEACEKTLQDTAGNASSTTELAKAIFKATSERIEQAVRESSVLNDLKNDPRTSGALKNCKEMLHYALDDLKTTFDELGGFEMTNFKRALDDLKTWLSSALTYQETCLDGFQDTTTPAAAKMRKALNVSQELTENILSIVDEFGDTIANLDIDLSMFSRRLLANDGAPGWMSETKRRLLKVTPTEPDFTPDVTVAADGSGDFKTINDALAKVPLKSAETYVMYVKAGKYKEYVSVARNVTNLVMIGDGATKTIISGKKNFMMNITTKDTATMEAIGNGFFMRGIGVENTAGAENHQAVALRVQSDQSAFFECQFDGYQDTLYTHTSRQYYRDCTITGTIDFIFGNAQVVFQNCLIQVRRCMDNQQNIITAQGRKEGHSAGGTVIHNCTIEPHPEFKDHTDKLRTFLGRPWKEHSRTLYIQSEIGDFIDPEGWLPWLGDFGLNTCFYAEVDNHGPGADMSRRATWRGVKSISYQQAQQKYTVERFIQGQLWISKFGVPFIPGLLPQTQGGRIH >cds.KYUSt_chr4.25794 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162229224:162235986:-1 gene:KYUSg_chr4.25794 transcript:KYUSt_chr4.25794 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAAHPAAAGDELRRLLAATLSADKASVDAATAGLDGISAAGDPRFPIAVLAVAAGDGDQGTRIAAATYLKNFARRNMEGGLSSSALYVEFRDQLAQALLRVEPAILRVLIEIFRQVAEKDFAKENSWPQLVPQLKLVIQSSDAISPGHHPEWKTINALTVLHSTVRPFQYFLNPKVVNEPVPDQLEQIAAEILVPLQVTFHHFADKVLSSHDGNKLEYEQLLLITCKCMYFTVRSYMPSGVKQILPSFCKDMFRLLDSLDFNSPPEDADTTRLKIAKRCLIIFCTLVTRHRKHADKEMPHIVNCVTKLSKQSIHLSKLNSLSDRIFSLSFDVISRVLETGPGWRLVSPHFSSLLDSAILPALALNEKDIADWEEDTDEYMRKNLPSELDDISGWAEDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGEKNKGKGGSSIGDLLVIPFLSKFPVPSHGEDASSKAVQNYFGVLMAYGGLQDFLTEKKDLTATLIRNRILPLYYLDQCNPYLISTANWIIGQLAPCLPEAMSTDIYNSLMKALSMEDAEDLTCYPVRASASGAIAELIENGYSSPDWVALLQVVVKRLSAEDENESALLFQLLGTVVEAGEEKVLPHIPGTVSNIANIIMNLLSPVPDPWPQVAEQGFAALVAMVQAWDSSAPDENKEHEKREWQIGQTAIAQTLSIVLQKAWLLPVEQMELTLDSELPPASCVNEASFLLEFIMRSITSVEEITHLKVFELVAIWADTIAYWDSWEEMEDQGVFNAIKEAISFHQRFDSSGFFMKMLPSQSANGAQSSIIGRVSSFVTRAIAAYPSATWRACSCIHTLLHAADFSLGAENGRMTLAVTFGEAAFSYFKGLSDSPAGIWKPLVLAISSCYICYPDAIEQVLCKDDGNGYTVWASALSQISSSSFAPALSSESEIKLAVLTLATVIERLLALSMGGTKVLKDCYISLMESCIHLKDVQEDGDDDDEVEDDDDDEEEDTDDDDEDSEDDNVREETEEEFLARYAAAAASAASESIEVVEEGDIDDETQDIELGYLDEVDIKQVVLSLMQKHITLLQAQSLPDDLIERIAETFPESEQMLRAHPQT >cds.KYUSt_chr4.22440 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141054762:141055299:1 gene:KYUSg_chr4.22440 transcript:KYUSt_chr4.22440 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKHHHLFHHKKEGEEFEPAPGGGVDEYAYTSETVVAATDDGEYARITKEEKHHKHKEHLGEMGAVAAGAFALYEKHEAKKDPEHAHKHKIEEELAAAAAVGSGGYAFHEHHEKKEDHKEAKEASGEKKHHLFG >cds.KYUSt_chr3.25173 pep primary_assembly:MPB_Lper_Kyuss_1697:3:156339180:156345086:1 gene:KYUSg_chr3.25173 transcript:KYUSt_chr3.25173 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVSALADASISLALVAFRSTIRIADASSWIRSGATCTVTKVARLFRFEHDVEEDLLACGLCRRQLSDLFGRPVGVDLCLDVVLCRHELAVVLLQGRRLACLSMELPGARSGSSRGLPAPRWSAGIDASRGRPLPPSQPAPPPPLPPSQPAATAAWPSPSKACLMKTTPCLPAAGVLRSSQCSQLRRRPLLMCQISVDVTTPNRGILLLGQVCCAIALFTAWIGAASHHIGIGDIVIHQACKTGLCHESCIIRQVREMTMEQAAALDEGYMRNNRPTQQLNGCTVQLYHRFGRTRRTRPDRCASVL >cds.KYUSt_chr6.23324 pep primary_assembly:MPB_Lper_Kyuss_1697:6:147293636:147295533:1 gene:KYUSg_chr6.23324 transcript:KYUSt_chr6.23324 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACVTLMALICAVPVIASGIWFASAQGEECARLARWPVAILGGLILLAALAGFVGAYWNRRRLLAFYLFAMGLLIVLLIALLAFAFAVTRGSGAYPVLGRAYDEYRLDGFSMWLRGYVSDDPGRWEGIKSCLAVSDTCKKLARQASFVTADQFYQSSLTPLQSGCCKPPSVCGFGYVSPTVWTNPARPAADADCGRWSNDPAQLCYECESCRAGLLATLRRQWHKANIALVVATVSLVFLYLVGCSAYKNAHAAAIYRRYKW >cds.KYUSt_chr3.23962 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148667191:148669703:1 gene:KYUSg_chr3.23962 transcript:KYUSt_chr3.23962 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRWWWCLLAVAVAAGGAGAQETCAGAVPEPPRRGAWMSVASFGAAGDGRTLNTQAFAAAVARIERRRAPGGALLYVPPGVWLTGPFSLASHMTLFLARGAVIRATQDTSRWPLVDPLPSYGRGRELPGKRYMSLIHGKGLQDVFITGENGTIDGQGSVWWDMWKKGTLPFTRPHLLELMDSSDAIVSNVVFQDSPFWNIHPVYCSNVVIRNVTIVAPHDSPNTDGIDPDSSSNVCIEDCYISTGDDLIAIKSGWDEYGIAYGRPSSDITIRRITGSSPFAGFSVGSETSGGVENVLAEHLNFYNSGFGIHIKTNTGRGGFIRNVTVSDVTLDNVRYSLRIAGDVGGHPDEGYNHNALPIVDSLTIKNVQGQNIKEAGLLKGIPNSAFSRICLSNIKLHGSVPVRPWKCEAISGGALDVQPSPCTELTSTSGMSFCTNSL >cds.KYUSt_chr7.12690 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78128433:78129812:1 gene:KYUSg_chr7.12690 transcript:KYUSt_chr7.12690 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRELSRYLANPKAATEPPPEGPGSGFLVLVDETGETARTTCCGMCIDREMRGLPFPQNRQLALRPNTSSNPALESCATFVDFVSEIVGQCTGNELPGGRGKAPPPPNYAMLVPVVDQPLSSGRYYVVQFDGKHRGKVSACSKEEDKTTCCFGSCVNDVKPRAFDSGDVYQQVEIQLLPSGGKGFKAVAVATDGIPPHYLRKKGWKVNTMVSPRWYVPFMFVKADGERSLKDQSRRCTFYEMTMEQSWEQIYSSDYPAASSKPAEVAVAATVRRSTALLGDTNVVQEGGPQADGGTVWFRPVAAAAAKTATVGLDMVLWERMRWELERGGWVAPRNGNGDEERIERVEKHDGLGQWRKFGCYLLMERFVLKRMDGSLALTCEFRHTNKIKAKWL >cds.KYUSt_chr3.48635 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304039818:304040123:1 gene:KYUSg_chr3.48635 transcript:KYUSt_chr3.48635 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGKKFGGGRVPTGTPSLAWSSVVVVVSLLAGASIVHNIYKPDMTLPPVEAATGVVSGNQKEK >cds.KYUSt_chr3.38838 pep primary_assembly:MPB_Lper_Kyuss_1697:3:244662975:244667449:-1 gene:KYUSg_chr3.38838 transcript:KYUSt_chr3.38838 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGRGQAAAPPPPPPPPTPPPPTSSSDKEFDDDDSTDDLLDPVRDAEALVEAEKEAEEERAAHAVFDAEMEHRKVAAAAAAEDSDFDISWSSDDPDAPTPKGTTEATMPSIAGGGGWRLFSAARGITWMRRRNLQRPPFSSLAGGGGGDAPHLPVVIVGAGPVGLVLSFLLAKFGIKCTVLEKSMEFTRHPRAHFINNRTMEIFRKFDGLAGDIERAQPPVDLWRKFVYCTSLSGSILGSVDHMKQEDFNKAISPISVAHFSQYKLVDLLLKKLDGVGFQTCYPDELGGGSTQDLLFENKILMGHECSSIQLTDEGVLIGASYNNKGRMQERKLHCGLLLGADGARSKVRELAGISMKGERDLQKLVSVHFVSRDLGKYLSSERPGMLFFIFNPDAIGVLVAHDLEHGEFVLQVPFYPPQQMFEDFSNKVCEQIIVKLVGWEPADIQVLDIKPWAMHAEVAEKYVSCNNRVILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLLQNGIAAPSIIQTYESERKPVAIFNTELSLENFKAAMSIPATLGLDPTIANSVHKVINSILAPVIPRNLQKVVLEGLFSIGRTQVSDYILSEKNPLGSSRLAKLRSILDEGKSLQLQFPAEDLGFRYEKGALVAEDTTHQAEKPRHSKRSSGEYIPSAKIGLRLPHMPVRALSALNESVFSTMDLVSGDKVEFVIIIAPLEESYKLARTMLRIADEVEVSAKVCVMWPQGSVDGEVKGSISELAPWTNYVDVEEMPRAPVNSWWEMCQVSNKSVILVRPDEHIAWRTEPDMVSDAHSEVRTVFSQILSLNGPQV >cds.KYUSt_chr5.15513 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100180468:100185255:1 gene:KYUSg_chr5.15513 transcript:KYUSt_chr5.15513 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAWKVGTSTLAVCENVHRHIVSVDAMCPICGVEGEDDHHALVRCTIARALRDELRKSWDLPSEENFYHTGREWLFHLLANTSTVGRAQVIFLLWRVWHHRNDIIHGDGKASVAASAPYLINYLNSFHAVLTTTSDPKGKAPMQPVQAGPERRDETAWVAPREGELKANVDAGWDPLTKKTGIAAIIRDHGGQVVVAAWNSVEGCLSPEEAEIHAGLLGLRLLISTSKGPATLESDCHRLVITCQEESLDRSTHWALYSEFKELLRVYNQISVYANGCVCLSLPTILKELPFPLLPLLIRSPSGNTIPIHHPHTPSYTGHLHPERESGEGCDDKMLKKLLLKTKSKKKKEAASSALPTLDRLHETLEMLEKKERFLQKKSSAEVEKAKDYTKAKNKNAAIQCLKKKKLYETQIEQLSNFQLRVHDQIIMLENAKATTDTVDALRSGSSAVKAIQQSLSIDDIENAIDDANEQTENMKQIQEALATPFGASAEFDEDELEAELEDLEDEELENELPEPPQGIPVAPSASEAKSSRPMANDFAELTKLQAEMAL >cds.KYUSt_chr7.30438 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189537702:189539543:1 gene:KYUSg_chr7.30438 transcript:KYUSt_chr7.30438 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAATTIDRDFESDVVLGGNRSAVKVGAINFSKLDKSPKYPLITGASAKSSSASRIESASHCEPGTLDASKIKGKIVLCNHSQSDTSKMVKVEELQSAGAVGSILVNDAERSVTTAYLDFPVTEVTSQAAADLYKYISSTSEPVATITPSITVTELKPAPVVVYFSSRGPSAQTGNILKPDVAAPGVNIVASWIPTSSLPAGQKQPSQFNLISGTSMACPHVAGAAATVKAWNPTWSPAAIRSAIMTTEPGMIWSEVQASRVAMMLSWLYPLAFKSIQAKSFDVFVFLSQDGPDDIWQKNNW >cds.KYUSt_chr4.252 pep primary_assembly:MPB_Lper_Kyuss_1697:4:1375131:1375927:-1 gene:KYUSg_chr4.252 transcript:KYUSt_chr4.252 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKKKIADLGAMLHRCPCLRTISLSFRGITPESTKATLTSLESAPGASVCLLDICIHATDRVSATRFTSLLSAATGVSPHNLVLTRAPDFDNVAMALIEAELPYFNSATSIKLSLPNICFTQLPADKFLALRKLSLSGCRIVDLATLITRCPRLHALTLMATESTSNITVHSATLQELYVNANTECQSINIVTPALKELILTVDCDANLSISVRRQWWSRTV >cds.KYUSt_chr1.36292 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221293916:221295346:1 gene:KYUSg_chr1.36292 transcript:KYUSt_chr1.36292 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKTFVLYPSPGVGHLNPMVELAKHLLRHGHNVVVAVVDPPDSDAVSADALARLAAANPSIAFRLLPAPLGPDPAAHPVKRSLDTLRLANPVLRDLLLSIPAPGPDALLLDMFCVDALDVAAELAVPAYFFFASAAGDLAVFLNLPYIYPDLPSFKDMGDTLVRCPGMPPVRAVDMPLTMQDKESDPTKVRLYQFRRIPEGRGVLVNTFDWLEPNALKALADGVCVPGRPTPRVFCIGPLVNDGAGIGDGGGRRHECLAWLDTQPEKSVVFLCFGSKGAFSAAQLKEIARGLESSGHRFLWAVRSRPEEQSEFPDPNLERLLPAGFLERTRGRGMVVKNWVPQAEVVRHEAVGAFVTHCGWNSTLEAIMSGLPMICWPLYAEQGLNKVFMVEEMKIAVALAGYETGMVKAEEVEAKVRLVMETGEGKKLREMLAVARKMAVDAISAGGSSEVAFAEFMGDLEDSRVENSIDQAV >cds.KYUSt_chr2.6341 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39519431:39519727:-1 gene:KYUSg_chr2.6341 transcript:KYUSt_chr2.6341 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAGTAIGRESHSMIASARSVRYPPAPLARGGRLHLPDVAVDPADAADVAAVMALPSLATVLALSSSSSLIWIHTPFRFLGASPWNSLLVAFSST >cds.KYUSt_chr3.12845 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77021789:77030319:1 gene:KYUSg_chr3.12845 transcript:KYUSt_chr3.12845 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDEMAKLGLLVSEYDALVRPPLPRYATAVMPPGLSADEALRRALLDSAAPPPPPPRPWAPPPPPPRPWAPPPRRHGLMAGLLIRRHGRSHRRLHRRLGRGLVNLEHRDRARHARLVRKPKATVAQPPVAGTHRRRTTLKHLMLTMSWQWSIISKTFTGSTTGLRYTGVAVSVLLSTAVHVMPLPVHFPQVTVHSRRRRPLLGAAVALLSTRATARCYYILQLGPPPQHRVHNPDNKIRGLKSACDFLPLYMLLYMANESGYASRFIGSMCVCFGQVMFMYRWWCLLLLLMPLCHPAAPVFFDWDLLQYRVPARSLSFSGGVALRWCCSTVVVVFCKCSCWCCLHPLMAVAPGFFDWVYRYVPVTVVPSGCAGAAGVCVAELPLFSSTVVVLLLARLL >cds.KYUSt_chr4.21749 pep primary_assembly:MPB_Lper_Kyuss_1697:4:136954987:136966743:-1 gene:KYUSg_chr4.21749 transcript:KYUSt_chr4.21749 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPYAPAVAVDDDEDDGFDWEAAVREIDSACALASASTPAVSNPAPPRQPSPYPPPGPAPSAAAPFSHGPSRQSTLDRFVDSFTKRQLAKERPPPAPVVPVAAAVPPASRAGRFAARPDEGCSRSAGREEVAEGACAVALDHEAVQTWIYPTNIEVREYQLYIVQKALFTNTLVALPTGLGKTFIAAVVMYNYFRWFPEGKIVFTAPSRPLVTQQIEACHNTVGIPQLVCLVIDEAHRASGNYAYCTAVPKHPDIQGVINNLCISELVHRDESDPEVQRYVNARTVDLVKVPVGSDTFQVNEKLLEIIRPYIAQLRAAGVIDSDRLKGQTQKMQQAILQCGTPLREPADVAALKDQPLEGHYYPTGQVACSKSVCVTSSPVKKYPLHSFFCGDYVAVDIKGYVSITFVPAVLRTSEFHKDTQNVNWQYKVQNKTAPYKLTTEVSGPRTDGAYSSKPIFADNASNLDPHSPDYSEQYDPGRHVLFGTTPSKSFSSPTEKWGTPCNTKLASPALSVQEDTELSPRLTHYIEEGIVPESPVLEASHQRLETERASDVCFVPKVDYSKAHTQGNGPGCHDGSLSFGEKGQFPAGVTEVLGLTRDNVLGQTQVEAEEPSNVKICSPAARTPTANLLCDSLSDDWQVKSVAGDTSGSVQQAPKYRRLCKYGEKIKRVPSMSLNDRYDGCGGRQCDVTNKSMPNQMGHARGNKGKAKRRLDIYIDEQAEVSEDTYISADEDDDQSEDKYEDSFIDDQATPSGQFTQSEQGGEHTGDRMAFYRRSLLTQSTVVLPSRYQDLSDNSVSIAGSASCSSGNSHNPIETPQGILQKHGTTGPSPLGPQQSSLERVSLIKEHGQASVVNCESTSKPDSIKRKLSFQQAASIPIINLDLEPAPPSSHLATEAGNDIYWDDAFFEGLDLDAIEAQATEQLRLQKAQSQKPAETKRASDVSFTPPSFDLGF >cds.KYUSt_chr6.12442 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77751441:77751775:-1 gene:KYUSg_chr6.12442 transcript:KYUSt_chr6.12442 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSSSSRRHRVPPGLLPLIIPNCGNIRVRCWVSSTEANPRLRFHKCPNQRVAQPGLVSCDSWWWEDDYAAYITGPELG >cds.KYUSt_scaffold_6468.233 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:1125682:1129121:1 gene:KYUSg_scaffold_6468.233 transcript:KYUSt_scaffold_6468.233 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNIANQAPQMRTFKASKLAKLDLNIANQACEFRYDLSQVTVSKTSHFRGYSLPEGVKTVIPSGFMVQSKILQSLGYPLPPPLVFERNTRCFACTGLLITGRSYPLVLTSASFLRTGDVEGEIDEKLRIEVFLPPKYSVDGILQLYDDIYNIAVFRLKHDFTTAISPQDIFSVKGSSNNKSVVAIGRGTRRSHGLLMASMGEVKGKYKAVTKRKNKPSIGLAKKLDCKDLLWSTCQIKKVGIGGPLIGLDGSFIGMNFYDESGVLLDGINLLDRWPVSKPYWSLGGQVPPLDQFVGKVLM >cds.KYUSt_chr6.31539 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199313804:199326772:1 gene:KYUSg_chr6.31539 transcript:KYUSt_chr6.31539 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEISKSGLTVKGATRNTEIGEAGDPGEDAGSITVPVDELTTRTVPVVESDGTWKTSTTSTDDEGMSCNGFVQTPLVYPKDLDLRIGKVRNVNKRYAKINEDWNDKDAAAVVHKSKVRKKKLSDLGSQWSKDELEHFYGAYRKYGKDWKKVAAAVHDRTSDMVEALYNMNRAYLSLAEGTATAAGLIAMMTDHYNILDGSNSDRESNDSLKTSRKPQKRGRAKLQSVSKASDTRYPDLLQSQPTSSSYGCLSSLKKKRSGGNRPRAVGKRTPRVPVASMYHRDHKIAKPDATNGDDEGAHVAALALAEVCQRGGSPQVSQTPGRSSDHMFLSPIKSADRKNADSEMGSSKMRGFQVEADYPEDESSALDALHTLADISVNILQPSSNAESESSAHIKDESKDNKSDEKPNESDEKPSVPAAVPLFEKKDNSRKTKKIKRQSELVNIEMVTRKKARLTKDPHHDGGAISEVKQQDCKCGVKTEKKKRKYSTGKISKDEKNALKDIEKTEVSAEEGNVVSNKGRHTHVSPVSKQNKSKAQESSPAHDDFGKEATDNMDTRQNAITQQSDSPSKARSRRKLGILKALTPECKPSEGADDSCDNVTYSVHKVLDLKDKLSHCLSSRFVRRWCMSEWFYSAIDYPWFAKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLHEEREKLSQYRESVRQHYAELQSGVREGLPTDLARPLAVGQRVIACHPKTRELHDGSVLAVDRDRCRVQFDRSELGVEFVMDIDCMPLHPLENFPESLRRQNIVNKYYCSFPEVKFEDRSREYGGSGAARFVPNGDTFDSVAHAKNTGNEATVAAQQAMYSQPCTLSQLQDREADIRALADLSRALDKKATLLVELRHMNEEVSGKQKDGETIRDLEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTFHGHPTQSYPIPKCVENSGAFNRTPDTCNLFGYINQESGSQVMEIIETSRCRAKAMVDVAIQAMCKVSHGENAFAKIGEALDNLNIRGTGFGSSILGIQRIPLDSGQANSACQDNSTSGRFDPATTNVSGPRLPNGRDSETQFPSELISSCVATILMIQNCTEKQYHPAEVAHILDSAVSRLQPCSSQNVPVFREIEMCMGIIKNQMLALIPTPSG >cds.KYUSt_chr5.9370 pep primary_assembly:MPB_Lper_Kyuss_1697:5:59406025:59407666:1 gene:KYUSg_chr5.9370 transcript:KYUSt_chr5.9370 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQHRPDAEAPLLAAAATKPDGAASSSPARNKYPFFCAVLASMTSVLMGYNVAVTSGAQIFMAEDLGLSDTQIELLSGAINIYSLVGALFAGWTSDRLGRRLTIVLTNAFFLVGPLIMTLASGYAALMAGRFIAGIGVGYALVIAPVYAAEIAPASSRGLLSSLPEIFINTGVLLSYVSNFAFSALPAHLSWRLMFAAGVVPTVFLAAGVLTMPESPRWLAMKGRTEEAKAVLDRTSDTPAEADQRLLEIQEVVDADSNGAGGGGAWKEVATKAGVRRVLATVLALQFFQQASGIDSVVLYGPRVLAMAGVTSNTLLLGLNVLFGVAKAGSILIAMALADRVGRRPLLLVSTGGMTASLLVLGSVFAVFAGAKDDAVVAAVAVAAVVAFVCTFSVGFGPLAWVYSSEILPLRLRGQGAGLGTAMNRVMSGIVTMTFISLYGAITMAGTFYLYAAVAAASFVFIYTCLPETRGRNLEDMEQLFRTK >cds.KYUSt_chr6.24807 pep primary_assembly:MPB_Lper_Kyuss_1697:6:156931036:156940874:1 gene:KYUSg_chr6.24807 transcript:KYUSt_chr6.24807 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWQRRPAVAGFDGVEVAPTKAPAPAQRAGTDVVDDPRVFLTWEDVCVTVAAGAYGGEPVSILRGISGHAGPGEVLAIMGPSGCGKTTLLDTLAGRLGPGVTETGLILINGRQEKLAFGTSAYVTQDNVLMSTMSVREAVYYSAQLQLPGTMPAAEKRAHADGVIREMGLGDAMDTRIGGRITKGISGGQRKRLTICVEMLTRPRLLFLDEPTSGLDSAASYHVMSHITRVAAREGMTVVAAVHQPSGDVFDLFHSLCLLSQGRTVFFGAASEANQFFTQSGFPCPQLRNPSDHFLRTINKDFDEEIVESSKARRKTAAEAIDILTDAYQSPAYSEKTANRIAEMKQIGGAPFRKREQASFSAKLFVLTRRSFINMHRDIGYYWMRLGIYLGIGICLGTIFYQVGHSYSSIQARCEVIMYTTALLTFMAIGGFPSFVEEVKVFRRERLSGHYGVAEFVISNTLSATPYLAVITVIPGAMLYYLTGLTKGADHFVYFVINLCMCTLLVESMMMIIAVIVPDFLMGIIIGAGVQGVMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFIGQSFPSDQLIEKNVTISGIQVLQEKLQVEMGYSKWVNIAILCGMMVVYRMMFFAIVKIAEEVRTKRRGMKWKWCK >cds.KYUSt_chr2.5022 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31131617:31133266:-1 gene:KYUSg_chr2.5022 transcript:KYUSt_chr2.5022 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDPDARPAAAAIVAPAAAPLAVFASLLAARRFGAAKSMLPSLLTPSLLAVPFADLAAASLPRAAPQHAVAAFYDMLFRAYADAGAADRAAEAVDLTVSRLGRLDPRSLTSSLISLRQAGHLPAAVEILNKALASYPDSVTPLSASVVVDGFCKAGRMDDARRLLDEMPSRGVRLNACCYNPLLDTYTRQKNDGRVAEILKEMENAGVELTVGTYTILVGGLSKAGDISKVESVFDEMKRKNVAGDVYFYSAVINAYCRAGNVRRASEVFDECVADGIEPNERTYGALINGFCKIGQIEAAEMLFTDMQLRGVGHNQIVFNTMIDGYCRHGMVDKALHIKEVMEKMGIELDVYTYNTLACGLCRVNRMEEAKTLLHVMAEKGVAPNYVSYTTLISIHSKEGDMVEARRLFRDMDGKGSRPSAVTYNVMIDGYIKNGSIREAERFKKEMDKKGLVPDIYTYAALVHGHCVNGKVDVAMRLFEEMKSRGTKPNVVAYTALISGLAKEGRSEEAFQLYDDMLGAGLTPDDALYSVLVGSLHTDNRKDVLT >cds.KYUSt_chr5.7867 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49601059:49603586:1 gene:KYUSg_chr5.7867 transcript:KYUSt_chr5.7867 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKCGNKYLFPLYDALSCQVDAVVYLVDAYDKERFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHMGLSNFTTGKGKVSLSESNVRPLEVFMCSIVRKMGYGDGFKWMSQYIK >cds.KYUSt_chr7.24306 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151440929:151441621:1 gene:KYUSg_chr7.24306 transcript:KYUSt_chr7.24306 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHQRSSSAPSSPRSNKPEVEQQLQSLSTTISSPLATIDTSCDGLRRLEGMYSSIEEMICTPSNQVSLCQTQQRNAVEAELGRSVVLLDLCNAMLESFMELKMTVQELLLALSRGEDACAQVKAYIRLSKKAQKQFKKISKKSVSDKDCRVVMLMAEAREMTISLLESTCRVLSKQVEMPKTFQKSKFMCEKEQLRALESSIGGLETGVELLYRRLIQNRVSLLNTLSL >cds.KYUSt_scaffold_1242.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000011.1:4336:5220:-1 gene:KYUSg_scaffold_1242.1 transcript:KYUSt_scaffold_1242.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTNAGQKDWGYLSNVRIDWSRISMAVQEVSRAEDQLRVGLLNFDGEEMDQWRTLFPRNAAVSAVHLERVSSNVTWEHLYPAWIDEEELYAAPTCPYLPEPAVEGLEYDVVAVNLPCSGATGWSKDVPRLHLQLAAARLAIAGRSEKAVHVVVVSQCFPAPNLFRCKDEVIRDGDVWVYRPDVGELRWKLALPVGSCKLAMPIKALGESYVSSAPRREAYATILHSEQLYACGAMVAAQSIRMAGSDRDMVAEEQRLESWRRR >cds.KYUSt_chr2.33001 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203524517:203524741:-1 gene:KYUSg_chr2.33001 transcript:KYUSt_chr2.33001 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKAFTYFMYSVPIGILATSVCDRALMGLAVDELDEEDKVRSELEKIRQRESSRNAAELAAVVDSVKKSAATS >cds.KYUSt_chr6.28434 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180144134:180145619:1 gene:KYUSg_chr6.28434 transcript:KYUSt_chr6.28434 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRPSKGAGGGGERREGGGARGPPLAARARSPHEELVLLDPEPDPSLERGSRGGHKRSFVGDGGEETRVAATGLLVHPDPDLVHADIEFENNCEVGVSSKLTNAYCMVAIGGSYRVMSKCIVNCGLQ >cds.KYUSt_chr1.19441 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114172559:114174116:1 gene:KYUSg_chr1.19441 transcript:KYUSt_chr1.19441 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLPPRVGLLRRLSTAAEAAASPPHPPPQPRADSLYLRVAAAVIPRIPLTPVLEQWAVAEGRAVEKHDLQAIIKKLVLRRRFSHALELSLWMTDRRHFPLSPGDVAYRLELLTKVHGLQSAVAYFGGLSVQLKKPQCYGSLLKCYAEAKSVEEAEKLFADMQKMEMMSSYPYNVMMKLYLELGQVERVRTMYKAMEESGIKPDVFTVDTLLKVYAAAEDLNRVEELLEKSDPKMLSWHSHASVARLFMKAGLQGRALQAIEESEKRISPKNGRVAYGFLLSMCADLGMSSEVDRIWNAYKSKVPTCNTMYMCRISVLLKMNDIDGAENAFREWESRYVHHDIRLTNLLLNGYCANGLMEKAEALVDEAIAKGRTPYANTWYKLAGGFFKDGQVSKAVDLTRKALASATSEWEPDQTNVLMSLEYFTDQKNVKAAEEIASQLRSLVPLTREVYHGLLKTYAHAGQPVSDLLDRMNKDGFEANEETNRIIAGICR >cds.KYUSt_contig_915.446 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:2900982:2902261:1 gene:KYUSg_contig_915.446 transcript:KYUSt_contig_915.446 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVAQLDKEELACILIRAMSAAKNVGAQRDRLLQIRRRLQLRIPGDEDAARIQEDVASGLHKVYSMGLFHGARYLADCLEMASENRDRFSFSIPAFAVIPNEQLYGLLRRQWHSRRPTTLVQALARIESAYYAVMLPLEHHLPRCIEFLVGVRPPSVTPQTIGVMTGYPDDLIAAANEHLRRLANRPEGKFPNPAAAAGKPPREESSVDVDLALTYLHRSCSLTSLAVKHLDVAIAFVSSFLDPDEVANISKWTDQRTYYTSESINLLIMRALWSGFEFSQPRTS >cds.KYUSt_scaffold_1854.137 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:753891:757028:-1 gene:KYUSg_scaffold_1854.137 transcript:KYUSt_scaffold_1854.137 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFGEVVDDEKLNKMDKYMGRPKTRADRAQEAWNSMSEDGKDTNAIRYVEGLKAIYGDGQSTLCLVYNATGDTLRKEDNHDWYGYIGPSVPYPAVIGNGQWAAFHHVHRTGEPSGSVGAVVYRGRNKEGEFKDYLVAWSTPWGPWYRNKAYCEIGAVGAFKNLWGGLYNVVANSNYSSNARSVGCEMEASIETGDSPKFTQAGEIDNLTVSLGQSTLVVLCRFHVGAGIPGVAPHYIPPPSTFNVLLDSYWFDKPWFLTEGNLLLYASHLPLGVPNERVLYASSRL >cds.KYUSt_chr2.48758 pep primary_assembly:MPB_Lper_Kyuss_1697:2:304939927:304940913:1 gene:KYUSg_chr2.48758 transcript:KYUSt_chr2.48758 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSKREACVLAVLVGLCIAARTVVAGGRIDDGLVLDWGHGNVSPDGQVISLYLDRDSGGSGFRSKETYMYARTDLQIKLVPNNSAGTVTTCYFMSEGSWANHDEIDLEFLGNLSGQPYTLHTNIYINGSGQKEQQFHLWFDPTTDFHTYSIVWTSLHILVLVDGTPIREFRNNANKGVAYPSSQRMRLYGSLWDAEDWATQGGRVKADWSAAPFVAQYRNFTATASSPGGYSYYDQEMDATAQQNMKWARDNYMVYNYCADTKRFSNGSPPECYMP >cds.KYUSt_chr6.31260 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197854859:197857973:-1 gene:KYUSg_chr6.31260 transcript:KYUSt_chr6.31260 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPLALAAAILSGVSAILIYLSGLSSYGGAGLSEADLAALAALQGGFSKCVDANGLGLQAIAGEDYCRIVIQYPSDTDSKWRDPKTGESEGLAFEFNLCEAVASWQQVRNSTTVLTKEYIDALPNGWEEYAWRRINKGVLLNKCRNRALCMEKLSLVLPETSPYVPQQFGRCAVVGNSGDLLKTKFGDEIDSYDVVIRENGAPVQNYTEYVGTKSTFRLLNRGSAKALDKVVELDEAKKEALIVKTTIHDAMNQMIRDLPITNPVYLMLGTSFGSSAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRVVKWLPTKDTIEAARVASEKLLKRPGAGSDAPLSSCTMIKKRKKGKVPNRSGLRDAAMSHLGYMKGTTRYPLERNTGGGYLCMINDR >cds.KYUSt_chr5.42027 pep primary_assembly:MPB_Lper_Kyuss_1697:5:264981967:264985667:1 gene:KYUSg_chr5.42027 transcript:KYUSt_chr5.42027 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDAGEALPAIRAAMHLRSLPPAKALPSPCSIGAASFIATRSPGLPSSTERPPLRQQLRSVLAVSYDMLAGNKSKPAVCMFMKDQDLVKKYSELSSSVSPFTLCANKAFWVKIKLDTENKILSIRVRGVGMTKEDLIKKLETIVKSVTSGDDLGGRASQQRIANKSTREVPFGAAALEVCVYPVQMSDSQLVALRRARAWQKKADDYECRHGAAGRT >cds.KYUSt_chr3.46177 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290619629:290620725:1 gene:KYUSg_chr3.46177 transcript:KYUSt_chr3.46177 gene_biotype:protein_coding transcript_biotype:protein_coding MADWASLLPELVQGIANCVLSTTGGVDTYMDMRAVCPKWRSAITRPSPLAAVADLRFRPRDWVMIELTNENYDDKDGFLFLHVPTGRFRRLRLPVLQGHLLIGVSDGLLVLGNREPPHSVCVLNPLTYDMLHFAAPLDKHFKDVLVMYTVVSVGFAEQCYDDELVAQMSPDVDLYLEEQYGTRSELVESDGELLLVRHENNLALKVFKVNIEHKLLEEVKSLGGCRALFVGNQRCVSVDANKIPSVDGDCIYMSHWMSHSLGDNNLTSEDDGFAMCGHNIRDGTMKIIASEPMLYIRPFSLVQVLLQ >cds.KYUSt_chr1.32968 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200126685:200127179:-1 gene:KYUSg_chr1.32968 transcript:KYUSt_chr1.32968 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQAIEKNREGAEVYHGAALCAEKAVELLAETNMPLGLLPLADIEEVGYNRATGFVWLRQKKALTHTFKQIGRQVSYATEVTAVVEDKKMKRMTGVKSKEMLIWVTLCDMFIDKDDPSKITFKTPTGLGRTFPVSAFGKDDDGKPKAPAAAAATADGEAAAAK >cds.KYUSt_chr5.13680 pep primary_assembly:MPB_Lper_Kyuss_1697:5:88878502:88882927:-1 gene:KYUSg_chr5.13680 transcript:KYUSt_chr5.13680 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRSSSITPRDLECMLCDKTIEPKALPLSLLEEITDGFSDEQRIGSGGFAVVYKGKLENRMVAVKRMSNTYMHEKEFHREVECLMMVKHKNIVQFLGYCADTQGTMARHEGKFVMADVQQRLLCFEYLSKGSLDEYITEILTGKKGYHDIDKVRTIQINPDTLSGTMHVLWLIYQRLNPDIRPCIQYCSIFPRGSKLKTIELVSLWIAQGFVKASVTEDMEDVAERIENAKSHIVKGWEGDVPLDVNHLFVQNYDGELITEKILGLKNLLTLIVNVVEEDTRVEEEVIESIFKELPELRVLAIAFSKEHRGIEKLYEFSIPESICQLKHLRYFAFRTSASCMLILPRELNTLHHIQLLDFGDGEVLEFSFPDLINLRHIFCWCVKFTNIDSLILLRTIPAFKINEGCDIEQLRDLNKLRGSLEILGLPIVKREEALGANLSAKEWLTELVLSWDYYFHVHTSWSSEAEAQVLEGLRPPVGLQRLDICDYSGSRYPDWMAGNKDGGPKDLKTLSFVTSSQPGPDLAAAFPRLRELSFVKCMWDALPGNMEHLTSLEKLDIDSCKNIRFLPTLPKSLGMFTLIRCNDELEESCKTVGHPNWQKIEHIPTKYIGDPLRRR >cds.KYUSt_chr4.36090 pep primary_assembly:MPB_Lper_Kyuss_1697:4:221845289:221847093:1 gene:KYUSg_chr4.36090 transcript:KYUSt_chr4.36090 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRLRPKREPGTSDERKRKPVKVEEVHDAEDAAILEAVMARSLQDLAPADNAMPLDQASSGRRRRRSGRLDSSRRSLATAGLRLIHLVPPSPSSTSRRSPMTTDKKVPYSAYLGRGRGFPPLSSGLHHLLSVTEPPSMDLTEQRHHADRARPIARMAQSVDIDKLREG >cds.KYUSt_chr3.5196 pep primary_assembly:MPB_Lper_Kyuss_1697:3:29467444:29469622:1 gene:KYUSg_chr3.5196 transcript:KYUSt_chr3.5196 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPRDPQWAAVKRILCYIRGTMDLGLSLHASTATDIVAYSDADWAGCPDTRRSTSGYYVYFGSSLISWSSKRQPTVSRSSSEAEYRAVANAVTEPLSDVVMRHIFSLLPHDEAGRAAVLGQAGYIGGDGGRGAWVVAAASRADQERSYSASTLRSRPRCFTLTPRPPPRGQGAPGSISARAARVKEERERGIATIWFCGQTIVLFNPQSHVLLNRCRRVPVCSFRENAQALALDFRTEGHYNALPFVLPSSLMKLDLEF >cds.KYUSt_chr3.47246 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296406982:296413324:1 gene:KYUSg_chr3.47246 transcript:KYUSt_chr3.47246 gene_biotype:protein_coding transcript_biotype:protein_coding MDGECAFQPCGRALVHINLDDPGTFSPLTNMKEIEYESYSPTSYHGMTLQTYVPVPPETWKVRERRHMPSALLPEKGEAPATMALLDEREGNEEEEEEEDEEGREGGVSTQPLGCHWRTRPAPAATPREEGGSTSAIVDLLVDQDDHARKENGGLCLTILGTSMESARESSASFTPPASRRLATDGHPLSPWIRCIDLDLSPASSDESVSKPRSASFKDALLSGADKERALLPVQRRLRPLSKVRFMVPFRLAGIWQGLLSCKTSLRPLLPPSRHGWCSGKGVVAQ >cds.KYUSt_chr6.15551 pep primary_assembly:MPB_Lper_Kyuss_1697:6:97703124:97705461:-1 gene:KYUSg_chr6.15551 transcript:KYUSt_chr6.15551 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACDKPRPSYRKGLWSPEEDEKLRDYILRHGHGCWSALPAKAGLHRNGKSCRLRWINYLRPGLKHGMFSPEEEETVMSLHAALGNKWSRIARHLPGRTDNEVKNYWNSYLKKRVENNGKEAAAGQNASETPPASSAADSDGSQSQSLSPGETSNATTAREPATSGSSEPPHESSSADSSCLTAAEPPAACRAHAPVAPKVMFADWLDMDYVGHMAAAAPGPDAGGDRRHQVVGQQGSSMQVDGPSSGVEEDSLHGFADAGSCWEFLEQFDGMDQMQAGGGFCDLLAMNEFFGLN >cds.KYUSt_chr3.39372 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248056247:248058171:1 gene:KYUSg_chr3.39372 transcript:KYUSt_chr3.39372 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVFPKLQLGPRCHAYSQLQVTEPIHIHIKIGDAFKCPRPARRCSIKLWQAWLVMHRQFQVSEGPKEIPSSILLAMLGKSRVTKFILQEILSVTVGDFVKKESLTVNPEIATTQSEGDLESSFTPGSSFGFNVILQLEKEADSDDAIDVEASDSKDAPDVEHSDSEEATEDEPAST >cds.KYUSt_chr7.35772 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223442531:223442869:-1 gene:KYUSg_chr7.35772 transcript:KYUSt_chr7.35772 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPMVCWPRYVKHRMKKLLMVGEMGIAAEMVGWQQGRVQAGEVEAKVRLVMECEEGKALRVRAVHKDGAAVSWKDGGSGRAAFAQFLAEVDGKGKEPRLSTCSEEAVGVA >cds.KYUSt_chr7.25568 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159499440:159504755:-1 gene:KYUSg_chr7.25568 transcript:KYUSt_chr7.25568 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLGRLAQALRPAAAARTYSSAPKEMNVREALNSALDEEMAADPSVFLMGEEVGEYQGAYKITKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPISAEVLDSSFTVPIGKAKIEREGKDITITAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGVGAEICMSVVEDSFEYLDAPVERIAGADVPTPYAANLERLAFPQVEDIVRAAKRACYRSSSMAATA >cds.KYUSt_chr2.34219 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211442469:211443212:1 gene:KYUSg_chr2.34219 transcript:KYUSt_chr2.34219 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSGSAVAPAARNMPLGAILITSTIFALAVIFGLVIYFIQYCINNSIRTLPSGGGVLAASRARDKGVDPELLRSLPTKVYRAAAQKGSDAVDRVECAVCLSELQDGEVARFLPPCGHGFHAPCVDKWLASHSTCPLCRVTVAKPGASLQALTATGLPPVPPETPNYAANLPASVLLGVSDQATLGAVTVTSHGSRTRPAALVIDIPDSRTVATPRDAAKTPGSARLSSLKRLWSFGRQGPSGSTP >cds.KYUSt_chr2.27190 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166698594:166707610:-1 gene:KYUSg_chr2.27190 transcript:KYUSt_chr2.27190 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSTSPDHSESPPKRKSSDGRTPTSSAKKGKKKVKFVDKKHSEVLGVAGDKIMTPQEDLQVDRILGCRLQTSQIISQPHASSEQIESTDLQPEDAAPSSASSVLARSLSNDTDNTLEDVIADESVNPASENHLDGVEAQKESNGKSHENNESVNPDDAMKTVSDCSTDQIITAKDSGVAGENIASENGDFEAISIVPAEGTSEKGDIKLLVSKEDAIVLTKQEDTPESKVHVSIKGTAGNGHDDTAYEFLVKWVGKSNIHNSWISESELRTLAKRKLENYKAKYGMALINICKDQWCQPQRVIAMRVSLDEVEEALIKWCGLPYDECTWERLDEPIMLKYVHLVTHFKKFECQALEKDMRGNSANARNRQELSVLVEQPKELKGGLLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSAKARSTIRQYEWYEGDATQIGKSKKSHKFNVLLTTYEMVLVDATYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPDSFPSLASFEEKFNDLATAEKVEELKKLVAPHMLRRLKKDAMKNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRSTGKGGAHQSLLNIVMQLRKVCNHPYLIAGTEPESGSPEFLHEMRIKASAKLALLHSMLKMLRRDGHRVLIFSQMTKLLDILEDYMTLEFGPKTFERVDGSVSVAERQAAITRFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNGNEVNTKDNEDVSGAKHDVSDVEVKHRRRTGGLGDVYEDKCIGSSTNLIWDENAIMKLLDRSNLPTTVAESTDGDLDNDMLGTVKSIDWNDDVNDDPGATEDIPNSDNDGFEQASETKQDASNPVEENEWDKLLRLRWEQYQIEEEASLGRGKRLRKAVSYRETFAAIANEALSEVSDDGDEPKREYTAAGLVLKEKYGKLRARQKERIAQRHVIKNYADDKLEDFIKLYDSSVDEYGVNPLRIVEDPDSAQPSGAKRFSDSTAEMRHSSKKAKRYPEISRELHAKLAANANSSKHPSKATDVLNPAIPHHLLPVLGLCAPNADQINSYKNSNCGPSMKEQKRASGEVANKPLSPSAADHSSEHKNDGQSGPSKTTYPGSSETLRRLNNIIPDSYFPFHPIPPISGKALFDPIENPLPSIASFQGNMGLPNFGVEDNIPLKHMKSVPDLFPNFSLGTSDEYVRSSIPELPNSSFLPSFMADIAGTSKKSRSKLMADMSALLPGLGINPGQPIHSAMPENHKKVLDNIMMRAQYATNKFMKKRPKHDYWSEDELDALWIGVRRHGRGNWDAMLRDPKLKFLSHRTSEELAMRWILEEQKIMEEPMPTATKNPNSTFPGLSDAMMSRALNGSNLSQHKMEPPKLHSHLTDIQLGCSDLPPRFPHVEPSNYMSSVEGGPSLTPWKNFKHKPGYGGDFPGSTSDKWEKADAVPPYMPNPFMMESVGSLPMNIQNSSSIQQNEFASSAHENILLHGVSNGQADLFREMQRRVKLGKQPIGMNPYHTKHSNPLLESAGVFGSSKSNKLPHWLQEAVRAPPSKPLEYELPATVSAIAQSVCLLLGEEKTAIPPFPFPGPRLSRPKDPRNTPKKRRVHKVQQASSQAEHPKIGSGQGDHVSTPVLQLMEALPTSTAIDHNNGAPPINLNSLSSSSMCSQRQDATPALEVSEATAAACTSRSETPETGCQKAEFSRVDDIATDPGAPGSKLSGSGNPPTEFSMLPVVDAAVISTTPAVGPISSGDDQEPEQDSLLGIDRGIDNTEKLLEKAMPLDESRDSGASHSDSAHVVDEDKVNDIVADDMR >cds.KYUSt_chr2.15368 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96804395:96809425:-1 gene:KYUSg_chr2.15368 transcript:KYUSt_chr2.15368 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARLLAVDNVYAYLDPMLDQSSRQREPFSYLSCRLLWLLLVVPCNLHELGYPDAEVIALSPGTLMATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPEPGCVHHAPARALGDLTGIKKHFCRKHGEKRWACPRCGKRYAVQADLKAHAKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAVPAPPSPRPPDLDDVEENMDNEKPEENVDKVDEEEKGDENENSALAVVDEPQRVEAVSEAPQRNPSPPQQHIPSPQQQRIPSPPQQRIPSPPQQRIPSPPQQRIPSPPSPVPQEQQQPPMVAVVPNLEEPKVAAEPIVVFKQEEEDKQDEDVCFQEADRYDNAELEGSSLPDNDTPMLPCFLPSPSDAIGTDGSSTSCGTVSSASNSIAPATTTSTFAGLFASATASTTPQSRSLRDLIGVDPTFLCLAIGTPSNLFPQTNASNPGSFAPPPAPHMSATALLQKAAEAGASQAGTSFLKEFGLASSSSSTPSRPPQGRSIDSSPQSQQPQGRFIDSSRQSQQPQGSFIDSSRQPQLAQGRFIDSSRQSQLPQERFINNSMPSKLSQGRFMDTSLPSQHLAQGRFMDTTQPSQQQPQGRFMDTTPPSQQQPQGRFMDTTQPSQQQPQGRFMDTGLPCQQLPQGRFFSNSPPSNLSQGRFFDNLPPSSLPQGRFFVSSPPSNVPQGRFTDYSPPSKLPPARYIDSSPLPKLPQGRYIDSSPPSRVPQGRYMDNSPSSRVPQGRFVDSIPQQWHQQSNQQLMDMEPGPMVSGSLGLGLAYQGANAGLPDSMMGQSQSPLFGPKPAATLDFLGLGIGGTMGGSTPNGGGLPALMLGGELDMGSAQAHPPWEEAQMKTNGRTILNILRRIISLLMLTFLFFFCLRNGWLVGKCNCQCTVAAVPDLLLRL >cds.KYUSt_chr3.30986 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194428187:194434113:-1 gene:KYUSg_chr3.30986 transcript:KYUSt_chr3.30986 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGAPAGRGSMGGSRSFDFGTDDVLCSYDDFAAPSDPKRPDPVDKDFHDSRYGRPSVKVYEQESYAKEDVLSAVERCMKKYADNLLRSLEGITSRLSQLEIYCYKLERSIGELRSDMLRGETDLRLKSLEKHLHEVHRSIQILRDKQELAETQKELAKFQLKQDESKKKEDVPMPSEPKKLEEKREQQLALALPHQVNSPSLAPRASEPVQQYHDQPVQQTAPSSLVPQQDRYVVSQAIAYYPQQQAPGNHDTQGQQVQPEVQYLPARPQAQDVQASSQPQSANQTQPPSYPPYQQQWQQQPSRSSPGPAALPQQTYSQQPFPPPVQQPLPPPVQQPQLSNAQQFATQPLQQSQLSNPQQFPPPQLQPQQSNSHLPQTVQPQHPQVQAQMRPQTPPNYSRYPPQQPLNPSPETLPGSASMQGPYSAAAPSGGIHSEAQYSYGGPGIPPSQPPPQHNMQRQQLPPPNQSSYGPPPSKGAYAGPPQYAPQGHSQGYNPAYGYPPSGPSAAQAPQMPPGGAGMSHPGSSQHMMRGHPYGEMIEKAITMGYPRDQVLNVIQRMTESGQQIDFNALLDRLNESGSGRGW >cds.KYUSt_chr6.30048 pep primary_assembly:MPB_Lper_Kyuss_1697:6:190455986:190468218:1 gene:KYUSg_chr6.30048 transcript:KYUSt_chr6.30048 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPAMALQHPPPPQELDLESFLPSSPTSSSASDADADHRRAVDDLLLLHSSSDSDSDEPTHSPSLTPKTLARIRAPPPAPKPSPPPLPPPPASASPGRSTSASPSPSPTLSSMVSRTFSNSAASSSRQLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRTASAPIEKLLDEGSGSEASEGIPSAGNSEAEVAAEKVSEEVVARVTEENVGGSSRSEELEEGKHGEVGIEENSEPSESVEAGEVDSVAAENVIEPEQVEDDNLAEINAGIQIGLVDEENIDEETRDEDLVASDEVVDQAEAVSEEKTVDELEFDRSDTDSVEQVESESVIDKVIEERLEISRKAEKHTEKKPKVSMKPLEWAEELEKRQASFGQHWEEGAAAQPMRLEGIGKGQPAIGYMQIEVDNPITRAMATPSFRKDHGSPHVLAAHRSYLAMGMSNGSVIIVPSKYSIHQPDDTDAKMLFFWNQGEKTQSPVTAMCFNPQGDLLLVGYGDGHMTIWDVQKATAAKVIYGEHTAPVVHVCFIRQSKAITGDSKGHVLLHTFSIIPVINRLTIKGTQRLFDGNAGMVHSACPLLADESFGSTNSSAQGNLTTSSGGGLGSMMGGVVGGDSGWKFFNEGSSPMEDGVTVLFNMNQHALVVRLRTNIDHVDHIETFSRPEGAREGSISYAAWKWTSSLGDSSPVDEERVAWLALAWDRQVQVAKYVKSKMIKHKEWKLDSAAIGVAWLNDQMLAVLNLRGQLCLFSKDGSELRRTIFVLDGFIFDDSILFHTHFLNKFGIPERHFNNSVAVRGATVYILGPSFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYDGHTQGVVDLPRTVDSIREAIMPYLVELLLSYIGYVFEYISIALSNHTGKGEATDGLIDADRSLLTQREEQYARVGGVAVEYCVHIGRNDILFDTVFSKFVAAQSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSGKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLNDFRTPLEELLSVIQSVARKDATATCYRMLVYLKYCFQGLAFPPGHGIIPRGRLHSVRKELLEFMLEESKMLTSEICKGFSSSCGKCPNICYLLWMDTEATLEVVKCAFEQESFEHTSGTLDTSVSKDEDNNNIGSPDSQNVLLQSVVDIIVHIVGLENEAIHSIVVDTAESEESELWPSVNDFGYIIEFVSCFVSRKRANASQRVLKHILKYLSSSSTPSYDDNKMLSQKEVLQLFNVVPQTDWNSDYVLHLCLEATFHQACGMIYTARNQHLAALDSYMKDTVEPYHAFVFINKKLLQLAGDEALAFRSTVISRFAELVNLSRECAFVLVIDHFHDEIQQILSELHSDHRSLFLFLKTAIEVHLSGKLDLSDLTARNYQTVERRYFSGELEDYLHRLSNLPKLFDRNPVSMTDELVELYLELLCQYESRSVLKFLETFDSYRLEKCLHLCLHYGVTDAAAFLQERVGDVGSALALVLAGLDDKISYFVASVENLFSPISSKSISGIEKPETVLKMSEAHPVLDVLHSSIGLCQRNSQRLDPEESQLLWFQLLDSFSDPLKKLYGNKVVNGKGSWPEGRETTNGHPTGKGFSQQIVNSSNQRCLNTLRRVFSQFVGEIIEAMAGYIPLPAIMAKLLSDNGSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYSLSLLKRGVCHGFAPQTFVCCVCNCSLSKEDAISAVRVFSCGHATHLHCESEQSKSSNKDSKDGCPICLSMSNTQTQNKSPIISENGLPKYPVVENEVSYGIHHNHESEHVEKSRGIQQMSRFEMLNNLQKAQKSFHIETVPPLKLSPPAIYHEKIQKRVSLVGESSRHSVRSEKQQKIWQMKEPKSKKSGNWLPPKSSIFSSDKNQVR >cds.KYUSt_chr7.13773 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85015665:85019827:1 gene:KYUSg_chr7.13773 transcript:KYUSt_chr7.13773 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDILDSCKQKLPLNHIQNKKVCVDLSCWLVQFCTANRSPAFVKDKVYLKNLFHRIRALLALNCSLIFVTDGAIPSIKLATYRRRLGSSSEANCDETSSQPLTSLRRNKSSEFSRMIKEAKHLGLALGIPCLDGVEEAEAQCALLNLTSVCEGCFTSDSDAFLFGARTVYRDVFIGDGGYVVCYEMEDIEKKLGFGRKSLISLALLLGCDYSNGVRGFGPEAACRLVKSAGDDSILDQILSDGVKATRKCKGKKVGINQNKAGDGDLCKKTNTSEVGMSQDSGGQFREVINAFLEPKCHSADSENVQRVCCQHPFRRSEFQQICEKYFEWTPEKTDEYILPKIAERELRRFSNLRSTSSALGVKPMLNEIPVPCPVLAITKQRKVHGSEYYEVSWRNMYGLQASVVPGDLIRSACPEKITEFLEKKDEEKKQKRKARPKKSAQQAEVKDVDARLQELLLGIESECAMFPPASSCPQTADEHAVVPGMDIVDLSSPSPPLRACKSQKFIGSTTATMNGIDLQCQSLLTDMTESQSSTQSSDGYLESQSSTQSSDVQNLESQISTQSSDALKFTLDDDLIDLSSPLPLVADRPCSLQDLPPYKEAERRALTDLSNFPEKSSMLGALDDRHKAGTGNGCVPVEASPLHGAQVSSSRSNAQINSLAESEAGAIDLCSPSPAIVNRRRNVKLDKNVIDISEADSSVKSPEDDDHERKARELRLFLKSIRDEL >cds.KYUSt_chr2.15725 pep primary_assembly:MPB_Lper_Kyuss_1697:2:99012729:99015467:1 gene:KYUSg_chr2.15725 transcript:KYUSt_chr2.15725 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIQQDGTMPSDTTVGVAHDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFARIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDDGDDGDDY >cds.KYUSt_chr5.33414 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211960791:211962401:1 gene:KYUSg_chr5.33414 transcript:KYUSt_chr5.33414 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSPATPPATKQDQPSSTKLAEHEVVASLLLLKEAAGPEEDKENNHLVPDESTVEVNMDVEKEGLDKTVVGTSSLAEEFRGDESLDKEEHNEALEQEVHDEDEEDDDGSEDEHIEEYDCETVVGKEEVRRCNRNNGRGWVCDELAEPGFTTCLRHIMSRRKAYQRFQSNKLQVRTSGSEAIVEHKIEDAAMTAVGDQEEAASPSSSGTIASAKRRKAGDPCAR >cds.KYUSt_chr1.16202 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94098124:94106244:1 gene:KYUSg_chr1.16202 transcript:KYUSt_chr1.16202 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTSTSASTPASSSAFPLTTAARFPRASTSGTRTPAVAERRRTRRRRLSEGSGGDRSAAAGAVEKGLRLAFLEQLAERARAADALGVADTIYDMVAAGLSPGPRSFHGLVAAHALAGDADGAMQALRRELSSGVRPLHETFIALVRVFAKKGLSTRAMEILAAMERYKYDIRKAWLVLVEELVRNHYLEDANKIFLKGAKGGLQGTDDLYDLLIEEDCKAGDHSNALTVAYQMEAAGRMATTFHFNCLLSVQATCGIPEIAFTTYENMEYGGEDYMKPDTESYNWVIQAFTRATSHDRAPDVAELLGMMVEDYKRIQPNARTYSLLVECFTKYCMVNEAIRHFRALRRIPGGTKVLYNEGNCGDPLSLYLRSLCLDGRADELLEALEAMAADNQTIAPRAMFLNRKYRTLVSSWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIESSFKLRCFEELKLYHRRLLITLRNEGPAILGDVSEDDVRRVVERLKKLVVGPKKNVVKPKAASKMVVSELKIELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDDEEVDEELDEMISRIKLEDGNTEFWKRRFLGETRNHLCEQDSKEDELDLDDELDEEDDDDDDDDDAKEAEEDEIDDEEVIERTRNQAGDDETKDKPAKGPNQHLQMIGGQLLKDLEKTSGSTRKLKKIPEIDDDEDWFPEDPIEAFKVMRETRMFDVADMYTTADAWGWTWERELKNKMPRKWSQEWEVELAIKIMNKVIELGGTPTIGDCAIILRAAMKAPVPSAFITILQTTHSLGHKFGSPLYDEVILLCLELEEIDAAIAVVAEMETNGIKVLDETLDRVLAAKQSGNVNSAVQPPTE >cds.KYUSt_chr5.4682 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29818951:29820837:1 gene:KYUSg_chr5.4682 transcript:KYUSt_chr5.4682 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMAAFSDVAPLVGGITVEALHCKFVVRVVYPVGFVLGLSSARWCAAPGIGRSLSPRTAMAEGSMDRLTDDILANIISRVPYKSTCCCKCVSPRWRDLIAHPDHCAKMPQSLIGFFYETDDGPTKDRSFINALAQGCPPLVDPSLSFLPKHDNLHILHGCNGLLLCLL >cds.KYUSt_chr2.50031 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312990446:312994414:1 gene:KYUSg_chr2.50031 transcript:KYUSt_chr2.50031 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKELQRIPATVSVLGHPTPNLRDLYALGRKLGQGQFGTTYLCTDLATGADYACKSISKRKLITREDIDDVRREIDIMHHLSGHKNVVAIKGAYEDHLYVHIVMELCAGGELFDRIIQLGHYRERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQFFTDVVGSPYYVAPEVLCKKYGPEADVWTAGVILYILLSGVPPFWAETEQGIFDAVLKGVIDFDSEPWPVISDSAKDLITRMLNPCPAERLSAHEALCHPWIRDHGVAPDHPLDTAVLSRIKQFSAVNKLKKMALRVIAESLSEEETAGLKEMFQTMDPDNSGAITYDELKEGLQKYGSAMKDTEIRDLMEAADVDNSGTIDCIEFIAATLHLNKLEREEHLEAAFSYFDKDGSGYITVDELQQACLEHNMPDAFLDDIIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAI >cds.KYUSt_chr3.14806 pep primary_assembly:MPB_Lper_Kyuss_1697:3:89968018:89968377:-1 gene:KYUSg_chr3.14806 transcript:KYUSt_chr3.14806 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHVSHQAGAAVGHAQDTTGHVAHDAAGTAHGAAGAAHDAAGAGANQAGGVLEEVGGQAQLVAHSVTEAAGGAAEAVKDAAGHGGN >cds.KYUSt_chr2.5399 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33448445:33453677:-1 gene:KYUSg_chr2.5399 transcript:KYUSt_chr2.5399 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTTTHRSEPRSRRRGAATVDRLSALPDALLHHIMSSLKAWEVVRTCVLARRWRHLWASAPCVDLRVRYSGRDDDPPEEFRDFVHHLFLLRDVSAAVDTLLLRSSDEDAGYDEKDSDMWIRTAINRRARVVHLAGHRKDIALLDRVPFVSGHLKVLKLSHARLDGRILQQLSSCCTSLEELDLKDCLFTSHEIVSASLKTLIMVKCTFNLDFSVTAPNLVLLRLVTPSVRVPSFKNFGSLVTGTIILDDSFLSDDFEQISDKDDCDETTDDDGDDSDNDMSDDDFGNSSCDDVEESTDGEDGYDKSKNYKVGYEHSFPKKAYRHGGSKDNYGYGSDIDSDDNTYEYSEIANDAKYGYKGIGQIPRKGNNYGENGGGSDSKILGGCHILESLSTATSLEFLSDAGEVVLSRELERCPTFSNLKTLSLGEWCMAADFDALIFLLQHSPNIERLFLQLKLAYSSGDVQKKFNTRNALIGNKCETNGKIVHLKRPSNGEDQMLK >cds.KYUSt_chr5.29053 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183937314:183945249:1 gene:KYUSg_chr5.29053 transcript:KYUSt_chr5.29053 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRARRAIPPPAAPPPLLLAAALLALLSLAGRLGCDAAAAEADAPPDYGAALSKSLLYFEAQRSGRLPYGQRVRWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLAWGAIEFGDDVQAAGEWGHALEAIKWGTDYFVKAHTEPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRVDKDNPGSDLVGETAAALAAASLVFRRSAEHHDYADLLLRHARQLFEFADTYRGNYDSSIKQAHSFYTSVSGYRDELLWAAFWLHRATGDDAYLRYAVDGARGPLGGGAGWHMTEFSWENKYAGLQVLAAKLLLDGDPQGAQHRAVLEQYKAKAEHYLCACLGRNNGSNVHRSPAGMLYVRQWNNLQYASSAAFLLTVYSSYLARAGESLRCPDAPEVPPSELLALARSQADYILGRNPLRMSYMVGYGTRFPLRVHHRAASIVAHKADNRFIGCVQGFDDWFGRDQPNPNVLVGAIVGGPNRRDQFRDGRKYYMQTEACTYNTAPMVAVFARLHRLSTMAAAENSSSDSEGCRPGLGTALSAKCR >cds.KYUSt_chr4.13624 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83915873:83917135:-1 gene:KYUSg_chr4.13624 transcript:KYUSt_chr4.13624 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEKPAERKEDEGPGKSGKPEDEGESSGSSGRKKEEVEDLLGRLHLQEDEIEDFVWEEEADEPDFKAKWLAIARVHTSKIGFSQSALFADMRSSWNPAKEVSWRRVDANLFTIQFHCLADWNKAMHQGPWLFRDQALIIEEYDGFTNPRAVKLDRIGVWAQVHSLPDNYLKAPIIKGMCRNVGEVTEVQIKLPSGFVGSFVRIKVKLDVNKKISRFASVTRDGKKEFFQLKYEKMPVFCGCCGMIGHWYEECGTGEHEISKLEWGDFILADGGRGRGRGRNSGRGRGGGGRDGGPWGRGMGRGSNPFAKDGNLGDENVPSDMEYEGHVLHNPFIRKRIAENSAREVGAAAITVGDPTAGVGVLAMVGQFEGGGISDVGNITPQKNMNKKKVRPNEDDETPAANENLLAAPLEGDRQEQ >cds.KYUSt_chr3.12851 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77081124:77082608:1 gene:KYUSg_chr3.12851 transcript:KYUSt_chr3.12851 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPTCDDHVQWRPLAGANDHRRRHGTCPAARASRHSDVEVDGLLLHEQLLCWLLVPAMVQSKVAEGGAEGRVVGYREGVGVVVVVVIGNIHNCHGKLSGGLRIEVLFIKMKETAAAIRREYMSFSISAGVKRLLVYDYMPFGGGLETHLFGEFFSQVGLAVWSSSSVCNTVRLWT >cds.KYUSt_chr2.13782 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87336771:87344723:-1 gene:KYUSg_chr2.13782 transcript:KYUSt_chr2.13782 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASGSVPAAPRVGLLYDNRMCAHATPDGEDHPENPERLRAIWRKLAAEGIASRCQVLEAKEANESHIASVHTQDHIKLMRDISSKEYDSSRDDIAKGFNSIYFNEGSSESAFLAAGSVIEVSEKVAAGELNSAIALVRPPGHHAEHDAAMGFCLFNNVAVAANYLLNERPDLCIKKILIVDWDVHHGNGTQKMFYDDPRVLFFSVHRFDFGSFYPSQGDASHCFIGEGAGQGYNINVPWEHGKCGDADYLAVWDHILLPIAEAFDPDIILVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFANGRVVMALEGGYNLKSIANSVCACAKVLLGDKFAFNAREKRPFESTWRVIKMVRDELKTYWPVLSSKLPKNVPLRSAPLYIEPYASSGSESDVEDVDELPDAVSSVDDGLSERITMLKLDQDNVATKNTSSCTTVEQSPTDSVQAQNDGSVVAPTRIYDLSFTWRSALSKVYVWYASFGSNMWTPRFLCYIEGGKVDGMSISCFGSHDTSPPRGTMWKNVPHRLFFGRSSTPCWGTGGVAFLNPEINYNDKSYICMYKITLEQFNDVLFQENRLVDSSEDGKSEFPDSPLIGLSEMESISSNKALHLESIKDSWYSNVLHLGEEDGLPILTMTCPSTDVARHKSGELPLAPPSETYSATLIKGLVEGKQLDTKEAANYINDAAVRGL >cds.KYUSt_chr6.10490 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64870564:64872325:-1 gene:KYUSg_chr6.10490 transcript:KYUSt_chr6.10490 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGENLPTIEPVRGPASMIKLLCTSRRAVYIHQQHPYSRPGRSTCTPGQAATVRRELHLYAGLSGVHPAEVAPVRRAAPVRLAAPVRHRRAAPVRLHAVFQAAHHRCRGWGSRRSCRRRRVASASQNAPSIPPHLRAQKVLDDLPAMDSDDEMVALLLEDEQAFDDDLREHLLIIASLQGMLDAEAEKRKRPRHRG >cds.KYUSt_chr5.18325 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118472321:118479479:1 gene:KYUSg_chr5.18325 transcript:KYUSt_chr5.18325 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAASESSENSPVHGGEEQHAIGSVQSSGRVAGRSHTSPGRSTKSASPGLEDSCSELASVGQLGAERTGKVRIGAEQFGNGRIGDRGDRLVCRGLRSGCDEDGRCEVGLLEHLIADAIGTHSEGVVFYVENQAIMCSGSDEAINSANRFLLAKLSAKPMDQEIPSPN >cds.KYUSt_chr3.30034 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188018062:188019447:-1 gene:KYUSg_chr3.30034 transcript:KYUSt_chr3.30034 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGHLHPTTQLAHHLAELGLPVTVAVADVPSTGNSSETIARFAASYPSVSFHLLPPATTRSADTADPDADPFIALIADLRATNAALLAFLRSIGPVKALVADFFCAYGFDVAAELGVPAYLFFASGASVLAAYLHIPIMRTAVSFGDMGRNLLHFPGVHPIPASDLPEVLLDRDNNQYKTFLGLLEQLPRANGILSNTFEWLEPRAVKAIRDGTVRPGEAVPPFFSVGPLVGEERESTAKHECLRWLDGQPERSVVFLCFGSASSVPAEQLNEIAVGLERSGHAFLWAVRAPVAPDADSTKRFEGRGEVAVEALLPEGFLDRTRGRGMVVSSWAPQVEVLRHPATGAFVTHCGWNSTLEAVAAGVPMVCWPMYAEQRMNKVFVVEEMKLGVAMNGYDEGTVKAEEVEVKVRLLMESEQGKEIRERTRLAKQMAADALESGGSSTAAVVDFLNSLNISIHH >cds.KYUSt_chr3.19290 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118808696:118809841:1 gene:KYUSg_chr3.19290 transcript:KYUSt_chr3.19290 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVVAGRYWCHMCATAVSPVAAEAGMEIKCPYCSSGFLEEMETARSSVANGSGHAHAHAHGGTYPSADNAISIWAPIIDSMVGDPVRRRRSNRRTVDAVAAAEDELDNVDFSRRRRRATAFLRLLQAIRERQLQRLESAASLSGTGTGLEAEHYSPFGRSIFAAAPLGEHGMALGDYFLGPGLDALMQQLSESEAGRQGTPPAKKDAVEALPTVEVCGGCNEDDAASCAVCLEDYASGERARELPCKHRFHSHCIVPWLEIHSSCPVCRFQLPADDEPKNSCGSGSGSNSGTTTTTYVTYVSADVNDSGDGHGNEIGDGGGEAAGNAAVEHDDGGTEAEEGNVSRLPASISWLNSLFPQQAPSPSSTSTSGSSSRYYED >cds.KYUSt_chr3.29378 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183919142:183921620:-1 gene:KYUSg_chr3.29378 transcript:KYUSt_chr3.29378 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRCCRHLATRLDYAMARSVWTLVDEGVVEHMSVTQESDAKVWIANMIETLNSDDQGHVKINVDAAMGKNNGQGAVAAIARSAQGEFMGASSLVFPGNNDLETLEMLACREACALAGDLSLRWVRVATDCSNVVRSLERGTMGAYTHIVREIMESYWESRCPHAISQLAMPAFIRLHFTCLFFTPRPTAYKHNTSNNNVSPVLSSAMVASTMAYSSPALAGKLSSTSNVFGEGRIMMCKAAAKSKPATSGSSWYGQDRALYLGPLSGQPPSYLTGEFAGDYGWDTTGLSADPEKQE >cds.KYUSt_chr6.27702 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175738019:175739443:-1 gene:KYUSg_chr6.27702 transcript:KYUSt_chr6.27702 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLSFDWSSSMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGARTPSTMSIKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr2.13035 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82665094:82666986:-1 gene:KYUSg_chr2.13035 transcript:KYUSt_chr2.13035 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKHASPGVLRALVAVAASIASAEPSRKVPRRAAPFLAVVFRRGRAEAAARLNHLLRLLPLPDSPALLSALPSVRDAVSYNTVLAALCRQGCLDAALFLLRAMAHEPRLASRPNAVSYTTLMRALCADRRSPAAVGLLRSMQARGVRADVVTYGTLIRGLCDAADVDKAVELLNEMRENGIQPNVVVYSCLLQGYCKAGRWESVGKVFEEMSDRGIEPDVVMYTALIDSLCRNGKVSKATRVMDMMVERGLEPNVVTYNVLVNSMCKDGAVREALDLRRNMLEKGVQPDTVTYNTLIAGLSGVLEMDEAMGLLEEMIQGETLVKPDVMTFNSVIHGLCKTGRMSQAVRVRSMMAENGCMCNLVTFNLLIGGFLRVHKINKAMQMMNEMASSGLKPDSFTYGILINGFCKMWHVDRVESLLSEMRSRGIEPELVHYIPYLKAVCDQGMMARARVLFDEIDMKFKLDVVAYSTMIHGACKLGDKKTAEEFLKYMLDEGLTPDAVTYSILINMFAKSGDLEAAKGVLNQMTASGFVPDVAVFDSLIQGYGAKGETEKVLELVREMLAKDIALDAKIVSTILSSLGASNEGQALLQRLPGFDTEIAKGDVIPPRDVMNMLHKLGTELDPPAGC >cds.KYUSt_chr2.1810 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10793109:10796404:1 gene:KYUSg_chr2.1810 transcript:KYUSt_chr2.1810 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFLMGTAQRVQLADDLGTAKGTPCAQNSMTRRSRQPNSNLALKNAGVGLGDTRSGGSTSELWRRAPPSSILLVTMDRREQIVGLANAKAGVNKPELLPKEFTTVIDVAGFLSSGQENRLRQEIQDLEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNIINFNIGSLIDLDIPQSFWSRVAGKEKMHQLKVL >cds.KYUSt_chr5.30996 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196233528:196235448:-1 gene:KYUSg_chr5.30996 transcript:KYUSt_chr5.30996 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGARVVVVAAALLLLLLAGGHGGGVHCLRSRAESGATVLELRHHSSSSSLGSGPSLKRRGASDAGGLLASDAARVSWLQRRIDSYGKLRTRSSDTAAAAESKLAQVPVTSGARLRTLNYVATVGIGGREATVVVDTASELTWVQCAPCDACHDQQDPLFDPAASPSYAAVPCNSSSCDALQLATGMSGQPCGGADGRPAACSYALSYRDGSYSRGVLAHDKLSLAGEDVEGFVFGCGTSNQGPFGGTAGLMGLGRSQLSLVSQTMDRFGGVFSYCLPLKESGSSGSLVLGDDSSSVYRNSTPIVYTSMLSDPAQGPFYFLNMTGITVGGQDVESPGSFSSGKVIIDSGTIITSLLPSVYNAVRAEFLSQLAEYPQAPPFSILDTCFNLTGLNEVQVPSLKFVFEGNVEVEVDSKGVLYFVSTDSSQVCLALASLKSEYDTSIIGNYQQKNLRVVFDTLGSRIGFAQETCDYI >cds.KYUSt_chr7.8453 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51193686:51194978:-1 gene:KYUSg_chr7.8453 transcript:KYUSt_chr7.8453 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDANLCNAEEEFIPEPRVSCEFNDTRSDFCEMTGAIRVRGSTSEVFVVTPRRGATAGDVGANATWIAANATSWKMKPYTRKGESRIMNGITEFTVRLSAAGEAPACDVMHEDVPAVLYSNGGYCGNYYHDFNDNIVPLFITTRQFGREVQLLVTQKQAWWFRKYGEIVDGLTRHEAVDLDGDERVHCYRRVTVGLRSHKDMSIDPRRSPNNLSMVDFKRFLMWRYALPREHAIRTEEDDGEERRRPRLLIITRRSKRRFMNLEEIVAAAEEVGFEVTASDLMPKKGAPGEVVVGDGGQARMADASATVNAFDAMLAVHGSGLTNLVFLPMNAVVVQVVPLGRMEKLAMDEYGVPPRDMNMRYLQYNITAEESTLSELYPRGHPVFLNPGPIHDQSWSLVKEIYLGKQDVRLDIARFRPVLQKALDLLR >cds.KYUSt_chr2.40891 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253992809:253994505:-1 gene:KYUSg_chr2.40891 transcript:KYUSt_chr2.40891 gene_biotype:protein_coding transcript_biotype:protein_coding MCQHRAMFKLMGAEEKEWLKQRCGGSWKLVLGYILVGEKNYRRGKSQVIAGPGYSIVVTAKGDVYSFGANSWGQLGLGDTEHKFKPCLIRSLQGIRITQVAVGSRRTMLVSDTGSVYKFGHSAFGPFDYYGTAPTNEISCPNPQLVESLKGIFVVQASIGGFFSAVLSREGRVYTFSWGHAERVGHGTEIADLEPRLLSGPLEDVLVVQIAAGNCYLLMLAYHPNGMSVYSVGCGLGGKLGHGNTRNEGTPLQIEHFQTFNIRPMSISAGAFHVAVLSSDGRIFTWGWGVHGCLGRGAEEYMTLPTAVETLKAVHVSAGYYTTFVITDNGEVYTFGQKGVGLQDGEDNESGDILAPKLVTSLAGLEESFVQISSTNAGDWIDDKLVYAHTVALTNSGKMYAFGGGSQGQLGVKLAEGKEAMPPFQVAVNLI >cds.KYUSt_chr6.812 pep primary_assembly:MPB_Lper_Kyuss_1697:6:5171672:5177390:1 gene:KYUSg_chr6.812 transcript:KYUSt_chr6.812 gene_biotype:protein_coding transcript_biotype:protein_coding MIQASTAHSSSPLLAEADALLFATKVAAQVQAQGITFLTDNLTLARAASATAITNEQMERVVENTVLLDFESWHNFSPAIRSGDWSDIGGREYMEDTHVCIPNLAKSFGYKTADDEVISFYGVFDGHGGKDAAQYVRDNLPRVIVEDAAFPLELEKVVRRSFVQTDSQFAEKCSIHDGLSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGVAVEMSNDHRTCCLHERKRVESLGGYVDDGYLNGQLAVTRALGDWHLDGLKETGEPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDYFSNQNSVDFARQRLQEHNDLRRCCRQIVEEAIRRGATDNLTAVMVSFHQEAPPQLRVASRGRVERSISAEGFNNLRVLLEGQ >cds.KYUSt_chr3.28610 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178484700:178485977:-1 gene:KYUSg_chr3.28610 transcript:KYUSt_chr3.28610 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASFAARHHHGHLAAGLVPTPQASGRTSRSGVTISMRAQKKQSSEPGSGKEGGEGRVSGGRRVWRRRKLTKEDDTLRYKLDRIPFLEEKVRKVRENGKLVCLDINQLMLAAENRFAFTNEVAEEANAYLEKNRNEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPYFIKEEGH >cds.KYUSt_chr7.40949 pep primary_assembly:MPB_Lper_Kyuss_1697:7:253837497:253838977:-1 gene:KYUSg_chr7.40949 transcript:KYUSt_chr7.40949 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRASRWRQTLTEAPCPRLEPPAPAAALAKANPRLQLAGLKNPVTGSADQIPTACSAATAALLVPMLMGLEATGDDRFNTGHAVSCRAGMDGNQLDAQMSGVRKDKDGMLRKYYKDPSIVLGRMNTKDMSGELHHLKVDLLTAWMLCTQVL >cds.KYUSt_chr5.12997 pep primary_assembly:MPB_Lper_Kyuss_1697:5:84759049:84759888:1 gene:KYUSg_chr5.12997 transcript:KYUSt_chr5.12997 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGRGWGFPAGGTAGTSALPTPNLHPIRTKISPDLGVGSANEWGCSHSIATGEPGGAVLAELYEHELLKKHPNPNPDLPNVKLIRMLADLCRSHQLRASVNWLLVRYKAAEIAKDLIARTPEFSDLVRLPNCPDKPHNILPIRSCSHSRYHMKKIAPIPAAHLAKFYLQKKRYTITKALFGYDVSGFFFNIQTLMYVLCFVQPAIFKSL >cds.KYUSt_chr4.11804 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71697012:71699573:-1 gene:KYUSg_chr4.11804 transcript:KYUSt_chr4.11804 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNIICCTGIDKHRVHHFAGAVRSSKPPEVYKGKGILYIDEVIKLKPGKKTKNLARTSLAAMEAKFFRFLKVVGSGYKARTEREGRELFLKIGFSHEVHFTAPPAVRVFCFSPNLICCAGIDKHRVHHFAGAIRTIKPPDIYKGKGVLYINEVTKKQWRHRR >cds.KYUSt_chr2.19541 pep primary_assembly:MPB_Lper_Kyuss_1697:2:122970067:122979047:1 gene:KYUSg_chr2.19541 transcript:KYUSt_chr2.19541 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNLRSRAALLAAATCFAVLAAAAALLHRGRRRGQAGRRVEERRSRRARRACEEEEKPQGRFKRVLADNSYSRFKHLRRQGADPPVDGYRPEAQPQPPQESTQKMHPFEEEITSLLDNPTKYLDFCNFTPSSQSPEMSTSYNWINTEAQLENLARLLGEEKAFGVDTEQHSFRSFLGYTALVQISTQKEDYLIDTIALHDAMGILRPVFASPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLELYCGVTTDKTMQREDWRIRPLTAEMIEYARCDAHYLLNIAKCLASELHSKGCDSPDGKINFFLEASRRSNMVCMQLYTKEIECPPGTSSAASILSRNLQTHGLDSKKSSEVKDLVRKFCAWRDLMARMHDESLRYILSDQAIAALAVSVPKGPTEICAVIAETELSASTVNPSLTSPSPIVGAHIEELCYLIEDTTVSMDNLFTSLLGKYKDPSGLCRLSVYNYNLVSQLSLKQTNIFAFASNGEKLLRAPHKQKASRESFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYVQRDLAKLVEDSPPGIMLLFEPKGRPEDEDNEFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRRLAQEFGIPLFVQKIVNSGDASLITDASLSEDKLNGTGVSPLLLRTAAMALLRHGSNMPLKRREELMQIVKSYYGGRDVTPEDLERALLVGMSPHELRRLEKKKGYPQSFRAQTQNIIRKTNNKTILEDIGHDSENNHALPEQDSDDGQQDTDGIGCDGQEEDSSVSQGSASLLVGMDDSTSDSKTETLGTDDMQLSSNGTHGNGHLDEDSTSTDSSISKHAEKKISLLGHGHHGKQIVELLLAKGGEEAVNQFCQRWRHVFVEAVHPRYLPSGWNINHSGRRDFGDFSVYKQPSNQEPQPAE >cds.KYUSt_chr3.18991 pep primary_assembly:MPB_Lper_Kyuss_1697:3:116796128:116797445:-1 gene:KYUSg_chr3.18991 transcript:KYUSt_chr3.18991 gene_biotype:protein_coding transcript_biotype:protein_coding MAREMNGFFTAAVPPAPFQAEADEAEDGSGGSGSGQGKLCSRGHWRPAEDARLRELVAQYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMGAHRAYGNKWALIARLFPGRTDNAVKNHWHVLMARRQREQSGAFRRRTKPASSSPSSTAPPPPPPHFPSVVLPQHQHPHNGSSPMPLNHPYAIGVAIAAGLGPAYSGGESDESASTCTTDLSLSAGSAAAIPCFYHHHNHQQSTCPAHAPCMLALDSRAQLPSCPCCFLASSVTWTSTDTVVVSAAGYDMAPQPQAAPQPFAPSPRSAFSAPSSSSARQQQQRQDAADQDGDKPTVPFFDFLGVGST >cds.KYUSt_chr2.7272 pep primary_assembly:MPB_Lper_Kyuss_1697:2:45696707:45697903:1 gene:KYUSg_chr2.7272 transcript:KYUSt_chr2.7272 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLAVLAVLASLLGAVSCEFLSIYDGYGFPLPNPNQPFKLPPASPPKNPRPDLKVGYYAANKCPQAEEIVKRAVKEATPGEKAGLIRLFFHDCFVQGCDASVLLEGPDTERTAFPNLSLRGFNIIEKAKAALEVECKGIVSCADIVAFAGRDASYSLSDRKINYQVPAGRYDGKVSRANDTFLNLPPPFGDLKTITDMFAAKGLDQTDMLVLSGAHSIGRSACGSFANSFSNRLLPDNSSTAMNRTLADQLNTTCTANSINVPQDYETANELDHQYYKNVQEHNVLFTSDAVLLESPETKVLVDSFARDPFFLSDIIPIGRNPWYDAFAKAMVKMGNWEVKNSTVGEIRQFCGKVNDKTY >cds.KYUSt_chr2.44824 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279212308:279217737:1 gene:KYUSg_chr2.44824 transcript:KYUSt_chr2.44824 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKGLAKAAIDALDGGGDGEAPRRQRPAAEDAEEQEGREDRDRSTWAEVVSDKKGGEPEEERRGSRPSRQLLISFSNCIQHDGWERADGRKQQQQQTSAHGGGQSQYQGDDRRDGVRPPQQQAPANRRQQQEGEETNDEGWETVGEKKKHGRPQQSEAWNAYKRPPSEQEYSEDVGRIHNGLNLEPTREELNSLSEACSRLWELDMNRLVPGKDYRIDCGEGKKVYQKDDMAYGNLFSWLGDDVFTKPTYSRFCALLDNYNPHQGYKEVVTQQEKHEEVAFIEEIARTAPIKYLHQYLVMKGVASQDYDDFKTMLTSLWFNLYGRCGNSSCSSAFEHVFVGEIKGERQGENEVSGFHNWIQFYLEEAKGNVDYKGYIFPRRRGESPDSETQLLTVQFEWHGVLKSVSSSLIGVSPEFELALYTLCFYMGGEDNRVNIGPYTVNVKCYRMDAEAHKYIHTLTSMNAHTLYSTLMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >cds.KYUSt_chr4.21460 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135160466:135162227:1 gene:KYUSg_chr4.21460 transcript:KYUSt_chr4.21460 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLVPEQDRAPNRKNGHQMAGKSGAEKVGCPVLIGRSSGGRTRGRGGALCSEDSEQGWGGILPSTSPLPPTTAYAPELRQGEFDGMPNTSKANKASNSSKEDRISALPDDVIHHVLGFLQAAEAVHTSVLARRWRYLWKSMRCLRVNSIGRWEEGNGASLSNFMNCLLLLRDPGSTLDEVEIKYDNLEDETKWISIWIRHALSCQAQVLTVKPDSHMYICLDGPPLVSRQLRRLEFSNVNLKHNFLDFSSCTALEDLKIEGSALETSRILSPSLKHMSIKQCAFRHDGRIRISVPNLVSLQLISLVGRTPLLESMLSLETAVVIPRNYSDDLCREGVAGECCGTCADCCGNDDHNGGCVLLGAYFQKGFAMVPYI >cds.KYUSt_contig_662.64 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:380801:385071:-1 gene:KYUSg_contig_662.64 transcript:KYUSt_contig_662.64 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGQRHGGAGAGSSSRQGSGLKGQASSVEFLGRGMVGMQLRDAKPDADDERVGKLLISFAACFTKGTDSFLRLQDTEPDVVADSGAEAGHIISTTVRGRNGLPKQSVSYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIMNMLDHPNIVGLRHYFFSTTERDELYLNLVLEFVPETVNRMARQYNRMNQRVPLIYVKLYTYQICRALAYIHNSVGICHRDIKPQNVLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKKLPPEAMDLVSRFLQYSPDLRCTAMEACMHPFFDELRDPNTRLPNGRPLPPLFNFRSQELNGIPPEVIERLVPEHARRQNLFMALRT >cds.KYUSt_chr2.32890 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202835403:202835844:-1 gene:KYUSg_chr2.32890 transcript:KYUSt_chr2.32890 gene_biotype:protein_coding transcript_biotype:protein_coding MAREANGAEGFAARRGLLGYFLWPVNYDDANLTVSRREVWEQSRVSSDLRNATGVRQRKAPLELPPALRSTAPASAPVPVPTSASFSWLCRNKLDAHLHFAVLILFLHQQQ >cds.KYUSt_chr2.53851 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336125421:336127705:-1 gene:KYUSg_chr2.53851 transcript:KYUSt_chr2.53851 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAHLIGHTAAALEQPRAAGKPPDTTADHRQWPSRPKAPTFDSPPEPHISPGDASKEVTAQHVDIAQSRDFGLSSGRWVGGGKRGRNVASKEEPGTRGRPISGRPLQDQPPPLMASSADNRRFKIFAAADGFVEQHKDAIVAHLRAHSSVAEVVDLGVDKYYSAAAAVARNVISSSSDPALEVRGVVLCGTGAGVTIFANKYPGVYATHCSSVADAVNTRSINACNVLALSGMATPPETAALIADAWLATPFRAPCPASGDAPWPEEVQQFFDVAPQEMASIPQGSAPAPDDSTCAICCLRKGMEFEPLDAMPGGEMRIVRESPTSAYVRFKAGSVEPAHHHTFGHDLVVIKGKKKVWNLTKNEKYDLVDGDFLFTPAGDVHRVKYLEDTEFFIRWDGHWDIFLDEDLETARNAIDAELGVADIDK >cds.KYUSt_chr7.36270 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226727070:226728386:1 gene:KYUSg_chr7.36270 transcript:KYUSt_chr7.36270 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEPWKTVTIPPIVQELVTSVQEPPSRYVIPEQNRAALAGSEMLDPIPIIDLSRLSSNADEVSKLRSALENWGLFLAVGHGMEPGFLGEVMKVTRDFFKLPLEEKQKYSNLVNGNEVRIEGYGNDMVVSEKQILDWCDRLYIIVEPESRRIDSLWPTQPPSFRDVLSEYTVRCRNIANLFLENLAKLLNLREDYFVDMFDEDSLTYARFNYYPHCPKPDHVLGMKPHTDASVITIVFIDDNVSGLQLQNNGVWYDVPIVPNALLVNVGDVMEIMSNGFFKSPVHRVVTNAEKERLSLVMFYTMGPEREIEPLSELVDEKTPVRYRKIKTNDYIATLFETFARGTLAIDAVKI >cds.KYUSt_chr7.5352 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31947867:31950495:-1 gene:KYUSg_chr7.5352 transcript:KYUSt_chr7.5352 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRDLDIWVSESTQGRHERLVIDSSYPFRCLARLKIGSRIMEMKFAQGAVQKLKTLEIMLSVRQTFDRFGDLDFGLENASSLKHVYVGRWYAGRWSKPDPEEAEDVIRKALEKNPSKPTVEFGMIVVCMFCYLSQNRYSGDFVNLKTLRISSSMQSLGDADLCEDSHRQAVAEDGKKLRASALPCPKGSSATAGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr5.27944 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176790661:176794194:-1 gene:KYUSg_chr5.27944 transcript:KYUSt_chr5.27944 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTTDPETGSVWVNPQSETQCTSYVSKFKRTKVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEQRAQMEQQILEYQQQQTQMMQQMQQQQQMMQQQQAQMSWLMSQTALSSPPGSLPPPPYSMPWMPPPPTHTPGTPITVNNTNIIRSMNLVGLVVRHTIIHIKVNFKKTENIDLFDSNFISDSNLAPVIIARFPQGSGLVL >cds.KYUSt_chr1.26432 pep primary_assembly:MPB_Lper_Kyuss_1697:1:159325179:159325565:-1 gene:KYUSg_chr1.26432 transcript:KYUSt_chr1.26432 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQQERSELDSMAREGQTVVPGGTGGKSVEAQEKLAEGRSRGGQTRKEQLGEEGYSEMGRKGGLSTNDESGGERAAREGVDIDESKFKTKS >cds.KYUSt_chr1.37252 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227701527:227703782:-1 gene:KYUSg_chr1.37252 transcript:KYUSt_chr1.37252 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPISSKPPSPAPAPPPPPPMEPVPLAVMEPVPSPPPPLPPVVEADPGAMNQLALASTPKRQKVEEAADGNGCKHCACKKSRCLKLYCPCFSGGGYCSERCGCLPCFNKVDFAETVQTTRKVLLSRILTEESKRFLYTGADLDQSEDEHDFVVERLQSPISKESSFQHTPPRNKASSRDTHLLPAQALSQWQSRSWQSSKRQMNDRAVDISGENKNSNHDWQLTKHQPQEDSYSISRCVQILNGMVELSQVEKSVAPDVFLQQGNREIFVSLGLDVRALWLKRKIQNLT >cds.KYUSt_chr7.4249 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25406239:25407567:-1 gene:KYUSg_chr7.4249 transcript:KYUSt_chr7.4249 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPADRSRLRLEGPAPTRARCSASSSEAAAERLTDDLLLEILPRVPAKSLCRFRCVSKHWLDLIDHPDHRKKLPQTLAGFFYNKGFTSSSPESFLVPPILLTSVSGSPCPLTSTSVAFLPKYMSVYVLDCCNGLFLCRWYGVSTKIGEFSYIVCNPATEKWVALPSSGQATDNVLIARLGFDPTQSSHFHVFELLEDHDSWGPSPGLAGVAVYSSETGGWIHKKERWNQHIMLPYYDRTSADTVFLDSRLYFHAIGSGLSKCLAAVDTEGETWTNFGVPGGGLIEGFIQGSQGCLHYANFYRDDYNAAVRLQVYVLRNDESKEWILKHTVETSYVFGGIDVTLDWDFKWVGIHPQCNSIFFTGGWDTSFMRYNMDNQKVKVIRNLKDGNPPYLPYVPLYADLQSLEM >cds.KYUSt_chr2.11235 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71352164:71355723:1 gene:KYUSg_chr2.11235 transcript:KYUSt_chr2.11235 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRQMEDEKKKKKEKRNKIKQDIDKGVQVGNMIRFFQGFYRHLHTPAVIIGAVFALVALLISLWLILKHLRSYSNPAEQKWIIAVLFMVPVYASESVISLWHSELSLACDILRNCYEAFALYSFGRYLVACLGGERQVVGLLENKRMEELSEQLLDRQEKAKDHNRSTTRNFFRDPNALGERLYTIIKFGLVQYMILKTFCSFLAFILELFGAYGDGEFKWYYGYPYIAVVINFSQTWALYCLVKFYNATHERLQAIRPLAKFISFKAIVFATWWQGIGITIICHTGLLPTEDKVQNGIQDFLICVEMAIAAIAHAFVFGVEPYKRIPFPEHGDFSRHESKMELKVDVGDSSNGAPTTVGQKETHVKTPGTSIKESVQDVVLGGGQHVVKDVALTISQAMEPVEKGVEKGVGKIQETFHHVSLKPGDKKKPDVEVEEHVTKNVVDGEPVAVDAEIEVEKKVQDNNGGCESVVVDADVEVQKTVQEKNDGGKSVVVDAEVEVERIEDSKR >cds.KYUSt_chr3.9980 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58771109:58773286:1 gene:KYUSg_chr3.9980 transcript:KYUSt_chr3.9980 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLQRPLLHRSELLLDLLPTAASSIFVSGGGHVQPIKDGGEGRRAVTVSYAQGGSSTGRRMWNADGGGGLSRQCVDRWRGLFLTARFGQIWRKIAHVVSFSSSTSLAGEETRRSLMGGKRKAEDEPEVSDDEDDEEISEEEAKAILAESRARALQEYSEMFARLGLREDVGLSYDDDE >cds.KYUSt_chr3.33799 pep primary_assembly:MPB_Lper_Kyuss_1697:3:212133755:212134084:1 gene:KYUSg_chr3.33799 transcript:KYUSt_chr3.33799 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGHPARTMGGPAAASGLPSDDTHELGGDAVDDEEEDRLWGDDALAPPPPPPPGRAVVDEDCPPLPPRARDRLSLRLSSFDTLPPFLLILDDHRRRPLSLHTISRRRD >cds.KYUSt_chr1.26156 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157342464:157344115:-1 gene:KYUSg_chr1.26156 transcript:KYUSt_chr1.26156 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNPERQVERTGRGGTPRDQYLQDLVTQFQDSTDEDCKERIVANLSNFAYDPYNYAFMRQLNILELFLDCITESNERLVEFGVGGICNSCVDPANASVINRCGGIPLVVQCLSSPVRNTVIYALGALYYLCNPSTKKEILKPDVLRVIRDYSAAGAVNSSFSNLANAFLDKHVNS >cds.KYUSt_contig_528.191 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1230077:1231086:1 gene:KYUSg_contig_528.191 transcript:KYUSt_contig_528.191 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSKVICCKLYISESQNAMVVDAISRIGQKDPEVVLLSKFEDEYYNRVRYTLVSYIISSSSTGEAVFSPIRKVLLAMIETAFSAINLEVHCGTHPRIGVVDDLSFHPLSQAATMEDAAQLAKLVASDIGNGLQVPVFLYAAAHHSGKSVSAVRRELGYYRPNHKGIQWAGPVLPDTLPMKPDVGPVHVSRERGATMVGAKPFIESYNVPILCKDIPTVRRITRRVTGRSGGFPTVQALALFHGDNCTEIACLLDPDHVGTDQVQWLVEQIAEEQGLEVDKGYPTDLPRDIMLERYFKMISAAD >cds.KYUSt_chr4.11464 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69725804:69727292:1 gene:KYUSg_chr4.11464 transcript:KYUSt_chr4.11464 gene_biotype:protein_coding transcript_biotype:protein_coding MATAISLSLSLSYAQLRTSTLRTPCHRRLSASGPHGPFPRLRRRSLRVSAAAGASPLDAGNPAWDALDGVSVFATGTGDAVPLRDLWDPTEGVAVVALLRHFGCFCCWELASDLKNSMEKFESAGAKLVAIGVGTSDKARILADRLPFPSDSLYADPERKAYDVLGLYHGIGRTLFSPASAKIYSRLDSIKEATKNYTLQGTPSDLTGVMQQGGMFVFRGKELLYAWRDEGTGDHAPLDDVLGVCCKVPAT >cds.KYUSt_chr5.4137 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26540652:26544408:-1 gene:KYUSg_chr5.4137 transcript:KYUSt_chr5.4137 gene_biotype:protein_coding transcript_biotype:protein_coding MDESHRGKAAKKRGSSSEEGESGPPHSRAPEAAKKRDSSPDSEASPGSETEREAKKKRISPGEEMELAQLARPEMRGAGDDHQDQSVTQTQAVEEEDEFTPKSPISMPYIPDELKDPTAYPATLAAFEEAEVKYNAKLRRRYRLFSLPREPVVEPSCLVHQRHLLPIREPAKKAVLRAAKSIVRLSSSVDGKPLASCCGLWVDWEEESKTGIVLTTAHLIRLKHPTENHWEGTDEYDTKSNVIVHLLDGTTADGHYLYHQEHYDLAFFKCDDDGGSVIDLDGKVSGLVNKNLENSFVPSCILDKCVDLWRKFRCCIPRLHLGMKFSSIKFLDPIQIENMWRMYKIEDGLIVKEVSKESHAEKLGICIGDIIERFNGERVSTTVELENMLLDRCRDHLDQGGQLNEKIDVSI >cds.KYUSt_chr2.1974 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11894804:11898481:-1 gene:KYUSg_chr2.1974 transcript:KYUSt_chr2.1974 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDIQRNEMLLAPTMAFKKVQMADKYPKGQSRGRQWKHLRFLLQAADATSLPRTAPNYLNIQSPPSIYPPKRYCDVTGFEGCSLGPKGIGDAPYVDPRTKLRYADPEVFKQIRNLPDEYVQRYLAVRNAAVVLR >cds.KYUSt_chr4.53845 pep primary_assembly:MPB_Lper_Kyuss_1697:4:333141496:333142530:1 gene:KYUSg_chr4.53845 transcript:KYUSt_chr4.53845 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRHKFPLLCCGCGGAGGGVVASGVAARGAAHVDDDAAKNAGKGARQLSWAQVEAMTAGFTSAVVGEGGFSTVYLARLSAGGSKPQLAAVKLHRSCSERLRRAFRQELDALLRVRHPHIVRLLAFCDQRDEGVLVLEFAPNGNLHDNLHACEGKQVIPMPWARRVAVALQVARALEYLHDRCEPQVVHGDVKASNVLLDAAMGARLCDFGSARAGFSAAAPVRPPRTVLGSPGYVDPHYLRSGVLTRKSDVYSFGVLLLELLTGTQPFHDGRLLTSAVVPMIKAGSCDVDKLVDQRLGCMFDAAQAATIASLSAECVMENPTLRPSMADVVRALEQTSVARR >cds.KYUSt_chr2.54293 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338725715:338728100:1 gene:KYUSg_chr2.54293 transcript:KYUSt_chr2.54293 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGTSISDALARMSKATVVDEKVLGECLKEITRALLQSDVRFETVRDVQANIKKIVSLDTLAAGTNKRRIIQQAVFSELCRMLDPGKPAFVPKKGKPSVVMFVGLQGSGKTTTCAKYAQYYQGKGFKPSLVCADTFRAGAFDQLKQNATKARVPFYGSYMESDPAKIAVEGLERFRKENSDLIIIDTSGRHKQEAALFEEMRQVAEATKPDLVIFVMDGSIGQAAFGQAQAFKQSASVGAVIVTKMDGHANGGGALSAVAATKSPVIFIGTGEHLGDFDVFDVRPFVSRLLGMGDLPGLIDKLQDIIPADQQQDLLEKLSTFTLRLLYEQFQNLLKMGSLGQVFSMLPGFSSELMPKGSEKDGQAKIKRYMTIMDSMTDAELDSPNPKKLMTESRTIRIARGSGRQVRDVMDMLDEYKRLAKVMGKMKNIKLPNGKMSDKNQNQTIQQLTKALPPHMLKQMGGIPGLQDLLKKMGGKDMSQMLGGMGLGGDL >cds.KYUSt_chr2.5314 pep primary_assembly:MPB_Lper_Kyuss_1697:2:32971821:32973356:1 gene:KYUSg_chr2.5314 transcript:KYUSt_chr2.5314 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADALMPSPPLPAPLLSRIVLAPTLALARAAADARPYLCSAIGLLLSAGSAAAVVARRVWGEGSAPFVFLEALTGAALKACFCMIFFFFAHIGLLLCGHILLFLADIVCDFIDLVLDFRKFCPVVLVAFIIMY >cds.KYUSt_chr3.31643 pep primary_assembly:MPB_Lper_Kyuss_1697:3:198936064:198936507:1 gene:KYUSg_chr3.31643 transcript:KYUSt_chr3.31643 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGAGDRSGEAREEAAREARAEAAVGIRLPRATYQQRGGISPPTSSSMAPPSWIRLPRATLILILLALHLSLSFAAQFEGFDSDELPHAAAADLTSPDDDDKGLDLDVDLPPPPPISVSVSSPSHPVTTTTAPAESAPSDPSAADA >cds.KYUSt_chr4.7656 pep primary_assembly:MPB_Lper_Kyuss_1697:4:45721590:45723718:1 gene:KYUSg_chr4.7656 transcript:KYUSt_chr4.7656 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKNDGLVLLDFWVSPFGMRCRIALAEKGLPYKHVEEDLFGGKSDLLLRSNPVHKKIPVLLPLHHALFVKKKSQSDGTNRNDAMDSAEDKNQSKAFPVPGSCNDEKAKHAPIISGSKTSDNAAWDHSPPAQPKQ >cds.KYUSt_chr2.30232 pep primary_assembly:MPB_Lper_Kyuss_1697:2:186098316:186100641:-1 gene:KYUSg_chr2.30232 transcript:KYUSt_chr2.30232 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAGDSSPPPESGRRKAVVVVMGATGAGKSRLAVDLAGHFAGVEVVSADSMQVYRGLDVLTNKVPLHEQKGVPHHLLSIIDPSVEFTCRDFQHHAVPIIEDILDRGGLPVVVGGTNFYIQALVSPFLFEDMAEDMQGCTLSDHLDDIGLAIDDARSGYEQLKEIDPIAAQRIHPNNHRKIKRYLELYATTGALPSHLFQGEAAEDKWGRPNNSRFDCCFLWVDADLQVLDNYVDGRVDCMINDGLLDELCNIYNPNATYTQGLRQAIGVREFDEFFRLYGAKKEPDEITTGSSTSMVDLHDDKMKSLLNEAVSQLKANTRRLVRRQRRRLHRLNKDFGWNLHRIDATEAFQCTTGHSWDIKVVQPCADIVRRPATTESFEGHMSGNNTSKAEVTGKEHSD >cds.KYUSt_chr1.9986 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61144544:61145782:-1 gene:KYUSg_chr1.9986 transcript:KYUSt_chr1.9986 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAVLLLLCIFAIAGISCGEPRAAGVWAEGGMAMAAVEVDPSWQFPSPRLRDAYLALQTWKQHAIFSDPSNLTGDWAGPGVCNYTGVYCAPVPGSGELAVAGIDLNHGDIAGYLPSELGLLCDLALLHLNSNRFCGLLPDSLRRLALLHELDLSNNRFVGAFPDVVLDLPSLRFLDLRYNDFEGGVPPELFDRPLDAIFLNHNRLRFALPDNFGNSPASVVVLASNRLGGCLPASLANMSATLNEILLIDNGLTSCLPPEVGMLRELTVFDVSFNALAGPLPPEVAGMRKLEQLDVAHNLLSGTVPEAVCGLPRLKNFTFAYNFFTGEPPSCARVVPPASDRRNCLPDRPDQRMPQQCAAFYARPPLDCAAFHCNPFVPPPPPPPPAYPGPLPPVYPMPYASPPPPSAHYR >cds.KYUSt_chr6.5405 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32068562:32068940:1 gene:KYUSg_chr6.5405 transcript:KYUSt_chr6.5405 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGHILLIALAVVVLSSHHMLAKVSAKKCDTHVLNPAVPCVPAKCQKDCAASIKGGLGVCLTGEGHPYNKGCYCMYCPWAAASSTLPRKLNPMN >cds.KYUSt_contig_915.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:21978:32540:1 gene:KYUSg_contig_915.2 transcript:KYUSt_contig_915.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEELDIADPPLAPVGGNGVLDDVDGERDEATGGDDDDEVVEVDVGKVKRRRAVNYTMIEDATLCRAWAAVGMDVVFGTDQTGKRFEAVIQFVGLNPMIYYDDTLIGTITLLQARIKSRLNFPHGESLDTVGEGLEILKNMGLGLAHRSPSQTCLALVASACLRVLRFEAVIHFAGKSMAARAQQPPHVGGPVWVGPAVPAPTTTASLVGRLHGVRYLLLLPAEGGLVRVHVWVWWWYNQPKAAVVMARMDQEGAHSVCAGDGNDNYPQGMITGHVYYFLEDVNPLVTGQHPVKTPLFIKTDVC >cds.KYUSt_chr7.28321 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176828081:176836941:-1 gene:KYUSg_chr7.28321 transcript:KYUSt_chr7.28321 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRHLITGQNNCAPDGASSSNPFGALANALLGQSNKAESLKGLPGASANVPTTSDYGTAAPLSTIPGSENEFRQDQRPFARVTDFTRGGPANDWVESFRPPGQSAFGGPDASFAEFDQIYNNAGPTFGPVGPALDGPPQRVLSGVLHSFLSSGRAGVPFQPVPIPVLGLSEGDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDNSYRTKNPLHGPYPEMEEYWNQSQSALRSGPMHNAANNWAAEFGKQNNNPEGWVTEFGKQNNNPEGWITEFGKQNNNPEGWARSFEQQYGPNGWASEFEQHQSQMAMGQMGGANMANLAAMQQSRMLAETLSSNNDPKFQNSKFFQFVSKMSRGELIIEDNEVKQGSASQSSGWADEFQTQYNANANTWADQFAHEELSQGADKWVNEFSSEQNQGGLNEKWVDEFSKLHVDDEWAEEFSGGAFGESSADPWADEFQNQLSASKQSSGAARGVYVFSEMNPYVGHPNPMQEGQELFRKGLLSEAALALEAEVLKNPENAEGWRLLGVTHAENDDDQQAIAAMLRAQEANPTNLEVLLALGVSHTNELEQGEALRYLSRWLQNHPKYGGLAPQNQIDSPYGPDVVRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALQLKPQDYSLWNKLGATQANSIQSADAVLAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVSMNPKADNAWQYLRISLGNASRSDMIAACDSRNLDVLQKEFPL >cds.KYUSt_chr4.2246 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12399463:12399907:1 gene:KYUSg_chr4.2246 transcript:KYUSt_chr4.2246 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWACSSLGGQCYGKLMWPELLGRKGKEAKDVIEKERADTTVFCVPRDAIVTDDYCCNRVRIYVDGNANGDCGNAKVIAVPKVG >cds.KYUSt_chr4.14563 pep primary_assembly:MPB_Lper_Kyuss_1697:4:89930286:89931759:-1 gene:KYUSg_chr4.14563 transcript:KYUSt_chr4.14563 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARSPPPPLLPVASSSAHSVRAFPSRCVAVPRAAANADAVTAASKASSLWGGQKRKQVASVANPLVKHCVKLRDSAAYRRSCRRLLLVGLAPIREICALGFASIDCLLLLDGVEIPDELHELSGDVVYVSATVMKKISGMRSVDSTEAIAVMHMPKHLRDLNGDEGGAGLDGLFHQSPNRILVLDGIQDPGNLGTLIRSACAFKWLAVTLSMKRLFVQLEEPLCSFRLFPVTGVIFKLW >cds.KYUSt_chr4.3725 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21223502:21224158:-1 gene:KYUSg_chr4.3725 transcript:KYUSt_chr4.3725 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTVLVLALAVLLLPASSSAARAPPGSSPLVATCKEGPFQAHCVKELGPRLLDIQTALASVSPRGALIAGVPGTVDFSSLVAVAMEAATEAGAVATTIFDGKLPGFNASVPDFKKCLDNCTVTMKSAMKKLHGATAAMKVHAHQVATMLANKAIVDVGSCTMSCHNLTGDMRLILEASLVEFQKMLRIAVTFISKLAAKTPPGPPPMRGIPPARRP >cds.KYUSt_contig_195.183 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1131450:1134693:-1 gene:KYUSg_contig_195.183 transcript:KYUSt_contig_195.183 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEAHQCKQHSQSQQTGAEKQRREAFVMAPAIEAVDKVKVASAPVKAPVLNEKTLSSMTRRPGSAHSWHDLEIGPDAPTIFNCVIEIPRGSKVKYELDKKTGLIMVDRVLYSSVVYPHNYGFIPRTLCDDSDPMDVLVIMQEPVVPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHFNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPATAAYEAIQHSMDLYATYVVEGLRR >cds.KYUSt_chr3.42329 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267613638:267616518:1 gene:KYUSg_chr3.42329 transcript:KYUSt_chr3.42329 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPWASWNIGHGDAVAGDGEETLPWLPLHAAGTNGCGARSISFASFAAAAATLFAFSFASLEWRQTFSIARRRMSNAHSALVTPGDRSLMMGARAAFFFAGAGLAARASSADVAHRPAPRRRKEQEGMASSVRGGGGGDAGEGEWLKVAELKAMAGAQDPHVKEVDNLTLRRFLRARGQDVGKASTMLLKFVAWRREAVPDGAIPAEQVRSDIADQKVSMAGVDRTGRPVMLAFPARHYSANRDMATFKRFVVYLLDSICARIPRGQEKFLCIVDLKGWGYANCDVRAYIAAIEIMQNYYPERLGKALMIHVPYLFMKAWNMVQPFIDANTKDKFVFIDDKNLEETLKRELEDSQIPEMYGGKLTPVPLS >cds.KYUSt_chr5.19678 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127685253:127687873:1 gene:KYUSg_chr5.19678 transcript:KYUSt_chr5.19678 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEHTDVLVDILRHLPPRSLAISRCVCSTWRATVDHHRLLRVDLLPLSLDAIIYDKVEIDAPILFSRRSTSRYITSRLDYLEEDPAYGEYAGEMKDHCNGLILIQNDVVVNPATRQWAPLPPLPCACPRPRMATTSCGRCHNNRYLVYDPTMSPHYQVFFIPRVPGDILADSDTACTTEWPPSQYVMHVFSSRTKCWKQRSFARDGDAPGTIQDVLSCRNSDMDLYYAAYWHGSLYVPCRQTQGGFILRINLSDDKYEAIKLPRGCRQFRLGKSKKGVYCVLYIDGRCKFQVWFLDESCGLIVWVFKTEINLEPAWRRYCWSYGGGRGPWILQTSEEAELLLKSDLTLVQEYNQAVEKDGFEWHSDDENAVGIVTDGSMIMCPATTGTVGRLAEGARPCADLLHRARYRDTLSGADPKGNAADSPALPLRANEDIFGSGCGARVVHSLPDIQHDCTRTPHDQPEIDVHAAHSVQWTPFLQATCDHADSNLRARSPEVRITGAGHGSDVLLRA >cds.KYUSt_chr4.26497 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166384251:166385922:-1 gene:KYUSg_chr4.26497 transcript:KYUSt_chr4.26497 gene_biotype:protein_coding transcript_biotype:protein_coding MADALDMSLDDIITKNKPSHSRGRGRRNPFSASGGPAPARRRFHRRAANRSAAAPYHQLNFQPQQVPPAFGYVAQPMAMVTAPSTGLDTAPTKLYISNLDSNVSNEDIKDLFSEMGEIKRYSINYDKSGRSKGTAEVVFSTKAEALAALKKYNNVHLDGKPMKIEVIGTNIEAPAPAIFAFAPPPLPGNFNFPPKSGPGRGAGGRGWSRGGGGFSGRGRGRDGGRGRGASRGRGRGGRGNVEVSAADLDADLDKYHSAAMQTS >cds.KYUSt_chr2.49477 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309657573:309663076:1 gene:KYUSg_chr2.49477 transcript:KYUSt_chr2.49477 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGLGISPSRTYDEEEEDEDDEEEEEFDEDEDMEEAESDGTASPTPLQSGRAGGLVGAVVSALRRSLVMCGAGAAAGDDDSEDDSGSDDDEHGMEIGGPVDVRHVAHVTFDRFGGFLGLPADLEPDVPRPTPSVSASVFGVSPTSLQCSYDQRGNSVPIILLMMQRELYLREGLKIEGIFRINGENSEEACVRDQLNSGVVPNEVDLHCLAGLIKAWFRELPTGILDSLTPEQVIHCNTEEECALLACMLPPVQAALLDWAINLMADAVELENYNKMNARNIAMVFAPNMTQMADPLTALMHAVQVMNFLRTLIVKTLKGREEAAAAPKALQSCSDSPNYQDKTQMPENLQKPCIRTSQESVDHPMIDKAISDRFLSEAEQALHDNSKNHFEGQEKKCDMDHNKCYSGVSPFANDSNNRVNSSGKEFANRNAEGLFERFSFRKGVERLCRHPVFQLNRHGEHASAQCTEIYAILETSHGCSIPSSQAFFIFGDSLVDAGNNDYLVTLSKANAPPYGVDFSFSGGKPTGRFTNGRTIADVMGEALGQENFAPPYLAPNSSTGVTSSGVNYASGSSGIFDETGSFYVGRVPLGQQISYFEKTRAQIVETMGEKAAAEFLEKAMFTVAAGSNDILEFLSPSVPFFGGQKPDPAVLQDALVSKLAFYLKRLNDMGARKFVVADIGPLGCIPYVRALEFIPAGECSAAANKLCEGYNKKLKRMVGRLNQELGPGSVIVYTNTHDIVMEIIQQHRQYGFENALDPCCGGSFPPFLCIGIANASSTLCDDRSKYVFWDAFHPTEAVNFIVAGRLVDGDAADASPVNVRALFQDNRK >cds.KYUSt_chr7.38350 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239027859:239028278:1 gene:KYUSg_chr7.38350 transcript:KYUSt_chr7.38350 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVRIHDHVSLCNLAKKYGGLMLLRLGSVPYLVVSSPSAAKAITRTHDHQMASRPPSSVADAILYGTSDLVFSPYGEQWRQIRKLVATHLLTVKKVQSYRIARQQEVCVVLNPAPVLLFRYLLGIRVACHVCLYTDGS >cds.KYUSt_chr7.33620 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209652175:209652903:-1 gene:KYUSg_chr7.33620 transcript:KYUSt_chr7.33620 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGALRRPPLQLPQVVAHAVFQINTKVAALRHLGDALGTPKDTPALRSRLRVTRAEAARLAKITSRKLNQNADDSADATERIAPDSKSKLATDFEAALRDFQQVLHRIVAVERPIISAHAAAAALTVETQQQLLATGMEDHEAILIERELGILEAQHVITDIDDMLRNLATLVDEQDGSVDHVMCDIQKIAAEQEISGMVETPATRSSERCLMAFVLGLFLFIFVLVLYGISFISS >cds.KYUSt_chr2.28690 pep primary_assembly:MPB_Lper_Kyuss_1697:2:176108638:176113724:1 gene:KYUSg_chr2.28690 transcript:KYUSt_chr2.28690 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRPAEQNAIHYDKDAQHSLPLSSTPTRSYLTWLQGTRIGADSDSHEGGGQELVGGARRPAAAVGLAARHPGRQAASSLACAQRGWVNIDMDKIECDSCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHQNDCPWRGNSCADSLVQLHFSPSALLGGFKDRCEGLLQFISLPVIASSAIESMKLTRNHQIVHVLSQSIAILCGELGYKTDGTAGIDVNHQDETCSYSQAQKLISLCGWEPRWLPNVQDWEENSTHSAKIAASAEPDKSSRFAEQQQNSYSTSVKKDKGKGKLHVKDSGCSLRSPLLDCSLCGATVRIWDFTSVPRPAHLNLGNIDAPDAGTKPLLARGISATSGINGWVAEGADKNNVEGRDEACTDEGKSMSNARVDLNLTMAGGLPPTHSGMLSVLGNFNNGGMGIDLVIGQPSGSEAGGYAASFESRGPSSRKRNIEEGGSTADKPVNRLQPADSIEGTVIDRDGDEVDDAAQNSDIRSKRHRGFNLFDVHPPSSSGAGPSRNFSLELDIDVNRIQPSKAEGPSALHNSSARDSMRASSVIAMNIIHGAEENSMDSVQYQPCDGDDVEKPSSALRSGGMSDTLDLNYSNLAQESSFVHPAADSNARDIGGSSMNGGEEVLNADTAPILARDQLSLGVSGGSVGMGASHEAEIHGVEVSEHKTESVVGDVEPVPELIETMGQTGESAPGPGMMDEFVPEDVGREEPQGDSHDVVSRLVGQVDSGSTKADSVESGEKMSHARGQESNLQHSLSCNARVYSGIDLSKDEVTQTGKMLTKDEYDPGNDLGTSAS >cds.KYUSt_chr3.27190 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169725272:169726045:1 gene:KYUSg_chr3.27190 transcript:KYUSt_chr3.27190 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLMRSLVRKEKSELPKEDRVPMSQGLQMLLSRYGFDVEPEMVNEQIVVTASVLFNCDAVEEEHYPGFRAIGRHLRKISGIDCENWGVLKLATAFNIICSHEIGDSNEDVQTKLLEDAEKYEYKIDKLICMLYYKQLVSNHQIKTRHRDMLAILVKKAKEAHEKK >cds.KYUSt_chr2.16377 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103011903:103012892:1 gene:KYUSg_chr2.16377 transcript:KYUSt_chr2.16377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase-inhibiting protein, Inhibitor of fungal polygalacturonase, Regulation of floral organ numbe [Source: Projected from Oryza sativa (Os07g0568700)] MAPLFLLALLLVAPAVHCSPTMVNQGDLQALLRVKQSLGNPATLKTWSAASPNYCAWDHVRCDEAGRVNNVFIDGADDVHGQIPSAIAGLTQLMSLSLFRLPGLQGAIPPCLTTLANLQFLTISHSNVSGPIPDSLAKLHSLDSVDLSNNKLTGSIPNTFADLPNLRSLDLRRNQLTGSIPASLVQGQFRSLILSYNQLTGPIPRDDAQDEINTVDLSHNKLTGDASFLFSEGRPIGKVDLSWNNLEFDLSTLVFPKDLTYLDLSHNRIRGTVPKSLEQLSTLQTLDLSYNQLCGPLPKLHGVMRHGCKPYLHNQCHSGAPLEGSCHQL >cds.KYUSt_chr4.45799 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283435145:283436125:1 gene:KYUSg_chr4.45799 transcript:KYUSt_chr4.45799 gene_biotype:protein_coding transcript_biotype:protein_coding MRQILDLSFRNLPNHLKTCLLYLAMYPDDHEIHRVDLLRQWIAEGFVCPTPGLDAEDVAISYFNELINRSMIQPVVTDINGELRSCRVHDIMLDLIRSKIEEENFISVLNDPEVVLWMHRNILRASLQCFGEECRVTSAVVNGSLSKVRSVYAFGGFSCQSLMLLKYVRVLHLDIGYGRNDMLDLTGISRLFLLRHLQVISNTRIELPSQIGELQQLETLDLPVSVPGPKTVPTNFPSDIVSLPLLLHLLVQGGTVLPNGIGRLRLVRTLWSFDIGLNSVENIEGLGEMTSQNDFAAKMAADQLKPLVYVSVCSSCASKNNNRALS >cds.KYUSt_chr1.24241 pep primary_assembly:MPB_Lper_Kyuss_1697:1:144706052:144708153:-1 gene:KYUSg_chr1.24241 transcript:KYUSt_chr1.24241 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEAADAATGSSALLDLPEPLLLLIPGFLGDARSRHRAALSCRRLLAEERPTRARCRCAGTRERSSSSSSRRDDGETPAAVPGATSSSVARSCGRGGARSSGRDDDTFDSNARTSSSSIDPSMAAFIIQRFLILVFFFFFAGQSPASACDSCVHRSKAAYRASFPALDKAGSCGYGSLTSSLNGGLLAAAGPALYRGGVGCGACYQVRCTDSQLCSAAGATVVVTDQARVTNTNHTADLVLGGAAYAAMAQGGAGSGAARRLRERGAVDVEYRRVPCEYAGGRNLSVRAEARAAPGGLALRFLYQGGQTDIVAVDVATAGSGSGWRAMTRERGGPAWSTEQAPAGPLQLRMVVTGGYDGKWVWADGEVIPRRWTAGKVYDTGVQIDDVALEGCAHCDAQEWK >cds.KYUSt_chr2.8331 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52475660:52477902:-1 gene:KYUSg_chr2.8331 transcript:KYUSt_chr2.8331 gene_biotype:protein_coding transcript_biotype:protein_coding PNIKNSEQSFVFNYTLAKAIVEYASAVYMTDLTAMYTWTCSRCNDLTQGFEVRCIIVDVENCLQAFIGVDHNLNAIIVAIRGTQENSVQNWIEDLVWKQVYLNYPNMPDAKVHTGFYSAYNNTLLRPVITNAVRKARKLYGDISIIVTGHSMGGAMASFCALDLAISLGSDDVHLMTFGQPRIGNAVFASYFAKIVPNTIRVTHEHDIVPHLPPYFSFLRDLTYHHFPREVWEHTVDGNTTFQVCDDSGEDPDCCRSVFALFLSASDHLTYMGVDMGADDWSTCRIVMAHSVEQLRSYLTSNIIMSKNPVDVIFVDHSVQIDLSTSS >cds.KYUSt_chr5.7149 pep primary_assembly:MPB_Lper_Kyuss_1697:5:44587852:44605903:-1 gene:KYUSg_chr5.7149 transcript:KYUSt_chr5.7149 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNIIKCEQNMCIFLPDERDGLGSLLDKITSSPGFLLQHLPQSTTVGVGQFRVPKFELNSCSSVTTVLQDLGLRLPFGPTANLSQMLEDDGSNLPLHLQEVFQKAVIEVNEEGTRAAAVTMSFGKAKCGRRVNFVADHPFAYFIIEEGSDAVIFAGHVVDPSNGSRVVIPPFPSSVQEDTTRKTKFDEATDDVNRRSNNTKVADGVGPYQPPWKREQLLRKLAADLVHKSTGAPREQSRKDLLNIVKSITGNKCLVIDPKLAGTLSLILQTSVLKEYGAELRILSADPLQTGCSKVVYLVRSQPNYMKLVANQMKNDEARGLQRDYFLYFVPCRTIACEKILEEEKVHQKLTIGEYPLYLVPLDEDVLSFELEYSLQECLIEGDTSSVWHVAKAIHKLEFAFGVIPNIRAKGVASTKATELLNNMQLEDPVNMDNMGMAEIDTLILLDREVDMVTPMCSQLTYEGLLDELLEIQNGTVEVDASIMGVQQDGKKVKVPLNSSDKLYKEIRDLNLPVAVQVVHQKATSIQQDYAEVKSTNTQSVSELKDFVKRLNSLPEITRHVNLAQHLQSSATKRSFFARVEIEQIIREVENYETCFEYIEDIIQKQEPIETVLRLLVLFSLTNAGLPKKIFDYLRREILHSYGFEHMPLLYNLEKAGLVKRQESRSNWVGISRALQLIVDIKDPEKPPDDISYIFSGYAPLSIRLVQHAVRSGWQPIEEPLKLLPGPHLDLKRGVSSISSSLEVFPGSVGQQSPDRGGLRSLVLVVFIGGVTSAEIAALRFLSAKEGMGYDFLVATTKVITGNTLLRPIIASSKEGTI >cds.KYUSt_contig_1358.28 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:172271:177498:-1 gene:KYUSg_contig_1358.28 transcript:KYUSt_contig_1358.28 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDREVITRVETADVQYNAADTGREVIAGADIADVPHNAATAGGEVTRGADVSNVQYDAAAAAQPVSSFAVDSSQDHVTMATSSPLAGDKTMHTPTERASTFMATIQSSSTLSGVKASATIANSNEVHGTSSESLLVPKVGMAFKSEEDAYEFYNEYAVAYFEQKWQELLITYDLVENSWIQTLYGLREKWAAVYRNDSFSADMTSTQRSEGMNNVFKKQFRKKLCVSELLVEYEKCAASLRENELDADFKSRKSKPVPFVRNLPMLKIAAESYTRRIYNDFEEEFKQQHSVKCESISIVGTIRTYKVMAMPFEDDALVIFNHEDVTISCSCRKYESKEELLVELEKAIDMLDLEADESLSQRGPAKPESVRMNSNVSNDFITNGNISFKVPQAIKGPMVKRAKDALEKKGTKKAKTGTKKPKSGTKKGVANKVKADTTLGEGHQPLTGTFDANTGTNIAIPHIPNNMYHGQNPLQFTAGIGPNITMPHYSNNMFHGQGFRDPMASRVRAFIYGSPGADHNIISPQEPHNPELPEIPTPNTAAKLPPPPIAGHLVVARPHPSSAVYLRCSSDLRW >cds.KYUSt_chr5.6536 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40594447:40595064:-1 gene:KYUSg_chr5.6536 transcript:KYUSt_chr5.6536 gene_biotype:protein_coding transcript_biotype:protein_coding MGASHEYSPVQPEPEEQRQRSSPSPSDCECCLVVCALLILVLAIGIPLGFLLDQVFSQVPHYSVAINHVSGLDPAADLAGRPTLEPEFNLTLRVASRGLWATECAEPHMYAAVSYRGVALAASGTLTQQICAGPMEGVEHRLVARGARVVVPGSLLDSLAMDMRGGAPEFDVELRGPGPRHLLWLCGPRMVGDVGALEMECHNYY >cds.KYUSt_chr5.28385 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179784173:179784656:-1 gene:KYUSg_chr5.28385 transcript:KYUSt_chr5.28385 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVMTKYRARVDAELVTSEEWMQSWSRATNGFCGAACVPEVTALLSFLADVGFPQRLAESWAVNDPCKDWLGVSCYQGKVTLLNLTGYGLNGTMIAVKRMESSVISNKALDEFQAEIAILDECGSFLIDRFV >cds.KYUSt_chr2.45129 pep primary_assembly:MPB_Lper_Kyuss_1697:2:281289174:281292357:-1 gene:KYUSg_chr2.45129 transcript:KYUSt_chr2.45129 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSAGFLLRLLLLQFSWFLALGGGGAAEGGDLFPVVVSTWPFREAVRAAWEVVKAGGAGGSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMNGITMEIGAVAAMRYVKDGIKAAKLVMEHTGHTLLVGEKATAFAISMGLAGPTNLSSPDSMEKWSNWRQNHCQPNFWKNVDPAGNCGPYHPINIPPFGSMDPVQNAVVETQGPTCQGWFESNNLLEPTNSHFKSVINRHNHDTISMAVIDKMGHVAAGTSTNGAAFKIPGRVGDGPIPGSSAYADDEVGACGATGDGDIMMRFLPCYQVVESMRRGMEPRDAAMDAISRISRKYPDFVGAVFAINKKGVHAGACHGWTFQYSVRNSSMRDVEVFTVTP >cds.KYUSt_chr3.35840 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225271834:225273405:-1 gene:KYUSg_chr3.35840 transcript:KYUSt_chr3.35840 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVGPDLPRDRISNLPESILVTILSSLRIDEAARCSILCSRWRHLFPSTLLDFEASPSSRRINLVKAVTSILAAYPDAPIRSFRTGSLCFGPEDKAALDGWLQDLSNRGIEELFLCFKYTEEDNRRRIPKSLFACSSLKRLDASNGVFPSTTEAAAASLARLKEINLSDVKISEDSLQSLISQCPVLERLTVNFMGKFDRLHLRSRSLKVLSSTGNFEELFIDDAPNLEYLLGRFMHQRKVRIKVVHAPKLEFLARLGMSNTIDIGETSFMEELIHVKTLMPSVKTLSVKLSHDEKGYMEEGYVEWLMQLLKLCPCLETLYIKSSSWSRACDTASGSWETQRSVPCIDNHLQKVVIEVYRGHEWQRDMAKFLHGRSRFLKTMEFHCMDDGTGTREGFGKPPSEEWVREQKELLCLDSRAARDTRFLFFKRQLVDNHHEVCHDERYQRDYYHDLYDV >cds.KYUSt_chr1.22922 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135876339:135878907:1 gene:KYUSg_chr1.22922 transcript:KYUSt_chr1.22922 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWGLAERAVAGLLGSAGMNGGRWNTAVAVGVTAAAGIALVAIVGIEPSIRAEVWPFLLGVYSLDSSQAEREAVKEHNRRGYLLLRKHCLRKNNEESKRLNETAAVSHEQSISPGKVKESVTSLGSEEQPEKECVEEHVKSEEENSFAILEQEIQDNTAKAIPEKQTDENLCSSSSSDEDESEQSDLTHVEASHNDVASVHQSSVVEEEGSMPKYSNTGGNRETETDLSKAARPVKSSRTVEDFDTWQRIIRLDAVRANDEWVSYSPSQAAVTREKAIESAQAVCLKDYEHLEAHRIHHASRLVAILEAYATYDPEIGYCQGMSDLLAPLLAVLEEDDEAFWCFAGFMRKARHNFRLDEVGIRRQLNMVAKIIKNKDFRLYRHLEMLEAADCFFVYRMVVVMFRRELTFEQTLSLWEVMWADQAASRAGIARSSWGKLQLGAPPTDDLLLYAIAASVLEKRKLIIESYSSMDEIIRDCNNMAGQLDIWKLLDDAHDLVVTLHDRVE >cds.KYUSt_chr1.19797 pep primary_assembly:MPB_Lper_Kyuss_1697:1:116419720:116426332:-1 gene:KYUSg_chr1.19797 transcript:KYUSt_chr1.19797 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVNAKVTAVPATALGMPAAAAALPRKWEGVDPALERMVLRACLDQAPERRRVREAFKGVQLSIDHCLFKAHYDGIRTTESYELNSRGVEIFSKSWFPENRRMKAIVCLCHGYGDTCTFFLDGIARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDVAETFAKFKGNPDYRGLPSFLFGQSMGGAVALKIHFKQPNEWSGAILVAPMCKMSDDVVPAWPVQQVLIFLAKVLPKEKLVPQKDLAELAFKEEEKREQTSYNVIAYKDKPRLRTALEMLRTTQEIESRLEEVSLPIIILHGDADLVTDPAVSKDLYEKAKTSDKTLRLYKDAYHSILEGEPDEAIFQVLDDITSWLDQHSMKEGSSS >cds.KYUSt_chr5.6059 pep primary_assembly:MPB_Lper_Kyuss_1697:5:37566725:37567327:-1 gene:KYUSg_chr5.6059 transcript:KYUSt_chr5.6059 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAEDDAAAGVDYADRAQWLRAAVLGVNDGLVSVASLMIGVGAVNQSARAMLVSGLAGLVAGACSMAIGEFVSVHAQYDIEVAARRLRRKRGLEEEPGQLPSPAKAAAASALAFAAGAALPLLAGGFVRPWAVRVAAVCAVTTAALAGFGAVGGALGGTSPARSGARVLLGGWAAMAVCYSVLWLSRLAMGAQVSGATC >cds.KYUSt_scaffold_1854.359 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1930232:1938297:1 gene:KYUSg_scaffold_1854.359 transcript:KYUSt_scaffold_1854.359 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFVSLSAVLLPLRRRRVHVELEALLQVPCGLITSRVLPRYPRSIRAKALFRTFIDMSDARAQRALRRDAARGQSAPAGGPLPPSGSTIVSSYRPSREQDDSISYTTTAKLGTGVVRLDGVDMVTLDGGMRWGTARKRVSRSASRLTTADYHAAARHGGQRSKLFHLLAPQNQLVASPPSSPCPPDRAREEDDGEDPGFDRIKDEGDSAKTRLRDRHVCRDGEGLVREGKGYRDVRSGRRRAGGDHDAEGEDGVDGSHKFIQWLLEWDRKPRLPLPNSWPEQLRDSIDAFRAFDEESEKSSSWSTGASATPLSRWRSSTTTTPRPAWTTTIYLLITTCRRRPASPTAVQHVRPSEMVVDPVSLVTAILTVVHLIGSAALTARQNKDKCMELARRACNLSYGLPDYARVAGNNTATVHGLERLRDALDEACTVIKSCQESRILPGVFSSRKADNLDNVDRKITNCLSDLNFFSLVPNQAMIAHNIAAGGSVPVQTYDYASYYQAQGAPYSTACVGFPPPQYVTMNMQWIPAPAPYTPAPAPSGPNLSTFYTLPTINRIFDSMFR >cds.KYUSt_chr5.33587 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213175491:213181279:1 gene:KYUSg_chr5.33587 transcript:KYUSt_chr5.33587 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFGKVDDDRPPQSARLSSGDEEDGRRTWRSRASDDEKESDRRRRRTRVSGPSPDGRHRRDESSRRRAEDRGSEERRASPEGKEPTPPLPPPPPLPEMIPGRTGGVYIPPFRMAQMLRDVEDKASPEYQRLTWDALRKSINGLVNKVNGTNIKNLVPELFAENLVRGRGLFCQSCVKSQMASPGFTDVFAALAAVVNTKFPEIGRLLLVRVMLQLKRAFKRNDKPQLLAATKFIAHLVNQVVVHEVVALELLAVLLENPTDDSVEVAVGFVKECGAILQDLTPQGLHAMFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQCTHDMSFETELDPEANLNVFRVNLNFVEDEKAYVNLKKSILGDELDEDEEGSDDASDEEDEEESDDEDDELMEIRDKTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELNIMLLECCSQERTYLRYYGLLGQRFCMINNVFQENFETCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALSWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIHLLNERLNDPNMQDFFESVFPRDLPKNTRFSINFFTSIGLGGITESLREYLKNIPRLIMQQQKPASSESESGGESSDSGSSSELESSSDESDKKQNKRRKRS >cds.KYUSt_contig_605.2318 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:9630437:9640373:1 gene:KYUSg_contig_605.2318 transcript:KYUSt_contig_605.2318 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQCLCTIWNFSTDENLRYKILGSDMLIPIVRFLDDEDIKVKEAASGIISNLTLSHPYHGDLVEAGVIPKLVHLLQTKEDDYKIVRKEARSSLLELSADDNYHALIVEEGLVRVPLVGSSAYKAFRPQPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVSEHKTEPDEAKVNAMIGRSNQQFLARVGAIELDDEGKEQSETQRNDLYTILPWVDGVARLVLIIGLEDVSAIAKAANAIGNASLNEHMRTSFKEAGAVRPLLQLLVHSDLPVREATAYALEKLSVSSKVCEMIRGEAGLELLANVVKDPDTPVELLEKMIDILRRVLDMETSMIAEPDHSASTGSEDIVNDEKRSQGNVDGGISGTFYKSLKQDEMASINTVKIVILLRSMLKSNIPLHSKDWITECLVKLESRDGLVDRSFGGIGMEITIYHTIPRLVEQLMTSFSFEKKRKAVIELNRIISGDGEEHVELDTNYGSASTVDEEEHVELDTNYGSASIADMTDIKVLYIDNGSTIMDDMVEHHHEDDIEELYIDNGSTRMDDMVEHHHEDDVDTKMEPHLDSTMHNTGAPKYPPLGQWSYI >cds.KYUSt_chr6.2160 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12760249:12763287:-1 gene:KYUSg_chr6.2160 transcript:KYUSt_chr6.2160 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEKLVVEVVAAHNLMPKDGQGSSSPYVEVEFDHQKRRTRPRPKDLNPVWNERLLFPLADPDDLPYRAIDVAVYNDRASSASASAGNGRNFLGKVRVPAAGVPAPGEPVVPQLFTLEKRSLFSHIRGEITLKIYRTGAGAGEMVAKASKQEKQAKAPEVVAAPPLSGNRKQQQQHNQQHNQQPVVPVRPHHPPPQQQQQPQAPMDMMPHPQPAPMKPVMLSDHYPAPPFFSGPADFALKETRPSLGGGLTADKASATYDLVEQMQYLYVRVVRARGAAAQGGEAVVAEVKLGNYRGVTPAAAGQHQSWDQVFAFSKETIQSSFVEIFVRARGGGGDDHLGRLWFDLSEVPRRAPPDSTLAPQWYAMEDRKGERGGVEVMVAVWYGTQADEAFGEAWHSRAAAVQGHGPLGSIKSKVYVAPKLWYLRVSVIEAQDLLPMDKQGLMGMGRYPELFVRAQIGSQMLRTRPSPVMANRGPSSPFWNEDLMFVVAEPFEEFLVLSLEDHVSPGRDDVLGRLVLPVSAIERRWDEKLVVSRWFGLDRSTGAGNVAANNPNRFGSRVHLRLSLDGGYHVLDEATAYSSDLRPTAKQLWHPHVGVLELGVLGASGLIPMKAGNGRGATADSYCVAKYGQKWIRTRTVVDSVCPRWNEQYTWEVFDPCTVITVGVFDNCHVDKPASGNTTVAVRDNCIGKVRIRLSTLETDRVYTHAYPLLMLHPSGVKKMGELHLAVRFGCGNAGNMYHAYVRPLLPKMHYVEPLLVRQVESLRFQATSVVAARLGRTEPPLGKEVVEYMLDHRSHLWSMRRSKANFFRLVAVLSGLIAVGRWFELVRSWQHPVHSCVAVFTFLVFVLMPELILPTAFLVMAFTGLWRYRVRPRNPPHMDMRLSHADAATVDELDEEFDTFPSSRGDVVRFRYDRLRSVAGRVQTVVGDIATQGERMQAVLSWRDPRATLLFSIACVAAAVVAYAVPMKVLIGLSGLYAMRPPRFRSRMPSLLMNFFRRLPSKADILL >cds.KYUSt_chr2.47110 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294721061:294721897:-1 gene:KYUSg_chr2.47110 transcript:KYUSt_chr2.47110 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRHSNTPLGGPPPWPTDAGELQPLQILAEAPSTEARLHCSLQSTPGTWPAAVSTTSITPQQPAAPSCSKVQPTPPTPHTLPGTAADAAETARARRRRSMPSSRCRPGNSRSSAAGTSTLRSPLPPRLDAEPRAGVAAAAAAAQASLSPRIRRISTKRHAPRRFPRARGDDPAAADAARALPGDALRRRREGWEEEGDPRRRRLGFPPGRSRERLGRSSSNVVRSLVTSFQSYDTPIVGSLKGYHDNVVTEALKVKRTTHRCQEIRNAHEATAGRPR >cds.KYUSt_chr2.38887 pep primary_assembly:MPB_Lper_Kyuss_1697:2:241022680:241026517:1 gene:KYUSg_chr2.38887 transcript:KYUSt_chr2.38887 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEVLGRSLLQGSAGPAAAAPRGARDRRSGGLCYASLGGRSSKRAVRSKAPVGALAERVVLAPAPAERVARPEAHPQSVAARAVVTVRRKRKDDAKGRVAEQMDAYADKLGCSVLLELVSTETDPRNGGPKKSRKSRLTGWFEKRDAKAELVVYTAEFTVDAAFGEPGAVTVLNRHQREFFVESIVVEGFPSGPAHFACRSWVQPTRVAGANNPRVFFSNTPYLPAKTPPGLRELRRRELKELRGSGTGQRETTDRAYDYDVYNDLGNPDKGPGFERPVLGGEKMPYPRRMRTARPSTVTDGDAESRLEYPEPIYVSRDEEFEEGKNEMISEGAIKAILHNFMPLLVSSVSPDSRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIQRLQEFPPVSKLDPAVYGPPESAITEEHIIGSLDGMSVKQALEENKLYMLDYHDIFMPFLDRINSLDGRKAYGTRTLFFLTAGGTLKPIAIELCLPPMTEDCKRAKRVFTPPADATSIWLWQLAKAHVCSNDAGVHQLVNHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRYCMEMSSYAYDNLWRLDQEGLPADLIRRGMAVEDASQPHGLRLLIEDYPYATDGLLLWSAISRWCEAYVAAYYQSDEAVRADYELQSWYTEAVQSGHPDKRDAPWWPRLSTPADLASLLTTLVWLCSAQHAALNFGQYPLGGYIPNRPPLMRRLVPTEGDAEYEHLVADPHRFYLSALPGLTQTTTFMTVIDTLSTHSADEEYLGERPDGWTADPAALAAAREFATEVRRAEEEIERRNADPARRNRCGAGVLPYELMAPTSGPGITARGVPNSVTI >cds.KYUSt_chr3.42037 pep primary_assembly:MPB_Lper_Kyuss_1697:3:265413198:265414894:1 gene:KYUSg_chr3.42037 transcript:KYUSt_chr3.42037 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSAKAMPEQQGPLDLTKRSQYSTVDRQLLQYFKRSASGIIFPMLVGFLPITFCRSTRHATIAFSVSALLSLVMNTTPLLFADKMRRQGTPRPEEGRHDPELVEDYNRHRIRCLCITVFVSNILLMLTAACLTAVLNVVYLYLAAAVLFLVALPYACHIENSTRNTVTWGIVQYEEFQDDIKYFFDLSSEVTQAAFLGLPTTLFSQLKSSKCTHSVEVRAPEVLTMYTVLFGLFIMLVCTVPLAADFKEGREKFVRVFIRYSTYALLALLSIVAFLAAIEILQAYIVLAFVLVFGAFLGGLFWNVTRMPAGSTSISGRGNGEDAVVARRRRSLIWFGFCPAMFGVLMASYSRSIGGDAPGFSKLYKTCVFFIFVTLIANLTRMLLVHEVPDEGSEVSLLLISGMVNVFFMVLTVFLVILVALLQPQQIQNTFVLA >cds.KYUSt_chr1.26163 pep primary_assembly:MPB_Lper_Kyuss_1697:1:157400273:157400806:1 gene:KYUSg_chr1.26163 transcript:KYUSt_chr1.26163 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVFLLFVLLLCFLSVFLLRDLLRFFSLWLRRRRRRLQADAATYEEDAPVSAPRKPAGLDPSVIASFPTVRFEASSAAAPAECAVCLSEFAAGDAVRLLTAVCRHAFHEACIDSWLRAHTTCPVCRSDLDTPTKHEEITLPEVRGNDTGRAAAAAAAVDETTGYRTTSPPASDHR >cds.KYUSt_chr6.25505 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161677943:161678584:1 gene:KYUSg_chr6.25505 transcript:KYUSt_chr6.25505 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGFSYAGYAAVAFSTAIATAAVLKARDCSHKTWMDEYERTHPHCVVHRDRMPTKEDHDAFMARPHAHHALRHYNSANPGAEYDAVKPLKSSIVGFRDAIWVHVNFLARRKGAPPDTPEQRFFAEMHYDCLNRPSVETCIILGE >cds.KYUSt_chr1.26934 pep primary_assembly:MPB_Lper_Kyuss_1697:1:162547064:162548627:1 gene:KYUSg_chr1.26934 transcript:KYUSt_chr1.26934 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASGLPDSAWYPMGVRGLSLLMVAVAAGREGPPVVRATAAMARPPATPPHRPSIPLYIEKIMNSHNGDFFHDEPEPEVSSCSPAELAPVELGLDLEFSILEHHLPHKHCTQGFFLNTQAEPWITSNDRAQHAADIRLCAPPSAQLTTGAVARPVVAFPALKGEFIVIISSTHEEGDAGH >cds.KYUSt_chr2.54584 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340564691:340565407:1 gene:KYUSg_chr2.54584 transcript:KYUSt_chr2.54584 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGAWPRRSSSCSRWLVVVWLLSAALRWEEPDAEGGGASSPPTIKLVGFSFDLDRSGLDLLLHRHHGGGNEDEIFVGAVLGRSTEGHPGAALLRSISDWHGRPHLFFYYCDDLSSGWIRGDLQYPIQPASWVARLLLRLLQASPDLFLAPSYNLRREALFTLPFVGKDAAGDGGNCIAGEFWDLRVPSPV >cds.KYUSt_chr3.31037 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194727333:194729387:-1 gene:KYUSg_chr3.31037 transcript:KYUSt_chr3.31037 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPPKLHSAAATSWPELLAPFDLSRLRSTLSCRPLTPRRLARLLALPLSPSTSLLLLQWYAASHPAVSSLPLRPLLAAADADPERALSLLESIPSSHLPPIRESLLIPLLRSLAPGRALHLLDQLPRRFAVTPSFRSYNTVLAALARADCHTDVLGLYHRMVHRDRVPPTTFTFGIAARALCRLGRADEALVMLRSMARHGCIPDTVLYQTVIHALCAQGGVNEAATLLDEMFLMGCSADTQTFNDIVHGLCTLGRLREAARLVDRMLVRGCVPNSMTYGFLLQGLCRAKQVDEARTMLRRVPELNVVLFNTVIGGCLSDGKLTEATELYEIMGSKGCPPDAHTFSILIHGLCKRGEVGSAMRVLREMEEKGCAPNMVTYTILLHSFCRKGMWDDIRAMLEVLSAKGLSMNSQGYNGMIYAVCKEGRMDDARTLMQEMKCKGYKPDICTYNTIIYHLCKNDQIEEAEYLFENLLDEGVVANGITYNTLIHALLRKGSLKDAINLANDMVLHGCSLDVVTYNGLIKALCRDGNVDRSMALLTEMTENGIKPNNVTYNLLVSELCKKRRVRDALELSKEMLNQGLTPDIVTYNTLINGLCKMGWMRAALNLLEKLHSEDVCADIITYNILISWHCKARFLDDANMLLNRAVADGITPNERTWGIMVPNFARKPLSFLSDGVEGH >cds.KYUSt_chr1.25492 pep primary_assembly:MPB_Lper_Kyuss_1697:1:152930048:152933462:-1 gene:KYUSg_chr1.25492 transcript:KYUSt_chr1.25492 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKVEQEDTVRRCKERRRNIKDAVAARQQLASAHADYLRSLRLTAAALSRFAQGHPSLAVSHNTAPVLLTTAAPPALHAPAPPPAASSSVASSSLPPPTPLPRHHQAPPQHQHHQQPPPPAAAAVRLDRDPRMRRLKVPHILSDSSVASPAQSSFRKPPVAGTPSSSSAWDWENFYPPSPPDSELFDRRKTDLEEATRLRELDEEAKARGYLHDHLKEEDEADDDDDEEREEDAHCGGWEDEEDHYASTTTSETRSDGDEPGNRSECGFAARSEYGGTAPSEYAAMAMPMQLRRADRSEAGDSSSTVTAATEMRMVVRHRTLAEIVAAIEEYFVKAADAGDGVSELLEASRAQLDRNFRQLKKTVYHSNSMLSALSSTWTSKPPLAVRYKMDAHEMDSMEGKSHGSTLERLLAWEKKLYEEVKARESVKIEHQKKLSTLQGLEYRGRDSAKLDKTKVSINKLQSLIVVTSEAATTTSSAIVRVRDNELAPQLVELCFALLSMWRSMNYFHETQNEIVKQVRGLVDNSMAESTSDLHRLATRDLEAAVSAWHSNFNRLIKYQRDYIRSLYGWLKLTLFQVDSIAPQGAQATLISRELTTFCDEWKQALDRLPDAVASEAIKSFVNVVHVIYTKQAEEMKIKKRTETYSKELEKKTNSLRAIEKKYYQSYSIVGLGLPGSGRDGMDGHNFDARDPLNEKKTEIAQCRRKVEDEISRHAKAVEVTRSMTLNNIQTGLPGMFQAIAGFSGTVAEALDGVCRRAGSVR >cds.KYUSt_chr1.27340 pep primary_assembly:MPB_Lper_Kyuss_1697:1:164802979:164803390:-1 gene:KYUSg_chr1.27340 transcript:KYUSt_chr1.27340 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAKVEFDERPPDDFDPKHPYADPVAMLEYREHLVREKWIQIETAKIIRERLRWCYRVEGINHHVKCRHLVDQYLESTRGVGWGKDHRPAYLHEPKKVVEVEE >cds.KYUSt_chr3.45930 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289178909:289179867:1 gene:KYUSg_chr3.45930 transcript:KYUSt_chr3.45930 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGQGRNWGRMGGGYGGAEDVAGLRETRWRRKVRRRAKNKIKIACKVNHPTTGQYKVVHVPCCFDPFWEPGVVHVFTLGDASWRDVQAGPDARCSLGRSSLVDVDGMVYWMTEFTARVIAFDLEDERVTRTAPLPIHARPSTCRLTKVHARLGVAVSGGDSLTVWVLEGESWSRRYVLEAYKLRKQELAVPHFAHGDYVLTHGRSGETSVLYRHKMSGAARLQGGGGVVQIERKDKGDSVTSLVQSIYRTFAYTETNESLSMYNAALMTGRRSAGS >cds.KYUSt_chr3.25397 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157701158:157702210:1 gene:KYUSg_chr3.25397 transcript:KYUSt_chr3.25397 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLQQPTSASELRALAWTAFLVPVCTVYARSACRRLRPGRHRLAALFPTFLVFIYLPCLFNSLHLRLLSTFFHTWLATNKLVLLALDLGPLHPSLPLLPFLLCAGLPIKLRVDAQPTKQQTSSPVADFLVPCTRSFLFLTCLAVLHPHTAPLPLYVVHYLYCAQIFLTLDLVFSSVGLVAAAALGTAMERQFRAPLVVASVNDFWGRQWNLMAVDLLRASAYAPVRARWGRDAGVLAAFLMSGVLHELLYWYMTLEHPTGEMLLFFTLHAAVHVAERWAKLAGLWRPPKAAAYVVGTGFMVVTISEFFFGPFIRAGIDVRMMEESTAAVELLRAVAKRLIIRPFGHVSG >cds.KYUSt_chr7.23828 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148406456:148407226:1 gene:KYUSg_chr7.23828 transcript:KYUSt_chr7.23828 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLLVVRHLADPVSEISSAGAWGLPALLQHRQGRHAQAHPCAQGFNIADLFPLPATRCRGKQKKQMAPDPSTYADLWHSRPSTWRPTSTTKPAGARDAETSQIESIPDVPRFWTLTTGTARWSAACRAEEIDGEVVLLAGVFVGGFNRRGGACSRPMGSLLHPPPSTAWPAGCNPSTTSGRSARTPCCGGGAGAYGEAAGEGAPWSPPHLRSMQVWCPLSGGAGTGMAGAGAARGLASGQEEQGRRAGLSLVREE >cds.KYUSt_contig_319.1211 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8002020:8007262:1 gene:KYUSg_contig_319.1211 transcript:KYUSt_contig_319.1211 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKASNLDAVLKESVDLENIPLEEVFENLRCSREGLTSAQAEQRLDIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRNGKWNEEESAILVPGDIISVKLGDIIPADARLLEGDPLKIDQSSLTGESLPVTKGPGDGVYSGSTCKQGELEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMVIEIVVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVVQRGIDQDTVILMAARASRTENQDAIDATMVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYLDAEGKMHRVSKGAPEQILDLAHNKSEIERRVRVVIDKFAERGLRSLGVAYQEVPDGRKESPGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQHKDESIVALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVDDSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWQFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDTWKLSEIFATGVVLGSYLAMMTVIFFWAAYKTNFFPRVFHVESLEKTAQDDIQKLASAVYLQVSTISQALIFVTRSRSWSFMERPGFLLVFAFLVAQLIATIIAVYADWGFAAIKGIGWGWAGVIWLYNIVFYLPLDVIKFLIRYAMSGRAWDLVLDQRIAFTRKKDFGREERELKWATAQRTLHGLQPAESTTFHGMNSYSELNQLADEARRRAEIARLRELNTLKGRMESVVRQKGLDLETIQQSYTV >cds.KYUSt_chr2.18977 pep primary_assembly:MPB_Lper_Kyuss_1697:2:119449477:119452825:-1 gene:KYUSg_chr2.18977 transcript:KYUSt_chr2.18977 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEEIKPSKVREKKEREALMVRMSLREGESFILVACHGYGRPWEQGEHHFRGEVEEESIPSTSIPLLPPVASPPRFTASDRLSHLVCDLILDLAAEEQHLVCDLVPDLATEEQHATPPSTTDATTASFQGKGLPPANLKGPLRAHHEPAGANAPVINGHCRRTRKCAGDMSATAGAPPKLRRQSQIPPAHVQLRRQ >cds.KYUSt_chr2.5644 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34822259:34823167:-1 gene:KYUSg_chr2.5644 transcript:KYUSt_chr2.5644 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAQLVFRRKNEAAPALPVGLLRHCSYSTASSSQRLAGKVAVITGGASGIGKATAVEFVRNGAKVVVVDVQDDLGRALAAELGADSASYTRCDVKDEEQVAAAVDLAVSRHGKLDIMFNNAGIGGDLTPVPLGSLDLANFDRVMAVNARAVLAGVKHAARVMVPRRGGSIICTASTAGVLGSVAYPAYSVSKAAVLGLVRAVAGEMARSGVRVNAISPNFLPTPMVMRYMAVCYPGASAEERRRIVERDMNEMDGPALAAEDVAAAALYLASDEARYVNGHNLVVDGGFTVGKVPNMPPP >cds.KYUSt_contig_686-1.717 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4256725:4257902:-1 gene:KYUSg_contig_686-1.717 transcript:KYUSt_contig_686-1.717 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPGCDESGLLKKGPWTPEEDEKLLEFIQKNGHGSWRNLPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQDEEQTILHLHSFLGNKWSAIATHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFDALPQLVALAALRGQLGGASAVDPSVTAQMQGASADMAPILQAAKLQYLQCLLQSAATTIASASAGSSAVSASDVEAAPVGAAACSPQGTTLAGASTAAASASTAGDHQMSYTFSEAPVTVSDDLLLGCGAAGDYDNYCHGGSLPPLADLSDAADGRCSATASSSFGGGVSSPLPWPEFFPDEDPFITDFL >cds.KYUSt_chr7.30877 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192294159:192299452:-1 gene:KYUSg_chr7.30877 transcript:KYUSt_chr7.30877 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTVIVLVLVVAVAQYASLSTAAGPKVIIVGAGMSGISAGKRLSEAGITDLLILEATDRIGGRIHKTKFAGVNVEMGANWVEGVNGDEMNPIWTMANSTGGLNLRTFRSDFDHLASNIYKQDGGLYEEKLVDKIIKRMEEVGESGSKLAGTLHHSGQQDMSVMAMQRLNDHMPSGPSTPVDMVVDYFEHDFEFAEPPRVTSLQNTQPLPTFDNFGDDVYFVADQRGYESVVYHVAGQYLRTDRKSGAIVDPRLKLNTVVREITYFPSGVAVRTEDGKVYRADYVVVSASLGVLQTDLIRFKPKLPSWKIVSIYQFDMAVYTKIFLKFPKRFWPEGPGTEFFLHASERRGYYPVWQQFEKQYPGSNVLLVTVTDDESRRIEQQPDSETMAEAVDVLRKMFPGEDVPDATEILVPRWWSNRFFKGTFSNWPIGVNRYEYDLIRAPVGRVYFTGEHTSEKYNGYVHGAYLAGIDSADILINCAKKKMCKYNVKGKNA >cds.KYUSt_chr5.19660 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127561304:127563628:1 gene:KYUSg_chr5.19660 transcript:KYUSt_chr5.19660 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQRPQPEDYSLKETTPHLGGFMAAGDKRTSTYDLVEQMQYLYVRVVKAKDLSAKDATGSCDPYVEIKLGNYKGTTRYFEKNANPEWNQVFAFPKERIQSSYVEVVVKDKDFGKDDFIGRVVFDLNEVPKRVPPDSPLAPEWYRLEGRKEGKVGELMLAVWMGSQADEAFPEAWHSDAATVPSDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPSDKNRHPEVYVKATLGNQVLRTRIWANKNANPMWNEDLMFVAAEPFEDHLTLSVEDRIAQNKDEVLGKAIIPLQNVDRRLDHRPVHSRWCNLEKHVSGDGEQKKKDAKFSSRIHVRISLDGGYHVLDESTHYSSDLRATAKQLWKPRVGVLELGILNAQGLLPMKTKDGRGTTDSYCVAKYGHKWVRTRTIIDSFNPKWNEQYTWDVYDPCTVITIGVFDNCHLQGEKAKGNNDGRIGKVRIRLSTLEAGRVYTHSYPLIILLPTGVKKMGEVQLAVRFTCSSLVNMIQMYSQPLLPKMHYIYPLSVTQLDNLRLQATQMVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRKSKANFFRIMKLLAPLVGAAKWFGQICEWKNPLTTVLIHVLFIILVLYPELILPTIFLYLFLIGIWYYRWRPRQPPHMDTRLSHAETSHPDELDEEFDTFPTSRSQDLVRMRYDRLRSIAGRVQTLVGDLATQGERLQSLLSWRDPRATAIFVTFCLIAAVVLYLTPFRIVAFFAGLYLLRHPRFRHRLPSVPLNFFRRLPARTDSML >cds.KYUSt_chr7.7163 pep primary_assembly:MPB_Lper_Kyuss_1697:7:43120519:43121019:-1 gene:KYUSg_chr7.7163 transcript:KYUSt_chr7.7163 gene_biotype:protein_coding transcript_biotype:protein_coding METARGTLTGCTGDRFGVTGRGELAGCCAGVLFGVIERVARPAAGDCGGIGDPLEEDGLEMGDGEVLRRDELTVGRRKDGTGLSDDAGNGAGLSEARAGRRDVASELDGRALDDVGLPPLVLTGRVLLLPSRDPGVDRVAMGCFCCQTNPKILSNDYHHDHLAYTE >cds.KYUSt_chr5.1038 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7191511:7193721:1 gene:KYUSg_chr5.1038 transcript:KYUSt_chr5.1038 gene_biotype:protein_coding transcript_biotype:protein_coding MQPASPPRPCTSYASGSGEDCDGEVTVRDSDDQLQRDVRPQCRCRRLLGAAGWSIPPAQRRAPTSSVRWSARLVLLDTEMQPLVADLGIHRLIRGEGDSTNKPVVLLQLVTDELAMVDKSSFSGLGMAAVAVVSTGVILASYHLIHRRLESNLKLDTTLARAEQRRQSRTKKKVRFADDVAALVDTSSEGDEHRRRLRATV >cds.KYUSt_chr5.40809 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257635148:257638278:-1 gene:KYUSg_chr5.40809 transcript:KYUSt_chr5.40809 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGGAGRTRVGRYELGRTLGEGTFAKVKFAKNVQTGEHVAIKILDKEKLLKHKMIDQLKREISTMKLIRHPNVIRMHEVMASKTKIYIVIELVTGGELFDKIVSHRRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGTLKVSDFGLSALSQQVQKDGLLHTTCGTPNYVAPEVIINKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIYKADFTCPSWFSTSTKKLIKKILDPNPNTRITIAEVINNEWFKKGYQPPTFETEDINLDDVNSIFDESGDPTQLVVERREERPALMNAFELISTSQGLNLGTLFEKQTGSVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNNCKLKLQGESPGRKGQLAIATEVFEVTPSLHMVELRKSNGDTLEFHNFYHSISNGLKDVMWKPDGNIAEVDRILHHRSQ >cds.KYUSt_chr4.49196 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304659481:304664487:-1 gene:KYUSg_chr4.49196 transcript:KYUSt_chr4.49196 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSLVPLIDYFTRREFLAAGLRPHSVTLPYLYDGGDGKSSSTCTVHYWAPPGEPKLPPLLLIHGFGPQATWQWRCQVGPLSRQFHIIVPDLLGFGGSSWDYPTAPPPSEATQAAALAALLDSVEGLKGKRVAVAGTSYGGFVAYWLARAAGPGRVGPVVIASSDVLKTAADDREFLKRAGEEWGAVHELLLPAEPAAMRRVMQMAAHRAPPVMMSPDFVLRDFIQKLYTNREQLSHVFKGITVGTDKFQVTPLSQEVLIVWGEHDQLFPVEKAFAIQRALDGKARVEIMKETGHAPQLEDPARFNEIVLDFLLAADKHAEPSINRSSL >cds.KYUSt_chr3.15470 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94744281:94745459:1 gene:KYUSg_chr3.15470 transcript:KYUSt_chr3.15470 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHKEATAAKLTDDIVVDILSRLTYRDFCRCKCAYKAWSAFSSDPDYCKKLPKKVTTGLLYQGHNNSAIPLVSLSQDDGEIDGILADVPHYEHLEFLDCCNGLVLLKCQLNMTDAFGRDDFVHYDNGGDEGDVNWFWNCDYRVVDLDLERELVFLCDQKAKKLLSYNISTGKLNAIEDTFQWHHYYVYVPCYSQLPAQEPSVE >cds.KYUSt_chr5.3717 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24001254:24004085:1 gene:KYUSg_chr5.3717 transcript:KYUSt_chr5.3717 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLAFEATELRLGLPGGGGGEGGDQARGGKRVFAETIDLKLKLEPAGEQAAPQEDRQAADVVAAAAVVKEEQVEVAVADAGGKMKRSPSQSSVITAAAMPDPAEKPRAPKAQVVGWPPVRSFRKNILAEKSSPAAAFVKVSMDGAPYLRKVDLNMYKTYQDLSKALEKMFSSFTIGNCGSQGMNGMNESKLMDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLG >cds.KYUSt_chr4.14801 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91345790:91347449:-1 gene:KYUSg_chr4.14801 transcript:KYUSt_chr4.14801 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPLLQDLVTGDVHQVMELHLWSASRVRRNSEIRMVQVRQMRREVAQLLDGNQNKTTRIRVLQGDQGQVLLGGGSGVVGRRRSSRRWSRCRDREHPGEQLNIEAEPALG >cds.KYUSt_chr2.33133 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204550393:204554855:-1 gene:KYUSg_chr2.33133 transcript:KYUSt_chr2.33133 gene_biotype:protein_coding transcript_biotype:protein_coding METDSPRSPEAAIGHRVEDLWEIAQPQLSPSEKLNSCFEDIPVASFPRAHPSQVIEIPSDASLADTVETLSKNKILSAPIRNVDAPEDASWIDKYIGIVEFAGIAMWLLHQTDASPNGTAGSAVGSPVANLAARLGSFTFRRTSSGRVETTTDSESDEAASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDMGGDHIENIITQSSVVHMLAECAGLPWFESWGTKKLCDLGLPLMKPYKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGTKAIGNISIRDVQYLLAAPKIYKQYRCIRRTIAAKDFLTAVRHHLQEQQEASPFLHDVITCKRDDAIKDIILKLDSVKIHRIYVVDDKGDAEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPANSTV >cds.KYUSt_chr1.40499 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248208346:248212732:-1 gene:KYUSg_chr1.40499 transcript:KYUSt_chr1.40499 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKGKQRQDKYYHLAKEQGYRSRAAFKLLQLDARFRFLPTARAVLDLCAAPGGWVQVAVKHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTSKCRSSVRKLMDSRGVAAFDVVLHDGSPNVGGAWAQEATTQSALVIDAVRLATYFLAPKGAFITKVFRSQDYNAIMFCLKQLFEKVEVTKPQASRGTSAEIYIICLKYKAPAKIEPELLDIKHLFNVENEKKMPRDVLTTKKDKRSREGYEEGVTVLEKVGLASDFIFSEAQTPLEFLGSVTKISFDDPASLPLKNHEITTEEINKLCEDLRVLDKNSFKHILKWRIRLRKALSSSSQVTPKAAVTATESKVIDDDQLLQEMEELTSVIDRKKRQDKKRQSRRKAKDKARKATGMQIDATEEGYGDPDLFSINAIKGGKELKAVESAEFDVEDGSGDSENEATQTREDSDEEMDSDEEQQRYDAQLEDILDEAYERFMTKRGGEVKQERKRAKRVNTDADADLLEGGEDDGEDVDMDDEGSDEDQDEDEEANPLLLRLDAEKRTKDEIVDQWYSQDVFADAGTGLAEQSDSDDEREKPRKNMKKKIDSGNKEKPAKAQTDLGKKEKPTKAAQRLQQDDIEMVPVEPVRTEDDSDSSSSSDESEPEEDLDDDQKAEVLAYAHKMLRKKQREQILDDAYNRYMFDDEGLPKWFAEDEKRHTQAMKPITKEEVAAMKAQFREIDARPSKKVAEAKARKKRVALKKLEKARQKADIVADQSDINEQSKAKMIDKIYRKAVSTQRPKKEYVVAKKGVQVRTGKGKVLVDPRMKKDKRAEKAGKKKGKGKGGKGGAKGGKGKKGAAGGGQKKGGMRGKAGGKA >cds.KYUSt_chr2.38018 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235279397:235282736:-1 gene:KYUSg_chr2.38018 transcript:KYUSt_chr2.38018 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLAAAATLRAAAPARPSPSARRAGPSNSAFFPSSSSSSAARRLVSLRAAPSQKAQAAGRGRSVRCMAAASDAAQLKAARQDVRELLKTTHCHPILVRLGWHDSGTYDRNIKDWPERGGANGSLRFDVELKHGANAGLVNALKLVQPIKDKYPSITYADLFQLASATAIEEAGGPKLPMKYGRVDVTGPEQCPPEGKLPDAGPSAPADHLRAVFYRMGLDDKEIVALSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTPEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPTFKVYAEQYASDQEAFFKDYAEAHAKLSSLGSKFDPAEGFSLDD >cds.KYUSt_chr5.39452 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249724327:249725858:-1 gene:KYUSg_chr5.39452 transcript:KYUSt_chr5.39452 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSVVIIPTLALLAGVVSAWLPKEHDLAAFKGINKIRGVNFGGWLVCEPWMMSDEWNNVMGCNGAASEFDCMRNNYLGSKREAGNDKFETHWRTWINADSVQSVHDVGLNTIRIPIGYWSNVDIVDKASEPFADGNRMLPYLDAVVQKAADLGMYVIMDLHGAPGGQQQDVFTGQNNKPAGFFNDYNFDRAQKWMSWMTRRIHTNPAYAAVGMIEVLNEPVSGHDPERRYPAPGQVPGLVQKYYPGALKAVRDAEASLGVADGNKLHVQFMSQKWDSGNPRDNSAVANDKRTAFDDHNYIGFAINDRGNRDSLMRSACNDHRIVNGQAFAITGEWSMTSDVSPDDTDFFKKFFTAQQQLYEEPGMSGWIYWTWKTQLNDPRWTYSHATYLKLVPTDTAALERNVYQDVCYPYRSTATF >cds.KYUSt_chr6.31258 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197850737:197851873:1 gene:KYUSg_chr6.31258 transcript:KYUSt_chr6.31258 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSAAAVLEDGDLASEILLRLPPQPSSLPRASAVCKSLRSVASDPGFSRRFRIHHRRSRPLLGFFMGAGNELRFEPTLDPPNRVPQGRFQFPIDASDRSFKLLGCRHGFLLMLRTFQGTVQLLVWDPFNGHEHRLAIPPGFDKKQINGAVLRAAPGVGDIDHFQVVLVSTDVQQGVVACVYSSETAWGNLIATPLPSWGKIDPSRPAVLVRDSLYMLLLGIGGPSRIVEFDVGKQSLAVIPLPASFDYGYGRSCFYSVMRADGGGLGMVFVSAPGGSAQLWKRITNYDGVASWVLARTIELDKLISHSLKSQCCLHITGLAEENNVVFLWSGFGVFMVQLDSLQFKRLPLTTMHRWHPFESVYAAGNSMPSFTMLG >cds.KYUSt_chr7.8171 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49429860:49431610:1 gene:KYUSg_chr7.8171 transcript:KYUSt_chr7.8171 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSQLQQLEQLMEANAHSDSLAEIRKFIFQVGHGPDVLNTSYFKERIRGIANEVQVAIPTYESLLLEELKETQSRERELKQEWEKSGCSVILDSWGSQCGKKSFISVLVHCRKGMLFLRSKDVSAIIEDVDMLEEMISCVVDEVGASNIVQVVMNDASPYMQNARHRVLKNHGYSFFFPLCADFCINFLLGKIAALGHISEVLTKAKELTRFIHGNEMPVKLVGNSEIVSNSCLKYVAAFLTLEKLVSERANLVEMFNSPEWASSDWDASSTFRYICHIVKTDAFWCAAADVLKVTIPFVKVLFKLEREDCPMGILYDAMDCAKEEVMRNVGDKHGDILSWVDKIWDIYLHSPLHAAGYMLNPRVFYKDHACDDPEVISGIEACITRMANVCWWSVHGTDTAELQTLATRILSQTCFGAKRYNINWHVSEKVHEAKLFQDQDLYRGLEYVHYNMRLAGGKPLIGGLSGHQVGKPASLLGDWIWGPRHRH >cds.KYUSt_chr4.37183 pep primary_assembly:MPB_Lper_Kyuss_1697:4:228866961:228868259:-1 gene:KYUSg_chr4.37183 transcript:KYUSt_chr4.37183 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELAGKMTAVAAAKPATKAFVTFLAGDGDYWMGVVGLAKGLRKAGSAYPLVVAVLPDVPESHRRILVSQGCIVREIVPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDELFDLPRGNFYAVMDCFCEKTWSHTPQYQIGYCQQCPDRVAWPAAEMGPPPALYFNAGMFVHEPSMATAKALLDTLRVSPTTPFAEQDFLNMFFREQYKPIPLVYNLVLAMLWRHPENVQLEKVKAVHYCAAGSKPWRFTGKEANMDREDIKVLVRNWWEIYNDESLDFKGLPVDADELEAAAKKPIRAALAEAGTVTVKYITAPSAA >cds.KYUSt_chr1.39548 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241937440:241939389:1 gene:KYUSg_chr1.39548 transcript:KYUSt_chr1.39548 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGLELSLGLSLGAGGSSGKSKASTDVQLEPKAEPQVEESSSKGVSQQAPDAPFVHYYQTTAETQEHSSKQRRSPATPPFGNFWGQQGGSPAPVAEGSGEPVTHQAQLPRYQDGWNSNNNGNNPEENNPVSSKRKLLSEEASFQKKHHAAADQPDAFSKCSDGGVKNAPISISTDDGSTGENEDVADSEAEGSNSWLVAQREDSAKGSVVNRGSDIKRSGDEAAGGFQGKRQPSFSGSESSSGKLPHGNTLQPSNVVVLPYQGQTQASAPPGIINVSNFPPVSTVQFRPPINNGAAAQTMGGSSQVAFGYPTGQLPILETGSSWAFGAPPQAISSFAAKQAEQSGANQAGDGKKPQEAGTSSSAHVAGDKRSDRVLPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYNFGKNEVKIVCACHGTHMTPEEFIRHASVDATGQENNTTMSVFPAGNQAASAQN >cds.KYUSt_chr5.21426 pep primary_assembly:MPB_Lper_Kyuss_1697:5:139909575:139923528:-1 gene:KYUSg_chr5.21426 transcript:KYUSt_chr5.21426 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKGAGGAGGGGKKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLKCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTVFANKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFDITFENEKGERSMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPKVAPVQVIIIPVPFKDADTTAIKGACESAVYTLNQAGIRADLDARENYSPGWKYSQWEMKGVPLRIEIGPKDLANKQVRIVRRDNGTKVDIPSTDLVEQVRLLLNVIQVNLFETAKQKRDACIAPISTWDEFTAALNDKKLILAPWCDEEEVEKDVKARTKGELGAAKTLCTPFDQPDLPSGREGEGGVGDESAAVEGVTPGDCIAAEEAGSVTVAAGEASGDSGSDSGEAASRTASGVGDWRDALLFLSRRSGAEDVAGEGASAGVTSGGDAERVDAGVVGRGAAACKGTHAGARHGSEQVQ >cds.KYUSt_chr6.18761 pep primary_assembly:MPB_Lper_Kyuss_1697:6:117969471:117973884:1 gene:KYUSg_chr6.18761 transcript:KYUSt_chr6.18761 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASPIPHLPQYVGAALDFGIVPTTASPVRRFRIPATHCAAARLAPSTPTFSRPRPPLSGAASGLVSRPAPPRGSPDGCAVEEATRRPGMASRPPTKRRTARPWRPTLGYVGGDVEQSNSSLTQAMNLARWFEGGKICNPMKYEGSYNSSNKACRGKCDYVYQLLHLHRNACGKPPGIQDLLNARQLALENTFHKDEHEAHASTRGSKRKSYDNQAS >cds.KYUSt_contig_973.96 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:1153217:1155957:1 gene:KYUSg_contig_973.96 transcript:KYUSt_contig_973.96 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVLIIPNQGIDPGLSKSPANSPFPSPTCRTPASILAATVLPRRFQAWIRIPDLAAPTGLGPDPPSLSNAQCGPGQSHAKLTSCGPGQRRYKMTTLARLPFRLGLGRVMDATGYLQDS >cds.KYUSt_chr5.32190 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204213552:204217985:1 gene:KYUSg_chr5.32190 transcript:KYUSt_chr5.32190 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLALAVALLLGASSRVDNGAAAAPVTEEGLEITYGSTLKLMHDKTKVRLHSHDVAYGSGSGQQSVTGFPQTDDSNSYWIVKPTLDSSAKQGDAIETGSIVRLQHMRTRRWLHSHLHASPLSGNLEVSCFGDDGQSDTGDYWMLEIEGKDKVWKRDQKVRLRHVDTGGYLHSHNKKYNRLGGGQQEAWPTVRADVASSRRTAVRARVSRRRRRSPPPQGQTAMVSWGDGEDSSSEQSSLGTDSVNTTYDSDFCGLADEGGSTVTCKHGVVAARFVAFDGCWTGRRFLGCAGHDGEPACDFLLWVDGEWPPALRKSLAKLWDLYGQEKQGRVNDALDNMEKRFKLKDEIEKMHIDLRNAQEEMKKIVEEKQVILALKAQAEQGLIDARAELEQKKALDASSSNMHKCMRIKAEKERDQLKEEKRKLEYIIGDLFKLKESTRAKLKKIMEMCDE >cds.KYUSt_chr1.34250 pep primary_assembly:MPB_Lper_Kyuss_1697:1:208409554:208409916:-1 gene:KYUSg_chr1.34250 transcript:KYUSt_chr1.34250 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASPGTAHTSITSSRVNSLKRSPHAPLEPTFRCRHRLPFSALLPSPGLRAAVDLPLISGEAISHRHGENGPSWPPEALARAVCDIAAAAAPPYLVRPRYDLRAVPKLFPLLVLNSWPP >cds.KYUSt_chr7.2345 pep primary_assembly:MPB_Lper_Kyuss_1697:7:13529017:13541538:-1 gene:KYUSg_chr7.2345 transcript:KYUSt_chr7.2345 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHRYMMSRELFMVILRGIRNNDPYFQCRLDAIVFNRLMQGKARRVSYEVNGNEYDKSYYLAVGIYPDWATLVKTVRNPNFEKTRRFAKMQEVYGFSWVVIMVMLLVMKVYGFSWVVIMVMLLVMKIAQAIKPAVEMVGLWGSVKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >cds.KYUSt_chr1.31299 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189929801:189930256:1 gene:KYUSg_chr1.31299 transcript:KYUSt_chr1.31299 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLTQEQADECKEIFDLFDGDEDGRIAAGELVTALRSLGQNIDEAEARQFLEDAGASEGATSIDLATFLAVAARKANAGVSAKGLADCLGAFDDDGSGVIPAEQLRQVMVSHGDRLTEEEADELVRKADPRGEGRVQCKEFVKVLMNKP >cds.KYUSt_chr3.35142 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220584963:220586252:1 gene:KYUSg_chr3.35142 transcript:KYUSt_chr3.35142 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASPPAPGLALGVLVLLAALPSPAAGVNVTAVLVAFPNFSDFTRLLASSPVAAELAGRSSLTLLAVPNANLPRSPSAFAAASGADLADVLRYHVLLEYLSPSDLARLPASGKLVTTLFQTTGRAPSDFGAVNLTAVAAAGSNSTTVVVRSPSPSPGPSNATVLGAVTSEPYNISVLAVGGLIVPSGLDIAASGSETRPSPAVNITRVLFDARGFNVAASMLEASGVADDLEADERGAGVTVFVPTDDAFADLPATDRLQSLPADRKAVVLRFHVLHSYYPLGSLQSIVNPVQPTLATESAEAGRFTLNITRFNGSVAIDTGVVQATITRTVFDQNPVAVFAVSKVLLPKEMFGRPTTDTAAGAAAAPPATKAPDVSGGVGTRPAKLFSPPALHGGTDIKPSSASARASANWRCIGLLYLSLLLLPLV >cds.KYUSt_chr7.20012 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124000161:124003838:1 gene:KYUSg_chr7.20012 transcript:KYUSt_chr7.20012 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMSCSVVSSSGAAVWPAAEDRIGRRRVCACKMFDVSSQRSRRARHLVGFAKKRRRSSKRQQPWWKAWFSDWNDEEESLAGWREDDELLQEVVSNEDLSEDDKFQTWKRKAEAIVELREAQQDAENAEGRSWEDWIGGGSTAATGGGGDWGGGGSFSDQITDDPMQIVRDKGIIETFKDSIDEDYEDMLFEDRVFLYASANSAKFLALLIVVPWVLDFLVHDYVMMPFLDRYVKKVPLAAELLDVRRSQKLQMIKDLNLEKARFRLEVEIGKSPPLSDEEFWSELQEKAVELRDEWRLENRQAFANIWSDIVYGAALFLIMYFNQSKVAMLKFTGYKLLNNISDSGKAFLIILVSDILLGYINTFQDYLLVWVTFWMK >cds.KYUSt_chr5.21031 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136859507:136860307:-1 gene:KYUSg_chr5.21031 transcript:KYUSt_chr5.21031 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNMSLSSSAFAGKAVKNLPSLALFGEARVTMRKTAAKAKQVASSSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGIKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFSELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHITDPVNNNAWAFATNFAPGQ >cds.KYUSt_contig_824.93 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:603140:610067:-1 gene:KYUSg_contig_824.93 transcript:KYUSt_contig_824.93 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKREFEPSANDHEAGSSRRAAPTAFDMGPPAPIRHQIYVTVAVAHIFWESGVPMPWGDVHLPHGWHLSPGRFPVPPIPGSGRARVAEIPRRRAQLPVDLQEDPAYSDTCAYYFCYHVSDEVSSDISGEVSDEVRFPVMAASKSEGQSAWPDLPPELLGAVLRLLPSLADRVRLRAVCRPWRSGATGLPPSHLHLPWLVFGDGTLLDVANNAAHRGNIPDDAVCYSAGDNMLFVAHDDGRCSLVDAFSGAAAATPLPELAALLRARKVNPRDLLQPITKVAVSTSSAELEEGCRLIAVVIDSEKVIISTCRPAGETNACLALDDYFDFCIADIKFFQGRIYALSKRNETLCALDLNKGRINELPESPPGFEVIRGGSSDKIKMDQEPHLQEDPWPFGHMVAGRYLVVSNGKLLMVRRWTRKPLLSSSSPYSIFQFRDPDGYEAKRNRRFEVFEMDRSYRPWQRNGRWSKLQDLDGQALFVSPPCSKSAPPARHGAREDCIYFVHQMGPWKPEDPLGDSGVYNMRDGTITRPLLPEPAALSASLPWSYRFPAWVFPVD >cds.KYUSt_chr3.4302 pep primary_assembly:MPB_Lper_Kyuss_1697:3:24449665:24451116:1 gene:KYUSg_chr3.4302 transcript:KYUSt_chr3.4302 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLSVATGELVSRFFSFLMSKCSENACSEEKRVERLQQLLLRAHMVVEEADRRYITNSGMLLQLKILSRAMYNGYHAVDTYKCNQLIKEGSKEVTTTDSFASYLGTPLKRFRTNSGISIHKVDNSCDLQDALVKLETAVSNMTEFVILLSGCESMMVRRPYDTYLYVDNFMFGRQTEKQQVINFLLQHNPLGFPSVLPIIGGTLVGKKTLVKHVWNDEKVSSYYSSVLHINGDNFSMIDNERTTGRTIVVVTFVSDVDDEKWKSFYQAVTCISTETKIIIVSRMESLARCGTVEPIHLSRLQDEEYNYLFKTLAFGSARAEDNPKLTLLAGEFIKLLGGSFIGAYSFAYTLRTDLSLQFWLSSLNLLKKAMKKNLSLYSENVFPFKQRYPIDLTDFLPSTAAPLHIMPPRTEAEVSERKLPKIRLKDILVNPSLRPRGDFDLVTWESRIPPYTEFCNHVTPCAQQHLKTTLRRKRDATICL >cds.KYUSt_chr6.21340 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134724820:134727054:1 gene:KYUSg_chr6.21340 transcript:KYUSt_chr6.21340 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDQADHDRRRDNPIMAFLKNSRQQRKGNGGTAAVPKFGSWDAENIGYTVFFEKVRENKTAPVPATAAAAPKGHDDYEFDPYEHYENLSRKAASRPASSHGQSHGHAAPAQYYDNPSRNAPSRPASSHGQAAPAQYYDNPSRNPPSRPASSQGHGHPAPQQHYPGQHGNGGYHRRNGSNGSSAASEVSSRGSKFSPPRPYQPRYGNNNSGGSYPQPQQQYAAPAPRHHQHQHAAPAPRVAASPPRHAPPPVNERRPGQVRAAKAPSAVPRFGVWDEQNAAQGFTVQFEKVQRQREVAKVAAPEVPPPRQQLSPDRAAPTWGRPLRKPKKSFLSKVYRCLFPVVRH >cds.KYUSt_chr3.43833 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276707094:276708050:-1 gene:KYUSg_chr3.43833 transcript:KYUSt_chr3.43833 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFTAVESIGVCYGVNGDWLPSASEVVQLYKSNGITGMRVYNVNDDTLKALSGSNLGLILDTGNTQLNALASSASNADAWVKANVQSQQGLTIKYIAVGNEVPNQGGRTQDVLPAMKNIQNALVRAGLGGIKVSTAVHSGVTKGFPPSQGTFSDDGAHMPPIAQYLASIGSPLLANIYPYFSFKGTPSIDIKYALFTAPGTVVHDDGNGKDYQNLFDALVDTMYSALESAGAGSVPIVVSESGWPSAGDPDATAANARTYNQNLINHVGKGTPKRPGAIEAYIFAMFNENLKGGLETEKHFGLFHSDKYPQVYSINF >cds.KYUSt_chr6.11652 pep primary_assembly:MPB_Lper_Kyuss_1697:6:72474612:72475751:1 gene:KYUSg_chr6.11652 transcript:KYUSt_chr6.11652 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPTYIKKTQASKLGDALGIPFFIDNIIRQEVLQLDNEVVFNEAIIEERELAIQEIQQQIGEVHEAFKDLATLVHAQGVIIGMFYLTFCAVMVFGFLANAHIFGCRGSRHQHRKFCRSDQRSEDGNWQSVQDSEIKLILAMHDFGDICGCAAYRDNSFGKLRHEMFEAYWTKHYMQD >cds.KYUSt_chr1.41288 pep primary_assembly:MPB_Lper_Kyuss_1697:1:253321746:253327885:1 gene:KYUSg_chr1.41288 transcript:KYUSt_chr1.41288 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGRGVGGGGRPPQLQQQQPRAAGEGAEIPPASRKLVQGLKGILADRTEAEIYATLLDCAMDPDVAVERLISQDPFLEVRRKRNNKKEVKPSQETRPRPFYKSAYRGPKAGSDRSGRFYSGSGADSTASAKGPIKKETVPPNTSTTDSVKGGNSVETISASGNLADAKSTSFQPPQVQHGWGGVPGRPSLADIVKKGIPQAKSGGRTAANKAGMPAVGGSVVANASNSNTVLPSEGDSVRADKLPNGTIQVHPVPPGQGSDVPEAIAAASTNVITPRSFTPEVNEDVTGNLEQTKEMSANNTGGLTSSVPFSPSDKDLSFNSDLIETTDGYLPDKHSFEHSQNVDSNGDMSTTAYQLEHLTIHEESRPKASEDNPAVIIPGHLQVSNADFAHLTFGSFVSGTLDASCPIISASNDMEVTSPSDNHSGDQSEVRIREFENKETSTANEYIVSAPNSNTENPNITPVQQQSEVGRADLLDVTNNAEYNLSSSDYATSNAVQPDSSTQTYLQENRQMQNISPLSNFMHGSMPNGLLPPAMPPFRELDPAFSMLLTNPPLATMIHGATPSAVSNSTVSSQPQEVVYPTHSWPTLREAPALLQALLSLTIFILTLKELFLLAAFQQPYMSNGLFHQGAAAAPNSGIKYSVPQYKTNVPLASLPQPASLLSNYMGGYGTANGLPGNFALNQSTPSATTSLGFDGSMPSQYKEGNHYVSLQQQQQSENTAMWMHGAGSRGMLPLAANTMYGYQGQQGHQGSFRQGQLPSQYGAALGQSQQGLGPEHRNPSDSNLSAAAQANQMWPSNY >cds.KYUSt_chr1.39965 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244648185:244651095:1 gene:KYUSg_chr1.39965 transcript:KYUSt_chr1.39965 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRLIMLPTWLLFAGLMAPETHPHLQPPPSWSAIPQDLAVLVLRLLPAYVDRACFAAVCPQWRAAARLPLPPPLPLLALPNGTFYSPPYTKPFRFPGCGFAGYQSVCGNWLVFPRDDGCFLVDPFSRATVTLPALSCVRLRPPNAVAERTDSDKAVDVTWMHIRGSNNLHISKLIVCSPNLVAAFVGFRDTTQILMCQPGALSWSVRAYDRCKTFEDMAFYQGKLYAIADNENLLVVNISEDHKTGDPQVSKIGTVIKGDPWYSVLYEDDDTTPMKKLYLVESCGALLMVRRAIWCRVTGESGSKLVAGQSVFEVFKADFEHSRWDKVTTVGDDQVLFLGRCSRAVSVSQYDFPGDKILFLDDDELDDYDYEDENSCVSTYHLRFHCVTCVTSGYPGIYWKRGGDMHLAAWLFPQDRPWIPRTPSGPREPSRRPAAKCSGATRCASVGDTMSSPHQLTVDVADLEVAARGKNSMSAARGGNRTPKIPDATDEIEVRCATKRNLEELQLQITHLPHTPTH >cds.KYUSt_chr5.5839 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36373511:36376835:-1 gene:KYUSg_chr5.5839 transcript:KYUSt_chr5.5839 gene_biotype:protein_coding transcript_biotype:protein_coding MITEGPWDEEGGADIMWVKTATFIQKDDSFDGDDSFDDTNRHFVRRIQEPEVKEAQKRMKGGKALGPDGVPVELMEQAHTKTSNTSEILKTLEPNPVRLTGPGNFFSWSRNATLILESHGLQKFLKEDEKKPMDVTQEQWDQSQKRVMVWLLSSMDNAVREQVEGFQTAAEVWTSIEKQFSGKSNKMQVTRILHELRNIKQEQKTVTEYAGEIKKLFRDLEYFRPFKAHDPKDVPLLREWFEPILVQAFLEGLNEEFNLRSQLIHALPDWPTLDEAIASILEEETRLANLVSVPNTGFDNRAALSSLTHAKPYVASKNDQANAMKFGYHRKPKAVCDNCGKPGHMKKDCFELIGYPPGWQKRPPNRTNKESIFAKKPERSHLTATIRESPDAAAHALEEFKSMVAAVSTDVPESASTSQGAEVRKNTWDWD >cds.KYUSt_chr1.686 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3714019:3714674:1 gene:KYUSg_chr1.686 transcript:KYUSt_chr1.686 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAATSLISLRASSTAAAANHGFSWRRQLGPPTMSRTHPAMASSKPAGARLVAAAAGCKECRGKGAVECEGCKGTGKNKKNGNIFERWKCFDCQGFGMRKCPSCGKKGLTPEQRGER >cds.KYUSt_chr4.42321 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261876533:261878650:1 gene:KYUSg_chr4.42321 transcript:KYUSt_chr4.42321 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTRSTSKQTAKLKSLVKLALARLAVVRRPRVGRRSIARGDVAQLLSIGHLDRALVRAGQVLEEDDALAALDVLELYCRLLVDHAAQLDKPKECSEEIKAAAAGLIYASARCGELPELLDARAILADKFGRDFAAAARDGAPGVVDPTLVQKLSGQGATAEQMRRLAKEIAAENGILLEFPEDTREVPHAQGKQSEQAKMSVPAAKSVEQAEIKTEPCVVQDRQRPAYQSVNRPSLAQLSAEEKVPRESKQYRDVRMAAEAAFESASFAAMAARAAVELSRTESQGKGWRGSGGGGGGGGNDKVHPLQSSGATQQETRPPGKAPSPLPSPSWSDHSTVSSVWSDPPQKGKTVVFDESDEEDEDEVVEDLVRIPQLRRPPYGRAASTAGVGAGHTDGSRRAASGEAGALQDGVSNGSQHATHRRHASELAGGNAHHSEEVQGQRGVYRAPPPPYRRNPSAANGNGQTDGTRRAGDVDGGRPRAQQVGGAYESSAYVARAPYARIASALEGGNEHIARHEEVRRIGTDARVLQEKLYGPAAPGPGRAPMTPERRAISVRTRR >cds.KYUSt_chr3.30096 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188369878:188370651:1 gene:KYUSg_chr3.30096 transcript:KYUSt_chr3.30096 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGPYNAATPDADEKKEPTRPLAHPSPSPSVHPATDDDGDVEVQEEASTAKRGRSTAQYLGKRRRALCWCGCCVTSVAVVGIVVLVLALTVFKVKDPVFSMNRVTLEDVDGDLLGADGTRPVSVNATLSADVSIKNPNVASFRYGRSETDFYYGGETVGVAYAPAGEVGAGRTARMNVTLDALADRISPHVSFMDLVFGREYDLTSYTEISGRVSVLGIYKRDLHIKVNCSITLEVSGAFSSVESKTMDCLADVK >cds.KYUSt_chr4.11856 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72062114:72062517:-1 gene:KYUSg_chr4.11856 transcript:KYUSt_chr4.11856 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKAEYKNEAFIGDVVTRTRPLSDGATGTSSRTGTLAFVFRDRAGPDAELVVVAFHGTAAFNTARCADLDPSLCRPGLGSGARNATLGTVDHVSGASLTR >cds.KYUSt_chr1.5851 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36068528:36069577:1 gene:KYUSg_chr1.5851 transcript:KYUSt_chr1.5851 gene_biotype:protein_coding transcript_biotype:protein_coding MKATASMCSPRTVRGTHTFKIAGYSLHKGLGAGRYVRSAAFDVGGYLWRIEYYPDAEMEMKNGDYASVSLELVTAHAQARATFEVRLLDQAGKLPPSVVLARYKPLVFRSNPPTYMSEDFLQPLPYLHADDSLVVECDITVIKEPELALLHSTFDIKVPPSDLSHNLRELLEAGEESDVAFEVRGEVFPAHKLVLAMRSRVFKADLFGPMGDRTRKTIPIEDMQPAVFGALLHFIYTDSLPSMEHLHGDDAEEMVKHLLVAADRYAMERMKVLCESILCKTLNVRNVTTTLALADQHQCSHLKDACLDFIASPDRTDAVVASEGYACLKRSCPAVIADILERATKSRKI >cds.KYUSt_chr2.55282 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344633178:344639743:1 gene:KYUSg_chr2.55282 transcript:KYUSt_chr2.55282 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAPRQPPDVALPPTYSLRRENPFTENHDTENVPETPPPPIPSRGIQEIASGTLPERGIISRRTLHHHDRLRIDVFHVGAGIPGVVPHYTPSPTTFTCYLTPTGALRVWCGKKKPLQQELNTLEEEIKQIHMQPTHLQDHNLEASLVNRPFMGSELPQGSQDYTYTIRDRQELLQTLNDMKRNASPRPDGFNVEFYLSTWEWIGDDVTMLRLHELGEPRPTPPPPATIQLLNQVWKDKNIIPRVQAFAWRFLRRAIPTGVRAGKYSKHISKLCCRCGLEEDDIHLFCTCHFAMIAWNDCLFNRKNGAPYQININAQALCNSLELHDSSNPTLQVQQKTNSTSTSMLPSAGNTIKTDLSIEGPKDGHHATKIFIQASTEMVPSPLHAEASALVLAARVATILQLQQVTFLVDNLSLAKAAAANSTTDPHALWEIRQLVANFQEISQVLQPKPTAYGEQNSTLDGAGHSRAKLYGWAYSYRGPIAFGIQMGANAAGFHSFTLTH >cds.KYUSt_chr7.18740 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116126566:116126853:1 gene:KYUSg_chr7.18740 transcript:KYUSt_chr7.18740 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLYHLMSRLHMARSRSSSAADVPRGHFAVYVGEQRKRFVIPTAYLRNPSFLVLLKRVEEEFGFDHPAAGGLTIPCSEGDFADIVGSAAVDHH >cds.KYUSt_contig_946.142 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000087.1:967004:969138:1 gene:KYUSg_contig_946.142 transcript:KYUSt_contig_946.142 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAPRLLPPPQGRRLIAPGPRLLRPKGATAGTCLPQPGVTVPGMPRLWGRQPACAPPQGTGRLPQSASGARLIALSTPTGPAAAPACSAPPWPPPARMFFAPRLLPPPQGRRLVAPGPRLLRPKGATAGTCLPQPGVTVPGMPRLWGRQPACAPPQGSCRRPKVVFPGPCLLRPKGAAAGTRLSRPGVAVPGPSLIRPEAAACRPEAAVPAGATPPSDAVETMAGVRPIWELSAAPSMETSRSAMEMGRAREGVLHATR >cds.KYUSt_chr6.26899 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170534912:170536092:-1 gene:KYUSg_chr6.26899 transcript:KYUSt_chr6.26899 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKHGKRKYNSGWCVSHRRVGVSAASPASVSHVEAAPPHPGEKNVWKTTTLSLLAHKINAACSMRRHTLPWPATLDEFLPIDGHRTLPADGHRATPVDGHRAFPLRQASRLAAGPPPPTSSPSSLSALATGRSTRPPPPSGAVPRPHRSPSRASPARGRVASFVGARAEPPVCRPPPRASSTIAGAAGCDVSRP >cds.KYUSt_chr6.25982 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164641737:164642934:-1 gene:KYUSg_chr6.25982 transcript:KYUSt_chr6.25982 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGLGRYWGVGGRRCGSCAGSPAAVHCRTCAGAGDGAYLCAGCDAGHARAGHERVWVCEVCELAPAAVTCKADAAALCAACDADIHTANPLSRRHERVPVQPIGTPCSDHQDAALAMSFGGQGQEKQGAALNLNDDALDQAFDAGGGGGKDGAKLDFLFADVMVDPFFGSDLPRFPHADSVVPNGGAVELDFGGVISKPSSYSSYTAPSLTGSGSSSEVGLVPDAMCGRGGGIIELDFTQSKAAYLPYAPTPSHSVSSVDVGAVPERTDGAVAAGGMVAAAPVTGEGREARLMRYREKRKNRRFEKTIRYASRKAYAESRPRVKGRFAKRTDDADADADAVTAPTPRPYVLDFGNYGVVPTF >cds.KYUSt_chr6.6821 pep primary_assembly:MPB_Lper_Kyuss_1697:6:41128813:41129121:1 gene:KYUSg_chr6.6821 transcript:KYUSt_chr6.6821 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEEKMGKVKKGWLAVRVDEDQRRFVIPIVYLYHPLFRRLLEAARDTYGYHSSGPLQLPCSVDDFLRLRALVERDTHSSSSSSHRVHSGHLAPCTRAKVTS >cds.KYUSt_chr5.26916 pep primary_assembly:MPB_Lper_Kyuss_1697:5:170236832:170247545:-1 gene:KYUSg_chr5.26916 transcript:KYUSt_chr5.26916 gene_biotype:protein_coding transcript_biotype:protein_coding PILLVFQVTANGVGLLDPGAERNSVEKGAKVDLPFWLAHGMLCLEQAVSINVPPCFTQKTRKEIQADAACVDLRIRCPYFYELGCKIVPLVSDKSIGQFLRYAFTSRYKEILSKSHSSSTMTVPKFASRLTKEETQVFESARESMAAFKRWRVGGARLQKASILGRKRKTKLPDGSSTT >cds.KYUSt_chr6.5086 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30070720:30073603:-1 gene:KYUSg_chr6.5086 transcript:KYUSt_chr6.5086 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRRPHSPATGPLDDDDLLREVLLRLPPQPSSLSRASAVCKRWRRLVSDAGFCRRFRIHHRRNPPLLGFFDRHQRLPFLPTLEAPNRVPPGRFSLQRDDGDRFLSLGCRHGLLLTLLIKRLQVLVWDPVTGDQHRVAIPPWIASHADKRLINGAVFRAAGDVHFQVVLVMADGDHNNCRRAFACGYSSETALWGDLISTPITSEELFPEAAVMVGNSLYWLLDVGILELDLERQSLAVIQGPVLDISVHDDFTITRAEGGGLGFLLLLDFTDQLWNCKTDCNGVAAGVLARTIELDKLLSLNPDEKRGPPRIVGFAECNNVVFMRTVSGIFMIQLDSLLQFKKFPETNIMFCHPFEIVYASGKGYPSFTVASQDLQCFQKS >cds.KYUSt_chr3.26770 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166956982:166957446:1 gene:KYUSg_chr3.26770 transcript:KYUSt_chr3.26770 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPTQTQATTADTSGFKLFGQVIQPDAHHASASASASTSTEESTPAPPPPPQPTTPVQAQAQAAAGGEPLPCPRCGSRETKFCYFNNYNVRQPRHLCRACRRYWTAGGALRRVASASPGRRRPRPSARSVAAAAASASASEEGAAESVDSRS >cds.KYUSt_chr6.11501 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71395792:71397312:-1 gene:KYUSg_chr6.11501 transcript:KYUSt_chr6.11501 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHQRASLLLHHTQQQDQEAAGGNKVEELRCRLADCACHHRKHGHDALLLMLAGFAFVSCLLLVLLLPSSPFSAAMDDLLQLGRSTRCDQETTPPAAAPAPCSAVANGTICCDRTALRTDVCVMRGDVRTQGATNSLFLLLPANSTSTSADERIRPYTRKWESSVMSTIDELRLRTVPEASRCDVRHDVPAVVFSTGGYTGNVYHEFNDGIIPLYITARRYNRKVAFVMLEYHDWWITKYGHVLDQLSDHAPIDFANDNRTHCFPEAVVGLRIHDELAVDASRMPGSESIQDFRRMLDDAHRGRVNAIIQEEKENTKAAAAPAVGSDDKPRLVIVSRNGSRAIENEAELARAARRAGFRVDLLRPRPDTELAQMYRVLNGSDVMVGVHGAAMTHFLFMRPGSVFIQVVPLGTDWAAENYYGEPARRLGLRYIPYKILPSESSLYRQYARDDPVLTDPAAVNAKGWQVTKKVYLDGQNVRLDMARFRRRLREAYGHWAAQRLGQPL >cds.KYUSt_chr7.3396 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20268343:20270471:-1 gene:KYUSg_chr7.3396 transcript:KYUSt_chr7.3396 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAASDDYQFAAMQQQQQPPQPYLGFDHAAMVSANAGGGQRGHQGTMMYDNFDFAAAAAAFGQFQQEAAPHHHHQMLALPPPNVPGGGLMAPPPMPHGMQLQMPPMPMAMHGGDLYPALGMVKREGSVIGGADAGRIGLNLGRRTYFSPGDMMAVDRMLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEYHAKASLVAAGGKQQRFCQQCSRFHVLTEFDEAKRSCRKRLAEHNRRRRKPAASSNTAAGSKDSAPPSKKPNAGGAMSGSYTADNNNMSAAKSTISSNTSAISCLQQDQNKVARPTALTLGALPNVKDDYQLNAMHLQAQADHHHRHQEQQHFITSLLHSSNTNHNILSCSSVCSSGLPSAAAANGEDSDQNNNDGGGSNNNGNNMHLFEVDFM >cds.KYUSt_chr1.31297 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189919752:189922670:1 gene:KYUSg_chr1.31297 transcript:KYUSt_chr1.31297 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASAREVGNGYAASSLEQVTPSRGSSVEAAAPPDAVMRELPPPVPYVFAPQVPVAPLQIPTEFSPVFSHSWVNGSDQSTNSNPPEKGIPTLITWSQGGNEVFVEGSWDNWTSRRVLERSGKDHAVLLVLPSGIYHYRIIVDGEPRYVSEQPHVTDERGQVANLLDVHEYVPESLDSVAEFDAPPSPEHSYDLQFPADEEFAKEPPTLPPQLLMSVLGGTDSSDEHALKLKPQHVVLNHLFIEKGWGSQSLLALGVTHRFQSKYVNFVLYKPLPRR >cds.KYUSt_chr3.7266 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42037970:42039183:1 gene:KYUSg_chr3.7266 transcript:KYUSt_chr3.7266 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPPPAASGAQYAHQFLNTALSQRGPSALPYAEDVKWLIRNHLVALADAFPSLRPRAALFTHNDGRAAHLLQADGTIPMTHGGHVYNLPAVIWLPEPYPRAPPLVFLSPTRDMLIKPNHPLVDRSGLVAGAPYLRSWVFPSSNLLDLARFPASPQLAARPPPTEDPAEVFKRNAIAKLVDTAYADAAALRTTREAEVEALFAVQAELRGRGVHVNEGVRRITEEKEALERRLLDVMGATNVIETWVAENRKGAADDAGADSAIQPADTLSRQMLECTATDLALEDAIYALDKAVQEGSVPFDGYLRSVRALAREQFFQRVLGTKVNQAQQQAQVARMAARAPPQYVS >cds.KYUSt_chr4.53203 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329456046:329474906:-1 gene:KYUSg_chr4.53203 transcript:KYUSt_chr4.53203 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLNPDVAAEVRLMLQAATDAASDSIRRELCQLVDCGIDGCLLLLQVCFDQVMLSAREVDNFQLKHALLSAIFRYCLNKTYFSTCFCEALLPISDTDGLLETLSNVLELSVAEKVGIGLALSDSDNSAMKLKGQQFAITQIEELCLNPNQSVSNDQVHEIVVFLHQTDGLSKHMDTFSNITSLLEVRQSPFFAPFPIKQFDAQSTNPSRHLETYFASTNDDFESLLSEIGKEISMADIVTELGYGCTVDSTQCKEILSTFEPLDDTAVSKLLGAVIGTQNSLGEAHNTYATFVSAIRNIPMSESPQLTTWNTDVLVDSINELAPSTNWVHVMENLDHEGFIVPDEAAFYLLMSIYGRACKEPFPLHAVCGSMWTNTEGQISFLKHAVSAPATIFTFAHCSRQLALPDFASLSPGNHAWFCLDLLEVLCQLAEVGHTVSVRSMLEDPLGHCPDLLLVGLGHVNTAYNLLQFEVLSCAFPAILKDAAKSNVVNHLWHINPCLTLRGFVGAHSDPSCLLRIVDVCQDMKILSAVLDSTPFAFSIRLAAAASRKDHSHLEKWLTEKLTLYNDSFLEECVDFMKETMNATSYVVEGATEQPQANVMDIYWEACPPFIKVLQSYSGQLLPNQLLDKLRELFTSYESRNHGSAVRDIPTPEGGSDDVEVEANAYFQQMFSGQISVDAMIQMLSRFKESLDKREQAIFNCMISNLFEEYKFFTKYPDKQLKLAAVLFGSLIKHQLVAHLGLGIALRAVLDALRKSVDSKMFVFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAEMVSAIERALARISSSQNEPSIGNMFSADHLVSGSSSIETMEASEPSWQLMGTSPTQLGRTPSYPLQQRQQSVLGDRSKVSMATSQNKIILPSQPSVPSAPADSATNIKTTVPPSALPHSASMSTTAHATGFLRTRSTATGLPRQHSYTTGFGAALNIETLVAAAEQRDRPIETPPSEVQDKVLFMINNISTSNMEAKAKEFDEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKLNSRSLSKEMLKATYENCKALLRSDLIKSSSEERSLLKHLGSWLGKFTIGRNQALRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCKSSIAYRPPNPWTMGVLSLLAEIYNLPNLKMNLKFDIEVLFKNLTVDMKDVKPTSLLKDRGREVEGNPDFSNKDVASQTQVSVEVSSGINPPINHMELQPEVNNTSRAMSLPTILNQYAAPGRLPPNSMVEDEKIALMMPEQFSSHTLAQVSPSQAPLASLSPSPLSLSQLLSLIPHDEIRFKINSKLGSLGSQLQFSKIMGVALDKAIKEIILPVIERSVTIASKTTKELVLKDYAMESDYSAANRAARLMVGTLAGSLAHVTCKEPLRVALSSHLRSLIQNLTSNSETVEQVIHILINDNLDLGCASIESVATRKAVDSIDGEIAQSFSQQRKKREAAGPAYYDSFTYAQGPFAPVPEVLHTKPESAAQQRVYEDFVHVWQSHSQNVSAAGSGSSGTSAVSSNFGGVPRAYSPNPAPASSAFLTAQTAPLTSAQPTELLVSEELIPGAAQLYSDSTAQLGTSDSSGWLGGTIDAASTSPPLISNDLPVGGITDSSAVMSFPATVSVDNRGSVLPDAFNTGDALERYGQVSQKMEALIAKDGKDGEIKSVIAEVPDILLKCVNRDEAALAVAQKVFNSLYDNASNSASIMSYVATLVAVRDVCKLVVREITNWVISLDDEKKFNFDIIFCLIRSELLNVGEYNVHLAKLIDGGRNKVAIEFGMSLVQTLITQDSAIISELHDVFEALSKISRRPGSPESLPQLLEIARSNANNAPGFAFGKDEKAIQSKDKKVLFSRTNKEENSVNDTTHADSTAFQEQVAHLFSEWCQVCDHPSASDAAYSRYVMQLQHIGLLKGDEFTERFFRVLTELAVTHSLISEQIVAPGGLSQHSSHISYLPIDSYSKLVSMVLKYSSVEIGPNKVSLLSKILSVTVRVIQKDAEEKKTSFNPRPFFRLFINWLNDLTTSDVHHDGANFQVLTAFANAFHALQPLRIPGLSFAWLELVSHRSFMPRLLTCNLQKGWPLFHGLLVDLFKFMEPYLRNAELVEPVHLLYKGTMRVLLVLLHDFPEFLCDYHFSFCDVIPSSCIQMRNVILSAFPRSMRLPDPSTPNLKIDLLPEISKAPRIMSDFEGALRSKHMKADVDEYLKRPDGSSFLSDLKQKLLLPQNEASIAGTRYNVPLINSLVLYIGIQAVQQLQLNKANASAAAQQISHSQMDIFQIETATDFFRNLVTNLDTEGRYLLLNAIANQLRFPNNHTHYFSFIILYLFAEATVDNVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIHIAPEIKMLFESVAKSCAGKAADDVPDGSH >cds.KYUSt_chr1.18168 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106052850:106055116:-1 gene:KYUSg_chr1.18168 transcript:KYUSt_chr1.18168 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLSEASRRATPSPIQQLSHLAQRVGAVNLAEGFPDFPAPAHVKAAATAAIAADLNQYRHVQGICDVLAETMKRDHGLRVDPLTDFAICCGQSEAFAAAIFAIIDPGDEVLLFDPAYETYQTCIKLARGVPVYVPLDPPSWTLNEHKFLKSFTSRTKAVILNSPHNPTGKVFSKEELLVISKACQKMDCFAITDEVYEYITYDENKHISLASLPGMQERTIITSSLSKTYSVTGWRIGWACAVANIAAAIRNIHVKLTDSAPAPFQEAALIALTSTPDYYESLKTDYAMRRNFILQLLKNYGLHISFEPQGSVFVFAELPKSWQISDIDFVTNLINNAGVAAVPGRGFFHTDPDDQSYHHRYVRFAFCKSDETLKAAAQKMMKPAESNGRVLHDTQSS >cds.KYUSt_chr3.2304 pep primary_assembly:MPB_Lper_Kyuss_1697:3:13143328:13144727:-1 gene:KYUSg_chr3.2304 transcript:KYUSt_chr3.2304 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLTVWKVRAAGGIGTPIPHPGERRRSRTLHLLTAGLSAAVGLGQLTRDLAQEYLPMKAQLRAPDDSDESLGLTKALLGGHGTLRPRLQLQLPSMAPSALLRAAALRRRARIAAALPAISSLIHSSTPPPSPSTYPNPAARCHLITLSRRPCQHPPSPTSASAAASSYYVNKILLPTSFSHPLSTSSRSKDTDTDKKGILPPPPVSWVERLLPEAARPYAMLARLDKPIGTWLLAWPCMWYACFYRHCQL >cds.KYUSt_chr3.41607 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262461845:262462960:-1 gene:KYUSg_chr3.41607 transcript:KYUSt_chr3.41607 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFVPQEELEKFMARCTDPAAQKATKEAARRLRFKLTILGTRFCLRWAGGKYIKSGTEMDVTAFPMKSTGYSNTDSVIDKIEGKV >cds.KYUSt_chr7.7777 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46899623:46905844:-1 gene:KYUSg_chr7.7777 transcript:KYUSt_chr7.7777 gene_biotype:protein_coding transcript_biotype:protein_coding MDACGGGHLVERIEVDGAYRGRGCQLVEEFEEARCLGGAYIRLKDKLGFWFSVLHLLESSDSVSKFSLLSSQPPPEPVRVYTDDEIAARVVIRDIVRSQPVRSKNPKIAFMFLTPSSLPFEKLWEKFFTGHEGRYTIYVHASRERTVHASPLFAGRDIRSEKVVWGTVSMIDAERRLLANALQDADNQHFVLVSESCVPLHNFDYVYSYLMETNISFVDSFDDPGPHGAGRYSDYMLPEIVKRDWRKGAQWFTVKRQHAVLILADTLYYGKFKRYCKPGNEWHNCYSDEHYLPTLFNMVDPTGIANWSVSHVDWSEGKWHPKVYRAVDTSFELLKSIASIDESVHVNSNAKHQAQRRPCVWNGMKRPCYLFARKFYPEALDTLMNIFSNFTVI >cds.KYUSt_chr2.940 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5492647:5499015:1 gene:KYUSg_chr2.940 transcript:KYUSt_chr2.940 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAPNQEASLMAWWQQAKLDMQGPSAALLMPWMIWKKRNECIFEARIKDEAALWQDLGAKGLRKLCPLRITNMGKKLACFALEVAMMVSDDHTAPSIIICSSKCPVNVYLDQVLRWWLPVLHRFCSWDCSRFLQVTPLFNSKNCSKCPWVHLHLMDGEMMDCILQEVGRDDARLSTGNQGEHGGVGPSNNAPSDHAINIVAEPEVSTENSITLDNAHSSSSREASAVSSAPDPTLASSDFLSTWQRVTSDGDGLLHIAARFENYKLVNDILLNHKDLAKVLLLAVNNRGETPLHCAAAGGSEKMVTLFLGYESERDTDEVIAKLMRAQNLKGETCLHEAVRHGNEDIVNILILKAPLVVQMVDNESVSPLYLATTLRRTKIVSILTERPYNAASCAGPAGKTALHAAVVLLDKGLIKTLLDWNASLTSQRDESGSTPLHFLASLYLKRRERRVVCETIMGVRTGVGVLNGDGGATAARSPPPATRAGSFCSVPSPGEGMGRSFGRFWVLSEVGDEDDGVGQILEDLEVGSGDSSSSEGRRGTTTPVTLAGFIQRAEELGGSLRHRRRRAFAPGGKGSRSRRSEAPDSVERATSLAAGP >cds.KYUSt_chr4.13680 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84325516:84326906:-1 gene:KYUSg_chr4.13680 transcript:KYUSt_chr4.13680 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRWITALVGGRAPPSVLWASFSVFRVKVCRGGAQMVVALSRAIRSARLQPHLGCNVERLVGVVLSSRFSSGCGDLRIVKELQRQFILLLRLWNGCGLIDSFGDFPSAINNVKPTQGGATAAARHRHGLELSWWQLASGTAINRPRPTPPPRPPLHSGENLLSHALRFYGFAVLWVPPHPTTPLRCSFLNQFPFPPHIFLLPLPLYRRSRPAAALSLAMSICARMDAPRGGALGKRKDRDYFSPPPSSSSEQQKAPLPPLPRKPEHRSKPLQLSRFANKPTTMPPPPPPPQGANSKLLAGYLAHEFLRFGTLLGERPPAPTTRKGYSAAPAPDPARRYAEASTLLMVAGGPRIPGVVNPTQLGRWLRIKE >cds.KYUSt_chr2.41432 pep primary_assembly:MPB_Lper_Kyuss_1697:2:257667679:257668068:1 gene:KYUSg_chr2.41432 transcript:KYUSt_chr2.41432 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEEALSRALLAVIVGVCRAVTTEEVAMALEDGYGLVSGTFSVHCHRPEDFLLFFAEREDRDRVLGDGVLASPYFRLLLRPWSRHTHAASGGLCVHTEIEIEGVPANGWSLVIRVQHASVGNPKRKV >cds.KYUSt_chr7.21493 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133257936:133259423:1 gene:KYUSg_chr7.21493 transcript:KYUSt_chr7.21493 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYISSPIDQQPGQPSVMCDTVNADTGVMSGAMLFIKEDLKTNDTQVQVLAGILNVCALVGSLTAGRVSDWIGRRRTISLAACIFLAGSILMGLSPNFVTLLTGRCVAGVGVGYALMIAPVYAAEIASAEIRGSLTSLPEICISFGILIGYVANYFLAKLPLVYGWRTMLGLGALPSAVLAIGVLAMPESPRWLVMQGRPEEALLVLRKVCNTAAEADVRLADIENAAGYADGNAPAPGSGGRGVFKEMFLHPTPTVRRILVAAFGIHFFQHLSGIEAVVLYSPRIFKAAGIATRNEILAATIGVGVTKTVFIMTAILLVDRVGRRPLYLSSLAGIVASLSCLGLGLTVIERSAPHHAARWAVALAITTVFTFVASFSIGVGPITWAYSSEVYPLRLRAQGASVGVAINRIMNAGISMTFVTLYKAITIGGAFFLFAGLAVVAAVFFYFCCPETQGRPLEEIEEVFSQGWSSRRRQHPPPSSVELPEPDSKVRP >cds.KYUSt_chr4.8078 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48194236:48195153:-1 gene:KYUSg_chr4.8078 transcript:KYUSt_chr4.8078 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSIERNWPPGAAAADGMLWQTELRQHAAGEFSMAAGRSGGGRDALADGLRQHAAGEISMAAAQANLVMEDQAQVLASPGATLVGVHDGHGGPDASRFLRSSLFPHVQRMPTMPSILRQGRAVVSRSSTSLSPPDCKMLQERLLYNPPNTRLPTPKATLTHVGNDIKFCLAEYVLREGDDAFGRDGCVLHITRFGLKYSHKGELQTMNHINNSYTVSKHAPLFSPVAFWM >cds.KYUSt_chr4.8310 pep primary_assembly:MPB_Lper_Kyuss_1697:4:49699773:49701195:-1 gene:KYUSg_chr4.8310 transcript:KYUSt_chr4.8310 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMVAKEEISAMERVKSDGEVLLGAAGDDNEEEDVMLPGYRFHPTDEELVTFYLRRKVARKALRIEVIREMDIYKHDPWDLPKASTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAAGGNSGESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAAASAATASPSMQEAEVWTICRIFRRTITYRKQQQHQQPQQTTWRPAPAMSAAVAESSSNTGSFESSEGGDEYMNCLAPAASAASCIPHQHQQHISQMGSANNVNFFYRDAMQNQQFMEQWGTLPAMPVPEQKPLSATAAFHQNDHSLATATATTNDYYKVDGYLEEIARMMEVNDPAAFYDCRSYA >cds.KYUSt_contig_988.32 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:252091:252595:-1 gene:KYUSg_contig_988.32 transcript:KYUSt_contig_988.32 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKRKKDKAAAKDSTTVAPQEPTAQVPEKHVLYFEKKSRSRKKGTAHQEAPSMHQDPGQQPTPAMHQDPIRRPFVPPKKKQMKSSHAIGQSTSQPDSQPSTQRMRETIPMTDVVTESVPKEERLKWFILGNEKRGQQGGEE >cds.KYUSt_chr6.32736 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206235221:206238713:-1 gene:KYUSg_chr6.32736 transcript:KYUSt_chr6.32736 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPVLHAHWRAAAGLLRRLQWHRRAAALKRQPAAFLLPPHLHSHHHRCSAMGKKNDFEASGSGSKRVPLPVTLGRLMNGKWLPCEAWSGVQLPGGWRLSCRRVPIPPVPAREPNLTAEIRRRRQYLPPDLRADPAYAAATRAQHNDADDDDAAYYDDDDYIEALAYQNEEAKDDSDDYVAAVFHEWQQAVAEGRVFEFPENMTDDEMAKRGVLVSENDAPVQPPMPRYATGVMPPGLSEDEALRLALQDSAAPQPQPWTPPPPPPQPHPWASPPPPPQPYPWAPPPPPQPQPPPPPGPAARPAYAPPDGYWPWVIPELIVLDSDEEQQYSPPPSMSPPPSMSPPLPDELLEEVFLRLPPDEPECLVRASVTSKLWLDTLSGPRFGGLYRKFHGAPPMLGFIYFPPIYSSGYKRDEYDRVPYYEPATKFRARIPDDDWGCWFYEPWDCRHGRVLLVDSTALAGEGLAAKFLVWNPLTGSRRELDGPQARDVVESQSLRAAVICAVPRCDHRACEDGPFRVVLVTLDKSDAGFGFVACAYISLPQMGEGSKPCSGLSLVDEWTELSADLDLVGVSACIDFKPQVLIEDALYFVIKNGDSGVPIAILKCDLASNCLSLIDVPPLETGADGDSETILMATEDGGLGFARLDMLNLHMWSRQMGFDGVLSWTLHRVINLKELLPIQNPKLRLTLVGSVEGSDTIFVTTDLGIYGINLKSRSVKKLWKREIFHYLMPYMSFYTPRGMVNPANATH >cds.KYUSt_chr1.31202 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189344151:189344630:1 gene:KYUSg_chr1.31202 transcript:KYUSt_chr1.31202 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQCGPKSNAHRSATAGGSGSNKNKRKAGGGKAIKLVYIDNPMRVSTSEAGFRALVQELTGRHADPSKYTGGGGAVDVDESSAGSPAGPQMGPAPSPGSTAESSEGTAACSQDVVRPATVVGYAYGDEEEDSFPAQLIDNRYSVFSPPTFLYGSHEL >cds.KYUSt_chr5.19834 pep primary_assembly:MPB_Lper_Kyuss_1697:5:128718822:128720180:1 gene:KYUSg_chr5.19834 transcript:KYUSt_chr5.19834 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIPPWSQLPPELLGLVVDRLVMDRVESPAGRSRLSALCSKALQLLPFHAARFRAALRSGQSAYADGARFRAVCRSWCSAMREHVAAPWPIPWIVTTDCSFMAPSDCSSRLRVPSLPKNARCIGSTDSWLALDCLDDDNKCRYYFLHNPFSNTTVPLPELDAVIGDVSELFEVRKVVMRSTPDDIIAVMTNNWNHPIILIRPGKGVWLPEPRAAPFMYIIDIAFFGDKLYGITQAEDLVSLDIAFNNNGLPHVTGFARLIKHPPGNYYFRVWRDVDEDDDNYGAENGYDEVLIEEEDSMRAIREIRAKTGDDMLFSGITYWEDDEVPNDPKDIVGIIWYLVKSRGKLFVVKRQVQWPYYDVSFTRKMEVFELNVRTSVWVPVSGGLDGHAFFVSERFCKSIAAHGDIQEDTIYFVDSGETFNVISHTLGPRQRELNHRRSMWIFSPALVV >cds.KYUSt_contig_3828.35 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000743.1:189509:191057:1 gene:KYUSg_contig_3828.35 transcript:KYUSt_contig_3828.35 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIAFVRTLAGATPLGPAALVFNLPPFLPVGGAMAGEAAAGAAAAAAAGASKNCFGILFLFDGMVAEIMADTAPAFGASPTATSTTVAGGDASPAVGGSCGQSMAAGSGREGRGGGDRSAVAAVGFSEMLRAQWSGRYWFCPLSPLPPCTIGRPTVDDEGEPAVTVEEEEEHEVTVATNPKLGMEQEEREHEVRVSVARGVVGVPCTSQDPQSWMPRPSHIAS >cds.KYUSt_chr5.39194 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248064376:248065080:-1 gene:KYUSg_chr5.39194 transcript:KYUSt_chr5.39194 gene_biotype:protein_coding transcript_biotype:protein_coding MKMCPIKKEMSDDSGSPRRVDYYSPSTSSEQPGKQTQLAAWTKRPAGRTKFRETRHPVYRGVRRRGNAGRWVCEVRVPGRQGSRLWVGTFDTAEIAARAHDAAMLALAGPGAARLNFADSAELLAVPASYASLEEVRHAVTEAVEGFERRQALGEEDALSGTSPSTPSSPLTDDEESSSPFELDVVSDMGWDLYYASLAQGMLMELPPSLAAAAALSDCGEASFADVPLWSYQS >cds.KYUSt_chr2.16491 pep primary_assembly:MPB_Lper_Kyuss_1697:2:103746868:103748008:1 gene:KYUSg_chr2.16491 transcript:KYUSt_chr2.16491 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRFRLEGCRSLRPMQGSAELLLPVALTICSAAGQHSRGLCKASENRFSLGARGGKVKIVSEEEELKGVKLGYWEEQVEILSEEEELKGVKRGYRKSMRHDKCHPRHPEMNRLGAPVAANGLRCIHQVQRGSC >cds.KYUSt_chr1.10058 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61669545:61671477:-1 gene:KYUSg_chr1.10058 transcript:KYUSt_chr1.10058 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAADAGIERVLWTEAEVAARVAEVASELAADLRRLPDPAVVVGVATGAFLFLADLVRRVDAPLAVDLVRVESYGDGTQSNGRPRVTADLKVDVAGKHVVVVEDIVDTGNTVSCLIAHLQKKGASSVSVCTFLDKPARRTVNFQLVGGGKFYRGFECPDSFVVGYGMDYAELYRNLPYVGVLKPEMYNKKTSN >cds.KYUSt_chr5.38866 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246057352:246067527:-1 gene:KYUSg_chr5.38866 transcript:KYUSt_chr5.38866 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLTGDISVDEDGGDVDGDAFRGHFPVPAACRNRDSCPPDLGFAMAAALEDTFETYHAPPAKRSRKEVVGGKEVTTKHYRKRQMPVASGPALKITKSASGMRPENSEDVPRTSPANEMEAYFATCQEAARKDMWEVMNACVIMHTMIIESEPDEPVQDDQPFDYQGSLAEAVEGSTADFLKILKRANSVIPHVVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSSVEDFIEAIKRPDAIAAGYIGQFIAKPLFGFLFGTLAVATFNLPTAVGAGVMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYLLIGKKLPVDVIGMMSSIVQIVVAPIAAGLLLNRYQEETSIARVGEEQLDMKTDVKLDVKLDMELDMKISHERAREEREACARGEEDVQAGPAPGPTGRQTGRSGPWPGRPGANRTPFIVPDEDRKFSQISGCRPVDRTPDRPTRLPPG >cds.KYUSt_chr2.36539 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225521025:225526929:-1 gene:KYUSg_chr2.36539 transcript:KYUSt_chr2.36539 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYKRRPPLDDPSTPPHFPPLTAPQPPHHSPDSRRSKANRELAAAEALQQSPANQSTSGDATCTWSFAVVHIYPEHTAIPSWSSGYPSDPLPPPPERRDLVGKQTIVVSGHHAKLHIDGLRFVIDEGGGSSLEVGVIRKKRIYSGPVRRSRRIRGRYTDKTPIRQQQRALMVQLGIAREGEIIGDEALNAYLDLFTRPFRPQHLDVVLRLFGWSAEDLQPLVDTPVDCLT >cds.KYUSt_chr6.17272 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108792863:108797429:1 gene:KYUSg_chr6.17272 transcript:KYUSt_chr6.17272 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDNSGDEDGGGVDGDAFRGHFPVPAACQNRDFCPPDLGFAMAVALEENYSHMMMESEHTLVGDGIGGDDGGEDLEIPSPMYLQYYVNEKGVKVYTTKKESPLGVPTQSAHPARFSPDDKYARQRYLLKKRFGLLPTQQPAQKY >cds.KYUSt_chr5.3633 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23568940:23577586:-1 gene:KYUSg_chr5.3633 transcript:KYUSt_chr5.3633 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGREGFDESPVRLIAESEPDDDQTDLDPLCGSHPKQPRLQGEAGDKDTRHRTVRPSAVGLLEVTTSNEVSRVDNILRNTSTVEEPGLVSIHQRVDSGLEPGGKDFGAGFCDAILEGDRPELRWVVGPLRFRKEDKEVKPQESALSYPSSQASEASQLVSQASFDGDGTVVQGKPANSCTSKEHSDDEGDLEENTDPASAKRVKRMLSNRESARRSRKRKQAHQDDIESELRVENASLLKRLTDMTQKYKESSLDNRNLTVDIQTMRRKVNIAEEAVRRVTGTSLLFSTPSDTPGIIVPFSSCVSDAASADAAPTEQSMSHFLQGLLEDDWIKPDLQEAATPLASGEEMASVPGSLRRVTSLENLQKRIHGDSVHYEDVSTFSDHEIPANAQ >cds.KYUSt_chr6.26637 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168879095:168882195:-1 gene:KYUSg_chr6.26637 transcript:KYUSt_chr6.26637 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGLAPDTLSPAMNLVLNFLYAYLPQPPISTAVSLRCAAADNSADRVSSLPDDLLRRVVSLLPAKDGARTTVLSSRWRHLWRSTPLVLVDTHLLPGGDAELRPSRAGAASRAVTDAVSAALESHAGPFPFASLTCSFMDLADRRHLARALVPAPRHQGRACASLRRLCIGTWVFPDTATVPRGAAFPNLREILLGCTIIQDKDLEFVLAVSPVLEMLAVVGSQTQLHARLATRSLRCAQFCLCILEEVAVVDAPCLERLFLWRNFSQRRRRVSKFSTILNIAHAPKLLILGYLEPGVQMLQIGNTIIKAGTKASTRTTVSSVQMLALHLQFEVYDQVKMLPSFLRCFPSTETLIVESEETLEPSSNLGPKFWKDTIPIDCVKSHLKIVYLRELQGTKNEFDFLMFIAENAQKLEKMFIVLKNVLSYTSREAVAAELNALDSANWASGSCKTLFKFSRAHGGGSAWNLKTGSDFSCPDPFCCV >cds.KYUSt_chr4.7339 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43613350:43613951:1 gene:KYUSg_chr4.7339 transcript:KYUSt_chr4.7339 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNQMLAVAAAIAVLFLPALASAVVHPVGDGSGWTLGFDYTAWSESKQFRVGDALDACLLSAVFNYNKANHNVVEVTGPDFKACNNTKGLGAWSSGSDLVELEKPGRRWFICAVGKHCQMGMKLNVTIIAADAPSPAPAPAPSSSAHHKSRRPFVSKW >cds.KYUSt_chr4.36568 pep primary_assembly:MPB_Lper_Kyuss_1697:4:224790193:224808056:1 gene:KYUSg_chr4.36568 transcript:KYUSt_chr4.36568 gene_biotype:protein_coding transcript_biotype:protein_coding MRPERPPLHPPAQSSHEAAEADMDLPAGRLPDPLPSPIIKHSPSMSIQSRSLRSSSVHFAADFRSRSSKPESASPSIESFRTARSGTPGVSRSSTRRSASERLGSQRDLRDEDARFVYINDAPRTNAPPAVLPDNSVHTAKYSIATFLPRNLYEQFHRVANVYFLILAALNFVPQLLVFSPVAGILPLAFVLGVTAVKDGYEDWRRHRSDKNENNRTASVLVDGVFRPKRWKEMQVGDVVRVVANETMPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETMLTPPEALAGVIKCEKPNRNIYGFLATVDLDGRRAVSLGTSSIMLRGCELKNTAWAIGVAVYTGSDTKVMLNNSGAPSKRSRLDTQMNRETIALAVTLVVLCSVVALLAGIWLGDHNDKLGVIPFFRKYDYSSLEVGKYNWFGTGAQVLFTFMSGVIQFQVMIPIALFISMEIVRAGQAYFMVQDDHMFDDKNKARFQCRALNINEDLGQIKFVFSDKTGTLTENKMEFRCASVHGRDFSENDGGGEDRNAVLVDGVRLRPKTPVRTDPKLTALLKDGTGAMAARARDLFLALATCNTIVPIVEEDPADPAAKVLEYQGESPDEQALVYAAAAYGHTLVERTSGHIIVDVFGTRQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADSSMFGIIDKTLNPDVVQATEKHLHSYSSVGLRTLVIGVRDLSQAEFQEWQIAYEKASTALLGRGNQLRSVAANIERNMRLLGASGIEDKLQEGVPEAIEKLRQAGIKVWVLTGDKQETAISIGYSCKLLTREMTQIVINSNSRESCRKSLDDAISMVNKLRSLSTDSQSRVPLALIIDGNSLVYIFDTDREEKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNATFVFVLFWYVLYTGYTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRSTLLKYPQLYGAGQREENYNLRLFIFIMMDSVWQSVAVFFIPYLAYRNSAIDSASLGDLWTLSVVILVNIHLAMDVIRWTWITHAAIWGSIVATWICVIVIDSIPSLPGFWAIYKVMGTGLFWALLLAVIVVGMIPHFAAKAISEHFMPSDIQIAREMEKSQDSHDASHPEVQLSTIARA >cds.KYUSt_chr2.37458 pep primary_assembly:MPB_Lper_Kyuss_1697:2:232053842:232055603:-1 gene:KYUSg_chr2.37458 transcript:KYUSt_chr2.37458 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWCLGPTIAKDVTELIGRTPLVYLNKVVTGCEARIVAKLELMGPCSSVKDRIGYSMIADAEEKGLITPGKSVLIEPTGGNTGIGLAFMAAAKGYRLIVTMPASVSMERRTVLKAFGAEVVLTNPLLAMDDVVRRAEEIAAKTPNSYVLQQFENPANPRVHYETTGPEIWSGTAGAVDILVAGIGTGGTITGAGKYLKEMNPEIKIYGVEPSECAVLSGGKPGPHKIQGLGAGFVPGVLDVSILDEVFQITNEEAVAMAKQIALKEGLLVGMSSGATAAAAIRVARRAENRGKLILVVFASFGERYLSSFLFESIRKEAENMVSEP >cds.KYUSt_contig_528.322 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1961208:1963498:-1 gene:KYUSg_contig_528.322 transcript:KYUSt_contig_528.322 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWCPAESTKPVFVGIFGAILGGFAVSALFFLLSFSSLTAPALPFPAVTTNLSALSTPSQPETMYNRPIWKPPPRGSRMPSPRAFRLTRDMVRARARHGVIVVTFGNYAFMDFILTWVHHLTGLGLDNLLVGAMDTKLLRELYLRGVPVFDMGSRMDTEDAGWGSPAFHKMGREKVLLINELLPFGYELLMCDTDMVWLKNPLPYLARYPHADLLTSSDQVIPTVTDDSLENWRQVTGAYNIGIFHWRPTEPAKRLAKEWKDLVLSDDKIWDQNAFNDLVHKVFGQPVQGEDQLVYSYDGKLKLGVLPASIFCSGHTYFVQGMYQQLRLEPYAVHTTFQYAGTEGKRHRLREAMLFFDQPPYYDSPDEANKNSIGRGFLVKADPGNASIMVQA >cds.KYUSt_chr7.11485 pep primary_assembly:MPB_Lper_Kyuss_1697:7:70456415:70462872:1 gene:KYUSg_chr7.11485 transcript:KYUSt_chr7.11485 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRRHGPAPRLLALLLLLLLAASARAAGDVGDEERAGEAAAAVARAEGVAAALEAGGEAARGNATAKGEGEGSLADMIDRALEKEFPDSEGDQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRSEQEDVPTLIDRKDNVFIISNRKSKYPVLQLDLTLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSTAKLRAVRAVAVLGGLLQIILFMFLCGISATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMEKNSINALHGQVTVGTLVLQDCAVGLLFALLPILSGTSGLLHGVASMAKSLVMLISFLAILSILSRTCVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNLFAALFLASIGMLINVHFLWNHVDILLAAVILVITIKTFIVSIVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQLGLKGDVIRIDSGKRINVIVQGPHDS >cds.KYUSt_chr4.50442 pep primary_assembly:MPB_Lper_Kyuss_1697:4:312542730:312543140:1 gene:KYUSg_chr4.50442 transcript:KYUSt_chr4.50442 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKEMSLVVECPREYVHYNFLVKRGFSDGEPTMFFAEVKPNCKGEGDVYHCTPLEETDSGHCFACNHGAKDLMHPNAGGYLGGHKENGSFHIELVLDSDDDVCYL >cds.KYUSt_chr4.4420 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25314672:25316168:1 gene:KYUSg_chr4.4420 transcript:KYUSt_chr4.4420 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVTIGDLIHRVASSCLSNRFPANYAISDASDLDDEDDDPFADFGDAADAQECRRSPDKPAPRPAGAVEEQDEEVRKLKIWEEGEEEKRRNNVSATAVVAVAGEEVKKKERVERAGDAEALMAEVFDAVSGVRRAYAALQGAHCPWDPDRMRAADAGVVAELRHLARLRDRFRRSAASPGGRIPRASAQPLREAVAPYEAELDDLRRQLQGKQAEVDGLKERLATATSRRNARLHPSKKHHHIAAATDGVPTAELFVACAEQARAATRGFAAHLLHLLRAAGLDPAAATRSITKIPVNSSPHLARHALEAHATAVLLGGFEHESFYLDGSLSSLLDPAAFRRERYAQYRDMRGMDPGELLGVLPTCAFGRYAAAKFASLLPPRVEEAVLGGEHRAAGNGAHPRTPFYGEFLRAAKAVWLLHLLAFALEPPPSHFEAGRGAEFHPEYMESVVVAGRGAGAGTAGMVVGFAVAPGFKLCNAAVVRARVYLVPRGSRQ >cds.KYUSt_chr2.40784 pep primary_assembly:MPB_Lper_Kyuss_1697:2:253375293:253377584:-1 gene:KYUSg_chr2.40784 transcript:KYUSt_chr2.40784 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAASASASPSALFPSPTTAARRRFLHHLLAAPPRPPSLRRCSPYHWMAQFWTEGSLEKNNALVEYLKQYGAVTTDQVAEVMETIDRALFVPKGFTPYIDSPMPIGYNATISAPHMHATCLELLKDRLQPGMHALDVGSGSGYLTACFAMMVGPEGRAVGIEHIPELVVASTENVERSAAAPLLKDGSLSFHVSDGRLGWPDAAPYDAIHVGAAAPEIPQPLLEQLKPGGRMVIPVGTYSQDLQVIDKNTDGSTSVRNDASVRYVPLTSRSAQLQDQ >cds.KYUSt_chr7.19572 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121320132:121320712:1 gene:KYUSg_chr7.19572 transcript:KYUSt_chr7.19572 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRRHALVIAVAVAVIASFARVAAAATSYTVGAPDGLWDMQTDYAEWVAARTFHPGDNITFTYSRELHDVVEVGKAGYDACSSANNVSAFRSGNDVISLTAVGTRYFLCGLTGHCDSGMKIRIDVAATTAGPTAAPPPTTSAAGNTVAGAGRGALLVAQALVGSMAFW >cds.KYUSt_chr4.43280 pep primary_assembly:MPB_Lper_Kyuss_1697:4:268161004:268161297:1 gene:KYUSg_chr4.43280 transcript:KYUSt_chr4.43280 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMASITSELLFFLPFILLALLTFYTTTVAKCHGAHRSKKKRPNLPPGSVGWPFIGETFGYLRAHPATTVGHFMNQHIARSVICGTLEYSVVSSL >cds.KYUSt_chr5.43687 pep primary_assembly:MPB_Lper_Kyuss_1697:5:275049692:275054623:1 gene:KYUSg_chr5.43687 transcript:KYUSt_chr5.43687 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGPAVDRQQQQQPSTCNAPSSSDSCKEEEELKGVRHLELAALDAACRDLGFFQVVNHGVQSQGMLDVARRFFALPQEERARHMSSDIRAPVRYGTSFNQLNDGVLCWRDFLKLLCNPARLDEVVPSWPDNPADLRDVMSPYARANQMLFRELISAALEAMGIIGSGVLKELESGTHMMMVNCFPACPEPELTLGMPPHSDYGFLTLLLQDQVNGLEVSDGEDWLLVDPLPGALVVNVGDHLEIFSNGRYKSVLHRVRVNPTRSRISVASLHSLPTERVIGPAPELLAEGTPRLYLDTDLATFLDYLSSAEGKHKTFLQSRRITFPPS >cds.KYUSt_chr2.48249 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301665347:301669355:1 gene:KYUSg_chr2.48249 transcript:KYUSt_chr2.48249 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLATAAAANPHEEILEVHCVGCRETLEVERGLTEFVCPDCGTPQSLPPELMPPPRRRALPMPRSAADARGARLPCGACGELLSVPVGLSRCACPFCGAELAVNSARLRNYILSSAAAAVVPLAPATVSPIVAARETWQEHSSYAMYAGLPRAEPNARLIPTGRTQIERPSRLIHVHRDEQKYPHHMADREEIPMANETVANNSLQRNRLSPGHRTLGNEERHVFPLNEVRDHVKDQHPSYIVQPKRAKTAHLHRVIHSEEMQEGPLSHEVCREARRTELIYETAVTRRNQRVGCSATPQSLSVEDKHMETPSESIQKAHKHPYHESHAEGSHVGCLDMDGVVHPPFNQANHEPVESDHDDLQDFAPNIEVPSVAMEDDPMEWGPFQRRSASPDFEVSVATANTESVESEQDEHHDVSPDQSRHESEPPDIDGIIADLCPSTPSAHKMAQEISDESDAPDSTTIPSNTDMSDPERFARNYCLEVRRALGKKKPNVFLNRLMSQGSNKASLHDLSDSEEQQEVQKGKKRLSVKVWTLPKTVRIPVSLNTSGLPVGENATMLINFLGVLARDAILAPLTYISWKSIPTENKSVMWHIVKLKFDVDPSHELPVMTFIRNKRRVWKSQLKRTHYDTHVSEEERLADRDPRVPKEHWRVLLAYWSTEKFKAISAAGKACRARSTYINVTGSKSFARIRQEESQSGDPAHENPEGSGGDYASVVGAERRGKTRRHKSGPSPEDMQGRSASQAARTKTKAGDEASKLKEEVVVTEEIRNPQVWAASKDARAERRAEEEAAALRKKVIVMEESQKKLQEDLARMTNAMSAIQNMMSTGGLPNGSMGGPMVPPKL >cds.KYUSt_chr4.10116 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61154805:61165128:-1 gene:KYUSg_chr4.10116 transcript:KYUSt_chr4.10116 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQKHAMHLCFCYALPPPLIGLPHSGTPVNIIVGSQVWLEDPDEAWVDGEVTGIKGGDVTVATTNGKTVVASLASIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRYGLNEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADSCYRAMINEHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKRFKVGDPRSFHYLNQTSCYEVANVDDAREYLETRNAMDIVGISQEEQDAIFRVVAAILHLGNINFSKGQEIDSSRLRDEKSINHLKTVAELLMCDEKSLEDSLCQRVIVTPDGNITKPLDPDSALQSRDALAKTVYSRLFDWIVDKINNSIGQDPDAISIIGVLDIYGFESFKVNSFEQLCINMTNEKLQQHFNQHVFKMEQEEYTRDEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADYFLDKNKDYVVAEHQALLNSSRCSFVANLFPPLPEESSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNTVLKPGIFENDNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGVLAPELVDSSDEKTACAALCDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLSNAVRLIQRRIRTHLMRKDFISLKKASIQTQKFWRARLARKLFEHMRRVAAAITIQKHTRTRSAWKAYIQVYKSSITIQTGLRAMAACKEHRFRRETKAAMIIQTRWRQHKAYVAYKQQKRASLILQCAWRARVARKELRKLKMEARDNGALKEAKDKLEKRVEELTWRLDVEKHMRIDLEASKGQEVAKLQSALQEMQEKLEEAHAAIIKEKEAAKLAIEQAPPKIVEVPVVDNEKVELLTSQNEELTGELGTFRTKAEDLEKKLFEIQKQSDDLSQETQERASKINQLQEMISRLETNLSNMESENHVLRQQSLLASADDDKTKQIESLESKIAILESENQLLRSNSALAAQAAVAPEVIQPSAMKVLENGQQLGELNVINLVVPPIKNLSKQRSLTDRQQENHDVLIKSLTEDRRYDNRRPAAACIVYKSLLHWHSFEAEKTNIFDRIIHTIRSSIENAGSSGELAYWLSTTSTLLHLLQNTLKTSSSSTKGSNRSRTAPGNLFNRMTQNARSSSSGLGISSGYSGMIGRTDTKPMVEAKYPAVRFKQQLTAYVEKIYGMIRDSLKKEISALLTMCIQAPRAGRVRPSRGSLKSIHSSALSRQASSVHWQNIVKCLNITLETMNNNYVPPMIIRKTFGQVFAYMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSATTEEYAGTSWDELQHIRQAVGFLVLHQKSHKTLDEITDDLCPVLSISQIYRIGTMFWDDKYGAQGLSQEVIGNMRTMATDDSITTPNSSFLLDDDSSIPISLDDISRLMLDINPSDVEPPPLLRQNSQFHFLLQQLTD >cds.KYUSt_chr1.4005 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24366084:24368334:-1 gene:KYUSg_chr1.4005 transcript:KYUSt_chr1.4005 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIYLARQAVHPARPSIARRSRVDRARRQLTIAKEDVREEPASEGVAEGETASKKLPLRKNKDKRSELVQVKKEKQLGSSHKTQLPVVAEEPKEDVREELNKEKLHRLDVAEEEVAPEWHASYSVRLRKHHLRRIYKGSVLLDAEVNRLLLYDIDESFIDEYYLKEGEMIYPGATFSCPCHKVEIGEPIFQSKEDIPLPTNNATRTKEERRDEKNRKRLKRKRPDENLERTSNLKKVNKKMMRSPQAKLLRSSIGRFARVASLRKGMSPQAQQRKSESPSVPNVTVHFPSPNLPRAKANSSRFRPRKLKSRIWKEFIPIYEDGKLAEAEHLEKVDMTMKSLFKDYSLGESFVDPSEEVDVDEVAEIDNPLADWEAHLRVQKKQATNELDSPKYPVLSCIARDVLAIQASTVASESSFSAGGRTISDQRNRLKSDTVEALICLQDWLKADDPKTTNKDVEEEEYLVFYQESECHEETEPTDN >cds.KYUSt_chr2.52548 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327820359:327822142:-1 gene:KYUSg_chr2.52548 transcript:KYUSt_chr2.52548 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTTGAVFLALIIALLVVIIILLGVCWKFLGPGIMRRLLRPRRCPSELPEYFSGNMSGNLRTITYFDYAALKRATRDFHQKNQLGRGGFGPVYLGKLDCGKKVAVKQLSVGKSGQGESEFFVEVNMITSIQHKNLVRLVGCCSEGTQRLLVYEYMKNKSLDKILFGKTDIPHRGDGLPFLNWRTRHQIIVGIARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQAYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEIISSLKNTDLTLPNEMQYLPEHAWRLYEQNKILELVDAKVQAGEGFEEKEVMQVCQIALLCVQPYPESRPAMSEAVRMLTMKTDQTIPPPVKPAFLDRKNLNRDNKDADTSTMEMMRSPASYWMMTPSPAVDRPYDMSFGK >cds.KYUSt_chr7.21593 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133907424:133907909:-1 gene:KYUSg_chr7.21593 transcript:KYUSt_chr7.21593 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTRRPARGAAIGSSAAPPLSARRVSLSNAGGGIFSQPRPSNSHLPPARLAPAALVSRGGGRARRACSCSPTTHPGSFRCALHRGSAGGGLQLHDPRRSAMANSLVRIASVEGGDHIRRALASLVRPSSHHQRRRTAFRALPSRLSAVSTTSASPSPPQ >cds.KYUSt_chr7.29421 pep primary_assembly:MPB_Lper_Kyuss_1697:7:183157388:183157873:1 gene:KYUSg_chr7.29421 transcript:KYUSt_chr7.29421 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVQEIKHFPQAKLGRRWRRPVRGFRISPARLSARRLRARLWTMLGLLGRYFRSVRLPLLTRGLVASGGSPASTSVGGKMVLAGGAGRAAGKQVAATSQAAAGKPRRPPCMRSNSFYARAVADCLDFIKGSNTAAPVQDNSADDGVRHAHGQHQVVKAT >cds.KYUSt_chr1.5984 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36765085:36774140:-1 gene:KYUSg_chr1.5984 transcript:KYUSt_chr1.5984 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADRAWEEALMRLEAEDGAAAARQVGGSSREEYQEKHTGCTRAVKLEHSVEAIGQIGQEALVESGGAAESADLNMCHAANGGLSNQALNGEPFYSSDVHCSVIFTADDNHDLQRQGECVPKTETEVSVPSGSGRPDVPLDGNADHAGEVSGDPVACRVTDGGLWNNAPSGGGSRSESSGCIYDMLDKAPEPSQCQEAGFAGQAGYNDSFSDSVKFDIQQLPRDMGSMLFKEDVKCELGKDVLLPKIEAEVSSPAHQGSAPSTSGSNLAVPLDGKAGEVGEISDHKTGMKKVACFSSGGGTLSRDSGFPKEEALGDEIQFSRMDGCRKNRGLGHQDSSAAELSCPNRLALELNACKSVVRKPCSVVFVQNGNVGELENQKSESSSVARRRNPRRSASARNPILEKHDQIYKGSNSAFKSKKAESPCSLVESTVIKFPNKTTKLRSGINRPLKSTAWGSLQKLTGGFGQNCEPSTSSSHLISLENERSHKRSVKKEQPSIRRTRSSRGSKNKFSPLSAIGFASDESNGQPTFSVTTGTYASSEGYTGNLPRLDHHALVNGSDDAHETAQCMSIQTGLQQLNRCLESVTQETCPAYICGDFAKSTSEPSLNIAGVGFSPDSVLDVASATCENNTSANHDAKLRANPSYPAALTENGLHASSLSTSDSGKNHASSSTDLEQWVHTARGDENTRNEDIKPSHTILGYIGEGKVQVLEKSNAARKSKKVGKQELQKKDGMKGKNIKDRGSTKINSKLRAFSDDSYSLVSSAPPNSGSCFEVVTSASQGISVLEHDSNRSPATEFSVENSAIISAGIPRNYACIADGASVLQQPRAAWVCCDDCQKWRCIPTDLADIIGKTNSRWTCKGNQDTAFADCSIPQEKTNAEINAELDLSDASADEADNGGSNSKASKAPSWTHARVNSFLHRNRRNQSVDEVLDVKAYESRQSYYASKGQKHFYFMTLNGGEGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISGSGIIAQDDAEAQHFEPMITYKDAQEMLGDAYYSHGANPNIAEHETSIQQEDSNNGPPATPDLDPHQQTSPILSDTSEPDNSIEAWSPQDAEDVTRTPVHVSRTIESSLQQFPVHAIQPLDFLVKTPNTVEELLAPNVVNRSTPSSDLGSSVVPGSHAKKKNSLKQHRNVKPPCPINIEHTLGVEGRLNSLLDQDGGISRRKDSINEYLRLLFMTAADGDAGGTSKSIRDLALILDALLQTKSGAVLLGIINMNGLQMLHNILKQNRDHFLRRPIIRKLLKLQGLDVEVDPA >cds.KYUSt_chr5.18846 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121850302:121862723:1 gene:KYUSg_chr5.18846 transcript:KYUSt_chr5.18846 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPVGLGLDSGAAMGSDVVGPRDVCVVGVARTPMGSFLGGLSSLPATKLGAIAIEAALKRANVDPSAVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDSLVDGMLKDGLWDVYGDCAMGVCAELCADNHALTREDQDAFAIQSNERGIAARDSGAFAWEIVPIEVPVGRGKPPVLVDKDESLDKFDPVKLKKLRPSFKENAGTVTAGNASSISDGAAALVLVSGKKAQELGLHVLARINGFADAAQAPELFTTTPALAIPKALANAGLESSRVDFYEINEAFAAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >cds.KYUSt_chr3.34212 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214550721:214558471:1 gene:KYUSg_chr3.34212 transcript:KYUSt_chr3.34212 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSSPATTGASSVASAMPRGGVALGLPAHPRGAQTPMGYTGFVPPTPLAHQFNPMHRGPDQPPPPAPQLRQPAPGIQNIGMIGSLSTSQMRPVAVSGPQQPRPGLLSSATPSSSGSQMPGSQKTPMHSLTRPLSMGSPSMALQQTPSNVSSQFRPQQRPQVPQPRPYNVAQSAPVALHQQNVLSGQQQHIPQQQLLQQQQPQVPQQQLLQQQQVPQQQLLQQQQQQQQHQSQAQSSSQQNQQNTTLKNQQQAARTPVSLTQKPDTPATVQANNMQLLDMTSADAAAGESSNRLLSKRSIHDLLAQIDPSERLDPEVEDVLIDIAEDFIESVGTFACSLAKHRKSSTLEAKDVLLHAERSWNITLPGFTGDEIKLYKKPRPNGIGVPPPPKLRTDQWRDAIKARRAQLTAEERLDPTWAANNNDAWWTTYFKAKYDVEMHSTDGLVGGPNSSNKDGRALFWGVPGRTLENVIRDIRNSAPRLEMPSSPPPSPQWQPRRTTYSSSSHSSSSGPA >cds.KYUSt_chr7.20642 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127965108:127969008:-1 gene:KYUSg_chr7.20642 transcript:KYUSt_chr7.20642 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAMGVKQALISLSDKTDLANLGRGLQSLGFSIISTGGTASSLEAAGVNVTKVEQITHFPEMLDGRVKTLHPSIHGGILARRDQEHHLKALNEHGIGTFDVVVVNLYPFYNKVTSGPISFEDGIENVDIGGPTMIRAAAKNHKDVLVVVDHEDYSALLEYLRGQQDDQEFRRMLAWKAFQHVASYDSAVSEWLWKQSNKGDIFPPSFTVPLSLKSTLRYGENPHQKAAFYGDKSLSVYNAGGIATAVQHHGKEMSYNNYLDADAAWNCVSEFENPTCVVVKHTNPCGVASRQDILEAYRLAVKGDPVSAFGGIVAFNTTIDENLAKEIREFRSPTDGETRMFYEIVVAPGYTEKGLAVLKGKSKTLRILEAKESEKGMLSLRQVSGGWLAQESDDLAPEDITFTTVSQKAPTDSELSDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRVDSLRLAFRKAGEEAKGAALASDAFFPFAWKDAVEEACENGIGVIAEPGGSMRDKDAVDCCDKYGVSLVFTGVRHFRH >cds.KYUSt_chr3.36215 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227718588:227720858:-1 gene:KYUSg_chr3.36215 transcript:KYUSt_chr3.36215 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMARAVQDRLPFLASPPPPAPAPARTRNPSLSEMLSLVSAATVDPAGTDDDASGSGSVFSLAMPQPVPCGVDDGNAGCAAPGRTIQFRLAFTDLTYSVRRSRHGGDDADGKLCLPVQLRSDRVTAAATDAHAPRTKALLDCVSGEAREGEILAVMGASGSGKSTLIDALANRISRDALKGAVTLNGEPLTGNILKSMSAYVMQDDLLFPMLTVTETLSFAADFRLPRSLSAAKKRSRVQALIDQLGLRAAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVKVLRRIAESGSIVITSIHQPSQRILGLLDRLILLSGGRTVFSGAPSSLPPYFAEFGFPVPDDENRAEFALDLIRELESSPTGTKPLVDFHRTWKVMHAASPDAAAWAPTMSLKEAISACVSRGKLVSGADVAAGEMRTSYANPFWVEMKVLTRRSALNTRRMPELFLIRLGAVVVTGVILATVFFRLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLQERYVFLRETAYGAYRHVSYVLSNAIVSFPPLVVLSLAFAFTTFFAVGLAGGVSGFAFYTLAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAILAYFLLFSGFFINRDRIPAYWLWFHYMSLVKYPFEGVLQNEFGRAGECFVRGAQIFDNSPIGRLPEAVKERVLASISSSLGYRIAPDTCVATGMTVLGQVAVTQLGKWECLLVTAAWGFFFRILFYFSLVLGSKNKRR >cds.KYUSt_chr2.9633 pep primary_assembly:MPB_Lper_Kyuss_1697:2:60908059:60910706:1 gene:KYUSg_chr2.9633 transcript:KYUSt_chr2.9633 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAVLPAVLAALLALAVSRLWSYAVVRLLWRPRAVASMFREQGVRGPPYRYLRGSNEDIRRMKEEADGVELDVHDHDYLKRALPHFVKWKDQYGGPFLYWFGPKPRICIYNYELVKQILANKSGHFMKNDAHPTFLAMFGKGLVMVEGADWVRHRRVVNPAFAMDKLKMMTRTMVSCAECLIKKWEDQAANNKNREIEVELSNQFQELTADVISRTAFGSSYREGKEVFHAQKELQAIMVATFLDVQIPGFKYLPTKRNIRKWMLQKKLRNTLSEMIQCRVASKESGFGDDLLGLMLEACFAKEQGEEHDKLILSIDEIIDECKTFFFAGHETTSHLLTWTIFLLSVYPEWQQRVREEVRRECGKENPSAEMLSKLKEMTMVLLEALRLYCPLISMLRRPISDIKLGSLNLRKDNEIVIPIPILHRDKEVWGDRASEFDPMRFENGITKAAKIPHAHIGFSIGPRSCIGQNFAMLEAKSMLAMIVQKFSFTLSPKYVHAPADKLSLQPKFGLPVILSPLDVCVYI >cds.KYUSt_chr3.45951 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289330304:289336876:1 gene:KYUSg_chr3.45951 transcript:KYUSt_chr3.45951 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDHGAVVHVFTLGEATWRDVQAGPDARCSLGRSSLVDVDGMVYWMTEFTARVIAFDLEDERVTRTAPLPIHARPSACRLTKVHARLAWPSAAATRRRCGCLEGESWSRRYVLEAYKLRKQELAVPHFAHGDYVLTHGRSGETSVLYRHKMSGAARLQGGGGVVQIERKDKGDSVTSLVQSIYRTFAYTETNESLSITEKWSWSGGSGGLALPLSVVYTGRRSGTGLEAAVALLYLLVVYTVKIHRPSPPGHKAERREGVVHVFTLGDASWRDVQAGPDARCSLGRSSLVDVDGMVYWMTEFTARVMAFDLEDERVTRTAPLPVPARPSACRLTKVHARLGVAVTGGDSLTVWVLEGESWSRRYVLEAYKLRKQELAVPHFAHGDYVLTHGRSGETSVLYRHKMSGAARLQGGGGVVQIERKDKGDSVTSLLQSIYRTFAYTETNESLSMYNAALMTGRRSAGS >cds.KYUSt_contig_605.398 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:1846783:1850467:1 gene:KYUSg_contig_605.398 transcript:KYUSt_contig_605.398 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWSGRRAYCGGTGARRVRIEQPAQHTAGNIFAFVLFVSPLPTFRRIVRNGSTEQFSAMPYIYSLLNCLICVWYALPFVSYGVVLVATVNTIGAAFQLAYTAVFIAYADAKKRLKVSMLLLGVFCAFGLIVYVSMAMFDHKPRQTFVGYLSVASLICMFASPLSIINLVIKTKSVEYMPFYLSLSMSLMSVSFFAYGMLLDDFFIYIPNGIGTVLGVIQLLLYAYFRKGSTDEARRPLLVTHT >cds.KYUSt_chr1.32254 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195908557:195911037:1 gene:KYUSg_chr1.32254 transcript:KYUSt_chr1.32254 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLNSAAPTSLLRRSSSTSTSPPSRRAPHIALAAATERPDLALAFFGRFLRTGLKADIVIVGTLLKVLCHAKRTDEAADVLLHRMTGLGCVPNVFSYTTVIKGFCDDSRSQRALDLLLMMAKQRGGCSPNVVSYTTVIHGFLKEGKFSTASNLFNEMVQQGVVPNVFTYSSMIDVLCKRGRSKEARQILDCAVAKGLKPNVVAYSTMLHGYATEGCLVDMNNLYNLMLREGIVPDQYVFSILVNAHAECGLVDEAFLIFQDIQKQGVKPDVVTYSSMIYAFCRKGRMNDAIQQFNQMINIGVQPDAQAYRCLVQGYCTHGDLVRAKELVHEMMGKGIRCPGVVFFNSMINNLCKEGRATDAQDIFDCMKHIGEKPDVITFNSLIDGYCLVGKMQKASRVCDDMVSVGIDPDAITYNTLIDGYFKAGMVDAALTLFREMSGEAVDAEADGVSLVKQEDSELEEILSDYLGYFPAERDYLSYADLTLNTSVVCGSN >cds.KYUSt_chr7.20056 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124256786:124257208:1 gene:KYUSg_chr7.20056 transcript:KYUSt_chr7.20056 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGSEQAIGGANVAAAAPQKVAPGTGLSDSELGKHVDAKAEADKTMGEEEKAVPETEGTAPDDPTVEEEDDDTEHYYTTDEELKWSEDESDDDDVEFQVVVDRVTAKYNRYMQKLLARDTSVVFTDITFDSEGEAVYA >cds.KYUSt_chr1.30273 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183269133:183270449:-1 gene:KYUSg_chr1.30273 transcript:KYUSt_chr1.30273 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGYETDKNIEIWKVKKLIKALDAARGNGTSMISLIMPPRDQVSRVSKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPSNGLVLYTGTVVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLYGTLSGNSREIVYKFSVDLPKKHGRGGQSALRFARLRMEKRHNYLRKAAELATQFFINPATNQPNVVGLILAGSADFKTQLGKSEMFDQRLQAKILKSVDVSYGGESGFNQAIEMSVEVLSDVKFVQEKKLLGKYFEEISQDTGKYVLGVQDTMSALEMGAVDTLIVWENLDVRRYELKNSVTGEIVIKYLNGDQEADQGNFIDDASSGELDVVDRTLLLEWFAENYQQYGCRLEFVTNRSQEGSQFCRGFGGIGGILRYPADIAAYDDDDMLDDDVYEDFE >cds.KYUSt_chr1.17319 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100582077:100600007:-1 gene:KYUSg_chr1.17319 transcript:KYUSt_chr1.17319 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGSRSGVSRAALALVAAVLVGCLAGGVSAAEIRRQKNVQVALRAKWAGTPLLLEANELLSKERKDYFWDFVGQWKELDKGSECLTAKCCVQKIVEDVRSLLSEALASVFEFSLTLRSASPRLVLYRQLAEESLSSLPVKDDALEQIPGNGPVEETCCWVDTGSTLLFNSADLHKWLEGSGKGELHVHLAEASKQGKVRYALRPVLPSGCQASSSFCGSIGAADAVTLSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPELNAEIMAFRDSLLSSTVSDTLEVWELKDLGHQTAQRILHASDPLQSMQEINQNFPSIVSSLSRMKLDDSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVREELSLADQFIRLKLPQNAVHKILSAAPPAESNSFRVDFRGSHVHYLNNLEEDDLYKRWRSNLQELLMPVYPGQMRYIRKNLFHAVYVFDPASACGAETIDMILSMHQDNVPVRFGIIMYSSRLINVIEENDGSKSEEDTSTLIMRLFLYIKETYSTQLAFQFLSNIHGSRNGGDDYSEEPVEAHHVEEAFVDSLLSGAKSHPQDELLKLQKENLYKQEAEENSRFVHKLGLYKLQCCLLMNGLVHESNEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSFKRYNPSITGKNTEKRFVSLFASYHQEDSVLHDINYLHSHGTTDDVKPVTHLFAVDLSSKTGTKLLHQAIRYLMDGPNRARVGLLLYARRDSVSTILLMKDIIDRTISSFSNTEKALNFLHGLCKFYEGQHMVVSSAVGDSISTIMDKVYSLAAETALPVDDYRAWLTSFSADTVLKGIDKLSDFLFGQLGLEFGSNAVITNGRIFVVGEGDSFLTDDLGLLESMEYELRTKYIHEIIEEVEWTGVDPDYLTSKFYSDITMLVSSSMSVRERPSERAHFEILNAEHSAIKLNIMNSSIHIDAVIDPLSPAGQKISPLLRILWRRIRPSMRIVLNPISSLADLPLKNYYRFVLPSMDDFSSTDYSVHGPKAFFSNMPLSKTLTMNIDVPEPWLVEPVVAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCMEKDREPPRGLQFILGTKQRPHLVDTLVMANLGYWQMKVSPGVWHLQLAPGRSADLYELPSKLVAIDSLRGKLIHIEVQKKKGKEHEDLLNAADDNHFQEKTDNKGWNTNLLKWASSFISGDASLKKKAEKNTALKDARQGETINIFSVASGHLYERFLKIMILSVLKNTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRTDMGELYDMDLKGRPLAYTPFCDNNKEMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTAAGDNLRVVYETLSKDPNSLSNLDQDLPNYTQHSVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGAKRIVPEWVDFDSEARQFTTRILGENAEIAESTSPPSDAPKPDDKDLSQDVKDEL >cds.KYUSt_chr2.28154 pep primary_assembly:MPB_Lper_Kyuss_1697:2:172746629:172747747:-1 gene:KYUSg_chr2.28154 transcript:KYUSt_chr2.28154 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARPSGQADDARMTCSTEPRLYDHVALASWSGTPQFPTAQPAMASKDSAAPVVVDECRGVLFVYSDGSVVRRSGPGFATPVRDDGTVEWKDVEFDAAHELGLRLYRPRERNQQLLPVFFYYHGGGFCIGSRTWPNCQNYCLRLAAELGAVVVAPDYRLGPEHRLPAAIDDAGAALLWLASQAGGGDPWLTEAADFTRVFVSGDSAGGTIAHHLAVRFGSAAGRAELGAASVRGYVQLMPFFGGTERTRSEAECPDDAFLNRPLNDRYWRLSLPVGATVDHPVSNPFGPDSPALEAVEFAPTLVVVGGRDILHDRAVDYAARLRAMGKAVEVKDFEGQQHGFFTIEPWSDASAVLMRALKRFIDTDGRFD >cds.KYUSt_chr3.15113 pep primary_assembly:MPB_Lper_Kyuss_1697:3:92266680:92267597:1 gene:KYUSg_chr3.15113 transcript:KYUSt_chr3.15113 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGAKARAARTVGGWSRADTTAAGRTRGRRDDGRREGGRRGRPDEDPRPRYGEQRASDQERGDWAPPPPWWEWEQQRLREEEAIRARGQLPTATGGRGGGSGGGGGGGLPGRSKKGTSQGAPPNPKNKGKNKAAAGGAAGALGGECFHCGREGHFQSECPNAPVCVLCSREGHASANCPTRGRPMLLQQMGHTITGGGFYNIEVEPLEGTNQEEVFEAVIHFDVAPLSALQLAEELKNLLDGSWDWRVAKVSEKEFSVRFPSRETLRMSTRRGKIYLPLSKLDVDIREAFVNPRPGKAMPPVW >cds.KYUSt_chr7.14961 pep primary_assembly:MPB_Lper_Kyuss_1697:7:92576911:92580300:-1 gene:KYUSg_chr7.14961 transcript:KYUSt_chr7.14961 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQERDYIGLSPAAAAATELRLGLPGTEDAAPDGDGATAGSPLTLELLSKGGAKRGFAGAAGGKAVAEEEDDEKKKAQAPAAKAQVVGWPPIRSYRKNTMATNLSTPRSKDEVEAKQAPVPECLYVKVSMDGAPYLRKVDLKMYKNYKDLSLELEKKFSCFTVGHGESNGKSGRDGLSDCRLMDLKSGAELVLTYEDKDGDWMLVGDVPWRMFTDSCRRMRIMKGSDAVGLGFLVVACNLVCFASDKNIAFICDDLCDPVGCSKGHVTVVAVHRQVLMAVVVRRSHQAVMIFIAFCPKGNCSCLFQLPV >cds.KYUSt_chr5.42966 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270755830:270756781:-1 gene:KYUSg_chr5.42966 transcript:KYUSt_chr5.42966 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRDSGKPLVDLTDDGEAGPSGLVKDEPVDDPVDERVKQEVVTDDMYNFQQYYDASGSRKCLHIASDGEDQRTSPLQITQQITKKKDEEEAPAEEDIAADVPARLRVRDIPARLRHATGDRNRYSTGGRNLPARPRAPREEDAPDEEGIAADVPARPRCPCDRRPPPIRHRRPRPPCESASATPGRCAR >cds.KYUSt_chr2.47070 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294460695:294461928:-1 gene:KYUSg_chr2.47070 transcript:KYUSt_chr2.47070 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAALTVLALVACVAPPCQAGYGYPNPVPSSPSAPSPPPPTPITPSPAPPSPIAPSTPPPNTPPPPTPSSPAPGLAVGYYQNTCYRAEDIVREAVRNASKGIMAGLIRLFFHDCFVRGCDASVLLDTADPNSATEKFGIPNLSLRGFEVIDAAKAKIEKECGTVVSCADIVAFAGRDATYFLSNNKVFFDMPAGRYDGRVSLINETLINLPPPFATVAQLKAGFASKGLTADEMVTLSGAHTVGISHCSSFSNRLTSNSSDMDPNLKSTLQEECRSNNGSDNTVVQDINTPDKLDNQYYKNVLSHKVLFDSDATLLTAIDTSNAVSANAKDTNQWEEKFKAAMVKMGAIDVKTIANGEIRRSCRVLNTN >cds.KYUSt_chr2.1680 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9985111:9989471:-1 gene:KYUSg_chr2.1680 transcript:KYUSt_chr2.1680 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTAGGSPASPAGESRDTSSSSFSGGGEGKKVYVAVGAGESKAMVLWALHRFPSQDDATAATFVLLHVYSKPRFIPIMGNRIPASQVQEQQLVAYKKMELQKISDILDQHLLLCAQQKVQAEKLVVESDDVAQGLVKLISEHRVTALVMGAAANEHYTKNMKDLRSKKAQAVQQRADPLCKIWYICKGTLVYHRKDIPLRNEAMQEDVQKSGDQQLSLDRTTSLSETWCISNTWLQKPNIALQTERASPCRSYDNENEIVKEFDEPENKFQCMLRELDSVWKQAYEEICSRRKAERELVEALQKARDSENLYFGEVKQRNEIEEKMTTTMEEVERLTQKTDKLCAELQDERKKRLALEKRTAHSDRIIKDLMLQCDKAVREVEALHAKKGESSAAAEGTMHITELSCSEIREATNNFDHSLKVGESVYGSVYKGFLRHTHVAIKKLNRENTQSQFNQEVEILSRVRHPNLVTLIGACKEDQALVYEYMPNGSLDDRLACKNNSKPLGWQLRTRIISDVCSALIFLHSNKPHSIVHSDLKASNIILDGHNVAKLSGFGVCRMFTDEFRNTTTLYRHTHPKGSFAYIDPEYVMTGDLTPQSDVYSFGIVLLRLLTGRPGFGLLKDVQRAVEKDCLVAILDSSAGDWPAMQAEQLARVGLSCCEIRRKNRPDLRTEYPKTLVACHPTSSVQYYRSLHPCIYAVQDVMREPLIAADGFTYEAEAIREWIDSGHQTSPMTNLELFHRDLLPNHALRSAIQEWLQTNAN >cds.KYUSt_chr1.22562 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133412224:133416999:1 gene:KYUSg_chr1.22562 transcript:KYUSt_chr1.22562 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYAKNVHADGDEALGTTTISVSAAAGQEAAAGETATAGGVGRRSVRPSPAGTPRRGRAGATPARTSAAGSPWAASPLPEGIAPSPATSASTPRRFFRRPFPPPSPAKHIKASLARRLGRRSPAAAAGAKPPAEAPIPEHGAGAGGEADRDLDKSFGYDRSFAVKYELGKEVGRGHFGHTCLARARRGDMRGQVLAVKVISKAKMSTAISIEDVRREVKILKALSGHSNLVKFYDACEDGLNVYIIMELCEGGELLDRILSRGGRYPEEDAKVIIEQILSVVAFCHLQGVVHRDLKPENFLFSTRDEHAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWASISPEAKDFVKRLLNKDYRKRMTAAQALSHTWLREDESRPIPLDMLVFKLVKAYLRSTPFKRAALKALSRAITEDELIYIRTQYNLLQPSSKDGRICIENFRMALLQNSTDAMKESRALDILSALEPLAYRRMDFEEFLAATVSPYQLEASPRWEEIASTAFECFEQEGNRVITIEELAQEMNLSSAAYSIVRDWIRPSDGMLSFIGYTKFLHGLTMRSSNARRQH >cds.KYUSt_chr7.35133 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219527023:219530380:-1 gene:KYUSg_chr7.35133 transcript:KYUSt_chr7.35133 gene_biotype:protein_coding transcript_biotype:protein_coding MPMALERGARLGRGVPPPAAACGGSSSAGRGSDSRAEAVHVEEAEEGDGEVQSSLRGPFDTMDALQDSLPCRREVSEFYNSKSSSLANDGDVVLSPQSSKGLANPETPSPKKRKGALPFGINDNETQSKELSPVGDDTNSPTTCRKPLNTSVTGRSPCKTRNGNERDSCKNLPCQCLQRRFTDMNDFCFPPVTPQIQLISVQMGSISAVGQDVAESSRVVSPREKRRKN >cds.KYUSt_chr4.34650 pep primary_assembly:MPB_Lper_Kyuss_1697:4:212873408:212874289:-1 gene:KYUSg_chr4.34650 transcript:KYUSt_chr4.34650 gene_biotype:protein_coding transcript_biotype:protein_coding METASPPYRNRDSRLYKGIVPLWKYRSAVITVMLVTTCLMSLVIVLCWHKSIFLAIGFIVFFGTIEALYFLASLIKFKEGAWVPIVLAFFFMMVMCIWHYGTIKKYEVDLQNKVSNLPAFHQVICTFLFF >cds.KYUSt_chr4.27973 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175793702:175793968:1 gene:KYUSg_chr4.27973 transcript:KYUSt_chr4.27973 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRLVGLEQFETAEGFNKFVNHLIVLRGHLPLDKLEIQVRQSEAAVSYPYANLWIQYALICKVPVLDVFCESGVVDSIQTHSASCL >cds.KYUSt_chr6.3205 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18764577:18767235:1 gene:KYUSg_chr6.3205 transcript:KYUSt_chr6.3205 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPYRQPCTELLRRHPVDAQAEEDERALQCDWVSNLPDDLLLNIIERLDVADAMRTGILSRRWKLIPTMLSKILIEVGSTDNEQKRTCDVARANATVLGATRSLFKSRIASPYTIHHLCMQFFLGAGSIRIGRALANTIATQKVGVAEFTILTEKEGKRCSINDRFAYGRQFNSLINFCGDTFSGLTRLKLENLKLGGSDFPRIFRLCKRLEFLHLDNCDMGFRSLMEVEHPRLRELEIFRSDFERVDLNWLPELTTLTISFWISLHDPLSCGYVPLLHTVSIRNPALSWHKMLKLSEFLGKATVSNLTLGFEKEKIWVKPEDPRDLWPVFNKLRLVNLAAISEECDLTWTMFILQGAPSLEELRIRVCDCLGIWDEEEREKHAYSKERKDASAKWEASGFKHHNLSVLRIFGFQSEDKFVDAYAPAVKASLPILRK >cds.KYUSt_chr4.34750 pep primary_assembly:MPB_Lper_Kyuss_1697:4:213463853:213464932:1 gene:KYUSg_chr4.34750 transcript:KYUSt_chr4.34750 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGPSSDGKNGGDAPSRSSSAIVAKTVVGSHDLKIKGYCLTKGLGNGKFITSETFAVGGHRWYLRYYPNGWRSSDIGWVSFILFLDDNDASGVTAEYKVTLLDQHGNPVPLYMKGTRKTFSSKKAPENSHAIISHRELERSVYLKDDVFSIRCDLTLLSILAEVAPPLDVQVPASDLQLHFSQLLSRGEGTDVTLEVGTETFAAHRCVLAARSSVFRAVFLGSMKEKADARVRIEDTEANVFKAMLHFIYTDSLPLVEESDAVVMAQHLLVVADRYNLERLKCICDATLRRYMDSTTTATTLALAEQHGCHGLKDECLKFLASPGNFKEVMASDGFVHLMKSCPSLHKELAANLPSS >cds.KYUSt_chr1.15967 pep primary_assembly:MPB_Lper_Kyuss_1697:1:92807149:92808944:1 gene:KYUSg_chr1.15967 transcript:KYUSt_chr1.15967 gene_biotype:protein_coding transcript_biotype:protein_coding METAVLPPQHANPIQATGTRSLAGTQARNAAAMAATRKFPAARRKTLCDITNLRQPLAAAAAEEGPRCADGAEAVARLVRENSDLVRLLEERDKFIEASGAEMQNLRLANWQLAQANSQMLAELNLGKNRLKSLQHELTCSRAALKVKSSELEEAKKVIRSSKQQQQKSANEMARQLAADRAAAAQLKDGDAEPASDALRVASASKPACNPSRKRLMRSRSLGPTVAPTKPVAASKERESAQRRKSMRTPQPSGRREDLFEIEDVQLAVSSGNDRKETAWGQESSTQFPRRSSLGRPLRRATEKVTSYKEMPVNIKLRRCSQCALWCEILDVLRLFVLLRCVKMA >cds.KYUSt_chr4.47547 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294327224:294328869:1 gene:KYUSg_chr4.47547 transcript:KYUSt_chr4.47547 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGESAAEAEHIIVVGAGPAGLAVSLGLYRKGIRSVVLESSPALRASGYAITTWRNAFRALDALGVGDKIRKLHLHIQGLRVFSSSTGEIAHELDFTAQENGGPHETCRVRRDLLLRAMEEELPPGTIRYSSKIVAIDHDVGSHTKVLHLADGSTLRAKVLIGCDGVNSVVARWLGLAKPSYSGRLATRGLARYPDGGHGFQPEFLQFIGQGFRFGFVPCDETDVYWFYTWCPSQNGQINVLVAGHHLHFILISQWIDSIEMSKHSCVVDLSADKGVDESAATMKQYVLNSLRSSKVPAEAQEVVERSEVSDASAARLQFRSPLSLVFASISKGNVCVAGDALHPMTPDLGQGGCSALEDGVVLARCLGEAVVAESEAGTEEERIESALCKYAGLRRWRSICLVGTAYMVGFVQQSDGAIVSFLREKVLAGALARSLLRMADYDCGTL >cds.KYUSt_chr4.26224 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164888743:164894485:1 gene:KYUSg_chr4.26224 transcript:KYUSt_chr4.26224 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPEELLGLIEPAPPSPSVFLDHPSMTHGDSGLPQDDQTLANISRILMEEDDIVDKFLYQYPDHLKLQQAEQPFAQILSGASTTSSNAQESSAPDTLASTVLPSKGNNMDDKVCRFFSREVQDPTFYLNGTCVVEPNNMVFPGEGSTSMDMLSSMAFLKGTEEANMFLPRDNAVVDGRGRKNKFSMSGDTEADLGRRSKQIVVLVHTDTDEDAIALQKLDRLILNGYDKYLNSMQEVVRVTLDKENKAAQLSIYRRGRRGMRQLAVTDLETLLIHCAEAVASNDRHGARELLLRIKWHSSPAGDARQRLAHYFSQGLEARLAGTGSQLYGALMGKRTSVVELIKGYHLYVAACCSLKVSLLFSNKTIYTSVAGRRKLHIVHYGINTGFQWPELLRWLADREGGPPEPGLHPAEQIEEAGRRLSNCARQFGVPFKFRAIASKPEAVRAEDLQIDPDEVLVVNSVFFFRILMDESVTFDKRIFRPTLFCYSALFFSLVVNGAYSAAFFMTRFREALYYFTALFDVMETTIPRHNEKRLLVERDILARSAMNMIACEGADRVERPQNYREWQSRNQRAGLRQLPLDPNIVLMLKEIVMNEYHKHFTVNEDHRLLLHGWKGKVLCAHATWAADDASGSELA >cds.KYUSt_chr1.35166 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214439312:214444691:-1 gene:KYUSg_chr1.35166 transcript:KYUSt_chr1.35166 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAHGQANGQTLKHAWAFWLVSGQVASERTVHTFSTVDEFWSLYNNILHPSKLGVGADLYCLKDNIEPKLEDPICANGGKWTISCGKGKSDTLWVHTLLPLIGGRFEHEDEICGAVLRVRRTQDMIAVWTKDSANEPAQQIISNQWKGFMDYKDSIEFIVHDSGATTVQCWSTKLPQDLFGLIYNRVPDPRSRVRFAAVCKSWFATASRHPTPPALPWLLLLPRDGDKMKRLYCHEENQILRVPALRGFKDHWFIGCHEGGWVATYDPGPFKIVNLFSGFEVALSEKQKWIPRSGRLCKTMIWNIIFSKPPTSSDCILAAITDVSPLALCRVGCPDGGWTIQERRYSVGLADITFWNGELYGLTLEHFDLVKFEIGINKDGAPLVTGVNRPVVTTEHQVPPLDVWYNKVDVSYIFDLKGKLGMAVKFPWSPNFRPYFKVFNLVHTNTGERMADCTFKWEEVTSLGDFALFLGPNCSRAVRVPEDRHGNVQRNRIYYIHRSCLGRNVVPEDDLVFLAISNDNGDPVYFKEDDHDGVDMIRAHNAIATKDNLLKRNWNGSASCQFWHQDESLHNVVDEGNIKAGADNLLRLAAAAAATSSAPSGRASLRILAITDVVRADPEEEDMEADDAAN >cds.KYUSt_chr2.5725 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35496647:35504373:1 gene:KYUSg_chr2.5725 transcript:KYUSt_chr2.5725 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVGRAVRKAFPGFGLFSGVVESYDAQAGYFRVLYDDGDSEEVDADEMASILVGAPMPPQPETPGGSAGKRPKKRRRGDEDSSSPQGTVSVLLLGESAAIDVLSNGDVLATPSAAVDGLSKKRRVDPDPESSRPVRRSARQAKAAALAAEMEAAAAETSPAADSMSPVQIAAATPQQSSRKRQRASGSGRYRSVARDLEDAAAAADRMPPKPELPPSSQGLDLEGLPVLDVFQVYSCLRSFSKQLFLSPFALDAFVSALRCVHVNPLIDWVHFALLRSLKSHLEDLAHEGDPPAIHCIRNLNWELLDLATWPIYLAEYLLTRGSELRYGVKLTDLMLDTEYYRQPATVKLELLRSLCDDVIEIEAIRSELGSRMSELDGNDDSYRITGVRRKRRVSSVKALADPSVPLEGSDDVDDGNSDECYLCGMDGNLLCCDGCPSAFHSKCVGVVEDLLPQGDWYCPECSMQKSNGSRNMSKLVRGAEVLGTDPHGRLYFGTCGYLLVVDSCDADSPCHYYGQIDLHSLVTVLIPCHHSYNSIVNVISLFRDMATETSNNNGRYENSKECSTSENGTDCRHSSMKQPHERGQCMRVKDGSSQQLDSEKVCTLNSDQDAAHQNEKPNGASQCNASSANKDSCNSQQDDVCLHANGLSAENQKGLSPQKEISDYCLHSDPARYINYYSFGQIAAAAAAELKLKLSENVEGKKLGQDAVSFRLKTICKKHVNIFALTDKKLSAELLKEKCGWCNSCQISGGTDCVFRVTDVKCMESTKPCAVGLLSEKNQESHIVLATHNILSIEERLNGLLSGPWKNPQYSIYWRKAVLMASDVSSLKQPLLMLESSLRRVAFSGDWQKPADSVEVVGSAAHILVRSSNKSLGDAIARKPGKKPLNVELKVDSRDVGVYWWRGGTLSRQVFHWKRLPQSLASKCARQAGRKKIPTIVYPEGSQFARRLKYIAWRAAVEMAQNVSQLILQIKELELNIKWTEILSTLYSATSTKETQKIARLFKKVIIRRKRIEATNIEYLLDFGKRENIPPVVAKHGIKLEEPSSERNRYWLSESHVPLSLLKAYEAKGITRSLKKIDKDDLPKNMSGFGPKKPKRSVFDDLLEKAKKRPNRLCGQCYKTVIDREAVNCRYCEALFHRKHFNVPRGAVDTVYVCNKCLAEKVPPMKSPQKKAGSKKSSPKKKQKTQPRKSLRRRNQIVINLKKKAVRKNGKHGRLRKNLLTVSKNESVKVPDSQASNEPKSEPAKRISKRLYNKYMIGNSGRSKPASCRKRKRTALHYSYWLDGLRLTHNTDDEQATSFRKARVVFPSEDVKISETSPVCRLCNKCYSGDAIYIACENCEDWFHGDIYSITIENVNKLVGFKCHACRLRAIPVCPYAQADMIVEDQSDKEDDIDMSIEDEDHNGPKHLRTSDGLKELHNNIEELHGNNIEKQVGDRICLEVLENSNDLKEPGSHSTEKQLDDSNWLKEPDSCNKMEELDSHSSENGPHDHISLKELDNHWGDKERVDLNFLSELGCDSNVKDVDNGDGPEEFGTTEDSSNFASGKTQILKELDNHNSLDKLDGHLKKPDIHNSMEELDNCNYQKELGNQNSLNDLDGHKNLKELHSAQNGKFTPVTCADGFLVEQFNTSVSSNEAMIMTSENDSVKESIALQSKGSPEDAVLPAEHEMDLQVSLSIY >cds.KYUSt_chr7.30442 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189545338:189547741:1 gene:KYUSg_chr7.30442 transcript:KYUSt_chr7.30442 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVWGSFSPMKCKVFAWLALRVIRSANLRIADHGYTGNLERWWTEARKRVRRIDRKLFDSMVISTLWKQRNARAFGNEREQKTVDQMLVEIREEFHLWEREERREASPTNSTPARFYLGIWYNGIPELTVVWVANRETPAANGTAPILSFTNASNLVVSDGNRGGRVLWTTTNVAAAPGSMAVLLNTGNLVIRSSNGTALWQSFDHHTDKLLPGMKLHINKYNKSRGDEERLVSWKSPNDPSPGRFSCGIDPATLLQIFLWDGTQPVARGTPWAGNLVYSLGQNHQANGSTEVIIYMAVEESDQDIYFSYSLSDGASHTMLVLTYSGEFQTQSWSSKALAWEVLEKLPGHECNRYGYCGKHGYCDETTGPVATCKCLDGFEPINKEEWTDRMFSAGCRRKMRRGCGGNFLALPGMKWPDRSVLVGRDKGTSEECAAECSRNCSCVAYAHANRSSGRSGGDVTKCLLWAGELFDTGKVGELGGETLFIRIDGSDAAGAHGTKSLPIYSMICFINVLTRWTK >cds.KYUSt_chr1.20541 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121221958:121233078:1 gene:KYUSg_chr1.20541 transcript:KYUSt_chr1.20541 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFIPAYFKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYTPPPSTFNVLLGSYCASFQDGSAPASRGGGSGVSPVTLAFSVAPPLRTLNEVIRRIVPEFRTEMAKISCFSTLLAGRRQKRVVAGTEKRGGGERPRVKPVEDSIHATPTAAPHVDKCGDKIERRDVKIVSDVAAVVAHKGGDTDVMSPAKTDTRSDDFDFHPHHGSDNHGAEKHATVTVPDDAEITNGGAVPDAVIVSGEEEEAAAAAAEVDPSAKLKRSCSNIETKRPVPRHATDMPARSRSYGDLKDLPGGVSKDTMSRGVPDASPASVKTSRTADRVMLKRRSSSQVLPSRSRKLWWRLFLWSHRNLHRPWSARPSDDAGAPGRHGGGYTSDTHEEPDRKNKKAMVDESPPPPVPNQWVAFCAENSLSDRVSAWVSTIDNECLRISEDDDDDNDQSMEQGDCVASPRAIVAGEPSGKENGKSKRCAAANEVAQANSIVQSLTAFSSVAHISGMGLKVMPMIAPFSSLRAVNLSSNFIVHISPGSLPKGLHSLDLSRNKIANVEGLRELTKLRVLNLSYNRISRIGHGLSNCTAIRELYLAGNKISDVEGLHRLLKLAVLDLGFNKLTTAKALGQLVANYHSLLALNLVGNPVQTNVGDEALRKAVTGLLPHLAYLNKQPVKPQRVREVATDSVARAALGAGAGGRRRASRRLSQSPGSSSTSRSRSKGRQHHASGLPTRK >cds.KYUSt_chr7.5027 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30017713:30018655:-1 gene:KYUSg_chr7.5027 transcript:KYUSt_chr7.5027 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVWRIRGVVEANPLQADEGRKFTLEFSEEGDMQHVIRGGPWQYRGDVFLVEMLHPGADPCCVGSLFMIDNHSRGSIFDKFLRARVQLPLYTALQKWLILEDEITGEEVKVQLCYERLPNFCLFCGFIGHMEARCDLHPTARKLNFSQDLRVPAVHFDDPRAWFLAEDMGRPRNQGRLEASNLWRATAPTASSPDQNIVVATVADDVAKLSMSYDKNMTVGKLAPATVATTTTNTTAEAVECDVILKASKHTEAGGSTVAIDKIVSATADELGYSVAEDAA >cds.KYUSt_chr2.40974 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254595346:254596567:1 gene:KYUSg_chr2.40974 transcript:KYUSt_chr2.40974 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRCPNPKRLFRRSSSKGSSSGSASSDDGSDAGSIVRGSGSGEIEWEVRPGGMLVQRRDCRGDIEVITVRVSTGFSSWHEVSIGATCTFGELKLMLSMMTGLEPREQRLLFRGKEREDGDHLHMVGVRDKDKVLLLEDPALKDIKLRAAALAAQAVQSPYRTFIQV >cds.KYUSt_chr1.32522 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197516474:197517849:1 gene:KYUSg_chr1.32522 transcript:KYUSt_chr1.32522 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLDGCYSAKDVSYSCGYCGYELNLSSSTRNTANIGSKYGKQIRKGVISFFVIDESRFTQTDEVSCTSRCSGGFFRNRTRLVCRKCGGHIGDAYEDEDSTFDGSLDGTHTSSDGSSLSIRKKYVIKINALQPSSDDSGVPSTL >cds.KYUSt_chr5.6350 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39295813:39296635:-1 gene:KYUSg_chr5.6350 transcript:KYUSt_chr5.6350 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDARTLKEEVASMDRRPLLDLGHPLLNRVTDSFIRAAGVGAARAVSKEAYFVTVEGLGGDNTGLDNVKRNTHFSSARGDDGQKSLDAVVKSAGKEAIQWGLAAGVYSGVTYGLREARGCHDWKNSAIAGALAGAAVALTGDTGHADHVVHFAITGAALSSAATMLSGIF >cds.KYUSt_chr4.40778 pep primary_assembly:MPB_Lper_Kyuss_1697:4:251868466:251869121:1 gene:KYUSg_chr4.40778 transcript:KYUSt_chr4.40778 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYVKMIMLFGNETGAGDTLQRSLFSKAFRMRELGHFYLSFCQGISNEIDNYAKIVAHKKMDFGIDVNVRIIAV >cds.KYUSt_chr7.35567 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222240600:222253555:-1 gene:KYUSg_chr7.35567 transcript:KYUSt_chr7.35567 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSSGVSPQKQQHNARRKQLIRQQRKSLPIASVEKRLVEEVRTNDTLIVVGETGSGKTTQLPQFLYDAGFCQDGKVIGITQPRRVAAVTVAKRVAEECNDQLGRKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQKSRSLCSNNNGETLSDRQDPQSSSLKASQGIKFAPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDTLYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLERLIQERARLLPPDSSRIWITPIYSSLPSEQQMNAFKPAPSGNRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCFRLFQECEFDKLAESTVPEIKRCNLANVVLQLKALGIDDIIGFDFMEKPSRTSILKSLEQLILLGALTDDYKLSDPVGKQMARLPLDPMYSKALIVSSEFKCLEEMLIVVSMLSVESIFFTPREKLEEARAARKSFESSEGDHITLVNVFRAAAECLEKSKNANAKEKTMEKALNRWCWENFINYRSLRHARDVHSQIQGHVQQMGLNLSSCGDDMVQFRRCLTAAFFLNAAMRQPDGSFRAIATGQSVQMHPSSVLFRTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATED >cds.KYUSt_chr7.36119 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225752463:225753182:-1 gene:KYUSg_chr7.36119 transcript:KYUSt_chr7.36119 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPIRQADLVPPPATKSLSAPHQLPAVSQAACKPPQPPKLRIWSKDRLAIRSTMTGSSRSSASCHRGKAAARAAQIRPNRARAARRKAARQSAAAADHHRPARNRRAPSHFRTGAHLGEAVLIRADVVRAEQQPHIADDHHRSSGPPPRRTTAPTPA >cds.KYUSt_chr4.15400 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95106402:95107217:-1 gene:KYUSg_chr4.15400 transcript:KYUSt_chr4.15400 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIPRAITSPSAQFPAKTRLLLPAPAIATALTLHRMARKAASTVTAASKKAATAASKKTATAAIKKAATAASKKVAPTMTAASKKAAAASASKKAGPTATAASKKAAPTVAAASKYWLLKTEPGEWSWSDQASAPGGVGPWDGVRNHQAMNNLRAMRCGDRCLFYHSGAGAASRRVVGVVEVTREWYEGEEGEATAGGAVDVRAVGEFQKPVGLAEIKKAAGEVEGMKDFALIRQARLSVMPVPVKIWDWICEMGGGFVQDGEVEDETVG >cds.KYUSt_chr3.26877 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167685951:167688772:1 gene:KYUSg_chr3.26877 transcript:KYUSt_chr3.26877 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSSRDQEREKIYQVKKMAVVTVLRALVAVVTFVTVDNSIKDQLVVVPKDGNFTHGYGYPRVPYPHGQELANAGEDDGVSETHKNQKNDALNPIGRQETRKLKKRIKTIRLGVDTDASMQEERCIEHRLCELFEREEVIARQWSRGEWLREVRVNGELMDHIIPTRGLRQGDQV >cds.KYUSt_chr6.1778 pep primary_assembly:MPB_Lper_Kyuss_1697:6:10743365:10744878:-1 gene:KYUSg_chr6.1778 transcript:KYUSt_chr6.1778 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRSGGGGGGGGGGCGGGGGQQQEHAAEERDEKACADAEKGDAAASGCDGNDKDKDTQKAGDDKDKGAGAGCKDDKGKEKKPPPPLPVVTAVLKVDMHCHGCARRIRDSVRRYAGVEGVAMEVDKGSMTVVGRFDAKKLRDRVARKTRKKVDLVGSNNSNTNKGGGGDKKEKGNDGEGKPEKKDEEGKELDKDSVHAAVLHARQVLDRIPVRICIFALPAQILHACHIFDPILHPSIYAHSHQSFTVRDRLSVSTRVEQVRMDFPKNQVTVIGTMDAKCLPEKLRERLKRPVDVVAPGKDKDKDGKQQGEGGNKDKEGGKQQGDCKDGKQSKEAAEKAMAAELALWKTDYYDSQPLQATQFLLSDENPNACAVM >cds.KYUSt_chr3.40479 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255278540:255279115:1 gene:KYUSg_chr3.40479 transcript:KYUSt_chr3.40479 gene_biotype:protein_coding transcript_biotype:protein_coding MSESWQRSRPWPRDSAMTPSEPDAAADARAGEASTLRDFGTSMDAISFGFAATAILISIFLLMAIFEHLIKPQAFPASHSSPGHRRRNHRSPGKLQSPPMVETVMQTVDLSVLMPGQRYPTYLAQPAPLPRPPSCPREGVHWPPHDHHASYMPP >cds.KYUSt_chr4.23266 pep primary_assembly:MPB_Lper_Kyuss_1697:4:146566188:146566910:1 gene:KYUSg_chr4.23266 transcript:KYUSt_chr4.23266 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRMSNFALQMALNLVRNDDELGPLFASLCSPEFPAATMETLVLKLGDQLLRHWREPGGIDGVVARFVFPTHYTIPDPPVSADARLGALLPHDAVDRLSRLPDALLGNIVSRLPVKDAARTAALSRRWRPVWRSTPLVLLDADLSSATSAVSCVLATHPGPFRCVHIRSFYTDEFTGLLTSWLRILAVKGIQELVLLNSRWPHAIHLPSTFLDMVSLVEVSVMIRKSSLNPDGSSDRDR >cds.KYUSt_chr4.45919 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283991281:284006134:1 gene:KYUSg_chr4.45919 transcript:KYUSt_chr4.45919 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFAALGFAFYVFFLPFVGGKTAQYVALGLYTPLIRLPNCQSNFCPVTTSPFLLQITSVVALYIWCAATNPGDPGICKSTSHSKLEAADNSEKLSSMLGGKDSPSWPRCSQVLCFLCLPFSCLCKGCLHSEDQRSEENASEEGMFFCSLCEAEVLKNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFILMSSAVLLLVVQWLSGILVMILCIVKRGEFSRQIDSKLGSSFSTVAFVIVVATCTILAMIATIPLAQLLCFHILLIKKGISTYDYIIALREQEEDQQEEVAGHQSPQMSIISSVTGFSTASSFGPLHRGSWCTPPRLFLEDQFDVIPPEIGMSQNPGSKKMKVADGARRRNGAVKISPWTLARLNAEEVSKAAAQAKKKSKILKPVARQDPPIIHENRRGRFPAELSLDPLARLSASGTESNYSDAGMEASAILAPLQLEARSAFQPSTAASSRIAASSPGSSFDSPDLHPFRISSSTADEMQGVMSHPAHIEFTRSTSDGYEASGGEDSDRIPSRIVHRSANWANSILHSGQAATAPDQHMPSYEGFLNNTSTMTEAVLLAIKKIGNALADETAKQLVAKLSEKVSNLIDLDDKIDHIRKQLQTMNNVIEQIGTTYLTDKTVKGWIEEVRKVAYRVEDVMDKYSYHCLQMVEERFLKKYLIKGTHYVLVFSQIADEVVKIEKGIDQVIKLKEQWLQPSQLAHDPYTEMERQRSQDSFPELVTDEDLIGIEDNRRMLTEWLYSDEIDSKVITVSGMGGLGKTTLVTNVYEREKLKFQARAWMVVSQTYTVDDLLRKLLRKIGYTEQKGSCNIDRMDAYDLKEEIKRMLKDRKCLLVLDDVWNQEVYFQIRDAFQSSHGSRIIITTRSNHVAALAPSTRCLDIKPLRDAHAFELFCRRVFYSKRNHECPSDLKKIATSVVDRCQGLPLAIVTMGSFLSSRPPTQYIWEQICNQFRTELSRSNHVRAVLNLSYHDLSGDLRNCFLYCSLFPEDYQMSRENLVRLWVAEGFVLSKENSTPEEVAERNLMELIHRNLLIVKETDELGRVSSCMMHDIVRDLALCIAREERFVSANDYAAMTKMDRDVRRLSSCGWKENSAPKVKLPRLRTLVSLGSISSTPTMLSSILSESSYLTVLELQDSAITEVPELIGNLFNLRYIGLRRTMVKSLPDSVENLSNLETLDIKQTNIEKLPRGIAKIKKLQHLLADRYVDEKQSKFLYFVGIQAPKDLSKMQELQTLETVQANKDLAEQLKRMTRLRSVWIDNISSTDCANIFATLSNMPLLSSLLLSARDENEALCFETLKPMSKHLHRLIIRGGIKGTLKCPVFRGHAANLKYLALSSCHFGEDPLGMLASHLPNLTYLKLNSVHSAAILVLPANSFPHLKTLALKQMPDVNEIKIMGGALPSIDALYVVSLSKLDKVPRGIEYLRSLKSLWLLGLHKDFRTQWDKNGMHQKMMHVPEVRV >cds.KYUSt_chr1.36145 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220343449:220344844:1 gene:KYUSg_chr1.36145 transcript:KYUSt_chr1.36145 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPLLSAHKMGEFNLTNRVVLAPLGRCRSYGSLPQPHNVLYYDQRAAQGVLLIAEANAVSETSRGYPHMPGLWSQEQVEAWKPVVDAVHAKGAAFFCQIWHHGRVSPPEFQPNGQAPISSTDKKVTPQVIHDGSIVEFAAPRSLKTVEIPDIVNEFRIAAKNAIKAGFDGVEIHAANGYLIDQFMKDGVNDRTDEYGGSLENRCLFAAEVIVAVAAEIGADRLGVRLSPFADYMDCVDSDPEALALHMICIMNGIGILYCHVVEPRMCVNENDGKLMIPYRLLPFRKAFKGTFMVNGGYDREEGDKAIADGYADLVAYGRLFLANPDLPS >cds.KYUSt_chr3.2531 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14535896:14537854:1 gene:KYUSg_chr3.2531 transcript:KYUSt_chr3.2531 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTSWALHLLSLHLLLLAATNKATTFSIINQCSYTVWPAAVPVGGGKKLDPGEAWVLNVPAGTTGGRIWARTGCSFNGNGNGSCQTGDCGGLLACTGYSQPPNTLAEFTVGQGQMKDSFDISLIDGFNVPMDFLPVPVEGRSVCKGSRCPANITLQCPSELKAPGGCKDACTVFNQDRYCCTGNAASNCSSTNYSIFFKKMCPDAYSYPKDDSSSTFSCPTSTNYQIIFCPLTNQTISPAAVSPLPTPAADIPSASPSPLPAPIGPTSKKSLTASRVPMVLAPVGGFILLTVLFIFTLLIYKRRTRRHQEMNEEEEFGGLQGTPMRFTFQQLKVATEQFTEKLGEGGFGSVFKGQIAEESIAVKRLDRAGQGKREFSAEVQTIGSIHHINLVRLVGFCAEKSHRLLVYEYMPKGSLDRWIYFQDDNNAPPLDWSTRCKIIKQIAKSLAYLHEECTKKIAHLDVKPQNILLDHNFNAKLSDFGLCKLIDRDMSQVVTRMRGTPGYLAPEWLTSHITEKADVYSFGVVVMEVVSGRKNLDTSRSEGSIHLITLLEEKVKSDRLADLTDNNSYDMQAHKQDAIQMMVLAMWCLQIDCKKRPKMSEVVTVLEGKMDADTSIDYNFVTTGQVNVGIAGNVNPSAPPLNSDVSGPR >cds.KYUSt_chr4.13947 pep primary_assembly:MPB_Lper_Kyuss_1697:4:85828876:85833341:1 gene:KYUSg_chr4.13947 transcript:KYUSt_chr4.13947 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTALIKLSARSFGLPPFAGGRAPAMPVKAEDLVPHPCHEQFRGLDYCITSPPPWITTVIVGFQHYLVMLGTTVIIATILVPLMGGGHEEKAVVIQTILFLSGINTLLQVHFGTRLPAVMGGSYTYIYPAVAIIISPRYLIIIDPFERFVFTMRSLQGALIIAGVFQAVIGFFGIWRVFIRFLSPLAAVPFVTLSALGLFYFAFPGVAKCIEIGLPTLVLLIIFAEYASHYFAAGSFVFGRCAVLVTVIIVWIFAEILTAAGAYNERNPVTQFACRTDSSGLIHAAPWVRFPYPFQWGYPIFCAQDCFAMMAASFASLIESTGTLIAVSRYAGATWVPPSVFARGIGWQGISIILDGMCGTLTGTAASVENCGLLALTRVGSRRVIKISALFMIFFSLFGKFGAILASIPLPIFSALYCVLFAYSAAAGLCYLQYCNLNTLRTKFILSISLFLGLSIPQYFREFETFYGFGPAHTRSLAFNVITNVIFSSPATVAAILAYLLDCTHLYWEPQVRKDRGYLWLEKFKSYRHDVRSEEFYALPYGMSRYFPSL >cds.KYUSt_chr1.31324 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190051728:190052606:-1 gene:KYUSg_chr1.31324 transcript:KYUSt_chr1.31324 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDWHDFVVVETIEFADNEYEGLPVPLTLEELKRRKRMETLREDDEPAELAEPAKDDAMEMDDDEMQLVEEGMKAARLQENEGGAQVMVTGDDEPPMRIVKNYKRPEERMPAERDPTKVVVSPITGELIPISEMEEHMHISLIDPKYKEQKERMMAKIKETTLAPDDEISRNIIGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGWTATQAMSMGGEEQQFDASNVRGPAPLPQPGMPLPRPPQPLPLINVPRFTPNPMPYHIHPRLIICKEFHI >cds.KYUSt_chr6.32063 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202548198:202549025:-1 gene:KYUSg_chr6.32063 transcript:KYUSt_chr6.32063 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGWLLLVLLLLRLEGRRSIGNAAFPNNLVVLAPTFPRSLGAGDALLSGRGGKGGSRSGVEKRSSVPPLSGRGGLEEVEQDLLFLDLGGGGSCRRSGSRWWAFWLLALLACRGGEEERCGEPAAPQGQRRRPLPAWCYSSEFFQAAGELAVSIHRREDGNSTTSDEEAMICPRRGCSKPLSCEVIRSPQDGGGPRQRILVGRGLPSSWPLLLGGDAWGTPAIGGGGAQGPDRVSSFCLRVLVVISGGPFYNLWFLCAIDAIGPPCKLYLPRVI >cds.KYUSt_chr6.26229 pep primary_assembly:MPB_Lper_Kyuss_1697:6:166247141:166248711:-1 gene:KYUSg_chr6.26229 transcript:KYUSt_chr6.26229 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAACADEEACMFALQLTSSSILPMTLKNAIELGLLEILVAAGGKSLTPTEVAAKLPSAANPEAPDMVDRMLRLLASYNVVTCLVEEGKDGCLSRSYGAAPVCKFLNPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKVYGMSAFEYNGTDPRFNRVFNQAMKNHSIIITKKLLELYHGFQGLGTLVDVAGGVGATVAAIAAHYPAIKGVNFDLPHVISEAPPFPGVTHVGGDMFKEVPSGDAILMKWILHDWSDQHCATLLKNCYDALPAHGKVVLVECILPVNPEAKPSSQGVFHVDMIMLAHSPGGRERYEREFEALARGAGFAGVKSTYIYATVWAIEFTK >cds.KYUSt_chr4.2393 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13365343:13366194:1 gene:KYUSg_chr4.2393 transcript:KYUSt_chr4.2393 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAAPREAQAATDGVHQWRRICAAVTYGHRGHSVLRCCERRSLLNLLAGEPIWRPFCDSVKAFIVAPKSSGSVPDNGAGGHGVECIFICGGVGLDCFSQFLFEGEANAYTNQYANTPMPMIGDTTATRNGNEDGLQHKSLDDDSSTQTKFPAQAGNPDDLEVNRLDDDASMEMNNRDQQRDLEDQLPHFFPLSLA >cds.KYUSt_chr7.5785 pep primary_assembly:MPB_Lper_Kyuss_1697:7:34640372:34641592:-1 gene:KYUSg_chr7.5785 transcript:KYUSt_chr7.5785 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTRISARYGAHASATAIAAGSCCADLRFLLPLVRYPIPRFCVASLSGPAFLSGTLDVAALGILASIPAGARPPDIRFTISNFNGEPDGEAGSTARPLVL >cds.KYUSt_chr4.33570 pep primary_assembly:MPB_Lper_Kyuss_1697:4:205906202:205908004:1 gene:KYUSg_chr4.33570 transcript:KYUSt_chr4.33570 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAARDGQTALALRLTKHLAPTDATGKNVAFSPVSVHAGLALVAAGAGGATQAQLLSFLGAPTADGLAAFGRHVSERVLADRADSGGPRVLFGGGVWIDQSRGGLKKEFQDIAAKSYRSEARTVSFTEEPEEAVEMINSWVKKATNNLIDSMISRSDITADTDLVLANAVYFKGSWLDPFNFCHTSPGKFHRLDGSHVEPSFMSKLKTMYISCMDGFKVLKLPYGPEGVYEYIEGTSYKIHRGPRATSVKSGNATQFSMFVFLPDKRDGISTMVDLITSAPGYLYSVLPTETKLVSLEVPKLEISFDWNLGKDLRQLGLTLPFSTEAGDLRGMYKNDDGRPTFLTKVAHKAVIKVNEEGTEAAAVMTSLRGGGGPPPDMVKFVADHPFTFMIMEERSGVIVFAGHVLDPTCK >cds.KYUSt_chr3.879 pep primary_assembly:MPB_Lper_Kyuss_1697:3:4589591:4590581:-1 gene:KYUSg_chr3.879 transcript:KYUSt_chr3.879 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPAAAADSSTHMKNNEADHDQSKKQDDFMDWEAYLLSQRSRTVYAQYLRCRPMWLLAAAAGYDDGNRVVMPQSALDRLGSVEVEYPLVFKIHNAANPHQASHVGVQEFVAEEGFVHVPTHTMARLGLHHHHHDHLVLLTCTSLPKATHLKLRPHTSGFLTVKHPKELLERNFARYSCVTVGDTVTVVADGDARYLLDVVEARPAHAVSTLETDCEVDFLPPLDYVEPARASAAWKSTKEFSVGPGEVATVDFEPPADMVGGRRFSGKVGQKTGDGDKGKEDGRFTGRKYSLRD >cds.KYUSt_chr2.43801 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272638693:272639943:1 gene:KYUSg_chr2.43801 transcript:KYUSt_chr2.43801 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIFGLSKLAVCSPIFRPAGANLAVIDASRNPGRSSRGFPLPSHALSSLTSHRHGLPPPFSLEGLAQLHRVITSGWTLPPVDIAEIGSAAMELGGAAALPCCGPRSEAPASAVESMKLGGAIASLGLLCFR >cds.KYUSt_chr7.9386 pep primary_assembly:MPB_Lper_Kyuss_1697:7:57133633:57136832:-1 gene:KYUSg_chr7.9386 transcript:KYUSt_chr7.9386 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSNASPAGSGEPLLPEAAPPKGHGRDRSAMMQRTTSHANDELQWFRSCLRWVCMDHSAPGRAALSWLLFLLLAVVVPAVAHFLLAFRPSRRPFDAVVQLSLSAASGASFLCLSSSFRRIGLRRLLYLDKLQTKSDRVRLNYTARLAFSFRLLASLVAPCFAAEAAYKAWWYATSADRVPFFANDVLSDVVACSLEMASWMYRSAIYLLTCVLFRLICHLQGLRLEDFAGSLLVEVEEGRMGVERVLREHLDIRKQLKVISHRFRRFIVAALLIATASQFASVLLTTRHDSIDDLLHTGELALCSVVLMSGLTIILSSAAKITHQAQALTGQTTKWHACCTIEPAPEEEVDPGSNHNSMVEEYSESESDCASSEETGDDDILENTRFLQPHSTVISFQKRQALVTYLENNKAGITVFGFTLDRAYLHTIFMLEWTLFLWLLGKTIGFS >cds.KYUSt_chr2.54020 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337226655:337227059:-1 gene:KYUSg_chr2.54020 transcript:KYUSt_chr2.54020 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPTADVTAAREATGRLLMQPSIPRGARPRNVVEWLKDQLQQEHWARPQEGRAISRGDWFENHRSQPRRHDHGNALDWLKDAPAVGTLGAAAGTPGDRSRSLARRPLAAGVRPPSKLQGCELLRPPCSYA >cds.KYUSt_contig_1781.90 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:538094:549367:-1 gene:KYUSg_contig_1781.90 transcript:KYUSt_contig_1781.90 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQQEEERSPAPMDAARRRLRVVSGHFQPHADATGGLDANPTAGEYAHAYMPPFLYCDVIDAFVEGYGVVLPEKLQTGKWNVYRSSRSPLRLVNRFPATPDIGTLHENFVYAVETFTDCRYLGTRVSTDGTVGDYKWMTYGEASTSRTAIGSGLIYHGIPEGARIGLYFINRPEWIIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFVTQMPCVRLIVVVGGDDVNTPPSTETTGVKIITYSRLQSEGKMSSQTYRPPRPEDVATICYTSGTTGTPKGAVLSHQNLIANVAGSSLGIKFYPSDVYISYLPLAHIYERANQIALLHYGVAIGFYQGDNLKLMDDLAALRPTVFASVPRLYNRIYSAITNAVKESGGLKERLFRTAYNAKRQAIINGRNPSPMWDKLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFGGDVLEGYGMTETSCVITTMDFGDKLIGHVGSPNPSCEVKLVDVPEMNYTSEDQPYPRGEICVRGPSIFCGYYKDEVQTRDVIDDDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFVYGDSFNSFLVAIVAVEPDVLKAWAASQGIQNEDLRQLCADPRAKAAVLADMDSIGKEAQLRGFEFAKAVSLVPEPFTVENGLLTPTFKVKRPQAKAYFAKELADMYAQLREAESARQKL >cds.KYUSt_chr1.28479 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172022289:172023944:-1 gene:KYUSg_chr1.28479 transcript:KYUSt_chr1.28479 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAPDFQPRTFSIKLWPPSDSTRLMLVERMTKNLSTESIFSRKYGLLGKEEAHENAKRIEDMCFASADEHFKTEPDGDGSSAVQLYAKETSKLMLEVLKKGPKTAAEQEAPVIDTPVEVADTVFDISGGKRAFIEAEEAKELLSPLTKPGNSYKRICFSNRSFGIGAANVAGPILESIKTQLTEVDLSDFVAGRPEDEALDVMRIFSKALAGSVLRYLNISDNALGEKGVRAFTELLKSQGDLEELYVMNDGISEEAAKALSELIPSTEKLKVLHFHNNMTGDEGAMSIAEMVKRSPNLESFRCSATRIGSDGGVALAEALGTCTGLKKLDIRDNLFGVEAGVALSKTLPKLHDLVELYLSDLNLENEGTLAITDVLKQSAPQLEILEMAGNEITAEAAKGLAECLIAMQSLKKLTLAENELKDSGAVTIAQSLQEGHPDLKELDVSTNMFQRSGARCFAQAITNKAGFVLLNINANFIPDEGIDEVKEILKGGKNSLDVLGPLDENDPEGDPEDDDEEEGGEEDDADNGDGDIGSKLQDLKVEEEDDD >cds.KYUSt_chr1.38816 pep primary_assembly:MPB_Lper_Kyuss_1697:1:237134714:237143266:-1 gene:KYUSg_chr1.38816 transcript:KYUSt_chr1.38816 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQSWRKAYGAIKDTTTVSLANINSDFKDLDVAIVKATNHVECPPKERHLRKIAAATSIARPRADIAYCIHALSRRLSKTRNWIVALKALVVVHRLLREGDPTFREELLNFSQRGRILQLSNFKDDSSPVAWDCSAWVRTYGQFLEERLECFRILKYDVEAERLSKQGQGPEKGHSRTRELESQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMARHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREPPQTFLVTMEEYVRDAPRMVPVRDPLEFPERLLLTYKPEESEEVSEPDPVEEERPPVEEPVPEQPVAEVVSPPSKTVVADTGDLLGLNDPSPGVSAIEESNALALAIVSSDASTSTTSTTGWQDKGFDPTGWELALVTAPSNTNSSAADSQLGGGLDKLILDSLYDEGAYRQTQQQQLYGSSAPNPFMTNDPFAMSNHVAAPPSVQMAAMSQQQQMPPMIHQNPFGPPVQPQHPGAAPAFNPFLDNGFGAFPAANNSQQQANPFGGSLL >cds.KYUSt_chr2.40977 pep primary_assembly:MPB_Lper_Kyuss_1697:2:254608589:254611857:1 gene:KYUSg_chr2.40977 transcript:KYUSt_chr2.40977 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSRFWASLWYFIKFLPYFCGLFILGLIKGVLLCPWGCLIMAIGISALILGLWPMHLIWTYYCIVRTRMVGPVVKLLLLIAATVILILWLIIGIPGSAFAGLLYGFLAPIMATFDAVGEGKEKPFVHCFVDGTWSTITGSCTVVRDLKDLLFHSYFSIMDDLRFQAPPNGKPYEIRLLHIPGALLSAACGLILDGIMFTLIAIYKCPVMLFKGWKRLIQDLIGREGPFLETACVPFAGLAILLWPFAVLGAMLASILASIPLGLYGAVIAYQESSIVMGLSYAISSVSIFDEYTNDVLDMAPGSCFPKLKYRKKEGSSHGGHLSKPASFDKEKQEGKKPLARVTSFKNSIDEFNPFKMLDHLFDECKRQGEVLVNEGVITMKDIQETKSGKVGSGVLNVGLPAYVMLNALLRSAKANSDGLLLIDGAEITSENRPKSTLFDWFFDPLMVIKEQIKAENFTEEEEEYLKKRVLMIGEPSNLKDTLPHVPSLNERKRAEIDAFARRLQGITKSISRYPTSKRRFDVLVKALLLELERTMGGSLPANGSQALKLRGGIARMLSQKSVGKTANIIDEDPEAQITRKARTP >cds.KYUSt_chr3.40518 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255598280:255601858:1 gene:KYUSg_chr3.40518 transcript:KYUSt_chr3.40518 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSRRALVKRKAAAAQERVGAAADAGRKRRLYGFSASLVVASWVALLLLNSFIGHGDGQRDGGDPVVARPVADPSINEGYVSSGSVHQNHEESLTVADDTCVKLDESVALSEETLLPEDELCSSDGAQSDDNEAVTNDNRIEPSEDQGEQEAPAKDGQIEPSESQVEEEAPTKDDEIEQSKVQDDTPLMKNIGSGAHPAEKVDAEDVPKPARLARVVPPGLDEFKTRAIAERGQDASSQTGHVIHRREPSGQLYNYASAAKGAKVLDFNKEAKGASNILDKDKDKYLRNPCSAEGKFVVLELSEETLVDTVALANFEHYSSNLKEFEMLSSLVYPTENWETLGRFTVANAKQAQSFTFSEPKWARYLKLNLLNHYGSASYCTLSMLEVYGMDAVEKMLENLIPVENRNVESDEKSKDPVEQTPVKEPNGGKDSSQEPLDEDEFELEDDRTNGDSSKNGAHDQVIETRTLQAGRIPGDTVLKVLMQKVQSLDVSFSVLERYLEEVNSRYGQIFKDFDADIDSKDALLQKIKLELKELQNSKNHLAKEIDGVLSWKLVASSQLDQLVLDNAILRSEFDRFRDKQVDMENRSLAVIFLSFVFGCLALAKLSIADVSLFLNSSLG >cds.KYUSt_chr2.8401 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52892025:52893632:-1 gene:KYUSg_chr2.8401 transcript:KYUSt_chr2.8401 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPESGEPTGNALEECLRLLDELPSAAASSPAFRRHWPFISASLSSLSASLSHPAFPPSAPLLTPLASALSALLSVCSGPPLGHLHTVSLLSSSSASLSQLAADARLLVSPPSAVGDGDGSDTLLPRLRLGSAVSRAAALDSLSESVGSLPAPSTAAAVSAVAAMLDSGDLLPASRDKAVSVLAAFASSDAARRFLIQESPTVVPHLCRALESGGASAEQACLALEPLTASSRDAAAVVASRGGVAALLVVCAAGTPASQAAAAGVLRNVAAFPDLLPAFRDEGALPLLLQLVSLGTPRAQELALGCLQNLTASDTDEGQRLKVEAFQEGALGCVKDLLESCRGDEPGLAPAFGLLRNMASFRYIAEIAASASFVGHVVAALGSDKATTRAEAAMALAELCNVGSGKARKEVGDAMPRLVWMLEAKIVTERDAAARALAALVAASSYRKLFKKEEMGIVNAVQLLDPAVRGVDKRFPLSLLLAVSRSRRCRKQIVAAGACGFLQGLVAAEVDGAKELSECLGRGKMLGVFPRT >cds.KYUSt_chr5.37293 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235837875:235848247:1 gene:KYUSg_chr5.37293 transcript:KYUSt_chr5.37293 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMTQQHRGRAILQLAAVLLLASPAAMLAAAGACESATFPAGKSYTTCSDLPTLGATLHWTYDAADSSLSLAFTAKPAATGGWVAWGINPTGDGMKGAQTLIAFKQTGAYVVNTYNLTGYGPLGAASTPIAYEATELAADDGDNGTVRLYGKLQLPKGMVTVNHIWQVGSAVASNAPAKHAFGQENLDAKGSLSLTGADAPEAAPAPVAGAPLSGESGDMETQVAASPAPSGGKPSPSPSAAAYASSPVLVVLLALAGFLAIPSPSSPVTSPLAFDQKTEMSTIRVPRNMRAKRELLKHAPKLVENGKKMIILHGTKTSAVLNSVLADLFHLKRDHAVKFTKKNDNIRPFESGGETSLEFFSLKSDCSLIVYGSHSKKRPNNLVFGRTYDHHIYDLVEVGVENYKSIESYAYDKKFAPKLGTKPFFAFIGEHFESVEGLKHLKEMLLDHFKGEVVENLNLAGVDRIFVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVIRRNRRPSESLQKEAMKAPGHAKKLKNVSNNPIDGKLGRVYIPDQEVSKLALTSDIKGLKRERRDAKKNKEHSKKQKMAVPLLTTKIVKKRTKHFKRAHSDRYIGLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTQKRKSIVERAAQLDIVVTNKLARLRSQEDE >cds.KYUSt_chr1.23709 pep primary_assembly:MPB_Lper_Kyuss_1697:1:141205691:141210968:-1 gene:KYUSg_chr1.23709 transcript:KYUSt_chr1.23709 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLPIALALAQKHGAGGEPAWARPWRWAKTAFFLVAMLASLLLVCAPPLLVVLLDLALPPALLSAHLRAGSNPPYPSFVPAMLDHARAFEFRSSLVDLPAVSAARALLILCAYTVCGGGGAYLWVVVASVAASVSYVLAKAAAMRVALQGKGPEPMLLLSLSLAAAHLAVAYRTSCRERRRLLVYRIDVEAARMFLPHQLADHAAVLAVQAITVHRTMGKRHHDMLAEALERVAAGERSQAGHGPVPASIVKLAAVWFSHESVVSTGTV >cds.KYUSt_chr2.54883 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342253049:342261962:-1 gene:KYUSg_chr2.54883 transcript:KYUSt_chr2.54883 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAQENPSRRRQDLAPAAAAGGSRLTADGAAVLQRPRWLRRRRAPLTSCTASSPGETGLLPGPRAAEGLMPRLDPVEERHGPLAWTSRRGFTDPTSTSTSTAYLSLRLKLLASEELRLKLLASEDLHLKLLASLDLRRRLQDPVFAVDTMNGWLLTDCWHRAEMASVEYFNLVDLWEKYHKWSVYGGSCVADPRCFGEDYSMDFWSDDEDKEKMSRSHVFFEVLLMEGSPHMHKDMENHEGGMGQIDLDHTSVGNSMQDCSGSHLAAIHPGYGAQAGGTPSDSQALIPSGGEWMMAELQRQLLLTNWFFPPVAFSNPVQAGSGSKCSGSETVPPYPYGSYAPPPYPYAPYGPYPPPPPEASARSSESNAAETIVPPRPKRLDWTTADEEKLVNAWIFNSKDSVAGNCKTGNSFWGQIAATFNSTSDPARRRTSKQLKDHWNAYNKEVSLFNAYHIQEEALRQSGTDDAMVMKAAMERYVNDKRVTQPFRRHHWWEAVRNEAKWKGQHGPGSGTDSTSKRSRLGVSGEYSSSDATTEEERPTGRDRAKAAARKDRRRGKESSSSSEVGSKSFAMKNMWNGLVKAKLLKQWNKMKDRSTADMNEAEKRKHAKAIQMVENELGLEDDADEEEEED >cds.KYUSt_chr6.22171 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140084130:140086686:1 gene:KYUSg_chr6.22171 transcript:KYUSt_chr6.22171 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLKAREWNVSKAHKMLVESLNWRIQNEIDSVLERPILPVDLYRSIRGSQLVGLSGYTKEGLPVFGIGVGQSTYDKASVHYYVQSHIQINEYRDRIILPMLTKKFGRPITTCIKILDMTGLKLSAMSQMKMLSSISTVDDLNYPEKSETYYIVNVPYIFSACWKVVKPLLQERTKKKVKVLSGCGRDELLQIMDYSSLPHFCRREGSGSSKHLPTDVDDCFSPDHPFHKELYGHTTEQSSHKELLKMGSLHVSIPEPDPDDAKIVEVIEAEFHKMGGQNGSTNGHKV >cds.KYUSt_chr2.12894 pep primary_assembly:MPB_Lper_Kyuss_1697:2:81642303:81647001:-1 gene:KYUSg_chr2.12894 transcript:KYUSt_chr2.12894 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHAASDPSAVPVTVLRHSTRLAPGFLHLLICDLLPFNSMCRDKAGRRKHRPAKRVQHTDGRDARIKKQLRQVELSPSSYDTAWVAMVPLPGSPGAPCFPQCVEWILLNQQDDGSWGINEFGSSANKDILSSTLACVLALKKWNVGHEHMRRGLHFIGRNFSIAMDEQIAAPIGFNVIFPGMLRLAIAMGLQFPVRQTDIDWVLHLWDIEVKRQDGKKSCGREAYMAYVAEGLGNLLDWNEVMKFQRKNGSLFNSPSTTAAALIHNYDDKALGYLNLLVSKFGSAVPTVYPLNIHCQLAMVDSLEKIGISHHFSTEIKGILDMTYSFWLQRDEEIMLDVATCAMAFRLLRMNGYDVSSDELSHIAEASAFHDSLQGYLNDTKSILELYRASKVIVSEDELILDNLGSWSGSLLTQKLLSDGFPRISTYAEVEYALKFPFYATLERLEHKRNIEHFDARDSHMLNTEYLPSRVNQELLSLAVEDFTFSQTIYRDELQHLDSWVKENKLDQLQFARQKLTYCYLSAAATIFPPELSDARMSWAKNAVLTTVVDDFFDIGGSKEELENLIALVEKWDEHHKDDFYSEQVKIVFCALYTTVNQLGSMASAVQNRCVRNHLIETWLQLLRSMMTETKWQITQYVPTVEEYMTNGVVSFALGPIVLPALYFIGEKISECVVKDQEHSELFRAMSTCGRLLNDIQGFEREGSEGKLNSVSLLVLHSGGLMSVEGAKEAIQKNIVASRRDLLRLVLKEDTVVPRACKELFWKMCKILHLFYFQTDGFSSPKEMASAVDAVINEPLKLSS >cds.KYUSt_contig_786.295 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1651641:1652656:-1 gene:KYUSg_contig_786.295 transcript:KYUSt_contig_786.295 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPACSLVQCVRRRRIRLADQGDSVRLSSRRRGVRYRLRERTGGCDVVFDCTKRWFQNVLKEALSGDTAMQVQHGPDVYNSSYNVNKNEHKVSPDF >cds.KYUSt_contig_2097.257 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:1322218:1323549:1 gene:KYUSg_contig_2097.257 transcript:KYUSt_contig_2097.257 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDPDLSRILPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGIHAMLDSFEPIHGVLLCEGEDIDPSLYDAGADNDEDGLTPEQLEAVRRLHPSDAAVDHEKDSIELLLARRCLERGIPFLGICRGSQVLNVACGGSLYQDVHQELPSRASETAVCHMDYANYDGHRHPVRVLPGTPLHEWFAESLDGGEMMVNSYHHQGVRRLAERFVPMAFAPDGLVEGFYDPDAYSPGEGKFIMGLQFHPERMRKEGSDEFDYPGCAKAYREFVRAVVAYEAKLAAAASGPVRGRWAVTAATMPKLSHAMEKQRKVLVRSLSLAKNMYFGGSDTQKPAAEAERRDLDAGAEFLETAALSSQQEKRLKQMGATVRNASGYLNSTLKVSEDREAAARALMAKMSAAQLSSLAAFYRAMGAICADLLDAKLQPTSPTLHE >cds.KYUSt_chr2.829 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4860989:4862389:-1 gene:KYUSg_chr2.829 transcript:KYUSt_chr2.829 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVARNYFNELVNRSLIQPVAFDMQGSVTQCKVHDMMLDLILLKSAEENFLTILDGSQIFTRSEYKVRRLSIRLNEASIGGTILPRNISMSQVRSVMYFGSSENQNTPPLSMFKFLRVLFIDLDEPIVDFTGLCKLYQLRYLWISCTVSSYQLPTQIRALQHLETLILPNLYSLPYDIVHLPHLMHLDVDFKSVLPDGIGNMKSLRHLGYFDFERNTLDNIRDLGQLTNLRYLALACGHLPHDRERRMDALCSSLGKLCSLEDLLVNVTHSSNVCIEGLKILSPPTTTYRLERLFMPNPHFFRVPSWMRVLRNLGHLECRVNELLKDGVGILSELPFLANLNLMIQNVTNEMIVIYGKGGFPALKRFELRLSSASYLAFEEGAIPMLRRLKLVFNASGTGQSGDTPAGIEHLLALEELLAEIGCANAEESDMTSIESALRSAINMHPSNPRVGIDFKNYNLTFVE >cds.KYUSt_contig_319.582 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3705890:3707207:1 gene:KYUSg_contig_319.582 transcript:KYUSt_contig_319.582 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFQLRNHHGHAVMEHRPPPPPAARVSVFRRLLVRVSSAERVQAGDGKDEKPPDTEVGSLGLDRMVLSFMEDAAAVDSRPPQRGRCNCFNGSGHHDSSDDEAFDFLPSGHSAPSSASKALAGDTLEALKSASVAERNLLADASRIAERCRKGGKKKADVRRAVADGLRALGHDAGVCKSRWDKTPSFPAGEHEYIDAVLGETSTARLIVEVDFRSEFEVARSTKAYRAVLQTLPSLFVGTPGRLGQIVAVAAEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRCSDDNKPPSPTPVSAASFTGEFELRFDRKTKGHESSPARDEDGSIVEQKITVVVSPSPWRPVGPEARKKRPIAGLASVL >cds.KYUSt_chr4.17870 pep primary_assembly:MPB_Lper_Kyuss_1697:4:111885824:111889923:-1 gene:KYUSg_chr4.17870 transcript:KYUSt_chr4.17870 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGVKHLCGVLLSFCCDIDLKQPRGLEDPELLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPSAPPDEKINFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >cds.KYUSt_chr3.21766 pep primary_assembly:MPB_Lper_Kyuss_1697:3:133883907:133888625:-1 gene:KYUSg_chr3.21766 transcript:KYUSt_chr3.21766 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYVLEPPTKGKVVVQTTAGPIDIELWAKEAPKATRNFVQLCLEGYYDDTLFHRVIKSFLIQGGDPTGSGTGGESIYGAPFSDEFHSRLRFNHRGLLACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSVFNLLALADIETDKDDRPVYPQKILSVEVLWNPFDDIVPRQIKKIQPAAKADADGKPKKKAVKQLNVLSFGDEVEEEENEAASFVKDKIKSIHDVLDDPRFLKVEPQIEQLSKEEEEKKNETVLSIREALISKKTDFREPEHDSENDDSPEDENEEDFDNRMRSRILKKRRELGDTRPSENSKKDKSHRKDKELPARRSDDEEEEEDDDDHQSSKSRKLSLKKKGIGSEANTERMSRGDVNLQLLNPAEQEKHLKKQRKRSLQGREEETLARLQKFKASFLSNKPANMETKADDGEDYKEWHANRLTFETSKVRPRPKSWLVAVLRWQRDILAMADEGHHGIACGARLRQPLPP >cds.KYUSt_chr3.35906 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225522012:225522446:1 gene:KYUSg_chr3.35906 transcript:KYUSt_chr3.35906 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAEFRRVFAAFDQDGDGKISEAELRLCMKAALGGDMSAEEVQALMATADTDGDGFLDEEEFVRLVEEAGTREEEGDRCREAFGMYEMQGRGCITPLSLKLMMSRLGLHLDVGECQAMIRRFDMNGDGVLTFDEFKTMMMMG >cds.KYUSt_contig_786.357 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1941146:1943209:-1 gene:KYUSg_contig_786.357 transcript:KYUSt_contig_786.357 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNSAGEPAPLVRRQKARIPGAAEKSLKSRKRDVIIDSMGFCPRGRTRLSDQPNETTTECRKPELGLLPSSDEHYAVAFLDWKWRESHDHTRKYYIHVFSSETNAWRRSKAAPLQLPDSDSDQALFDKSISNSHAKSWRSTSRARITYKDRDPDYSPEYFCDVVCSVDLIRFVETDFDEPSRRTNGHGWRATTWNIKLDWDDWRRRCAVDADDITVDQSYSALLPELLDEETQQLKLKKLNFSFPTLGVCNDNLLYMLVAGQGGSKRRHGLVRRR >cds.KYUSt_chr2.49481 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309681329:309682555:1 gene:KYUSg_chr2.49481 transcript:KYUSt_chr2.49481 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGVAARKRFGGVGFALGCGCKDPKAVAVAVGVAASSPRSGTEASTATTATSRRARTHPSGSGSTGTLTVPSASSSSFLWEDAEADGDEVEFKRPSSATTPSFSGLLRELRELEQSVKSSCARKSLERNHSSPPPPPPLPPQLPPRPLQRRADKASSIKEGHGDFSPPSPPPPPPLPPPLRPPQRRVVRGDDKPSIKEEGHGDFSPPRPPPLSPTQQHRRVRSIDKVNGHEGDPRFTPLPPRPLPPPPPLEPLPRAKTEEEDASAKKKIEDTTKRASTPPKHRKARSCDATTGANNHYRLDGSVAVVKQSEDPLGDFRRSMLNMIVENGIVAGDELRELLRRFLALNAPRHHDAILRAFAEIWDEVFAVPAAAETQPREQPTFKQAAAPRQRTPPRRRPQPPPAWRV >cds.KYUSt_chr3.24133 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149843620:149847866:-1 gene:KYUSg_chr3.24133 transcript:KYUSt_chr3.24133 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMSALMMVVVVMLAGAGRWTCRCEFTVVVPDSGPLVDAPQPGFSDRARTDPAEQRAVLEVMAATGNGWASGIADVCRGRWHGIECVPDRGDVYHVVSLSFGALSDDTAFPACDAARATLSPAVLALPHLRSLFFYRCFSANPQPIPAFLGRLGPAFRSLVLRQNGHVGPIPAEIGNLAALRVLDLHGNHLTSAIPATIQSLNHLQLLDLSYNRLAGQVPNIRFRHLSILDLSHNALQGPVPASLGQCRSLLKIDLSQNRLAGTIPDALGDLSDLILLDLSHNALSGPIPAAIGRLSTLRSLILGDNRMQFSTVPGDFFTGLKALTTLVLSGMGLEGSLPESIGELSQLRVLRLESNGFTGVIPASFRRLEKASELRVDGNRLVGPIPFGKQMMWRLGKKLRVGGNEGLCYDAKQEGLEGVVALAGVADCDSVRSRTTQHLSSKNSGGYVGHGGLTANATSSAANSGHNGAGVRRSVHVLLVVLVFLQLALLGSSMLDLATSFYKDLFKKVVPSGFRIAGDFFSPEECVSAEQNRGLEAPFTEEEVKKAIFDSYSDEPPGPDGKGIRQGDPLSPLLFNLVVDVLTRMLIKASNANLIRGVRINYEKSELIPLCVNEEEVPSFLNILGCALGKFPIKYLGIPDLANANLCLLSSWIKRYSLDDGKLWKTIVDAKYDTHNPNIFCSSTSGVSQFRKGVMWAAASVKFGYRWKVGDGTKILRMIPLSVRRWRIIFKDPMIPLVDRFCEKLFKEMSMSFSITDG >cds.KYUSt_chr5.29543 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187306620:187312610:1 gene:KYUSg_chr5.29543 transcript:KYUSt_chr5.29543 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSRSSPPPAAAGEGSSKKWVGWEEEVLLDGNGNRLVCYYLRRARPRDGDFDGEVERDPAVNGKFWGVGSMEYSAHPKFLRSIQAAVAQSPGPSRAAMVEMECRQLRCKTRREVMDWLTSLVADNPYRTSTSANTSDGCSVVDHDGGSSASMDAGPITFTGNNPEGFAWLGPLPHLDQWRKRYTSFYRNGMRISVHDFIYIQQGVEGTESYVAYVEDLYEDGSENKMVVARWLEKPGDDQTLAMPPDVHDREVFFSYGLQDVGVNWVEGLAPVLNDQHFEWFQRNEEEHSKWKPYLCQRQIVGSTLEPFNIANLQGYANQEIVQALFRAPSSMVHSTEPSTDKTDAGQKRKHDEISEDQLVQNPAAPGNVNGDRGVETHTALTVIDTVQKLPPCNATNDQIVAKDAPENVAINNQTVQKLPQCHAANDQTVQKLPQCHAANDQIVQKLPRQNVTSKQTGKNNDHVNAPKTGTVENKPSRNAAHAQAMVKLHPSGGSASGSGLLQSVVKPNANELFDIGCRIEALSQDSGIRGCWFIGVIVKKKRKDDIIQIKVKYQDILHAEGRGHLKEWLKLQRTALPDRLGIRLTGRPMIRPFPSDLPNTPCPVTAGTVVDVRRPDGWWEGIVLEPKTVGRVRVYFPGQRIVREILEGDLRRSFEWVGERWLSFMPRKDVADRLPPPDVMKNQVGPELDMQKGASMLSSMGAARHANQSAGKIILKGLKGERSAKPVPPIAILESMQVGGISSKPAAQNTSSQQSTHKAEGISAEVAAPRARLQSREKVEYVAAKPAAQKTILHCSIEESQEGGGISVADGALPDAKRPRVDLTNFLKSENVLKWAERKARGSGPLMGYSDGSSQASNSALNGGTEPVNSVTVKEECKNNEQAPASTKPPTIDLPYLDLKGEEHKAKEIWEAESDSDNAHRAHSGTENRMAIKRLLGHANSILSAPKQFYYMTLRMSIDCNGCYQKIRRALLQMQELESHLIDRKHGRVSVCGAFSPQDVAIKIRKRTNRRVEILELREAMGPQAGDEQNAAAQHMP >cds.KYUSt_chr3.43579 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275034434:275039436:1 gene:KYUSg_chr3.43579 transcript:KYUSt_chr3.43579 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFYFLLLVALAPLGTGWPPEMQFLFACTDGDLGHAKGPDPAAADDGGYESPDAILDADWRDWSSFHLPLVIEFGWRLQPCGPRNTANIAISCIFWKRNTANVPLPCATVRHTAKVQGLRVWAGRIELDLDRGKKSRTRPPPPTSHPHPSPTRDLARRPQPRAAVDHRRTPPRARASAPHLAPAQAASPVPAATCCCCELAARGCCCEPAARPVLAAALLLLRLIQQQALIRRGGRGGEGGWGRGPARPNDLQRQRVAVTIIDAAVRRSLQATDAGTYATTANPLRFLSRSAALGGICSAIDTL >cds.KYUSt_chr7.36466 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227870709:227875441:1 gene:KYUSg_chr7.36466 transcript:KYUSt_chr7.36466 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTQQSLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHSERPSREKYIREIFLSISAARPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNMAYFKDDSSSGAWDYSAWVRTYALYLEERLECFRVLKYDVESDPPRTRDLDTVGVLDHLPPLQQLLFRLLACQPQGASSYNVIIQHALSMVALESVKIYTAISDGTINLVDKFFEMQRNDAVRALDIYKRATNQSERLSEFYEVCKTIHVGRGEKFLKIEQPPLSFLQTMEEYVADAPAMKDKAILAIEYNKEPEEEVKPTSPPPVSEPEVEQEPEPEPEPVTEEAPASQPADLLGLNETHPSVAEIDEKNALALAIIPIDDVPKAAPSFENGVTGWELALVTAPSSNETAAASGKKLAGGLDLLTLDSLYDDANRRASQPASYNPWETPGAALMMQQPAPMQDPFYGSNGYAAPHAVQMATMAQQQQAFMLQQQMMMASHHPQVHQQQQFQAAPANPFGANPFAPAGAHHPYGGASTGMMPLHAGTGNTYTGLI >cds.KYUSt_chr4.47139 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291772846:291777050:-1 gene:KYUSg_chr4.47139 transcript:KYUSt_chr4.47139 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKGNAEEDAYHVTGDLRSPKIPRISPDGSKDREFDRRGREDKSDWDSSRSETSADRADARGRRSGSTENINERRGSAVQENIGEDRSSVDDEALRKVAILPDEAGQHDLRMVGVENKETPPKFGNDGWDSSGDRSNREAQPSQIPDNERSTGTKDKTKVDAHRDTSDRGRDSSWNVKTRDAEGSEQYPRNRQWRDPTEANPLEWRSPQERLDGTSSHGRAMYRQDSRGRSESGRGFSSYGRYDRSDSIEIRPNSNFDFGQEGSASARKSDVGAHRELIPGATDDKGSNHPEADQSGSTTTISSLPQQGPKGDRSSRGGRGRPNGRDSQRIGVPVPLMPPPTFGAHTLPPGQMQHMGPNIPHSPGPLMQGVFMPPFPGPMVWPGAGGVDLSMLSVPPNLPIPPPDHRFTPSMGGGPGHNVHLNQMGAPTNVLGLGFNQMNTPSREMPHDRVSGGWVPHRNSGPNRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANAASPPMYYKCDLREHVLSPDFFGTKFDVILVDPPWEEYVHRAPGITDHIEHWNVEDIMNLKIEAIADTPSFLFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNASSGLRHDSRTLLQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPDDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKDLSYSNFNKEAYNRSFADNDGKVWLGGGGRNPPPGAPHLIVTTPEIEGLRPKSPPPKN >cds.KYUSt_chr1.23483 pep primary_assembly:MPB_Lper_Kyuss_1697:1:139624902:139634405:-1 gene:KYUSg_chr1.23483 transcript:KYUSt_chr1.23483 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIDSTTRGSAGSSKRPPIPPECVVSTKKSKAGFLQRDTIKDQCLLIYTKTESLYNAADQDPQQAAVFGQLSHELPKRRSVLSGSFAKNPWRAGRIPPQQPGDVATDLEASIKTTPPAELERRYNQIDFEYNDDCPYLNWRHILETDFAVPMSESGSCDDDTSRVRTPSAARATRSAGDQYRQDKTPNLTSRCSVKHAFEVIQRFSDFKRWLVSEIGWAGMLDVPFLQKLNLKFSAWIMSRVDVHNRSIVITDKKILKFWPQDVSKVFGIPHGPRNVIGRDATIRPDAIEFIKTTLGMNQAGAHSLKAAENFLIREITEDSSKIEKDCFQIAFVIFVMGHILAPSSKYDYATIDFWGALANTENIAQFNWGEYIIQSLLDAVDKYKRDVRNQAQTINLFGCHLWLQVFLLDNLDLGIFNKRHDDLPRIKVFDQDWLRRTITMASDLGKGPNSYTSAPMADPLTLMLKEHNAKAFSHLHTARSNILNDMFKFTDKLMAHLSRRCVCCRARGFTDCPLVLTEGESAPPADSLRTPVNQKFSGVRLDLSDAEDSTTRGSAGSSKRPPIPPECVVSTKKSKAGFSQRDTIKDQCPLIYTKTESLYNAADQDPQQAAVFGQLSHELPKRRSVLSGSFAKNPWRAGRIPPQQPGDVATDPEASIKTTPPQSWNAMSESGSCDDDTSRVRTPSAARATRSAGDQYRQDKTPNLTSRCSVKHAFEVIQRFSDFKRWLVSEIGWAGMLDVPFLQKLNLKFSAWIMSRVDVHNRSIVITDKKILKFWPQDVSKVFGIPHGPRNVIGRDATIRPDAIEFIKTTLGMNQAGAHSLKAAENFLIREITEDSSKIEKDCFQIAFVIFVMGHILAPSSKYDYATIDFWGALANTENIAQFNWGEYIIQSLLDAVDKYKRDVRNQAQTINLFGCHLWLQVFLLDNLDLGIFNKRHDDLPRIKVFDQDWLRRTITMASDLGKGPNSYTSAPLRSAESVCYTRASVRTTEVAPDRIETAFGTSTLDNVPLAVPEPQPHESLRAVTPRPLYVAADSTPIHPTQSLNIGPIDFSNYLKRQYPKLMAEPLTLMLKEHNAKAFSHLHTARSNILNDMFKFTDKLMAHLSQRCVCCQARGFTDCPLVPTEGESAPPADSLRTPVNQKFSGVRLDLSDAEDSTTRGSAGSSKRPPIPPECVVSTKKSKAGFLQRDTIKDQCLLIYTKTESLYNAADQDPQQAAVFGQLSHELPKRRSVLSGSFAKNPWRAGRIPPQQPGDVATDLEASIKTTPPAELERFWFVHDTPRLVCVSGNDVLQQLAGEHTLEHELSCALIRRYNQIDFEYNDDCPYLNWRHILETDFAVRWPTPKDNWGKIYPKIVDTTFTRYESGLSILHLLRYYDGEKLISPITKRNLHKTRLASLHELMKLRENRSPLAGDALWAVLAPSQFSFGDFLNEVDT >cds.KYUSt_chr2.14006 pep primary_assembly:MPB_Lper_Kyuss_1697:2:88608596:88610170:1 gene:KYUSg_chr2.14006 transcript:KYUSt_chr2.14006 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRPAIDLNSHELNLSVTYQVNSIDLTPDENCYKYLAFAFASLHPAKTHTVFLTSSVKRAMVFRIATMSSLMVALVVLLLSRSGTGDAARHLAEYPQAAPLPKPDVLPKPEPVAPLPSAPEVLPKPELAGPLPTPEVLPKPELPPLPIPEVAPTLPGHPFLPLPDVEPKPELPPLPIGEIPPVPVLPPLPIGELPPEPVLPPRPIGELPPKPEPELPPKTEEPPKPVLPPLPTGELPPKPEPELPPKTEEPPKPVLPPLPAGELPPKPEPELPPETEEPPKPVMPPLPAGELPPKPEPKLPPKTEEPPKPVLPPLPAGELPPKPEPELPPKTEEPPKPVLPPLPTGDLPPKPEPELPPKTEEPPKLVVPPLPAGELPPKPEPELPPKTEEPPKPVLPPLPAGELPPKPEPELPPKPVSPPLPSGELPQKPEPELPPKKEEPPKPVLSPPLACELSPKPQPELPPKKEEPPKPVLPPPPAGELPPKPQPELPPKKEEPPKPELPPLPPGELPPKPEPEFPPKP >cds.KYUSt_chr2.39801 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247029768:247031588:1 gene:KYUSg_chr2.39801 transcript:KYUSt_chr2.39801 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALRHRPRLPPPAQTPPSSSLPWYAAPPQPPSHSPPTSEADPLIVAASEVALTLPVHPAPLPATAPPPLLRLLPAFTSAHFLTLLRSNPLSLPPLPLLSLFRLLLAGSPPGLFRHTPASFLSMSHHLFLHRLPDHARQLLRLLASRLGRSSSPRLLPLLLPAASPSDPAPLVAELAAAYADEGLLSDACSLVVLALRQGTRLPAPMCSGLLGRLPTTPEAYAFYLQLLDAGMPPEARQFNVLMRDFVRLGELASARKVFDEMRSRGVQPTVVSFNTLISGMCRARDLDGVHAVYRGMAEAGVTPDVYTYGTLIKGLCNTGKLEDATKMFDEMRERGVNPNAVVFTTLIDAHCKEGNVQVGMDLYQDMRARGVMPDLVAYNALVNGLCRARDFKSAECIVEEMRKAGLKPDKVTYTTLIDGCCKDGKLDMAMHIKQKMADEEVALDEVTYTALISGLSKAEKPVDAERVLVEMTEAGLEPDNTTYTMVIDAFCRKGDVKTCFKLLKEMQNKGRKPGVVTYNVIMSGLCKLGQLKNADMLLHAMLNLGVPPDDITYNILLDGQCKHGKVTDPEELKSAKGMVSDFGVYTSLINDLVKKKPAKSYHD >cds.KYUSt_chr5.1534 pep primary_assembly:MPB_Lper_Kyuss_1697:5:10537739:10581728:1 gene:KYUSg_chr5.1534 transcript:KYUSt_chr5.1534 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSSPPVLAAEQLENISYNSVGSTAEHESFSRRKETIQMEHREECLASVEEVFGNDPSIHSDFIAINFFALEGWHLAELFQVKCIIAAPYFVPYSAPTSFESQFKQSFPLLYKYFQEAPSNTVCWTDISHWMWSLFMETWGLWRNDCLNLSPIPYIDPVTDLPLWHVRAESPLLLYGFSKEIVERP >cds.KYUSt_chr2.15687 pep primary_assembly:MPB_Lper_Kyuss_1697:2:98764218:98766830:-1 gene:KYUSg_chr2.15687 transcript:KYUSt_chr2.15687 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWPSSDGGFAKGVVDLGGLEARQVTMFAKVWSTAQGGQDGLGATFFQPSPVPAGFRALGHYAQPNNRPLFGHVLVVRDASGTGALLVSPLDYTLVWSSGQDGGAGFFWLPMAPDGYKAVGIAVTTTKDKPPLDEVVCVRADFTDACEDEESVWSSDKDGFSATALRPAVRGIDARGVHAGTFGVHVQISSTAATTTLACLKNNSGAYTSCMPDLAQLHALLVAYSPQVYLHPNDPYMPSSVPWYFENGALLYQNGSQTAPTPVVADGSNLPQGGGNDGAYWLDLPVDKGQRKRVKKGDLAGAKAYVQAKPMLGGTATDLALWFFYPFNGPARAKVGPLTIPLGMIGEHVGDWEHVTLRVSNFSGDLLRVYLSQHSTGTWMEASQLEYLGNRPVVYASRSGHAFYPKEGLVLQGDSKLGIGIRNDCAKGSMMDTGGERCEVVSAEYLGAGKVVKPAWLGFERGWGPKEEYDIGREINRAARILPRKMRERLGQLVKELLVGEGPTGPKMKGSWRNDERDPKT >cds.KYUSt_chr4.4491 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25688362:25693293:-1 gene:KYUSg_chr4.4491 transcript:KYUSt_chr4.4491 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDGAAVSAVEGKLAELSTSCDLRTLPRRGKPASARTLNTAQIPLVASHPEVYEPCDDSFALVDALLSDKAQLLALQPSLCLEVGCGSGYVITSLAIMLRQLGSGTQYLATDINQHAVETTQATLGAHGIHADVIATDIVSGLEKRLAGMVDVVVVNPPYVPTPEEEIGIKGIAASWAGGLNGRQVIDRILPAVRELLSEKGCLYMIALEDNDPLGICHLMNEKGFASRVLLKRCTEEESLYVLKFWQDAAVSTNASQSGKSPGSDSSWLSPFRSFWHKNGSSS >cds.KYUSt_chr7.1365 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7412080:7415083:-1 gene:KYUSg_chr7.1365 transcript:KYUSt_chr7.1365 gene_biotype:protein_coding transcript_biotype:protein_coding MASYNPSPSYPAPSAPPLYPTLAMADLAPVEIPSSAPTTAPPPSSDILLRIPSTQLHLIDQRRSHPLATGDLTLLRIHAGATSLAAIAVLTPIQFPLTRDVAAVKLDARHYSFSLPVPSSPSAAPEDPLHYGLTLARPDPRLDGALAACTSFSAHSVAGAEALAAGGGEVEAAAYWTAVAPNVEEYGGAVARAIASGAQGLAKGIVWCGVMTVERMQWGNEVLRKRIQPGETDAEVSPEMLKRIKRAKKVTKMSEKVATGILSGVVKVTGHFTSSIANSKAGKKFFSLLPGEIVLASLDGFGKICDAVEVAGKDVLSTSSTVTTGLVNHKYGEKAAAATNEGMDAAGHAIGTAWAVFKIRQAINPKSLLKPTSLAKSTIKAQAADLRSKSSKSSKSK >cds.KYUSt_chr4.40307 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248759911:248760512:-1 gene:KYUSg_chr4.40307 transcript:KYUSt_chr4.40307 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRWKNKKFLLSFLTLAGASADAPPRRRAASAPPSGRLLCKIPTNPQPTSSFSNPNHVLLAAHSPKAPPLIQNPCVIGVEAILTYRVLLRSTAIRDARKKAHLVMHAVASVGVYALFKYHAETDIPNLYSLDAWVRITTRHSTVRWNAVVGILVFALAVGTALSVLAVELLF >cds.KYUSt_chr3.27907 pep primary_assembly:MPB_Lper_Kyuss_1697:3:174206134:174208010:-1 gene:KYUSg_chr3.27907 transcript:KYUSt_chr3.27907 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMCIRWPGKTAERHGMVALARAKRQGGSSDQRCIFPWIKVLYPAGLRATEAGPSTRGGETHSYSWKCAATDMDSSSCVADDATTSSGGASCTDKLKALAAAAAEAAGPLERMGSSASAVLDASEPGTEADSGGARAITAAGGKLPSSRYKGVVPQPNGRWGAQIYERHQRVWLGTFAGEGDAARAYDVAAQRFRGRDAVTNFRPLAEADPDASHQLRFLASRSKAEVVDMLRKHTYFDELAQSRRVATTATVPAPDGGRPSSPRLPSAAAASAAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQPPAAGAEGKGLLLNFEDAAGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLNAGDVVGLYRSDGSGEGCSKLFIDCKLRTTTTSTTASFTTAAADQPAPAATKAVRLFGVDLLAAPAAPDQGCKRSRDLVKPPPEAAFKKQCLKLALA >cds.KYUSt_chr7.34508 pep primary_assembly:MPB_Lper_Kyuss_1697:7:215430352:215431515:1 gene:KYUSg_chr7.34508 transcript:KYUSt_chr7.34508 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRGPYTIHHRGMAKPGGWRRVVILLLLSLPLLLLLLLSAERRVLIASESRLLRLVTARGDGSVDGGFLVGAVPSEAEVREPRVHAWVEILEEVVPDFDCLGAEEQEVVHIFIQVAGETGSRGRKVVSKPSLVSGQTPFPSKPAEDLAL >cds.KYUSt_chr5.18598 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120268990:120274988:1 gene:KYUSg_chr5.18598 transcript:KYUSt_chr5.18598 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVSESCVDGVVMEMVAAYCGRFYAAKPELAAGRIEAIGFQVGHQLSERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNHFRWLTRVSLEPSVESADTTENDSASLVVGGMGERVMPFAVWLSVQLLYRGDFEAFG >cds.KYUSt_chr1.32194 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195484246:195489974:-1 gene:KYUSg_chr1.32194 transcript:KYUSt_chr1.32194 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRHKRLTHSTKETHSTKDANAPQEANTLHQGNDAPQEANTLHKGNTTTKARTPLRFAPERYYRFGKRYYRSGFEIPTELVHVDTKRYYRSRYRNGLQTLLDPKRYRSGTEALHPKPESSEEDPDIAAALLKSAADEEAQWPHLQEAIRTSAMEEEARQAVEDAEAWEQFAQARREVEAQRRREEQQRRREEEARQLDSPFYSACRRRRHAAMLRLQSCILTRLLSSPATSPVSPLHRLLSAAAPAASPSPSFAVEDYLVATCGLTRAQALKASTKLSHLMSPTKPDAVLAFLAGLGLSRADIAAVVAKDPKEGGYLDFFPKDPKFLCASVDRTLASTLIDLTGIGLSHTQIARLVSLAPFSFRTRSIGSNLPYCLHLFGSYQNLSRALKFGSDLLRRSLQKVVKPNVAFLHECGIDAIGIASLCLAVPWLLGTKPEQVRAMAVRAEAVGVPRGSRMFRLALQAVAFRSEETIAVKLEQLKTAFRWSDAEVGTAVANAAHNVQGHDAEQIGIPYL >cds.KYUSt_chr2.9700 pep primary_assembly:MPB_Lper_Kyuss_1697:2:61357255:61358644:1 gene:KYUSg_chr2.9700 transcript:KYUSt_chr2.9700 gene_biotype:protein_coding transcript_biotype:protein_coding MQWPVVTRLRLHVGPTFAGPARQPLRLESLSVKLPPPPLTVEPARRTGAWEPPQDSGAPDPESYPRWSSSGGITIVPDKKLACAAVSLLVAPSLVTPTNQVQYGPLEDVLPGRRRPDAGHAQAPARPSAVPVLVRARRHLQAPPHSHSRRQIVIISATRAVAGLVLEC >cds.KYUSt_chr1.7237 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44391091:44397356:1 gene:KYUSg_chr1.7237 transcript:KYUSt_chr1.7237 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGHPTTRDEEDSCYIATSYDLDRERLDWEHTAIVAWVISAPSGMDRTDVDDAFRRKFRLHESELLVSAHYPEQFLVKFATAELRNEVMRTDRCCFKMDGLDIHFRPWRAVSHAYNADLHFRVHVVVDGLPPFAWRLEVVDLLVGRKCAVQRFDEGFTTMEDTSSFGVWRLDGIPSPDSEDPMVHAVMISSSIVNGGVERLQLSRLYDASNTDEVSFVFLSFHRNDPSCYYCELGPSALRLGTPTTEKASPLLASTSTLSRLPRLQEPANPSTTSCRCPVRMVGGSGEGAAMSSPGSSPGGGSGGGAKRGRDPEEDVYVDNLNSHKRYLSEVGLPSVSTAVRFLIMASSLNGLSVGDSLPDNIMESPARSESPSCVRDEMISQYSPMSEDSDDYRYYDTQLNPNGSQGDAMVSPSTSPMSSPHRFQKPQAGFLPSTPPYSLPSCSLSSVACSHPRRGSENEGRFPSSPNDMCHGADLRRTALLRSVHMRVHGPHAYDMSFSGRQEQEHVHEHEDEHGHGHHVHVHEDEHGHEHLEDLDRSDRSSCRKSIDHEATGYQGAENSYGRQEHDIDYIDRCTSDDVLNSLKFKEDGTQGNSDASMDKNR >cds.KYUSt_chr4.38936 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240446932:240450317:-1 gene:KYUSg_chr4.38936 transcript:KYUSt_chr4.38936 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGVEPSEIEETVAQALFDLENGNTELKSDLKDLYINTALQLDIVGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVFVATRKIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRIRYRLDGAKVIKIYLDPKERNNTEYKLETFSAVYRKLCGKEVVFEYPGTRNARRWTNWGLTPPWKLARYSNAGEGSSSGQSSRAPRLPVADSNDDEDLILARSPTFSAEDYVHSSGEEDAVLAHTKAISEAEARARFRREEVEAVRLVREYEAACREARVRRVKLEIVELDADDA >cds.KYUSt_chr1.22190 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131228346:131229710:1 gene:KYUSg_chr1.22190 transcript:KYUSt_chr1.22190 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFAVRRRDPELVGPASQTPRETKRMSGLDNMDVLRMQASLALFYRGGEGDDPAAIIRRALGQALVHYYPLAGRLREIEGRKLVVDCTGEGVLFVQADADVQLADLEAVGLRPPFPCWDQLLFDVEGSSGTLNCPLLLIQVTRLLCGGFVLALRCNHVMCDAIGIAQFMNAVSELARGLPSISVKPMWCRELIEMRNPSNPGTLPDIPEVPMVERSFTVRASDVAAMKKCLPPLLRDTATTFEILAAFLWRARTTALDIPPGEHAPLVIAVNFRGDAGAGISLPAGYYGNAVATTTVPADAAVLRRGSLGDAVALVRLAKAAGTTEYFRSMANGAKVRGLRSFNPANLLAISDTRNIGFHRVDFGWGEPVFAGPVSTFFTMCYFIRVKDRDGEDAFVMPLMLPRLAMDRFAAEVERSLLDDANHM >cds.KYUSt_chr4.40189 pep primary_assembly:MPB_Lper_Kyuss_1697:4:248109418:248112197:1 gene:KYUSg_chr4.40189 transcript:KYUSt_chr4.40189 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHYFLGIAITRGPSTMPLSLAGAFQYLTFTRPDIVYAVQQVCLHMHDPREQHLAAIMRILRYAKGTISHGLHLHSSSANSMVTYADADWAGYTVSHSIAEAEYRAVANAVADGLQGKIVLVPIDMADRPAWYKTVNPKNQVPCLEHDNKVIGESLDLTKYMDSNFEGPKLFPDDFEKQRLGEELLTYSDTFNQVVLASLTSKGVAALDKIEDSLSKFDDGPFFLGQFSLVDIAYAPFVDGFKVFFAGIQNYDTTAGRPNIQRFVEEMDSIAAYSKTKQDPQELLALTRNKLGVRRSSHDSLD >cds.KYUSt_chr4.33184 pep primary_assembly:MPB_Lper_Kyuss_1697:4:203374638:203380601:1 gene:KYUSg_chr4.33184 transcript:KYUSt_chr4.33184 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIARLVLLLAIAAVSSGFFVVAHASCWPHERDALLAFKQGIINDTYGVLASWQKRRHDCCRWTGVTCSNETGHVTELDLGETGLVGQISPSLLSLQYLETSLMHGPNGRIFPEFLCSLHNLRHLDISYTHFSGRVPAQLANLSKLDYLDLSGTLLSGGIPDDISSLNGLLNLNLSWNRLSGKIPVKIGDMKSLESLDLSRNNLFGEIPTTLSDLTFLSSFDLSYNNLEGTIPTGRQLDTIYNENPSVYSGNIGLCGPPLEKSCPGNDAPEHPNQQQGSENGYNTVLFFYFGLTAGFVAGLWVVFCALLFHRAWRNAYFRLFDKLYDNVYVFAVVTSETAQLSSLLLIATAVRTSFFLVAADAVQLHRAACSLSERDALLAFKQGIDNTYNVLASPVGRRRLQQPMTQKCSSKPGLDMFMWYTGGEGKFREVWKVTMKCRELNYGAGIFEVLYIDLSLNHLTGGIPDEISSLYGLRNLNLSWNRLSGNIPAMIGHIKSLESLDLSRNNLSGEIPPSLSDLTYLSSLDLSYNSLTGRVPTGRQLDTLYSENPSMYSGNIGLCGPPLRRKCSSDYVTENGGQQTSVDVYDPAVFFYFGLTSGFMAGLWAIFCALLFKRAWRNAYFCVVDSYMAKLRCF >cds.KYUSt_chr5.28407 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179909582:179910298:-1 gene:KYUSg_chr5.28407 transcript:KYUSt_chr5.28407 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTAPAAAGGGGGGVYSAPAAVATTPPGTPRSAAAPPPPPAPSGGHYAVELYFDPALENQVLKAWNALARRQLSSRLIDTASRPHLPLLHLPAAALPDPLRLAPALRALASRIDPLPLALSSLASPPSSLDAGVLFLSPTPSAALLGLHAQLCELLRKDAGLEVPDGFRPDNWVPRCAVAVDVPRGRMAEAFCVLRELKLLPVSGYGMDIALVEVAPTVREVVSYPLGGSGGPGAD >cds.KYUSt_chr7.36830 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230133007:230134850:-1 gene:KYUSg_chr7.36830 transcript:KYUSt_chr7.36830 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSHTAFLVLIILPYLGTGGAQSKFTSIISFGDSYTDTGNLVRWADPVLPPHPINNLPNGETFFGHPTGRASNGRIVLDFIADALGLPFVPPYLADTKANFSGGVDFAVVGAPALNLTYLQGQNMTVNPPINSSLDDQLVWFEKLKPSLCKGQGANCFGSTLFVMGALGTNDHFSFLSSNGTVEQARAYVPTIVDSISRGVERLIQHGAKYIVVADMVPFGCLPIVLTLFASRDKADYDRYGCLKLAKVPQYQNSLLRQRIMVLQNKYPHTTIISAEYYRPIISFLHQPGHFGFNSSTTLLTCCGAGGPPYNFDSSTFCGLPDVTACARPSEALQWDGVHLTEAAYRLIADGWLHGPYADPPLLHVAR >cds.KYUSt_chr6.7220 pep primary_assembly:MPB_Lper_Kyuss_1697:6:43830163:43832850:1 gene:KYUSg_chr6.7220 transcript:KYUSt_chr6.7220 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGDDLMPGSDAHRRKKRYHRHTPRQIQQLEAMFKECPHPDENQRMHLSRELSLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAMREALKNVICPTCGGPHSGADDYFDEHKLRMENAHLKEELDRVSSLTSKYLGRPITQMPSMHQPLSMSSLELSVGGLGSPVSALGPSLDLDPFSGGGGSSSFQLPAPVSEMERPMMAEMATRAMDELIRLAQAGEHLWAKAAGGREVLNVDTYDSVFAKPGSSAFRGPDVHVEGSRDSCLVLSSAVGLVDVFMDSSKWTEFFPTIVSTARTVDVLVNGMAGRSESLVLMYEELHVMTPVVPTRELCFLRYCRQIEQGLWAIADVSVDLQRDTRYGAPPPRSRRLPSGCLIADMSNGYSKVTWVEHVETEDKVPINMLYRDLVASGAAFGAHRWLAALQRACERHACLVAPPLGRDMAVAGVTAEGRRSMMRLSQRMVVSFCASLSASQLHRWTTLSGPGAGSDDAAGVRVMVHRSTDPGQPSGVVLSAATSIWLPVSCERVFAFVRDENTRSQWDVLSHGNPVQEVSRISNGSHPGNSISLLRGLNASQNSMLILQESCADATGSLVVYAPIDLPAANVVMSGEDPSAIPLLPSGFTIVPDGRGSAGSVVTVAFQILVSSLPSSRLNAESVATVNSLISTTVEQIKAALNCGSGH >cds.KYUSt_contig_2820.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000523.1:33542:35472:1 gene:KYUSg_contig_2820.6 transcript:KYUSt_contig_2820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMMQAPLMLISCDDFPTNCTDHSDGSMLTAGDELLVAADELVKNGEPCLGMEFESPRSCAFYSVCATPWLRIRVARSRTERRKGIEVLVTKRFVCMKEGHHKKKDVESSDKKRRKRLSIRDGCPAMMEVVWRRQEKWVITKLVLEHTHVIVSPDKVREVQLRRLSGKEHADQLQEVRRNVFGDTDACALFTYLMRKQSENSGFFYNIQVDSRNCLRSAVWVDARARMAYKYFGDAVYFDTTHSKNENMLPFAAFTGVNHHAMDKRLPFSFTTDEGRTMTEAVGKEISGLHIELKRCVNECDTMPAFDMLWGSILDRHVLGVFILADIDMIPEPCITRWWTKKAKTGPVCVGRNLEGENQHTNSVTWRFNDLVRDAMKCAEKGTLSAGSFKVAKEVLQKAFREIEKLTNAGPQQVGNI >cds.KYUSt_chr4.40101 pep primary_assembly:MPB_Lper_Kyuss_1697:4:247606671:247615735:1 gene:KYUSg_chr4.40101 transcript:KYUSt_chr4.40101 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRYSFGTLSECTEGFTKKLGEGGFGLVFEGKLGEERVAVKCLECASQGKKELAEVETIVSIQHTNLVKLIGFCAEKFEGLLVYEYIPRGSLDRWIYYHYNNVPLDWCDRCRIILGVAKGLCYLHEECRRKFAHLDIKPQNILLDGNFNDKMADYGLCKLINREVLTMIRGTPGYMAPEWLTLRITEKVDVYSFGVVIMETISGRKNIDNSQPEENVQLISLLREKAQNIQLVDPIDKHTDDMVSHQEEVVQMMKLAIWCLQHDNIERPSMSNVIKVLEGAISVETFGANSLLYVQDKPSTYSVPSQASILSGPRKIPKDGRMTKEESQAFASYLDVPKKEWNKYHAPYKDIVNCVPARNIEVCIVDHVLLEPYIEKVHFPAKVKEHSIITSVVNKSTKKAIEPDEQITVESVVAIVKDIVTENVEDGHIMFCEDAYNIVSHPSKSKKTSVHVLSVRIGDHSYYGLCDIDASSSDISYELYREIMHEIGSCELEEIDMVIQLANRETISPIGIVRDVEVLCAPYETELSNEDFRVEQLASITIAPNNALQQYMEEHESEIFMEERNEIDEILLRQPEMLKYNLPVEDLGTTLPPKKDPVDLKYAYIDDKKIYHMPSRGSSSEDHKLRRRRELLRDLDWGTLAGWMEEVKEIPSSKEGQIAAGSRARKPTLVGSINTGRVFSHNMQGPIPPVPNFSSFPAAEEVIRVTDEFYDKYHTLRKEVDILREENNRLRRMLKNFLTPIMDAPPPPKE >cds.KYUSt_chr1.24373 pep primary_assembly:MPB_Lper_Kyuss_1697:1:145459012:145460442:-1 gene:KYUSg_chr1.24373 transcript:KYUSt_chr1.24373 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWAAPDRRTSGSWERPASSLPCKRWPAPAASPLRAWPRSSQLHLHVKTKYMAGSNSPTSTYSIQGQEQWRRPEFVRTGPFLTIVTAAAFIAVGLAALLGQGLVSTAASAAAGIAAGFGRGSIIFTASAALAVASFFTIIVGGLELSSSASDGQAFVHGCKFASSPSPSARPRGRELSLEPSSGPTRSSSGILAQASAPARRSRASLAAVHRPRAGSSGQACARRTTSTSRVQRTAALRGSSNIRPRKLPSSEQQRRSS >cds.KYUSt_chr4.50698 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314065256:314066651:1 gene:KYUSg_chr4.50698 transcript:KYUSt_chr4.50698 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKDLEFELVRIDTFKKERKLPEFIKLRDPTGQVTLKHGDKTQVDSRAICRYLCTQFPEDGNRAIYGTGSLERASIEQWLQAEAQSFDGPSSQLVFHLAFAPQLNLIPDEVHITENERKLQQMLCVYDEILAKNQYLAGDEFTLADLSHLPSSHYITSTQRGRKLFTSKKHVARWYDAISSRPSWQQVIKMQSEHPGTFE >cds.KYUSt_chr5.29062 pep primary_assembly:MPB_Lper_Kyuss_1697:5:183999867:184011534:-1 gene:KYUSg_chr5.29062 transcript:KYUSt_chr5.29062 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPAASPAGRALRPPPPRLSAAVRVVVALPVAARARGQPPPRRRLCLAAPRASEMAAAAEKGEEERRWWRGAEEMDAAVRREIAIRRLQEEAEEAGTGRSRREFAVFETARGDTLFTQSWTPAAADPLRGVVVLLHGLNEHSGRYNHFAKLLNDQGLKVYAMDWIGHGGSDGAHGYVSSLDHAVGDLKEFLEDVVLEENHGLPCFLFGHSTGGAIVLKAALDPCVEVNIEGLILTSPAIHVQPSHPIIKVVAPIFSVLAPKYRVSALHRRGPPVSRDPEALKIKYTDPLVYTGPIRVRTGNEILRISSYLQRNLSKVTVPFLVLHGTADTITDPRASQRLYQASMSTHKSIKLYDGYLHDLLFEPERDDIANDIINWLSSRLNALHGCLLIKTVMVWTKNQEIMLVCALSDNVLQGLLCNDNYLFFDGLPLASNSPIPMTELKHITSDVLLLDHPAKDGDNQVLLLGDLNYRISLEETETRLLVKAKNWSTLLENDQLVSEFSTGRLFEGFQEGSVTFSPTYKYQPNSDQYYWCFEAARGEKKRAPAWCDRILWRGKDLKQIQYETCDYKLSDHRPVRAGFFAECKIKGDLDSVGGFISSMPDA >cds.KYUSt_chr1.32837 pep primary_assembly:MPB_Lper_Kyuss_1697:1:199450554:199452694:-1 gene:KYUSg_chr1.32837 transcript:KYUSt_chr1.32837 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGAGLVSLNSKALALILSQSSLFLPLCFLEPDRGRRNLPDMVVLAKAELEQIALPAAAPPTADIRAVDLSAAPGAGRAAAARALVAACEEQGFFKVTGHGVPQELVRAVEAAAAGFFALPQAEKEGGAGEPVGYGCKQIGDGGDLGWIEYLLLCLTSAGTVPAASFPFSTLPCAAAAAAAASLSEPSSPLRELLEEYAAAVRRMACGVLELMAEGLGIEPADALSRLVADAESDNMLRVNHYPPAPRPEQQAGRLLTGFGEHTDPQIISVLRSNGTTGLEIAGRDGAWSSVPPDADSFFINVGDALQVLTNGRFRSVKHRVVVSSERSRVSMIFFGGPPPADKLAPLPQLLGDGGRSRYREFTWKEYKSSSHKGRLVDNRLCHFEN >cds.KYUSt_chr1.41785 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256195284:256196188:1 gene:KYUSg_chr1.41785 transcript:KYUSt_chr1.41785 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATAREARLYGPALTVRRWEYINAGAYVFGTLLLAAGLAALCASSDRGVRAAGLVVAAAALVIVAAVNAHDLGAHLAGVDCRLGLVRFDPQLALVELLVPALNAAGCVLAVVGVAFLLSQGDGRERHAADTMLAGAVLWLLGSALNSCQVYERADGRAQLLQSSIQVPVLVGSLLFLVAAVLNCRRAREPVERWTMCLCGSVLWVAAALFNVVKVFMLHQSGAPRLEKLRGGAQEWLSRDREGRVPLVWRSAAPPTELR >cds.KYUSt_chr4.16877 pep primary_assembly:MPB_Lper_Kyuss_1697:4:105064001:105064321:1 gene:KYUSg_chr4.16877 transcript:KYUSt_chr4.16877 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVSPSSPPRRHPPPPPSSTAAPTSPPPRADASCSPRAPPVAPCSRSPPHPGAAYYSVVADLLPQGGGGGAVSVAAGLAPVAAAQQGFVVPSAAGSHMSPIDLD >cds.KYUSt_chr7.16125 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99936330:99944992:1 gene:KYUSg_chr7.16125 transcript:KYUSt_chr7.16125 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRGLLLPLLLAALCLSGSAAAAAAKRGSKKSYAAIFSFGDSLSDAGNLIVNGTPKALTTARPPYGMTFFGRPTGRCSNGRVVVDFLAEHFGLPLPPASQAHGTDFSKGANFAITGATALDYAFFKAHGIDQRIWNTGSIITQIGWLQKMKPSLCKSEKECRDFFSKSLFVVGEFGGNDYNAPLFSGVAFSDVKTYVPLVTKAIANGVEILIELGATDLLVPGILPIGCFPLYLTLYNSSKKSDYNARTGCLRRYNRLAFHHNRDLKQQLDALQKKYPKTKIMYGDYFKAAMQFVVSPKNFGSMRHGLTESLHTIAGFSTALQACCGASGEGSYNFNMKKKCGEAGASVCSNPASYVSWDGIHMTEAAYRMVANASAKAVLHKAWVRVKNIPTDKRSDAAVAYAGSLVGVTLEVDQATLHRPDYCRILLGCRDIDELPPYAEGCLGDYFYDFYYEVESVVVRGPPATKISISTSERSSPNAPSPKRSRFDHHKAAESSEGQTEASQNVTYGKSYSNNLDVVPENDDEEDSEDDHELLIDTIAREQMEKNQQHDGDIVRVCEDAIVKESIPDCKEPSYTVQSPEEESGEKSSIKTVDNNDEELEDGRRSMRNQQQKMEKVMDQAAALSKKRNLEGLYKAEDAEKIRGGAKKLITMAAELARKKQETPEPGLLMIEDRDRRTAQI >cds.KYUSt_chr7.144 pep primary_assembly:MPB_Lper_Kyuss_1697:7:753040:754431:1 gene:KYUSg_chr7.144 transcript:KYUSt_chr7.144 gene_biotype:protein_coding transcript_biotype:protein_coding MATATQGGTRKMNRITWRATGVDHMLQFNWDSATPALAAVDRPGNRSTSEDPRVWPRPTGQPPPRRPSVWRCSPADGRSIWSSIQSSARSTRSCPSTRQRPPLADHVSRSPTPSTNSPNMQRCHRCRRPRHPPCPSSISMAGAVSPSYIPRSNSSLSPDHSNEKQQASRPEPTASSLSPAMAPSFGRSISFPLSPARASRPRAAAYHVRSVSLPSSSHPLLAHLCSHVAAVRSWVSAPSLPSTGLSHLDALHAALAELLLLPEARSALHHGSSTAACLLDGFLLLADAHGAFQETVVELRAHAADAQVALRRRDGSRLASAVRSLRRTEKDLARLALSVRSATKFPTLLSVSDRVEEVEVSGALAEAVAAAACASAAVFSAVETVSSAATTAMASKKTMASSLKSLVKSSKAFSDDDKEVAALQRLDEVEACVAEIEGASEKVFRSILHTRVALLNIQTHTCC >cds.KYUSt_chr2.29311 pep primary_assembly:MPB_Lper_Kyuss_1697:2:180226112:180227062:-1 gene:KYUSg_chr2.29311 transcript:KYUSt_chr2.29311 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDIIQRLLDAEHSSPEDHPPLSNAEIKLLCAAATKVLLSQPTLLRLDAPINISGDIHGQYSDLLRIFRATGFPSDANRYLFLGDYVDRGSRSIETICLLLAYKVKYPDAFFLIRGNHECSSVNRSYGFLDECERRGLEKDKLWNTINGCFDCLPLAALVGNKIGKKIFCVHGGLSPELESMDQIRRVKRPLPVPDEGLVCDLLWSDPDAADEWGWGESTRGRSVTFGSDLVDEFVEKNGLAMVCRAHEVKQGGYEWFADRKLVTVFSAPNYAGQCDNAGAVMTVDRNLTCSFHIIEPTPLQDLADNLHVLKHA >cds.KYUSt_chr2.43032 pep primary_assembly:MPB_Lper_Kyuss_1697:2:267791694:267793867:-1 gene:KYUSg_chr2.43032 transcript:KYUSt_chr2.43032 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFRACFGGGGDRRKSRGRSPARLPPRPDHVSFPISGSCARQGALVCGGFPDPDRLGFASQVASVGKVSPPADVVVKEAAPPSPAARALAKPPPPVLLVEAAAEEAVTGASPGTEQSKSSEQETLTPPSDVEEEVVTGASPGRELRQWSESKGSPVRSLPQEKTATPPLSPVRCSPVAAPVVSAPDTELRDGSEEDSRSGGKKKVTFDMNVTTYEDTSLPPDEEGELSEPVKCVEDEDAEQTQKSVVLPENHRYGNCTDSEDDEDEYAEDGNYGDDSEAEEDFVDCKIDLVDEEEVRVVENTEESHESLFSLPMSNDCQNGQEVSSPVPKSSVTPAQEESPLIHGNNHRDRSQYVRPVLNPVQNLSQWKEVKAQAGPVKKLYKENVNSVPDAGANLSCKVAKQSKMGPSNSGKGEVSVDASLSTWLVSSDNSTVDKAQSKSPRSVSSVCREERPVLGALTVDDLKQSSATPSPRRSPSHNREEVPILGTVGSYWSSTKQGNEYCSSRSDSGSTGIPNTTSKYREDRRVNWHSTPFNVRLDKALKKSSA >cds.KYUSt_chr5.22837 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149144031:149144903:-1 gene:KYUSg_chr5.22837 transcript:KYUSt_chr5.22837 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAARGGTAAPWAQAQHHLLQEFEYFLVVDFEATCEKDSRIYPQEIIEFPSVLVDGATGRIESAFRRYVRPKYHPVLTQFCRDLTGIRQEDVDGGVDLGEALWLHHRWLQAATSGARKGGSLAVVTWGDWDCRTMLESECVFKGIAKPAYFDRWVNLRVPFQAVLGGGGRATLQEAVRAAGLQWEGRLHCGLDDARNTARLLVEIMRRGAKIAITGSLAPPPIQPKEEEEQQPHTSLCGGAAGACFCGVASRGGVVAMPGPMQGRCYWGCGNWTPTMGAICPYFLWSN >cds.KYUSt_contig_2197.43 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:239876:241546:1 gene:KYUSg_contig_2197.43 transcript:KYUSt_contig_2197.43 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRRVAAAARRMPPPPSANPVPIYQQMLKKIEVMKAAPAPAEDISKTIEQMETNTRRRLQTLKFNERGTTDIAYLNMTKKPKFQKLKRRGRRISRSFSSLEFLPTEVHKLASP >cds.KYUSt_chr5.2947 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19301075:19302216:1 gene:KYUSg_chr5.2947 transcript:KYUSt_chr5.2947 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKLLPVLAALCGVFLLSLGIWAPFIIHHRRKRHNGMTNTTKLRPTKVVTLFRGEHVEDELEQGAGTPRRFSYDELAAATGNFSDDKALGRGGFGSVYQGFLSGMNREVAIKRVSETSRRGWKEFVAEVSIISRLRHRNLVQLIGWCHGGNELLLVYELMHNGSLDTHLYRPDFVLAWIYMYEIVLGLGSALLYLHQDTEQRVAHRDIKPSNIMLDASFITKLGDFGLARLINDGRRSHTTGMAGTLGYIDPESVLAGRASVESDVYSFGVLLLEVACGRRPAVVHENGDVVHLVQWVWDLYGGGGILSGLGASSIMERWSMFWSLDSGARIPIAA >cds.KYUSt_chr4.23880 pep primary_assembly:MPB_Lper_Kyuss_1697:4:150507968:150508390:-1 gene:KYUSg_chr4.23880 transcript:KYUSt_chr4.23880 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGIKTNSQASAKRQMPRCLQVVYALYHHRGFPSVQAPGFATGDCIRTMVTGKNLIERFKAGSIVLSCRDM >cds.KYUSt_chr6.10419 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64257717:64263258:-1 gene:KYUSg_chr6.10419 transcript:KYUSt_chr6.10419 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVAQRRPAAPPAPPAAKKVTKVPSRAAKRAAPKKQQLLESSDDSEEEPQQLHEEEEDSDAEVASSSDAEELSGSDAGSSGDEEEEEDDEEEEEDDDEEDNDDPLAGDFLAGSDDGSDEGDDSGDDSDVSDDPEARSAALDRQNQQTEVDAEEELALNIRSESDEFRLPTKEELEEEALGPPNLPSLKRRISEIVRVLSNFSRLRQEEVPRKDYVDQFKADVMSYYGYNEFLIEAFVEMFPAVEVVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISSGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANELNEKRLHGLLGNIHRLGVTNTIVCNYDGKELPKVLGINSVDRVLLDAPCTGTGTVWKDAQIKTSKDIDDIRNCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSMMIPENEAVIDYALKKRDVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKMSNTIPASSESSKDPEEAAEGVDANSDDEDEKDVPDEQPPQLKKRNRKDGKKKNEQASIPEEAEDQKQAPEKLAKQLKNHKGLKKSSGPKSVEMNGDKEETHTEQTEHAKSYKGSKKNNGPRNAEMNGDKKEASREQSERVKIHKNVKKSSGPKSAGVSGDKTETPDEQTEQISHKKKRSDKIKKSGSKSTSEIKEKKPVSDNKRKRKWQFKLRRDWEAKQKSEKRAKFFAVLFGMGTEAPLTVWETKDFTVWRSAQMKHRRTTVHLF >cds.KYUSt_chr2.7020 pep primary_assembly:MPB_Lper_Kyuss_1697:2:43896168:43897311:-1 gene:KYUSg_chr2.7020 transcript:KYUSt_chr2.7020 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKLAALALLLALFGCIAHTCQASYGYPYPLSAPAKSTPAAPALSYAYYYKTCKGAEKIVRDVVQAEIKRNRGIGAGLIRLFFHDCFVQGCDASVLLDKTQSNEQTEKFGLPNIGSLRGFEVIDKIKTKLEAKCKGVVSCADIVAYAGRDATYFLSNKKVYFEMPAGRYDGRISSANETLFNLPPPFVNVTVLEAMFAAKGLSLDEMVTLSGAHTIGISHCSSFGDRLPRNASDPMAMNSRFAKSVTRKCKSGSSTVDQDIYTPNTLDNRYYKNVLNHEVLFTSDATLESSKTNYLVKQNLKPYVWETKFKQAMRKMGSIGVKTSANGEIRKSCRLIN >cds.KYUSt_chr4.23694 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149328614:149332139:1 gene:KYUSg_chr4.23694 transcript:KYUSt_chr4.23694 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLHLLVLVLSFLLGLALLYICEILWLRPERARKRWRKQGVRGPRPTLLSGNTQEMKRIRQDLLPAQKQDINSYTHTIFPHLLLWRETYGSVFLYSTGAVDILYVSDPGMVKDVIHCTSSELGRPIYLQKSRKPLFGDGILMSSGDIWAYERKVIAPELFMEKIKVMVGLIVEASVPLLEAWESMLDNSGGVTEIDVDGYMRNFSADVIARACFGSDFATGEEIFSKLRQLQKAISQQDTLVGLSALWKYLPTKSNKEMRELQREVRLLILDIASRGNSSNSNNSSGCTHTTHNDLMRSIVDGAHQCPSYNGTAEDFIVDNCKNIYFAGHETTAITATWCLMLLATHPEWQDSARAEALEACSGGTAIDFNTLRQLKTITMVIQETMRLYPPASSTMREALMDIKLGGLDVPQGTIIQTPIAVLHLDRDVWGQDAGEFRPDRFANGAAAACKPGHMYLPFGHGPRICPGQHLAMVELKVVLVHLLSKFAFSPSPGYRHAPLFRLTIEPGFGMPLVVTKLP >cds.KYUSt_chr5.36332 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229663181:229663549:-1 gene:KYUSg_chr5.36332 transcript:KYUSt_chr5.36332 gene_biotype:protein_coding transcript_biotype:protein_coding MQHARVDHYDVPRRTRVIVNAWAVGRDPAAWDGADEFRPERFVGSEVDFRGRHRQLVPFGAGRRMCPGVGFTAAVMELALTNLLGRFDWEIPPSDVVDMDEAPGITSRKRMPLCAVAAHRAE >cds.KYUSt_chr5.6494 pep primary_assembly:MPB_Lper_Kyuss_1697:5:40292684:40294501:1 gene:KYUSg_chr5.6494 transcript:KYUSt_chr5.6494 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAASALRPPPRWDAPSQRRLVEQHLASLPHGLPRLPHVQELHAQLLKHGLHLDPLAASKLIYSYALQRRPTTSRRVFASFPNPHATTFLPNTLLRAYALNALPHAAVDVFSAMPQRDSFTYSFLIKALSSAGLPPLRTVHSHVVKLGSVDDTYVGNALIDAYSKNGAFLDASKVFDEMPKRDTVSWNTAMAAMLRQGEVSGARRMFEEMPEKDTVSWNTMLDGYTKAGEMGEAFELFQHMPERNVVSWSTVVSGYCKKGDMEMARLLFDKMPTKNLVTWTIMVSAYAHNGLGEEAGSLFTQMKETALELDVAAVVSILAACAESGLLALGKRIHRHVRTRQLGRSTHVCNALIDMFCKCGCVKRADYVFDTEIVDKDAVSWNTIIGGFAMHGHGDKALDFFAQMKLQGFRPDAVTMINVLSACTHMGFVEEGRRYFSNMERDYGIVPQIEHYGCMIDLLGRGGLIKEAVDLIKNMPWDPNEVIWGSLLSACRLHKNVEYAEIAVNELSKLQPFNAGNYAVLSNIYAEAGKWSDMAKARMEMKGTKSQKSAGSSWIELDEAFHEFTVGDRKHSDSDQISEMVDRLSSHTKDAGCIPTGHELLVQ >cds.KYUSt_chr5.29281 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185525545:185528162:1 gene:KYUSg_chr5.29281 transcript:KYUSt_chr5.29281 gene_biotype:protein_coding transcript_biotype:protein_coding MERHASFSVPRVCIRIPDQLQLPATPFEPQKATITPRVRSGADDPDVAPPEHQLTVLAMQLAVLEKAVSRLGTLAFIWATVVLLGGFAITLSCTDFWCITVLLLTEGARIQGRSHELEWHKRATCLSAVSQAVGHVLCLLQLLSASVCAAVSLVRLVTQRYGVDGGNPWTNRRAALDIFYGLALAESLLFLVEKALWQWRVGHHRLLERVAKECHLSGTALGVVAVHRFFYDSYSRGLNGSIFDGLHMNLVCYADGMLTAGSHDEQSLGVSILVALAESDRFADSTLRKIGMSASTIEQLIQMLSWKNTSELEVRRSAAVVVSMLTGRKIIALRLTGIPGAIESVASLLYADLDELNLLGLTILSKLAHDHDNCDKIGKTRNLLDKIISYCSIAGGEQAAPTGMWLKEVKQALLVMKRLAGTTGATGKLLRRELSDIVFTVSNVREVLQQREGKIQSEVHQLAIEILTSLAIDKEAREQIGGTGGVVRELVAIFLPGKDEVRGNSRQTNAIRNEAGKALAMLALESRDNCGAIIMACGGGVERLVEALSDPVIIIGAARILRNLCTYAGDECQLPLRGVAASATKVLSTIMVEKTKILNIFLGLAAQMLQFMEPGDLRASLATARVTDRALVQTLLQILREYSIPCMVVPRIRRYTIELVVAMMQLDTRYMALFVENGMEGDLKRIAGTTSEIECFNAFSGSVGLSHRAVSVCSLVKSAMELMKHA >cds.KYUSt_chr1.30498 pep primary_assembly:MPB_Lper_Kyuss_1697:1:184620270:184622537:-1 gene:KYUSg_chr1.30498 transcript:KYUSt_chr1.30498 gene_biotype:protein_coding transcript_biotype:protein_coding MDENEIPFTDLLLLLILPEAATTLGDDDGRQLLLSTVRSMLSRERTGLPAVAGVPHAISLHHPLLPLVVDHPSGAYLLKKHGVGSDLPLMKPAGSKPGSASSHYKLGMYEPVILDSTYDLLHAWLMFSEKENHGCSLEDPLKENYGCSLEDLLTEMYRVIRPHGYAIITL >cds.KYUSt_chr2.34790 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214717901:214718620:1 gene:KYUSg_chr2.34790 transcript:KYUSt_chr2.34790 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVANRTISFLVGKWRNKQMSPTEEERQASLRRLLSRVRAVVEEAEGRRITSLVMLQQLNTLRTEMHRGYYALDRFSCGVPDDEGGKVNEVSRSSALQSNFSSAKRLRVHGGGGGSVGESAHELQRALARMEAALEDVGPEFARLSDGYPRLFRQPYSVYLLMDRCMFGRQMEMEHVVNFLLQADDQARARLGVLPIVGPGKVGKSTLVHHACADETGCAATSRKSSSSARPVMILQP >cds.KYUSt_chr1.26921 pep primary_assembly:MPB_Lper_Kyuss_1697:1:162457811:162461495:1 gene:KYUSg_chr1.26921 transcript:KYUSt_chr1.26921 gene_biotype:protein_coding transcript_biotype:protein_coding MRQITGRRRAGRPRGVGVGNQCYHGASARRQTSSVGEAADLGASVLATSVCQEGRRRRRDGRPLGGEVVAVRKASEKRWQPAAPSSLPSGATRSVANMALPTDSAALRHRLVPPNSTAGTGDAAASTPSGGLPRVLGIVHLAVSLGIVLATDKCLSQAFAAASIKFPSALFGMFCVISVLVVLDAMAPALAKGFMDFFEPAALFIQKWLPLFYVPPIVVLPLAVRDIPALSGLKICLVACTSTWCALARSFQQLMGNGGQKIVFIFVALFSVVAGWFASLTVAGYTALTVRKIVKTELIAAEPMGRLSPFATLQFWAWGAVFVASFATAFVSPTVLGTTARTCLPFMLASTVLGYMAGSGLPSGIKTVLHPIICCALSANLSAVAYGYFSGSGMDAALGDYLTKVPSNPGAGDVLMGFLGSVILSFAFPMFKQKKLVKRHAAEIFTSIAVASTFSLYSTAVLGRLIGLEPTLTISILPRCITVALALSIVSFFEGANTSVTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGAASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLICSFAAVRQSLVFIAS >cds.KYUSt_chr6.3171 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18571148:18581893:1 gene:KYUSg_chr6.3171 transcript:KYUSt_chr6.3171 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLCPKRQTFVRNVAGLVAGSPHSGGGDLRRAGGDHGSRKGSAAGDADGTATMFDMSEIGPWALRDQATQSGDLMPQMLAKKLAADTTVIEGHRRLSFGFISPVFADQSPRGVCMTPPVALRNEPAVISHSERVDLFRGASCNIESEVLATLANGQDPHAPDTNAGFRVTNIRLPSGDSYSGTLLGSTPEGSGRYTWSDGCIYDGEWRRGMRHGQGKTLWPSGATYEGEYAGGYIYGEGTYTGQDNIVYKGRWKLNRKHGLGCQTYPNGDMFQGSWIQGEIQGHGKYTWQNGNSYTGNMKNGKMSGKGTFTWKNGDSYEGNWLDGMMHGYGIYTWDDCGYYVGTWTRGLKDGKGTLYPRGCRVPVNDEMYINSLRNRGVLPDLRRQNHGSRILHSSSVDMGNMKVGMNRESSGASSRRNSGEQSRSKNVSLERRWSLEVAIEKFIGNENSETSGLEGSENVDDSEMPMLEREYMQGVLISEVVIDRSFSGSSKKTKRRQKKMVRETKKPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMNFPKEGSRLTPSHPAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNAALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPKYYHHVHTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIKIDSEFLKSQRIMDYSLLLGVHYRAPQHLRTRASYRRSMTTERLTVLSEEDAQEDDAFNYPEGLVLVQRANDENSVVVGPHIRGSRLRSSAAGFGEVDLLLPGTARVQIQLGVNMPARAEQIPKEDESKSFYEVYDVVLYLGIIDILQEYNITKKIEHAVKSMQYDSVSISAVDPEFYSERFLKFIQTVFPENS >cds.KYUSt_scaffold_3611.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:88677:92410:-1 gene:KYUSg_scaffold_3611.18 transcript:KYUSt_scaffold_3611.18 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPPSPRQSSRGWGGAPGRRRAFACALAGAVLALALLCLFYGAAFGPTLRRRHPRAPLRSVQQAQAPVPADLALSSLPVCDAVHSELIPCLDRGLHHQLRLRLNISLMEHYERHCPPAPRRLNCLIPPPAGYLVPIRWPRSRDAVWKANIPHTHLAAEKSDQRWMVVNGAKINFPGGGTHFHAGADKYIVHLAQMLEFPNGKLSNGGSIRTVLDVGCGVASFGAYLLPLDVIAVSVAPNDVHENQIQFALERGIPSTLGVLGTRRLPYPSRAFELAHCSRCRIDWLQRDGVLLLEVDRVLRPGGYFVYSSPEAYASDPANRKIWKQMSSLARGMCWRIASRKGQTVIWVKPLTNWCYVKREPGALPPMCGQDDDPDAAWNVLMKACITPYSNRVHKVKGSDLLPWPQRLTAPPPRLEEIGISSKNFSDDNEIWHSRVAQYWKLMKSEIRKDSFRNVMDMNANLGGFAASLRKKDVWVMNVVPFTESGKLKIIYDRGLIGTVHNWCESFSTYPRTYDLLHAWLLFSEMENQGCSLEDLLIEMDRIMRPHGYAIIRDTAPVVNYIKKLLPALRWDDWSSEVKPKEDALSSGDDERVLIVRKKLWDQALQPS >cds.KYUSt_chr2.11824 pep primary_assembly:MPB_Lper_Kyuss_1697:2:75252697:75254451:-1 gene:KYUSg_chr2.11824 transcript:KYUSt_chr2.11824 gene_biotype:protein_coding transcript_biotype:protein_coding MSKADCRDTLMAPWQYLQHPPQYVGEDRACFLAMVIWWTSREYARKHEEGKQKRSEMGGGSHVLGSKNLALTLQDEEVKTGVAPNLFGFFQKSKTRKEPHPETGSLWVNDLAEGQCGAYRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIADGLVDPSTIPSLRQIRRGRTSEQPRVETRPRASALAIEKLRAEMEERERRHQEEQMQMQQQLRENMQMQQQMLQQMQQQQQMFQQMFMTSPPGSSAPSTSCPPMFPHFIPTPDPAVMALLQQAPSQSPLTLSLTVNNTGIIRSSQQLSSSSMSILVLTCQFEPFHVNLSHYEDKEMEDKEVEKDKEVEDKEVAKVDVACMNFVV >cds.KYUSt_chr4.4992 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28571010:28573881:1 gene:KYUSg_chr4.4992 transcript:KYUSt_chr4.4992 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPSASGRRCRWTQRSRAAGDAAVRRTVSAPTPAPEEAASTAPTRRTAGFAHVDKQQRVGADSGSTSGSKLKEDNVHCPLAARINAMSVVPCKEIEHPSPVSVLQPLSEDFSDAETIKQCRDHHDPQPRPELVNLPWVGTVTDVLGLGEINNYLCSEMEDSENDETTELVEDILVEFGDEEERDFSYLLDLLISSGIHGVREDELYKVCQSLDCPAGYDVFDKLEKKYIKVPQWSRSDRKLTFDMVNTILSEILAPCLDMHPWVNSTRNMAPVWGSEGLLEKLLLLLTQRREALALSLPKPEKQAFNQTWPELADYIDRAGREVEKMIKDDLLEELVLDLMSS >cds.KYUSt_chr4.3536 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20119048:20119764:1 gene:KYUSg_chr4.3536 transcript:KYUSt_chr4.3536 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGCEPCCPWSDGLPPELLDIILRYLICPADRVYFAAVCRAWRSAAQVHKAAPCQLPCLLLPSLVSPSFLSLHSGATRRLYLPKSVHTARLCGSHEGGWVALALDQGGYAAANLCSGAMVPLPNLLRIPSEHGWVDTKCEHRMVINTVTFLGTPLTEGFLAAAHVSSASSIAFWRTGMNGHWIGCRHDMDVIEDIIYYKGRFPCPLQHRGCCAVRSQRRQRSPSGDVPYLLPGSEAC >cds.KYUSt_scaffold_869.608 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:3889192:3900206:1 gene:KYUSg_scaffold_869.608 transcript:KYUSt_scaffold_869.608 gene_biotype:protein_coding transcript_biotype:protein_coding SNNRSLQKFSPDGRHNMAALPSAQTDRQIRKQFSNLPLPKEETLARHSIFGPNGLHGQWTGPVAAIKVQYPSNLEFTQSDMTSLVPAMLNQDGLHGPSVTYPDSTTKDDDDMKSVLSLDDGRWSAPTEECTSAPSVSLEGELSQLNIRQPSPPPVLAEVHPERRPISPSRVADPTPGLAVSETGRYQNLHVPVKLMECFLRVAEANTKRSLETCGVLAGTLKKRTFYVTTLIIPKQKSTSDSCEATNEEELFEVQDNGSLFTLGWIHTHPTQSCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTRKKHGIFHLTDPGGIGVIHDCPERGFHPHKAPLDGSPIYEHCSHVYMNADTKFNMIDLRERGNKEVISMASSTSSTASNVMDGEDWLVDYLETVKLMGRTRETTMQSIQVHLSGAARSWMKKLPGGSIDSWETFEDMLVKNFRSTCKKPASIEHLRACKQKFMDVPHYTYLLWRIAGPNGPITVKGSFALADKCNKDFHKLSETFGMQAEYEVSKLTTNYDVLPDGGRPLKEQTFDTSKNSKKVQIHPTDPKKTTTIATNMDNA >cds.KYUSt_chr2.25391 pep primary_assembly:MPB_Lper_Kyuss_1697:2:155240767:155241855:-1 gene:KYUSg_chr2.25391 transcript:KYUSt_chr2.25391 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRNHRSQSARPRGRNSAPWGVVPAETLLDPTEEPSRSENDGSGGSRKRTRSDQGRCSTPPCRASTRTKKKPRRYSSEEVETEFRGCLDPKNRGRRSVRPRGNKPAGTSWAMSLDGFLNLGTAASSTLQSGGSEKMESTPRDADAAKVEENRGAAIEQGNGAGANCADKQLSVAADSITSLDTKGRNHGGDNVAAELKVSNGTYANSVVQTIVPATDTLPHLKESNRPGADALHRTAVQTNNDFDPLKLKDRANDAAGFDSKTVSGLVLENGNVEFDLSSAESALASLYGESDRDTCIEFAVKILMNETPLPKEAVEIQEFFAEKIHCEKRAMRQRQEGPNKSCSVWANFQSDKLQLASRC >cds.KYUSt_chr5.37966 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239817958:239818353:-1 gene:KYUSg_chr5.37966 transcript:KYUSt_chr5.37966 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRQVMEMRGKKPGLIIKTLDRCRSAPARQKPAEGCFSVYVGAGRQRFVVRTECLNHPLFRALLEEAEEAFGYADAGPLELPCNAEAFAEVLEQIEEEKQMAVGRRRNLARRNSYWLLGSGQLAIIDQS >cds.KYUSt_chr6.16909 pep primary_assembly:MPB_Lper_Kyuss_1697:6:106472043:106473371:1 gene:KYUSg_chr6.16909 transcript:KYUSt_chr6.16909 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDQQDSVKTYICSFVQFGCVSILATENVMVWFQKKNCQLASKILFGPQEAKRTPTIPYVRKKRVAGVTATRKSARSKGAAGATPVLEKAQRRASEKNLETVININKAQGNDYTLLDSLPDSHLELVATDNCVIFNPRVGAKEEALSLIRAKELAQATLAEAADALLQEQAAEVVAAREVAAAAPTTAAVDADGGDQGGRRGGSDPPRPGPGPGGGWSGGHVCAAR >cds.KYUSt_scaffold_6468.966 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4702239:4706971:1 gene:KYUSg_scaffold_6468.966 transcript:KYUSt_scaffold_6468.966 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRTAVKNILKYLKRTKDMFLCYGGDQELVVNGYTDASWNTDPDDSKSQCGYVFILNGAADKSGKLEAMHGGEVFNGIEYIAARRLHRSATTYEMALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKIEGNLPLYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKEMEERGLLYLGMGVSGGEEGARHGPSMMPGGSVEAYQYIEDILLKVSAQVPDSGSCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFAEWNKGELLSFLVEITADIFSIKDDKGEEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVAASKIFQGDYTSDVPVDKAQLIEDVRKALYASKICSYAQGMNIIKAKSTEKGWGLNLGELARIWKGGCIIRASFLDRIKKAYDRNAELANLLIDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSYHTEWYKIANSKI >cds.KYUSt_chr5.4825 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30598784:30601069:1 gene:KYUSg_chr5.4825 transcript:KYUSt_chr5.4825 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAEEWFILYGVPKVVAMEETIFQESTNVSFTFNKAPLPSHVTVADDALIRTGFFNYPYIAAAARCGILLLCGHSKIGFTYYLCDPLIRRTLGIVPPGGDDDVSCRYCVGLISDRSDTHLMVAELNPSSLAEHGRVTLRCTVDMCSWVDKESAECSNIVERREWRGDGVLTHKFFLWWFDLSYCILACDPFADKPRFHQIMFPSVPDAAPFYPNPIYGDVYRCLKVSNGRLRYVQIHGTSPEKMEVSMWTLSSNDPADAKWTNRVDVPFERIWDDPSYRSKGLPPQVVPAVVLVHPMRASEVYFCLPTHIFSVNLNEKKTVDCEEFSVQFVNRWSSVEDFHTKAEMIIRSRPKPHDDWGLEDIDEDRYDSDTSMTEEI >cds.KYUSt_chr4.16201 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100419680:100421016:-1 gene:KYUSg_chr4.16201 transcript:KYUSt_chr4.16201 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVVVAVPDGRAAASMTDIVVAAASMTDVVVAAADGRPAGRRGRSPSSTPPCAACKLLRRRCTPACVFAPYFPGGEPQRFASVHKVFGTSNARKIIQEVPVEHRGEAASSLVYEANARISDPIYGSVGAITSLQRQVESLQTQLALSQAEVFRLRMAEACAAAGRSSSGGGSPSSMSDGKRTPDLHVAVDEACMMELEYAKLWY >cds.KYUSt_chr1.6764 pep primary_assembly:MPB_Lper_Kyuss_1697:1:41583965:41585986:-1 gene:KYUSg_chr1.6764 transcript:KYUSt_chr1.6764 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLHPSILVYFMCLMILSLGPNHLAPCTAQVQSFVYSGFQAADIILDGSAMVQPGRLLQLTNSSDIIGYAFHRAPLHFRGGTVQSFSLSFVFAVQSEFDKESSGGMAFFIAPGRNFSGAMPGSFLGLFNASTNGRPDNHIFVVELDTFGNGEFKDIDSNHVGIDINGLISIESHTAGFYDDDTGSFTNLSLNSGDPMQVWVEYDAQTTQIVSTLAPLGAAKPHRPLFTTTANLSDVLQNLSFLGFSGSSGSLSTLYSVLGWSFAMDGPAPAINITNLPKLLRAHPESRSNVLEIVLPIATATFITAVGITIFLLVQRHRRYGELREDWEVEFGPHRFSYKELYQATEGFKDKNLLGAGGFGKVHKGVLPVSKLEVAVKKVSHESRQGMKEFITEVVSIGRLRHRYLVQLLGYCRRKDELILVYEYMPNGSLDKYLHCEEYKPTLGWTQRFGIVKGIACGLFYLHENWEKVVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGTDLQTTHVVGTLGYLAPELLRSGKASPLTDVFAFGTFLLEVACGQRPIKQDNEDKDAMLVDWVLEHWHNGTLLQTVDTRLQCNYEKDEASMVLKLGLLCLHPLPTARPSMKQVMEYLSGETTLPELAPTRFNFNMMSIMQNRGFRPSIMSSTDLTTSVGTFSDLSGGR >cds.KYUSt_chr4.53668 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332114017:332115114:1 gene:KYUSg_chr4.53668 transcript:KYUSt_chr4.53668 gene_biotype:protein_coding transcript_biotype:protein_coding MADQCRVSAAAIIAASEECSYVFNFKIQGYSRAKELFKNGECVASPPFSFGGYSWVLRYRPNRRLAGQVSYTQLVLDSDDAKYVKAQPRFSVLDKDGVPVKQLSGFIQQRIFPFRGASLGLLMDQASSGQSEHLVDDCLSIRCDLTLIKDVCGEETVGDQFVVVPPSDLHRHFGNLLESMVGADVTFHVGGKKFLAHRFVLAARSSVFEAELLGVMKENVGSPIVIHEMEPDVFKFLLHFIYTDSLPVLEFATDQGEAVVMAGHLLVAADRYNVERLKLICEHKLCSHIDANMVATSLALAEQHSCHGLKEACLQFLSSPSNLKAMMASDGYEHLKTSCPSALKDLIVRLLPVEMEVAKDIVMAI >cds.KYUSt_chr2.9465 pep primary_assembly:MPB_Lper_Kyuss_1697:2:59657150:59658935:-1 gene:KYUSg_chr2.9465 transcript:KYUSt_chr2.9465 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMESRSQHTSPVMMKPVPAPFLTKTYQLVDDPCTDQVVSWGEDETTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANELFRKGAKHLLSEIHRRKSACSHPPPLQPPLHRPHHELQPYLSLFSPSPLQAYLPFQDNSSSPAPADSSSGDFMAALSEDNRQLRQRNSLLLSELAHMRRLYNDIIYFLQNHVEPVPPSTPALPAGYCRLVELGGHVNERGAGSPPQAKPRPRDDDDAAVKLFGVRLDEGKKRKVQQLVEEGDDDHGDGDGDDQGSTEV >cds.KYUSt_chr7.36902 pep primary_assembly:MPB_Lper_Kyuss_1697:7:230524265:230530729:1 gene:KYUSg_chr7.36902 transcript:KYUSt_chr7.36902 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSEAGAATGTAKVACVTGASGYIASWIVKLLLARGYTVRATVRDPDDTEKTAHLRAMDGAGGWLHLFRANLLEEGSFDAAVDGCHCVFHTASPVLPDAEDPQAELIDPALKGTLNVLTSCKKASVERVILTSSMAAVRVNGLPRTPDVVVDETWFSSPEVCKKEQRWHALSKTLAEEAAWTFSKDSGLDLVTINPGWVIGPLLQPKLNIGAGAIMKLIDGVQQDKIISLGIKFTPLETSIMETVDSLKQKGFVNF >cds.KYUSt_chr5.29321 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185778874:185779077:-1 gene:KYUSg_chr5.29321 transcript:KYUSt_chr5.29321 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLPRTRPHSPLAAMVPKAPKAPSKMKQAKPKATKSGDPKGTKAAFNKTRKVSAPKTKLGGMTQEK >cds.KYUSt_chr6.1242 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7760430:7761461:-1 gene:KYUSg_chr6.1242 transcript:KYUSt_chr6.1242 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAMELVLFREEGMDDRQQHATVVKRKRTKRPRHHPPAAMASSSASSSDSTTTEEEDMAHCLILLAQSAAPPVVVEARSSTPATAPQEASPPAAGPTPVVAATTKTERYTSRKYTEAATTADGVRAGFYVYECKTCNKCFPTFQALGGHRASHKKPRIAGGADEDATNTAIVAVTKPSKPPSMKTASPPPPTMTAASPPLPPPALDAAPDVTTVLSLNNGGSPGINNNRIRVHECSICGAEFASGQALGGHMRRHRPLHAPSAAPVTAIAAVTTKKDGSASINLELDLNLPAPSDEESVSHPHPPPSAPATAPVVLGLGPFDSGKKRLMLTAASAALVDCHY >cds.KYUSt_chr7.40221 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249735394:249747258:-1 gene:KYUSg_chr7.40221 transcript:KYUSt_chr7.40221 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAPLLSARSAAVSVTCSSREYEDDDGGAVSWSLSSGTRSSTSSPRGRRLPPYRLLLHDEARRLRGERRGQGAGAETPRWVRRTEGQMARYIEDDRAGHVHGRHVVAAVRAARATASRPPGSMREAMASFVTKLTFREMCVVLREQRGWRQAQEFFSWMKLQVEIKFPKSFEASYRQFSDPSISMQLCYEPSVVAYTILLRVYGHTGRIELAEETFLEMLEAGVEPDAVACGTLLCAYARRGRHGDMMLFYAATRRRGVVPPVPAFNFMLSSLQKHRLHGKVIHLWNQMVEEANVVPNQFTYTVVIGSFVKEGLLEEAMDVLGKMKQSRIVPEEATYSGLISLSARHGRGEQALRLYEEMRDHGIVPSNYTCASLLALYDKSGDYSKALSLFSEMERRRIVPDEVIYGILVRIYGKIGLYKDAQRTFEEIDRAGMLSDEQTYVAMAQVHMNAGNYDRALLVLDSMRSRSVKPSPFSYNALLRCHVAKEDIAAAEDTFRVLTKYGLPDVFCCNDLLRLYVKLGQLDKASALILEMTKEDVQLDEGLCMTVMEVCCKSGMTADADQVLKEMHNDGVTVKSSTMVSPIEMYARNNIRLIREEHTSSKMLDCRTDSSALSTTLKSLLDTPGGSSIACQLIRKFSREGSTEEAKFLHEQLTALGVKPEDSATATLIVQYGQKQKLRKAQLLFESASALFPVGGPVYNAMVDALCKCSKTDEAYHLFMEMVDRGHIRDAVTISILVTHLTKHGKFQEAENIIHDCFAGEVELDTVVYNTFIKSMLESGKLYSAVSIYDRMIASSVPRSLQTFNIMISVYGLGGKLDKATEMFTAAQELGLPIDEKIYTNMLNIYGKAGRHQEASLLFSRMKERGIMPGKISFNSMINAYAASGQHHKAEVTFQEMQSCSHAPDSLTYLALIRAYTQGGCYIKAEEAIQMMLGSNITPSSLHFNHLIFNFLKEGQIDEAHRICSQMRDIGVAADLACCRTMMRAYLEHGRVNEGISLFEMTCRSLKPDNFILSAAFHLYEHSGRESEAGDVLDAISLHGASFLRNLKVGYTTLKDLDRGRAKSYDGVLHFKDVKMISLMDARGIMVAVRPMHDGDLLKPGYVLDFKYHQVKIDVSLISPPEVCDPTPDIEPLVISPSMSVSGYGSGFLPRANSQVKRSLSFATFESYPACPGYEYPTSNAEIADVIQAGYDCPQIRKRVSLPTHITDLDTDIQIQFGRFRNFQCGSQAENAEIDHVLNQVHDHIEQHLHWDVFSFTESAVGLGLSGMADSAIRDLLVAQPPHDLGHGRMLSFVRHDEGENFCSTFYTRLSWIMMLNLPFDYRTKEFIRDSVAKFGKMRGWIRDDQEPARTLVRCAYGGARDIRRSIVIRKPQQYGGTVVSWTGPLYILSSEAADNLPGDESPEPSNGNPHPQFFVGPIPPHDNWVPPQHDNWEDWNNEIVDDNNMAHGGWDEPQQHVHVQQQLSITFQYSGASFSLVHLIPGGGPEVEILVNPIQWDVVEIEDICEEQDMDDLFAPSHEEQHNNTTDGEITDVAHTTQESTDEQLIPVPTARKLVFDNVSVSQENIGDESACMLLEAEKNAVNSSAPKERGRGKQAVSAMVDTDAGVRKSVRQAMLKKGYKEEPHKEEPTPKKKPKCKPMKEKSKKENQEKDVPPITPVKILQKVGEALGILADELTEEKLMAAPDNVLPKMG >cds.KYUSt_contig_1781.99 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:583937:584170:1 gene:KYUSg_contig_1781.99 transcript:KYUSt_contig_1781.99 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHWIPVALDVLAPVLDHVVTLCPSREILVTNTSMSLLCSPDGARSSLLGTPPALPGLMHILHMSSLPSAICATSA >cds.KYUSt_chr4.11106 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67474208:67476313:-1 gene:KYUSg_chr4.11106 transcript:KYUSt_chr4.11106 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQRRLLRAVFLSLLYQYYHAESLAFRYESINTANKADFGALGDDCKINDIRADLTGESSNSYANNRGRLVFPSPVQLWDAATGEKASFTTNFSFGIDAVPGQKVGHGMAFFLTSQVNGPSNIPPDSYGGYLGLFSPGATGGEKVVAVEFDTFKDQWDPSDYHIGVDINNIASFGNYSQTLPKGSFVGRVMSAQIDYDGGTGQLSVVLRNGSSDGATYNLSANVDLRTLLPDKVIIGFSAATDSKRTAMHYVLSWSFSSTSIEGSQRRRTGVSLVAGVTAATVLALLLSTVVGVMMWRSRRKEQSTDDDDDPWSIDEDLESGSGPRPFQLSKLTAATRNFAEKEKLGQGASGSVYRGRVDELDVAIKVFSRAGSAQGKREYTAEVTVISRLRHRNLVHLIGWCDGRKKLLLVYELVPNGSLDRHLHSATAVLPWLARHRIILGLGAAVLYLHEEWGQCVIHGDIKPSNIMLDESFDAKLGDFGLARLMDHGVGLQTMTAVAGTPGYLDPECLASGKASAESDVYSFGVVLLEVATGRRPMAPPPPGDKRIFRLVEWVWGLYGRAMVLHAADEALSGVFDAQEMELVLVVGLWCAHPDATARPSIREAVEALRSGEAAKLPRLPPRMPVAIYVQPYDPIEKYVVVDDSSRASTSVKANLEMSSHDYADQPLSTDEHHIMFTSSSSPPSGSKQSVRLLRGR >cds.KYUSt_chr1.37919 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231552364:231552669:-1 gene:KYUSg_chr1.37919 transcript:KYUSt_chr1.37919 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSWWKGSRRGTADTPNPQQPNGAGGAEAQVAAAGPHGAVEVRRQRQPDATVFEFGSAAESGTAVTLAGYCPVSDELEPCRWELVPATGEGAPQFRIVF >cds.KYUSt_chr3.34444 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216083426:216087509:1 gene:KYUSg_chr3.34444 transcript:KYUSt_chr3.34444 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRFKPVEECSSEGRSEQTVAADFDGTLLRSSNAFPYYLLVALEAGSVLRAVALLLSVPFVYATYIFFSETLAIATLVYISTAGLKVRSIEMAARSVLPRFYAEDVHPESWRVFRSFGKRYVITASPRVMVEPFAREFLGADRVVGTELEVGKNGKATGFMVKPGVLVGDHKKQAVLRELGEAVPDVGMGDRASDFDFMSLCKEAYLVTPRKYSPVPKNQLLTPLILHDGRLVQRPTPLVALVTFLWMPFGFALALLRVYINLPLPERYVFYIYHMMGIRLIVKGNPPPPPKKGSPGVLFVCNHRTVLDPIEVAVALGRKVSCVTYSISKFSELISPIKAVALSRERDKDAENIRRLLEEGDLVICPEGTTCREPYLLRFSALFAELTDRIVPVAINTKENMFHGSTVRGFKLMDPYFFFMNPRPVYEVTFLNMLPKELTCGGGKSPIEVANYIQKTLSDQLGFECTAITRKEKYGILAGTDGRVPSKNKDKEKN >cds.KYUSt_chr3.46409 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292004014:292007009:1 gene:KYUSg_chr3.46409 transcript:KYUSt_chr3.46409 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEKPVQHCPLRDDGDGTGTAWTAEKRRRKHPPNSSSSDAPAATAQDHQGPISPTTDMEGVIQDQGQDHELRLARSDSEQHQQEEIWGPEVEISPEDAANYTKCLSPDAPPIYTRSSMTVEEGEDLNLRLARYRIAYYKNVAEPELARELKDPQDYSVEELQENGYFLHLGSHPSFEGCFHPIDTWVAELNDYQRLLVFNGWIKAYVDLDKKSEKWIEMGTRAGRQAKRIASRFTNLSAILVGMAFYEYIAELREDKVLEDWSLFYFEIWKLNDLKKGSLRDAVQEAYEMEKFGLEVEGMESKERSISFFEQKFRFLTREVGITENTEQGEAWDLLRKIVFKKFKPKNMAKYAQKKLEIAALMNMAPRQT >cds.KYUSt_chr3.9811 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57670193:57671785:1 gene:KYUSg_chr3.9811 transcript:KYUSt_chr3.9811 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKERGKEAVAADEGVAGLMKRLQIAERSNAVSIGRSCGSKKASLHQAVGKLFSEKAAHADNLSQTLGNIWCQLGGTTCKELRKNMFLFTFSQASGKRRALEEGPWLAGKELLILEDFVINKTLDEYKFCFFPIWVRVSKLPLGLMDRENGEKIGRSLGEFLEVGVDADGSAVGEYLRIKVRLDVREPLRRRIGTSVNVEEGDEEPAVEEGDEDGDGTEEIDEYGQRKRLRWCPISYEHLPNFCFTCGLIGHIDNECAIKLKPGEHPLYSRELKAYMPSMNKRYVDDDRGKWMGSRGGGPWRSNSSGGRASWSRSDSDSWRRRKGDDNSQPWGRKSVDDREVTSPLKIPADQSTKSAFPNSKKQLFVGGEERDQVDKEMEVALAGGGSELGPCEVPPQLSFRVISDEDQVQDTTAIKIALQVPTVEFARQGTTKQLKTPLHFEGGTTSMVISTKTKQRSYKKVKKPRTGGTGMSTKNNVGSKRELEAMDCNDDMVLGQYMKKLKGVGAHQEHNLSTDAGLRSQPCKDQ >cds.KYUSt_chr6.29606 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187645089:187645496:-1 gene:KYUSg_chr6.29606 transcript:KYUSt_chr6.29606 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGAAAVAGDDQAAGGGRSKATAAAAVWIALPLIRPVKVARRRLDDGTSSNSCGARGADEEDEEEVTTPRGEGCRIPAEAATCPPAPKKARTAAVAIVTDRRCNRDDGEVTEYYRVPADLDSVFAVVGRVAEAN >cds.KYUSt_chr2.54539 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340258224:340259533:-1 gene:KYUSg_chr2.54539 transcript:KYUSt_chr2.54539 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSGTAAKRAGTPLADAEASPKRARSSRHPSLSPSPPPSKSRSLAPSPSRSRSRSPAYLARTPPTAARRTPPPAPRRTPLPAPPPRRESSDRDDFDMSPRPSRSRSRSYSHLSEETDGHGRVWRPHAYREINADRGYGEYSVRISDYDRLFTCRGCHHMLSSPVYQCSSGHVTCATCHDDTSGCSYCLATGCTRSLAVEEFLYGITFSCRNQQYGCDAFLLHHQMREHERSCHHEPCFCPVPHCGFAGQTYELESHLATLHRWDVVRFRYGESFEAPVHNPAVFRCDDYGELFHILASREGGYGTALSMICIRPDNACKEEFTYELKVPAGGRHRLQMQSTVWNTSLRYGIGEGSDVFLLVPDKLPGNESGSIVEVCINKAAAAGAPSD >cds.KYUSt_chr6.1518 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9259146:9259583:1 gene:KYUSg_chr6.1518 transcript:KYUSt_chr6.1518 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDHGTKDEGGRRTLSGDDNKQQRLNDDSDDEGTRQPYNCTFCRRGFPTAQALGGHMNIHRKDRGRASTPPKVAEITLLQAQRAPLPQLELRLFESGHVADATDRGKEQGSARYRRKHYIAMDSERRQEEEDEELDLELRLGW >cds.KYUSt_chr2.1375 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8233364:8234323:-1 gene:KYUSg_chr2.1375 transcript:KYUSt_chr2.1375 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRPAAAHRGGIPEEIIIWEIVPRLPARALLRCRAVCRSWRKALTSLKSNPDLLLAHHRLQPSLPIASCFQLARHDLRAVAPRSAAPVASLRHPTLQVRASCDGLLVLSLSPASYYVCNPATRQWLLHPFFPHFLGFYPHRPSGEYRILHGTGPPSRSRRKTSYSVFTVGSRKPRGIGRPAASSSEEKALAHGIIKTAPDRPSILLHQSLHFYPVKNKEANINMVMAFSTTAESFRWMTAPPDVQPSATLFETDGKLGLSSVSEDMTEVHIHTLHDYGSSEAWEFRYKLRLPVVELNLSAARRSFIEVAASEEGGVLV >cds.KYUSt_chr2.15964 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100516709:100517419:-1 gene:KYUSg_chr2.15964 transcript:KYUSt_chr2.15964 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCRHDAGAHEESNADPHLQFRRTERLPSFIHSNLPDPPVSTTARLRAARTSSGVDRISHLPDSLLREIVYRLPAKDAARTALLAARRRGVRRSPAAPAPARWRRHWRWQGGHGVGIPSSTSCAASTSGVRRRCADGDGLQQGNGGMARSGGATDSRGGGASAAGNGAGEGEGGDGGGALHTCAGDGDGGTRGEERRVDEGDGDGDGLLRRGEVHGDGVARFTATAWLGCARLRV >cds.KYUSt_chr3.46051 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289889616:289891818:-1 gene:KYUSg_chr3.46051 transcript:KYUSt_chr3.46051 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSSLPSDLVRRIADCLLATNDLDYYMDFRAVCPSWRSATDDPTDSSDLRFRPGNWIIIDEVVQNDERLMVNTATGRVLRKDLPLLRQYYLVTITTNGAYFVLADREHPHAARVLNPFTGHMIRFAAAVPYNMEVTSAAFSCGSSPKLRLLWESDVCDLHGYSNLYTADPDSECFTVYEADYSFMLKRLAVVGGTFKEGELLDPVAPLQVAMAERIYDAVKLPDRYVEFYQMVFPAVQISGSTEHVSFRKDDLLESAGELILFSKLQNPLKIFRLNTDRIVLEPLESIGNRAFFFGRHRYISVCADKFPSIDANCIYHVKSTYLSLKIYKYDLEAKKVELVSEAIDSLNPNTLSVDNSPFTILQLLSSYTNNIRTSQLTRRPRRVPPGSREPTRLSWEHCCSGLSPNPGTPSAQRLPPSNLNRRASVQSSAARHQAQRPAHHCSSRGPLALSEEDPRRASHRDTPQEAGAPPASQDTSHAANTENPDEEPLPELKFPRRRLQEGYDVKDAVVARFGMPNLRFSPGT >cds.KYUSt_chr1.20451 pep primary_assembly:MPB_Lper_Kyuss_1697:1:120541518:120550019:1 gene:KYUSg_chr1.20451 transcript:KYUSt_chr1.20451 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLMRSVSTLEVENDTLWTGGPGNYTNPKAPAVLSNVRSLVDKGQYAEATAVAYDLSGDQTQVYQPLGDINIAFGEDIRYTNYERYLDLESATVNVTYNVGEVTYSREHFSSHPHQVIATKISADKSGALSCTVSLATPLDHRIRVTDANEIIMEGSCPGERPAGDENALDHPMGIRFCAILYLQMSGANGTVQVLNDKMLKLDGADSVVLLLAAATSFEGPFVQPSESTLDPTTSAFTTLNMARSMSYSQLKAYHMDDYQSLFQRVSLQLSRGSNDMLGGSTSAHSPYNISQDTAVSDCAIQMAACSRLNELSNSEKPTVDRVVSFIHDEDPSLVELLFQFGRYLLISCSRPGTQIANLQGIWSNDTEPPWDAAPHPNINLQMNYWPSLPCNLSECQEPLFDFIGSLSVNGAKTSKVNYGANGWVSHQVTDLWAKTSPDAGDPSWALWPMGGPWLATHLWEHYSFTMDKQFLENTAYPLLEGSASFLLSWLIEGQRGYLETNPSTSPEHYFIAPNGKKASVSYSTTMDMSVIREVFSAVLLSADILGKSSTDVVQRIKKALPRLPPVQISRDGTIMEWAQDFKDPEVQHRHVSHLFGLYPGHTMTLEQTPDLCKAVANSLYKRGDEGPGWSTAWKMALWAHLHNSEHAYKMILQLITLVDPEHEVSKEGGLYSNLFTAHPPFQIDANFGFPAALSEMLVQSTGSDLYLLPALPRDKWPQGFVKGLKARGGLTVNICWKEGSLHEALLWSGSSQNSLARVHYGSHSATISVSPGQVYRFNSDLKCLKSCPL >cds.KYUSt_chr1.28246 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170579522:170584468:1 gene:KYUSg_chr1.28246 transcript:KYUSt_chr1.28246 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLHVFDLPVKLTRHDKEKGLVMLSIMSLIGLGLMIVLLFRCTGELMSRGGRLSGRSCIDRRLVSTMSSGALLPVTTTSDATTLCTLLLGPSRHPDRESTPCPGLAAGQHKAVVVVVGLQEKDLDGHAEKGIQHNQKATFRGEDNEAPCRQAAAARVGKGGTNSGYSDSGGYQDSDVMEHT >cds.KYUSt_chr1.35699 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217582898:217586516:-1 gene:KYUSg_chr1.35699 transcript:KYUSt_chr1.35699 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSKLAQLQGKACEATRFVAKHGCAYSKNLLEKNKQYVVDPPTVEKCQELSKQLFYTRLASLPGRYESFWKETSQVKQLWKNRNDLNVEHAGIAALFGLELYGWFCLGEIAGRGFTFTGYQV >cds.KYUSt_chr3.8483 pep primary_assembly:MPB_Lper_Kyuss_1697:3:49065436:49067722:1 gene:KYUSg_chr3.8483 transcript:KYUSt_chr3.8483 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTCAEKLHCPSHKHIDKYTRDLLKQLRENNVNLSKVYSIIGSLFARMENVPFTKRCLRNFCGKLSREQADDDVRKTMEVFSQIGADDPDFSYVVEVDKESKIKTLLWSNGRSKVQYRNFGDAITFDTTYRTNLYDMPFGLFLGVNNHFQSIILGGVLMREEKIGSFKWVFSEFVKMMGGRHPQTILTDQARAMEVAIQQVLPGTTHRWCKWHVLRKAKESLGAHYTKRGEFQPEFHKVLNAMLTAEEFESAWHILVEKYKLQNNTFMTQIFEVRHKWAKPYFSGKFCARMTSTQRSESANHLLKGYVPPGCPMNLFVKQYMKIQFDRESEEGFQEKRTRMGGVVLRYNLPLEEHASKVYTKTMFEMFQGFMYKAGRYILVEEMQGRIYAVRHVNGEARERWSKIEYTVAVSADRGKFSCECGLFEHMGMVMMALDVQRIPEFHIVKRWTVDARDNLPSHLLHYQKDQGSKTSSSFRHSALYLIALEVVQLGDSNVAAFERGMDILLAAKVELAGLAAVKDGLGLTERATVDALVRREPEDGSLPTVLGRTDFGQVQLEREAPPTLEVAADLIAVINPPNRRKRPCMPTTGRDKPPYEVTEKRSRFCKIQGERTQKHHMSEQRRFAEEAKAGAKM >cds.KYUSt_chr3.22414 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138425156:138427150:-1 gene:KYUSg_chr3.22414 transcript:KYUSt_chr3.22414 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKPLFSRLLPLSLRVPIRPQHRLLCLATPTDLPDAPTDAAAERRRRKRRLRVEPPSSRGPVPQRTPGAPRPGSNRNALKLPEPASVLSGKRLDLHHRILTLVRENDLDEAALLTRHSIYSNCRPTVFTCNAVLAALLRQARYADFLSLHRFVTQASVAPTVATHNLFIQAYCDCRRPETALEHFRLLLKDESPVLPSPTTYRILARSLAENGKLDLALELKDGMLERGLIAPDPQVYAFVMGGFVNAGDGDTTVSLYEELKEKLGGGPVLDGVVYGNLMKGYFLKGMEKEAMDCYAEVLGEGSIVRFGAVSYNMVLDALGRNGKLDDAVLLFDRMCKEHDPPRKIAVNLGSFNVMVDAYCRVERFQDAVEVFGKMGEKRCAPDALSYNSLIDWLGKNELVGEAEALYKEMGERGINPDEYTYVLLIESFFRVDKVDDAVGYFNKMFDVGLRPNANAFNKVIDGLVKVDRIDEAQRFFDLMPEKEVKPNLASYELLLRAYINVAKLDDAIKMSKGILLDESVVFTDELKALLEDALEKEGRNGDMTKLYEDVEKEKAEAAARAAEEKARAEALAKEEEEKKKAEAKAKEESAARASRAAIDAVLGRKRQGENDEASEGTNVEEAQVVESHSDTVGTVGEQNEGDDSKKESGDASSQVIASSS >cds.KYUSt_chr5.7594 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47906168:47910081:-1 gene:KYUSg_chr5.7594 transcript:KYUSt_chr5.7594 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPLRGITNDLRGRLTCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERSTDGVLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVIMYTFMFSFAKDRDDLGPNLFLAWAGWVCVWTAILLFSMAVLGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENIKALQFVPSWRFANGMFAIVLSFGLLLTALRSRKARSWRYGAGWLRGFIADYGVPLMVLVWTGVSYIPHDSVPKGIPRRLFSPNPWSPGAYDNWTVIKDMPHVPLMYIIGAFIPATMIAVLYYFDHSVASQLAQQAEFNLRKPPSFHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQILRNRLVATARQSMRQNASLSQLYGSMQDAYQQIQTPLIYQQQSVKGLNELKDSTVQLASSMGNIDAPVDETVFDIEKEIDDLLPMEVKEQRLSNLLQAVMVGGCVAAMPLLKKIPTAVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTTYLLVCFGITWIPLAGVLFPLMIMLLVPVRQYILPKLFKGAHLNDLDAAEYEESPAIPFNLAAQDIDVALGRTQSAEILDDMFTRSRGEIKRLNSPKITSSGGTPVTELKGLRSPCISEKAYSPRVTELRHERSPLGRRDSPRTGETRPSKFGEGSTPK >cds.KYUSt_chr4.12953 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79594768:79596075:-1 gene:KYUSg_chr4.12953 transcript:KYUSt_chr4.12953 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGANMWALLLDKDPGHSAAATDLAVDVVEQKLKKAAAVTAVKVEEAPSAPNVAKPAKRVNKPKTNTKSVAKRSKQAEAASPAIVDGGGKVQVNGSAGDMWIRRYLSQETSKEVEEEAMFTVVAAGGGGSRRKVATRKRKPTVDEGVTVTGANMWALLLDKDPGHSAAATDLAVDVVEQKLKKAADVTAVKVEEAPSAHNVAKPAKRLNSAKMNTKSAAGCSKHAEPASVATAEGGGKVQINGAAGDSKQAAAADDDEELTNMWMRRYYLSEEASKEKEEEAMFSVVAARGGRRRTVAPRTGKPTVAEDVTVTGANMWALLSDEETAEVEEAPPADNIDGATVGVRSGPGNGAKPAKRRKKTKTAKKKKTETPAAPPTELDEEMSEEEEEEEMGGEIATGGSRCRTVVSRVCRAFVAVALLGLYCRFASAPPV >cds.KYUSt_chr2.51147 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319857670:319858849:1 gene:KYUSg_chr2.51147 transcript:KYUSt_chr2.51147 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRRWERELAAYDGPPPPPARNNAAGRRRWWSAPGRTLEAVVEHIEGGNSPLVVFRIGAKDGAGEEGGGVVAFDTGARQEGAGVSAGDRRAQQRRPRHPRTAFRAASGRKKTKKEAAAASRLAEEEAKRAEDAAMAEAIARSPHDMEEEKRADDAALDRAERDRQRQEAEQQRRLLDSAAARQLAARAAPTANDDVARYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQAAQPKANDDGSDDDGDGDYTVFYRHLGM >cds.KYUSt_chr5.20762 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134886978:134894403:-1 gene:KYUSg_chr5.20762 transcript:KYUSt_chr5.20762 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVREGTNRLVNLLAYKRTSLGSDISARLNENCLQNLRFLNPDVQETRFERFVGREDFFIGLGSAGRGNQFDYVRSKVQDMEWLLETNWTENGACKGSSSSAARTSNRHRGILGFRRRNGGLQRDLGAVAHHRARRPRRAARAGILAPPLVSPPRPCRLYVQALAPHHRPRRFRSLRASTVVAGHYRVDKFAHWSPPPGCNPVFFLSPSSDTVDLRPQHFSLDFLPSRDGGSWDIADSRGGLLLVNQCPGNNVAVFKDLVVCEPITRRCRVIPPPGELRGHLTCGAFLLDGNVDEAGEPISLSNFRIIVALMFEGVAIACVFSSGDDNGSDSGWMAQIPMDSLVTPHNSLYFVGQAAGSIYWSNDVHEILALDKDTEEFSCSLFPEEAMYCRHAFVGCDGGKVRLACLDRGHLKVFIQAEDTEEWVLEKGVELQQLVNQVHGQDDGELQLNMLKKIISVEEGSVLLCTEKGVGQASVDLSTMEFTRVAPDDDRYFWPTYMYQLPWPPTIKACLD >cds.KYUSt_chr4.15054 pep primary_assembly:MPB_Lper_Kyuss_1697:4:92995338:93004012:1 gene:KYUSg_chr4.15054 transcript:KYUSt_chr4.15054 gene_biotype:protein_coding transcript_biotype:protein_coding MNREQPEEEEEDLHHAQRYVRMPPEPEPGPEASSASFRLPDSARVFDELPRARIVAVSRPDAGDITPMLLSYTVEVQYKQFRWPLYKKASQVLYLHFTLKRRAFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDDEEADDVHVLPHHDDHSAKARNVPSSAVLPVIRPALGRQQSISDRAKVAMQEYLNHFLGNLDIVNLREVCKFLEVSLLSFLPEYGPKLKEDYVTVGHLPKIDTNSTEGCCSSSCFRFCSSSWQKVWAVLKPGFLALLQDPFDPKLLDIIIFDVSHHLARNGDGQITLAKEIKERNPLHFGFEVSSGGRTMKLRTRSSAKVKDWVAAINAARRLPEGWCHPHRFGSFAPPRGLTEDGSTVQWFIDGQSAFDAIASSIEQAKSEIFITDWWLCPELYLRRPFQYHGSSRLDILLESRAKRGVQIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDFPPSTWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCAVYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGNSKDTNCETESKQTQDKDIKVRRQSTLTAPASYQDIPLLLPQEPDHLDLRNGDLGLKLDINHGHLDHPNQTNFKQPLSNRKAKQDLCSQDLQMKGFVDNLGSPEVSVVIHHNNTSKANVRHIDKEWWETQERGSQVASVLDVGEVGPQATCRCQVIRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGSNSILKNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEMVSSTMDGSPWEAGKFSLSLRLSLWAEHLGLHAGEVNQIMDPVADSTYKNIWTATAKANTAIYQDVFLCVPNDHIHSRAQFRQSLAHRKEKIGHTTIDLGVALEKAEIIQEDGDMVVVGTDPAGRLQAVRGNLVSFPLEFMCQEDLRPFFSESEYYTSPQVFH >cds.KYUSt_chr4.21780 pep primary_assembly:MPB_Lper_Kyuss_1697:4:137108021:137108377:-1 gene:KYUSg_chr4.21780 transcript:KYUSt_chr4.21780 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARRVGRGGGQSGAGRCTRRPPGARASPELGRRRRSIGAWRRAGRKWPEMMAYLAARVEEAAEAKAEAPPVAAACSPPLPALPPHGDDDDDTAGTPQACGSTSEAPIVEVEAVVLA >cds.KYUSt_chr2.8741 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54981126:54984525:-1 gene:KYUSg_chr2.8741 transcript:KYUSt_chr2.8741 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFKFRPLRRAPGLRIAAIRFSAFRRTLDSIFLVSVRFQPSSSSPTRAASHGLHAQALVRLLQRKILSSEMLHLTRETIRFNNNSQLFWFRRGLDDLCPSPIRSDLQRIPIEAHHQQLNNDFLLVVSTKQQGARILVSGVEEIDTSPEDPIVHLSSPYLDVGSRGAIPC >cds.KYUSt_chr6.3439 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19899528:19901250:1 gene:KYUSg_chr6.3439 transcript:KYUSt_chr6.3439 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFDRIVFNFPHAGFIGHEQDDHMIKAHQLLVRKFFRNASHLIRPDGEIHVSHKTGQPYDRWQIEELASEFSLVISEKVNFWKEDYPGYNQKRGDGEWCDEEFPLRNGYTFKFRVERGEPEEPPSRKFTTSQRESLHRLQESLDDVTKMHQEKEQQLLMSQQQLISISESLRSCVDARITLKSRLEEEQRRSRKFTMAQRETLHSLQESLDVVTKLHEEKKQQLLLFRQELISTSESLCTSEHVKTKFTKYSSYLDLRSESYRLPMLPPQIVKKTSATNGQCFRTRSHVRLDDDLQSLVPAGHRK >cds.KYUSt_chr1.16289 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94653409:94654406:-1 gene:KYUSg_chr1.16289 transcript:KYUSt_chr1.16289 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPESSASHAAAQTGPAPRRLGLVDREELVRVIAQSLYSLGYPKAAAALEAESGVPLYPPEHDRLLLDVMSGRWDACAATIDSLAGISERNRAVAEFLVWRGHFLELLGTGDDGVRLATEVLWRRIAPLGINRKCVHWLARAVVSCEGAVPPEAVAEWRIGLFLDLVEALPPWFRVPSGRLEHLVETAVIQQVASCIYHNLPDEVTLFEDHNCHDEQVPSSCTQVLGLYCRDGYLKLLCLFADIVCS >cds.KYUSt_chr2.53100 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331289193:331290053:-1 gene:KYUSg_chr2.53100 transcript:KYUSt_chr2.53100 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTPFDYLPCFSSRLARHGGSSDDGDDLARVALKSIGFIFATTKAGSVLDYKPSIVAAAAAAPRPARHPLQPARHPLQQGSSAHAPLAVLNLADFDRVMAANTRSTVAGIKQAAHVMVPRRSRCILCTGSTTGTLGGVPALPYSLSKATVVGVVRLVPEELARPGMRMNAISPHTIATPLLVRSLARANPDVGDETLKRMVERGMSKLQGAVLEPEDMARAAVYLASDEAKYVTGHNLVVDGGFAVGKLINVRPAS >cds.KYUSt_chr6.17957 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113067809:113068054:1 gene:KYUSg_chr6.17957 transcript:KYUSt_chr6.17957 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIYQPAMDLDASTSDLVSAPPLVGPFSGCAAAHQTEFDDDYLRAIDQRTASGVSLAVRRRPRRARYPARRQVSVQQRG >cds.KYUSt_chr4.4897 pep primary_assembly:MPB_Lper_Kyuss_1697:4:28039550:28039984:-1 gene:KYUSg_chr4.4897 transcript:KYUSt_chr4.4897 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMNHYRDQPPLSLSLSLGAVAECKKKLRRAAAGGRGESAAGEFACKTCGRSFPTFQALGGHRTSHLRGRHGLALALAGDHYSVKPKKTTSTDDQKPAAVHQCHVCGLTFEMGQALGGHMRRHREEAGVVAQAPPVLLQLFV >cds.KYUSt_contig_723-3.54 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000066.1:290130:291551:-1 gene:KYUSg_contig_723-3.54 transcript:KYUSt_contig_723-3.54 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLGLPPSVVEDARSIIAELAAAQEKYLGHMSNIFTYVRWSLNAWSISTCWFSSPTHTGTSWVSNAVPAHAGTSGVSGMSLTQGHELIVRKDEEAVGIFHGLNIYRSV >cds.KYUSt_contig_946.89 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000087.1:691235:691612:1 gene:KYUSg_contig_946.89 transcript:KYUSt_contig_946.89 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEAWTLAGCGVATSKSSSSAVATQELLYPAPKKHTSSFRAVAVSSSKCQDRDAIVLIGRRRGITSCLLAALAAAGAGAGAARAAILEADDDLELLERVKEDKKKRLQKQGLINSSTAETGQPD >cds.KYUSt_contig_1781.73 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:421190:429095:1 gene:KYUSg_contig_1781.73 transcript:KYUSt_contig_1781.73 gene_biotype:protein_coding transcript_biotype:protein_coding METTPALERRPALIHHILCMLGDAPSARMVADEAKPTALVAEREKEAPPIWTKSVTSQAVKAAAAAAAAAAEGRKLSAETSVRCDGLPEEEATCARCRPWTRMKNPHPVEAAATSQPARTTKSATAARVAPIGSGVPVEPLMTRAKASAMVPPAESIPVEPLMTRAKASAMVPPAESIPVEPLMTRAKASAMVPPAESIPMESGGGRPSQQSSSSTERILQKGPAPREDPQSDQFKSSAAVGIQKGLSALEGVTVATSSEEDGISAHNVYNQYLDLYIYGFPVSSFWFDMWIVKPLILHDREFIGPLQYLKSRGRNECPPLDGTPNFSHEVTAVLNSHPGPIEYFRLDSSEWSSDQLLQWMRILRSKSVNENTLLAALLTVPLKGKRMDELEMNELAEIASDASFGNLRDTYCVKHHLRCLQLESFLGGDAETDLIIAVMRGQTWATNSDGEWQMTHIDWHGYDGCQLDKSMPTTLVGMNEHSVLVFLPLKRHFVLVSLADSLRDWAHGLVPWGEEAEQRREEEQRRREEQRREDEQRIEEERRREELQQSHHDEDVDPFNLEDTTWAAAGSRLQAECAICLKDFEAEDMVSTIPCDHCFHQGCISQWLRVSCVCPLCRRAV >cds.KYUSt_chr5.31001 pep primary_assembly:MPB_Lper_Kyuss_1697:5:196258493:196261583:-1 gene:KYUSg_chr5.31001 transcript:KYUSt_chr5.31001 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKRAAMLLLLHFMLFHAVTSALAERRSGTTIRRPRQQPLLQEKATLLALKRSLILLSPSVLADWNESNSDVCGFTGITCDWRRHHVISLSLAGMNISGGIPPVIGNLTRLRILDMSSNFLTGLIPAELSNLRRLDVLKLGQNQLSGGIPPSLSELASVFYLSLRDNRLSGPIPGVIFKNCTYLGVVDFGNNDLSGEIPGEASDNLADWFIALNLYSNRLTGRLPRWLANCTYLYLLDVENNSLADELPSGIISGKQQLKYLHLSNNDQFWSHDDNTNLEPFFVAVSNCSQILEIEAGAVGIGGLLPSRLGTMLPPNISHLNLELNEIKGPIPADVGDVINITLMNLSSNQLNGTVPTSICALPKLERLSLSNNALTGTIPACIGNATSLGELDLSGNALSGSIPSGIGSGLVNLYLQNNQLSGEIPATRLAECIRLLHLDLSNNSLKGEVPERVSGTDILYLNLSHNQIRGELPRGLGDMQQVQAIDLSWNNFTGMISLQLGSCHELEVLDLSHNSLTGVLPSSLELLEDLKNLDVSDNSLTGEIPINLTKCTSLKHFNLSYNDFVGHVPITGVFADFTFLSYIGNPRLCGSVVRRNCQRHHPWYQSRKYLVVMCLCAAVLAFILTILCAVSAQKIRYRLAVVREEMFRGRRSGGSSPVMKYKYPRITYQELVEATEEFCADRLVGAGSYGRVYRGTLQDGTMVAVKVLQLQSGNSTKSFSRECQVLKRIRHRNLMRIITACSLADFKALVLPFMAKGSLERCLYAGPPAELSLVQRVNICSDIAEGVAYLHHHSPIKVIHCDLKPSNVLINDDMTALVSDFGISRLVMSVGGVANTADVGASTVNMLCGSIGYIPPEYGYGSDPTTKGDVYSFGVLVMEMVTRKKPTDDMFEAGLSLHKWVKSHYHGRADVVVDQALAGMVMDQTPEVRRMWDVAIGELLELGILCTHESASMRPAMIDAAGDLDRLKRYLGGDTTATFESSLGFSSMTIEDIDD >cds.KYUSt_chr2.29558 pep primary_assembly:MPB_Lper_Kyuss_1697:2:181868329:181870365:1 gene:KYUSg_chr2.29558 transcript:KYUSt_chr2.29558 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKPGLMIPLSTTLVIFVALVRESFAAEDGQGFIYSGFAGTDIMVAGLAAVEPNGLLQLTNGMVQLKGQAFHPSRLRFHDPNSVNGTAVRSFSASFVFAIRSIAPGVSAHGLTFFVSPTNNLTSAFSNQFLGLFSKRNNGNASNHIFAVEFDTVQSNDMLDMNDNHVGIDINGLTSVKASSAGYYNDANGTFYNLTLASFDAMQAWVDYDGISKEIAVTLAPLGMARPRRPLLKTTYDLSPVLEDQSYVGFSSSTGILESRHYVLGWSFGMGRPAPAIDATTLPKLPRLGPEPQSKLLVTVLPIATGTLVLAIVSLAIVLRRRQLRYAELREDWEVEFGPQRFSFKDLFKATEGFKEKHLLGIGGFGRVYKGVLRKSKAEVAVKKVSHESRQGMKEFIAEVVSMGRLRHKNVVQLLGYCRRKGELLLVYDHMPNGSLDKYLHGPPGNNRSLDWSRRFHIIKGVAAGLLYLHEDWEQVVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYIAPELARMGKASTLTDVFAFGVFLLEVTCGRRPIEQKEFQECPVLLADLVLQHWRDGSLADMVDERLQNNYNFDEACLALKLGLLCSHQLSCTRPCMRQVVQYLDGNAPFPNQMLEEVTSNYRGPEPCVASSPPPSTSFGTISIDLMISGGR >cds.KYUSt_chr6.7584 pep primary_assembly:MPB_Lper_Kyuss_1697:6:46208712:46209914:-1 gene:KYUSg_chr6.7584 transcript:KYUSt_chr6.7584 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAAVVQHPGGRVERLYWATSAAEVMRANPGHYVALVTLRVAEERHENADGTGARRTVRLTRLKLLKPKETLLLGNVYRLITSHEVTKAVQARKEEKMTKARQQLEQLESSLSRQSKARPTADDVEDDDDDEASLDASLEQLARQDGDPCAHRSSGARHQQWRPSLHSIDEAAS >cds.KYUSt_chr5.17037 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109795069:109798144:1 gene:KYUSg_chr5.17037 transcript:KYUSt_chr5.17037 gene_biotype:protein_coding transcript_biotype:protein_coding MATICVRDSVGDLRVYEPAIQDDGAPPPKEREQDRLRLLEMIQQHYEDALSRLTEKCGCGAMILERFLGGGICLGLLDARSNIIANTHLTGVTRPVGRDEVLAAGVVNEAKLRDIARRSLDGLVAFLTCFFPYLAGWEAVRYLLLAGADPLIAARVISEDRGLRTFRPASDGALRLALRCGAITAKHPRPELLALMWTSPPLESIRLLAAQRELPRDGCHHVFGKVSEEEVAAAGPPSHLHRPWPELAARDCSKVVTEVPYQHSTSLRRVLLGTIHGFYLKALARMPTGELQSRFHRGLLKAGHCYGPFDPVSNIILNTIWYENSFPPPIQEGKLDAVGTLSLMRIEARSFYRLVSCLCTRYPDLTMHQALRCLLDTDLNLELSLEAAMRMQDQQQQQSRCSTVQSAYRAAAIAAWHPKPDAQVEFLCSCKDILGVSRLLDGGQQLRSQDVQHLASLLCPNSPAGTPLQQPLVATRRLNDVMAEGRKHRRALRRISKKINAALRRYESQNSPQCYQLHVICGVNKFVSGPEYGEDCAPGVYHRTHANFLATQHVGSIASTPTLFFAELSNGDDDEDSQLLCCPVNFPPPCAEPVRCLFCENKGIRIVHPASQTFHGLELEFDKMVRREDLYDKEFDPELEEQLYTNHRILENSELVAEWVEGLDEDCMYVDTDDFSDD >cds.KYUSt_chr2.5021 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31126269:31127867:1 gene:KYUSg_chr2.5021 transcript:KYUSt_chr2.5021 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDPDARPAAAAVVAPPLAVFASLLAARRFGAAKSMLPSLLTPSLLAVPFADLVAASLPRAAPQHAVAAFHDMLFRAYADAGAADRATEAVDLTVSRLGRLDPRSLTSSLISLRQAGHLPAAVEILNKALASYPDSVTPLSASVVVDGFCKAGRMDDARRLLDEMPTRGVRLNACCYNPLLDTYTRQKNDGGVAELLKEMENAGVEPTVGTYTILVGGLSKAGDISKVESVFDEMKRKNVAGDVYFYSAVINAYCRAGNVRRASEVFDECVANGIEPNERTYGALINGFCKIGQIEAAEMLFTDMQLRGVGHNQIVFNTMIDGYCRHGMVDKALHIKEVMEKMGIELDVYTYNTLACGLCRVNRMEEAKTLLHVMAEKGVAPNYVSYTTLISIHSKEGDMVEARRLFRDMDGKGSRPSAVTYNVMIDGYIKNGSIREAERFKKEMDKKGLVPDIYTYAALVHGHCVNGKVDVAMRLFEEMKSRGTKPNVVAYTALISGLAKEGRSEEAFQLYDDMLGAGLLQTMLYILCL >cds.KYUSt_chr7.7062 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42501004:42503607:1 gene:KYUSg_chr7.7062 transcript:KYUSt_chr7.7062 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAAAASAALRREDLLRIASPLRSSLASAPYAPPEGSSTSVKSLLASLLPSPSQHPPAVEAGKEAVDLLLFCAAARAASAEAPALHWVPEGLSKAAAGAMEEMAAAGGWADAGEMLLALMPEAVPPLKAVLKETDVDAEDDMICADRPPKEHAFVAAHQFRWLLSQVNYPKLGDLCWLVIPCALTALDHWSPDVKEQGMVSFMHIAKNVKVTELSLYEDAILDACCNNIPADDELWYRIVEVSVLLLTCTQRSNPRSPWYSKMLAEMLGHLERQPLNKERRVAWLSLIGPVFDAMGLFLLAHFRLLFSLFFQWMHADDDRTVLLVLERTHAVIKLTWIRQSPYISRLVDELVLLYKESATRKSREIMRNHIVGILVLLQK >cds.KYUSt_chr2.25303 pep primary_assembly:MPB_Lper_Kyuss_1697:2:154736971:154739239:1 gene:KYUSg_chr2.25303 transcript:KYUSt_chr2.25303 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISFFFLLLTCLAALQLHANASQEAQLRAFISSRKKTDSSTDTFKVRNIADRVASSLSTVSSVSDKEADKITGLPGQPEGVDFNQYGGYVTVDEENGRALFYYLVEAPSAAAEKPLVLWLNGGPGCSSLGYGAMQELGPFRVSEDNKTLTRNVNAWNNVANVIFLESPAGVGFSYSNTSSDYDLSGDERTADDAYVFLVKWLERFPEYKDRAFYISGESFAGHYVPELAATILLHNTYNNRTIVNLQGILVGNPYLDMNRNIKGAVDYFWTHAVMSDEVYTNVTKNCDFDSVDGEWYSEPVCSGALDGFQPGDIDGYNIYAPVCLDGPNGTYYSSGYLAGYDPCSDYPTNAYLNDPAVQNAFHARATKWKSCTNLHWKDAPMSMLATLKFLIESKLPVWIFSGDFDSVCPLPGTRYTIQDLALPVTTSWRPWTAKEEVGGYVQQYAGGFTFLSVRGAGHMVPSFQPERALIMLSFFLQGVLPPYIEQQ >cds.KYUSt_chr1.29523 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178697282:178702342:-1 gene:KYUSg_chr1.29523 transcript:KYUSt_chr1.29523 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAESPPPSLSSLSDDDHFADLLAAELELELSSAPHGDPSESPTGHDDGEEEEDVVVEVDAVEQGSSKRCRVEEQHKDRRTAVMPDEDAIGSFKDAQIKFCPPHPGYCFGLCTKCGKIQDEEDVSGVHFGYIHKSLSLGTSEMDRLRGAEVKNLLRKRKLGLISDLDHTLINSTRLHDISAAEMDMGILTAASKDVPNKSLFNLQGMHMLTKLRPFVRKFLEEASNMFEMYIYTMGDQAYAVEIAKLLDPGNVYFGSKVISKSDCTERHQKGLDVVLGDESTAVILDDTGNVWQKHKENLILMERYHYFAVSPRHFGFSDRPLSELMQDERESDGALATILDVLKRIHAIFFESGVETALSSRDVRQVIKRLRQEVLQGCKLVFTRVFRSDCRPQDQIIWKMAEQLGAVCCSEVDSTVTHVVALHAGTDKARWAVKNKKFLLQPRWIEACNYRWHRQPEEGFPVPSSKEKGKEKVAEDAVAAAD >cds.KYUSt_chr2.12266 pep primary_assembly:MPB_Lper_Kyuss_1697:2:77651612:77659383:-1 gene:KYUSg_chr2.12266 transcript:KYUSt_chr2.12266 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPAASFSAALAVEDFPWVRLIPSSFLLEPSRAQCVLSWISLLVVAAQVDREEEMGMTPDKFREVFDLAQRGARAFRERRYDEVINHAAAQKCFHAHLVVIRISQVLRERSAADSEYQPLNGLDPTTHAELALKDAEKVLTIHSNSPKAYLLKAYALILLERYHEARESLLAGLQVDPLSHILQICLSDLDRNTNAAAGARRPRLDRTDDFECTLCFKLLFEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPRTYPISVTLNNVIQRNFPEEYAERRLEHETTTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRVMEGNHRMGMVAIDSATGTVADCGCEVEILECEPLPDGRFYLERLQVHYYIMLPTCAAPRHIDGSPSLGEIHGTTNVFASGMMMTRISNLVPSHSLIGYNRNNIITRYRVAEIEWFKDVSLPEGSQERKDLIERTNEASELARTYIRRARETTRTVRRTRHFDLESMPGPQDPEKFSFWLVNLINLRPSDKLDLLRLRDTRERISRSLRLLGDAEQGCRVQ >cds.KYUSt_chr2.46215 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288584597:288590328:1 gene:KYUSg_chr2.46215 transcript:KYUSt_chr2.46215 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNGGAFVLVALCVSGLALRVAGAGEPLMPAMYVLGDSTVDVGNNNLLPGCSPDCRANYQRYGVDFPTHKPTGRFSNGYNLADQIAGGGWVKEEEEVVVVVVVVVMEVVVVEEVVEEEEVVVEEEKLVVEEEEVVAGGGGRRRRWSPEEEEKVVVAGVAQFLGFTESPPAYGSLPPERILGQMKTGINFASGGSGLQDQTGKHCGRVYTMTDQLEEFTSAVQMMGNSSYDLISRSLVFISVGSNDLFEYVDGNATLSPSRNDTAFLEGLVGTYKCYLQELYAVGARKFSIVSPSMVGCCPTQRYAGATKKDLDGYRCFGTANDLSRQLYTKLHSMLQDLSVDLAGMNYSICDSAAMAESVLKHAASPTLNLTVLDTGCCGGAGLSGDGKCTKFASVCPNRDNYLFWDGFHPTQAASAEAAFALVADQGLYVHPINITRLAAL >cds.KYUSt_chr3.273 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1618276:1623266:-1 gene:KYUSg_chr3.273 transcript:KYUSt_chr3.273 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVVYMLHNEPENLERVNAHEEEFGSGSESGEGVWRLLSKEVAEHIDDSVISLASFHAGGHTGSSLFFACTGVIIKSNKASTSFVTSLSLIEVRLPNNYLCIGMLEHYDLEHNVAIVSVEPFFVFCTARLDRRRRFKIGSEVVALGRCFNSGKLMATTGVLTENRGRIYREELGVSTCEITMTGVGGPLVDFKGDFVGINFYAKEESPFLPRDKILDLLTRFKTTPPRCWDKSKARESRESHEFDLEGSSSPEMKNKKLKPSICTICDPECQSELEDRLVKKLPRIYRWPHDWGFVSVESRIDKFKSRGYPLPVLEDCGMELRYNFEDQFSEDIWSKLPKRVASNMSRSVVALASFSGGARLFACTGVSIDCNGSTTRVLTSGSLIEVRLPDKRHVPGTLQHYNLHYNVAVVIIEKFRCTRTANIDNNVKTDTLSEAIAIGRVYESGKLMAASGTLFDKKRELDCDDLKISTCEITKAGIGGPLIDFNGNFIGMNFYGLEETPYMPKDIILKLLTNFDAEGTVDADFTEVPNPNRWHVPKPYWCYPSWHDEMEEVGQDSAPNGTTNSVR >cds.KYUSt_chr4.27750 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174302319:174311494:1 gene:KYUSg_chr4.27750 transcript:KYUSt_chr4.27750 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRSFKVILEKVFKREHISSHAVESDKGRQGGGVHRPLPRCGAISALAALHYLCISSSSSASAPVPPVLCVTFGSPLLGNEALSRAILRERWGGSFFHVVSQHDVVPRLLFCPLDAVHVRIIVGMQLQQRARVAESEQEALRQLIQAHIRSVAMEQKLAAPETRGGSPYRPCMPGSVTSLEAAHSCYGDLVLKMPQQLLFKRRPHTIDAPVMVFNSNFDAGISLALEASDIDGEATEGTTARHWLKASKRAGRSPSLNCAGLATRLGKITPSRAQIEWYKASFHADMGYYDTFKLQRTSGAAFSSSVLQVLNCSTSGNYTTSSTYAANVNHLLAALRGNTVSKNGGFYNGTAGEGPDTVYGLAMCPADYSRADCGDCLTAAAGSNADGLTNRCPGSTTVLAMFDRCLLRYSNASFFGTPEIGIVQALGGDMVRTSATYGQTVAQTLNDNTNEAITSPQRLAASAGAPYVFVQCTWDLQEDKCKQCLDALSASATNLFAIRTEGQPKSYSCTVRYSNTSFMVVPFTAASSGPTPQSVDQAGSRAIIVAGIVIAVVVVSCLVASLWYMLKLRRCHHTFRRGEDEERLGQGSYGIVYKGRLEVEGIERHVAIKRIIDTVSDQSRKYFANEISIMRRVNHRNIIHLVGLCEEGDNLLLVYELMENGNLENKLYPRNGAMDLEVYSQMDPATTPLLLDWHKRLNILAGIASGLVYLHSECKERLLHRDIKPGNVMLDNSFNAKLCDFGLLTQVSHTKTSRTTEHICGTPVYIDPVYVDTSRVCEQNDVYSFGILMLEVVCCEKPKLLGTRNSLIEKVWTCYQSNGILNAADQRLRGQFDDQITRMLMIGLSCVQPDRRLRPHIRRVMECLTNQTARLPSVSTSSYITVTLWENGPVSSTSDNCASTEDGYITCPTVLRAQPGIVEDATGTTPFLSGP >cds.KYUSt_chr2.10884 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69113832:69122391:1 gene:KYUSg_chr2.10884 transcript:KYUSt_chr2.10884 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPGKFVSVNLNRSYGQSTQPHHGGRPSRPAAPSAAAAGGGMVVLSRGRGASSVAKPPQPKLSVPPPLNLPSLRKEHERFDGATATSAGGAASAPARSGGAVAGWTKPAPASEKPLGSAPLPSGVARPPSYGFQDKAAVLRGEDFPSLKAAVAPPPPPPVQQRHKDGDGARAATPEARPMPLGMRPQVTPSRGADHLASAGAAVHASAEKARKHDLGPLPLVRLRYNSDWADDERDTGLSLPERDNRETGFGRSEPMAPGRDLYMREPDSFGRVSVAAAKEGGQDGPWRSPLPNHTRDRETVTSGASKDLRTSNREPTVRAYGQNGTELHGAAHVGERHIDNSNNWYRGNPFHNNAVSKVQPYLGNKGPPINDPGTKFGRDKWLVGSSAKPLVEDLSFDSISAVNLSSIKKKKEATKPSDFHDPARESFEAELDRILRIQEQERQRVVEEQTRVREVARKQDEERERLIREEEERRRLVEEEARRAAWQAEQETLEAARRVEEQRIAREEEKMRVAMEEERRREAARQKLLELEAKIARRRAEPNMRGGNLLSVANDELALGASKDRDVAQYTNAGERLDIDRMREHITTSAALESSSVNKYSDTVPRALHTLGDERSCFVDREHVYHSAGAAFEGQENLHYGPRHDTLGTKRASFPKKDSYDGFGALSVGPSSRGQTTDSPWALEDYRQGRVPRWDAPREDDSFDKQSEFDSDFFNSDRFGDAAWLPSGSYGIPAAQQGDRMFQNSEANDFSSFTRSRYSMRQPRVPPPPAVTSMHRSAIGTSTQRANSSFIDGGMRESSSSAYQEASLQHGTPAGDLVVNEQHNEDRASPILGSQSSLSVSSPPTSPPHVSHDEMDVSGDSPALPTSADGDRTVVSDNDLAASTLDAANISRIATSSTASHMEDDEWPSEHNEAKQKQDEYDEEGNIYQEDEINDGDDDNLDLDHEFADRHLDLDDGFADGQNTPVEMEPVILGFDEGVQVEIPLNSELELTPVKSTEREVGVHSGVVEQEFCSGSDDPRNLVTEAEKRLQDLDLDQTNALTGESSVDPSGGTAASSSKLPQASFTHTTESSASTVIDRNDVPVSLQFGLFSGPSLIPTPVQANIQIGSIQMPIHLHNQINPTLAQMLPSSAPLFQFGQLRHVRPAPQNVQPHSQVVPSVHPPAPNPHASKPNGSGYLPNEMNRDANHNVPSEPVSSSFIDKSVLPSAELGMDHINFHHNAPANNQMADVNGFHSRLDSTSIGENASSGVSKGESQRNNDLSLKRNHKSTSNNRESSQFGPYGNVLNGPKAPGAVSGGRGRRYGYAVKESIMGSTGSVVEPSHKDSRGFQRRSRKNIRRTEFRVRENVEKNPTQASESFSHDEQNEKPFPDGSEREISVRNLNRKEGDRSFRSNEASDISGAGPSSTSADYYSKTERVAQKAPSYERSHYGNKKSRAGTISEGDANTSLLAGVVRVVKQQGIEVPVDADGFIEVRSKKQIMSVRREQREKENRSKMRMAKAPRKQHQISLHSSGSSSFNNKGTVSLGGASAKKVTNSVPAVERRIGQIEPSTPLIGETASVTPIAPPSANTGSHTNCYGKKPIQGQASSNLITSSAAAKLVAGLSENNNKASSIGTPFNMGTWDSSQTNQQVMSLTQTQLEEAMEPAKFERAGPLESDNALSPTITTENAYTSSASPVNSLLAGEKIQFGAVTSPTVLAPITRTISTGLGAPGSSRPDMKIDRRPGDDNGATVLFDKEKATTKEPGPSSEEVEAEAEAAASAIAVAAICTDEAVSSTADATAASTTGNKSFSSQDLTGLTAGGAVTVQRGQSSREEPLTVALPADLSVDTPSMSLWPPLPSPQASGPMLSQFPGAQPSHFSCFEMNTMLGAHPFTFGPSDESAGAQGQQPQRSNALPSAPLGAWPQCHSMVDSFYRPPTGFAGPFISPGGIPGVQGPPHMVVYNHFAPVGQFGQMGLGFMGATYIPGDKQPDWKQNQGPSVSISQSDPSNQNMLPGQVASHGVPTQVQHLRPTSIMQIPSPLTMFDMSPFQSSGDIQMQPCWPHMPVQPLHSVPLSVQLQHPVEGTAAPQYVHNMPSDKSSTNDRFQEPSTSAMPSDSNKSFTSTAASQFSDELGLVKQPASSSSDAKTVQSSLVRTGMVSNEVPNSAKVMVRTNAPNINPGNATGVTSNPNPGQVANMPSKPHQSSSSPDQQYKHPVNNQDQRARVTQKTGPLNEWQRRSGYPGRSQNSGSDKKYGTGRMKQIYVAKSSSTSGPAPSG >cds.KYUSt_chr2.40423 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251134352:251135965:-1 gene:KYUSg_chr2.40423 transcript:KYUSt_chr2.40423 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKIPQPCNRVTPPGTLGLDGLATISGHNPPPAAASAMPPFRRWADLPPDLLCRIGDSLDLKCYASARGACTAWRCALSPPSPSLLVVLDDARCCPSAASLPTHRSFDLKAILSGGRCVGSSNGWLALSVCLYGGHSMFSLFNPITAAEIILPPLIYESRWVSKLVFAPNPARDDFAAAAICDIDRLAYVTAGARRWAILDPVRLTAGDQLADVVYHDKGRVYCLTRYGDVHVLRLPERRRRKPIMVEDPAAGPSSGPAIPLLSYSSTADRAALRFQGRRTQTQQQRNMRMICYEHRRWREQHPIAIPQATTMKGTYCTDLFMPLRRVPPGSVGPDLNAPATVEPFLSDGNLPFDPATSFAPPYNTVSVFTSAKNLVFCDGNMYQIWRNASCTVTLQLPGGGHRRVAENEVLVLRYYPRRQPCWDAVQDLGGYSVFVGRNNAVAMYADGVLGLKGNCVYWIGGRGRDQGMVFDMATGRSTPCLPAAGVVPGAPQSTICWYFLSDMVNNCNNNEGRRVYQTRARVRADREQDMETEE >cds.KYUSt_chr1.39880 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244099664:244100026:1 gene:KYUSg_chr1.39880 transcript:KYUSt_chr1.39880 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMHHGHEMMRRPSSGHEAALRSVQKPPSKPWRTGAPAPTPPKVYRVEPREFRDLVQRLTGAPAARQQRAALAPAQPVPVRAGGEEVAGQMYTAAPWHSLFPLMAPAALHPGLDGHQLI >cds.KYUSt_contig_1790.170 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:915047:915718:-1 gene:KYUSg_contig_1790.170 transcript:KYUSt_contig_1790.170 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHGVDGAQCGLHAHPKKDRDLPSIHEEPPERASGHQSQVRRCRRGDADGWPGQRDQAGGNRVSPVVAASVIAAVTTPLVTMTVLAASIVSSRSTRAAMEEAASPALRAVIMVASAVIFIAAVAVVIVTATVAIVAGGWGAPVPVPERTLASNISQLPVVALPRRVMRRPAFGVRRQVFVEIQVDNDPAGQSLFPLQRRVGTNVDDRRRAIKDCHPHDLGQV >cds.KYUSt_chr4.8517 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51141430:51141678:-1 gene:KYUSg_chr4.8517 transcript:KYUSt_chr4.8517 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGSKVLEEIGVGVKTNASAQVGVTSAVGASSRLDAKSMAVGVDKITAPQARGGVMSKVWSRLIPSGCGCLPEVASMWLAI >cds.KYUSt_scaffold_2697.211 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:1438387:1441240:-1 gene:KYUSg_scaffold_2697.211 transcript:KYUSt_scaffold_2697.211 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRSDPNPKEAAGKVGSALFVDMEKVRQMEGSGENNVDGQKKVSSLLRLFMACMVSGGIQYGWALQLSLLSPYSQTLGIPHQYVSLTWICGPIAGFVVQPIVGYYSDRCTAKMGRRRPFILVGCLIICLSVMLIGFSADIGRRLGDTKEHCSATTGPRWAAAAVYIVGFWFLDFANNTVQGPARAMMADLSAGNHGPNVGQAIFCLWMAIGNILGYTAGANGKWHEWFPWLKTAACCDACANLKGAFLTAVILIAISMSVTLLLADEKKLDKADVEAASGRGCCSAFGDLFKSLKNLPPAMFKVLAVTAVTWLAWFPFLQYDTDWMGREIYHGVPQGPKQDIYDAGVREGAIGLLLCSVCLAVSSFLIPKLCRKLTSKVVWSISNFMVFGIMTAMVVVGLISTKGYNTSYTDSLTGPDPKLKATALTLFALIGIPQAVLFSIPWAIASEVVANEDGGQGLAIGVLNIAIVVPQLIIALTAGPIDKAFGKDNTPAFGIGGAFAFICAILALVLLPKTRGTSSAVIGGGH >cds.KYUSt_contig_662.450 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:2491247:2492533:-1 gene:KYUSg_contig_662.450 transcript:KYUSt_contig_662.450 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVPSRPFLVMGDILYDIKLVDLPSCWAKVKCLKKEAYGCGEHGDLIVEGLDLYVRGGPDDDQDFHPCMCIGASLRVRSCIGGQIDQDMAEIYGRHIRISGGVYTVAGHVMVLRISFIARHDSSYFRSYYLVYDSAAAALSLLPHDVPGYQITCTPFPVKHPGGKYSLVLTAEKFTAEGPLHRVFLTWSQPPVLPARLSKFNSYKHGWVERSSLFQHVDEFVPSVVFSHKRITLWCDLGLGFMYYDSSHFVLGDRPVGEFKYLPSGCGARYSFDQPPMDMYRNMGSVGNCIWFVIIEPPKEEEDCPGETMVKVWAMDRLSQEGSWKLHSEFKMQTIWELDGFRKHGLPRTVPKFPILREQDHGILYMLLPEPYNGGPSYARLVGIDLSSSRAGMRLVVHRRLVIPWMDRPVVLDSDFFRPGSNVTV >cds.KYUSt_chr1.23050 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136753706:136760633:-1 gene:KYUSg_chr1.23050 transcript:KYUSt_chr1.23050 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRPQLLLLLHVLIFLSLTTLLRMGSVAAAVPDGDREEEEGARALLALLQRVQSEALRALGPRDFDPKLYVDLPLAPGADRAAAEAALASVATREEMEAYLARYFAAAGSDLLAADPPDFDPEPRGFLPRVAAGGEARAWALQVHALWKDLARRVAPDVPAGPDRHTLLPLPGRVVVPGSRFREVYYWDSYWVVRGLLVSKMYETAKDIVLNLVYLVEEYGFVLNGARSYYTNRSQPPLLSSMVLELYTATGDLGLVRRVFPSLMKEHSFWVSELHNVAIMDNDGCVHNLSRYQAMWNKPRPESATIDEELASKLNSITAKEKLYHQIASAAETGWDFSSRWMSDPTDMTTLVTTFIIPVDLNTFICKMERDIAVFAELIGEKATAEIFSGASKSRHTAIETILWNSEMEQWLDYWLPLDGNQGVYKWESKSQNHNIFASNFVPLWLNAHNSGLVPFLDEAKSVRVMRSLQTSGLVYPAGIATSVSNTGQQWDFPNGWAPLQHLITEGLLNSGSTEAKKFAEDIATRWVRTNYAAYKSTGAMHEKYDVEACGKSGGGGEYKPQGFIAAAAGIVSAYTLALPDGRHGLAAAAGMVSAEDPEQPNLDATCLKESC >cds.KYUSt_chr2.26992 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165148363:165149959:-1 gene:KYUSg_chr2.26992 transcript:KYUSt_chr2.26992 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAMTPMAASVYYPATQPCSYSSYPRRRRHAVVVAASASSSPEETKDVVIVGAGIAGLATALSLRRLGVGATVLEQGPSLRAGGTSLTLFKNGWRVLDSIGVADELRAKYLRVQGMRMRSSAGGRDLREFSFEEEAPGQEVRAVERRVLLQTLASKLPPGAISFSSKLKSVAGQGAEGTLLELQDGRRLLSKVVVGCDGVNSPIARWMGFSEPRYVGHMAFRGLADYAGAGGQPFEPKVNYIYGRGLRAGFVPVSPTKVYWFICFNSPTPGPKNTDAAALKKEALELVRGWPDDLVAVMRSTPDDSVVKTPLVDRWLWPGVAPPASRGGVVLVGDAWHPMTPNLGQGACCALEDAVVLARRLAPAVLAAGSGDDVGEALREYERERWRRVFPLTARAGLVGALVQWGNPAVCAVRDGVVIPRLVRLGPFLEHTNFECGLLEPAPPSP >cds.KYUSt_chr1.1835 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10611190:10611399:1 gene:KYUSg_chr1.1835 transcript:KYUSt_chr1.1835 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAPPDSDPYEQMEMARKITAVVVAARACRLEAARLRQKLAAKDRLAAELAERADALDRALRCGEPH >cds.KYUSt_chr1.30763 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186290801:186294765:-1 gene:KYUSg_chr1.30763 transcript:KYUSt_chr1.30763 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYHEEYVMNPRGVQLFTCGWLPASPSPKALVFLCHGYGMECSVFMKACGVKLAAAGFGVFGIDYEGHGKSTGARCYIQKFDHLVADCDRFFKSICDMEEYRRKSRFLYGESMGGAVALLLHRKDPTFWDGAVLAAPMCKISEKVKPHPVVVTLLTQVEELIPTWKIVPTKDVIDSAFKDPVKREKIRKNKLIYQDKPRLKTALELLRTSMDVEDSLSEVKMPFFILHGKADTVTDPEVSQALYERATSADKTIKLYPGMWHGLTAGEPDDNVELVFADIVAWLKERSQDGRVRAPSEPATYQQVSCEFSNIDSNSTLIHGQPQGRRRGCFPCGQCRM >cds.KYUSt_contig_1539.112 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:815113:819928:-1 gene:KYUSg_contig_1539.112 transcript:KYUSt_contig_1539.112 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYLWTEGDMLQPSRLETLVFVRIISEPEVNGVCLLLSCHAKTLLSLEFIHSQLYPAVMDKVCNSLYQLGSQNHEIQRLSIKSSRIGESKSLNISAGLLNFISYANKLVMLPVHKRAWRNVDTLLLIVRQNDCVRINTGLFSLFRSLQLLSLNDAKISGWLSTVDRSSTSISSALESNTSLNSLTVLNLRGNHLQEGDMEDLCSILAKMSNLRSLDISDNPIADEGIRFLIPFFERALRREKPLWGLRVENCDLSSIGVTELLECLTSANKPLDVLSIADNHLGSSVAATLVKFLGSHVRELNVEDISLGPQGFQILEEALPRKVDLSHINISKNRGGIRTAHFISRLILQAPNLVSVNAGSNLLPPDSLDRHRHYLHAAQSVLPLGTKPITNHLGNAISSSRPHERAISRYRMQIAREKVESMSSSVDESELRKVFQMFDKNGDGQITKKELGESLKNLGIYIPDDELDATMEKIDANGDGCVDVEEFGLLYRSILGEGEGADGGSKGDDEDGMREAFDVFDQNGDGYITVEELRSVLASLGLKQGRTVEECRQMISKVDADGDGRVDFKEFKQMMRGGGFAAIGGS >cds.KYUSt_scaffold_1700.434 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:2777455:2777763:1 gene:KYUSg_scaffold_1700.434 transcript:KYUSt_scaffold_1700.434 gene_biotype:protein_coding transcript_biotype:protein_coding MEREARGHRGFKSNASKKGSDTKVTLLLDPTRAKVFTQRHPTMKGQRQGSTKTPPRRRMTPKSVTVIGFGQTGHGFRPSHRQLPSNNHSTSGDDIDIQEHSP >cds.KYUSt_chr4.17657 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110578965:110581487:1 gene:KYUSg_chr4.17657 transcript:KYUSt_chr4.17657 gene_biotype:protein_coding transcript_biotype:protein_coding description:A member of Aux/IAA family protein, Regulation of lateral root initiatio [Source: Projected from Oryza sativa (Os03g0633500)] MAGLGFEETELRLGLPGGGKDADEAGSTVRSSGKRGFAETIDLKLKLEPASATAPMAGDEQVADGVAAVEQQPSPAAATAAVAGDGQMRRSPSQSSVIISSDPEKPRAPKAQAVGWPPVRSFRKNILAVQADKSAAALVKVSMDGAPYLRKVDIGACKSYGELSKALEKMFSSSTMAGGSQGIMNDESKLVDLLSGSDYVPTYEDKDGDWMLVGDVPWEMFAASCKRLRIMKGSEAIGLDSMVCTQETMPMFRALV >cds.KYUSt_chr7.14821 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91627591:91627983:-1 gene:KYUSg_chr7.14821 transcript:KYUSt_chr7.14821 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGVHDASWALAKHVGPSDVHPGHRRLLLMDTTVLGGPIPELFPELAELGHAKIPVTLLNAGGVEEAAHIHYRPSSRTYRIIGSGWLRFAEASGISAGHRIDLYTCWRDDGGDGERCLFFFRRLSRRR >cds.KYUSt_chr4.22882 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143895272:143896162:1 gene:KYUSg_chr4.22882 transcript:KYUSt_chr4.22882 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSSSSSAAHPPDGSKPPQPAAPAMGYPANAAPNPNSNTAYYAAAPPPAANANANGTSAFGVAYPYPAPPPQHHHHHPPPPPQHPYHHHQYHNPYHAPPPPPPTCLRRLLALVVAAFLLLGAATFIVWLLLRPRAPAFSLATLALSRVAYSPANSNLSAAFDASFLADNPNAKLTVSYSSLLASVALAPSSPIAVTSLPPFVQAPRSSTALPFRLQVDGAYVGPDEAAPLKSGANGGAMEVQVRLTAVAVFDRGGWRTRRRVMRVICEDVPVTFRGRNATDAKFEGPPRRCEVYL >cds.KYUSt_chr1.1008 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5303141:5304022:1 gene:KYUSg_chr1.1008 transcript:KYUSt_chr1.1008 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAQTILSNVGQLVGKEFQKLRAIGGEIEELRDELATMHALLHMQTEAEDGAVDHFIREWMRQVRELTYDAEDCVDLYICRIRSRPRDRFLVWSKRLVATLFPRHRLAGNIKALHERAVSISDRHARYGIGREALRRSPSTSPTMAASLAARGIRSADHTGQIVGMEDQANALAEKVKAGVYENGAERDMKLKVFSIVGFGGLGKTTLAMEVCRQVEMFKREAGNEEGNKENDPLADIDMMDADQLGSKLKALLNNKRYI >cds.KYUSt_chr3.33630 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211219521:211220744:1 gene:KYUSg_chr3.33630 transcript:KYUSt_chr3.33630 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSAPPAEVPSYFLCPISLQLMRDPVTLPTGISYDRAAISRWLASPASSTCPVTRQPLEPELHLTPNDTLRRLIGSWIATLAPGSGLDEEEVAALRPLHRDELASLLSDAAAAQVGALRKLGELAAESDHRRAMLESQDGVFDVLSRVLTGASACSTAREEAVGVLASLRIPEQELARIVSRHGNLAASLTAVLRSSNLQSRAQAVRLVRALADVAVPAWVIGLEQELLAEVIRVVRDRVSTRATKAALHALSALCPYGRNRVKIVGAGAVPALVELLLDEPERRVCELALAVLDRLCTCAEGRAELVAHAAGVAVVGKKVLRVSEAASERAVRVLRSVVRHAATPAVLQEMAQAGVVGKLCLALRSDLCGVKTKEKVHEMLKLHSRVWKSSPCLSPKFLALYPS >cds.KYUSt_chr1.7609 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46734981:46735319:-1 gene:KYUSg_chr1.7609 transcript:KYUSt_chr1.7609 gene_biotype:protein_coding transcript_biotype:protein_coding MYIADVENPNNPGGALQAVAAAPEPDGQSQFDLVCCLSCMACTVLAASAGLVVLFCRSAHASTILRVLAGFGLVVGIVGLAFGACFCAAVAHTCIHGNSEGTRQDEAPRVAA >cds.KYUSt_contig_1181.9 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:104186:104851:-1 gene:KYUSg_contig_1181.9 transcript:KYUSt_contig_1181.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSNFCSTGAATPLLNSRSLDRAGVCRRPQGKEEEELARPRPCADQQVTRSRRGACSSRGVAWPARCRGLQPMGVDQNLLRPCSCTYCALVETGAPPHRPTASSAWTEISSSSVQEKRSSGRHPYASPTPLWGTTLSSQSTPPNGAQHDAVKKLGRRVDLDHGPDVAAVGADAFPCAAVPPSIGKSNTIWALCCSEAWVMHPPLPGGGAGGGRHGAMEET >cds.KYUSt_chr4.46878 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289884475:289888422:-1 gene:KYUSg_chr4.46878 transcript:KYUSt_chr4.46878 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRFPVLPRSLLAARVLGFPSSPMSAPAPAMATLVSNLTVAEDTAAAPSPTAPTTAAPAAATALPSSSAPTTAAPPLQLFNSKTKKKELFVPRVEGKVGMYVCGVTPYDFSHVGHARAYVAFDVLYRYLKFLGYEVNYVRNFTDIDDKIIKRANQNGETVTSLSSRFINEFLADVAELQCLPPNEEPRVTDHIEHIIELITEIIKNGHGYVVDGDVYFSVASFPEYLSLSGRKLDQNRAGSRVAVDPRKRDDPDFALWKAAKEGEPSWESPWGHGRPGWHIECSAMSAHYLGHVFDIHGGGQDLIFPHHENELAQSRAAYPESEVKCWMHNGFINIDDQKMSKSINNFFTIRDVITLYHPLALRFFLMRTHYKSDVNHSDKALEIASNRVYYIYQTLYDCDETVSLYREENTSVPVPAEEQKLVDNHHKAFLECMSDDLRTTDVLDGFMELLKAINSNLNDLKKLQQKLEQQKKKQQQQKKQQQKQQKPQKQPEDHIQALAALSAEIKNKLSILGLMPQSSPLAEVLEQLKVKALKRAGLTEEWLREQIELRLAARNNKLFAVSDQIRKDLASKGIALMDEPSGTVWRPCERE >cds.KYUSt_chr4.11177 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67854538:67856390:-1 gene:KYUSg_chr4.11177 transcript:KYUSt_chr4.11177 gene_biotype:protein_coding transcript_biotype:protein_coding MALRITDQIRKREEEEEEEEEEDDDDMILVLLPMLHLLGEPREKKPRQTSTIRGEEVNCLGAIGGTHVPMNINGDIATPFRNRKGTLSQNVMVVCDFDGNARQWWARASWNADLEKALVDLLHEHNTPQYRGQNGWSTNVWNRITKKFHDNHPYRNYTKGQIQDKENELKREYKMLKEARQQSGVSWNEKRCMIEADPELWDNLIISFPKIGKFRSNKAFPLFDALGELYDGHLAEGNYNFTSTEPTQHTQVEVNPEVNSVEATHSHHDIVETLVDDTQGGMQEASMMENFVGNGEAQPTVPTAPSTSTENKPKKRRSNGDIAAMMEKYIEIKTKQVESKQIADVDEYSIKNCVARLNTMGLSREEKVKALQVFKNADNRELFICVDMDTALMWLQGEMA >cds.KYUSt_chr3.18843 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115843479:115843763:1 gene:KYUSg_chr3.18843 transcript:KYUSt_chr3.18843 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLGEEDGARMTAGVSELAAQSDGSCPVVAIAYREDFREAMYYFCALYAVTALVLSGSPPRPTPSTPANYTGRSFGWGELEEGIGSLCLET >cds.KYUSt_chr5.37459 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236806735:236807453:1 gene:KYUSg_chr5.37459 transcript:KYUSt_chr5.37459 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKVKKKKDTIEGEAEDQRDPLIPEETEWKGRSPCSYSVDYMDTGTESRREDEIRNEEHEPGFGDLVGVIANRTSVPTYESRMPGKGELPFSSNGKEEGNSGCAVCATCQADGDLLHRGCWEPDGFCSRTRSCPCHRHVTTRMEFLEWWGRRLGWAETAKPKESV >cds.KYUSt_chr3.24446 pep primary_assembly:MPB_Lper_Kyuss_1697:3:151702720:151704430:-1 gene:KYUSg_chr3.24446 transcript:KYUSt_chr3.24446 gene_biotype:protein_coding transcript_biotype:protein_coding MVPESLLGPVSVPWSFLICGLFGMVLLWPTIRLLEQLWWQPRRLERALRAQGLRGTSYRFLIGDVKDYGQQNKEAWSRPLPLRCHDIGAHVMPFLYNTVEEHGKPCISWFGPIPKVTITDPNLVREVLSNKFGHIEKLKFPTLTKLLAEGVGNYEGEKWVKHRRILNPAFHVEKLKLMLPAFSSCCEELVSRWTQSLGPDGSWEVDVSSELQNLTGDVISQTAFGSSYLEGRRISQLQSEQMGRFMAAIYTIMIPGYMSFPTKNNRRMHQINNEIENILRGIILKRMQAVQEGESTKDDLLGLLLHSNMTTTDENGKFILGMSTEEVMEECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLGLFGKHKLEYEGVNRLKIVTMILYEVLVPTSSCPHPKDIQGD >cds.KYUSt_chr6.10293 pep primary_assembly:MPB_Lper_Kyuss_1697:6:63393733:63394558:-1 gene:KYUSg_chr6.10293 transcript:KYUSt_chr6.10293 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDAVLVCIILGFLGSVAVTLGFVANYYSSKAWTWILNSNHLSSTLSIRANQICDGISSQWRHRRRLHERRLVPVLLPLLDQAAAGGSAVQEAQARHHPVHVFLVGHSLAIHCVVIFESLIKNVHMLLMCRVLTIVAIVIFSLGVSESSREEPPISKTPTSYECRDPDRIGNFPTASLSSLIAVVLGIASYVLLETAAPETPPHGFFGLEIAGTSTGRGQQSQPQTGPTTGADQEADHISLATAV >cds.KYUSt_contig_2442.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000340.1:37884:41554:-1 gene:KYUSg_contig_2442.5 transcript:KYUSt_contig_2442.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGDLRSILPLIPVVLRGGALFWPPAAQEQLKALALGPDVSRVTSGDVLADALHDLRVALSLPALPARAAEGYALFFDDLLSRAHARDWFSDVLPRLARLLLRLPALLEDHYAAATAALGLRLLASQDAGVVLLGQELAAALLACALFCLFPTAGRGEARLPAINFDTLFAALTYNNSRPSQEHKVRCLAHYCERVTASTPTGFVSFERKVLRPSSVSGGITYPDSDAWMKSSVPLCPFRVISSGLIEDEEQEALEVDFANKYLGGGALSRGCVQEEIRFMINPELIVGMLFMASMGDNEAIEIVGAERFSQYMGYGSSFRFVGDYLDTKPLDAMGRRKTRIVAIDALDCPTKLQYETNGLLREVNKAFCGFVDASKHQFCLKPFQDSNTMDNCSSVSSDHCIGISTGNWGCGAFGGNPEIKSMVQWIAASQARRPFVNYYTFEDASLKRLEEVIEWITRHGWTVGELWHMIVEYSSQTLKVGTREGFLTWLLPKDNADSSVDYMCE >cds.KYUSt_chr6.13687 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85659192:85689493:-1 gene:KYUSg_chr6.13687 transcript:KYUSt_chr6.13687 gene_biotype:protein_coding transcript_biotype:protein_coding SGVDPAAAGLQTTSDGKPKILDVIDCTGSGDVDTSKVVKADADGAIVGASGTRLIVNSSWKNPSQEWRVGYKLVYELFTDTLISRLKKERKKKWDEENQEAISGALSQLNEFEKKHSKPDDAKLKKAKEDLQDRLDCLRKQADGYDDKGPVIDVVVWHDGDVWRVAVDTQGLEGNKDCGKLADFVPLTNYRIERKFGIFSKLDACSFVANVYDDGNLVSIVTDCSPHATHVAGIAAAFHPEEPLLNGVAPGAQLISCRIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTILPDYGRFIDLVNEVVDKHRIIFISSAGNNGPALNTVGAPGGTSSSIIGVGAYVSPSMAAGAHCVVQAPSEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMSSPSACGGVALLVSAMKAEAIPLSPYTVRKAIENTAASISDVPEEKLTTGHGLLQVDRAFEYARQAKKLPLVSYKISINQVGKSTPNLRGIYLRGSNACQQTSEWTVQLNPKFHEDASNLEQLVPFEECLQLHSTDSSVVKIPEYIMLTNNGRSFNIVVNPDNISSGLHYYEVYGMDCRAPWRGPIFRVPITVIKPIALSGEPPVLLLSKLYFKSGHIERRFINVPIGASWVEVTMRTSALDTPRRFFLDTVQMCPLKRPIKWEAVVTFSSPSIKNFSFSVEGGLTMELSIAQFWSSGNASHEPTCVDFEIVFHGISVNQKVIALDGSESPMCIVARSLLASERLVPVANLNKIRIPYRPVESNFCPLPTSRDRLPSGKQIIALTLTYKFKLEDGAEIKPHLPLLNNRIYDNKFESQFYRISDSNKSVYSSGDVYPSYVKLPKGEYTLQLYIRHENVQFLEKLKQLVLFIERKLEKKDCIPLSFYSQPDGPIVGNATFKSSILVPGEPEAFYVGPPSTEKLPKSSPPGSVLVGSITYGVVSSFNKTEQNQHAPASYSILCVIPPSKVDDNKEKEVSVGTKKSVSERLNDEVRDTKIKFLSSIKQENEDQKSGWTELVASLKSEYPKYTPLFAKILECVLQESTSDDKISHHKEVIVAADEVVDSIDKEELAKLLSLKPDPEDEESQKTKKKMEETRDQLADALYQKGLALAEIESLKPDESTEASAKDVFEENYKELIKWVDAKTTKYGTLTVLRERRCGRCGTALKVLNDMIQEDSAQPKKKLYDLKIQLIEEIGWAHVSAYEKQWMHVRFPPSLPPF >cds.KYUSt_chr5.28487 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180437910:180441841:-1 gene:KYUSg_chr5.28487 transcript:KYUSt_chr5.28487 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGIQEEPPSSAEARSLRPTRSGGGGPRWVDGSEVDSSESTHPSLEDERSLPGISTADDADSGGAPLSRRFSSGFRRRLGKRPKRVDSLDVEAMSVRGAHGHGTTVRFLNSHVPVAIRGFGDMSWMGTAAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRYAKVSLLPNQQRVDEDISSFRLKLPTPELERALCVKECLEKKPLFKNVLLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGQVAGFDTNAVVIVSILVLLLLFSVQRFGTGKVGVMFAPVLALWFLNLGTIGIYNIVKYDISVVRAFNPMYIYYFFEMNGIKAWSALGGCVLCITGAEAMFADLGHFTVKSIQLAFTAVVFPCLLIAYMGQAAYLMKHPHAVERIFYDSVPDLLFWPVLVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTALVTLVMLLIWQTNLFLVLCFPIVFGAIEFIYLTAVMSKLLEGGWLPLAFSSLFLCMMYTWNYGSVLKYQSEMRGKISLDFILDLGSTLGTVRVPGIGLVYNELVQGIPSIFGQMLVTLPAMHSTIVFVCIKYVPVPYVPLEERFLFRRVGQKDYHMFRCVARYGYKDVRKEDHSFFEHLLVESLEKFMRREAQELALEASTMEAERDDVSDVPEVPPFPATAAEDLHVPLLSDQRLSDENRMSGPEGSVPLLPTSSISPEEDPSLEYELSALREAMASGFTYLLAHGDVRATKQSFFTKKFIINYFYAFLRRNCRVGTAALKVPHSNIMRVGMTYMV >cds.KYUSt_chr2.37390 pep primary_assembly:MPB_Lper_Kyuss_1697:2:231540863:231541369:-1 gene:KYUSg_chr2.37390 transcript:KYUSt_chr2.37390 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTVRRRARVFTRRCSRAADQMQRPLLTTELPLVKRAPHGVLLLLAQRGGRHVEETRWRRDGLLAGEAERKIRWRRYGIWRRMRRDWGEEREERIGGDERGEDWGEERVRGRDEIVGLGVELPNQGQLGFSPIGMCPASTQATDKMVHLEEGPACSDRWVEPHHFMS >cds.KYUSt_chr7.37427 pep primary_assembly:MPB_Lper_Kyuss_1697:7:233596122:233596469:1 gene:KYUSg_chr7.37427 transcript:KYUSt_chr7.37427 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNHLRRLASASAPALSRLSQPAPSLLPRLAFSSSASPADQPPPAGTAAAEKGDAKNAGKEAQGGDASAKEDPEEVDNSGLDINEATGEIGGPRGPEPTRYGDWERGGRCSDF >cds.KYUSt_chr6.24059 pep primary_assembly:MPB_Lper_Kyuss_1697:6:152019058:152019675:-1 gene:KYUSg_chr6.24059 transcript:KYUSt_chr6.24059 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFYPHAAARGACGWLARSSVTWGGLWVSHVWLQAREASGHGGSRLDTDARARRQNRAPSQTLSQSSRNLAAAHSPFQTLSLAAVVAPHALLSHETQLEVLLPGKTPAGADSARSLNSAGCSDAPPRHPPGQGRPQHGWRWCGPGRRVRALGSCVRAPPVRGRRGARATAALRLAILPAKVARPDEKDAVAPQRRGARAATKLH >cds.KYUSt_chr1.1287 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6966387:6967710:-1 gene:KYUSg_chr1.1287 transcript:KYUSt_chr1.1287 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHRAVVTWGWRRRFRTVPSTSARRSFSPSCPPLRSRFVDSESPLPTAPPPVAASPRLTPSTPTSPRPRPRPPLSGAPPRVSPDGFDPEHVPNVRRFVLNPITNQMSRLPPRVARLNGDAGPLFDVHMGLVTQADRRHGPPDRVAVAELPEGDLMLRFLSEKGKWETVAVSPCRLPSARLRVINASVPKLLLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIDPDEHVK >cds.KYUSt_chr4.11323 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68857618:68858862:-1 gene:KYUSg_chr4.11323 transcript:KYUSt_chr4.11323 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHGKRKATDLEGGRGVQASPDKIVPAGDFDEVQEGRSAYLVACHWDWSRASKPFSVYKMDVGPSDAALASGRIRRSRLRRAASLEMAAGGKTFAAVRSRRRAWIVGAGGTAGDTVFFDTETRKVIAGPALTSAKWNPILTAVGDRVYAMSSTPSWVLDPNFPPWFEVLDLSEARVVTVDDRSHLEGCSWSALPIPPCMPWMLSPFEYHYKWLMVVVMSYAVVGPYILVSFNETWGTHALDTNSLQWHKVDDERLPFFGCADPEGGSSIFLALSKRNGPLNAYRIRVADSGKDDHALKLSITVLPVRCMEQPEVDEEPCFASLDNGRFCSLSLSVDSSSMTLHPEYLELFPRKARVNLRIYQIENPESLLDAPEETLLARKPKIRISSQWERAFKISNSSQGFCPFSFTLLFV >cds.KYUSt_chr2.9967 pep primary_assembly:MPB_Lper_Kyuss_1697:2:63107847:63112650:1 gene:KYUSg_chr2.9967 transcript:KYUSt_chr2.9967 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSTSSPSGEIPIERSPTVFSSGDGHASPSRAELLSMVKKHSHLIGWTVVEAEDDPSDVEMDDEFWHEMLDLFFVRGRVSKSREEDDLVFFVNSMKMHGYGFNDKMEDPPPFFVRRWAPALEKLINVNSSELDWERSFYLNLVAHTSYTVTVAICRIGDLRNRAEKSKRVPPVYKVSKTVYASPSRVNFRLDRRKAVETVPAYPNICFSVDDFDDPFDAVVLSDPEHCYCVILNAHDGAAFPEETESNNASSNMQSGANSGSSAENPPKRTLFSGFVSYQNVREAYDAGRSKFGSFLSLGQDSTKLDKLFMRGPEGRGEVEVAVSGIADQSLEGSKKDPGDNFRVLVHRAASAASKLAKHAFEAVSANKRLDDELLPLKCCLMSVSLPWDYIAHDLLHKVRSS >cds.KYUSt_chr1.42651 pep primary_assembly:MPB_Lper_Kyuss_1697:1:260970602:260970895:1 gene:KYUSg_chr1.42651 transcript:KYUSt_chr1.42651 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLQDVNSFEEAKSSRMLKLLQDVNSKSGRMLKLLQEVKSLEEAKSSFRMLISLEEAKSSRREVNCDEVWLLQSYNMLPCEISRIATGWQNKKSS >cds.KYUSt_chr6.31909 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201551923:201552789:1 gene:KYUSg_chr6.31909 transcript:KYUSt_chr6.31909 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGAASYDGIDRILAAKEKRFLRGCSKIHVCKTWVANDGTGSFGVMVVEIITGRKNNHSYDSQKNGDLVTAVWEHWEAGTVTELVDPCMGGSFPVGDVLRCIQVGLLCVQGDPVARPIMSSVVMMLGSDSDTVSLQAPSKPVFFTRNAAACTTKAMSVLG >cds.KYUSt_chr2.40217 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249740973:249743822:-1 gene:KYUSg_chr2.40217 transcript:KYUSt_chr2.40217 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLQRASMATTVLSLPPIPYNRLSRTPPAISSPDHTSLKQLCKEGNLRQALRLLTARAAPGRPPPQDHYGMVLDLVAAKKAAAQGVQLHAHAVTTGSLDGDDGFLATKLLFMYSKCGRVADARRLFDGMSGRTVFSWNALIGAYLSSGSAGEALGVYQAMRESATLGAVPDGCTLASVLKACGVAGDWRCGCEVHGLAVKNGLDGSTLVANALIAMYARCNMLDSAVRVFEWLHDGRDVASWNSLISGCLQNGMFLRALDLFRGMQRAGLSMNSYTTVGVLQICTELAQLNLGRELHAALLKSGSEVSIQSNALLVMYTKCGRVDSAQKVFREIDEKDHISWNSMLSCYVQNGLYVEAVKFLGEMLEGDFQPDHACIVSLCSAVGHLGWLINGREVHAYAIKQRLDTDTQVGNTFLDMYMKCRCLEYSVRIFDRMRIKDHISWTTVITCYAQSSQHLKALEKFREALKEGIKVDAMLMGSILEASSGLKNILLAKQLHCYAIRHGLLDLVQKNRMIDMYGECGEVYHSRKMFDTVEERDIVTWTSMINSYTSNGLLNEAVALFAELQTDIQPDSVALVSIIGAIADLSSLAKGKEVHGFLIRNNFRMEGAMASSLVDMYSGCGSMSNALRLFDATKCKDVVLWTAIINAAGMHGHGKQALDLFKRMLETGVAPDHISFLALLYACSHSKLVDEGKCYLDMMRSIYRLEPWQEHYACVVDLLGRSGQTEDAYEFIKSMPSEPKSVVWCALLGACRVHRNHELAVVAANKLLELEPDNPGNYVLVSNVYAEMGKWNSAKEVRARISERGLRKDPACSWIEIRNKVHTFTARDHSHRDAERIHLKLAEITEKLRKEGGYIEDTRFVLHDVSEEEKIDVLHRHSERLAIAFGLITTHPGTPLRIAKNLRVCGDCHEFTKLVSKLFEREIVVRDANRFHHFRGGSCSCGDFW >cds.KYUSt_chr7.30309 pep primary_assembly:MPB_Lper_Kyuss_1697:7:188750240:188752798:-1 gene:KYUSg_chr7.30309 transcript:KYUSt_chr7.30309 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSIEIKKDEAPPPPRSWEYHLRKYLALLATLVATSTYAAGLSPPGGVWQENNPGEPAGVPILYHSPRYLAFFYFNATAFAASLVVNLLLLALNETRTAWLAVLRFVMVLDLLALMGAFATGSCEDLPTTVYVSVLVTALALYVVYQIFQAWRDEQGPDDPLKFKEHRKELLVLATFATGISFAAGLSPPGGFRDDAEGDNEPGDPILKAQQSQRLMAFFYCNTAAFVASLFVIVLLLGRRMQKYYAMYWFILVVLFGLLGAYAAGSSRKADTTAYVVVLVAAVPVYIFLVMVVKVLLSDKVKNNVSWLRLKKISEGLSAWLQKRGFHQNTSKSPAGALLPTVSDPAAEGIGKAQSLILLLATLAATITYQAGMDPPGGVWPSNAQGPEPPHKAGDPILLSTHPARYKVFFYCNSTAFVASLVVILMVQNTRLMKGHALEVAMILDLFGLIGAYAAGSCRDVSTSIYVMALAGAVLIYVVIHVVFFTLDHQSLTDDEKLRIHKRRKRLLLLAILVATMTYQAGLTPPGGFWTKDGKTPDGHDYSAGSAILGDVSGEYRRRYLAYFYCNSTSFMASMALIIMLVNPNLYRPGIRSYALYVCMVVALFGLMGAYAAGSARQLQTSIYVFVVVGAVVAFIGVQLFVFFKLHQIWSPSNGDADGSSNKANKSSSSRRARPQWLKPSTEESDTSSRRKYLMLLAILAASITYQAGLRPPGGMFEDGDAAGNPVLRVSDLVRYRVFFYCNSASFAASVVVIVLLLQEFLQDDGQVYSLLIYAMNTAIVLDLLGLLGAYAAGSSREWDTSGYVIALTAAVLAFVAVHLVIWLVSDPSKRRKVGSSTPKVLGRCYAVL >cds.KYUSt_contig_195.249 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1636354:1639941:1 gene:KYUSg_contig_195.249 transcript:KYUSt_contig_195.249 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRKGGFKKKIKAVAELVGLSSGSSSQTRPPSAPPSAPPSPPPRRKNAQPRRLRTPSPSPPPAEDDDEEQWGGEDEEDGEEHGGQDEEAGGEDGGEDGGEDGGEDGGEDGGEDGGEDGGEDLEEDEGLGGMPQELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKYGNMQERVVRHFWKYFKRAEGKEIACDVILHELCRVRVTGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQHPPRYVGEDRACFLAMVIWWTSREYARKHEEGKRSVREMGGGSHVPGSKNLALTLQDEEVKTGVAPNLFGFFQKSKTRKEPHPETGSLWVNDLAEGQCGAYRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIADGLVDPSTIPSLRQIRRGRTIEQPRVETRPRASDLAIEKLREVEKDKEVEMDKEVEKDKEEAKVDVACGLMGKQENSGKICTIFAVHMHTAKATAHGKECLCRAHAHGKGCPRCPPDVAVPTFAVHGGREAHDKAKSLPCELERKRTAKKLARQRGQTHGKGIRTATRPQLTATLRRSAKPLPCDFAATHGKDPFAGRIFAEQSLPCTAARQRLCRAGLSLCPVRLGCTAKPCFAVVSCG >cds.KYUSt_chr5.18187 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117459584:117459793:-1 gene:KYUSg_chr5.18187 transcript:KYUSt_chr5.18187 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTAPSPSRDQLLIRRTCPLAAAISNHMQEFGGGLRSSLAVTTRPRKDVVFLLWLTSDHSEAAGRPQ >cds.KYUSt_chr7.12699 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78235453:78235674:1 gene:KYUSg_chr7.12699 transcript:KYUSt_chr7.12699 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGNLNIVPSSVHNGFTTICEVDESRSHIRRRATFLLCLKTGAGATWLYDKIGEKHAVEFLVPTAARDQHR >cds.KYUSt_chr3.29284 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183181569:183185596:1 gene:KYUSg_chr3.29284 transcript:KYUSt_chr3.29284 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIHATPASFMVPPAPHIVSSAPTPRGALPAKASPAAAATIQSHARFPLPLRMDRRRRSSRAASSSADATVASVEDAKRDVLIALSQIIDPDFGTDIVSCGFIKDLEISEALEEVSFRVELTTPACPVKDMFEEKANEVVVALPWVKKVNVTMSAQPAQPVYAGELPEGLQKISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPEIRLLVVNPENKSILPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDADEKRYYPFGQGSGAQVVQQFGIPNLFELPIRPTLSASGDSGIPDVVADPQGDVAKTFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVQYGDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLDMLERLVDVPRPATAAVVS >cds.KYUSt_chr7.21584 pep primary_assembly:MPB_Lper_Kyuss_1697:7:133822283:133823755:1 gene:KYUSg_chr7.21584 transcript:KYUSt_chr7.21584 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPRSNGADNICTWMSNVTFGNLVAGSSAVMAQEEYEIMAWESRIPPLPSLVQHVLRRRCTFFCKTRLRLDMQLRETLSRDLIKPRASPSRMMSTPSASNVGHDSPDGKEQLVGASTAAAHGVVDDGEVKKRKKTSSHGVLGPRFSEDYSGPSGHSPNHHRTTPCGPC >cds.KYUSt_chr7.12079 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74246425:74247840:1 gene:KYUSg_chr7.12079 transcript:KYUSt_chr7.12079 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWLPLLRHLLASPAPNAAAFSSSSSSNNCPSSAPPAAGLLRILLSPVPTLPASEPTTVLFQTLPPLLQSQALSFLSSSAPLLDPIQVRSLASRVLSAPPGRYQFWVRQGARHLLDGLPDKDAPDVPSEFIEEFHEPPPWLKEAAARARPVLPWLPLDCRNVMPVADGLDGVRLESLELEQDEFPVIQVVGRPPAPPLGVSVVHRAQALRKEIELADSVLDAQQVAKDLHVLCLESGNAEAVLSLVQPWGADDDTVRVLLSHLVLEEDGMHGKGPALVLCSLVLPKLLGLQRAASSGLISAALDICKRHPAAAVEAVLFPLVLRKEGLNVPQCDVLTRIVKDCMHPLHVKAFCHRLLSGEEEERRPVCMPQHRENIGIDLVWTESIFVLFNGILNQDIRLTPSTIEKLVSIIDRMAMKFRKSLKFGNFFLCFVSKCWHECKIHGLLLERAADTTDTFLTKAILAKMRPTS >cds.KYUSt_chr4.23788 pep primary_assembly:MPB_Lper_Kyuss_1697:4:149881163:149886412:-1 gene:KYUSg_chr4.23788 transcript:KYUSt_chr4.23788 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASELRATMRLPGGGQNCEDLSYHSTAEAHVRALARQDMKYGMEFEVLFGVSDGIQDITRRSGMVRRIRFIYRKSLSKFGNDPVKLWNVLEGSTKVRKESPWKVESRSQPKGEESQVDSTRWAGHHTKGKGRVPPQAQPYLLASPSSAILPMPKTAMAHPTPSSSLGTLSLLLLFASSQAAQGASPSHPSVAGHVPVRAVLYRYRSVERATTTAAAGDEAPGVGGGRYEPFQLCIGCRCCLAAAAWPENNGSSSCVDTRCCYAIDCNVPGKPFGVCAFSPRTCGCGGDDAGAGGGDNCTSNH >cds.KYUSt_chr2.41790 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260102364:260105814:1 gene:KYUSg_chr2.41790 transcript:KYUSt_chr2.41790 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSRGVDGGSNGDGGEPDGGWRAEEAIAGNRMALQALRELVVYPFLYARESRLLGLKVLPPVCSPPISAPSAPSFDQFLLRVPREPVFCSGPEDCCSTAPLAPERPYAVHKPHAGEGERFLREAFAEAYSQASQGRPAIIFIDELDAICPRRDSKREQGSRIVGQLLTLMDGNKKSSKRLPHVVVVASTNRVDAIDPALRRPGRFDSEIEVSVPTVEERVQILELHTKGLHLHETVDLQTIAGYCNGYVGADLQALCREAARLAYRRLSNSSEDEEMVTLLMEDWESARSEAKASMIRGVTKEAPTVSWDDIGGLKDLKKKLQQAVEWPIKHAAAFARLGISPVRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFHRARLSAPSIIFFDEADAIAPKRTGPDGGSGNATVGERLLSTLLTEMDGLELATGIIVLAATNRPKAIDAALLRPGRFDMVLYVPPPDAQGRHEILRIKTRKMELGEDVDLGKIAECTELFTGADLEGLCREAGMLALREDITASSIDKAHFEAAVRSLKPSLTKAEVDEYAAAAIHGPSTRKH >cds.KYUSt_chr3.7124 pep primary_assembly:MPB_Lper_Kyuss_1697:3:41172983:41173785:-1 gene:KYUSg_chr3.7124 transcript:KYUSt_chr3.7124 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVRSAVFDAVHVLGHGDHNQSTMAAANTTKTANTSPIPVTAARYTPDFSLACRFEFTCLNGRVVPPGAAVVAGLALQQGDLHKLDDAEKIRKEIKEQEELDQEGCDHRPQKVWMFP >cds.KYUSt_contig_824.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:154614:156719:1 gene:KYUSg_contig_824.25 transcript:KYUSt_contig_824.25 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLYSSTPMSRLRLGLCLRLRRRLSSSSNSTSLASPSWSPQVAFASATERVRAGTLSPEDAHQLFDELLRQATPVPERSLDSFLAALSREPESTACRDGPALVLSLFNCVCRAQAGMRVAPPTIFTYGILMNCCCRTRRPELGLAFFGRLLRTGLKSNETITSTLLKCLCYAKRTDEAVNLLRMIAKEGGDCSPDVVAYSTVIHGFFKEGEIGKACNLFHEMTQQGVVPDVFTYSSIIAALCKARAMDKAELVLRQMLGNGVEPNVVTYNGMINGYSTLGKWKEATKMFREMTSRGLVPSIFTWNSFMASLCEHGNIKGAAEIFYSMAAKGHQKPDILSYAILLHGYASQGCFADMINLFNSMSSNGIVANCHVFNILIDAYAKRGMMDEAMLTLTHMREQGVSPDVVTYLSLIAALCRMGRLDHAIDKFNQMIAMGVQPNAAIYNSLIQGFSMHGDLVKAKELVSEMMNYGIPLPNIVFFNSMIHSLCCEGRVMDAHNILNLVIDIGEMPDVITFNSLIDGYCLVGKMEEACRVLDAMVSVGIEPDVVTYSTLVNGYMKSGSIDDGLIMFSKMLHKRVKPNTVTYDIILDGLFRAGQTVAAKKRFHEMIESGITVRISTYSIILAGLCRNNCADEAITMFHKLRAMNVKFDIAIFNTILNAMYKVRRREEAKDLFAAIPASGLVPNSSTYGTMIHNLIK >cds.KYUSt_chr5.28669 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181520933:181521172:1 gene:KYUSg_chr5.28669 transcript:KYUSt_chr5.28669 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLARIVDGIKTRLNKKKGEREEEASAACYGKVDKTESMRVEIRSRRAQQLIAKNLAAADSLGDGHGVRKIKKRFFDF >cds.KYUSt_chr6.27421 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174146461:174150825:1 gene:KYUSg_chr6.27421 transcript:KYUSt_chr6.27421 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVLGIGLGHRPQPISGPINAVGSSRDERRLLCLSPTLHSTGDSGATNDGRPATMLRLSRFLPSTSRRVSDLKEVLWSGSLTFEHALSTSAANYDENASARKFASYTVFKGKAALSISPILPVFSKLESGASRVNRNGSVMLTFFPAVGQRKYDYAKKQLFALSPTEVGSLISLGPAESCEFFHDPSMKSSNEGQVKKTLSITPLGSDNGYFLNISVMNNGQKTNERMSVPITKAEFAVMRTALSFALPHIMGWDQALTSHPQSPSSAPASRPRVERPNPDSEWDR >cds.KYUSt_chr5.39059 pep primary_assembly:MPB_Lper_Kyuss_1697:5:247302924:247303658:-1 gene:KYUSg_chr5.39059 transcript:KYUSt_chr5.39059 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGNEYSLTPASSSSSQELGMPLSPTSPTPKRPAGRTKFKETRHPVYRGVRRRGSNGRWVCEVRVPSRRGERLWLGTHVTAEAAARAHDAAMLAMHGPSSAACLNFPDSAWMLDVPSNLSDLAEVRRAAIEAVVKFLRQEATKTGGAAVAVPVDGVASAALAPSPYADNAGSSATSQPSAASEVSAALGSDMLELHVSGEMGLGTYYADLAEGLLLEPPPPAATSEHGGDGGGHDASLWKWNH >cds.KYUSt_chr5.23104 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150707230:150710692:1 gene:KYUSg_chr5.23104 transcript:KYUSt_chr5.23104 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAVRFPVFGLVRLLGLAAAAAILVWAVHFRGGMALSSEKDKLLIFNVHPVLMLIGLVVLNGEAILAYKTVPGTKKLKKLVHLSLQFIAMILSIVGLWAVWKFHNEKAIDHLYTLHSWLGLSCIILFSLQWAAGFWTFWYPGGSRSGRASLLPWHVFFGVFLYVLAITTSVSGLLEKSIFMQSAKMIGRFSTEAMLMNSLGMLLVLLGALVILAAVSPGAGKIDTYRGSSE >cds.KYUSt_chr5.5172 pep primary_assembly:MPB_Lper_Kyuss_1697:5:32620390:32622384:1 gene:KYUSg_chr5.5172 transcript:KYUSt_chr5.5172 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGRLEVLVAFWKAWGIQSAVLLSFALQVTLLVMADVRRQMESRLVKAILWSAYVLADTTAVYALGHMSVASRSAEHELTAFWAPLLLVHLGGQNNITAYAIEDNQLWLRHLQVLGVQVLAACYVLYQSPILHVRTLLLLQPATILMFVVGVLKYGERVWALMRASNGASSSLSARSYRDFHKKKLPSTWSEEAPAPTRPGRGDTTEILLKAYLMLDVPKQMFEGPTLYVQIHDAYPCDEGEISQMVGMQLSMMYDLLYTKAAVVHTWYGWSAVRARYWSGSMGQHNLFGLCTRSSINLGSRIARLMGYEDLWDSSFYSWSISVSPDIINWVVELVSKSEGVDREDPDHITNSRGRGALKRADMYDDLNWTVEMDLDESILVWHIATHVYLSWYIREVKVDDTAKATGELSCYMFFLLTVRPYMLPYPVSRQRYVQLGYDLIISGQDLSRLIQNKANAQTSITLLETVRNNTLSKGCQLAANLIRRGSGMISKICEVWVEMLCYTAYRCNENSHAKHLSNGGEIMTAVALLMLYMSKGIIKTRASSH >cds.KYUSt_chr1.4488 pep primary_assembly:MPB_Lper_Kyuss_1697:1:27582055:27582522:-1 gene:KYUSg_chr1.4488 transcript:KYUSt_chr1.4488 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSLITVLLAMITVLATTTVQADSPVCAYPCLPSPNSGGIINSYPPPPPAATDGGAGGGFGGSYPPPPPGGFPLSPPGVMPGFLPPPYSAVPAGPAPPPPNPVLPWFPWYYQHDNPITGSTTSASPPPAVHRRTTSMVALLLHLCLVILLRAS >cds.KYUSt_chr2.55276 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344607101:344607838:1 gene:KYUSg_chr2.55276 transcript:KYUSt_chr2.55276 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYYLFHFLLIYVLLHVHGIHSLNQRCNPTDLEALLAFSNGLDRKGARLVGWRPNDTTCCSWNGISCDLGRVVGLSLFNKSLHGSISSLINLLDGLVTLNLSCNSLYGQPPEGLGRLPRLQMLDLSVNMFSGAFPLSEDGFPAIEVVNVSFNKFTGPHPTFPGAANLTVLDIGSNTFSGSINTRTLCVAPVKVLRFSRNEFTGEVLAGLGRCKMLAELSIDSNGLTGNLPSDLYTISELKRLSL >cds.KYUSt_chr2.44354 pep primary_assembly:MPB_Lper_Kyuss_1697:2:275922163:275926591:-1 gene:KYUSg_chr2.44354 transcript:KYUSt_chr2.44354 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALGVKATPFTYAAHVLAAAAAVMVLVWCIHFRGGLAFEAVNKNLIFNVHPVLMLIGYIILGSEAIMIYKSFPGLKHDTAKLIHLTLHAIAIVLGAVGIYCAFKNHNESGIANLYSLHSWLGIGAISLYGIQWIFGFVTFFFPGAAPDLRRGALPWHALFGLFVYILTLATAQLGFLEKLTFLESSGLDKYGTEAILVNCTALVVVLFGATVVVAAVAPAAKVEEPEGYAPIPVIG >cds.KYUSt_chr6.26898 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170528963:170530581:1 gene:KYUSg_chr6.26898 transcript:KYUSt_chr6.26898 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLPSFYVFLLVVILPLVYLAFSRRRSGSGQRLPPSPWALPVIGHLHHLAGALPHRALRDLARRHGPLMLLRFGEVPVVVASSPDAAREIMKTHDVAFATRPVGPMLRRVFQGAEGLVFAPYGDAWRQLRKICTVEILSSRRVQSFRAVREDELGRLLRSVASEAEARPVVNLSARIAAFVADSSVRAIIGCRNADRDAFLRILEEGMKVVPGMSLPDIFPSSRIAMRLSRVPGMLQRGRSAMLGFVDTIIQERRENIAAGAAEEQEDLLDVLLRLQKVMGSQYPLTTLNIKTVIIDLFVAGSETSSTMLQWAMAELMRNPTVMRRAQEEVRRELAGHDRVTEDSLRNLHYMRLVIKETLRLHPAAPLLVPRECRSPCEVLGFDVPQGAMVLVNAWAIGRDPAHWDKPEEFVPERFEERGGSAGRDFKGTDFEFVPFGAGRRMCPGMAFGLAHIELALAALLFHFDWDLPEGMVPEELDMTEAAGITTRLRSDLLVIAVPRAPVPSE >cds.KYUSt_chr5.6665 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41324360:41332108:1 gene:KYUSg_chr5.6665 transcript:KYUSt_chr5.6665 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKQSLKIVEVAFGTCRVGGCGACVVLIAKYDPTKDEVTEFSASSCLTLLYNINFYSIITTEGLGNTQDGLHAIQKRMSGFHASQCGFCTPGMCMSIFTSLANADKSKTPEPPNGFSKLTVSEAERAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKSDKHADVSKLPTYTLGGGVCTFPDFLKSEIKSSLDQLNYSFTFSGEGWYHPKSIEQYYDLLNSGIFSDCCVKVVVGNTGSGVYKDQDLYNKYIDIGGIPELSAILRKDNGIEIGAATPISMAIKILEQGNESISAPKGSLVYRKLAEHMSKVATPFVRNTASLGGNIILAQKYPFASDIATILLGAASTVRLQVASQTLEVTLEEFLEQPPLDPSTLLLSIFIPHWTPDSQTETSVVFETYRAAPRPLGNAVSYINSAFLGLVSLNESSGDPVLSNLHLAFGAYGTEHAVRAKKVEEHLTGKLVTPSVVLEAVHLLRETIVPMEGTSHPEYRVSVAVGFLFSFLSSFSEGIKGPGKTLNFSSASSVDTDDLCNLPLSSRRETVSGDEYKPVGEPIKKYGVELQASGEAVYVDDIPAPNNCLYGEFIYSTKPLAHVKSIKFKPSLASEKVLTVISAKDIPSGGQNIGSSYLFGDEILFGDPIAEYAGQALGVVVAETQRYANLARKQVIIEYDTKDLKPPILTVEQAVQNSSYFNVPPERYPKQVGDFSKGMAEADHKILSTEVKLASQYYFYMETQTALAIPDEDNTMVVYSSSQYPELAQSVIAKCLGIPFNNVRAITRRVGGGFGGKATKSFNVATAAALCAYKLRRPVRMYLNRSTDMVMVGGRHPVKAYYSVGFKSDGKVTALHLEVLINAGISPDASPIIPDTIISGLKKYNWGALSFDIKVCKTNNPSKSVMRAPGDTQGSFIAEAIIEHVASVLSLDANTIRQKNFHTYDSLVLFYPESAGEASAYTLHFIFDRLLSTSSYLHRAKSVKQFNSCNKWRKQGISCVPLIFKVEPRPAPGRVSVLNDGSIVVEVGGIEIGQGLWTKVQQTTAFALGQLWPDGCECLLDRVRVLQADTLNLIQGGVTAGSTTSESSCAAILQACNMLIHRLKPVMDKLKQQAGAVSWDSLISQASTDNVNLSSSSYWVPGQESNRYLNYGACISEVEIDVLTGAITILRGDIIYDCGKSMNPAVDLGQIEGSFVQGIGFFIYEEHETNADGLVVSDSTWDYKIPSVDTIPKHFNVEVLNTGYHKDRVLSSKASGEPAVVLASSVHCAVREAIRAAREEFGSSPLVFQLDVPAPMTVVKELCGLDIVEKHLENQSAHQFAAGA >cds.KYUSt_chr7.20811 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129012940:129017524:1 gene:KYUSg_chr7.20811 transcript:KYUSt_chr7.20811 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFMCGKDIKETIEAPGGNKVRVFSYSELRKGTHDFSEANKIGEGGFGSVFRGRLKDGTVVAVKVLSAISKQGIKEFFTELTAISDIVHENLITLVGCCAEGSHRILVYNYLENNSLAHTLLGKGYSSIRLNWRVRVKIAVGIAHGLAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTCVAGTIGYLAPEYAVRGQVTKKSDIYSFGVLLLEIVSGRCNHNNRLPYEDQFLLERTWRCYEEGQLKKIIDADLEDDLDAEEACRFLKVGLLCTQDAMKLRPNMTNIVRMLSGEKGVSTERITKPAVISNIGDIKRRQGSDLDGTFKIIDFVNSKERDGSRRRGASSPRFISTRASEKLAKIFNDMSFESSADSDISDDSSSFDSFNFIDRSTTVGKVFTNLYDGVTKPSKDLNSKYHQIYAIGETSRDQEETSDAFDDLGNPYVDPSDLRRGLGNKYVGPTPRVRVQLPQAAWDRAARAMDGSEPMATTATTEELQAYQYRLA >cds.KYUSt_chr6.28721 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182028137:182029379:1 gene:KYUSg_chr6.28721 transcript:KYUSt_chr6.28721 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCRRRRHPNSSPAAPLEDDDLLAEILLRLPPQPSSLPRASLTCRRWRLLVRDPRFLRRFRAHHRSRGAGPVLGFFTDEGIGISFHPTLDPPNRVPPEPFRLQITGSLLNCRIVCCRDGLALLVNVHPGKVLVWDPVTGDRRSLLLPQVFRNMDKFYSGMVLRSAAAVGDVDPFQFQVVLVRCIKGPHARAIACVYSSDTRAWGDLVQISTPLLRTLSVARSGALVGRSLYWSLYGNSSNAILEFDLDRQNLAVIPLHMEGWIMSAEGGGLGLVSVSGQRAQLWKRETDSDSVTTWCLTKTIHLNNLLPTISWDRLIVDFMDESNMLIMGTLDGIGIFTVHTESMQCKQLPVEFKILPRVFKPFASVYTAEMGVGAEHAGAELLHNR >cds.KYUSt_chr7.23424 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145869490:145872727:-1 gene:KYUSg_chr7.23424 transcript:KYUSt_chr7.23424 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSLARPQSLRGVSPGPLGAHAARRRSVQLQLLRPRRPAVRCSVDTAKQQAQGVPSAVAAAAEAEAARKECFGVFCTTYDLQADEKTKSWKKLVNVAVSGAAGMISNHLLFKVFGQDQPIALKLLGSERSLQALEGVAMELEDSLYPLLREVSIGIDPYVIFEDADWALLIGAKPRGPGVERAALLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNLSAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKIDGRPVKEVIDDTKWLEEDFTITVQKRGGVLIQKWGRSSAASTAVSIVDAMRSLVTPTPEGDWFSTGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVKDVIMDDFLWGRIKKSEDELIAEKRCVAHLTGEGNAFCDLPGDTMLPGEM >cds.KYUSt_contig_824.24 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:150357:152951:1 gene:KYUSg_contig_824.24 transcript:KYUSt_contig_824.24 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLRHISSTTPMSRRLSSTTTSPARPSPSWSPHAAFAAARERVRAGTFSPEDAHHLFDELLRQAIPVPERSLGGFLAALARAPGSAACRDGPALVLALFNRVCREEAGLRVAPPTVFAYGVLMSCCCRTSHPELGLAFFGRLLRTGLKTDVIVANTLLKCLCSAKRTDEAVNMLLHRMSDLGCVPDAFSYSIVMKGLCDERRSQQALDLLQKVRKQGGACSLNVVAYNTIIHAFFKEGEIGKACDLFHEMMQQGIVSDVVTYNSIIDALCKARAMDKAELVLRQMLDNGVEPDIVTYTSIIHGYSTLGKWKEATKMFRKMTSRGLVPSIVTWNSFMASLCKHGNSKEAAQIFYSMAAKGRQKPNIFSYSILLHGYTSEGCFTDMINLFNSMASNGIVANCHVFNILIDAYAKCGMMDEAMLIFTEMQGQGVSPDVVTYLSLIAALCRMGRLDHAIDKFNQMIAMGVQPDAAIYNSLIQGFCRHGDLVKAKELVSEMMKKGERPNVITFNSLIDGYCLVGKMEEACRVLDAMVSIGIEPDVVTYSTLVNGYMKSGSIDDGLIMFSKMLHKRVKPNTVTYDIILDGLFRAGQTVAAKKRFHEMIESGITVRISTYSIILAGLCRNNCADEAITMFDKLRGMNVKFNIAILNTMLNAMYKVQRKEEANKLLAAIPASGLVANSSTYGAMITNLLKEGSVEEADNMFSSMEKSGCAPNSSLLNHIIRILLEKGEIVKAGNYLSKVDDKTISLEASTVSLLMCLFSRDRCWCGLELPLNDAEMCSLMCAAKNELADGATLVF >cds.KYUSt_chr2.31129 pep primary_assembly:MPB_Lper_Kyuss_1697:2:192051805:192054942:-1 gene:KYUSg_chr2.31129 transcript:KYUSt_chr2.31129 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKARQLLARLAVTPDAAVAELPFLHRTLLLPLLSAASVLLRLPLLLPSSPLRPRRTLPVPVVSVGNLTWGGNGKTPMVDFLARRFHNIGISPLILTRGYAGGDESKMLRRRLADTTAKLGIGANRAAVASSMLQKYGYVNPCEAFLGEKLSLAPNRVVNGTSAKIGMAIMDDGMQHRSLLRDVEIVMVNGLTPWGNGHFIPRGPMREPLSALTRADILVIHHANLASEAQLENIKCTVQDSGATCPVFFSKLAPSHIFEINKPLQRLPLRVLDGMVVLCVSAIGCPDAFINTVSEIGPIKIDRLDFSDHHFFRDHDLELIQEKARKLGDQHNKETIVLVTEKDYDRGPDVLGMVDAKVWVLSSSLQIMPLKEQGEEELMKKVKDIITRTRRAK >cds.KYUSt_chr7.30446 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189575718:189575987:-1 gene:KYUSg_chr7.30446 transcript:KYUSt_chr7.30446 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAGAVMEMQHQPGPWIPRRATSAAAAWSPVADRTAHRRRQGAQIEVGKLRAVGRAISTGEAAELRKAEVDDAEERWEVEVEWGGRSF >cds.KYUSt_chr3.1985 pep primary_assembly:MPB_Lper_Kyuss_1697:3:11486431:11487301:-1 gene:KYUSg_chr3.1985 transcript:KYUSt_chr3.1985 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEQYNKFLENEELEYTKRQSTWGKELADKNIGHHNLGCRGFEGKQPVWDKEDQAYINAGLEPPFAKYKDPLFRAYLRSRYHRELAGKRVTKPDVVVGVELVADAKVMALEKAVLAEQAAAESAGSSSQTSTGKVPWDTPFIRGLNTVKARPLLDKPHRVPGAGGGRKLADYGLDVPSSTRESRQAQKDREHEALLKKVADLETTMEQRVSAEVQQRVSAEVQQRVSAEVATRVDDALANRVNEIIPDLVVVDEELLRRRR >cds.KYUSt_chr2.38436 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238262353:238263592:1 gene:KYUSg_chr2.38436 transcript:KYUSt_chr2.38436 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAPEVDKLDFLRPHLLSSTSRAAVRDHCNGLVLCFQGSAGFVCNPATKRWARLPRPPTELPRDQDGLFLAFDPAVSPHYRVFLLPAAPAPKLLVTKKERDEKAVPVLVFSSEDGRWTRRVFAAGRCSPGHLYDRVTSPEVRARTWRSAAYRRGSLYVQSDSHVLLALRCSEGTYDMAELPSVATGAAIGVMPRGSIFLSPDPETGGVLRYASMNRCRVRVWRLREPTEDQLEWTPTHDKDHEPHLYALAIQRLACGLRWRWPGPGQAEAGACNSWDEAMDRGGVLDPEPDEERLQALGYKPGITPWSSTRILGFHPSKEVIFYLTVSSLVVAYDLVSSKLRFLGNVAVLDSRVEGVFPYRPCFVDALPPSCKLRAQHIPFQHSLRHE >cds.KYUSt_chr7.30897 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192399621:192402975:-1 gene:KYUSg_chr7.30897 transcript:KYUSt_chr7.30897 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPLSLQLLAAAVLLAVVSSPFTAALLSPKGVNNEVQALIGIKNLLKDPHGVLRNWDQDSVDPCSFAMVTCSPDNFVTGLEAPSQNLSGILAPAIGNLTSLETVLLQNNVITGPIPAEIGNLASLKTLDLSSNRFYGEIPASVGHLQSLQYLRLNNNTLSGPFPSASANLSQLIFLDLSYNNLSGPVPGSLARTYNIVGNPLICDANMEKDCYGTAPMPISYNLNGSQGAPPTKTKSHKFAVAFGVVTGCMTFLFLAAGFLFWWRQRRNRQILFDMDDQHLENVSLGNAKRFQFKELQVATDKFSSKNILGKGGFGHVYMGQLPDGTLVAVKRLKDGNAAGGELQFKTEVEMISLAVHRNLLRVLGFCMTATERLLVYPYMSNGSVASRLKGKPPLDWITRKRIALGAARGLLYLHEQCDPKIIHRDVKAANVLLDDCCEAIVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTAVEFGKASNQKGAMLDWVKKMHQEKKLDVLVDKGLRSSYDRIELQEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWQASQRADSHKFTVPEFTFSRCYSDLTDDSSMLVQAVELSGPR >cds.KYUSt_chr3.45280 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285342802:285344136:1 gene:KYUSg_chr3.45280 transcript:KYUSt_chr3.45280 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEEVKVVESFVVSPSRETPRKSLPLSPLDLVLANRGHTPLVFFYRSCAAADSEDDIFFDVARLKAAMATALVSFYPLACRLGVDGDGRAQIDCAEQGLPFFVAQSDLTLDNFINFKPSPELRRLFVPRLDDSPSVVCAIQVTFLRCGGAALGVAVHHAVVDGISTCHFLRTWAAFSSGDGAAAAALEPPCHDRTLLRARSPPIVHPDALSVFCPKLSPSQSDTMPDAVVNEIFTISMDQVAALKRSCSFGRGDISTFCAMSALVWRCVCAARRLQPDAMTRLTFQANVRRRLRPPIPDSYFGNGIIMLCATGKVRDIASESEEQLASVAGRIGGAIRRMNDELVRSAIDYMELDMAAGLLATPAGGMSETELTVVSWLGMPFYDVDFGWGKPLVMHRAVQQRAGLVYLMDGAGGSVRVLASMEPATLNDFRRLLYGNTAKL >cds.KYUSt_chr4.50520 pep primary_assembly:MPB_Lper_Kyuss_1697:4:312939272:312941917:-1 gene:KYUSg_chr4.50520 transcript:KYUSt_chr4.50520 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLVVGSGSFGWSSRRRRCYFPFSSVAVENLSQLIVGGRFSCSSEFSGSKGAVLLHVFASACVLEKMADPGFHSRSGIPDHLREAVDRHISDMFPGEMHNDLRSKLKEMWKTIFISSMIRTGRGLADQMRDMVSLLSIELKKQPCACKRKEPETENVVGGSSVAQKGDGNADTDSDYCLEDCCLERSGPCTCKGKEPETANVVGGSSVARSMIPMQTAILMIVLSLRSYLGKRKSL >cds.KYUSt_chr4.48894 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302854628:302854888:-1 gene:KYUSg_chr4.48894 transcript:KYUSt_chr4.48894 gene_biotype:protein_coding transcript_biotype:protein_coding MDARVSSAASIAGVDAGVSSATSAAGVDARIIAATSAAAFDDLVPTATTSGIGSRVPATSSNRSIDAHFSATTSTTNLDAREGREG >cds.KYUSt_chr6.5905 pep primary_assembly:MPB_Lper_Kyuss_1697:6:35388807:35389364:-1 gene:KYUSg_chr6.5905 transcript:KYUSt_chr6.5905 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSPPTSRKKSKRNLVAHRSPSPPYPDIHLADVPVPAAAGCRHRRPLPPTPPAYGDTIQLNASSAQSAMDEIESLMYDSPSATVLPASALPYARFHPHLLFPYHGILQAATPNLFYPHLRAPGATSPASVSVAIASDCFGPPPNTLTEPVWYTVKRGFTRIVSNLKLVVFPKPNREDPGKVLRD >cds.KYUSt_chr4.52926 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328234441:328236647:-1 gene:KYUSg_chr4.52926 transcript:KYUSt_chr4.52926 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLEGSRRLNLGYKYQPKIPKKTIPSKQNLLSHQIASCTPSVHSSLACSIDQSVQWRPPSWSSSLPSTWLSSPPPRTPAVHTAPPPTPPPTCSIDTLRLQVCANVLNLLKLNLPVPANEECCPLLSRLANLDASVCLCTALKAEILGIKLNVPVAFTLLLNQCRKTCPDNFTCST >cds.KYUSt_chr4.37975 pep primary_assembly:MPB_Lper_Kyuss_1697:4:234159402:234162095:1 gene:KYUSg_chr4.37975 transcript:KYUSt_chr4.37975 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGEAKSSVSMEDEAYVEKKFGGITPKKPLISKDHERAYFDSADWVLGKQAANSSSRPAAESLKPKLKVRTNLRVS >cds.KYUSt_chr2.562 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3408668:3410360:-1 gene:KYUSg_chr2.562 transcript:KYUSt_chr2.562 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEQSAGATATTSGLGKHDNGVHDNPEDPGSTSNGISSLEQPLLRRNTTLTANHLAIVGAKVSHIESLDYEIIENDLFKHDWRSRSNVEVLQYIFLKWALAFLVGLLTGVIASLINLAIENISGIKMIHMVQLVRDKRYWTGFFYFSGFNLALTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGVPQLIVKIIGSICAVSSGLDLGKEGPLVHIGACLANLLSQGGAGRFRLRWKWLRYFNNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSTLLWRTFFSTATVVVVLRGFIEVCRNGRCALFGEGGLIIFDVGDVTVNYHLADLLLVTLVGVIGGLLGALYNYLLHKVLRLYSLINGKGRMAKLALALAVCVFTSVGLYVLPFAVPCTPCDPALGAACPTNGMSGNFKQFNCPAGHYNDLASLLHATNMDATRNIFSTGTSGEFRLYSLLIFFAIYCVLGLSTFGIAVPSGLFLPIILMGSAYGRIVVL >cds.KYUSt_chr6.9092 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56209865:56211167:1 gene:KYUSg_chr6.9092 transcript:KYUSt_chr6.9092 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAECCWLRQLLHELHRPLRTATVVFCDNVSAVYMASNPVQHRRTKHIEIDIHFVREKIRGITSSRSVLPDKAGTRLEVLNAPLAESYTLRTSKCVYGWPISERSTIHPWREQATQENKETGRRWRRRGSKPRRRREMLAVEVKGEGIWAALANGGWGRHWSRSERWEMGEGSG >cds.KYUSt_chr4.20340 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127898760:127902973:1 gene:KYUSg_chr4.20340 transcript:KYUSt_chr4.20340 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRVFFLLLCFFPALHPFCSAEAAPTLVTSLPGFDGALPFRLETGYVTVDEENGAELFYYFIESERDPRRDPVLLWLTGGDRCSVLSALFFEIGPLKFIIEPYNQNGTVPRLRYHPYSWTKVASVLFIDSPVGAGFSFSRNSKGYDVGDVSSSLQLKTFLTKWFTEHPDYLENPFYLGGDSRGGLFVPFLAQKISEDIEAGLSPIINLKGYLVGNPGTGESIDSESRVPFLHGMGIISDQLYENYGNYLSYFWANNNITRETLGIRKGSKDEWVRCHDGDLPYYSDIKSSIKYHRNITTKGYRALVYSGDHDAVVPFLGTQSWVRSLNFPIVDEWRAWHLDGQSAG >cds.KYUSt_chr4.15975 pep primary_assembly:MPB_Lper_Kyuss_1697:4:98904356:98906724:1 gene:KYUSg_chr4.15975 transcript:KYUSt_chr4.15975 gene_biotype:protein_coding transcript_biotype:protein_coding MREKRTQVRPRRSKQGNASRISFGNVNGDGLSPKLISRLTLSLVLRCCRACASPEDTAAVRTCWPLGLAAGLLFAAAVGTSREEEGRRGEQWEHQHGEQEQGRGRGGEREREGEQGQEQDSRRPYVFGPRSFRRLVRSDQGSVKALLPFDEESRLLRGIKNYRVEIVKANPRSFIVPGYADADGISYVAQGEGVLTVIDKGEKRSYTVRQGDVIVSPAGSIRHLTNTDGQRKMILAKIQHTISVPGQFQVKY >cds.KYUSt_chr3.9894 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58145294:58146917:-1 gene:KYUSg_chr3.9894 transcript:KYUSt_chr3.9894 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWSFLVSYPEVYISISCFLCISLLRLIRRCQKSAIPVNWPVVGMLPFLLRNLYHIHDKAADFLHEAGWNSMVFGPWFLNMNFFVTCDPATANHCLNGNFKKYPKGSDFAEMLDFLGGAILVSDFESWEYQRHMVMVNLGSRAFRSFAMSTITRKAGTTLLPYLDYMAKLGSEVELEGVFMRFFLDVTYSSAFATDLDSLSMSRPIHAFGQATKEVEEGVLFRHMMPPWLWKFLRVLNVGSEKKMANARVVIDGFIFKEIAKRKTEGNKESQGDVLSLLMKWPMDPSMSEQQMTLFRRDTVMAFIFAAKDLVAVTLTWFFYMMCKHPHVEARILKEIKALQSTTLPGNLSVLEGDMLRRAVYLQAALLETLRLFPAAPFEEVEAFADDVLPNGARISKGTRIVFSIYAMGRAEGIWGKDCLEFRPERWVSKSGGLLHVPGYKFLAFNSGPRSCVGKDLAFSNMKMVVASIIYNFKMELVEGHAVMPQSSIVLHTRNGVMVRLKRREAAA >cds.KYUSt_chr2.41274 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256615381:256626643:-1 gene:KYUSg_chr2.41274 transcript:KYUSt_chr2.41274 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEYIHSHAQIQSLQARSDELARSDGPMLVNLVSLDSVRITPESYALLRPLLQPAGRICAELGRLSVLAGLALELHRLEHDLLPQVMDQEAKLEQRGVLQALLDMKNSAITISRLGKAFKEARVWGGVLDKDLISGQVRQLSRVLKDAAVNVLEKKDFTILWLREQQVPLLLGMVTVLLATPVRYKRWLWWTRFGMAVGALQLVGAIYLMFVIVRDLPNGRRSTSCFFGQDDAGQVSGRALIALFLILSWVVVIVQCFTGSDVLRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKYLTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKHGNLPDLQTDLVEAPHSIMQEAAVLHPFAEACYTGPLLDVGRNPILFPCAWVYRQGVLTPWARRRRPALDGDNWWRGHAAAFLRFANIAPTALVRGRVRQSKREAAYFVVVLHEKKTVLIGVRGTETPEDLITDGLCRECAFTKEDLDGLVKYPDVHVYAYGPLPCVDSVIAEACSHFVTTIINNDEFSSRLSINSILRLRSAAINALSDNSPADTAMIQKLARRILNVNKYQGLIIHVVRNRRSLFPLWTCWNLQDAEPPYKAVLAKRENFRDIAVTPSMFMDHLPWRCQYAMQRTLEYQTLRSSTNCDSPVQHLV >cds.KYUSt_contig_2087.289 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:1726844:1727283:1 gene:KYUSg_contig_2087.289 transcript:KYUSt_contig_2087.289 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASMAPPQTPSLGIPSSTSSNTPAPARSSPSRASATSSSTSRSARRAHDPAMLGTGDVAIFLDAAQEEHGSERGIHGVGSVNLSPAVVVRPAALLLFVPLHELG >cds.KYUSt_chr4.44819 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277383839:277388474:-1 gene:KYUSg_chr4.44819 transcript:KYUSt_chr4.44819 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGELVVDLHTDLCPRTTGNFLKLCSIKYYNGCLFHNVQKDFLAQTGDPTGTGRGGGSAYKLLYGDQSRFFEDEIRPDNPDLTHSKKGTVAMASTGNASQFYITLRPNVEYLDGQHTVFGTVTEGFDTLTKINEAYIDPDGRPFKDIRIKHTYILDPPHLTDLSPENSPVGKPKDEVAEERLEDTWAPLDERLDPVQLDEMIRSKEAQSNSVILECIGDIPDAQVKPPENVLFVCNLNPVTQDEDLYTVFSRFGTVASAEVIRDCKTGDSLCYAFVEFEAKKACERAFNDMNNCLIDDRRIRVDFSQSVSKMWGKFRHGIQNAKKDGSFKCDAPHLRAQNCVQGSEKQDRRGLIHTNLMICATSLVKCFDKGCCNRSRNKKRKKGALVYHAEILCLSRCLVHVPVFELDSALVGNNRLAQYHPDMNKSPGAEEKFKEISAAYEVLSDEEKRSVYDRFGETGLHGNHGGGDFGAHEVDPYELFNAFFGSSDKIFGGMGPGRFHYSSNVKDNRGLDIR >cds.KYUSt_chr5.215 pep primary_assembly:MPB_Lper_Kyuss_1697:5:1513260:1519146:1 gene:KYUSg_chr5.215 transcript:KYUSt_chr5.215 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNQPPPPPVGVPPPMGMGMGMQPMMNPPVGAGAMHPPHEQFHHLAYCVHSNPSWVQVAGLAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLLQTLVGTRLPTIMNASFAFVIPVLSIAKEVESQDLVDNHERFRHTMRTIQGALIVSSILNMILGFSRVWGAYAKKFSPVIMTPVVCVVGLGLFQIGFPQVGKCVEIGLPMLILAIVVQQYVPHYFRHMHDRTSFLFERYSLLLCISIVWAFAAILTAAGAYNRVSLKTQQHCRTDKSFLMSSAPWIKIPFPFQWGMPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPAHVLSRSIGLQGIGQFLEGMFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFGQFQNNNSIRNIYIIGLSLFLGISIPQYFSEYTASAGRGPAHTNAGWFNDIINTVFGSGPTVALIVASGLDNTLEVRGHEADRGLSWFIPFLRRRKGYSDPRNEEFYSYPIRVHDFIPSRFL >cds.KYUSt_chr4.10727 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65129232:65131654:-1 gene:KYUSg_chr4.10727 transcript:KYUSt_chr4.10727 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGGDGGERNTDALEGVRSILFKPSDSLDDSLCTKIAGADFNDAGLGLAGLLGSLATTGFQASHLGDAIDVVNQMLDWRLSHEKPSEDCDEAELDPKHTESVKCKIFLGFTSNLVSSGIRDVIRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGIMLRSKGLNRIGNLLMPNDNYCKFEKWIMPILDQMQQEQSMKNVWTPSKVIARLGKEINDESSYLYWAHKNNIPVYCPALTDGSIGDMLFCHAVRNPGLIIDIVQDVKLMNGEAINATPRKTGAIILGGGLPKHHICNANMLRNGADYAVYINTAQEFDGSDSGAHPDEAVSWGKINGSAKPVKILIHMPIFVATGL >cds.KYUSt_chr3.39702 pep primary_assembly:MPB_Lper_Kyuss_1697:3:250227617:250232274:-1 gene:KYUSg_chr3.39702 transcript:KYUSt_chr3.39702 gene_biotype:protein_coding transcript_biotype:protein_coding MCSILLCCIVFWAAVTGAGFSVLIIVLAYHPKVPVLSLNTASLNAGYLDEHTVSGGPSSVLALNADLTALVIFSSGNTKIDVELDHMQLDLYFKGHMIGTQMFPATLREGPGDNLLRSVHLVASEVPLPQEDAEAWRNATANGGPVVLRLAGRFRARLFIGPWLRFPLKVYPHCTLWLRPPPGGILLESRCRQPPSSPPPLVADQKPRSICPHRLPHHRCHASPSSVHPQYHLGAPPSDKLTRNNYPGWRAQVLPPIRGARLVGLLTGTDAEPREVLETKPADKDADKPAETAPSPTYDNWIARDQIVLEYLLQSLSSEVLPHVQRIEYSAGVWQAIEEMFASQSESKITNLRIALANT >cds.KYUSt_chr7.38898 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242190268:242191269:-1 gene:KYUSg_chr7.38898 transcript:KYUSt_chr7.38898 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAAAAAHGGGHHFAPPVPFHPFHGHFPGQQQQQQQQHPAFQHFQEHQHQLLSGMMAKQELVDESNATTINSGGSNNGSGGEGDHIGGSAHQHLGGEEGQQQQQQALAVMRRPRGRPAGSKNKPKPPIIITRDSASALRAHVLEVAAGCDVVDCVAAFARRRQVGVCVLSGTGAVANVSVRQPGGTVASLAGTFDILSLSGSFLPPPAPPSATGLTVYVSGGQGQVVGGSVAGALVAAGPVIIMAASFGNASYERLPLDDDEPAPQGLVPTHSSPPPLSLPAHHQQQHASSLAQVPDHNLPHNLMNGLQLPGDAYGWTSPGSGSGGRVAPY >cds.KYUSt_chr3.38113 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239794930:239800351:1 gene:KYUSg_chr3.38113 transcript:KYUSt_chr3.38113 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTGLCCALLLVALLPLSANASSKLYIVYMGDKKHDDPSVVTASHHDALTSVLGSKDEALKSIVYSYKHGFSGFAAMLTESQAEALAKFPEVITVKRNTFHKLHTTRSWDFLGLDYQPPQQSGLLQKAKYGEDVIIGVVDTGIWPESRSFNDRGYGPVPARWKGKCQTGEQFNTTSCNRKIIGARSYHRGISAESLKSDYNSPRDINGHGTHVASTIAGVEVQGVSVGGLASGVARGGAPRARLAIYKACWEGDGCPDATVLAAIDHAIHDGVDVLSLSLGGFGPEYSGTLHAVQRGISLVFSGGNDGPVPQTVTNTVPWVTTVAASTIDRAFPTLISLGNNEKLVGQSLMHNASLISSDFKDLIYARSCSAELVASSNVTGKIVLCSAPAQAKFRPPAIALQDALNQTLMAGAKGLIFAQYTTNLMDGLAGIERIMPVVLVDFEIAQRLISYFDTAGSPVVKFSPTMTVVGDGVLSPRVASFSSRGPSLAFPGILKPDITAPGVSILAARRDSYVLYSGTSMACPHVSAVTALIKSVHPDWSPAMIKSAIVTTDAVPRKLADPFDFGGGHINPDRAADPGLVYNVDAKEYNNFFNCTVGLLDGCDSYQLNLNLPSIAVPNLKNQVTTWRTITNVGPVEATYRAIVEAPAGVAVSVEPSMISFTAGGTRSVTFKLTFTAKHRVQGGYTFGSLTWSDQSTHSNLDYLRNYPKESVQGLKDFTPRVHLRHENDALMAVIDELHASRMPANLGHSPN >cds.KYUSt_chr4.52467 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325418655:325419347:-1 gene:KYUSg_chr4.52467 transcript:KYUSt_chr4.52467 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDLGAAAPVFGLDTVQLGGHASPPRLCPSPPSSSRDSLPRSHTSPPWPCPCLPAPVALVAYPVRARVSTPRSRSSPLRSSRVRRLLVLSSWELQRKPGGLPSSRELQDKPEGCVLTGMQRRHVARMAANAVGREGTARLERCEFFDGGRHTRLSCRARARPTAGYGRARRQSSNVKVPCSLSPVAFLVAGGRAGVAAELAIVNSSWSTGGGVCRRQPSGRKPPLCTS >cds.KYUSt_chr4.10832 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65713878:65715131:-1 gene:KYUSg_chr4.10832 transcript:KYUSt_chr4.10832 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHQIRRAQRADGPAAVLAIGTANPETTMLQGDYADYYFRVTKSEHHADLKDKLKRICKLMHARTYASMHKHFCWELTIVKFTGKKSGIEKRYVHLDEELLAAHPDFSDRTLPTLDARIEIASAAVPALAASAAAKAIAEWGRPASDITHLVFSTYSGGKAPSADLRLASLLGLRPTVSRTILSLNGCSGGGRALQIAKELAENNRGARVLVACSEITLIAFYGPLEDRLDTILGQGIFGDGASAAIVGADPVVVGTVERPLFEMAFATQTTIPKTEGDISMQLMKGGLDFHLSTRVPKLLKNNIKRCLIDTFELLGVSATWNDLFWAIHPGGRVILDHVEELLALDDGKLAASRRVLSEFGNMSGATVIFVLDELRRRMARGEEVAEWGVMMAFGPGITIETMVLHASCNLQEN >cds.KYUSt_chr4.41046 pep primary_assembly:MPB_Lper_Kyuss_1697:4:253818366:253825257:-1 gene:KYUSg_chr4.41046 transcript:KYUSt_chr4.41046 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIFAWNGAISVPPPLGLDLEDMAEVEDKLKDYEIKKEGEAEILMLKSNAVFFNPVQVHNRDMSIAVLRTFVSKRKEEHEALLNKKNKSHKKGNQIETSVVNGDAALTSEHDKMDVVNEKEPNQATNEIEDASKEAKTPSWKVTRELKPPVVLEALAASGLRSLRYAREVDGLEKVVALDNDKASVEACKRNIKFNGSAAINKVEAHLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQAVADGGLLMCTATDLAVLCGTNGEVCYSKYGSYPVKGKYCHEMALRILLASIESHANRYKRYIVPVLSVFMDFYVRVFVRVFTSASEIKNTPLKLSYVYQCAGCDSFHLQSLGRTVTKNNSVKYAPGIGPVVPQECNDCGKKFNVGGPIWSAPIHDQDWVLSTLADVRPMKDRYPAYNKITSVLTTVSEELHDFPLFFSLHSISGTVKCTSPSAVMFRSAVINAGYQISSSHVNPLGLKSDAPWEVIWDIMRCWVKNHPIKEQPHDTPGTAILSKSPQIEANFSRAVAALSKAQTKKVKRFLPNPESHWGPKVRAGRTITSKHISLLGAEAINGAIGHQEANGAVTTDKPASDTGGTVVTDEVNEPSTKRQKTSDGEQPTEP >cds.KYUSt_chr7.33131 pep primary_assembly:MPB_Lper_Kyuss_1697:7:206872389:206873123:-1 gene:KYUSg_chr7.33131 transcript:KYUSt_chr7.33131 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAERKEVKLHGAWGSAHAAMARNALELKGVRYEYAEEDLESKSEALLRLNPVHGGKVPVLVVDGRPLAESLVILEYLDEAWPDRAPRMLPPQDQPRARAAARFWARFFHDKVSPLSHAVLFAAEGEERARLVREMKEQMAVMEAGIRRDVPLGGGEEEGPFLHGRQPGLLDVILGSCAPGTRVLSAVVGEEIVEPGVLPRVHASLVAFDELVAGFGTSVPHDRLLARLLERKERTRASPA >cds.KYUSt_chr7.40247 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249933338:249934579:-1 gene:KYUSg_chr7.40247 transcript:KYUSt_chr7.40247 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYLHQHRLIASSDDPEDCPGLNAAFMASLNDKDAWRGDIDAAITMSIRDAGMPLVNLTHDGEAGSSSAVKDEPANEPIDEPDERGKQNVIDDNMYKFHQYYDPSAASTTRLVLDPPQAPARAVPDPLRPDLVAPCRRPAPFLDLEDVRFLELPLLLPRRTSSAQGAMVVQLGGGLAAMAAVRWGNSGSSEEDEKLELGRRSSRWEPVGFVMGQ >cds.KYUSt_chr3.37788 pep primary_assembly:MPB_Lper_Kyuss_1697:3:237675565:237681799:1 gene:KYUSg_chr3.37788 transcript:KYUSt_chr3.37788 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSYRCSASTKLTWILASLLILVRTIQVRAQLPSGFINIDCGWKNGSSYVDDVLRMPYSFDGEYVESGVSHEMLPEFMADSENKQEITLRSFPDGSRNCYTLPSTTGKKYLLRATFTYGNYDRLNKSLDGSLFLFGLHIGVNFWATVNLSNWDPSLTVWKEVITVAPSNSLSVCLINFGSGVPFISTLELRPLLDAMYPFVNTSVSVSNFQRFRFGNVNTFITRYPTDYYDRWWDSFSMSKVDYSTSMISLNTSNNLESLPGNSNFSVPSAILQNASTRDTNQSSITINVAAGPNLGANDLQLLPIFHFTEINMTNPNRRFDIYSKDVLMFPDFSPSQLQVHSMYKSGQFMQNADGYFFLNKTSSSSLPPLINALELYSLVRMDNLTTDSDDVNYMKQIKTYYKLARTSWNGDPCSPREYSWEGLICDHSKSNQNPRIVTVNLSTSGLSGGFAISFMNMTSLENLDLSHNNLTGAIPDYQLKSLKVLDLSNNKINGPIPDSILQRFQAGKSVDNEDYAMYEEETPLNIDIRRFTYAELKLITNDFKTIVGKGGFGMVYHGTLENSDEVAVKVLMETSIADSTDFLPEVQTLSKVHHKNLVALKGYCQNKKCLALVYDFMSRGNLQQLIRGGDDDGANWEQRLQIALDAAQGLEYLHESCTPAIVHRDVKTPNILLDRNLVGIISDFGLSRAFDDAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIITGQPPVIMEPQTVHLPNWVRQKIAKGSIHDVADKRLLDQYDASSLQSVIDLAMNCVESAAIDRPTMAEVVSRLKVWLPAVSNEKQSVSASAWRTHSVDAETRKQFQLMISDKESSFISGYTDGPSELNPLSGR >cds.KYUSt_chr3.25545 pep primary_assembly:MPB_Lper_Kyuss_1697:3:158575633:158575881:1 gene:KYUSg_chr3.25545 transcript:KYUSt_chr3.25545 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAHPVGQTTPTTYESVGGGENRTRTDLRSREDQGNIQIEKVQDKVEDAASRKVDDRAFAARKEPGQGGDSGATGTGA >cds.KYUSt_chr3.20403 pep primary_assembly:MPB_Lper_Kyuss_1697:3:125556650:125560687:1 gene:KYUSg_chr3.20403 transcript:KYUSt_chr3.20403 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARRSAGGASSDGSLAAGSDADDDRYCSASSALGTPSSISTLRPSSDLWDHHMDLLLDDPIASFPKSHQLTRLHQSQAPSQQRSDPPAAAFARPELGSGRPDVPPRTDPAQVDILEESDLFDDMVQEMEQILLNSGEPHESGTSTDNRASNAHQNLHFRDGSTTASTSGTEDAYVYPLPRYPSKIDSVELVGAKQRTGDVSFGERMVGVKEYTVYLLKVRSGEDEWEVERRYREFYALYQQLKPFFSEKGLSLPPIWINVEKESSKIFGNASPDVVNGRSDLIQECLCSLLVSNYPFGTPAPLVNFLSPGTPAYEHSFLKTLIPRSLQRLSSDLHAKDSDCNGALHEDATLMGKTISLVVEDRPRKSTRQLLEFQHYNCAGCHRHLDAGRTLLQEFVQTIGWNKPRFCAYTGQLFCASCHTNDTAVLPARVLQHWDFSLYQVSQLAKAYLDSIYDQPMLCVSAVNPFLFAKVPALLNIMSIRKKIAAMLPCVQCPFRNSIFKGLGVRRYLLDGNDFFALRDLVDLSKGAFAALPVKVQTISNRILVHITEQCLVCYDAGIPCAARQACDDPLALIFPFQEDEATRCGSCGSIFHKQCFRKISDCPCGKTANTGTKIVALEQALDGGSNRMSTELIAHPSFSSSSGFFSGILSKARPDKIWKARNSSPVILMGSLQDTSM >cds.KYUSt_chr3.20930 pep primary_assembly:MPB_Lper_Kyuss_1697:3:128239841:128246353:-1 gene:KYUSg_chr3.20930 transcript:KYUSt_chr3.20930 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKDNEVYEDDLVDYEEEVENVVDDAAANASVDVAKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICNEFERFSKYLPETKVAVFYGGVNIKNHKDLLKNECPHIVVGTPGRILALARDKDLPLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHEKQVMMFSATLSKESRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQIVIFVKSVSRASELNRLLCECNFPAICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYSLPKHIPPPIHFSYPAVHPPSTRRRYPLHMAPPTAPKKMAKKASKKPSGNGTKGATAPFARPRKAPALKKKPEGWTDDECQQDCLRRKLSTAERKGRRAVELEKKALAAR >cds.KYUSt_chr1.20520 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121064685:121065731:1 gene:KYUSg_chr1.20520 transcript:KYUSt_chr1.20520 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLIEHTQLPIRGLNLHVAQVGTGELGTVVFLHGFPEIWYSWRHQMQAVAAAGYRAIAPDSRGYGLSDQPEDEETPWEDLVADVLGILDALSIPKAFLVGKDFGTIPAYDFALRHPTRTRGVMCLGMPFSPVPFNFDGTMPEGFYPLRWRQPGRAEADFGRYGIRRVVRTIYVLFSGSEVPVAKEGQETMDLADDSTPLPEWFTEEDLDEYASLYKKSGFEYPIRMPYWSIDKMATQLDAKFEVPVFMVMGENE >cds.KYUSt_chr2.10759 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68424787:68425035:1 gene:KYUSg_chr2.10759 transcript:KYUSt_chr2.10759 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQGRPLVAIHRRHLLLHSSQEAAKGEMMTGSTLMADGDQEKGGGALGNLEDARPTGPGHSPGAGHAVTNNGGVGRKLLGL >cds.KYUSt_chr3.35419 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222389921:222390447:-1 gene:KYUSg_chr3.35419 transcript:KYUSt_chr3.35419 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAATVIIVVSLTMDSTTPEFSATVSGYDGLVRSAGAGSTLPSFRVALRVKNGNVWRHCFAARATVQYGGVPLAFASDLDGFCVPAKSVVEVPVVERVPLEVRLMLEEKDTVKDLRFMLLRCTAMLDGMPDLPSRCLLFLLVEPGRIDGE >cds.KYUSt_chr1.37451 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228675192:228676957:1 gene:KYUSg_chr1.37451 transcript:KYUSt_chr1.37451 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVRPHLGELTSHHDGPHPMHKYGLASRLESSRHQVFTFYPTSPSLAYVRFRSILALLVVHSPRHNGSGFIFSRSQGGLRCSRSQRARCAFPRALRSFSSAAVTTTTSSSYTAAATTTISYTTAATTSFHTTAS >cds.KYUSt_chr4.42504 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263156552:263159955:-1 gene:KYUSg_chr4.42504 transcript:KYUSt_chr4.42504 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLAGGLFGGTSGDALTLILPEVAAEVLPPTLAFRNSGRPYRCPSAPLPITYLIRSRVVGLTTHYKDNTQVDGEKIDIEQGNLRLHLEVTRQGLLIVDLEEQVQDEKKILQQVCDAKLQAEAFIAFQDAQIHVCKQEITGLTESLTSMSVALEEEKEQIASLDATIREMKITSAMYVDSHGGHLEFDIVSMEMLSKAYSDFESRIA >cds.KYUSt_chr5.19621 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127311913:127313732:-1 gene:KYUSg_chr5.19621 transcript:KYUSt_chr5.19621 gene_biotype:protein_coding transcript_biotype:protein_coding MATTISSLAATHSLHRRCCRSSPSSPSTPARVSFRAAPPAAAAARARRRAAVQVLASSAVLEAPEELATRKLYVGNIPRTVTNDELSAMFAEHGTVVRAEVMYDKYSGRSRRFGFVTMSTVEEANAATEALNETEVGGRKIKVNVTESFLPNIDLSAPEPEPAFVDSQYKVYVGNLAKTVTTEVLKNFFSEKGEVLSATVSRVPGTPKSKGFGFVTFSSDEEVEAAISTFNNTELEGQTIRVNKA >cds.KYUSt_chr2.54052 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337398333:337399614:1 gene:KYUSg_chr2.54052 transcript:KYUSt_chr2.54052 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQNAATTIDFLAVNCVPESHVWTEQKDYPVVPESAGNDAVPVVDMADDTEGAIAAVAQAAEEWGGFLLVGHGVPIELLVRVEEQIKCLLARPAPDKERAARGGDFKNGYGVPPYALYFSKLMWSEGYTFSAANVRSEFRRIWPDGGDEYMRFCDVMEEFHKEMRALGEKVLDMFYKALGLSADHIAGGEVERQIRDTMTATMRLNMYPKCPEPERAIGLAAHTDSVFFTFIMQNLVPGLQLLRRGPDRWVTVPAQPGALPVLIGDLFHVLTNGRFHNVLHRAVVNQDRERVSVAYLIGPPKDMKVAPLDAAIRQGSKAAFRVVTWPEYMVAREKTFWNDRAALKMLRVTEDEGDAELAQGMNK >cds.KYUSt_chr6.22842 pep primary_assembly:MPB_Lper_Kyuss_1697:6:144311518:144317324:1 gene:KYUSg_chr6.22842 transcript:KYUSt_chr6.22842 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRSRLLDLGYRIWYIMWLVFFAAVLWWQEWRQVGRWSSGISFNKAGASSPSVLVIWRITDQSIQSSFDVLPRWKTEREFVGDGSVNKRCSCLIWFPVEVVLPLAGRGGEGSKQIETVVSRLGGSWGSHAAVHGVLQWQRSGVVVIQGNGSRSVLWAWMLHSFSDQPPWRRPFDDFLPAFISLATPSGCVPGVAEGAGAGDRAMVVEKIDLIAFVITMEGQWGNGNMNTGLDLSIGSPGEYSLMLQDLSVDMDGLLGLGENTNMGENGDASTTAAEQDNSNGNERRADWRRRRHNRDQVHQLEIVFRENQHPDENERAELARRLGITGKQVKFWFQNRRSSVKTQGQRKETNELREENELLKAERLALMSAIEDSRCLTCRGRMVQAGGTGRQQLLLENARLREEIQKTNAFIQTASGGTAPELMTSAMPSCSAGPANVDHAGKGKAPATDHVLPPAPSHGGLTVPANVGVARSQRDMLVHLAVGASQEFKTLVCSGTPMWMPSADGQVELLNFQTYIDTIFPTSMFVPYRVGVVVDGTRKTGDVQCIASELVGVLMNAVAWSRMFPGIVASASASLVVPPDGVSRHQMAILVRGNALHVSAHIRLIASDIYLLPIFDRLAGTWRTSQMDAELMLLSPRVPLRKVKFIRQCRYVAADTWAVIDVSVDGILGHLGGVPPTWTPSRFLPSGCLIQGMSNRRSKVTWIVNMEHEETTVSPKYHPLLRSGQALGACRWLASLERQCQYLDAMHTARNTADMMPNGQKVNIYEAAQQMTRSFYEAMCGQSSQPRASFVDWSGGCGVGVERYEVVARVVTYPVGNGAPREQAGAIVLSATAAVWLPGIPPQQVFDYLCDINRRGEWDSLANDAPVHQEGRFQTAKLPGNDVYVLRTMTNGNLILQETCADTTCMVLAYAQMDEQAMQHVLKNAGPASLSLLPSGVVILPDGVSPDVSSSSSATSLISNAGSLVSVMYQTLVNGQEQEDTSLEAIDNAGNLLCRIIKNIKDAVHASKVITA >cds.KYUSt_chr5.15743 pep primary_assembly:MPB_Lper_Kyuss_1697:5:101663705:101664850:1 gene:KYUSg_chr5.15743 transcript:KYUSt_chr5.15743 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSKNSSSSPLVCDKDNRSAAALREMAREQSLVTQLRAVVLPALQLAGGEPAEVVAQMFDSILDCSAKAIAELKLLRLEHSRAYHPPPPPQAMVDEKRRVKKIFSGDDENAKANRQQRKRRRSADDCVTLETPVPHYDGHQWRKYGQKLINNANHPRSYYKCTYKQEQDCGATKTVQQYQDCAGTDEPAMYTVVYFGQHTCKPSGNDADAAVVIKTESTGRSSGCGAGELSPSDSQCSNISVTCTSVVVDHHQRTASIESSCKLLDMAPDLANAEVNTYDQLYDVAAFSPLDLDTDWAIDAHGHDLLKNGYW >cds.KYUSt_chr3.30219 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189220787:189224190:1 gene:KYUSg_chr3.30219 transcript:KYUSt_chr3.30219 gene_biotype:protein_coding transcript_biotype:protein_coding MRICELGDGDGRPADGEEQQQQQEEEAPVSGEVVRVRAKRALVGAGARVLFYPTLLYNVLRNQFEAEFRWWDRVDQCILLGAVPFPSDVPRLKQLGVQGVVTLNEPYETLVPMSLYQAHGIDHLVIATRDYCFAPSLADICRAIEFIHHNASQGGTTYVHCKAGRGRSTTIVLCYLIKYRNMTPEAALDHVRSIRPRVLLAPSQWQAVIVFSTLSTGRLPVQSSNLSCYVEDSKASIPDIEIDDYSIEFDYEDGGLPLCQVMLPMPSSPTGCIDAVFITEEDLEGYDMHIDTAKDVVSWEVVASRKPIMRRLSCLFGSLKLTSNCEPAPSRFTEIHDAVVDGQSAKSGTIETSNKEVSIAMANEIDDPIEFDYEDGGLPLCQVMLPMPSSPAGCIDAVFITEEDLEGYDMHIDTAKDVVSWEVVASRKPIMRRLSCLFGSLKLTSNCEPAPSRFTEVSPCCEPRYVIL >cds.KYUSt_chr3.24822 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154054409:154058715:-1 gene:KYUSg_chr3.24822 transcript:KYUSt_chr3.24822 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTHSMQAEVEEMRAALLHGGGAAGWQPSAGDPGAVKRAASGQEGDAGARTVCVTGGISFVGFAVVDRLLRHGYTVRLALETQEDLDKLREMEMFGEDGSDGVWTVMANVMEPESLHRAFDGCAGVFHTSAFVDPGGMSGYTKHMATLEAQAAERVIEACVRTESVRKCVFTSSLLACVWRQSYPHDRRGPSIIDENSWSDETFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTVCPALVTGPGFRRRNSTASIAYLKGCRAMLAEGVVATANVETVAEAHLRAYEAMGGNAAGGRYICYDRVIRRAEEFAELERQLGMPSRAAPTQSVDDRPARFELCNRKLGRLMSSRRRCTYEDYYPVRFE >cds.KYUSt_contig_319.901 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:5857357:5858949:-1 gene:KYUSg_contig_319.901 transcript:KYUSt_contig_319.901 gene_biotype:protein_coding transcript_biotype:protein_coding MERPHPFSSSSSRILSSQPRRARPPFTPSSFCIISLSPPPSALSTTFGASFSPANLDGCPVPTEFWKKNEPGPPGLAPATPPPRGRDSSKSSPHRRRIAERCLHLRAPSRITACARGGDALHDRLSLLIHLRRSVASTSSSTCVVTNHAREIEELKAQVTSLKKDLVKGHEGKQFPNDKSGLGFNSYNKNKSTSHKRKKGQGHVKDTAKIVCFKCKIEGHHVRLCPLKKKPLGVKKQGKWPQDGAHGLPQGQAQGLPQLEEGPLPEKDQAKAPVAEKSSEKKGRRRRCYICHEKGHISSFCTSGNSSNPILIHGAYSLHKDKVGDVFAKYVGTQSGFAKRTIWVAKPIVTNFLGPNLVGDQQAKT >cds.KYUSt_contig_786.280 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1511773:1512923:1 gene:KYUSg_contig_786.280 transcript:KYUSt_contig_786.280 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFAHFGLGTLLMSSVWPNSEGCKTRGMANALLRVWEEAARDLHAASSIECDGEINVVLKVDYRFTRWWTEDVLAAEPCALSGAGEEYCDVKSNMLIAGLETPGDGESPARRTWPDYVPWLIFG >cds.KYUSt_chr6.24480 pep primary_assembly:MPB_Lper_Kyuss_1697:6:154605471:154606148:-1 gene:KYUSg_chr6.24480 transcript:KYUSt_chr6.24480 gene_biotype:protein_coding transcript_biotype:protein_coding MSERVQGTVKWFNTTKGFGFITPENGGEDLFVHQSALKCDGFRSLNENELVEFVISSGDDGRTKAEDVTAPGGGTLPGGPRPGGDDRGGRGGGGYGGGGGYGGGGGYGGGDRYGGGGGGGYGGGGGGRGCYKCGEEGHISRDCTSGGGGGGGYGGGGGGYGGGGGGRGCYKCGEEGHISRDCTSGGGGGGGGYRGGGGGGGGGGGGCFSCGESGHFSRECPSKGN >cds.KYUSt_chr6.5040 pep primary_assembly:MPB_Lper_Kyuss_1697:6:29831419:29833755:-1 gene:KYUSg_chr6.5040 transcript:KYUSt_chr6.5040 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPLVALLALFVVAAAAATEVEVGTTETRSSYIVHVAPEHAPALPRRGLLTTRAYGTFLRDHIPVEMSSPTPRLLYSYSHAATGFAARLTERQGARLASSPSVLAVVPDVMQQLHTTLTPSFLGLSASSGLLPASNGATDIVIGVIDTGVYPEGRSSFAADPSLPPPPSKFRGGCVTTPSFNGSALCNSKLVGAKAFYKGQEAALGRAVGEMELESPLDTNGHGTHTSSTAAGSSVADAAFFDYAKGRAVGIAPGARIAMYKACWEGCASSDILAAFDEAIADGVNVISVSLGAVGKAPNFYDDLTAVGAFRAVSKGIVVSASAGNSGPGEFTAANIAPWFLTVGASTVNRQFPADVVLGNNVTFTGTSLYAGEPLGATKVPLVYGGDVGSAACVEGKLNATMVAGKIVLCEHGVNARAEKGLAVKVAGGVGAILASTEAYGEQAITSPHIHPTTAVAFAYSEKIKKYISTQRSPTATILFRGTVVGSTPSSPRMASFSSRGPNFRAPEIFKPDVTAPGVDILAAWTGANSPTELDVDTRRVQYNIISGTSMSCPHVSGVAALLRQARPEWSPAAVKSALMTTAYNADNAGGIIGDMSTGNASTPFARGAGHVDPNRAVDPGLVYDAGTEDYITFLCALGYTAKQVAVFSSAANCSTRAVSSVGNHNYPAFSVVFTSSKSAVVTQRRVVRNVGSNASAMYRAKVSSPAGVRLTVNPEQLQFSAAHKTQEYNITFTQGPGSVTGKHTFGSIVWSDGEKHTVTSPITVTWPASLLAEM >cds.KYUSt_chr1.19597 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115204932:115205531:1 gene:KYUSg_chr1.19597 transcript:KYUSt_chr1.19597 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGDPLLSAHRDLRMGVAVALAGPQNVLRRSRAPPAAIVIVGSSASMQEGDIEPGGHGAEACEGEDLKDAVAVDDVGFLVRIDRLNLIFSISAYIYMVICAVDRTPNSIDTKTTIEGRVLTWIESSPATACILKYSLVDWGFDNGDCQSRSYVLDGLRHSRRPVHELEAPSERFLAHDFVSLTSLPSACFLVDISWTR >cds.KYUSt_chr3.17746 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108903414:108903824:-1 gene:KYUSg_chr3.17746 transcript:KYUSt_chr3.17746 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLLPAAAALLLALFAAAALAPSQASAFTATMKSAGGLGGAVAVVVRRGGRTCRGTVGECMEYFGVDGEGGAEVAAMAGKRRVLQSGSGYIGYDALRRDSVPCSQRGASYYNCQPGGEANPYSRGCSAITQCRG >cds.KYUSt_chr7.22883 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142026435:142030238:-1 gene:KYUSg_chr7.22883 transcript:KYUSt_chr7.22883 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMELKKLPLGFRFHPTDEELVRHYLKGKITGQIKAEVEVIPEIDVCKCEPWDLPDKALIRSEDLEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRIIKSKGEKKKQHMIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFQKTLEKTERSTPDEMDKSGYSPTPSRSTPDHMEANEEALTPLNKESPESGLSGCPVDLPGTVETPAAPITRWLADRNDNLATNEANVFRAPSHGHVDGGPKAGAAAGSLTQLIDSQKINVGSDEFATFPAPMLQHDVDALLNDFSLQGPSADFCGTLKPRDPVEDFLNEAIADPDEHSSSTSKVQYDSDTGNMPAEFDNNWVLQGDFLDDSNWLDNLSFVPDDTNPQLSGLYENATLLPYDSTNPDVLSMDSGDESLQDLFNTMEDHSGKRDVYEPGFNAMHQQLQSNMHPNYLWSTEPGYNAMHQQLQSTMQPNYVISQQGIASRRLKLCDSLSAVDVESRESMTRDEHEESDIATSKYMGGSVESTADVDDAESTGVTIIRRRRAPSPNVLSDGDDAESTGVTIMSRRRAPSSNVPSDGDEAESTGVTKMNRSSAPSACVPSDGDDDVSTGVNIMSRDTVPSSNTDSSVTQQGAAVRRLRLQSNLNAGTCSSVDGFSSCIMDENGSGEGVKAEVEEPVETNFLDNFGSVGDSQPDAQTDMPDHDGAMLIPDANSVMRQRKTLEKRDREKKQECGLQSHVREPRKRGGFPAHIIWPVLSVALLVLVSVGMYGWA >cds.KYUSt_chr5.35286 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223037192:223037614:-1 gene:KYUSg_chr5.35286 transcript:KYUSt_chr5.35286 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSGPRGTACLASGKAPDPVPPLPHYHPDGAEQWPPSPAFWKSLVGAQVDDLQHQLEPKLPVDEEATFHRWNALPGPLPCSREVGAPGGRADVIAKNRSPTRAEPREREDRRRKHLAWPWPSRARREMAGWNWNRALSP >cds.KYUSt_chr4.47112 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291498947:291499463:-1 gene:KYUSg_chr4.47112 transcript:KYUSt_chr4.47112 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRSPPPVAAAFRGSRAAAVVVCASSSSSSSSAVSSAPKARFVARRSESASVRQLARPLAEYMGLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCAASASSPARQAPRLHTCCFGG >cds.KYUSt_chr2.49658 pep primary_assembly:MPB_Lper_Kyuss_1697:2:310689831:310690946:-1 gene:KYUSg_chr2.49658 transcript:KYUSt_chr2.49658 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLSWPLLVPNAIFTKALCSALAIAIMLALAPPTKMSPCCLCLTPLLLFPAMTTTGLITREALVLLLLALAGIALVPPPCDALQLQDAALIDDVVMEAAEEWYNGKHRRTGVTYPLSLPGSLSAVDASVSRFRAGSLKRHGVLRFGEFSVPQGLVVPGRPAASHLLAVRVNLGNLSAVYAEYAARGGGYRIASPVLGLMFYDLEPRNGTAALEVCVTGAAISVNFSMAVPALQPGVVPLCMAVWLNGTVAVTDVQAGSNTCHLWDQGHVALVLGGVGDGGDAVAEAGEASRWKLALFGAALGAGGTVLLGLVLVALLSVQRRKSEMAEMERRAYEEEALRVAMVGHVRAPSASGSRTTPDELENEYRVTL >cds.KYUSt_chr7.17338 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107309657:107311201:-1 gene:KYUSg_chr7.17338 transcript:KYUSt_chr7.17338 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLLAIATLLGYRQYLKRKSISSKDAEIPLEDMDYQFQNGAGPRRFSYSELSRATRRFSEEEKLGEGGFGAVYRGLLHDQGLQVAIKRVSKTSSQGRREYIAEVTIIGRLRHRNLVQLVGWCHKADKLLLVYELMTNDSLDVHLYNSEKILTWAIRHKIILDIGSALMYLHQEWDQCVVHRDIKPSNVMLDSSFNAKLGDFGLARLVDHSRDAHTTAVIAGTRGYMDPMYAVTRRASTETDVYSFGVVLLEVACGRRPVIQEEDEARAVLVDWVWQLYGRGKLLDAADARIDGEFDAREVERVMVVGLWCVHPDYGCRPSIRQAMSVLQLEAPLPDLPPDMPVAIYVPPGGGHGSSYTSLNASDDTGGLSSASDRTAKSRSFAVAGTRSENDVTNVMNQATDTTEHVQFRNYTS >cds.KYUSt_chr2.13831 pep primary_assembly:MPB_Lper_Kyuss_1697:2:87587700:87588248:1 gene:KYUSg_chr2.13831 transcript:KYUSt_chr2.13831 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISIEKIKAMRKYRRSRRQQQKKLLPTLTPYMVATCVLCLLLTSPAWFPRLCSLLISFLTTLPDLATAFLLSPKCLFVVGNLIVAFLINESRLAPRIPPASLVDVDGIHEEHVKRNVTSMATTTVVFTDQSAQVGVVWEGEEEEEEEQGEEELEKRVDDFIARVRRQRKLEAKSFFETDR >cds.KYUSt_chr4.22280 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139953787:139955533:-1 gene:KYUSg_chr4.22280 transcript:KYUSt_chr4.22280 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRCWARGTVCPVNLRALDLQSYSWRSTEEAPATVSGYELRERLGGRPPFTSVWRAILLSTGAPAAVKQVWLAGLPGRLRDSLDCELRFLAAVSHPNIVRLLEVIQTQGCLYLVMELCEGGDLASYIERSGRVEERVARNFMRQIGAGLQVLRRHHVVHRDLKPENILLSCPDSNAILKISDFGLSRVLHPGEFADTACGTRLYMAPEVMLFQKYDDKVDLWSIGAILFELLNGYPPFRGRSNVQLLQCINRTRSLPFSELVTPSLHPDSIDICTRLLCANPVERLSLQDFINHGFLRPQMPQ >cds.KYUSt_chr4.1240 pep primary_assembly:MPB_Lper_Kyuss_1697:4:6433352:6434623:-1 gene:KYUSg_chr4.1240 transcript:KYUSt_chr4.1240 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFGLLFLLALTLPTATATLSLASTADHVSITGSNNGHRVSITGSDNGHHVSITGSITADNGHHASITADRAHHASITGSDNGHHVSITGSITGDNGHHASITADNADHVSITGSNNGHRVSITGSDNGHHVSITGSNNGHRVSITGSDNGHHVSITGSNNGHRVSITGSDNGDRAADHRALASITADRAADHGALASITADRAADHRALASITADRAADHGALASITADRAADHGALASITADSAADHGALASITADHRALASITADRAADHGALASITADRAADHGALASITADRAADHRALASMTADRAADHGALASITADRAADHRALASMTADRAFGSNNGHRALGSGALEGGAVMEGQTPPVAFAPQDDEPGVAWWWALVAAATALVIVVGVLWVLAVLRRRRRRAAAAAAAAAGN >cds.KYUSt_chr2.52430 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327115945:327116361:1 gene:KYUSg_chr2.52430 transcript:KYUSt_chr2.52430 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDFTDLELELACQDKSFADELVEIEERMAGAAVDLPTFKPGENGKMMELVSQTCIRALMKSPPPSKPLPDYSFEALRRLGITDQEELEAVARSFRDLQSARDAQNDIIQQYRAQGYAYFLLPKNSERYPPPASVN >cds.KYUSt_contig_1993.412 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:2297060:2302894:-1 gene:KYUSg_contig_1993.412 transcript:KYUSt_contig_1993.412 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQGQQYKNMFFAKLQNSMQRRKGGYSKKVTSFGYTYERKGSHMRNSKLKPRGDGPFKVLKRINNNVYVIAYLRPSTSFEKNPQRINNRCSRKKNEKEEKEKKKRINAAGGSEEEYEHTEVEREDEVDLSDGDEADADDANDEEVSAEQDAGKSAKQPLHDEVQGKKGVSNPVPVGTSNPLADAFATVERDGVDMKIMEFIAANGIPFNVLRSPQYYEMVSAIKRAPKDYKPRSYEKARTTLLDACKSNVEKQLAPVRETWYTQGVSVVSDGWTNVKNEALINVIASNSRGSMFYAEDFSGLEKTGDNITQFLLKAIDEIGPSNVLQVVTDNASNCKAAGREIEKVHKHILWSPCVVHTLNLIFKDLANACPWIVKTCKAGKQVVKYILNHQHCLNLFRSKSKLNLLKVAKTRFASHYILLKRLKDCREALAMTVATIHWNEWAKTGDHHARTTADLITKTINDDVFWDEIDVILSITKPLYLLIKFGDGEGSKVAEIYEKMDTMVVEIKEVMRKKDNPHHGDLDKLFDIILDRWGKMNWTFHSIA >cds.KYUSt_chr2.36874 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227814950:227818840:-1 gene:KYUSg_chr2.36874 transcript:KYUSt_chr2.36874 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATANPAPPPTFSSQSRTFTASISLRTCRAISRSRSLALTATPAPARSIHLRARATKNGSAPPPHPLSEVLPYVAAEWRTIAKGWACAAAAVYCLSRTVPAAGRLPRALAAGVGVSPEVSRGVVALAALASARAAASYVQQALLWEAALRAVARLRERAFERLLARDLAFFDGRAGMAAGDIAHRITDEADDVADAVYSVLNTIVPTSLQLIAMGTQMVAINPHLSLVAAMVIPCMCVVIAKLGERLRQMAREAHLSLAMLAAYLNDVLPSMLTVKVNNGERKEILRFHELALVDLKNNLGKKKMKALIPQAVRTTYVAGLVVLCAGSVAISGTTFDPEGFLSFLTALALFVEPIQDFGKAYNEYKQGEPALERIFDLTRFIPEVRDKPSAVHLNSVKGDIQFHDVTFRYVAGMSPVVDRVNLHIRAGETIAIVGPSGGGKTTLAKLLLRLYHPQSGYIILDNHDIQDIELKCLRTHIAFVSQDPMLFSGTIAENIAYGDPMGNIDMRKVENAAKIANADEFIKMMPKGYGSYVGQRGSSLSGGQKQRLSIARAIYQNSSILILDEATSALDSRSQLLLKQALMNLMTNHTVLIIAHRLEMILMADRIVLLEGSEVREMTRSAFLSRDGHFSQPDALSPELGEI >cds.KYUSt_chr2.27044 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165630314:165631519:-1 gene:KYUSg_chr2.27044 transcript:KYUSt_chr2.27044 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAATERSEPTPLSGRLPEEIVIWEILVRLPPKNLVRCRAVCRAWRRITSTRHFLLAHHGHQPSLPLLSGFKYGVDCHQDIIAFDHRAATAQIQPLARLIDPKLRAVASCDGLLVMSIDEYDRTHFFVCNPVTRQWGDLPILRGFHFSGIYPHSPTGQYRLLLYRSQICKVMYEGKVPGDKDSWYVLTLGCDEVPRCIGWPEVEAQHGTPVLVRDSLHWYPAKHQTRSKMILAFDTTSESFRLMRAPVLPESAYRFETHGSYLFEMDGMLAMYMHNDAVTVVDIWVLHDYESEVWAFKYRVELLAAEFVAGFERSRCWNVVVASEGDDVLVLVHFDQWLLHIDRKGRLVASCRHDSKGLNISLHQLQQSLVQHTFFPALQNYEVNALPFIKTAIDRCGAF >cds.KYUSt_chr1.19267 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113107744:113109455:-1 gene:KYUSg_chr1.19267 transcript:KYUSt_chr1.19267 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTATSFLPSALSARKEVSVRRFLQVCFHAREFMYGCGADFLVQGAVKDSAFLGVRLADGLKLDTSSLGLRTKRVNTSSVAIRAQASAVSAPTATPASPAGKKTLRTGTAVITGASSGLGLATAKALAESGKWHVIMACRDYLKTARAARAAGMPKGSYTIVHLDLASLDSVRQFVNNVRQLDMPIDVVVCNAAVYQPTAKEPSFTADGFEMSVGVNHLGHFLLARELLEDLKASDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLASGLNGAGSAAMIDGAEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSEPSLTKSGVYWSWNNNSASFENQLSEEASDTEKARKVWELSEKLVGLA >cds.KYUSt_chr2.8082 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50841862:50842410:1 gene:KYUSg_chr2.8082 transcript:KYUSt_chr2.8082 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKACKVGGAASPAGTSSTATSIVVLASLLLVASAVVFLLSPPPPPADAKGPPEPVELAIGVDGHEGWLDALRAWAKLACLRLRPLEPRCDLRTPVSMKKAAKQSLEMGKEAVELTAARAAEETIGRTSEKVRRKVSSSPPSADGDL >cds.KYUSt_chr6.1497 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9109253:9109501:-1 gene:KYUSg_chr6.1497 transcript:KYUSt_chr6.1497 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGTALQTAILLVVIWKTDWEDKAAQANERISAWAGESRCEHKIHGGSGGDGDLKEASECDDSCADRGRTASIYSSGPTGV >cds.KYUSt_chr4.16435 pep primary_assembly:MPB_Lper_Kyuss_1697:4:102175661:102176806:-1 gene:KYUSg_chr4.16435 transcript:KYUSt_chr4.16435 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVSKVLDDDNLLAEIIIRVGFPTFLVRAALVCKHWLCHISDRKFLSRFRKLNPPRLLGVYIDNWLAPRFVPMLPQPPELATVIDRMVSHSFGQIVDCRNGGVFTRCLEGEVLVHGVYWPLCPERGLCIIPPVPTTEDPFQLILGTTLSKEGDGLSYLYLLADCIREMGIFRMRVYMLQDGVWCIHASATERILHPLRAATAVLVGNKIYVVAGCGNDIFVLDLIGSSLSRIPLPHGVKYQVLFTALSRADDDSGVYLTHLEDSELQLHIWLHKGDNWLLVHTICLDEMLANWRMLGHTLEDDATDLLYLGEVGDNAEVVFLNMCRVTLYLDVTSRTLHEVRGSAENDRHLTDVYPFMMIWPPTFPALKDDPARFVISP >cds.KYUSt_chr1.26796 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161658561:161659146:-1 gene:KYUSg_chr1.26796 transcript:KYUSt_chr1.26796 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSDPRTKSGENRQKPPPPPLRAGCSSASGAGSVSASGSHTDTGQGRDLEIQENYKLGSTRYIFVVSQLDAAQPEEHEEEGGRVVLVGKVMAIGNSVVTNAWKAATQT >cds.KYUSt_chr6.7348 pep primary_assembly:MPB_Lper_Kyuss_1697:6:44681365:44681962:1 gene:KYUSg_chr6.7348 transcript:KYUSt_chr6.7348 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKQRTIGVAMDYSPSSKAATKWVVRNLVKAGDRIILIHVLPKGADASHKGLWKSAGPRILQLTPMLVFPCTEALIPLLEFMEMNAQARYGVTPDKEVLEILQAESKSKQEFSMNIHLSSN >cds.KYUSt_chr6.30726 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194825955:194828438:-1 gene:KYUSg_chr6.30726 transcript:KYUSt_chr6.30726 gene_biotype:protein_coding transcript_biotype:protein_coding MSELERTVTAGATERVIRDFVGTKSERAALAALSRLLIDSNPLAVPFYEAVTQARWFKWSSIHAAAVAALLETNGGAEESRSLLADSAARLESAAEVALFYCDLMAAFSSRGLKGRAMDFYAQLRAMPPPLAGGGKTYMAMIKSLCMMGLADEAEGALREMVALGYQPDAFQFGLVAKCYGKAGQLAEMERVIASMSDAGIRMGTGAANIVLSCYSACRDHGKMLMWLKKMRKLRLAPTTKAYNFVLNSCPTVVSMARELGPSLPLSSAQLVKKLKSGSPWPAEAEVVQELLSASSVLDKAMVWSETEVKLNMHGFSITSAYVLMLQWVDAVKGGRALPLEVSVVCGVGKHSDVRGEPKVRELAQEVLSRMGSPLRLSTRNKGRLVAKRDRVKQWFSIQLFLSKAGRVFALRCSNGKRSKKPSVSYKIVKVADAKVLQLDYNNNCIFPHKLVCQVGANC >cds.KYUSt_chr2.12575 pep primary_assembly:MPB_Lper_Kyuss_1697:2:79849076:79849600:-1 gene:KYUSg_chr2.12575 transcript:KYUSt_chr2.12575 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKRLSESRDLTRIERIGGHSHIRGLGLDAFLEARDASEGMVGQLPTRRAAGLILQLIRQGKIAGRAALLAGQPGTGKTVLAMGIAKSLDAETPFASLAASELFSLDLSKTEVLTQAFRRAIGVRIKEEAEIIEGEVVEISIDARRRRRLGRAFGGCSGREDWKARPQDREA >cds.KYUSt_chr3.32438 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203780674:203782687:1 gene:KYUSg_chr3.32438 transcript:KYUSt_chr3.32438 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAYSRPSKLPGGAGERRLPPRLMRSFTGKIEPKKLGLGLLAGGCLALLTYVSLAKLFAIYSPVFASTANASGLLQNAPPGSSSAPQKEAVPPLEDTTFAGRKNDDPADPVDFPEEGPAVAVTEEPGLPEALVSKKEKEAPLPSERNPSEKDQDKSIGDAKMACDENGVDEGFPYARPAVCELSGDIRVSPKQKTMYLVNPSGAAAGFDEKGEKKVRPYARNDDFLLPAVVEVTVKSLPSAEAAPQCTKRHPVPAVVFSVAGYTDNFFHDNTDVMIPLFLTAGHLKGEVQLLITNYKPWWVQKYTPLLSKLSNYPVINFDEDAGVHCFTQGFLGMYRDRDLILSPHPTRNPRNVTMLDYNRFVRAAYGLRREQPAVLGEEPGMRPQMLIISRSGTRKLLNLDEVAAAATALGFNVTVAEAGADVPAFAALVNSADVLLAVHGAGLTNQIFLPTNAVVLQIVPWGNMNWQATNFYGQPARDMQLRYLEYFVDEEETSLKDKYPRDHVVFKDPKALHTQGWQVLAETIMKQDVKVNLTRFQPFLLQTIDKLQE >cds.KYUSt_chr7.3931 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23424768:23440914:1 gene:KYUSg_chr7.3931 transcript:KYUSt_chr7.3931 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYLVPLLLAAAAATTATATFVLDEATIESIHRAFAGGALTSRGLVELYLRRIASLDPALHAVVELDADGALAAADRADAARLLGGASLPPLHSIPVLIKDNIAAAGALNATAGSLALVGSRPARDAGVVERLRSAGAVVLGTASLSEWCNFRAPGVPAGWSPRGGQGLNPYVPSATTCSSSSGSAIAAAANMVAVTIGTETDGSIMCPSSFNSVVGIKPTVGLTSRAGVIIISPRMDTVGPICRTVSDAVNVLEAIVGYDPRDAEATHMASQYIPEGGYRQFLNINGLRGKRIGVMRKDFFLFPPGSIKEKVFGEHFDTMRQLGAILVDNLEIPSMNVINDAVQSGERALMLAEFKLSLNSYLSELATTPVKSLSGIIEFNDKHPVAERMAEFGQSYLVQSEATNGIGPTEERAIAKLNKLCEEGLEKIMLVNQLDAIVAPGASAHSLLAIGGYPAITIPAGYASDGVPFAICFGGLKGSEPKLIEISYSFEQATKMDYMRLLDHCWNGELTGDGCHMHRHDHLKTVYMSGWVQTTHHAKLRIWSTSLSPSSPARHRIHHSPLQPEPTLHAADLANSRKWIGGTSDALQEGKAAPSGATASESDEPTWISPNPQNHQPGRFNELPPNLPPTNTRPHGLEVLIAVSPWTPAQGLEEEEGDIRARGSTPLPAGGNNLHRTTTTGGLHGSRPDEAARTNQAPPGPAEPNQAREVLAAKLQQAGRGVATTLPPPTSLFRHQGTARSDQGPTARQAQIRPKRDLDLGPTTPPGRRSAANDGAAAPPSTRHTDLTPPHQTAIGQVFPIPATACTAVRKSRPPKLRPLRSCTGRRAIRFLGSVSARLLAAVRLHHRRFGCFIDRSDYTMGDINNSHGGGATAGATFPVAIYVISLSYLALLLVPCSDLMHVHGLMCMVKQFSPSGFAAALKPSPFTCSHFKRWQSKTLLWLTSMGVHRVAEGLHERDIFDLFLPEFDKPWVIHLRENLLLFYKPLLLEAQHCLQERRGNVDITYLHIRNGKELWDALDAKFGAADAGGELYTMEQFNDYIMVENRSVVEQAHEIQIMAKELELLKCVLPDKFVAGCIVAKLPPSWRNFATSLKHQRHEFSVENIMGSLDVEEKARAKDKHTGGTEGRSAANMVQKNAHKSKGKNKGVSQTTNFKKGKTEKKDPCWVCGETCHWANRCPQRKGKKCRAGWNSNSSSMVIGNTDEGTTRARGSSVMMGNGLHATVRGVGTADLKFTSGKIVQLRNVLHVPSIKKNLVSGSRLMKDGFKLVFESQ >cds.KYUSt_chr4.13453 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82948045:82952598:1 gene:KYUSg_chr4.13453 transcript:KYUSt_chr4.13453 gene_biotype:protein_coding transcript_biotype:protein_coding MTARHARHRYLRGRRLPKRPLGASTGAAEKTHRCWVTAKRAEAHIWARCIPYMLKDDDIDNSCCLKDDHVLDKLQVLMISTPKRMDLIFPKGGWEDDESVEEAACREAFEEAGVKGIINGAPLGEWIFKSKSKQSSCGQEGCCKGFMFALQVTELLESWPEQITHGRRWVPVREVDGLCRYDWMREALDKLKEQLVFGSNFSAPPSLELPDSSSLCMVVSPAVPQGAVALC >cds.KYUSt_chr2.26013 pep primary_assembly:MPB_Lper_Kyuss_1697:2:159240025:159246097:1 gene:KYUSg_chr2.26013 transcript:KYUSt_chr2.26013 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCSAPLNNLQHASETPPLVILGDEIFGERFYVITEFIMDVEEFFPNNDLFPDNSSLYDNMGDNTGNANGSSSAFRGWLLAGLAMGAGRGARTTADLAAPSGIRPVWLSLLLERPPRRAQMVRSGADGSQLVGIPVVFAGGFLCSSFVRDDDPKASSSLFSVVVLFLCRSLFAPPCAGISVLRITQGCQIATMVMTKSAVAEKKSRSALAVALPRELVVDIVGIVAASSSQPMADLCNLRSTCKDMYGASKERHVGRRLALEKEKMMKWRDNMAYHAMLKHLADVGNPEACFIVGLTLVFARHDTQQGRVCLNQAAAGGHKTAAYVLGLLLYTLKDQRQRDLAKRYIGLLEGDAGCTKVTAEKTNLEYRKYRQLAANAVREVAWKKGMTDSRRSGLASVLLEDDGDRCTSARCGLPQGGWADYDIFCSDDCRIRRERLEFFGMVLRYLP >cds.KYUSt_chr4.51944 pep primary_assembly:MPB_Lper_Kyuss_1697:4:322477763:322481315:1 gene:KYUSg_chr4.51944 transcript:KYUSt_chr4.51944 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRDVLLSVVVAVVAMAATTARAQLEVGFYDTLCPAAEIIVQEEVSKGVSGSPGTAAGLLRLHFHDCFVRGCDGSVLLDSSAGNTAEKDAPPNSSLRGFEVIDAAKTRLEQACYGVVSCADILAFAARDALALAGGSQYQVPAGRRDGNVSVAGETNGNLPPPTANVQQLNQIFGSKGLTQAHMVALSGAHTIGMAQCSSFDGRLYPSSPSAGQDPTMDPSYLGTLTTQCPQSATQSGAAQPLVAMDPVTPNSFDTNYYANIVANRGLLTSDQALLADPTTAAQVVGYTNNPASFFTDFADAMVSMGNIEVLTGTSGSIRTNCRVVG >cds.KYUSt_chr2.24809 pep primary_assembly:MPB_Lper_Kyuss_1697:2:151690518:151692196:-1 gene:KYUSg_chr2.24809 transcript:KYUSt_chr2.24809 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTGVAAHIAVAVAVFLPGLVPSISEHVHDPWAGGLLLPLPGHAQRPCTASPPPPLPFPCRGGRPRNYARPRNPSPAMGFVTAAPPAPPPRGARLRLHLLLNLPEILPTSTSTTASWNPASTTSCPTTLWRPRWCGGLVLVLLPPGLAVVSRS >cds.KYUSt_chr3.11365 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67679581:67681141:-1 gene:KYUSg_chr3.11365 transcript:KYUSt_chr3.11365 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTPECLSMELLIPDSPYEIELTNNFSLDLVIQGFVLKEPNGRKSYVKGKTIRWNVTSDDFTMDVLMDGLTAELRVGRDQSIAVWYFNMIMAQDVRLTENDQFHVMFNMYRAERNLALAIVVLAIVVLDNICSETLVPMLDNGVHVPDPTIPDVHADVSATCVEKGGDIHEEDDYSDVANHEEEEVNDIDPAGYSVVHDPENPDIRVGALFPDIVACRKAIKTLPFEHNCPTMKLSNCTMASQGWIADRIGDWVKKNPGVGAKDAIAKLQDEYNIKLEYNKTCCIEL >cds.KYUSt_chr1.20328 pep primary_assembly:MPB_Lper_Kyuss_1697:1:119800737:119807728:1 gene:KYUSg_chr1.20328 transcript:KYUSt_chr1.20328 gene_biotype:protein_coding transcript_biotype:protein_coding MISAHRERKMDRRNTKLIMRKLDIPVDSGSEEVITPEEEWLSKHGNVTEFEQLGLPGPSRRRRPPSDDVEPAESEEDEESEDNEETERAYKFVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRITRPTRPDRARRLGFKAKQGYVVYRIRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRRLGGLRVLNSYWVNEDSTYKYFEVILVDVAHNAVRNDPRINWLCNPVHKHRELRGLTSAGKKFRGLRGKGTRHHKNRPSRRATWKRNQTVSLRRYR >cds.KYUSt_chr5.35550 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224643983:224647397:-1 gene:KYUSg_chr5.35550 transcript:KYUSt_chr5.35550 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRFCAGAPRLALLVSFACAVLLIAPCQCVNEHGQALLEWRRSLRPTGGALDSWKASDGSPCRWFGVSCDARGNVVGLSVTGVDLQGPLPANLQPLASSLTTLVLSGTNLTGAIPPEIGGYGALATLDLSKNQLTGAIPPELCRLAKLETLALNSNSLRGAIPDDIGDLASLTHLTLFDNELSGTIPGSIGRLKKLQVLRAGGNQALKGPLPKEIGGCANLTMLGLAETGMSGSLPETIGQLKKIQTIAIYTTLLSGSIPESIGNCTELTSIYLYQNSLSGSIPASIGRLRKLQSLLLWQNQLVGAIPPELGQCEELTLIDLSLNSLTGSIPATFGQLPNLQQLQLSTNRLTGTIPQELSNCTSLTDIEVDNNALSGDIRVDFPKLRNLTLFYAWKNSLTGGVPANLAECASLQSVDLSYNNLTGPIPKELFALQNMTKLLLLSNELSGVVPPDIGNCTNLYRFRLNDNRLSGTIPAEIGNLKNLNFLDMSGNHLVGPVPTAIAGCGSLQFLDLHSNALSGALPDALPRSLQLVDVSDNQLSGQLRSSLALMPELTKLYLGKNRLTGGIPPELGSCEKLQLLDLGDNAFSGGIPAELGALQSLEISLNLSCNRLSGEIPAQFAGLEKLGNLDLSHNQLSGSLDPLAALQNLVTLNISFNAFSGELPNTPFFQKLPLSDLAGNRHLVVGDGSDESSRRGAITTLKIAMSVLAVVSAAFLVAATYMLARARRGRGGCSPSVHGGHGTWEITLYQKLDISMDDVLRGLTSANVIGTGSSGVVYRVDTPNGYTIAVKKMWSPDEATAGVAFRSEIAALGSIRHRNIVRLLGWAANGGSSTRLLFYSYLPNGSLSGLLHGAGAGVSKGAPAADWGARYDVALGVAHAVAYLHHDCVPAILHGDIKSMNVLLGPAYEAYLADFGLARVLSSGQGKLDDSSKPQAIAGSYGYMAPEYASMQRISEKSDVYSFGVVLLEILTGRHPLDPTVPGGAHLVQWVREQQARRGGGGGHDELLDARLRGSAGEADAHEMRQVLAVAVLCVSHRADDRPAMKDVVALLEEIRRPAVADDAKPPPAAALPAASAAPMLSPARGGAHSTGDSFAVVSDYSA >cds.KYUSt_contig_528.366 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:2303266:2307984:1 gene:KYUSg_contig_528.366 transcript:KYUSt_contig_528.366 gene_biotype:protein_coding transcript_biotype:protein_coding MESASGQSAAAAAKPQSQSSPPPMAQSDADQSPKAMTTAEVAAAIAALPAKKDALRQAFDRLAACSPFPLPFAWVDLDAHISSIQSSISLRYRQLQVLESARPAPVLAPVPTGDEETSEEEEEEEEEEEEEVEVEVEEEEEEEEEEEEEEEEEEAEEEEEEVVEEVEVEEEEEEVEEVEEEEDEVEEVEEEVEEEEDVDASNAVNKEEKADEEMLEAQEEEVKDHKKLVANDKEHKVHKVEKGEEEHAESDREMEEANKGLLKGVYPGLQGLVKACATMNTASLVNIVFNNNIPRHEHLVAVRHAKDAAALILDVVRRFLPNKNTKTGKVWENCVALIRCVPLVEPKLSVHTTEQAKQLAKDWKKMIDKEGGCGDLGYLSSWAFLYFLISYNIVSEFDVREIIRLFGTVPRKYQRKDCIDLCNGLGLVSRINDLIDYLVGNGQELSAIRITQVLKLVDKYPPLSLLEGYIEKAKRTALELFSKNASDKSLNPARTREIGNLWFARTIVKQQQADSSKSIAILEEIKNLLAEYGKSRNLINGSAAPKLNSCQQQKNQLQKEKQKYKKRKKEQQQHEGEARQVQGKQRKLEGNQEQPQEKGQQLAQHKPQEKRQQLAQHKPQERKDQQRHEDKGQGHRNAQAKKQKQQQQQIEPVQRPQQQLNQPRPCITKQSKLATPVGPSSRSVPAAVPSVAHISPIGRQPFAVMPGASQPHLPGIQGRPFAANGVPPQYNPYTAIPRSTPDNTYRSE >cds.KYUSt_chr5.1258 pep primary_assembly:MPB_Lper_Kyuss_1697:5:8708693:8709319:1 gene:KYUSg_chr5.1258 transcript:KYUSt_chr5.1258 gene_biotype:protein_coding transcript_biotype:protein_coding MFCINIWYGSDLRRHGNNLRRRGNNLHGTSLRRGRNLFPGHNCPGSGVAALRPLVTTGVVPVVVAAETTTRAVATMRGTEWCPVVVVLAAEATTRAVATARGTQRCPVVAEATVPILATLKAAAEIPATWSGMAECSAMFPGNKSCEGKSEFWQLQESHLSFLTFLCFLLEAYSLGAEPVLMTCEETREKRERVNELDALLIVTAGYI >cds.KYUSt_chr4.11803 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71693625:71693948:-1 gene:KYUSg_chr4.11803 transcript:KYUSt_chr4.11803 gene_biotype:protein_coding transcript_biotype:protein_coding MEARFFRFLKLVGVGFKARTEREGRELFLKVGFSHEVQFTAPPAVRVYCFKPNLICCIGIDKQRVHSFAGAVRTSKPPEVYKGKGILYIEEVIKIKPGKRDRQKRGK >cds.KYUSt_chr3.12624 pep primary_assembly:MPB_Lper_Kyuss_1697:3:75626362:75628722:1 gene:KYUSg_chr3.12624 transcript:KYUSt_chr3.12624 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGGGAARPLDLGLSPTKLAALAYLFFLLLGGSSLFASSAATDTILPGEGISGNQTLVSKNGGFELGFFSPGEGIHYYLGVRLRNMFGNSPTFWLGDRVGVVVTDLPATSLEMFGGSLYIKQSGASLWWSPAGNGSSAAVAVLLDNGNLVVRDQGNSSMVLWQSFDCPGDAMLPGARLGLDRDTGKNVSLTFKGFSHNGTLSVDARRRNGFVLTTDGHDDRGTFPDWMVSSQDNGSSLLLNHPESPNRPEFLQFHLGQVSLMRWSDSDPAANSSTAAGWVARWTFPSDCKSSGFFCGDFGACSIAGKCGCVDGFAPSYPIEWGLGYFVTGCSRSLPLSCESDGQTEHDDSFAPLDKLQGLPYNAQDEVARSDEDCRTACRSKCYCVAYSYDHGCRLWYYNLYNLSLAARPPYSKIYLRLGSKLRNKKGLQTRRIALLVAGLIGIASLVLILLLLWRFRRNSFASKKFEVEGPLVVYSYAQIKNATGNFSDKIGEGGFGSVFRGTMPGSAVIAVKNLKVLGQAEKQFRTEVQTLGVIQHSNLVRLLGFCVKANKRLLVYEYMPNGSLEVHLFKKKSSLLTWNARYQIALGIAKGLAYLHEECEDCIIHCDIKPENILLDAELCPKIADFGMAKLLGREFNSALTTVRGTMGYLAPEWISGLPITKKADVYSFGIVLFEIISGRRSTERMKFGNHRYFPLYAAAQVNEGEVLCLLDGRLEGEANLKELDVTCRVACWCIQDEENDRPSMGQVVRMLEGVISTEMPPIPASIQNLIEGDDSAIYSDF >cds.KYUSt_scaffold_1700.92 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:670465:673565:-1 gene:KYUSg_scaffold_1700.92 transcript:KYUSt_scaffold_1700.92 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLPVYAILLFLSWPFSSVLLCASDHRLVPGKPLSPGSVIVSADGVFAMGFFSPSNSTKKHHYVGIWYNGIPERTVIWVSNRAAPITDISSANLAVTSSSNLVLSDSNGRVVWTTNNSNSSTNSSSAEAMLDNTGNFILRSLADSSILWQSFDYPTDTLLPGMNLRLSHKMHPLQQLVSWKSQQDPSPGDFSYSADPEHLLQSFTWHASRPHRRSPVWTNNLVPMNYMSRSNSTIYMALHPAGDEVYMSFGMPTGSFVVLVRMEIDYLGKVNILIWESNISVWKSLYAQPEQECNIYGYCGPYGYCDNTQIVPGCKCLDGFEPRDRKGWIAGSFSQGCRRKEVLRCTHGDGFLTFPGMKVPDKFLHVRSRSFDECTEECRSNCSCVAYAYSKLNNMDIDGDDTRCLGTLGDGMEIAVKRLRVGSVQGAVEFKNEIALIAKLQHRNLVKLVGCCKHEDEKLLIYEYLPNGSLDAFIFDDARKSLLNWPTRFKIITGIARGLLYLHQDSRMMMIHRDLKASNILLDAEMNPKISDFGTARIFGVNEQQEHTNRVIGTFGYMSPEYAMEGIISVKSDVYSFGVLLLEIVSGLKIGTTSPTRRCRNLIDYAWSLWKDGKILNLVDSSIIEGCSLDEAGRCIHMGLLMVQDNPNARPLMPWVVSSLDNKSIELMPPKEPVCVAHRNYGTDGVGESHVNQMSLGNLKGR >cds.KYUSt_chr7.37123 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231726614:231730394:-1 gene:KYUSg_chr7.37123 transcript:KYUSt_chr7.37123 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRLLAVAALLAALLAVSAAAARLDLDEVDDSEVLEALLAVDDEEDASAAAPEDSDGGGAEAVRRTQSMVLVLDNDNARRAVEDHAQLLLLGYAPWCERSAQLMPRFAEAAAALRAMGSDVAFAKLDGERFPKAAAAVGVSGFPTVLLFVNGTEHTYTGLHTKDGIVTWVRKKTGAPVITLQSKDSAEAFLKKGQSFAIGLFKNYEGADHEEFVKAATAENEVQFVETNDRNVAKILFPGIASQEQFLGLVKSEPEKFEKFDGAFEEKAIVQFVELNKFPLVTVFTDLNSAKVYGSPIKLQVFTFAEAYDFEDLESIVQEVARGFKTKIMFIYVDTAEENLAKPFLSLYGLEGDKPTVTAFDTNDGSKYLLEADINTKNLKEFCLRLADGTLSPYFRSEQIPQEKELVEKVVGRTFDSSVLESPHHVFLEAYAPWCVDCEAISKNIEKLAKHFSGLDNLKFARIDASVNEHPKLKVNNYPTLLLYPAGDKTNPIKLSKKLSLKDMTRFIKEKLDISDVEIKEKLQTPDIETVAAADGVKDEL >cds.KYUSt_chr5.685 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4814343:4816516:1 gene:KYUSg_chr5.685 transcript:KYUSt_chr5.685 gene_biotype:protein_coding transcript_biotype:protein_coding MELEDSVADPFNKNQSFGGLKLYVKELDANTLPPFLARLCDPDKPCRYTEEEVLCVFETAAEVHGCNIVPHISRIVSTIIRIMSSVTGSLHSVGCSKVICAISRYGLDPLGTEQEKSGIISSLCSPLSGWLMSSNECVSSGSALCVTALIQSNNWQFASHELINDICLKVSGALEEVHCQTISHLGLVVALLKQNWLTLEPYGRSLIRSGLSILDESTKERNSQMVISSIQMIHSIMKNLDLSIISSEISSIIQAMERLQDDSVPEISTPAFQAAETAKKLCRQEDCGYGRRISPLAKYGGRHSRKGSYSHSVMDDAEIRDSDSNESLSDDVQSVHRFRDHDSQPSLGQHSVVPGSARARRRLWSNGSDKSHQISSDDFSHTIIPDHHDSAGVIAQSNSAGLLKSLRRSLDVPTRIADPCPTCLTPQTTNRFSQISRRGSFSEDYRMQSTPRKQLQFYNSCSNSKRDTHRLPDSPAFRQIRRCSGQCTDGEVAERNGYWDSIQHDKQCHVQNDDTLIEDLKLPTNGERSDSAGESPSAECQAEKEKMTRGKKGGTNCSRALLLLFICAVAMVALLLAWWKEGQRELYVVPT >cds.KYUSt_chr1.25555 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153391030:153393884:1 gene:KYUSg_chr1.25555 transcript:KYUSt_chr1.25555 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLPSPASLSLSTSPLLLASRLGGGAAALRPTTTTSSSRLTRFLAPTRTAAPNNTGFAAMSWLGKLGLGGGGSPRASEASAALAQGPDEDKPAPGNEFAQFGAGCFWGVELVFQRVPGVTRTEVGYSQGNLHDPTYEDVCTGATGHNEVVRVQYDPAACNFDDILDAFWAKHDPTTPNRQGGDVGTQYRSGIYYYTPEQEKAALESMERQQKVLNRKIVTEILPAKRFYRAEEYHQQYLEKGGRFGFRQSAQKGCNDPIRCYG >cds.KYUSt_chr2.7155 pep primary_assembly:MPB_Lper_Kyuss_1697:2:44863121:44864351:-1 gene:KYUSg_chr2.7155 transcript:KYUSt_chr2.7155 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCWLLDGIATYGAHPKPVFPPVIVRAAVWKALSKSPVIGAGLIRLHFHDCFVQGCDASILLDPTAANPQPEKLSPPNFPSLRGFEVIDAAKKAVEKVCPGKVSCADIIAFASRDASSILSSGRINFRMPAGRLDGRVSLSGEALQFLPPPFFNLSQLIGSFKAKNLDVDDPVVLSGAHTIGVSHCSSFTGRLPPNPSNMNPAFATMLQRKCPTSPNITNDPTVVQDIVTPNRLDTRYYTNLLKHNVLFTSDAALLTSRRTTRKVLQNALIARRWETKFATAMVKMAAIEIKTAANGEIRNMCRVVNN >cds.KYUSt_chr4.9686 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58486036:58486859:-1 gene:KYUSg_chr4.9686 transcript:KYUSt_chr4.9686 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTRAAKIPSLHQQTEINWDNLDMTKFYVVGAGMFSCVTVALYPVSVVKTRMQVASGEAMRSNALATFKNILKADGVPGLYRGFGTVITGAIPARIIFLSALEKTKATSLRLVKPLELSESTEAALANGLGGLTASLCSQAVFVPIDVVHTLTISHFQFPAVLQTAVLFHFQFPVMLQTAVL >cds.KYUSt_chr1.6015 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36959712:36961093:1 gene:KYUSg_chr1.6015 transcript:KYUSt_chr1.6015 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRVSQLGVKAALLAAVCLVLHGGGSMTAAELCVGYYDDTCPDAISIVQGVLEEAHQSDPRIFASLIRLHFHDCFVQGCDGSVLLDTFDGFESEKDARPNNGSARGFPVVDAAKAALEDACPGVVSCADILAIAAEISVELSGGPGWSVLLGRLDGLTSSKADAENLPGPFDGLPVLRAKFRNATLDDTTDLVALSGAHTFGRVQCQFVTARLYNFSGTNQPDPTLNPAYRAFLSQRCPRNGDGSSLNDLDPTTPDTFDNNYYTNLEVNRGFLQSDQELKSDPGASTTTAPIVDRFASSQDAFFRSFAQSMIKMGNIRPVTDPSLGEVRTQCAFVNDS >cds.KYUSt_chr2.47365 pep primary_assembly:MPB_Lper_Kyuss_1697:2:296337043:296338707:1 gene:KYUSg_chr2.47365 transcript:KYUSt_chr2.47365 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSIKAGDASPNYSSSICNKGTLIEANTVAHCGKAKSWNSFNRLNYQESSHVSLPRVTDPKENGEAGNDAADSMCFTDLPAALVCEILARLDPKGLGIISCVSTGLQTLATDHHGWKKFYCERWGLPSVPIGPLVPSGTPDGRSWKTLFVDREFQSKSFMGRFSVDILRGHDEDVRTVFLLASANLIFTGGHDSVVRMWDMEEGLLIDESRPFGCTIRAIAADSRLLITGGSKAFIQCWRATEGASHLFHISGIGTNQNSEFRLWGHEGPVTCLALDSARIYSGSWDMTVRVWDRAQMNCVQKFMHADWVMALAPHGNTVASTAGKDAYVWDLGSGELTTIISSAHVGNAYSVARTHLVDVLFTGGEDGAIRLFDVSEMSGNENIKPAATWVPHTGPVHSLAFEYPWLVSASSDGRIALIDVRKILTPPNSRQFRVKTIDPSAIEPPQRMLHGFGCYLFSVDIGADRIICGGEDGAVRVWNFSEALEIEKRALALKSLRQENRMRRKKAQVEMNANGRRADQCSVAMKRNQLKGDKSATWQIKRSINDKVKS >cds.KYUSt_chr3.9289 pep primary_assembly:MPB_Lper_Kyuss_1697:3:54411936:54415875:1 gene:KYUSg_chr3.9289 transcript:KYUSt_chr3.9289 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNARTCPARGLSGGGGGGGVRLFGVQLTSPPVAAMKKSASMSCIASSLGGGSGGSSPAAGAGGGARGGGEGAQGYVSDDAMHASCSTNGRGERKKGTPWTEEEHRMFLLGLQKLGKGDWRGISRSFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVPVMPMDESPDGVEEFMLCDTQDEGISSNKMSLFHIGEQDEAEFDGDLPTLQLRQHQESEFAGPSLEAPNLEMNHAVSFNAAPVPIMPTFYPELLPSSLTLWPTNVATLEEAGTAHEVLKPTPLSGKEGIKADEIVSMSKLSIGDGSSGSMEPSALSLQLTGSTNTRQSAFHVNPSMARTDLSNGNNSPIHAV >cds.KYUSt_chr3.15346 pep primary_assembly:MPB_Lper_Kyuss_1697:3:93828711:93829864:-1 gene:KYUSg_chr3.15346 transcript:KYUSt_chr3.15346 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQQLLMELEWLVPGHNQWDITPVGLDAFRVVFPSKADLVRQRRLKPVDVEGTSITMHFEDWSSRRLDKYGIFDLWIRVLGCPDTLCRDYLGLFAVGSLVGKTKEIDMKFTREHYIARMRIDCVNPQLIPRYLDHFYDGEGFGIEIHIEALDGSVVPAGYADEEDDKADEDATKETDKSHDMEDHDKNKNSDVTVHKDLDLEQQQKDSSKSEDMVDAAANNIEVSHVADSFESHHVEQVHHLSGTFVVHSGAVGGADPSPFHTPISHPSDELSASPGTPQGKPGVCIASLQSSPASIGDVPIRDGSESTRGTGVFLGGRYSKRR >cds.KYUSt_chr2.41900 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260867035:260871003:1 gene:KYUSg_chr2.41900 transcript:KYUSt_chr2.41900 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDIKQRLLLPPRAAANGPHRRGKPAAPGGVGVGGGGGGGGVAIDVHGLKKRGGGRRSWVRVDAATGASEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERIRCIITADEALVLRDQDADGAVRMYVDELQRRLVDRADDLPFEFIALEVALEAACSFLDAQAVELEAEAYPLLDDLTAKISTLDLERARRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMEASLLDEQGLQGIGNNVFGSSFSAQVSLSAPVSPASSPPASRRLEKQFSFARSRHDSFKSSESSQYNIEELEMLLEAYFVVIDYTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEQMDVLKLPHAFEWTLIITGVAGAVIFACLLWYFKKRRFFPL >cds.KYUSt_chr5.28717 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181896541:181896747:1 gene:KYUSg_chr5.28717 transcript:KYUSt_chr5.28717 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADDVGFATIHLHLDASSRAAAFPNPKGGRGGGGAGGMVAPGRSVHELLECPVCINSMYPPIYQVL >cds.KYUSt_chr2.16165 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101686362:101688158:-1 gene:KYUSg_chr2.16165 transcript:KYUSt_chr2.16165 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSTLPILPSDDSGIVGYIFCIASFKFAHKITSYQLASISWNMNKLVADYMYDEHKKGEFDPSTMEGCHYLVDWPLNKSKLDARSYATKLTADADEIIDIDKIWRCNVISLSPELKDTCLSFSLFHLLKRRFFGFSCGESKERAHDFVFRGLLSENEDGTTDYNRVFKVIEVELAFMYDFFFTKYLGLVDITTVIHPLNYDPWRVSRKPGKSVELPAEVKEALVSSLKRSDGKIANGKSSLVANGAENLLWACEAAKFLQSSRDKYKAMRNLADSEDTIFQRGVKLGKQLEEIQDITQCWKVLYDFWADMMLYVVPSDNVKEHIEQLAKGGEFITHLWALLSHAGILERQENQQAGSV >cds.KYUSt_chr2.2524 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15223291:15224712:-1 gene:KYUSg_chr2.2524 transcript:KYUSt_chr2.2524 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTKKKRRPRDRLSDLPDDILGHVLSFLPAEEAGRAAVLSRRWRRAFDVVQTISFQPLRILSIGGEAENRILIDRVNNALLARRSSGGDAPLRCLRVPIRWYTAPDCYAVDKWLSYALRHGIEELRLETSRHHGTNDDYDSDVDSRYNDDDDSDSDDDYDSDYDSAGATPTYDGVPLYAHLPRHRYDVSAELFSCATMRALHLVSCSLPPRLLPPTIRLPSLETLSLANITYTTLQADDDVPRQISAENIQRVISCCPRLADLTLEGCHQITDITVQRLARLALRCCHGVQRVAVDASSETLRAFEYRGGPPAASCFRLGGGAPRVASVKINICGSKPSRTMDQDRLWELLVLFAEAAHLQLNVIWNARFDHDGFHALHFPPFHNLTRLELGCRCTDPGAVRRVAGVLRQTPNLTSRKRGFRPPPLVPEIFEPRLKGALVAVREATRD >cds.KYUSt_chr7.14570 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90032814:90039028:-1 gene:KYUSg_chr7.14570 transcript:KYUSt_chr7.14570 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHRLDHGYLRAIHRRAPHTRHRGREIVCRTSSSQRATSINEDSDEAANEDAGNDYVVPDFAKESVIVRMKKLSLRTTTEERMLGCQIPAFGVWNYCSDLPITQYFDLAMQARLLKRTNRRRCCSGEEDQVRVGRGEGRLVLFNTSHPSPRKPAQIKVIRREVDKQSDVDGSELLREEGDGGNGKGARVSDSAVKRMVAAGPVDEDLYKMRKVVWSLWIGCLGLDCIA >cds.KYUSt_chr5.38717 pep primary_assembly:MPB_Lper_Kyuss_1697:5:245039521:245043164:-1 gene:KYUSg_chr5.38717 transcript:KYUSt_chr5.38717 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPTALRRISPHDHSGSDGWERSDDQVRKPRASYFPPHLLSPTPHPTSVIFPHGRSHLGGVNKIMALRSSTATSLLSRTLRLHATSSPYPPRPLLLRVESRAASSGGADPPTPDPAPASMDSPTIKVVSHLRDVGGGNAGNAAIDAGGSARKPLSLWPGMYHSPVTNALWEARSSIFERKMDVGAAGAAAGPQTELLTKTPAQSRTAIAYKFAQDDILREQYRDAWNEVRIGKLLEDLDALAGTIAVKHCSDEDSTTRPLLLVTASVDKMVLMKPIRVDTDLKIAGAVTYVGRSSIDIQIEVTQVDQDSVGSDKESDPIALTANFTFVARDSVTGKSAPVNRLSPETERETRLFEEGEAQDKLRKKKREEQKAMHGNVLHKFHAEAERLNALLAEGRVFSDLPALADRDSILLRDTRLETSLICQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQRPCFLEVDHVDFLKPVDVGDFLRFKSCVLYTQLENKWQPLVNVEVVAHVTRPELRKSEISNTFHFTFSVSSDALKNGLTIRNVVPSTEEEARRILERMDAEGLFD >cds.KYUSt_chr5.27459 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173840727:173842695:1 gene:KYUSg_chr5.27459 transcript:KYUSt_chr5.27459 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAFLYMVVERYMKYKPLSEVQSLYTLHRINPSRLFFSDKDDQRRQAEAAATVIKARLPRPTLTFYPPSNQGLSMDFTLVGRTKDRIVASDYLGSAFLYDDALRAVYPLPSMKGRKQIYPVSVAVGDDLFLMSREPHPFGHSAEALIDHTPWWPRSEMHWHSIPPPPYVKSEEELNQLPGCGRYSEILKGSVDYTAVVESDVWVSAPNYGTYSFDMKKDFYNPHQGAPFRLGQWSKVGDWVLPFRGPAVYAPELKLWFGFSEQEDDNGFSNRFICTADLEKAGAAQPPVVSHQWSYTAPKDQKYNPNASFLVHLGTGGRFCVANFFTGLVSVDPNGIIALVLEFQASQSFL >cds.KYUSt_chr7.26191 pep primary_assembly:MPB_Lper_Kyuss_1697:7:163553992:163555050:1 gene:KYUSg_chr7.26191 transcript:KYUSt_chr7.26191 gene_biotype:protein_coding transcript_biotype:protein_coding MKARQFVNVVMRSGSMYSVSRIKPEAKLFYPSIEEARAAVAPKKKKMQALSRIATPKLRLEAYRLDDMRLDFLPLYWRGSGGDSTILSVDSAGNTNLFDASSGSFEPVASLRERKGDSPISFSIARADDPDPSAAIALYVMDRFPRGHSSCNFESLVYGDDSWEWSQLPPPPFVNDPAYDCTTIQSYTLLNGGSTICISSSGCSPVGTYCFDTASCEWRKAGRWALPFYGRAEHVPELGNLWFGMADNSPNKLCASDLSTLDGAPKVLREWQVLDPPKGWVQIRGCLLYLGAGRFCINKVFDIGEQDNGKGNPSVNKAAVMTGVEVVQDELAQLQMIKHKSCVSFAGIQCIL >cds.KYUSt_chr1.29908 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181141690:181142364:1 gene:KYUSg_chr1.29908 transcript:KYUSt_chr1.29908 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCTPTALVRTSSPCLTGDEDGATLHTGSPIKVVVVQLVGAVEMAPRCPPAAPARMLSLRRGGWRQAVRRQPHQGWCRPRHGGWRRAVRRQPNVVVLAMVDGAGLFAGSLIKVGVVLAMADDAGLFAGSLIKVGVVLAMADGAGLFAGSPTSSSVAWCRVGDGARMCTPPALTSSSSPWRMAPGCTPAAPTWPSSAVSSDVTVQPYACAWRERDRGRRNIQP >cds.KYUSt_chr2.52837 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329650268:329651008:1 gene:KYUSg_chr2.52837 transcript:KYUSt_chr2.52837 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELGLAAATAGSAHYVPGSVVGLVFACVAALAIVVIAVFGCAGHKKSSGKKKPKRRHFGADGGGDDGGGGDAGGEHLNKALVLFLRATEKINIG >cds.KYUSt_chr7.17874 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110722804:110723440:-1 gene:KYUSg_chr7.17874 transcript:KYUSt_chr7.17874 gene_biotype:protein_coding transcript_biotype:protein_coding MATHCDYGDGLDGGRRGGHCDEYGDNVSESCDTSNPVEGDVSLFPAAASPLPKVWKERPAESHRWTYSLGGVDLEGEVWDVRFHLDGTDNLEKKLSKSYITYTNMLAMLEILGYGRGYSMYYVKEEGTGIEGMALINSMIDVEEMLELYKDAGCVSITVTKGESGLHCRYKRETM >cds.KYUSt_chr2.55031 pep primary_assembly:MPB_Lper_Kyuss_1697:2:343150328:343151164:1 gene:KYUSg_chr2.55031 transcript:KYUSt_chr2.55031 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTPIMCNPATERWVDLPPHPKVPLGGHITARLSFDPAASSHFHVFQFAKASEEDCITGVDIYSSRTIAWNRRESRLIQKFSLYFGLAGVFFRGMLHLLGWLHPMDHNEDAVLVAVDVEGQGCLHYATTPFAVVGRDNNTIKKKKRKQKAEDTSLATKIASFWHMKDYDSKEWALKHTVSDYELWSITTVNYKVAAIHPDCDTIFLDSCDVDTLASFDMQRRKFHHILQFEKNRAWQFLPYVPLFSDSFAGADGQ >cds.KYUSt_chr3.33600 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210982993:210984855:1 gene:KYUSg_chr3.33600 transcript:KYUSt_chr3.33600 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKGSGTSTAKTGANDIIAINNAVVPARYTTGVTDKAAATPPRQCKGKEIVKELGTEKEKKALVVNMARARGAARTRFLAVGIFLSTILVTSRTLIDNMRSFWKIRGHLDMNQLQDRRFVLEFSEEGDFLHVTKGGPWNFRNDAVLVEELKEGVDPEYFLFTTIPIWIQFQNIPFYLLSKQLCRNLGNDIALQRWITLQDEIGEEEVVVSVAYERLPNFCHFCGFIGHQDMDCLLPANERKKRYNDDLGVAPTHPKDARRWSMPEFTGQERHQQRFPWRSGLDYITHPPKAGFRQHIATISRVTHDVGKLSIQDKATSEIGDGGPASPPPPPAQPATAAAKESMLENSEKRDNEAATTTTPPSPTTRVSKQQLQYALDNAVAVAGTSNAVAASPPVVGTGARAPPTNETPAATITNNLKSGASWKRRQRPDNDNKDSENTPLATQGCSLGAQRSRPEVEEDDQRLQPLPKKILMQVPTLEECLGKEYLAKLRHEEERVEQSYVKPKETVEEKEQGSQESESLDIIADSQDIQFVAGSGSQRTNLLGQLEQMEEVGENTLQNKGKKSNSKETGLRSEGMKLGAKKSARRNK >cds.KYUSt_chr7.38238 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238468882:238475628:1 gene:KYUSg_chr7.38238 transcript:KYUSt_chr7.38238 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVLFREQKSPWFVFVSLAILGVLYVAAVACRLLHISGLALCLRRPKDLRRRYGAWAVVTGPTSGIGRSMALELARLGLNLVLVGRDPAKLDDISRAVSEAHGVQAKTVLFDFSLVSTPQGDEAMGRLREAVQGLEVGVLVNNAGVATPHATYLHEEADAEAWVRMIRVNLWATTEVTAAVLPGMVERGRGAVVNIGSGATEALPSYPLYSVYAATKRYVTHFSRSLYVEYRGKGIDVQCQAPLFVDTKMTTNMVTQEGLLSWIIMPTPEAYALAAARWIGHRRPLCMPNLSHRLQWCLSQFVPDHVLNMHRLRENLRQRTIFQRLK >cds.KYUSt_chr5.17296 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111703653:111712265:1 gene:KYUSg_chr5.17296 transcript:KYUSt_chr5.17296 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAGLKRKGYSSFTVLTHGKRPKTTTAPSHASDWSSLPLDITGIIAERLLVEDVTDYMSFRAVCSHWRATTTSPCDPTLRETRFRPRGWVALCDGDGVRPADACEITFLHTSTCRRLRVCLPELQKYRIVGFTDGLLILLNKTTTTLRVLHPFTRVFLDLPPLAPIFRDLVKPMESMVRMKAAVAWSHGSIAVIAWFPIVPVVIYAEPGKPRWSVIHLGLELWTALPFQGRLFGIRKGTGEIVQVYPQFPQYPVVARIPSVFGCPTLCHYYLVDFGGHMLLAVQHRSIGHREGWQPFAFALFLVNVHHRVLVPLGDLGDQALFLSKDRCLCVSARKLPSISRNSIYFSLPNYDPVVLYSLSSGIFERTSTFSLIHDLKERIRPSVRPFTLADHLMTYCHHLEWSRGLMFHEYYDIPLSWKNLWRKMMLQDFEIRVPGLGEEKVMGSLISRKKKLQITEMASPINFNRFLEKEKLKSNGSSFTDWFRHVRIFLSGGNLQYVLDAPLGDPPAETETDEVKAVYETRKIRYSQVQCAILCSLESDLQKRFEHHDPHELMKELKAIFETHAAVECYEASKQFFSCMMEEGSSVSEHMPAMTGHAKKLGDLGIVIPNRSGINRVLQSLPPSYKNFVMNYNMQNMNKELPELFGMLKAARD >cds.KYUSt_chr1.15520 pep primary_assembly:MPB_Lper_Kyuss_1697:1:90308418:90308888:-1 gene:KYUSg_chr1.15520 transcript:KYUSt_chr1.15520 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEASDKRIEERLENAKLVQELVEERDKFKKNYYSLMDDVAKFMKDQEKRVMEANLKKMNEEKEAIFDLDRPALEAEVIKLNSELFDLKEEKKQWESQKKHWESMEKLRKEKWEKKEEAWKEEKKKLEYTVFDLFKVNSANKEKLLQIKGIIGEI >cds.KYUSt_contig_1253.616 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3781779:3783329:-1 gene:KYUSg_contig_1253.616 transcript:KYUSt_contig_1253.616 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRRGHRHRSVMAAAPPTGHCPFDGLLCNPFVLVEGLGYLSVPPVPSGGAAEVPLRACDAPSAPSLLWVSSLGSDSDDDGDEKLAPQSPLACSKGIVSGSVCGTTDDVSINGVVPVVQACGSLSAVDTLGDQDGWVQVGRGRRPDREPSALLENEGLERSLAFKRWARGRCFRCLERDHHVNTCTAPFRCIRCRRPGHRERFCRARFPAARSRSLDTRARSPARAPFQRSRSPPAQPCRSSPPRSWVEVVCHSSSPPTSPPRLSPRCCEEFNVNASLDSRFQCQLSLLRMELTQLVATRVEEATRPLREEVASLKLLLAHVGDSLEPTEACSSGGHELATVQVSLALGSAEKKSSVVEEEYLYSCFSPRGSPDQSLQPVVSVASESEGIDETLAPVLQITPERHELCGDSPAVLPLVLCSLETLEVAMTPPPPQLEPCQSLASLDCGAVLAPSSEALFAKELCGLLASLEAASPGYGKDIDCVLAGKASEDMIRRVEKSLRKVSIRSIRRKRAG >cds.KYUSt_chr3.4094 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23294009:23295187:-1 gene:KYUSg_chr3.4094 transcript:KYUSt_chr3.4094 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCSPLGSAGKRNRLSSLQNDERRLHSLFTVPVTGNRPGSTPSTWESEYHIVIGYGTPVQNLTVGFNIGSGGQTFLQCKPCGDSTCNIPAFDQSQSSSIAPVPCGSPDCPLRNCTTGSTCSVIVKDKGVVQYGAAVVTDTLTLSQSTPITTLENFRVTCLEMGATTTDSSSGLLDLSRDKHSLAYRAPSAPDTVAFSYCLPSELSVDQGFLSIGAPRPEGNVSYIALRSNTALPNMYLVRLAGLDLSNGGPHIPIPAGDAVIALHSTFTYLKPETYAALRDQFKAQMERYPAAPPMGILDTCYNFTGLRSFGAPTVYLRFDNGVSMVLGIPVAMYFQERDNPFSVGCLAFASPVWSFPPGVSAVIGTLAQEGAEMVYDVRAEKVGFIPGRC >cds.KYUSt_chr7.39060 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243132191:243133120:-1 gene:KYUSg_chr7.39060 transcript:KYUSt_chr7.39060 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLPVVWAQITELADGVFIGMSMNHTVGDGTSFWDLFNAWSAISRGEELTRAPAPVHRRWFVDTSAVPIPLPFGALQHAVRRFELPPVREGFFTFSDASVQKLKARANDEMAGVVVAAISSLQALLAHLWRAVSRARRLPPEQEASYALLIGCRGRMGTIPPGYVGNAIMLRVIKGCTVGEILDKGLGWTAWQLNRAVASFDEAATREWLDRWAREPTFLPPVSSDGGGARMVGSSSRFDVFGNDFGWGKPVGVRSGYGDKSDGKVTVFEGPDQQKSMSLEVSLAPQVLQRLVADHEFMDAVTSPPA >cds.KYUSt_chr2.44187 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274822648:274825409:1 gene:KYUSg_chr2.44187 transcript:KYUSt_chr2.44187 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAASNPSSKRIAVVTGGNKGVGLETCKQLASRGLRVVLTARNDARGLEAVEGVRRSGGGGQSDVVFHQLDVTDPASVGRLADFLKNQFGKLDILINNAGISGVDRDPALVAKVKEQVESMDVDQRVQWMKENSKETYEEAKECMRTNYYGAKLVTEALLPLLQLSSCGRIVNVSSGFALLRNFNSEELKKEFDDIDNLTEKRLNELLDLFLEDFKANLIEAHGWPTGGSSAYKVAKAALNAYTRILAKKYPTMRINCLTPGYVKTDMSMHMGILTPEEGASNPVKVALLPDDGPTGAYFDRNGEASYV >cds.KYUSt_chr7.32441 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202076406:202077236:1 gene:KYUSg_chr7.32441 transcript:KYUSt_chr7.32441 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQCEMKDVQLTLEEKACLDEMIKQAERSLEPHELLAGALNNQTVGPLSIVATKIFAGQVVRNFPNPLCNLDGFAMSGTFATGVKAAVVYSAKNKAGVECGWLLAFNNSNNAVGVRVFAECGLKGKFRNINWAQVEQKLEKSGTIAKAHDLETGTSLYAGICGPTGKSAAGAVFLG >cds.KYUSt_chr5.27231 pep primary_assembly:MPB_Lper_Kyuss_1697:5:172325934:172330791:1 gene:KYUSg_chr5.27231 transcript:KYUSt_chr5.27231 gene_biotype:protein_coding transcript_biotype:protein_coding MWCTVQVLHPAMAPWVMASDVSSGWATKWERNCRSLQCWGLGVACAVAATAADRGLSFTGTVPLQEEASYLLREVAKSVRQSGGTTYHHVWPPMKFGWQIVLGSFIGFFGAALGSIGGLGGGGIFVPMLILIIGFDPKSSAAMSKCMIMGTAVSTVYCNLKVKHPTFDMPVIDYDLALLIQPMLMLGVSIGVICNVVFPYWLVTVLLIILCIGEEEQEYMTMSAGPDAASQTETLPVQDHYRNNETPSAEAVSIWKNVYWKEVGLLAFVWVAFLALQVTKNYMATCSMWYWVLNLLQVSSATATFAMMFSSSMSVVEYFLLNRFPVPYGAVSFLLWSSQVYLGTYYVFSEIWAALFFTTLAFFAAIVGQRVARKLIGLLGRASLIIFILSFTIFISALSLGGVGISNAIHKIVQHEYMGFDNICKYDA >cds.KYUSt_chr4.39882 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246268476:246272202:-1 gene:KYUSg_chr4.39882 transcript:KYUSt_chr4.39882 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGGASPSPGGAGAAANGRFFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALLSYAAIAWLRIVPMQLVRSRVQLAKISALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWITYLTLVPVVTGVVIASGSEPSFHLFGFIMCIGATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPVAVILLLPATLFMEDNVVGVTIELAKKDFTIVWLLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVSITGMLGYTLTVIGVILYSESKKRSKP >cds.KYUSt_chr6.11550 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71763778:71765709:1 gene:KYUSg_chr6.11550 transcript:KYUSt_chr6.11550 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALVTSNFSMSDLYPSKTNAVHAPRSRRLSYISPVASSAAAPNSGYRRKKSTSSPRPSAAATATVLTSPPKQVEQQEQEEHFVGKTNNATATRVATARTSRASDAPRQQVQSSRPRRRPAASLPVAFCNALEEAINTFVDPPVLRPSVDPRHVLSANFAPVDELPPTPCPIVRGTIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLPTTSDSDPILCSRYVQTYKYLVERAAGEPVLPNVFSGFHGMAGMARGAVMAARVLTGQMNPVEGVGLANTSLAVFGGRLYALGESDLPYAVRVDPATGEVTTQGRCDFGGRLSMGMTAHPKKDPITGELFAFRYGPMPPFVTYFRFDPAGNKGADVPIFSVKQPSFLHDFAVTERYAIFPEIQIVMNPMGMVVGGGAPVGADSGMVPRLGVIPKYAADESEMRWFEVPGFNMMHSVNAWEEAGGEEIVMVAPNILSIEHALERMELVHASVELVRINLRTGNVTRTPLSAGNLDFGVINPGCLGRRNRYGYFGVGDPMPKIGGVAKLDFDLAGEGDCTVARRDFGPGCFAGEPFFVPDDVEGNGNEDDGYVVCYVHDEGTGDNRFVVMDARTPELDIVAEVQLPSRVPYGFHGLFVTQAELRSQQHH >cds.KYUSt_chr2.11486 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73050916:73051533:1 gene:KYUSg_chr2.11486 transcript:KYUSt_chr2.11486 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVRTRQEDHTARVADLLPPMAEGSCFGREKVSKIIFSPFELSLYTYLQICGVPWFEPRLVFLGYRGHHAALAKSKREKAEFISLVWRYLCGEAEHMDHR >cds.KYUSt_contig_686-1.697 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:4170005:4170463:1 gene:KYUSg_contig_686-1.697 transcript:KYUSt_contig_686-1.697 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATPLLALSKAISSRSRAFPPSSPHHILLRRRAPPASLGRAPVVAASASAQRRLAVPGDLLLVSLARLALRGPPFPRAGPRRWFASVSASSLPSTGPPGGGGGRGNGDGGGGGDGGGGGGWKRPRASQGTAAAEEAAGQTADTIILDVGV >cds.KYUSt_chr2.51149 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319861287:319861919:-1 gene:KYUSg_chr2.51149 transcript:KYUSt_chr2.51149 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNDTKQLADAENPAVTKFGDSDSDSDSDVDFAGRANWLRAAVLGANDGLVSTASLMLGVSAVKHDVRAMVVSGFAGLLAGACSMAIGEYVSVCSQRDVEIAQLDRDGKRGGEEERALPSPVQAAAASALAFSVGALLPLLAAGFIVGYRLRVAVVVAVATMALAAFGFIGAVLGRAPVAKSCARVVVGGLAAMGVTFGLMRLFRASGI >cds.KYUSt_chr5.19011 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123050272:123051426:-1 gene:KYUSg_chr5.19011 transcript:KYUSt_chr5.19011 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIDVLDNDDLRDILRRLPAADLLRAALACHRWRRVASRCCPRAAPLLGYFFHPTKDSDRTCYDAVFDPLDASVPRLSLDFAPDASNFQLYDSHQGLLLLEPAVNLPKGILPRFLVLDPATRRRVLLPPPPRDTVPDDRIWRTSRYYIGSALLSRAHPSKLCFEAICFAIDDGHPRAWVASVDDGDCHWRALPRDEDLLVEFDPWLFEKRGVHAAGKIYWHICNSWRVLVLDPSTLKFSYLRAPDALGCAKYRVGETPEDGRLCIVTDAESQLQLWVRGQTRWSDRGWLLERKIVDLRVLCDMMPGLPNHPMFRTLSVWPSDMDAARSGKVFIRTMGYGRFSFHLGTGKLQRLSTETGMDYGHPIFAYFLAWPPAFLAPDQY >cds.KYUSt_chr2.54509 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340087935:340088636:-1 gene:KYUSg_chr2.54509 transcript:KYUSt_chr2.54509 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHYQTLGLQPDASKTDIRNAYFRLAHRYHPDHHAQDDAAARAAADAAFRKAHDAYEVLSDDRRRAEYDRTVRPSSSSASGNQRGQYGGGASSGYTYGSPDWEPRAEALRACQEEMSRAQSHDRDAYSSWSGYRNRQGQYSSSRGGYGPWPRPIASGTKLFMCCAAALGGSALLWSMYKRDKKAKGS >cds.KYUSt_chr6.4776 pep primary_assembly:MPB_Lper_Kyuss_1697:6:28095384:28099440:1 gene:KYUSg_chr6.4776 transcript:KYUSt_chr6.4776 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGRRNVDRTDGGAGAGIDNGDTSYIGDVSGVFARLLRIFSRRVSEPPRVCKPRIYVTGRLDDEDVKLGFRDGTDVLEMCSELLARIQIYYQQAKVFDAAAAGFSFGLLDPLSNIIVNTFIDNADVFETEEDDDQATSLWHGRQTDGDGQIDDRDMNQRSLNGLVAFLAGLFPHLSDVQALWYLHKAKADPLVAARIIINHRRMDHSFRFGSDATAAAVQTALRCAAAAADHPNPKRFLTGWRILSPSLKKLAAVLSLPAPDVTSNLFASMLSLLEEQPHAPVFDLGRSWELASSRLVTLSPDLGRVVFPGHTAMRRMLLTTIHGYYLQALARLPRDQLCSQHLLYSMLHYGHCFGPLDPVSNIILNTIWYSSACPLPSSIQNSKMDMVSTSALLRIAVRSFYGLVSFLCTRYDTLAVDRAMHDLLEAGADLRIADPNFHGNHAAAGGRIPSATVLEAYAAAAAAACHPQPQAHIGLLRQSSLITRMGSIYLKGTGPLSCDVVCRLSSTARHLSSSYFQGQLLPLPETRVLDKLTYNTVNQQKISFWNQHARAVTMVKSAMDMYNSQPGVPKYELHVICGVNEYVHGPEYIAGNYRKYHHSHINFLATCKDSQSAGALPVLFFAQWSNHGTKEECFCCPVGVPPLNSEQVRCLYCEYEGSRIVHPANESFHGRDIEFEKMLSGRLYSGNYTNNCIIVHSCSLAFWVDDLADDCIYSVDRIDNDDKEDGVPPVDFQRLICERNGREFGGDPAGSAAGEERCFVGHHHCPERERCLHSLLWRWWVVLWIRRLFDLWRERLAIHDSRKYGLSFPDGDTVDASCGANAASRFTVMANLQSAVLKVEVAVGSRTQSYLWVRVRVEQEYELAEVGLWFILGLS >cds.KYUSt_chr1.25162 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150955259:150966794:-1 gene:KYUSg_chr1.25162 transcript:KYUSt_chr1.25162 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDTDFQSRSFQLAGEDSSKSPSSLRPFALPKLDIDDQLQGHLRFDNLTDSEGFFSVGGHDNSWIDVLSTGSAVDFSSSAAESCSISRTNYVWSDATSTECVEMLLKSVGENEMTGNMDGSAHRQPSGMDSQIDPSKTQPKSSNSPPDSTVGPAVNDQSQGAHSEEPSANQPQFVDVARSSMDEKAERTAGSTLSGRTSSYMLDSIPEKRIASENLSSASKSVPESRPAVGNYFEVVHDGASLDNLNVHSAGVDSRTLKSEPFSELAPIQSIYSTGSYRFDQDNHMHANKLGGGVHELQKLTESSDGLLEAITNPVKMLQRSDGTSKSVSASLQPSFSQVEHAAEVLESSVDTSSKLAIEKFGIGEEPSSAKSSQYHPDFKDSSPHPVTPLSTKSSELIQSPNGEQLAHVTEVTKSDGVDDTDINVSKHGPEQHQEPANLKNVVIADTNMSTGDDSKHGVLEQRQDSADNLNSVAMEEKTIMEEISAISEKSEYSVQSSDDGNDRDLTGTSKDGFNLSGNAAPDNISAGLIHKKNLNISSVNQEGPVKEDHTPALEDESGNQHLVSPNSGSQEKNMAPLSILSSNIVSTTVADTHNATMDKLDCSGGVPSDRSPAGVLDENTLTVSSIDHVESFEEGANSSEVGGHNVTSVPGSWGKKPAMSGNSNVNAVSSSQTDPAAKKTQFNEQTSLGSLTTRQTQDKSGDHPDAQKQKCQADRPSAHSDHQEVSYPQNCQIDGPSVQPEHRGNLSTPPSSISSDKAAKAIIETPLNEKDDMSVHIKDIDGSCNDSTCGSPTVISCTEPCLQEGRQEGSAVISHTLTEQSDDKKDPVASADASQSSKECSAKNIQPTLSSEANTAGDDRSFSFEVGDPPKVSENAHCPAWSPFPISKSAQNTKATTENSKPGSPGNAPRQNTEESKKTSVLETGKEKQSGTKVVQSGGVLSVSSHTGDSTKTKSATLEQEQPQQHSTSASSAVAHQPFTDLQHVQLRAQIFVYGALIQGIPPAEAYMVSAFGESGDGNCAWEAVWRAAVERFQNQKSPLAGLETPTSSHIGNRVAEKASKGTAGKTEPDGRKGGKIVLPAYTAVPLHSPTFSMSPLASSALNLQRSSHLDFSQAVSPVFTYNSQTRQPTSAATSWFPQGPRAAPWLVPPQNLIFDSSMQPTATSGESAKGPCKNISISEATPLAVVHEEKQKAPASTKRNRGGAASQKPRKRKKASESPEQQPDIASSQLKTDLASVTPATKHVPGFTLSTPSPSNVLGSGLIPNASLITSVPNYLGGKSVDRRIIFSEQISGAVEQCMDQDKCASMYSMEALRVSEGVWSQLSTNSMGKLPADVEQKLTSAAAAASAAVSVAKVAAEAAKMATTAALQAKMMAEEALGSSKYFNSVQKRDAGEVDVNNNLSSMLSFTPKSSWKTKDSTHAPGSTISVAREVARKRVEEASAAAKRAENLDAILKAAELAAEAVFKAGSIIGMGEPLPFTLGELLEAGPDGYWKSDRVKNKKAENTIDNVVIEELELPSGINKSGRKRGNKSKYDQKLEPSSSVKELQPDGMHSGNGVEENPSAAPFNVITNDTAPSIIWNGIGKESLVEVLADVGGSGAAWFSAKVLDINEHSAFISYEVHSGGPGLCKEWVSLKQEGEKAPQIRLAHPATMSKLKGTRKRHRDTAGNYSWAIGDHVDAWIKNSWREGIISQNCESGETKFVVQFPVGDSVVVDAWTLRPSLVWKDGEWTEWSRARDRKDKSYKGDSPYEKRQRTAVNDHVPIVGEAQGPSKDKMPIIGEAQGPYKDKITNAGTKLREPKPLSLSDRDMIFNIGKSAAEDKTTRRPGLQKEGSKVFGVPKPGKMKKFMDVSKQISEGSTSTRFPKQSVAQLRRPRESTLKLDQRAKRVGDMRPRGLKSAKSQNVPGSSAVESSFAFAANAASSSNLVNPTVNLITEDASVPTPSVPSTKKKPATMDRAKRKYVPSMDNNLNRKTSEIPAQASSDSAEPRRSNRKIQPTSRLLEGMQSSLILSKVTGEKVPRTNFRSATSASRGSMAEAFDEIKVAFVCLEESVQAVAATIHDSGEVPERYIRPEIDADPVIADAGSYTLPTIDMSRLINPEFSQEELAKLGSACEHWGFFQLVNHGVDEVLSQQIKADVSEFFSLPLEEKSAVAIPPNGMQGFGHHFVFSKEQKLDWVDLLFLATRPVEERSLDFWPKKPPTFRDTLDKYTTELANVTEQLFRFMAEDLGVDHEALLGTFKGLPQSVRINYYPPCRQADKVLGLSPHTDGIGMTLLLHVNDVQGLQIRKGGEWFSVQALPGALVVNIGDVLEIITNGKYKSIEHRAVINPEKERITIAAFHSVHLPCTIGPLQELGDPRYKAIDGFEYTKGYFTAKLEGRRYLESLKL >cds.KYUSt_chr3.26745 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166778051:166783395:-1 gene:KYUSg_chr3.26745 transcript:KYUSt_chr3.26745 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAADDEPSITRWSFEVNNSPAYALHTPLSCSPVLRSRCPQSAPAAAQDFERYYDAGLGIRHQPKGDGDDDDEDDAPAPAPALGSGSADSARANGGADLAVFEQYERMDRNVEFRNGAMDAGPPQKSLLPSFESAETRNLAETLLRDIIRGSPDVKWESIKGLETAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARHAMFEEFLPSTPDAMEVSYDILVENTEGYSGSDIRLVCKEAAMQPLRRIMAALEGIQEEVHEDGEAPTV >cds.KYUSt_chr7.39927 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247971502:247973588:-1 gene:KYUSg_chr7.39927 transcript:KYUSt_chr7.39927 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVAASCRVSSELSVHPCGLSLKPPDAGRLCVGGLAIVIMGVSGCGKSTVAAMLAAALGCNFVEADDYHSQANKAKMSKGIPLTDADRVPWLESLRDAIRELLDRGEDVAVSCSALQLKYREILREGDSNYKAGCYGTCRVKFVCLEASAELIADRVRRRAKEAGHFMPASLVQSQLDLLKIDENEGITVVDATLRPETIVKATIAQYKEELALTASLMA >cds.KYUSt_chr3.3790 pep primary_assembly:MPB_Lper_Kyuss_1697:3:21567456:21569500:1 gene:KYUSg_chr3.3790 transcript:KYUSt_chr3.3790 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHALGALSGRTSPWPLAAAPPARGQGRLCSGLAHVRTLSFYFHQEFAILIAGRGAHQSRRLGSAIDRFSCSQTGLTTPQIASTAFTVGTVAVLPFYTLMIAAPNADITKRTVESTAPYVALGLLYAYLLYLSWTPDTIRAMFASKYWLPELPGIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGIKNNIETRHSISLCLLFCPVGIAAHALTKVDFMFVQFSQSLISSDHPFYNSQH >cds.KYUSt_chr6.28577 pep primary_assembly:MPB_Lper_Kyuss_1697:6:180989868:180991836:-1 gene:KYUSg_chr6.28577 transcript:KYUSt_chr6.28577 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVMVEEIPRAARPLGVSGEGDPETTLSAPAPSVGQEMLQVTDAVELRVGGGLHGGARIRSSPWTAKTPTTPEIIGRHQAVLYDDAVHSVRAQLCTGLPERDTVPVAVGDNLYFMGEEEGVVPSKENLRALIRYPAREPDIDSDWYWYCFPPPPYDAIGTGEHDPRPHYPERRDMLGAYAVVGESHIWASTRIRGTFSMDTASGTWSRASDSPLPFSGRAEYAPELGLWFGFPNDEWQYESHDNWRYESGDSDLLCAWDLNSIEPGGAAAPLRAHGVWEGGFGAPSRSFNVRSHLLHLGDSGRFCVAKLYQTYEPVPPGACSRCYNDGTPNEISFAVLTGVEVERCDGGELRMIKHRSCRYNFGEYSFPQHVL >cds.KYUSt_chr1.40501 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248216953:248220737:-1 gene:KYUSg_chr1.40501 transcript:KYUSt_chr1.40501 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSTRTRTRTGSVRHASPSATFLSASVHPSMVSLSPPSSPLPSSPSLLSMDQSSSSFVLAPGVLKRKNNADEQQQERRAAVGEMDFFETEMRREKRDRKDAAAAAAAAEDDLGIMNKGDLGIDMGLHVGGGRRRRNSGSEESTVDDGVSSNDDDHRREAKAELAATKSELARLNEENKRLKNQLLNANSKCHQLQTQITLMQQPQQQRSSHGHGHREPEQQQQQLELLPRQFISLGSAAHDAPHSVRAGDCAAALPGSNPVPDAAMDYMGKGAMVVGSAGKAAELMPLPPFEHGHGVHHERGGSPETMQSWLPGKVPKFLPTAKVPEAPPPAPEAAAAAATMRKARVSVRARSEAAMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTVLITTYEGNHNHPLPPAAMAMASTTAAAASMLLSGSMPSADGLIAGGSNFLARAVLPCSSSVATISASAPFPTVTLDLTQTGASPPRPPEPAQLQAALAEAARPVSLPQLFGQKLYDQSKLSAVQAVAGTQDGGGARLAETVNAATAVIASDPNFTAVLAAALTSYIGSSSSSGGGGAGGSSGTVQPLVSGGGDSCSRDDTTAS >cds.KYUSt_chr2.3885 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23441994:23448124:1 gene:KYUSg_chr2.3885 transcript:KYUSt_chr2.3885 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSPAPARWWRCALLLLALALAVADGRFVVEKNSLRVTSPAALRGVYECAIGNFGMPQYGGTMHGVVVYPKANTKGCKPFSDSGLSFNPKSGGLPVFLLVDRGDCYFTTKGWNAQTAGAAAVLVADDRAEPLITMDTPESAGKEHLENITVPSALVSKRFGDDLKAALENGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMNFVRNFRGVAQVLEQRGYTQFAPHYITWYCPEAFVLSKQCTSQCINHGRYCAPDPEQDFTTGYDGKDVVVQNLIQICLFKVANESRKPWLWWDYVHDFAIRCPMKEKKYTRDCANGVIKSLGMDIDKINKCVGDPDADEDNPVLKAEQDAQIGHGSRGDVTILPTFVVNNRQYRGKLDKRAVLRAICSGFEETTEPDICLTQDIQTNQCLENNGGCWLDHNSNNFTACKDTFRGRVCECPIVNGVKFVGDGYTHCEASGVGRCQINNGGCWKETRNGKSVSACSNEVAKGCKCPPGFKGDGIHSCEDIDECKERLFCQCKGCSCENTWGSYECGCGGNNMLYMREHDTCISKVASSSVGWGFLWVVFFGLGFAGVGAYAVYKYRLRGYPAACDQSKKDFGRFHCGHWFGHQLPQEHFRSNQDELR >cds.KYUSt_chr2.35326 pep primary_assembly:MPB_Lper_Kyuss_1697:2:218032924:218033990:1 gene:KYUSg_chr2.35326 transcript:KYUSt_chr2.35326 gene_biotype:protein_coding transcript_biotype:protein_coding MPADMDLCDALSLELEMAAKDERFGATDCLCGLDPKPRSGTRELHTKRARSYCKCGSLLREVDKKKKKKKKKKNRRKKKNRKKKKKKKNRKKKKKKKKKKKKKNRKKKKKKKTKKTKKTKKKKKTKKT >cds.KYUSt_contig_786.290 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1630452:1631064:1 gene:KYUSg_contig_786.290 transcript:KYUSt_contig_786.290 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKNPENKKAAGKAASKPSGKAPVKRGQSCLFREPVEQFGERPDEHSGNGLSVDARFLQRPRERDAQFSLHYGDAASHGWFNPNAMFSSTYDKRRHQGHGNNGVAFAGCRGLLEFEAGTGTPFDGMPASWEEEVADEE >cds.KYUSt_chr6.2613 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15251475:15254638:-1 gene:KYUSg_chr6.2613 transcript:KYUSt_chr6.2613 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAAGMTRYTRDELEALRGAPSSEAQALRWGEALAALAAAGFSGDYEGLLASDEPASRRGRRASGGGRKAAAPQFSEATEMGAWRNGDSGAHQAIGEPFDQCGGVEYDEDDSDDDYDGILKPAFAVDGEPDFESGEPLDGFEYLRRVRWEANQIPRVKVAKIDLGAARKEQTPYMPEIPDIPKCSPDLCASKEWEDSFITYFSETRLTFSELDSSDGPSVSGAPKNSLKPGSSPEMQIDPTLTMIRNMDAVSRATTLRNYTDMIQSFDTLSRNDCLWLFALCVAVQPPLDAETCASLRSLLRKCATILADKTDMDDEVVMLNILMSISGRYFGQYEK >cds.KYUSt_chr4.28571 pep primary_assembly:MPB_Lper_Kyuss_1697:4:179523583:179525945:-1 gene:KYUSg_chr4.28571 transcript:KYUSt_chr4.28571 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNLRDSLLLLLCLHLLLALLIPLATSDVASEKQALLAFSSAVYGGNKLNWGQNISLCSWHGVICTADRSHVSALRVPAAGLIGIIPPNTLGKLVSLQVLSLRSNRLSGSLPSDITSLPSLRSIFLQHNELSGDLPSFFSPGLITLDLSYNSYTGQMPTSLQNLTQLSILNLAENSLSGPIPDLKLPRLRQLNLSNNELNGSIPLFLLVFSNSSFLGNPGLCGPPLAECSVLPSLTPSGQPSIPPSQTLQHGKKVATGYIIAAAVGGFAVLLLAAVVFTVCFPKRKENKEKGVDYNGKGADGARIEKRKGDVSSGVQMAEKNKLVFLEGCSYNFDLEDLLRASAEVLGKGSYGTAYKALLEDGTIVVVKRLKDVVAGKKEFEQQMELIGRVGKHANLVTLRAYYYSKDEKLVVYEYVSAGSFSAMLHGIKGIVEKTPIDWNTRMKIILGTAHGIAHIHAEGGSKLAHGNIKSTNVLLDQDHNPKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQEDVVDLPRWVHSVVREEWTAEVFDVALMKYHNIEDELVQMLQLAMACTSRSPDRRPTMAEVIRMIEDLRHFTASESRASSNDNPRDSNPPSA >cds.KYUSt_chr3.21626 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132874023:132877078:1 gene:KYUSg_chr3.21626 transcript:KYUSt_chr3.21626 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEDSDGLAPFDPEIERTFRERRKALKLQNKAVKMGDKILRDLWIPQDLCPEGDQPGIPANNFELKPALISMIQHNQFGGTGLESPHTHIRNFLEYCNTLKYNGVPHDYIKMQLFPFSLRDGAKHWFHAMPPHHKDTWAHLLQAFYRRYFPPTKAAEYRDKINRFIQFDGESLYDAWQRYQGLIKMCPNHGQEPWLLLQTFYKGLTSQTRAHVDSAAGGGIMNKTLDEATELIERMASHDFSWTNDRALHNPLPGIHKISQPDSVAAQLEAITKQLSSIRSDSSSVVSAVQSIGNCQACGNAGHQTHECMSFASGDPIVSKVAYTQGQGFRQPSNGSVSSYPSSVAGYHNQNSQYNQNSEMKEFMQQQQAMMNGLQETIQKQSDAFLSTLQRLIANLQPAPNHDPRLPSKTEPNPKSHCGAISAVEAVTTRSGINTGPILTTPPTPLLEKSKDEKQYAKFIDKMKEVQVTIPILDAVLHVPMYAKFSKELLTKKRNLEDVEVVIMTKQCSAVLQYSLPEKLEDPGSFCVGGMTPAKVDSENHTGIPRRGILELAKSVPEYRNRLHCSTSAKTSQRCSPELEDKDERSTSALIEAMTPKAKDDLAPTVGPAAALAGVHIQAGLLTVGGECVVTALVNRIRDMRFINDNTGCFSNGGKFPKNGRVIEFGSILVYYGTVPERQCPSPVLVAPDPPRSALRSGRAAGSVEVLVVGASDAGKGATAEGAGRTKSARTAKPPTERDQEATGASAPPPETPLQASMSVLATPIA >cds.KYUSt_contig_2087.136 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000252.1:845676:851156:-1 gene:KYUSg_contig_2087.136 transcript:KYUSt_contig_2087.136 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPPPKNRHGDPSTPGGLGYFYIRILGPAAPLLLLRSDRLYSLSFSRRRGHRLRLLASPARRYRRRRHRDLLISTSGCALSLSHCFSSVGALRVNGLPLHGGSGGPVDLAVGDEVSLLLLGVRYGFVAEKFVSCERGEEVAGSCEEVIVLRAESLRKRLRAISESQDPLSFLRDSHCAHTGVKKVREEGDLLCHDSPINPVPEENLRQEESNLDQGRLEHQNDSVKECTVSKKEDTVELPQRSQAYSNGNMEHKGCSDGNVEQQHNERRYSDGSTFFLNRLSGIRPEMRTEQDSGVTLPELLHPIGSLLRVFVATFTSDVSWFLEYCKIPQLLPVTITCHNKDRCWSASRESRIASPFENYPNLLLVYPRFPEEIAFGKDRKKQGVACHHPKLIVLQREDSMRVIISSANLVPRQWHLITNTVWWQDFPRRTSLDYSALFSAVEEPKSDFAAQLVSFIGSLINEVPSQAYWINEIAKYDFEGAGGYLVASVPGLYMPSPCYLESNYCLSENRILHTKSARKMFLGSVQTSVVGLSHRFHMPSDAGSQLKSLSVFLGKCHENMHGTAEVILKRNTNIPADANAVSVLVADLDKFSEEDSVHLGFLPREVAKWVSPLSDMGFFEFSGFIYPREALEAAFGVTNTKVQLLLYVSKGPEFSRMSGLIRDEHLPSLCSLVASLKKSLGLWRLEEVLSRFKWPETLETDFMYSASSIGTSINSQFIAHFASATGKRANHDFDSEESDPEWGCWTARHELKKPSISLLFPTIERVKSAACGIQLSRFLLSLREKTWQRLRSTGILHDAVPHPHDRIGHPMHVKVVQRRFRSRKGGHSFGWTYCGSHNFSPAAWGQPLRPPSKANTTDATRAAPSGPRLHICNYELGIILIAPPPGVSKKGNGRVHRIDGISLPFVTPAPRYTHSDRPATPLAMREAMIEACIPQSIDLSEETDEDIADEDDELVVELSDCSPEEKEEEKIYAETLWGQVESSQSQGKDT >cds.KYUSt_chr5.42447 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267546616:267547815:1 gene:KYUSg_chr5.42447 transcript:KYUSt_chr5.42447 gene_biotype:protein_coding transcript_biotype:protein_coding MQATLHHAPVIYRENATRMARIHHSIADNRKRPMQATLHHAPVIYRENATRMARIHHSIADNRKRPMQATLHHAPVIYRENATRMARIHHSIADNRSDQCKRRYITLPSSIGKTPPGWPEFIILSQTTGSDQCKRRYITLPSSIGKTPPGWPEFIILSQTTGSDQCKRRYITLPSSIGKTPPGWPEFIILSQTTGSDQCKRRYITLLSSIGKTPPGWPEFIILSQTTGSDQCKRRYITLPVIYRENATRMARIHHSIADNRSDQCKRRYITLLSSIGKTPPGWPEFIILSQTTEATNASDATSRSLSSIGKTPPGWPEFIILSQTTGSDQCKRRYITLLSSIGKTPPGWPEFIILSQTTGSDQCKRRYITLLSSIGKTPPGWPEFIILSQTTGSDQFSG >cds.KYUSt_chr1.36575 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223180097:223180954:1 gene:KYUSg_chr1.36575 transcript:KYUSt_chr1.36575 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSDHEQAAVEQDGVGHSDQVPSPPSLSAKKLWRMVREAHVVIARGLGKHQPKLAALGVSLHHMLSSSKRNHHHGAAAQDHESPALMTYLSAALSCRSMDSAAAVHPYPRGAYSGSGVAGLPSLSCRSMDPSAAVYEQYRPREVEFSCKSTPLHRRHRRAHRHRHRDQDILLPQKQLGDLREYGSTTAVKTLYELMDGDEDDDGDMETPIPWAASAAARRPAPRQVRITDSPFVAREDDQEGSMGVVDRRADEFILWFHDQLRRQQQQQQCPAARDRTTYWVR >cds.KYUSt_chr3.19171 pep primary_assembly:MPB_Lper_Kyuss_1697:3:117867714:117876791:-1 gene:KYUSg_chr3.19171 transcript:KYUSt_chr3.19171 gene_biotype:protein_coding transcript_biotype:protein_coding MMESQDDLPPPPPLPPSVEPIRAEEAGDLPPPPPLPPSVEPIRAEEAKKLAKPKRALIARPGYGKRGNPINLVTNHFKVSLKTTDEFFHHYYVNLKYEDDRPVDGKGVGRKVIDKLQQTYASELAHKDFAYDGEKSLFTIGALPQVNNEFVVVLEDISSGKTAANGSPGNGSPPGSDRKRVKRPYQTKTFKVELSFAAKIPMSAIAMALKGQESEHTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATQSGLSLNIDVSTTMIVKPGPVVDFLLANQKVDHPNRIDWGKAKRALKNLRIKTSPANTEYKIVGLSDRNCHEQMFSLKQRNGGSGDPEAIEISVYDYFVKNRGIDLRYSGDFPCINVGKPNRPTYFPIELCSLVPLQRYTKSLSTLQRSSLVEKSRQKPLERMSVLTDVLKRSSYDTEPMLSACGISIAQGFTQVAGRVLQPPKLKAGNGEDIFTRNGRWNFNNKRLIRACHVDRWAVVNFSARCNCKDLVRDLIKCGAAKGIVVDEPFAVFEENGQVRRSPAPRRVDDMFEQVKTKLPGAPRFLLCVLAERKNSDVYGPWKRKCLAEFGIVTQCVAPTRVNDQYLTNVLLKINAKLGGMNSLLQIETSPAIPLVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSREWPLVSKYRASVRSQSPKLEMIDSLFKPQGKEDDGLIKECLVDFYTSSGKRKPEQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDETWNPKFTLIVAQKNHHTKFFMPGAPDNVPPGTVVDNAVCHPRNYDFYMCAHAGMIGTTRPTHYHILHDEIHFTADDLQDLVHSLSYVYQRSTTAISVVSPICYAHLAAAQVAQFIKFDEMSETSSSQGGTHTSAGSAPVQELPRLHEKLNTWDVLDAALLNLCYEPSYMVLFVCLFVSPERAISVRQCAAAPAVGVLQRGIGCLGVVMLAVGARGAARIRHKVVLGGRRRREAMRGCGASYSWGTDLLVLAAAAYLRRFPPFLLGGACCGAHSTSLWGLGSPGEILSFGYTGGQWRRLSRDLLGGAVLEG >cds.KYUSt_chr1.37683 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230035691:230039611:1 gene:KYUSg_chr1.37683 transcript:KYUSt_chr1.37683 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKAVWGIYYRCSEASVLILISYEYYWKQAILPAFPKREEHGLDKKGQQPATSSCLLPSLELHDCLELRTELEEFKMELAISTVTGELVSRFLSLLVKKYHSSRAYSEEKQMKRLEQLLLRARTVVEEADGRYITNSGMLEQLNMLAGALYRGYWALGAFRYRSLEDTPIEVRNSSPPKRLRTFHGSARKKKATYLLELQGALESLDDAVSSMKEFVIILGGFDRMLRRPYDAYLYNDNIIFGRHAEKQKLLNFLLQHGSPGGAPAVIPIIGGPAVGKRTLVAHVCKDERVSSHFSSILHLNGDSFCRIADHSSLLSGKVLLVIELIAHVDQEVSAKFCSTLASMDNRSKVIIVSRSKSSEKLGTFKPIFLNTLPYEEFSYLFKTLAFGSVDPSQHPQLARIADEVAREMQSDWSLVAANLLADVMRRNLNIHFWLCMLSRMRRFVERNFSMFGEHPRLLVLRCHQIDARDFFLHPSSPLLILPSCTTGSSRTEITEERQLLPNVRLGDLVVDPGARPQGAFNVISWESRVPPYTSFVHFVPSCAPGVAEDTPLPGRKRSAVHFYVSIQEFVDVPQARLLDYPASSITRRTLSNSCCNLSTFSSEDDTCSVCLFIRKCKLSLLRMELTQLVATRVEEATRPLREEVASLKLLLAHVGDSLEPTEACSSGGHELATVQVSLALGSVEEKSSVVEEEYLYSCFSPRGSPGQSLQPVVSAASESEGIDETLAPVLQITP >cds.KYUSt_chr1.31771 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192763440:192764297:1 gene:KYUSg_chr1.31771 transcript:KYUSt_chr1.31771 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVTPAASLVSELMVQGRQSAAVLEALLQDAPPTEHAGIRELAAEILRCCDRALAALHHGGVADDGDGGRKRKSGATAQTRRNKKARASGGEAPAAAKRVEKKRTAEDGFIWRKYGQKEILNSRHPRLYFRCTYRDDSGCKATRQVQMSEDDPSLYVITYFGHHTCCADVAAAAAAASEEVNDHGETLPFVINFGSSSSSDDYCGGQSETSRSSSRDGCLMEGGNGEEEELPAKVAKVEAASPDLQPAADPCSSGDASPSSLLWDWDIFNEASFDDVSDFFPF >cds.KYUSt_chr2.40025 pep primary_assembly:MPB_Lper_Kyuss_1697:2:248579504:248583366:-1 gene:KYUSg_chr2.40025 transcript:KYUSt_chr2.40025 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRRRLAPLLRAPPPRILLARLLSASHSPPPPSGGGGDGAAASGVAVKQVTRGNLAEALEELRARVRDAAFVGLDLEMSGVTSAPWRDTFELDRADVRYLKLRDSAQRFAALQLGICPFRWDPAKSAFVAHPCVQYHLSSPALSTIVCIIVLAQEEEALEKLNVLHHDAMSASPNTSGEGEDAPLKSTADLLFTERMKIRFTVMLNGFTSHQLKLIQQVLRKNFKDLMYVCTFGEDDTSEKRVVYTDNSDDSILLMKDVQQDLLTSRKAKVESAIGIRHVIDLLASERKLIVGHSCFLDIAQVYSKFIGPLPSSMKDFSLGINKIFPHIADTRHLMSANDVVQYLMRQKSKSLSSAFSFLCPTAAESSTRSPVKIEVEADETTLSCFASGAKHEAGYDAFMTGSVFAQLCAHLDINFEHLTPKENLARNNKLQKYINHLSPSFNSGTVLDLSTGTERPDTGYKSRYPAAVYDNVVLIWGFQSELRAKDIKDSICKVFGPASVTTIFSIDRTAVLVQFSKQESVNDFLDLKATLERTDSAISVLHPLSTILQGGKTRAANYETYRDICNSSVSKYFFADQAEAVCPASNTELKCENLDAGDAHLDETTNASVHQGEGAKYTSKNRDNSDISCQDILDVLQDGKTLFSKRINQT >cds.KYUSt_chr6.21798 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137524254:137526699:-1 gene:KYUSg_chr6.21798 transcript:KYUSt_chr6.21798 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSSSTPGTVIHGGDHPDHGPITIGRHAGARDKEGNPVMGDVNNNQLTVSGDPYDYTLLAKLGGLPILPQWLRFNRVTAHLKQGYEIGPARLMLSASGGHVEGNFSPHEAFSIGGTNSVRGYEEGAIGTGRSYAVGSGEVSCRLVGALPCALPLPCVHMFAVRANVAVQLARCRAGQRCRAAALCRAVGFAVRQHASTHGKGPYRHAPAASQVYRLPPRGSFAVRVHTAK >cds.KYUSt_chr3.25506 pep primary_assembly:MPB_Lper_Kyuss_1697:3:158361669:158367183:1 gene:KYUSg_chr3.25506 transcript:KYUSt_chr3.25506 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASRHRSHRSHRRGGSAERSDSDGGDDAAAREEPARVPRDPDPPERRRSSSGKDVASSGNGHAAEHGRKRKDRAEEAVVDVVSDRWNSGVCEDHLVADKRSKADVFGPVDVVDKPKDEPKRPSKRDERAEEVVVPSKSDSGKRRSEKDKEVGRRESGGQYKEDRDRERDREKEREWERLKERERGRDREKEKDREREKERERDRERDKERDRERERERDRERERERQKDRERDKKDYDSKHERYEDGGARKNGSKSSSRVDEDGYSHKRHTAETNETPAKERHSNPDRETDKHSRRKDDAEDKDKWPADNRDGDDRKTLSRYDHGKVRSSKEQRFDDDKYKQKYKDDYEREKRQQDDKSLDERVTRDHGSDRADYKGTKDGHKNSEGHYRKEDGDRYDDYGSRYKENRGRKRPPEESDDQYDLKTPSTREQRGNLEKSSGSGRLDSLIERARSEHRHAENVDSSPSKANARTSPGSNPHHEKDQSWHGSKPTDHAKRETPYDERSIRPRTSSGRERTPASRLRDRDTDNWSSERLKQKDDLQSRDTPLEISTSSQYDRTPRKDTHPSPKQLSDKSPSSNDQRFSGRPSGGRSLDNKGERGVPPKYRDRDGDLSLERSLHQDRTPAKIPYREPTPSASSISRGGHFPGSSPSHSLMPPARHRSDDSSFLGSHDDDRRPQSGDRRFHGHQKRNDMNSGRGHGHAWNNAPGWPSQVANGFVPMQHGAPGFHPPVHQFHPPPMFNLRPQMKLNQAGVSYPMHDAVDRFSPHMRPFGWPNSLDESCPPHLQVWNGGSGVFGGEPYMYGRQEWDQNKMHAGSRGWEATGDALKGQSELPDTEFPAAKKEPDCLATPVSESSGGQYNLNPHPEQKDANHLNSEKHEAKGDAKSAAKNLEAPQGTTLRASVSSSNGTAVFSKNYLSRISVSHDLVESELYKRCTSLLGELGIANGGPQGIKNGLIQNAGNAGKITREHESPMLGFFYLKNNDSIFQRAMALHKNQTEKAVAPIIQAFEQTDEKMDLAEESHEATEMLDHTPPEELMVSKPVLHHCADIIEGPPLKPVPGDCLVMTTPVATTLSGDVDAPPAITKSGDVDAPPAITESCYVETPPAISGPEDTNVVTKGPDEDMEVVASPAITEPDENMEDVAPPAIAVPVDCPADGAPELIIEPSDVLQEAPSAIEESGGTKEVVPPAVTEQSIQVKDDAPAVACPPNEQETVSIMHAGVAKDMQGGTDDSPDDASLNASSFVSELDVIASGAQDCEGLLVEGRVNLSRIPNSPESTH >cds.KYUSt_chr2.17053 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107408155:107411580:1 gene:KYUSg_chr2.17053 transcript:KYUSt_chr2.17053 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQIDLEEVGVRQDNESPDPPKRLTADDLKRLTTGTFRFAGDHLAGERCLLQAPPPRHLAATLRPPQHLRPRPISSPALAPHQHVTTFQFRPDMPRHRPICNAGSLNACRNRSKD >cds.KYUSt_chr3.15706 pep primary_assembly:MPB_Lper_Kyuss_1697:3:96389345:96390099:1 gene:KYUSg_chr3.15706 transcript:KYUSt_chr3.15706 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQPCYLGEEATDREIYPSLVLATAAATGGNSQQGPDNQTTKIQHLASLPSTLPWCHLDLTDLANGYDAKVSVSLYSRICHVCWFTTHAPFLFVKV >cds.KYUSt_chr6.30875 pep primary_assembly:MPB_Lper_Kyuss_1697:6:195726443:195727543:-1 gene:KYUSg_chr6.30875 transcript:KYUSt_chr6.30875 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRRSPAVDLIEDDDLLSEILLRLPPHPSSLPRASLVCKRWRRLVCDPVFSRRNPPLLGLFDRYQCLSFIPTLEAPNRVPPWCFSLHAEDDVSCSTSLGCRHGLVLLHLTKSLQVLVWDPVTGDQRRIALPPRFCAKGTMINGAVLRAPGHVHFHFQVVLVIADDDASHRLAFACVYSSHTGLWGHLVSTPIPSEPDTPTIVYPEAAVLVGNSLHWLLFGHTFAGILEFDLDRHRLAVTQVPLDILAQGDDVAITRTERGGLGFLLLSDFSAHLWNREHDCNGVASWVLRRTIELDKLLFLNREEERDPLTIIGFAECSNVVLLWTGSLFMVQLESLQFKKLSETNLVACSPFENVYTASNSMP >cds.KYUSt_chr4.758 pep primary_assembly:MPB_Lper_Kyuss_1697:4:3906381:3907566:-1 gene:KYUSg_chr4.758 transcript:KYUSt_chr4.758 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPVFDAALLSGQSDIPSQFIWPADESPSPDATEELHVPLIDIGGLLSGDRVAAAEVTRLVGDACERHGFFQVVNHGIDAELLADAHRCVDAFFTMSLQGKQRALRRPGESCGYASSFTGRFASKLPWKETLSFRSCPSEPDLVVEYIVATLGEDHRRLGEVYARYCSEMSRLSLEIMEVLGESLGVGRAHYRRFFEGNESIMRLNYYPPCQRPNETLGTGPHCDPTSLTILHQDDVGGLQVHADGRWLSIRPRADAFVVNIGDTFMALSNGRYKSCLHRAVVNSRVPRKSLAFFLCPEMDKVVAPPGTLVDEANPRAYPDFTWRALLDFTQKHYRADMKTLEVFSDWIQQGHQPAATTTTT >cds.KYUSt_chr5.16379 pep primary_assembly:MPB_Lper_Kyuss_1697:5:105347157:105355335:-1 gene:KYUSg_chr5.16379 transcript:KYUSt_chr5.16379 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHEWYLQACRRGDRYLTVYLRDEYYFRGREEINLELEELFQLFNQDTLDKAIISCYCLMKNLECKRGKLHELGFVDPDTVHEVTVRQYPKNTEDNMLRCLVKQGNKEDIFFPYNFKFHFILLIIDLHKGVVNVMDSKRKEHAEWADMAANLLRAWKRFINNVPEKRCSTLNLCRGREIAIFAAAKNLRVRRRLGALATSGSGVRHVSVLFETEGQGNVRAAGFFPTAHRGWVPHVLISSNSPSLSSTPVTGAPPPTFTLAAHRPGRRRRPPPRHPLTEEPPPTSTTGQNGSRKASGDGAAEEPLRCFQRLPPELQAAASGAANEPRRCFERLPPELQAAAGGAAKESRRCFKRRQPELQKKHADASSADNRSCKPPPVELQGASPFLRAPTYRWSCKGLSRVLLAAFGDSATEHTTVLPTRTAVLLAGVGRDAATSSMKMQALLSAFWGVGLLLVLCGARMGSARHNWHAPQTLYAFGDSFVDNGNLRRSPELGETSRQWFFPYGVSNDQATTDEEKATGRFSDYLVQSDFIAKMMGLSLSPPPFESTYGQTCGPTGMNFAYSTTGVFRISFWINLREQVDNFKTMIKSRIISKNHVTHSVALLASSGNDYKQFRFIMNTWQVTDLAWNITTEIATNVERLQNLGVKKILVNNLHPLGCTPSFSRKDNYKACDEWANEATSKHNYFLEQKLGNKKGVLIVDMSSAFDHIIGHGNQDLAQGKQFKHIRKPCCESADHKGYCGQQDKNSNPLYSLCEDPRQYFFWDDAHPTHAGWEAVMAQLQNPIKEFLGVA >cds.KYUSt_contig_824.226 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000079.1:1491546:1493309:-1 gene:KYUSg_contig_824.226 transcript:KYUSt_contig_824.226 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEDHISRLTDDLLRLILRLVPAKEGAFTTMLSRRWRPLWTSSGAVNIVARILENDEQHVMESTAFYARRDRFVAGTFKALDAFHKAAILDPVKTLTLRVEGQAGNIIRDYLNRDADWRGRPQVLAKLLSHPAARKLEEIRIAAVDNIDGEPMLFEQIDREADPNSFRVRLYTLSIGSLPSRTIRVLDLTNCGELAPASSELPFPLLVSLRLRHCNVPLEVLQGLIRAAPKLSSIYLEYVLLEEQQSFQEDDDPPQDAVLRLLSCPSARVLVIDRCCIKEEGTFRIYAPLLWRFEYTGVIRNLLLSPPPLDLVQAKVTLVDYRRKLERDPVAARHCFWSIVRGLCHARQLTLHIFHIEEFAVSTKANQATLLPVLPNLERLKLDGVFWPTRTKAATTIGNLLRCCPRLLHLRLNLRTHEQMQTRSASYALEFLKRKDEDEFKESISRFERRRTLQAVAARDKEEDFKESTSCFKRRRTLQAVAARDNEDDCYFDDTVADLAGLSDQTFECLESTLRTVSLQFQEGEKNLFGVKLVKYFIKHCKVLEKIFVDPGNERFGDRMSIKIEKWVTRLSTRRVQVLPLLRD >cds.KYUSt_chr6.32060 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202534039:202534950:1 gene:KYUSg_chr6.32060 transcript:KYUSt_chr6.32060 gene_biotype:protein_coding transcript_biotype:protein_coding MHILKHEVVVGRLLHIMLQLPIDDSKLGLSLGWKLAIAVSRHAQIERNARENDKFSLADHRMVLLHIDLSAKPTINLGMIYGTNEQDYPSSYCGTMLSFTDGDYVEEVILLLFGFFHQIWMTTCLLLIPRFNSRDMSWLSSVMVHRYLSTTQLFPTSSAYARQTVGRATCGVSTGMEHKGP >cds.KYUSt_chr4.5686 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33065336:33068814:-1 gene:KYUSg_chr4.5686 transcript:KYUSt_chr4.5686 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRANNDETSGDEQNKVVEVLMINSQSGPGLLFPKGGWENDETVEQAAAREAIEEAGVRGDIVQFLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELTSWPEQSTRRRTWLTLPEATSQCRYQWMQEALITGFSDWHDKWSKGGGGGGGGPV >cds.KYUSt_chr2.49312 pep primary_assembly:MPB_Lper_Kyuss_1697:2:308576871:308579603:1 gene:KYUSg_chr2.49312 transcript:KYUSt_chr2.49312 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVAAATSRAVEPHRNASPEPSSQAPSSSSSRAAEAEAGPVSFDGGAEEGELHLDSPWVAPAEADSRLEEAAAAAGLRLCAENEAEADEIRDNLLRQDDEVGLPKSKLEFFITNLTYDLDLSYSEKLQCIFSIRSNFIKLPCEHLFCVKCMETLCRMHVKDGTFFQLVCPDTKCNVSIPPYLLKRLLGKEEFERWDKLTLEKALDSMSDVVQCPRCAISCLEDEDSNAQCPKCCFVFCSVCKDPRHPGKLCLTLEEKLQRQQASGKMATRGMVEDMISVKLLYSNARSCPKCQMTISKTDGCNKVVCSSCGQTFCFRCGKAIIAGYAHFRLAV >cds.KYUSt_chr1.37108 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226668699:226669577:-1 gene:KYUSg_chr1.37108 transcript:KYUSt_chr1.37108 gene_biotype:protein_coding transcript_biotype:protein_coding MISGKRGNDEELQQASSRRKIEHPAAAKALQAAASSRHWSASTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNVAQHEIDKLPPLHFPPNDDDLFSGHHLQPASSMAMAMVPPFSHATSNAASMLVDKAAVDHCDDGDLKGFMSLSHSLGLVNGASMPSLATHASPYHNHHFAAHEAWSNGGDVHELGGHRAPHHQVAAAHHHTPFPSLLSLAPMSQFVFYSPEGGFAMKDGSDDQFPVDNLDDSQGQLSLSSARSFLHS >cds.KYUSt_chr3.7048 pep primary_assembly:MPB_Lper_Kyuss_1697:3:40656245:40660106:-1 gene:KYUSg_chr3.7048 transcript:KYUSt_chr3.7048 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCSADSDGFSVNELVAPRREVTDVAEEVSGSGTRIGGEKAVVSGWKRRHRVGKAAPERILNPSRVSPLEKTLRDFPTRETGEVILPEVGLSFDSVNEAYDFYNLYSWECGFGIRYGKSRLNVDRVKCMQEIVCGCSGKPKMTNSHSAMCQCPALIRLLRSKDNGWYICEHRDKHNHGLSASFGERAHWPSHRHIDSYTKDLVKQLRENNVNLGKVYSIIGSFFGKIENVPFTKRSLKTLCGKISREQADDDVRKTIEVFSDMGASDPEFTYTVQVDAENRIMNLLWTTGKSRVQYHYFGDAITFDTTYRTNVYDMPFGLLVGVNHHFQSVIYGGVLLREEKVENFEWVFREFVKMMGGKQPVTILTDQCRAMEVAIGNVLPGTTHRWCKWHVLRKAKERLGALYGKNSLFKEEFHRIVNQMLTKEEFEGAWKHMLNKYCLEKNPYLIQIYETREKWAKPYFCGKFCARMTSTQRSESANHMLKSYVPPGSAMHVFVKQYNKLLYDRDSEESFQEKRTRLGGVVFKSGLPIEKHAAKIYTRTMFEKFQDFLYMAMSYDVDEIVSGQKFVAKHVDSDTREKWCKVNFEVTLSDGYYSCECFMYEHMGMLCSHVLKVLVHLRMKEIPPKHVLKRWTVDARDILPEHLKHYQKNNGLVKSFSYRHSQLYLNAMEMVRLGDTNLETYQAAIEMLKEGIPRLTALAVVGDGLGLEERMNAKKARTAVVGPALQNAESTETVQQRNQPWSEVGMEVQEAASEV >cds.KYUSt_chr3.47046 pep primary_assembly:MPB_Lper_Kyuss_1697:3:295530314:295533112:1 gene:KYUSg_chr3.47046 transcript:KYUSt_chr3.47046 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIVAEDVGEVLRKILHYLEDTSSSAPNTIYYDGWGGLGASTVLRAIAQDPPLSLMKKFSKIIHVDCSKWKSRRALQRTIAQELELLQGVMAIFDRQDEEDDFSGIDEGSRAEIGYVGRRILRVLMEHRCLVVLHNGSNDMVDFSGFGIPQPELFGTIVLWTFRGRLRLNQGINKKVDNSHLYLHNYVHNYTKWNLLLHKEVSEIAQYTCKLGATPEIAEECCLYLLSLNNNGGDIMDYNWVTHASNYWVCDGIIQAGGQFDQAWEVAAALHQEIHLEDYSSNILSDFGHRLRTPQERWIFATKSTVEDSVTLPRESTSFFFVDKSGSGPPRASLPNGMFHQSDMLRVLRLCRCTFNFSSPPFHCCRNLRFLGLDLCKDQPQSDDEKKEISEMDYVQSLWVVDICNTDWELTQSPEIIEQMSAKIREVHTKKGLFWHRNFAWGKLKNLLKLRVIEPTCPWETREMDGFTDMVKLELLDLSKNTSIHVLPSLLGAASLKTLVLDGCVGLEHVEGLPPSLESFSLAVGEAEKMTHISMAGCARMVDFTLCGSFPRLAELDLSGTKVKTLDLKDQVVHVPHLQRVMLLGCEQLCAILWPEKGMPHLSVLCIDKRGGGGGAEVRRILPDSKKLTYFQSYVAITDMRFIQSLVLRSCNRFSWNTNRLNLHLCISGSSTNGGQSYNKEKMGPSSIGKIIGPPLPNSSILNPSYHTYTDVTTDSITIDPDYHSAPKFQPLGRCHVEIGEEIINTNVKSVQGIKPIIFVMNEAESLHVHDNSSITTIISQHMISIGVEREIFWRHLTCCLVERCPKLHTVFITNYNIACFHELETFWAADLRMAHCIWSKGRMDDGEDTDTFAKLRSIHLYYCPRLTFILPLSWFYTLSSLETLHIINCGDLRQVFPVEVEFLRRIATNHQDGVLECGCKPETLDSFVS >cds.KYUSt_contig_915.421 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:2759249:2762564:-1 gene:KYUSg_contig_915.421 transcript:KYUSt_contig_915.421 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSSSSVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLSSKLAVNSPTFPPNWQVGECVAVWWRPNFETVMYPYCPPHIAKPKECKKLFIVHLTEKEYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSKFQFNMVSSAVQFGF >cds.KYUSt_chr1.34508 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210035244:210035648:-1 gene:KYUSg_chr1.34508 transcript:KYUSt_chr1.34508 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSLGHRVKARSSSKRFASRHKLLIIVGALPLAATAGQKDTKEKKKLRLLEAALIDRARRSSSAGRPPWTRAMSHPDLLPDAAPAPREARAPTHLICRDAYVEVAPQPSPSPSEPRRRTAQQQDAPDLVGESW >cds.KYUSt_chr5.29339 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185918611:185919612:1 gene:KYUSg_chr5.29339 transcript:KYUSt_chr5.29339 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLANFLLGNGQCCWRAVPKLAGGLSLAPFPTHAENRLLRCGKSCRLRWTNYLRPDLKRGLLSDEEERTVVDLHEQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHKPLQQQPQRPSGGGDSKLPVAEEKAVAVATESSQSGPHEDMFCCPEEVPTMMQLLDDIILPCDDLVAPAQLDSSSCATASSSPTESSSSSSSSASASSGGGFDDDWLPQFMEWPVEPMYMIGLDDMVAAPAPEPAPTSAWDFEDPFNPYQRISLFDHQEIWA >cds.KYUSt_chr5.8643 pep primary_assembly:MPB_Lper_Kyuss_1697:5:54770889:54773092:1 gene:KYUSg_chr5.8643 transcript:KYUSt_chr5.8643 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLSLAVLVVAVLAAATASALSDTEASYIAQRQLLSLEEGDGNLPEDFAFDIHVDVTFANERLRHAYVAFQAWRKAIYSDPKNFTGGWVGPDVCSYFGVTCSTALDDPNVNVVASVDLNGGDIAGYLPTELGMLTDIAVFHINSNRFCGIIPESFSRLTILHEFDVSNNRFVGGFPAVVLKIPVLKYVDIRFNNFEGELPPGLFDKSFDAIVVNNNRFVGFIPENIGNSTASLVVLANNQFVGCIPRSVGRMADTLDELMLLNNRLDGCIPPELGELVNTTVVDVSGNALVGTLPDELTNMTRLEQLDVSRNLLAGALAEPVCKLPALAKFSFANNYFKEEAPACVPTVEGEVALDDLGNCLVGRPGQKTALECGPVLARPVDCRTNVCSARPSKPTPVTPTPVPKPLKPTPAPTKPETPLIGPQLPPPPPQKPEIPPPSLSPASAPELGPIVGPDLPPPPPPLPVSSPPPPVKSPPPPAPLPTSTCEIPATTSTLELTTSTCEVPATTGSSELAASTSKIPSSTGTSKLSTSPSEIPSTTSASEFSTSTSEIATSTGTGKLSTTTGKVPSPAGASHLSSSSSTIPTTPSSSEVTTSSGILPTTTGCSFASATAAITASTSPTRLHIAADHSAELRVTAAASIPRILIATEDGDIPWGRRGAIAAHYGA >cds.KYUSt_contig_1253.919 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5797938:5809195:-1 gene:KYUSg_contig_1253.919 transcript:KYUSt_contig_1253.919 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGESATKMANGEVSDGRAVEVSGEDTLPGVLRSFVDGVWSPGADGDPLLRRLRTASCESAPRLREASKNSARDLLAWTKQGSGLRAILVISVGTITLIALTGLLIFMSFLLVATANAIVVSVLMSLAAAGGFLALFFACLVAVYVGAVSVAIFVISATVISAIVAVMIAT >cds.KYUSt_chr5.18668 pep primary_assembly:MPB_Lper_Kyuss_1697:5:120742001:120743767:1 gene:KYUSg_chr5.18668 transcript:KYUSt_chr5.18668 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQHYILLAVAVAAMTLALLASPVAAEKSFNITKILAAHPEFSKFNAMLSKTRLAYDINRRQTITVLAVDNAAMAGLDQYSLPTVRHILSLHVLVDYYGAKKLRGLSNGATASASMFQATGAAGGMSGYVNITSHKGGKIDFVSQDADDTTTPSRYVKSIKELPYDIAVLQISSVLSSSEAEAPVPPPAPVDLVELLSKKYCKSFAELVAGNADVFQALNETKDNGLTLFCPVDAAVAAFAPKYKNLTAKAKTAILLYHAVPDYFSVQLLKSNNGMVSTLATTSYVKKDYSFDVKNDDEDVTLATKVVTSTITATVGDSEPLAVYAVSKFLQPKELFKVVQAPTPAPEPSKKKGKTGDADDESSSDDSDDSTADKGAAAPAVFGRWATVAATVGAALALLA >cds.KYUSt_chr7.15065 pep primary_assembly:MPB_Lper_Kyuss_1697:7:93348528:93349694:-1 gene:KYUSg_chr7.15065 transcript:KYUSt_chr7.15065 gene_biotype:protein_coding transcript_biotype:protein_coding MCGITSARDAECAAKAGAKLIGMILWPNSKRSVQLSEAKEISRVAKSYGAEPVGVFVDDDEETILRLSDSCNLELIQLHGDSSRALLPALAKNNRIVYVLNADGDGKLINSPPSEEYAIDWFLVDSAEGGSGKGFNWEKFRMPPAKSKNGWLLAGGLHADNVCQAASALKPNGVDVSSGICSTDGISKDPNRISSFMRSVQSLSSQ >cds.KYUSt_chr1.7352 pep primary_assembly:MPB_Lper_Kyuss_1697:1:45045517:45050986:-1 gene:KYUSg_chr1.7352 transcript:KYUSt_chr1.7352 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSLSSSAAAIASGRARSEARRPGGIRLCGLRTDAPACGSLRLSHAAASAAARRAVPRAAASGNGAAGGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSLGLQVSAAGYDRQAVADHANNLASKIRSNLTNSMKAIGVDILTGFGTIVGKQKVRYGKVGFPDKEITAKNIIIATGSVPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINTRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTNGLGLENMNVVTQRGFIPVDERMQVTDADGNMVPNLFCIGDANGKLMLAHAASAQGISVIEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEVSVVKTSFKANTKALAENEGDGIAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTSLQELKLAVHAHPTLSEVLDELFKAAKPKEVQEGKQNHPPQRLLKVLSFITSLLSPQK >cds.KYUSt_chr4.53237 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329633451:329637299:1 gene:KYUSg_chr4.53237 transcript:KYUSt_chr4.53237 gene_biotype:protein_coding transcript_biotype:protein_coding MDASWQPVQGSNPAAGVHPNDPAAAGGHWAAQHDPEWRSRIVSRIVEILKKHLPASVLDLDGLNHLQGVAERFEEKMYTAATSQPHHLPANAMILLSRYVAFMEGYAGLWPDVEFWSRLFYIKAQTTEGRSRTRGAASIYPPYGTSFPRIPIVDSVKNCGCLSLCAEREPAFDRLNSLEFNPAPPIGRINRGFNAKSSNPDAEVNLPWDFPGQCVSEGRSSAEDLLSHLHLAPVVPLRWRVHKIGHMSGLLDPTRTSKLELSKARWLTG >cds.KYUSt_chr3.29942 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187367772:187382405:1 gene:KYUSg_chr3.29942 transcript:KYUSt_chr3.29942 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGASARWGSAGTTPRSLSTGSSPRASEPGSEDGEELVEVTLDLQDDDTIVLRSVEPAAAQAGLGALRLPAGELADGGASASSSRSPSIRRTSSYRLLQFSQELKAEALARARQFSQDLTKRFSRSHSRDESHYHQQVPPSSSGSGIEDALAARAERRQRAQLDRTRSGAHRALRGLRFISGNKASNAWRDVQANFDRLARDGYLSRADFAECIGMTESKEFALELFDTLSRRRRMKLDTVNKEELREIWQQITDNSFDSRLQIFFDMVDKNADGRIGEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEQLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLALRKKGSIRKISYTLTYYVEDNWRRLWVLALWIGIMAGLFTWKFIQYRERYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARVLPFDDSINFHKTIAAAIVVGVILHAGNHIVCDFPRLIHSSDEMYDPLAQYFGETKPTYFTLFKGAEGVTGLIMVVCMIIAFTLATRWFRRNLVKLPKPFDKLTGFNAFWYSHHLFAIVYVALIVHGQCVYLIHVWYRKTTWMYLAVPVCLYAGERILRFFRSGSCSVRLLKVAIYPGNVLSLQMSKPPAFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPASGKSGLLRADDTTKKTLPKLCIDGPYGSPAQDYGKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEGASTDLYPPTGRNKPHVDLNTLMRVATRPKKVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQSLNHAKNGVDIVSGTKVRTHFARPNWKKVLAKIASKHPYAKIGRTPTSATIPGWPIQPSTGDTIAGFQAPPSHRRIAVIDQRSTTTNRRSTLSGEEEATSSIEPKAAAPGAVVLRKNPEISSTHRREAGVDDMAQTEGLAAAHHQPRTECCGEPTGGGRPQRRPSQPHRPVRQGTADGRRTAAIVAHPNRAPALPCVEEVGSGRRSPRSDEEGAPPPPRPEGLCPGGATGGGGGSFHWILLIIQGDDGKVDVMDSSLKYHKLYENFVFMLRMAGFGNSLSSRLSVTGNMRCDFLWIPVSSTRSTLESL >cds.KYUSt_chr1.23564 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140124136:140124843:1 gene:KYUSg_chr1.23564 transcript:KYUSt_chr1.23564 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDELKVLGVWTSPYVIRVRVALNLKSLPYEYVEENLGSKSALLLASNPVHKTVPVLLHGGRPVNESQVILQYIDEVYAGTGIPSLLPADPYDRAVARFWAAYVDDKVGSAWQGMLFTCTTEEERAEAVARAVAALETLEGAFEVCSKGKAFFGGDAIGFVDVVLGGYLGWFGAVDKMIGCRLIDPARTPLLARWEERFRAAEAAQGVVPDDADKMLEFLPTILAWINAPKSK >cds.KYUSt_chr1.8115 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49905771:49907608:1 gene:KYUSg_chr1.8115 transcript:KYUSt_chr1.8115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan aminotransferase, Indole-3-acetic acid (IAA) biosynthesis, Grain developmen [Source: Projected from Oryza sativa (Os05g0169300)] MGRDHAVVAIAGQIGVVASVALNLAALAFYLHGRIFGASAGEKEAGKKMKKAAAVAPSSGKPPVAPDSTINLDHGDPTMFEAFWRGTMGEHATLVIPGWQTMSYFSDVGNLCWFLEPGFEREVRRLHRLVGNAATEGYHVLVGTGSMQLFQAALYALSPPAEAGPISVVSPVPFYSSYPAVTDFLKSGRYRWAGDANTFEGDEYIELVCSPSNPDGGIRQAVLKSKSGKAMHDLAYYWPQYTPITHMLAHDIMLFTVSKCTGHAGTRIGWALVKDREVAKKMNMFLELNTIGVSKDSQLRAAKVLEAVADAYERQPADLTGDASLLFHYARRQMTCRWRALRAAVAASGIFTLPDEVAGFCTFAKDTVSANPAFAWLRCDKEGVEDLEVFLREHKIITRSGTRFGADPKVVRISMVDTDEAFGIFVDRLAAMK >cds.KYUSt_chr1.2808 pep primary_assembly:MPB_Lper_Kyuss_1697:1:16772880:16773242:-1 gene:KYUSg_chr1.2808 transcript:KYUSt_chr1.2808 gene_biotype:protein_coding transcript_biotype:protein_coding MNHYKKPHRHKTENAEMPEGKKDTGFPKIYSLDYFQRRLCCWWLDDDDGAIGSNRNEPDGVAGAAVAVAVLENPDDAAEDDDDEKSGKGSAGGGWLTLHAQLGTPAVPTSGLLLRSLAAS >cds.KYUSt_chr5.27462 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173850679:173851257:1 gene:KYUSg_chr5.27462 transcript:KYUSt_chr5.27462 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRSVTGTIEKRRIAETVRRHQCAVGEAEGHCAAETKHRRRASDSQVWGDVTGVPPPWSKSNASRGNPRTSRQHSLGHRDNNLGPEGYEPRVGETTRGIAIRHVQSFRTVPPRRKRRRERRHRQIRRGQDRRFLSKLDTKRRDRLTSTTTAETTAGGWTRATGAGSGRRAERSNLDGQQAGPAPAGRSKA >cds.KYUSt_chr4.3314 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18821171:18846855:1 gene:KYUSg_chr4.3314 transcript:KYUSt_chr4.3314 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSIAYPDRFYAAAAYAGFGAASPSAAVSRFQNDVALLLYGLHQQATAGPCNVPKPRAWSPVEQSKWTSWHGLGNMPSTEAMRLFVKILEEEDPGWYSRVPEFNPQPVVDIEMHKPKEETNTVPASANGTSSIPEPKTISENGSSMETQDKVVILEGLSTVSAHEEWTALSVSGQRPKPRYEHGATILQDKMYIFGGNHNGRYLSDLQVLDLKSLTWSKIDAKLQEGMSDSAKTAQVSPCAGHSLISCGNKFFSVAGHTKDPSDSITVKEFDPHTCTWSIVRTYGKPPVSRGGQSVTLVGRTLVVFGGEDAKRGLLNDLHILDLETMTWDDVDAIGTPPAPRSDHAAACHAGRYLLIFGGGSHATCFNDLHVLDLETMEWSRPKQQGLIPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLAWSVVSTVEGRVPLASEGMTLLYSNYSGEDYLISFGGYNGRYSNEVYAFKLSVKLDLQSSTKDQPISDSTSRVLEPEVEISQDGKIREIAVDNADSKNRSDEANEQLLAALKAEKEELEATLNREGLQTVQLKEEITEAEARNTELTKELQAVRGQLAAEQSRCFKLEDLEATSVELDSILFGFYTEDEVKRLSVRKLTKPERLDAKGCPVPGGLLDPAMGPLNANDTCKTCAQPPGNCPGHFGHIELAKPLFNPLLFDTLRDLLRSTCFTCRRFRLSSQLVFRYARELELLAKGDVAQAKNLQDSAVLKEEEEDDETAEVTSPSDQEGRTWTSLQLTEALAVFSKLMKKRVNKCANCGAKSPPIKCQIYGWLKIQDKNGSSVRRKAITDYKLEGDGHSHNTSENAVSGLSDDTVKGSRNQTRHISHDRINEVPLLTTEVESILRDLWEKEPRFCMLLCDFQQNLSVSEKRRGYEMFFLKSLLVPPNRFRPSTVSSLGIMEHPQNVMLGKVQEANLALQHANAQPNHLDILTRWRDLQTSVNLFYDSSKGLVKSGNDGQGIRQLLDKKTGILRQKMMGKRVNHSCRTVISPDPFLAVNEIGIPPAAALKMTYPEKVTHWNTKKLQEAINNGGEIHPGATHVKVNNHMYKLSVDPPKRRAVAKQLPASRGSVSHPGKDPKREFESKVVYRHIQDGDIVLVNRQPTLHKPSMMAHVVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRSGEAVRGLIQDHIVGAVLLTKPDTFLSREEYSQLVYGSCVSSSCSPCQSGKRVSVMNDDDTLELLPPAIFKPKHLWTGKQVITTILNHLTNGHPPFTVKKEGKIPVKYLLPKKRHDEERETRDPELVKKEKEEQEVLVRKQEDEQELYVLDNELIKGMIDKKQFGNYGIVHTVQELYGADTAGRLLSIFSRLFTLFLQFHGFTCGVDDLLLSQDEDQLREKILLESEQRSDDVHWEFAGHGYPVRVKSLDSGLTVEMVEEEFTKIGAIKPGGVQVRNKKFVVEFESRQSFEAIKAKPPSVIAGKKITIKQKLKRDPADLQMDVEKVVRRMGESGNVTLDKMMLSALNKLTSEVNQSMFPYGLQKPFPKNCLTLMTATGAKGSDVNMTQISSLLGSQDLEGARVPRMVSGKALPCFRPWDTSSRAGGYVSDRFLTGLRPQEYYFHCMAGRNGLIDTAVKTSRSGYLQRCLVKSLESLFVSYDHTVRNVDGSIIQFCYGEDGVDVHKSNFLNKFEELAANRKAVLDRFGSYSGDSLLLQSNYITNLPKTLTDNAKKFVSSQKKEKLRKEEERKLQKEEEGKLSKKEKLQREKFLRLMRKPGRYDIEIEELTKLLNVKYRASLIEPGEAVGVILAQSLGEPSTQMTLNTFHLAGRGDMNVTLGMPRIQEILMKASANISTPYMECPLHENITKGDAERLAAKLRGVRLADVVAGTEVCTVHFHNSNGHVSNLYKLQLKLHSPEDYPDQSELTADECQTALRTVFIDAMEYAIEKHLNLLHKVSGIQETRVQDTDSSLPEGPEEPESRSIDREELVASDGDDENEDDMGADAEKWKQQQNDELEYDDSGNTDVMDSDPEEETKYKPDNEDDPAESGGESEVEDEGHMSDSGNKSGKLEDGPAAGKLKKEKSETVENLEQKQEQTTQKRSKKVKRAVHVESTDLKFEVHYLLHKEPTILLAQIAQKTLKSLFVSGSHNRNIGGCKAVWVEKEGDPSPKMLALRTAGVDFEVFWALQDILKIQDVKSNDIHAILKTYGVEAARATIIHEVNAVFQPFGIDVNKRHLSLVADFMTSGGSYRPMSILGMAQFCTSPFGKMSFEQATRFISEAAFYGEVDTLDGPSASVSLGKPAKMGTGCFGLLQNMCFVEPPKM >cds.KYUSt_chr1.177 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1019886:1020266:1 gene:KYUSg_chr1.177 transcript:KYUSt_chr1.177 gene_biotype:protein_coding transcript_biotype:protein_coding MQADNRPASSMELDLSWCSAAGHRRRARDGAGLSPMSFPSVFDLFRGSGGDDARSGGGDPRSGGGDTVVAEVPHPGDAHLHSGWAVDWAIVAEDEWLVMIRFSHDWDEACLQMDETLADLLGLLLH >cds.KYUSt_chr4.7519 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44757538:44759405:-1 gene:KYUSg_chr4.7519 transcript:KYUSt_chr4.7519 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEQSVNCEASGSLALATPSPPPNPDQTVLRDPGVALGASQRDLRKAAIKNHPDKGGDPEPADLSSFRTDLFFRNPAPRMVPEEKPQTTSAEGSELPPPRLDCIKCFDALWFCYSPWHQMQHYYRYGDFDNCFGKWGDLVDCLSLKTKRKAEVEEILIAREKARPHIWTYRTVDDAAENWWRMYKHLVVQSKPAVSAAPPPKSGVCADSSRLSSPTLELSNLVAYQWRSAMRAMPMYQRPFMKAKLYIHFMVEFSDSLIPDQCKALETVLLPKPVLQHTNMELDKCEEKMAFNVEIEETRR >cds.KYUSt_chr7.28455 pep primary_assembly:MPB_Lper_Kyuss_1697:7:177552394:177554924:-1 gene:KYUSg_chr7.28455 transcript:KYUSt_chr7.28455 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIVLQRRRCLVAEVAHGLVHEPPRARSAQQPLHGRDGEGGRRRQQVVDVRRDVGGRSLVFAVRRSSDRRSDKRRNSNGFGYGGDFYRTGNCFVHGLRHGGGGLALHPAMSMVVAMVVAAAEAGTWTVATAAATGNKRCPAVAEATIAVQATLVAAPEVPAKVRTTSSGGRSKTLAEAIATIIRPSRTQGVRRIAATDIDDEMLVQLLMEEENTAAVRWHHQQLMLASLLHLRQPIPSLVVPQRDGSRVSKKRNKERHRQAGALLLDSNYFADDATHTLKDF >cds.KYUSt_chr7.19414 pep primary_assembly:MPB_Lper_Kyuss_1697:7:120451405:120457665:1 gene:KYUSg_chr7.19414 transcript:KYUSt_chr7.19414 gene_biotype:protein_coding transcript_biotype:protein_coding MPAESASRGDLLEPHDASSMEGTSSGEDEAEAEDSAAAPDDSAPYFGKRFPSHDAAYEFYGGYAKRRGFSIRRHRTEGKDGVGKGLTRRYFVCRRAGNAPAKPFGDGAAPQRNRRSSRCGCRALLRIGRDAGAAGPEWRVTGFSDHHNHELLRQDQTRSLPVISDSDRDRILLLAKSGISVQQMVQQMMRIMELEKCVEPGSLPSTKQPSKSFNSFSDIRISLNLSSIGANMGSRSDEISVSANVLRQTELDRLTVVPNVSTGHETTVVDDEEEDDILDGQLLSAIIGLFGPPEESTFSLNEDLTDDLPQVSMEENCLLTAPYTEEEPSQTVFMQGRNILDGVAVLHKTVHEMHSKKLHGVILKLDFEKAYDKVKWSFLQQTLRMKELFGCGQGQFPIRYLGILIHYRRLTIAEWKLVEDYKNALVVGKVIYRCTGILRSWLPLQRVENQQLFTEINQSALGPFTFMPHSSAKHSAFSSFHEGIDLALGDFRFHINSVSTLLLPASASTSIYRDAYHVTGPHDHMQDHDDDFDEYKSCLHYAPLP >cds.KYUSt_chr6.6506 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39280236:39280931:-1 gene:KYUSg_chr6.6506 transcript:KYUSt_chr6.6506 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLESEFQLPNTEQENSIFVRALISVVSGDTAAVVPTLHQKPSTTPFAAPAVPACARCGANGCRGCESAATTASSSEGEERSAASCVKDGGVRKRRARKGGKFWGVRQRPWGKWAAEIRDPHRAVRKWLGTFDTAADAARAYDVAALEFRGHRAKLNFPVAAASSTASASSWVHEQPQRCSDSHRETCCSKASSPVHVSCLPEQGKPVAREHEIWGGLYEIMMMDDCKF >cds.KYUSt_chr6.33636 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211229949:211231282:-1 gene:KYUSg_chr6.33636 transcript:KYUSt_chr6.33636 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHAASPVPGLRRSSSPACGGARPRPAAALVPGCGGARPRFPGCGGARPRPAAALVPGCGGARPRPASGARPRPAAALVPACVGVRPRLRPALVPGLRRRLLEMVRELLIPDSSREMSMPQFVLPAVISLLDAANDPSILLPRLRCGRGGTEEHASDLAFVSRNAGTGLDFHAKKDEPLARSSSSGEWRPDLVGSSIGRPWWRTVAAQEDKAREEDERLGENHGSTRVGHVHLRIYRAAIVYKVQVEYVKLACTNLDILLAVG >cds.KYUSt_chr6.27399 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173991439:173992564:-1 gene:KYUSg_chr6.27399 transcript:KYUSt_chr6.27399 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLLQPYREEELQILRGDHPKQKHDRAYQDHDRVYRYDLYNDLGDPDNKSPRPTLSGPDSPYPCHAPRRTGRKPMKSDPTCESRNVVPVLQQFYVPRDECFNHVKKADFTSYLLKAISAGILPLARELFDTAVSREFDDFEDMYKLYEGGIKIPDVPVMDLMFKVFPPLKSICPSGGSYLLKMPMPEVIKNDKLAWRTDEEFAREMLAGLNPHIITRLQEFPPRSKLDGYGDQTSTIKVEHIQPYLGKLTVDKAITDGRLFILDHHDNFIPQLHKINSLENTFVYATGTPRLDEIGTKVVGADSKVYTPPSSAGSESDNKVQDTIWQLAKAYAAVNDSTWHGLISHWLHILHFP >cds.KYUSt_chr3.47796 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299510753:299516174:-1 gene:KYUSg_chr3.47796 transcript:KYUSt_chr3.47796 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRIWCATVKYDGAFSIQLPLDGVLIPAAAGIAEVAVTIPATTDAEMAAAVAEHGQANGQTLEHGWAFWLVSPQVEARRQVASERTVHTFSTVDEFWSLYNNILHPSKLGVGADLYCLKDKIEPKLGDPICANGGKWTISCGKGKSDTLWVHTLLPLIGGRFECGDEICGAVLSVRGTQDMIAVWTKDSANEPAQQIISNQWKEFMDYKDSIEFIVHDSSATTVQCWSTKLPQDLFGLIYNRVPDPRSRVRFAAVCKSWFATAARHPVPPALPWLLLLPRDGNKMKRLYCHEDNQILRVPALRCFIDHWFIGCHEGGWVATYDPGPFKIVNLFSGFEVALSEKQKWIPRSGRLCKTMIWNIIFSKPPTSSDCILAAITDVSPLALCRVGCPDGGWTIQERRYSVRLADITFWNGELYGLTLEYFDLVKLEIGINKDGAPLVTGVNRPAVTTEHQVPPHDVWYKKVDVSYIFELNGKLGMAVKFPWSPNFRPYFKVFNLVDVDTGEGMAYRTFKWEEVKSLGDFALFLGPNCSRAVHVPEHRHGGVQRNRIYYIHRSCLGRNVVPEDDLVFLAISNNDGDPVYFKEDDHDGVDMIRAVGYYARRGPYPPMWLLPPDF >cds.KYUSt_contig_680.12 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000061.1:60483:64195:1 gene:KYUSg_contig_680.12 transcript:KYUSt_contig_680.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLHLIEEILLRLPPDDPGCLFRASAVSKPWRSSVTCSSFGSRYREFHGTPPLLGFFEVDRAFGCWFAPSSPTSPLPPTHPDPRELFVLDSRHGLVLLRTPGWRKDEPTVSLIVWDPVGRRQWEFPPPEFADDIAYDNAVVLCADDHLGFTVVYVGTDGGPGTPYASVFSSQTRAWSAVATIPDKYLEILRCGPKALLGNVLYFCGCDNLVLRYDLFSRQLSIIEGPIRSCDYYVLVKTEEGVLGWATMEEESELCLWSMETAPNGAVAWTQRTVVKFDNPCGLLGFLDGPGVFYLNTASCIFTVELKSGRVNKISISRFSLGSYLKPTLFPYMSFYTPDQAGTRTLSSTMASSSDGQVGNEQGKGDEGDGWLEVSAEKECDDEEEEHQEEKAMQELFDKWPKAFKDGDFVFAVDFFRRTLKIRFGSGVEDVSCYKVVRFGSAVEDVACCKIRFAFEMESKIGDVKAISLCKSHIEGLKRANEDFLADKGDDASATENRSYTLAKCIEYLTEKLLRALEKKIQLEDLEEAISTPVSEAIGAQNVGNDVPRAESLASLQCSGPSKPMPTGRTITNLEVAGRGIKRANVKQASSEPSPKKFAESSPSVQVDSSNSSDGHLSASDSDGSVSE >cds.KYUSt_chr5.21478 pep primary_assembly:MPB_Lper_Kyuss_1697:5:140289058:140295825:-1 gene:KYUSg_chr5.21478 transcript:KYUSt_chr5.21478 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIRTEFESSGFIVGGAGPGDTAQILSTLLTYCINYKMSPADLVSNWEVYYLNRQLDGLKLESSYLDGFSSHLQNEVKEKLIKEETELHIYSSNDIDMLLSSTHTDDEGFPDTPSDKQEKPHGQSSNSELTPLTTERLSSCRAAKTNGDRITPFAQRVNKFTQYYVLNPDNVASVPSKHETEMSEDELIRRIEPSQRCTLQVQRSKPEPGCRFMYDRTEDRFNYLEDRIRRSAILFSATGLCREPADPTLASEENMFAVGMVICDGEGRLNEKSILLQGSVEHSRGQRVRLDLKDINQFSLFPGQVLGIEGHNPSGHCFVVSKLIDSIPVSLDDQLPCAKKQAVDNQYHQNSNTLPRVLSSVIAAGPYTTTDNLMFEPLQELLSYACRKQPQLLVLMGPFIDSDHPDIKKGTADQSFHDIFHFEILRKLQDFTQYLGHSVRVILIPSVRDAHHDTIFPQPAFDMNLPEDITHQITCLANPSLFSSNEIQFGCCTVDILKQLSGDEISRKPPGGKAADRIGRLATHILKQQSYYPLYPPAAGVPMDFSLAKEALEMPSAPDVLLLASDLAPFVKVLSLNEDMEQKQFVCVNPGRLAKGIGGGTFVELYYNEDTEKTKAFIMRI >cds.KYUSt_chr4.8063 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48095486:48098727:1 gene:KYUSg_chr4.8063 transcript:KYUSt_chr4.8063 gene_biotype:protein_coding transcript_biotype:protein_coding METVSEEQSEVSIVVKEIMSLRRERRELLASLTPGLSIWKKRRGPGSENTTLLSRFAGKDYTAAAPSHVQEEMYKEPASTQEQLGRYLDSVLQDTEDLSHRMLSAAYLVVRPDGAAAASSSYVADELASSTSGLMDACKDLYRDTQDNEQAEEEVQEKDAFLDFYHEFLRRPLDYGNELTLVVTKEEVEDHEKEVQKERKRVRKVNKENMAKFKQWEQKQKDMVKHDKKRLKAFEKLEKQRQKAMEKLLKEYPSLDEDEDEDEDQGLELDQDQEKEKDNLDPDSMGYLKKGMEIDRKFFADGRDSWNVTWGCKSTRCGDFRDITLDNVDFEVELRIKEGEGYQDKELISLSKRYDGTCTPLMFENSLCKAVLKLEQLSRAVQASIVGVCVVEGKWPFEYGCRVACSLAAAADEVVLFDCRGDTTSNEVHVGSNGYLHLSRNVVSVQSQGTLKVVVGSYLKSGRAAQSWNFDFTAQHCQTSERECLVGTTKVKVVIAWSLLVKEKRDLLVECPAVEP >cds.KYUSt_chr3.6061 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34613179:34618737:1 gene:KYUSg_chr3.6061 transcript:KYUSt_chr3.6061 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSASLPLAAAMAAGDDRQPLPFLLRPVLLHGAGAGAHLLLALAVAARLLFATASRRAKESPAAAAIGFRWCRLAVRATWVLAACELFLAAYSLVSWYLDGGARWAAPDAVADYADAAARAVAWLLLAAYLQLEHRRRGEDRLPAPLRLWWALFLLLSVLAVAVHAATVLLYKLPVPALAWARDAVSVVASLVLLVAGFSAKTPAAGGSASEEPLLNGASETASAENDTVDASMFTGAGFLSVLTFSWMGPLLAVGHRKTLDLDDVPDLDTGDSVAGLLPPFKANLDALTGDGKVTAFKLTKALLRTVWWHIAVTALYALIYNLATYVGPYLIDSLVQYLNGDERYASKGKLLVVTFIVAKVFECLSQRHWFFRLQQAGIRARSALVSVVYQKGLSLSSTSRQSRTSGEMINIISVDADRVGLFSWYMHDLWLVPLQVGMALFILYSTLGVASLAALGATVVVMLANVPPMQMQERFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIDLRKTEESWLKKYLYTSTVATFVFWGAPTFVAVVTFGACMLLGIPLESGKVLSALATFRVLQEPIYNLPDTISMMIQTKVSLDRIASFLCLEELPTDAVQRLPSGNSDIAIEVSNGCFSWDASAEVPTLKDLNFQARQAMRVAVCGTVGSGKSSLLSCILGEMPKLSGDVKTCGTMAYVSQSAWIQSGKIQDNILFGKEMDTEKYDRILESCSLKKDLEILPFGDKTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGVLGSKTVVYVTHQIEFLPAADLILVMKGGRIAQAGKYNEILGSGEELMELVGAHQDALTALDLIDVANGGSEAFSSSGTASLSRSLSTAEEKDKQNGKEDVDKVQSGQLVLEEEREKGRVGFWVYWKYLTLAYGGALVPFVLIAQILFQVLQIGSNYWMAWASPVSEDVEPPVSMSTLIYVFVALAGASSLCILVRALFLVTAGYKTATLLFDKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIAYQMGSVAFSIIQLVGIIAVMSQVAWQVFVVFVPVIVACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSATIRSFGKENQFVSTNSHLMDAYSRPKFYNAAAMEWLCFRLDTLSSVTFAFSLIFLISLPTGLIDPGIAGLAVTYGLNLNMLQTWVVWCMCNLENKIISVERILQYMSIPEEPPLSMSGDKLPHNWPSDGEIQLRNLHVRYAPQLPFVLKGLTVTFPGAMKTGIVGRTGSGKSTLIQALFRIVDPTIGQILVDGVDICTIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLGEYNDDQIWEALDNCQLGDEVRKKDLKLDSPVIENGENWSVGQRQLVCLGRVILKRTKILVLDEATASVDTATDNLIQKTLRENFSDSTVITIAHRITSVLDSDMVLLLDNGVAVERDTPAKLLEDKSSLFSKLVAEYTMRATHT >cds.KYUSt_chr3.6252 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35799364:35799795:1 gene:KYUSg_chr3.6252 transcript:KYUSt_chr3.6252 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPEAAGKSPIAGPKDPTRLNVVAVALGVNAIFSLISFNIRIPRHQGTGRGTAKPTDPKTGGLEEVSRGATKLRRAPPREGTQTDTKTSTDTTFSSMAPPAEGLPNPALCTGRDLRFPPPPAGEAAVGGEGTRRSPASEAG >cds.KYUSt_chr4.36533 pep primary_assembly:MPB_Lper_Kyuss_1697:4:224498279:224500469:-1 gene:KYUSg_chr4.36533 transcript:KYUSt_chr4.36533 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDVEMNDSVAPAPVVISAIADVPALSTLQHLKEIASVIEAGSLTKEVRRISRAVRLTVALRRRLAPRDVAAFLAFALPSSSDAFAHLSPLLPKEDGTEMDVDTAAPVAQVSIKHGLPEIEIYCYLLVLIFLIDHKKYDEETLLNLLLRNYLHYNLSDQAEKLRLKAPRFEAHSNQQVCTSKMNLTDEVWKINSPDRIKSVLLM >cds.KYUSt_chr1.34775 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211814956:211816022:1 gene:KYUSg_chr1.34775 transcript:KYUSt_chr1.34775 gene_biotype:protein_coding transcript_biotype:protein_coding MATAESVGELLLRAAALVPPAHYAVAALVLASAFLYRFLELHVLGDLLRGFRGGRVALTFHPDSHVYRLVASKCRSLHGRYLATPWLASPHLQTLFLGFGARSPSFTYRRQLYTVRDGGTIALDWLLASECEVPDDGSSDGTVPDDDSTPIVIVVPGLTSDSTAAVGPDSYSTELVGLNKI >cds.KYUSt_chr1.41448 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254221210:254229280:1 gene:KYUSg_chr1.41448 transcript:KYUSt_chr1.41448 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAAAPPRRALTARDLAEEGKKRAVLLLVFAFGLAFLMSRESLSQTLGLHSPAVTSSSVWINFPFSIALIVLFRYMSLDYDFRRKSTATTDHDASRPLAKTKSTELSKPSLSQKSGNSVWRSKVNSPPVEAAFDQFTRHLITEWVTDLWYSRVTPDKNGPEELISIVNSVLGEISSRARNINLITLLTRDLVDLICHNLELYQSCEAKIGKEKFVNLPTERRDAELKLALIAQSKLHPALFSASAEYKVLQSLADGLISITVNPENLQCSFFHCTARELLACAVLRPVINLANPRFINERIEALVLSRANKADKGVAGSEDVGTLKQREPPMPSVDELSALADHSSPGVELVRFSQGQSKTASDMQLSKTKSTSSVKPKSPNSCIINDSHPLESGSLPSSFHIYPDTGISAHPQTRGRITTESYEGQSAQTLDFSSHRKNRAVAPEHLENMWTRGKNYKLENANHVTIAPARSSLVTTSSMQGSSIRHHPTVPQRQTTSSSEEHHPIKTSATPACSNGINHLPKSLSEEMAEHASQEDLAVDSESSYGTEEDENNNVTGLDSPVTRVWDSKSKGNGTSSHIHHPLELSNFHKARTNRSHVGKLKMARTSSGRKRSRTVAQKTPLWQEADRSFLAGGDFGILNTSANDSRADGPYDDTEVESITRMLSGANASSLSLPSSGSSFSSNYSSTNVLEDSYLKLRCEVVGASIVKSGSGMFAVYSVSVTDANGNSWSIKRRFRHFEELHRRLKEYPQYSLHLPPKHFLSSGLEVPVVRERCKLLDIYLKNLLQIPTVSSCIEVWDFLSVDSQTYIFSDSLSVIQALSVNLDVRSNEKGAKPLNSPKALNGNLSSTRQSVSGYQNDNLQKDKDFAAVDGLRLRKGSTDQNLETSVSNASADMYQDHSGSDPEQNDYSFSINSGDHKKMLASQTGYTSQILESDGYSVTPNEWMAPNVSAPIFHLVDVIFQLQDGGWIRRQAFWVAKQILQLGMGDTFDDWLVEKIQLLRKGRIIAFAVKRVEQILWPDGIFMTKHPSRKPAEPSPGAQNDGKTNYLTEEQRLEAAHRAEFVRELIIDKAPPALVSLVGRKEYERCAQDIYFFLQSQVCLKQLTFELLELLVLAAFPELDDTVRKWHKDKHEFATLE >cds.KYUSt_contig_1781.91 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000202.1:552118:554675:1 gene:KYUSg_contig_1781.91 transcript:KYUSt_contig_1781.91 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEEFYESLFDSAKGGSGKARGQMIEKKIETLEDMATRVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPFGEEAPLSAFCRTGAGEWDAFRSIDMDVEVRLMQRMKQPSDKQKNRLNEDELIVLNAWRRIDCQTRDAMKRNFLPELLEIYEERVRAFIEDTVDKDVLVLSVQDPFQRLLLHGVCEFYNVTSITTATVKDGKPWKTTTIKKRSGTGVAPSITLVGFLRAKKNGSHMDA >cds.KYUSt_chr4.26906 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168929247:168929900:1 gene:KYUSg_chr4.26906 transcript:KYUSt_chr4.26906 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLEAARLGNEVPMLRDGDTDPKRIGFIFGGRMLNDDPATSCSRLDSRAMPRCSPPLPPLIVVNSRASLALSSTFGRIHVTKLRITKAHENFAASSYLFSSMIFLNGMISFPNF >cds.KYUSt_contig_946.97 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000087.1:713399:724855:-1 gene:KYUSg_contig_946.97 transcript:KYUSt_contig_946.97 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPWPTPRSVRQATQLHALLTASGRLLHQPSAAHLLNSLANCIHPADPLHLRYALSLFDRMPSSTFLFDTALRACFRASSDPEHPILLYRRMRRTGVPPDAFTFHFLFKCCARGHAHVLLCQMLHAACFRTMLPSALPLIASSVIHMYAELGLPRDACRAFHEIPIKDSVAWTMVTCGLAKMGLLDDARRLLAQAPARNVVSWTGLISGYSRAGRAAEAVDCFNRMLSDGIAPDEVTVIGVLSACAQLKDLAFGRSLHMLVGEKRMLMGDKLVVALIDMYAKCGDIGRAREIFDTSGRGRGPQPWNAMIDGYCKLGQVDVARSLFDQMGDRDPITFNSLITGYIHCGQLREAMILFGQMRRHGLRGDNFTMVSLLTASASLGALPQGRALHACIEQRLVEADVYLGTALLDMYMKCGRVQEASAVFQRMSMRDGHTWGAMIGGLAFNGMGKAALEHFFWMKCDGFHPNSVTYIAVLTACSHSCLLEEGRLYFDEMRLLYNMHPRIEHYGCMIDLLGRSGHLDEAMDLVRTMPMQPNAVIWASILSACRVHKDVDLAQNVADHLLKLEPDEDAVYVQMYNIYIDSREWENASKIRRLMEDRGVKKTAGYSSIAVAGQVHKFIVGDRSHPQIMEIIAMMEEITHRLKSVGYSPITSQITVDVDEEEKEQALLAHSEKLAIAFGLISLAPNLPVHIIKNLRVCEDCHSAIKLISKLWNREIIVRDRSRFHHFRNGACSCNDFCASMLEYGWELDLLLCLPERCSELLCAEHIGCREWMEHFGVVGWGGLVQNLIERCLQLYMSQKEVVHTLSHEAKIEPSFTQLVWQKLEEENPEFFKAYYLRLMLKNQITAFNKLLEDQFQLMNKDYSSAVPSMPLTNGSNSTALKQDPCFLPESGPGVMHNGGSGGLSNGAPSSDQLMYGGKNFHGPHNGLNASTNVLPAQNASSLLFGTDNGTTIKTESGYSTNADFGFCGNVFLESCQSIGDASGGSFSSSELNGQPLNDSIIDMESSSFGFLGQIPRNFSFSDLTEGFNQNTDPMGRWQISSEKDQITMFLLQGAMYPVLREDRRNVPNNEKVSGKETLLQTH >cds.KYUSt_chr4.21991 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138291742:138294891:-1 gene:KYUSg_chr4.21991 transcript:KYUSt_chr4.21991 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVAVASAPMRGGEGTKATARRPGRTLAGRRRRAAVLLLALSYAAAMLMLFLCAGGSGLSSAGGSGLVGRRPAAPAPPGSVYRSHLVFERLLPDMRASVSRPNPLMTTHYKKSGKRWVPCISKRMAQSALPPSNGFLVVEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSKFGDIFDEEHFIKTLRKHVRVVTELPEDVSAQFDHNISNIPNMRTKAFSSHSYYLEKVLPKMLELGAVRIAPFSNRLANLVPSNIHALRCLANYEALRFSVPIRNLADSMVGRMIKKSSLTGGKYMSVHLRFEELSHAVHMTVIGRRILQWRMLVKGAGEASFTDLVE >cds.KYUSt_chr1.27615 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166402734:166404767:-1 gene:KYUSg_chr1.27615 transcript:KYUSt_chr1.27615 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKELLLAQLLVAVVVEGGVEFAYEGFAAAGLALGGTASVTPDGLLLLTNDTNLNIAHAFHPDPVRFHRPSSTLGGGAPVMSSFSTTFVFAIVSELIGLSTSGLAFLVAPTTRDLSTATAAQYLGMFNASDNGDPRNHVFAVELDTVRNTEFEDMDGNHVGIDVNSLNSSAAATAGYYDDATGAFQNLDLGSRDPMQVWVDYDAATTEITVAMAPARSPRPKRPLLSTRINLSTIIADTAYVGFSSGSSIVLCKNYVLGWSFSLEGGAAPALDDAKLPKLPRIGAKPRSKALAIVLPIITTATVLAAVGVGLLLLRRRLRYAELREDWEVEFGPHRFAFKELYDATAGFKDKRLLGAGGFGSVYMGVLPGSRSEVAVKRVSHESRQGIKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHGHGEETALDWAQRLRIIKGVASGLLYLHEDWEQVVIHRDIKASNVLVDGEMNGRLGDFGLAKLYDHGAPAKTTHVVGTMGYLAPELVRTGRATTLSDVFAFGAFLLEVTCGRRPIEESEDVHERFVLADWVLGHWRNGAITGAVDAKLRAGYNAAEADLVLRLGLTCLHPSPVARPSMRQVMQYLNSSVPLPELPPTYVTINMLASMETHQGVLGTRAVWRSTSSIATMSDSDIGFSTGR >cds.KYUSt_contig_402.28 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:94223:100058:1 gene:KYUSg_contig_402.28 transcript:KYUSt_contig_402.28 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQDRSLTRTSFPVIGHLLEDASSNKSLRPAPNLIYRYQSTTSTLQCQSTGSGFPFSFRFFDRAAVISDLRLDNSSCQSSWRICTITQVEELKILIRLFPVWVTGVFFGAAFSQMQTTFIQQGTVMNTKLGSFSIPPASLCSFEVVFVTLWVLLANKVIVPATTWFTSGAELTQLQRIGIGRVLMFFAMALAAILETKRLESVQHGQLLSIVWQLPQYIFIAGAECFGFITQLEFFHGQAPDSMKSILTSFAYLTLALGNYLNSAMITVVTRVTRAWHSPGWIPDDLNEGHLDYFFWCFAAISFVNLIAYIYFARKYKLKKIVIQC >cds.KYUSt_chr3.26543 pep primary_assembly:MPB_Lper_Kyuss_1697:3:165286264:165289701:1 gene:KYUSg_chr3.26543 transcript:KYUSt_chr3.26543 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTGSSLSVEEHSETFLISPNADFSCGFYEVGRNAFSFSIWFTNTTEKTVVWSANPNSPVNGHGSMMLLNHNGNFVLTDVNGSITWDSNTSSGEGTMVSLLDTGNLIVKDSTGKMLWESFSSPTDTLLPLQPFKKGTRLVSSYFSLYFDNDNVLRLMIAVLDTEGYFVSSDGLNVKASDWGTGVKRRLTIGYDGNIRIYSLNASTGSWIVSWEAITEMCSVHGICGQNGLCEYLPSLRCSCPPGYEMTDPHNWNRGCQPQFNKSCSKTEHFDFIKVPHSDCYGFDLTYNDSISLAQCEKICLDVCSCSAFVYRKRFALCYTKSVLFNCYTSANFAGDMYIKVPKTMGTSKQSGLTCNLSNPVVVQGSTSNKRFIPYYVFATILGVLVLLFTGTSWSFLYSKQNIPKSMEEGYRTVMSQFRMFTYRELREATGKFKEEIGRGACGIVYRGVLEDKRVVAVKKLTNISHSEEEFWAEMNIIGRINHMNLVRMWGFCSEGQHRLMVYEYVENESLDKFIFGDVSTERLLSWSQRFKIALGTARGLAYLHHECLEWIIHCDVKPENILLSRDFEAKIADFGLAKLSKRDRSSFSLSHMRGTMGYMAPEWVLNLPINAKVDVYSYGVVLLELVTGSRISSGIAMDGEEVELSQFVNALTELQVSGDVKDIEDIVDTRLNGHFDPGQVAVMVKLALSCLEERNRRPTMNETALMHGKADTPYWQSTWSCWEPLKCKTFAWLAVANHLAWHGLDHPDAYPVCNQEDETVPSPFKVWLARSSMIAS >cds.KYUSt_chr3.32108 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201795170:201796905:-1 gene:KYUSg_chr3.32108 transcript:KYUSt_chr3.32108 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHRAPLVACVFLIGAVATVVYTVFPISLNPRVYSLPRAFLTVSGVDQHIVLCAGDAATAPLSNGSGDRTIGGPIVTNIQTCTKPKLPPNPIPPFYCCPPMSASPPINFTLPDPAEPLRVRRPVQAVGAEHMAKYERAIALMKALPHSDPRSFYQTANIHCAYCTGSYHQNGNPELDVQIHFSWFFYPFHRAYLYFFERIAAKLLEEPGFALPFWSWDVPEGMQLPPEFANSSSPLYDPVRNPKHVPPKIVDLEFVREFHGQPFRAGEADQPGAGAVELFPHNTMHTWTGDVAQPNVENMGVYYSAGRDPLFYPHHNNIDRLWVAWRDIGASRGYRGHVDFTDPDWLDSSFLFYDEEARLVRITVRDLLDIEKLRYRFDSVDMPWLDARPPATPDVNKKRGLLKSVRFPVSLDDAAVTAEVRRPRVLRSRREKDAQEEVLVVEGIETDGTDMVKFDVYVNAMQHEKVEPGGREMAESFVCLSHPSMDGTGKGMAIKTSMRVALNELLEDLGADGDEIVTVTLVPRHGKVKIGGLRIVYMME >cds.KYUSt_chr6.19461 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122447233:122452420:1 gene:KYUSg_chr6.19461 transcript:KYUSt_chr6.19461 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNPQPFDGLASGPDPWDIVVKVKYGDTLKRFSGYVNGTHFTLNLSALRSKIASAFKFGPDADFILTYTDDDGDVVMLDDDEDLHDAAIHQKLNPLRISVQLKNSHTAASQTDRQDPNPAPIRANTQDPLAQIKSVIDEALKPISEPLRSTGREHPLGHLKSALEESMKAIHEPIPESLAKLSREALDAAPPQLAELIKPFMNLIASTNSTLATGRAHSPSGSSSGVQQEQVDLKASDQPKVDAMSRPLKSRAPGSSESGGLKSVLLDAPVEVIPEPSQDQRESLYPSLDKLLFTSNSGVNTSGCKGVSDAQSKGKSVMPSAAPLAPHTVPSFRPSPPLSACGNKWSKPRSWADEWSQPRSFWQPEANAKPSSDPRWRIPMHKVPHPPPAVHVPLGNCPRFPYPSRLLSAGRQYGDLGNNSDSLSRPSHRWIQCDGCGVQPIVGPRYKSNVKEDYDLCDTCFRRMGSEIEYTRIDKPILPHKLSRDPNLCRKTHSHSRASMKSKREKLESRFILDVTVLDGTLMPPSSPFTKIWRMNNNGSIMWPLGTQLIWVGGDQFALQTSVPLEIPLNGFPVDQEMDVAVDFVAPARPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVSDNRAAAIDLNLPQESNLGDATNLIDVNIEPVVLGEDVKSAEVELLQPLIYNEATEPKESASAAMRLYPLVDVPSSSSGAATSVPSFHVLAPEFTPRTVTAPADMPTSSLTSIPVDVPVPATTPVDVVTAETVDIDSLREEKLLQELEEMGFKQVDLNKEILRQNKYNLEQSVDDLCGVNEWDPLLAELNEMGFEDRETNKELLEKNGGSIKRAVMDLIAREKKDK >cds.KYUSt_chr2.52077 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324942429:324945442:1 gene:KYUSg_chr2.52077 transcript:KYUSt_chr2.52077 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSTDNPAAAAAHEQESLAAASLPLPLLRAVFSSRSSSLPDTLAFPPATFHSPTSPPPDHFHDLLAALGPAIASLFFSPAASPSSSSDWLSFLKGFNACCARARASLPLAHLLRVYAAACARAGAPCGVRFQPDDDKVVGELAPAEIAALLSMCWAMAWSGSAPTPRAADEGAEPVLLPDVSSLVLSALVSAGAVADDAAVWGWEASGASAAKGVSAQEFTSWVISTVPGLGSCLSRYVQDRFRSCQADPVKGRSVSAGNATSDASDVYLLTRGRAWAISLSVRSALSEKFLSASIIGMDTEDLLYRSSAHGRGLSRFWSCVEGYKGPALILLSAFGGGENVDADQRWGIGVLTEQGFENKDTFYGSSGFLCATYPIFRMLLPSGTEKNIMYCHLHTQLKTYEAKPKPLGLAFGGSIGNERIFIDEDFSKVTVRHHAVDKTYQHGSLIPNQGYLPVVASILDVEVWGLGGQTTKHQQDVYKKREDIFSGQRRKIDLAAFGNWEDSPEKMMMDMVSDPNRNQREQR >cds.KYUSt_chr3.7360 pep primary_assembly:MPB_Lper_Kyuss_1697:3:42577182:42577982:1 gene:KYUSg_chr3.7360 transcript:KYUSt_chr3.7360 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFLPHATRTPTPRAPTPRPRTAGTVTCGPRDNRGPLQRGRCLSTEAIHAVQSLKRLTAADRSPSAARGAASASLGRLLRADLLAAMAELQRQGHWALALAALHVARAEPWYRPDPALYATFVSSAPPADPAAAAAVDALVDAFLEEKARGAAAPAEGPWEGEDVYKLTRLVRALVAKGRARAAWRVYEAAVRTGGLEVDECMYRVMAKGMRRLGLEAEAAEVEADFAEWEARVLPPARHVLDEMRAREASSNDKATAAASNL >cds.KYUSt_chr3.30605 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191958760:191960364:-1 gene:KYUSg_chr3.30605 transcript:KYUSt_chr3.30605 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAWPAAAAAARAAVRTLGRFIPRTYTHSTTTAAAAASPAHTLEDYNRLLSALARDGDGDGALRVLRLMRQSRSPTACAPTAASYVAVMSALSKSGRPADAAALFDDMLAHGVAPDRAAFSLLLHIYSSHLGLPSAAHSVLLWMSRLGLPPSPIDYADLVFSFCRAGRLPDALQVLDEMRALGYPLTTHVYAPVLQAHCANGDMRSADALIASMRLSGCHPDVVIHNIYVHGLCKVGDFRAVERAIEESGRNGWVPDAVTYSTYIAGLCRCGHVEDAFQQLEVMVAKGLQLTVVGLNILLDHAAQELDMSVGNEVLERCEELGFEVDVVTYNTAMDHFGKEMQWLRVLKLFADLLKKPITPDTHTYNILISGLCRAGKFKLAKFVFSCKGFVADTVTCNILIHEFYGAGKEDELGFLFSDINAAKIIPDTITYNTLVDCLCRSGRRSQAANLVRHIDEGYPAEPVAHLTYWLVRSGHVREALRLFDDIVAKGIVLDGRVFANVIKAFCRKGPVECADMTQLCSVLDRMLGIG >cds.KYUSt_chr4.50882 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315431506:315432126:1 gene:KYUSg_chr4.50882 transcript:KYUSt_chr4.50882 gene_biotype:protein_coding transcript_biotype:protein_coding MGHALVETGKAAVVIDENQTEKMDNAPVEALDDEKNLLSLAEKDKILSAIDKILTEKCDEPDQPAGVNGKHRLSDEYVGFILAMPRDNPDDAIPYYERCSTLAEVRGEEWLEKQKLMYKERAARSRRIHDELVQLQRWVLKQWLQKGYVEVDEDYFAGADELEEYGREVWEKLIAKRDTPITFSDGDDTVYAPYDPVEQARVLLLE >cds.KYUSt_chr1.28836 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174460422:174461669:1 gene:KYUSg_chr1.28836 transcript:KYUSt_chr1.28836 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRPRPPTSPTPAQPPSQAKVLDDDNLLCEIMARLAFPTDLVRAALVSKRWLGHASDPAFLRRFRKLHPLRPLGFYIATGATAAPRFVQMLPQHPELTAVCRRASFELDSFIWDCSNGSVIIISEHGGNGLTIRVYSPLFPSRSMPVVPELPPLDHVTPANYTFEKLLFREGDRSGMPYLWLLMQSIDHGYTVHVYTLQGGVWSKHTSVTTEFPYLPSEPKPLLIGNKIYMAGALSRQSIVLADNKRCVAAILRGILVVDLKDSSSFTIQLPEGVEFLDRDVQLAKTDDDSGVYLIQLKDLKLRIWLHSSTTSNWSLVDTVCLREMFAALRTVDHTVGDKHTVVRMKEAGDNAEFIFVVMGTSILYLDIKRRELLKVDLTAHEQFFVHIYPFMMVWPPTFPAPKDAAARFVSV >cds.KYUSt_chr3.25636 pep primary_assembly:MPB_Lper_Kyuss_1697:3:159139327:159139737:-1 gene:KYUSg_chr3.25636 transcript:KYUSt_chr3.25636 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGAVVKKGHEEGLKMATSLLEEFGLPLGLLPLADVIEVGFVRATGYMWISQKKKVEHKFKLVSKQVSYDVEITGYVKVKSIKKLKGVKAKELMLWPPVNEINVDDPPTGKIVFKSLAGVTKTFPVEAFAAGQ >cds.KYUSt_chr6.2033 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12120224:12120780:-1 gene:KYUSg_chr6.2033 transcript:KYUSt_chr6.2033 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTYLLVGLIIGLPLQLAHDSVLPLEQNDAQFCHRFWRSCSVKAKRPGFKRFHLLPLLKVGPDLTASHRSAAPTTIRAPAGTTCAATTCDATTCAAARPSRVDTCSTATASRSTCSAPTVLRRSRSFRHCALQLLDEMASGGDDLGEAGVGPETRRVHDWVPEG >cds.KYUSt_chr3.24084 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149476723:149479959:-1 gene:KYUSg_chr3.24084 transcript:KYUSt_chr3.24084 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQAGRYMASYQALSKRHPSFRERSETTDLIVEITLQPWHAFAPDGVILFSDILTPLPAIGVPFDISESKGPVIQSPVRTEEQVRELVPIDLDKLHFVGESLKILRNEIDGKAALLGFVGAPWTIATYVVEGGMTNTYTNIKRMCHTAPNVLRGLLSHLAEAISDYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVAKIKKECPHVPLVLYINGNGGLLERMKDIGVDVIGLDWTVDMADGRRRVGDGLSVQGNVDPAYLFSPLPVLTDEIHRVVKSAGPKGHILNLGHGVLQKTPEEAVAHFFDVTRSLRYDALFQGHVTEELQPVA >cds.KYUSt_chr3.25304 pep primary_assembly:MPB_Lper_Kyuss_1697:3:157092039:157092587:-1 gene:KYUSg_chr3.25304 transcript:KYUSt_chr3.25304 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNKLLQHEDGWVFAKPVDSLSLGLRDYYSDIYDPMDLGTVSRRLDGNRYMDLHSFARDVRLTFHNAMVYNDKGDDVYESAAELSEIFESGWASIEQELPSPPSITDRRMKLKDELPRLSKGLQRRAVVIMKDINAWLQEANGKVQVDFDKADEATVDKLEWLVLLGAMQQVIAVSIWCPL >cds.KYUSt_chr7.20931 pep primary_assembly:MPB_Lper_Kyuss_1697:7:129653388:129653648:1 gene:KYUSg_chr7.20931 transcript:KYUSt_chr7.20931 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSMLRPQRRWTCVMRARRRCFHSRSMLDGLAVATHGGLPMEVPDGCLPAAVLAAATRSGLPMEEPDGGLLAAVLVAASLHRCSR >cds.KYUSt_contig_686-1.339 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:2147308:2153022:-1 gene:KYUSg_contig_686-1.339 transcript:KYUSt_contig_686-1.339 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGEASAAAAAPTPTPALRPALAFQSGTHTVSLPAAAPTPKPGPISVGAQILRAEGAAGLFSGISATVLRQTLYSTTRMGLYDILKKRWTQENAGVLPLHRKIAAGLIAGGVGAAVGNPADLAMVRMQADGRLPLADRRNYRSVGDAIARMARDEGVRSLWRGSSLTVNRAMIVTASQLATYDQAKEAILARRGPAADGLGTHVAASFAAGIVAAAASNPVDVVKTRVMNMKVAPGAPPPYAGAVDCALKTVRSEGVMALYKGFIPTVSRQGPFTVVLFVTLEQVRKIEAGMLETYKGLCLEKVQTRLKGLHTNILKSTATPIRCSDKERQLITQNLHCPVKDFPIIYLGVPLSIWKLCAEDLQPLIDKLYDELSGWRAGLMSKGDRLVLVKSVLAAAPIHTMLTIDMLKPIKETIVKCQRSFFWFSGRDDGRGSCTVAWMDVCRPTELGGLGVLDLKRVRWALRARWAWLRHTDSTRPYANFPFKVGLHVNALVHAATRATLSAQK >cds.KYUSt_chr2.29802 pep primary_assembly:MPB_Lper_Kyuss_1697:2:183412199:183414230:-1 gene:KYUSg_chr2.29802 transcript:KYUSt_chr2.29802 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTRARAVGHGPGACHGNARKLSVVGFVWHVCDLELAPLVALPQRAQRVAPRGRDAAASPTSCATATAIAAPIVYPVDLVVVDAEQFILHRASFDWTNSAVAHDVIRVAASPCRCPPPTRMPVEGSRTYFFLE >cds.KYUSt_chr1.10355 pep primary_assembly:MPB_Lper_Kyuss_1697:1:63530426:63533178:1 gene:KYUSg_chr1.10355 transcript:KYUSt_chr1.10355 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSVGIETLLLLLLLLVSCFAGPVLGRKAGAIADQEPENAAAVPGKYAVIFDAGSTGTRVHVFRFDKKMELVEIGDDIEVFAKTEPGLSSYAGRPKEAANSMLPLLEKANGIVPQSLMKKTPVKLGATAGLRLIGDDKAEQILEAVRDVVHTKSKFQYNPSWVNVLEGSQEGTYLWVAVNYLLDNLGGDYTKTVGVIDLGGGSVQMAYAISPDAAAAAPVVPGGKDPYVTKEYLKGRDYNIYAHSYLHYGAQASRVEIFKEKNGPFSYCMMAGFNGNYTYNGEQFDATASPEGAAYDKCREEVTKALNLSAPCATKNCTFNGAWNGGSGAGQDQLYVTSSFYYMAANVGFIDDEATSGKTTPAAFKAAAEKICPMSFEEAKAAYPKFRASDAPYICMDLIYQYSLLVDGFGLEPTKEITVVEKVKHGEYFMEAAWPLGEAIEAVSPRKRRQDSGPIH >cds.KYUSt_chr7.9158 pep primary_assembly:MPB_Lper_Kyuss_1697:7:55714955:55720819:-1 gene:KYUSg_chr7.9158 transcript:KYUSt_chr7.9158 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLCRIRNPSREIEVKEASHEVDEPEAKGGTGGGVRLCVAAHRDLSSETPTGASPARPSVSYYSPSLPLPAVFTSTAPTTTLCRRSRDELALTKHGKLRPPPAAQRVPAEFAARLEPGAGEEEAEVTVLGPVGKVWRVELRWQDGACWLGNGWAKLAAALGIAAGWSVVLRRERRGVATLIAFDPGHCLARLYTPHAGVMCKNRPRFIKLLQQDDLEKMQHLTKAGACLSSQNAIIVCTLGKFWRVKLHHGLSDVLCGDEWPQFVTAHGLSQGNILLFRYEGTNMVFSVEVFLRNGCLKEYHTTLALCITDGARGPSATFPQSGKRPVAAAVTKKRKNAKHVVYAISAPTQPQSKPAAISPRHSFTKEITGYAISVPGVMCKSRPRFIKLLQPDDMEKMVSNLLANKQVSYSQGRS >cds.KYUSt_chr3.24915 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154641175:154644566:1 gene:KYUSg_chr3.24915 transcript:KYUSt_chr3.24915 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPSAGGGGSGDAGFVRADQIDLKSLDEQLERHLSRQERGGAASSQPGSRRGESPRLVEPFPPPPPQQQQRRRREDWEVDPAKLVIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEDGHRSEQEITALRSAFAQEVAVWHKLDHPNVTKFIGAIMGARDLNVQTEHGHLGMPSNICCVVVEYLAGGALKNFLIKNRRRKLAFKVVVQLALDLARGLSYLHSEKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPSDMTGETGTLGYMAPEVLNGHPYNRKCDVYSYGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSALANVMKRCWDANPDKRPEMAEVVSMIEAIDTSKGGGMIPVDHSQGCFTCFRQYRGP >cds.KYUSt_chr2.16127 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101488732:101489980:1 gene:KYUSg_chr2.16127 transcript:KYUSt_chr2.16127 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGTVISLASIYDAEAGARRRVLYRGFVSEVFVPYMDPAEEWYYRTFLDAGEYGLGLWAFPLQPGADCPANAAYLDGYYAGQDGKPVENKNMICVFQRYAGDVAWRHTEAGFPDRLVSLTGLLEVKGTDYTHVDQIRQDAHGTLVAENTLAVYHDHYVTYHLDLDVDGTNNSFVKNIITTRHNTAGTPRKSYWTVPREVAETEADAQVDVNAAPADLLIVNPNKRTRMGNEVGYRVVPGGATAASMLDDDDYPQRRASYSKKQLWVTPYNRDEKWAPGLYADQSTGDDGLAAWSGRDRGIQITERGHRAVVHGGHPPHTVPG >cds.KYUSt_chr6.22196 pep primary_assembly:MPB_Lper_Kyuss_1697:6:140240087:140242923:1 gene:KYUSg_chr6.22196 transcript:KYUSt_chr6.22196 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVADVADAMGAVTIENGVNGKLVLPDAVEEHGEHHDAQANRDHSGESEVINPHEEAGGEASSHPEGKKTRPSKGSPSHGPKAARTRTPKSGDGGLARKSTPTSSNRKAPIARVLHADSGSCTNGDSSVDKTKNGKTESRSSAKESSLLEATKSREKSKTQKPSDEHSSIKRDEEDLNSESSKARKAGGTPAYGFSFKCDERAEKRREFYSKLEEKIHAREMEISNMQAKSKETEEAELKMLRKSLKFKAAPMPSFSPPPKVELKKIPPTRAKSPKLGRSKNKSGAETEESTTPSSRPIRMSLDVNISQNGAKKATPSNVAKKPQRKSLPKLPSEESGPLEAKQLKSTDINTDSYQESGASPAKQLQETESIADNVQEPIRARVTPDDQELDEQSV >cds.KYUSt_chr6.1073 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6826968:6833808:1 gene:KYUSg_chr6.1073 transcript:KYUSt_chr6.1073 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVASSPSVPRPRNSGETPQRPPASLLFGDLRERPWSFPAPTPPRRIPMNPPRPTMSEEYIVRKLSPSARPKDGAKQEAIRKMELAYLRREEDLSEVLGGRTGLPESSTAGREATDAASPRRDPRSSATSASACGRPRRRPPPRRIPTNPPRSAAAPAVPLEDIRRSLENYRAASRNPGGAAPSTPPPFSSGGGGTPSFQDLLRSSGPPAARPPNADGAKPFDFTALREGLRKIDPRQQQKQQPKEFLSATSNGIFAKERAGTEADDPDAAVMLYKTYTYEALGKELQELRPPGAGKDGKDWFSLQELQGRIAKLAAKDKDTRLGGQFDALKQSMRNIEKTDKQKAIRNMGGMFSIANLTGNPIPEYLSQPPQEELLERYFHPDHMSGEEKMKLELQKVRDEFKMSENDCGSARVQIAQLTLKIKHLSSVLHKKDKHSRKGLQDMVQRRKKYLKYLRRTDWDSYCMVLSKLGLRDIPEYKAPDYKKTQPTKAKSKKSKRKRKMKT >cds.KYUSt_chr6.2525 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14666696:14668085:1 gene:KYUSg_chr6.2525 transcript:KYUSt_chr6.2525 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIDNANGSGEIKPPELQFVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPANSKNAHLLALEGAEERLTLCRADLLNYDSLRAAFTGCHGVFHVASPVSNDPVRQSPASARPAHSSITSLGSLLAWFAYSADLQELVPVAVEGTRNVISAAADAGVRRVVFTSSYGAVHMDPNRSPDAVLDETCWSDYDYCKQSGNLYCCAKMMAEITATEEAAKRGLELAVVVPSMTMGPMLQPALNFSSGHVARYLTGAVAAYPNAVAAYTDVRDVARAHVLAYQRPDARGRYLCIGAVLHRAHLLKLLKELFPQYPVTAKCEDDGKPMAKPYKFSNQRLRDLGLEFTPLRESLYETVTCLQKNGHLPLPATMAPKRAYL >cds.KYUSt_chr5.16703 pep primary_assembly:MPB_Lper_Kyuss_1697:5:107484290:107487315:1 gene:KYUSg_chr5.16703 transcript:KYUSt_chr5.16703 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCADDSQGKRVRVLELSRRLKHRGPDWSGMHQVGDCYLSHQRLAIIDPASGDQPLYNEDKSIVVTVNGEIYNHEQLRAQLSSHTFRTGSDCEVIAHLYEEHGENFIDMLDGVFSFVLLDTRDHSFIAARDAIGVTPLYIGWGIDGSVWISSEMKGLNDDCEHFEAFPPGHLYSSKQGGFKRWYNPPWFSEAIPSVPYDPLALRKAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTVRHLAGTKAAKRWGTKLHSFCVGLEGSPDLKAAKEVANYLGTVHHEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEELHRETCHKIKALHQYDCLRANKSTSAWGLEARVPFLDKEFINEAMSIDPEWKMIRPDLGRIEKWVLRKAFDDEEEPFLPKHILYRQKEQFSDGVGYSWIDGLKAHAESNVTDKMMSNAKFIYPHNTPTTKEAYCYRMIFERFFPQNSAILTVPGGPSIACSTAKAVEWDAQWSGNLDPSGRAAFGVHLSAYEQEHVRATIAAGTTKKPRMIKVVAPGVAIES >cds.KYUSt_chr2.39084 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242360821:242365215:-1 gene:KYUSg_chr2.39084 transcript:KYUSt_chr2.39084 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVKAWVADNYTGTMGSMHNSMQVAYVVFSFCAAFLLGGIKAMVVGPVAAALMILGNVGVILVLFPAHVYWTIYSVIKTDRINAGLKLALAIALPVLFGLWLGLGIFGSALVALGYGFSTPWISTFEAFRQESESDKFIHGIVDGTWGTIKGSCTVVRDFADMCFHSYPAYLEEFRQCSDDRQPHSIRLLDVPSCILVGVLGLAVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETLCVPIAGLAILFWPLVVVGSVLLAVVSSIFVGLYGAVIVYQEKSFRRGVSYVVTMVAEFDEYTNDWLYLREGTILPKPSYRKRKASDSAEFSVRPSASVRGGDYPSTSSEAPAMLVPTLVPARSVREAIQEVKMVQIWANMMKSCEMRGRDLLNLNVITAVDLTEWLRTSDSGNETINLGITSYSMLCNVLQSIKAGSAGLLLDNGVEVDQQNRPQDLLLDWFFHPVLVLKDQIQALKMTEQDLRFLEKLTLFVGNSARAQAWDNGAETPQDPVRTAQIQAISRRMIGIVRSMSKFPTYRRRYRHVVKLLLAYSVEREGSIGSSVSGQSVSYEITHLEV >cds.KYUSt_chr3.2238 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12871531:12874097:1 gene:KYUSg_chr3.2238 transcript:KYUSt_chr3.2238 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLSCERRNSVENDVFITEVLDDDSFNFSNKVRKAAFEILTTYKGPCSDSVAAALLGMIKEANLVRELLKLNQDHMDRFARLNICECLRGRLLKFLISIHDRKLLYDTAPGTAISRLLPWKFGDVPFEFYLVYLNEYFYRNTPAINVRAAARTCLEMEDKLSCAGVLTADVDALSKIIKERALLMSESEDESPVAAAGFMCIAEEAELMSELLACETKNSLEEDVFITEVLDDDSYNFSNTIRNIVFDILITYEGPCSDSIAASFLGMKKEASILRELLELNQNHMDRFARLNICESQRQLLRKFLTGIHTFKFPILKTSVRAKESGQADEMLCPLTDDGIAVASTPITSNDTTPDTAISWAPNDGESGQENKISRTTE >cds.KYUSt_contig_1989.35 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000235.1:228426:229506:1 gene:KYUSg_contig_1989.35 transcript:KYUSt_contig_1989.35 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCPYVDRILAVADLEAHQMSITAPKDVAKAEQKHEDFPAACLFTIAALKELTTYPIVKYTPKFTVGEGGVEEKVAFLEGATAHTMTMVSMEALWVDGSSRECKFLVLIKEIDSILTGELVFFLYN >cds.KYUSt_chr3.48021 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300710668:300711858:1 gene:KYUSg_chr3.48021 transcript:KYUSt_chr3.48021 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACFRPASAVDDEDGDGKEAAPLPLQPSASKRLGSRRGSLRSSSTRNNPALQHQARPSNITSCSARAFTYDQLAAATDNFRADCLLGEGGFGRVYRGRLEDGLVVAVKQLDLNGVQGNREFVVEVLMLSLLHHDNLVSLVGYCADGEQRLLVYEYMALGSLADHLLLLDNNNGTTRDPGKPQPVLGWETRMRVALGAARGLEYLHETANPAVIYRDLKSSNVLLDDAFCPKLSDFGLAKLGPAAGAAERSPRVMGTYGYCAPEYIRTGQLSVKSDVYSFGVLLLELITGRRAVDSARPAAEQVLVTWATPMFKDSKRYKELADPLLRGEFPERDLNQAVAVAAMCLQDQATARPCMSDAAVALSFLAEAAATAAQPLPLPPTQPNDEANLEEA >cds.KYUSt_chr2.2587 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15554463:15570366:1 gene:KYUSg_chr2.2587 transcript:KYUSt_chr2.2587 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHAPTPAAHDDGAVDDWARDDAEPSSHGHEAGPSHAHCPEPSCRLGADAAAAPHPAPPAEGVNDIQSSLQAMELQANAAAHEDVQMVAEEDEEKKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERLKGKTVEVGRAYFETENTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVAKLIVVINKMDEPTVQWSKERYDEIEGKMVPFLKSSGYNVKKDVQFLPISGLCGANMKTRMDKSICSWWDGPCLFEVLDRIEVPLRDPRGPVRMPIIDKYKDMGTVVMGKLESGTIREGDSLLVMPNRSNVKVIGINLDEKKVRRAGPGENVRVKLSGIEEDDIMAGFVLSSVANPVGAFTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVDLIEEIDMKKMKETDPKKKKPKRKPLFVKNGAVVVCRIQSLASEFRPNKTYGSSLTQPSTERRQRRGKDEGEKSPRQSTTTCAPMEMPEIPMMRRYRRQRRRVLQHAHWLSQEEVPYYDDSDSKSISHEPSTAAEEEGEDEEEEEKDDEDEEEDEEVEEEEEEDEELAPESSMEEEKDLFDRHRQDWEFTFSHPGLTFDVFTSLSSMVFTHCTPSCLPHEAVLADSLQICSIKVAKIKHLEWPLHVYGLIAARDYVDHKCNILFYRPRSRSQTLTKNDPYLHLTGPRRALLAIDNVHIEIQLRVKGHSKLEDRPLITQAFRYYNGSNRDWFYSYLFNYLCKIQLCFEQLKRSCQATVLAVRVTRGSPFKYGGQVVCCASPYEDNDPNKEIVLFDSKYGVMDSDGTMSMDPDGYLDLSRRVVSVQGRLKIFIHTYSRSGAIRASGRISFRAKDCQTSQAKCFLHKRSKASRGNSAASEGKDQVKINVAWSRFARKISAIELDCFGDLF >cds.KYUSt_chr6.12374 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77307043:77307420:1 gene:KYUSg_chr6.12374 transcript:KYUSt_chr6.12374 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGNTPFLDACFLCRKPIAGDRDIFMYRGDTPFCSEECRRVQMEADEAAERKEKACSGRLTQPVPPASEVQAPDERGKVRAGSILAL >cds.KYUSt_chr1.19077 pep primary_assembly:MPB_Lper_Kyuss_1697:1:112012502:112013517:-1 gene:KYUSg_chr1.19077 transcript:KYUSt_chr1.19077 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRQSPPPITMAMLTPPLAAVVLVLLLLGSAAASAPPPPPCSRSCASLNCDSVGIRYGKFCGVGWSGCEGEEPCDDLDACCRDHDYCVEKKGLMSIKCHEKFKNCMRKVKKAGKTGFSIKCPYELAMATMTQGMDMAIMLSQLGSQKLEL >cds.KYUSt_chr5.22407 pep primary_assembly:MPB_Lper_Kyuss_1697:5:146189167:146191463:1 gene:KYUSg_chr5.22407 transcript:KYUSt_chr5.22407 gene_biotype:protein_coding transcript_biotype:protein_coding MCTAKIHCRGLLCRVCFAVRLHTAKCLPCGFRLLLNRPVDVRVTHYVLKAASGAVQCQLLDMVLARAAALSERAAFGRWLQDGLEIDAVLQGRALLPAEAGVATFKGQASTCYSHVPVDDAAV >cds.KYUSt_chr4.19894 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125105636:125112452:1 gene:KYUSg_chr4.19894 transcript:KYUSt_chr4.19894 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLQPLPTAAAALAQHCIRLLSAAGFSSPSSLRALLPIHARAVLLGIVTNPAFATRLLAAAAPCSLAYARRVFDAAPRRDAYMWNTLLRAHAHAHSHSHSSASDALSLYTRMRAAGVVPDYYTYPIVLPACAAARAPRLGRAAHGDAVRFALAGDAFVHSALIAMYCQEGEVADARQVFLESGRARTVVAWTAMVAGYVQNCLFAQGAAVFGAMVAEGVLPNEITLISFLPCLQGQEWLAAGEMVHGFVVKLGFDANAPLVNALIAMYGKCKSIKAAEELFDGMTARTVASWNTMIAMHEQNGEGIKAIKFFRRMLTEKVGFDTVTLVSVLSACTRSGALETGKWVHELARNHGLDADARIGNVLVDMYAKCGDLAYARVAFDGLRAPGVVPWSAMISAYANHGEPEEALNLFSRMKGQGVKPNSFTFTAVLVACAYSGLVDEGLKHFNSIVREYRISPTLENYACMVDMLGRAGRLVEAYEIIKGMSLRPDKCIWGAFLGGCRLHGNLELAEFVAKDLFQSGSHDVTFYVLMANMYFEAGMVEDAERMRRTMEGMELKKTAGRSSVCTSRERKAIIRTVKRHTVSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKILQQVVEQLYKLVNVIQVEDLSKEPQVERELMLIKLNVEPEQRLEVMGLVDIFRAKVVDLSDRTLTIEVTGDPGKMVAVQRNLSKFGIKEVARTGKIALRREKMGQAAPFWRFSVESYPDLEVKRPSESTLSTASKTTNDESEESLQGDVYPVESYESFSMNQILDAHWGVMTDTDPTGFCSHTLSILVNDFPGVLNVVTGIFSRRGYNIQSLAVGPAEKIGTSRITTVVPGSDESIAKLIHQLYKLIDVYEVQDLTHLPFTARELMIIKVAGNTSARRAILDIAEDVFGAKTVDVSDHTITLQLAGDLDKMVALQRMLEPYGICEIARTGRVALSRESGVDSKYLRGYSLPL >cds.KYUSt_chr7.35008 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218697810:218698019:-1 gene:KYUSg_chr7.35008 transcript:KYUSt_chr7.35008 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPDKSSWPELVGVMATPAATQIAHDRPDVAVEVLPLGAPLMPDYNAKRVRVFLDLYGIVDKTPVIG >cds.KYUSt_chr4.21187 pep primary_assembly:MPB_Lper_Kyuss_1697:4:133294193:133297039:1 gene:KYUSg_chr4.21187 transcript:KYUSt_chr4.21187 gene_biotype:protein_coding transcript_biotype:protein_coding NVVVPLRLPPELDVRVCKALTDVAGSISAVSDVVLTVPGRTIGEARRDVLLFDTRWTTH >cds.KYUSt_chr1.8623 pep primary_assembly:MPB_Lper_Kyuss_1697:1:52838095:52841285:-1 gene:KYUSg_chr1.8623 transcript:KYUSt_chr1.8623 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAVLRFALPPPRASASASASFCTAAAAAAAGNISLVDGSLRAVLRPEVDEADTVAAESAHRWRMVISYDGTKYKGGFGNPNTLPILRPSSGSLSRRGQYAEPLDLRSATQAAEGLSHNRGRGRGWQYQPSPPTIQFFLEKALIHITKLDRKELCLVGAGRTDAGVHARGQVAHFTTPFAYHCLDSFHSAINGLLPPDIRVREISEASPEFHARTSSKSKIYHYKIYNGAVMDPFHNNYAYHSAHKLNPDAMREAAKHFVGVHDFTSFANAVHNDRVRSPIKQISRFDVTEMDAIIQLEVEGTGFLYRQVRNM >cds.KYUSt_chr3.8106 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46750068:46751998:-1 gene:KYUSg_chr3.8106 transcript:KYUSt_chr3.8106 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIMTPQSESEAPSSNGSPLQDLDRLQSSWSRNDDAISVSGSAMGHPMHESDDEFFPPPSQELENPGGDADIYGRLKEALRESQQSKKEVFEESSKRRKAELDLLSALQKAKELEKLYHHELRQRRTIEEALSIQRREMEETRVKCKTLYEELHDAGEEHVILEQCITELKSALDDEKQKLAASKHLVEELRGDKEKLQQERGATAEELRQTKKEQRVSVPAAEAVINTEFSASELEQATRSFDEALKIGEGGFGCVYRGSLRSTTVAIKLMHSKSLQGQPEFNQEVAVLSRVRHPNLVTLIGSCREVFGLVYEFLPNGSLEDRLACTNNTPPLTWQVRTRIIAEMCSALAFLHSNKPQPLIHGDLKPANILLDGNLVSKLGDFGTCRLITQSNTSTTTTRLYPRGTFAYMDPEFLSTGELTPRSDVYSFGIIVLQLLTGRPPQKIAEMVEDAMEEGGLQSIIDPSAGSWPFVQANQLAHLGLRCAEMSRRRRPDLAREVWVVVETLMRPTFAGASSDDDASTPSNFHTTSSSVVG >cds.KYUSt_chr7.2112 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12141897:12157153:-1 gene:KYUSg_chr7.2112 transcript:KYUSt_chr7.2112 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGERRDDEAATTSAADDDDEEEYEEPGGGNHFLGFMFGNVDDAGDLDADYLDEDAKEHLFALADKLGTSLKDIDLTKSSPAPADPSEQDYDEKAEDAVDYEDIDEQYDGPEVEAATEEDHLLSKKDYFSSNTMLASVNSKVSVFEDENYDEDEEPPTDVELPDDNVIPAEQLDALPSNETPAIETVSNSLPQSGESMDIEYEVCQEEVDTEGGHLQSKSGTSLPVLCIEDGSVILKFSEIFGAQEPLRKAKTDCHQRPVNKELRITNVCDIVEDDEEVFLRSTIQDLPSLKHIKMSEDFVESDSDELTSNDTCGFKDLCLSEQPMKDAHKDFPTAQQAPVCPDFYPLEHDDWENGIIWGNSPTNESQASLKSCVISEESADTQSKDTAKDYGYVSRCSDVQSKNNGSPMITEPVTTQPFGCTEIPASASYHYPENSYPLLRKETPQEKNDLDNTVPNNINGTFKIDTMKCLNNLSRLNKELLEGSWLDNIIWDPSQDIPKPKLILDLKDEQMLFEILDEKNGDHLRSHSRAMIVTRSMKTSTVGNFDHNNQAVTLDSQFDISNDKFYSNRKMSQQAKSHTKKRSSMGIKVVHSVPAQKLQFMKPLSEKEIVNFHRPKAKWFPRENKIAADLQGPACGHGPMTVIVMTLAGKGVKLAVNTEETPLSVKSKASKKLEFRPSEKIKLFCSGKELEDEISLAMQNVRPNSILHVVRTEVHLWPKAQKLPGEDKALRPPGAFRKKVDLSVKDGHVFLMEYCEERPLLLANAGMGARLCTYYQKTSPTDQTATSLRSNNDGLGTVLAIEPADKSPFLGDVRSGSHQSCLETNMYRAPTFPHKVASTDYLLVRSPKGMLSLRRIDKLYAVGQQEPHMEVFSPGTKNMLNYLMNRVLVYVYRQFRLRERPGALSQIRADELPIQYPLTEAIVRKRMKHCADLKKIPNGHLFWTQRPDFRIPSEEEVRRLVPPESVCCHESMQAGQHRLHRLGIKKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRVTPKAPVANSSHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPDEQIDKLTRWHRIAMVRKLSSEQAASGITIDEIPVSKFARGQRMSFLQLQHQTKEKCQEIWDRQVQSLSAMDGDDNASDTEANSDLDSFAGDLENLLDAEEFDDEDVGTADLRSDKADGTRGLKMRRCPTQALSNEEIQDDEAEAALVKKLLEESGNDTKRKKQPAGMANYGTSIASTPKESTPRGVKEVEYSFTEGALPSKLKTKPMGDANDIILVKKKNVPGKDGFKEKRQGARGDSLVCGACGQLGHMRTNKLCPKYGEDPETSEMDAISFRHNPLDVVSHVQTKAPSKRLVAKVSPEVPETEGPESIEKIKPVKFRCGPPDKFLERSMSVAGSSVSDKRTMDATDLKSTGKVGKIKIFNKIKSEDYPPDTPKPSVVIRPPAEAEKDAPRKKVIIKQPKGHVDQQRAIDIRSGQEPRKIRKIAELSTLEKANREEDHWFAGEPSQMNSSGRLGSEGNRKNKVMGNDESWRAFQEQRERQEQRLIEARMYEASREEELQKAKKKNKKKKKHEFRDDDVLDHRPYRNDRKVPERNRAAKRRTPADMAEYAPSAKRRRGGEVELSNILEKIVDHLRDQTSISLLFLKPVTKKIAPDYYDVILRPMDLGTIRDKARKMEYKNRDEFRHDVAQIRLNAHLYNDSRHPHIPPLAEQLLEICDSLLLESADLLDDAESAIEG >cds.KYUSt_chr7.27575 pep primary_assembly:MPB_Lper_Kyuss_1697:7:172218683:172220939:1 gene:KYUSg_chr7.27575 transcript:KYUSt_chr7.27575 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSTPEVVKPFACEIVPCFVRRQFNNLVEGPDGTEKHRVDVVHTKGVMLTTAQTLKKEQLLRERGLGLGVVFVHTLTNTDLKGNGLHIPKEVVRSLNISESGEACFFVDDYGYRPQGAYYTTTDGRMKFDSCWSEFTKEYNFESGNVVLILFNQGGRGGIEVSVDII >cds.KYUSt_chr2.28013 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171865509:171879421:-1 gene:KYUSg_chr2.28013 transcript:KYUSt_chr2.28013 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMMRMAQEQMRRMSPDDLARMQQQMMSNPDLIKLASESMKNMRPEDFKRAAQQLNQTRPEEMLNMTEKIANAKPEEFAAMKAQADAQMSYAISGAKMLKQQGNELHSRGQYTDAAAKYKLAKDNLKNIPSAAGQTLQLQCALNLMSCYLKSAKFEECVNEGSEVLTYDSNNVKAYYRRGQAYKELGNLEAAVADLSKAHAISPEDETIAEVLRDTEEKLATEGGGANLPKGVVIEEIVEDSSEPSSTQRSSSTGYTVSEPHERAGNSKQPDSLESLRNDPATIRSFQNYVSNSDVGGLSNLGIGGMSPELVQTASNMIGTMKPEELQKMFQAASSLNGTNPVAPNFGSNMPEISPDMMNIASDMMSKMSPDELQNMLKLASEIGGPSSAPLRPGSNLHPSSRATTSANNFQPPSPQEVTENPDEIVNRRMDQPSPSSPPDMQDIMKNSMKDPAMRQMFASMMKNMSPDMMANMSAQFGMNLSKEDAAKAQKAMSSLSPEDLDRMMKWMDRAQRGVEVAKKTKNWLIGRKGLIIAIIMLILAFILQRLGFIGRDQYWDFSATSPANACTTRIVRAATPLVDSVLGRIREQRGMEGGMDEKAAKMEKLSSAAAFVEGGVQDACDDACSICLEAFCDSDPSTVTSCKHDFHLQCILEWCQRSSQCPMCWQAISMKDPLSQELLEAVEQERNVQADRSRSTTVFRHPMLGHFEVPVGTDDGELEERIMQHLAAAAATRRSHRHARREGHRGRSGAHGRTQIVVFSTTDTTSSDPISSDSRHIGDSEHSPDTVSAPVDSTVEASAGTSVRNNTSANCPDSMSYDESSSINQDGAGPSDPQSFSETLKTRLQSVSTKLGWSGAIIIFGAVMNFETIVRKLHHCLLDFSLALSQAFPAVDGHNVSVFDEGVVLY >cds.KYUSt_chr1.35322 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215311777:215314309:1 gene:KYUSg_chr1.35322 transcript:KYUSt_chr1.35322 gene_biotype:protein_coding transcript_biotype:protein_coding MWEVMQTCVIMHNMIIEDDRKNHARTHVGPYECQGPLAEVDHELPADFADFLAMHAEIRDSNIIYRCSILHRSWSSVQYRQKQQCTENTPINGRVSSRRRHGRDRDLLAPARDTVPAVPAQAVRWLLDTRVDSPWRSRRTHAVQSEAVRRPLLASFPKSGTTWLKALAFATLNRVKHPPRHADHPLRRCNPHDCVNYLEWDDHGDVLEALPSPRVLATHIPYTLLPARVTAVDGGSGGRIVYICRDPKDALVSLWFFTKKKLADEAYTVEEAFEFFCDGRAFYGPQWHHVAGYWEASRRRPEEVLFLRYEDMLRDPAGNVRKLAEFMGCGFSGEEEAAGVVQDIVEICSMDALKNMEGNKSGSQRTFRNETFFRKGVAGDWSNYLTPAMAERLDKIAEDALRGSGFTFAATEST >cds.KYUSt_chr4.48831 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302372923:302373764:-1 gene:KYUSg_chr4.48831 transcript:KYUSt_chr4.48831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA-binding protein-like [Source: Projected from Oryza sativa (Os03g0171300)] MSSRRSRSRQSGSSRITDEQISDLVSKLQDLLPEARLRGNDRVPSSRVLQETCSYIRSLHREVDDLSERLSELLATSDMSTAQAAVIRSLLM >cds.KYUSt_chr5.42028 pep primary_assembly:MPB_Lper_Kyuss_1697:5:264986526:264988239:1 gene:KYUSg_chr5.42028 transcript:KYUSt_chr5.42028 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGEAAATTPSDGFFLLLCPRCCGVVSDAKVEQRGFVQEYVQTVVCTGDSWKMVHLVVGSWLKADGSGSLLRHRRAWVPVLKFDGVSRDMLPWSDSFNGNGLSYGKLHWRGGAQVVVAAPRAIIFPRLQPHLDGYVEKLVGMVWSSRISSGCGDLRIVKELHRQFILLLRLRDGCGLFDPFGDFPSAINNVRLAQGGAAAAARRRHGLEVEDEGLLKDLVVIFVFLELLCTVRCFF >cds.KYUSt_chr1.16639 pep primary_assembly:MPB_Lper_Kyuss_1697:1:96651799:96655687:1 gene:KYUSg_chr1.16639 transcript:KYUSt_chr1.16639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secondary wall-specific cellulose synthase, Secondary cell wall formatio [Source: Projected from Oryza sativa (Os10g0467800)] MDTGEPKAKAAKACRACGDDVGTREDGSPFVACAECGFPVCRPCYEYERSDGTQCCPQCNTRYKRHKGCPRAEGDEEDAEMDDFEEDFQAKSPKKPHEPVPFDVYSENGEQPPQKWRPGGPAMSSFGGSVAGKELETEREMEGSMEWKERIDKWKTKQEKRGKLNRDNSDDEEDDKNDDEYMLLAEARQPLWRKLPVPSSLINPYRIVIVVRLVVLCFFLRFRIMTPANDAIPLWLVSVICELWFALSWILDQLPKWSPVTRETYLDRLALRYDREGEPSRLSPVDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRNSCYVSDDGASMLCFDTLSETAEFARRWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINGLVAKAEKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGNELPRLVYVSREKRPGHNHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDLHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNRQALYGYDPPRAEKRPKMTCDCWPSWCCCCCCFGGGKHRKSKDKKGGDGEDEPRRGLLGFYKKRGSKKDKLGGGPKKGSYRKQQRGYELEEIEEGIEGYDDLDRSSLMSQKSFQKRFGQSPVFIASTLVEDGGLPQGAAADPAGLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCTPTRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLIAYCTIPAVCLLTGKFIIPTVSQVASFVRNFPWSRVPLYRSSERALTLRVHTNVQLNNLASIWFIALFLSIIATGVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGFLKVLGGVDTNFTVTSKGAADEADAFGDLYLFKWTTLLIPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSVLLASIFSLVWVRIDPFIAKSTGPILKPCGVQC >cds.KYUSt_chr3.1802 pep primary_assembly:MPB_Lper_Kyuss_1697:3:10416872:10419603:1 gene:KYUSg_chr3.1802 transcript:KYUSt_chr3.1802 gene_biotype:protein_coding transcript_biotype:protein_coding MYGETEPAASERTAFRQAEKKYKLYKPPSTKGRGRKKPIGGGVGDLSEVVDFHALLSGGVELPAGICRCDCPGFDLPVFRFLDRPGFYFIPGALSTEQQCFWIRESLKTFPQPPNRTNLTALYGPIFDLLTAAENQKVLLEVQNSDGRESNEQSNSGEKTRSIDFKFVEHSKIEKGETRRSTTATTLVRKLRWSTLGLQFDWSKRNYDVSLPHNSIPDALATLAKKMAIPAMPSGVEFSPEAAIVNYYGPSDMLGGHVDDMEADWTKPIVSISLGCKCIFLLGGKTRDEVPRAMFLRSGDIVLMAGEARERFHGLCDNHFASLTGVPRIFTESDQQEISGLVSQLSGDDDRFILDYIHSSRININIRQVY >cds.KYUSt_chr1.8739 pep primary_assembly:MPB_Lper_Kyuss_1697:1:53625856:53626788:-1 gene:KYUSg_chr1.8739 transcript:KYUSt_chr1.8739 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVHIYMALHAYTYSIISLVASAQNKTSIAHYYKHAITSPYDQHQEAELSSCRISGSMEKKKPAASNYLCLAPIFAACVPSAKQQSGADDAARNRLSFSFTNKNHAVGKDGRQHPEPEEHQYSDSIIDPAASIVAKKDARQHCAIIVGTIFGSRVGRVTFCVQRDPAVPPPFLFELSVPMQSIAAEMGSGLLRIALECHRSSATDGRSKSVWTASCNGRDVGHAMRRPPTDWERGVLESMRAMTTGVGALPPATAQEERSGEEVLYMRATYERIVGSKDAVSYHLISPRTAGGSPPQELSVFLLRTRGE >cds.KYUSt_chr3.39515 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248960091:248962799:-1 gene:KYUSg_chr3.39515 transcript:KYUSt_chr3.39515 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAEPAAEVPTARNRKVVLREYITRAPTEDDMLLVDGGAVPLRVPDGAAGPAVLVKNLYLSCDPYMRGRMRDNHSSYIPPFKPGSVIEGLGVARVVDSTYPGFSAGDIVSGMTGWEEYSLIEKPEQLNKIQQSDIPLSYHLGLLGMPGFTAYAGFYELCSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKDKFGFNAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGSMLDAVLVNMRMHGRIAVCGMVSQHGMTDPVGIHNLFCLVPKRIKMQGFIQSDFLNLFPQFLDDVAKHYRDGKIVYVEDMSIGLENAPGAFVGLFSGKNVGKQVVCMSQE >cds.KYUSt_chr5.28912 pep primary_assembly:MPB_Lper_Kyuss_1697:5:182986300:182986851:-1 gene:KYUSg_chr5.28912 transcript:KYUSt_chr5.28912 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGTEAFPDLGAHCDREDCNQLDFLPFDCDGCGKVFCAEHRTYLGHGCARAADQGRTVVVCEACGDAIERAAGAGTDAEILEAHARSRRGCDPARKLKPRCPARRCKETLTFSNSSVCKGCGHKVCLKHRFPADHECATRGSPAGAAAAARRAGDCGRDAQKVRDGGGWALPPLIRNFRMF >cds.KYUSt_chr1.19407 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113943897:113946495:1 gene:KYUSg_chr1.19407 transcript:KYUSt_chr1.19407 gene_biotype:protein_coding transcript_biotype:protein_coding LATSMEDKPAAGYRHGPPWVFKGSALYQLHLVKAATARAFVPKDLRLVEAFGYTLGGMFLARYHDSPAGQFDELVVIAGIVWNPPTSCAWAARVLVNSAEACRHGRKEVGLPSHVAKFSQTEASELRNKPLAKPNGFLNLLGMGSTFSKKESCRGFEISETTGSSTRHLCNISLPATGVAAGSHKDNKWIGPAIRMSLPSFSGQTEDHPDLLKYSCKVECRVRPVSPANIWSPRTAEPQECSDGKNGSVVSESDAQRQSVLVLLSRPILALEFSSLLMHVDAPKTVAPHSKKKEVRYSST >cds.KYUSt_chr5.20731 pep primary_assembly:MPB_Lper_Kyuss_1697:5:134686801:134689737:1 gene:KYUSg_chr5.20731 transcript:KYUSt_chr5.20731 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVAIVNTLIKPLYNIVFKHLMYPFTASRNVKHLKEATQGLIAKGSDVQHEIEIAERNGMKAKNEVRRWLENVDAIKSDEEAISQVYDDRCNVLGCISINCWSNYKISKSAEKELLKAQECAKIDISVVAVQPLPPSVREMPVSSTGLLSEELNVQKAAQCIRDSSVGMIGIWGLGGVGKTHLLKKINNSFVGDSFFDVVVFVTASKECSAEKIQAEIVKKLGLRNDDDIESQANIIYDFLSRRSFLVLLDDLWEQLDLQATGVPYPLGFVGEFKRKVVLTTRSRVVCGAMNVRKEIKVDCLRQDEAFQLFQENVGQEIIFSNPRIGALSKELVKELKGLPLALIATGKAMYPKKDPSEWETAIRLLRRSGHDVGDPTSMENTIFFKLKLSYDSLGNDTMRRCFLICSLWPEDSPIAKDDLIQCWMGLSLLNKCDIQSSYSEGYNIVGHLQAASLLEATEDSSEHLKMHDVIRDMAIWISCGCGENNNKWVVHAEIGKTASRKCIPWNRVEYLSMMGNGLEELPYLGDDGGVNENSLGSVRSKCWLGSCCTELRTLLLQDNKFSEKALGNIYLFTTLTYLDLSHNQIFVLPLALCALGDLEYLNLSYNYISELPKDLKHLIKLKFLYLRGNPIHTVPKGTISRLEELQVLDLLSSQVLSRATYTLSLLQELDMLDNLKAVEIGVKGDATYELVGKFPRLPIRSIHLSRLIGTPSFSFLDGFFSSSSVQTSLHDLTIYKCYMQQILIRNGIGGAIFQFDILKSLTLDSLLSLKRIIWKRVAPESLFPRLTYLAIVHCQSLRCITWAMYLPCLERILVNGCGNMSQIVRTKKKDNVDTEYKGQESPKAIQSFPCLKYFELQSLRGLSSICDPEVTFPSLETMEISFCPKLKRLPFLTKSMPQRLRNISVPLVQMKWWETLEWADEDVKRAIQPLVNSDISDYLEQNPIYLVSMLITIFIAAIIVLFLQSNLTPLTYLQ >cds.KYUSt_chr7.15782 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97993118:97993366:-1 gene:KYUSg_chr7.15782 transcript:KYUSt_chr7.15782 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHVSSLADGDVEKRRGGESTHRREMRGGILHECELVGAPRRETATGWRSPAVSRSSPCRIGQGRIRQEQVGHRNGEANSS >cds.KYUSt_chr7.38281 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238697091:238703053:1 gene:KYUSg_chr7.38281 transcript:KYUSt_chr7.38281 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSATCLFCDLDEDTDHLLFHCPRATEVWAFFHRDFAPGVVSSFLEFWLERCSTFEETTINTAIAWNIWKRRNARTFNGVLESLSLVSNRRVPTAGGLVPPAGAAAATSLDLSIRRYRRALPPPRQYLATAPYYAQGDLQAVESKYTNGVPLVLDWAVGNGSYSEAIKMGPQYACQAMNSECVNVSNGPGYRCNCSQGYEGNPYLQGGCQDINECESPNKSMYPCLGKCTNTDGNYTCSCPSGFRSDDPKSIPCVRADQNQTLKVVLGISISVVFLMVCIFALRAEYQKRKLAKEKERFFDQNGGQILYHQIMSKQVDTLRIFTQEDLKKATNDFDESRELGKGGHGTVYKGILKDNRVVAVKRSKIMNMAETFEFVQEIIILSQTNHRNVVRLLGCCLEVEVPILVYEFIANGTLFQFIHRIYRSPHPSLDTRLRLAQESAEALAYLHLSMYHPIVHGDVKSMNILLDDNYMAKVTDFGASRMLPKDEVQFMTLVQGTMGYLDPEYLQERQLTEKSDVYSFGVVLLELITGKMAIYHDGPKEGKSLASSFLLAMKEESLEDIMDPSIVHAGMEILLGEVTELGRMCLSSRGEERPSMSQVADKLKALRST >cds.KYUSt_chr2.8391 pep primary_assembly:MPB_Lper_Kyuss_1697:2:52823792:52827586:-1 gene:KYUSg_chr2.8391 transcript:KYUSt_chr2.8391 gene_biotype:protein_coding transcript_biotype:protein_coding MSITGEVPGEGFDGEEVFIDDEDIINEIPLDDEDLPDQDDDDEQEEDMMDEVEDHSAYAFHGHTDEVFAAACSPADASLVVSGGKDDRGFLWRIGSAEDVQELSGHKDTVCTVAFSSDGKLVACGGMDGQINVWNTATRTLQGTLEGSESGFEWLKWHPRCHLIIAGSEDCNVWVWNADNNAFPSTFAGHSSTVTCGDFTPDGKLICSGSDDATLRIWDLKSAQCRHVVRGHGYHTQGLTCLAITWDSQSIVSGSQDNSVCIVNINSGQAVGSLVGHTNSVECIGISPRYNWVATGSIDQTLIIWDLTHQAIRSTCEHDEGVTCLAWLGSSKYVASGCVDGRVRIWDSLSGELARVFSGHCDAVQSLAISADGNSLISVSSDKSARVFDISMFK >cds.KYUSt_scaffold_1259.280 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1743626:1746494:-1 gene:KYUSg_scaffold_1259.280 transcript:KYUSt_scaffold_1259.280 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATAMAMATTSPSAPVVPALFSTLPQRIRLCPKPLLSTTSRRLVLPVPKSSSWDGSASEQEDVEGDAEDSAEAESGEDVEGDEDDEKPQPEAVSSSGFQFAAPPEGYIEPAPFDELPPESPEDVAAAYEAMYGPAFSGESLMGNNVFEVQVVDPVDMDRDQRPNDDFSERVVQVSRVTKVVKGGRQLSYRALVIVGDMKGHVGVGVGKAKEVSEAITKAAMNGRRNLVTVPLTKYCTFPHRAEANYGAATVLLRPACPGSGVTAGGAVRVVLEMAGVENALGKQLRSKNLLNNARATVKATQMMRQFSDVAAERGLPMEELWK >cds.KYUSt_chr7.40410 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250921677:250922813:1 gene:KYUSg_chr7.40410 transcript:KYUSt_chr7.40410 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDGEAGVKKGPWTPEEDKLLVDYIQEKGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFTDDEEKLIIHLHSILGNKWSSIATKLPGRTDNEIKNYWNTHLRKKLLGMGIDPVTHRPRTDLSLLAGLPGLLAAAGNNFGGAGAWDMNALRLQADAAKFQLLQGLVRALATAAAPAPAPTAAAGMDNLMALLAASGNSGQHGTGVDQSLLLQQCQWDGLLNLPALTSSAPTSSMQISGLFNSFGASAGCGQAGDGLSSTELGGHGGASGSNVTDAVAPRQMVAMDQECNNNAGGGGVSCEETPASSPFDGLDSLNLMVDDINTDGSWKDLLE >cds.KYUSt_chr6.24232 pep primary_assembly:MPB_Lper_Kyuss_1697:6:153061428:153062273:-1 gene:KYUSg_chr6.24232 transcript:KYUSt_chr6.24232 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGGEGGDLAAWISPDVGSFFFLLLLRWLEGRGKQVADASFNKKAAVHHLLQCGSGGQRLFLAGLGGEGENARASENNIVDELLASRGGEEERSHAVTSSAASRRSYLRWIRSVLRSHLLCFSLACRGGEEGVATGVAPHAYRSQPLPKRCYGAATASSTSLLKWRSSWEALHQGTYAGVIALPLHHMAEWRPFSRRSSSIGRLLYGSSSTDPAVCAPNGLFPGGVDGNRWRMPFSGDDQGPDRVFSFRVRILCENFKGLSDIFCSSEALVIWSVTVLT >cds.KYUSt_chr3.21072 pep primary_assembly:MPB_Lper_Kyuss_1697:3:129268178:129268480:-1 gene:KYUSg_chr3.21072 transcript:KYUSt_chr3.21072 gene_biotype:protein_coding transcript_biotype:protein_coding MARATRGWQSCCNLWPEVLHAAGGTAARVDHRRYKGRQLCYDFGPAVLYAARSAAASGAARQVARDGCGAERMASEDRGEVWWRPEMRAGRSAFEARDGG >cds.KYUSt_chr6.17168 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108107192:108107572:-1 gene:KYUSg_chr6.17168 transcript:KYUSt_chr6.17168 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCRRPPDAHTRRSSQKQHRTPQPTAAGALDQDGSRRRFGHQPPRRRGTAPWARRSPDRPCPQRPPCLLHTTDLSGRQRACPEPSQTSALNNRRPRPPQPEAVTLGVRSEAIRSFEREGPAPTLA >cds.KYUSt_chr1.17105 pep primary_assembly:MPB_Lper_Kyuss_1697:1:99280315:99284070:1 gene:KYUSg_chr1.17105 transcript:KYUSt_chr1.17105 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGASARAAVPRLMAAKAESGKSFSDIAAETGLTNVYVAQLMRRQAQLKPETAPALRAAVPALTDELVEQMMRPPFRSYHPDIVHEPAIYRLNEAVMHFGESIKEIINEDFGDGIMSAIDFYCSVDKVQAADGKTRVVVTFDGKYLPYTEQEPTGVSSSVKKLRGDATPQVAADIDSSRCTEDSTNHQDDTPKEGNDADAPPPPDPLTGSRVSPVDEKGKDGQCHDDASKEVTTPSGVAVVSITHGFLLPCRRPPRLGLGDAGAEGAGALTSGRKGCIPNGS >cds.KYUSt_chr7.28830 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179496947:179503654:1 gene:KYUSg_chr7.28830 transcript:KYUSt_chr7.28830 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPEGPQTERTLLPFPADRCRLSGAQGQTLAVTAPLHRRQLFRTTAAEAMADATSPRLDEDETFGRDFNASPSRSAAPARSGEKRPFGDLDEDEDDVFASKKGKKVEESAPGAATGMILSLRESLQSCKENLETNQVELEAAKSEIQKWHSAFQNIPAVPAGTNPEPVSVVTYLSNLKSSEESLKEQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLAEEKEKKIKELQDNVAAVNFTPSSKHGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKTQNNELRNQFDALYKHMDGLTNDVERSNEMVSILQEELEARDVELTRLKEMLSQKGATEDTPAEEINEAADDMNATTSDPQPIKLEA >cds.KYUSt_chr2.54217 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338323844:338324578:-1 gene:KYUSg_chr2.54217 transcript:KYUSt_chr2.54217 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEKNMLKVGLERLPEEKMHNVMQIVQKRSASNPELLGGAIELDIDEMDIETQWELDRFVTNFNKAPNKSRRAVVMNGGSAEVGDAAGSEVVNGAVPTFVDNADVVVSEKPGKTTVMTEQVDEYVHIEDEMPTATYQSMEIDKGSEVVSASGGSGSCSSSSSGSGSGSSGDSASEAGNSRSLV >cds.KYUSt_chr2.39176 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242842206:242850799:1 gene:KYUSg_chr2.39176 transcript:KYUSt_chr2.39176 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVSWYGPLIDLSAAAGHVGGLVQLLASVRRVLPHQEQNAATGRTYERVILEVGDDTRSSFSVSLWSNSTSSTIVAGDVLLLQNIKIVEFRNGLEGRATQTSAVQVLLNSKDLTQPEGIGELIINCKVGAATRSKLRRLSEWILHTKRACTENLHQVMLKNWKEKAENNSADLSISELISQSKPCNFNISASIGKIVLMGSLTPLKEQLTVIEKLSLNEHNDIVRDFITTGCKLCGLPLYRKNLHGDSTCPIDCPDNPKYVHAVGQIYKPFMIYVRDQSGQVPVLVRNKVAETLFGNIIADDVSECYKSRHCMLQEQSILFPDFSQPREEYKAEETAEAAIEVVDRVAEAAEKIAEDVSEAFPENQTIKKAASRIKAVADEIEEDADKAEALLLSYVMFTSSFLLQSVSGVFSSGTIDIKSLLGEVENFSGTVPVSDMRTVPDAPVSGVTSLRLLRQHLWRRTGSLLPFRIRVASLWHVIFRACIIAPHGGPRTEPSRPSGYRPINTFSYIRRSGSFFLLSSHSQLPCAHRAPSPLAPSPSPFAARASPPGEPPPLLRQASPNFAARRFIAVRLLLLRRSSSGDLVFASSSPDFLNDCELAAPPVTFSFSFSSASG >cds.KYUSt_chr7.25656 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160060656:160060982:-1 gene:KYUSg_chr7.25656 transcript:KYUSt_chr7.25656 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVPEQTEATGEASTPPPYTDLTPSKVVSSGSAAHKVASDSSGRAKCKNGGSTSSPMTKKVLQFPEDD >cds.KYUSt_chr6.33894 pep primary_assembly:MPB_Lper_Kyuss_1697:6:212392935:212394787:-1 gene:KYUSg_chr6.33894 transcript:KYUSt_chr6.33894 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMVDHEMESNVKPAHGGQTDDESTSVVHEESDEDEEFRDPQANIEEDCNNSDSDAKGTEEPPEKMDLSCFSGILHRDPDEQSRCCWTIPDSTLFKVRSKNFPTDKSKIPAPSYLMELAAIDWFKDTKRMDNVGRQKGCVAQVAAEKGMHTFVVNIQIPGPIHYSLVMYFVTNTLEEGSLLQRFFDGDDEFRNSRLKLMPGVPKVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQAITYDELPEQVIGAARLAHVEPAAAIVPDLDNNSDSKDSSNDDNNNNTSSEDDKSKKTN >cds.KYUSt_chr4.16413 pep primary_assembly:MPB_Lper_Kyuss_1697:4:102038635:102044004:1 gene:KYUSg_chr4.16413 transcript:KYUSt_chr4.16413 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNIITEAGISTRLNQWWSSTPFITSGVTLICAAIYLVCLLIGYDSYSEICFLPSAVASHFQVYRVYTSVLFHGSLLHVLFNMLTFVPLGTELERIMGSVRLLFLMFLLATTNAIFHLIVAFLVAYNPISPVSYLVDECSIGFSGVIFSMIVIETSLSGVQYRSIFGLFNVPAKWYAWILLVLFQFLASNVSLLGHLSGILSGFAYTYGLFNYLLPGPSFYSSIEGLSMLSACVRRPGFILCTGGTTYGQLPTYSNTSTAPSSLINRNFWRNISSWMPSRQTSTIQTSSTQEQEDPRFPGRGRTLASTGTEPTAREASANLHARHTALNTVRADATVTADQTDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQD >cds.KYUSt_chr5.2901 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18980385:18982479:1 gene:KYUSg_chr5.2901 transcript:KYUSt_chr5.2901 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPNSRISCRDCFQTTAELKRERYSETYERRMAAEESDRIATDCFSSGNKLGEGGFGSVFRGFITDANLHIAVKKVSKSSRQGWKEFVYEVKIISQLRHKNLVQLLGWFNGGNDDDLLLVYELMPNGSLDAHLYKPDYLLPWTVRYEVALGLGSALLYLHQEMEQCVVHRDIKPSNIMLDAYFKAKLGDFGLARFLCDGRGSLTTGAAGTLGYMDPRCILSGMASMESDVYSFGVVLLEIACCRRPAVARGDEGDVIHLVQWVWEAYGQGAILEAADVRLEMERVMMVGLWCGHPDPSLRPSIRQAVSVLRLETPLPSLPAKMPVPAYIRPPLADDSFGSLENTGGISNGDASMTHSSRNKVE >cds.KYUSt_chr2.51606 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322435721:322438008:-1 gene:KYUSg_chr2.51606 transcript:KYUSt_chr2.51606 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSKSVPQEQLPSDDLHPPPMPVINLGHLILEPETRSGVVEDIAKACHDLGYFQVINHGINQSVMDGAFEAALDFFKLPSEIKEEFASDDLRQPVRYDTSSKDGISMSRAFLKHYAHPLSDWMQYWPEKPPIYRKHMGNFAVEVRRVALQLMEAILEGLGLGKDYQHEKFEEGLQLMSVNCYPKESEGDVAIGLAPHSDYGFLTILLTSCRGLEVVDRNSNSWKVVQQLPHALNVHVGDHMEVLSNGRVKTVVHRALLNPEEERISIASILGFALHEKVACAKELVDEQNPKKYKESSFNDFLDFLTSNMDNKDRNFLGSIRM >cds.KYUSt_chr3.35549 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223235519:223243507:1 gene:KYUSg_chr3.35549 transcript:KYUSt_chr3.35549 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNVIGQQVSEDGAKDFDKPMDHPDCSPVLNNTNDKEKDDPKDVDMPEVLNVPLISNGGDDKMDSHQTKTLVANEELDEKNRVSLQDQVSTQDDHVMSNLRRQEETVVPVGSTIEDMTSNKDTSLSDEKFKQLVNESAEGSEASTISNPATLLQENFEGHPKDDEESCNQEVAAVVGNLVGEDAVENLEEGQTQVSTVCDQSTPSPIQGETTSDYRDAATKEVVMEDEPRERGNPSYVRAEDDANQETSKSEEKQKICEIGKSCKTTAINIVLENGHEVLEDKKIHGLENQEEEFPKCEAYGALDTGEAVSQLQSALAVPIATNGREFQKHVLDIASVGVISDPLSAEMEHNVIEITEKAQGGTIGKYVAKKNEEDNFEGGEKSNGIHDFVRIVNHGEEPKCLGPLPILNEEKVQEEVVIEEFAAVMTATSFALQLAEDSVKEDIKPTSSDSNEGTTTSTYEAKTTDTQETMNNSQCDQTQQVLLEELDVVKFENSETLSTCMQLVECSSATELIFPNVSHKEREGASSKDASFTSEISEQKVTGVVAFAAESKQNDLIANADKFSQEHCLVEKPTLGRHAGEETPLLLSAESISSSSYSGEQDMKVVKDIPITNIALMQAKHEAVEESEKSPLLSPREPSEGAFRAPNQSARNNRPLHSLVSEDRVGRSSLKGRSWGFCFFGSIHMSSEESLSASSSSSHHSESSDGLTADLARMDTDARNDQEAGSTSQAPGIDISGITRGAWKGSDVTQHEIDWLYRSRRIPEGVSCRLPGDKIEPVLRPGEYVVFLAHFERGFSLPASDFFRSFLDFYQLQPHHLPGNAVFYLSCYATFMEAYIGIRPTRETFARFFALRINSVQGKEIPKPKPPVQCGSCIIGSRQGSPLFKFSGLESCRLWQGTFFYVKNDGAADLINLPAFNPAPPRKINWSFYPGTNHIETNRVVRFMEKLTKDTNICSDDIIRTFISRRVLPLKRRAHKMSEMYGPGDPTKITGLPLSKEDIVFKARQICQTTMPDDWEWGFWPLSSTNPPTQEAKDRFPRIEADRRGPCRKRPQDAVDPDPYIHWTDLKMGRTHTSRPGNSSSEAPGSTDDLTVLEKNKAPAPDAGSSQAPPAKRFRTEAFAGKVAGKRRYKGKTMPVSSGPALKLGPRTESSEGTARTSPPPHPSPAPSDAGNTSAVTP >cds.KYUSt_contig_786.255 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1425018:1425666:-1 gene:KYUSg_contig_786.255 transcript:KYUSt_contig_786.255 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEVPGCHLWRRVCRRREEDAKLEHVTSTIHCGRRADAITVLSPRPQSWWRGVAEPQPDEETLKGEHMLLPISCLWWEARGCCHSARPGAEQRLFVVEMDFHVQEFLTPHHWYLWSPWLLQVAGFAAHVFAALAA >cds.KYUSt_contig_915.281 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:1837875:1841772:-1 gene:KYUSg_contig_915.281 transcript:KYUSt_contig_915.281 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSCLPPSRGASSSSHGCCCHRLLLASTSLPATRSRGARLTVALRSSLLLPAGPHSRPRNRRVACRAADETEPEPVSNGDEEKKEVAEDASSPSVDSVVAEPNATAETDSSADNVPIPSLLLQFLKSSLKCIMPKLSPVCLTYQSICSTKDESPNAEPLSISNTLRNISRLTGTAAASDAKVMLVVEQVEVASTSDTVQNIDKDATAGTDSAAQAQVEVVDVASGSPLPGMKQQLEEAVTIPKETVDILKDQVFGFDTFFVTSHEPYEGGILFKGNLRGVPAISFEKITTRLENKFGDEFRVFLLINPEDEQPVAVVVPRQTVEPVTGAVPEWAAAGAFGLVTILTLLLRNVPVLQDNLLSTFDNPELLKEGLSGALVTGLIVGVHEIGHILAAKDAGVKLSVPYFVPSWQIGSFGTITRIVNIVRNRGDLLKVAGAGPIAGYTLGFALLLLGFTLPPSDGIGIIVDPAVFHQSFLLGSLAKLILGDVLKEGTQLSINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKVSSRLSSVTIGLLGLSSLFSDVAFYFVVLIFFLQRGPIAPLSEEITEPDNTYIGLGIAVLFLGLLVCLPYPFLFDPSQVTDFDF >cds.KYUSt_chr4.22320 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140195116:140195826:-1 gene:KYUSg_chr4.22320 transcript:KYUSt_chr4.22320 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPEQIVATQATFAAKYIRGQRDRLLEIQVQLQRIPGDYSIEHVKKNSIEHVLWELDEVCSKGLKGASFYLTVCLKKAAQRGARLAPDPSFSDRHQLFAAVAASRRLPARPTTQAEAYARIQGALYAVKLLQEHILPCCINHLTDATGTGSSRIEETRHLLDRVCTIVGNLAVDHIELAVRAISRFVHPKEVASNNLFADKYINTGWSLQEIKIKRALRGLDRDDIFKQLIRRT >cds.KYUSt_chr4.22068 pep primary_assembly:MPB_Lper_Kyuss_1697:4:138774094:138776332:-1 gene:KYUSg_chr4.22068 transcript:KYUSt_chr4.22068 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSNRGKAPGGKPQFLKVLFKEFMEKMPIPAKFTRGHLAAEPGLRRATLVSPLKKFWHVDVVRDGHGGEDDVYFAGGWAEFVRANGLEEESFLVFRYEGNMVFTVKAFDTSGCIKDYGDDVAGAGASTVAAATLERTLPRKRSGTGSGSQTTSKTHSGMNANKTRKISERKVTGDGDIPAKKQCRSQRILMEDNDDEQDVTAEKNTKADTHSDSGIATEAKESDYTSILPVYSKNIGKKFCNANGITSNRLMILKDSGLRSWPVKLTLMSDQVRMKAGWSHFSSHHRIKVGDVCFFHLVDENTFNVSIKRAP >cds.KYUSt_chr1.37071 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226443748:226444071:-1 gene:KYUSg_chr1.37071 transcript:KYUSt_chr1.37071 gene_biotype:protein_coding transcript_biotype:protein_coding MMALGCMPAAPTPDVVTASCGDEDVTGLHTGSPATMTPSLWTSLAEMRMSPGNTPAAPTWASSSSWLVGMGAAPGCMPAAPTPMDVFGAARVCMHEEESQERGERES >cds.KYUSt_chr2.47029 pep primary_assembly:MPB_Lper_Kyuss_1697:2:294131745:294132476:1 gene:KYUSg_chr2.47029 transcript:KYUSt_chr2.47029 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHRRAAACHQLPTAQLHSPGSSASSADSAPWHRAPATPPLLPFDTNDADEMLLLDLLSHSQNAPVQAPKTETPMKQEADEGHTGGCGRAFRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFDSPEAAALAYDQAAFAMRGSAAVLNFPADHVRRSLDGAGDDVCGRADGVSPVLALKRRHSMHRRRPLAANRKPKVARRPECVMELEDLGAEYLEELLGAYQDNTTSTATISWCWSQSHHSV >cds.KYUSt_chr4.14090 pep primary_assembly:MPB_Lper_Kyuss_1697:4:86683919:86684593:1 gene:KYUSg_chr4.14090 transcript:KYUSt_chr4.14090 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFKTLAAGLFALLFLAPFILATDPDPLQDFCVADLDGKEVSVNGHPCKPMSEAGDDFLFSSKLAKAGNTSTPNGSAVTELDVAEWPGTNTLGVSMNRVDFAPGGTNPPHIHPRATEIGIVMKGELLVGIIGSLDSGNKLYSKVLRAGETFLIPRGLMHFQFNVGKTEASMVVSFNSQNPGIVFVPLTVFGSNPPIPTPVLTKALRVDAGVVELLKSKFAGGF >cds.KYUSt_chr2.6218 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38745406:38757679:-1 gene:KYUSg_chr2.6218 transcript:KYUSt_chr2.6218 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPDAPYLAAGTMSGAVDRDFSASANIEIFSLDFQSDSPDLRVVAAAPSPDRFNRLCWSRPGAVEGDSFALGLVAGGLSDGSVAVWNPLSMISSKGLAEDAMVARLEKHNGAVTGLEFSELTPNRLASGANEGDVRIWDLKNPSEPEVFPPLKNVGSSAQADITCLTWNPKFQHILASASSNGITVVWDLRNQKPLTSFSDSNRRNCSVLQWNPDMSTQLIAASDDDNSPSLRVWDVRKTIAPVRELFGHSKGVIAMSWCPYDSSFLLTCGKDNRTICWDTVSGEIISELPTSSNGNFDIHWYRKIPGVVAASSFDGKIGVHNLEFSGLYAAGDSAVGASARPRAPAPKWLKCPTGASFGFGGKLVSFHPAQGTQAGTSEVHVHNLVVEQTLVSRSTEFEAAMQNGDKSSLRALCDKKSQESLSDEERETWSFLRVMFEDGDTARTKLLAHLGFNPPQEPTENATDELSKTLADTLNLDHGTPTDNVDAQFLVDNGDDFFNNPQPSETSLAEESISADSQEIEQEIPESVVPSDPSVDKSIQHALVVGDYKGAVNQCLAANRMADALVIAHAGGSALWESTRNQYLKNSVSPYLKVVSAMVGNDLMSFVSTWPLNAWKETLALLCTFARKEEWNVLCDTLASRLLSVGDMLAATLCYICAGNIDKAVEIWSRNLRSEDGGKTYVDLLQDLMEKTITLALATGHKSFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIAYSTEENDAARSTLPEISDNSSSYIQNQPSYSIDPSQNGFQVPSSYSTDTSQNPYKVPQPYSNAPSNAYPDVYPQQPNTTYSRFSSGHQAHTNMFVPQTSPADIQPNPTPLPVPQHTVKGFTPANVPSLKKVDQYQQPSTLGSQLYTAPTNSSFMSGPSAPYPSGPPTTYHQPVPPTQYPTVPPVPSAPGTNPNQMFTPAVPTPNQMFTPAVPTNPASRFMPSNNQGFVQHPGPSPVQPSSPTLAQPPAQPAVAPPAPPPTVQTADTSNVSAELRPVIATLTRLYDETSKALGGTQAKKREIEDNSKKIGALFAKLNTGDISPNVSSKLIQMCSALDNSDLATAMQIQLQVYKYHKAILYLVKRHASSLSARSVAAGPSIFLRYFSRILFGYYYGLCLRPMVLAWPHKNHGVGDCASFTLPYVGTMHYDVDLFHFSLPHISSAGFNSQTPSQQPVAASR >cds.KYUSt_chr3.3946 pep primary_assembly:MPB_Lper_Kyuss_1697:3:22391179:22407162:-1 gene:KYUSg_chr3.3946 transcript:KYUSt_chr3.3946 gene_biotype:protein_coding transcript_biotype:protein_coding MRATTTQRGAPNSARRARAAAEVHGTAAITMGSTPRASGGPVTRAAAARSRANDAPRPPAPGEPGLRSSGGERGPPDISHGTKTFTKKGNGSTGREDAGTSHAPRASDVVKNSALGSLSRDSDRSAGSPKGAETAIGENANGSSTSKKRKRIVAVYSRRYPKRFKAVQGGNAADVVVASPDTVGKENTSSGHVAESNISKLYESSRLTEKNKVNQYSAPYSEVQPRKPTNVCPQTAAAESSLPVKAGDQHIAPARQSCLSSSQSVPISFIHHEEIKSTLGDSEPIIVQKEDSASGHVKVMPSDETDGNSNICGSCGSPGTLSCTKKRFQFGLHSIVDGIESVWDVKEAEGMQNSKQYFVKYKNLAHVHNCWVLEDDINVMPGGPDVLSLFNKRNHTEKVKQSSFKKLQRLPDGCHPDFDNDHLCSINLLREFWYKSRGAVLVDDKEFVTKTVLFTLSVLPDVSQPILIVTTPASLSLWEVQFNILAPFINVVVYDGGKDKLKLIQDLEFYESGSSIMLQVLLSHADAVLEEYLPEYRKLLGFLNSGEQENGSFVDAEALVMSKVNFKSHIAYERPADSSKILEHWVPAHISQLQLEIYCSILLSNSSVLQSKMKSDGSLCDIIISLSKDNAKLKAEQFFEYYLNNHLVLPEPVDILRTFNIALCWRAASHLNYKVDRRESLALAEKGFNCEYNEALVGLIYKKLRTLKKKVPDRAGEASIKGQPVSVEDTQLSWQENSYLENDHMFQNKKIDLHGNFIDGAPQEVSSVAEQMISEGQESVKETHKECHMLNDEPPNMIMEKSIDLVENVFSIRKNNILCKQQLEISGLVTHRQNNVIRLKEVYSLVLEHIRRSHIDEMTRSEKIKLTAQWFSMLIYAFLEHMKLQHSKLEGLQSDTWSSECQLKEKLHQVARSGQLDRDFDRHIALPDSNFVMEEFIHFEEQNDVYRIAESSVSDCQQSSNDTVSMEITLVRSEALSEPISIHAMENEPVETSAGSAVGPALEAVDFQENNIHCSSDGISVQIAGCSSSTIPANDDSTGQDRPAEAEGGVMLGSTAAQDSQPERQSSTTVQHIPLERVHSEERIQIGFQPNMVPGTEQPTQHPPVTALVFNNPILSDEPLKNELESNAMVGRLTTPVAEQPFEFSSAAQTTTSPAISSSAIRPPGSYVRPSFVARPPSSSSWNAQLPGNLYGTASSPFIPAPVPYGSYTPAGAQSRAVQPLSALASNLYRTMPPAPPPHLPHGSYRSAAAQLRAPSPHLQQLRMPSQYAMRTDQQQRRAASGAVPLLGQYGRGSYASTVPQGGAIRNSMDPSSAHQASSSRPPHRASFLPPQRHPESMLSLQPSTSNPIFMPAHQPSSYPSMALGSTAGPQNAAPGSQQHGVAQIAGVNHNQPGSKPSSYPSMALGGTAGPQNAAPGSQQHGGAQIASVNHNQPGSKPSSYPSMALGGTAGLQNAAPGSQQHRGAQIAGVNHNQPGSKPSSYPSMALGGTAGPQNAAPGSQQHGGAQIADINHNQPGSESAWLSAYLKDRLRLVSSSSPAGAGAASPDEVV >cds.KYUSt_chr3.17807 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109271075:109271470:-1 gene:KYUSg_chr3.17807 transcript:KYUSt_chr3.17807 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVEGPSESGCGQPVRKTLLHTPSGEAMCSHEALERKLLDLGWERYHDAPELVQYQKRSSVQLISLPKDFNKVKSMHMYDVVVKNRDAFQVVNAAVHVHAENKASADKAEAADADQGEA >cds.KYUSt_chr7.31282 pep primary_assembly:MPB_Lper_Kyuss_1697:7:194808146:194812319:1 gene:KYUSg_chr7.31282 transcript:KYUSt_chr7.31282 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYRYLSPNYPGCPAFTSSLVFPQIIPELEGTSNGERRCGQQRLRPPLSPPMGGATPARGGLPCAAGLPRAGRLEAERRRRADPASKRRSRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPVLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTRGRSSGALVIRDQPSSPQRGRKRKTAKNEAAAAAANQLAEEEAKRAEDAAVAEAIARSLKDLVPADNSLPPSTPRRSGPSATGSARRRSSSGGCWIWPPRDNSPPAPPHRRPRGTPRPVEVIKLEESSDDDIYRPSPPRAGDAGQGTSRWSAQIELEVAMAAQGRGSAALVAGALLVALLSQLTNTATAKTTYIVGDDAGWTKNLEASWLNGKTFYAGDVLVFKYDKEHHDVSVVGGKGYQRCQLPKHSDHSWVLRTGNDAVTLRRGNNYFICGQPGHCQNNMKLHVNAL >cds.KYUSt_contig_6124.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001236.1:10659:13287:-1 gene:KYUSg_contig_6124.1 transcript:KYUSt_contig_6124.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWYLWANRRIRRVSELLDDQRNWKVDKIREIFSPIDADAILTIKPSRCGDNDVLAWQPESSGVFTVRSPYKLALAEHPDQCALAANTSNPDGRNECWKKIWRSSVPPKVKIFAWKAASNGLATEENKLRRHMRVTGYCNICCSELEDVPHALFRCPHARRLWSEMRALWSLPSEADLSVSPESWFRSVRTQIPDHMVDMMLLLTWRVWFGRNEATHDKPLPTTEASKRFLISYIRLIRDIKHTPTDTLLKGKQPAIEEGILMVTHQPKNLPDKAWLKPPEGWVKLTIDGSFRESDHLAGLGMVLRNEEGWPVFSACRFISDCESPYEAESRACLEGLELALQFSQLPIIIESDCAKLIEAMSSRTQDRSSCVHLVSEIKYLSSHDRVCVFVKVERSQIGQWRHSGVVLFLNTPSWSHNMRISDGCVVKVTSFGDLRQGWLRSLPLLSFLSATLWIVGNMGRSTVVVGFRWPFCEGGVLSTACVFVGSLLGELRLDTVAVQLWSDYS >cds.KYUSt_chr6.3226 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18929865:18933283:1 gene:KYUSg_chr6.3226 transcript:KYUSt_chr6.3226 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNRFQVLAGLDDDDNVFDDESELGDFDEGDDFDEADMAAIGVLADGLQAPYVGFPAGALPVPALLPVVQIGPALAPAAVHPVVQVVPDLPPAAVLPGVEIGLALPPAVLAVDNTENPWTEVPARRAPRNPNAPRQEEYVPVPEARVCVEVPWVPKTGSLRCIKKHSQARKGGYVPTADEDSGSYIYGSFGTDALEF >cds.KYUSt_chr1.36818 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224678790:224679806:1 gene:KYUSg_chr1.36818 transcript:KYUSt_chr1.36818 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGSRKQQQPGTPPCAPERVTETPGRKNNGKSKAFSFSFSPLSWLAKLGAKHKVDTKRAPASTNEDGGATPAFPSRVPERACRAAEVIAPAGRPGSPRRSPVKFATRRLSVGNDNADAVAARGRHRSPADAVEQVVQRRLSVSNDSADAVAARRHCMRRRHHSIGGDHDILAPLGKLIPFSLASSPAPPPPPQTAPSDTDAGSVRLRRRSRGRRHRRSLSGGRRSSFSGRTTLRVKVRSSRRAGADLESLAVVRRTRDPQRAFRESMSEMIASSGGRPEELERLLACYLALNADEHHGCIVKVFRQVWFDFDHVNGRPAAADPAGTLRPTRAGAAVN >cds.KYUSt_chr3.12310 pep primary_assembly:MPB_Lper_Kyuss_1697:3:73503833:73505035:1 gene:KYUSg_chr3.12310 transcript:KYUSt_chr3.12310 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVTAEPASLLRGLPDEIVLWEILIRLPPKHLLRCRAVRRAWRAATSARDFLLAHHARQPSLPIFSGDDAWILGVHYHNILAFDHRAATEDDQLHAVARLDDAFRPVAACDGLLVLSKLSTLGSAGACLSICNPATREHALLGLHCDFEVMGMYLHRPTGEHRLLLQRRRSQAAYLLVQKEDQAGCYVFSLGSGQPPRYIGWPEMASLNFSVPVSARDSLHWYPVYCPTETNPSLYESKLIVFDTISESFRLMREPTILGSSYAFDMDGTLGIFTRNPSTKAIDIRVLHNYESEVWDLKYQIKLPVAEIRREFQDCGGYWDWELDVVSVDGGVLLLVQLPRWLLHVDSNDRMVNSFYRGRRSLSMTGFQLKQSLVRHTFFPALHGYAVNASPFIRPVE >cds.KYUSt_contig_3685.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000715.1:36430:38353:1 gene:KYUSg_contig_3685.7 transcript:KYUSt_contig_3685.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIPMLLLLRTAAAAALLLLLSSPLPVSSADLYAVVYKGCANQTFPGGTAPATIAALSSSLAAQSASAKFYKTSSASASAASASVFGLFQCRGDLSGPDCSSCVARAMSSWPDLCGAAVAARVQLNGCLARYEVSGFPQVSGVQMLFKTCGSGGGGGDADLEVRRGTAFAQLQAGVGTSAGGFFATSYQQVYALAQCEGDLSAVDCSNCVTQAVQHVQVECGGAPSGQVYLDKCYITYSYYPHGVPHGGGGGLGGQQTAKTVAIVLGGALALGFLVICLLFARSLVKKKDDY >cds.KYUSt_chr4.15238 pep primary_assembly:MPB_Lper_Kyuss_1697:4:94140707:94141399:-1 gene:KYUSg_chr4.15238 transcript:KYUSt_chr4.15238 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAGESTSVGSSSEAAGGSFECNICFELPQEPIVTLCGHLFCWPCLYRWLHMHAHTPECPVCKAIVEEDKLVPLYGRGKDRVDPRSKNTPGADIPNRPAGQRPATAQQADPNNNFGNAHANPWFMGMGAGVPLANARWGNYAFSAAFGGLFPMLSFQMHGIPDPTAYAQPAGFHYGYGHGHGFHGGHMGPAHGVPRQGPLGQQQQADVYLKALLLMVGVLVIASLLAF >cds.KYUSt_chr5.6386 pep primary_assembly:MPB_Lper_Kyuss_1697:5:39533976:39534401:1 gene:KYUSg_chr5.6386 transcript:KYUSt_chr5.6386 gene_biotype:protein_coding transcript_biotype:protein_coding MSHERESDRGPPNLEVVKTVPQRGEKVLDVEPILNHVSNAYGEVAPEEKVSGRLIMTSAKGANSSIGTTSLLKAVRHPRTVLDDKPSEDLAFGRGPNLSNSGIHARANSPGELSIVVRRRRVDPIVGKLPNNGVWYNVEDG >cds.KYUSt_chr5.29986 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190124440:190124781:-1 gene:KYUSg_chr5.29986 transcript:KYUSt_chr5.29986 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPECWDDMELDDDRLILRSNDCKSWPEEDVCSECLSPFNTLSLPVKPDFPTLDDSVWDFEVPCANHVEEEAFDMLEKSKSVAYCTKVLNSFSQVDSDDEEFDEYDSSAEDN >cds.KYUSt_chr5.8858 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56141735:56147843:1 gene:KYUSg_chr5.8858 transcript:KYUSt_chr5.8858 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPEAEQEQVVNPWEVSAGKGGIDYDKLVDQFGCQRLDAAIIGRIGRLTGRAPHRFLRRGLFFAHRDLNEILDLYEKGEKFYLYTGRGPSSEALHLGHLVPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTVEESKRLARENAKDIIACGFDIERTFIFSDFNFVGGAFYENMVKVARCVTYNKVVGIFGFTPEDHIGKSSFPPVQAVPSFPSSFPHLFPGNDQLRCLIPCAIDQVNKYAFSGGQDSVELHRKLGANLEVDVSIKWLNFFLEDDDELENIKKEYKEGKLLTGEVKQILIKVLSEMVERHKRARAQVTEEMVDAFMARRPLPNMFG >cds.KYUSt_chr3.7526 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43402501:43404280:1 gene:KYUSg_chr3.7526 transcript:KYUSt_chr3.7526 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQESACVRRAVYIDVRGCRTSSGIDSDDRQKEKKRKNTCWTTMSERGGGEGSSSSPGKKARKPYTITRPRERWSPEEHERFLDALLRFGRDWKKIEEHVRTKTAVQIRSHAQKYFLKVQRLGLAAGLPPQHLSRRPSMPFGSGSVATTVLHGHPQQYPDAGLGRHFFGLGEPRRCRKPDQSSSFMGTPSFSDTTMDWAGTVGGTSEASAMSDAQDDTIPLPLSPDDMHFAQVYRFIGDIFDPTTPCQIEAHLQKLKDMDGITVKTILLVLRNLETNLAAPQFEPIRRLLSRYDPAQGLSGQL >cds.KYUSt_chr4.52474 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325441629:325442701:-1 gene:KYUSg_chr4.52474 transcript:KYUSt_chr4.52474 gene_biotype:protein_coding transcript_biotype:protein_coding MVIADLGCSSGPNALVLVSTAIEAIQSYCVQFQRQQPEVCIFLNDLPNNDFNMVVKSLAMLRKSNEPIVVASVTPGSFYERLFSTGSLHLVCSSSSLHWLSKAPEVLTRNHIPAYNIDEHARREMLPMIHEAYAQQFKNDFTHFLKLRAKEFLPGGRMVISITGRHSDGIDSKLFHIWEPIGHILSVMALEGVINKAKYDSFYIPMYGPSNEELRGIIQEEGSFSIKEMVVRDLTSDADFALFTPYRYMSQMRAVFEPVIVKHFGEVMEEFMRTAERRWSLDGILRDQLDRLVWLSVSLTKA >cds.KYUSt_chr2.40530 pep primary_assembly:MPB_Lper_Kyuss_1697:2:251815824:251819588:-1 gene:KYUSg_chr2.40530 transcript:KYUSt_chr2.40530 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRFVLPFLLLVVASGAHDDAGRPPIDRRSFPKGFVFGTASSAYQLLAGRRSSRRNAKWIVRYKISDKSNGDVADDSYHLYKEDVRLMMDMGMDAYRFSISWTRILPRGTLQGGVNREGVKYYNNLIDELLSRGVQPFVTLFHWDSPQTLEDKYGGFLNPSIINDFKDYAEVCFREFGDRVKHWITFNEPTQFCVGGYASGTSAPGRCSPWEKGKCSAGDSGREPYTAGHHLLLAHAAVVRLYKEKYQVVQKGEIGITLVSSWYVPFSRSNSDSDAAIRAIDFNLGWFMDPLARGDYPQSMRALVGNRLPRFSKEQSELLKGAFDFIGLNYYTSSYAGNLPPPNGLKNSYNTDARANVTSVRNGVPIGPRAASPWLYVYPRGLRELVLYIKDNYGNPAIYITENGVDEANDKSLPLEESLKDYARIEYHHKHLIALMSAIRDGANVKGYFAWSLLDDFEWSSGYTVRFGLNFVDYNDGLKRYPKLSAHWFKKFLKR >cds.KYUSt_chr7.23007 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142885919:142886773:-1 gene:KYUSg_chr7.23007 transcript:KYUSt_chr7.23007 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGASSYLHHHHHNLHLSHDDAAGGSDDGQDALSPGSGGGGSTAGGAGIGGGEVVGRRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGICVLSAAGTVTNVTLRQPQSAQTGPTSSPVATLHGRFEILSLAGSFLPPPAPPGATSLSAFLAGGQGQVVGGSVAGALVAAGPVVVVAASFSNVAYERLPLEEGDEVVPPPVPDQAGGGMPFGADPSGGAAAGGLPFFNQLPMGMPPMPMDGHNGWPGAVGGVGRPPFS >cds.KYUSt_chr5.28612 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181161183:181166043:1 gene:KYUSg_chr5.28612 transcript:KYUSt_chr5.28612 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWPPGRDGGDARLLLLGVALHQVHGACRALLRGSGPEQRPGSFLAGSSDDRHVKYVSWLLDLISTCPRLLAANAYILLPIPSSTGISATATFPPSACHRSIDGDLVGVVGDAWALKTAPLSTTWHSTRGVNDDGVGEVVAALRKDVDSLATSPITTTSSYFYGKAIARAARLALIAEEVGCPDAIPAVHKFLKANITPWLDGSFQGNGFLYDSKWGGLVTKQGLQDSGADFGFGIYNDHHYHLGYFLYAIAVLAKIDPSWGRKYMSQAYSMVADFMTLSRKCGASYTRLRTFDLWKLHSWAGGLTEFGDGRNQESTSEAVNGYYSAALLGLSYGDAHLVSVGATLTAFEMLAAQTWWHVREGEGIYEDDFSGNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPLLPISEALFPDTGFVKDLVTWTTPALARDGVGEGWKGFVYALEGIYDKESALAKTRALSSHDDGNTLTNLLWWLHSRGDSSGRCCWYRQYGH >cds.KYUSt_chr5.26757 pep primary_assembly:MPB_Lper_Kyuss_1697:5:169370106:169371392:1 gene:KYUSg_chr5.26757 transcript:KYUSt_chr5.26757 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDHVNKANCIASGRGRKVCVTGASGFIASWLVKLLLEKGYTIHATVRNPDDVAKNAHLRALEGAAERLTLFRVDLLDKESIAAAFRGCEGVFHTACPITDDPEQMIEPAVTGTRNVINAAADAGGIRRVVMTSSIGAVYMDPSRSLDEEADETCWSDLEFCKNTKNWYCYAKTVAEQAAWELAKQRNLDLVVVNPSLVLGPLLQASVNASTWHIVKYLDGSVQTYANAAQAYAHVRDVADAHARVYEAPAASGRYLCAGRTLHRAEVCRILAKFFPEYPVPTICKDETGEMKKGCRFSSRRIRELGVGFTTASQCLYETVTSLQDKGLLPRCDADMSP >cds.KYUSt_chr4.6172 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36260680:36266125:-1 gene:KYUSg_chr4.6172 transcript:KYUSt_chr4.6172 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAKSSRSRPAGHSGVHPMHAAAGGAGGGDGAGSRLADKLKIFKTDKFDPDSYVQSKCQTMNEKEIRQLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLERELQSIKNLLNTQSALIHGLSEGVQIDSLTTGPEDSEEESFSTVEDQELSEIQNWYTDFPERLDVLLAERRVDEALDALDEAERIAADAKQKQTLSTAEILALKRSISDNRQKLSDQLAEAACQSSTCGIELRAAASALKRLGDGPRAHSLLLSAHNQRLQGNIQTTHPSSTAYRGAYTAALAKQVFSAISHALSDSMEVFGDEPSYASEVVTWATKQAMLFALLVKRHALASCAASGGLRAAAECVQIALGYCSLLEARGLSLSGVVMKQFRPCVEQALDSSLRRIEESTSALAAADNWVLVYSPTGIRPFARSSAGNMAPKLSSSAHRFNSMVQDFFEDVGPLLSLQLGGSTMDGLLKIFNTYIDLLVSALPGSMDDEANLEGLGNKIIRMAETDEQQLALLANASLLAEELLPRAAMKLPSVNQTSMDSMPKRGPEKQNRASEHREWKRKLQRMVDKLRDSFCRQHALALIFTEEGDTHLSAEMYINMDNNVQEIEWVPSLIFQELYAKLHRMAGIATEMFVGRERFATLLMMRLTETVILWLSEDQTFWEEIEEGPRALGPLGLQQFYLDMQFVILFGQGRFLSRHVHQVILNIIDRGMAAFSATGLDPDSALPSDDWFIDVAQDTISRISGKAQTTTSEREVHSPTASVSAQSVSSVRSHGSS >cds.KYUSt_chr7.2669 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15699578:15699922:1 gene:KYUSg_chr7.2669 transcript:KYUSt_chr7.2669 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGCSTCLEIIFAAVLPPLGVFFRYGCCSSQFFISLLLTALGYVPGIVYSVYVILKTAPELPGIDGDRPYYILA >cds.KYUSt_chr2.7467 pep primary_assembly:MPB_Lper_Kyuss_1697:2:46896684:46898432:1 gene:KYUSg_chr2.7467 transcript:KYUSt_chr2.7467 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPPAVASPASDLTKSKKKKTKSKDASADPAAAAVDTPSLAEAEAKTDGFMIKPQSLIPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPIAEYLRYGVINLDKPSNPSSHEVVAWIKRLLRVEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCIARFHAAVPDTARVARALESLTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDADRHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDSMWALDNHKDESYIRRVVMPLEVILTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIDVGEEVVLMTTKGEAIAIGIAEMPTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMIAEGLLDKHGKPTDKTPEEWLRNVVLPTGGDAMIASIAAAPEPEKVKLEQQDVVASEDVKEKKKKRKTDEEDVAATPAKKIKVEEEGTEAVEEEKSEKKKKKKKDKGEPGSAVKEEKVELSDEEKGASEKKKKKKKSKEGSDVVAEPGSAEAEKSEKKKDKKKKKKEAEAEEGQ >cds.KYUSt_chr2.3415 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20440205:20443714:1 gene:KYUSg_chr2.3415 transcript:KYUSt_chr2.3415 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAVTDELVLPLRAVGYLAMAAEVSWDDMVVITQCASLDGKLPYDDSSVGAVLSVIKNMESFGDKFVAEINRVLQAGGIVLVQSFTPSSVQKPNNYIKRQLLIGGFVEVQASATSSRDSVQSFTIRAKKPTWSMGSSFPLKKAIKALPKTKIDDDELIDEHSLLTEDDLKKPQLPVAGDCEVGATKKARKACKNCSCGRAEAKQKVEKLGLTADQIDNPVSACGSCGLGDAFRCSGCPYRGLAPFKLGQKAKPVSSEEGYRNRIEKVPGHRKEELVGKTGQRSSPELEDKDELSKVALNEGLTIKKKMTKKEAGGRQPP >cds.KYUSt_chr6.27996 pep primary_assembly:MPB_Lper_Kyuss_1697:6:177534732:177537002:1 gene:KYUSg_chr6.27996 transcript:KYUSt_chr6.27996 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGQRGEDDMENRQQAEEEAKMKETMLMQGNPLINMNNSISFNVKRRWDDDFVFKNRTRGETKTPKRFINGTIRSDFHR >cds.KYUSt_chr5.6969 pep primary_assembly:MPB_Lper_Kyuss_1697:5:43164115:43168282:-1 gene:KYUSg_chr5.6969 transcript:KYUSt_chr5.6969 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGDGPVMDSPTSSDPSHDGCFALYLVDKSQMDLYSDVFSIHMQTILAEPGDARRLPTNLDVLASDVHSKVEFYKNAYMDCIGINNALHGVMEEKGKLQVEQKAVVQLAAEKDKLIAELNTQKDHLTTRNEEQAAEIESLKEQLSERESSQALLALKGSGLQSTQSGKVYIRSKKKRQQHSDGSGEDADYQGLSTELEAAKNELSDIHSKLIKGFIDISSTGGRNIAIKNIGQLRNKPFLQACLKKLTPKEALEKASELYNFWQKQLLNPDWKPSKTLMDEGISKEIDVHDVNLQELRASWGEEVYKAVVNCLMEIEECGRLTDRTIVPELWNFKENRKATCSECVEYIFSQVKRLNGSKGRTTRRFDRSF >cds.KYUSt_chr4.4234 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24255684:24256385:1 gene:KYUSg_chr4.4234 transcript:KYUSt_chr4.4234 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWDSPILGDDTKARRMRSRSLTKEEVEAFWRQQGKPAPEEGVTSPLASPRPTMEKSPLGSSKQRSMSPVARDAAADDAEGSPGKSRDWWTRSNWAFLNEPPLEEAPGTAHSYTPQFHVAAGGQIATGNV >cds.KYUSt_chr4.18140 pep primary_assembly:MPB_Lper_Kyuss_1697:4:113804326:113805403:-1 gene:KYUSg_chr4.18140 transcript:KYUSt_chr4.18140 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERGLKSKAHWDSFGHKVFCEICKEEVGAGNRPVSYLNKTGYKNLQHKFLARTGRNYERKQFKNRWESLKKEYAHWVAFTQAATGQRWHSASGTVDADNEWWVKLTEAHPEFVKFRNGPPENLAELAAMFDETHVTVSSSAIPGEHIEDPMDIVEVEDGNCYLECNNDLEYNKTSDQKAKGAEKRRAAAIAASPKKKSKQQKNPPDQEFRHVTNIMAGSTSGTSSSDVPRGHGIKDVIKLAVQSGAKECSDLFFTATKLFMNVDYREMFSAFETNEGRLDWLNRMHEEMKKK >cds.KYUSt_chr4.2773 pep primary_assembly:MPB_Lper_Kyuss_1697:4:15621065:15626885:-1 gene:KYUSg_chr4.2773 transcript:KYUSt_chr4.2773 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVREVNRKYFDRDVCRLFLAGLCPHDLFQLTVHIFPIPVPPVCPCPSSPRAEFGAGAISKMDLGPCPKVHSLQLRKDYEEVKAKGSENFDRELEDMIDRLIVECERKIQRALKRLADEDAKAAIAISVSEVTLDEEVLRLSKEIKEKMKEVDTFDFEGKTDDKIKAMEVVEELRSKRADMQATLLLDAFNKDRASIPQTAPTQIAPLPPPPPPDARTQELINEKLSKAEALGEQGMVDEAQKVLEEAEALKKLAALRQEPASDPSKYTVADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQEDRNKRRTDKSEDDRRSREHSKDRNGRASRDRDVERKDRVEPRESRRDHDRDRDRRHDSGRRHDRDRDRDYDRSRGSDSRRRERSRSRERGRIDTESVAPWLADFGVLSSRLLAAIQAQLSLGSLVKLK >cds.KYUSt_chr4.36998 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227493101:227497949:1 gene:KYUSg_chr4.36998 transcript:KYUSt_chr4.36998 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNADWKTVSAAVTTESSQPVVKKRLPKKIRQVPECYFLPRRSLPSALAIYGAICVAGVGAGMLVEGSSGRELVAALMGNPGLCAASERLRATPERRISSGPEGPRNVYVFQREYATVDPARVELVGTDEMTTCVGVIIRNNKTGMISISHMDFPKIVEGGFKQMLELLGGDNAPFDVHLIGAFDDSSTKVVHSSGGKRNKQEGYSHPLCCKIVEVLHKSEQQFHLRSFCVLGNNTTTDSYGNARPIVGGFVMQTSSGVVTPASFEMASRCPDEIVRRIRVSVSSYDPNWKAKLLETYDTRADIFQIAPTRWMPDWAEIAFSLNQLSDSEVLLQCSTSPAAEPPHFVETDR >cds.KYUSt_chr4.50677 pep primary_assembly:MPB_Lper_Kyuss_1697:4:313891716:313908245:1 gene:KYUSg_chr4.50677 transcript:KYUSt_chr4.50677 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRKTPHPKTGSLWVNEQSETQFTKYVSKFKQKHDKSSNPEANDFDVEVAVLAGEGMKHGRLWLGDGCVDPATIPSLRQIRCGRKSGQPQAEMAAREQEAQERQVHLEQQMQGPSQMMPNMPLIQALSTQSPVTPFTVNNTNIIRNLIPEDEDDQRRHVGQKRPMVRATLGACRGTHLALGCTTGRWRGGEAMPEKDMSTAVHTPVLQIQGFDVQKILTWSPLAFMVLMDDAKHHLQEFSYIMTHKYFLGSMIYELDGKSDDVIPFDKMSWQYFHDPMGDLRWFTLKVWFGWREIPTLVVTTANNGAGGFSGCLCCRFPHDLPQDLPTVAISDLAEHWLRKHLERKDCVGERMLVARMGILFSCPADCYDPMEEGILEPSASSGGLRALDSSKLLIQGSLSFKRAQLDDNYPSSLQVETEISIKTADIAAIAPDSSPATVVPLVQRALARVMYTDGGLGRGEPKARGGGDEAAEGVQELSAAAAAVLAEQNWWKLLDFALLKRSSVSFNIEKQETAVFKWLRVRAPAAKV >cds.KYUSt_chr3.29922 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187216328:187225386:1 gene:KYUSg_chr3.29922 transcript:KYUSt_chr3.29922 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAASSRLALRLLPRTSAAAAAPFSSVSPAAAAAAAAAAASFAPKEAAAAAAAALPPKEAAASASSSAGDPAPAPPQGARKPFGLLKAGLLTAVTSALGATGYVTYAYSVEEVDQMTREFRKSAKDPVPEDLSGFEKYKATAYFEAMKVPVAAIDFYLDARSQIEDQIKGFVEPSSEKLLPDLAPNEQHVFTVVLDLNDTLVHSDWKRERGWKTFKRPGVDAFLEHISKYYEVVVFSDQLSMDLSKLNRNPAQVIYISGHALESCLQPENCLPIKPWKLETDDTQLIDLIPFLDYLAMSRITDIRPVLASFQGRDIPTEFLERSSSVAWPMHGPSLAGFDLKIDDKWTCLRLVRPDLRVCGLGRCNPAAQRKLLAMQARALPPCLPGAGLSAGTVGKKAPAVRVRAVDGPSAAAAVADLPPAEVTWQIVVGAVAGVTPFVVAGVEFGKRIIQQKKCEVCGGSGLVMKNDLWFSAMAIVEEILQRLRIIIWHLGRMGKGGY >cds.KYUSt_chr3.38249 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240852883:240861802:-1 gene:KYUSg_chr3.38249 transcript:KYUSt_chr3.38249 gene_biotype:protein_coding transcript_biotype:protein_coding MKANKEIRRKNEEETMVILMDLNEIDENATTFCEMTRDDILASKMLVGVWDVLDQEQLTYRSPRILLASPRSASPRIDEARQLGLPSPRIAEESPQAPLLDDRRITSRKAPFALTYRSFKRTNSLLASSSPRVASTSPGIRSPRIDEESLISQRIAEESLQAPPLLTDKKITSSSKAPFVVLGFECLESTAFNGISTNLVVYLETVLHGSNLGSASNVATWFGTSYLTPIFGAIVADTYWGNYNTILVSLAVYLLGMLLVTFSAFLPPEVSSAFVTTKTLAFVGLYLVAIGSGGVRSSLLPFGAEQFDDTIAEDREDKASFFSWFYLCVDFGPIVSGLFIVWIQLNVSWGLGFAISTACIALACAAFLLATPMYKRSMPTGTPLKSLCQVLVAACRKASLKLPSDAALLYEASDDNKYDNCYQLPPRIAHTGEFRFLDKAAIFSDLDVALVEGTSWKLCTVTQVEELKILLRLLPVWTTSIIFSAAYSQMNTTFIQQGSAMDMNIFSLSIPAASLSSFEVLCVLAWVVLYGKVIVPALGAFYSGGNGEPSQLQRMGAGRVLMALSMALAAVVEKSRLASVARGELIGIAWQLPQYFFLAGGEVFCYIAQLEFFYGEAPDTMKSMCTSLALLTIALGSYLSSLIYAVIALFTATAESPGWIADDLNEGHLDYFFWIMAAISILNFLVYSAFAKNYKLKTVLS >cds.KYUSt_chr7.35849 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223958037:223958858:1 gene:KYUSg_chr7.35849 transcript:KYUSt_chr7.35849 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPPRTDVPSLQAAAGQLPLHGRVAIVTGGAGGIGEAVTTHLATLGARVVVAYIGDPAPAEQLVASLNSAAVPGMGTRAIALCADVSDPAQVERLFDAAQAAFGPNLHILVTAAGVQDAAYPAIADTEPEQWDRAFAVNARGTFLCCRQAAQRLVRGGGGRIVTFSSSNVASLRPGYGAYVATKAAVEAMTKVLAKELAGTGITANSVAPGPVATPMFYAGKSEERVKAVASQCPMKRIGEPTDVAPVVGFLCSDAAGWINGQVILANGGYI >cds.KYUSt_chr4.34224 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210039552:210041059:1 gene:KYUSg_chr4.34224 transcript:KYUSt_chr4.34224 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRLPNPSPKLVCLPLLLAFAVAATLWYSAELHEILARVTDLRPAPHPGPGPGPGPAMVAAIRDGQTSFALRLAKHLAPAGGNATKGNVALSPVSIHAALALLAAGARGATLNQLLAFLGAPSAAGLADCGRLIVHRVLGDRADSGGPRVLFSGGIWIDASRGGLKTAFRDVAVQSYKSEARTVSFASEPEEVAKAINSWVKKATNNLIDSIISADDFAAGTDLVLANAVYFKAKWDVPFEPRRTRPSTFHRLDGSRVEVQFMSRTMYSAQYASCVDGFKVLKLPYEHGRDDVHKHGRGGAAGVASPDADDTQYSMYLFLPHERQGIAKMVDAITAKPDYLYNVLTKAAATTVRVMLPKFEISFERDLVGDLRQLGLSLPFSSVSADLQGIFEKESGTFLSKLLHKAVVKVDEDGTEAAAVTIGLFDTTARQRVPPVQFVVDHPFSFFIMEERSGVIVFAGHVLDPTN >cds.KYUSt_chr1.19434 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114105241:114108789:-1 gene:KYUSg_chr1.19434 transcript:KYUSt_chr1.19434 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFAAGRRLLRLGRGRLFHCRSRSHNPTPLLLPTRTASSPRSRTYSSERPARRSLSLQSTLWPLGHPGTLLVPEIERWAEKTGNRLRPVELERVVKELRKRRRHRQALEVSEWMSAKGHVKFLPKDHAVHLDLVGQVHGVGAAEAYFSNLPDKDKTEKPYGALLNCYTREHLVDESLAHFQKMKELGFVFSSLPYNNLMGLYTNIGQHEKVPSVIAEMKSNGIMPDNFSYRICINSYGTRADFFGMEKTLEDMECEPQIVVDSNTYAVVASNYIKGNLRDKAISALKKSEAKIDIKDSDNYNHLISLYGQLEDKSEVKRLWALQMSNCKRHINKDYTTMLAMLVKLDEIEEAEALLKEWESSENAFDFHVPDVLLTGYRQKGMLDKAEALLDDFLKKRKTPPSTSWAIVAIGYAEKGDAAKAYELIKNALCVYSPNSGWVPRAAMIQMILKYLGDEGELKDVENFIHLLQAAMPMNSDMTEALSRARMREAGGAEEEAPSSTKASG >cds.KYUSt_chr3.20244 pep primary_assembly:MPB_Lper_Kyuss_1697:3:124796898:124799291:1 gene:KYUSg_chr3.20244 transcript:KYUSt_chr3.20244 gene_biotype:protein_coding transcript_biotype:protein_coding MERGESILDAVLDDEETLDFDGDDVEMADADAVTEEIPVPDAPAAVVGGDGGAAQAEKGGPEGKKKKKKRSGKKKNRGRPDGPPTKIADINRFVLDTCRRLKEKKSYLVWNAIGCLGVSAVSDLVREVEAIQKCGGQTIADGSRFRTGGGILWNILKSREPKAYKEIMMKGRELEKQFMYGKGRPHVSRNEDASSQGSALVDEEIEAQDDPEHLVDAEEAPPANSKAETRKPVADRIRVPVSYDDLFEEGEIHEEEPQN >cds.KYUSt_chr5.10475 pep primary_assembly:MPB_Lper_Kyuss_1697:5:67284930:67285907:1 gene:KYUSg_chr5.10475 transcript:KYUSt_chr5.10475 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALRATQRRLPRSLHYINPFSTSASASSHDLRELLRIQRILSDPATTTQPPQTQEHPRGAAATDLHHLLHRTAGLTTAEATSLLRRVPNTHRLGRLLQELRGLRLPADEIKNALKSDPDGVLSMEPGEPSRLVEILDELRCREPVKDRLLSDGVLRAAIATRRRVELLHERGLSRRDALRVLSVEPRAILYSLEDVERKVEFLVSRMGFQIGWLVQYPEFLGVNLDRWIIPRHNVVEYLESVGGLGDPIEMKHYVRLSRLRFYNMFVKPYPECERIFGGLVRERKNEVRPRHPVGLWKLFKPTKYESTEEDVKHMKLTVESLH >cds.KYUSt_chr3.42343 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267690952:267697718:1 gene:KYUSg_chr3.42343 transcript:KYUSt_chr3.42343 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRGAGDAGEGEWLKVAELKAMAGTQDPQAKEVDNLTLRRFLRARDQDVGKASTMLLKFVAWRREAVPDGAIPAEQVRSDIAGQKVSMAGVDRAGRPVMLAFPAKHFSANRDMATFKRFVVYLLDNICARIPRGQEKFLCIVDLKGWGYANCDVRAYIAAIEIMQNYYPERLGKALMIHVPYLFMKAWKMVQPFIDANTKDKFVFIDDKKLEETLKRELDESQVPEIMLEEAHLQVSECPWSGSNVVIMKKQHEYRARDGEGSRTPSCSPDRRAGSTSLGRRGRSLAWRGGREVVAQQRVVHKASSTVVYPLLTANYFESALL >cds.KYUSt_chr7.23542 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146786120:146787877:1 gene:KYUSg_chr7.23542 transcript:KYUSt_chr7.23542 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAARRRGLLLLLVATATAFVARPATGSALVGEGCSASSPCGSGMRCATCSPLPGSGPAVCSRTTPIDPKIHGTGLPFNRYSWLTTHNSFAITGTPSATGAPIVSPPNQEDSVTGQLNNGVRGLMLDTYDFKNDLWLCHSFAGKCYDFTAYQLASKVLKEIQAFLDSNPAEVITVFVEDYSAPGSLSKALAAAGLTKYVFPPAKMPGNGADWPTLKDMVAQGHRLLVFTSKQGREGSDGVAHVWNYVVETKYGSDGLAMGSCASRAESRAMDSKAQSLVLVNFFTTNPSQSWACVNNSSPLIAQLRTCYDASAKRWPNFIAVDFYMRSSGGGAPLATDVANGRLQCGCDTIAYCKVLQRYRKRAIRDMHNAFFVAIIGIGIVIPESLWIAVVLSGSSSDYVTIFSIVPVIISSSAFIIILVMITITSLV >cds.KYUSt_chr1.40697 pep primary_assembly:MPB_Lper_Kyuss_1697:1:249594492:249595214:1 gene:KYUSg_chr1.40697 transcript:KYUSt_chr1.40697 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPTTSTYYPLTIDRCLVGLRYIITAQEQGKKPPAESLRVETMAVARGVFLLLSSSLLICGPASAADVDVTHLRFYVHEVEVGTNATVVNVASPHRNSSKFGDVNVFDNMVREGPDPTSRLIGRAQGLAVHASVDGRSGLVAINFVFSDYDGYSGSGLTTQGPMGESGAWEQSIVGGTGKLRFARGYMVSELVASTNTSIAVVFDSYFTLAA >cds.KYUSt_contig_1158.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:55324:56292:-1 gene:KYUSg_contig_1158.11 transcript:KYUSt_contig_1158.11 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNHLGMDGSQSTRLALDRFTADDQPSSREEAMAANHPAPLGPPRAATPPPLLIAAPDLTSKAPKRQQEDAGSASAATLAEPAAATATTTLPPLLLDQRTAVAATGSLPPIQDGVARAVALGSQEGLLPMARALRALGYNEMAVSATLHPNLPSLARWHGPITVFAAPDVSLQTSCPFCSRRRVLLEHIALGYYPYSELAASPTTKIPSASLNLCLNVATVRGTFSVHHARLFVEGVEISHPEVYNDARYIIHGLRTFLQPLSPYSCFDRSHSRHCHDDSTPTTRPGGGTPTRSDATSVTMSAMSVSVKIREAIARLRDGA >cds.KYUSt_chr7.4794 pep primary_assembly:MPB_Lper_Kyuss_1697:7:28958026:28960193:1 gene:KYUSg_chr7.4794 transcript:KYUSt_chr7.4794 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSKKWSRLWKCYPKLVFTRATMRGSNAATTGPAKPLRTRFIRGINSIQRQLKSSNLNKFVVKFALRKRHTPHIDRWINFCAASRAKHVVLDLCPGPKGSSDKDDKYSFPLHLLSASGGSCVKSLSLGFVYLTLPPDHCGFANLKKLSLQMVHVTGDLRCLLPNCAVLEWLSLSKCRMDELSIGQELSRLHYLQVKYCILQKLDIRAPNLTMFLFAGRTIPILLGEPVKILEATVELITSSDCFSYVFTDLVDALSHVQSLSISFRIETKVINFVKNQTRLTNLRRLVLKIDIVGSPEVTGGILRLAYLLELAPALEELVLHMCCFDSAIDGEPNEDAYRPHPHHHLKTIKMTGFYGLLGQVELALYLLRSATSLERMIIDPVVRNNWFTPSMGGAKPNIDRGTSIALNKLSRQEFSKVLDILY >cds.KYUSt_chr4.19017 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119474937:119477303:-1 gene:KYUSg_chr4.19017 transcript:KYUSt_chr4.19017 gene_biotype:protein_coding transcript_biotype:protein_coding MCEDEEGMADALARYEEQPSSPPGQTAKASGVRQLPVPRADAPAIAGRLRRPLHPALPSIAEIEGRDLRRHRAPPPSPPHPALPSVTEIDGRPPWTPPQPLRSVAVHSPPSPYPPTRPPPLYRGARRKVHGLSSVAVHSSNAAPSPPSLSSPEGESFAPLVRRLAVPLGTVFHVEGFVEELGLLPSQVDVFSG >cds.KYUSt_chr5.35863 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226538497:226543905:1 gene:KYUSg_chr5.35863 transcript:KYUSt_chr5.35863 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDGRRSSAQMGLRDLVMGRPACAAPGSSSSSSNPLRSLADALIGYSPKSEINRTCVLHPLHLFKQLCTHSLFENPFSLQFKVVPLSTVPGDENEIDLDQGSTRSIKGADFVNGHHGDACGDNFQTSILSVPELRGMGPDLQELEQIDRATSMNLNPKLGPQEMGLPELLCQSPFQPNNVLAHQPGEHLQGLVNRFIDIIDASYDIEMRLARMISHPQVDEFWNQAPTTVYELQKRCTKVLPPLFEQQHGVDSWLSEFEQGIDQLVISTDELPGSWLSFLGIALSHLGGLFQPKFFDESIKWHEQVIEHRVGIEMEGLQQRSGWSVKIEATRGSLFNALQDAAMLAVITMRQHFPFEFRDTPFTVLPMVPGQRTRLDYPVVGGSAVASAFMGITNVDVATLQRERFTSFQCERWEYFKALKEHTLRRGKLLAVARKMMEEIPSTPEKNQRIVEILENSGKMEADFIPRNCSWSVLVSEKAQTQVEPSHVEEIAPATSSSAVPAVARL >cds.KYUSt_chr1.37882 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231369096:231378367:-1 gene:KYUSg_chr1.37882 transcript:KYUSt_chr1.37882 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHHLLLPASPIPGRPPLSACPAQTPTQTAARRLAPLVLLMDPAAPAPAPAPADADAEANGESGGYADDWEFADAEAGSEPGMTPGGDQPRELPEELARGVVCLECVTSPEALEAGQDPTCRVYLVGTAHVSQESCDQVKAVIDYLKPQVVFLELCQSRIAILKPQNLQVPTLNEMIDMWKNKKMNTFGILYSWFLAKVASQLDVLPGAEFRVGYEEAMKYGGKVVLGDRPVQLKDMDDVDMLTLVIQEMSKAFPSLMETLLHERDMYMSSTLLNVAREHSSVVAVVGKGHVSGIKKNWQQPIEMQSLLELPVTRQGPSKMKILASVGAISAGDGAPSGVHVHPDAVGDAMGHAVSACPLWRSSRPAAAGVHPTSCSAPDVSTIGAQLPDRCTAAGAEDLLTGYAASSTGIFSSSSSERGAGDPPNLQIGAHRRMIRHHLLLPASPIPGRPPLSACPAQTPTQTAARRLAPLVLLMDPAAPAPAPAPADADAEANGESGGYADDWEFADAEAGSEPGMTPGRDQPRELPEELARGVVCLECVTSPEALEAGQDPTCRVYLVGTAHVSQESCDQVKAVIDYLKPQVVFLELCQSRITILKPQNLQVPTLNEMIDMWKNKKMNTFGILYSWFLAKVASQLDVLPGAEFRVGYEEAMKYGGKVVLGDRPVQITLRRTWGMMSLWHRTKFLYYIIFQSLFLPSPEDLNKMLKDMDDVDMLTLVIQEMSKAFPSLMETLLHERDMYMSSTLLNVAREHSSVVAVVGKGHVSGIKKNWQQPIECSRQLSNFLAGAELTGITSD >cds.KYUSt_chr1.36105 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220075721:220079458:1 gene:KYUSg_chr1.36105 transcript:KYUSt_chr1.36105 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHDETPFDLDFHPSAPLVVTSLINGELHLFRYADGLQPERLFAVKAHKESCRAVRFVDSGTVILTGSADSSILASDVETGKAIARLEDAHDNAINRVVCLTETTVASGDDEGCIKVKAQSEFSEDELLSLVIMKNGQKVVCGTPSGAILLYSWGHFKDCRLVSILPNQIKQPLAEHSEYPIEAIGFSHDRKYLGSVSHDKILKLWDMEELLAGPQLDNHNELPEAAAAAEHDELPEANVAVDHNEVAVADSDDDGMDVDMEPSSSTGNTPSALY >cds.KYUSt_contig_815.137 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:779981:781080:1 gene:KYUSg_contig_815.137 transcript:KYUSt_contig_815.137 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAMASSSLALRPRASSSPRPLRPSRRAALPTQRPPATELSVEHKRPVSETTTTTRLYSLAPYPLLLAALLPGAESVTAAFQPFVDIVKTFDLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIKLSDDPEEKAKAKDLHPKLLGGMFFFFAAGATGGVTALLTSGKPIFESPHAVTGIIGLALLTVQSLLPTLFEGNPGLRGAHGLLGSSIMTLFLFHAAFGLQLGLSF >cds.KYUSt_chr6.8313 pep primary_assembly:MPB_Lper_Kyuss_1697:6:50978471:50982347:1 gene:KYUSg_chr6.8313 transcript:KYUSt_chr6.8313 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGRPREGIVLELPAMACIALLQPTSDSGEHRKKAPGPSCRISKRETPNRGTTSRTPPSRRSKGPRLSPGDNNQKQARARETLTHLDDASNEGHDTRGRRRRRPNKSGRDHGNKKEAGYEAFVVNGWDSWNTPARLKDNVGDVDSIHNQAMKKCFDLLKRDQHIDVAINIQIERCFSAMKLVKTFLRYHFNDESFSDDVICYVEKEEMKKITNDKVVMNLAFDDLLWNLEAQEIFFKVIGVPALPLPARNTAAPAGEEEDMKKHPTSPRMSVAPRSSSGEGTSSQCARAA >cds.KYUSt_chr2.1341 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7947762:7948403:-1 gene:KYUSg_chr2.1341 transcript:KYUSt_chr2.1341 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFWKTVLCLTLFAAWRSQVEFRSMTSRRPLDCAQRCPCSGESYLHHHHHHHHTYSHAQAPDPMLATAPLDGDSVVGGDGNASSQLRLAREVGLRAAAGRGSNFIVSPLSIHAALALVAAGARGETLQELLGFLGSASLEELHDAAWLGLVGKLNGLTQTSFACGVWVDRGHELQPEFMATAASRYAAVRGVERRGKGRRLVERLRPRPLEV >cds.KYUSt_scaffold_869.129 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:693268:699001:1 gene:KYUSg_scaffold_869.129 transcript:KYUSt_scaffold_869.129 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEETTSSSKAAAPATIRLVNFVSEEQARLQSPNLSGLLARLPALALCLPTIWLLANAPVPAVQILQENKDKKDAEFNERFKHRPPKALDEDESEFLDKLALSRREYEQQVANEEAEQLRSFHEAVAAQSTIAHELVEIPTVSRPEESKPKPPAKRSQPALLRNVIVSVKPQAKKAKVGTESKPSPKELPSNGHDTDCKPPGDTKSVLGSLAAYGDDDESGDDDEQQ >cds.KYUSt_chr6.31501 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199098798:199102397:-1 gene:KYUSg_chr6.31501 transcript:KYUSt_chr6.31501 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGASCRRSGALLILLAVFLAAAAAASASTIGDKCAACKAVAAELEIGMSSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRIVELLDDLCDKMQDYTLQKLDSGEKEWVKVTNWNSYQTDKKAAARAHSKNLSSYCGRLLEETEDELAEWIKTSSAEAGNVSQALCGDISKHCQST >cds.KYUSt_chr3.30919 pep primary_assembly:MPB_Lper_Kyuss_1697:3:194012001:194015933:-1 gene:KYUSg_chr3.30919 transcript:KYUSt_chr3.30919 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASQGTFPIWAKTVLRTSLPLDTGLPCRLMTVSAMTGGGCQSRRKGQARSGPRWSSEHPLPLDPGLPCCRSPGFPCPSSSMSQTASVSCNCCSHDEITTISTEVRTKLERRGTVCKVKMSTGKTRGRYHTYRCCVMLQLAHRSLCSGQEGKPPSPQLEKEHTLLALAPPRQSAPELRTVRIRRHTPSHSSHDLEEETTGEHAAHGTDDRTLSR >cds.KYUSt_chr7.7638 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46144485:46146077:1 gene:KYUSg_chr7.7638 transcript:KYUSt_chr7.7638 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGGRGGRGGGRVGGAGSAPARKLSAEELEHQRRTSDVTGTKLELAAPTLMAGDFVPDVALGTVAKLLEDKSRRYAEKKAEWLREQAVSTSSSTAAAARSSPVDVSSGPPDNNTGSMRLLNGVSYGNEASLRQSTPR >cds.KYUSt_chr7.6566 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39676400:39682927:-1 gene:KYUSg_chr7.6566 transcript:KYUSt_chr7.6566 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLGKKARKFANKHLQGGAKRSRKLRSQFNRRTRKDGKGREDGGRLDGDGDEEMRRSEPTMNTQEDVATLANSLEFPEDENEFDGDLSDSDGYLSEDPECPHYSDSEDGDVVKDCIVKDGLDGQNNEMNLAVKKQKKKLKKLLDKDPEFANFLGKWESELVSHESDEDSDEQDEMDSGDDGVDAGDKNPPSDKILTSKTISEWCQLVSKDPKAPALRNLLNAFRDACRFGLHSNSLSTQRLQSTEVFYQIITFILSEADNIFRSLLDIPDDDKGKLMNLRNGQKWQDVEPLIKSYLRNCLDLLSQLTDNQILTNVLTRLRASAVYFSAYPSTSRRLLKILVHFWASGDQSLSLSSFLMIREVVSLLPDCLDLCLTKGYNTYLSSSKLVDNRNIKNIDLFMNCLVELYSLDVQKSSERAATSVGQLSAILRQVSKSKEKEDLLKIDNWQYINCINLWVRFICVNYKDCHNVHSLLSSVAQIIRGVAYLLPGMRYLPLRLKLAQMLNELLNCNQMFFPVPSLIFGCLEFREIFQKEQTEKTNIHFSSLLKVPRNLLKSRDFQEQCILSAIEVLSAHFAQWSYHVAFPEVATIPLILLKRLHEQTTIDSLHRPLKRLIDQVSENRDFVQRKREVVSFSPNDMSSVESFLEDEKMSGNASFTQYYESVSKNRQSRAELCFFACTFLGKVWSKIEYPSDHSNEDRQSILYLRRKLID >cds.KYUSt_chr4.27334 pep primary_assembly:MPB_Lper_Kyuss_1697:4:171703892:171706317:-1 gene:KYUSg_chr4.27334 transcript:KYUSt_chr4.27334 gene_biotype:protein_coding transcript_biotype:protein_coding MITAADLYHVFTAVVPLYVAMTLAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISSNDPYAMNLRFLAADTLQKLAVLALLALWCRLRRGSLDWLITLFSLSTLPNTLVMGIPLLRGMYGAASAGTLMVQIVVLQCIIWYTLMLFLFEYRGAKMLVLEQFPDTAADIVSFRVDSDVVSLAAGGGADLQAEAEVGEDGRMRVTVRKSTSSRSEAACSHSHSHSQSMQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVGKSGDEEKGSAGAGTGGHSPQPLPQALAAKRKDLHMFVWSSSASPVSDRAAGAAVHVFGGGADDVLAKGGAQAYDEYGRGGGDDFSFRNKNGGAANGDGPTLSKLGSNSTAQLHPKDDGEGRAAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGVVWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLAAYAMAVRFLVGPAFMAAASLAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPNILSTAVIFGMLIALPITLVYYILLGL >cds.KYUSt_chr6.26938 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170810989:170812608:1 gene:KYUSg_chr6.26938 transcript:KYUSt_chr6.26938 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLLVPLLAIIPFLLRRSAPHAHLPPGPWALPMIGHLHHLAGALPHRALRDLARRHGPLMLLRFGEVTAVVASSPDAAREIMKTHDPAFASRPVGPMSRLWFQGSEGLVFAPYGDGWRQLRKICTQELLSARRVHSFRPVRQDELRRLLRSVASPSSSSCPVNLTEIIAAYVADSTVRAIIGSRPFKGRDACLKLFEDMFRMMPGLSLPDLFPSSRIAMLISREPGRIKRCRHAMLEIMDAVIQEHRERKATVGGEDEDLVDVLLGLQKEVGSQHPLTTENIKFVMIVRSSGDPTQQPPISTLCYACAPRIIDLQLIPLLLSHVQDMFAAGSETATTALQWMMAELMRNPRVRHKAQEEVRREMAGHREVSEDSLGNLQYLHMVIKETLRLHVPGPLLTLRQCRNSCQVLGYDVPEGATVLVNAWAIARDPAHWDAPEEFVPERFEQDQQGGGRDFKGTDFEFIPFGSGRRMCPGMTFGLAHIELALAALLFHFDLELPGGVDAAGLDMTEEAGITTRRKSDLLVLATTRVPVPAK >cds.KYUSt_chr4.9186 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55397873:55400158:1 gene:KYUSg_chr4.9186 transcript:KYUSt_chr4.9186 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHGRRSVAAIFAVAIFVAAAAAARDDGRETYVVHMSHSAKPSGFAAHGDWYASSLRSVSAGAASVIYTYDTLLHGYSARLTRAEVRALEAQPGVLLVNPETRYELHTTRTPEFLGLERADAMFPESGTSTDVVVGVLDTGVWPERASYDDAGLGPVPASWKGKCETGSDFNSSACNRKLIGARFFLAGYEASKGPVDPSKESRSPRDNDGHGTHTSSTAAGSTVHGADLLGYAPGTARGMAPRARVATYKVCWVGGCFSSDILKGMEVAVADGVDVLSLSLGGGTSDYYRDSIAIGAYSAMEKGIFVSCSAGNAGPGAASLANGAPWINTVGAGTLDRDFPAYVTLGNGNKYTGVSLYSGKQLPTTPVPFIYAGNASNSSMGALCMTGSLIPAKVAGKIVLCDRGTNARVQKGFVVRDAGGAGMVLANTAANGEELVADAHILPGAGVGEKAGNAMRTYATSNPNPTANLVFAGTKVGIQPSPVVAAFSSRGPNTVTPGILKPDLIAPGVNILAAWSGSVGPSGIADDTRRTSFNIISGTSMSCPHVSGLAALLRSAHQDWSPAVIRSALMTTAYAAYPSGGGLLDVATSRPATPLDVGAGHVDPSKALDPGLVYDLTAADYLDFLCAIDYEPSQIAALTKHSTDRCSDKKTYSVAALNYPSFSVTLPAAGGKEKHTRTVTNVGPPGTYKVTASAAAGSTPISVSVEPSTLTFTKAGEKKSYTVSFAAAGLPSGTTGFGRLVWSSDHHVVASPIVATWT >cds.KYUSt_chr3.45087 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284057313:284058095:1 gene:KYUSg_chr3.45087 transcript:KYUSt_chr3.45087 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAAVLLAACAALLALAAPLLAGDPSMLQDICAADYKSLEGPLRVNGYPCKRPENVTAEDFFFGGLANAADVYAGGNPMGSVVTAADVEKVPGLNTLGLSMARVDYAPWGGANPPHAHPRATEVIFVIDGTLEVGFVTTANRLITQAVPRGGMFVFPRGLMHFERSVGEVPAVAISAFDSQLPGTQPAAAAMFGAVPTDVLVRALQTDAGVVERIKSKFPPK >cds.KYUSt_chr6.8816 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54191908:54194843:1 gene:KYUSg_chr6.8816 transcript:KYUSt_chr6.8816 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAPRAPRGPAEPLKQRVNRCLLRLSDRDTEAMAAAELDAIARSLAADELPAFISAVSETRPTDKTPLRRHALRLLALVAASHPRDAVAPLVPRVLAAALRRVRDQDSSVRAALVDAARAGAAASASASTALSPLVDALFHEQDQCAQLAAALATAAAVEASAAPAADLAAYLRRLQPRLLKLLRTNAFKAKPALIALIGASAAAAGAAEATASIPCLRDAIASDDWAARKAAAEALAALALEYKDLLSTHKSSCVAYFEARRFDKVKIVRESMSKMIEAWKGIPDVEEEESSSCTAPASLSQRRSSLAGSASDGRYPAASLGSNSVQSASRKSRLPTSRSPPPDVSPSVTRRHSPSSIRNKKLSPPTPRKVAQAKSCDYKVDIAIAPDATPIKEVTEEKLLKAGNLRSRLEARRTLFEGGEERVTKSVGAKAGSRVVPYEGGGNLEEISEVEAGSERFQSGHKDEGLSEIRTQLLQIEKQQTGLLDLLQKFMGKSENGMNSLETRVHGLEMALDEISRDLAVSSERMSHGEPRVNSCCIFSPKFWRRRHAGRNSSRFSPSSVPNSSEGSRSSYKWERQKFGVQGGFVTNPLAEPNISSVGRTVVTQEGRRKDLASQKSR >cds.KYUSt_chr7.15885 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98660243:98660716:-1 gene:KYUSg_chr7.15885 transcript:KYUSt_chr7.15885 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGPANLPNSENQLQASQGTAIFSYTCIGLTGAALFSVAFFFCYQFRNRAPVAAAGAAAGTGGRGRIVDLAKLPEFAYTKSARHSGRGDGAQCSVCIGTVEGGEMVRQLPLCKHLYHVECIDMWLASHDTCPLCRAEVEPPDDDGQPAMTTELPV >cds.KYUSt_chr5.31185 pep primary_assembly:MPB_Lper_Kyuss_1697:5:197633171:197633645:-1 gene:KYUSg_chr5.31185 transcript:KYUSt_chr5.31185 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHHLLVSSLEEDMCIDIGDYPIFSYEPHSIAATRARISTTYSPSSLRTLRVTLRVLSVHRLDWVAALVGGRSRNSGRFRALVSFAVAYPDQFYAAMAYTGFGARWVLRPPPPSYLTSRLSSSMTYTNR >cds.KYUSt_chr1.5473 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33756995:33758669:-1 gene:KYUSg_chr1.5473 transcript:KYUSt_chr1.5473 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKKRASGGTAGSRPLKRARDDIDDADLISNLPDVILGTIISLLPTKDGARTQAIARRWRPLWRSAPLNVDAEDLSTDDSRYETRRRGPRPTTKSIISTILSDHPGPVRRFDFRLICIYPTKRGYGKDAAHIESWLRSPSLDNLEELNVFFPISILADQTKFYPLPSSVLRFASTLVVATIGYCDFPNEILASSLNFPLLKHLTIQRVSISEDIFHGVFSACHVLETLFLQENDMAYLHISSPTLTSIGFEDCYVGEGGLVIEDTPNLERLLCLGLDCGTIRVNRAPKLGILGPLSPRNSNIQIGNLVFQGLIPTSLNNSICTVKVLALRFTWTNLDAVLNILRFFPCLEKLHVLWNKFLKAKMENVHHYDPLDPIKCLETHLKKIVLKNYKGDERDVSFAKFFLLSAKVLDEIKFELNEMIDEKWVADQHGLLEVETKASPDAQIKFIRGSSYLNAKLDIHDLSTSDPFNSLCADRPFRRSRII >cds.KYUSt_contig_686-1.548 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3314587:3315259:1 gene:KYUSg_contig_686-1.548 transcript:KYUSt_contig_686-1.548 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMAAPGAGAADHHQKRRRGRPLSADLLQNCDLPPPAKLFGPLPTLQRLESAAGADNHHKGSDGGGGGGNDSLLRALRLSQSRAREAEEKLAAAGASNGGLAALLLRDSAALSAHRRWVMMLEAENSVLRAGRGAAPGGAEPGEGEDGGAVGVAAAWWVALAVCVGIAGVGLALGNLLL >cds.KYUSt_chr2.27423 pep primary_assembly:MPB_Lper_Kyuss_1697:2:168236813:168237547:1 gene:KYUSg_chr2.27423 transcript:KYUSt_chr2.27423 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRAVISGRIYVWLLNGWVPMGYMDLWFGLVRVHAIVVPEGLCASFNGQTRGRRRCCCAHPVDVGLGSVPGEIQQLGSEVLEDGGEVDGGAGADALGVPALLEVATDAADGELEASLDGPAHRLLPRPAGLVPGRSLLRLADGYSA >cds.KYUSt_chr3.12789 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76688105:76689869:1 gene:KYUSg_chr3.12789 transcript:KYUSt_chr3.12789 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAEMAKKVAALEAELAAKSSRIADLEARVSFLEAGNARLRKVLFSDRLEEWIDVDLSKGESVEEGGVPAVPAPVKFAVRVATGQTDDKVEIVEAEGGGAGDHGSIPCDVHVGLEDDDVSITPGGKNRNAGARVISDSEDDDKDESHGGHGNQEVGVARSRKRALRGVSDSESEEEEDCELLYEMEGCSTPPKTGRSARFVKSQAKRSRPARRVLELVEPKDHAQSEDGSDEDDSMDEFIVDDSDCSENSSDCAGESSAEIELSDNEENYGEIMDRIRGKKNAKNKDWETKAEMLSAFDEHRELTLKAVCALYRQQTEEEQAEKATIVHNKRGFSQIDAPRGSRVAQFLLDGDAFGPLKKTAQDLKKYDRYGLQFCHKMAFRYSKQLFAIYQSKEDPYFP >cds.KYUSt_chr3.13336 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80346860:80347195:-1 gene:KYUSg_chr3.13336 transcript:KYUSt_chr3.13336 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSALAWRDVEQAASPVAARTPATSMPRSMATSGYNYFASPRRSTGGDLEVVGELHVLQAMSCGWITSSWATNPARSCTARYEVWPPSSTVPEWRPERAVGKGNFCRG >cds.KYUSt_chr5.20149 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130954886:130955293:-1 gene:KYUSg_chr5.20149 transcript:KYUSt_chr5.20149 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTPDSKAATLPSAVAMVGARAEAKAASPSIGGEMEAKEAAGKKKMARCDPIFVKQLIEKKKLYPRRPFQLVPDELLSNGGDEVQKLLEIQNRCAASLKEKWDWEDDIINQYYTKGHAEYLVDEEEGSSVLCRL >cds.KYUSt_chr6.24773 pep primary_assembly:MPB_Lper_Kyuss_1697:6:156664625:156665413:-1 gene:KYUSg_chr6.24773 transcript:KYUSt_chr6.24773 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTGVAVADSPSSVVGGTSSALGAPRPSRYESQKRRDWQTFGQYLRNHRPPLELARCSGAHVLEFLRYLDQFGKTKVHAAGCPFFGHPSPPAPCPCPLKQAWGSLDALVGRLRAAFEEHGGRQEANPFGARAVRLYLRDVRDSQAKARGIAYEKKRRKRNPASKQKTRQGQEETQVEVVRHCGMVDHPAAHAQYLFPMHSQYLFQGHFLAPAPDGDPAGALEGGVVHGAGEDIVMVMAAAAAAAESHAAGCMMPLPLSVFN >cds.KYUSt_chr7.20110 pep primary_assembly:MPB_Lper_Kyuss_1697:7:124574258:124586251:1 gene:KYUSg_chr7.20110 transcript:KYUSt_chr7.20110 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPRRSLVLLLLLLRSFFAAATPSITTKAVPRLPGFSGPLPFSLETGYVELDDGVRLFYYFIQSERDPEEDPVLLWLTGGPGCSALSGLVYEMGPFYFDFEGYTGSGLPTLLYKPTSWTQVSSVIFVDSPAGTGFSYDTTGNRTIPSDTIAIQQLHTFLQTWFDEHPKFLPNPFYVAGDSYSGIIIPPLAMKVAKGIEYGDEPLINLKGVIAGNPLTDATTDINARVPYLHGDIVELKSPNPQAAHDGCRGEYLRPSNANCADSLQAIQDCIRDLNDVHILEARCPEYPSLAIQKQQALQDHSRKRLLESAVWSICRNATYFLSEVWTNDEVVRESLGIHKGTVPSWLRCDFDIPYTMEIASAVDDHLALITKGYRAMIYRFTRTYSNNLTFATVKGAGHTAPEYMPKECLAMIDRWLSGLAPVPLSPGRMHRSASPIGGIGPSHEETLAPARLHLTRPAPPTGGGSSADPDATTVLAMATAPPRRSLLLLLPQLLLLRSLLAAAAPSITTKAVPRLPGFSGPLPFSLETGYVELDDGVRLFYYFIQSERDPEEDPVLLWLTGGPGCSALSGLVYEIGPFYFDFEGYTGSGLPTLLYKPASWTQWFDEHPQFLPNPFYVAGDSYSGIIIPPLAMKIAKEADVEDSVVLVAVVVTVVLGRGLVMAAKTASLAGVTLGARGGQLNDIINVDHKTEEAHALRCSSFSASAKDQARENSSDAGCTSSKEARMSIRWLGHSSRSSFSRRAIWVAAASYTAPTLASAPAWG >cds.KYUSt_chr4.47581 pep primary_assembly:MPB_Lper_Kyuss_1697:4:294569698:294570575:1 gene:KYUSg_chr4.47581 transcript:KYUSt_chr4.47581 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASGKRLILQLLVAFLAASAAMSDWLPGTATFYGGSDGSGTMGGACGYGDLYSQGYGTATAALSTALFNDGASCGQCYLIICDQGKSDMCRPGKAITVSATNFCPPNWALPSDDGGWCNPPRAHFDMAQPAWLNIGIYEAGIIPIVYQQVKCWRDGGVRFTITGSNYFEVVLVTNMAGSGSIKSISVKGTNTGWMQMSRNWGAIWQGMSSLEKQAISFGVTSTGGQYILFQDVIPAGWQYGQTFSSWRQFDY >cds.KYUSt_chr3.5537 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31276515:31277087:1 gene:KYUSg_chr3.5537 transcript:KYUSt_chr3.5537 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNSLLAILLLLFLIQRTRADCKTVACGNLTVKYPFWLGAPSQPPPEVSCGQPAFELLCTGNGSSSTASLRGSAIRVLSIDYAASSFVASHGRVAVGNDGVCRTDFSMSSSLSLSPFKISPSNRAMCFLYNCNGTEPRGRGYMNVTAGCGRSIFAYLAGSYDRDRPPAILAGSCTPTRICRCSGPKQRP >cds.KYUSt_chr2.45607 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284419563:284421732:1 gene:KYUSg_chr2.45607 transcript:KYUSt_chr2.45607 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASRWLRGLLGGGGGKKGASAEPKPEREKKRWGFGKSFREKDPVRPPTPPAQRAATPRRAYASSDDGADEQNKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCVPAGAKHEEWAAVRIQAAFRGYLARRALKALRGLVKLQALVRGNIVRRQAAETLRCMQALVSVQSRARASRVSRSRQAAAHPGATTPEKYEQGAYDGAPRHGRSGSLKGGSSRTPGSDRLGRERSESCGRNWLDRWVEERYMDDEKNAKILEVDPGKPGRHHASKRRSSGSHLQQSSCSTRTSEQNSRSYATMPDSPSRDSTTAQQSVPSPASVGMAGEALSPLRMPVDLAELYDSPQFFSATSRPGSSKRASVFTPTKSECARSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPALYEKSGSLRKASAHAFAPGPAAASLHAKFTNKAYPGSGRLDRLGMPVKY >cds.KYUSt_chr2.36285 pep primary_assembly:MPB_Lper_Kyuss_1697:2:224109654:224112328:-1 gene:KYUSg_chr2.36285 transcript:KYUSt_chr2.36285 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDHTGTTNHPGTAASMDAALLLEPKFEMEPPLQQHHHLPSPRYLALNHQPPPPLSLAEPPRPLEALLQGPQLPPFLSKTYDLVTEPHLDGVISWGRAGNSFVVWDPSTFARDVLPHNFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEGFLRGSKHLLKTIVRRRSSPTQQSSLQPGSSIFRKTQPTSSGESTLDPELHSLRTEKNALLQEVARLKKEHSQTIEHMNTLNQRLETAEGRQKQVVSFLAKLLQNPDFLRQLKKHRERREEIDSVRVRRKFLKHAPHGSTDSGDSSSPRTGESGLELPASSPAHLIAHDAIADLQNFLLEDTDLSDGMLPANFGIDGVQDTGAMVQGFDTPDELDLGTRADLLGLPPSSGTAHCQDPTIGRSKGKNVMCPGLDGTSTETDCLVSLPDNMGMVSRAMAGNLVDADGEQTWGVDAYLQSSGSGSGQQAYGTLASDPYLMEIANRPERFWELDFEALDDGDLHLDKCVIGDPALQQHRGNMKP >cds.KYUSt_chr2.50934 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318600560:318601651:-1 gene:KYUSg_chr2.50934 transcript:KYUSt_chr2.50934 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHPELAAPAGGFGPVAKGRKLEIRQVWAGNVDYEFWLIRQAAAKYPYVAMDTEFPGVVHHPTKPHFLLTPSERYAALKANVDALHLIQVGLAFAPSPDAPPAIAFEINLREFDPRVHRHAPESVQLLADHGLDLAAHRAHGVDARVLAAHLMASGLVCAGGAASAPAVTWATFHSAYDFGYLVKLLMGRKLPRTLPEFLGLVRVFFGEQVYDVRHIMGRCPGLRGGLDAVAAALGAQREAGRAHQAGSDSALTWEAFRRVRQVYFAKEGVRGFAGVLFGLELELDLAAAAANGNKHGGAGAINNNNNNKVGNSKFVVNNGSSNNKFASNGGGRCAVGGGRNRSRNSRRVAPQVQVAVAALR >cds.KYUSt_chr3.41005 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258835899:258836855:-1 gene:KYUSg_chr3.41005 transcript:KYUSt_chr3.41005 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFSVPSCFSSGEKQHLPDATASSATRSGQSAVTLVYRAEIAGQSRLVTVTWCRNLLTHGMQVSIEGSAGGGKDKTGVGGGGRDHSNGQGGAGDGKSCSACKVEMQPWHFWRKYGAKQFQVDGRAVDVVWDLRTARFSDEPEPASDYYVAVVSDEEVVLLLGNQKKEAFRRTGSRPSLSLQDAAQLVCKKEHVFSKKRFLTRARFHDKGKLHDISIECSTGNLAAGMDVDMAIKIDGCVTVLVKHLQWKFRGNDCISINKMKVKVYWDAHDWLFGTGLRQALFIFKPEAPPLLPASHFDADEFSDFCLFLYAWKVE >cds.KYUSt_chr2.5332 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33079048:33089631:1 gene:KYUSg_chr2.5332 transcript:KYUSt_chr2.5332 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRLQAVAAVLALVAGAGVSHGFEFHEATVEAIHLGFTNGSLTSAALVQFYLDRISHLNPLLRAVIEVNPDALLQARAADARRAASGGRLLGALDGIPVLLKDNIATRDKLNTTVGSFALLGSVVRRDAGVVTRLRRAGAVVLGKANPSEWCNIRRVDNGWSARGGQTLNPYALSSTPCGSSAGSGVAAAANMAAVTLGTETDGSILCPSSFNSVVGIKPTVGLTSRYGVVPISPRQDTVGPMCRTVSDAVKVLDTIVGYDAFDAAATGAASKYIPSGGYMQFLKKDGLRGKRIGILNGFFQKSGETQLRVYNQHFTTMREHGAVMIENLDVATNLTALLADIGANEWIVILAEFKLYLNAYLADLWTSPVRSLADIIAFNNAHPVEERLEDFGQANLIAAEKTQGIGSVERAAIQRLEEMSANGLEKLMKEKQLDAIVTPDTSASSLLAIAGHPAIVVPAGYAEAGVPFGICFGGLHGYEPRLIEMAYAFEQATKDLFIPSGFFDLLLLEFRAGFVSDFSGTTTTVQAEFVVVVGARLGAAVGALARALVQVVAGAVAATVVGAAAEAAGTVVGAAVGAVIGVVVGARSRVAAGVLGGTLVNVVAGAVAGAAVGVVAGVTTEVVAGAGVVAFIGAEGLTAIMSCSVELWELIVHGHREPQDPTRLTSTEFYNRQLNASARDKIRSGINRKLLDQVDDIVSAKELWDRIIVLQEGTDLIQSALYETAKQEAYQFMIRDGESIFDAYARLGALKVRVKGLGVEKYNDGFEMNEAFIKSKVIAMIAVKQEDTNLGLNLQIMTKSADLNSDDLVSYVAANESMAKAGKRLKAMNRVDEASHNHEASHNLALKARADHESKEDYEIEEDEEMTSTSDIATDFAFFAKKYKAKFPMLLNDKKKKRTCYNCDEDNHFANECPYEKRVDKPKFIKGVKPRLKPNPINDRYKKNKGRAFVGAEYLSDEEEEDEEKEAGVAGLAYSKPGSLFTYDYSKDYSTENDVGSSFMARTTQDDDSDDSPSSPIIGSCLMARETKVMVKDHISFYMCFGVDDNDNI >cds.KYUSt_chr1.40595 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248915108:248919901:1 gene:KYUSg_chr1.40595 transcript:KYUSt_chr1.40595 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGEESGSDQEVAGMSKPLLLKNNGSWYRMAGSSWRQSAGASSMAVLRESHVSALLCTLIVALGPIQFGFTSGFSSPTQDAMIRDLGLSISQFSAFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYLGRLLEGFGVGVISYVVPVYIAEISPQNTRGALGSVNQLSVTMGIFLAYVLGMFVPWRLLAVLGTLPCTLLIPGLFFIPESPRWLAKMNLMDDFETSLQVLRGFENDISMEVADIKRAVASANKKATVRFQELNQKKYRTPLLIGTGLLVLQNLCGINGILFYASRIFRAAGFTNSDLATCALGGIQISTAGTTLSLLAVAIAFFLKDNLSHDSHSDYILSMVSLVALVAYIIAFSFGMGAIPWLIMSEILPVGIKSFAGSFATLANMLTSFAVTMTANLLLSWSAGGTFALYMVVSAFTLVFVVLWVPETKGRTLEEIQWSFR >cds.KYUSt_scaffold_869.465 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:2985961:2991904:1 gene:KYUSg_scaffold_869.465 transcript:KYUSt_scaffold_869.465 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSAADAVIPACAVIGIAFAAWQWFLVAKVKVSAYASTGNGGHGRPVFRQEDEEDEDNRIGGESDDEEDGVDGPAAVARCAEIQNAISVGANSFLFTQYKYLAAFTVIFAVVIFLFLGSVHRFSMASQPCQYTKGKMCKPALANAAFTTAAFLLGAVTSVVSGYLGMRIATFANARTTLEARRGIGAAFATAFRSGAVMGFLLSSLGLLVFYIAIKIFGLYYRDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSFGADHDFAAVCYPLLISSAGLVVCLATTLFATDFFKVKTVDAVAPALKLQLLISTVLMTVAALVVTFAALPARFTMFDFGEEKQVRNWHLFLCVAIGLWAGLAIGFTTEYFTSNAYSPVRDVADSCRTGAATNVIFGLALGYKSVIVPVLAIAVSIYVSFTLASIYGIAIAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSRRIRQRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVTVINVLSPKVFAGMLVGGMLPYWFSAMTMKSVGSAALKMVEEVRRQFSTIPGLMEGRATPDYASCVRISTDASLREMMPPGALVLLAPLVTGTFFGVHTLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGASEHAKSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFK >cds.KYUSt_chr4.39052 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241098386:241102837:1 gene:KYUSg_chr4.39052 transcript:KYUSt_chr4.39052 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEASAAGGDAAAQTAAVEVEPIRLPSHEDMKMQDTYNNCVVRSVLSGVMGGGLGVMMGLFLGALENPVMAEEMTARQQIVYQAKQMGRRSMGNAKTFAVMGLIFSAVECVVEKARARHDVTNSAVAGCVTGGALAVKGCYGWAVFLPPSFSSARGTGTGEEIVSDAVKLAGAAETETSSVGDEYDVCLDEDDCYYYDEDIGGYVTVDNFHDLGDDIDRSRPPPSFTVPYYHGDGDNICMDSYNARYMVELLAVRPRFSFVGTFVAFTDYSECYSSSQRGQDSKGYLKLHSEGQAISDQFSIRITIPENGNRITDVGVFSYTVDPYTCDIAVTHTISTRRGRKMDVTFVPIHTATQVNVYVTLDLISSGSIYYVYGGITAFHQLYGGKDVILFSHGKEDKAKVIDGKLPLSRNWAAVPIYLKPLLTIKMNLCVTSNQDHDDHGRTISFQGDITFYRDDPEKTICTEDNDKVKVKIAYR >cds.KYUSt_chr3.6891 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39602774:39605195:-1 gene:KYUSg_chr3.6891 transcript:KYUSt_chr3.6891 gene_biotype:protein_coding transcript_biotype:protein_coding MREENGTASQPEKESMPQVSRGEGSTVANEKLCQLCQQYSTEALFYLQQNETKAEILGVLNHACANLGPLRQQCITLVDYYIPILLLEVSVVKPEQFCESAHLCPKGPAMRVSARGEACGLCHQALVEVLTMLKDPNAKLEIVKLLLKTCSKSEQYAPLCKRLVLEYTPLVLVKLQKYLETTDVCYAIHACKTGTPATMETALLSAAL >cds.KYUSt_chr1.35120 pep primary_assembly:MPB_Lper_Kyuss_1697:1:214052319:214053710:1 gene:KYUSg_chr1.35120 transcript:KYUSt_chr1.35120 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGIWYADAGPSSAVINVEGGNRTCVPAGDEQSELHPSPYEVIPKVRTQLRRVAGDLFTPHTVPIGPYHQDVESWLWTEEKKRAVHHLGQRGVDLSNLWLVLVGVQCRARGYYTHLPDEHAMDSDKFCNMLLHDGCYLLSFFVQYESESPTVEPSGSNRQLTTSLSSDNTVVRDILYLLENQIPLFVIDEIVKYLTAPGEDNSALTYIARPVEHLLQSQLYISDSPREAPSSSSHLLDLVYFYVASKKQQQHQTPTQAAPPRTGRWRRATEYRRYADVLFKRGAFDAGKEWTILAVDLDGGILSIPLLRVDSNTWTILRNLMALEEHKDARPVTAYCYFMSQVACTAEDVELLRSAKVIEHFLGSDESAAQGFAGLCHGVALDIDNLQRNYLKPIWHDMEKRCGIPAHNFKGFFREKYCSNIFYRFVFFVALIVFACQVVQSIYAAVAYHKPNNSSASFCCP >cds.KYUSt_chr4.21010 pep primary_assembly:MPB_Lper_Kyuss_1697:4:132372895:132377956:1 gene:KYUSg_chr4.21010 transcript:KYUSt_chr4.21010 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRPVSKQERGRRAMKAMKPLGFSSKLCSNVLRRLLELYDHRWALIEDDNYRVFAEAILDAQANGNGDPQPELEQEETDDFPAEGGPSTSLAAAATREDPLGPPSPPPSCPTAEHQGTTTELAISPRADSPPLARGTTRVLGKRRQRQTIDAYLQPEDGVFLREPKPEPVDMDCCDVQPGLVCRSPRGARNRTFQRCRNREIIEPTSSSLNNGTGSAVGNVQEAPDLHIVVASSAMGEIKMSLKCSVDPSKFRMPALEEVFKMVDDKCLASSKVLPPDFSVGSLLTEICQCVLQLGTEHAVEHTAQPDIVGNGCRSEDDRKMKQKAAEELLVSNGSENWPVNSTPVQQQHLALSTLRINHDLTDISKAEENIRISIVNEFGGEKFPPSFYYIPQNVVFQNALVDMSLAKIGGEDCCADCFGNCLSAPEPCACARETGGEYAYTLEGLVRPALIDECVSVNLFPAENQKVFCETCPLERSRNKASPEPCRGHLVRKFIKECWSKCGCNMECGNRVVQRGITCNLQDEEALCLDGSFYGNVGRFINHRCCDANLAVIPIEVETPDHHYYHLAFFTSKKVEAFEELTWDYGIDFETKKQPVKPFECLCGSRYCRGRRHHLRKRSIVAAAAIGEEG >cds.KYUSt_chr5.34424 pep primary_assembly:MPB_Lper_Kyuss_1697:5:218257380:218259697:-1 gene:KYUSg_chr5.34424 transcript:KYUSt_chr5.34424 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTFGLLLIICVAALHADASQEAQLREFIRSRRRSSDAYIVDETRLRVIGTARSLGAEYSVSDQARLKAGDEIPALPGQPVVGFRQYGGYVTVDEKNGRALFYYFVEAASDPAAKPLLLWLNGGPGCSSIGYGAMMELGPFRVNSDNKTLSTNKHAWNNVANVIFLESPAGVGFSYSNTTSDYDDSGDQRTADDAFLFLVNWLERFPEYKGRAFYISGESYGGHYVPQLAATILTHNMNNPTRTSLNLQGILVGNPLLDNNMRAKGAAVYMWSHGVISDEVWANITKNCKFDGSDGDACYYADVHDSGNIDQYDIYGPVCILASDGTFYPSRNIAGYDPCSIHHVDAYLNDPEVQKALHARSTKWSHLVLLGWKDSPVSMVPTLKWLLEHQLPVWLFSHVFSGDFDSMCPLIATRYTINDLGVAVTEPLRPWSEGKEVNMTIFLISHSLFVVGIDTDKWMQVGGYVQGYTRGLVFAIVRGAGHMVPSFQPERALILVSSFLKGMLPPKMAQ >cds.KYUSt_chr3.8972 pep primary_assembly:MPB_Lper_Kyuss_1697:3:52325570:52325884:-1 gene:KYUSg_chr3.8972 transcript:KYUSt_chr3.8972 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVGSVGGEYHKELDGQDHGPMDETRIGNRYTYSGGALDYTRMLQEHPKNSTKDAHDRTASPSACAGQSNTGHSCNPCQFSASHLISGQPAHASPDEETPASF >cds.KYUSt_chr2.47932 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299656396:299664885:-1 gene:KYUSg_chr2.47932 transcript:KYUSt_chr2.47932 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGLDQLQGLVRSYLNNRYQEETSIARGGEEQLDMKTDVKMDVKLDMELDMKISHGRAREEREACARGEEDVQAGPAPGLHFIGRNFSIVMDEQIDAPVGFNVTFTDGIEKRDEEIMLDVATCAMAFRILRMNGYDVSSDELSHLAEASAFRSSLQGYLNDTKSLLELQKASTVSVSKNETILDNIGYWSSNFLKEKMSSNDVDIVPVFTEVEYAVKFPFYATMERLDHRRSIEHFDAQGYQMFKTSYLPCLANKDHLALAVEDFTFSQFAYQAELAHVESWVKENRIDQLQFARQKQAYCYLSASGTMFTPELSEARISFAKTSVLITIVDDFFDVAGSTEEIENLISLVEKWDEHQELQFYSESVEILFFAIYTTVNQLGESASALQNRDVRKHMIELWIETLRSMSAEAEWVTSQYVPTINEYMTNANLSYGLGPIIPASLYFVGQELSESVVRDQEYNELIRLMNICCRLLNDIQGYEREGSQGKVNSVSLLVLHSGGFMSSESAKKTIEEYIASCRKDLLRLVLKEDSAVPRPCKENKMKVKGKTSSILKSRAIASLGLVVLTTHHFTKEMSNTLRMEHYSKLQLDVATCVLEKYQEETSIARGGEEKLDMKTDVKMDVKLDMELDMKISHGRAREEREACARGEEDVQAGPAPGWTGRQTGQPGP >cds.KYUSt_chr3.39180 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246850797:246866240:-1 gene:KYUSg_chr3.39180 transcript:KYUSt_chr3.39180 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRGGEAAGEVTRADFPEGFVFGVATSAYQVSLVSSMGDNRDLAKALEKLAELITTKGDGRGGSAGGGAIVPHTNIGQKLELSANEIKLEGVANYLRWSRRALLILNSKGLDERGEEDTHMAEEEVMAEVVDSHMDSSMEEVVDNEYGERWWTAIWTSSMGEVVDNHMDNNMAGVVDRQTLYHPRHIWQQLQSQLPIPLRDNQRKKDRMKQPLGTLLTMSTKMKVQVIRSDNGTEYINKPFADFLSSQGILHQTSCPDTPPQNGVAERKNRHILEVARSLMFTMNVPKFLWSEAIMIATYLINGMPSKILDGLGKEINEQGVAFYNNLIDFMIEKGMDDEDDPSATLDQVLNDTKRVGFFKGYVSGVAEAIRDGADVRGYFAWSFLDNFEWAMGFTKRKHKVTYWGREASSDAGQNHGGRPKGSGNQDLGRGGAAERAQAGRVGATSGGRQAEKTRAATYPVGLLMAPPGGGGEQGGLVERPTRPKPPGHAAVASLLRQRALLGRDRAEATEGNWDDGVAMEEPGFRQGAETQIGGIVDMEDDVYLEFDEEEEVKKDPGEAVTWQLMARYMTTFKPNTKAMFTKLVEEAWHLRTGIDYAEKGKNYYMITLFSKGDYDFVKRGGPWIFKQHALIVKDFDNSVQPSAPIKLDAVPVWVRIYDVPFGKQDETWGMRYGNGLGEALEVDVPDSELKKQEFLRVRVNLPYDRRLQTQITTGVKGKPREVKVFKLKYERVPYYCSHCGFMGHKKDDCEKRRIGTPSLDYDAHELRCSPFKKFEHRSHSIPPAGHPSARRGISFSSYGSAESHKRFGEERVHGARRKSLTPDPIQSRSGSVEDEMPPLMDDIIPGVIDGLGRTIGQVDLNSGPVLDMQRVVDSDGFEGKEVASSPEEELNLAAKVDALQVEASQDVRSPADLLGRDRSQPIIQFPQNKDRVRRLRHRLGVPFTYDNKRSGQANVQVRLDRAVANSEWRDIFGDTQVEHIVSPVSDHCLVVVRPMQETRAVTRGPRRHYEIWWERAAELPELIASAWEEAGKKDDLGDVRLGLDRIMQVLQNWSKKKFGNLLKELGKCRKQLDELLCRRGERADAIGWLRLGPNGR >cds.KYUSt_chr2.48650 pep primary_assembly:MPB_Lper_Kyuss_1697:2:304292276:304293161:-1 gene:KYUSg_chr2.48650 transcript:KYUSt_chr2.48650 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASTPEAATSAAVGEEARKEAEDVVVQYVVLRRDLADAWPMGSVVTQGCHAAVAAVWAHRDHPDTAAYCAPDNLDRMHKACNTGGERGDTAEEPGRETGSSWCPAQAVDRAA >cds.KYUSt_chr7.37145 pep primary_assembly:MPB_Lper_Kyuss_1697:7:231853799:231854483:1 gene:KYUSg_chr7.37145 transcript:KYUSt_chr7.37145 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNLSAHAYWTPDPAFLKYINYGAGVSEVEIDVLTGATTILRSDLLYDCGQSLNPAVDLGQVEGSFVQGVGFFTNEEYATNADGLLNVELINSARDQKRVLSSKASGEPPLLLAASVHCAMREAIRAARTEFSAESPLTFQMDVPATMADVKELCGLDIVERHLQSLSAAAAAKA >cds.KYUSt_chr3.29416 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184144081:184145046:1 gene:KYUSg_chr3.29416 transcript:KYUSt_chr3.29416 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTHTPTPPGDAASVATTLQIFSVKLEEIKEEALGSNWPLDVYGTIVARDSVDRNRNVLFHRARNNCQILKEKEASLHLTGPSRAIVAIDPVDFEIELKVKGSSTKSSQDRVLMRQTFIYSGGSESTLLSNTNCKIMLQCAKLENTVQATVVSVRVINWRKRAWPFRHGGKVSCVAKGSVKPQVGGKKVVLQHQLSMANSSQGYLHLSRHAVSVELNGELGVIISSPKHSGHIFFPAQECKSSRGICHLGPYEVEVTVAWSLLIQDKRCFISRDRTARLLPLSQKFEEKR >cds.KYUSt_chr3.12900 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77371057:77375947:1 gene:KYUSg_chr3.12900 transcript:KYUSt_chr3.12900 gene_biotype:protein_coding transcript_biotype:protein_coding VQNLIERCLQLYMTKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQFNLMKYPAPQNVPMAPMQNGMHHMPVNNLPMGYPVLQQPLMPAPGQPHIDPMVCGLSSGHMVNGIPAPGGYHPIRMNSGNDMAVDNGAPEAAHAGAMPSEMVMSPSSAASSNHAPFTPSEIPGMAMDTSVLDSAFGTDIGDTGPLQLGPDGSSRDSIRSLGQLWNFSLSDLTADLTSLGDLEALENYAGTPFLPSDSDLLLDSPDHDDIVEYFADAINGSQSDEEK >cds.KYUSt_chr1.4925 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30276099:30278940:1 gene:KYUSg_chr1.4925 transcript:KYUSt_chr1.4925 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSVDEDGGGVDGEAFWGHFPVPAACRNRDSCPPDLGFAMAAALEENNNWPFGISSIDAFLVSRLVSGAGVSSGLPCLFFSFSFGHVLVDALLTQIYSTRLVTSFARLYRTGVFRGRAHAKDMLAVVTAGAYVAVIPSAFRLLDVLGERELPFVLLGGGAAFLAATVFNAAFFHRVCAVPVLKDAGVIRFGVTMRRRLALVGSGNFYAGAAALALLDGLCVVPVAVLAAASSSKLLAFGTASSAVQWTVMMVMQVTQPAVYLVLWDNHHSF >cds.KYUSt_contig_7675.2 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001636.1:64259:64762:-1 gene:KYUSg_contig_7675.2 transcript:KYUSt_contig_7675.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSVDTSPSSSASARSSPCVAVVPKRSGSSSSSPASASFQRTPAVPFVDLACAAKICNQRHPLDHVLPGEPCYTSSTPNVRARVFKLVGPSPGTPASPPSVVRQLQFLAVIRLSVFVRCSPRCKLEHLIYCGDPSFT >cds.KYUSt_chr4.51194 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317460381:317468110:1 gene:KYUSg_chr4.51194 transcript:KYUSt_chr4.51194 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKSFVKKTKQGRVVKVVREHYLRDDIPCGAASCSSCDAAARRLNADAAAILVIDTNVVLHQIDLLENPAIEDIVVLSVVLDEVKNKNLSVYNRLKALCTNTARRFFVFTNEHHRDTYIKEMAGESPNDRNDRAIRVAARWYQSHLGDSARVLLVTNDRDNKRKATEEGLNAETVESYVRSLAQPGLLDLVVVPTSGDVTMEDVEDLRPSKRKVIYIEHKPMSEITSGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIRGRSNMNRAFDGDIVSVELLPQDQWHETKSFIADDDEDDNEGDVRLAPDSADDAPRNTNSMQSTVASVSSRPVGRVVGIIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLNKRIVVAVDSWDVMSRYPSGHYVRTIGDIGDKETETEVVLIENDINTRPFSTQVLACLPSLPWTLSPEDLANPNRQDLRQVRVFSVDPPGCRDIDDALHCTPLPNGNFEVGVHIADVTNFVHPGTPLDEEASQRATSVYLVGQRIDMLPKPLTEDVCSLRADTARLAFSVIWEMTPDAHIIATRYTKSVIQSCAAMSYVEAQARMDDSRMVDPLTVDLRNLNSLAKIMRHRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILQHYPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDASSSKALAESLDNAKRDDPYFNKLIRILATRCMTQAVYFCSGDMSLSEYYHYGLASALYTHFTSPIRRYADVIVHRLLAAALDIAKLPPIFQDGPQLTGIADSRSTLKLE >cds.KYUSt_chr4.6262 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36794541:36796524:1 gene:KYUSg_chr4.6262 transcript:KYUSt_chr4.6262 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMDEPVEDGFLRLSDDLVEEVFFRLPPDEPACLVRASAVRKHWRRILADAGFRRRYREFHGAPPVLGLFQEDTRFFATTAHLPARPDRPRRYTAMMAVDCRHGRALITPFNRYFGDFGEGESFDLTVFDPLTGHQHRVPSPDNNVLWFSAAVLCAAQGCDHLGCQGGHFRVAFVTTDQQKSITSGWLYSSQTRMWSTLSSAHHPNVTKYTFNRYVPSVLLGDAIYFNIDGVIKCDLGTLSLSMFERPTDVKGGRLMIAQDGGLGFAALVDVTDLTLWSMETGPEGAMGWAKLRVIDLHTLLPHGALSIPTADCVISGIAEGSQVIFISTFACYMVDLKSRRVRKVSSPTRKLFPYMRFYMPGSNLISKMPGIEVLPVLAMSNFSHVLDHAKHGLRIFAEKM >cds.KYUSt_chr2.24325 pep primary_assembly:MPB_Lper_Kyuss_1697:2:148544108:148553435:-1 gene:KYUSg_chr2.24325 transcript:KYUSt_chr2.24325 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRKDDAPYAGQGTVHGSSSSTSTSSPVPEYPATGTVKPVLNFSIQTGEEFALEFMRDRTISKKPPVPVMPRDQTAAAGANQKSSRGILGAHRTGAESRFDASIYLTTDNQQAGDFERRSVDENENRTRHVSTRSAPRAPSSGGSSHGLTHGYASSGASDSSRKIKILCSFGGKILPRPSDGKLRYAGGETRIIRINRNTSWQELKQKTTAIYNQPHTIKYQLPGEDLDALISVSNDEDLRNMMEECAFLDSGERSQKLRIFLVSSVDFDDMNFSLGSMDSDSGIQYVVAINGMDMGAAKPSSGHALVNTSISEFDQFINFNVDINQADLRNYGSSLHNVTASTSTPPAMMSRPVPVGLSSDNTATLYSYLSHGIQNVHGSDYSYPTSSERFNDNDDQMSIPLSGPSDFRYASQYAPYSGTASLRSFEQQFYDGGMKIGSMKEEKQDSVKMPLQKNGLDYFESLDNLNAPMLDHDSSVPKFMHSEVPPIASVQEGLASSFHPSYSAKSLDTYTASKAMSPTQGSECNEDDRQSSEAFASGCSEFQVDMSDHSNKNSPPHPGRVFHSDWIPREQAGVLNRLSKSDDPLNSQILILQSQSRVVNEPIAEAIDPAVEGNEKTNLATWAINFNDPATVDSLTQFEKEYSNTAQHTSFNKQLVGEKMSSKDMSMHTPEKIVARGKPTKITGDGIEAANLWGDHADMGHHFSRDTPKPALSTDVECDLVVPSSTSTVNDSHKEPIVPKTDKRDIAGGTGERTSPDILSDFFANANAVVQSRPFNDPVLSLNMPNYEPQRWSFFRNLAQNEFQHKDRDNLAKIEEGSYPFGRLEHDAFNVKNFPPLDDISVEILPVSSSSNVDSTILPPAFIPSQTNNAPAPVRKNVEGLQVDNPFPNMHEMMPSVPEFEETTFEEGRAVGPVMDASFVDNDFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFAGRSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRNKNLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGGSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPHVPASCDPEWRRLMEQCWAPDPAQRPAFTEIAGRLRSMSVAASQAKASSK >cds.KYUSt_chr4.44767 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277084718:277087259:1 gene:KYUSg_chr4.44767 transcript:KYUSt_chr4.44767 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADLINLDLSSTTDKIIVEYLWVGGTGVDIRSKARTVNGPITDASQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDHILVMCDCYTPQGVPIPTNKRNNAAKIFDNPKVAAEVTWYGIEQEYTLLQKDVNWPLGWPIGGYPGPQGPYYCAAGADKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGIAASDQLWVARYILERITEVAGVVLSLDPKPIPGDWNGAGAHTNYSTKSMREAGGFEVIKKAIEKLGKRHPEHIAAYGEGNERRLTGHHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTLLL >cds.KYUSt_chr7.34741 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216888712:216890064:-1 gene:KYUSg_chr7.34741 transcript:KYUSt_chr7.34741 gene_biotype:protein_coding transcript_biotype:protein_coding MSALHLLPYVLVLTMSFAWPITESTTGRATIRADLTHVDSGRGFTKRELLRRMAARSRARLDSRWSPPGRGGNAHAVTVPVARGTTGKADYNSEYNIHFAIGTPTPQPVVATLDTGSDLIWTQCVCMSCFEQPFPVLDPSVSGTFRVMPCSDHLCEHGGLVVSGCNLKDKTCLYAYHYGDKSGTYGTMGQDTFTFKAPNGMAATVPNLRFGCAQINHLTFRTNETGIAGFGRGPLSLPSQLKVARFSHCFTTIVEGKPSPVFLGTPDNLQVQATGQIKATPFVPNPRSPLYFLSLKGITVGKTRLPFNASVFALKGDGSGGTITDSGTALTSFPEAVFQALRKAFALQVLLPVEEDNDMCFSTSPKEKLPAVPKLILHLEGTDWDLPRENYVLDIDHEDGTGGELCLMIVSSGEGSSMTTIGNFQQQNIHIVYDLEVNKMFFVPARCDKL >cds.KYUSt_contig_988.113 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:728490:730202:-1 gene:KYUSg_contig_988.113 transcript:KYUSt_contig_988.113 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPTTATSARCCASPPAPGSTSPPASPPSPVASPGPATTSAAAKCLALLHRLLADGDPHFRHEIVRPTSSSRRGGGPVLASLADFRDEAHSASLGPLHLVRAYALYLHDRVRFLLALLPAPRTVRFADDYDRGGTAAAGASPAPDMTVSVHDMDAEALLARARQLRNLIDRFLACRPAGAARRSRVVLTTLGPVVSESARLYDDVAGVLAVLLDRFFDMDYADCVKAFEAYVSAARVVDDLLAFYSWCDDAGVARSADFPEVKRIDDKLLETLEQFVRERGKALHSTDGASPPPPPHALNQRNHDDPAAEHDMNSIKALPAPENYTAAPPARHAPAQAFATARVAPVQSDLVDLREPEATADEQGNKLALALFSGAPAANGDWVKFPSDDDDAPRATTSAWHTPAAEPGKADWELALVETASNLSRQAPAMGGGLDPLLLRGMYDQGAARHHVSAQGSASGSASSVAVLPVAPFLALPGPEGTVVGGDPFAASLAVPPPSYVQMAEMERKQELLAQEQRMWAQYRQGGMQGQAGLNGLAVGGRGSAFASNTSVPMAMAYHGAGGYYYY >cds.KYUSt_chr5.37465 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236844142:236845826:1 gene:KYUSg_chr5.37465 transcript:KYUSt_chr5.37465 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRTMKAVQYDKYGGGAEGLKHVEVPVPSPKKGEVLLKMEAASINPIDWKIQKGMLRPFLPGKFPFTPVGDLAGEVVELGSGVTGFKPGDKVISISFPSGGGLAEYAVAPAALTVARPPEVSAVDGACLPAAASSALQLLKATGVSFDKKTSGSTGPKNVLVTAASGGVGHYAVQLAKLAGLHVTATCGARNVAFIQGLGADEVLDYKTPEGAALRSPSGRRYDAVANCAAGLSWPALKAVLSDEGGTAADVTPGVRAALTSLLQKVTFAKKRLAPLMLTPRREEMEWLVELARQGKLRTAVDSRYPLSRAQEAWAKSVDGHATGKIVVEIGGAE >cds.KYUSt_chr7.21853 pep primary_assembly:MPB_Lper_Kyuss_1697:7:135493434:135494487:-1 gene:KYUSg_chr7.21853 transcript:KYUSt_chr7.21853 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLSLLVVLALLAVLTAKADFDEQFEVIGDRDHIGYKDDGNGGQEFSLELDQESGSGFKSKNKYLFGEFSVRMKLVDGNSAGTVTSFYLTSGESSTHDEIDIEFMGNSSGQPYVMNTNVWASGDGKKEHQFYLWFDPSADFHTYKITWNPKNIIFEVDNVAVRTFKNYDDLPFPTSRPMEVHATLWDGSYWATQHGNVKIHWRHEPFVVSYRAYHANGCVHSKNSSRCPDGSDAWMHRELGDEELDTVAWAERNCLSYNYCADGWRFPKGFPGECGRK >cds.KYUSt_contig_786.80 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:504104:506286:1 gene:KYUSg_contig_786.80 transcript:KYUSt_contig_786.80 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTKPRLVRFREVTRRGRVFFALHVTPSQLQKWVVSFQDMKACCGSRVIASLNPSSDSRSVSFQSRTPTSATIPGWPTQPSTGDTIAGFQAPPSHLTPATCHQVDAVTAIQGRRPLCRDSDLEEEQHEIRPHHHPPGDAEARKEGEDRAARIQGRAAAPKPPAR >cds.KYUSt_chr3.8795 pep primary_assembly:MPB_Lper_Kyuss_1697:3:51233489:51234196:1 gene:KYUSg_chr3.8795 transcript:KYUSt_chr3.8795 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHAISDLAETLSPYKLDHLLLLAALIKLIFQRNGVIAALNFTTSCRTHGLELDVDDAVMPPITSPSCRSFTLQLLLASHQLSMMTMISRHRCRHRADSPRDDGITPSSWRNGIAPSLPAPSGIAPPLRRDGITPSSPRSSITPPSPPSGIAPQPAASGITPPTASASRRRPPPHMESADNTIVGFSSLAPPSMKVTKIFSL >cds.KYUSt_chr5.591 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4331024:4333420:1 gene:KYUSg_chr5.591 transcript:KYUSt_chr5.591 gene_biotype:protein_coding transcript_biotype:protein_coding MELMEKGLAGMEISIGGESSTKTRSRNKSTRKPQPDSLKHTMIQKTEEQSRRDVHQPLIQKMEEQFRRDPRPPEIQRGKVEEAAAPTKTRSVKSIIETPLDRLRHLDELQDRINLMEAEYLSKNGIQRRNEEKLPREEQEIEDYRKQWERCYGRSFGSFDAETSLGHVYCATGTIPPDALPECSLQFFSIKVTDLSYSLSWPLQVHGFVAARDSVDHKRNYLFRCTRDSCQTLTKKDPFLRITGPSRAVLLIDKVVVEVQLKVKGDKESEVEVLAFKCFEFQQSCPLKDGIPTRIPGQRCKLECALAVLPKSIGATVGFRIVDGSWPDQCPGLIVCKTDNAKEEEVVLLLDFQDGKLPTKSDGVVELSRRLVSVGFPAGKLIFSVEASRNSFSAKATVDFGMETLGASTRMCDLVFCKLEVTVSWSLVSAKRD >cds.KYUSt_chr7.28934 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180017670:180025342:-1 gene:KYUSg_chr7.28934 transcript:KYUSt_chr7.28934 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFSAPPLWMRSLPPAFPRPSAAAFPFRALRSAAEGANVVSGQSAAGAFTAPQAERVGAGSPGQASSPLLSPLPSPGLTGGARRHVRASWMAAPASSRSPEGDPLPGSAGRGWRAGWWNSAALNLPTARRRPSLRLVRVKAGEGEFYFDDGGVSGAAVLAMRSTRGPVHGGTTSGSGHDTSPSHGADTTSKASCGHASVRRSACLAVKLAPWRDCLAFPQNSHRRPPRRRLALPIGGDGGGIPESGGAVQGSARPGGGQGPRGVGRGGTISSSRPDPWRIPLGLGRARPKEGAAGPSQPSEDPGVHAFDLFIGSIGPIQLQASAQRDRTFSDRKASSYLNGGGIIHSEQVWDREAWQGGFSAEWQNGGTIWDPSMRYESLVSTDQAGWAARSSQASSVPGVNGYGRSKSPNAANVGADQSRRWGISQGSHCGNFIAGSQSSSLANGEDSASTGGREEGGMRLRPFEQAFGNFGSILESGRLAVDKSPSVEGIDYFWSSFCSERDEVESFYRQLWHIPPNLTKPPLGQRRPCTKRGDSRVHLSPFRPPPPLPPDRPGLVVLGVEDFEQEEVLIMADRGRGRGRGNSGRGDRQQQQNQQFPPDFTQMQGYPFPPQAPYGFMPGAMPPPWFGGPFPPYPQQPFGMQQNQWVNPQQNGGQGQQASGDYGGRSKNQQGRFQQKKKGPIQAESSGSNSLNYADTICEGCGEPGHLKAACSKGPCCFICKASNHAVDDCPVLKRPHQIARYIGSSANGLGFYHIEAPEVSVNPISSTRNCGVVTIEDGEISREDLGREFSNIYKTNWPWQIRELGDWSYLVKFPPHIPVEQVIGYPRDPNRIPVGRLFNFHGKLFQLQFTAELPNAAGAQRDLPTGQDNGNIGGGTGNGNSGMDTDGRSEVNRNSTNSQSSGGSNHQVETGTANHGRQTAVLATDTSDEVIPGCEIYKLLMEKGAIGTDGQFIWDSSNNADNSVRSEVTSFWNEDGLSFADKMEEAAIEQLNLPEDIMPAFHDLMKDRDDQGAGKKQKKAWGHVQPSRQSDRIDRSKNVMDKAMELKERKNTLGAASKMSGIIRSNPFHVLQVEELGDMARKIGIHVDIDVVDVTEESDSLGMPKNQLVHSDEILDSSLGIDVLSDAECPRTPDQYNTDHEFDDRVGSAGGILVGLNLDLFDIVAWDIRNFSVSVIVRNKINDTTVRITTVYGSPYEEKKDDFISELHELFLHWEGPAIIGGDFNLVRSQSDKSNGNIDHRWADKFNAWIDIWALIEIGLTGRAFTWANNQENLIMSRIDRIFCTTEFEENFPLAHARALPRVGSDHTPIIWDSGCSQVPKKSGFKFEKWWLSRPDFRDLVVKAWSLGRGNKSAIDSWHDKCKYFRKFARGWSANLEADIRKHKKELMEEYDSLDISAETQPLDDNSRKRLEDILTELNTYWIIEETKARQRSRDRNILEGDRNTAYFHAIANQRRRKKRINVLEGPEGPVTDQKSMLRIATDFYKDLFKREERSNIRLMDDFFSPEEKVTSQENAEIEKMFSEEEIKEAVFGSYAEGAPGPDGLSFLFFQSFWDIVKEDLLELFDDWFHVGAGIPGVAPHYIPPPSTFNVLLDSY >cds.KYUSt_chr7.40668 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252385724:252394453:1 gene:KYUSg_chr7.40668 transcript:KYUSt_chr7.40668 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDDADFFDKLVDDDDDHQQATPAASAAEDLASLTLADDDDDDDDGDAGTGVAPAPEPEPAAAPQATEADAADPPPPPLPPQAEVEAPVAPHDAELKAAVVPHEAEVLASALPPHDAEVLAPVLPHDAEVRAAEATQDAEALTTTAAPTDAEALAATAAPTDAEVLAGLPEVKATASDPKADTAAAPEGGSPGSGKSVRTTTVKQVQWSVFGADDSSSGAGADPFGDFLAGGDEDTFFGAGVAAVQSVQPSAVVGASSVGADDHSFFSGAGSNDAGSQLDWGAGAAGDFVADGSVSEDAFFGVQGSGADSAGAADQSFFGGVDGNASSQSYMGATVAESANQNANAQSDWTSGAVDYSDPNYLNNPYPGWTYDGATGQWYQLATDNGGAVTVAGSDNVQQQQQQHLAGSYLQNSSQAGLETIAEEGSTTAVSWGQDQSSAATAEYPPNMLFYADYPGFYFDTNTQEWYTLEAYQQALTQAATAASTSSGAGQNVSHAGNTQASNYDQQSQWQHGSLVNSVHSGSSYSSHQHVENQTNQQAYTESLRPSTDYGTTINTFMPSPEPSTDYQTNINTFVPSTSQHNNGGWGHQVSNKGFEPTGYQTAHYGFEPQKSSQSTISTFMPSTSQYSGGGEGNQVSNKGFEPTSYQSAHKGFEPQKSSQSTINTFMPSASQYSNGGEGHQVSNKGFEPTSYQSAHKGFEPYKSNQSISTSNDNGNKGTEVSVAHQGFKPFTNNQRSTGFIPSAGYQNSQKEFEPSKDNQAHHVAFEPSASHGYANSNGFAEPQKSVPVASVFQMQTHTDPATHMQLPNNYLSRENSMSFSQQLPSSQQHGYSPHVERSSAGRPPHALVAFGFGGKLVVMNETSSMTANFDSGNQGNSRGMLSILNISEIVADKIDQPSINNGSALSYFYALCRRPIPGPLAGGSAAAKDVNKWLDDIIGSYESSVREFQGGEVQKLLISLLKISYQHYGKLRSPFGPDPSREATAQEVQNLLVSGKRKDALQYAQEGQLWGPALILALQLGDKFYADTVKKMACCHFVSGSPLRTLCLLIAGQQADVFSAEDPVDVNYGTRQQQPAGGTPVGMLDDWQQNLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLVAELNIDSYSESARICLLGADHLRCPRTFTSPEAIQRTELYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGKVSDSLRYCQASLKLLKASGRAPELEAWKQLFSSLEERIRTHQQGGYGTNLAPSKIVGKIFSSFDKSLSRMMGTQPAPVPPLSQSFSVDRDTYSAPPANNFVNSQSAMPMSSSASEQFVSAMAEDSGPDRKGTHNRSVSEPDFKRQQAKLGEQNNFYFDENLKRWVERGVEVPAEEPPLPPPPTTASFQNGGPNYNRAAPSSGGYTPNGFTETKPPNPAEPISGMPPIPPGQNQFSARARAGVRSRYVDTFNKGGGGGVGNAFGAPSYNKPAMPAVNKLPGTSFFVPTPAVDASMQMTPEPTAETAHQDGPSSSQAVESTSYASPPPPMQVQSSFQRHSSMENIMTPSGSGNSSFSKSRAASWSGTYPEQPGSTPASRSPDLQAMQSPPFIPGLRPSHSRSHSNSSLQLNGLADDLQEVAL >cds.KYUSt_chr2.1803 pep primary_assembly:MPB_Lper_Kyuss_1697:2:10711116:10711541:1 gene:KYUSg_chr2.1803 transcript:KYUSt_chr2.1803 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRRVLFGPPWPPLGPGVQGTRAAFQSELAAMAAPWSWSWPPSPREAFAIRVQLLHHRAHLPASRGLARRRRDGVRHRSELWQGDPSVAVRVELETEAAAPSRKPTLAKKPGRMVPEGDSDASLEKKERRRARPATHQN >cds.KYUSt_chr4.45052 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279025401:279026429:1 gene:KYUSg_chr4.45052 transcript:KYUSt_chr4.45052 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIGAEEQELPLFHPSPCAYYVQSPSAASHTLSHPASDSTALILSPFPEAAFAAPRRSNSHGDDAGTARHEHDQEASRLALSRYSSSRGSNNSFLTDKKPQQRQVLRVVSGRSSACDDDEDDDRRSGAWRYVKLDPEAPCCCIAFQVAWRVAVSVAIALLVFVVATRPARPGVSFKVGRVERFSLGEGLDGSGVITSFLNCNCSVEMAVENHSRVFSLRLLPPLLRMSFAEFTFATAQGQGPYVAVVGPGASATVRLFVAAQEKPMYAAGRGMHDLLESGKGVPVTITVRSRSRYRVVGSLVRLTYRHDSQCVVYLRRRTPARDNALVAAAGATCSAATL >cds.KYUSt_chr1.4975 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30588343:30590177:1 gene:KYUSg_chr1.4975 transcript:KYUSt_chr1.4975 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGQRVARPEPGPSQLASTLTDNSWTQQPYRAMAEAAIRQATTVPYVLQVPTMETEWQRDLAGLGFAGIGRDISRVVLRVILPNFANVGPALLSALLLAGAAVFCSIFSRVASYLDDGTSLPRLLADSAALGLLISAILRLFLLCTAAYALAVASLYRTGGDLLAADRILKEDLPVRPLVRLLCTFLRVAAPYLPVSTALSVAALLVLEDDITLPLRLLGWATAAYVATVCQLACVASVLEDTDFFGAVRRSRDLLAGKFWAAASVLVTLNGCIIAVLEVFKALVLDDALDLGLAFQVTAAAAVFVALCEVLIVTLVVQPVIYMVCMSHHLEVVHKAHHD >cds.KYUSt_chr1.22039 pep primary_assembly:MPB_Lper_Kyuss_1697:1:130235328:130238528:1 gene:KYUSg_chr1.22039 transcript:KYUSt_chr1.22039 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSPAGTAGQQRISMPEGPSPISSRPPAPAPAPVHQQQHQQSSELAAVGFDEEALAAAAAGEEGASGGAGGNRWPRQETLALLKIRSDMDAAFRDATLKGPLWEEVSRKLAEEGYRRNAKKCKEKFENVHKYYKRTKDSRAGRNDGKTYRFFQQLEALHGTPGAAASPVAPPATAVGVPGVVGPSAVRPLAEPPPPPVGATAAGLAAPMLPGNLSFSTSNTEDYSDEGDSDDEGTDDMAELGKRKRTPDGGATAGAGSGKMMRFFEGLMKQVMERQEVMQQRFLEAIEKREQDRMIREEAWRRQEMARLAREQEILAQERAMAATRDAAVLSFIQKITGQTIPMPSIAAPAITFMPPPPPSSQNHVTPIAFSVAPPPSSQPPASTHSQRQPSPRPQKLPTMPPTAPQPQKSPAPVTPQPPKQQALVVHQSSTDIVMASAETPPDASGYDGSGGGSGAASSSRWPKAEVHALIQLRSNLDMRYQEAGPKGPLWEEISAGMRAMGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLEALYRNKAALGSPSGAGGSPALPPPATENANAAPQERIQPFTVAAPISQTAPQPHTTQLPPVAKTGVSNNDGNGHGVGGVSVGTQMQASNGGSVAGNRFVFSEAGGRAATKKAEDIMKETTTTPQLQPQPQVAQQATINSYSRTAGGGAADSDNMDEDDEDEEDYDDDEEDEDDLDGNKMQYEMFQRQQEHQHRQHQHHNVVRPNASATSGGGNPPGATAPSAAAATTTAGSFLGMVQ >cds.KYUSt_chr5.22138 pep primary_assembly:MPB_Lper_Kyuss_1697:5:144444896:144446594:-1 gene:KYUSg_chr5.22138 transcript:KYUSt_chr5.22138 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDAGGEVRHWSAEVNGISLHVAEQGPAAGPAVLLLHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSSAPTDPAAYTVIHLVGDAVALLDHLRLPKVFVVGHDLGAQVAWHLCLLRPDRVRAVVVLGVPYFPRAPRPTTEIFAALDDGFYITQFQEPGRAEKAFARYDVATVLKKFYSIELDSITAPPGVEIIDFLQASASSPLPWMTDEELGQYAEKFQKSGFTGPLNYYRMMDTNWRLTAPWHGAKITVPAKFIAGDKDTGFESFGTKRYVESGGLKSNVPDLEVAIIKGHHYLQQEQAERVNTEILSFLDKFSKN >cds.KYUSt_chr2.625 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3817985:3819178:1 gene:KYUSg_chr2.625 transcript:KYUSt_chr2.625 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLPPPPPPPPPPEKFPQPAPTTIFTIGDDLLLEIFVRLPSLPSLVRAAFACRAFLHAVRSFPTFRPRFRDLHPPPLLGLFVRHRVGDIPSFVPLRGLADPDQAAVVRGSDFFLTRVPDDQGFWNVTHCRDGYLLLHNWEHRLFAAYSPLAGVLHRIPAPPEAVRELYILSPPEQPHGSFRLVCVHEDGLQVRAVVFSSDTGEWQVLPWAQAAAIYKNHPEDDKHCLPPRTGKLVNGRVYWTRHDYVIVLDTVTLHFSSMDLPPYMYRQKPFVVGETKDDKLCMVCAIDEEDTVAVWVWRADADRVDKWMLEKVIELEDLSALKLVAVKQGFVHLHWMAVEEPGIVPLCDFFSLCLEKEEMKKIFSLYEYEMEWSYPYIMPWPSSLVCNKVNPQI >cds.KYUSt_chr2.8565 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53798333:53799068:-1 gene:KYUSg_chr2.8565 transcript:KYUSt_chr2.8565 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGDQPDSAGKEEEETGTARGRRLGKRGECGADGGAARRCSGCPCSRGGGSGGVQVARRTLSELNGNKGKLKYDTLKG >cds.KYUSt_chr4.7935 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47293842:47294516:-1 gene:KYUSg_chr4.7935 transcript:KYUSt_chr4.7935 gene_biotype:protein_coding transcript_biotype:protein_coding MIMACTMLLAGNTCHGARILADTTPAAAPAASVPALPAVPTLPTLPPMPAVPAVNAPAVPQATLPPVPAVPAVTVPTVPQVTLPPMPAVPAVTVPRATLPPVPAVPAVTVPTVPQATMPPMPAVPAVTVPTVPQVTLPPVPTVVVPKLALPPMPAIVVPTVTTAPMPAIVVPKVTLPPMPNIVVPTVTLPPMPSIVVPKVTLPPMPFIPNVNVPMPFLAPPPSA >cds.KYUSt_chr4.52991 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328485804:328487565:-1 gene:KYUSg_chr4.52991 transcript:KYUSt_chr4.52991 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPPRRTRALGAGGVHSSLYASLRTNIPRECMGFFDFPFVADESTGDPRRLVRLETELVRVHRDASAASWRVVYSRKLSGAVSEEVEDEVFDAVVVCNGHYTEPRLASIADRARAEEDGTIVFQDGSRVKADVIIHCTGYKYSFPFIGDGEEAAGICVDDNRVGPLYKHVFPPQLAPHIAFIGLPFKGIPFPLFELQSNWVAGVLSGRIELPSQEKMMHDVETFYLEMEAHGWPKRYTHGLTLTFEYEDWLVEQCGLEKIEEWRKVIHLTARTKVSDQPESYRDKWDDDHLLAQAHNDFTQKILTRSL >cds.KYUSt_chr6.15126 pep primary_assembly:MPB_Lper_Kyuss_1697:6:94782387:94783664:-1 gene:KYUSg_chr6.15126 transcript:KYUSt_chr6.15126 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATLLLVEVMLLLLLLVLQPAAAAVSAPATFPGDRAALASLKSAVDAATIPASSCLASWDFAHDPCTAFPCGLHCYTPPNSSYQRVAGVALDPAGYSGTLPAPVLASLPFLQSLTLRANRFHGALPARTPLPPSLRVLDLSGNAFSGEIPGSLFTAASSLQELDLSRNAFTGPIPPQVASLGALTQMDLQNNGLTGSLPGMGKMRSLAYLDVSGNALSGSLLDALPPQVASVVAGNNTLSGPLQAAAFRALSTMKVLDLTGNAVTGAVPGAAFEHPALEQLRLGSNQLGAVEEASDGGASSQLVEVDLSGNRIAGKLPRCLAAMPRLTMVGLDRNRFVGGVPDTYAARVSEEEATGGKLPFQKLTLQGNYLCGALPSQLRQIKEGSAVVSLADNCLVECPREFFFCQGLPQKNHATCPKCEP >cds.KYUSt_chr4.15516 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95892332:95892790:-1 gene:KYUSg_chr4.15516 transcript:KYUSt_chr4.15516 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPVEDALKLAHSHPLQLPDHLLSASLLLRSTPPAGGAPRPSLVLDSRFGVGLKSFGNFCRFPPIYRIRGRGQISTDELPRRSSEQLQEGRDGVEEATAGADTLPVDRGGGVGRLRSLETVVRAWMAKQPTHIEATVSTAFEAVQGTRSAS >cds.KYUSt_chr1.37124 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226818572:226821691:1 gene:KYUSg_chr1.37124 transcript:KYUSt_chr1.37124 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADEEQEGLSAQSPAQAPPSSASSLPKEQSQVELELRLLQALEFYPPSKLKGIHRHFVLYGLMEHLRKSLDRQFSADEVLQLLDRFFNLEMLKPDDEEKDNFSQAEEFALPDSFLKKEE >cds.KYUSt_chr2.38379 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237883740:237891754:1 gene:KYUSg_chr2.38379 transcript:KYUSt_chr2.38379 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGSRSEGAEDDRFLPPYQATDAERCPNIKQEEGAGHVKVEEGEGQAEEGMEGHSATDDDVLKPWHVRTYEYCAYVAELLDFIMDGDGRIHDADADTDWVLPCAALSDVTLALVGKIGSGKSATANSILGREAFPSEFSYSGVTGTCQMRSGTFHDGCAARTLNVIDTPGLFDMDKTAENVHREIVKGLDMARDGIHVILMVFSATCRFSQEDEKTVEATKMFLGDKVLDHMILVFTHGDLVGEETSWNKKLTDSAPAYLQVEENPTSTVTRFEKLLLEEHKARLESDNRAAEVILKSEEETRKVKEMLQKINKESENAQKEMEKVKKKVRTLEKINENKK >cds.KYUSt_chr2.17847 pep primary_assembly:MPB_Lper_Kyuss_1697:2:112379107:112382433:1 gene:KYUSg_chr2.17847 transcript:KYUSt_chr2.17847 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRALLSRTLLRLKISSPLISPLSSAHAHLHGGGPSPPPPRPFGDWRRAFHDGRPRGPLWRSKKLIGKEALFAIQGMKRFKGDEEKLKAFVKRSVARLLKADKLAVLGELERQEEVELSVKMFKIMQKEDWYKPDVYMYKDLIIALAKCKKMDEAMEIWGNMRDEKLFPDSQTYAEVIRGFLRYGSPSDAMNIYEDMKRSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGMH >cds.KYUSt_chr7.5013 pep primary_assembly:MPB_Lper_Kyuss_1697:7:29975048:29977397:1 gene:KYUSg_chr7.5013 transcript:KYUSt_chr7.5013 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTEELHRQFIEAVDCLGGPNEATPKRILQLMGLKGVSISHIKSHLQMYRSSSSNNNGDTPANTFVNRREDHCVDDGSQDRNNTLSASDMINASSSYSVPSRGTQHVHRSPYQIPSLEVVFRSWEQTIGLLPWNSRKLTTSKKLVGWPCHVDGKTRQTAAGCDLTLSIGGWPEDEAEASSDADGSSTTTEEAVVLGRNRGTGDHRCSALNLDLNLDLAVSSSWLT >cds.KYUSt_chr2.3959 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23866339:23869393:-1 gene:KYUSg_chr2.3959 transcript:KYUSt_chr2.3959 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSSQIDAFSPSQFTPSQNAGADSTTPSKFRGASGTTPLTVKQIVDAQLAGAGEKGAPFAVDGVETANIRLVGTVSGKAERATDVSFTLDDGTGRLDFIRWVNDAADSAETAAIQNGMYVSVIGTLKGLQDKKRATAFCVRPVTDYNEVTLHFIQCVRMHIENTKSKVGSPAHTISAMGTPFSNSPSEASTPTSLKSNPAPVASGTNVSGADLYAQVLNIFNEPASIASDHGVHIDEIAKRLRLPASKIQEVIDYHVDGGHIYSTIDDFHYKSAFID >cds.KYUSt_chr6.19672 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123785349:123785678:1 gene:KYUSg_chr6.19672 transcript:KYUSt_chr6.19672 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDVVSVVRQGLRWRRRRGRRTTARVVDESALDAVVAAEDGGAAPGATVAPSVAGALARALLALACAVRFDGDGVSTEEAWAASAWRPRADEVSHLMVRESMRYAIYA >cds.KYUSt_chr2.38682 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239779564:239781087:-1 gene:KYUSg_chr2.38682 transcript:KYUSt_chr2.38682 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSRFRRRSTTTRVLLWCFSVCLAFHAVRAQSSTFSSTVDGKEFTSFSFPTFSRSLMQLPGNLTFSGNSSVTQNTLQITPDSNTASGPDNFLVNQTGSVFLASPFVLWASNSSSAADGRYVASFSTVFRINLYRANATNKGEGLAFVIASSNAAGPPPGSHGGYLGLTNASTDGNSTNGFAAVELDTVKQPYDPDDNHVGLDVNGVRSTDAASLTPFGIQLAPVDTTVNDGFYMVWVDYNGTSRHVWVYVAKNGSKPDTAVLNATLDLSTVLLGKKAYFGFSASTGVKYQFNCVLMWNMTVERLHDGSTASKSISGWNWKLGAAVGAPCAVAFAVGLLVVGMYIARRKKMVGGDRCTAIDLRSIPGVPKEFDFKELKKGTNNFDEKMKLGQGGYGVVYRGTVLGEHGRSMDVAVKQFSGADTKGREDFLAELSIINRLRHRNLVKLIGKEGTASFLASMRSVHFTMFISSLEKLPLITCVDISHGLTSSRLILSVGSRPSNATATG >cds.KYUSt_chr1.42624 pep primary_assembly:MPB_Lper_Kyuss_1697:1:260805249:260807800:1 gene:KYUSg_chr1.42624 transcript:KYUSt_chr1.42624 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIASSLRPGLGVCRASRYLPAKSSHCEKSNDLDCCEDVRPPDLDIESTNEQVGFSFHHVISKYQQKLKHFAWETNIEDKSTKPYQSSMADHDRTWGHLVNLARSRFPNDPDLADLAAREAYKEENVAYAQQEKLYGSQCDAHRGEGGAAGVIGSLALAQPTDAIIVNVQDDDDIDFSPYNDEEGTWATNEQRERIASFVSILHGMAVGAATDRPRE >cds.KYUSt_chr5.37166 pep primary_assembly:MPB_Lper_Kyuss_1697:5:235067710:235069909:1 gene:KYUSg_chr5.37166 transcript:KYUSt_chr5.37166 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGAASTRYSPSALTTWMLSAPARGSAIGVAAATHRLDAYCGELVAWRLLRSRRRLDGVEEEEKTGKIEKI >cds.KYUSt_contig_1790.23 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000204.1:128951:129777:1 gene:KYUSg_contig_1790.23 transcript:KYUSt_contig_1790.23 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFMIKNGETLFDAYSRLDALSMKIKGIGCDEYQDGFDVNDDTIKSKTVSIIAMDDKQLALNLTLLHAQNKFSPDNLVSYFVSTKNMAKEGKRVEELNHVMYSTHSIAFNDNIVQANEDPEDIEEEDDMTSTGDIHVDLAFFATKWNKNFDKKEEIKDKPTYERVAKPRLKPNPINERYKKKRREGKTLVGYECTSDEDSEDEQKMVVVATLALAGPRSLFTYEYIKDYSDNSDIPKKSDTCLMAR >cds.KYUSt_chr6.21741 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137222344:137224554:-1 gene:KYUSg_chr6.21741 transcript:KYUSt_chr6.21741 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQIFPEAPHQNWPPTAVQPIWKTVWETKNSVMREGVFRTTCDERLIHALPPESHSARVAFLTPKSASPEKMSCVVHLAGTGDHSFERRLRLGGPLVKDNIATMVLESPYYGQRRPSMQHGAKLQCVSDLLLLGKATIDEARSLLYWLQAEAGYGKLGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCEGLYRHATAWEALREDAAALAQDATSLAEDAAQESGITIEQVKDRLRSVLSLTDVTRFPLPKNPQAVIFVGATDDGYIPKHSIMQLQKAWPGSEVRWVTGGHVSSFLLHNDSFRKAIVDALDRL >cds.KYUSt_chr6.5348 pep primary_assembly:MPB_Lper_Kyuss_1697:6:31750727:31751686:1 gene:KYUSg_chr6.5348 transcript:KYUSt_chr6.5348 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRHGAARVPPPSRDREHHPSFSAALLDAIYHSLDDDADANLRRANVSPDERPAPPPACRHRRSTASSPSSARSSPRSQKPPRPCRVRPHPQAPSLLLPPPPHRLPPGDCGDGTKRTKKKKRRRARSAPFACLLNALLCNRRPPASPSPRADAAPATRYPLPAIKAEPASARSILSSRASHRESAASAPGGFRTPGRRAVRFSPAVEVVGDEEHERGHMTAIRMREVSGSGTDVAAAPRPSAAEAERRVEELLRALGAAEDRDRAKESSESSSDLFELDSFPPALDDGTAGLPPRTRSAGSAELAPPRPRVLVDEMVV >cds.KYUSt_chr1.22066 pep primary_assembly:MPB_Lper_Kyuss_1697:1:130444619:130448617:1 gene:KYUSg_chr1.22066 transcript:KYUSt_chr1.22066 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNRPAGVVLILAAILLLAFDAPAAAAAASYSGRVVTSHAAAGVTYTLRAKRVERWIRAVKRDFLDVAEIKVVGLDCEFIDPREGRANQRVVVLQLSVAQETLV >cds.KYUSt_chr7.5584 pep primary_assembly:MPB_Lper_Kyuss_1697:7:33453832:33455191:1 gene:KYUSg_chr7.5584 transcript:KYUSt_chr7.5584 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISWIPRDGIGVGGVSGRFSVSWLSVHAWIFNSKDSVAGNCKTGNSFWGQIAATFNSTSDPARRRTSKQLKDHWNAYNKEVSLFNAYHIQEEALRQSGADDAMVMKAAMERYANDKRVTQPFRRHHWWEAVRNEAKWKGQHGPGSGTDSTAKRSRLGVSGEYSSSEATTEEERPTGRDRAKAAARKDRRKGKESSSSSEVASKSFAMKNMWNGLVKAKLLKQWNKMKDRSTADMNEAEKRKHAKAIQMVEKELGLGDDDDEEEEEEEE >cds.KYUSt_chr2.1462 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8771888:8777140:-1 gene:KYUSg_chr2.1462 transcript:KYUSt_chr2.1462 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSLSDRSFVMESDDDEDAVEAGRQDGSDEEESDGSSSCGSPRGPAAAGHPSSYSSHQWPQSYRQSMDIYSSVQSPGLSGFLGTPSLGRLSGSFLVSSFRGKPVPEIVKPLLPTAVAVDDDHEDARKSSHQYLPPPRKASSLFKIPEDQKPLGGVGHEVGPYRQCSYTQGVMNGVNVLCGVGILSTPYAVRQGGWLGLMILAVLAVLAWYTGVLLRRCLDSKEGIETYPDIGQAAFGTPGRIVISIILYMELYACCIEYLILESDNLSKMFPNAHLTIGAFTLDSHVLFAILTALIVMPTTWLRDLSCLSFISAGGVIASIVIVACLFWAGLVDHVGLNKGEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKKRNQFNAVLFTCISLSTVLFAGAAVMGYIMFGESTESQFTLNLPPNLVSSKIAVWTTVTNPITKYALTMTPLALSLEELLPLNQQTYPNIIMLRSALVLSSLVVALSVPFFGLVMSLVGSLLTMFVAYILPCACFLAILRSTVTWSQIVLCVFIIAVGLCCAGVGTYSSLSKIIQNYK >cds.KYUSt_chr1.17940 pep primary_assembly:MPB_Lper_Kyuss_1697:1:104544515:104551212:-1 gene:KYUSg_chr1.17940 transcript:KYUSt_chr1.17940 gene_biotype:protein_coding transcript_biotype:protein_coding MELGFARCRTYNRKFNSSYAFYLAIRHVDEALERCWQDAVDRGEFAETWEDYKTFLRSGFVLPYMEESEQPTRVVHAIEKVDKCIVLIQEIVPLPTVTKDEVIFSEERKPGSDTKSITVTVEEDVPLSGLNMQLKKVQDDACKTVDKNRRWSLFQTQCVIKGKACKLMIDGGSCTNGISKAMVAALGLSTWRLPEPKRLKWLNSCGMLKITHKVRVPFTVDEYVDEIEYNVFPLEVCGLLLGHPWQYDRNVTHAGRANTYYFMHGGKQRTLKPMGDDHIKSYVELVVRKEKLHKPKVQLEVHDVSSIDVGDVSAMPVDDKPVLVGDKPDEATLVVDVDVTACATVPVCVNASIQTDDVCADDISVHVAQMRMGGVGATQGSIKTSQEEGIGAEVQDHMEKKGGAKCCIKSRRPRGRMWCGRQARLEDGADVSCSYHVTFFQKTLTRWGHRFLKKGRDDMDIQAWYSRTAIDMIIGSKDNLGVSPAAATAMISILAQERLLGFALGSVSMGGFVLHQRRAIYRSLADADQASGAPSFSYQLEAELWIPATGSMELLGLLLLVLLLLRLEGSRGVGNAAFPNNLVAPGSARPRSIGVGEALLSGRGGEGECRSDAVKRSSVPLLAGHGGLEEWEQDLLFLDLEGGCPCRRSCSRWWGSRPHALLACRGGEEERSRGPATF >cds.KYUSt_chr3.29329 pep primary_assembly:MPB_Lper_Kyuss_1697:3:183554048:183555810:-1 gene:KYUSg_chr3.29329 transcript:KYUSt_chr3.29329 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGPKMAQLQSKALEAAMLAAKHGKQLQSKAFEATMQAAEQGSAYQKQLQAKASEATRFAAEQGSAYQKQLQSKACEATRFAARQGSAYHRSLMERNKQYVVDPPTVEKCRELSKQLFYTRLASLPGRYESFWKEVDGAKLLLTNRKNLKVEDLGVAALFGIELYAWLCTGEIIGRGFTLTGYDV >cds.KYUSt_chr5.3762 pep primary_assembly:MPB_Lper_Kyuss_1697:5:24302088:24303977:-1 gene:KYUSg_chr5.3762 transcript:KYUSt_chr5.3762 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAMSQMLAAVRSFNEEPTEAKRVYYTRDDGRRVSLYFEMEPNGPTAKEIPPACRGMVTDYARSVRTLGSTVLELLSEALGLHRGHLEHDAGCLEGMNIVAHYYLACPEPRLTMGTTRHSDPSFFTVLLQDGVGSLQVIVEDEKLQPVWVDVPAVAGTLIVNIGDFLQLISNDRFKSVEHRVVSKSVGPRVSVACFFLSHGAASSTRVYGPIIPEGDPSPERSTRVEEMLRHFREKGLDGSSALQHFRTLLEDSGQAKRRDMAMLDVAADDVSSAGVGYTVDDLQYKLSRVLSSLAGWGKQSFGNVQHQIRLLERELGVMRSAPDRIGPTPQEKEVTEQLAESLEREEVTWRQ >cds.KYUSt_chr1.31129 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188769337:188776717:-1 gene:KYUSg_chr1.31129 transcript:KYUSt_chr1.31129 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVKELIQETPPLAWFPFLLLLPFLLFAHRWLTTAKTGKNEQQQENRLPPSPPALPVIGHLHLVGSRPHVSLRSLARKHGPDVMLLRLGAVPTLVVSSPRAAEAVLRTHDRVFASRPRSVVADIILYGSCDVAFAPYGEYWRQAKKLMTTHLLSVRRVQSFRGAAIEEVSTVMAKISEVATAGGMVDMSELLNSFSNDMACRIVSGKFFLKEGRTELLRDLINDSSQLLGGFNLEDYFPTLARVGVLKRTVCAKAERLRNRWDSLLAKVIDDRVSKEKSTSDHKDADFVDILLSVQHEYDLTREHMKALLTDVFFAAIDTSSNTLEFTLAELMRRPYLMRKLQDEVRSIVPQGQEFFSEDNMNNMTYLRAVIMESLRLHPVAPFLAPHLAMADCSINGYMVPAGTRVIINVWAIGRDPTSWEDAEEFRPERFVEGGSDVHVNFKENGFKFLPFGAGRRMCPGINLGIANVELMLANLMYHFDWEPTPGLDRRDIDMTEIIPLHTCIPDCNSEFSTWFQTATMNAQSSVRKGAKSIIILIIWRLWKTRNDAVFKNTAPNRQDLVVSILEEAKLWMIAGAKALRRLPLHARPPDAEPP >cds.KYUSt_chr3.2092 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12160643:12161191:1 gene:KYUSg_chr3.2092 transcript:KYUSt_chr3.2092 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWAVLLVMIVVSGVVADDSGEKCTGECGNPCGIPCTYSSPPPPEPVLPPPVVYSPPPAPVYSPPPAVPDYPPPTPTPTADCPPPPYGGYTPTPGYTPTPYTPATPSGGGYTPTPSGGGGGYNPTPSGWFTPPNMPSYLTPPGPLYPQDPGFHPNAAPASDVAWRAASLLAVAVAGALAL >cds.KYUSt_chr4.48150 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298187634:298193980:1 gene:KYUSg_chr4.48150 transcript:KYUSt_chr4.48150 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLKLAALCLLLLVVSSLPLIVHAECECEQAGEEEHDNAGALKFKIIAIFCILVASAAGCGIPSLGRKFPALSPDTDLFFAVKAFAAGVILATSFVHILPEAFQRLGSPCLVDGPWQKFPFAGFVAMLAAIATLVVDTIATGYFQRAHAKKVSAAAGYLEASGADHTQGGHFHGVSAAIASSSFAGESDDGEQLIRHRVISQVLELGIVVHSVIIGMSLGASEDATTIKPLVAALTFHQFFEGIGLGGCIVQAQFRLKSVLMMAFFFSLTMPLGVVIGIVISSTYDENSPSALIVEGLLTAAAAGILNYMALVDLLAEDFMNPRVQNNGRLQVIINISLLAGTALMSMLAVWA >cds.KYUSt_chr2.12901 pep primary_assembly:MPB_Lper_Kyuss_1697:2:81720021:81720353:-1 gene:KYUSg_chr2.12901 transcript:KYUSt_chr2.12901 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLRAAPPANRLLELLEAPRHSTRLWHHSPAGSLLGNLGYHSPMNHRYAATLAAAVPAVVVFVDCRLATEHPIPVAYDNAFAALKAAGGVVGDLEHCRVGALPRPLGI >cds.KYUSt_chr2.9328 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58758569:58760923:1 gene:KYUSg_chr2.9328 transcript:KYUSt_chr2.9328 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGATAVDQAEGLQPPKTLVDWALQILDTADPDEKARLGDLAATQWLRGAIPLAYDPAQSPRAPPDRPARSDAVRLLPPSRMPKLGKGGSAQSRLAMLHSLAHTESWAVDLSWDIVARFGAQLQMPREFFDDFARVAQDEGRHFVVLSARLRELGSHYGALPAHDGLWDSAMSTSHCLLARLAVEHCVHEVSSAASVLCSLASCLYLPLIHIGIRTLIRNAKTGLTVDTARGLDVLPTTISRFRAGGDEQTAKLLEDIIYPEEVTHCAAGVRWFRYLCLRPRTSDPIVRPVPESKPQSCELPEGGTCDDGHDGSTDKLTVNGHDEAVQQVDDGLAKCTLGEKGDDYEIAIIKRFHSIVREHFRGPLKPPFNTEARKAAGFEPAWYEPLAVKEVEAQTIEPSEV >cds.KYUSt_chr3.14512 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88291975:88300458:-1 gene:KYUSg_chr3.14512 transcript:KYUSt_chr3.14512 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEPWELAASVQLVHQLATLTSRMPLLLGLFSSPSSLCLFLSIFLFVLSLIFLLDAAGSRPVVAWLRIPPCLAAQSSGVSRSSLPRVRNSFFCGGLRLSPTPKLLLSSVKDRLLVITIKNHANLPTAAKGVMAIRTWWGQLAVRQSQASGVLPAMALLEINAMRFSYFLAWMLAMLGLERLVVVYNGAQRRAQRVYAT >cds.KYUSt_chr7.19973 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123742859:123746615:1 gene:KYUSg_chr7.19973 transcript:KYUSt_chr7.19973 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAAAVSVSRSACRAALPSPAAPRQGRVAFPRAAPRQRLVARRAAAGDNAEEELPIEKRIAAYPTVMDINQIREILPHRFPFLLVDRVIEYKAGEYAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGIVMLQPEVGGSQDNFFFAGVDKVRFRKPVIAGDTLVMRMTLTKYQKRFGLAKMEGKAYVGGDVVCEGEFLLISATG >cds.KYUSt_chr4.44808 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277282984:277288261:1 gene:KYUSg_chr4.44808 transcript:KYUSt_chr4.44808 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTAGISDINKALVLVLDPRDLDWSFCSSQGLHGGGRKTGKKTFDTASGSSSSAASRRPKAAIPPIFIAEGQLLRVGLCQLIFNLQARAPFRRPILSFGAAFNINSDPSGLVPCVGEDGCASRLRLRGGEGPDCVPLSRSRVLFELSEDLVVIVFSFKLLRRSTKLGQAHNNASGPSFHLPIPHDTLTRSPAAASHQPPAPCHREFAPHGSDETRDGTSPGCSLLHLLLGRHGLLLFPVPSLKSLLAGRRHGDRAGQHHEGGRPPPGVPRPRERHGEFRDSAPSARIPDVAAAADVPGELYLDYVHGGVLVPEGHVSVSVSRRAGLWSGSLCGRTFAALLMHAAQEYRYGHPGAPFHITTSVASSPNFPTTWDDCGAQLQVITPQWHGPAPVMKHAVALILI >cds.KYUSt_chr2.33159 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204718940:204720119:-1 gene:KYUSg_chr2.33159 transcript:KYUSt_chr2.33159 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTMTDEERADPRHHPENYTRWNSYFLQRWERELAAYDGPPPPPARNNAAGRRRWWSAPERTLANVIAHIEGGNFPVLTMPPLSASRASASRRRGSVRQPRRMAASSSSSGSASRSSLAPVKREEATSPSTPVRVKKEPASPPATRGRSSGALVIREQPSAPQSGRKKTKKEAAASQLAEEEAKRAEDAAMAEAIAGGGAQRRLPDLAAARQLAARAAPPANDDVARYRRPATPPSGVAVPVVDLESSDDDWYKPSPGWGDAGQGSSSQAAQPKANDDGSDDDGGDYTVFYRHFGM >cds.KYUSt_chr1.1910 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11020846:11023158:1 gene:KYUSg_chr1.1910 transcript:KYUSt_chr1.1910 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLTPRQPAKAYGGEGGAYFEWSPAELPMLGVASIGAAKLALAAGGMSLPSYSDSAKVAYVLQGKGTVGIVLPEATKEKVVAIKEGDALALPFGVTTWWHNTAESATELVVLFLGDTSKGHKPGQFTNFQLTGSSGIFTGFSTEFVGRAWDLKQDDAAKLVSSQPASGIIKLTAGKKLPEPVPEDRKGMALNCLEAKLDVDIPNGGRVVVLNTVNLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGPDGKRVLETRIEGGSLFIVPRFHVVSKIADASGMEWFSIITTPNPIFSHLAGKTSVWKAISPEVLEASFNTTPEMEKLFRSKRLDSEIFFAPN >cds.KYUSt_chr5.8213 pep primary_assembly:MPB_Lper_Kyuss_1697:5:52004316:52005500:1 gene:KYUSg_chr5.8213 transcript:KYUSt_chr5.8213 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFATLEIPDFVRAGAVCRSWRSAYTSLRSLGQYKLSPCLLHTSESAGDSSACLYSLTERRSYKLTLPEPPLRSRCLIGSSHGWLVTVDERSEMHLVNPITCEQIALPSVITLEQVKPIFDEYGVLHKYELSWLTGTTTRYVSPLIFALDKLRDELHYKAFVFPDTSTGSYIVVLIHNPMRQLSFARLGDDKWTWLPPHDLYCDCIYKDGLLYAVSKRGELHTFDISGPVVAMNMVISIPRKDYCEYLYIVQAPWGDLLLIWRIFEDFNLEPDPGASVFWNTTKFRIYELEASGSKLKEINCLRDHVLFLGHNESLCLSAEQYPSLRANHAYFTDDNMFWTLGFKNNHRDMGILNLDDNSKEELISSQLCSNFPAPIWITPDLRNMNLASGAA >cds.KYUSt_chr3.4143 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23605911:23606839:1 gene:KYUSg_chr3.4143 transcript:KYUSt_chr3.4143 gene_biotype:protein_coding transcript_biotype:protein_coding MERILESLQVFCSNAGKGCTAKMSYHEMEEHEKECPRAVCDCTGSNTMQITPLHQGLSTDCYTLDSVVKMGPCGGGGGYSWKMDMRGINRILRLVVRHGCAVDAMSVLYERGGQAEESNLWGGTGGEKSEICLRQDEYLTSVKGHCGGRHAVQALPAAGGKILGFHVRSGWYLDAIGTYVQMG >cds.KYUSt_chr1.18331 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107174414:107175331:1 gene:KYUSg_chr1.18331 transcript:KYUSt_chr1.18331 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSILLRSAAVAVVVAVLSATAGASSFSPTAPLPSDLLLSTPFLWITANVIIVCLFVFSYRHHTGAVVSSSSGGDVSATMDGLFELDLFAAAPDAVVASDLVSVRQPRQARTAKNPAGRPRVRKQSVGEDKPRAAVVAEATPGVKVEHIEEVGAAAAATASEPAGTDDVSMDSAWQSIVRRGAARPVAVRKSETWGGEELPRMRRTADTAVSVRREMRKSASMIPPSPPHPSVASSSSPVAAKQGWRTRDVLVMAHDELLHRAESFIRRQHEHLRIQRQESDQRQIAMDQQNSGLLRAAAPIRV >cds.KYUSt_contig_1991.65 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000237.1:353248:365006:-1 gene:KYUSg_contig_1991.65 transcript:KYUSt_contig_1991.65 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRIAVVAEDRCRPSKCGQQCRKRCPVNATGTAKILKHGDEENLKDQTSAYKAHSCAEAAAPGRELGENSGRTWATQFMEYHQGFSWKAAASNPMHPGERSVATEAGTTVPTIGSVPKEGELQCVACPFNAIQIINLPSNLDKETTHRYGPNSFKLHRLPVPRPGQVLGLVGTNGIGKSTALQILAGILKPNLGKFTDTPSVDEILKYFRGAELQKYFTRLMGDKMKATMKPQYIDNIQKSFKGKVGYYLNKEDKRQVKDTLCDILELNQILDRDVSDLSGGELQRFAIAVCAMKEADVYIFDEPSCYLDVKQRLKAAQVIRSLVTESTEEIIEGQTYQCYKYPTMVKTIRGFKLSITEGSFNDSQIIVMLGENGTGKTTFIRMLAGEVKPDKVSDEQVDMPAYTVSYKRQELVSKYSSTVRDLLDEKIPGSCTQAQFKSDVMKPLKVEELMDRQVANLSGGELQRVELCVCLGKPADIYLIDEPSAHLDSEQRLIAAKVIKRFILHQKKTASIVEHDFIMAAYLADKVLVFEGKPSVDCTASAPESLASGMNRFLSEAFAKDTSICAKALPQQDSSTAWARYPAYLPAHTYPLPVLTVSSDMAVSVQHGTEHYEIAIGI >cds.KYUSt_chr3.40690 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256693746:256698284:-1 gene:KYUSg_chr3.40690 transcript:KYUSt_chr3.40690 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCRDVALSPCLIARASTPSRSRTSLTPRDPDTEILAVPVSRDDLAEKVPRWEKGSSSGYEHHPVLWVAFVRKYLGHFTLALYDMEVKRMYPPLLDDYMCTPDLVEIMSITLLCRRVALGCGPAANPERNVVVAAA >cds.KYUSt_chr3.42701 pep primary_assembly:MPB_Lper_Kyuss_1697:3:269986706:269989539:1 gene:KYUSg_chr3.42701 transcript:KYUSt_chr3.42701 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIWSLPTDQDLVISPSIWFQEVLLKIPVHMVDTTMLVAWRAWYARNEATHDKPLPSIESSKGFLLSYLKLIREIKDKPTLDIIKGKSIVVEAGPILKPPSVKKGPNKPWSKPPTGWIKLSIDGSFRMEDRMAGLGMVLRDEEGLPIFSACQFLEDCQSPMEAELRACLEGSIAIYWGQNDGEASLAKTCASGNYEFVILAFLPKFGKGQTPQLDFASHCDPSSGGCRSQSKDITSCQNRGVKVLLSIGGADGSYGLSSPGDASQVAMYLWNNFLGGTSSSRPLGDAILDGIDFDIEIGGAKFWNNLATDLKKLGKNGGKTVLLSAAPQCPFPDEWDGGAISTGLFDYVWVQFYNNQECQFDAGRAAFMDAWNKWVSVPAGKIFLGLPASSSKDAAGTGFIPADELTSRVLPLIKGSPKYGGVMLWSKYYDDRSGYSSAIKSYV >cds.KYUSt_chr5.8110 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51258631:51260775:1 gene:KYUSg_chr5.8110 transcript:KYUSt_chr5.8110 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAGSDAFRGKRRREEPHQFLSSAGQGTTSKRRKPDSTGDDGSMESKYGAAASRMMANMGFKPGTGLGKDGQGIVAPLEGVSRPAHAGLGSVQEHRPFFHGKENLPPPPPALAEEEQEPPRWSRKAGAARKSPAPVLVLSKSALLTTRAEQDEQVQPMVVDRVIDMRGPEPRVLTDLTGLNDEQEMEVDEDRPMPELQYNLRVLVDQAAAGIRCLDEQLQREKEDVAGLMREKNRLAEQEASHGHELRVMEAITGALEQVRADEAAGVLTPEALLDTFRELKTRHEEVFDLCGLAWIACEFVRPLLVRAFHGWQPLHDPSFGLEVMSPWKDFLLQQQQRRYDFSSDCTDPYAQLVHEVILPVVRASGTNSWDARDPEPMLRFLESWEELLPPAVLESTLQDVIMPKLTAAIDSWDRENVPIHAWVHPWLPILGQTRTETLCHSVRYKLSTGILRSWQSHDASAYAALSPWKGVFDPASWEAMITRYIVPKLKLALQELQVSPAVNSQEPEQFNDQLMIWASATSASHMIHLLEVEFFSKWLLVLYHWLRSTDPNFDEVVYWYKSWRGILPPELIAHRRVRMLLAAGLVMMDNAAEGREVLPPGAAREAVGCPEAAEDRQFDAAQGAPQQVPGGAAMEDLSFKDRILAYAEGHGLKFTPRPGKFYNGVPVYEFGCVRVCLDSVKGLLYAQDQGSWSSVTLAQLMEMNRMATPC >cds.KYUSt_chr4.4618 pep primary_assembly:MPB_Lper_Kyuss_1697:4:26514564:26515406:-1 gene:KYUSg_chr4.4618 transcript:KYUSt_chr4.4618 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTHARHLYHHCLLQLLLLLLPCQQFASHLVDGGGIPTTLDGPFTPVTRAFDRSLRQGSADVPLSDPRLAPRARPPCPEQITLAASAEPTSLWVSWVTGRAQVGTHLTPLDPAAVRSEVWYGERAGHHLRVATGSAEVYSQLYPYPGLLNYTSGVIHHVRLVNLTPSTRYYYRCGDSSLPEGGLSDERSFRTLPAPAPDAYPRRIAVVGDLGLTGNSTSTVDHLAKNDPSLILMVGDMAYANQYLTTGGTGVSCFSCSFPDAPIRESYQPRWDGWAR >cds.KYUSt_chr6.28289 pep primary_assembly:MPB_Lper_Kyuss_1697:6:179364815:179365285:1 gene:KYUSg_chr6.28289 transcript:KYUSt_chr6.28289 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQPPTDDNSRIGTVGCVAIDSSGNLATATSTGGLVNKMAGRIGDTPIIGAGTYANPLCAVSATGKGESIIRHTVARDVAALMEHRGLPLKEAAARVVAGVPRGDVGLVAVSAAGEVTMVYNTSGMFRACATEDGYSEVGIWPQADSDANANGGN >cds.KYUSt_chr4.47055 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291179434:291181976:-1 gene:KYUSg_chr4.47055 transcript:KYUSt_chr4.47055 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGGRNGLVDDDDLAEHADAFDAASDDEEAPLPPHLRALADAAQTGNVDALRTALGNYVGSIDDPVEDGDTVLHLSCLYGHLPCVQLLLQRGASLECKDEEGAIPLHDACAGGFTEIVQCILSFAANTEGCVMRMLNTVDAEGDTPLHHAARGEHMDTVKILLEAGACPKKENSYGQAPAEMADQDTEVRALLTAKQVEASVHMSD >cds.KYUSt_contig_686-1.615 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3695896:3699728:-1 gene:KYUSg_contig_686-1.615 transcript:KYUSt_contig_686-1.615 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPSPAPAALHNPLLPSRGLTRPRRGGLIRAHAVRAAPRPPSQWAPGSWRERPALQQPEYPDKAGLEEVLRTVEAFPPIVFAGEARNLEDRLAEAALGRAFLLMGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQMPIIKVGRMAGQFAKPRSDGFEERDGVKLPSYRGDNINGDVFDEKSRVPDPQRMIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEKSEQGDRYMELAHRVDEALGFMSAAGLTVDHPIMRTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHFLWAGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPKELVKLIDILNPENRPGRITIITRMGPENMRVKLPHLIRAVRGAGQIVTWVTDPMHGNTMKAPCGLKTRSFDRILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQALELSFIIAERLRRRRAASWALDNQRGAVPSSMGL >cds.KYUSt_chr7.28864 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179710053:179713992:1 gene:KYUSg_chr7.28864 transcript:KYUSt_chr7.28864 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGRSRDLPTSRADAAGGKRRSQRWEVEFARYFATPRRSPSTAPPPGLRFVSRGRNRLHGTWLPAASTAALCISRPSHSFAAHVLTVSIGDVVYEEHCVSILNFSWPQVACVTECPVRGSRVVFVSFCDRSKQIQKFAVRFPHLGDAESFLNSVKELSSNTMDVIPSGSDCVYEDSSSSEHIASDGPQYRPDQVASFEEPTSDHRTDASSLGYPEDLGQSVLPSPLATNIDSSYSSYLHSYSEMPTGYSIKNEKDINVPCPATATGHAPEKVILWLFVNPADAAVADTELIADKGKVAAKEIDDILAGIKTYGGGDSFNDMLASLDKAIDELGGDMLL >cds.KYUSt_chr5.41074 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259195612:259206576:-1 gene:KYUSg_chr5.41074 transcript:KYUSt_chr5.41074 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATQCNVEARLQSGFPFGSSLETVPEVSESDTVEDSLKDQRDAHPSSSELELFQDVDSQGAAIATSQTAVGWTRRPRHGVMGDERHTRDDRVSALEKSFRGFAERKSVEIVNPCVGLTFDSIGEAYDYYNLYSWECGFGIRIENVPFTKRCLRTLCGKISKEQADDDVKKTMEIFSELKEKDSEFTYTVQVDADSRIQTLLWTNGRSKLQYHHFGDIVTFDTTYKTNLYDMPFGIFVGVNNHFQSVLYAGVLMRDEKIESFEWVFREFVKMMGGKKPITILTDQARAMEVAIGNVFPGTTHRWCKWHVLRKAKEHLGMHYTKKSGFREEFHNLVDEMLTVAEFEKGWQELVEKYGLVSNTFLIQVYEAGAVIKNNEPIERHASKIYTRAMFEMFGRALYVAGSYTVEEVEPQKKYIAVHMSPETRPDWYKHRYEVSVSADGSYYTCECGRFEHMGMICCHILKVLITKNVRKIPDKHIMKRWTVDARDILPDHIKHYQKDMGPPEAITFRHSAMYITALELVHMGDTNPDAFECVMSGLCELKQKAVALCDVNDGKSIVEKSRASSLANSVESRQASLGKKKQASADGSGVGASCSSSSFVRGQLLNEDRCELAVCALKGCVGERLGSSAVRAEWPGFRWFCLLELRTRDFLGVGSSVASSSGLAPVDRVGEGADVEDPDEFIVGDEVGKMPRSGRSTVNSSFAPKDLFDAVRGWSEQELDLVRAMGLAGLLLLDRFSHFNRDFSQFVLLCIDTSKSRLVLGKKRYADINEETFCRIVGARLGGSVEIQRTTGPPSPHEIYDARVALGLPGQTEISTYVLMTLLRTPRLHPLSDVDAARVKLAFGMLAISVFFSPRDRRAYIPRDAYLLAASAGDICNLNWGRYGVEELLDGAWRVQVSVSRHVRGGTVYGCLLAAQCLYFDNVATGTIGVDRSLVPRIGYYTAATLKALIHHDTLLLPSEKVYGMFRGIHSRASLLRNAPLCNIRRPSSEVRAGRSVPAGRVVEPLDTIDVACLQGVHRHEAPTVGSLLEGTVGVSKTMQPDLCAEYENIVRQAGDLLRRGFSELDRNSRNREANFFRDLHLSGQETIKVVEAFERRERVVRLQGIQYLLNHLVERGVGKYVPSLEKHLGTVTGALSCIPGTSARVIDVDEFIGTNMSRGIQFSERLREWNMTSGGQHLSDYLTPPVPSMLAGVSYVSQHPSTPRQCLVASSAGVSHFSASARTGDVSLSDAGGLGGVCKPGWGSGSGLFFDDGTGLIPNEIGQNDDDMKMIGTDGFTTDKKEHGKEKMDCSYGNRQKRRSLSSILRVSEGLEYIVYTAKKKKVSLDVATTVGVEAATNQVGVPKDGPAGMVQSEAVVGAPGLTHVDRAVPVGAEQKVAIADDPPSLAYFEPNYVLVHKKKVVNRVPGVWPFGDGETYELPDICLANDGYMNMIFCKQEFMKKLWVVHTVPRLLKMSGFDILTEFHKMGTLRGKGFEIVRRLLNYVMSSYYSDDDVSRFGHCMPTKWMDIVMEGGDYSMASELRAMFTGAEVKFDIETCHVIWLPHRKEDGTYVVYGVDLSLEMIHVMDPMNTDEGRAVLVDLHKDTCGKILDGFIACADAFFDGWELDKSDWTYVYHAYMHAASSEEDTPWYVVHYILTFDGLNFAELPDSGILVGLRTQLMMMVLNMPGNVGDAPLSVKKPKASVWL >cds.KYUSt_scaffold_869.768 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:4787829:4793061:-1 gene:KYUSg_scaffold_869.768 transcript:KYUSt_scaffold_869.768 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSLSLRSPASFPFPSATGACGHVRWAQPLPPKLFRSSLPPAATPAISRRILVPAAAGIWDLLSGGAGGAAAATLAVRRGMQLFKQGDVAGSVAEFDRAIELDPRQKAYLWQRGLSLYYLDRFEDAAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVEEARKRFLEVGLDRRPVMREAYALFKDGGDPEKLAPTVGPAAALVGVHIWAGLLTVSGERVVFVYRLDSLDFIDDKAGCFANGGRYPQNGRIIKFGSHRIYLGTVKERQYPSPVLVAPDPPRSSAACGPHSDRAVGSVEVLMAGAGGTDKEAVVEGAGQTKSTRTAKPPTERDEVVAGTSTAPPETPLQAAMSVLATPIPQNIDPAAAQAELEAQRQKLLSGAANIVKAQQELNLTLREYNAAHDFASVSANPTRAAGNRLKGRNLEQDLRRKVLSSKSALVSLSMVEKPKYSSPDKTIKSDRAVEMCDSLSGEALAKQQDRVRELFDMIEAQNAELARIRKQL >cds.KYUSt_chr3.12910 pep primary_assembly:MPB_Lper_Kyuss_1697:3:77456899:77459276:1 gene:KYUSg_chr3.12910 transcript:KYUSt_chr3.12910 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAILVLVAMAQVSYCGGSAIDYSKALSKSILFLEAQRSGVLPGNQRISWRANSGLLDGKANGVDLTGGYYDAGDNVKFGLPMAFTVTMMSWSVLEYGEQMGAAGELGHAMEAIKWGTDYFVKAHPEPNVLYGEVGDGDTDHNCWQRPEDMTTSRQAYRLDTQHPGSDLAGETAAAMAAASLVFRRSNPGYANQLLQHSKQLFDFADKYRGRYDASIPVARNYYGSISGYGDELLWAAAWLFHATGEGQYLDYLANHADALGGTGWSTSEFGWDIKYPGVQVLAAKILLQGKAGAHAAVLRRYRQKADFFACSCLGKQGGSGDVQRTPGGLMYHQKWNNLQFVTSASFLLAAYSDSLASAHVQCSSGSAAPSELMAFAKSQVDYILGSNPRGTSYMVGYGNVYPREAHHRGASIVSVKSNPAFVSCHDGYSSWYGRKGSNPNLLDGAIVGGPDEHDNFSDERNNYEQTEATTYNSAPFMGVLARLAAGHGGGGRFGRSLSDELATAAVERDNPTSILAAADVEDKHASPMAIEQKATRSWTEKGRTYRRYVATVTNRSPNKTAHELHIGIAKLYGPVWGLDKARYGYVLPGAAPSLPAGGSVVFVYVHAAPPANVWVTGYKLV >cds.KYUSt_chr4.45224 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280082344:280085779:1 gene:KYUSg_chr4.45224 transcript:KYUSt_chr4.45224 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMFPNGSVKRVGPATVRVAVVSRYRECLRNHAMFTGGHAIDGCGAYLPSLELNPDPATFMCVACGCHRNFHRQEMVVEPSPPPPPPSPAQHQVALLPAPPMATSIVLHGLPQREEHKPEDRLPAADSQSDSDGSEYVDGRSVSPPQLQQAPPAHLPAPVAPQPPAYFSPPPQPNMLLSLNATAPPGAAQSQSPLPVQDSPAMAPPAPAHVGGAPRRKRSRTMFTAEQKHRMKELSERLGWRQQKRDEATMDEWCRDIGVSKGVFKVWMHNNKRNYVGGNSARRRALATAASSAATTPAAGPIQIAPTTAASPPPAPFIPSVTHSSPAPTATGFNMNGTATPAFTAATKVRASSGVWASSDGQASCLSTAAPSTNCVRRRAPCRSTAAPYVPSASGSQIVPAVDVEDDADIEDQSPQDDEDDLMFPKLVDRCSKQAMEDPYMEDIAQGARFDDTDDEEKAENTDSLVLADYEGDDLPTIEWNKADPQLAEGTVFQTMMDYCNALTTYCIPSKHDYEVIKSEPGRLTVKCPYKRCRWRLHASTMLRSTLVQIKKNSIVHTCPPRGGVPKEKTKLAKTSWLADAIIDWLRETPSLGPTTLQKNIKEKYDIHIPYMRMFYAKEMALDRINGPWNESFQFLYTFKAEVEIASPGSVVAIDKHTVPYKLKSGRIMHKECFRRAFVCFKACWKGFLDGCMPYLSMDATALHGRFKGRLVAATAVDGHNWMFPVAYGVLEVES >cds.KYUSt_chr5.17190 pep primary_assembly:MPB_Lper_Kyuss_1697:5:110962735:110964018:1 gene:KYUSg_chr5.17190 transcript:KYUSt_chr5.17190 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLVCSLHGLHPAHEETPETVIFCLGKVELTGPCVVDNAITARCSTLGNPDSFPGYLVPCDMPVGQFIFILRSRLHLSQGTALFVFVNNTLPQTANLMGSVYDSYKDKDDGFLYMCYSSEKTFG >cds.KYUSt_chr5.26927 pep primary_assembly:MPB_Lper_Kyuss_1697:5:170302475:170303899:-1 gene:KYUSg_chr5.26927 transcript:KYUSt_chr5.26927 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLTAALRRHLLLPRRFSFLPSRHLSLSTNSLSDQSDFEYDPPLPPAPNDDGELASFVRHISQASSAASSPKEALAYLLSSSFRPSPAAPSLLVRALWELRRDPEAAALALRWGDECSATSGAEGTESLPAEAWHLAVWAAGRAGRFDLAWAAVRRMLRRGVLTRRAMVILMERCGVVAAAINSVSWSL >cds.KYUSt_chr1.40911 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250968393:250971850:-1 gene:KYUSg_chr1.40911 transcript:KYUSt_chr1.40911 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGGAALLRHAAVAVLRTAEAAGKVSAGMAIHARMVRSTHFDVILHNHLISFYVKCGRLGLAHQVFDAMPLRNPASGNLLMSGYASSGRHRDALALLRAADFSLNEYVLSTAVSATAHVRSYDMGRQCHGHAIKSGLSEHPYVCNAVLHMYCQCAHVEDAVKVFESVSGFNAFAFNSMINGFLDKGKFDASVGIVRSMVGEVEQWDHVSYVAVLGHCASTKELLLGRQVHAQALKRRLELNVYVGSALVDMYGKCDCACDAHSAFEVLPEKNVVSWTAVMTAYTQNELFEEALQLFLDLEMEGVRPNEFTYAVALNSCAGLAALKNGNAISASAMKTGHWGALSVCNALINMYSKSGSIQDAWRVFLSMPCRDVVSWNSIIIGYAHHGLAREAMCVFHYMLGAEESPSYVTFVGVLSACAQLGLVDEGLYYLNTMMKEMGIKPGKEHYTCMVGLLCRAGRLDEAEQFILSNYIGADVVAWRSLLGSCQVYKNYGLGHRVAEQILQLKPSDVGTYVLLSNMYAKANRWDGVVKVRKLMRERGVRKEPGVSWIQVGSEVHVFTSEDKNHQWINQITTKLKDLIDQIKVIGYVPNFGVVLHDVEDEQKEEHLMYHSEKMALAFGLIHSPEGATIRIMKNLRICDDCHVAIKLISLVTARRIVVRDTVRFHCIEDGVCSCDDYWSLARYSSALSFPAAQVYLAAATKTLEAALVKEQDLVLDAGPTGKVGFRTVRELIKLRFSSTCRRPDQGGGVFQILGQLELGEGSSAADPRQGI >cds.KYUSt_chr1.1489 pep primary_assembly:MPB_Lper_Kyuss_1697:1:8227410:8227865:-1 gene:KYUSg_chr1.1489 transcript:KYUSt_chr1.1489 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSASIKVLGLVVDGGRRCRVAGAGVIAAMTLICFMPPDLGDLALGAPTAVSTISATNAIAAVAASTTISTVLVGASSVLVLGTRSLLLRVGALRLLLGCSPLGRQVSGASMHDYLDMKFLWSRCMAVVGIGATTVAVAVEVMVPIAVDS >cds.KYUSt_chr5.42160 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265709390:265715450:-1 gene:KYUSg_chr5.42160 transcript:KYUSt_chr5.42160 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGGRAGATAQEGADGRLTARTPLTQGVIVDETPQVVPCVVVSTPGGRLYMEVVTTRLAEVCVELTVHPDPHPVLCPVRDEVLEADLRRRALLAAIARAYTVVNQYVFFFTREDQRFRGISIFHPLHGPESLSQRSSLIVADGFGVPGGNPPGMTFTGRTDMVANAFAQHCLAGIQGSFKARDAVPQLSRSMLGRLPFACQHCRRPLWFGPCCLRTFCRVCENHPTHMVDCDLVHAAVRMMPPFLLENGQQPPGEFDRLPHPLWCLYRYVSGDRYTLVSYFQVVDAHYYQRDTGIRFATHLYRRGSPTRWIWCLELTFTILRDQHLHITWRVHLADEFDTWRAPTGADDIQSELRQQGDRKPDLKKRKNPSKEIFSELDKINAQGPILPRSFQKTEEETKWGHEVARL >cds.KYUSt_chr7.2823 pep primary_assembly:MPB_Lper_Kyuss_1697:7:16735542:16736599:1 gene:KYUSg_chr7.2823 transcript:KYUSt_chr7.2823 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTPADPHPAFLADKGGKVFLAGHRGLVGSAIHRRLLALGFTEVVVRTHAELDLTRQAAVEAFFAAERPRYVVLAAAKVGGIHANSTFPADFIAANLQIQTNVVDAALRCGSVRKLLFLGSSCIYPKFAPQPIPEASLLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGLDAVSAMPTNLYGPQDNFHPENSHVLPALIRRFHEAKATNAAEVVVWGSGSPLREFLHVDDLADAVIFLMDQYSGLEHVNVGSGSEVTIKELAELVKEVVGFQGKLIWDSSKPDGTPRKLMDSSKIQAMGWMPKVPLREGLVETYKWYVDNVIADKNK >cds.KYUSt_chr4.52522 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325786382:325788462:1 gene:KYUSg_chr4.52522 transcript:KYUSt_chr4.52522 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLPLPLRSNRPSDGRRRGRLITIALALLLALAYLSFPTASRARGPPPTAAGCTGLQGVELWGDALNWGSDHRTPSAASCCAACRATCRGDGGDCRCNSWVFCGDRRRCGNRFGECWLKKQTDVLAPSVIARGEDVMWTSGLVFGKSKGIVGLETNLGTLRVQLLPDCAPRSVDYFIELLGLHNCAGCRFYRAEGRGHLWDAQGDSIKNAASGPPYGLLQGTLEVDGVAFKEVPREGCSAVRRGSVAWVGSGPEFLISLANHEEWRDTYTVFGSVVPEDMAIAEEMAMLPTSTDVWSNVTVRLLKDPVYFKVKRKSNASAV >cds.KYUSt_chr2.12407 pep primary_assembly:MPB_Lper_Kyuss_1697:2:78648097:78649656:-1 gene:KYUSg_chr2.12407 transcript:KYUSt_chr2.12407 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVWPKYRPKPPYRPEVAFAGRNQLASSSVDVIQVRKQSLNFQPAPATMVAAMQALFDPTALSLSLGLPAAPALNKDDYLAICLAALARTGQPQQVEAAGKWCPRPAPAEDLRFRCAVCGKAFASYQALGGHKSSHRKPPPTGVDHYAAAAAVSAHASASEDTAASSGGSTSGPHRCTICRRGFATGQALGGHKRCHYWDGTSVSMSMSVSLSASASGSSAVRNFDLNLLPVPESAGMKRWTEEEEVQSPLPAKKLRMSN >cds.KYUSt_chr3.8321 pep primary_assembly:MPB_Lper_Kyuss_1697:3:47995300:47998469:-1 gene:KYUSg_chr3.8321 transcript:KYUSt_chr3.8321 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDEITKLEAELKQRESLLQARKDELDRRAAEMGKAVDSNPTPQNPSTYVPSVKTIVAITLDLQDSNYIKWRELFLVALGRYGLTSHVTGSADATPSDTSPTSDWARDDFTVLSWIYGSISPELFGIVISPGSTARQIWDSIANLFHDNKKSRALALDAEFRNTPQGDMSVHDYCAKLKSLADALGDVGEKISDDTLVLTVLRGLIEQYSHLRSFLPYQVPFPTFLQIRSALVLEEAQKKTDVKHAASTALWASGNSVPPNGGTTSHAGGASPPAGPGGGPPYPRAPSSLQPGVFTNFSRGGGSGGRRGRGGGRGRGRDSNSPWMFNPWTGLPTRAHQLQQQQPSLPSSWQPRWRAPTPSLLGPRPALPPYQAYTATGYQQPSSNMMITQQPQQQQQIDPALLTALQNLQLPGGQEWVMDSGASSHMASDHDHFIEMTMEEGIFDVELMNGPSVREGKRENDANGSGFNYRAEGFIKVDAGLLREAAYHPTGFVTGKRTIGVIFVAKNPFSAHNVGTRWGRDKSPSLVMCECVVLFLHGLPPVRITKS >cds.KYUSt_chr7.3801 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22634642:22635239:1 gene:KYUSg_chr7.3801 transcript:KYUSt_chr7.3801 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGQEMHCALCGAGADVHCAADAAFLCAPCDAEVHGANFLASRHRRTRVSAPNKGRHDDDVDAGGGSPGGLTAGGARRFLRFGPSGWASSRRVAARELENVAAAPRVPLRLWRYVAAHGVHEHGAGGDALGQLEVCARVPARLLVAVASSMRSARVKRAAALDNEEGWGEWS >cds.KYUSt_chr1.3039 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18240359:18241363:-1 gene:KYUSg_chr1.3039 transcript:KYUSt_chr1.3039 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTEVDFDFSPFLVRYKSGRVHRLMGSSRASAGVDAATGVTCKDVLIDADAGLAARLYLPKGVPASKKLPVLVYFHGGAFVVQTAFSAVYYRFLNALVAAAGVVAVCVDYRLAPEHPLPAAYHDAYAALRWTMSSCSPAGTEPWLAEHGDAARIFVAGDSAGANIAHNVAMKAGSARIEVEGMALLHPYFRGRDLLPSEGTNPRLARTEAERGEETWAFVCAGRYGIDHPFINPLAMPAEQWATLGCRRALVTVGDLDTLRDRTRRYVETLRGSAWGGQEALLHETDGEGHAYFLEKSGQGHKADKEMDAVVSFIKGRQDGFIGGSTCSSSL >cds.KYUSt_chr3.8421 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48727599:48730968:1 gene:KYUSg_chr3.8421 transcript:KYUSt_chr3.8421 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQFPKLEKVVTQPFILQSQLYSSVKDRTQVDRDLESLKKDRVLRVFKLSTGQDDHAIMFMDDYLRQMEFAIRRSTGKKQDGSEVFEWFEKYVLHSKLDVSVNHLELCSLLSCGGDAKDKHITVLMNAGLLTRQLIDPNMYWFSIPSIGPILKGLSQGRKEVLSLLNRRKYKEMLVSSLEKTRLRSSPLDVRFHLRDLIGSGHIKTVQTPTGLLARVSTD >cds.KYUSt_chr2.15689 pep primary_assembly:MPB_Lper_Kyuss_1697:2:98780248:98782269:-1 gene:KYUSg_chr2.15689 transcript:KYUSt_chr2.15689 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPHHLVILLLVAAGRCRAASGSVGDESMQFTYNGFAGVNLTLDGAVVMPNGLLMLTNGTIQTKGQAFHPWPLPFRTAPNATRSFSTTFVFAIFGQYSDLSSHGVAFFVSASKEVLSTALPSQFLGLLNSTDVSNQSAHIFAVELDTIFNAEFRDINSNHVGIDVNSLVSLDSTDAGYYDDGTGRFQNLNLISRKAMQVWVDYDGTATEITVTMAPLGMARPKKPLLQTTVDLSGVVQSTAYVGFSSSTGILTTRHFVVGWSFALDGPAPALDISALPALPRAWPKPRSKVLEIVLPIASAALVLAVGIGIYVSVQRRLKYSELREDWEEAFGPHRFSYKELFHATKGFSDKNLIGAGGFGSVYRGKLRKPADMEVAVKRVSHESRQGMKEFVAEIASIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLHKYLYDGSAAALDWHQRFHIIRGVAAGLLYLHEDWEQVVIHRDVKASNVLLDSEMNGRLGDFGLARLYDHGSDAQTTHVVGTMGYLAPEQGHTGKATPSTDVFAFGAFLLEITCGRRPIEQNERNNHVVLVDWVVELWRKGVIIDAVDTRIPDGFSRDKISLVLKLALFCSHPLANGRPTMRQVMQYLDGDLVLPDLSPAYLSFTMLERMYDGDFKQNMMPYTSSSASIGAISQLSGGR >cds.KYUSt_chr5.32257 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204675115:204675807:1 gene:KYUSg_chr5.32257 transcript:KYUSt_chr5.32257 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPQANEAEVKQELLSLEASISSSATIGTMCDGLRRLGDIYNGVEEIIGLPSNQVGKMLNGEMDSSLELLDLCSIMQEIFVEMKAIIQELQAALRKGDDAAVQANIQSYTRLAKKAKKLFKKTTKKATSAGCRMLMLFTKAREISVSLLESSVHLLSKEIDTPKQSIVSKVFHKKKAVVCEEDQLQELECSIGDLENAAGHLFRKLVHIRVSLLNILSS >cds.KYUSt_chr1.39975 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244768447:244776359:1 gene:KYUSg_chr1.39975 transcript:KYUSt_chr1.39975 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAASLEGFPYRGSRYWGIRDEDFKSFYKEVKVNVVVRDKTKIPTNKLFEMEQCFYPVNFVVENEGEAIDVDEDDDEDPGQGNEGDVVDDVNEVGDDFKSLDKGKNNGANSKMETEPSMPLGGRSESAVHQKLEASVQEKVLGKEPIVQVSNALVLRGAEENIGKNLLQHFDDESDEEADDGNQEENSLLPNNPALVMPPMAWKEKKNWGPIQATRMSSRIARDGKSAIEKAQNLKKAKNLEIPKANQRRRKKQICQLQGDEGMVEDNKDDFWDPEDMVSQNHNEMFDAPFFEKEMAHCLWDNCEGHHKYHLANWGLVTRKKEYGGFVETLGMQLCSVEDFTEGRGKRRADVYAEQVGGSGQDASAIVAGSWVIPQKKARTTLEVCDMNSSRGFGPMLMTTEDLARTLEANDLVLVGDGACFQVMDPLGASDSLEDLNLSYRTAQPLELTPKLSLQLKLHAFLLWSSVGFLMPVGVLLIRVSSNVRSAKSVRVLFYCHVAAQIAAVVLATAGAVLSVKNFENAFNNTHQRIGLALYGLIWLQPVIGFIRPDRGVKARSVWYLAHWLLGIVVCVVGVANVYIGLHTYRERTGRSVGLWTVLLTVEVSALALAYLLQDRWNHVVRLRQEETAAVGDGRSETTTEEPAYPANDHKEVAVMP >cds.KYUSt_chr4.37554 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231430279:231433392:-1 gene:KYUSg_chr4.37554 transcript:KYUSt_chr4.37554 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTAPKKMAKKAAKKPPGNATIGAKAPFAKPRKAPAAKKKPEGMTDDEWQQDCLRRKLSTAERKGRRAVELEKKAQAARQHQHVMAGCIAATNASPLSTSMPVYVPGVISPSQAAFYNDGPSATPGCVTPNLSPHYQDALPHGGFNPNNLYSPAYEQREPGPGPDGDPFTGRRGPLEYDGAGAEEDDGVEEDDEEEEGMEDDEEDDDEDEEGGDEEDDEGAGDDELVEVNADGVRTKKKKKKKKKASGTRGPKWTPLEDLCLCESWATVSHDSIIGANQKGGKYWARIKTEFDERKLINDDYRKVTMKRSQKAMSTRWAIIQASVNSFHGYHQDLETRGDSGADVAQLFDRAMEMYAKNSEGHKPFALMHCYGKLKVNEKWRLTRLSLSKGKDAIDLDAPLATSTGRPTGNKAAKAALADAASSEKTQASITKCLADVSSTFISRDKKADQRWAELLKRQEEKPELKKRRDDMSPPRTSTEGIVKKPCPANLPPGSLGLPVIGQSLGLLRAMRSNTDDQWIRDRIDRYGPVSKLSLFGTPTVLIAGPAANKFVFFSSALATQQPRSAQRILGGNSIFDLHGADHRRVRGALLEFLRPDMLKMYVGKIDGEVRRHIEENWAGRATVTVMPLMKRMTFDIISALLFGLERGDTRDTLSGDFARVMEGVWAIPVNLPFTAFRRSLKASGRGRRVLEGITREKKEKVEQGRRQGTATSSPACSA >cds.KYUSt_chr3.43843 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276761265:276763732:-1 gene:KYUSg_chr3.43843 transcript:KYUSt_chr3.43843 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSCKGLAMELVKCLSETDCVKVQNRPYKECAGEKVPCITSECVGLRETYFNCKRGQARRWRLGDSACPRQRAAPLYLSVFARYMDITFFGWSEIQVWEHHIDLIAAGSLRSARCQSSTAWARSCLGRANNPEEIVLQELQVEEV >cds.KYUSt_chr1.26369 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158850883:158852854:-1 gene:KYUSg_chr1.26369 transcript:KYUSt_chr1.26369 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNCGSSCGCGSNCNCGKMYPDLEEKSAATIVVLGAGPAKAQFEEAAESGTGVGHVVGAVVWFALGGVVRCDVCHVTCVLGIAFCRLGIAVCHARLVSVRQTERTARRTWTRFPRVVVWIFCGQPSARVVQPRFDSR >cds.KYUSt_chr3.37559 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236201667:236202309:1 gene:KYUSg_chr3.37559 transcript:KYUSt_chr3.37559 gene_biotype:protein_coding transcript_biotype:protein_coding MCMATQSTKGKGAWEGNEKEESVWEEAVRTVRKREKEELERKRREEIAKKKEEDDRMQRGYEEYLLRNKRRNDKLKAERDCEARAKFLRSYQLEQIARERANRMHLEEVAREAERIKEERAQTEDAKTEERHHFFDSVVQLARDIREKEELAEESKKKKAMGGGSLCHAVMSVWLCSCCRTFYVVEP >cds.KYUSt_chr6.23886 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150945118:150945663:-1 gene:KYUSg_chr6.23886 transcript:KYUSt_chr6.23886 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVSGRSSPESEPFSRAPQHASSVVETPPEADHHRGPSQDAHKPNSSTKEAQQGKGTKQALPKIAEESPPSRPSVSLTLWVPRQCLQEEHDTGVPPPPDPRILGFHPDEVDGRRLASRRLQQGNAARGRRRRGPRGKGFPLATSLPSTPHNTRLADHHAALTAVVTSQHQSHWLARQPT >cds.KYUSt_chr2.7847 pep primary_assembly:MPB_Lper_Kyuss_1697:2:49081798:49084430:-1 gene:KYUSg_chr2.7847 transcript:KYUSt_chr2.7847 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALRPAMATGFAAASSTAGSHHLPWPLPAASRTRLVCAKRVSTTGAPPQPWAPRHAVTTTSRAAGAGAPSSASPDAVTYSSSIDTDMPLYEPPGVSFDEYLQDRPRVFRAMFPDESRSQRLSDEEWRIQMLPLEFLLITVRPVVVMQLRNRSGVLELRITEWELRGLESGYAPASFDLGVRGSLYADRGRRRGSRLRGHLEISITVALPPPLRIVPEGVLRGVAESKDWGVQVLSTLAERMKRDVDVGLVADFRRVFDACKCKRFRFESYCLSKEGFLEVVNEAWNGPEAKDVRNPICLIDKRTETKWKFSKVVDDPFLNDILLNGGAFTWMSDKQNTTLCKKTGFGSVEWHSFKVLPAIRGARQLGLLDGTDAAPPETLSVSPTDDATDKTPKTVPNPAYDA >cds.KYUSt_contig_973.131 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:1447196:1452540:1 gene:KYUSg_contig_973.131 transcript:KYUSt_contig_973.131 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCAMLSRRSRPGVHPALGFKEPKDGAVVRILDSLTFNNVDLTNDVQPRMSQMFNVSNTVGIRQFQRMAFDSQDYSSGYTHSKINVGLILWLVGLILWSVEIIAGQAGYLESWTNDRSWKLKANDSGWSNDKSYSSSVTSMKPLHHENQVLNMHVDLWCILGLPPHEDYYHCAMPKDSDMMGEVLPTSGETETTN >cds.KYUSt_chr1.5900 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36373175:36377283:-1 gene:KYUSg_chr1.5900 transcript:KYUSt_chr1.5900 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPFLTARLCRQGLRYAITPNSEIASSSGETCPRVAPPPHPPGRASTTAVRRPADRPTLQASSRISFPAASPGLQPRVGRQHATTGIRPASDTMASEPEYVLEDELNARELALANARELEADAHILALADAEADEAIAAGVKATMKTEDVGVIQIEDDEEPVNPKLRKPMAARSEAWEHFTKVLDSNGYLCVPGVASSDEVMLDAGDTCEVVATDMEG >cds.KYUSt_chr3.5558 pep primary_assembly:MPB_Lper_Kyuss_1697:3:31390804:31397932:1 gene:KYUSg_chr3.5558 transcript:KYUSt_chr3.5558 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCCWFVWAWWLPLILAVGAADQQVQGCSGLVKRCGNLTISDPFWVVNSKTGRSCGSRDFVITCLNSIPLLPSTIPLSDGFQIIDISYEEERMRVVDLDKLRLLPPSNSCNERIWNISERLSQRFRPDPISLNLIMYNCTNASTAAVSAARQGGELVEMKMSCGSESSEFVRIGGSFNASESYEGYYVEGCISSSLPVLGTSGKANASDYEKLISDGFVLRWDPPPPASACLAYLRTWRCSDEDKLFLTSLSPCGQAPAPPSQVSNSKLCVAMAPSFWFFWAWCLPLMLTMVAAEEQAEGCSAATRCGNLTISYPFWLLDMETGRSCGSPDFEAACVNNTPILQSAVPVAYGIAIVDIVYEERRLHVVDQGKLNILQASDSCPAWTMNTSIKLGLPFTIDADNMNLVFYNCTSAVAALRDSALVEMKCGNKNNIFVRGGVPYDETGDYASYAIEGCNATVVPVLGMSGEAKASDYQQLISDGFLMKWQQPPGSGKAPAPPSQVANSKSCAAMAPSFWFFGAWWLPLMLTIVAAEEQAEGCSAATRCGNLTISYPFWTLDMETGTSCGSSDFEAACVNNTPILRSSVPVAYGIAIIDIDYEERSLHVVDQGKLNILQASDSCPAWTMNTSIKLGLPFTIDSDSMNLVFYNCTSATAAHRDRTLVEMRCGNKNNIFIRGGVPYDETGDYAGYAIEGCNATAVPVLGMSGEAKASDYKRLISDGFLMKWQQPPGSGSKRTGKKIILIAPKRYTYSEVMKLTSSRSDQLGKGGYGVVFKGRLDDGRLVAVKFLHECKGNGDDFVNEVMSIGRTSHVNIVNLFGFCLEGSKRALIYEYMPNGSLDKYIYSENPKEILGWERLYAIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDKDFSPKIADFGLAKLSHAKESQLSMTGARGTIGYIAPEVHSRAFGVASTKSDVYSYGMMLLEMVGGRKNVNAMAEKSSEQYFPDWIYDHFAQDDRLQACDVTSEIEEKARKMTFIGLWCIQILPIYRPTMAKVLEMFERSLDEMKMPPKQNFSEPM >cds.KYUSt_chr4.16653 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103541973:103545238:1 gene:KYUSg_chr4.16653 transcript:KYUSt_chr4.16653 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHFARLSDDLLVHILQFVPAKEGAITTALSKRWRHLWRASGAVNLMALILEEEEEEAPLNAKRDRFVSQSLQILDSFVEAGTLSPLNKVTVVVEARNREILRRFLNSELDAFPDGVLPLLLAHQVVRTVHVLRIQAIDKGERMLFENDQFEPDQASFGPPIYNLSLSHLPRQHLRVLDLSDCGYLGPGLHSVDFPHLHSIRLNHCTITRQNLNKIAKAAPSLTTVRLEFTLLDVDGGADSVLVFPAATSLLIYMCCITEHASLVINAPMLREFVYKGISRKISLLPPPEGLLRVGLDQIYFRGKETRFVMPVSSNFWNFLRGCIHARRLNVTMWSVDVLAQTRPNVKLMQIDTLELNGVYWPSCKKVDLSIGNFLKCCPALRNLRINLISDQEAHLTKIEPASSFFAQKFKPDSKESLKLFSRYEIRQLVEAWEDSEDSVSSKRPRMEADLMFDELNGLTGQDFKCLETSLTSLALQFRQGEKCPFGVNLLMFFAQHGKVLEEIWLESGLHDHLNVMIDKWLADRFGRRMPDERRKKVFLSTGILHGIRHPI >cds.KYUSt_chr7.20633 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127914330:127916615:1 gene:KYUSg_chr7.20633 transcript:KYUSt_chr7.20633 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGGEGRWRCDAASSPAQCDAARRSRGVMPPRDDGGGATLPCVTGGGVMLPQGDDGAMPSRGVGGAMPLVANSDGVMPLVANSDVVTPFRGSSGVIRLGADGGVVNAKDGSGFPWRFTGFYGEPKRKKRHLSWALLRCLNSLRSLPWLCMGDFNETMHETEHYSEHVREEWQMRAFREATEDCTLLDLGFSGVPFTWDNMQAVASNVKVKIDRAFRDITLMHKFPVIKVWHINIVESDHCLLVFELNTILSPEFKRSARKFMYENGWQSHNDYGKIVKDLWEAGRRGLGLPGFLSSLKNMQEDLSTWGSTMFGNFKK >cds.KYUSt_chr2.19781 pep primary_assembly:MPB_Lper_Kyuss_1697:2:124471374:124471775:-1 gene:KYUSg_chr2.19781 transcript:KYUSt_chr2.19781 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIVLQRRSSLVFLVAEVAPGLVHEPPRARSAQQPLQGSDSEGERRRQQVVDVRRDVGGKSLVFALRRSDKRPNSNGFGYGGDFCRTDNCFVHGLRHGGGGLALHPSMTTVVAMVVAAGPWLWRRRRPTPGL >cds.KYUSt_chr1.3933 pep primary_assembly:MPB_Lper_Kyuss_1697:1:23971589:23973208:-1 gene:KYUSg_chr1.3933 transcript:KYUSt_chr1.3933 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLYSTTPPMYSRLLLRRSCTSQPSRRWDPDAALAEATERVRAGTLSPEDAHHLFDELLHQDTPARAPVLDGFLAALARAPDSSACRDGRALAVALFNRVCREVAGPRVAPLTARAYRILMDCCCRAGRPDLGLAFFGRLLRTGLKTNGIDAYTLIKCLCGAKRADEAVDVLLRRMPELGCAPDVLSYSLVLKFLCDDSRSQRALDLLRMMVRQGGRCSPNTVAYSTVIHGFCKEGKVSEACDLFVEMVRQRVKPNVVTYTSVIDALCKARAMDKAESLLRHMVDSGVRPNNVTYNSMIHGYSSLGLWEEAVKMFREMTCRGVIPNIATCTSFMVSLCKHGRSKEAAEIALAVIESGMTASASTYSVILGGLCGDNCTDEAIVLFQKLGATNVKLNIATFNTMINAMYRARRREEASDLFTAIPANGLVPNASTYEIMITNLLKQGSMEEDDQMFSSMERSGCAPTSILLNDIIRMLLEKGEIVKAGNYLDKVDGKRISLESSTSLLMMSLFSCKGKYQEQIKSLPARYQFYTSVSDS >cds.KYUSt_chr4.39971 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246856738:246858357:-1 gene:KYUSg_chr4.39971 transcript:KYUSt_chr4.39971 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVGDALTPLGGDGVFGGLLGFPALHVVGPRQCEPTPPRTCGLPAGGILGMGIGWTVESLTVSGSSVPPFPQPNPPPHSSSNPAAVPAASASKSVPMSERKRRAGPGAAAGGSTSKKPRGASAAASYAQSLRSKLRPDDSILASLRALASAAAKPKPAAASSAGAKALADDDPASASSSYIVVADQDINSVTSRINRLVLSVARSILSGRGFSFSVPSRTSTNQVYLPDLDRIVLLRREAARPFANVVTARKATVTARVLSLVHAVLRRGIHVTKRDLFYTDVKLFEDQSQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLIFHDDGDRIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLAMVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKEMLEEDFVKQNESWVRELETMLRTRQKAEIQALSSFGFQYLTEVYLPLKLQQEDWI >cds.KYUSt_chr2.8438 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53109183:53110855:1 gene:KYUSg_chr2.8438 transcript:KYUSt_chr2.8438 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAQPMETSAAVTTTTVDTLKDDNIEEILLSLHSSASLARAALSSSHWRRVASSPDFLRRFRERHPSPPLLGLFVSQADRGQLPVFHPSATVRVDRDLAAAARGGDFLLTRLGHDPAWRLRDCRNGRLLLCRGDSLSVYDPVSRQHVAVRRPPNDISPAAAVSATEYLADCLLGGHGEPIRLVSVQRDGPRLRAMEYRSGTGTGWRCHPWVDGIDVATLRTPAMPAAAAGLIFWRRDKSSSLLLDTSTMAFSTVPLPAPLVVVSKNLLRPRPVYTIGDTEAGACCLVAIVGRATLQVWLLKKNHTGSGGSAWELEKQAQKGELISLYRTFRMSMVSAGLGLIYSKGTKYSHFIIDLNNLSIKDKFLCHRSMAYPFQMTWPPAGFVPTSTPQTSACRDAEKQQEQEAPSSSSKRKSKDDETSSGKETMGPSIPIASLENEPSEKKVCYENGPTS >cds.KYUSt_chr3.36846 pep primary_assembly:MPB_Lper_Kyuss_1697:3:231804013:231805388:-1 gene:KYUSg_chr3.36846 transcript:KYUSt_chr3.36846 gene_biotype:protein_coding transcript_biotype:protein_coding MESSWGRWRWGGRCALMAVVAVCFVAAVSLCCVRLWDCGGSGCSGCTVALRSGELEGQRAMAATAAAAVEDGRAGVVPAAVRVQVRQLQPVLPGARGRAAGRAGHHRVLPGGMAVPVRQPPIHAMTMRPDKMNQQGAAVRATPLRFEELAN >cds.KYUSt_chr2.31277 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193048324:193050396:1 gene:KYUSg_chr2.31277 transcript:KYUSt_chr2.31277 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPVLIPSNKNSGRPPVKSSMGCSSAVILGNLLLLQLRQLLHRFLLVTDLPRDPEFLLSRSEIERSNHTWVRSALLRGHPLVGSDPLSRSRNS >cds.KYUSt_chr2.53371 pep primary_assembly:MPB_Lper_Kyuss_1697:2:332966804:332978470:-1 gene:KYUSg_chr2.53371 transcript:KYUSt_chr2.53371 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGRGKGRGGGGKGDRKKKEEKVVPSVVDFTVTTPYDSQVTLKGISTDRVLDVRRLLGSNVETCHLTNYSLSHVVRGHRLEDGVEIVALKPCALTIAEEEYATEEAAVAHVRRLLDIVACTTAFAKQKHKSSPKHARPATPPSPPAKASSPAANGGKGGGEVPAISEVHDMAAIGPPPKLGEFYDFFSFAHLTPPLHFIRRKERNGAGDYFEIEVKVCNGKLLHIVASVKGFYLVGKPHTVSRSLVDLLQPLSSGFANAYEALMKAFVEHNKFGNLPFGFRANTWLVPPVYVDSATKSPALPVEDESWGGHGGGRGRDGKYDRRRWAKEFSILARMPCKTEEERVVRDRKAFLLHNLFVDTAIFRAASTIRQLIDTSVRTGSDGSLVFEERVGDMHVTVQKDEADASVKLGDKVDAAAVYQTEAMDISQRNLLKGLTSDESVVAKDSSTLGVVIVKHCGYTATVKVSGRTKNSTDSKQPSDISDHLDGVLNIDVDDHPDGGSNALNVHSLRIPLPKIINPEVAASNQHLSSKTHVDNYARKLARTVLEASLMKLENMQKENPRLIRWELGSSWLQHLQKKDSSASGDSEKSTKKVEKDSSVKGLGKHFEQLRKLKKNVEGAKTEKEDSDSNCSLTKGMEESDNKAFDETSEAELRKLMPEDAFCRLKSLEAGLHQKSLEELTKMAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHVIRAVIAGVDDMQNMSAVIAETLNILLGSPRLDNDLDTDAHNEHNLRLKWIESFLSKRYCWKLKDEYEHLRKSIILRGLCSKVGLELVARDYDMNSPNPFDKSDIVNIVPICKHVVYSSIDSRNLLESSKMALDKGKLDDAVNYGAKALSKVIAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGEDDLRTQDAAAWLEYFDSKALEQQEAARRGIPKPDSSIASKGHLRAQQGESVEEKENFQDDSGSLLEAVKNDIQEAKLEPQAPVVSVVTEEICAVHDELKQVEALSPEEYSDEGWQAATLRGRSANVRKKSIRRRPALTKLAVGRIEDGRTASAQRSDVQPQTKEHKEEATYSPSQLSFGNFFNSDKLNGDPVITEDKSCNATSKSEQSIKPTGINRPTSIASKLVSYKDVAASSPGTVWKPILEQKEAKEKDTEEVTVVTPSSEEEDGKVTDEVEKSSDEGSKEIVSSQPEGGSHSEKASDSDGSTSPNKKTSGSKLSASAPPFNPGSLLSVSHPYSTVAIYDASVVLQTIPSQAMEILPHAVDTRVPRGPRSTLYYRTGHSFQRKQGYTQSQSTIVRGSTSPPAMNPHAAEFVPGKAVQQTDSANGKHVADSADQQLAPQTSDEVKADIHAADKAGQVEKITPGKGKENRGKDAMRDSYKAELARQILLSFIVKSVHDSLGLTRPQQDRKPSASDEPSNEQSSNITKPTSARKEFDKQPKAAEVPKSEKDTEGFTVVSKRRRSKQHFMNPINGLYSQQSICTSVS >cds.KYUSt_chr3.25993 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161573268:161573870:-1 gene:KYUSg_chr3.25993 transcript:KYUSt_chr3.25993 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQQQRPHDALTGRRTGGGGSSSMSRRQGRFHHGGTDSDDDGPSSSSSSAPVVDHAECTARSCRTCVAVSLADCIALGCCPCAVVSLLGLALVKAPLALGRRCVRRLLRRRGRLRQKKRVRDDMVDHLALAADGKRAGHAAPADEEDDVAVAAAAAAASDADSVWLEMYQVGQWGFGRLSFSVNPPPKNGDGDGCESHV >cds.KYUSt_chr7.29953 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186430829:186432921:1 gene:KYUSg_chr7.29953 transcript:KYUSt_chr7.29953 gene_biotype:protein_coding transcript_biotype:protein_coding MINKTNPILHWRLGVAFFAREADGVLLLHEAKPLQHVGVLVGDFGSLPLKILGGHLGFRSAVASIDKLIPCQQQHPPNIKEKEEMADSGGVSANAAAAGNDDEEGNTAPFP >cds.KYUSt_chr7.6464 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39072878:39073249:-1 gene:KYUSg_chr7.6464 transcript:KYUSt_chr7.6464 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQQQSQSVGFEDYLPVMAERLGEEGLMQELASGFRLLQDPALGLITFASLRRNAPLLGLGGMSDDDLRGMLAEGDFDGDGALSEMEFCVLMVRLSPELMDEPRRWLDDAVAQASQFLFTS >cds.KYUSt_chr5.21057 pep primary_assembly:MPB_Lper_Kyuss_1697:5:137102572:137106645:1 gene:KYUSg_chr5.21057 transcript:KYUSt_chr5.21057 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSVNESREKASLQTGDLEWYFFCTRDRKYSIGSRTNRSTEAGYWKATGKDRAVVYNSRTVGMKRTLVFHLGKPPRGNRTDWVMYEYRLVESEIPASDVKLDDCVLCKIFKKSGLGPKIGEQYGAPFDEEEWNDVNKDLSCLSPSVPHSLPGSSHGVSNAAGQRLAASDVGKVTSRLFSENNGKGAVNCVGPKRTSGPDISRDSIHIQQLADIIGRFSTEVLSQDGLPPDLTADKDTKPLSDDSETIFNVPGEVAPQSLDSVCGQCGLCGARLVEPMLEPVEGEQYLELNDLSFYWHTASDRPGSLEPKVEQQESPDDGVCNGTTSAAGAGLEAR >cds.KYUSt_chr7.12524 pep primary_assembly:MPB_Lper_Kyuss_1697:7:77130251:77130493:-1 gene:KYUSg_chr7.12524 transcript:KYUSt_chr7.12524 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSTATEEAMEEPVLRRLAGARSSEREDEGGLGRGYSSGSTTGTACRMRRLSSTASKSLQWDPRERKNMKDLEEEFDD >cds.KYUSt_chr4.6085 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35677444:35682298:1 gene:KYUSg_chr4.6085 transcript:KYUSt_chr4.6085 gene_biotype:protein_coding transcript_biotype:protein_coding MAATARGSLREIRHSDVEAAGLARADAGRFLAALRSATATASSSSGGGDDPAAVWAAVAAAGVLRPEHPHALHQLVYYSAYAAWDRAARGPPPYWFPSPTDCKQTNLGRVMEDIGPQLLGSLYKDPISSFNLFHKFSVENQEVYWKMVLKTLSVKFLREPKSILDTSDQSKKGGTWFQGAVLNIAECCLLPWPSQNKTDDSTAIVWRDEGLDDYPVNRMSLKELRTQVMIVANALDTMFQKGDRIAIDMPMTCNAVIVYLAIILGGFVVVSIADSFAPQEIGTRMRVSNAKAIFTQDFIIRGRKKFPLYSRVMEGTSSKAIVIPATGDCLGVTPRDGDISWKDFLSRAAGRSSMYSPVYQSADALINILFSSGTTGDPKAIPWTQLCPIRCGADTWGHLDVRPRDIGCWPTNLGWVMGPIILFSCFLTGATLALYHGSPLGRGFCKFVQDARVNVLGTVPSLVKSWKSGNLTEGLDWTKIRVLATTGEASDIDDNLWLSSRACYKPIVECCGGTELASSYIQGSLLQPQAFGAFSGASMSTGFVILDEQGNPYPDDLPCAGEVGLFPLYFGASDRLLNADHNKVYFDGMPIYRGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKTSSVEIERVCNRADEGLLETAAVSIKPSGGGPEQLAILAVLKDRSAPYDANLLKGRFQKAIQRNLNPLFKVSYVKVVSEFPRTASNKLLRRVLRDQLKQELTNRSKL >cds.KYUSt_chr7.24652 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153688259:153690301:-1 gene:KYUSg_chr7.24652 transcript:KYUSt_chr7.24652 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENYWRYADARQQQQAMVAAAAAAAGMAPAATVAQATAAAAGMHQHQHQHQQSALAQQQQQQQSAAPSLKRARPDYGDGPGGQEMAGYYPRENAGYLLRDNEAIGASYDRYLRNGMSSVTANEPSRAAVGGLGGGAMVGGGMGGFPIDDRRMMGAIGMDRGMGFGGARPEPPLPPDASNTLYIEGLPANCTRREVSHIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDSPAQATIALEALQVLMFSHF >cds.KYUSt_chr3.14463 pep primary_assembly:MPB_Lper_Kyuss_1697:3:87901349:87907619:1 gene:KYUSg_chr3.14463 transcript:KYUSt_chr3.14463 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSRSPLIRPPSPNHHRSSSSWSRSAGRHNALRHTGGSSRQPISVPGPLVKGSKPCLFASQQHFTIDSSSSAAAMPVHASRVAGHLLLLLYADWREHLSAVPPVFQSEAERCKWNRLEGARKRSARRWTNWGLTPPGKLARYANSGKGSSSAASGRALWWPGTDNSDDEEEEEEVPVRTLQLQSGDFVLNDEEETTAVQKVAIISAAEARALPPRGGGSRRCRARMINQHCVELESDIELFNQLGMALLHGCIDIIDEFMDALEATLIQWCTADPASISLEISSSIYTELTQKRKFDIPVEGLPV >cds.KYUSt_chr4.45140 pep primary_assembly:MPB_Lper_Kyuss_1697:4:279561273:279562850:1 gene:KYUSg_chr4.45140 transcript:KYUSt_chr4.45140 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVEMRRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCSSIPGSSPIFILGPVRVELLGVACSDCRGVRYDK >cds.KYUSt_contig_2528.48 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000367.1:424195:424767:1 gene:KYUSg_contig_2528.48 transcript:KYUSt_contig_2528.48 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDGDLVFDFEEDLDAAADAASYGSAASATATAPALPSSYDHGPAAVRPRHDQGRGSSPETMIHEMDGDVGDLVFDFEDDLDAAADAAAAGSGSVALGAASALPSSDDLGPAAVGHRHDQGLGSRCSRQTVCPYWLLGVCMMGDSCEFLHEYDLDRMPVCHYLHSYGYCRLPDCVFKHNTEHLEEYSM >cds.KYUSt_chr3.18314 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112545223:112546338:1 gene:KYUSg_chr3.18314 transcript:KYUSt_chr3.18314 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRAAKKAAPPPPPPPPPPAESSEDETQSRSRSEDSEDQEVLAESPIPAHTPVLNNTPAPAHKGDESESSDEEEEEPAPAAPAVPKNQPPPPQKKVDSGSDEEEEEDGDEEEEEEEEEEPTRAAPPSAPKKQPPQDDSDTSGDEEEEDEAPPQSPPEPAPKKAAEIPKPPAAAETKKPGPFERMWSTNDDVRILEALAAHQKQHGALPQPEALVDVLAGKLDKSAYGSKELQNKVKSLRTRYLILSKRGQLPSKEHDRRVLELSKLVWTSTDKTSPVDAAAANAVVNGHEPKGFQEMCELYPHLAEEVKGLEAARPGMCKREFGKMDDGKARSMDEKIKKQRVMQIKVEMRHADLVKEVTKALLDLVDS >cds.KYUSt_chr7.31900 pep primary_assembly:MPB_Lper_Kyuss_1697:7:198582462:198592283:1 gene:KYUSg_chr7.31900 transcript:KYUSt_chr7.31900 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRPDSQSVMDYITAGHIPRLRYPQFEPRAPPDDSDDSSDDDAGNLEGDDYQYNGGGYEDYDFAASPCISNGPTKIINGIITCLFFTFPFTFPFTFSILHESITEMSKIAFIDEIIPFFIFFHYNPSFSMLGPTIIAWHETVRSRLYQLWTKFILVWAVYSSFATPFEFGFFRGLPPRLFVLDIVGQIGFLIDIVLKFFVAYRDPDTYRIVCKPTSIALRYCKSSFIFDLLGCFPWDAIYKACGNKEEIRYLLWIRLTRSLKIAEFFQDLEKDIRVNYLFTRIVKLIVVELYCTHIAACIFYYLATTVPESMEGYTWIGSLKLGDYSYDNFRELDLVKLYTTSFYFAIVTMATVGYGDIHAVNVREMIFVMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGRDIREQIKGHLRLQYESSYTEASVLRDIPISIRAKVIRLQEEFFLPGEIILEQGSAVDQIYFVCHGALSFTNILEIYFIDGRKVLSNLTENNEYGGRVKLLESDITFHIGKQEAELTLRVISAAFYGDLHQLKSLIRAGADPKNTDYDRRSPLHLAAAKGYEDVAQFLIHEGADIDVTDKFGNTPLLEAVKEGHDRVATLLFSQGAKLNLENGSHLCMAVSKGDSDFVRRALAYGADPDSKDYDHRTPLHIAAAEGLYMMAKMLVDAGASVFTTDRWGSTPLDEGRKYGRRPLMMLLEQAKADELTKFPTRGDEVRGKLINSNLYEITSAYASF >cds.KYUSt_chr7.34385 pep primary_assembly:MPB_Lper_Kyuss_1697:7:214744847:214746143:-1 gene:KYUSg_chr7.34385 transcript:KYUSt_chr7.34385 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSMSDLPGGDDTNDPYGHVEYFEDVRATFNLNIFTTATSTPSPPVPAPSPCPSSTAACRSTRSQPPTTIPVRCEALACAVDACVAGGGGSELWLATHGDASRVFLAGDSAGANIAHNVALRAAAEVVTGVMLLHPFFWDPSNTMAPELEVRIRCEWRFMCARPDAKLDDPRICPTSAGAATLLAAMPCGRVMVAVAEDDFLVTKGRAYHAALLASGWRGEAELVDTPGQDHVFHLLRPGTEAAAEMLGRVADFISRA >cds.KYUSt_chr1.42332 pep primary_assembly:MPB_Lper_Kyuss_1697:1:259148867:259149269:1 gene:KYUSg_chr1.42332 transcript:KYUSt_chr1.42332 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGISITSEKIATVVKAAGIKVEAYWPALFAKLLQTRSVDDLILSVGSDSDVRLIEFRSAV >cds.KYUSt_chr6.26073 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165158387:165180899:-1 gene:KYUSg_chr6.26073 transcript:KYUSt_chr6.26073 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAASAPDAAAADGDPEAAPPVPTYRSLAAPVCNPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIKNIIQANNRIEARSDPSIYLRYKDIHIGVPSVQVDFRVENITPHFCRLTDRTYSAPVYVDIEYTVGKAHTLYRKSNFIIAKMPIMLRSYACVLNGKDEAELARYGECPLDPGGYFVVKGTEKVVLIQEQLSKNRIIIDTDNKGRVIASVTSSTHEVKSKTVIVMDKEKIYLQLNRFTKPIPIIVVMKAMGIETDQEVVQMVGRDPRYGDLLYLSLQECATERIYTQQQALRYMDDKALPGAGNIKDGQAKVLLRDVFVAHVPVKNGNFRPKCIYTAVMLRRMLDAILNSDTFDDKDYVGNKRLELSGQLVSLLFEDLFKTMNEFVMLRMNKNSDMARSSPLDFSQLIQQDTTITSGLERAISTGNWDIKRFKMHRKGASQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALMTHVTTDQEEGPLMNLCYSLGVEDLSLLSGEEIHAPGSFLVMFNGLILGKHRQPQRFANSMRTFRQSGKIGEFVSIFVNEKQHCIHIASDGGRVCRPLIIADKGRSRVKEHHMKELRDGIRSFDDFLRDGLIEYLDVNEENNALIALYEHVDQDDVERSSITHIEIEPMTILGVVAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVITEKSDRIAKPQRDKDGDLIKQNMRALDEDGFVAPGQIIRNHDIYVNKQTPKVATTAPGTVLTSRDYRDSPAVYKGVDGETTVVDRVMLCSDTDDKLTIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGRFHYGSIFGEPSGNADKVEDISHTLVKHGFSYNGKDFLYSGILGHPLQAYVFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSHDGGLRLGEMERDCLIAYGASMLIFERLLLSSDPYQVQVCTKCGLLGYYNHKLKISFCSMCKNGENMAKMRMPYACKLLFQ >cds.KYUSt_chr6.25052 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158733923:158737668:-1 gene:KYUSg_chr6.25052 transcript:KYUSt_chr6.25052 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVEEAGALHRWLGGWGTPVEDHSHGMADSYSATMGAAEEPNLVRDLVLMCASPVPAASSPSFRTTPVHANSNPQFRPLSHHVAAFFDLARTRVPFSISETTRVIFMNLVRPNISLHALLSPSPDLASSMAPPAALRLTESPPDELIEEILLRLPPDEPSCLLRASLVCKPWRRIISHRSRKAVKDFAATTGSAFSLAVPGDYLALDYRHGRALLLDMVPGWGELVLWDPISGDQERVPVPEAMWDNTFQGDNPTAAIVCAAAGCDHRGCHGRRPFHLVMVYQNGYQDEEYLEWITWACSYSSETGEWGDVRWIQISAVDFRLHTTSVLVGDSLLYFLSDRGTIIEYDLAGEDATTISPPEFEGCDSDHQSCVSFFAGWFGFEETITGSAQLPPAFSLAVPGDYLALDYRHGRALLLDMVPGWGELVLWDPTTGDQERVPVPEAMWDNTFQGDNPTAAIVCAAAECDHRGCDGLF >cds.KYUSt_chr6.26705 pep primary_assembly:MPB_Lper_Kyuss_1697:6:169299598:169304329:1 gene:KYUSg_chr6.26705 transcript:KYUSt_chr6.26705 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSIFADELIPSSPSPSSSSPPHHHSSKRARCSPTRAFDDAAAAHRREALFQHLCSLFPHMDPQLLERALEASGDDLDSAIRSLNDLRLESAEAILSAAVCESENGLSTALKLSAEGVVSNGHLNVISGNPPVTDNCQTNHHSSEWVELFVREMTSASDINDARDRASRALEVLEKSIMERTGAEAVQNLHKENAMLKEQLAIVLRENAVLKRGVAIQHERQKEYDDKTQEVHNLKQLVLQYQEQVKTLEINNYALRVHLQQAQQNSSIPGRFHPDVC >cds.KYUSt_chr7.4222 pep primary_assembly:MPB_Lper_Kyuss_1697:7:25197777:25201952:1 gene:KYUSg_chr7.4222 transcript:KYUSt_chr7.4222 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRPEWVGYGSTISPIGHAHGPDGCPIGSGDPMGVGIDSKFTQQELPACKPILTPKWVVSVFFLVGIVFVPVGVVSLLAARDVVEIIDRYDHACVPPNMTHNKLGYIRNETISKDCTRTLRVKKDMKQPIFVYYQLDNFYQNHRRYVKSRNDAQLRDYKKANQTTSCEPERFTADGKPIVPCGLIAWSLFNDTYSFTRGNENLTVDKKDISWKSDREHKFAKNVYPSNFQNGALIGGKMLNASIPLSEQEDLIVWMRTAALPTFRKLYGRISVDLKVNDTIIVKLSNNYNTYSFGGKKKLVLSTATWLGGRNEFLGFAYLIVGGLCIFLAFAFTLLYVIKPRKLGDHNYLSWNRHPSGR >cds.KYUSt_chr2.5532 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34255459:34258923:1 gene:KYUSg_chr2.5532 transcript:KYUSt_chr2.5532 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMTGNDDPTRITSFGLSKSDVVLKARQICQTDMPADWSWGLRPLSFNNSPSAAALERFPRIAVEAQGPCTKRPLDTVDPDPYVVRGKHKMGRTHTPRPDLRSANANPQVVERAVPLQAEVGQEFLDNLASQGRKNKAPAPEAGPSEDPPAKRSKKDSGKPYTKKRYRSQIPVATGPALSLTRSAPGIRPEAPEDAARTSPTPRTSPVPSGAGKSSASPLGGNTSAGRAAPETSHHRAEEDFFSLPDTEDTSASNTGAGTKDAGRAEPLVPPTPKKKKKKTSASPSKTVPEPSAPATLSPAKETPATPAPSKDAPSPPPAAPAGKPTASEPASGSRSLVMHASRAAVTSSEKASAQLGRITELNRGEVNLGPLLDYAEKWNRADLSPATRGLGKDKLPVVDPSGPRSTAQHLSRLRHAVQEFDTAWHDASGNVVEKRRPDEINRMYYRSMQLLLRGAMGGAAPESLAGCVGHYCGYSTASNSQRLAGKVAIITGAASGIGKATATEFVRNGAKVILADIQDDLGRAVAAELGPDAAYARCDVTDEAQIAAAVDLAVARHGRLDVMYNHAGITGPMAVDSVTSLDVADYDRTMAFNARSAVAGIKHAARVMVPRQAGCIICTASTAGVIGGVIAPPYSISKAAVIGAVRALAGELGRYGVRVNAISPHAIATPFGLRGLAELLPAATEEDLRRMVKGMNEMGGGSVLEVEDIARAAVYLASDEAKYVNGHNLVVDGGFTVCKLTKT >cds.KYUSt_chr2.2329 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14042297:14045244:-1 gene:KYUSg_chr2.2329 transcript:KYUSt_chr2.2329 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLNHPAAMAKARVELDDVIGTGRLITTSHLKEIASVIEAGLLTKEVRRISRAVRLTVAICRRLAPRDVAAFLAFALSSSSDAFAHLSPLLPKEDGSEMDVDTAAPVAQVSIKHGLPEIEIYCYLLVLIFLIDHKKYDEETLLNLLLCNYLHYNLSDQAEKLRLKAPRFEAHSNQQVCTSKMNLTDEVWKIMSPDRIKSVLLM >cds.KYUSt_contig_2437.51 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000337.1:273381:275559:1 gene:KYUSg_contig_2437.51 transcript:KYUSt_contig_2437.51 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRRTRSTTGVGRTRRRRWVGRTRRRREGGEDQEEEGDEEDLSEDEGLGNLVFDPDPSLEWCEPEDYQYVPAVERLRPRDRKPYRRGITQLPSLKSWRYRHVVLVPYGRSSFQFEDPTQRPPRGYSNILGGLLRWYFPGIVNFPTGGCDVAWRWAHYSLAEDPLGRGTAADMVVAKFWKYFKRAEGKENACDDVLHQLARKRVTGMHYEARIQCVRDWHADRFVHMSKEDARDTLMQPWQYLQNPPHYVGNDDRCFRAMVMWWTCPQYLKKHEEGKAKRAEMQGGSHIQGSIPISLHLQKEEVRTGAKPNVFAVLKKMKQRKTPDPETGSVWVNPQSETQCTSYVSKFKQKYGEDANPEAEDFDPEVAVLAGEGLKHGRLWFGDGCVDPARVPSLRQIRRGRKSGQPEVEPRPRASDLAVERLREEMAAKEQAAQEQRAQMEQQILQYQQQQTQMMQQMQQQQQMMQQQQAQMSWLMSQTALSSPPGSLPPPPSSLPWMPPPPTHTPGTPITVNNMNIIRSMNLGESSCAQPATCTCSSVQYANANANVHSINLIDNMSQGNGDEAGGSGGGQ >cds.KYUSt_chr6.9502 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58632085:58638507:-1 gene:KYUSg_chr6.9502 transcript:KYUSt_chr6.9502 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSRIPNKNVAHIDAKTTKSPPWSDTTERARQMVTGHRVVLEPALRHHLPQPSNHDESQGRPQWGKQRSTTTAPEHHAINQVGSRVGQGRDRRLPPPSGRACPDMSYNGKTNIMAGLLTLVNEWLIRGLVLSSFVAYLVLIHFAGVRRRRASGWRMLLLWPAYQVASLATTKALANLFLGSASQELQLVAFWTPFLLMHLGRPDNISAFSIEDNVLAARQVLEVSLQVVSVIFVLYKHIVVGGNAGTLLPASFIMFSLGIAKYIEGTVALWRGGLGNIRSSLKELEPMGLSSYLMSRVRGEELDNEQALLAAHGLFYVSKGEFTDYPFGKNPLRRDLTREKEFSGGWKGVCKMVEMELSLMYDIMYTKAAVVHTWPGYGLRVISPPCTATAFALFWLHSKEGQRSADVVITYILLVATFILDVRWLLGALGSTWTYAFINNRYWLKNFVETWKGLRLFLVFLAPSRLFIKEPTSYRRWSGAIGRYNLLHECTRETTSLLSRLVKNFASTGVWMEYQYGYLDGLEISPFIRDLLFKKVWEKLKSSYELDKPPPPPEAAPPKPDAQPPPKPKPPVPEAVHDPRGALANRRKLDEALGIGPEFQEVVLTWHIATEVFLLRRPREGVSFNYEYVKAIKELSSYMMFIVAVRPHMLPGLKLSSLHEVTREDLEVLWHRNKNMHCSTDRDDELASILQRQEEIAPGTDSEPGSTSSRSKLYNKSLILSDGIQYARLMLERIRPSNCPEKRGPPKDMRMHHMLRDVWREQVLDLQIMLERILDAWVKLLIYASIRCSRDSHAKQLAMGGELTTIVWILDAHARIDGEKGAPDQFALLHTHI >cds.KYUSt_chr2.46844 pep primary_assembly:MPB_Lper_Kyuss_1697:2:292868296:292871688:1 gene:KYUSg_chr2.46844 transcript:KYUSt_chr2.46844 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQGATGQPPHWGAIPPPMPQYGQPPPQYAQPPPPQQQMWGQPPPQTAPYGQVPAPQQYYAAPQAPPAAPASADEVKTLWIGDLQYWMDENYIYGCFAATGEVQAVKLIRDKQTGQLQGYGFVEFVSHAAAERVLTTFNGQMMPNVEMAYRLNWASAGEKRDDTPDYTIFVGDLAADVTDYMLQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPTEQARAMTEMNGMPCSSRPMRIGPAANRKTTGVQERVPNTNTQGAQSDNDPSNTTIFVGGLDPNVSEDALKQVFTPYGEVVHVKIPVGKRCGFVQFANRPSAEQALQMLQGTLIGGQNARLSWGRSPSNKQAQPQQESNQWGAAAGAGGYYGGYGQGYEAYGGGYAQPQDPNMYGYGAYAGYPNYQQPAAAQQPPQQQVKFLD >cds.KYUSt_chr4.1450 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7656135:7664019:-1 gene:KYUSg_chr4.1450 transcript:KYUSt_chr4.1450 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPRRHFPVSVAAVADFAASMRDNLLNAAHNGDLRLLKRLVVMLDEGVGRPKDVVEAARADGGLWALHVAAGNEQMEVCRYLVQELRVDVNAADDKGRTPLVFAVHSENAGVVNYLLDHGADPDKADDVGLTPLHSAAGIGDCEMIEMLLAKGARIDLIADDIGTPLHLATKEQHVSAMKTLLDHNADPNKTYLMYDYGMYAMTPLFQAVNLSSVECVKLLVEAGAVINSDCVSTASLDSKMGNEGSTECLNFLLEARANRNASNDAIDLDPADATLFSNRSFCWLRLGDGKKALLDALECRKLRPDWLKACYRHGAALMLLEDYGGACQALLDGLKLDPQNAEMETALREAMESLKTSKGTRAR >cds.KYUSt_chr6.13614 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85274295:85278871:-1 gene:KYUSg_chr6.13614 transcript:KYUSt_chr6.13614 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDARGAAYRRWDTSGSSQYSFRTSVSSVADIGGEIVEVSQAGGEIVEEASQAAEDKVFVAVDEEFKRGKSTLQWALQNLAKDGAQVVVAHVHRPAQMIPMSKPSLSGMHPEQVKDHRKKELEKTSGRLDEYVVLCTRLKVSCDKIIVENDDVAKGLEELITLHGITKLVMGAVSDKHYSKTPRTPLSSTGSWDEYGRRSQGSWYSLSRNDDAISVPGPAMEPPMHESDDDHFLPPSHELAKELERLYHHEIRQRRTIEETLLRQTRELQEIKIQCDTVCDELHDAEEEKAILEQRITEMKSALQDQQDKLATSNHLVEEVQADKEKLKEERDAAVTAAEELRQKNEQRISMPAEALNTEFSAFELEQATRSFDEALKIGEGGFGCVYKGSLRSTTVAIKLLHPESLQGQSEFNQEVAVLSRVRHPNLVTLIGSCREAFGLVYEFLPNGSLEERLACMNNTPPLTWQVRTRIIYEMCSALTFLHSNKPHPVVHGDLKPANILLDANLVSKLGDFGICRLLIQSNNSADTTKLYRTTTPRGTFAYMDPEFMSTGELTPRSDVYSFGIIILQLLTGRRPQKIADAVEDAMEKGDLHSIIDPSAGSWPFVQANQLAHLGLRCAEMSRRRRPDLAREVWVVVEPLMKAASLTARRPTFAAASSPDDEASTPSYFLCPIFQEMMSDPHIAADGFTYEAEAIKGWLDSGHDTSPMTNLKLAHRELTPNRGLRAVILEWQQQHQRRYHEDWR >cds.KYUSt_chr6.3312 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19295726:19303571:1 gene:KYUSg_chr6.3312 transcript:KYUSt_chr6.3312 gene_biotype:protein_coding transcript_biotype:protein_coding METIFGSTTTIGKYAKSENDTLSIDLDEDESEVNVSPNVAESTSKRPPKKKAKVVKNEDDPLVITLKDGFKMVADALFKSGGDDDAIPDGLWDALAAINGFNEGHIAHYKLVWEEDEGDQYDWLSNLPDDVLLNIVERLDTTYAVRTSILSTRWKQIAAMLSKINLSVGFFDTEHVRSELTCDDVVQANQSMLEATRTMLESRTNQYTIHLLRMQFFLADGSVNIGQTVANTIATKKVGSVELTLLTEKDGSICTHDDLVTHGRQLSSFVDACPNTFSGLARLKLENMRLGESDFPKLFSISKRLEFLCLFNCDIGYLSFLEVEHPQLRELEILKCDFEMVDLKWLPKLTTLTFTCWESKHDPLSFGFVPLLQTANISNTALSSHKMLKLSEFLGKVTLRELHLNFESEKIWVQPEGPKELLQVFNKLRIVKLSGISEECHLSWTMFVLQGAHSLQELHIEVWDHLCEMVEDERHRKMLGYSKLKKDACVEWEGLPSCFKHRNLSVLRVYGFQSDDKFVNYILGVMEATVGLEDIYLYEKPGCEMCKHKMKKGGYPRTDELRIVLRNIFNREASLKLHFVPAEPLGHHGVKEPVVSCLGLGPWTVTRIGDWVGGYNKKPAMHNKMTARRVEPTISFLCPPSVLLWIFFFTSVPYPSHVPAITGGEVQKDNGDDKISTLPNDILVNILDRLYVSVAARTSILSRRWSQLSTKLSRLVINPLPEGVSSTDICDVDLVRINATAVEATKSILTRRNPGEDTIRLLSTTFYLSGDVPISIGHAVGDAMATHKIEKAEFRVFTGKERKQCTIDDLVKYGTKFVSFFKECLNAFTGLTRLYLENLRFSDSGFVSNIFVTCKRLKHLGFLNCGTENWITLQVEHAELSELSIISCRFDKVELKWLPRLTRATYACWVSFDELPLSFGHVQLLQFLNLTNVALSFQKMVRLSTLLCETYTQELRLGFKCERIWIEPECLSQRLASAFHRLRIVNLVSIPEGYDLTWTMFILEATPSLEEFYISVMDHPCEMQTDKEKRRELFLTEKKGVEWESLRSNFKHRRLTKLIIFCFEYCMVCHVSRVMKAAVNLKDVYLYDRVACSKCQHINPARPGRLPRNKKKLNLMKKLLLQGIESPARIHFLIPSPEMDDDHAARLP >cds.KYUSt_chr7.2633 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15485353:15487342:1 gene:KYUSg_chr7.2633 transcript:KYUSt_chr7.2633 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRFRAGDRGPSSSASADSPPVPLSHPHNGCFGGPGLQGKPIRNLSSDLIPTRARIPDNAPRPLRQPGPLPPPPPSIEWEAARREGIIQMEVRRRLIEEEVRREFEAKGNLAFAQAHRAGFLPDPFFPPGHLMPPMAMHPPPHALPPMPFDQLGAWHGFNQFGPRPHAGFGERMPLHCEERRLSPPRPKPKHKLQLLEIEPSGRPEAPSSKTKVQRIKRKADTIGGPTVAKKVQKVTKDWSCALCQVSATCEAGLNEHLEGKKHKAKFAQSGTSKVITDSKDNMRKITGNKSGIEPCDEPKKICILVDGELHEVVQKNNYLWCDRCKVRCDSNVTMAGHLRSKKHNKRNKVWSSIEAVRMDTKINEDLSSPCGSKVNTNDSTETQALIKGNIDMAIQVEECGLVENPVEIEKQSTTMASEVECTNMANTSVETPVETMMESKDMTNDARRLLPTEE >cds.KYUSt_chr3.37617 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236699209:236699610:1 gene:KYUSg_chr3.37617 transcript:KYUSt_chr3.37617 gene_biotype:protein_coding transcript_biotype:protein_coding MPELLQLCGGVLSPPSVEEVRPNSHESLDVVSPPCQAVAFEKCGAGDAAVSLSSESGSQVVSIGDGVAMSGRYKRCPELSSPERFAIFSPPCQLPSPDPQLVDGCLPVSWLVFFGTALESRVQVFVCVALWFV >cds.KYUSt_chr4.39990 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246945887:246952834:1 gene:KYUSg_chr4.39990 transcript:KYUSt_chr4.39990 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIINGGGVPEYKRALELSELLGVNISPSQVVHGHSPYRELLKRFEDDPIVAVGKGEPAAVMAEYGFRKVLSIDDYASYFREIDPLAPFKKWNVGQSNCQNVMSEKMHPPYDVHSERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGNGKGDQPPLYFAADDLEYQAAFPSERLGMGAFRIALESIFNQVNDLPLKYTSYGKPNPFVFKNAANILEKIVMGIYPNSQSTKEVKDHQLSTIYMIGDNPKVDICGVLKAGPPWSSILTRTGVFRGTDNDPKFPADLCLQLNLEWHIQCSELNLEGHIQGEDDEQQSRTVTSKR >cds.KYUSt_chr2.39363 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244085561:244087285:1 gene:KYUSg_chr2.39363 transcript:KYUSt_chr2.39363 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVAKVGDGSASVYGGELTFSVLITCFVAASGGLIFGYDIGISGGVSQMKPFLEAFFPKVLRRMADAKRNQYCMFDSHALTSFTSSLYLAGLVASLAAGRVTRSLGRRGTMLAGGALFFAGGAMTGGAANLAMLIVGRMLLGFGVGFTNQATPLYLAEMAPARWRGSLTLGFQFFVSLGILIANLVNYGTARLTWGWRLSLGLAGAPALIMFVGAFFLTDTPSSFVMRGKEDLARAALVRVRGPSADVEAELRDIARAVEAARGREEGAFRRLFAVREYRPHLIFAFVLPLCHQLSGMMVLTFFSPLVFRIAGFGSNAALMGAVILASVKFGSLILSTLVIDRYGRKALVIAGAVIMVVCQVANAWIMGAQGGKSGETPLPRAYGVALLVLTCVQGAGFGMSWAPLIWVIPGEIFPLEIRSAGQSVSVSATLGLTFVQTQTFLALLCCFKYATFAYYASWVVVMTAFVLVFLPETKGVPLESMGSVWESHWYWRRFVGDGKRVQASPSM >cds.KYUSt_chr1.17492 pep primary_assembly:MPB_Lper_Kyuss_1697:1:101593220:101600683:1 gene:KYUSg_chr1.17492 transcript:KYUSt_chr1.17492 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARRDASALDPELLQLPELAPGALRENSTIADALYTQWLVLPETAKLGPDGMEVEAARRDASALDPELLQLPELAPGALRENSTIADALYTQWLVLPETAKLVKSLIEDAKGGGALNVAGSSASTNAASSSSLPSMFPAGSAPPLSPRSTAGSPRVMRRGSGAGPSTLGSPLKLVSEPVREVIPQFYFKNGRPPPKDLKEQCLSRLDHLFFAGEGLQIQEFRPVIKDICKLPSFLSGVLFKKIDAACSGTVTRDAFIAYWINENKITLDTPSQIFEILRKPGCSYLTQEDFKPVLKELLATHPGLEFLQGTPEFQERYAETVIYRIFYSINRCGNGHLTLRELKRGNLVAAMQQLDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRVFAQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILSTNEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENETYFTLRDLKKCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF >cds.KYUSt_chr4.3132 pep primary_assembly:MPB_Lper_Kyuss_1697:4:17923824:17928830:1 gene:KYUSg_chr4.3132 transcript:KYUSt_chr4.3132 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGKEKASAGKRGGAKDPAEALRSDKRRRDMDDSDPEIDSDFKEIVSMLRHIKDKAHKDGVKKTEQAISSVATEIQSMVQDTKTKFEKERQSFLKALTKTSKECEGSLKTEYTKFEATHDKFCKDKAAHVQNFKDLFSKFEVEKEKLIVQYELQRKKEKVTLSELEKTVAEKIADAEVSLKKMKQGDKSISMLKKSFASFLGPDDED >cds.KYUSt_chr4.42433 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262628673:262631758:1 gene:KYUSg_chr4.42433 transcript:KYUSt_chr4.42433 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSNGGGGGPGHRRRSSGHGHHHQPPPPPPQQESAPNRYVFAAATPYPPQYPNPNPPPQYYPQYGGYYPPPPPPSVQVPLPAPYDHHHRGPAPPGGPAANAAGDFPPSVHHYPGWAGRYPYGLQPPMPTPYVEHQKAVTIRNDVNLKKETLRIEPDDACPGRFLVAFTFDATVAGSMIVYFFAKEELNCNLTAMKPDLIKPVTVSFKEGLGQKFRQPSGTGIDFSAFEDSELLKQGGMEVYPLAVKAETTLSVDPPPEGEDQKIKTPNSQITQAVFEKKESGDYSVRVVSQILWVNGTRYELQEIYGIGNSVEGETDANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNNKAEEQPQQTSQSPPLPSSPPLHKEEV >cds.KYUSt_chr7.8250 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49931527:49936011:-1 gene:KYUSg_chr7.8250 transcript:KYUSt_chr7.8250 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRERHSAGRFICLRGPGVVLEYTERKYNANGAEQSFCWFEPSPAMVAAVSPPGAAGAAAVSGATIIASFHSGHCASPSRALPLPAGAGAAAARRYHHHSGACCFAAAKPTPAPVASELDDDDAGLSTNGADPKPPTRKKRRSRKGKKSAAALEEEEAARRKAEKDAAAAKRKAEEEESRAATAGLDLDEVMAVSPVGLGRRSRQLFDEVWRKFSRLGQMSSASATEALAEEEQAVLSRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRDDAEVIDMLPRSVDIVVGDVGDPLTVQSAVSGCSKIIYCATARSTITGDLNRVDNQGVRNVSKAFQDYYNEMAQLRAGKSSKSKLLIAKFKSKKSLNGWEVNQGSYFPNTYASGSRFDEGIDASFEFSQDGQAVFAGFVFTRGGYVEISRRLSLPLGSTLDRYDGLILSVGGNGRSYVVILETGPLADTSQSKQYFARMTTKVGFCRVRVPFSAFRPVKPEDPPLDPFLVHTLTIRFEPKRQRPGDASQGGTDPRNFELILEYIKALPTGQETDFILVSCTGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQAISCADVADICVKALHDSTARNKSFDVCYEYVAEQGNELYELVAHLPDKANNYLTPALSVLEKNT >cds.KYUSt_chr3.41452 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261475866:261476138:1 gene:KYUSg_chr3.41452 transcript:KYUSt_chr3.41452 gene_biotype:protein_coding transcript_biotype:protein_coding MRITGWHALGEEAVGVVQQAVSLAWRRGHAQVTPLHIASAMLSAESTAGVLRAACVRTQSHPLQHDVLELCLDVALDRLVLQLYSRFLPI >cds.KYUSt_chr7.17116 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106056162:106057715:-1 gene:KYUSg_chr7.17116 transcript:KYUSt_chr7.17116 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRSLAAVLFIFLSCYATVPSLATSDGFLQCLSAAIPEELLYMQSSPSFTSVLVSSIRNPKFSTPSMVKPLVIVTPTNASHVQAAVVCGRQNDVRIRVRSGGHDYEGLSYRSARPEVFAVVDLANLRSVSVDQTAATAWVDSGATLGELYYAISKASNTLAFPAGLCPTIGVGGHLSGGGFGMLLRKYGAAIDNVLDATLVDANGRLLDKEAMGADVFWAIRGGGGASFGIVLSWKVNLVSVPPTVTMFSVPKSVNEGAVDVLTKWQEVAPALPDDLFIRVVVQNQGANFQSMYLGTCDTLLPLMGSSFPELGLNRAHCKEMSWIQSVPYIYLGSTATVADILNRATSTTSFSKATSDYVRQAIPKDVWAQIFAWLSKPNAGLMITEPYGGKISSFPETATPFPHRDGVLYNIQYMNFWSAATDGSAQTKWLKDFYTFMAPYVSQNPREAYVNYRDLDLGENTVVGNVTNYEAAKVWGEKYYKGNFKRLAMAKGEVDPDDYFRNEQSIPPLVASK >cds.KYUSt_chr4.7350 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43673487:43673915:-1 gene:KYUSg_chr4.7350 transcript:KYUSt_chr4.7350 gene_biotype:protein_coding transcript_biotype:protein_coding MANHASATAQKRKCPEHVVSAGADTKTAAKSIFIASDSSTAPPAKRAKMITAGSSSDAAAATGAVDTSLPSVKQQATSANRCATCRKKVGLLGFWCRCDGTFCSVHRYSDKHECGFDYKSAGREQIAKHNPIVVADKLARRI >cds.KYUSt_chr1.24834 pep primary_assembly:MPB_Lper_Kyuss_1697:1:148669666:148670139:-1 gene:KYUSg_chr1.24834 transcript:KYUSt_chr1.24834 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRKTSSTVVAMAALTLTASLLFLAGSSEAACPSKAQTPSTPTTTPVPVPATRGSGGSGSKCSVDALKLGVCADVLGLGGELTNLLAGSRSTASKTKKPCCELIAGLVDLDAAVCLCTAIKANVLGVVDLSLPLQLGLLVNHCDKKLPAGFQCPN >cds.KYUSt_chr6.32188 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203183148:203184322:1 gene:KYUSg_chr6.32188 transcript:KYUSt_chr6.32188 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDSAVNLDAGIGAFDGECNVEDFDDEEEDEGDEEVVEVDPAAAGSSSTSKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDQYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQQRYKDMAGSKNKEFQFQHCFSILQHLPKWKLRDNEPKCKKEALLTMDDEAEDMSGRNTGKPEGNKKAKERDKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARREDAKLKAELDMKMIKAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWNETKADIIARKKAARQARAQGESPASGGAGGDGSLDG >cds.KYUSt_chr2.8260 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51935688:51937828:1 gene:KYUSg_chr2.8260 transcript:KYUSt_chr2.8260 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLAHLHPRRRTRPGASGTPAAPAEEAAAVKTAFDVRIEKYEAAAKIKIIKEVRAMTDLGLKEAKELVEKAPVVVRAGLPKEEAEALAAKLKAAGASVALE >cds.KYUSt_chr3.6868 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39462667:39464265:-1 gene:KYUSg_chr3.6868 transcript:KYUSt_chr3.6868 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSDTSSPATGSSSSSHRYPDWVMLDPHTRLNYPRPTLDYPEEHFRATHAYAETFKGDPVRVSFRAVPPPGTSRIYIRWTPSPEEEEEEKEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEKEEEKEEEEEKEEEEEKEEEEEEEEERWDRSYGGEPTIVAANGNSILLQLTTHHIHNNGSDFFVYTIRSIGQQPSLQRLPSCDHEFKIGNHYHGLEHMFQLQGIGLLCDAATGEFTVADLMVVSKPNAFHDQDPPVEAGLCIYRSCRPEDGWKATRPPIRHESGQGRDLIFWETDAVVPFGDSLCYVDYFRGILFVDVLSVCPQLWYVRLPVSIPAAGDPVDRSTGELKECPDSYRSVCVTVTDGGATTMKFVEVVSSTVFVSGSRAPASSSFAINVWKLVRKDSMTTWEKETSMEDTELWSLQGYGDLLPRVAPKFPLVSMKEPQVICFVLGDNRNFRSGDAADGDGTWVIVVDMLSKTLRSSSRYTIALMNCFESDGNMASASLFYNRPFMACEFSSYLHVPEQNR >cds.KYUSt_chr1.30392 pep primary_assembly:MPB_Lper_Kyuss_1697:1:184006729:184008015:-1 gene:KYUSg_chr1.30392 transcript:KYUSt_chr1.30392 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESANGWRFSCIQGGRGSGITSPVGSTFHGALSVAEQLSATTAANAGDTITIAASAGDNTTSLCSGTGTCARAGTSAIMQRLLGPSHPGMQLEVRCGMRGFLQAQAAGLRQLQCEGCQFCEQGIGKCMADCLSPCNANCAN >cds.KYUSt_chr1.34726 pep primary_assembly:MPB_Lper_Kyuss_1697:1:211508613:211510615:1 gene:KYUSg_chr1.34726 transcript:KYUSt_chr1.34726 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVAPGRLPDADALPLTTRPPPRRNTASPSVRARSPAPPPSPCKIPLSGRHSGTVLDGGHTSYRAVQLRAGFVAQDDVIHPQLIVCKTWSSPTTSRTSRLLYIAPGQGRRGGAHGTMDSKVCHNNVGVDTHVHHEGDDMDKRVQCEHDEMDKKVRQELAAMDRVMKQECDKLERKFKSIHEEM >cds.KYUSt_chr5.5744 pep primary_assembly:MPB_Lper_Kyuss_1697:5:35796966:35799161:1 gene:KYUSg_chr5.5744 transcript:KYUSt_chr5.5744 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLSRNHHLGNLKHNRHLEVDAVVAFLFFSATDCEWPCTTAVGFCDRRPVNTGARDDLPTVVATDGRTEPVYMKPPPLTRCDLEKAASEAAVRHHVANGGCIDEFRKAIHDCNIQWVPTEGGRRELVKPDVEVCIKATAALRQCFASNPEMFKHQYLRRLDEGLNQDPQWSHEREERWNEFRWWHGMRRE >cds.KYUSt_chr3.43172 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272911079:272916183:1 gene:KYUSg_chr3.43172 transcript:KYUSt_chr3.43172 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRRAPAAAAASVAAVFGAGDLLREILLGLAFPHYLVRAALVSKQWLLHASDPAFLRRFRDRHPPSLLGFCAGYPRTAYQFVQLPQPPELADLSRRAASSCNEEFATRGSQWIKHCRNGRLLIERPHHGRGEYSLLAPLLNGEPIAILPPAPLFCTIFLPEDGCRDGITMVSFHDKGREVRAKVSVLGSGQWQWGVQATAAIQLEPPYPAACFQRVLPPVHGMIFVVTNCGYTLVLDLATPRFFILELPDGAERDGVPSNFLLSCAENSGIYLVNAEEFQISVWLHRMTGDGYGAGGWLLVDTFCVREACARLGSWVPQHGGFVQVAAVGDNADFVFLDHAQSGAVFYVHLRSKEVQKVDQRVPDRYLVRDVGIDIFPIMMTWPPIFPARGVGMFMISKETFGLAAQNPPKLDQPKTWKATEGRRRPHRWRRCSATAISYARYSSASASPTTSSAPLSSASGGSSTPLDPAFLRRFHDRHPPRLLGFCVGHPHHSYKFVPLPQPPELAALSRRVASFCDDAFARSRGQCQWITHSRNGRLVTEIFVRGTFRYSLLAPLLAEESALVPPPNPLLYNNRSCLNRMFLPKDGGRDGITLVNVKLANARRQVRATVYVLGSGGWGVPITVRKSVPQDLPPGLKDVLPPIHGKIFIVTTLGYTLVLDLAKARFSTLELPGGVGSNFMLSCVEDSDIYLVNMKGFQLSVWLHRMTRDGHGVGGWLLVDTFCVLEACTRVAGDSWVRQNGDLVDVVAIGDNAEFVFLDHLASGVVFIVHLRSKVVQKVYQRVHRIGFLPVMMIWPPIFPARIVGHDQED >cds.KYUSt_chr1.17245 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100108827:100109739:1 gene:KYUSg_chr1.17245 transcript:KYUSt_chr1.17245 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDLTRWEHFFFSTKSAKSKCKWGKGSRPPAGEPEILLTTAGREKRQTVGEVHEIRGWTGGRAGAAAAWGCRGAELVRGRPEMRQGRGGAGEAMADPERAGQGRGGVGIRAGGGEGSRRGDDGAGVRDGGAGVGRPGRRSSRSAMAAPERAGQGQGGVGIRAGVGEGSRRGDGGAGVGDGGAGVGRPGRRSRGFARASEAERSERVGQGERAMVVSNTEQYRGLTL >cds.KYUSt_chr6.19074 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120022259:120031573:-1 gene:KYUSg_chr6.19074 transcript:KYUSt_chr6.19074 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNGPKPDAECYYRKEKGHWKRNCSKYPADPKSGLVKKKKEASEVVPAPPTPATEEANDNDHETSNEETIEPRRSTRERATPDWYDPCLNVMIVDNNDEDPATYEEAMMSPDSNKWQEAMKSEMGSMYDNKVWTLVDLPDSRKAVENKWIFKRKTDADGNITVYKARLVAKGFRQIQGVDYDETFSPVAKLKSVRILLAIAAFFDYEIWRMDVKTAFLNGDIEEELYMVQPKGNNIIMANRPAITEENHVTNTTKLTKHRKAIPEALADIVITYACQSKNTSMASGASRTVRFQKDADVQHLRTSPSLESLAQQTGKKRRKSHDPRSWFRQGGSAASPDQKQRKERPLSRAFSEEFESLVHGGEQQNLLYFFLDPRGEVIHLWHKVFLAACLASLFVDPLFLYLTGTRRDLCVEFSYSLALTLSMIRSLLDVFYAVNILFRFRTAFIAPSSRVFGRGELVISPYQIARRYLAWAFWFDLFAALPLPQLVVWSVVPRLREYSATASRKNVLRFSIIFQYLPRLLQIFPLTRRIVMATGVMTENAWAGAAYNLILYMLASHVLGALWYLFSVQRQEACWMEACRLEAPACQPRFFDCRTVSSSSNRTIWYALTNITTLCAPSNSGFYPFGIYAEAFEAKLTTASFTHKYFYCFWWGLKNLSCLGQNLSTSLSIGEISFAIVIGVLGLVLFALLIGNMQSYLQATMVRLEEWRTKRTDMERWMQHRQIPRPLKECVRRYHQYKWVATRGVDEEALLEDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGHLDSNTTQGGRSGFFNSCRIGAGEFCGEELLTWALDPRPAEALPRSTRTVRAVSEVEAFALVADDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRHKRRRASMELRIREGGSEAWPGGSVRRRRYSCDGKLLRKPMEPDFAVEEEE >cds.KYUSt_contig_319.36 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:312288:312843:1 gene:KYUSg_contig_319.36 transcript:KYUSt_contig_319.36 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKMEIATAAFVLAMMMTLLASKAVAQNNSCSSVMMTLSPCLDFIGNKALEPGFSCCTTLGGIVQTDPRCLCMVLDGTAASFGIAINHTRALELPGICKVEAPPVSRCTAIPIPPETAPPSSESPEEPGEEIEEAPKGEAMNKPKDAYYSLRP >cds.KYUSt_chr2.39740 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246662488:246663336:-1 gene:KYUSg_chr2.39740 transcript:KYUSt_chr2.39740 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSPAAVTFLTNIAKAAAGLGASASLLSASLFTVDGGERAVIFDRFRGVLPETVGEGTHFILPWLQKPYIFDIRTRPHNFSSTSGTKDLQMVSLTLRLLSRPDVVNLPTIFTSLGLEYDDKVLPSIGNEVLKAVVAQFNADQLLTDRPHVSALVRDSLIKRAREFNIILDDVAITHLSYGIEFSMAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESESARLISEATAIAGTGLIELRRIEAAKEIAAELARSPNVAYIPSSDNGKMLLGLNATR >cds.KYUSt_chr7.707 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3877583:3878176:1 gene:KYUSg_chr7.707 transcript:KYUSt_chr7.707 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSTPEQQQQQTAPAGMAVDSNMVVILASLLCALVCVLGLALVSRCACRRRRTSSSDHSPPPPKGLRKKAIDALPTVLFAISPDSSSSSAPSTTAWCLASECAICLTEFADGESVRVLPGCGHGFHGACVDAWLRTSASCPSCRAAISGAEPARRTAPVEPTVVVVVASGGSRCGRCGELAAPAGSGAGDCTFLP >cds.KYUSt_chr1.35752 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217864636:217869255:1 gene:KYUSg_chr1.35752 transcript:KYUSt_chr1.35752 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVISGVLGEFVSRTISFVAKKCREQTTAKEDLQRLLQLLLRISTVVEEAEGRRIENRAMVRQISTMREQMFRGYYLLDAFRCRERNTNHDEVSHMSFAQSKFNPAKRLRRLVSDTQIEGTVIGRENSKELKQAVLVLENMVADMEEFVIFLMSYPRMYRQPYGAYLYLHKYMFGRQMEREQAVRFLLQAEPGGNLGVLPIVGPGHTGKSTLVEHVCLDDRVRDHFSLILLYSGNDLKDEVATNFRDHCVIKHQNIASGEERSLVVIELLGDVDEGAWKRLLHSAERFMVHGSKIIITSQSEKMVSVGTTEALMLNWLSKEAFWYFFKMLVFGSTDPEDHPKLTSIAMELAGEMGGSFMFAYVVATLLRANLSCRETIRHGSLIDHAKGWSMLRAPLHHLSHVDVKYSHLEDVTPEHLEGNELADLEYACNGNSRPPLIHFCKEREATLKHFEREHPAPAKNEAERIAFWSRPIRTVKKVIDSARQ >cds.KYUSt_chr4.4389 pep primary_assembly:MPB_Lper_Kyuss_1697:4:25134901:25136997:1 gene:KYUSg_chr4.4389 transcript:KYUSt_chr4.4389 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQQVSGISDFSRAASDGYPYPSFTSSDAAASYRRQPSSYSAPFSQVSRSSTRSSSSSFSAAARGVAGVFGTCFVPRVRKDATEEEREASRGSRSAGFSQGSRSGGFSQGSRGAGVHASTDSGPGKESSGLTVADISRATSNFSEKNMVKQGASSSIYKGKLKDGSEVAVKRARKLNGQYLSTELSRELETLQKIEHQNLVRFLGFLEQREETLIVVEYVDNGSLREHLDESRGTGLELAQRLNIAIDVAHAITYLHGYAERAVIDRDIRSTNVLLTGALSAKVAGFGLARMAADDGPDATHVTTRAVGTAGYVDPEYMVTLQLTDKSDVYSFGVLLVELVTGRPPIERRPGLDPRATTKWAMQKFRGGDAVVAMDPRMRRSPASVAAVEGMLRLAELCVAPARKDRPSMRRCTEALWTVRRDYHRRQEPRQDASPGIATGDRSAEWVSR >cds.KYUSt_chr1.6 pep primary_assembly:MPB_Lper_Kyuss_1697:1:54648:55664:-1 gene:KYUSg_chr1.6 transcript:KYUSt_chr1.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPLLLLLCSLLLATSTAADQCHDDDHAALVAIDTALGSPYHFASWTPDSACCDWYDVDCDAESGRVIGLRVFQDTNMSGSIPDAIANLTFLQTLTLHHLPAISGAIPDSLAALSNLSQLTISHTGVSGPVPSFLGALTALTALDLSYNSLTGAIPPSLADLPNLSSINLRRNHLSGAIPSLLLSKSPDQAYLWLSSNNLSGAIPAEFAAVDFAHIDLSRNALSGDAACLLGAGKSSLQHVDVSRNALRFDLSGMEFPENATYIDLSHNGIRGGIPTQVANLTNLQQFNVSFNRLCGEVPAGGNMARFDRYSYLHNKCLCGAPLTACRQRPINYLH >cds.KYUSt_chr2.18722 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117868587:117871420:-1 gene:KYUSg_chr2.18722 transcript:KYUSt_chr2.18722 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLPCLLFLFLTSPLASAATYSEYSCNGTTGNYTASDAFGANLARLTTALPIDASISPSLYASAAIGAAPDRVFGLALCRGDITEASTCSSCLNDAIRQLRALCGGNRDATFYHDLCTLRYSGDDFLARPDDNTPVINAMDMNASTYAAWDSRNATSRSFFLSLVGTLFGEMSMYGAFNSTERRFASAAMYINAQLPTVYGLAQCTPDLSPGQCWHCFQGLQEQTRQWYDGREGGRILGVRCNIRYEGYQFYHGMTDVRIGFDGSSSTTRSNGSKHRKTLIIVLCVSITVFCSMLVGCLLLIRRLRKGGGKTKLEQGHSRNSSKTEEALKLWKIEESSSEFTLYDFHELAAATDNFSDGNKLGRGGFGPVYKGVLPDGTEVAVKRLAAQSGQGLVEFKNEIQLIAKLQHTNLVKLLGCCVQEEEKMLVYEYMPNTSLDFFIFDQERGPLLNWRKRRQIIEGIAQGLLYLHKHSRVRIIHRDMKASNILLDRDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVFSFGVLLLEIVSGKRNSGHGQHYGDFVNLLGHAWQLWREGRAFELVDPTLAGRNEVTSIMRCIKVALLCVQDNANDRPTMTEATAMLGNDGVPLPDPRRPPHFHLRVTSDDEDEDGVGGSGVRTRSSMHFTGGSCSTNDMTISTIEEGR >cds.KYUSt_chr4.16841 pep primary_assembly:MPB_Lper_Kyuss_1697:4:104770401:104771399:-1 gene:KYUSg_chr4.16841 transcript:KYUSt_chr4.16841 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQLVQQPANSPDLNVNDLGFFNSIESLTDRRSPRTLNDLIRDVQEEFVAYDAPTLNRIFVSLQTCMTEVLNNSGGNGYKMPHLGKISLEAQNLLPISIPCPALVYENALKAVAKALQAEEEAKQEEARKKEEAKKAREEEKQEEARKKEEAKKAREEAKQEEARKKEEAKQAREEEKREEARNKEEVKKAREEEKQEEARNKEEVKKAREEEKQEEARKKEEAKKAREEAKQEEARKKEEAKQAREEEKQEEARKKEEANQEEARKKEEVKKAIEEEKQEETRKKEEAKKAREEAKKEKEEARKSNQSRKRKRKEETPTAEASTSTSKKA >cds.KYUSt_chr3.27698 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173030595:173034648:1 gene:KYUSg_chr3.27698 transcript:KYUSt_chr3.27698 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLSPHHQLLLLFLLLAAASHGVASGATYDSSMCLSEPFTCGAVSFSYPFYRSSDRKDVDGNENSYCGYPGMGIVCDNDKPMLQLDGVGNYAVKSIDGTRANVSIADPEAVGSCPRVDHNVTFGPGTWLEFPNTTVDYLVFFLSCYFGAGLVKPATLDPITCSEFVSGVPGASFVFPNESVPAAGNWSQACRQVILVPVFKYGPVDPSNSTWRNTGYGDVLRQGFQVSWDNRPVSCTQCEESNGRCAYKQTGEFHGCLCTNGQIGARSCTTYNSTGQKKDRIKIYTIAGISSIMLCLLLFAFWLGYKKYGRKKKSKETARIESFLQKNGTIHPKRYTYAQVKRMTSSFAEKLGQGGFGAVYRGSFSDGRQIAVKMLKDYKTDGEDFINEVASISRTSHVNIVTLIGFCLEGSKRALIYDYMPNGSLERYAFKDNSQGGNTLGWEKLFDIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCLNKESAISIGGARGTIGYIAPEVYSKQFGVVSSKSDVYSYGMMVLEMVGARDKNISANCESSSQYFPQWIYEHLDEYCVSASEINGEITETVRKMIVVGLWCIQLSATDRPTMTRVVEMLEGSTSVLELPPKVLFS >cds.KYUSt_chr1.521 pep primary_assembly:MPB_Lper_Kyuss_1697:1:2788559:2789729:1 gene:KYUSg_chr1.521 transcript:KYUSt_chr1.521 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVSVGPWGAPGGEPRDIPIGSMPQSLVSITIWSIKALGGPICGFSYVYVDQNGGAIHVGPWGNADPEHTITNIQIGPGEYLYELSGTADDSALLSLKLVTNQHTYEVGAPLEQTTFSMPLTNCKVVAFFGRSDNDHLTALGIYVPVMKGSPVNVGPWGDSGGVPVDITTPVQLKSVTVYSTDSSDGRIYGFSFTYVDLTGQSIHVGPWGTIKGEKHTFDLSLQGEYVNKITGTTAGDNRVTSLKFTTNQERDYGPFGSDRGNAFSVPLPDGEHNGAVVGFFGRSGKSHVDLGVYVGLAPNEP >cds.KYUSt_chr7.26777 pep primary_assembly:MPB_Lper_Kyuss_1697:7:167257652:167258914:1 gene:KYUSg_chr7.26777 transcript:KYUSt_chr7.26777 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPVMVSDLIDHGTTQWDEDVLRAIFLEEDVEEILKIPLRQGMEDSVAWSLDKKGVFSVKSAYRLGVDLRERRHQNDTSTSSAVVGVSQNWDKIWSLKLPGKVRVFLWRLTHNSLPLRMNIKRKKVELDTRCPMCCRLDEDGGHLFFKCKRVKQVWRSMLMEDVRLQLAAAPDARLMMEGVWELTREKQNLAAILLWDWWTVRNKVNAGEMEKSTGEVCSMIQKHYQEFTKGMNTEHTVAAMHDIVAHPATAHWVIPAENKVKVNFDASFRDETRDGAWGFIARSDTGEFIGAAAGKLRHLRDALQAEAEACSAAIEGANALGLHRVVFESDSQILVKALTSTSHELAEIGVLLREIRSSCISSFNSFEFSFCPRRCNKVAHSLAQFGYRVESECNGWADHAPPFVSELVASDLAEHYG >cds.KYUSt_chr2.5109 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31668310:31668930:1 gene:KYUSg_chr2.5109 transcript:KYUSt_chr2.5109 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVLELTLLSASDLKDVNMLSHMEVYAAASIYGDQLTRQCTHTDRYGGRYPEWRETLRFAVPPTASAAGSYLHVLLRTERAFGFDDRDVGEVLVPVADLLAGACISGAQQRCASYQVRKVQCVEHHGVLNVAYRLGPVMAPVRRGTERCGGAVGYPVMRWQPCHPPSYAYVPPYQSVAPSYTLPEYVRTLAQKNLRRTGASRWG >cds.KYUSt_chr5.33291 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211281894:211292770:-1 gene:KYUSg_chr5.33291 transcript:KYUSt_chr5.33291 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFCSSRVYAASIHGDACSSRRAGTECLCSIAPPYWKHRRRAQAAPPSRRGNIELLRVATATTSGLQQLDTGLEAATPVLCSSGMDNLQHRQPEATTEGAEPSWLCDSYGPLPPLSPAFMSSSSRRTIAVFLRERRRAVGCASCCGTSVLLLIPTYILRKCQQLSIEMGKDHTNRDGTNNVDSEWTDEDDSSANIEEFCNPTENTVINGNNFDEALIEFYMKNKAKRLKRKIMASKLRDPSTPYHQPKKARIEYAETTVSTRYSGKLFASVIRGLTPKQISVIEGVEFGLNSLPDEYPRMLVWKGNLFQFKFPQGFQKSPTITGNNNGEELHVPNERVNENSPDVPESTFIQYPVVVDTFQVPIENPITSTKSDMDQAYNKLKSITSTPANGDCLMKLNEGRTAYIPSSTNSNQNIVPRRYVAPSKRYTDPFVPFVNNTTRFPVLPSERREEGIRYEKAYCSWESLATLEPYGHIHNYLILCYCRKLFHDKHPSVSKKHFFFPYVGETILRYNGNNGDIVQSAFEGANSASRLWRSDQVQFPIVIESHWFLFSVCLKAKVFVFCDSLYSEGDAFHNAIREPLIQNFIALWNIIITPQMSYQIDFNEFQTRYPPVPKQDNRLVQMLSRFGPGSAAIGVGLANMSCPMLFHIV >cds.KYUSt_chr5.3352 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21684001:21685691:1 gene:KYUSg_chr5.3352 transcript:KYUSt_chr5.3352 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGRCSRKRKSAELGSPEVGAASEGRGPGPTAGNQVPPPPPPLPGAEGGCSDRISDLPDAVLGEIISLLPTKEGARTQVLASRWRRLWRSAPLNLDCRPYLFDKDARDAIISRILAIHQGPGRRFCAPVYHLHGHRAEAWLRSPALDNLHELELCSYHPRFPFPPETQHPPPAAAFRFSDTLRVATIGECHLTDSTVQALLFPKLQKLALKRVSISETSLHTLIAACPALECLLIYDSNGFRCVRINSSSIRSIAVEQRGLELVVDNAPSLKSLLQLGKPNLWRRKHQNLIRSLDIRLKTVVWRYYRGIKSHVDFATFFLLNARVLELMTFEVHNKDYNDAFFAQQREMLQVDSRASRGARLCFTSDWSWSYYSKLDSSVHDMDLADPFERSHPLKADTTFVLCGA >cds.KYUSt_chr1.34517 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210108010:210113705:1 gene:KYUSg_chr1.34517 transcript:KYUSt_chr1.34517 gene_biotype:protein_coding transcript_biotype:protein_coding MNETAEYIGFFYIIGYITDAFARNNITELMDPFFWFLLDENFVEFLLEENFFGSSLRTILRVLPEDNSSVHAPPYKLLSSRLLGGTYEPSNDALPSYADPDEPPISLPPASRCRHRRPTFSTSTARATQTTPAAAYSRPTSTVDALLSFLPAAASAASGFAESATGAAPDQAFGLAQCLADINASECRACLDAAAQDAASKCPGQKSSMVIRDYCLLRHSNASFFGTADTSQELLLCNNGNVAQPELFMTQLGSLTRNLSSTAAYSSPRMFAVGGADVTPLTKVYARIQCTRDLAGDDCNRCLSGAISGILGVSGCYGKKGGHIFYRSCSVQYEDSLFYNATAAEAAMSPPAPAGGGPNTITRYTFRGTLTCPNRWSKCP >cds.KYUSt_chr4.39964 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246798569:246799081:-1 gene:KYUSg_chr4.39964 transcript:KYUSt_chr4.39964 gene_biotype:protein_coding transcript_biotype:protein_coding MREPHPDITPYPDFIIDAAGFGFVAGGIIGFPYHFIKGIYNSPSGRRLAAGAQSVRINTPPLVGVSAAYLALVEIFHYTMISARKKDDFWSHVLPSFAAGACLPVGRGPRAVATTAFCCLGAATTVYTVRHFGCPSPPPFEDPGLTPPSVVDSIPTESVRDVDLRCTHHI >cds.KYUSt_chr7.4018 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24120975:24126291:-1 gene:KYUSg_chr7.4018 transcript:KYUSt_chr7.4018 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSLEEQRLQSRTGTSDGASGPDGLKKCKSDSKAISSVLAPPKDVEDLQTEGYGNVNIFTYNELRAATKNFRPDQILGEGGFGVVYKGVIDENVRAGFPSAQVAVKELNPEGFQGDKEWLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKSRPSREHNLVEWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVASLAYRCLSQNPKGRPTMSQVVETFEAVQNMPECQDILLQNCITGSVTLYEVPKESVERVEMEKPKLEAAAKTDAVAVALPPVNGKPVPQSRRTRPANGRSKSEPSLECKLYIPSPDSDGHQLGLEALASPSSRNGSRHDDPPPLDEDLYKI >cds.KYUSt_chr4.47658 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295025612:295028548:-1 gene:KYUSg_chr4.47658 transcript:KYUSt_chr4.47658 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVDRISALPEDVLHHVLHFLPAHDAVRTCVLARRWRSVWRSVPALRFTGTKGWDSADRFVQFVDHLLHLRCAGGGGGAPLDSCDFDFDADGFMQLPANERHASHWMWQVVPRARVLRLGVIEFDQEPSPLSDLHLVSQHLTRLELVGVRVNDSVVDFSGCPALVELRMDVCDVFVNQLVSPSLKHLHMARCYSFDHARILISLPSLVSLELIECQGRIPLLGSLPSLERAVVVLNGICSDRCSNDRFDCCGNCDGCRDYYGPGYDRDSCMFLKGLSEATDLELSAYSDVIVFNRDLKWCPTFSKLKTLSLNDWCLAADHNALICFLQHSPILEKLTIKLSKVSVLSVLVSAKTRGMTSCCGATGRSHSWQLSALEERAAGGTGKVTRKRRTMQPYVLGNASD >cds.KYUSt_contig_352.18 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000036.1:209898:210281:-1 gene:KYUSg_contig_352.18 transcript:KYUSt_contig_352.18 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAMKEGSSHSRIASGGVEDAQVLLHAINHLGKKMWVSNSSVPDADSGGGETCSTWGEGAPHGYLAMGEKGSSMAVSAHPSSTKEGEERRKISTSMGGYGSQRLGILEIYYTGNLWEFLDCPAPL >cds.KYUSt_chr5.1958 pep primary_assembly:MPB_Lper_Kyuss_1697:5:13416667:13419281:-1 gene:KYUSg_chr5.1958 transcript:KYUSt_chr5.1958 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLGSLSGVAAGCPIGAALADGDCEQKLPPPGLSLAARSPSQSSSVASGHGEARLQLRSGTAIGCPLGAVLAHGDCEQEFPSACALPCHETRWQSSSAAGGHAAAWTAKFCVPMPVVHAATDALQLEIAPFRARYLVWTIPTLHSFPRPVEMEPGRKVRNALPSCEAVTPVAETVILMAQKFNTTVIGLAVLSCLTDMLLPLFADAKTDMSVALSLPNAKLNQIDHLCKVNYYTLSEKILM >cds.KYUSt_chr5.28449 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180152498:180154317:1 gene:KYUSg_chr5.28449 transcript:KYUSt_chr5.28449 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRFTHWLWPGTAARVASHELPGTGLTGASFPDFPSGFREPDAVTFSSAAAGGRRGRQRRARSQRRGRGECRVDREYDMVVVPSDGGGCLSGSESDDSDWSIGWLEPQAPEMQTDGDPETGFAVLVPCYRRGRAEQPRAPEGRFLGAGALADGRLSDGKNFVEQWLSSLQN >cds.KYUSt_chr1.32708 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198615046:198622431:1 gene:KYUSg_chr1.32708 transcript:KYUSt_chr1.32708 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCRKRPDSDPGGSAEPEPPADKRPCTADQSTSAAAPVAMAADDVAAATAAAAVAAAAPAQQQPPSDMDTSSSGHAGDGDVDGDGDMDGDDGDDGDGGSSYESDGDGSGRAGGGGGKFSRMVAAVEADGAGTDAVLAALTELCEALSFCTEDDGGYIPTEAAAKALVRLAGGGDGGGGGAVASPDEMLLAVRAITYLCDAMPRAADAFVRHGLLPVLCSRLLAIEYLDVAEQCLQAFEKISRRKPTQCLQAGMITAVLAYIDFFASSIQRVAVSAVANVCKKIPAECSQFVIDSVPMLCNLLQSEDKMVVEKVATCLISIVDSFSGSVELLDQLCHQGVVEKVLPLINTGGITSLSPPTCSNLIGLLAKLACTSLVAVKSLFELNVGSTIRGILVSSDLSHGMPYLPSENQNNQVNEALKLAIQLIPSVARDVEDTHMVLAKEKIIVDEPRFLCQFSRDILPVLIKAVNSGANSYICYGCSSVVNNICYFSKPEMLQDLLKETNISSFLAGLLSRKDHHVLISSLKIIEILMQKLPDAYLGSFIKEGVVYAVEALLMQDDCSKSSPPPSDGTEQSENQPAIRSKPTCFCYAFDSRRSESAETRTCRIGKGNLFNFARHVKTTYFTAEAVSSEMGLTEILQKLKTCCAVLNDSADKSLNQDGLQNEEHLSNILSEVMMELHGGETMTTFEFLESGLVKSLLNYLSNGIYLQVDDNLKDHNADHFYAVLKRFQSFAHICFSRMEQGWGDMLLTLLVRKLQSALTSIDNFPVIMSHNFKPRNNISDIPIRHSTITPCIRVRFKKDEDETNLSSYDTAVNLEISSSLNSIEQFLWPKVSTSTSDQDTESSPSSVASESKYAEDDPQERDSSPDSSPPSEGITRENQNSPVEPCAKKVSSSSAGGQPERNKVIGTDRAVQPKLAFSLKGKELDRSVTLYQSILQDQINVGADVILDTQFWCSVHDVTFRRAANPEKDDCPDSSNAQISTNDSKTGLMWQALPSFSSLLVGKISCKIDRSSPSYDILFMLKVLEGLNRFSFHLVSNERNHAFAQGRITNLDDLKPSVSSVPLQEFVSAKLTDKLEQQMHDPLVSRSRCLPLWCTELMSTCPFLFSFEARWKYFQLTAFGSSSIQHGHMRDTSGNNIATERSPSFSRKKFKVDRNDILVSAAKVMRSYARSNALLEVEYEEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGELPCEARTDKTHIGPRTVVAPNGLFPRPWSASVELPSEVKNRFHLLGLVLAKAIKDGRILDIPFSKAFYKLILGQELNIYDINSFDPELATTLMEFKALTCQRKYLESCSTKECQSPSDLSYRGCTIEDLAIDFTVPGYPEYVLSLESSSDNVTAENLEEYVSFVVEATVKNGISRQLEAFKSGFSQVFPLSTLQVFSEDELERLLCGEQDNWDFVKLVDHIKFDHGYTSSSPAVINLLEIIQEFGCHERRAFLQFITGSPRLPPGGLAALNPNLTVVRKHSNNDAEDDLPSVMTCANYLKLPAYCSKERMREKLLYAITEGQGSFHLS >cds.KYUSt_contig_1593.34 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000170.1:161965:162330:-1 gene:KYUSg_contig_1593.34 transcript:KYUSt_contig_1593.34 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDVRGLAEFAVRATAQAIWDAGFDANELYNIEDISEADERCFKDCGVKLQDFSKELESKTNLADVRTFLDDAKTKNMELNCDVCHHGDDKKKADDISKGNMSEKLMVVLPVLIDRALLK >cds.KYUSt_chr1.39124 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239202642:239204718:-1 gene:KYUSg_chr1.39124 transcript:KYUSt_chr1.39124 gene_biotype:protein_coding transcript_biotype:protein_coding MANAQESLVQVGTMRGHNDVVTAIAAPIDNSPYIVSASRDKSVLVWDLTNPAPCPPDGSAPIEYAPPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGLTTRRFVGHSKDVISVAFSVDNRQIVSASRDTTIKLWNTLGECKYTIGGDLGGGEGHTGWVSCVRFSPNTFQPTIVSGSWDKTVKVWNLTNCKIRHTLAGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLSEGKRLYQLEAGSIIHSLCFSPNRYWLCAATEDSVKIWDLESKHVVQDLKPEVQVSKNSLLYCTSLSWSADGSTLYTGYTDGTIRVFKIQTGYGY >cds.KYUSt_chr4.45 pep primary_assembly:MPB_Lper_Kyuss_1697:4:375660:382179:-1 gene:KYUSg_chr4.45 transcript:KYUSt_chr4.45 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELRKKLQPLLFNNAADKDGVSTRAPFPEDTCDSYVVSDGGTINLLSRSFGEYNINEHGFHKRSSGPDESIEGEKAYRCASEDMHIFGPIGNGASSVVQRAIFIPVHRILALKKINVFEKEKRQQILNEMRTLCEACCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIRVKKSIPEPVLAHMLKKVLLGLKYLHEVRHLVHRDIKPANMLVNLKGDAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTILECATGKFPYNVNAGAATLMLQILDDPSPTPSEDVYTPEFCSFINDCLQKDADARPTCEQLLSHPFIKRYEEAGVDLAAYVKGVVNPTERLKQIAEMLAVHYYLLFNGSDGPWNHMKTFYMEESTFSYKGTAYVGQSDIFGKLSTIRRKLKGDRPREKIVHVVEKLHCRANGETEIDIRVSGSFITGNQFLVFGEGLQAEGMPRLDELAIDIPSKRVGTFREAFTMRPGSSMGCYYIAKQDLYIIPS >cds.KYUSt_chr4.11233 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68315416:68318495:1 gene:KYUSg_chr4.11233 transcript:KYUSt_chr4.11233 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTQLRSALLSAAASSSSSPRRGGRRAPSSVRCDASPPAASASASLDPDFDKKAFRHNLTRSDNYNRKGFGHKKETLELMSQEYTSDVIKTLKDNGNEYTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPEERIWITNEIIHNPTVNKRLEDMGVKDIPVNAGIKDFDVIEQGDVVVLPAFGAAVDEMYTLNEKNVQIVDTTCPWVSKVWNMVEKHKKGDYTSIIHGKYSHEETVATASFAGKYVIVKNMGEAMYVCDYILGGQLDGSSSTREEFLEKFKNAISPEFDPDLDLEKVGIANQTTMLKGETEEIGKLVEKTMLRKFGVENANDHFVAFNTICDATQERQDAMYQLVKDKVDLILVVGGWNSSNTSHLQEIGELSGIPSYWIDSEVRIGPGNRISYKLNHGELIEAENWLPQGPITIGVTSGASTPDKVVEDALHRVFEIKRQELLQVA >cds.KYUSt_chr2.16712 pep primary_assembly:MPB_Lper_Kyuss_1697:2:105102880:105106209:-1 gene:KYUSg_chr2.16712 transcript:KYUSt_chr2.16712 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLLEKALLGLFAAAVLAIAVAKLTGKRFRLPPGPSGAPIVGNWLQVGDDLNHRNLMGIAKRFGEVFLLRMGIRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGNGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRVGWEEEARLVVEDVKADPASATAGTVIRRRLQLMMYNDMFRIMFDRRFESVDDPLFNKLKAMNAERSILSQSFDYNYGDFIPILRPFLRKYLNRCTNLKTKRMKLFEDHFVADRKKALEQNGEIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGIAELVNHADVQSKLRDEMAAVLGPNVAVTEPDLERLPYLQSVVKETLRLRMAIPLLVPHMNLSDAKLAGYDIPAESKILVNAWFLANDPKRWVRADEFRPERFLEEEKAVEAHGNDFRFVPFGVGRRSCPGIVLALPIIGITLGRLVQNFQLLPPPGQDKIDTTEKPGQFSNQILKHATVVCKPLEA >cds.KYUSt_chr2.42465 pep primary_assembly:MPB_Lper_Kyuss_1697:2:264533671:264534911:-1 gene:KYUSg_chr2.42465 transcript:KYUSt_chr2.42465 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVATPLRRIDEGEKRVFAAAFHGRRRNIMKDGLVDCLKEVRVSVMLRDLLGVPGFAAVRILFHLKSDEAACTSALSHRWRRVSASVPTVDLIDPKLSPRRWDDKPVCFDQQVTSAILSKAPATRIRVLRIRRHQPAA >cds.KYUSt_chr4.45839 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283590244:283597398:1 gene:KYUSg_chr4.45839 transcript:KYUSt_chr4.45839 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQSVAAPESANPEAGAAASSVAPALDSATAGAGADADASVYSQNSATANPDATVGVQYPDSAYNGAQGADGSAYPTEHASLNGTAGQEASYQAAGAAENGAATDEMAEPMVPEQSYEDAVASAEEARLWEVVTADCLDFNAWIALIEETERIAGGNILKIRKVYDAFLAEFPLCFGYWKKYADHEGHLDGVSKVIEVYERAVLAVTYSVDIWVNYCLFAISTYDDPDIIRRLFERGLAYVGSDFRSNTLWDEYIKYEESLQAWSHLAVIYTRILEHPVQQLDRYFNCLKELTTTRNLSEILTAEETSIYGLTVENRAQALDGEAHQNDVDKTAEPEISCSTEAEDKAKYVSVREEMYKKAKEYESKIIVFEQAIRRPYFHVRPLDKPELENWHSYLDFIESEEDINKVIKLYERCVIACASYSEFWIRYVQCMEDRQSLELANNALARATHVFVKKQTEIHLFSARFKELNGDSAGARAEYQHLHSELYPGFLEAIVKHANMEHRLGDKESACLVYEKAIAVEKEKEQSQLLPTLLIQYSRFLYMVRDFEKAKDISSGLHGLVNMTKSILEAVIFLESVFPSEKRIDFLDSLVEKFLTPEPTPTQGELASLSDKEEISSIFLEFLDTFGDAQSIKKATTRHTVLFSRKRSILPSKKRKADDAAMSDREKMAKTGDGAQPFTGTDPNAHNPPVWPATSESSAQQWGAAYPPQATYPAYGAYDYSHQMPQSAPQAAAYGAYPSTYPPQAYPQQSYAQPAAMPVAAPVAAPAPTPAPVAAYPQQPAAAPQPYYGAAATYY >cds.KYUSt_chr4.22529 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141655240:141659282:-1 gene:KYUSg_chr4.22529 transcript:KYUSt_chr4.22529 gene_biotype:protein_coding transcript_biotype:protein_coding MVISPATMPTKNYHGSSFNSLLSSFGPLLLSQRDVLASASGLPAGPEAFQRHVAVGLAELRDGEGFLSVAWISRLLEAFLLCQEEFRLVVAHVVQSRTRGWEQAEKLVAEYYQRVVNTLDVCNAASEGFDKARRWERLASFAASMLLAPGKIHERQLRHSRKTLYDLSALLVDDAATAGGVASVASGASHCNRSFDPSCTSYEDLSKSPARFRMPHTWSAARQLRAIGSGLVVPRRQEAGLAAPVYAMICVLHLVSWVLVAAIPYPDSGATLHADHLPVAPLHAAFPWAFPLLALQQRLTEEGKRKDRHNFRGLLKEIHTLEKCAQRLAEAIDSAPILLAGEMEAMVRETAAELAAVCAAMKDGLEPLERQVRKVYRHIVRCRDKSHEAKKSKALHNAIEGHGVPSLPDVPGNDGVDGVFLIDFHGSRAEEQDVVSIFIKVAEEASSGGSKCRGGAPKVQLESQGSKVIRRGSREARSKGAVEAQGEGGHNVNVGAGRVREEVGKALLDGLVAYPKIKPEGRGLPITQGDLGDGTEGRKPIHHVLPDGGVEVMATPWSRESC >cds.KYUSt_chr4.55013 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339717594:339719677:-1 gene:KYUSg_chr4.55013 transcript:KYUSt_chr4.55013 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRVHEVGGPDAMRWEEVHVGEPKDGEIRVKNTAVGVNFIDVYFRKGVYSAPLPFTPGMEAVGVVTAVGPGITGMKVGDVVAYAGMPMGSYAEEQIIPASVAVPVPSSINRNTVAAILLKGMTVHVLIRRVFKVGPGHTILLHAAAGGVGSLLCQWANALGATVIGTVSNEEKAVHAAQDGCHHVIISIKEDVVARVKEITDGKGVDVVYDSVGKDTYKVSLESLAVHGYLVSFGESSGSPDPILISDLSPKSLFLTTASMLTYTGTRDELLQSAGEVFANLASGVLRIRVNHTYPLSEAARAHADLEARKTSGSILLIPDN >cds.KYUSt_contig_2500.54 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000359.1:252550:255509:-1 gene:KYUSg_contig_2500.54 transcript:KYUSt_contig_2500.54 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKMPDGDEEKNATVFQSQNEERKGEPLSAIDYFKATHNGKTGYAEPVQKSIAEMEKRMVAPLQEARNKFRSDSIVAAQVTDLKEKLEMSEQQGQAMREELASLKKKSEEAEAAQAEAARDREYELRFARLAMMMGGGTTPGN >cds.KYUSt_chr1.4403 pep primary_assembly:MPB_Lper_Kyuss_1697:1:27089095:27091673:-1 gene:KYUSg_chr1.4403 transcript:KYUSt_chr1.4403 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVKGNGGGSRLVVTELSHIKELVKQLEVHLGGSPDMCKDLAAQIFTVTEKSISMIRSGHFDFDGRKRSAVGAGAGLDSPSLSATPSPLSGVSDMPFKTNKKRKMNKGTRQVRVSSAGGGADAPEDDGFSWRKYGQKDILGAQYPRAYYRCTHQKTQGCAATKQVQRVDEDPTLYDVIYNGDHTCVHKATAAATAKAQPEDKSSLLQSLSSSLKVETEGLTPRAQQGWGATTPFSFSSPAVSCLTPSTPENSFWQGVSAPTSLEPSPATSGSNHLSMRAQCEFDTMVSALMTATSMPLPTMPAMEDTTFSLDEFDLDFDVYKPDPISKYDLLCVVSTKIKFMDCDPDESLGVSPYAQPCEIRRQLMKQDQGGDNSPTHINQKSNAFPGRPIADEKHIQSSRLPTYANAYAIEVATAVFLDSIFKRDHRIDHARPAIDAATAPDDSTILRESITLHPSRDTTAPCRGDSTTPT >cds.KYUSt_chr1.11704 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72166250:72166888:1 gene:KYUSg_chr1.11704 transcript:KYUSt_chr1.11704 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLEPPRYYAAARTSHPSVSTSAVGSCAVACLFLLLAAAGAATALFLLVWPRAPDISVAAVQLPSFSFGNGTATFTFQQLASVRNPNRAPLAHYDSSLHVGYAGREVGHMYIPAGKIDGGRTQFMATSFNVPAFPYSSSPNTITVPASGPSPALAALGRQQAPPVIEVDSLLVMKGRVTVLRVLTHHVEASKLCRIGVSPADGRVLGFRC >cds.KYUSt_chr2.39185 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242881717:242883617:1 gene:KYUSg_chr2.39185 transcript:KYUSt_chr2.39185 gene_biotype:protein_coding transcript_biotype:protein_coding MAILISSIASKALALYTPEVSRHRRSTVLSSSAHRRQIFTGLSMKSWGINGNVPPTRGATQIPAAVPGPEKLLRGNVPIPNMPHWATLVVGAVLVAIPIYRKIRALEDKVEKTAEVAIEVIDTVAEAAEKVAGEVSEAFPDNEKLKEAASRIKNIADAIEEDAQKAEALIHKVDEIVKEVESVVDPIIDRLGNEGPGDHTEITQGEDTNDEAKKSN >cds.KYUSt_chr4.19781 pep primary_assembly:MPB_Lper_Kyuss_1697:4:124490214:124492227:1 gene:KYUSg_chr4.19781 transcript:KYUSt_chr4.19781 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSRFSAARLRDIVLGLKEPRRKLITDRGWGVLLDISAFSAPKGLLEWIILRIDAELGEFRNSKNKTSIVFNKEMVVKALGLPSGARPVVLTGDHEESPYREFYKIEYSTAGRRAPIHHAEKLLEDDELDQEVWFRTFFMVVISTYFFPGSSIMMPLEYLGSLGESTLVREYDWADKIFSHTMSEIKTFQDKRRKALKDGNMKPLWVGGCLPWIAIVYMDHLEFPVSTLSTHRISYDLPRSSHVSDADFKFVMKHDKSKLTLQPHTYGARPFRPFHLTPYATVNVILGNEAAQEHILPHIAVSNSNHLGQDASRHSIAQENNFPEELPDYIRIVHEKHSNIWRKDVEKAVTRLTKIHVQRMAEYASDLFAACKNNTTTPHGPLPPSASTPIFSPPRASTSIAGAPRDEEPVLVGEDVHGSQFWEEATRIAAEIEQSAGKKTHMTDPSSTANARTEHQDITEPTTELRPINDIECPTFNLLPEGETWTQHFAANNHPSPRGVANIATSTGGTSKDTSGMGRPLPCHPSHFNYHSFQISKYQKHK >cds.KYUSt_chr5.19482 pep primary_assembly:MPB_Lper_Kyuss_1697:5:126378111:126378380:1 gene:KYUSg_chr5.19482 transcript:KYUSt_chr5.19482 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPCEGEEEKVLTLLTLGWSETRKRRRRSPATRKTRWPGRETMRTWKISSSSWRVWLREVDEDVEEEGRVPFHGSCSVDGGHDDGGVE >cds.KYUSt_chr4.7540 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44916602:44920782:1 gene:KYUSg_chr4.7540 transcript:KYUSt_chr4.7540 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLASGGRRLLLRDISRHRPPHQGYTYTACFRRYKAHFLLDGIEDEASESAADSPVSLAKSLASLAEESTAAAQGQRKPLSRMERKRLAELRIKKRVKAQYLDGRFYDLMGKVVASADTLGDAYDIVRLNSNVDLASARDDGVCFTTLAEQLRSGEFDIAANAFSVTATKKRGGERLVLPRLNLKVIQEAVRVVLEVVYRPQFSKISHGCRSGRGHHSALRFISSEIGVPDWCFTVPLYKEVDSNVVSKLISQIQEKIVDDQLVAFMQDMFDAEVINLVFGGFPKGHGVPQEGVLAPILMNIYLDSFDHEVFRICLKHEGLSSGATNATENHQGSSLRLWFRSQLKERDVNSDDQTEGRPNIRLHTCRYMDEIFVAVVGSRAVAENIKSEIVDYLSKSLYLKVDDRLHIMPVKKNSRGLQFAGTVVKVATKESAALKAVHKLKEKVRLFASQKQEIWDTMNIRLGKKWLAYGLRRVKESEIKSLGLSTPLLDHISQFRKEGMKTDHWFKTLLKVWMKDINAKNEANEEVLLSKYIAEPALPQELRDAFNNFQKQADHYITSETTVTEALLSSLKNEESIYTCADGAVIKINAPLRYIQKCLNRYGLTNLEGFPRHVSALVLQDDELIISWFAGIIQRWVRWFSEVDNFEELQLMLVECVRKSCIRTLSAKYRMYEKLTEKRFELDDYGIPMVEDFEAMMAQLEPSSFVSTDEALMYGISSSGLCVLTLSRVVPARKFNCFVMGCQSSSPSMYVIHVKEKQRFPGWRTGIRVPERHAPEDQNRRPSRRETAKAPMGGAFSGTGKHQAILYLCLFSNFQDSVNEPVVLLLIMQLLREYMFILLC >cds.KYUSt_chr6.30972 pep primary_assembly:MPB_Lper_Kyuss_1697:6:196323538:196329676:-1 gene:KYUSg_chr6.30972 transcript:KYUSt_chr6.30972 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTALVHQGISLLDNSSRHVQDDDDFNPMDEDLANVAGEQFVALDDCDNPHRDVSYGPTRTVKPDSVQNGQGKLPGFRIRPGAKKNSSGLTKTYKRKRGGPGSKFAKSKTEQKPALFDSSCRSDNYSDGDNDNDFEPPTPMRKIRRPARSKSVKRKMEYELLLVDSSSSSEGKEDNDDNFQPEVAGDGSFPKKYARRNCSINGAALERALELEKKLPAEGPTFVKGMMHSQVVKGFWLGIPATFCRDHLPKHDDIIKLEDDKGKTYETNYIAYKTGLSGGWQGFVKKQHLKVGDAVVFQLVGETTFKVYILRENEFTATDGALGLLSLDKSMENSTSKKEKESSNEHAKSKEAVDGGIRFPCSDIDDFAAVKSIVDFKIVIGNGLLVLPDHMRTTYYHLCQARKAFLHRNLLKTISPEITTRMIVETANIAEGIRASSPASLGDLAGWKKTLESFEAMGMDVTLMRKRVDDLLGLLGTPSQISVVPKGYEVVRLERARAAKELRGIESRVSTLKHSLKPPLQTMSTSASSSTIVVGTVNRCHLLKIDSYSRTRDLLPCGTCATSRDFRVGNYTAHIQCYPSNYYVSLKLILDIGTSVLHHKRGQVQFSVLDQACKPVSEYTKTTTIDFTLNQDSFTFFRRRNPRFTFSADLTRVEKFEKWVLLNGDCFTIRCDITLMEAPSATTPVEPDAVAPPSDLHRHLGGLLLGGEGADVKFQVGEESFHAHRCVLAARSSVFKAEFFGPMAMEEHTVKGVVHVDGVEAQVFKAFLHFVYTDSLPDISKEEEIWMAQHLLVVADRYDTERLKRVCEDKLLKSIDVNSATTTLALAEQHHYYGLKEACLDFLELPGNLKAVVASDGFDHLATSCPAVLREIIAKLAEL >cds.KYUSt_chr7.29918 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186279720:186282724:1 gene:KYUSg_chr7.29918 transcript:KYUSt_chr7.29918 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPVGFLAKLWSFVSFLPYFILLLLLGCTKAVLIGPVAAAIVFFGDSAVIVGLWPAHFIWTYCCVLKTKRVGLVLKILAAIFLPLPLVLLPVLGILGSLLVGIGYGVFTPLMATFEAVGEGVADKLSHCFLDGTASTIRGACMVVRDVTDFCFHSYFSFMDDLSENMGEDEEPFDIKLSYLPRSLLVALVSVPLDVLMVTGVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGLAIVLWPLAVIAGVIASFLSSFFLGLHAGLIAYQEDSFQMGLSYMIAAVALYDEYTNDLLYLREGSCLPRPKYRKAGSPKFEASHDTDEHNIAAECAEKQHHGYRKPRRVLQRSKTFMETIQRLRPIQIWDWLFRSCEMNGRILMSEGLISSEDIEEFITKGKGKKLSIKLPAWCILHCLIRSAKHDSHGLLISDDVEVTNFNWPKDRVFDWMLGPLLVLKEQMKKLELTEDEEMCLRKLIMTNKNEKPSDWEDCGFPSNDSVKRAQLQAIIRRLQGIVANMSRIPGFRRRFLNLTRVLYLEAIDAGTIDGSPKIEGKVKADIASEKLQYGDSIEAKDPSNDTFGNVDMV >cds.KYUSt_chr3.33665 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211392931:211395349:1 gene:KYUSg_chr3.33665 transcript:KYUSt_chr3.33665 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGPFKSPDELAVLPLPDPAAAVVPRSSSTSFPSSSSSSSSLSSTSSIPAAESPGLFPLLLPKVIVVVVVVLGALTIVLWLILRPVAVSATLSRFDLADALAGGGQLLQYNLTVDIRVRNPNRFRIHYDNAEAQASYDGERFGYDPWSRSTWRGKANGPSLTHSVARRPWTTAVRCARTAGRGAAGSIYYVKVRLYSDLGFKVRVFNARRKSKISCTLRLRLPVPSRGATPATTQLGTRCSVDF >cds.KYUSt_chr5.5530 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34537547:34546673:1 gene:KYUSg_chr5.5530 transcript:KYUSt_chr5.5530 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYSKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSSWFDKPWFLSEGKLAAGIVLRMERLLLAKGGVSLLRLDLVECDLENQGESGIVGVFPNVELPQLGKGSNIAGSRLLFLECIMAPAEEGRKSDGASRDREICGRLHVKW >cds.KYUSt_scaffold_2697.703 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4435381:4435752:-1 gene:KYUSg_scaffold_2697.703 transcript:KYUSt_scaffold_2697.703 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVEMTCDKCRSKAMSLVAATVGVDSVALAGDGKDQVVVVGDVDSIKLTSALRKKVGHAQLVQVGEVKKEEEKKKPAEAAAAAAVVEYAYPWHYYQYPPAGAYGYQQYYSRPDTCWM >cds.KYUSt_chr4.150 pep primary_assembly:MPB_Lper_Kyuss_1697:4:836493:836936:-1 gene:KYUSg_chr4.150 transcript:KYUSt_chr4.150 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLDHPPPELSPAEPSPAAKSPCRAPPCAVAMVGTGPAPVLRPSPRCFGSPDTTPHLDSAHPLCGLPHGSSRRRALQQAADPVLALDDADIIWGAGGVVASSLVDMYVRALSTSMPASRALSRVPLQQTRPPVTLADWPKILRL >cds.KYUSt_chr1.973 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5151387:5151629:-1 gene:KYUSg_chr1.973 transcript:KYUSt_chr1.973 gene_biotype:protein_coding transcript_biotype:protein_coding MANMGTMEEWVVPADAKKMWPEVVGLSSSEAKKKIMEDRPDADVHILPPSRYIATMDYRSNRVRVLVDSSDKVIQTPSIG >cds.KYUSt_chr3.24524 pep primary_assembly:MPB_Lper_Kyuss_1697:3:152118021:152120028:1 gene:KYUSg_chr3.24524 transcript:KYUSt_chr3.24524 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGVVLLSQPWRLIFSLGALVALWWAWRVLEMAWISPRKLGRALRAQGLRGTVYRFPFGDLKEFARLVGVARSKPMPLSHDITPRVNPLYHNVIKEHGKISVTWFGPTPRVIVNDPKLVGEILTNKHGHFRKRKFTNGIVKRLANGLVSHEGEKWAAHRKIINPAFHVEKLKKMLPAFVACCNDLVTRWEDHVGSDETKEIDVWPEFQNLTGDVISRAAFGSSFSEGRRIFQIQSEQARNVVKMVNSLYLPGFRFIPMQLNRRMKANTIEVEALLAGIIGKREKAMKEGNASKNEDLLGMLMECNIAETKEAGTAKPIMTMDDIIGELKLFYFAGMDTTAVLLTWAMVALSMHPEWQDRAREEVQRVFGDSQPDFDGISQLKIVAMILYEVLRLYPPVVQFDRQTYEEVELGGVRYPPGVTLSLPIVFLHHDPDVWGEDADEFRPERFAEGISKASRNGSRAFFPFGWGPRICVGQNFAMVEAKMALSKILQRFSFGLSPAYMHAPFPVSTLQPDHGAQIMLKKL >cds.KYUSt_chr1.23562 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140114606:140118463:1 gene:KYUSg_chr1.23562 transcript:KYUSt_chr1.23562 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGEELKLLGTWASPFVLRAQLALSFKGLSFENVEEDLRNKSDLLLSSNPVHKAVPVLLHGGRPVCESLVIVQYVDEAFAGAAGPPLLPADPYERAVASFWVAFIEDKLLAAWQKVFTAKTDEEKAEWMKQTLAAVDVLEGRLKECSKGGSFFGGDHVGYVDIVLGGAVPWVHATEVLSGARLFDAGKVPLLAAWLERFGALKEAKAVMPDVERLVEHAKMKQPEAVAADGRCTSKASSPRYTHLDSSAVYKVQMLILESTNAVKQLLHWSYQRISCACSQPNNPWTIYYTVVPTALIKSRMAGRGDELKLLGTFASPYVIRVKLALSFKGLSFEVVEEDLANKSELLLASNPAQKKVPVLIHNGKPVCDSQVIVQYIDEVFSGTGPSLLPADPSERAAARFWAAYIDDKLLASWLQAARGKTEEEKTEGLKQTLVAVETMEAAFKTCSKGKPFFGGDRVGYLDVTLGALVAWVHAGAALYGMRLFDDAKSPLLAAWVERFGALDAVKAVLPDVDRLVEFAKMKQAQAAAAATAQ >cds.KYUSt_chr5.18191 pep primary_assembly:MPB_Lper_Kyuss_1697:5:117474466:117475285:1 gene:KYUSg_chr5.18191 transcript:KYUSt_chr5.18191 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQRCWREGRLVGSRDNRGAASQGLDLKQCEPLLEAEVNVLFLMAMEIFVEESNVHRIEPRPVKLTPVIRSSNMISLWQHHHWKPTNVLMVTPFRGLTLRGGEAQTEYLSVHEEGPHDEL >cds.KYUSt_chr2.34345 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212121489:212123492:1 gene:KYUSg_chr2.34345 transcript:KYUSt_chr2.34345 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTLVHLLFLCLNLAAYTTGDDQFVYSGFSGANLTFDGAATVTPGGLLQLTNGTDQQKGHAFYPTPLNFTRSRGGEVQSFSASFVFAILSIYTDLSAHGMALVVASSKNFSSALPGQLLGLTDIQNNGNDSNHFFAVELDTIQNKEYNDLNANHAGANVNGLTSLQSYYAGYFDDKDGNFRNLSLISRQAMQVWVDYDHKVAQIAVTMAPIKIGRPVKPLFTATYNLTAVVTDDAYVGFSSATGTFDVRHYVLGWSFAMNGPAPAIDISKLPNLPRVGPKPRSKVLEIVLPIASGVFVLILGTIVVLVLQRRFRYAELREDWEVEFGPRRFAYKDLYRATGGFNNTHLLGIGGFGRVYKGVLPASEVEIAVKKVSHDSKQGIKEFIAEVVSIGRLQHRNLAPLLGYCRRKSELFLVYEYMSNGSVDKYLHGREGKPILNWARRWHIIKGIASCLVYLHEEWEKVVIHRDIKASNVLLDSDMNGRLGDFGLARLYDHDTDPQTTHVVGTIGYLAPELGHTSKATPLTDVFAFGVFILEVTCGQRPVNNASLQESQVLLVERVLEQWSKESLLDTVDKRLEGNYDAAEACVALKLGLLCSHPFANARPSMREVMQYLEGDMPLPEMTPTDLSFQMMAIMQNGGFDQFIMAYPSSSASIESTSLISVGR >cds.KYUSt_chr4.42837 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265304364:265310032:-1 gene:KYUSg_chr4.42837 transcript:KYUSt_chr4.42837 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAEELLSPPASSPEPEQDDDDDDCYLSDQEDDALEESVLQVLEDGHLEDCHWSASSVITKEYLLAAQREDLRKVMELLGLKEHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGISLRPASNAGLPSPVEVTCNVCYDDVPLSAASHMDCGHDYCNDCWTEYFIVKINEGQSRRVNCMAPKCNAICDEALVRKLVSAKRPDIAERFERFLLESYIEDNDTVKWCPSTPHCGNAIRVKGDIHCEVECTCGRQFCFNCSSEAHSPCSCVMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSISGHSCGRFTEDQSTKTEQARRDLYRYMHYHNRYKAHTDSLKQEAKLKRDIQWKISISENKESKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTTEERELKQNLFEDQQQQLEFNVERLSGFLEKDFQNFSDEEVMDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELHICLDSDEQSSQSMKHSQDEHKIQPGLYSNESGSSVTGKRPILQLHGSSSDNSGRPSHKRERRDAHGGGALFDLNVPAELADKI >cds.KYUSt_chr5.34724 pep primary_assembly:MPB_Lper_Kyuss_1697:5:220005004:220005342:-1 gene:KYUSg_chr5.34724 transcript:KYUSt_chr5.34724 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIPGEAQEFGLGKAGYYLLLAGTAVMYQLFLLGTIGTVFYGSALLAGVILTVLIPITGVLAVLFFHESFNGTKGVSLALAVWGFVSYFYGEIHTHAQQSNEPPNIGQLDL >cds.KYUSt_chr3.30663 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192303784:192307054:1 gene:KYUSg_chr3.30663 transcript:KYUSt_chr3.30663 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGAYRAEDDYDYLFKAVIIGDSGVGKSNLLSRFTKNEFCLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRVTFDNVARWLKELRDHTDASIVVMLVGNKSDLRHLVAVSTEDGQEYAEAESLYFMETSALDATNVDNAFAEVLTQIYQIASRKTVDAGDDGSSAPSKGENINVKDDVSALKRAGCCST >cds.KYUSt_chr2.28585 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175419003:175419503:1 gene:KYUSg_chr2.28585 transcript:KYUSt_chr2.28585 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQQAGGAPASDGRATVLCANDCGFFGSAATGNLCSKCFKEQQQIIGVAAVGGAPSVDSVVSSFASLRIKETGGQRAAAATGVGGEVQLQAGMEVVPTTATKNRCETCRKKVGLLGFACRCGGTYCGMHRHAGAHACQFDYKAAGREQIARQNPLVVASKLDRI >cds.KYUSt_chr7.21313 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132026605:132027469:1 gene:KYUSg_chr7.21313 transcript:KYUSt_chr7.21313 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHILLAALLALVSWQAMASDPSPLQDFCVADKYSPVLVNGFVCKDPKVVNADDFFMAANLDKPMDTTNKVGSNVTLINAMKIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGALYVGFVTSNPENKLFTKKLEKGDVFVFPQGLIHFQFNPCANKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKNTVKWLQAQFWADNQN >cds.KYUSt_chr5.31931 pep primary_assembly:MPB_Lper_Kyuss_1697:5:202483144:202483500:-1 gene:KYUSg_chr5.31931 transcript:KYUSt_chr5.31931 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLALSPLTSPADGALPCPQSRRRANTSRPLLLPPPRLRLPAAASSPLAAMSTAAQQAVADQKRAVRSDMRRALKALSPDQRASQGDPSNPLAGSNCAAHHLLGGLPLRRTLLMDC >cds.KYUSt_chr1.10150 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62235425:62245204:1 gene:KYUSg_chr1.10150 transcript:KYUSt_chr1.10150 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPWPTTFPSPSACRIHHLLLLALIAAASGNPSPPGVHDRGDPDADAYSVLMFHDYTPPPPPALPPPPAAPAATCAGDLGGVGDLDTRCVVPASVRLGGGGIFISGNGSLQLLDGVAVTCQMPGCVVSANLSRDIRFGRGARIVAGWVSLAATNITLGDDAVIDTTALAGTPPDKTSGEPTGTYGDGGGHGGRGASCYVKEGQAQEDSWGGDIYAWAELKTPHSYGSKGGSTSVEEDYGGGGGGVVWLFAEEEIVMNGTVLADGGDGGTDGGGGSGGSIYLKAAIMQGGGKISACGGNGLSGGGGGRVSIDVFSRHDDTHFFVHGGRSSGCSENAGAAGTLYEEVPKSITVSNNNMSTQTDTVFLDPPDEPLWTNVFIKNHAKVSLPLRWSRLQAQGQISLISRSTLTFGLTHYPYSEFELLAEELLMSDSTVQVFGALRMSVKMLLMWNSRMVIDGGRDSGVATSLVEGSNLIVLRESSVIQSNANLGIHGQGILNLSGSGDMIEAQRLILSLFYNIVVGPGAVLRGPLTNTSSDNAAPKLNCENESCPTEIFHPPEDCNLNSSLSFTLQICRVEDIDVSGLVQGTVINFNRARNVTVQQRGSISATGLGCRGGIGRGGMLSSGLSGGGGHGGKGGDGFYSGSHAGGGAAYGSADLPCELGSGSGNVSTTSSTAGGGIIVMGSLEQSLPILSVSGSVEANGGSFTGMATNASNGGPGGGSGGTILLFVRTLSLEKNSVLSSVGGVGSNCSGGGGGGRIHFHWSDIPTGDDYVPFATVKGSILARGGVVEGQGFPGENGTVTGKDCPAGLYGTFCKECPSGTYKNITGSSKSLCSPCPTNELPHRAVYINIRGGVAETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLLLSGLLVLLALVLSIARMKFVGTDEFPGPAPTQHGSQIDHSFPFLESLNEVLETNRAEESHCHVHRMFFMGPNTFSEPWHLPHTPPEQITEIV >cds.KYUSt_chr6.18497 pep primary_assembly:MPB_Lper_Kyuss_1697:6:116358665:116361124:-1 gene:KYUSg_chr6.18497 transcript:KYUSt_chr6.18497 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRSARRSVDGEVRKNSRKRQCRNCDRSAAEVLHAVKFSGVRRSSSGSSPGGGAQTANGATEAQRAKALSTKKWRMREEEEEGAVSPYKERGRSGPKTAGPRWAHPEQRETTELAMEESCGMPPSAPPLSPSAAAEAAADWLERRARKVRMGFSRTYVSTIPMSAALAQALSFSLDPWSLGLA >cds.KYUSt_chr2.6699 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41741608:41745967:1 gene:KYUSg_chr2.6699 transcript:KYUSt_chr2.6699 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGEQEPLGRAVFAPLMADDYPLEPPEALDFESSEHIYDQFLFMLDVDPLELTELMDFESSENLYDKPSLSMPRLPLLRTHWRAAAGLLRRLQWYRFPRAVGLKSPHVSVLHRPQLHSHHHHRSTMGKKKNDFEAPGSGTKKEERLNRVALPVSVGRLIHAHWTPCDT >cds.KYUSt_chr5.14507 pep primary_assembly:MPB_Lper_Kyuss_1697:5:94096795:94097532:-1 gene:KYUSg_chr5.14507 transcript:KYUSt_chr5.14507 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQPFATTRGSARHHCRRFSRLTTAPPIPSADHSAADSVEETSAQSALAVYPLATSRSPPRRCSAQFQLLAAVWDDGEKKGEDLGGWRGQRQFHPKGTLLYGYGSRSDATCRA >cds.KYUSt_chr3.13593 pep primary_assembly:MPB_Lper_Kyuss_1697:3:81996891:82010605:1 gene:KYUSg_chr3.13593 transcript:KYUSt_chr3.13593 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCASWPSRTKRELYSGSGPALPRLLPVTTSFKKNMGAAAVLLRAALRRSRPAAAALLLPRAIPSSPVPLPPPPIGRALPLLLPRLPFPAGFRYSTVAEESEPPARTKGKSRRSPMKQSRVDFTKVDAALLPTIILVGRPNVGKSALFNRLIRRREALVYNTPGDHVTRDIREGVAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRKHASGIHTIVAMNKSEALDEHGTLTSAAGEAHRLGFGDPVAISAETGLGMAELYEILRPLFEEYMFQLPNNGLNEDDLISEAEANEGDESKLPLQLAIVGRPNVGKSTMLNTLLQENRVLVGPESGLTRDSIRAKFQFDNRSVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDAEKIAKSKSSMNHPEVVIARQAIEEGRGLVVIVNKMDLLRENQRLLDKVLEAVPKEIQTVIPQVTGIPVVFVSALEGRGRLAVMHQVIETYEKWCFRLSTSRLNRWLRKQLRPSPDGYQGDDTWEAPPLEFESRCLPCEYLQFFTLQATDELKQGSFPPAVWRIDLGARSDQACMHYVWRKRWMIPAGKQEHHHQDDEMV >cds.KYUSt_chr6.4309 pep primary_assembly:MPB_Lper_Kyuss_1697:6:24931544:24932368:1 gene:KYUSg_chr6.4309 transcript:KYUSt_chr6.4309 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGLLLLVLLLLRLEGRRSVGNAAFPNNMVAPGPAHPRSLGVGEALLSGRGGEGERRSDAVKRSFTPPLAGHGGLEELEQDLLFLDLGGGGSCRRSCSRWWVFRLHALPACRGGEEERCGGPATLQGQHRRPLPAWCYSSEFFQAAGDLAVAIQGREDGNSSNSGEEAMIRLRRGCLKPLSCEVIRSPQDGCGPRQRVLVGRGLPSSWPLFLGGDALRTPAASGRDTLVLDCSNLVLSRVFSVKCRPLSSNIRFLERVVARGLLQNVILPRY >cds.KYUSt_chr5.4841 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30689533:30691097:1 gene:KYUSg_chr5.4841 transcript:KYUSt_chr5.4841 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAASADEEACMFALQLASSSILPMTLKNAIELGLLEILVAAGGKSLTPTEVAAKLPSATNPEAPDMVDRMLRLLASYNVVTCLVEEGKDGRLSRSYGAAPVCKFLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKVYGMSAFEYNGTDPRFNRVFNQAMNNHSIIITKKLLELYHGFQGLGTLVDVAGGVGATVAAIAAHYPSIKGVNFDLPHVISEALPFPGVTHVGGDMFKEVPSGDAILMKWILHDWSDQHCATLLKNCYDALPAHGKVVLVECILPVNPEAKPSSQGVFHVDMIMLAHSPGGRERYEREFEALARGAGFAGVKSTYIYATTWAIEFTK >cds.KYUSt_chr4.40818 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252140400:252146873:1 gene:KYUSg_chr4.40818 transcript:KYUSt_chr4.40818 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKHHPPPQAHHHRQRWPRWLLLALPLLSLPILYAALGSARSQPQPPRLGARQQPPLPPPRLAYLISGGPGDGPRIRRLLTALYHPWNYYLVGVAGDEDRADLEAFVRGEEAPRRYGNVRVAAPGEWAAVSRRGPTELAATLHGAALMLKEFQGWSWFINLSASDYPLMPQDDLLHIFSYLPRDLNFIEHTSSIGWKEHQMARPIIVDPALQISNKTEVITTKEKRSLPSAFKIFVGSSWVILSRPFLEFCILGWDNLPRTLLMYFTNFLSSSEGYFHTVICNSKYYQNTTVNSDLRFMAWDNPPRTHPLNLTTEHFAAMRDSGMPFAHSFTYGDPVLDMIDAELLKRGPDHFTPAQQRRRPPPLVERAGPHPRECARAHRGQQLPRTRDAAASGGAFRVAPTQKLLDATPHGVVVLVEVGGKVRDAQLRIRVSSDTEDDGEDIGEGGAGVASADQRVQ >cds.KYUSt_chr2.31747 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195843919:195844284:-1 gene:KYUSg_chr2.31747 transcript:KYUSt_chr2.31747 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGPPPSSSSSGSVTVTIDPSPSSSSSSAPAAAPPPPETVVLRLKRRAKKKVSWKEGTVDNESLGRKSSKKCCIFHKEVPFDEDCSDDEPDPGRRNPPGDGGEGTSGGCSSHGHRHHQH >cds.KYUSt_chr6.7463 pep primary_assembly:MPB_Lper_Kyuss_1697:6:45392263:45392565:-1 gene:KYUSg_chr6.7463 transcript:KYUSt_chr6.7463 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALMMSPELRDVLAKVVAFILVQGLVYLILTNSSDVFSKNKILRSLSFRTMRSMRVRRLLAPLSDVPVGTDDLGSAPPPSPSYLSRSCSSRRGGDRQD >cds.KYUSt_chr3.26231 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163137569:163144410:1 gene:KYUSg_chr3.26231 transcript:KYUSt_chr3.26231 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAGVQQQPPPQMNSMSMVQDGSAKPNMGKEHIPGNELWTDGLICAFELVKGHRKLIRHRSLPMQDTGPPLHTKKHAGRNGHHAVSPVLHESNAVEIPCQTEFGIDPFVVKDRLPHAGEILEHKWVPIGWSRIAELVQRVQSDASWENEQVLISDSEDDYTVADVAAPYWQRPGGPTWWFHVTAGHPSVDAWLSSAHWMHPAIRTALRDENRLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNHEEEDIPIVLRSWQTQNFLLTAMHVKGPSSNINVLGVTEVQELLIAGGSQTPKTVHEVIAHLVSRLSRWDDRLFRKYVFGEADEIELKFVNRRNSEDLNLVSIILNQEIRRLATQVIRVKWSLHAREEIILELLRHLRGNATRVILERERKSAREMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRITHNLAIFGGGGIVLSIITGLFGINVDGIPGAENTPYAFGLFAGLLFLIGIVLVGVGLMYLGLTNPVTNEKVKVRKLELQQLVTMFQHEAEQHGKMDMWQMLDKFRVKVVDSSSDEESDQSTQTLATTAASMIHEFTSNPGPEHRGSVKGRSKNLPRNRVAGMSRDLFLVILRGVRNYDPYFQCRPDATGALGFTSYQKCSAAIHMLSYGMAAEIFDEYLRMGESTCLEAMYRFCQAVIAVFGEYYCREPTVENTRRLLSINESRGFPGMIGNIDCMHWQWKNCPFGWQGAYNGHEEGKTVILEAVISQDLWIWHSFFGMAGSNNDINVLHRSPVFNRLMQGKAPRVTYEINGNAYDKPYYLADGIYPDWATLVKTFRNPNSEKTRRFAKMQEACRKDVERGFGVLQARWAIVRHPARTWSLKTMHEVMTCCVIMHNMIVENECPDGRNENH >cds.KYUSt_chr7.19625 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121612107:121615818:-1 gene:KYUSg_chr7.19625 transcript:KYUSt_chr7.19625 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFKASTLLFLILVGRADIAVGLLPRQSFHEVDAISYVLGSASELGRMNGVSEGLIIGTTVGVVIGLLLAVGILLCMRELQKATSNFTTLLGQGAFGPVYKANMSSGEILAVKVLANNSKQGEKEFHNEVLLLGRLHHRNLVNLVGYCAEKGQHILLYAYMPNGSLASHLYGENNAPLRWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMHARVADFGLSREEMLTRNGANIRGTYGYLDPEYVSSRSFTKKSDVYSYGVLLFELVAGRNPQQGLMEYVELAAINADSKSGWEEIADSRLEGAFDVEEFNDMAAVAYKCVSRVSRKRPSMRDVVQALIRVAKHSRSSRNHHSRKLPVGRTDGESCDLEASEGQSSASGHQRQESVGSVSELPDV >cds.KYUSt_contig_1145.25 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000108.1:129109:130239:-1 gene:KYUSg_contig_1145.25 transcript:KYUSt_contig_1145.25 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPRSAYKREPSAQHCQCISSTVALANTVPASSVQEEVKQSLVEQTMADATMAQDLAAAARLPPSKSENIFMQIAVHPDGTITRPFVPSVPASLDAAVSSRDVPLDASLGTYIRLYLPNPVDPPPSAKLPIILYFHGGGYVIFSADTVFYHASCEAMAVAVPAIVASVDYRLAPEHRLPAAYDDGVAAMMWLRDEAHQDPWIAERGDLSQCFLMGSSSGGNLVFNAAVRTRGVDLSPATVRGVLMHQPYVGGVERTPSEAASEDDFMVPLEANDKLIALALPLGADRDHEFSNPEKAMAPEALAGLPRCMISGSDGDPLIDRQRGFTAWLRDGGVDVVDKTDISGFHAAELFIPEKAEELFAAVREFVHGGGGDA >cds.KYUSt_chr6.32241 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203443326:203446181:1 gene:KYUSg_chr6.32241 transcript:KYUSt_chr6.32241 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSCIPTGLRLDLDMVKAAASPGAHSSPLRPVHSSPSSTLSEASNASSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTAKPPTLARQLSGLAAAFGDTSSDLLPPLPVLADAAFLLRGGAPSPSLFQPQPQSPSDAKNCSSPTPVSSAFREFRDPAPSPASPDTATGDEPGELDFDDDDGFDAESILDVGDEDAAEGIDGIMGSLTMEGNTTSATSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGSRQSTRPNLNRALKRRDDDGAWWMWPAVPVKDITVAPPLPPSPQPAEASNTAAMPPPASSAPEKKKSKKKKVVKVEKVMAKEEELANAKCVEGADGTVDVADGNVDDDSAPTKAPKTGLGLKLDTDDVLKEWSGKGSMFAEGSMPESPESAAEVRAKLADIDLFPENGSGGIREARVMRYKEKRRNRLFSKKIRYQVRKVNADCRPRMKGRFVRSPSLLQQALEEEI >cds.KYUSt_chr6.2547 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14777689:14779476:-1 gene:KYUSg_chr6.2547 transcript:KYUSt_chr6.2547 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDSSPLSAAATDDAHHDNNPNPSDPAAAAAQPAAAAPPPSLPPPPPPKVRLMVSYGGRIQPRPHDNQLSYVNGDTKILSIDRPLRYPDFLARLAALARAAATSEICVKYQLPGEDLDALVSVTNDEDLEHLVIEYDRLHLFRGSGSGSSRGGGGGSTPRLRVFLFPVLPQAPAPPLPPPPASASPSPMRQPNAAVVEPPKHEWYLADVRAASPTPQHQQPQPQETVLVHSPPHHAVPHAVPMAAVPQSVMLTSRNNLDALYGLEYAYVPPPAVKVRDPAAAGEPPMFRDNVPVEIPPKAEDNRGIPNPATDNAMLAPPISPPTEFHRQIHDKLQLADSNTLQAPPTPNPLAAQQPPQAPAPIAAQQAPPPPVQVAAQQAPPPAPVQVAAQQPTPAPAPAPVASQQPAPAPATPATQVAPAAAALTRNGSNDSLTRAYPPATTTAPPEYYVPKFPEKPQVIPQSSAPPAAYLPVPPGRYASVAPGSAADHGPFFYIHGPPPHGYYASTNPAGNSYYAVAAPHNGNGNGNGTAPAPAMSNAYPQVAYDSNGRAVYYTGILPQQYPSPVNGMSASAAVLGSEPSKPVAVKPTVS >cds.KYUSt_chr4.30122 pep primary_assembly:MPB_Lper_Kyuss_1697:4:189088749:189094284:-1 gene:KYUSg_chr4.30122 transcript:KYUSt_chr4.30122 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIGGLRKWARGSRLDSGAGVLKVAPADWMAAPAGSIEEVMGRHAGAPLPTPAEKDTRKDAKAADDQRLTVGLVRYEWCSQYLILPDCLNRLEEDYDNNEGAEVIGYDAPDLSGGVEGVDYIIVYGSGEASGEEQA >cds.KYUSt_chr7.33832 pep primary_assembly:MPB_Lper_Kyuss_1697:7:211265376:211265747:-1 gene:KYUSg_chr7.33832 transcript:KYUSt_chr7.33832 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTDIVRPFAAVGEAPALVFLIATGKHGDRVVDQEIWGDEQRMKRKLMAWAKAVASMALSGSAGWHHRLTAPWSSWPGPRPSRPWRSAAPQARRRGITAPWDTVDTTQCASSVPFDQSSCT >cds.KYUSt_chr1.29629 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179452310:179455607:-1 gene:KYUSg_chr1.29629 transcript:KYUSt_chr1.29629 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLEEYPSWGSRGVDCFEKLEQIGEGTYGQVFMAKDTETKEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIHLKEIVTSPGPERDEQGKQVDGNKYKGSIYMVFEYMDHDLTGLADKPGMRFTIPQIKCYMKQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPDQLTKIFELCGTPDEINWPGATKMPWYNNLKPPRQVKRHVKDAFKHFDHYALELLERMLTLDPAKRVSAKEALDAEYFWADPLPCDPKSLPKYEASHEYQTKKRRQQQRQADDAAAKRQKTQHPQPHTRLPPIQQSGQLHPQIRPGQPTNNPHPPMASGSNHHYGKPRGPGGPNRYPQGGNQGGGGYPNRGGQGGGYGSGPYTQQGRGPPPYPGGAMGGTGGPRGSGGNSGYGAGAPNFPQPGPYGPPGGPGRGPNYPPQAGSRNQQQPYGGGNWQ >cds.KYUSt_chr4.5214 pep primary_assembly:MPB_Lper_Kyuss_1697:4:30020182:30022930:1 gene:KYUSg_chr4.5214 transcript:KYUSt_chr4.5214 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPNPGSAHQPLSSSSSRGPLSPFPAAAHFPSHPAGPFLRHHHLPSGDSDGGASLDEFNEDEEGMEDEEDDEEEDAELVDRAARSSQPRRASPQGIGRAVMNEENGVTRVQEGQQQQMYNGETGQFVRFSSRGDEEPGSITGEMRVEDGYGVIGRREGGPASSYWDLLRAHLSDPLTGILMDDAMILSCGHSYGNNGMQHIYRMKACGKCGQPITEDSIRPNLALRLAVQAFRREEESAKTLKRRRDRLEQDKNDNDDPNLTELSRGKGVQYPFAVFDQVIIKGNKRTPERFVGRVAVVTAQCLNGWYVVKTLDNAESVKLQYRSLAKFTEGSEASVMVLNNAQNASWL >cds.KYUSt_chr4.251 pep primary_assembly:MPB_Lper_Kyuss_1697:4:1367212:1373222:1 gene:KYUSg_chr4.251 transcript:KYUSt_chr4.251 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVQVASALSVPLLSAILGGAIALVFLAGYLRRKRADIAHIPPSATAAAPDLPKQEAAKKHHRLDVNTLRGHTDCVTALSFSGDAGSLATVCADGAVRVFRIDDASSKSFKILKIDLPAGAHPTAIAFSEGTSSVIVAAQALLGSSLYMYADVSAPPTGGNKQQGKLSPPEIKWDHKKIHGKESVLNLAAARATHGTGDGSTIVISCSEATDIKIWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDSSVKEVNRVMQLKGHKSAVTSLCFTPDSEKIITASKDGTIRVWNINVRYHLDEDPKTLRVLAIPLHDSEGSPCLYNHMGISPDAKVLAVTSGSTLQWLCAETGAVLDTAEKAHEGDIAGIAWAPRTIPNGGAPAFLLATCGEDKKVKLWLAPEDDPRPSRIGSNVVHPKETQHKRSRIMIGCFDM >cds.KYUSt_chr2.19806 pep primary_assembly:MPB_Lper_Kyuss_1697:2:124698720:124701585:1 gene:KYUSg_chr2.19806 transcript:KYUSt_chr2.19806 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASISSHSIAPRDLKAVRIGAVRQQVAVVPSAPAAKSQRARAVRPLRAAEPARKPVSASAAAAPVAPVEEEVSDAASVDYEALAQELVGASPLEIMDRALDLFGSEIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQLFDKVEKHYGIHIEYTFPEAGEVQDLVRSKGLFSFYEDGHQECCRVRKVRPLRKALKGLKAWITGQRKDQSPGTRASIPVVQVDPSFEGLDGGAGSLIKWNPVANVEGKDVWTFLRTMDVPVNALHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAAAKECGLHKGNIEKEGAPKVGVNGNGSAEASAPDIFQSQAIVNLTRPGIENLLRLENRTEPWVTVLYAPWCPFCQAMEASYIELAEKLSGSGIKVAKFRADGEQKPFAQAELQLQSFPTILLFPSRTARPIKYPSEKRDVDSLLAFVNSLR >cds.KYUSt_chr2.50421 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315318756:315320785:1 gene:KYUSg_chr2.50421 transcript:KYUSt_chr2.50421 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAQEIQLQIRGTPEHESGHGENGGGSKAADRRRLAGSSIRWWLMVMVDMFIVLCGQTIATLLGRLYYNSGGNSKWMATLTQSGGSPLIAILLLLTPPDSADAGPQPPASKMLPIYLGLGILIGFDNLMYSYALLYLPVSTFALVAATQLGFNSITSRLINKQRFTALIANSVVVLTFSATLLGVGSSSDGTSSNLPPGKYTLGFILTLSASAVFALILSLLEVTFEKVIKTRTFRWVLRVQLYTGLVASAVTVCGLFASGEWRTIPGEMAAYKDGRARYVATLVGTAVSWQAMAVANLRLITRVSSLFANVTGTVALPLVPVFAVVLFGDKMTGIKAVAMLMALWGFLSYVYQHFLDGRRAAMGKERAAECRVCAVRAGGEAV >cds.KYUSt_chr3.35531 pep primary_assembly:MPB_Lper_Kyuss_1697:3:223099759:223101296:-1 gene:KYUSg_chr3.35531 transcript:KYUSt_chr3.35531 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRIGEEAREELTGEKALLCPSASLDRGQAASGCLPPIPAPRRDAAMDPFAGSMPQRHPTSLKRACPRNPSRGAWARNTVPTPAPPLLPFLDHELPCLPSPLLPDVDDYNLFLSPGWPGPLPIVVR >cds.KYUSt_chr6.32131 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202919847:202922751:1 gene:KYUSg_chr6.32131 transcript:KYUSt_chr6.32131 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAMALATLFFVLLLLSSAAISLLLLRLCLAAIRPSATTRTPYAAVDPETARASPPQHELALLPPTKAPKETPAEPRRLAWREVEELTGGFDEAAVVARGGSGNAVYLARQRVGGSAAAVKVHRWCGGGERRLAAFRRELDLLRRVGRHPRLVALLAYSDDHEEGGALVLEYMSGGTLADRLHGATPPLTWCHRMRVVNNIALALEHLHGTATAIVHGDLSASNVLLDDVGGARLCDLGSACEATFSAAVAPARRGAAAAVGSPGDIARIALECVAPQPGLRPMMAQNPGYRWRCHPGTAALAVEPSSAVADAASESSSGVAEIAVAAAAAAASESVVAESAVASAAYESAAAAANEPVAAASESVVVVAAADSASSWSSSSPRGSCVATRRRGTCSGASP >cds.KYUSt_chr2.11964 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76012951:76014511:1 gene:KYUSg_chr2.11964 transcript:KYUSt_chr2.11964 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHLQQAPAWFISLAFLGALCVAALTSRLLVHLTVCLRRPKDLRRSYGTWAVVTGPTSGIGRSVALELARRGLNLVLVDLSAANLQEVSDTIRSRHAVKTVSVVFDLSLVSTPQGDEAMRRLRKAVKGLDVGVLVNNAGVAKPGAVFLHEADAEAWVRMIRVNLWAVTEVTAAVLPGMVERGRGAVVNMGSASSAVPSFPLHTMYSATKRYVAQFSRSLYVEYKSKGIDVQCHAPFFVATTMLSGFSGIWRPSAFVPTTDAYARKAVRWIGQGGPLCVPNLRHRLLRCLLAAVPDSLHDWVCLRGNLRTRKMLQRAPVCTLRG >cds.KYUSt_chr3.8924 pep primary_assembly:MPB_Lper_Kyuss_1697:3:52059375:52061920:1 gene:KYUSg_chr3.8924 transcript:KYUSt_chr3.8924 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSIDVERIYFGGKEHPVRTRYGSVSVSVYGDEDKPALITYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHITPQGHELGAAPIPSDVPELSVDKLADQVADVLDFFGLGSVMCLGVTAGAYVLTLFATKYRERVLGLMLVSPLCKAPSWSEWLYNKVLLNLLYYCGTSGLVNECLLQRYFSSEVRGSGQEPESEIVQACRSLLDQRQGVNVCRFLKAINERHDLTEALKKLQCRTLIFVGENSQFHADAVHMTTKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMGYGLYRPSPLDSSPRSTLNPFCISPELLSPESMGVKLKPIKTRTSLNV >cds.KYUSt_chr7.17993 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111682670:111683484:-1 gene:KYUSg_chr7.17993 transcript:KYUSt_chr7.17993 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQPLIDCTLHVSPVQEDDDFTLRRFLRAREHNIGKASVMLLKYLAWKRTAKPQGSINEDEVRNELVQDKLYVQGFDKVGRPMIYLFGVRHLPAKRDLEEFKRYVIYILDNTCTKLSAGHEKFAAVVDLKGWGAAWAGAPNPRALRVHGGMEDGVPFIDDNTKKKFVFVADRDLDATLRDAVDECQLREVYGGKLKLKGYCRSSPPPSSTSD >cds.KYUSt_chr3.40898 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258149823:258151133:-1 gene:KYUSg_chr3.40898 transcript:KYUSt_chr3.40898 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQKCILTRLFSSPSTSPVSPLHRLLSAAADSPAVSPTPGFAVEEYLVDTCGLTRAQAIKASAKLTHLKSPSKPDAVLAFLAGLGLAPADIAAVVAKDPKFLCVGVERTLGPVVGGLTGLGISRSEISRLVSLAGVSFRHRTIVPKIRYYLSLFGSSENLLRGLHRCCYLLSSDFNKVEPNVAFLQECGLSVCDIAKLCTCKPRILASKHERVRAMVACAEGVGVPRGSRMFRHALHAVEPLNKEKIAAKSEYLKTTFRWSDAEVKIALSRAPLMLTISKDTLQRKSEFLSSEVGLEPLYIAHRPVMLGLSLEGRLKPRYYVTKFLKENGLLDRGKDYYSIVTISEKVGLAI >cds.KYUSt_chr4.29108 pep primary_assembly:MPB_Lper_Kyuss_1697:4:182611832:182612065:1 gene:KYUSg_chr4.29108 transcript:KYUSt_chr4.29108 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSARRKPEGHGGDEDIRGLGDEPTDGLARPAALGALQAAAAAAADLYSGLARFGADESNIAELYYRQLGAERVQS >cds.KYUSt_chr3.32588 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204885235:204885537:1 gene:KYUSg_chr3.32588 transcript:KYUSt_chr3.32588 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEDDVEQDQLRNPSRKPLGNREAVRKYRQKKKAHAAFLEEEIKNLRAANDQLLKMLQGHAALEAEVVRLSTLLLDVRGKIDAEIGDILLHDEPPAPC >cds.KYUSt_chr6.20665 pep primary_assembly:MPB_Lper_Kyuss_1697:6:130319627:130320901:1 gene:KYUSg_chr6.20665 transcript:KYUSt_chr6.20665 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGAGGEQMKKVKDAAPRKRNPCPGVRLVGGRIYDSENGKTCHQKTTDFAVSCKQPQKKGLCPIHFCHKCLLNRYGENAEEMTKQEAWTCPKCRGICNCSFCRKKKGDTPTGILAHAAKAWGHSSVHELLIKGSDMVAAAQTLTSLPMTAKKEHKDGNPKRALGTDDTTDGLLAEGDENIGTDLNAFPSVPVNKRLKKGNCRVNNMTAYKKFPVDIKGDPQIRNESTDVLKTKIELPIATPVTNILGTELDANDVGSAILFYEFCRTFGEVLMASKQ >cds.KYUSt_chr1.19060 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111941000:111944509:-1 gene:KYUSg_chr1.19060 transcript:KYUSt_chr1.19060 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGKVASRASSLGNRVPALLRKTHVPDFEWKIHDFLALVETKATSVISGPFDNSGYTWCLEVSPMHTKFGGGTPYVALNLMICPYPLKQASYNFDFYNVYSKKECLIPLQELLKSSTFLVDDSCVFGVEILKIDVSTPEKLMIAGLFEKKATTVQNLFVQKKGFIKGTYTWTINNFPGLDFVRSSTFEVGGHKWYQNIFEQSVYMFVNRYIGMYPRGHKCSTDCLSLFLCLDAPNELPLESWSVAEMSLSIQDQKNGKHFTRTTGRLLVFAKSWGWPDFIPLNTFKDPSVGYLVESNCIVKADFTIVGSSNG >cds.KYUSt_chr3.27334 pep primary_assembly:MPB_Lper_Kyuss_1697:3:170704662:170704973:-1 gene:KYUSg_chr3.27334 transcript:KYUSt_chr3.27334 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTGRSPSEEGSTDSVAARVRCVSVAARVRCVSVAARVRCVSIAARVRCVLAAGRSGGGSPGNTSAISLPFQHNRGRGEKRQPARKGGNEEIRKIKERAER >cds.KYUSt_contig_662.283 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1608364:1611158:-1 gene:KYUSg_contig_662.283 transcript:KYUSt_contig_662.283 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTPADLAREREEETACDRIFREFMAGYLHIPSTRVPLLSSSALPEVLSWSPEFMKALLEELQCLVEGAYDATLTANLRGMHVPDESTADNCREEKGEQPADHLDSDSSLVTLMILIHNMLKLDYTMQEKIAGSLSLKSSSAELDGYCLMWDLRPYAEGDVMNLAWKSCP >cds.KYUSt_chr6.30187 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191345562:191347028:1 gene:KYUSg_chr6.30187 transcript:KYUSt_chr6.30187 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLPAVLGELATRSVSFVINKYSKLPVQAMEINLERILLRAQVIVEEAEGRHITNQGMLLQLRMLRDAMYQGFYVLDTLRYRAFQEDGTGDNKVRNYSWALSKFSSAKRLCLSSSSSSTKASQELVAENVLDNLRTMILDASESVMFFTSYPRLGRQPYNMHILLGNCMFGRQMEMELILNFLLYTQPCSGRHDRFDVLPIVGPAICGKSTLAAHVCNDERVRDHFSQIAFFRHGTFKDEDIDILTDRCTMRHEKHRKLLIVFEVVGELNDDLWGSLYSLSRKCTTSGSKIIITSRSDKITKLGTTQTMTLKHLPYEAFWYFFKVITFGSTDPKMHPRLAYLAMEISKMMNGSLISANVIGGLLRNNFSIQYWCKILKFLRESVEKSISMHGEHPCDLLAKNKSWYFRRLGTTTEDVFISSHYQTCSSQEELPEITAQDVVYGGVKPQGAFKALAWKSPLPPYRCYINIYEIQQLQTRLVKKRRSE >cds.KYUSt_chr7.25876 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161553520:161558949:1 gene:KYUSg_chr7.25876 transcript:KYUSt_chr7.25876 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIVFSNRGKLYEFCSTQSMTKTLEKYQKCSYAGPETTVQNRENEQLKNSRNEYLKLKARVDNLQRTQRNLLGEDLDSLGIKELESLEKQLDSSLKHIRTTRTQIMVDQLTELQRRVSSRVTEWLMHDHFHVPMATMIEDEIEK >cds.KYUSt_chr3.1549 pep primary_assembly:MPB_Lper_Kyuss_1697:3:8856296:8857330:-1 gene:KYUSg_chr3.1549 transcript:KYUSt_chr3.1549 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWRVYMAALCGFCFRMSPVQSPMHAGKNPEALEEEAAGTAASAEDALRYVEAVKEAFKDHNPATYQEFLRVMDDFRNHRIGIAEVVSKVKALFQDSPGLLVGFNTFLPKGYKIQVVGVDDLAACFVRDVSLDDDGSR >cds.KYUSt_scaffold_2697.551 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:3578375:3579247:1 gene:KYUSg_scaffold_2697.551 transcript:KYUSt_scaffold_2697.551 gene_biotype:protein_coding transcript_biotype:protein_coding MECDNGAQCTAAIQHADPLNWGKAAEALTGSHLDEVKRMVAEYRQPIVTIEGASLSITKVAAVAAAGDAKVQLDESARARVKASSDWVMDSMANGVDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLSAGATRAAMLVRINTLLQGYSGIRFEILEAVAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRQNSVAVAPDGRKVNAAEAFKIAGINGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILSVMAEVLSAVFCEVMNGTLDK >cds.KYUSt_chr4.13651 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84107219:84107848:1 gene:KYUSg_chr4.13651 transcript:KYUSt_chr4.13651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin 18 kD [Source: Projected from Oryza sativa (Os03g0699000)] MADRGSYGQHGQYGYGSRQQGQYGGQYGSGRPITDQVKGMLPEKGPSASQALTVATLFPLGGFLLVLSGLLLAGTVVGLALATPVFLLFSPVLVPAALTIGLAVTGFLTSGALGLGGLSSLTVLANTARQAFQKTPDYVEEARRRMAEAAAAAGQKTEQAGQAIQSRAQEAGAGAGGHTGGGGAHTGTHTGAGGAHTGTHTGAGAKGSS >cds.KYUSt_chr4.10030 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60671358:60673824:-1 gene:KYUSg_chr4.10030 transcript:KYUSt_chr4.10030 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLSLFPSLCSYYPASLRPPPESGDVEEDERASWDWGEALIDCVAYMIDESNHTSALCPFTTREGNLEELQVTFCLTPPPHISYLCIAYTSGEPTRFICEPRILATAGNLALICVKHGPSSLARSTDYNFFVYHAPTRVHELPRLVLLPHPEPDLFPWDKYSYFTQGEVGILRYRTRSASKQQLPFTPPTPTLALARSHKRPFTPYTNNEEFDAYKIATIYIRMEESGTTHYDLFIYDSKPDAWTCEPTVFPQETPPNFSSDRVITIAGTMVWVDLSQGIILCDLDLPPEEESSREGPRQLRYIRLPKPVLQPLNTKSFSRDIAVVDDRIKFVDLNIHDSSLGLYSWTAVTWSMSVGDLEFHKDGVVKSADIAPSGALDLGSVFVAHPTLSSHHSDILYLMAKAGPALPDRESTLIALDMKNMKMERVVKYTMQREGSMIFAYMLTTISKYLAPPGGYGFSNLEKELRGQYGVVSNLNYKPTENTSQPGISFSSARLLLQARTNMANPEATKPPGQDEAAHKDGAGNAHPPPFLEVTCRSSGEVRRFAAGTTARYALHAVNRKLAPGSPAALHVEAAKDGEEPVCFGPTAPLADYGRGWRLQTVTEQDAQENHHAPPSGDTKEGGGEAKRARDREALVKKATSAYLAKIGLAFLFIFLLGGLFTYLLETIPDMIQHASTPESL >cds.KYUSt_chr1.33886 pep primary_assembly:MPB_Lper_Kyuss_1697:1:206041558:206045760:-1 gene:KYUSg_chr1.33886 transcript:KYUSt_chr1.33886 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTSTTPATATTCCASSSTSSSSSSSTTTSPGPHRRRLVDLERADDSSFCSCCADACDDAEGPAHAPLLTPCGAAGKSSPLLARRKRAAGAGAHAWWMRAVVLCLLGLVAVVGFLGSSSHGGRRGGTDGGSGEKLVQKVEVAADADVMGWTEENLTALTRRLPDPPVPEIWTKPDSGGYSQCIERPKNQRRTNDATVGYLIVDANGGLNQMRMGISDMVAVAKIMNATLVLPTLDHQSFWTDPSDFKDIFDVDHFKETLKEDIVVVDSLPPAYRKVKPYVRAPTSWSRASFYRDFSKILKKFKVVRFTHTDSRIVNNGLAPSLQRLRCRANYEALQYRKEIQELGTTLVERLKKDSDHYIALHLRYEKDMLSFTGCNHNLTAHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSSTKIYIVAGEIYGAHSMDALKAEYPNIYTHYSLATVDELEPFELYQNRLAAVDYIVAQQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGAVDWDEFQSEVRKNHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCKKVQSVK >cds.KYUSt_chr7.32866 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204863579:204863899:1 gene:KYUSg_chr7.32866 transcript:KYUSt_chr7.32866 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPAEPVSPRPPAAAAAEEEERRAATCLPRLIAGVLSGMLTGLFAVGTHLLSWLFYAFVSDLKRLLFGGDGIRPAVLAISSADTASTTKICRIRKFDCPSFWFV >cds.KYUSt_chr4.47774 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295738775:295743912:1 gene:KYUSg_chr4.47774 transcript:KYUSt_chr4.47774 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCYTCWRVWARVTGNIGDDGYGSSKDFADVHGLVMHAYNPPNADSYVDHLGLHKALCVLMGWDYTKAPDNSKAYQSLPPDLVRASREDLIMWPPTVIIRNTAYGRKKDGRSEGLGNKEMDKKIAELGFAGGKSKSLYGKEGHLGQTLIKFANNPAGLKEAERLAEFLERQDHGRVGWSRVQATHSLDPDTSPLLVETDNRGEKKRILYGYLAISSDLDELDSDSRKRATLKSRNEFDPSD >cds.KYUSt_chr2.40403 pep primary_assembly:MPB_Lper_Kyuss_1697:2:250990528:250992319:1 gene:KYUSg_chr2.40403 transcript:KYUSt_chr2.40403 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGIKPSTMRAVQYSGYGGGAAALKYVEIPVPSLKKDEVLIKVEAASINPADCRIQKGLLRPFLPFKFPFIPVTDVAGEIAEVGTEVRELKVGDKVVSKLKFWKAGGLAEYVAASESVTVVRPACVSAADAAGLPMAGLTALQALKAIGTKFDGTGSASNILITAASGGIGSYAVQLAKLGNHSVTATCGARNLDLVESIGADEVLDYKTPEGAALKNSSGKKYDYIVNTTDGGKWSAFKPSLSSNGRVVDVSPNFGNFVASILTLFSKKKLSTVNLSLGMEDLSFLLELVKEGKLKMVLDSRHPFEKAADAWEKSLSGHATGKVIVEM >cds.KYUSt_chr1.6176 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37975722:37984238:1 gene:KYUSg_chr1.6176 transcript:KYUSt_chr1.6176 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPVGVPYAEGEAVVETIIGKNDEAIMNFEKARLIDPNIMTYMDEYAILLKFKSDYTRLNKLVHDMLHIDPARPETCVALAAFWERKDERKALTYAEKSLRVDDRHITGYIMKARKFYESAIRLEPGFLGAALALADLHIAEGRNKEAVLLLERYLRQWADDSLHIKLAQVFAATNLLSDALSHYQAALRQPNIGIFSGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHIAEGRNKEAVLLLERYLRQWADDSLHIKLAQVFAATNLLSDALSHYQAALRINPYNEAAKKGLERLEKQMKGIDPDAPEDEDENEADDDGDQDDAELLFISASIYMSRLNNNRLHRIDPRAKLYVPMGRSKGATAPKINGEAPRPCPSLIPDLLSNIHDRLGFLDRVAFAAVFASSCDDDLFKPHAPWLVFPRNTPETVELFTIADQRGATVPAPAPALRDHVIVGSARGWLATADARGQIYLVNPATGEQHELPHIATTGVFLPSAKYHHFSLVMEPFLTIRYGHGPPFNHCWVNTHTWDNSLMRTQFYRKVVLSSSSPRPGAYEAMLLWRRELGAPAFASAEDPSWSLARSPEGVEDAIHHDGQFYSVSYAGLVEAWERDAGSGEYTSTAVAPRLLAVEEHKQEDGEPSCRKYLAAAPGGRLMVVIKYYYYAELQGRRSWSCSFKVHVLGDDGQWKERTDVGDLALFVGMNNSLCLPTTGRPQIEAGCVYYTGDELGSLEARKGSLSSHSYGSRDGSDLRAVGVYSLKDGTLKKMEALGKEQRSFYPPPAWITPSVP >cds.KYUSt_chr1.9311 pep primary_assembly:MPB_Lper_Kyuss_1697:1:56886097:56893821:-1 gene:KYUSg_chr1.9311 transcript:KYUSt_chr1.9311 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGLVPITRDFLARYYDKYPLAPLPDAVTALAARLRSLSADLAAISPLSPDEELLEQEASGVPAPKMDENMWKNREQMEEILFLLNKSRRPVALQQKSTPEDADIASVLDDVETKLKDTLKKLEQFQLKNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDAVVSAGGSIRDRYALLWKQQMDRRVQLAQLGSASGVYKTLVRYLVGVPQVLLDFIRQINDANGPMEVQRERYGPALYTLTKLVLAIRLYLHLSLARYGQKKIGKDDIAALQQAVVFYTEEFGKFTVFIGEVFVNAPFFISAEDAGADSRNSDEYRETIIPAGKTHEVILNVEAVNSYIAWDFSLPQGALSTLLDIGFHVEYISPSGEKTLILPYRRYEADQ >cds.KYUSt_chr3.2493 pep primary_assembly:MPB_Lper_Kyuss_1697:3:14259032:14259851:1 gene:KYUSg_chr3.2493 transcript:KYUSt_chr3.2493 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSYILVTALLALAAWQAIASDPSPLQDFCVADNSSRVLVNGFICKDPKDVKAEDFFLAAKLDMPRDTKMNKVGSNVTLINVMRIPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPENKFLSKVLNKGDVFVFPEGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPMISDDVLTKAFQVDKKTVDWLQAQFWADNHN >cds.KYUSt_chr7.14474 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89452896:89454785:-1 gene:KYUSg_chr7.14474 transcript:KYUSt_chr7.14474 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCYAAATVVVSSRPRAPRLGLSSYTPPSGSYRRAAVRAMGASPSSPSPSGQAPGKTDSASLSDEELKKRLTKEQYYVTRQKGTERAFTGEYWNTKTPGVYHCVCCDTPLFESSTKFDSGTGWPSYYQPVGDNVKSKLDMSIFFMPRTESLCAVCDAHLGHVFDDGPPPTGKRYCINRYA >cds.KYUSt_chr5.5600 pep primary_assembly:MPB_Lper_Kyuss_1697:5:35026723:35030505:1 gene:KYUSg_chr5.5600 transcript:KYUSt_chr5.5600 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGNGHAAALAAATALLLFSTASMALTPDGEALLELKTAFNATAGRLGSWRPADGDPCGWEGISCSFPDLRVQSINLPYMQLGGFISPSIGKLSKLQRLALHQNSLHGSIPLEIKNCTELRAIYLRANYLQGGIPPEVGELEHLTILDLSSNLLRGTIPASIGSLTHLRFLNVSTNFFSGEIPNVGVLGTFKSSSFVGNLELCGLPIQKACRGTLGFPAVLPHSDPLSSSGVTPISSNKTSHFLNGIVIGSMSTMAVALIAVMGFLWVCLLSRKKNGVDYVKMDKPTVPDGAKLVTYQWNLPYSSSEIIRRLELLDEEDVVGCGGFGTVYKMVMDDGTAFAVKRIDLNRERRDKTFEKELEILGSIRHINLVNLRGYCRLSTAKLLIYDFMELGSLDGYLHGDAQEDQPLNWNARMKIALGSARGLAYLHHDCSPGIVHRDIKASNILLDRFLEPRVSDFGLARLLVDSETHVTTVVAGTFGYLAPEYLQNGHSTEKSDVYSFGVLLLELVTGKRPTDSCFLNKGLNIVGWLNLKALRILNFAFCLQLNTLTGEHRLEEIVDERSGDVEVEAVEAILDIAAMCTDAVPGQRPSMSAVLKMLEEEILSPPLSELYYEQHLEF >cds.KYUSt_chr4.26409 pep primary_assembly:MPB_Lper_Kyuss_1697:4:165957489:165958129:-1 gene:KYUSg_chr4.26409 transcript:KYUSt_chr4.26409 gene_biotype:protein_coding transcript_biotype:protein_coding MAESARVRDALSVNADDRARVDALSAAASASLSSSSSSDHLSPSFFEGFALRGIRVVRIQPGLIHCSYTVPPSLTDSTTGCLAAGAVVALVDEVGSAAAISDAQNLKVSVDMSVAFADLSQARPGDNLSITATALGHKGAYSGTSVLLTNADTGNVVAEGRHSLFGNMKKTPPKPATAHRSNL >cds.KYUSt_chr2.36106 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223043547:223051842:1 gene:KYUSg_chr2.36106 transcript:KYUSt_chr2.36106 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSLQDSINPKPQSEGIAEEYPRHRLFGADQTVVALSSGARRMSAGDENYEGGIDMNIDRGKEEQRRPGDVQEVEVVTQSTVVWMSLSFRCCNPAALPYSVVRMVSMKFFLSLFQRAEEAITVKPAGKEVHSIEPVARIFRCAIAVAGKALRDSSLIQRDSESLHPPPPSPIQSDWSSSPIRPRLERNQRGVSPPLEHSAAVEERASMMEKRSALEVIETLMTFSAEKRALTATLLWCWWCERNNIREGNRARTSEDLAWTIMCQADAFCKMNVQLKEKPMAATLKWQAPEEGWTKINSDGSFLPSTTTGGWGAVLRDADGDAVACAAGFIPHLQNALHAEVLAADYGLQIAQQFAKGADMAGQPALVTDGLVPCVSDLVASDSASHTV >cds.KYUSt_chr2.48169 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301241838:301242485:-1 gene:KYUSg_chr2.48169 transcript:KYUSt_chr2.48169 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNALPILAAAADGVCLEPRFRGVRKRPWGRYAAEIRDPARKARVWLGTFDTAEAAARAYDAAALHYRGPKAKTNFPVAANAALAFVPPPPKPLAVSPSSSTVESSSRDTPAAAPATTLAAPAPSPALDLSLGMQAMVAAQPFLFLDPSRAAVTVAVAAPTTLPCRAAAINGMAQHKREEEQSDTGSSSSVVDASPAVGVGFDLNLPPPVEMA >cds.KYUSt_chr4.50138 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310658700:310679255:-1 gene:KYUSg_chr4.50138 transcript:KYUSt_chr4.50138 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGGDGGDDDGDDDDDDGDDVQLDDGDDGVDFPLREGISPVDLSLPESSFLSGVFRPAEAVVTLRDYPLELRRSAKDEPASASEESGDEQVKPMPSRLPEPPPPRVAGGARGSYCRGCAEEGSQGEQEEVRPMEMSTNVRPPKLREVIQVPKKELPAEKEVYSIPLSVHCRLMSHRRGSAVDRGLILRKTFNQKFCVDTLRKRGKQRRPENGHLKFVEGRDGKLDAFMEKRRKKNASKDNRYMSYQTDGG >cds.KYUSt_chr7.2201 pep primary_assembly:MPB_Lper_Kyuss_1697:7:12834989:12838310:1 gene:KYUSg_chr7.2201 transcript:KYUSt_chr7.2201 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGTQVIRLRATVEAGEFLEDEPHEFGFPHFHAVSPQRRPPAFLPPHTPSTVGFLILAEEPRRGRDAGGAASIAAPMHVLAVAVFVLIGKWEGRGFFSGAVVCGRHERARCRRQWDRCLLVLSGGSGASSLRHHHYHRELVVATVLASVATIMIFLSTLYAWTMWRRSRRIPHGKGARRSDATRGITLVPILSKFSTVKMSKKGLVAMIEYPSLEAATGKFDEGNVLGVGGFGCVYKAAFDGGATAAVKRLEGGGPDCEKEFENELDLLGRIRHPNIVSLLGFCVHGGNHYIVYELMEKGSLETQLHGPSHGSAMSWHTRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKIADFGLAVTGGNLDKGNLKISGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLMGRKPVEKMSPSQCQSIVSWAMPQLTDRSKLPNIIDPVIKDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPSELGGTLRVTEPPSPSQMHCPS >cds.KYUSt_chr3.22568 pep primary_assembly:MPB_Lper_Kyuss_1697:3:139520034:139524666:1 gene:KYUSg_chr3.22568 transcript:KYUSt_chr3.22568 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKQQQLLLQRKGKTAAEKGAPAAAEKVVVAVRAAIREISKTAIVWALTHVVQPGGSIILLVVIPAQSSGRKFWGFPLFAGDCASGHKTMLDQKSDISELCSQMMLKLHDVYDPSKINVKVKLVSGSPPGVVAAESKRAQASWVVLDKELKHEEKRCVEELQCNIVVMKRSQPKVLRLNLVGSPDKESKGPCSLPPVLDSPAAKTATDVKEPRSSVRGPAVTPSSSPDLATPFGSTEAGTSSVSSSDPGTSPFCASETTTGSLKKEVQTTKDKIQHSDVNISDTDSETLSPSAAFSLQPWMVDILQGSVSARSLGKPPRKTRTATADTLLEKISKLDLLNEISSMRSRSDLNFRGNVRDAVSLVRNAAPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSEANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEERRRLLVYEYICNGSLDTHLYGRNNKETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARHLLEEHAVDELIDPRLGDRYSENEVRCMLHAANLCIRRDPHSRPRMSHVLRLLEGDMVVDSVSVSAPSSDSGSRSWRMANDQPHYQGYSSPARRDSHRVVDRKQPYESLRASWDRDKKSISNRY >cds.KYUSt_chr3.40203 pep primary_assembly:MPB_Lper_Kyuss_1697:3:253422858:253425605:-1 gene:KYUSg_chr3.40203 transcript:KYUSt_chr3.40203 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPRGLPFAVDTWGPSSRRRRHRFLTHAHRDHLAGAGAGAAPGDGAVVYATRLTMSLALRHFPQLDRGEFVEVEVGKTLVVDDPAGAFSVTAFDANHCPGAVMFLFEGGFGSILHTGDCRLTPDCVQDLPMKYIAKKGTENICRLDFVFLDCTFSKCFLKLPSKESAIQQVIACIWKHPDAPFVYLACDLLGHEEILVEVSRTFGSKIYVDMRRSSDCYKALSLTTPDVITDDPSCRFQIVGFYQLYDSASKKLEGARANHQPEPLFIRPSTQWYACGRNQNPSLTEAAQDDFGIWHVCFSIHSSRDELEQALQLLQPQWVISTTPPCFAMELSYVKKRCFKTHLATDDPLWKIFRDPLQKSVSSPSLVLASCTQTDEDSSTSVDNDRPTLASEECTHFDISTLEMQFVPSPPVQEPDITLFGRARFCSQAIDIMKEDLCYQHIPAEETGLCTPVDSLEDYSEDFETYSGMDLISKKAPASQQDLVQAGHEVPSCNCEASLTLPEAFAVQPLPEVQSVTLVVPHKPEKSETTVEPKSPSAAESSSPCRVRRAQTPDYQKDLSFVIGSSKSLNASLKRLYRSRNLPVPRPLPSLVGLLESSKRVKMRSSSDYSSLNSRHSLP >cds.KYUSt_contig_990.459 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000093.1:2312276:2314465:1 gene:KYUSg_contig_990.459 transcript:KYUSt_contig_990.459 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGAAAEDVKTVAVVEVDPSAQAVGGESAAGGSAVAARTTAVESSIIRSVVVGTVVAATADVDAGGDVDAGGDAAAAVKVASDLVEEASSSAAVKTVADLVAAPAAGDVSEVQADMQMEAGEGSRKRKREEEQHVPPPIQELEPVPPPIQEEEEPVPAPPVPAPPVPAPPVPAPPYDSQDSAESVDGGNIGSFKTKLLHEGWIVGAFPFKKRGKYFCPWHKVKPRDGKLNSLRQHCEELSQTGTSKQIRAEHHGLLLVLGMEDA >cds.KYUSt_chr5.9602 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60977049:60977360:1 gene:KYUSg_chr5.9602 transcript:KYUSt_chr5.9602 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTNLARQQAVVIFGRSSCCMCHTVTRLFCELGVNPTVVELDEDPSGEEMTQALARLLGRNPAVPAVFIGGRLTGSTDRVMSLHLSGNLVPLLRNAGALWV >cds.KYUSt_chr4.18970 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119157005:119159379:-1 gene:KYUSg_chr4.18970 transcript:KYUSt_chr4.18970 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEAEEMSSRNAGKPEGNKKAKERVKVKGEAASFREKLDQLMKSKEALTMKTLETKLLITEKKKEVKLAKVEARREDAKLKAELDLRMIALKEAKAMKELLAKERDIMMMCTDGMDEDRLAWWKETKADIMARKKDAREARAASAQEICKEQSDIGSTISRRSSRASGHQGQAGSIELGGGRGQVFASGSQSEAGGATELGSGAIAGIRFCGGLVGGLLEEALPFASLLSMRDWEEAKDSRQLALTTKSSSMHESGQGKIPWRQGPGLRIGGERSSVGRARDRNGNGGPVWRRLLYSARSGA >cds.KYUSt_contig_686-1.1064 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:6539497:6542110:-1 gene:KYUSg_contig_686-1.1064 transcript:KYUSt_contig_686-1.1064 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLETLLGATDLWRPTARGGGWATAAALLLLLASHLAVLLARRYRRGRLIQPEAAAATPASSPAAASPSSGSSTGMEGLVTEDDLRQLVSSLGVGARAPELEGWDPVIAMGNDAVSYKAWCDKTKDGPPKYLSVTTYEGCSTELLRDFYMDNEYRMEWDSTVTKHEQLQYDENSGVEIGRTLKKFPILTPREYILAWRVWETNDNSFYCLVKECEHSLAPVQRKFVRVRLLRSGWCIRKVPGRDACQITVLHHEDNGMNIEMAKLAFSKGIWKYICKMNNALRRYPQHRGASISILTMRRLIKKVGAVY >cds.KYUSt_chr5.39336 pep primary_assembly:MPB_Lper_Kyuss_1697:5:248927152:248929958:1 gene:KYUSg_chr5.39336 transcript:KYUSt_chr5.39336 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAAAAAADMVDLNTLSRRELQALCKLNGVRANMTNLAMVEALHSLPSVDGIDQIGTTLCLPTPGKSAMKSALRTAPASDQQQQGSPLPRGRRVSVKSPEAIRMDAMDEEGDETKRDFVRTPGVALRSTSRRARATPAPLLTPAAGTLRRSQRSTVRKAAAPVEETEVSTAKRSTRKTAIPKVAIDFDQEEEDAAVATQEEDKVQQVEPKGATPDEKCDDPVEEEVTKLPEEGDIKEDEPEQGEEGAAAIEEEEKLVNAEKSAPLSTMEDSPIIGVISKVAPEPDMKNVANSSTEDREVLGSWSPVREIADEINKSSEDKEDIAVEVPEEAVKEDATNSTIEDAAAPSNMAPAAMTEKDVTVDESAEEYNLVAENREEADLTEQSREVGDLDQEEEDMLKADRTIDEESDETIEEAAAPNKMIPAAVTEMEVAVDEVPQADLTDDESADEYDQDRESSKEAELSEESGEVDDLNEEEEHMLKADQTVDEESDYTVEVNGSTDVNFDSDEEEEQLEMVETGEETKEVEDSDSLTGEEDDFNGDLSSEFDGNFSDAETESDSSPVALEGIHVAGVAVSSPAKESEESVITEDTEVPSEEGVVSQHVETIVGSPYKVTIAEEKKEECPKEKKQLKVGKEMSLRKLKSAYKESLIAAKGGKTLTLASDEGSRVALAELDDNAEC >cds.KYUSt_chr3.16657 pep primary_assembly:MPB_Lper_Kyuss_1697:3:102087502:102093761:1 gene:KYUSg_chr3.16657 transcript:KYUSt_chr3.16657 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFSKRQMLADMGRVEENCPSARQLIKSVQQSRRDVGHGIVLVLHCRRGDNLTRCISVMRRFASIGFRPRSEFISLSPYMFPLVMDEVAKDIQGDIPWCMLFADDVVLVDETRADVNRKLELWRQTLESNGFRLSRTKTEYMRCDFSGVGGEDGDGDVSFEGKIVPKRDTFRYLGSMLQSNGDIDEDVCHRINAGWMKWRQASRILCDKKVPQKLKGHHGGIKKLSVQELMFFLLHGVMMTIPEVAVEVEAARPACERGIIYRTPEVESTERALRWGLVAFVSSTRRTVSCAAAMAAIVERIPELEGRFSMHRFWPTDLLLVFDSRANRDDLLIASTNPFDGRDFTLCFGVWNRQLQATRRRFCFCVHLEVVGIPPIAWNLDTARCILGSFGWVERSDTANRADLGTFCNTAWTDNLAGLPRSKQLWLAVFDDDSDDLPLPVEALIVEEVALLDYDTTVHIVRVEDTCGEVGRPSAGGGQGPDPGGDSGGRGNGGGRGPPPDDHRRPPDTRAGAAPAAAPARRWRGGAERRVALGHTTSVRPWPLVVDRVDAPPDGHVAATAQLVPPLVRMYNKGLGRTQGLDVEKLA >cds.KYUSt_chr7.13780 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85095605:85096757:1 gene:KYUSg_chr7.13780 transcript:KYUSt_chr7.13780 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGNAPRSRKRVEATVLKRARDGSAFTRCEACSKNVPVVLIDLHSCSLDSKIRSSLESQVVEKAVEVAAKAEKKRKSPSAAAGKGKKSKAGGDGAKKKRPQTAFFLFMSDFRAEFKAAHPDEKGVSAVGKAAGEKWRSMTEEEKKPYVDQAKELKAKFASGEGSAENNVGDEEKAEGDEEEVEEEEVVDEPEKEEEAEEEEEKNELDDDI >cds.KYUSt_chr6.17757 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111632038:111634376:1 gene:KYUSg_chr6.17757 transcript:KYUSt_chr6.17757 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDPSKLSVYRDRRFTGTQEEFEAALLASLTVYVGNMSFYSTEEQAYELFSRAGEIKKIIMGLDKNTKTPCGFCFVLYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFQEGRQWGRGRSGGQVRDEYRTDYDPDIL >cds.KYUSt_chr6.1026 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6531234:6532349:-1 gene:KYUSg_chr6.1026 transcript:KYUSt_chr6.1026 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAGAWGKPWMSSSAIVNDTLSMSSSAIVADTASGYHLLTIHGYSRTKGIPTGEFVRSSAFTICGHRWSIDYYPNGARAAVADYVSLSLNLDEDVLDAVKAQYDFCIAGEAEEPENVAALASAPVERFPSRTASLHAMFVKRERLERSTHLMDDSFTIRCDIVVVHSYRGASDDAVAFVSVPPCDLRWHLGELLKTEKGADVVFEVGGETVAAHRCVLAARSSVFSAELFGPMMEGNAAGVVVRIEDMNAEVFKALLHFVYTGSLPKPRKEDEDFTYQHLLVAADRYGMERLKLICEEKLCQYINVGSAAIILALAEQHHCVGLKKACFSFLAAPANLRAVVATDGFQHLSKSCPSLMVEVITMSCALVE >cds.KYUSt_chr4.21756 pep primary_assembly:MPB_Lper_Kyuss_1697:4:137002944:137007450:1 gene:KYUSg_chr4.21756 transcript:KYUSt_chr4.21756 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLCCSGGLALGSLSSSNQENFACHDEEKKQQPPKIQSAGMGNKRSRKTAPEIAGEGGKDGRPPPSAAKETALSDKGKSKATFSRIRSCIAAKETRTVEILAFEVANTIAKGSNLMKFLSEQSLRHLKSAVLQSQGVQCLVSDDCGKLLALVGADKREDFQEFAADVARFGNLCRDPKWHNLGQHFSRLDFEQTHQKYTKESAESSMQYMMALAEKTVQLYHGMRRFDISEEMYKKSYQECREGKDDKFSSIQSLLNAVEMEKKFIKDLKKKTLWVKKMEHIVEKLVCVVYFLRSEINSVFFKEQDESANEKGEIQQTLGSADLSLHYANIIFKIKCLRTVEQLTYDMNKTLKWLLPMAESTIRVGSRMLGEWQDKRVLNGNDGGRVLKIQTLCHADKEKTEHYILDMVLALHHLVRTTRARLDKFFDNTSDRSEMQGETDLVETASGNNLSTG >cds.KYUSt_chr4.6868 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40563686:40565503:1 gene:KYUSg_chr4.6868 transcript:KYUSt_chr4.6868 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLKEVTPDLSCPVVLFSYFDPIVRLGTASFAAAAKDAGVKGLIIPDLPYDNIHAFRIEAIKNSLELILLTTPATTAERMREITKASQGFVYLVSVVGVTGARATVNPHVKDLLKEIRKVSDKAVAVGFGISTPEHVSQIVEWGADGVIIGSAMVKQLGEAQSPTQGLKRLEVYARSLKDALPC >cds.KYUSt_chr4.49095 pep primary_assembly:MPB_Lper_Kyuss_1697:4:304088382:304091979:-1 gene:KYUSg_chr4.49095 transcript:KYUSt_chr4.49095 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPAPLLLPEKYLPPHLSPSWRRRQIEVIAHGWPTYGIVAALQQQEAGLFLMAASGAAEEKSSFSLCVDGSFPLIDLDVKLLRLREFRRQGADLDGTFNIVDIFGGKQCDGRSIKPAAGGDLANLFGGMSFGTFTDSDLDSDSESVDSFNFNDKSTLIREVFADRYDGVTNPEDDHSRPTYHQIYVIGESSRLVDETSEAFDDLGNPYIDPADLT >cds.KYUSt_chr4.2434 pep primary_assembly:MPB_Lper_Kyuss_1697:4:13577539:13577726:1 gene:KYUSg_chr4.2434 transcript:KYUSt_chr4.2434 gene_biotype:protein_coding transcript_biotype:protein_coding GLSTTYESEIVFRPQSQSAYALEASRAMFVASAGALSRHVKEAVELWTRVAKNDNLHVSTL >cds.KYUSt_chr4.33344 pep primary_assembly:MPB_Lper_Kyuss_1697:4:204436668:204439500:1 gene:KYUSg_chr4.33344 transcript:KYUSt_chr4.33344 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASSSTQQPSPRRPTVVLLLWLALAFCLAVLSIQSSFTSPRAPSRLDLNSDEVRTLSGFQSRVQQCVASRGLGLTADIVDHCELFLKFPQGTNSTWYNPQFKKFEALQYKFNVCQIILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCEELLSILLPAKPPFHPRQFATCAVVGNSGDLLKTEFGREIDAHDAVFRDNEAPVNKKYAKYVGLKRDFRLVVRGAARNMAPILKGSSDEALIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSVELALSMCDIVDIYGFTVDPGYTEWTRYFSPPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAQRVEDWSDVPSKEEIRRAHAAAFRLKKHETGQAGELGPFSNCKVWGTVDPDYGPVSGTSDMSETRKNSNYSKWELLPLESLRNEAQEHHVQMGGISLYKLDGNTADDLVCVRHERSSG >cds.KYUSt_chr4.18279 pep primary_assembly:MPB_Lper_Kyuss_1697:4:114908380:114909761:1 gene:KYUSg_chr4.18279 transcript:KYUSt_chr4.18279 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDYAAGCCFSLMCQEDGADLGDSFTSNDGGDLLLMYSGTYESENDEEEYMDHLVSKESSLCSSPESSLSCSSADESSPSMASADWFQCARRSTVKWILETRGHFGFCHRTAYVAIGYFDRFSRRRCVDRSVMPWAARLLAIACVSLAAKMDEYQAPALSEFSADGDYEFCCDSIRRMELLVLSTLDWRMGAVTPFDYLPCLSSRLRRGGGGGGGGLVAAKAAALIFSAAEVASVLDYRPSTVAVAAVLAATHGVLTKEALESRMSNLSPSCLLDKEDAYPCYTMMLGDPSSTATNKPTKRSAPDPIDAAAASFSVAAAMNNNKRVRLDLPGTLHR >cds.KYUSt_chr1.23650 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140848254:140854390:-1 gene:KYUSg_chr1.23650 transcript:KYUSt_chr1.23650 gene_biotype:protein_coding transcript_biotype:protein_coding MSILASKGANTNIPELCTLGPSNLTDASCPVKDISSFERMVNVSKLLDSCSSVDPLKECCRPACQPAIVEAAVHISSGGASMFGSSSIPGSTSGINAVSDCKGVVHSYLSMKLSSEVANTAFRVLSGCKVNKVCPLEFDDPSSVVKACDKASSSRPACCAALHTYIATRQKQIFVTNLQAINCATMFGSMLQKAGVGNDIYELCDIDLKDFSLQAFGQQGCLLRSLPTDIVFDNITGISFTCDLSDNIAAPWPSSSSLQSLSLCAPGEKEMSFELEKLKELPTTAIVPAFTLACFYFGFRDVIACITGLTIIRKLSSQVSSKASHIKKVSRHLIPFHEVITSGRGLVVETRLYFYAYYVAKTLTAMVSEGVMMDSLDGHCSLGGSSGGIGRKFRSAELPLNFRTRSGNLVFGPSMFEYGFLRFSELGQNLAGTSGHQKFRPSSARILPASSSDAKASSRNFRSSWPELPVCSRNFRPSWPELPVLLNSSITVRSESSTHINSSLLYWDKLLNHWTKNLPSFTTIRATSRTQDLQDILPQPTKALDLWRFEGEDTDLHLH >cds.KYUSt_chr5.2432 pep primary_assembly:MPB_Lper_Kyuss_1697:5:16431221:16439382:-1 gene:KYUSg_chr5.2432 transcript:KYUSt_chr5.2432 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAARCLILTPTISSSASSCSALLRFPRTVRRRRRALSCSASPFASMDAPPEGYRTNIFSASRIDIPTAWQMPQGGIDPGEEPRAAAVRELREETGVTSAEIVAEAPNWLTYDFPADVRDKLNARWGTNWKGQAQKWFLFRLTGSDDEINLMGDGSEKPEFSEWTWMTPKQVIEKAVEFKKPVYEETLKHFAPYLQLYHNSHEQYKRLEYDLKVELLCHFALCSMEMDMEDDSLNDGYAAHGRIPFSVWGLWDPFTVPCVHLQEEAVAAEAADWEAEATVLAAAAVEEEQDDEDEEEDGDIAWSDDELDPEEQAAHQRAIVESFESQKKLHDNARALEEENDARVRGGVELSLQQEEQRRGGDDAAGHRLLITLRRERWRAANEQRRRGGERRGTSNALPGGQ >cds.KYUSt_chr1.11663 pep primary_assembly:MPB_Lper_Kyuss_1697:1:71877888:71879690:-1 gene:KYUSg_chr1.11663 transcript:KYUSt_chr1.11663 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRKEVQLRQTYMPVSAPYRNTSASRGDDVENIGFAYNGKINRSSEPVYVNQGGDNGVVINSRKCPLGENFVISNESIVPVGKSKRVVVSPKSVLLEKSMTSNLMVDFSSEVVEKIAPQALKNNSSDTNKVSKKPLQSRKVDASSRGSTSSIEAPLVSNKVSIKENAMESKVHMVDEHHEKQQFKVREHKNPFKSKGILETEDHRDNSDAHGRIETPFLSNDTKKQSGGPLVEDHQNDKDNCKSKRKRQGEHGNGTEGTKTVKLVRGRFIGIVDEDEEDIKIVKSARRRYICDEDEDEGDISGDEHNLIIGDNHNTAGAEDGIGGLIAQTAIVELYGSLPIDEPIWSGMLKIGGEGYVPLEAHLLAKSCEKVWECSRSLQNMVEVAKIPRLDAEPKCFRVSRPTEDNIGLYFFPQEMSLSRETLPMGYVQTQRGYGQCRSRTDHAWYTPREWEAALLTSLCGQKRCEQRR >cds.KYUSt_chr1.35642 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217318027:217319582:1 gene:KYUSg_chr1.35642 transcript:KYUSt_chr1.35642 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSHWNGAPSGPAKRVDPSYCWGGAGGDWMCGGAPTAVWIGLDMDSGNGGCNSLVSSGGADSWTRSDRPLNRPARHADRSTGRQPSSKQRAISSGCRPVSRPADRGVRRSVRSTGRQPGANQVTSRSGLSTGLDRLVRSVARSDRALDRTVRPQARLTGFLDSFLSPTFPQRLYLLLGYK >cds.KYUSt_chr1.39469 pep primary_assembly:MPB_Lper_Kyuss_1697:1:241482621:241483568:-1 gene:KYUSg_chr1.39469 transcript:KYUSt_chr1.39469 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPCLAFHSVVDRSTILFSPSAKKPIDGGDIGKLENMIICPTTHGFMLARDPTTFATFLWCPQSDGKIELPPLGLDEIEDDLLVDCTCLLSSNPTSAADCVVLLVEPGDPFIWYCHPGDSKWEEHEYDIGTRLAIPDMDLPEEKVLITPIATCRGKFYFNSTSTSLDVIDFGGAAAPVFSSIPIDDTIDENYGYKSGSAKVFLLESDDELYMVRLLSASVFKPYHRATVLKMDLERQRWRRVDDLCGRTFLLSQYEFGAACEGGVCGLQQDCIYYANRKSLQIFSVKDGSAELHKLDEAPEAADKAFWMLSTGL >cds.KYUSt_chr6.16792 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105624078:105625541:-1 gene:KYUSg_chr6.16792 transcript:KYUSt_chr6.16792 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIVAQPTSWLAAAAANAAAGPGILRRAHAALLTSGHLSSRTSVNSLLRASSIPTACTLLLRFLLLHRLPPDHLSLSFSLHSCTRSPSLPIATLLHSLAVRLGHDRDVYIVNAAVSAYFRAAHVASAERLFSDSKNIADVVTWTTMVTGHANAGDVERARWFFDAMPEKNVVSWNAMLGAYAGAGKLSRARKLFDAMPARNAASWSSMVTGLVQADHCEEALRVFGEMVGKDVMPNESALVSAVTACGRLRSVEHGAWVHAYAERELRGKAMSVILATAIVDMYGKCGSIHNAVRVFTAMPVKNIYSWNSMITGLAMNGCERQALSLFWKMQMAGVRPNDITFIGLLGACSHSGLVDEGRWLFNRMVNGFGIEPVQEHYGLMVDLLGRAGYVREALDFVCSMPVEPHPALWGALAGACKTHGEVELGEEIAKKLIQLEPRHGSRYILLSNIYGASNRWDDMATVRSVLKERKVPKGTGNAVVGIQ >cds.KYUSt_chr7.40666 pep primary_assembly:MPB_Lper_Kyuss_1697:7:252369222:252374652:-1 gene:KYUSg_chr7.40666 transcript:KYUSt_chr7.40666 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVYSREFLISVGESDRCKHLPPGVDLSLLNELQVASSVAFEKSNNNKGPYATPLGRADGSGGYTYSSRGGSSGGRWDTRSTGSSDREGDLPDRELLAQDRRNGNQYKRTWQNTEHDGLLGSGGFPRPPGYAGQLAPKDRGNVPQPNRTSERYQPPRPYKAGPPTRKDVDSMNDETFGSLECSNDDRAEEEKKRRASFELMRKEQHKSLQEKKSGPDIEKGNTGGDIMSMLQNSTESLGSRTNTGKPDGRVASSVYQEDTTKTPSILPAPAARPLVPPGFSNAFVEKKVQSQTSNIALEPKAHAAARVDKMPSIPQFDGQLERNQSAAEPTAGNRNEKGISDNIGVHQKYTLPSGGISSSTEFASKILKGTEDWEVDAMDKYSVEKQSMSKNSGSVRKDSSISILEEFFGNTLSKSGGNLPTYIESQQLNTDADIMVSSVPESSKFARWFRDEDSKPSEDISSKSLFSMIVNNETPGPQNIAPGPQNIAPGPSLSDGAIQNLSSKVPTDKFDASSKLLSFTSPAPAGGIREQHSHGGIPEPVPVMMTCEDLEQAMLAQVGSSSSTQKNDVHRHHAVLDEPTAKQKVAVDSHASHPLLSLLQKGTDNKGSSPFGFHIGSATEPQSSDVNVMTNSRTSGTIPINKTETAPASGKSVTLEALFGAAFMNELHSTDAPVSIRGSGSSHEGYYPGEDARLFNNNENGAAPKEARTGIEYQNPSFSGPSQGTSFDRKGSEIYLPEEDSLFTMNDPFGARKPDILPSLRSSRVEVQNQERAVDDLNYKLQSLVSGDVEHRQVLGPDALGSHPREQRFQTESQNLYHLLQGRQPALAPRPMLDHPGSRSQQGPFDMPQAVRHDPRRSFPANMNPMQHTLNAPGVPHLDPSAHHPMLQRMPGSFPAEGLPRGVLPSQPMHQVAGYRPEMNNVNNFHMHSRQPNYGDFGLMPPGPSGPAVRGNHPDSLERLMQMELTARSKQIHPAMAGPVPSGSIYGSELDMNSGYR >cds.KYUSt_chr4.5942 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34865272:34866141:1 gene:KYUSg_chr4.5942 transcript:KYUSt_chr4.5942 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTSSPRGKDALAQAAAAASSASPPSADAESDPSSASGHLRYYSRFEAQLRVLQSDPATFAADPDDAEDFAAWRREAGFSVDERQEEIEALCYESDAVEGMLDRLVPDAVDAELFWARYFYRVHRLKQQEDARAKLVKRVIAQEEEEDLSWELDDEEPAPEEEIKQASISEEPKHSSNLFSLGVSLGFRPDEISISANVLRQTELDRLTVAPNVSTGPETIVIDDDDDDDILDGQILSAIIGNISEVDLEHAELSSDLQASERGSRSSAGKKSRSFGKNSKSKIVSR >cds.KYUSt_chr5.35412 pep primary_assembly:MPB_Lper_Kyuss_1697:5:223739267:223742204:-1 gene:KYUSg_chr5.35412 transcript:KYUSt_chr5.35412 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGKAAKARRAARVSLWVARASTTVLLWTCVVLLAAAFGERLAPSVLGGVWPGCLTQTLVIVQRPLLLPADERVAVHTAISTTAAANANAVALPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTVARYLNVTLIVPELDKTSFWADPSEFQDIFDVDYFIASLRDEVRILKELPPRLKKRVEMGYLRSMPPVSWSDISYYQNQILPIIKKYKVLHLNKTDARLANNDLPMEIQKLRCRVNFAALRFTPEIEELGRRVVRILRRNGPFVVLHLRYEMDMLAFSGCTHGCSHEEAEELTRMRYAYPWWKEKVIDSNAKRKEGLCPLTPEEIAMVLKALDIDPSYQIYIAAGEIYGGQRRMAALTSAYPNVVRKETLLPSGLRFFQNHSSQMAALDYMVSLESDVFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRKLIVELVDQYKNGTLSWTDFSSSVKASHTSRMGAPSRRQVIPDKPKEEDYFYANPYECLHQPDELSVL >cds.KYUSt_chr4.42445 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262715922:262724459:1 gene:KYUSg_chr4.42445 transcript:KYUSt_chr4.42445 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEEEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSTIPKPGGFPPIGAQIGPFQPAPTPVAPLAGWMSNPPAVTHPSASGGAIGFGTPTNPAAMLKHPRTPSTANPSMDYPSGDSDHLSKRPRPVGLSEEVNLPMNMMPATYPQSHSYPQDDFHKTVARTLSQGSVPMSMDFHPVQQTLLLVGTNIGDIGLWDVGTKEKLAVRNFKVWELGKCSMALQAGLVKDPSVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGTKQFTFEGHEAAVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFVIKIWDMDNTSLLTTIEADGGLPASPRIRFNKEGTLLAVSTIDNGIKVLANADGVRLLRTLENRSFDASRSASETVTKPLINPLTAAAAAAAAAAATSSGAAAPSAITAMNGDNRSLVDVKPRITDESMDKSKVWKLMEIADTTQCRSLKLGDNHRTTKISRLIYTNSGVAILALASNAVHLLWKWPRNERNSTGKATASVSPQLWQPPSGILMTNDIIDNNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKKITGLAFSNVLNVLVSSGADAQICVWNTDGWERQRSRFLQIPSGRPTSNILDTRVQFHQDQLHCLVVHETQIAIYDASKLEPVKQMGFTFATSGRRAGSKGGSAEGHQWRGRGLSDSTGSATWKGWCAAALCAVVLCAASIRSRVLMVSKRAAASLANNGDGPRISLTPSDDLSEASSHRVGRIVGFRKILPTKFIVRSMPNA >cds.KYUSt_chr3.23624 pep primary_assembly:MPB_Lper_Kyuss_1697:3:146106489:146111935:-1 gene:KYUSg_chr3.23624 transcript:KYUSt_chr3.23624 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERKGEYLGKTVQVVPHITNAIQDWIERVAMIPVDGKDGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEENVKEKLSQFCHVPAANIVTLYDVSNIWRIPLLLRDQKAHEAILKVLNIQSFPGEPKLDEWVARATMFDTLQDTVRIAMVGKYTGLSDSYLSVLKALLHASVDCRRKLVVDWVASTDLEDSTKIEAPDAYESAWTLLKGADGILVPGGFGDRGVQGKILAAQYARENNVPYLGICLGMQMAVVEYARNVMKLSDANSTEFDPNAKTPCVIFMPEGSKTHMGGTMRLGSRRTFFKVADCKSAKLYGNVDYVDERHRHRYEVNPDMVPEFEIAGLQFVGKDESGRRMEIIEIPNHKYFVGAQFHPEFKSRPSKPSPLFVGLIAASSGQLGKVLQDCSSDHVVPAKHQNAPYVPAVHQNGHAKKLMNGLSNGTYYPNGNGVHA >cds.KYUSt_contig_7534.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001582.1:78563:79939:-1 gene:KYUSg_contig_7534.13 transcript:KYUSt_contig_7534.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPWEEGHPSARGRYAEHHHHPSAVASRNPFPPPSWLIHCVGAGLPLLPTALIHCVGACLPLLPGATWLRSGDTPKTSSRVCPPNRSASSSAPPSTGSASSTTPTTAGSKLPQSLAGFFYSSESEERFPRSALHFFNVSGEGRPFIYPSFSFLPDRRRLHLLDCCNGLILCRWYDISTTRDDEFSYVVCNPATEEWVALPDRRQSGEVGTARLGFDPASSPHFHVFVFLMDARGYISGVDLYSSETGRWVYKEKGWDEDVTLAEPQSATVFLDSYMHLCTACGRISAVDTKGETWSSFHTPCAPFFGLIQQSQGRFLYATFNYGQYNDDDYIPQKNNDDDYEEWQLKVYALEDCANKQWILKHRVGLLNLEAFGGALQGAFDWVAIHPERDSMFYIQGTYGDNMLMYYSMDGKPATEVRALGEAAQPPYLPYVPLYTKLESLRKWHQDGTETADDSM >cds.KYUSt_chr1.21997 pep primary_assembly:MPB_Lper_Kyuss_1697:1:130023508:130024995:-1 gene:KYUSg_chr1.21997 transcript:KYUSt_chr1.21997 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDSSSGPVQQSAAPWALFWPMATANRNFPPRVLSPAMRPVGRAPLRPVAMVRLGSCSGVAAVDEVLRLPDEVPHLEVPVCCLTAAWTAKFCVPMPVVHAATDALQLEIAPFRARYLVWTIPTLHSFPRPVEMEPGRKVRNALPSCEAVTPVAETVILMAQKFNTTGGVPEVWLCCLASPTCYCRYLPTPVSSGNTNIDDVQQICDDCHQRDDAPSI >cds.KYUSt_contig_1537.350 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000161.1:2140702:2167500:1 gene:KYUSg_contig_1537.350 transcript:KYUSt_contig_1537.350 gene_biotype:protein_coding transcript_biotype:protein_coding LLFEMLRSTRKDSKSAWKVLIMDKFTVKIMSYSCKMADITEEGVSLVEDLYKRRQPLPSMDAIYFIQPTKENIVMFLSDMSGRSPLYKKAYVFFSSPIQKDLVSQIKKDLSVLPRIGALSEMNLEYFPIDSQGFITDHERALEELFCESAEGFNKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASTMTTLRDMVPTKIAAGVWNYLSKYKTSIPEFPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLFMDGNKYVHEVPSKNGSASEKREVLLEDHDPVWLELRHAHIADASERLHDKMTHFVSKNKAAQLHQARTGAELSTRDLQKMVQALPQYSDQIDKLSLHVEIAGKLNSIIREQCLRDVGQLEQDLVFGDAGTKELINFFRTQLGVSRENKLRLLMIYAAINPEKFESDKGTKLMQLAGLSTDDMIAVNNMRCLCADTKKASGGGFTLKFDVHKKKHGHRKERTGEESAWALSRFYPVLE >cds.KYUSt_chr7.18931 pep primary_assembly:MPB_Lper_Kyuss_1697:7:117340553:117340957:1 gene:KYUSg_chr7.18931 transcript:KYUSt_chr7.18931 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAQAFFSAPATPPLLHGGHRTSVAFFPRGSPPAAALSLSVSAPASPWTPAANPKYHNAKADAGEEDVDAGELLRRFTREVARSGVMHEVRRRRRHEDARDKRKRKSRDAAWRLSRRCVPLLDSIACLIP >cds.KYUSt_chr5.19012 pep primary_assembly:MPB_Lper_Kyuss_1697:5:123061701:123064037:-1 gene:KYUSg_chr5.19012 transcript:KYUSt_chr5.19012 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRHEGATQRQPDAQSEVGACSGTCLVETCAESVDQIDGSATRAMVWMTCRRSESLATLSVMSRAMSAKENRRWRPCRRRRPAVTQTASHMHRVQPCPTVDGGGLAEAETTWASRPRQPTKAGAAASQRVDRGGRCRADDGGELVRSQRRALPRSRSGSDVNNSSVADGQTGEKPKAPKRGGKAPVPAKKKTVQVTNPLFEKRPNQFGIVGALPPKKDLHRFVKWPKVVRIQR >cds.KYUSt_chr7.30027 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186910477:186915169:-1 gene:KYUSg_chr7.30027 transcript:KYUSt_chr7.30027 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKERRLAAKASSGRRVKLDLFLDPPPGEASVKEGVGGENREKQTGVPTSPSSSDKKENPLALLGQYSDDEEEEGAVDQPIGEAKGSPADANSKVIHDQDASGDKGTVDSELPASIGVQQEVSQADDVKIFTENVAEEITVVPEPTPENECVTATEAIPDSSGMQIVGDIGGNWKAIMHEQSNQYYYWNTITGETSWEIPNALASGVSADGVTSASMPTHMEYSVEAHAHVLPHSNGEAYPNDVSVGNGTATYSSMGMVCGSGELTHNAYAYTGAVASHESVNIDPLQLAKFGEGLLQRLKLLERPHVAIDSIELIKREIEMRISDCNALSSYGSSLLPLWLHAEVHLKHLELSVSKFEASYTTKPGYLETADAEYKAPNEAEVMADENVNVEEPCSTSLVQNPQEVAAAVVSKVESESDEDMDVEMEVDEESVEEQGGPASVPNEEHPSSEQVRSPTLPLLDDSAPPPQDDGIPPPPPPEEEWIPPPPPENEQAPPPPPEPEEHVASYAQADTLPQPYVDQANLGYMLPGVEYYPAVGSDGTNANYYMQVSESHILQSQQHSYYAPVSTSSIPIPVDATSVPPVPGSYYGYPSVTMAATEVAAESSGYYASSTSAISSGALDGITSSASVVDTNSNVNPMESDKVISKEPTFAPLGQSVGAASASGTTVHGGSTRASASTTNQTKVPRTKKRPVAVASSLRSNKKVSSLVDKWKAAKEELRDEEEDEPEDALEAFERKRRKEIDGWRKQQIASGEAKENANFVPLGGDWRDRVKRKRKEAKKESKAESVAAAEQHKGQPDLTELSKGLPSGWQAYIDESTKQVYYGNSLTSETSWDRPGK >cds.KYUSt_chr6.5084 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30057532:30061808:1 gene:KYUSg_chr6.5084 transcript:KYUSt_chr6.5084 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHFLGKVPNTQDFERHAQRDAHSKHWLPLLTSHQAPMENGGEAAKWRFGAVNPALQAAGRHNLRSLVHDLYDRLDKSDPRPVVPLGHGDPSAFACFRTAAAAEESVVAAAMSGKHNSYARAAGVEEARSAVAAHLSRELPYEVTAGDVVITAGCNHAIEVMMAVLAAPGANVLLPRPGYPMYQSRASLCGLEFRNFDLLPAKGWEVDLDGVEAIADENTVAMVIVNPNNPCGCVYSYDHLAERPQGSLESLVISDEVYDHCTFGSKPFVPMGVFGEIAPVVTMGGISKRWMVPGWRLGWIAATDPRGILREKKIIESVIGYRAISADPATFIQGALPQIIANTDKAFFENAMNVLSEAAEICYQKLKEIECVTCPHKPEGSMFVMVKLDLSCLDGIHDDVDFCTKVAREESVVICPGSGLGMKDWIRITFAVDPPLLEDGLERIKSFCKRHSKPRRLTCQCPMGSIWVAAFRLVWRRGHILGWWGRRLNTILLEQLNTIEFVLLDYYCYYCCSSVVFFWNLPNSVHD >cds.KYUSt_chr2.1955 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11739191:11739538:-1 gene:KYUSg_chr2.1955 transcript:KYUSt_chr2.1955 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFNAFKAKVPVEWSPRLYITLVRGLPGTRKLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETEEMYNARKLADEQRRAPRPPLVVSHAPPPPKAASAAEAGN >cds.KYUSt_chr2.26448 pep primary_assembly:MPB_Lper_Kyuss_1697:2:162034026:162038070:-1 gene:KYUSg_chr2.26448 transcript:KYUSt_chr2.26448 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRISSKAEVAQGRASHEEAGFGFSTASTTGSHPNMDVVQASAPRGMEEHHPDTISSTLVSWMRASPLGEEQVGLDLEVEGHGVDECGVLVPGESRMAAHEQYRGAQEERGGGRRWRTGYTTKQGLYTHPDIGIQKMCCVEANLGLPTRPPTALAIPVRAGHFLLTQMADINSTIRYPAYSSTHKSRGDAYMLYSLAIVGRTLTDRRLTTLWNPCCTAQVSFALDFKYKELINIDNSSVRSGYDLGDEAMTTDIEADDMVDHQVQMYEDDLGDEAMTTDIEADDMVDHQVQLCEDDLGDEAMTIDIEADDMVDHNGNELISLSP >cds.KYUSt_chr2.28032 pep primary_assembly:MPB_Lper_Kyuss_1697:2:171977337:171980116:1 gene:KYUSg_chr2.28032 transcript:KYUSt_chr2.28032 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSALSTNIMQQNHRLNSGPIHGNGAGFTPASMERPNGLIPPQMPPPLQQHGVHPIQQSSPQFSQLHAKMLGPRHSPPQNLQMFGPQFPPSQMRGRSKYMSTEEIENIARMQQAATHSNDPYIDDYYHQACLARKSAGGRLKHHFCPTLIRDTSARAHSLAHFWMLKKPPLQAVAFLKNASKTLDQEPMLDARITIEDGLCHLLDADDITRLLQFCQQQDGGDGASIVIMSVLDRATELLTDQHVASTYSMENRGLWQASFDAFFRLLTEYCMSRAHLKSSGAAVLLAAAPPGRDGLFCRVTFFVVGRPSECERGSAASRSPGNFCPASSSRALSYVPPPVTPGSYAPLPVAPPSLGFGTPEPTMALALPYGSTPPPPSGPAAPSMLVPPIHHQPFPAHGLPPVVTIASSITIRLTSENYLFLRAQVGPLLRSHILMGYVDGSLPCPSPHVVVSHAGAMHHAPNSAHQHWTQQDQAILSGFVSSMTEGVLGMIMFAGTSREAWETMSGAFASTSIARSSAIRQEMAKLKKGNKTVNTYFHQMKALSDSLTSIGEPLRDAEFVSYILA >cds.KYUSt_chr4.42348 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262073030:262073711:1 gene:KYUSg_chr4.42348 transcript:KYUSt_chr4.42348 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPGTETPAGDVEMGNQAAESPAMNQQQQQLGRQQPTPWAGNNANTLLVVATLITTLSYQIGTSLPGGYWQETKMQDGKMLYRAGDPIMRDLQNARYWVFMVASWAGFVSSTLMTLSLLVGIAVDSWQVRGSFLVAYSSLFLTFAVTGNRSKLPLDILLWAGSLAFFWGAIYFRPEHRTYIVRVLRFFKPATTSTH >cds.KYUSt_chr2.12441 pep primary_assembly:MPB_Lper_Kyuss_1697:2:78824166:78829181:-1 gene:KYUSg_chr2.12441 transcript:KYUSt_chr2.12441 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSSLLRSCDELRDREEVLDLGQPAMATKKMPPVVFEGGREGFAAASPWSESRLNSYSQIYILVMDWSLVVVMTHAYGSRSGVRYVTGGLKVYYVPWRPFLMQNTLPTLFMTFPIIRTILIREKISVVHGHQAFSTLCHEALMHARTMGYKFTLADIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPSPNRLCCDEIIIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPEDMVRAVRKAINILPGIDPQIMHLRMKKLYSWDDVAKRTEIVYDRAMQSSNTDLLDRLPRYLTCGAWAGKLFCLVMVINYLVWCLLEFMQPAEGIEAVPDIGPLDIHLDSVDDKCEAQGN >cds.KYUSt_chr3.49195 pep primary_assembly:MPB_Lper_Kyuss_1697:3:307554398:307554631:1 gene:KYUSg_chr3.49195 transcript:KYUSt_chr3.49195 gene_biotype:protein_coding transcript_biotype:protein_coding MYHDCIRARISERVDNAKLVKEIVAKKSKIDKNYNSLVVEVKKMMDDAEKRAVKENLACTKDNTSTVEKELGEIERK >cds.KYUSt_chr3.25973 pep primary_assembly:MPB_Lper_Kyuss_1697:3:161410894:161415696:1 gene:KYUSg_chr3.25973 transcript:KYUSt_chr3.25973 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTSVSSAPSSRLPSAPPPPPPSPPLSFPSLRRRDLLLLSASPLPLALCPASAPARGLFRMPPPALANRYFLVRAGESVYEGQGLLRTNPVAKTSVDNGLSPAGLRQAARAALELRRLGACEDDCWIWPSITQRAYQAAEIIAAANSINRSKIVPEYSFLDARGLGAYEGKRLEALPEVYASDNISPDIKPPPTSDGTPNESVADVFVRVTQLMSILETQYSGDTVVIVSPDSDNLSILQAGLIGLDLRRHSSLFFQPGEAEEVVPQKAAAAAAAAAESPAGSPQKESALTIAKLLSAEDPAAKEAKTATDKVAPAVVVTEPVAAAAGGGGGGGVGSKRWLLRGVSGKGRRTELQKAELGFRVSAAVFCLVSLSVMSAGTTPGWAGDSFRRYNEYRYTLAASVMAFTYSGFQLVAEVHYLVTGRRIIGSPWGNYFSLAMDQILAYLLLSASSAALSRSDVWVSRFGVDQFAKLINSSASMAFLAFIALGLSSIISAHRVFSSVS >cds.KYUSt_chr4.6607 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38797057:38805544:-1 gene:KYUSg_chr4.6607 transcript:KYUSt_chr4.6607 gene_biotype:protein_coding transcript_biotype:protein_coding MCEIRNLKNPNHFLRTDRAGQAGVIWPPIGSLTLTVTVLARVPLPPTHFRLQKPRGRCAPLSHHQLAIPFPSLPFPSSLVLIHPPPGLSPAPPPMAYRGGGGGGVGRGGGGGRGGGEQHPAYGGRGPPMGGRGGGRAPTGFVWPPPGAGTSTPRPSSSSPYQPATAVYHNPAATTPHVGTYQHGVIYRTPAPSPAAVTIRAPSPTPARVSAPPPSPTPSTATVAKELEQKLFVTETALAPPAAAAAAAVAASQPGQPAAEKAPEVDLAPVSKKGLAHPARPGAGTAGKKVMIRANHFLVNVADNNLFHYDVSINPESKSRQTNREVLSELIKIHGKTSLGGKLPAYDGRKSLYTAGSLPFESEEFTVTLVDPEKKDKERAEREYKITIRIAGRTDLYHLQQFLCGRQRDMPQETIQVLDVVLRDYVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVQEFLNLRDVSRPLNDRDRVKIKKALRGVRVETNHQTDQIRRYKITGLTSVPMSQLIFPVDERGTRMSVVQYFFDRYNYKLQHTNWPCLQSGSDSRPVYLPMEACKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIREMVLHNKYAEDKFAQEFGIKVSSDLALVPARVLPPPMLRYHDSGREKTCAPSVGQWNMINKKMINGGTIDHWACLSFSRMRPEEVYRFCVDLIQMCNATGMSVCPRPVVDIRTATPNNIEHALRDVHRRTLELIAQQGKGGQLQLLLVILPEVTGSYGKIKRVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKAGGRNTVLERAFVRNGIPYVSEIPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVTKDPKKGTVNGGMIRELLIAFRRKTGRRPERIIFYRDGVSEGQFSHVLLHEMDALRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGKRETADKSGNILPGTVVDLMICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGDSSDGGSTPGGGVAREGPAEVRQLPLIKENVKDVMFYC >cds.KYUSt_chr6.25949 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164502203:164505509:1 gene:KYUSg_chr6.25949 transcript:KYUSt_chr6.25949 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSFGHLEWVPRRLAGFLGDGEYNYAASLVPMKEEEEEEEEEEERDDTEDYIGYLAYLMDKAKEQGNVDELPIMSEVVDEDETMRLAMKQSSTDIWLAVCAAVKSACSLASVAPEDVVGLGFAATCSLVAVDADGSPVSVSWSGDARRNIIVWMDHRAVDQADRINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMGQWKESDSRDMEACGWDEVFWEEIGLGELVEGNRAKIGRSVAFPGHPLGSGLTPAAAKELGLLPGTPVGTSLIDAHAGGVGVMESVPDAESKADC >cds.KYUSt_chr3.33174 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208171939:208172511:1 gene:KYUSg_chr3.33174 transcript:KYUSt_chr3.33174 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRRRRRRANEDEPRRILDVGAPRQNLLGQIRGYYLDAISRLPTADLNTTLARGLLVAGHCYGALHPVHNILLNAIWYSAAFPLRPGDRIDVAVINDTAITRIVQRSLDGLVALLRHRCPGLSHDDALWHLSLSGADLRAAVASARGAAPSLLPPTEPEAVQAAATAARHPKPAALALFASSVMPSGT >cds.KYUSt_chr2.4557 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28196104:28200725:1 gene:KYUSg_chr2.4557 transcript:KYUSt_chr2.4557 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFGWRHLFFWVQITIFPEIPPSKELVLKGPRPSLVSRTPTEVQKRVLTGRMVRRSSGESAQGKDGAEAAVSLSAQAKQEPRQLFRCHYCRRQFYSSQALGGHQNAHKRERTLARRHAGVPLALEWDDRAFAIHGEAAPNDLPRWLRASNVCRTTAAVAGKDEGERRWIDGRSVAHYGDGDQELPNLDLTLKL >cds.KYUSt_chr2.2909 pep primary_assembly:MPB_Lper_Kyuss_1697:2:17194592:17197885:1 gene:KYUSg_chr2.2909 transcript:KYUSt_chr2.2909 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAIEEYIGFMSRGGRGPGGDELRREAKERLLSSGWTFHMKRKYDGRQELRYMAPHGASYISLIVACKKYQQFSFSSTSHPPKSRNRSGKGAAASPAKPSGKKRGRAGSGGGKEADAGGSDEECSTATGPPSAPRTKKRRRVSALYAGPGPQECGLCSRTGPMILRGPIFCLFQSLQIFNPAKVILLGPRILPARPWLYAGDALRKSAAAKKKNASAASRVLQPRPKDGDKNQVVAGAAALVPSSCQPSRARTILTVLMEKNILRPTAKLSYRRTTRGPAVKQGTVTGDGHIRCLCGCGRAFTVAEFAAHAGGKATTERASAHLFVGDRRSLSQCLVQLMRADGRKNRGSPTPSPGARVKRKCTTVQEDGDCVCSVCADGGEMLLCDCCPSAFHHGCVGLDATPQGEWFCPPCRCAICGSGEFEPAPDEFTDKTVICCDQCEREYHVSCIRSRGDQLECCPQGPWLCSQKCSNIFQRLQGLVGRSIPTSVEGLSFTLFRSSQLPEEEAMAAEEHGKLRMAFDVLHECFVTLIEPQTQSDLSHDIVFNRESWLRRLNFRGFYVVGIEKDGELITVGTLRVYGDKVAELPLVGTRFAHRRQGMCHLLMNNLEKLLSELGVERLVLPAVPELLQTWTESFGFQVMSQSDKLEIAEHTILCFQGTTMCHKFINKAVAPRR >cds.KYUSt_chr1.25732 pep primary_assembly:MPB_Lper_Kyuss_1697:1:154655117:154670382:1 gene:KYUSg_chr1.25732 transcript:KYUSt_chr1.25732 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLDTSLEAQTTNSAIALVGKESAHPFGIRSAAGPIEVARNDAGAVEDVENSAGPTEVIDLNNTPPPKAKRKKHRPKVFKPPKTPKSAIPKPAKAKEEKSSVKRKYVRKNTPACQPPPEQTGDSHSRSKQKSAKRCLNIDGDVPRENTHPRYQAQMVSTDPKDYEPSESSTSQSNVQTRSPFVGFTTSSMYSSANQMAGAQLLPADNTETPIYSSANEMANAQFLPSRYMPKGILLDLNRSTDPMQNEYANFVDRPSQFLLSGITETLQKDPLLEVCTGMPGKNLPDLNSSISLMQGMPTNFTEYLLSSPQVSARETQIDKQMLNCHRIPENSNTTAQCSEGVAMRENFNPNPYSREAWATDQMPHGYRSTQNPISPPKHIEGHSMVANLNELTSIDSYLKFMTSSYMQTGAAPGPHASRGSCHMHVLDTRGEHNSSNGAHISFGVNPEQQRNGWTSVDACHAETSQGPYFPENYKRMRTENHSNGLNGAVGNISTPSTYLSNNRNKNVVSAINSNVFTLADAQRLIAREKSRASRGMISFGASGHNMGKRPEMIQQHYRHAMHGTACRDSVEAPDEHFRLITEKCAQLPSNPNTLRNQGYNARTGSQQLHFLEGNTVKGSDLPAELHKHNTSPLDDTHNSFCIGPSDELARSINGEKIGSPVIPTTQSKGNDTLEKNSCQLESSGEVIRLLTKPINSSPGTDVLRNENQQVEVSGETTAAKASEKRKVGRPRKEIKPGEMPKPRGRPRKEKVVGTELKSKDSNTDRLQNEDTCSVSGYHAVEAPGFRGLNTERSGESFPGAMAPPVDPLDLIIQKIKVLDINKSDDTGSPEPHGALVPYKGEFGAIVPYEGKVKTKPARAKVNLDPVTALMWKLLMEPDMVDGSEGMDKDKEKWLDEERKIFRGRIDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPAKPEVSRIPASRMFHAMSEENGDCSRLFGDSVKLQGSIFVEEASNTTASLVTTEEKEGSNSIGPFGNSPGDGVDCAAGVYYSSYGALPVRLHESKTQGTESVVEAEDVALEDVVSSQNSAISSLSSPDYLFHMTDHTFPSTHVNFTAEDFAGRNMPNGMSNSTTFTELLRMQELKSKFNEKNGLWEYDRFSEPCGNKGSMPSEVHHLISNRQPLHASVSSYQNGQAHLPDITHASYLEGSIYTGLNRTDDSDAIPAETRFDCPLSSPGIDCDKTKMADSLTALLYDIDGSLSQDKIHFPSATTRGVDFISPIMDKYFHPPSSETVSFAKEQSIENNLSRNDAIPAFVKQHVTLNLQEEFTTKAMQIGGEKYQSGCSQQYGNVGLLKNKDAIHFSSNLYQSEKANSELQAVASDLVEKPKDSKKAFPEVLADKPKTKKARVARKKRTYDWDILRKEVIANRGNEERGQNAKDALDWETIRQIDVKEISDTIRERGMNNMLSERIKAFLNRLVTDHGSIDLEWLRYVDPDKAKEYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSANPIAAESCHQPYISSRPVNQLDWNAHAHDNVLDNRQPIIEEPASPEPEPETEDIKESAIEDLFLDDPEEIPTIKLNFEEFAQNLKNYMQVNNIEIEDADMSSALVAITPEAASIPTPRLKNISRLRTEHQVYELPDSHPLLEGYDQREPDDPCPYLLSIWTPGETAQSTDAPKTSCNSYESGKLCDSSACFSCNSIREAQAQKVRGTILVPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPVDVPRRWIWDLPKRTVYFGTSVPSIFKGLTTEEIQHCFWK >cds.KYUSt_chr3.9979 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58768605:58769084:1 gene:KYUSg_chr3.9979 transcript:KYUSt_chr3.9979 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHTCRHVRRPWAVASLWARPSTCFGPGRHGLDSETDWATPSSSSSALKYKTTQPPPEPHGIPRPHGAYVMGGKRKAEDEPEESDSDDDDSGDEIISDEEFQAILAESRARTVKECSEMLARLGLREDAGIRYDDGYTDDEEEEEDGDVDEAAPGSSG >cds.KYUSt_chr7.30870 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192261376:192264583:-1 gene:KYUSg_chr7.30870 transcript:KYUSt_chr7.30870 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTEKSGADLEEQEDKNQPSGHDQQPSFDARRFSPEAHVDSSTDALAAMANTFPPGLWNHPPGQGFGLGESNSSAMVNGHQFSSFLGMLSAAAVPAYSGAPSGFMDCGTGFPGLSGGNLGAMMDHTFPRNQPLGSFQNGREPSMEMGVDVGCNDASLTGDRQHGDAEGSHGADASNNELSKPECSGGASQDDRPSVSCAKKRKKPCQVIGKAVMLDEIINYVQSLQRQVEFLSMKLSAVNPALDFNIERILSKDFFQSQGTASSAFGFLPDIGHQFLHPPKHSQAAFHSIVNSTDAFGRVTNPMQGTSSTFKEPTHQVPSNFDGEFHNVIATPFTLFNDQESNGHAVAEKAVHIFASTLLGMVIIKDIN >cds.KYUSt_chr4.28697 pep primary_assembly:MPB_Lper_Kyuss_1697:4:180314037:180315567:1 gene:KYUSg_chr4.28697 transcript:KYUSt_chr4.28697 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTQFLLCVLVALFLTVASEVAAARKLAHDANTNHHPRSLRPKQLQIDALKREDDTRAPPSTDHGGSRDYPGAFPDRMTKHHLGDTFKKEAAPKAVAIAGLGQLPAGQPPRTRH >cds.KYUSt_chr4.12356 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75468047:75473318:1 gene:KYUSg_chr4.12356 transcript:KYUSt_chr4.12356 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEESSMKKLRQDSPPATAASLLTDDLILEVLSHLPARSVRRFKCVSTAWRDLIADPAHRKKLPQTLAGFLYNKYKPGGALSGHFASVSGGALPVDPSLHFLQPNKFKYIALEDTCNGLLLCACYNKETPDSDKDEYRHVVCNPATERWTELPPPPQALANRFYSTTRLAFDPAVSSHFHVLQFWQTISGVKNCARGVDIFSSKTGAWIHRNSGLLEKIALFRRTRSVFFCGMLHLVGRLNTINVDKESVLMVLVQVDMEGKAWKTIHLPIGSSFEAIGLSQGCLYYAGSTTQTHISKKNTVQPTKITLWCLENHDSKEWVLKHSASINEPLGIIWVEFRVFAIHPDCNTVFLVPIGGDMLAYDMQHQKFVDILNLEEDNVRLSRLLKGLVGLAIGVMSIAYLHLIPAVFCSTIGQPKPAPSRPTLPLLRPPCPGPDPASSASARPTSPQPEPVPPRRPRLASAAPVLAGLASAPARAGPASAAAAPAGRPRLRPLLAAAGPDLAAAQLGLQHQIQIWFQKKMAYSVSGYVSIPRCPVIFDGTNYGEFAAFMRIHMRGLRLWGVLTGEVPCPPHPTAHVPPTPPPVPQALADDAPQAAQEAARSAELAADVVYEQQVLAYSEALGSYRDSLATFTQWCDEDAKAAVVLSQSVQHSLLLSLWVLLLSLRCGLTFEHGLQQGDSTVDEFYTQSVAIWRQLDSLRSAVCGTCQCCRTVRSDMDFQRIYEFLSRLRPEFEPNTASEY >cds.KYUSt_chr1.28549 pep primary_assembly:MPB_Lper_Kyuss_1697:1:172461491:172462351:1 gene:KYUSg_chr1.28549 transcript:KYUSt_chr1.28549 gene_biotype:protein_coding transcript_biotype:protein_coding MEASHVHSPTLSSSETIMAALLSSTPAVQFPSLARAIAADARRQRGRLAFLLLSPPHFARALARLRSMPLPAKAALLGRVLLRSLLLLLPALSPDGSHHLLNLPTPDLDAALLLVAMCDSYSPAASSTSPVDWHALLVDDVVRNALSISGLGATPWAAVAPYLDTAAKCRRFADAVSEDRDVAGGGSKDVGGRGGASHAAVLALPTAGGDGTPCAICREEMAPGRDVCVLRPCGHRFHWPCALRWLARRNTCPCCRAELPAEDALAETRRLWRAVERMAGDSGGCA >cds.KYUSt_chr1.2049 pep primary_assembly:MPB_Lper_Kyuss_1697:1:11800724:11801224:1 gene:KYUSg_chr1.2049 transcript:KYUSt_chr1.2049 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVWETAFPERVGEVAGKTAADAQEGRLAAAAVHAAIGGGASTTERRAMIVRLAEEALGRAAKDLAVSKSALLDGAAGQDARLRAGEACDALELCCDRLLLVDLLLDPTTGGPLPGADDDGFTDGDRDVRLRAATLEKAMEMADDCASLVRRARQDASGDGAVL >cds.KYUSt_chr1.19771 pep primary_assembly:MPB_Lper_Kyuss_1697:1:116283929:116291847:-1 gene:KYUSg_chr1.19771 transcript:KYUSt_chr1.19771 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLASKKTLAAALGGARPLATRGVATFTLPDLPYDYGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVSKGDASAVVQLQGAIKFNGGGHVNHSIFWKNLKPANEGGGEPPHGKLGWAIDEDFGSFDKLVKKMNAEGAALQGSGWVWLALDKEAKKLSVETTANQDPLVTKGANLIPLLGIDVWEHAYYLQVLLPSKTVAIPYTCGSSQSGKPPQAPAHPEQAAILASLNAQRYQRLKEEQREFVNDANLEHVLEISSQRAATEEAGCRLMLAERQKLAELNTHRH >cds.KYUSt_chr1.37665 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229931953:229939351:1 gene:KYUSg_chr1.37665 transcript:KYUSt_chr1.37665 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSRKIAAANGSAAAASPWREAWAPYHARYPVPPDMRLPSSGGWKMAVNGIGVPPPPKPRTDQWRDAIKARRAQLTAEERLDPTWAVNDNDAGGRRTSERSTTSRCTKTDNLVGGPNSWNREGRALFWGVPGRTLENVIRGLRNGAPRLEMPSSPPPSPQWQPRRTTYSSSSHSSSSGPARSTPSSSYRSAPYTVPKREPTTPRTARGLRAAFLASMDDKDAWRGDLDAANAMSIRDSGKPLVDLTDDGEAGPSGLVKDEPVDEPVDERVKQEVVTDEMYNFQQYYDASGRRKCIVVDQQGGSVPPASNSRLSPLSHEPAGFYNVDIPMDSTKDKKKEKELQAMEADLNKREKELQRREEAASRAGIVIEEKNWPPLFPMIHHNISNEIPIHLQKMQYLAFSSFLGIAACLFFNIIATTTAWIKGEGVMIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLFYMIHIIFCVWSAVSPPFPFKGNSLTGILPAIDVITKSAIVGIFYFVGFGLFCLESLLSIAVIQQVYMYFRGSGKAQEMKQQAARGALSSAF >cds.KYUSt_chr4.48232 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298647050:298650354:1 gene:KYUSg_chr4.48232 transcript:KYUSt_chr4.48232 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAALPFSCSTTFQTLTRNLYPRHPLLIPRRRLHHLRSLAAVPTRPRLRRPISASAAPNGSSSTSEYDYDLFTIGAGSGGVRASRFASTLFGARAAVCEMPFATISADDLGGVGGTCVLRGCVPKKLLVYASKFSHEFEESHGFGWTYETDPKHDWSTLIANKNTELQRLVGIYKNILSNAGVDLIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPNIPGIEHVIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQPKVLRGFDEEVRDFIAEQMSLRGITFHTEESPQAITKSNDGLLSLKTNKETVGGFSHIMFATGRKPNTKNLGLEEVGVKMDNKGAIVVDEYSRTSVDSIWAVGDVTDRINLTPVALMEGGAFAKTAFGDEPTKPDHSAVPAAVFSQPPIGQVGLTEEQAIEEYGDVDVYISNFRPLRATLSGLPDRVLMKLIVCSTTNKVVGVHMCGDDAPEIIQGIAIAVKAGLTKQDFDATVGVHPTSAEEFVTMRSPTRKVRREAAAEVESKDEVVTKQ >cds.KYUSt_chr7.39267 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244288284:244289048:1 gene:KYUSg_chr7.39267 transcript:KYUSt_chr7.39267 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAAGAEASCGSEEEGLTLLGFWSSPYVLRARLALNLKGIPYTYVEEDPLGDGTKSALLLASNPAHGGKVPVLLHHGRPVAESLVIAEYIDEAFPDRRPRLLPSASDPHKRAAARFWAACVDQKMVPAWSPVFRGRTLEERVKAAEELVAVLETFEGVLAGGRMEFFGGESVGLLDVALGGFIWWLRSSEAMCGVKTIDPARTPLVAAWAERFSALDGVREIAPDVPRLAEYHLAKRAGFGLPFLPLHQPPQ >cds.KYUSt_chr6.25920 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164300670:164301732:-1 gene:KYUSg_chr6.25920 transcript:KYUSt_chr6.25920 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARRQCGFTVALIAALLMIHCTVVPAAAADSAAKAPAKSVFAAGMIAVLLIQYCAVVPAAASAASVSAATARSADSKVGGWLPPIPTEPLPPPPPPPARRSPPPSPPHHHHSAGPAEPSAA >cds.KYUSt_chr3.22234 pep primary_assembly:MPB_Lper_Kyuss_1697:3:137179440:137181059:1 gene:KYUSg_chr3.22234 transcript:KYUSt_chr3.22234 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKPLLFLALLLVPHLAAAAAINGANDQQRRRLLESYADDGSDVYVDPSYEFRNQHLRDAYVALQAWKRAILSDPLNVTASWSGPDVCAYYGVYCAPSEHDSYVTVVAGVDLNHADLAGHLPEALGMLADLAVFHVNSNRFCGLVPDSFHRMRLLHELDLSNNRFVGGFPDVVLRLPSLRFLDLRYNEFEGPVPSELFDRPLDAIFINSNRFRFKIPDNVGNSPASVLVLANNDFGGCLPASVANMSGTLNEIILMNTGLKSCVPAELGMLTELTVLDVSHNQLMGAIPAELANLKSIEQLDLGYNRLVGDVPEAICHLPHLQNFTYSFNYITGEPPVCLHVKALDDRRNCIPYRPDQRSPDQCHFFKGNHVNCDAFSCKKFVPPSPPPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSASPPPPYYEVSPEERYLSPPPPPYQEPTTPPHYDIPSPSYHEVPPPAYHDDAPPPPYYEVSPEDRYLSPPPPAYQEEPTTPPHYDLPSYDYSSPPPPTTMWKLPAYDYSSPPPPATP >cds.KYUSt_chr2.27594 pep primary_assembly:MPB_Lper_Kyuss_1697:2:169546432:169549809:1 gene:KYUSg_chr2.27594 transcript:KYUSt_chr2.27594 gene_biotype:protein_coding transcript_biotype:protein_coding MADARYNKFPVFLLASPYSPSPIAVFQEGAYVQPSKPTPSPPELGVGGGGLFEDTSPAMRRGPLAFGAMAAPNEEEIHEMITSGTTAAAASPGFFMTAAAACPGFFTQEETRATAAVAARNEHREDVADGSQAVEEEGEEEEEPTQAAANLSKGKKKRKKDSPPAEPRIKWTPKEEECLAEAWMTVSTNGIIGANRSFDTYWLRVKQAYEERKLVDPYFNKTNMNVFRETRQWPPIGGSCETACEQMARHTGGVRETADQRPRHGAKALDMYTDDTSLQFKFLNVYARLEKCEKWKEVRTTLSKSKTEQDNPDAPAASAAEGRPELGRKKLKELKKTGNPADRMQSSIDKCWADLRTHADGRTRHERHCRRAAGDGRGGGPIHTGMELSAVELGNGRKRARPEPDHQGDEHGEAKRLALVPWPPHQQNPSSRIYRVSRGSGGKDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGYDQPSKAVEWLIKAAAAAIDKLPSLDATAFPNHPASANNTKPREQPAPAAAHPDADADASHLQQQLTRSGCSSTSETSKGSVLSLSRPDSRVKARERARERSASVKDKDARDDSLVARRAPASAQAASFTELLTGLAAAAATPPVADHKQHTSSSWQHMTASATADYLGFTRKPGHGGMPHTFASPAPHLANIAMAPPHHFTLTPAGQQQPEMTHFSFLQDHFMPAHAAAPAHGGPAGVDYNLNFSMSSGLVGVNSRGTLQSNSQPHLSSHHHHQQQQLQRLDAPHIPFLFSPAVTVASPAETHFTAAAAALQLWDGFRHSDIKDKGKN >cds.KYUSt_chr7.15349 pep primary_assembly:MPB_Lper_Kyuss_1697:7:95266854:95267639:1 gene:KYUSg_chr7.15349 transcript:KYUSt_chr7.15349 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVIRSVWAENFNAESQVLHQVATHAQHVALNVQYPGCVVVHSSGRHHRDLTADERYEVIRANVALLKPLQVGIAIVTVDGQRFAWEFNLRGFDVESDEEASDPKSIAYLTDCGVDFNRLPRDGIDVCTLRCLLQDSVLLKARPSWATFTGAYHVAYFVTIMSDKKLPDSVDAFMEMVRELVGPLYDVKRLAREHDGSCVGALSNVVKKLAVMPPSEEISMSKPAGTGSMLALLAFETLKQKLGTNTEKYCHELCGLQAI >cds.KYUSt_chr4.53178 pep primary_assembly:MPB_Lper_Kyuss_1697:4:329331748:329333281:1 gene:KYUSg_chr4.53178 transcript:KYUSt_chr4.53178 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTIAAATALLFCLLAADGCCAKNSNKTHHAPPSPGTSPPAPVSSANATDADTGGWLDARATWYGAPTGAGPDDNGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVAQNHPACSGVPETVIITDMNYFPVSRYHFDLSGTAFGAMALPGRNDQLRHAGIIDMQFKRVPCLYPGLTVTFHVEDGSNPYYIAILVEYEDGDGDAVQVDIMDSRDGMTSTGEWVPMKESWGSIWRVDTPRAMQGPFSLRITNESGKTLVADKVIPADWKPNAIYRSVIQFN >cds.KYUSt_chr6.17606 pep primary_assembly:MPB_Lper_Kyuss_1697:6:110793957:110795644:1 gene:KYUSg_chr6.17606 transcript:KYUSt_chr6.17606 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWCLVAPAAAPGALGVCVARAAVPSRRRRRWDALVVCMAPDEEKITRRSPLDFPIEWEKPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEAQPQEEPQEDDPDKEDPEEDDPDKPTE >cds.KYUSt_chr2.45943 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286759215:286760536:1 gene:KYUSg_chr2.45943 transcript:KYUSt_chr2.45943 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRVEVAAGAVAVGVRSVADRSTSATGAAAVGNGGEATPEANAGDGVAEMMGRLKLTAKEAKVFVLDDSNQDIFGGPKWAIVGKVLAPNTLHLDTIKAVLKASWGNPKGMMVRSMGPNLFLAEFESQTDMQRVMAVSPWMLGKNAILLKIFDPRVKATDVVFDKLLLWVRIYDLPFPLMNLERGTTLASNIGEVEKIDVDEKGRAWGGYLRARINVDVTEPLMRWVAVESTSLKKTVYFEVKDEEGKLPWSSERVCIPEDKKKHQRSFSGHGSHSGQGSSNRPAGAEKKNPEVTSPAKPRKPRARKDPSADKGQTSEPKVGGRKRKQVYKAKAPAPLAITNFIVNPVNGALVTEGNVSVGAVEEAGIGRASSDDSNKKQKNSDSGATIRSADQAVAVDQPRCTQ >cds.KYUSt_chr7.21211 pep primary_assembly:MPB_Lper_Kyuss_1697:7:131376423:131377230:-1 gene:KYUSg_chr7.21211 transcript:KYUSt_chr7.21211 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSFLVLAALLALVPWQAIASDPSPHQDFCVVDKKSAVFVNGFVCKNPKDVNADDFFKEANLDKPRVTNKVGSNVTLINVMQIADLNTLGISLARIDYATLGDVFVFPEGLIHFQFNPNPHKPAVAIAALSSQNPRAITIANAVFGSKPPISDDVLAKAFQVEKKTIDWLQAQFWENNHY >cds.KYUSt_chr6.3013 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17696957:17700523:1 gene:KYUSg_chr6.3013 transcript:KYUSt_chr6.3013 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAFAAVTSIELPDRLSHHHRLIDANAGTVMPLPPSTKPEPAPSLPSTSALSGLQSFPRSHHQAAFDLAAKAPVASLPVINMVYQYARFTKAEGATAAIPSLSTDALYRWHLPHPGARSGSSDDRSQTVVVLLGWLGSKQKHLKRYAEWYTSRGFHAVTFTLPMSDIVSYNVRGKAEKNVEMLSEHLADWVREEDGKKIVFHTFSNTGWLCYGVILENLQRQDPSAVHKIKGSIVDSAPVAVPDSQVWALGFSAAIMKKHSVATKGAISNDTRSDVLVVESQRDLKPAATEAVLLSALEKFFDVVLNYPAINRRLSGVMEILSSNQPNCPQLYIYSSADRVIPAKSVESFVESQRKRGGSNQPTTSIVCSAAMVSQKAIVARYARAWVHSAGEWLWLIAKQSMLPIF >cds.KYUSt_chr2.4887 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30197697:30199076:1 gene:KYUSg_chr2.4887 transcript:KYUSt_chr2.4887 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVMFPWLAHGHINPYLELARRLTAVTSHLDVVVHLVSTPANLAPLACHQTDRINLVSLHLPSLPDLPPALHTTKRLPARLMPALKRACDLAAPRFGALLDGLRPRPDVLLYDFIQPWAPLEAAARGVPAVHFSTCSAAATAFFAHCLQNERVPRAFPFEAISLGGPDQDAKYTALLAIRCDGGTALVPERDRLPLSLDRSSGFVAVKTCADIERKYMDYLSQLVGKEIVPTGPLLTHGGGSEDENGGSDRIMRWLDGEQPASVVLVSFGSEYFMSESQMAQMARGLELSGVPFLWVVRFPDAEDDVRGAARSMPAGFAPARGMVVEGWVPQRRVLSHTSCGAFLTHCGWSSVLESMAAGVPMVALPLHIDQPLNANLAAEVGAAAARVQQEWFGEFTAEEVARAVRATVNGKEGEAARRRARELREVLARNDGDDAQIAALLQRMARLCGKGQAVPN >cds.KYUSt_scaffold_6468.724 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:3465525:3465830:-1 gene:KYUSg_scaffold_6468.724 transcript:KYUSt_scaffold_6468.724 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRYVDFGGADPVAAGNELTFLGPAAATWGTSVMFWVHCGIVSPVAAAHRILRSHYADRRRFRVQPAVPRPFIYARMFYTEDADALHGKIYWVGDTRVLH >cds.KYUSt_contig_1467.406 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000149.1:2250986:2254327:1 gene:KYUSg_contig_1467.406 transcript:KYUSt_contig_1467.406 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPMVCYSAETMNTLIDKVAKMENYSNATEEFSDLAQEFKKAVLSLKEDFFHNLALPKVCMKQVRELVFEIEDWIDQKPVTNMLVPSDMEDIRNFMTEIKEARERFTWYYDLLKVVPTEPDVAGVATSQTSNINIDINSWLLVEEKPCHGLLDGPRDELIQHLTDGKDEMRKVVSIVGMEGLGKTTLAKQIYSKLLLRRQFECQAFVYVGRRTSMTMILKDILRQLNPVSKKWQHWRVVDVQEIITELWEYLCTKRCLQPLDLSNVRLDDEASLVGTPVTAVTAYRSIIVVHQHCQSTAGAATFMFARSI >cds.KYUSt_chr3.17311 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105973549:105977298:1 gene:KYUSg_chr3.17311 transcript:KYUSt_chr3.17311 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQWTPPFRRLGAEPRPGTLQPCCMRRCLVFQIAQAGGDVPSILRRFLDDARVAFVAYNIGSDCRKLRDYHGLEVACPQELRAVTGMGNASMEWDGRRLSKNQVIYASIDACISHCIGVRLDVKPDTDEYTDSDYGSDAWKPDSSMQRVENGGGGGKSLPLGRAWPAVSAALFGTGFLLGPLLDGIHSRVGLQVYANGALDWGPLHSHILVRFNGGASSHPITSLSIRFFGDDLISSCLFVPAQVPPLLGLFYCTVGMLQLFLDEKVLPAKSKATGSPRDTATSLIVLALFIDLSAEMYRAGVPSNVEAYVLFAVAEFVWLFLESSWLGFAIACLVGVACPLGEVPLIKLFECWSYPNADVLLFGTGLMSWTTTCYFVYTPFLVNLARLLKSRLAENDAGVKEE >cds.KYUSt_chr6.20657 pep primary_assembly:MPB_Lper_Kyuss_1697:6:130280575:130281787:-1 gene:KYUSg_chr6.20657 transcript:KYUSt_chr6.20657 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVIGWIERVPRGGFASLRMELLQLVADRIEEVTRPLRDEAAAIKLWLARAAGSWERAEEVATCGVGRAPVGASDARLREAGLLEFFGPFSPVRRPCDSSPLGFDVFRLPLEGCPDLAPLLPDAVDDKVASPECLQSPIPDDVEGFGLAEFFVEASSVERSRLEASAFEHDDVVDVLAAPLVPFVADPEVADSTKLCDFLANLASKKRALMSPSCESLEENPAASVVVSETVPAEDIQVDPGDPAADKLNAFLSSELLARVLGILKENAEFDDNALDAFIDKFKTPLSPRSITMLGSLVKNVEKMKKPKGNKVGAKKKAAEIT >cds.KYUSt_chr4.43683 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270758897:270761095:-1 gene:KYUSg_chr4.43683 transcript:KYUSt_chr4.43683 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSGFFNKRSFLVVGRYCAVEFLPAGRGGEGRRRSSEVFFASTRWWIWILLHQRAYHAEAMVASAFFGRNGDPSRCLDGAQLNLHFGGPSQSFPSESSSFRRQVVIRMATHWTREWSYLLPEAQRAPMDSGCIRLEMVARAIYNQGGWRLFKRLHDA >cds.KYUSt_chr2.54645 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340934872:340937813:-1 gene:KYUSg_chr2.54645 transcript:KYUSt_chr2.54645 gene_biotype:protein_coding transcript_biotype:protein_coding MALASIVVIALVLILDLLAFVLAIGAERRRSTAVVTNDGAGRFYCRYTTAADFSTLTFVIAELCLLAGSVRNAYHTKYSGYFINGPPHCHMLRKGVFAAGAAFTFLTALFTELHYIFYAKARSAAAATPPIIHGGGIGMTRI >cds.KYUSt_chr3.43140 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272695805:272696122:-1 gene:KYUSg_chr3.43140 transcript:KYUSt_chr3.43140 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERVRRLASERAVVIFGASNCYMCHAVQTLFTQLGVSWTVHELDKDPRGKDVERALAGMVGRSPPVPTVFIGGALVGPTDRVMSLHLGGQLVPLLRQAGALWL >cds.KYUSt_chr3.8348 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48182250:48190145:-1 gene:KYUSg_chr3.8348 transcript:KYUSt_chr3.8348 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSPQELVVNANLEGRYTSEHFQEIKANLPCFAHATSIEMNLQNVCFKSLKPGEFSRMERLSLPRLCSTVDIGTFVTRCPRLRVLKVTLSTCKMTVHSTSLQILDVNWNSNTECHGIDIVTPVLKQLHVKVADLLSGVDVLWSFDADPSSTSSSLLCGGAVGVFPVLSSEGGGVCRQDRIIKLGNKGPCSSGNSSEGDEISMLFLCRPYRHDGAPRDLDFIALDWTLELTSCSCIYVFRVRRHPHASRRRAGLDMVAIAGHSILFSMAPDRDPAKESRNPWKPQPHILSDISTMSRD >cds.KYUSt_chr5.40778 pep primary_assembly:MPB_Lper_Kyuss_1697:5:257438061:257442158:-1 gene:KYUSg_chr5.40778 transcript:KYUSt_chr5.40778 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYYDVDDILMEEEPISAVFQVTANGVGLLDPGAERNSVEKGAKVDLPFWLAHGMLSLEQAVSINVPPCFTQKTRKEIQADAACVDLRVRCPYFYELGCKIVPLVSDKSIGQFLRYAFVSRYKEILSKSHSSSTTTVPKFTPRLTKEEARVFDSARESMTAFKKWRVGGARLQKASILGRKRKTKLPDGSSTS >cds.KYUSt_chr5.41674 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262933977:262934756:-1 gene:KYUSg_chr5.41674 transcript:KYUSt_chr5.41674 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRQGSDSDGEDDSFLYRYPLPTSAAAGASGANGGGGKPGRGGSGSGGLAPSKSTVYVSNLDFALTNSDLHTLFSRFGKVARVTVLKDRASRRSKGVAFVLFVRREDAAAAAAQMHGKLLNGRTLAASIAEDNGRAAQFIRRREYRDKSHCYECGGEGHLSYECPRNQLGPRDRPPPSKKSRRGAGASGGGGERDGPSWQSDDDDGVAAAFEDDRWASVVDTRGEDEKAAEKTAEKAARKEKRKGYFSDESDEDAD >cds.KYUSt_chr5.20851 pep primary_assembly:MPB_Lper_Kyuss_1697:5:135393448:135394256:1 gene:KYUSg_chr5.20851 transcript:KYUSt_chr5.20851 gene_biotype:protein_coding transcript_biotype:protein_coding MISKVSMDLVLVPCGLAIMVGYHLLLLYRILRHPHTTAIGYENHNKLAWVQRMAQATAPEETALALSVISDNISASTTLASLCIALGSLIGAWVSSSSAPVAALTSTAAVKFTALLLCFLASFTCFIQSAGHYVHASFLMSALQGGPDAPPASHAQRAVIRGGNFWALGLRALYFATALLMWVFGPVAMLACSVLTVAVLCLLDTSSMPPHHHQYLPRSTARSRAARERSQSLGALF >cds.KYUSt_chr3.46444 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292219736:292223833:1 gene:KYUSg_chr3.46444 transcript:KYUSt_chr3.46444 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATPPRRCLPEEIVVWEILVRLPAKSLLRCRAVCCAWRRATSTRDFLLSHHGRQPTLPIVWGETVSGFKYDDASACYDELLALDHRAADAKLQSVARFDESCCYYMASCDGLLILYKLGLTETFFICNPVTREHAPLWIPGDFGISNVLGMYLHRPSGEYRLLLHGRSHMPKATIGCYVFVLGSNQQLRYIEGPDAAGALQFDTPALACHSLHWYATNERRLAILVFDTTTESFREMHAPAGPTKSSIFESSIFEMDDKLGFYGYNDANKVLDMWVLQNYEGEVWVRQYNINLPVEEILGLYGCWNDDSYGSVVSVDGDVLLLVSHGGWLFYVDTDGKVVDNFHRYGQQIYASYFRLKQTLVPHNFFTTLEDHAANDGVKQREDFILFVDFLAAYDILKTGQEPGQLCDKHYGNLSLEPVEGRVQHAVRLQWLGAAATSGDSNAEAKSIIPASPSDELSQGPCVSDLKEKDQHHSSCSAPGKESRELLAGRRPWQRPQVKQYRCLSTAASRTRSWYGRSLLRCRAVSPAWRRATSTRDFLLAYHARQPSLPLLCGYDLSGAGDRVALDLLPFDAALGHLQSVARLGTSFFGLEASCDGLLVLSGLYRNFGFSICNPATHQHARLPHLDGLTVSILMVCNIKNKLASFFVDSFSIEFLWSRSTHCYLVA >cds.KYUSt_contig_3828.33 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000743.1:179244:180103:-1 gene:KYUSg_contig_3828.33 transcript:KYUSt_contig_3828.33 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSVMFSGNGEVDACVGDASGIGEEQVCVGETSVKGDDDACKGEASVVLSGKSRNAVKRRGTAEYPCVKRLRERRLLSFLHDQGFHGAFQATHACWFTSIDRCCRLVDKTPVIFRVPHIRLLVEQGRWADALRYLNAYLPPLVENHTRSRRAQIFYNFLWMHYRFANAVAGNRENQEYLERRYGKNSRSSTLAELRFRRIAYTILASEPHQLVATYDWNQVRQHASFLVDYLANTTPELRRSMPLTSRYMMPQHVLPIGSGR >cds.KYUSt_chr6.20733 pep primary_assembly:MPB_Lper_Kyuss_1697:6:130724185:130727252:-1 gene:KYUSg_chr6.20733 transcript:KYUSt_chr6.20733 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLPMKLRKVEPRGKAAPGIGGRARVLVTVTVLGSAGPLRFLVDEGESVTGLIRAALRCYAREGRMPLLGADAANFLLYTANGRSDALKSDERISFNGCRSFMLWQKTVPNVVVDDNGSESALANSSPGRKGTGGWKFGLNKILLNFSFKLPACLEDSRDRIKDDVAYGRLRPLLPRVRGQQHDRDHNVPFSSDSATRGSGAFRFPDLNSSPDLRRTEGHVTYGTGLPRHLFPDDGRHTHQVFGSASGVSMGEDEIAAGEEILNGFIRGHAYEPPVDEYEEEAEEDNEGKYYKRILDSFNEKKNYGDYTTINMNRNEGALSHRWNMIKAAYSKFHGYYEKIKAWKESDKTMVDWHQHEDKVFPFMHCYNKLQGCKKWDDLLHTLLKDGEDGPIDPAGASTGSPIGNKKAKAERNVAPVLAAMDASLEKMITSFSVENK >cds.KYUSt_contig_686-1.75 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:550639:551601:1 gene:KYUSg_contig_686-1.75 transcript:KYUSt_contig_686-1.75 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESEIAFEFVPIIRQYKSGRVERLLPANPVPPSVDAATGVASKDVTVDPATGLWARLYFPAGTTDARLPIVVYIHGGCFVAGSAADAPDHAFLNRLCAGARAIAVSVEYRLAPEHPVPACYDDGWSALRWAAAGSNPWLRDRGDRDRLFVVGYSTGGNVAHNVTLRAGSSESDSLPLPRGARVSGLALLHPYFLSAGADGETEATQAWLRAKLEELWGFVCGGRTAGLDDPRVNPVADGAPSLRRLGCGRVLVCLAEEELRPRGKAYHDGLLASGWPEEDVELLDSVGEDHEFFHREPRSAKALALMDRLVAFIVGKQ >cds.KYUSt_chr1.4266 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25983833:25985758:1 gene:KYUSg_chr1.4266 transcript:KYUSt_chr1.4266 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCGDEDTQRAPDNRNQYPGSYPARTDAYRTADPTPKGSQPVKVQPIAVPTIPMDEIREVTKGFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSSKQPDQELLAQVSMVSRLKHENVVELLGYCLDGTTRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWIQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARAAHPGEQHAGR >cds.KYUSt_chr2.55520 pep primary_assembly:MPB_Lper_Kyuss_1697:2:346329722:346332473:-1 gene:KYUSg_chr2.55520 transcript:KYUSt_chr2.55520 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPGGALAFPSSPTSLLLSRSRSAPDLAAAPTRPGRRRRWLVAGVPAVAGVLAASAPASAAVLRDGGATLLVTAGAYSLVRAFDALTERRLIEQNLSRKIVHVLSGVLFMSSWPLFSDSTEARFFAAVVPFLNCVRLLTYGLGFFSDEALVKSVTREGKREELLRGPLYYVIVLLIIVLVFWRDSPIGIVSLSMMSGGDGFADIIGRRFGSLKLPFNKKKSWAGSVAMFISGSLLSAIMLFYFSWLGHIQVSWDQALDITSVIQYMVAGKELLRGPLYSVIALLIIVLVFWRDSPIGIVSLSMMRSGDGFPDIVGRRFGSLKLPFNKIARMISYFSWLGYIHVNWDQALGKLVLIALAAYSLFTCS >cds.KYUSt_chr6.14327 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89631076:89633680:1 gene:KYUSg_chr6.14327 transcript:KYUSt_chr6.14327 gene_biotype:protein_coding transcript_biotype:protein_coding LNDVFYSIGSNRNMHKLTLDGIDVMGERLAQEVIEEINKRPQIKKISFVAHSVGGLVARYAIGRLYRLPRQALENAPQSLCDNNSGDIYGLEAVNFITVASPHLGSRGNKQVPFLFGVTAIEKIACCVIHFIFRRTGKHLFLTDNDDGKPPLLQRMVDDCGDLQFISALQTFKRRVAYSNVGYDHIVGWRTSSIRGCSELPKWVDSTSKIYPHIVYEELTKAEIIGQCADIAVVDKDNCTLEELLLRGLKHVSWEKVDVSFHNSKVRSAAHSVIQ >cds.KYUSt_contig_1253.492 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3020348:3024353:-1 gene:KYUSg_contig_1253.492 transcript:KYUSt_contig_1253.492 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDDDDDDVDPGFNVVDNYHFEDGDGDPVCFSVLPLKFGEIGEVACLDSKKVHLQGLADGLLLKVHKEVVAWRVGLDNEQPKILALSSEGKWIELLKPRKCYENTVRSILITVQMLHFVRRWPRKRKTSLLDHLSEVFSKFDNKPSEYDLKKNRSIIKLFAEKDPTLMKSKILQMFTENTSRNIREAVRTKEPTVMSSSDDEEDLDNDSDDDYSGQEDNRDADYSCDDDDSDDQDNDDEKSNDDYSCNDDDSDDDGDIGNVGDDDGEAGAKASQGDGGAPQRLGAQGALQGDAFEAALLEAKHQLGRMSRGKQRQSRQEEVHHIHTPDTTKAPREAVMEAVHCFPSGRGMHQWEESPEAWPELGRPADEGAAADLARVGEVA >cds.KYUSt_chr6.6671 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40244745:40245824:-1 gene:KYUSg_chr6.6671 transcript:KYUSt_chr6.6671 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMQSVHALGAHPPELARSAHELPGATTFSGAGAPPEIPVIDMSSPHAGRAMTGAAREWGIFQVVNHGVPASALSELQRVGREFFSLPQAEKQRYAMDPSSGKTEGYGSTLQRDGPDGKKTWADFLFHNIAPPSAVNHSVWPENPVGYRAANEAYCGHMRRLTRTLFERLSAGLGLEEGAMEEAFGGDEVIFLQKINFYPPCPQPELALGVAPHTDLSTLTVLVPNEVPGLQILSNGRYKAVLHRTTVSKEKTRMSWPVFVEPPTEHVVGPHPRLVTDEYPAKYKAKKFKDYKYCKINKLPQ >cds.KYUSt_chr2.46492 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290659572:290660978:1 gene:KYUSg_chr2.46492 transcript:KYUSt_chr2.46492 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSMESVAFVAVPFPAQGHLNQLMHLSLLVASRGLSVHYAAPAAHVRQALARVHGWDPKALASIQFHDLDVSTYESPAPDPTAASPFPSHLMPMWETFTAAARAPLAVLLERLLATHRRVVVVYDNMNAFAAVEASRLSNGEAFGLQCVAISYSMGWLDREHKLLRDHDLHFLPIDACTTKEFTEYVFRTAGEVRDRGVVPSSGLVMNTCRALEGEFIDAIAEHTEFKGKKLFAVGPLNPLLDASARTPGKTRHHCMGWLDAQPEASVLYVSFGTTTSFRVEQIAEMAAALKGSKQRFIWVLRDADRADIFAESGGESPHEKLLSKFTAETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKLILAWPMHSDQPWDADFLCKYLKVGLLVRPWEKHSEVVPAAAIQEVIEEAMVSDNGMVVRHRAKVLGEAVRASVGEGGSSSKGLDDFVAYITR >cds.KYUSt_chr2.53471 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333603531:333604148:1 gene:KYUSg_chr2.53471 transcript:KYUSt_chr2.53471 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKLSAVLLLATLALSCSPVALACGSAGSGTACTTTSNVRLPKQPGPGVGAVPTELAAVPIDLGPLAPVVGGLAPVVGSVAPVVGSVAPVLGGGAAPTVGGAAPMVGQVAPVVGGLAPVIGGGVPGIGAVPTSLATVAPVVGSSGIPGVGAVPTKLATLAPVVGGVAPVVGSTAPVVGSAAPIIGGGTVVSTSRKIRHGGRKA >cds.KYUSt_contig_1539.3589 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000162.1:14701060:14709737:1 gene:KYUSg_contig_1539.3589 transcript:KYUSt_contig_1539.3589 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAVIELLCNGLADTNRDDWFVRVNKLIALVNSRPTASQCAPRSPARGTHLGAPGFRIASSPPQVLPTDREIGRREVARPREDARVILERGRKCLGRAIKEITTADRGVHVKGRRVNNVTWPFLRKRMRYVTWLDKIPKISNKEVVTAFSSSVAHLGVKEALALRKLTTTVKLSRVVNKYAKAKDEDLRLRIGDLSSTTRGRPRDELSASEPRSKRRDKATGRTLGGLSQPLSRKESSSSVARGSPFVARAWAGGASEGAFLSTELGVRENPGGEDGPPLLGLASDTLPTTPLGRPRVRATDASSPGLGSKDLVLFLLHLGRPHPRFTDTALEAATPAVVSTFGSFPNALFVSIAWRRREDNNGREVKDWGFAMAEEARKPLSAFCSLYDARERFLGCRDGTRRFHSRDALNVFYGGFALSLNMVPYVLQIDEYSDRLPLMRINKSQGGLYPRMQLCLALVFLASSRVDLRHSCPSPGERELSGKSPTQGRHYIKTDKPSSWSNDTSSSPSTTPRGTSTKTQAMETKTLERELETMKMELQRQCRNFRPQDRNFRPDSSKMPPRCPPAQGKSIGPELPPRGTGTSARSELPPKFRPSSESPSKRPWMLLQGNGLISELGQNLAGTSGLAGTSGPEDRNFRP >cds.KYUSt_contig_1163.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000111.1:56170:56664:1 gene:KYUSg_contig_1163.17 transcript:KYUSt_contig_1163.17 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRSRSGTGSAVPNPNTNSTSSTNPNLSSIAGCRAHATFTNPNPNSPAGSSVPNPNPNSTTGSHIRSSAPNPNPTSRSSIPNSNTNSTSRPRTHSTGPNPNANATARCRRAHSGPNPIFNPNPGLDPNLDAAHGLAVCAQHAAAAGGRVPAAAQGARAPRVA >cds.KYUSt_contig_2655.52 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000439.1:296143:296981:-1 gene:KYUSg_contig_2655.52 transcript:KYUSt_contig_2655.52 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEFNGHQFQDDDGGGGGGPGAIAPFVAKTFHMVSDPATDAVVRWGGASNTFLVLDPAAFSDFLLPAYFKHRNFASFVRQLNTYGFRKIDPDRWEFAHESFLRGQAKLLPLIARKKKKAGPAGSRELCEEEAEEVRGTIQAVRRLRDERSGMEEELQAMDRRLRAAENRPGQMMAFLGKLADDPAMVLRAMVAKKEEMAVAGGDGSSPEKRRRIVAEDEAGRSADGAEVVQSRAPPFPFSAMGKVFY >cds.KYUSt_chr1.29597 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179223410:179223931:1 gene:KYUSg_chr1.29597 transcript:KYUSt_chr1.29597 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFFASAVDGVGTQNAPKHLHFYMHDGYTGPKPTSVLVVNGTGQPLKGSGGGARFGDTVVMDDRLTEGPTLASRVVGRAQGFSVTASQGDPAMILAMNVLLTDGPYNGSSLTVMGRNDIAMPERELTVVGGTGAFRMATGYVLWKTASWRGRNAVLELDAYVYVSPAAARA >cds.KYUSt_chr5.36897 pep primary_assembly:MPB_Lper_Kyuss_1697:5:233370713:233371033:1 gene:KYUSg_chr5.36897 transcript:KYUSt_chr5.36897 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFRARGLMAGFRAAALPRGIMAGLRAAALPRVLIAGLRAAAIAALQAAGGGLFSARVAAEDDAADYHGAVAAESHFPGDGDGADGHLNPRSRSKRTVDDADGRR >cds.KYUSt_chr6.14719 pep primary_assembly:MPB_Lper_Kyuss_1697:6:92163023:92165940:-1 gene:KYUSg_chr6.14719 transcript:KYUSt_chr6.14719 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSSLSRSVKEIRFLFCQSSPASGPAREFVKKNYGDIKTHNPALPILIRECSGVQPQLWARYDMGVERCVHLDGLTEAQIDKKLEELAKQGAHKAK >cds.KYUSt_chr1.5237 pep primary_assembly:MPB_Lper_Kyuss_1697:1:32136383:32137507:1 gene:KYUSg_chr1.5237 transcript:KYUSt_chr1.5237 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEIPAKRPKPSDGGGEDRLSDLPEDLLLRILDNIRDVPIAARTSVLSSRWRRLWRLLPVLNFPFPTDPQHIRLALQSHEAPVLWILQAVVLDGNPDSMAPWLLIAAPRLFGRLSLTSRASQNGSEEDMADERGAFELPCFQNAASIRLELGSLGVSMPPLGVFARLNDLSLVCVQLHGPCMLGDLVSSPRCPVLRKLIIKEASGLGNLAIHSDSLIAISLKDVHLHPADALGLGHVTIESKSLLQMGLTSVHSLQQLTVTAPALEHIYVDSCFADYRARASRHNQPVANICAPRLKSLYWHDAYDPSSTQFGNIENLETLGAYLFRVYGRDDYAPNNYLLRLLKRFQLFLNIRLMLLYLPVSSFFMSVMPSL >cds.KYUSt_chr3.22482 pep primary_assembly:MPB_Lper_Kyuss_1697:3:138962627:138965092:1 gene:KYUSg_chr3.22482 transcript:KYUSt_chr3.22482 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPVLLHAPFSYHRAPTLPRTAISPQPTRLAAHSSRSHAYARLRTNAQADELRSHAAALQDCAVRRALRCGQELHARLLRSALQPDTFLLDSLLNMYCKCGRLLDARRVFDGMPRRDVVASTAMVSAHATAGDSVEALNLFCQMIQEGLDPNGFTLASVLKACSVGSHSKFTHQVHGQVVKLQELDDPYVGSSLVEAYTSCGEVDAAEAVLLGLPERSDVSWNALLNEYARRGDYRRVMQVFDKLAESGDEISKYTLPTVLKCCVELCLARSGQAVHALVIKRGLETDNVLSNCLIEMYSRCLSADEAYEVFARIDEPDVVHCSAMISSFGRHDMAWEALDLFVKMADMGVIPNQYTFVGVAGVASRTGDAKLCRSVHALIVKNGFSVAKLVADGILNMYVKAGAVQDATVAFHLMREPDTFSWNTFLSGFYSESTGEQGLRIFRQMACEGFSANKYTYVGVLRCCTSLMNLRYGTQVHACLLKSGLQSDTDVSRVLLDMYAQSGCLTSAHLVFDQLKERDAFTWTVIMSGYAKTEESEKVIGYFRSMLQENRRPNDVTLAVSLGVCSDMASLGSGLQLHCWAVKSGWNSSVVSGALVDMYAKCGSITDAERLFYESETRDQVAWNTIICAYAQHGHGYKALDAFRQMVDDGKRPDVITFVGVLSACCHAGLLDEGRKYFRLMSSVYGITPTMEHYACMIDILSKAGRLVEAESLINMMPLTPDSSIWRTILGACRMHGNTEIAERAAEKLFELEPQDVSSSILLSNIYADLGRWSDVNRLRNMLLDRGIKKEPGCSWIEINGQIHVFLSQDGYPKY >cds.KYUSt_contig_1307.85 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000126.1:588079:591531:-1 gene:KYUSg_contig_1307.85 transcript:KYUSt_contig_1307.85 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGSPVRTGSGVLHGDFQISDLAGEVGRPPPAAAACGTTSAPGRLTARGWGKFWAKGGPLAAEELLAEVEAVSESEEDPTSDGSSPRRPSARATLGGFLAQALDGEVGAGRRGQRRRASFAPGGKISRFGDREPLPRVRGALRWDDRRRGGDGSRTRGSSLGAEVLEPSTPDGEETGAARRGPPEREAPRSPGSESGPWAMATVDVGPGPGCVPGPEGLDQPFLLLGPPLGGGDNGVGAPPAVGLRLASVGLAKAQPRRWLWIPKGCKDPDLGFPTRPEERVRRGIPLPFRTLFRIPDPSPLTRSFAEVVAMAGGSSGGGGGSGGYGGAGGDGRKRRFDEHGGGDFRSDNQGRGSSAHGGRNGNYSSGGANDGFGGGRSDGGGRSDGGGRVDGGGRYDFDGGRDDGGGRLDSDGYGRGRQGQGGGRYHDGFGQEGPYNNNSGRREGGRQGEDRRGQQQEAQRGRGQQGPPRGGGAQAGKAKGAAQGAPPQPKAKGKAKAAGGSLIGPVGGECFRCGQEGHFQADCVNDPVCILCSKTGHVSAACPTRGRPLLLQSYGHAITGGGFFNIEVEPLQAQAEDVQFEAVIHFTSAPLTAMQLSDELKSLLDDLWDWQVMRVSDTEYRVRFPSRETLRMSTRRGKIYLPLSKCDVDIREAFACPRPGPSFPSVWVQITGLPGSLMVKDRLMAAMTMVGRPMEVYELSIKKWKTEPVRMRFQCRFPERVKGTVQLCVNGEPFTVGIHAELGAAGAGGSSGPPRPPAPRDDEDGDDLESEERSTDGEAWNRHRRRGSDKEKAKGSDKHGGGPGTAQLAGFGGSRSAPQLGKVADQYGSNLKTFPWLADLGRFAILAEVDDVDALGKVGGGLPPTLPATALEEASLLSGETVSQVTDAVGPGLRSSPTVEPASPTWTSSARVADVPADSVVLSDAAAVQGPGPELDLHGEVMAAVSLVQGKRTKVVPVSVKGPAKATKKTAVPATPVRKSSRTAGAAVASVMKKAQNMAATKNLELSSVTGTDADFSLLPSLPDTHLSSVVLDSAIVFAPGKGTPQEALQLIRAKELAQASLAALAARKAQETVDRLAREAADQGASSREDEAPGDGRETTPEASAATGQGSSDEDLTLRDMRARARVRRPRLTVRKRRGAAIDVCR >cds.KYUSt_chr4.15723 pep primary_assembly:MPB_Lper_Kyuss_1697:4:97185398:97190274:1 gene:KYUSg_chr4.15723 transcript:KYUSt_chr4.15723 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSTWWSWLCEDAPKKKLSHGGLWGSNLQDFVKQAQARNAFHLVEVKIVVIKLKWNAQLSEAPPDFYTATDTTPSCCRIQEELLLPLPTGTGRWTSSSSTTERVTERHLCPFNEAVATARARALVPNLNLPAAEDMEEGNEDAPPAPSLALPTPSPEARVLLRRFASAMAAHPASIRRRTWCPEALGLTNPVAELRLNEDAAIYTEITLLSNYSTD >cds.KYUSt_contig_786.85 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:537263:538758:-1 gene:KYUSg_contig_786.85 transcript:KYUSt_contig_786.85 gene_biotype:protein_coding transcript_biotype:protein_coding LSSNKLSGDIPSTLGDCESLEVVELDSNSFSGSIPTTLANITNLRFLNLSTNNLTRSIPSSLGNLKYLEELDLSFNHLVGEVPTKGIFKNATAMQIDGNQGLCGGVLQLHIIACYVMPSNSTRHKAHLFLKVVIPITSMVSVAMVIFGLLLWRGKQKSKSVSFPSLATKFPKVSFSDLARATQGFSVSNLIGRGRYSYVYQGRLVEEENEVAIKVFNQETRGAQKSFIAECNALRNMRHRNLVRILTVCSSNDSSGNDFKALVYEFMPRGDLHKLLYSTKDYKVTPDVNLLRMTQRMSILVDVADAMEYLHHNNQGTMVHCDLKPSNILLDDNMTAHVGDFGLARFKVGSATSSLGNPNSSSAGLMGTIGYAAPEYAGGGQVSTAADVYSFGVILLEILLRRRPTDDMFMDGLSIVKFTEISFPDRVMEIVDPQLIQEIELCQETPTASKEKGPWKHLSCFNNN >cds.KYUSt_chr2.8498 pep primary_assembly:MPB_Lper_Kyuss_1697:2:53441815:53443600:1 gene:KYUSg_chr2.8498 transcript:KYUSt_chr2.8498 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLMQQTAAAAAAGRRCVGKAALAGNRWQAVRLAATARCGASWGVRARPGLARAQLVAEEQRTEIAGDDDDARAMRLFVGLPADVVSCDGTAVSRPRAIRAGLRALKLLGVDGVELPVSWAVVQPGSEEQFEWTGYLAVTGMVRDAGLSLRVSLLTHGRGATLPDEDADPGADTVADAGSTNTESVADDCTTLLDTVAGAEADTALPGWVADALAADPDILFTDRSGNRRKECLSFAVDDLAVLAGKSPIQAYEAFFRSFADAFQDFLGSTVTDVTVSLGPDGELRYPSYPPGCDRADGYAGDGEFQCYDKHTLARLKQHADSSGRPLWGLAGPHDAPRYDDPPESSGFFRGSWQTEYGNFFLSWYARELLAHGDRVLAAATRVFFGKQIELSAKVPFLRRRSRPAEATAGLHRGYGPVAEMFARHDCTVLVSGMMDAAAGSEAEEVLAQIKDACTEHGARLAYENASLVVATDGAGPAGVWGGLLTADRTRPCHFTYQRMGAEFFSPDHWPMFVQFARDLEFPEESHEDDLPADGGQMAPLSGRVEHGAEKEAQLA >cds.KYUSt_chr7.39457 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245319745:245320626:1 gene:KYUSg_chr7.39457 transcript:KYUSt_chr7.39457 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLALMTERDNAIMQRDHALAEKKAAMAERDMAFAQRDSAMAERNAAIVERDNALAALELARANGFNVNNGNGFNQESLSGTKNFQHHDQHSHDQSSPLQLADSPYDHAREMHISDAYPISTAPGSAGKAKKPNKNSSQASPLKRPSGVLRKTKKATGDWRDVGMPSVGEDPARVSVMKNEWKDQDLGLNQVAFDESSMPAPACSCTGNLRQCYKWGNGGWQSSCCTMSMSMYPLPVMPNKRHARMGGRKMSGSAFTKLLSRLAAEGHDLSTSVDLKDHWAKHGTNRYITIR >cds.KYUSt_chr5.16807 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108161110:108166281:1 gene:KYUSg_chr5.16807 transcript:KYUSt_chr5.16807 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLQHRKCVAECCRHVRAPPARRRFSVLAAADYTSSSDDADERPSCAPAETVQRSRGRRGLSSARVVQNLRLLKRRPDVALAYFKDTESVVGFSHDLSTYAEIVDILSSSGQSRMLFSLFRDIISHGGGGGPEILPLMDHLRRTGAASDSLVSATNCLVTAYITCYDAQDTIGLFGELCRRGVVPSVWACNVLLKFAAHSGGSEVVLSAYDQMKLLRLTLDAHALGIITRSLFQEKKADKAYEVWVEMIGSGAKPDIGGYSSFLTGLCDCGKVDLAYAILQEIIREGIQVDAMAYNKVMDGLCNEMRLEEAEKLLESKIRLGFNPDIYGYSYLIRSYCKKGDILKVLDHYQAMESHGLGTNCHIVSYLLQCFTKVGMASQVTEYFQKFRDSGVHLDGVLYNIAMEAYCKLGNVDDAVKLLAEMKTDGLAPDRIHYTCLIKGYCSKGDIPNAQQVFEEMLKDNVKPDVVTYNILASGFCRSGLVTEVFDLLDHMQDQGLEPNSLTYGVAIDGFCRRGNLSEAEVLFNIVEERGIDHIEVLYSSMVCGYLNSGWTDHAYVLFLRVANQGKLVDHFSCSKLINDLCRDGNAQGASNVCSTMLEKNAVPDLISYSKLIAAYCQTGDMHNARLWFHDMIQRGLSADVIVYTVLMNGYCKVGRMQEACTLFVQMTSLGIKPDVVAYTVLLDGNLKEYLQKCWQGISKETRSVLLRAKQNQLLSSMKELEIEPDVPCYTVLIHGQCKADYLEGARGLFDEMLQKGLAPDLHAYTALINGYCSQGEIAKAEDLFQEMVDRGIKPDWLCNADKSSHEPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQTEMLVAFAMNAGFAGGVVIDWPHSSKAKKSYLVLTCGSPSVATSLPKAKGQDGEMCSSDDDDDDDDDDDDESNDDQTVGTYGRNRSNKRRKVNNNKNGRGRDWLLRKKEQMRKRGREVPADTKYTGRKRKTRF >cds.KYUSt_chr2.43552 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270962096:270965933:1 gene:KYUSg_chr2.43552 transcript:KYUSt_chr2.43552 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVPTYHFTMAIPLASLLLPLLLSTALAEYAQDLLRQAVGEREWIVGVRRRIHAHPELAFREHRTSALVREELERLGITSRSVAGTGVVADVGSGLSPIVALRADMDALPLQELVEWEHKSTVDGVMHACGHDVHTAMLLGAAKLLHERKNQLKGTVRLLFQPAEEGGAGASHMVKEGVLHGVEAIFAMHVDHRIPTGIIAAHAGPTQAAVCFYIVRIEGKSGRAETPHLNADPIAAAAFTILSLQQLTSREDDPLHSQVLSVTYIKGGKSVDVTPSFVEFGGTLRSLTTEGLHRLEKRLKEVVEGQAAVHRCMGVAKILGTPDYPMYPAVVNDERLHHHVENVGRHLLGPDKVKPGEKIMAGEDFSFYQQLVPGVMFGIGIRNEKAGSIHSLHNPHFFVDEDVLAIGAALHTGIAEMHWRQGHLGHNNSRHGRGGKVNCGTVITGTTRMGGNVTWGTVIVGMADIGGSVTCGVVTAGTVGIGGIVVGMVGTGGFGAGMPGTAAGVAVGAAASVVSARR >cds.KYUSt_chr5.19191 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124240762:124245894:1 gene:KYUSg_chr5.19191 transcript:KYUSt_chr5.19191 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSLLTPYFEHIGCFKSSFWDLKVLRRVFWGRIGLTLRLGSDEHPKQLGQAPRQPAGGSHHSQHDGEAVAWLWPSSTDLLLLGSMSKPMLLAIHHSGDLYKVWRGQLQPRSQGRQDLPHLHSASFWEQGRKKGFFELENGNQELKGDLKHVDINSTNSDAADGGRKPGGMVLVARKQHTTHGGEGQSQCWSRRQLATYREVALAVLKELGVDVHVFVVFKLRTWG >cds.KYUSt_contig_1993.87 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:533567:534622:-1 gene:KYUSg_contig_1993.87 transcript:KYUSt_contig_1993.87 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEACMFALQLANSAVLPMAIRTSIELGLLETLVGAGGKLLTPEEAVKKLPSKAKHPDAASMIDRMLRVLASYKVVTCEVEEGKDGSLSRRYGATPVCRWLAPNEDGASMAPFALLTQDRVFMEAWCHMKDAILEGGSAFHRAFGTSWFEYAGQDARFNRLFNEAMEHHSVILTKKLLELYKGFDGIGTLVDVAGGVGAVIHAITSKYPSIKGINFDLPHVIADAPPYPGVEHVSGDMFKKMPSGDAILMKWILNCFSDDECATLLKNCYDALPAHGKVINVECILPVNPDATNGAQALIAVDLSLLVYSPGGKERYHRDLEKLAKGAGFTSVTATYIFADFWAMEYTK >cds.KYUSt_chr4.10185 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61592188:61597687:-1 gene:KYUSg_chr4.10185 transcript:KYUSt_chr4.10185 gene_biotype:protein_coding transcript_biotype:protein_coding MSASALAYALRRHCQEGLPDQVLWPSELSVAVTLFGGRGHAATCGKKLEADAYDWNNMGMRSRVAACSSSRALPRTKELLSSSVGPGSPRSVFHFHCFEGENGENHALAKEGKAWRPACSRGAERTRMHGALYGTSEATPAVLRKAARHLAPTYTSQMIGVSLKPQVSGSLFTASQLDPFPFSFLSLPFSHQPLMAELAFQRLCRFLQSAARGSTFGDAARAWKSTKDLWEKYGKPTEKAERVPVPTPAPTDPRKKLVDAPGRLIGAREMTLVVLLVVGADGTAYYVYRRRGGNGGGGPGGGGGGGGGSGGGGGGGGGGGGGGGGEDGGGNHRDEDGEGGRWRRFRLVIAVAGTVLVVGASAGGYLLRRSGDFPPAPPRISINEAGLKSLLHETRDISPPHGHGVDVAMEIRAEKGMDGEADKKICQVSRVPSASLNERILSSAFQNLAAYPWHDLKLGPGAPAGPNCVIEITRVGKVKHDLYKDKASVLIKVDRVLYSVAYGFIPRTLVDDNDPMDVLVLMHELLAHVCFLRARATGLMPMIEQVKFSPSLDYIEVVLFHVNNKSESNEVAVNDFLPAEYVIKKYSTDLSRVMRLTKIC >cds.KYUSt_contig_5061.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001008.1:8591:9200:-1 gene:KYUSg_contig_5061.1 transcript:KYUSt_contig_5061.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCSGLEMGRLVCSFTDLSRWGPWLVVLAITLKFVVQPLADMSWATPRSEMQTCVHAFDGKGPEDGNVYLEDDKAFQMVRSGLMVLFCTA >cds.KYUSt_chr5.36007 pep primary_assembly:MPB_Lper_Kyuss_1697:5:227462219:227462632:1 gene:KYUSg_chr5.36007 transcript:KYUSt_chr5.36007 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTIQSFVEDEGAFNSSVDGRFAALDTNHDGLLSYTEMAQELMSLRVLEKHFGVDESAMSHDELVELYRGLFAKFDRDGNGTVDLEEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >cds.KYUSt_chr5.32734 pep primary_assembly:MPB_Lper_Kyuss_1697:5:207584581:207591011:-1 gene:KYUSg_chr5.32734 transcript:KYUSt_chr5.32734 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSTQFRYTQTPSKVLHLRNMPWESTEEELVDLCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPRYLLPEHVSICHLRITFSAHKDLNIKFQSHRSRDYTNPYLPVNPTAIEGIAQPTLGSDGKIKEPESNVLLASIENMQYAVGVDVLHTVFSSFGTVQKIAMFEKNGGMQALIQYPDITTAGVAKQALEGHCIYDGGYCKLHLSYSRHTDLNVKASSSLSTKRSLRVEAKGEWLPGATSPAYLDGKLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLVPELLTKIGLINAPEWYDAGKGEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKSYSLPPHECGYPGSVFNPLNFAPTLEAKEKELANGRLAMLAFLGFSVQHSVTGKGPLENLFQHLSDPWHNTIIQTFSG >cds.KYUSt_chr4.7410 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44066818:44071184:1 gene:KYUSg_chr4.7410 transcript:KYUSt_chr4.7410 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHADSSSSPSSSEPRRSVKRRPPAGSPELSPRAAGGEAAELIRRLEELESTAARLRGEKEALEDSARGLQGELEAERASAETAASEAMLMIERLQREKAAAQMEARQFKRYAEGRADHEREVQEEIASLSDLAASYHSRLQSHGIDPDTFSDEEDEELYEEQRGHLEHTDLLSSAMVSPEADANGGDLLSSAMEVKGMVEDEEHDQSTAPVEKEFEYTVDVRCASPTMAAVAVVGEYTRDDDVAGNAEGLYARVEALEADRAAMRREMAALRAERAQLVMAREMARRLCWEVVSEQKSVAKKAVVPARSFSALGICKWVLSIIFRRRSSTVRYTFGLSTTFLGLLLLLDRSTINPTKEIFSELDEINAQGLIFPRSFQKTEGDTKWGDEAPPRQGRAARAGPAPPCYVGPSCRPQTCPSAYLKPSSRKPQYREPRYGKPSRDAAANPISGDSGDRFRHPVGEGNHLPEDSSSP >cds.KYUSt_chr6.4010 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23222855:23228786:1 gene:KYUSg_chr6.4010 transcript:KYUSt_chr6.4010 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPYTYLRYMEYGMEFEVLFGVSDGIQDITRRSGMVRRIRFIYRKSLSKFGNDPVYLWKVVSRIIRNKSLWKVESQLDSTNPNLPTKWEGGVHGGLHHPWSAKDLFTMARLYVGNLDARVTAGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDALRDIDGKNGWRVELSRNASGRGGGRDGGGRDGGGGRDRHGGSDMKCYECGESGHFARECRLRIGAGGLGSGKRRSRSRSRSRSPRYRRSPSYGRRSYSPRDRSPRRRSVSPAPARGRSYSKSPPHNRGRDDSPDAK >cds.KYUSt_chr2.54366 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339197882:339206204:-1 gene:KYUSg_chr2.54366 transcript:KYUSt_chr2.54366 gene_biotype:protein_coding transcript_biotype:protein_coding MGEADDARDAVVEEMDIDGGDRHRGKDRRDRHRREKDHQGSGRRDKDREKDKDERRRDKDDTKHRDRDRDRDRDRDRDSSKNRDRDSERDRGRDRDRVKDRERDTERERRDRDKERSRNREKTKEKEDREHDKSRGKDRGEEADLSKHEEGDQKKGVDPSGEADQASTSVLRERIARAKEERLKEKKEGGILDDKDDASEILSWVGKSRKLDEKRQAEKEKALRLARVFEEQDDMLAENSDDDDDDVEDKHGGGMKVLHGLDKVIEGGAVVMTLKDQSILADGDINEEADMLENIEIGEQKQRNEAYKAAQKKGTYEDKFSDDPLSKKPMLSHYDDPMEDEGVTLDEGGRFTGEAEKKLEELRKRIEGGSVQKKTEDLTSAAKMTSDYFTADEMLQFKKPKKKKSHRKKEKLDLDALEAEAIASGLGAADRGSRNDGKRQAAREMEQKADAEKRSTAYQAAISKAEEASKALRHEKMPGKPAEEEELVFGDDYEDLQKSLEQARKLSLRKQEEAAGSGPQSVAELATANKGQGDADAAEGDAQQNKVVITEMEEFVWGLQLNEETRKPEAEDVFMDEDDDDMPSGTVAKDDTNRLGVIKEETVIEDPKKDAEEEEVIPDEVVHEAAVGKGLAGALKFLQERGTLNEGTNWGGRTTDKKKSKLVGIEDGPKEIRIERMDEFGRVMTPKEAFRDLSHKFHGKGPGKMKLEKRQKKYQDDLKTKQMKSSDTPLMSAEKMREAQARGQTPYLVLSGNAKSGPAGDASGFASVERSHPGSLTPMLGDKKVELFLGIKRSAQSGGMPPLPPKKPKH >cds.KYUSt_chr6.5499 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32891602:32892803:1 gene:KYUSg_chr6.5499 transcript:KYUSt_chr6.5499 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVAIVALMCAIVVLLPPPAGSVRFQFEEEDMATEEAMSALYQRWAAHHRVARNVAETARRFDIFKDKVRRIHAFNKQGNAPFFLGLNQFGDMTEAEVDKTYGDCSTSIAYESDGAWPTGPAPTDASRVLILPPPEQVDWRQKGVVTAVKNQGPCQACWAFAAIAAVESLNAIKTGQLYDLSEQAVVDCADPPNEGCEGGLAAEALRFMSMQGRQNGAFSEDAYPYRFGDRTSGACAVGHLTPQVRYHGYRMVLPRNDATTLMRAVAPQPVAVRITANGTAFNNYGGGIFIGPCTTELGHAVVVVGYGTEHGHPYWVVKNSWGDEWGEEGYICMSQVAGKEDEGGLCGILREPSYPVWA >cds.KYUSt_chr4.8746 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52439528:52441096:1 gene:KYUSg_chr4.8746 transcript:KYUSt_chr4.8746 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTVEEVRKAQRAEGPATVLAIGTTTPENIVYQADYADYYFRVTKSEHLVNLKDKFKKMCDKSMIRKRYMHLTEEILEEHPNICAYMAPSLDARQDILVAEIPKLGKTAAQKAIKEWGQPMSKITHLVFCTTSGVDMPGADYQLIKMLGLSPLVRRVMLYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPTETQLDSMVGQALFGDGAAAVIIGADPNMAIERPLFELVSASQTILPDTEGFIEGHLREVGLTFHLHRNVPVAISNNIERALVDAFAPLGIDDWNSIFWVAHPGGPAILDMVEARAKLDKNRMRATRHILSEYGNMSSACVLFILDEMRKRSLQDGNATTGEGMDWGVLFGFGPGLTVETVVLHSVPISAP >cds.KYUSt_chr6.32774 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206515403:206522247:-1 gene:KYUSg_chr6.32774 transcript:KYUSt_chr6.32774 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDLTDADFFDKLVDDDDDGLSTPAPLPVPVPAKAGVEELVRGVSSLGLAGGDEPGPSASTGEAVAPEGGSPGPGRGAGGVHTTVKQVQWASFGGDDGGLDPFSDLSGVADEAEAFLGGGANQSSGAGTVDHGVFGGNKSFGSPVGASDPGFSGGTQSLAAEVNDQGFLGGSSSLDQTTTGAQLGSGAAVDSTDPRYLESIYPGWKFDDATQQWYQVDPAQAAGYTAAQADSGSENVQQQYGVSYLQNSAQAALETIAEESTAAAGAWASEYPANMLFYAEYPGWYFDTNTQEWQSLEAYQQAVTTQVNASSAVQDGANRGGIAPSDGVNYNAKQTKDLAVHSQVAQQNGFTNSYSPQNQWQTDAFASSVQPGSVTNSLVGSFYGSDEHAHAESFSSSMNPQVAFSTAETSTSQYGSLQNDHSTIDSQQAGYKGFEPSTVYQTSQKVSQPSTGNQGSFKAFEPSTGHHLGENKGLPSTAYKGFTPSTINQAGTDHHAKGFEPSSGHQAGYMGSQPSTGQQAGYMGSQPSTDQQAGYMGSQPSKDHQSSYMGFETSAKQGYGAANGVVNTQGFVPMGSMYNSQNQARANTQAHLSSSYLGTDNSMNFPQKQFNGTNASQMQFGYSPQEAMSSAGRPPHALVAFGFGGKLIVMKETSSMATNFNSANQGSSSGTVSLLNLSEVVGDKADASRITDGSALSYFRVLCRQPVPGPLVGGSAATKDVNKWLDEMITWYESSTSEYERGDPRRLLISLLKILCQHYGKLRAPFGSDPSQEDTDGPEMAVTKLFSSCKRSNAHMGDFGSSVRFMKNIPSESQMQAVAQEVQNLLVSGRRKEALLCAQEGQLWGPAVILALQLGDQFYVDTVKKMAHCHFISGSPLRTLCLLIAGQPADVFNVENTNYDTQGASQQPVQPSPNAMLDDWEENLAIITANRTKSDDLVITHLGDCLWKERNEVAAAHSCYLVAELNIDPYSESARLCLIGADHLKCPRTFASPEAIQRTEVYEYTKVLGNSQYILLPFQPYKLIYAYMLAEVGRLADSLRYCQASMKVLKASGRAPELEAWKQLFSSLEDRIRTHQQGGYGTNLAPAKLVGKLFTSLDKSISRMMGTPSAPLPPVPQSTVGDREIYPAPAAAKFVNSQSVMTMSSLVASPSVHSISEMAENNGGASRKIAHNRSVSEPDFGKTPKQGARTDNTQSSASGSGSSRFGWIGSTLQKTMGFVSKSRQAKLGQQNKFYYDEKLKRWVEEGAEIPAEEPPLPPPPTKSSSYQNGMPDYNLNGPASGIHTPNGVAERRSPKHSDHGLGMPPIPPSQNQFSARGRMGVRSRYVDTFNKAGATGAAQSYNKPAAASVTPPTGARFFVPTAAAAPSEQMPSQSAETRGETFHQDESSSSPPAGTSFSSPPPTAQFSAPMSSTIQRYPSMDNIPNPNQAPWMSPGSARSPEGPMVPSPLMPGRPSHSRSNSNSSVQFNGLTEDLHEVEL >cds.KYUSt_chr2.49125 pep primary_assembly:MPB_Lper_Kyuss_1697:2:307503684:307513676:1 gene:KYUSg_chr2.49125 transcript:KYUSt_chr2.49125 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRGFSVGAVMKRMCKAVLKKGLGDFFLGELDLDQLDLQLTSGTLELTDLALNAEFINAQLSRSPFMVKEGSIKSLLVKFPLQLKSCEIVVEDLELVLAPSVPSEVPPVDAECSVSGNSSGTQTSVNTNRNESDKHCSTSASRDVDEGVKRIANAVKWFLTNFKIKFKNTYIVFDPHTSLDNKVSEYNRSLVFRVKEIEFGTNLSTDGLVKLNNFVTFHEAVIEFLKMDHVDVLLQNNSDRAAADISSGHSTTSVLTGPIGGFSGTLNLSIPWSNGCLNLKKIDADVSVDSLELLLQISSIQWFIYVLDSLHRNQGEHNSAHNTADMSLNTSRSALNASKSVMANKEDLDQIALSQNRQDKYQDSFLTKAHVIQDWIPELVVHEDQGEPDSDCDESIDQFFECFEELRNSQTNLGNSGIWDWTCSVFNAITFASTLASGSDQVPKEPPIEKTVRASIAEISVILLLNDEMDAGDSSASTSLFHDMRSSEMFSSCLSSGQIEQSMMSPATASSLNMHHLEAKCQNIHLELQTYPRNLGFKASIAHMKLDEYYSTENTNSTHSHLGTAFLNNNFCREVQAALPQFPFASQDYWVETAGRGSHNSDKFIKVELLKTFGECTFHYDVSNTDQDGNSGSSTSLSIHLAPLILWVHFHTVYMVLKFISKVKSDLHGEHKLHRDGDEKNSKLANTSSSESLKVQIAPSHARIILCFPYEPSWDLSRPSILDKFLVLDHTLSQKAPSPLRNERSNDGHPSTPSTSLHLATGNFDIYFIKPVGVLDGRIGSLSRQTFSALKILSVTRSEYHDSSIRMIRKSHPVTCPEMVNKVWSLPNLHDQKITQKENNKWVGVASSTTSQDLVESSFTIRQELIQSTEFLLHVQLPCVSVHLNKKDCGQLNQLLDLIVDGLSDVATGSSENGKDKNSEVAIQTSVIFECSMLDICTELDETVEVSPSLQAELEGSWNRLKLSVSKLSLCSFSNVGGVNNSSFLWVNHGEGELWGSVSGTDDQTCEESKDFQLVICKDSASQRGDGEGNNVLSFGTAGCSVTHIRNPKLQENYTSVNVSSGTLVAPGGRMDWISAISLLFSSGSSGSEQSSNSSSRNSSQAGEPFWSSFFLELADVALSYEPHRKNSTLGAEAPDCKSFSCLLAASSFKLHSKSASDSAATDFDIQLRDLGLLICGSSGSKNVTCGYDVDYLRQMGYAKIGHNTFVEAALRIDTSFWKLEISESQFDIGTCRDSTYGLVHLVSQLQKLYAPDMRDALVHLQSRWNIVQQANTQNMASDASDMSENSTDSFADSAESKSDGLLDDIIDNAFYSDQANTTYNFWDRNCHNSFSNSEVNVEYEMSMINPEATDACVSHISLGSSLVTPADSTAQIPQKQNSCPDHIIDSYYMPDLLNSSSSSRKVNHQCTSGDDACKAVDCDDGGWYSNTPLTIVENHVSKRNNLHGEHVFQQEGDHAVRNLNSDESCNLKGQILIHDIDVKWRMYAGDDWSLAENDLTSRTCSNGRDRSSSLEFIVSGLSMQFDMYPDGDVSVSKLAISAQDLNLCDQNAHAPWKMVLGCYDSKDYPRESCSPAFKLELESVRPEPEAPLEDYRLCLEILPLQLHLDQGQLNFLISFFQNESSNSNPHLSYENEIVGMDSTTYGSAAIVDEALLPFFQKFDVKPLVLHINYIPRQFDPIALGKGNYAELLNILPWKGIDLKLKNVSAMGVYGWNSICETVAAEWLEDISKNQVHKLLKGLPPIKSLVAVGSGTKKLVSLPFKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAGGAHDLLLKTERALTAVPPPSTSREARKPKDNIRANQPEGAHQGLKQAYESLTDGLGRTASALIGNPIKVYNRGGGPGSVLATAICGAPAAAVAPVSASARAVHYALLGLRNSLDPAHKKESAYKYSGPSQS >cds.KYUSt_chr5.24222 pep primary_assembly:MPB_Lper_Kyuss_1697:5:157546890:157547852:-1 gene:KYUSg_chr5.24222 transcript:KYUSt_chr5.24222 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVTRPPPPGFFTFLKHGVLLPARHGRLFLPLLALTAALAAGLLLANSLAVQPLAAAVLLDANAISRAHPASASYPGLVRTLNRDLRRLLLDVGACLLAALLAGSAIKIATVYAAVAAFSAPERPTASAVLAGARANFLGPALTVAFGYALEVACAAAIVALAALTVYLLDYSLLLLFLDALLVLLASLFLVYLTVVCAVAVVVSAAEPDRRGPAAVSRAWRLMQRKNAQAVLYVVATCALGAAVSPMYTLALRRWPKSAWTGVAAGVAYVLLLGAVEVFSVAALTAYYFECRESKEEEDEMARHRYAKLPNGDEANI >cds.KYUSt_chr2.1305 pep primary_assembly:MPB_Lper_Kyuss_1697:2:7737208:7745920:-1 gene:KYUSg_chr2.1305 transcript:KYUSt_chr2.1305 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMTVAVVGAGVSGLAAAHELARAGGGRARVTVYEAEESLGGHARTADVDGVLLDLGFMVFNRVTYPNMLEWFEELGVEMETSDMSLSVSTQLSSGGRCEWGSRNGLSGLLAQKSNAVRPGFWHMIREILKFKEDALSYLEDHENNPDLDRDETLGQFIQKHGYSQLFQEAYLIPICACIWSCPSQGVLGFSAFFVLSFCRNHHLLQIFGRPQWLTVKGRSHTYVNKVREELESMGCQIKTSCQVKSVSSTEGGYRVVDVDGSEEVYDKIVFGAHAPDALRMLGDEATHEELRILGAFQYVYSDIYLHCDKTLMPRNPSAWSAWNFLGTTSSGVSVTYWLNLLQNIESTGRPFLVTLNPPHVPDHILLKWNTSHPVPSVAAAKASLELQQIQGNRGIWFCGAYQGYGFHEDGLKAGKSAAQSLLGQKSSLLLNPKQMVPSWTEAGARLMVTRFLNQYVTIGNMIILEEGGTMFSFGELDKKCLVKSVLRVHDPLFYWKVATEADLGLADAYINGYFSFVDKREGLLNLFLILIANRDAQKSSNSAASKRGWWTPMLLTAGIASAKYFLRHISRKNTVTQTRRNISQHYDLSNDFFSLFLDPSMTYSCAVFKVEDESLEVASLRKVNLLIKKAKVEKNHHILEIGSGWGSLAMQVVKQTGCKYTGITLSEEQLKYAQMKVKEAGLEDRITFLLCDYRQIPTSCKYDRIISCEMIEGVGHEFMDDFFGCCESLLAKDGIFVLQFISIPEERYDEYRRSSDFIKEYIFPGGCLPTFSRITSAMSAASRLCIEQVENIGYHYYPTLIRWRDNFMANKE >cds.KYUSt_chr6.16071 pep primary_assembly:MPB_Lper_Kyuss_1697:6:101118013:101123940:-1 gene:KYUSg_chr6.16071 transcript:KYUSt_chr6.16071 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVSCFLALVFLLCASAARGSRYPEQQAADRVGRLPGQPAVPFQQYAGYVTVNESHGRALFYWFFEAVDDAPKKPLVLWLNGGPGCSSIGYGEAEELGPFLVQKGKPELKWNPYSWNREANLMFLESPVGVGFSYTNTSSDLKKLGDKITADDAYIFLLNWFKRFPQYRSHEFYIAGESYAGHYVPQLSEKIFDGNKQGPKENHINFKGFMIGNALMDDETDQTGMIEYAWDHAVISDRVYADIKAHCNFSIENATNACNKALDEYFAVYRLIDMYSLYTPVCTDASLSFSRSKKVGVHGAAPKIFSKHHGWFMRPAGYDPCSSDYSEVYFNRPDVQAALHANVTKMGYNWTHCSDAIGNWNDAPASTLPIIRKLIAGGIRVWVFSGDTDGRIPVTATRLTLNKLGLKSVQEWTPWYDHLQVGGWTITYEGLTFVTIRGAGHEVPMHTPRQALSLFRNFLGDKKMPPTAFS >cds.KYUSt_chr2.32786 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202222438:202227941:-1 gene:KYUSg_chr2.32786 transcript:KYUSt_chr2.32786 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPSPPINKHCWMQRCTLDILDIDLADRGGEEEDQDGGDGGIFVGPFQRWEAIFLRRSKATPWPIQLPAMDSGESTSFARSLLRFAVAYYGCVEASGFVPASLHGGGVADLWLDGGEREGPDCILSSPSEALDLALQGNKKKEVALRGTATRVSTARGLETVQAKEEKKTEEKPKEEVEAKKEDKPKEGAEEEKPKDEKPAEGEGGDKPKEGEEAAPPPPPPPPEEVEMRVYMHCEGCARKVKKILKRFDGVDDVIADSKAHKVVVKGKKAAADPMKVVERVQKKTGRKVELLSPLPPPPEEKKEEEKKEEPEPPKPEEKPKEPPVISVVLKVHMHCEACAQAIKKRILKMKGVQSAEPDLKASEVTVKGVFEEAKLAEYVHKRTGRHAAIIKSEPAAPAEKAGEGDAKDEKKAAEGGEEKKDEKDEKKDDKEGDGEEKKDEKEKEGNSGEEKDKDKEKDAGAIAAANLYMHYPQFAFPGGYYAPPRPGYAAYPAYPPPPPAYPPAYPHYPPQIFSDENPNACSVM >cds.KYUSt_chr2.28990 pep primary_assembly:MPB_Lper_Kyuss_1697:2:178167812:178169231:1 gene:KYUSg_chr2.28990 transcript:KYUSt_chr2.28990 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGWILDIRIRFEDKMQPTLLMPEPHQIHQPPPSPPKADPNPVASTAANAQRNPVALPLPLPEIAVPPVQPTMIIKGMLGRYERWNPVHPTVGAFWGVGLGLGCGVGWGPGFGPEVIGYVGAGCGVGFSVGVTLAGVGVGLPQHGIIKNHYHGGFTNSVPFESARFYTLTILRGMVWDAISYVSHIAATRKESRQKLLKFEENPRVSGGVDLPKLGKGVSSSFRSTMDCIRAFTNQHRPP >cds.KYUSt_chr2.3536 pep primary_assembly:MPB_Lper_Kyuss_1697:2:21163011:21163456:-1 gene:KYUSg_chr2.3536 transcript:KYUSt_chr2.3536 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADERRSDLKHAACLTLVVVGLIVLSTIVGLKVCELVEENHDDQAPDVTVNVAAPAPQLGGDTVMPVGPGGGGSAGGAGAVEEAKGFYAGRPLFKVPPSSPCRAKAGRC >cds.KYUSt_chr3.47609 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298519804:298520175:1 gene:KYUSg_chr3.47609 transcript:KYUSt_chr3.47609 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAGPHGGARPHNCRSLDDSDVEELLQDDDVEMVSLLIDVQEFEDRKKLMDQRRGSKMGRVTIYRNRALGHEQLMHDYFAEVPTMLVPVCNRKETVLAYKLFLKHIVRLRMKRLMPSSGMI >cds.KYUSt_chr4.16265 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100923959:100925179:1 gene:KYUSg_chr4.16265 transcript:KYUSt_chr4.16265 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCCRPRSPAAKTPLEDDDLLSEILLRLPPQPSSLPRASLVCKRWHSLASDRGFSRRFRIHHRRKPPLLGFFDGVHGYFQPTLEAPNRIPRGRFTLQHGDRFRSLGSRHGLVLIFDLTVLRFLVCDPVTGDQHRIAIPPGLEARAEEDVMINGAVFRNGGDAHFQVVLTTADNHDKQHRQALSCVYSSETGLWGGLISTPLPCEVPRNDYLPTLPTLVFPGKPAVVAGNSIYWMLTGNFDGILEFDLEKQSLAVIRVPVHLLEINEFWIVRAEGGGLGLLFLTGSSIQLWKRKTDHDGVATWMLGRTIKLHKPLSLKSAIMLGYAEENNVVYLWARGDVFMVHLESLQSKKLFETKCPSHYHPFESVYTAVNNFK >cds.KYUSt_chr2.45005 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280476374:280477601:-1 gene:KYUSg_chr2.45005 transcript:KYUSt_chr2.45005 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPATTVLDTIRHHLMDDTAAASPSPEAAGRPRPAYCRSASFGSLVADQWSESLPFRADDADDMVVYGALRDAFSCGWLPDGSFAAVKPEPLPSSPDSSSSCSYVDDACASSLEPELLDCYPTTPTEEHTPRREEQAAAVARGKHYRGVRQRPWGKFAAEIRDPARNGARVWLGTYDTAEDAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAHAAAAAGASAAGDKRPSPEPTTSDSSSSHSTSSSGPSPKRRKRGEAAAASMAMALVPPPSQLTRPAQTWFPAAPVEQFRKCEIDGNGMGRPAKAKHHSDGAIGYSDGSIRSEQLGVAEPRYFLDLPGATRPSGPKGHCSIQSSWFVVSRVVTCVTVRLIALA >cds.KYUSt_chr7.37895 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236328487:236329908:-1 gene:KYUSg_chr7.37895 transcript:KYUSt_chr7.37895 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSERFAVEVVSRTLVQASDPPRDFPAMLPVSNLDLILGSFHVFFIAVYPAPAAGFPALAEAARAALPAFLSRFYPFAGRVVANATTGVPELVCGNAGAELVVARAGLALEDVDFADADASLGAIQVPFERGLALSLQLVRFACGGFSLTWGTDHLLVDGHGLTSLPNAWTEMLRSDGGLSWEPHHDRRSLFRPRSPPRYAPSLDAEFVRYDPGGLPNVLLAAALVRRNYVVEAGDIDRLRAAASTSGRRATRLEALSAHVWKLLAAAVSGSDTHCRLAWLVDGRRRLDPAKYNKAHVNNYLGNVVTYASREAAVDTVASATLADVATMAAAAIAEVFRQERYEELVDWMEVHKGVFKEGGKWTEAVGLGTGSPALVVSAFVPFRVDGDFGFGPPRLVMPWVRPGRLGSAAMTVIRSPAGDGSWLVTARMWPRLADAVEADPEAVFRPATAERLGFAAPRHGAELPHAVSRM >cds.KYUSt_chr6.25773 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163277056:163278758:1 gene:KYUSg_chr6.25773 transcript:KYUSt_chr6.25773 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGVAAVMKMAVEMAAVSMEKPSGGTSPLRRVPERVPSPELEFRDGGGALESFRSFVNWPALVGKIAKRGETSGEKRQHADFPRPPSNCAAVRPREGAWLEPGGSCSNCAFASFLPSKAWNAAAPMAASQDCSASVTVTLLRRHCQVRPRRRLVRLGTAVPPAVLLPVTLIPSQASKRLLLCPPATSSESVG >cds.KYUSt_chr3.29710 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185864362:185867518:1 gene:KYUSg_chr3.29710 transcript:KYUSt_chr3.29710 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEEYDENGDGMITAEELCRALRRVHPDEDLTVEKCAEIIAEVDKDGDSVISFDEFKAMTATKISYWKQYVYAFGDAVVMCIPQGPRSPDERSENRAASETTHPAIMTARLPPPLRPSSATPPPPAATRTLHAINTCTSSAALAPLRDAILREPALLRSTTVVSAFFLACGRLRHLSPALSLFASFSRPHVFLFNSILRSLQPGPDCSPLPLFRRFLGLGVRPNRYTFPPLLTSLSSLSDLRAVHSQVAKSGFSRDIHVRNALLARYAACDPDLAHAEQLFDEMTRPDVVAWTTMITSYKNRGRTFQALATFRRMLAASVAPNRVTMVAALGACAAHGAVEAGTWIHEYVQKQGWELDVVLGTALVDMYGKCGHVMDGVRVFSEMAERNVYTWNSIIGALALAQNGTMAMQWFYRMEADGVRPDAVTLICVLCACAHAGFVDIGRKIFHLIVQGEYGFQPGIKHYGCMVDLLSRSGHLDDAFSVVETMPSQPNAVIWGLLLRGCKAHGDSQLSEHVMRRLVELEPENASHYVLLSNLYAETGRWQEAEEILQWMKKNRLRKDAGWSLRMLGDRLLSPKFDSPKLMLPIQGSFVQ >cds.KYUSt_chr2.31081 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191780310:191781415:-1 gene:KYUSg_chr2.31081 transcript:KYUSt_chr2.31081 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKKAAAAANGSSSIVDDLFGPKDGAASPSSAGYFSTVFPNPSPAAGKDASRRAAGAVGSKSSSAGRQLQQSTKHGGSPESPYYGSSSVNYGARDFYPAAESQRQYPAGAAAPPPKNKDDGDASAATRGDWWQGSLYY >cds.KYUSt_chr7.34018 pep primary_assembly:MPB_Lper_Kyuss_1697:7:212469699:212470928:1 gene:KYUSg_chr7.34018 transcript:KYUSt_chr7.34018 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPDSTSSSTPPPSHAAHIHTPLCRSCGAPAAPPTPAPWSGDSPPPAYRPIRMPAINAPTNTAAIVLSPVPQPLPVPPAAPPFAFQAPTKRITSPDDIARFHASTHGRHFLGFVASLSASVHGRKLSDPLPSPPSPAVSALLDLISALSAFVASTPPFPHGSRYGNPAFRLWHEKLAHSANDLISPITATATSPSALTGAEVELAPYLLDSFGNGSRIDYGTGHETNFAAFLYCLARLGLITEDDFPAVVLRVFAAYLDLMRTLQDTYLLEPAGSHGVWGLDDYHFLPFVFGAAQLIDHKYMKPKSIHNPDILENFSKEYMYLACVMYVKKVKKGPFAEHSPMLDDISGVPHWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWYGSSCILFDCALIYVNPVIAFC >cds.KYUSt_contig_2756.19 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000483.1:115789:118137:1 gene:KYUSg_contig_2756.19 transcript:KYUSt_contig_2756.19 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPIDCPTMKCGGGVVAPGNSNVGAAAAAKLRKGLWSPEEDERLVAYMLRSGQGSWSDVARNAGLHRCGKSCRLRWINYLRPDLKRGAFSPQEEDLIVNLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKMSSAASSPATTECASPPDPKHDGGSASCLDLTSLDDGGHHAMKSVWRMDSSSSTSSSSSMQQQSRPSTIAVAAARVYGGLLLPLPDQVGGVAPSTDTSALPFFHDHASFKQVDPFHGGSYYNHGMAMGGASGCFTAEEAAVSVGSEHGVLFNVPPLLEPMAVALQGQTLMASSGKDTNINHKKINMSAEATTLSSNNGSNITDSNNNKNNVNSVVSYWEQHGHQQHMSRNVVMGEWDLEELMKDVSSMPFLDFQVE >cds.KYUSt_chr4.8500 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51046577:51047254:-1 gene:KYUSg_chr4.8500 transcript:KYUSt_chr4.8500 gene_biotype:protein_coding transcript_biotype:protein_coding METIATATLGERPPWQDLPPDLLGLVLQRVPSHADRVHVRAVCRSWHAGAGAGAGVQPWLLPLLPWLLRCDGTFLSLPDGVVHHIAFPDDVTLHRSTGDMLFLVHNDGRCSLVNPFSRETAHQYVSAECFRYEFNSTVVYNIRKAVVMPDHIITIDNNRRVAIQSRAPNLRWTHWLETGAYDVDVALFQEKLYVLVGTYTWGPKLYVMNIINESMAPYNASSATQ >cds.KYUSt_chr7.29852 pep primary_assembly:MPB_Lper_Kyuss_1697:7:185790489:185808201:-1 gene:KYUSg_chr7.29852 transcript:KYUSt_chr7.29852 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRRPSVVVVIDEKRALARQREGVGGDPGLRRGWASAAFDVVDDGKANGGRGSGRGGRIRRRACGGREVLRGAEQVVDFDMATAESTLMVNTKNVHLGMVLDEVEISERFLNRQGMSHHHHQEQHNAAEAMEEDDYNMDDDSDGDDFLGHGARNDSDSDEDDGDDDAYAQGKHAGSLLEGFSQTQVSTMAVKDNLLVAGGFQGELICKNLNQEGISYCCRTTYDENAITNAVEIFSTSSGAVHFIAAINDSGVREYDMERYQLYKHFRFEWPVNHTSLSPDGKLNVIVGDDPDALLIDANSGKTLHSMKGHLDYSFASAWSPDGRTFATGNQDRTCRVWDARNLSQSLHVLGGNIGAVRSIRFTSDGQFLATAEPADFVHIFDVKSDYNKRQELDFFGEISGISFSPDTGTLFVGVWDRTYGSLLQYDRLYNRSYLDSLFY >cds.KYUSt_chr5.16197 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104283087:104287354:-1 gene:KYUSg_chr5.16197 transcript:KYUSt_chr5.16197 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAAAAAVLTLAPYPSPKHVSTMPSGRLRRRRTATARQWRTPRCRGKPTVDGAAEDDEDASREPEAEREEDVVSGRGVLGWLRLDGVGMGILSIAAPAVLALAADPIAALVDTAFVGHLGSAELAAVGVSISVFNLVSKLFNVPLLNVTTSFVAEQQAIDVDYGGPGKNEFRRFSDKLAGQRKSLPAVTTSLALAAGIGLMETVALVFGSGTLMDIIGIPMDSPVRIPAEQFLTFRAYGAPPIIVALAAQGAFRGLMDTKTPLYAIGVGNLVNAILDAILVFPLGLGVRGAALATVTSEYLIACILLWKLNRKVVLFSGNIIGGGVLRYLKSGGLLIGRTVAVLLTMTLSTFLVAREGPVPMAGHQLCLQVWLTISLLNDALALAGQALLATEYTKRNYKQARMVLYRVLQIGGVSGMALAVILYFGFGSFSLLFTDDPAVLNIAKSGVWFVAISQPINAIAFVVDGLYYGVSDFAYAAYSMFFAGAVSSAFLLVVAPEFGLGGVWAGLVLFMSLRAVAGLWRLGSKGGPWNLIFSETELRDTV >cds.KYUSt_chr3.40900 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258156604:258160049:-1 gene:KYUSg_chr3.40900 transcript:KYUSt_chr3.40900 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLATVPGGGGRRKAGAAAAAAASREWLVVPASGRARVEEAGKHAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKAVITAAEVLLPNSKDPDFAQFVRDLQARVLASSGQAAEFTDIEGESSVIASPFPAPSSSKGHELEMAKRTTDAVGGMIHSSSVPTLATMKDGSAKVLPFEFRALEVCLESACRSLEEETGTLEKEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLAQQDISETSSRVEVDDHDPSQLEEGGDEDYRSEPDGTNVSFAGYKPNIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVVTAGVAVVGLFGMNIGISLYNPATPDETRAAHVKFWETTFGTIAGCTILYIVAMGWGKRSGLLQ >cds.KYUSt_chr6.33536 pep primary_assembly:MPB_Lper_Kyuss_1697:6:210657558:210658091:1 gene:KYUSg_chr6.33536 transcript:KYUSt_chr6.33536 gene_biotype:protein_coding transcript_biotype:protein_coding MECSDCDSYCDSPCKAEAAAKCSEYCRPSSCDDCKSTNSTWVCTGICSGYACRGCLDGTASGCSHSCNEKCAATCQSPKPVPTPAPAPAPAIPSSFVGPAPAMKCSDCGSYCDSTCKVDAAKACREFCGASTCNDCKSKAIGNCDDLCSGYVCEDCSEKTRGFCGGYCSKSCAFTCL >cds.KYUSt_chr2.411 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2506395:2508172:-1 gene:KYUSg_chr2.411 transcript:KYUSt_chr2.411 gene_biotype:protein_coding transcript_biotype:protein_coding MLELPSRDNGTQALQSIKAAAVTEPEDDLAVLLRSAVMEDNTVIMTFTNKAWTATGSLLDLFMESFREGDKTEPLLKHLIIVAVDDKAFEQCKVVHPLCYFLEVGGVNLTREQAYMSKDYLEMMWARNKFQTRVLELGYAFLFTDMDILWFRNALLHVPVGADITISSDKYLGDDPYDLEKQANGGFVYARPNPRTIGFFKGWYQARSGRMNEQAVFDKMKRELSLQHGVEVHFIDTAYCGGFCQPKKDFRRLCTFHGNCLRGLSLKLERLRGVMDEWKQFKIARQEELANKNNTLSG >cds.KYUSt_chr4.11726 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71321269:71326556:-1 gene:KYUSg_chr4.11726 transcript:KYUSt_chr4.11726 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAADEAPAASPGYALRATLTGHRRAVSAVKFSPDGRLLASASADKLLRVWSASDLSLSAELAGHDEGVSDLSFSPDGRLLASASDDRTVRIWDLGAGGGARLVKTLHGHTNYAFCVAFSPHGNVLASGSFDETVRVWEVRSGRSLRVLPAHSEPVTAVDFDRDGTMIVSGSYDGLCRIWDAATGHCVKTLIDDESPPVSFSKFSPNGKFVLASTLDSTLRLWNFNAGKFLKTYTGHVNTKYCIPAAFSVTNGKYIVSGSEDKCVYMWDLQSRKIVQKLEGHTDTVIAVSCHPKENMIASGALDSDKTVKVWVQKDDSQQDA >cds.KYUSt_chr3.47278 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296618183:296619610:-1 gene:KYUSg_chr3.47278 transcript:KYUSt_chr3.47278 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSEGPKVLKAYKEALATAASVSAYAMLARGMAREFLPDELCAAVRWGASLVCSRLGAREKARHTIIIRRVENNHLFDAARTYLADKISPRTMPRLRLSHSRVTDESDGSSSWCTLLSMEDGGSTTDNFDGAEFRWLYVESGGDDNGNRGRGGSESLELSFEAEHADTVLDRYVPFVVSAAEELWRQDRALKIFLNDGSMWHGINHHHPASFDTLAMDPALKRAVRDDLDRFLKRRDYYQRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNIYDLDLAGLYDNTCLQRLLVDMSNQSILVIEDIDCSFDTMSRERSKVSESADAEEDDDRDHRTRDREEPKITLSGLLNFIDGLWSTSGEERIIIFTTNYKDRLDPALLRPGRMDMHVYMGYCCWEAFRTLAQNYHLLEDHPLFPEIQELLAAVEVTPAEVSEMLLRSEDADVAMRVLTEFLQERSGATKESKHKPDEAQ >cds.KYUSt_chr6.12083 pep primary_assembly:MPB_Lper_Kyuss_1697:6:75167571:75170599:-1 gene:KYUSg_chr6.12083 transcript:KYUSt_chr6.12083 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDRMGDDSWTVNLFMKDAPSHQNFLEQTMDRDLICYFDIFGMVEKYGYTTSDALYCKRDSHGRHKACLVKISNDNDVGKMLLEHEADRKLTFFVEKRTASLNTGVHFAAPTHTGSSDDAMEGYDTESSNESDHETDRDELDHESEAMKRRWADPSVHPKKHKRPRKSVSNLEDHDHGDLDDPLEPLQNGDEDEEILQDPLVDSENEYQDLAELDTTISGVSAESGSIKIVWPNGVIQEYRGRFTVSNVMNIKSGGKVIIETDENGVPNQRSAGLLGSFLRGLAKNSSHVPLHIPRWDNKLMRKPKENLITYVEEVKNKGPVSKIDLWDEAHKKKDGTYTNENVQQLMHKARKELAILERKKNGKLSPEDYDKVFDDVIAKDSTIGTYYDEKYWGDARLCRGSTSVPGASSEVMVQNELQEMKADLKNVTGLMGRMCAFMARNHPGEDWMNDVMTAGNEIKKGNQPEDPAPMLQSNNITMNISYRFGGDYEVDGVERPMANIHTTGSNPKCDKRSGELEVLIISQERKSSVYYFKYYAI >cds.KYUSt_chr5.19986 pep primary_assembly:MPB_Lper_Kyuss_1697:5:129757416:129759603:1 gene:KYUSg_chr5.19986 transcript:KYUSt_chr5.19986 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANCGEPLRANSGRFWALADDAASESSVEDDDPVTGFSRYLVRSPASEGCVMVEAEISALRRREEKKRRQRQAAITINSDLGAVVAPAVTEPVRPVPPVNRQTHVARNTAVQRSSEVNSAGARGHGQVHGDVITGTVQTNSVTHHQMEDNVFKVNFPSRTDLVKAQHFGRFCVPRTQITLSFDFWRKDVEPVWTAEEVWVRVHGLPPFALDEIPALWAFGDIFGETMDIDLPFTRANNVLRIRISCLDPSLIPANLDVKIRNDFFRLRFEVEGFKAPTASKESLSEDM >cds.KYUSt_chr4.47714 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295356125:295359769:1 gene:KYUSg_chr4.47714 transcript:KYUSt_chr4.47714 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAPEAGDGGGGRGKAAPEAGTNRKHLSSIANHESISKEREDRKEPLVANEAVMGDDTSLFYSDMMPLLVNEEPTVGEDAYVWFGSVFPLACDVVNARFTFEALTATTAKRLHYPAYDKFLKEMDKSFKSLQNLPTPTEVEFAEDEFILDMEGTAGTQRVVRHIGTTSWPGRLTLTNKALYFEASGKLSYGPAFKVELSDTGMDQQVTTASTGPFGAPLFDKAIEFTSLPEPLLLEFPEMTSSIRRDLWLTMIKEVIFLHRFISTYNIESPIQKWEVHSRVILGVIRLQAAREMLRMSPPPPSSFLIFSLYDDLPKGDFVLEQLANNLKETSTITPFSASYVFKSLNKSDPIALFAEMAKEHDRDSSSHEQPLTSLGNTIDQVRDEAREVTVANAPIEGMKEEGLTDSLLVLVGLVSPISKLGPVIQQVTSWDNPPLTGSVLAVTLLIIYNEWVGYALAAALILAVSAMFWARQRKIGEICSEVIIDTSSDKTTMESIVEAQHNMKKVHEYVKSANIVILRLWSIVLARSPKHTETVIWMLTGLAVALAVVPFKYVLIGLTVAIFMGNTRAAKAVSNPRGSRRWREWWESIPAVPVHTVDKAELRTT >cds.KYUSt_chr2.30758 pep primary_assembly:MPB_Lper_Kyuss_1697:2:189429375:189431008:-1 gene:KYUSg_chr2.30758 transcript:KYUSt_chr2.30758 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSFRLCYVAAATFLTIFLLRSFLIKKRRRLPPGPAFALPFLGHLPLLKKPLHATLARLASRYGPVFSLRLGSRPAVVVTSGELARECFSSDLDATLANRPHFPSVREASFDYKALTLANYGDHWRAARKVTVLQLLSARRVDLMSDSVFARELRAMVRRLARASGGGAPARVELKKRLFDLSHSVLMEALARSRNTYSDDGADMSEEAREMKEVVDAIVPLVGVANLWDYLPVLRWLDWRGVRHQLADAVSRRNAFIYKLIDAERHKLEDAAEAEQGMIGVMLTLQKSEPEMYTDTFIAALVANLLGAGTETTSTTTEWAMALLLNHPAVLNKAQAEIDARLGADPGRLLDKTDLPHLPYLHCVITETLRLRPAAPLLLPHEAAADCKLHGYDVAAGTIVLVNAHVINRDPATWGPAPDEFRPERFEHGAAEGKLMISFGMGRRKCPGESLAMRTMGLVLGTLIQCFYWKRVGDEDVDMAASSGTVMLKTHPLEALCTPRPGLHALLHHI >cds.KYUSt_chr7.17249 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106888068:106897726:1 gene:KYUSg_chr7.17249 transcript:KYUSt_chr7.17249 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSCPSIQHRHAMLQLLLATKAGQEQTKGVPCSSAKKPHLASTASQGNFSSAAEIERRTRRRILIEEEDGEGDSRTGRDLRPARSERRATEQGHVTSALVAGHVPGHESTPCNEVSLASQDSIALNCFTFCQPRPLEVASEVVDNNLNHHSLHDADNMRQNGSRNPAKSSSNNLSAGDNVSPDASLHKHEDVSRDPSAASADDRSSRSGDDSDGAESTNGKSSNTDPAGLENDNIWIPPEAADKGDETESVTGNIAYDDDDDDCGDGIKWGQSTFPAAGEEHEASPSPTDERENAMLEAMNGQLKILVSRFLASAGIPFEKGESSESWLDIVTCLSWEAALLIKPDAKIGNEMDPGSYIKVKCVASGTRWQSEVIKGLVFKKNTAHKHMPTNCHNPRLLLLKGALGLSGAGLSSFNSMDQILLRGANTEELKKVKQVMHYTVFAAYHLILETSFFEDQRVFLNDRNASKENYFATMIGPSAIGYDTAVTSGAIPLSHDDSPALRLYHATSNIYADGKKALSCSDVDAPVLITNSSLNELAEGANIQYSSTPLLHAGKLPPPVSEPLRKFNDKLRHQNINLPVTSMQETTDSQIEGMVQSGEEILSNGFHVGSKVEESAVPSENLDDTEDLLKQERTQDMCEKHDESSAVAEDVEYSGKENVYNKDQVDDALDSHSILILMSSQCITKQARRLSICGHLVNRDCLRFFGLGSKVARFRYSSVEIYTTCKPQPTLHFDNPSKENWLEEERRHVLARGMTLFSEIASLLQHLKNRCHDVVIANCGTFLPVKDFSELEELLIKDKADFEDSLVKTINQNGRSSSSVHELININWSYQDILLELYAWDCRLHDLFKCKPARQGNAANGYDPTDTVKKIYGASYEPDKQIDKLTCENTMKADGVAGTTEHTSNKLGIDHNQSDEIAATLLDDSQEVGNSELSYNGASKDGVSTIGPGQLEVDSTTQTQKLTSYEVSNDTELQGNGVLVHPIPMEQEHSSTLHKSRSSDWDDSERWIWSSFRESQLAYRKEIQIGCLEKFELVNHYSPSHLSPLFEKHEGDSPQFTVGPGGHILCVLEHEVSSIIACALAISEERRHDTIIMKEADDARGEHTKTMEKSYSLLSESSFISSPWSSIGSLDSEASFSSSISSYSSGDLSGYDTSPLLPLVHPEISVNGKVTLRRKYSVTCLYADQFHLLRKKCCPSELAYITSLSRCKSWDAQGGKSKALFAKTLDDRFVIKQIKKTEFESFIKFAPDYFKHAYHSLDTGSQTCLAKILGIYQVKKTRHGKEIKMDLMVMENLMFGHNISRIYDLKGSTFSRYVTNSNDPDTVYLDQNFVEDMGISPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVNKEKNKLVFGIIDYLRQYTWDKQLETWVKTSLVPKNVLPTVISPKDYKKRFRKFMGKYFLAVPDTWSPDNSSESHKSFGHSNNKLVEAHKDDNLLQRPIQADA >cds.KYUSt_chr7.29987 pep primary_assembly:MPB_Lper_Kyuss_1697:7:186687586:186698998:-1 gene:KYUSg_chr7.29987 transcript:KYUSt_chr7.29987 gene_biotype:protein_coding transcript_biotype:protein_coding NPPPNPPVNLVLSSTADAPRLPPRQRPTPIPQAFVRASTPPRSRTSRPPCSKPQACRSPRFWPLRRPVRLRPERQDVPAEKATRWEKDSWSRSERHLVSWVIFVRLAPLLPHAPLLLSQQQSWWLSGSPASSMDGSPPCRKLGHFSLVLCDIEVKKLPPPLLDNSVEKQYDSLKGGFELVIKIEMAKVSESLLQHSFRGVTKPVRVSMELDNGLSQVFGKRSARLLKLFITGRLSSKSDGAAYRSLQCRLLRPVVPIVNNVIAATSSSTPPPPPPPNRQFPELTIAGFADALRPDKFTGVHFKRFHVGAGIPGVAPHYIPPPSTFSVLLGSSWFHVGAGIPGVASHYIPPPSTFNVLLDSYWFD >cds.KYUSt_chr6.30609 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194032694:194036990:1 gene:KYUSg_chr6.30609 transcript:KYUSt_chr6.30609 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIQSQLCRIRNDRVDLDKERQLLLEDQCKLHGIIDFQTPATVLQVASAAPGQLDLHLVCGTVFCIRLEGDPEAGKDDRKVEERTTKLSSSEPGHTDTDLSQFEALTLNIIPPTAESADRLVEHPTEVRSSDRGGTSVDLSKVEGLSLNVSSAESTDGAVAHAGDLDSSAPDSTNAKQPAVREGLSPRSQERWLRIDKYLAEHTFDDMWEGMVAMKNGFCNPPKKVPFPIKRSSKKLASPDSLQSPHGLEAQSFQTTTKCGESTKQIIENGKQWMKKEVMEAFKKYMKETGRFRGVEFELDKLLHQCLSVETYEKIFHHYNFTVKTKQAGSDKWSSTLYFAQVKEMYGQKHYFCYPLDPFEDGFCHACKNQGMDALKHPAVPIGYETGQAGYETGQAGTGCPFFEDDSDDGDRVPDNDGMAWAFSQAFLGGVPY >cds.KYUSt_chr4.3494 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19887219:19888141:1 gene:KYUSg_chr4.3494 transcript:KYUSt_chr4.3494 gene_biotype:protein_coding transcript_biotype:protein_coding MEELMFDARMEELLFAAAFHLAPISVRKCAPFRRWQICSADAIELDCVRSSWSTTVGAALPEQEGCLGREELYQTGGAGLLYQTGGPGRAQCATAELTKSLLR >cds.KYUSt_chr3.6837 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39288523:39289175:-1 gene:KYUSg_chr3.6837 transcript:KYUSt_chr3.6837 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTWELTKAVDRGHRWWTRPVDDFEQEKRTTCLIVDGQFEDFNQVHLGESDLEFGNDEEEINDNDVIEMKPPTGRVVATTASSQANMALVIEDMQLLPRLAFKILPTALCNTKYYTWSFKVSSPLSPALSDHLFITDT >cds.KYUSt_chr2.7542 pep primary_assembly:MPB_Lper_Kyuss_1697:2:47277922:47278778:-1 gene:KYUSg_chr2.7542 transcript:KYUSt_chr2.7542 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSRRHGPLMHLKLGEIHTVVVSSAEVAEVVLKTNDLVFASRPRSVMLDIASNGGKGMAFAPYGERWRQMRKLCIVELLSSKQVKRMDGIRAEEVGRLIGSIAASAGATINVSEKVAALTHDLVSLAVFGHKFAQQEEYLREFDQMMTLLGGSSGLVDLFPSSRLVRWISNGERHMGASCVRIQRIISDIVEGRKAARAARDGDFSTGGEDLLDVLIRLQEEDSLPLAITTETIGAILFDE >cds.KYUSt_chr5.20599 pep primary_assembly:MPB_Lper_Kyuss_1697:5:133811990:133814450:1 gene:KYUSg_chr5.20599 transcript:KYUSt_chr5.20599 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSLQARSTSRIKKRRHGSKDMKENGNNDTLGPTDRAWADSGFSWPDPAPAVLGPPKLCARPASGSRPCDRSRPPGPPPPGPPLAYVAGSRARSIERSIDMAGSFSYWRRPEFVRTGPFLIIVAAAAFIAAGITALSGHGFTAAAFNAAGIAALSGHVLTAAAFNTVSFVSARPSPPRAPSSTHTSPTRPGSWPRRTATHQAGRGHAIHFSYTRAAASSAGNSTAANAPTPVPRPPSTRACSSETPLAGQDLRRPARQTRPRHLPRRRALRLPTAELACVRVAAAPLDRASAKRAAAPRGRALSCVRVPAAQASYSGMQQAVAAGHQQSRSIITKPTCRCNAGIIQARACASACTQSAPSPRPAPATPTAPALLVWRATHPAVVDSGCRSGCALVTSGYTPYSVAGSDSLIASGSRVTSKSGFRPLAPASAAPRPRTRHAPSGFGCDTPPRAGYRPAGSRLVRLAPA >cds.KYUSt_chr3.9909 pep primary_assembly:MPB_Lper_Kyuss_1697:3:58236279:58240871:1 gene:KYUSg_chr3.9909 transcript:KYUSt_chr3.9909 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQSQRKPGDQVFWPKVVLKKWLNLRSKDYMFNADEDDDDGGDEQEDNGGCDGGGEADGGVPIADESQVDAVSYRLRRRNSETMRAQYINTKELRICIGTYNAAGKPPPDGLDISQWLGTDAGGEQADMYVLGFQEVVPLNAGNVFGAEDGRPALAWEELIRDTLTRTQPTTSRPKYRYRSHPATPTRDGSDELFPGGTDTETDDDTLFSFPVQAEEFIASTPRMLGAMHMDDPDAGQDEQPQQRTLLKTMSKTDRIGLAWPEQPLDLLAMSTASTSMSSSSSFKSSRSFGAHRSFMNSRVADEPRDDGPAMAADLDDALRGKKSAGRSPFVRIVSKQMVGLFLTIWVRRGLRRCVQNLKVSTVGVGAMGYIGNKGAVSASMSVYQTMFCFVCSHLSAGERPGDLVKRNADVQEIHRRTRFAGPGGLELPRDIYDHERIFWLGDLNYRIDVPYGRAHGLVAAKDWPQLAEKDQLKRELRKGRAFDGWSEGVLEFAPTYKYEIGSGKYIGDDLRGGRRTPAWCDRVLSFGKGVRLLSYGRSELPLSDHMPVAATYAAEVEVFCRRKLQRALTLTDAEVETGEVVVVPDLGF >cds.KYUSt_chr6.16114 pep primary_assembly:MPB_Lper_Kyuss_1697:6:101488527:101490998:1 gene:KYUSg_chr6.16114 transcript:KYUSt_chr6.16114 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRDFKVYNQALLARQAWRLLQFPDSPCARLLKAKYYPAGHLLDTAFIQDVSSAWKGVMHGLELLKQGAIWRIGSGSMVKIWRDNWLPRADNLKLSGMKERCRLKWVSQLIDPVTRTWNEAIIKQYCYHHDAEAILQIKLPQRVSEDFVAWHFETTGVFTVRSAYWLGMQPKSQALSRGQSSAEPDGERSIWNLVWKTPVPPEDGVSKDMRIKLLFLLWRTWHHRNNVVHGDGKASIAASVPFLQNYVASIHPNVPEPDRKGKKAAMLLLAPAAELVTVAPSNWQAPEAGWIKVNVDAGWNTSFTAGGAGMVVRDSTGSVLFSEWKTLPPCASAEEAEILACLEGIRYLAAHPQCPGVLETDCARIIDVLTSTEKDRSTNWSLLLEAKALLDLLPVVKVCKVSRVSNKVAHDLAQLGLVARDYRGKFIAAACRKYSNIRDPFTIELIACRDTVLFAKDRGSG >cds.KYUSt_chr3.44085 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278317622:278318748:1 gene:KYUSg_chr3.44085 transcript:KYUSt_chr3.44085 gene_biotype:protein_coding transcript_biotype:protein_coding MARQHAASVLAAALVVGILASIPAEVRSIGVCYGVVGDPMPSASDVVKLYVSRGITGMRIYKANAKTLAALVGTGIDLIVDVPNDQLAEMASSPDAASKWVQDNVVAYPGVSIRYIAVGNEVEGNDPRAQNILPAMQNMNAALSMAGFEQIKVSTAVQSGVTTGYPPSHGIFSTKSAHMPPIALYLAKTGAPLLANVYPYFAYTGNPTDITIDYALFTSSGTVVQDDNGLAYQNLFDALVDTFYAALQSAGAADVGIVVSESGWPSAGATAATVSNAQAYNQALIDHVSHGTPKVPQPLETYIFAMFNENEKGGAETEKHFGLFNPDMSSAYDINF >cds.KYUSt_chr4.34178 pep primary_assembly:MPB_Lper_Kyuss_1697:4:209690460:209691029:1 gene:KYUSg_chr4.34178 transcript:KYUSt_chr4.34178 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPALHLAVVALLGFCCLVHASSSAIQFPPAAPMVQRKTEAIPTSSGADGQAVITREANSGGFSRRMEMDMELEDYPGSGANDRHSPWRQERRN >cds.KYUSt_chr2.8013 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50341511:50343501:1 gene:KYUSg_chr2.8013 transcript:KYUSt_chr2.8013 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDAVNAAVGRTLVQGSVINNKCEKKAPKKIHKSEREKRKRGTQNDLFSELGAMLEPDRQNSGKASVLGDTTRILKDLVSQVESLRKENITLKNESHYVVLERNELRDDNSMLRSEILELHNKLRVHQQSGPIWSQDSTRSALTASYPTSRVFPVQHSPHLPVITETILPLQPIITEQSYAAPPRELQLFPEVSSASAEDSELSQDQGLSNSVARPQARYPTPAVVLSPVNQFPILPRMGEEQQYSSGTSEEHSLHRV >cds.KYUSt_chr3.17888 pep primary_assembly:MPB_Lper_Kyuss_1697:3:109803127:109803824:-1 gene:KYUSg_chr3.17888 transcript:KYUSt_chr3.17888 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDEHSNGNGGGQQHGYEWKLPAALSARTTSVHVTALDGVVNVNSLFTVAVFVGLSLATPGELRSLAGDPSCDTGPEVARSLLVLEVVAFSSFLFSSLVAQGLKVALNLINSKDPQATHAHIDARLVRLGMLASAVGSVVGCVFLMVSMVMVVQIRLGTLGCTSNRAAAKAAAGLVGLVSTALALYIGTVFYTFTH >cds.KYUSt_chr5.43085 pep primary_assembly:MPB_Lper_Kyuss_1697:5:271779717:271782239:-1 gene:KYUSg_chr5.43085 transcript:KYUSt_chr5.43085 gene_biotype:protein_coding transcript_biotype:protein_coding MQASGSYRSLCQLMAPVASISVAGAALVLALALALAVALQLSASAAADIVVPPPPPDNCTRICGKVAVPYPFGMGSGCYWPGFNLTCVSSKSGPLLIGDGTLQVDHIWPHNSTLRVIRTGGMKIEDEANGIGGSFGGGLRDGGPYTLSAYNELVVTGCNVVATLVEGHTNLTRSGCASICTTAADHMEYPVGLTVCSFGKGCCKAETDFWRLSFNARLKWFGQNRTVDEMWATTRVVVSEKGWFYKRFILEEETQVPVQLDWAVEVAGDEQGDALRPGDTCPSYVTRDVCKSSNSNCRPGPDGGYSCHCYTGHAGNPYIAGDGGCQDVDECEQPEEHGCFGECTNTAGTFYCRCPRGTQGNHTIPGGCIKSATGLTIGIGVGSAAGAVLLVLIAFVLTQKIKRRRKEMLKRKFFEQNRGRLLQQLVSQRADIAERMIISLAELEKATNNFDKTRELGGGGHGIVYKGILSDLHVVAIKKPKMVVQKEIDEFINEVAILSQINHRHVVKLYGCCLETEVPMLVYEFISNGTLSDHLHTSGPKSLPWDDRLRIATETAKSLAYLHMTISTPIIHRDIKSTNILLDDTLAAKVADFGASRYIPVDKTGVTTIVQDTRGYLDPMYLSTGRLTEKSDVYSFGVMLLELLTRKKPFSYFSSQGGGLVGHFATLFVEGNLAQILDPQVVDSESKVVEEVAKLALACVNLSGEDRPTMRNVELTLESLQASKMHVLGNAVVKASEEDGAATSSQTSPSLPRNRKESSRQYSMEEEFVLSAKYPR >cds.KYUSt_chr4.48244 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298724528:298725905:-1 gene:KYUSg_chr4.48244 transcript:KYUSt_chr4.48244 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRVQCKHDDMDNKVRRERAAVDKEHEKLEKLFKKICKDMNQKLRHDRDDMDHTIELERAKKDCKNMQARADMDQKLRQKREEIESKVVLEQLNQEAKIMQDHEELFPLPGQRDGAGCRFRISFWVVLSSMAFEGRLVVSSGRIHCALCFLCSVVPYRWQVAVQTVWSGACIPPNKACYRSLKLDFVDQVRLGVVLLPVAVALSWGEPKATTVKIIAGSVNKVQVRVLGDSALSACGVRSLPLGHHGGGSRRWSDVDGGEADEPQGIRGAVSWWRSSSVAQVWLPTQEAVGQLLLILMRWRQILNLLRRPLPGCAAALFFQQRSSGFVPGLGVDGRRLCPELAGGCEGSDCVLVYVSKILFAYDGVQVVIALSAVWTFL >cds.KYUSt_chr4.42739 pep primary_assembly:MPB_Lper_Kyuss_1697:4:264626396:264626869:-1 gene:KYUSg_chr4.42739 transcript:KYUSt_chr4.42739 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGKKLDIIGYIWHEMRDCAFLRKLPQYAPYIMRLICLKWDQAGRGDLLEQCRPHITTHEEKSLLVKNHDLPRFGKNAPKDLEEEEADSDDSAFVPNSVKTKGLFAKLTARLKKSFCFKEDLQDKMYQAHHDNKKIRQRQKAMMRHMGLTVSEGS >cds.KYUSt_chr5.8967 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56965132:56966244:1 gene:KYUSg_chr5.8967 transcript:KYUSt_chr5.8967 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLHKSGNSHNRPAAIGKFLSLKLMLPIALLISVCVIAVAQYHQSITYFLRPLWDTPPKPFTRIPHYYAPNTSMAELCKLHGWHVLSSPRRIFDAVLFNNELDILEIRYRELFPYVHKFVILEANATFTGIPKPLSFSQNLNRFAFARSKILYDRLPIGRLDPDHRRQPFDVEASHRRALNALLRRSGIATGDVLVMADADEIPSPETVQLLRWCDGVPPVMHLELENYMYSFEFPVDHSSWRATAHLFNERTGYRHSRQSDLILADAGWHCSFCFREIREFAFKMKAYSHADRVRRESFLDPARIQRVICSGDDLFDMLPEEYTFRDLFKKMGPIPSSASAVHLPSYLIKNADRFRFLLPGGCLRSE >cds.KYUSt_chr4.25960 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163265746:163266264:1 gene:KYUSg_chr4.25960 transcript:KYUSt_chr4.25960 gene_biotype:protein_coding transcript_biotype:protein_coding MKWFSMSSSTFKSPVFIGLLAVMCVAVVLLMHHCILVTCCNGGERRGRRGRRLGPSTDQDAASVSVDELSSSSRAQLVTKAVVCRYKKDEEWGEPTCPVCLADFADGEAVRVLPECLHYFHAECIDTWLGAGKTSCPMCRSETTPTPSPSPAGSLHHQLSLDISLEDILVRT >cds.KYUSt_chr2.21070 pep primary_assembly:MPB_Lper_Kyuss_1697:2:132441524:132441898:-1 gene:KYUSg_chr2.21070 transcript:KYUSt_chr2.21070 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRRRPHLSQRSQRRRPPLLDVLDGDIPAALLAAATLDGVVVGLPAALLSGGVLVATTSGGEGGGLPAALLGGGLARCSPWLSWGKVGLLQRFASRPGLRVAGQRSAVVNREAMRETQGQAG >cds.KYUSt_chr3.12524 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74880909:74885128:1 gene:KYUSg_chr3.12524 transcript:KYUSt_chr3.12524 gene_biotype:protein_coding transcript_biotype:protein_coding MPESAVPNGPVPLSCDFAHPRATVIRVEKRGRHRIVLALAPHFQSSVCSFSLPIQLCDTQSVVASTATHDLLTSSASFLSNADGSNHPPDGDENWLFLETFSDRPILDARDRNVRRVSAFFVLLESPDLLALAVPPYMEQHLISKYKLKNNGPPVKVCLYMGERCEWKVQLQWRVERVGFIKSWSEFAARLGLRVDDTIVFAPKNDGFKVDVSGRRLRAPASSAAVSIARALMVILAVKIRRSPVLQWYHILIDPIPVDVGVLSFGRAVISPSSTYSTVATKKQGVWERGFADIKHTMEDLVAKLTDRLDAMNNKFKESFHDVVAVLKVQDEKVEFVQQKTSLLENSLHTVRAQIAEGRGACHTAPASPTVVVDKSNGILARPPPQPLPQLHLPKFADLHPGEAAAAPQGGERRGWVPKMDFPKFVGPSPTIWAEQCVAFFNLYDVPKSLWVTAATINMVDNAALWLQAYKAEHTLGSWDQFLTPVLEEFSLDEHERVLRDLLQICQVTTMDDYWSRFSELKYKLRVHDPTGSEKSLVLQFLHGMKDEI >cds.KYUSt_chr3.17714 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108594211:108605154:1 gene:KYUSg_chr3.17714 transcript:KYUSt_chr3.17714 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGARGPFPGPNSMRPDLAPTPPRQAPPNRAGRAPPPAEPLAASDATRVATFFLRPLGKSPRRPRRSRLQGAAAATSAPRLRRHHAEAELHAAAPAASRAALTGPLGSRAPRRSEEHHNSLTPDSLTESITALTLPWYFCSRFRWMDTAGIPWTSRRSPSNFPIFAAAETSRVDSMLGLSSFSCELAEHATVAVGVWMTFHTLLDKTREGRRSELSRRSSAAAAMAMVAAGGEPVEPQSLKKLSLKSLKRSQDLFAPSHSLLFSPDAESKRIRVSCKVNAEYGAVKNLPTDQGRVQGKGAAAPSSALTLPGTQDTKDARGGGTSNAIIPAPLMLPKAPDSTGQGKNRTVLSIPGSSDRFSTSALMERLPSRWPKPEWHAPWKNYRVISGHLGWVRSIAFDPGNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQVRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVITGSHDTTIKLWDLVAGKTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTILNAMAVNEDGVLATAGDNGSMWFWDWKSGHNFQQEQTIVQPGSLESEACIYALSYDNSGSRLVTCEADKTIKMWKEDLTATPETHPVNFKPPKDIRRY >cds.KYUSt_chr4.42824 pep primary_assembly:MPB_Lper_Kyuss_1697:4:265216344:265217795:1 gene:KYUSg_chr4.42824 transcript:KYUSt_chr4.42824 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRVPKEVPGSYGFPYVSAIRDRLDFYYNQGQDKYFESRVEKYGSTVVRINVPPGPFMARDPRVVAVLDAKSFPVLFDVTKVEKKDLFTGTYMPSTSLTGGYRVCSYLDPSEPTHAKVKQMLFSLLASRKDAFIPTFRTHFSSLLATVESQLVLSGKSDFNALNDATSFEFIGDAYFGVLPSASELGTTGPTKAAKWLIWQLHPLVTLGLPKILEEPLLHSFHLPPFLVSGDYKALYKYFSAAATKALDTAETLGLTREEACHNLLFATVFNSYGGLKVLLPGILARVAGAGEKFHQRLAAEIRGAVEDAGGKVTMAALEKMELTKSAVWEALRLDPPVKFQYGRAKTDLEIESHDAVFAVKKGEMLFGYQPCATKDPRVFGATAAEFVGDRFVGEEGSKLLQYVYWSNGRETESPSVGNKQCPGKNLVVLVGRLLVVELFLRYDTFTAGVGTDLLGTKVEFSAVTKATSGPEAVSNQQH >cds.KYUSt_chr1.8975 pep primary_assembly:MPB_Lper_Kyuss_1697:1:55120864:55121988:-1 gene:KYUSg_chr1.8975 transcript:KYUSt_chr1.8975 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERYLSVDVLADILQRLPSISRRRARLVCRHWRDVVNKRTTEMQSHAKPLIWDDGDAYVIDDLSSTGSCRELWKRRVRSGVYRWWDGTGTRPSHLVGVCNGLLCVCVNEERAGGSLTVSNPATGEALAVPPLPCAGLFVGSHRREEIRWDEAYSFACHPMTGKYKVVHVPWSKERLFDLETVQVLTLGDTAWREVPAPAGGARCDVAAGVISIDGVTHWVKVGNATRIVSFDLEGECFASTTPLPAQPDRRNISYHLTEVHGRLGFVMMPDVWVLDQWRRWSRRYRLEEGIPRPHFVYGDYVLTTRSGKTEFFAHRPKGTPPKGWRLECDGVERVGHPDHGTLVAEMTRGYAQCRTFPYVETTEPLGVYETN >cds.KYUSt_chr1.28975 pep primary_assembly:MPB_Lper_Kyuss_1697:1:175341123:175350780:1 gene:KYUSg_chr1.28975 transcript:KYUSt_chr1.28975 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSTRRRRDDYYQAPPPHYSNYPPPPPPHHHHPPPPPPPHHHHHHGPPPPPPPSAAAYYYHQPPPPHAYGPWHPAPPPPPPALTGPPPQFVGHQQALKVKNDVNLRKDTIRLLPDPDDPDRRLVSFTFDAVTHGSLLIYYFAKEGKDCSFSSVYPDLQTPTKIPFEKGLAQNYVQPSGSGIDLGFFSLDELSNPSEEVFPLVVYAEACPPPEEGGQPVNSTRAQITLAVIEKHNDDLQVKVVKQILWIDGVRYELKEIFGIVNSTETDVPDADDDDAGKECVICLTEPRDTAVFPCRHLYSMLLGFMKGTATSQGARHGQPFANSAAPMFGSEAAQYSLLMLMQSIAELEDAVADTVVLSPSRDLPPSAASPLPPEIPLYRGSLSGRVTALDRKRERSHDQLFNDYFYHKALFTPVMFRRRFWMCRPLFTRIMDGVKVYDNYLCAKVDAIGKGAYSCHSEGCTVILEAVASHDTWIWHSFFGMAGSHNDINVLQRFPVFNRLVYGQFPDVNFEINDHHYNKGYYLADGIYPPWATLVKTIRRLNLEQEARFDKEQEAARKDVERAFGILQARWLSSDTLLEHGVYKLCGRVLDLGWIWRVLALVFVAAGLRWRELLQAAELRPKISDNKAMSSSVRASGRSAAWLWHGVSIKLPRRKMVSECSTASSSNKRSDLYINQSMLFPLLLACRGGEEGRGRSLVLAGARRSSGNLQYAGLDSVFSAISKRCHFVATAISGHEVGLAELDQDGSGIFLRCGRFFFVLGATLSVSADPSGHVPGVGKDGRARRRFFGGAPGLDRIFYVDSRVLSAKVRDLVVILCFLKVLFVNLYPPPEV >cds.KYUSt_chr1.35391 pep primary_assembly:MPB_Lper_Kyuss_1697:1:215816268:215818252:-1 gene:KYUSg_chr1.35391 transcript:KYUSt_chr1.35391 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDPKRKRRVPSRFCSFHLAGFDHYEELKSLYSEVTTPSRLTATVELGPQVVNVGPDCTYPVEVYGKVIARDEIDYKCVYLFNRERKDAQTIKSKDKDKMPHKYDDAEEEEEANENEKEEEEVDPKNVVTLDCPQTDTVWEHGCPKLKVKVNWTAVLDRPECTNFLHRCSRLPRGYSIDYRSGIHYE >cds.KYUSt_chr3.15407 pep primary_assembly:MPB_Lper_Kyuss_1697:3:94326377:94326796:1 gene:KYUSg_chr3.15407 transcript:KYUSt_chr3.15407 gene_biotype:protein_coding transcript_biotype:protein_coding MITGDVRRVVEQKPVVVVGQRGCCMVHLARRLLLGQGGNPAVLEVGDGTDPATLIAALQSKDITTKGVVDVVVDACGLAAAFPVVFIGGKLVGGLDRLMAMHIAGELVPVLKQAGALCSEEPCFSDQSSTLDDPCHPSP >cds.KYUSt_chr2.41239 pep primary_assembly:MPB_Lper_Kyuss_1697:2:256374374:256375703:-1 gene:KYUSg_chr2.41239 transcript:KYUSt_chr2.41239 gene_biotype:protein_coding transcript_biotype:protein_coding MSEALAIGHVVGDILDPFVKAASLKVIYNSKVLTNGSELKPSQVANEPRIEIAGRDMRNLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESTNASYGGFKFSFLS >cds.KYUSt_chr2.17577 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110734689:110739838:-1 gene:KYUSg_chr2.17577 transcript:KYUSt_chr2.17577 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAGNGAAGHASNGGGVADHALALEINGAGAGAGNGHKTGVVERAAPVLQANGGGEIKKKVSPMDKYWVAADDGEMEAATADGGEDGRRPLLFRNFKVKGILLHPYRFLSLIRLVAIIMFFVWRVRHPHADGMWLWWISMVGDLWFGVTWLLNQVAKLSPVKRVPDLALLKQQFDLPDGNSNLPVIDVFINTVDPINEPMIYTMNSILSILAVDYPVDKHACYFSDDGGSIIHFDGLLETAKFAALWVPFCRKHSIEPRAPESYFSVKARPYTGNAPEDFADDHTHISREYDEFKERLDALFTVIPKRGYNHADSKEGAKATWMADGTQWPGTWIEPAENHKKGQHDGIVQVMLKHPGHEPELGLPASTNNPLDFSAVDARLPMLVYISREKRPGCDHQKKAGAMNVQLRISALLTNAPFIINFDCDHYVNNSKAFRAGICFMLDRREGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSFVGTGCMFRRFALYGTDPPRWRSDDVKIVDSSKKFGRSLSFMSSIPPSANQEHSIMSPPALEESVMADLADVMTCSYEDGTEWGKEVGWVYNIATEDVVTGFRLHRNGWRSMYCRMEPDAFAGTAPINLTERLYQILRWSGGSLEMFFSPYCPLLAGGRLHPMQRIAYANMTAYPVSSIFLLFYLLFPVIWIFRGQFYIQKPFPTYVLYLVIVIVMTELIGVIEIKWAGLTLLDWIRNEQFYIVGATAVYPTAVLHMVLKLFGFKGVSFKLTAKQVASSTSDKFAELYAVQWAPLLIPTMVVIAVNVCAIGASIGKAIVGGWSLMQMADAGLGLLFNAWILVLIYPFALGMIGRWSKKPYVLFIMFIIAFIVIAMLDIAIQAMRSGFVRFHFKSSGGASFPTSWGL >cds.KYUSt_chr2.1985 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11961608:11968802:1 gene:KYUSg_chr2.1985 transcript:KYUSt_chr2.1985 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLACSSWAASADLLAAALFTLSALALVSTSRRCSSAAHSAFDMPGGFVFFARIFLAGAFGGIVVDEKTRRRVVVDEKTPPGTGAGRRGDWGISAGENGDMQANWREWGYASKLEGMGICKQIGGKIDRISVVISKEREQQIYFLSSHFEKHTFRCLISSVRYLEKAVFNLDYGQLKLVFHALKERKQVIENAPHLCHALPCMTPCFNWFEVVYYWFGLKFYDIVAGKRLLHLSRYYSVEESVELFPTLARNDGDRSLRGTVVYYDGQMNDSRLNVGLACTSAVVGASVLNYAEVVSLIKDESGERVIGARIRDTLSGKEFDTFAKVVVNASGAFCDSVRKMANNNVVPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTDITMLPEPHEDEIQFILDAICDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDHPGLITITGGKWTTYRSMAEDAVNAAIRSGNLKPANGCVTDHLHIVGGYGWDPASFTVLAQNYKRMKKTYGGKVIPGAMDSAVSKHLSHAYGTFAERVAAIAQDEGLGKRLAHGYPFLEAEVAYCARYEYCESAVDFVARRCRLAFLDTDAAGRALPRIIEILASEHKWDKARRKLELQKGTEFLETFKSSKNAQFRDGKHNGQ >cds.KYUSt_chr4.53619 pep primary_assembly:MPB_Lper_Kyuss_1697:4:331707155:331707748:-1 gene:KYUSg_chr4.53619 transcript:KYUSt_chr4.53619 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALATLAAAVLLLASSCDAAMYKVGGLDAWAPPPASKPDVYVQWGKSLPFKLGDSLFFLYPPSQDSAVQVTAKAFAACDVSDPVLALADGNSVFNLTAPGRAYFTSAAAGHCRKGQKLSVDVPTADGKLLPPSADDQAALKALAALPPAAAPVEALPTLSDNGDDSATGTLVAAGAGSVVAVAAAAALSLALFL >cds.KYUSt_chr2.2147 pep primary_assembly:MPB_Lper_Kyuss_1697:2:13005495:13007353:1 gene:KYUSg_chr2.2147 transcript:KYUSt_chr2.2147 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRALVLGFCALLLAIAVANAEAGSVVVGLAKCADCTRKNMKAEEAFKGLQVAIKCKNIHGEYESKAVGGLDGSGAFSVPLAADLHGADCLAQLHNAASNAPCPGQEPSKVVPVSEGTTYGVVAGVKTTTASPECASVTLCGPIKKHFLEHFHHKKPVPPKPEPKPQPHPDYHPIPPKPEPKPQPHPDYHPVPPTPTYGGGGGHPSAPIYHAESKTMLGPIKKHIIDHFHHKKPVPPKPEPKPQPQPHPDYHPVPPTPTYGGGGGHPSAPIYHAEPSQTMLGPIKKHILDHFHHKKPVPPKPEPKPQPHPDYHPVPPTPTYGGGGGHPSAPIYHAEPSNTMLGPIKKHILDHFHHKKPVPPKPEPKPQPHPDYHPVPPTPTYGGGGGHPSTPIYHAESKTMLGPIKKHILDHFHHKKPVPPKPEPKPQPHPDYHPVPPTPTYGGGGGHPSTPIYHAESKTMLGPIKKHILDHFHHKKPVPPKPEPKPQPHPDYHPVPPTPTYGGGGGHPSTPIYHAESKTMLGPIKKHILEHFHHKKPVPPKPEPKPQPHPDYHPVPPTPTYGSGGGHPSTPIYHPPSQH >cds.KYUSt_chr7.40376 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250665132:250666495:1 gene:KYUSg_chr7.40376 transcript:KYUSt_chr7.40376 gene_biotype:protein_coding transcript_biotype:protein_coding MPESTSRAQRPNGKYFEMCASRVRSPRAPEIYRVPEVLLAADKGAYQPRFLSLGPYHHGGTATATEEMRRNDGEKPGNLAYAVQGGGPPVVEYMKAIASVQADARSRYEGGVDMEWDAFCRMLLLDAFQLVTLLEYLGFHDDDHPSGAAETETGGSPEQEDCTPRTSVLSSIGHDLMMLENQIPFFVAQKMYGLRHGDDGSGIAELAWRTIRRIMCDVPSAAANPPPAEKCQHLVHLCHAYLKPSNLGCSCSRGACAGEHGRFRRATEYHEAGVSCWAARRTWPCCRGVGDDAEVCALFRGLAQGLVFDPSGDHYLRTVGVKLQAYNRSRVNRWGAWVIQHRLGNPWLAAAWVFGAMAVLGTILQTVVALLQYLDSQTPTRTVGT >cds.KYUSt_chr7.7262 pep primary_assembly:MPB_Lper_Kyuss_1697:7:43679472:43680552:1 gene:KYUSg_chr7.7262 transcript:KYUSt_chr7.7262 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAVALGVLAAMACLAAVAQAGNFLQDAEMTWGDGRGKVVDGGRGLDLTLDRTSGSGFQSKAEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGSAHDEIDFEFLGNVTGEPYTLHTNVFAKGEGKREQQFRLWFDPTKAFHTYSIVWNPQHVIFAVDGTPIRDFKNHEARGVSFPKNQPMRLYASLWNADDWATQGGRVKTDWSHAPFVASFRGFSADACVMSGGAQRCPAGTMDASGTASSWWNQELSDMSYRRMRWVQRKFMIYNYCTDPKRIAEGLPAECKIRLRSK >cds.KYUSt_chr5.15632 pep primary_assembly:MPB_Lper_Kyuss_1697:5:100961238:100961996:-1 gene:KYUSg_chr5.15632 transcript:KYUSt_chr5.15632 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVESAFTPVDHAVLANSDSETSEVVDSPVVEGVEKGVSEKVCLQVPLGKKEDIDSLGTVCDHENNKGDVAEATILNGVSLELSICCKDSDDSVSLGCQTPRGNIFDPFAPGSEEEFCGAPKKKAVRGAEALSRRKLIFEADDFPVRRLSYEFDSEEEELYLQGICKMFLDLIFSNQALEATGDREDLVDAIVPESYKTPESQPLLTGIAETCPDAPVRPSLRMLKLSPGICRKLDFSSVSPKALFAEDNN >cds.KYUSt_chr5.22319 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145712951:145713424:1 gene:KYUSg_chr5.22319 transcript:KYUSt_chr5.22319 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGSRFFDSWDAVAATPCAYAGVVCAPDEEDPSVLTLGGGGAQDQGRRRTGQRGGRRRTRQGGGAAAHRGRWRELECGAEGGRRRAGQGAAAGAAPAASVGVGVAIISLMATDDGPDDLFSSIRSPRENPGKPRMAWGVRTKIGSNPDQNEEPGA >cds.KYUSt_chr2.13292 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84199390:84204080:-1 gene:KYUSg_chr2.13292 transcript:KYUSt_chr2.13292 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLRGLARLPDFLAVCSSAGWTDQKHKVYLSLLQESFVNRLHEHEIGFKGLFSLSPRPSRPKSTVDRAMPCRKIEQIRPLSSCEDEEDGEDHSTDDDASTTETVQESSSSEASATSHGRSSASRSGKREHSPSRTAAYVELDGFGCYSFLFELDAYWIEYIWTIVGSLVLDLDVDPIPGSPLHGRMVRHKIPDKPSRRATAAAAEPKGCQHAAAAPKDHHLPPESMGTPSLALGNHHEKRAIDVITGAPRDDYHEYPKVIGEACVASMKEELQQVGCLSAREEGTDGRDVSLEVNAGLLDAAPGSSSKIG >cds.KYUSt_chr7.10956 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67325088:67328562:-1 gene:KYUSg_chr7.10956 transcript:KYUSt_chr7.10956 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMFGRVFGKSKEQSQASALASIDKLSETLEMLEKKENLLMKKANLEVEKAKAFTKAKNKKAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMHKSTNIDDVDKTMDEINDNMENMRQIQDLLSAPIGAASDFDEDELEAELADLEGEELEAELLAPTTTAPSTAPVRVPAGQQSSRPAASKTEDDELAALQAEMAM >cds.KYUSt_chr1.8395 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51649221:51654388:-1 gene:KYUSg_chr1.8395 transcript:KYUSt_chr1.8395 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSHNRNEFVTIRHDGDAPAPGKQPKATAVCQICGDAVGVTAAGDVFVACNECAFPVCRPCYEYERKEGNKCCPQCKTRYKRLKGSPRVQGDEEEEDVDDLDNEFNYKQDNGKGPEWQLGQGEDLDLSSSSRHEPHHRIPRLTSGHQISGEIPDASPDRHSIRSPTSSYVDPSVPVPVRIVDPNKDLNSYGLNSVDWKERVESWRVKQDKSMMQVANNKYPDARGGGDMEGTGSNGEDMQMVDDARLPMSRIVPIPANQLNLYRIVIILRLIILCFFFQYRVSHPVRDAYGLWLVSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLAPIDIFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLSFESLSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRSMKREYEEFKIRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPMYVGTGCCFNRQALYGYDPVLTEADLEPNFIVKSFCGGRKKKNKSYMDNKNRMMKRTESSAPIFNMEDIEEGIEGYEDERSMLMSQKKLEKRFGQSPIFTASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHTRGWISIYCMPSRPCFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYNYGGRLKLLERFAYINTIVYPITSLPLIAYCVLPAICLLTNKFIIPEISNYAGLFFILMFASIMATGILELQWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKANDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGKQNRTPTIVIVWSILLASIFSLLWVKIDPFISDTQKAVALGQCGVNC >cds.KYUSt_chr1.30359 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183793023:183795236:-1 gene:KYUSg_chr1.30359 transcript:KYUSt_chr1.30359 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPVIFPLAISLLLVLSPAAVRRCAAQERTDDAPPYKPLVSPLARDSATSLYTISIQDSGPLVVDLAGPLVWSTCTSDHPTFSCSSRECASANGDGVTPAPAPAPKPSCRKRPNCACTAHPCNPVTGRCAAGDLTSSPMSANTTDGRSLLPHPVSFSTVASCAPENLLQSLPAGAVGVAGLSRAPLSLPSQLAARRGLGSRFALCLPGVAMFGNTTIYLMGFPLELTSIIGRTPLVKNPKRSGGYYIPVVAITMVWTSGDTPAALPPRALELDPATGRGGVTLSTVAQYTSMRSDVYGPFLQAFDAAIGAPGTVKKVPEVAPFKLCYDAWSLRPLKRMGWDVPIIRLELAAGASSNWTMYSGNSLVQVGDRTMCLAFLEMAVGSGSDDGPAVVIGTHQLEDNLLVFDADNEELRDIALLFLEIIKLDVAATKAFYAQKEEDKAAANANAKKKASRDKRRAESAAWKAARAEKAARKEEEKKNGAGPSTIILSSSSSFEWTSTPVSETTPSSSDYDWDSESE >cds.KYUSt_chr6.742 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4708108:4709261:1 gene:KYUSg_chr6.742 transcript:KYUSt_chr6.742 gene_biotype:protein_coding transcript_biotype:protein_coding MADYTPGEHGPSTQFFDDTGADLKLSNPTYPEPEDDDSYLRLPTSPSYGGPEFDTMADYIPNEPGLNTHLLDDEDYDIADNFDSLYNDGNTFSKEDLERQTNKFVAAALDHYNSQEKNMVGFGASKVMMDTMARKFVLTPNIAMHVKKN >cds.KYUSt_chr4.52259 pep primary_assembly:MPB_Lper_Kyuss_1697:4:324286959:324287378:1 gene:KYUSg_chr4.52259 transcript:KYUSt_chr4.52259 gene_biotype:protein_coding transcript_biotype:protein_coding MCGEREYPFGLSARATSSAVRVRSLRAGDLLPRAATTAENPLRLLLKFPASSPSSKPKKAASPPASAAQEYPPDLTLPDLKSGSLQHRRVPHVQLQGEALLGEPLVPAVNVLKSLLQFSDFLGPPTTTSQEAGLAEDVL >cds.KYUSt_chr6.31553 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199409917:199413171:-1 gene:KYUSg_chr6.31553 transcript:KYUSt_chr6.31553 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGSALVAALRLLLLPAILLLSRCRTGAAQSSPPCGSSDLHALRGFSAGLDALVAGWPAAPDEDCCAWPGVLCSGASVVGVVLPNRTLRGKVSASLTDLTALRVLNLSGNALRGALPAGILRLSFLEALDVSSNALAGTLTSLSISLPAIRVFNVSYNAFSGALPVLPGGANLTAFDASGNGFSGPVDAAAVCASSPGLQVLRLSMNRLSGDFPAGFAQCRSLTELSLDGNGITGALPDDLFAATSLRILVLHTNSLSGGISPGLRNLTALVRLDLSFNAFSGALPEVFDALAGTLQELSAPSNLLNGSLPATLSLCVNLRVLNLRNNTLAGAIGVDFRAVNRLVYLDLGANHFTGPIPASLPECAGMTALNLGRNRLTGEIPPSFAAFRSISFLSLTGNGFSNVTSALMILQRLPNLTSLVLTKNFHGGEAMPEAGIDGFTNIQVLVIANCELTGAIPAWIAGLSKLKVLDISWNKLAGPIPPFLGELDRLFYIDISNNSLQGEIPASFTRMTAMLAGNGSGNDEDTAVQDFPFFMRRNVSASGRQYNQVSSFPPSLVLARNNLTGGVPPAMGALARLHIVDLSWNGFSGSIPPELSGMTSLESLDLSHNALSGAIPPSLTQLTFLSHFAVAYNNLSGKVPVGGQFSTFSRADFAGNPFLCGIHVRKCDRNQTAGGASGSSGRRRSAASAGVVAAICVGTALLLAVGLAATWRAWSRRRQEDNACRVAAGDESCDDSSFEATRSSTLVLLFPSDDDDGAASERTTVITLDEVVKGTGDFDDSRIVGCGGFGMVYRATLADGRDIAVKRLSGDFQQMEREFRAEVEALSRVRHRNLVSLRGYCRVGKDVRLLIYPFMENGSLDHWLHERAGALPWPARLRVARDAARGLAYLHGGGNGVGASRPRVMHRDVKSSNILLDADMEARLADFGLARLARGNDDTHVTTDLVGTLGYIPPEYASSPAATYRGDVYSMGVVLVELVTGRRPVDMAARLGARDVTAWAVRLRREGRGHEAIDAAVSSSGKHREEAERVLELACACVSEAPKARPTAQQLLDRLNAIAGAAAPETSSDEQHARAVDNS >cds.KYUSt_chr2.9771 pep primary_assembly:MPB_Lper_Kyuss_1697:2:61795154:61796461:1 gene:KYUSg_chr2.9771 transcript:KYUSt_chr2.9771 gene_biotype:protein_coding transcript_biotype:protein_coding MDALASLPADVLVAILVRLPVRSIARCRKVCRAWRSAISHPSFDIAYAQRPAAVVKLTTNELFGFDVEYGGAGVLPKIFATTSVAFELFRGRWHRDNVHINSPSTRALIFGRTRSSVRGSWDGVVCIELFSHTAELSLVPLPPRYRHADQYVLWNPVTMACAAVTPPAGGGEIMGAYSHPSTRRFHLVHASGETVGDHLMASTSFRILRVGDAVWREIPLKEEISMAGHYARCVSLHGSLHWLVLWGSESKLRLLVFDTTREKFRLMEAPAPLRLREGAAEDVLTMARLGVLSGGKLCTFIVEPWTSSMEVWVLEDYEAAALSSWRLKERISLVLWNRSDLSRTFCIATDVEVVEGVRDGEEIFIRHSNRMVGGGGSRIDAYNLGRKEWHMVNVSQSARTVMHRESILQPEVSFGGAARALSQKIDKNGHKCYYL >cds.KYUSt_chr7.14275 pep primary_assembly:MPB_Lper_Kyuss_1697:7:88205624:88206800:-1 gene:KYUSg_chr7.14275 transcript:KYUSt_chr7.14275 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHHLHLHVLAILLLISARPSSVAGGLCRDSCGDVPVRYPLGIDDGCGSPYYRSMLTCTDNTTLRLRTPSGTYPVSGADYADPHLVVTDPSMWTCARPFTSVHAAPFSLDTSTRFTLSPRNDHLFFNCDEDRVIVAPRPAVCDRYPDRCDSACDNAGYLCRNLPGCRDALDEGNMTCCTYQPRAAESLRTMMRHCEAYTSVYWRAVGDKFPPYDQVPDYGVRVDFEIPVTTRCLQCQDRRRGANGTCGFDPLTRDFVCICGDGRNSTTDCADVGGSGHGASAGVVAASVVFSISAAIGIGGLVMYIRKMRSNKVVTCGVQSNENRFF >cds.KYUSt_chr4.38878 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240010143:240012605:-1 gene:KYUSg_chr4.38878 transcript:KYUSt_chr4.38878 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVQATMATRGLMRLWCFLSGVLLLSFIVPSALAEERFYEFVVKETTVKRLCQTNKIITVNGQFPGPTIEVNSGDTVVIRAVNMAQYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGSSYTYRYTTEGQEGTLWWHAHSSWLRATVHGALIIHPKKGLPYPFPKPAKEFPVLLAEWWRRDPISVIRQSMITGAPPNISDTILINGQPGDFLPCSSQETSIIPVVAGETNLLRIMNAAMNSELFVSLAGHKMTVVAADAQYTKPFQTNVVLVGPGQTTDVLVTANAAPGRYYLTARVYASAQGVPFDNTTATAIFQYKNAPGCAETSTGANAGFSGPQGRPRSSGHPGRTGPAPMLPYMPANNDTNAATMFSNSIRSPGPVKVPGPVTQEVFTTIGFGLFNCRPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHNIPGVFTEDFPAFPPVIFDFTSQNIPRALWQPVKGTRLYRVKFGAVVQIVFQDTGIFAAEEHPMHIHGYHFYVLATGFGNYNPRRDEAKFNMVDPPSRNTIGVPVGGWAVVRFKADNPGVWLVHCHIDAHLTGGLAMALVVEDGKAELESTVPPPLDLPICGL >cds.KYUSt_chr3.47453 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297720333:297723867:1 gene:KYUSg_chr3.47453 transcript:KYUSt_chr3.47453 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSSARLLRKLCEASRPLGRREVQGAPTRRLSGAASAARTTSLLRPLPGLDLPPSLPDKLTRLPTRVTTLPNGVRVASEDLPGPSACVGVFVASGSIHESAESAGVTHLLEKLAFKDTAHRSHMRIVQEVEATGGNVGASASREQMVYSYDTLKAYIPQAVELLLDSVRNPLFLQEEVDRQLALAREDVQEMQKNPERFLQEVLNLVGYEGAIANPLICPEEALETINADIIKKFYHENFTADRLVLAASGVDHQHLLDVAEPLMSDWHKGSPMETPKSTYTGGDFRHSAESDMTHVALAFEVPGGWLQERDVTTMTVIQTLMGGGGSFSSGGPGKGMHSRLYLRVLTKYHEVQAFSAFSNVYDTSGLFGIYLTTPPDFVAKAVDVAIKELTAIATPGQVTEVELTRAKNSTISSVLMNLESRVIVAEDIGRQLLTYGCRKPIDSFLKCMDELTLDDVTVFAKKLLSSQPTMASYGDVDKVPPYEFVSKRFQAFR >cds.KYUSt_chr4.253 pep primary_assembly:MPB_Lper_Kyuss_1697:4:1376048:1376407:-1 gene:KYUSg_chr4.253 transcript:KYUSt_chr4.253 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHFTPAMTQALFARFAASPPLSTVDTGLSDPAMEACSLLRAAAILSPAELVFTLTHCFPEYAAPPLDVEQACFHRATSSIKLHTVSTRGDLESSYVFMSPRPRPRVSNTTTGIDRLG >cds.KYUSt_chr2.4666 pep primary_assembly:MPB_Lper_Kyuss_1697:2:28842653:28843381:1 gene:KYUSg_chr2.4666 transcript:KYUSt_chr2.4666 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARWCLGLGAELWTPKVKVHSSAPPRQLEDTSSSSGATPAEPAGEGDSGEVGAGHTSEQREVFTIWMKSLVLNGSGCTVFDSDGRIVYRVDNYGSRQSVDVCLMDITGSVVLQVLKRFGRWDGYRLGNWKSKEPDAPRGRPWFTVVSKKWGGGPSCEFRSDRGRAVRYKMDGGRRRQQAARASWIVDDATGVVVAEVKRKLTATGLSLGEDVLTLVVEPNVDHSLIMGLLVVHGLINHSM >cds.KYUSt_chr6.14888 pep primary_assembly:MPB_Lper_Kyuss_1697:6:93201763:93204555:1 gene:KYUSg_chr6.14888 transcript:KYUSt_chr6.14888 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNAGSFVAVRRLAGSDRAAGAVAFHHSSSAEVVTGSTAWIGRGLSCVCVQSRDSDARLSFDLTPLQEECLLRLQNRIEVQYDGSNTEHQEELKALWYASFPGTELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNYSKSFQELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQAVKPRSLFGAVFLKLLSENDRAFDILYCITFKLMDQHWLDMHATYMDFNTVMKSTRRHLERELLLEDIQRIEDMPSYKLLAR >cds.KYUSt_chr6.2738 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16048590:16055509:1 gene:KYUSg_chr6.2738 transcript:KYUSt_chr6.2738 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRRKRKAPDPVGALSLPAASLGRIWGCGSVSKEVESSYARRLQLLSFLSTTLREPTGSHVAGAATNATESPVSQEQGNSKVVINLDSDDEDESVAACKQLAPEKSSQLTPEENKMLMPSEHAGTLTTWLATEANDEPSETKRDGDHTSQIVPYCQSAAIVNQYPLPSYQPSVQFERVILQRRPEEERIQDLAVATRVEKIAETQVFPVLPKERKQKKIDPSSQLDGDAETTPRKRKGKNNANPAVLGFPLETCNPVEEDEPMEEDEPMEEEDKPEKESDGLEDFWNDFSLAVESSKLDTVEEVANEKEVGEKEVDTDCNHDIQIHDDLGHVCRVCGMIVRRADTIIDYQWKKASRSRSYFSETRSKDPGEIVIGDVRVAEELLVLDIAIHPRHAKQMRPHQLAGFHFLVKNLVSDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVILPKGILGTWKREFQQWQVEDIPLYDFYSVKADKRTDQLEILKAWQAKLSILLVGYKQFSRIICSDGGGTVAAACRDMLLQVPNLLIMDEGHTPRNRETDVLESLSRVLTPRKVVLSGTLFQNHVSEVFNILNLVRPKFLKTESSRPIVKRIMSQVAISGGRISKPGADNAFTDSVEHTLMVHDENFTRKAHVIRSLRELTKDVLHYYKGDILDDLPGLVDFSVFLKLAPKQKELVHKLGAYEIFKKGAVGTALYMHPCLSEMSEAAAAERAVNDATIDSLVQSMNVTDGVKARFFINILALANSAGEKVLAFGSYILPMKFLERLLVKTRGWHVGKEIFVISGDTSQEDREVAMDQFNNSADARVLFGSIRACGEGISLVGASRVVILDVHVNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEEGDHEKAFKKEVIPKLWFEWSEQHCTSEDFKLNKLEIDDCEDELLDNNAMRQDIKALYRR >cds.KYUSt_chr6.27453 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174316270:174317059:-1 gene:KYUSg_chr6.27453 transcript:KYUSt_chr6.27453 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTPVAHVEIQEWRRKKTPWSSRPQSNAQTEFRGVYRLPSGSYAASIWVPSDRTQVWLGTFAKVEDAARVYAFAKAYGAAAAVKLPGAGKNKNRSKFRGVRRRSNGRYGAAIRESKGPALTWLGTFGTAEEAARAYDAAAVKLHGASAVTNFSLDSVVIPGTQQQDLSMAEGQQVDELLKDMDSSDVQDNEALIEAATILHQIALAGHGNGARAGMLSIPHP >cds.KYUSt_chr1.7552 pep primary_assembly:MPB_Lper_Kyuss_1697:1:46290906:46295051:-1 gene:KYUSg_chr1.7552 transcript:KYUSt_chr1.7552 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLVCVLLIIIFGTANAATSSGSVEQEADRVARLPGQPASPELSQFSGYLTVDERHGRALFYWFFEAQTTPEHKPLLLWLNGGPGCSSIGYGAASELGPLRVDKKGEALEFNKYAWNQEANLLFLESPIGVGFSYTNTSSDLTKLNDDFVAEDAYSFLVNWFKRFPQYKGRDFYISGESYAGHYVPQLANFVYDRNKDKTANTYMNLKGFMVGNPLTDDYYDYKGIADYAWSHAVVSDEVYKSIKENCDFKTPNWTDGCNTAMTVIFDQYDLIDIYNIYAPKCLLDLNSSSSANRALFPNHQGAQFGRLRRLFSGYDPCYSNYAQDYFNRKDVQKAFHANVSGLLPGKYQVCSDPILNSYNFSVFSVLPIYTKLIKAGMRIWLYSGDADGRVPVIGSRYCVEALGLPIKTPWQPWYLDKQVAGRFVEYHGMSMVTVRGAGHLVPLNKPAEGLKLINAFLGGEQLPTHR >cds.KYUSt_chr2.41121 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255567195:255573598:1 gene:KYUSg_chr2.41121 transcript:KYUSt_chr2.41121 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPAMYSSLHAADSDSDGSLLSDVPDSPPRRCSPPRPPPPKHRPTPNPTTKLKRKPKPKPKPKPVPSSTSTPTSATAPPIRAAALSDPHGLTGRIAPTASALTANAGAASFSSFRRLVQSRNLTVEPAAAFTIPTPAPASPSEPEPAEIPSAEPCPPPAATYPLPQLRPKRVHPNSVSEAPTVAAEQPKRPRGDSAGNFVRLNINGYGRKKSFKSQARRPTKFRSWKRQQPGGGKPRVGADEEGDFVAEALLEREKNGAGGGDGVLEAVEVAREDPSEQNLDSLLRKVFGYDSFRVGQLQAIQNIIAGESTVLVLPTGAGKSLCYQLPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLGSSQTSDEFHETLRRLRAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVVIDEAHCISEWSHNFRPSYLRLRASLLRRKLNIQCILAMTATATTQTLQEIVSALEIPSGNLIQTSQIRENLQLSISMSDNRLKDLLLLLKSPPFVDMRSIIVYCKFQTETDFVCKHLCDNNITAKSYHSGLLMKKRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYRLPESMEEYIQETGRAGRDGRVSHCHLLFDSTTFYKIRSLSHSDGIDEYAMSKFLHQLFSSGTGCICSLVKESTSRKFDMKEEVLLTVLTQLEIGDEQYLHLLPQFSVTCTLYFHKTSPQLLADKDILLRSILKNSEMKDGSYVFEVPRIANNMRITMNEVFDRLQKLKFSGELSYEVKDPAYCYMILKRPDDLNALSEKVTKWLSEVENSKIRKLDAMFCLANYAVKSCKRTSGCSGSQHTPCIQKRIIDYFSRTEGPSDDDYCTPLRKSSTFLQSDIKVRTNATVHFLAI >cds.KYUSt_chr5.35194 pep primary_assembly:MPB_Lper_Kyuss_1697:5:222498461:222500053:-1 gene:KYUSg_chr5.35194 transcript:KYUSt_chr5.35194 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGAVFHGAQLMLDLAVAGMSLMFALALFALLTAVLCAAAFLHHSKPIIANEITLGVNAVLRIICPVEGAPSHATASYRKIL >cds.KYUSt_chr4.20756 pep primary_assembly:MPB_Lper_Kyuss_1697:4:130690507:130691503:-1 gene:KYUSg_chr4.20756 transcript:KYUSt_chr4.20756 gene_biotype:protein_coding transcript_biotype:protein_coding MANKPSVLSTLPKELPLDFLKTSTDQFSEDRILGVGAFGTVYLGIMPDGQKITVKKLVENSPLGRDKVFSNEVTNIMALHHKNVVKMVGFCHEGQKKVVLNNGRYIVADIVESLLCYEYLSQGSLQKNLFEVDIKMDWDTRFKIIKGICDGLAFVHSINVVHMDLKPESILLDDKMVPKIADFGLSRLFGQEQTRMNTQNVVGS >cds.KYUSt_chr7.24190 pep primary_assembly:MPB_Lper_Kyuss_1697:7:150856874:150857566:-1 gene:KYUSg_chr7.24190 transcript:KYUSt_chr7.24190 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPQANEAEVEQELLSLGASISSSNTISTICDGLRRLGDIYSGVEEIVGLPSNQVGKMLDGEMECSLELLDLCSTMQEIFMEMKAIIQELQVAQRKGDDVAAQAKIQSYSRLAKKAQKHFKKTTKKATSVGCRMVMLLTKAREISVSLLESSVHLLSKEINMPKQSLVSKAFHKKKAVVCEEEQLQAIECSIGDLENGTGHLFRKLVQIRVSLLNILSS >cds.KYUSt_chr6.33413 pep primary_assembly:MPB_Lper_Kyuss_1697:6:209812949:209813513:1 gene:KYUSg_chr6.33413 transcript:KYUSt_chr6.33413 gene_biotype:protein_coding transcript_biotype:protein_coding MPILYLFILQRFNALREAQHEAESHEAHVGCPFNWRLIVFILPVLGVLILLFKYAIAQGPTIFPQEGGVHHRLSEGLSPRLAASLQVSSSESPFPKALAATTAVKKPKLEPTALEKESAHSLSCAAGVDG >cds.KYUSt_chr2.42070 pep primary_assembly:MPB_Lper_Kyuss_1697:2:261896847:261898226:-1 gene:KYUSg_chr2.42070 transcript:KYUSt_chr2.42070 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPGGGGRVRFNVGGQAFETTTTTLANAGRDSMLGALLDSSWNAPQSPGPGEPATAATTKEYFIDRNPACFAVLLDLLRTGSLHVPPHLPEKLLYREALYYGLLDHVRAARWGAFDGDRLRLASSVPGRAPGDGTAIRAAPDGGCCVAHGGAVHVYNWMLDERRPVSLDHSQVNDVAYLDAATLLIAARERLGKCDGGMAAFSAVSGDLRHRFRVAHGSEPKSFTAGALAFDAQGAARIFASCKGRLNEYGVGVWDAATGEQADFFYEPPGVALGDADRLQWLDATGALMVATLFPKTDTCSIGLLDFRAKGVAWAWSDAGTAASLEDKRVLHAIAMDDERSVCVINQYDDLGFLDLRSAPGSGGVRWSSRSKLMNRKATGEESCYPKLATHGGQLFSSMNDSVSVFSGPDHVLTSTLRRSYGGAICDFSIGGDRLFALHNEENVFDVWETPPPPII >cds.KYUSt_chr1.41547 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254766039:254766767:-1 gene:KYUSg_chr1.41547 transcript:KYUSt_chr1.41547 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRLLAARRAALALNAPPVSERRDDDGAFFDLDFSSSVRASSSSSSSNSFSDSDDECTELDFIISLQRSNSASPLKFCASDPPSKFKDGRKRGISSLRTLSFGARKAAPLYGRHSVARSSSSALLLVNSPPDATEDEEHHAAGQSSRRAPSRDVIRRCLVKFSRRLRTATGAETRGFRRLRKCRSASSVPQSWVSSHCDDSAAEKQDGIAGAIAHCKDSLQRASTSESDSPLLRSRSDPR >cds.KYUSt_chr3.28654 pep primary_assembly:MPB_Lper_Kyuss_1697:3:178869257:178869487:1 gene:KYUSg_chr3.28654 transcript:KYUSt_chr3.28654 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAEDGVPKRPSGSARFDRLLSGLAAGALTDIDPDKLKGDIQRWAKAVAALVRQVSFGAWPERSNGSSEHEKAGG >cds.KYUSt_chr1.27078 pep primary_assembly:MPB_Lper_Kyuss_1697:1:163286932:163291832:1 gene:KYUSg_chr1.27078 transcript:KYUSt_chr1.27078 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYSMLDRNGRMQPATLQPPPQPEPVAPPQPDAEFELPDFNFDDSDDSQFDEWNEAFEADAYAKAAQEAAQAQWGEEQPFHDDLELAAILASFNTQCFRRLEEEVLEYVNDAHFEHAVEISRQQAATEEAGCLLMAAERQRMLELNAQHQAEAAAREQARLTQNEESCQWQAALRGQRCRQAPASPAAMLHHQMREDGGEDEKDGSMFYWQFPANNFASYIMGDEFTFLNDRIRMDVSHQKEHCRYAMASHFGDILQLILPTQLRALRCSNSNLVELGGVDCEYLEISCLEKVKSKVEAQRIRLADKKRLRKLKLCWTVGAQGSVKDSALLGELVPPHNLQCLEIHGYNSKTCHPAWMLSGSSALTNLAEVTMEDFPRCSRLPPLGLLPNLQRLVLRKMTSITRIDARDFFDGNRSQISQLSKVTLDGMERLEEFMFPAIDELMIHKCPELSFGPIPPRARKLLISDCDQVMSSSGNKEGHGVEGPSTPVTELVVERCMVPLSDWSLLHNLPGLRSLTIKSCYDLTSSLEGMKVLSSVEKLCFSHCDGMRLLPGYLGELKSLQQLKIESCKQLYYMPSIHKLTNLKLKDVHISDCPKLEKWCELEENNEKFAHFLRKPEVTRPLPQDDWIVETPFNGEYPWSSGSSENDFKHTRTVWFEVLSWIRSTSGPPTAEGDFAEWWSLVVRTAPCQLRKGTSSVIMLTAWWIWKHRNAAVFDNARPSVTSLFNDIAADARLWADAGARGVRQLLP >cds.KYUSt_chr2.38134 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236098467:236098748:1 gene:KYUSg_chr2.38134 transcript:KYUSt_chr2.38134 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRSLTRSEERLTTSALLLASTAGQKRNSSTSHQGRKALPLATMAGQENLQILISRFLMGIRRQELIEEGGPTLPSIDLHTEEVNRKQQRA >cds.KYUSt_chr7.7670 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46308235:46308456:1 gene:KYUSg_chr7.7670 transcript:KYUSt_chr7.7670 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYSKSEACLFTMPHYSKWVWMSACTALNFDLLLVNVIGYRLKVYPTGFDWTTSQCSSASTGSSNTSLRASA >cds.KYUSt_chr1.3127 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18947599:18947844:-1 gene:KYUSg_chr1.3127 transcript:KYUSt_chr1.3127 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARSCAVCCFAAADEVAPTDTLRVLRLQRLRLRRAATGCLDPIFEEQEEEDDDVPAACSPGAYTSSSSSAVDLAQSHR >cds.KYUSt_chr3.36714 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230921180:230926085:-1 gene:KYUSg_chr3.36714 transcript:KYUSt_chr3.36714 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRSSTGSSRASSSPSPSPSPSPSSILHESITEMSKIAFIDEIIPFFIFFHYNPSFSMLGPTIIAWHETVPKQVLDFLPELFDGLKDQPYMLTEAEAAIFLPCLIEKSGHNIEKVREKMGELIKQMMNIYSLPKPLPYILERLRSKNNRTRIECVDIIGYFMDNNGTEVGDLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRFVGKLSDAQRSSGNGQEERRKAWSDVAEQSGELVSRSIAGSMTPRVNFGYADAHMVPRQMAAGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEAYRLVSCLSVMVKPLISA >cds.KYUSt_chr3.36174 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227449329:227450584:-1 gene:KYUSg_chr3.36174 transcript:KYUSt_chr3.36174 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTASRLVRAGYAIYGVDHEGHGRSSGLRCYIPNFGDVIDDCSSYFKSVCDKPENREKKRFLYRISMGGSVALLLHRKEPAYWDGAVLLAPMCKISDDMKPHPVVVSALKMVCAVAPSWRIIPTPDILDKVCKDPEMRKQIRSNPYIYRGKIPLKTCHELLMVSLDIEKNLHEVTLPFLVLHGGDDIVTDPTVSKMLFEEASSRDKAFKLYPGMWHALSAELPPDADLVYSDIISWLDRRVNRATCGANVSENIGTASA >cds.KYUSt_chr2.53549 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334044488:334050096:-1 gene:KYUSg_chr2.53549 transcript:KYUSt_chr2.53549 gene_biotype:protein_coding transcript_biotype:protein_coding IDADMAVPSIWSLRSVAFREPWLDESSPRSSVQSEIGARSSRRFSMPGFGYDSFNPVKSLMSGMRKGSGRIKSLRQSLTSGAPKTAFAEDLKSLKKNIFDPQEKFLLRMNRFFFVSCIFAVGVDPLFFFLPIIDHSNCIGIDKDLAVTSTIIRTIIDSVYLIRVFLQFRTAYVAPSSRVFGSGELVIDPMLIAMRYIKSYFIMDFFALLPLPQIVVWRYLHSSDGPDVLATKNALVWVVLCQYIPRLLRIFPVTKDLKRTAGVFIETAWAGAGYYLLWFMLAGHNVGTLWYFLTIERQDSCWRLNCHRSNDCDTSYLYCSDNHGSSDIYNTWNKSTQIFNRCNGTDTAADAFNFGIYQQALVSGILVPGNFVSKLCYCFWWGLQNLSTLGQGFVTSTYPWEVLFSIAICILGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAERWMHHRSLPPQIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPALYTEHEYILREGDPVDEMQFILHGCLESMTTDGGRSGFFNKVQLKEGDFCGEELLTWALDPKSAANFPSSTRTVKALSEVEAFSLRADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWGACFVQAAWRRYYKRKMAEQRRREEEAANRQSSSSGPSLGATIYASRFAANALRGVHRLRNKTVPTIVRLPKPPEPDFGVEDAD >cds.KYUSt_chr2.52670 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328536422:328542406:-1 gene:KYUSg_chr2.52670 transcript:KYUSt_chr2.52670 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEEIRNEAVDLENIPIEEVFEQLKCTRQGLTSDEGAQRVEIFGLNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGDGKPPDWQDFIGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWGEQEASILVPGDIVSIKLGDIVPADARLLEGDPLKIDQSGLTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCIISIAVGIVIEIIVMFPIQRRKYRAGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEELAGMDVLCSDKTGTLTLNKLSVDKNLVEVFAKGVDKEHVLLLAARASRVENQDAIDACMVGMLADPKEARAGIREVHFLPFNPTDKRTALTYIDAEGNWHRASKGAPEQIVSLCNCKEDVARKVHSVIEKYAERGLRSLAVARQEVPEKTKESAGGPWQFIGLLPLFDPPRHDSAETIRRALVLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQSKDASLESLPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLNEIFATGVVLGTYLALMTVVFFWAMHKTDFFTNKFGVRSIRDSEFEMMSALYLQVSIVSQALIFVTRSRSWSFVERPGVLLVTAFFLAQLVATLIAVYANWEFARIKGIGWGWAGVIWLFSIVFYFPLDVFKFFIRFVLSGRAWDNLLQNKTAFTTKENYGRGEREAQWATAQRTLHGLQAPESTSHNLFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTINQNYTV >cds.KYUSt_chr6.6421 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38889586:38889900:1 gene:KYUSg_chr6.6421 transcript:KYUSt_chr6.6421 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKERIRRSSSQREEEALVAAAASPRGRKGGAAMLLLRWSVSAGALLVFGVLLLLLAGGSAARRGQFLGADSSWDGGRHDLRLVRPPDGTLVTSLSISVFQLR >cds.KYUSt_chr4.43852 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271871403:271873570:-1 gene:KYUSg_chr4.43852 transcript:KYUSt_chr4.43852 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYERSLETTSRQFGNVLTAILSLTGEFIKLPEPTATPPDDYKWKWFPNALGALDGCHIDVFVRVADKGRYRNRKQDITTNMLGVVDWNMKFLYVLPGWEGSASDSKVLKDAMRIDRQDAFVVLEGKYYLVDAGYTNGPGFLSPFQSTRSMAEPKNKKASRTYLVWTNEMDTALLSVLVDHHNRVARIKTFDKHYEVINKMLSRSGFGWDWDNNMVSVDSDEVWARYVEENKDATPYRHKKVKNWQAISTIYSKDHATGVGARTGGECAQVGSSSIPQVVVEDDEETPELLKKKKRRTADAIMSMVGELRMTFEEALNSTAPLPPPPPAPAPKVTPSSEILVELKKIPDLVGNELLIAYGKVTANERTFESLMALPMELRKAWLMTLP >cds.KYUSt_chr1.41792 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256259187:256263104:1 gene:KYUSg_chr1.41792 transcript:KYUSt_chr1.41792 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVASPLLLPLPAPAFPPRRLAPTRRLILAQPPRAGRPRLREPPPPVEEAAPAAVEEAYDAPPLRLLDPPHEDEPYPDEMEAADPDFYRIGYARMMRAYGIEFLEGPDGMGVYASRDVQPLRRARVIMEIPLELMLTITKNHPWMFFPDIVPLGHPIFDIIESTNPETDWDLRLACLLLYAFDVEGNFWQLYGDFLPSGDECTSLLLAPKEDLMELEDQDLSSEMLKLQKRAVDFWQKHWHKAIPLKLKRLAPDHERFLWALSIVQSRSFNLKLRMGAFLQDANVLAPYADMLNHSPNANCFLHWRFKDRMLEVMIKAGRAVKKGDEMTIDYMSAVNSTLMQRYGFSSSTNPWELINFSTPAKIHLDSFLSVFNIAGLHDELYHNAALTSGESTFVDGGVVAAARTLPTWSDGDLPAIPSVERKSAQALQEECRKMSESFSTTIQQDEEILDSDEPIRKTREIAIKYRLHRKLLLQKIIDSLDIYQDRILF >cds.KYUSt_chr3.13389 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80673589:80673943:1 gene:KYUSg_chr3.13389 transcript:KYUSt_chr3.13389 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGASLTEQSFASGGWGAALADHFARQADVVLRGFSGYNTRWALKVLDRAMEGAAAGGADPAAVTVLLGSNDASLPTGRSRTCTCR >cds.KYUSt_chr2.33132 pep primary_assembly:MPB_Lper_Kyuss_1697:2:204542942:204544990:1 gene:KYUSg_chr2.33132 transcript:KYUSt_chr2.33132 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPPDEAVPVPGAVAVIGNDKVLAAAQHIVKSLATSKNAADDMIRILSGFDHRLSTITSDLFPSPSPSHSHSHSQSHSPSRSPSDPDTDADPSSLAAFDAAEQLIHLWDTTPEALVFEAPEDDAAHYLAAVDAAVDHLAAGGPAAASGRAGVAVQLAMARLEDELRHLMLRHAVPLDASGLYCSLRRLSLESMDDLDASSEFDPATPHSAGPDTARSASISVNPFDDHLFDLVRPDAVDELRAIAHRMARAGYASELVQVYCGIRRDLLDECLSVLGVERLSIDEVQRIEWKHLNDKMKKWVHGVKTVVRSLLTGERRLCDQVLAVSDELRDECFVESTKVCIMQILNFGDAVAVCPRSPEKVSRILDMYEALAEVIPELKELFFGTPGDDVIYDLEGVLGRLGDAVKGNLLEFGKVLQQESSKRPMMAGEIHPITRYVMNYLRLLVVYSDTLDHLLDNDAAGDTHGGADEDEEYLQSLTPLGRRLVKLMSYLEANLEEKSKLYEDGALQCIFSMNNTLYIVQKVKDSELGRILGDQWTRRRRGKIRQNSKSYLRISWTKVLSYLKDDGHSGGSGNSLGNSTSRIKEKFKNFNFSFDETYRTQTLWKVPDPQLREELKISISENVIPAYRAFVGRYGSLVDNGRSSGKYIKYTAEDLENQLSDLFEGSLGSANHSRRR >cds.KYUSt_chr2.49447 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309476251:309477348:1 gene:KYUSg_chr2.49447 transcript:KYUSt_chr2.49447 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPNPGVTQNSATTMPALAKAAARPAKPAAAAPKPKPAAAKPKAAAPAAAHPTYFEMIKEAIAALKDRTGSSSVAIAKYIEEKHGKTLPPNFKKMLSVQLRGSAAKGKLVKVKASYKLSDAAKKDAPKAAKPAAAKAAPKKKDAAKPKKKATAAKPKKKATAAKPKKTAAAGTKRKAPEKKLIAKAKKSPAAKAKAKPKTVKSPAAKKARKVAA >cds.KYUSt_chr3.10251 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60795522:60797006:-1 gene:KYUSg_chr3.10251 transcript:KYUSt_chr3.10251 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMVSDQGPGSGAGAGDAARPRGAGGALFAVPRLFVGLAAAKRSPDGAGESERSPTSPLDPKALLLRSPRSPRTWDSEPVGLGLVVDVALAGAVDGASPSPTTCVLSPRLRLKSTPKVCGGGGHSQPELGKTVSCPASATAGMSVPCSRFFHGGDLKSGPEATRSDAAGALSGAKRHCFHLGEFPGAGSLPTSITAGARRRFVGSVSASEVEQSEDYTCIIARGPNPKTTHIFGDCILEPQNVAKSGEAAVEMMAGAAKSYLVVKCATETVADAGEDFLSSCFTCKKKLEGSDIYIYRGEKAFCSAECRDKEILIEEEAENNMSMGSPRSSCSSLHEDIFMAGMIVAT >cds.KYUSt_chr7.40551 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251767759:251770558:1 gene:KYUSg_chr7.40551 transcript:KYUSt_chr7.40551 gene_biotype:protein_coding transcript_biotype:protein_coding MATIEKLIVAAQGIIALAKPASKGYAVTAKNIHTRTTSAPTVVVTGRDEDRDWITEMLRNTKDDVNPSSSNTKCFSVIGIYGISGSGKTTLAQHVCKYEENNDYFDLVMWVHVSKNYSVSDIFKEMFESASVDKDKACPTYNSLDVLEKELENKLDGKRFLLVLDDIWCNKDASEQQLPKLLSPLKVGKRGSKILATSRNKDAFSDLGPGVARTVLPIPAFDEQVFLELFMYYALEGVNVDDPDQIELRVIGSEIAKKLKGSPLAASTVGGHLRKRQNDVDFWREVRDRDLLNETTGALWWSYQHLDEQLLATVNKGFFEKVFEKLRKLRVLAVKISWIGIRGGGVLKIPEAIGHLKHLRYLALMMLEQKLILPITFTNLYHLQTVKFNNYSVLEVSSAMDMGNLTNLQHIIGEIEVLFPNIGWLTSLQTLESFGVWTKQGYELKQLERLNKLRGSLAILNLHNVKSKNEAQDAKLADKKGLAELRLSWVNHFYTNATPEVEAEVLEGLCPPNDLKILEIWDYHGLRCPIWMVSKQNGEPKYLRKLWLEGCSRLGPAPEIFEVFIHLRELIITSCINWDHLPDNVKDLRLHTIDVDELKKRHPYELTV >cds.KYUSt_chr4.42328 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261909763:261910044:-1 gene:KYUSg_chr4.42328 transcript:KYUSt_chr4.42328 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPSKAQVLSLFRAFLRTGRKFSDYNIREYTVRRAADAFRDNRALADAPAAAAAFADGKQQLEVAKRQVLVYSLYAPKAKSVVEMKIQ >cds.KYUSt_chr4.35927 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220821984:220828822:-1 gene:KYUSg_chr4.35927 transcript:KYUSt_chr4.35927 gene_biotype:protein_coding transcript_biotype:protein_coding MAYILFLTLLFLSSSCKSADDHLTHSKPLTLDDMLISDGGDFALGFLSPPSSSNKSLLYLCIWYHSIPGRTVVWIANRDKPIIEATPSSPKLVITNNSDLVLSDSQGHAVWTTANNITDTGAGAGAYAVLLNSGNLVLRFPNGTDIWQSFHYLTDTILPNMKVLLSYKGQVVSRLVAWKGPDDPSSGDFSCSGDPSFPDLQLVIWQGTGPYCRITVWNSVSVLDLNNNGSMVYQTAINVGDKLFFEFTVSVGSPFTRITLDHTGRLRSLSWNNASSSWTIVSERPAAACELYASCGPFSYCDFTAGTSSTCRCLDGYEPNGPNFTTGCRRTEELKCGKQSHFLTLPQMKVPDKFLHIHNKSLKQCEDECSRNCSCTAYAYANWSSGSGNSVVDSSRCLVWTEELVDTGKSSDYGENLHLRLVDSSAGKRRKKNAQKKLMAGYLSSSNELEGENIEFPFVTLEEVLAATDNFSDSNLLGRGGFGKVYKHPKKNENYNEILEKPFNFNLQTVSTARRRCRSSLSRLDVVDCGREVAERVKKTTSIPKTKKKDELPLKLLDDKIPTVRRKPRSCCRDGVEAGETLLHEAAPPPPERHPYKH >cds.KYUSt_chr2.20102 pep primary_assembly:MPB_Lper_Kyuss_1697:2:126456071:126465201:1 gene:KYUSg_chr2.20102 transcript:KYUSt_chr2.20102 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLLGAADTSVGGKGGEATARSPPAWLRRAIDTEEAWAQLKFAVPMVLTNMFYYAVPLVSVMFSGHLGVVHLAGATLGNSWATVTGYAFVTGMSGALETLCGQAYGARLYRMLGLYLQSSLIMSAVVSVLISVLWLFTEPLLLFLRQDPEVSVAASVFVRYQIPGLFAFSFMQCLLRYLQTQSVVLPLVVCSGVPFALHVALNHLLVNVLGLGLAGASASISATFWFSCLLLLGYVMWSREFDETWKGFSVDAFSYVLPTIRLATPSAIMVCLEWWAFELLVLIAGLLPDSTVSTSLIAMCASTEAIAYMITYGFSAAVSTRVSNEIGAGNIDRAKNAVAVTLKLSVFLGLSFILLLGFGHGLWASLFSGSSVIAAKFAAITPFMMMSIVLDSAQGILSGVARGCGWQHLAAMTNLVAFYCIGMPLAILFAFKLNFNTRGLWLGLICGLTCQTSTLVVITIRTKWSKIVDAMQQEKVNYVA >cds.KYUSt_chr3.8072 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46575895:46579013:1 gene:KYUSg_chr3.8072 transcript:KYUSt_chr3.8072 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDAADVKPPLLPAPAAEGSSAAGPSSSRKPAKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >cds.KYUSt_chr2.26311 pep primary_assembly:MPB_Lper_Kyuss_1697:2:160997003:161003574:1 gene:KYUSg_chr2.26311 transcript:KYUSt_chr2.26311 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNLGTMELEILGMNFGCVLSALSDAKIPEKECLLPLVSKLLGYCIVAASTTVKLPQILKILKHGSVRGLSVASFELELIGYTIALAYCIHKGLPFSAYGELAFLLIQAIILIAIIYYYSPPMGSKTWIKALVYCGLAPIVLAGKIDPGLFELLYASQHAIFFCARVPQIWKNFTNKSTGELSFLTCFMNFAGSLVGTGAKGPNAQTRYTVYMSQAKPSSCIRFSVRNNPLKGLYYMLLEHHAREISYVWPRTSISSPKIVPRYYVH >cds.KYUSt_chr7.33517 pep primary_assembly:MPB_Lper_Kyuss_1697:7:209057960:209065505:1 gene:KYUSg_chr7.33517 transcript:KYUSt_chr7.33517 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQNRLFPLLRNDSASPLLATLHRHLSAAASSSDGSPFSVEDYLTTTCGLTRAQTLKASRFLSHLKSPSNPDAVRDFLSDVGLSSSDIASVVAADPRFLCSSVDETLAPRVAKLLDLGLSPSNIARLILIAAPAVRSCDVASRLQFWIPLFGSFDDFIQAVSGGALGGGALLRRDIDMVVKPNVELLLKCGLTVRDLAKTGLSGTWALVCKPDKLKELVARAEELGVQRGSGQFMYALATVSCVNREKIAARMELLKKTLGCSDGVVQIAIVRHPSILRASEDNLRSTVEFLVTKVGLEPEYIVRRPALISYSLNARLVPRSRISFQDSSTITRRLFLSSKMCMLQLVQEIYPLTSNLDRCDVAKTGLSGTWALVCKPDKLKELIARVEELGVQRGSGQFITVEFLVSKVGLEPEYTMCRPALISYSLRARLVPRHISFQDSSTITRKVFLRSQMCMLQLVQEKYPLTSNLDRFLRILKAPVLDPLFGSFDKFIQSASRGALGGAAILRRDIDKVVKPNIALLLRCGLSVHDLAKTGISGAWVIVSSPEKLMVLIARAEKLGVQRGSVQFKYALGTVSSLSEEKIASKMKLLRKALGCSDDLLQTAIVKHPSILRASEDNLRSTVEFLITEVGLEPEYIVRRTSLIGFSLNGRLRPRYTVMKILQDKGLLNSKFCSVIDASEGYFISRFIDYYKESVPELADVYAAARAGKTPSQLQP >cds.KYUSt_chr3.27480 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171456085:171456624:1 gene:KYUSg_chr3.27480 transcript:KYUSt_chr3.27480 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKGKQRQNKYFHLAKEQGYRNRAAFKLQQLDARAVLDLCAVDVSRAPADTFVVGVDLVPICSVRSADALMGGHHHHQVQLLRRPPLRLRDYTPALVCYVSRLFIFCVSWCDPACPRDKVVRKVFELVDCKDVKVSARSSSGREDSLRQQSTSTSTSVLAACHCRGVAHRDVRSETE >cds.KYUSt_contig_49.53 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000023.1:414087:417866:1 gene:KYUSg_contig_49.53 transcript:KYUSt_contig_49.53 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGVTAEKNGYSTDMNLNQDGHLDGHQELPKFTVIDTTLVQGEEPFRVRELRKLPADANVRFGPSCHSGSTVSFSSSDEQDEDDQSSDDQKVYRRFTADANDTEMVSVRNEGKENQVDLLENMFTAPSSSYPVNGHSSNSTSNEVDLTCFIGPKTKTERRVCSLVGRVTPETVLDGKTNTNGHMKAGEDLTISNSYWVPDVSEATGGPAIPQPDLASPVNSRRHGSRNRAPTAKALEAVALGLLGGKRARALRYGTERMNQWRNPVGPVFRALERFKAVHKKGYHMVHCWEKLEDTQKWEHVVHMCRRLHPDEEEEVAAAGVEAQQLDLEAAAEAEVAEAVAKAAEREEVRLAATRAEIANSIERRLPRWRSPRRRPCGTAPWPRPSNGTGGRTRCQFAGVRGARARSAPASTTTPALPVARSRPRDCE >cds.KYUSt_chr6.23029 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145512800:145517131:-1 gene:KYUSg_chr6.23029 transcript:KYUSt_chr6.23029 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIISYFALVLVLYSYMLAQRVGVSVDKFAYVTCTDEVEGSGVTGLCIASPCDGDSSRTCFCCTRLVNEPCYDTPKQCASACPNCNFLVCPPPAGNLPVYGLVQFMVVTSAIGGPRSLYGGVYTVGEFDQEVYFSTWVAA >cds.KYUSt_chr3.13457 pep primary_assembly:MPB_Lper_Kyuss_1697:3:81143317:81145490:1 gene:KYUSg_chr3.13457 transcript:KYUSt_chr3.13457 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTEPAPPPPPPPAERAMGFTERAVAAAGAAVISAVLVNPLDVAKTRLQAQAAGVVYNQIWSDFRCYPWCNPGGLKLNGLGPSCSPECFQYRGTMDVFYKITRQEGIFRLWRGTGASLALAVPTVGIYLPSYDVLRNWIEEYSDRSNPKLRPYAPLIAGSVARSLACITCSPIELARTRMQVSILNPIFSANTII >cds.KYUSt_scaffold_2697.698 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4388103:4390155:1 gene:KYUSg_scaffold_2697.698 transcript:KYUSt_scaffold_2697.698 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWLPEKAVTFVDNHDTGSTQGLWPIPADKVMQGYAYILTHPGLPCIMYIAYSTSSNMIDVFLLKAFALQLKLEPHSGAFGMPMWVPLIATSPLPCLKETLGGVSLLALCQHAGAFNLVAFSSARYDIAALIDTL >cds.KYUSt_chr2.46946 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293609345:293611927:-1 gene:KYUSg_chr2.46946 transcript:KYUSt_chr2.46946 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPPPPRLALHAVSCMRNAQTVLRDINVSIHDGTALVLTGANGSGKTTFLRMLAGFSRPSAGEILWNGHDVTSPGVFQQYKLQLNWMSLRDAVKDKLTVLDNVQWFELLEGKHGRAAPAVELMGLGRLANDKARMLSMGQRKRLQLARLLAVDRPIWLLDEPSVALDSEGVRLLEYIIAEHRKKGGIVIVATHLPIQIEDSMSLRLPQRFNHDMRRIDLKLELGGELIKFESLTRISSVDPVYKGSGLEIDFYSANAQV >cds.KYUSt_scaffold_1854.595 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:3128124:3131061:-1 gene:KYUSg_scaffold_1854.595 transcript:KYUSt_scaffold_1854.595 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSTTMLTTIGILLTFFISTTIVTGDDCITPACSGTPYAEACVSVLSEAQLVKPKMCTDVRGLAEFAVRATAQVISDAGFDAKTELYDVEDISEADERCFKDCGVKLEDFSRELESKTNLADVRTFLDDAKTKNMELNCDVCRHGDDKKKADDISKGNRDPGIRLIKAPGSKGFGHVWPIQQPTLAFQPQDAEHKSQPTPINITAAHSNRTILLLIHLSSELPSFIFPCAGVYLSSRRRTVFFGVLKFGEPPQQNLTTGNSDRRSVHLRCLLLLRLPRSWSRSRPRPSLLLLRLSSQSGWILLNDPAKPLKEPAPIRRNKPERSEWRRRWRKWPPTNPKLDLVKAKSKGNQRQSFL >cds.KYUSt_chr2.53580 pep primary_assembly:MPB_Lper_Kyuss_1697:2:334196688:334200163:1 gene:KYUSg_chr2.53580 transcript:KYUSt_chr2.53580 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASGIAPPRAAAALPAARARAAHRLGFLRSHSQLPAAVAAKLRCCASATDDGVVETAATKPRPPRVAGMGSKLVGCGSAVPSLTISNDDLSKVVETSDEWIATRTGIRSRRVLSGDETLGGLAVLAAQRALEMAQVRPEDVDLVLFCTSTPDDLFGAAGQVLAELGCSTKTLGFDITAACSGFIVGLITATQFIKGGGNYQNVLVVGADALSQYVDWTDRGTCILFGDAAGAVLVQACSPEEDGLLGFCAQSDGNGQKHLHCSGSNAESILSKTNGVPGFPPKKGTFSCIEMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAATRLSIPSEKVISNLANYGNSSAASIPLALDEAVRGGKVKKGDIIAASGFGAGLTWGSAIVKWG >cds.KYUSt_chr3.29098 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182080587:182082359:-1 gene:KYUSg_chr3.29098 transcript:KYUSt_chr3.29098 gene_biotype:protein_coding transcript_biotype:protein_coding MEACLLKTRPLHALLSDASTSRAARHLFDAVPRPTPALCGILLSALSRLSSHQELLQALSSMHRKGANVPVGCVPLVVKSCALSAASCQGRQVHCHALVRGLLGDVFVHTALVDFYAKNGDMGSAVRVFEEMPVKDPIPINCLITGYSKAGDVDKARKLFDGMSRRTSASWNSMIACYSHRGEFREALTLFDRMLGEGARPNAITITTVFSICAKSGDLDTGKRVRALVEEEDLQNVIVHTALMEMYVKCRAIDEARQEFDRMSRRDVVAWSTMIAGYAQNGRPHESLELFEKMKATDCRPNEVTLVGVLSACAQLGSDELVEQIGNYAESQRLPLTSYLGSALIDMYTRCGHVGRARGVFDRMEQKGVITWNSMIRGLAMNGFAEDAIGLYEKMEEDGVQPNEITFVALLAACTHAGLIDQGMSFFEEMKRKHHLSPQVEHCACIVDLHCKSGSLWEAYKFVCDMEVEPNAVIWTTLLSACRVHADVKLAKLAASKLLLMEPDNSSIYVLLSNIYADAGLWGDVREIRDLMRSKNVQKLSAYSWIKLDGEVHKFLVQDTYHPKSAEIYEVVDSLGLLLDRADSDPDLLV >cds.KYUSt_chr4.5804 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33922469:33925517:1 gene:KYUSg_chr4.5804 transcript:KYUSt_chr4.5804 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTGDGVRHRYAIDLSASTPSDDGSAPSSSSSSLVHLMDMLKGDIESGEGMTTTILPDDLVVDILSRLPLKSFCRFKCVCKSWLAFSSDPHYRQKLPRTPSGLLYQKRELDTAIHFARLPSSDRDIDTTLSFVPCGRYPLQVKGCSNGLLLCYNGVSNAIVCNPATEEWMSLPYTQPGPTVSYNYLMLGFDPLWSQHFYVFNFQWSTAPIGGYNAEVKVLSSEDSTWSSCLWETDDAFWGGSIFVNGVLYVGHLWEHELLVLDALDTSTQSLSDRTIRLPGFPNGPEDFCCPDGCLCLSCGVLCYAQQELDGCMMRIWSLEGSDKWVVKNRLSMTNVFGRDMLLRTDSKGRWYFDYDILAFDLERELVIVLDRIAVKVFSFSTSTGTEIWIDCEPWLRYYYVPYYKKLPAFSALKGSR >cds.KYUSt_chr7.33424 pep primary_assembly:MPB_Lper_Kyuss_1697:7:208636505:208638676:-1 gene:KYUSg_chr7.33424 transcript:KYUSt_chr7.33424 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRASFAAPSIRVRIPDQLPTLFEPQNATPHVRTRSDAGEEVPSPERCLSVLALQLAVLEKAASRLGTLAFVWATVVLLAGFAITLGRTDFWCITGLLLIEGTRILGRSHELEWQHQATWRRHRPMSCAIVRAFSWMQLVSASACVSLSLIRLLHQHYGGSEEARTNRSAALNIFYGLALAEALLFLVEKALWQWKMGHRRLLESVAEDCNLANACGGEVAVRRFFYDSYSCCLNGSIFDGLHMDLVSYANDLVTAGSHDEQSLGVGILVSLAESDRFADAALRKIGVSAPTIERLIEMLSWKDSAEREVRRSAAVVVSMLTERKHIALRITGIPGAIESVASLLYADLDEVNILGLSILNKLAHDHDNCDKIGNTRGLLDKIISYSSIDHGRLAPTTPRDMRLKAVKQSLRVVKRLAGTTGNTGKLLRRELTDIVFTVSNVREVLQHHDKKVQAELHQLGIEILTSLAMDKEAREVIGETGGVVRVLVSMFLSPVATADFRHADAIRVEAGEALAMLALESKNNCGAIIMALGGGVGRLVAALNDPVVIVGAARILHNLCSYAGDEWQIKLKGVTAGATKVLRTIMVEKEKVLNIFLGLAAQMVQFMEPRELKASLATASVVDTVLAGTLVQVLRQYSRPSMDVPPIRRYTIELAVAMMRSDARYVALFVELGMEGELRRVAGTTSQLECFNVFSGSVGLSRHTINVCSLITSALELMKKN >cds.KYUSt_chr3.44933 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283147433:283148204:1 gene:KYUSg_chr3.44933 transcript:KYUSt_chr3.44933 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEPVKLIGCFGSPFVHRVEVALRLKGVPYELVEEDLNNKSDLLAKHNPFHQKVPVLLHGDRPAVCESLVIIEYVDEAFSGPSLLPSDPYERAMARFWAAFMYKECKDSMWIALWTDGEVQAASAREMKANLTLIERQLAEGKKFFGGNTIGFLDIAVGGIAHWMGVFEEVAGVRLLIEEEHPALCRWAREYTLDETVGQCLPDRDRVVAALTPRKELYVSIAKAMAAQK >cds.KYUSt_chr3.46181 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290647596:290648717:1 gene:KYUSg_chr3.46181 transcript:KYUSt_chr3.46181 gene_biotype:protein_coding transcript_biotype:protein_coding MANWASLLPELVQSIANCVLLTSTGGVGSYIDMWAVCPSWHSSIAKPSPLAAVVDLRFRPRHWIMVDQKYDDRYDDDDSPRLFFHVLSGRFRRMRLPMLHDQIIYGACDGLLVLGEDVPGRVSSLSSVLNPLTGDMIHFTSTLEDYYGSTMFTLVSGVCYSTLVLWWASRDAIECARPTSCDVFTEKDMDTNLMSMIAFQGNIYYADLHGCVFQIVGPPEQFRHELVAQLLPDVDTFLGGKSGGRRGLVESDGELLFVRHGRTALKVFKVDIERRLLEEVKSLGRCRALFVGDKRCLSVDADNLIPSVEGDCIYLSNMVDTNMLGTNRMLEMCSACMYNLRDGTIGIISRDYYLDRPFSLVNVLLKYCDAWFM >cds.KYUSt_chr6.5522 pep primary_assembly:MPB_Lper_Kyuss_1697:6:33099018:33100001:1 gene:KYUSg_chr6.5522 transcript:KYUSt_chr6.5522 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDMYKYNTHQIASSTTTSASDQELMKALEPFITGASSPYQYPYYSPSSSSITQDSSYMATPSSYASYVPTAAATTSSSFSQLPPLYSSQYAASAVNGPMGLAQLGPAQIQQIQAQFFVQQQQQQQRGLAGSFLGPRGLPMKQSGSPPRAAALAMAGVVAAQSKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDKAAFRLRGEQARLNFPSLRRGGAHLAGPLHASVDAKLTAICQSLAAPSSKNGAEPESPKCSESTEGEDSGVSAGSPPPPPPVPEMEKLDFTETPWDESETFHLRKYPSVEIDWDSILS >cds.KYUSt_chr1.30586 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185144913:185145161:-1 gene:KYUSg_chr1.30586 transcript:KYUSt_chr1.30586 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIATESGKKEAQPASDGASTAPVPSETGVVAHESGAAEESGCGVGEDEQVERFYALLANIRALRDVYGAGWSSRKRPRVA >cds.KYUSt_chr5.30084 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190820270:190825400:1 gene:KYUSg_chr5.30084 transcript:KYUSt_chr5.30084 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTTLAACVAIPGKGQGRQTRHCFQHLLPHMVVHFTEPRNDRRSTSIRARNSAVVILEQHCHHPGLAQIRTEIGTLKGPPFSALFFDLGLLERLGEPRCIGWVIVSPHLGLVTQLHISQYHVLIVVGGPPFVVLHFGLGLLERLGEARCVGGVVGSPNLRRPPFVELMFGLGLLERLGEARCVGGVVGSPNLRLVIEIRSPPRLRLGLRIRLRLRLGNAQMRCRAANRNLVPRLACRWRWKDDPFVAARRKSWRCPWFAVRWW >cds.KYUSt_chr4.34533 pep primary_assembly:MPB_Lper_Kyuss_1697:4:212107454:212113914:-1 gene:KYUSg_chr4.34533 transcript:KYUSt_chr4.34533 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKVLYSVAAPFHPFGGAVDIVVVQQQDGSFKSSPWYVRFGKFQGVLKTREKVVKIAVNGVEAGFNMYLDSNGQAHFLRDAESNIAEGDFIVPSSSLGDERVVPMQDTQLRKSKSTSCDISTMETSAGEGKVLTRRSTILERMFGRKSIKDNAHAVDRVGSLERAEIAAELLDTNWSTSRGSEARCSNHEPSSSHLTDAGKDNQVETTKMVFPDCNFDHYKAMDSNCDNVDSTVGNPHGGKKSSGDEKEYCAQSISVNEEVIAICTHKTGDFTDGIISTVDSPGTESLPNDMDAGKSINGPVDTQGELQGNFEDVTVRAMNTEEVLSHGIFEIRAIETNITDGKNEVISQFVAVGSDGVSQKFTQTNSPTYSTILFSSEKHDGLSIDCCHDACQEKVVSISSLETVESSYDVPSILTDKVHDAKGISLTDGLQSECSRVSSEKLEETDAEERSLAYHVDSSNKEDICNLDVPEVSVFENLSSQTSQANISDKSISVDILVHDHMDICVDTVADDRSTCSAHDLACQNDLIFPDASSSGIDMLSYVHENDPDDVTKDWTLETKTCYGEHDVSLIQTSEMEGVSRECIAQISNFPNKVEDEVSPVIFCSSLSKVNTENAKLDYDENGSSSASGVQIGPVPEEPSDEAEAVESLSELVEKTTVCPNRLEVEISPIISDSSSLSVVEAKTTELEDDVSRSSSASGGAIRPMSDDPRDRSETVVSPSKLVEETTVCSNKLEIEISPIISEFSSLSKVKAKNTKLEDNRSIPGSTSGVAGHVCDDPRDKEEAIVSSCELLDEIQFQFSDTISFADGKTLDDVVANKASGAGVHDESDCNVDVEGGNDIDLEIKPENHYDLSRPQTSLIPIPGSELHLGDNNLEAKSLPNLRSHIQDLVCSDSFQVSCSLCNSESSPVAPVNGKVSSFPEQELEGTSESKENSGSAELMNNPVPDDKNSDDLKVDSFSPFVELSLCRHLLSEGMGADAACRAFDAEKITLEKFRAMKQSLISNSKLVVRISGRYFPWDVASPVVLGMVSFSEEQVFEHQGMIKVERVEPSVTQGGSWRLWPFSVRKTTTMNTIQPVREPVCESTVESTLSIPVKELDGDINKLREKRMERKVPSLTPTSEELSSLDLREGRNVVTFTFSTAMLGIQQVDANIYLWKWNTHIVISDVDGTITKSDVLGQFMPMVGVDWSQNGVAHLFSAIKDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLGAIKALFPPDSHPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRINTTKSYMSLHALVNRMFPPISSPPEQEDFNTWNYWKMPLPDI >cds.KYUSt_chr4.49955 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309478666:309486369:-1 gene:KYUSg_chr4.49955 transcript:KYUSt_chr4.49955 gene_biotype:protein_coding transcript_biotype:protein_coding MTEALVRNKPGMASVKDMPLLQDGPPPGGFAPVRYARRIPTTGPSAWAIFLTTFGAFSWGMYEVGKGNKVRRALKEEKIAARSAILPMLQAEEDERFVKEWKKYLEEEARIMKNVPGWKVGESVYNSGKWMPPATGELRPEVCYRRVPVPPVPAREPNRSAEIRRRRRYLPPDLLADPTYDIDSDSWRNYLESERDPRRRAGFMGDKDFPFDRPPAPRRRRRQVPTPTQDDGDDDYNEALAYHNEEAKDNSDDYVACIFQEWQLAMVEDWKFEYPDNMTYDEIARLGVLVSEVDRPAQPPLPRYATGITPPGLSEEEALRLAL >cds.KYUSt_chr5.27657 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175021141:175034862:-1 gene:KYUSg_chr5.27657 transcript:KYUSt_chr5.27657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Actin filament bundling protein P-115-ABP [Source: Projected from Oryza sativa (Os08g0240800)] MYYATNPAPVTTDARPGLDRAVRPVARLDRPLRRMARSQARLTGLLEELLRGLEVWRIEDFKPVPIPSSSHGKFFMGDSYIILKTTALKNGSLRHDIHYWVGRDTSQDEAGTAAILTIELDAALGGRAVQYREVQGNETEKFLSYFRPCIMPQPGGVASGFKHVEVNEQEHATRLYVCSGKRVVHVKEVPFARSSLHHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRMMADAEAGEFWGFFGGFAPLPRRAPVDSNEKDEETTLKLLCFNQGKLDSINYESLAHELLETNKCYLLDCGAELFLWTGRTTSLQERKGANEAAEKLLSDANRTKTHVIKVIEGFETIMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQPYIDCTGSLQVWRVNDKDKTLLSSSAQSKFYSGDCYIFQYMYPGDDKDECLVGTWFGKKSIEEDRLTAITLTRKMVESAKFQATQGGLSSGYKKFIAENGVNDDTYSEDGLALFRIQGSGPENMQAVQVDSVASSLNSSYSYILHDGNSVFTWTGNLTTALDQELVERQLDVIKVDVKVRLQALDVGKMHGNSYERKLSIVKGGGTPALDKPKRRTPVHSGRSTGQEPQRSRSMSFSPDRARVRGRSPAFTALASTFESANSRNLSTPPPVVKKLYPKSATPDLSNTSSKSSVIADLTGSSNRPTQAPKSVEDGPVPEKPKQEEDANKPKQEEDAKENIGSMAGRLESLTIAEDVKENEPEDDEGLPIYPYDLLKTTAAEPVTEIDVTRRETYLSSAEFKEKFGMAKEAFSKLPKWKQNRMKIALHLF >cds.KYUSt_chr6.14907 pep primary_assembly:MPB_Lper_Kyuss_1697:6:93362414:93364286:1 gene:KYUSg_chr6.14907 transcript:KYUSt_chr6.14907 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEALVGSGAQQDDMLRVVAALAGILERVAERNDVATAAAGTAEVAAPASAFRSTSKPGISVRAYVSRVARFAGCSPACYVVAYIYLDRLLHRGRRFALAVDSYSVHRLLITTVLAAVKFMDDICYNNAYFAKVGGISLAEMNYLEVDFLFGIGFDLNVTPKTFGDYCAVLQSEMMCAEAPPAPLRLQHCCLSESEDDAGSCGSQQQLAA >cds.KYUSt_chr2.33343 pep primary_assembly:MPB_Lper_Kyuss_1697:2:205743596:205744147:-1 gene:KYUSg_chr2.33343 transcript:KYUSt_chr2.33343 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGTERGLQLLLPAAAARVLGDVPASAAGGDHHQQLDLDLSMSIGPRQQQLQMPRPAPPTPPANETRRASTATVAASAARQLQQQQQVAVDVRAVKQQTAEQARMASAERAYAERVRELAKRELELAEREFARARMIWERAREEVERVERMKQIAARRLGSAASVAALEITCHACMQRFHP >cds.KYUSt_chr1.3277 pep primary_assembly:MPB_Lper_Kyuss_1697:1:19789340:19792211:1 gene:KYUSg_chr1.3277 transcript:KYUSt_chr1.3277 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAQGNPCAAAPSRVYLVQLLLLYLLSLFFMGSPQSDPAKCPGSSVAIPYPFTISDNSSIVRTLGFAILCEPAGPMLWLGSKLYTVLNISLDQGYLRVTGDTVYSQCQQNNGLVTTNFLNLVGTPFTFSHTLNKFTVVGCDAMAMIRSGYSYRGGCVSFCASEGSITTGACSGVGCCQASVPEELKALDLEVTSIRSQLQQSPSGSWSNISRNNTSAWCTKAFIADQGSYVFSRGDLDRNLTNLPMVLDWSIYGGRCSDARRAPQTYMCKENTECYTVANNTGYRCNCSEGFRGNPYLQGPDGCQDIDECKDEMEYPCTHKCINTVGGFNCVCSMGMTGDGKKHGNGCRRDTKLLITAGGGLPLLLVLLMLGFWTHWLVKKRKLAKTRQRYFLQNGGMFLKQHMFPQRGPLRIFTSSELEKATDRFSDNNIAGRGGFGTVYKGVLCDQMVVAIKKAQRVDESQVEQFVNEMLILSQVNHKNVVQLIGVCLESEVPLLVYEFITNGALYHHLHNTSVPMPWEVRLRIAFETATVLAYLHMATKPPIIHRDVKSSNILLDESFTAKVSDFGSSRPMLHNQTHVTTLVHGTMGYMDPEYFQTSQLTERSDVYSFGVVLIELLTREKPIFCGKLDEVRSLALHFSTLFHENRLMEIVDHQVAEEAGASHVKIVAQLALRCLRLKGEERPRMIEVADELEALRRLIKQHSVVDPEE >cds.KYUSt_chr7.27523 pep primary_assembly:MPB_Lper_Kyuss_1697:7:171882369:171885724:1 gene:KYUSg_chr7.27523 transcript:KYUSt_chr7.27523 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMAGLSKIFFFPLLLLFSAATASPSSDAEAVSRFQEYLRIDTAQPAPDYAAAVAFLRDQAAAAGLEARTLEPAAGKPLLLLRWPGRRPSLPSILLNSHTDVVPSEPKKWEHGPFSAALDEASGRIYARGSQARLITDMKCVGMQYLEAIRRLRDAGFVPDRNIYITFVPDEEIGGHEGVEPFVASKEFKELNVGLVLDEGLASPGEEYRVFYAERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRRFRTAQFDLVKSGEKAEGDVVSVNFAYLKAGTPTPTGFVMNLQPSEAEVGIDIRIPPSVHTEALERRLVEEWAPASRNLSFEFKQKGSVLDNFGKPAMTTADSSNPWWPVFEEAVKRAGGKLGKPEIFPASTDARYFRELGIPAFGFSPMANTPILLHDHNEFLSKDEYLKGIGIYEYIIKALATHKDDTIDSESRAEL >cds.KYUSt_chr4.47766 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295711872:295714907:-1 gene:KYUSg_chr4.47766 transcript:KYUSt_chr4.47766 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLHTTRSWDFMGLSLHLQMEQPSSQMHLKYGDDVIVGILDTGVWPESKSFRDDSHLGPIPSSWRGTCVGGQQFDPATACNRKLIGARYYLAGIESELGPINTTGGTEYRSPRDRVGHGTHTASTAVGAVSPNASYFGGLGRGVARGGAPRARLAVYKVCWFKDLTGRCSDADILAAFDDALHDGVHVISASLGSSPPLAPLFATSTEVGSFHAMQLGVSTVFSAGNDGPDAAMVQNVSPWGITVAASTIDRRFPTVIALGNNASVVGDGFIVKDMEMPLVESSSVFADGTCSFDQLVNRTAASGKIVLCFSTIGMVSSEGAALAVYAGGGSGVIFADTSSRKSNQDNFLPTVHVNLRQGTKILNYIQSRSRQRPTVHISASRTVVGKTPAPAIAYFSSRGPSSISPNILKPDITAPGVNILAAWPPKSSPTVLPLDKRSTEWNFDTGTSMSCPHVSGIVAILKSVHPTWSPAAVKSALMTTAYVHDDTSDAMLAGGTMKAADAFDTGAGHVDPLRALDPGLVYDADARDHVRYLCGLGYTEAQVRQMVLPSPALNTSCAGAGMPYGVSDLNYPAIVLAELGAAATVKRTVTNVGLRRDAVYHATVVSPQGARVAVWPPALVFSPRCAKAEYYVTVTPAKLSRGRYDFGEIVWSDGYHRVRTPLVVRVTNLPDAGAQSTDEDGTADHPHAA >cds.KYUSt_chr4.40541 pep primary_assembly:MPB_Lper_Kyuss_1697:4:250267701:250271941:1 gene:KYUSg_chr4.40541 transcript:KYUSt_chr4.40541 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVNCSVSALPAPSLGTSANRFVRSYAELACGHVVDDRRPVCTFASTPGGRHCMRTSPTEPRSLRRILQRRPPSSSTTAARFSPWPLDAAKLSSLVQLRKYSMTDGTSYVRDPIVRGRQVRSFGRFLLLSTDQRYLIKVSIQEHVPEEAGGTSDEGMLAGHKVAAEKVGVLFRSWEVALDQKTYELIGTDYPGNMTLKDSGSRWFDGMVLPHYCTVHSNLLLLSSNPVLLSPNLDGSGGVDVADGSEALEQMAPSSPVK >cds.KYUSt_chr2.43804 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272669862:272670773:1 gene:KYUSg_chr2.43804 transcript:KYUSt_chr2.43804 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPGPTRLSLTASSPLPTLPSRSHLAAPGSKPTPNPLNPSAAAAAAMLRRLASAAAPRAIFSPSSPTPAAGHTPRRAYGLVPMVIEHTSRGERAYDIFSRLLKERIVCIHGPIADDTASLVVAQLLFLESENPAKPIHLYINSPGGVVTAGLAIYDTMQYIRSPVTTLCIGQAASMGSLLLAAGAPGERRALPNARIMIHQPSGGASGQASDIAIHAKEILKVRDRLNRIYAKHTGQPIDGVEKCMERDLFMDPVEAHTWGLIDEVIEHRPVSLVSDAVASDPPNPPVGAETKPAEEPPSPA >cds.KYUSt_chr4.39952 pep primary_assembly:MPB_Lper_Kyuss_1697:4:246728335:246728544:-1 gene:KYUSg_chr4.39952 transcript:KYUSt_chr4.39952 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQDDGQRKKAHGNPHPKRGNIIKTIITDLTGGGGDSKKSPGTATSPAAGGGGGGAKDNTGNGGGSYY >cds.KYUSt_chr1.42730 pep primary_assembly:MPB_Lper_Kyuss_1697:1:261376586:261381406:1 gene:KYUSg_chr1.42730 transcript:KYUSt_chr1.42730 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKMYQSACKAARSLLASSSSAARSSVLAGQSVRRYPFRSKQHQIRSHQGFKFRSWFSLAVADGRNAALATLTNIGRTRLPAAYSYHTKASGAANAAHRYGWIAGIPAAAYMLQDQEVHAAEISEIVSRFERKGFKLVAIKLVVPSKEFAEKHYHDLKERPFFSGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIALWFTPKELVSYTSNEEKWVYGVN >cds.KYUSt_chr2.38621 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239367760:239372392:-1 gene:KYUSg_chr2.38621 transcript:KYUSt_chr2.38621 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRHMERSGSKRALDPGGSGGGGGGDDDEREPKRPRVPALASVIVEALKMDSLQKLCSSLEPILRRVVSEEVERALAKLGPATSARIEGRTSPKRIEGPAGSNLQLQFRSRLALPLFTGGKVEGEQGTSIHVVLLDANTGHVVTSGLESSAKLDVLVLEGDFNKEKDEDWTEEEFESHIVKEREGKRPLLNGEIHVTLKEGVGTIGELIFTDNSSWIRSRKFRLGMRVSSGFCEGIRIKEAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHGKLNSNGIYTVEHFLQLLVKDQHKLRSILGTGMSNKMWESLVEHAKTCVLGGKHYIYYSNDAGSVGAIFNNIYEFIGLIADDQFISTENLTENQRVFADTLVKQAYDDWINVVEYDGNALLKFKQKKKSVTTRSEAAKVSTRYPTSYGSEHSQKQLTGGPANVEQSSVSSTSEGSGVIPNGGHAMRYAAHPQDISQTINMQYGMSSLIPEGQFNGSSIQTQASRNSNMLALGPPQQQQNFEFSALGQSPALSPFDDWPGRLQESRGGVDDFLMEEIRVRSHDILENEQEMQQMLRILSMGGASANLNNVDGFPSYIPSPAPAFNYEDDRTRPSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >cds.KYUSt_chr2.1982 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11945191:11949280:-1 gene:KYUSg_chr2.1982 transcript:KYUSt_chr2.1982 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLRSALLSPAAALRRLSPAPRVPRFAQPKRFDRARRFFPAAAASMSTSSGTKEAPANNPGLQAEIDPATKGYFMQQTMFRVKDPKVSLDFYSRVMGMSLLKRLDFPDMKFSLYFLGYEDLSAAPADPVKRTEWTFGQKATIELTHNWGTETDPEFKGYHNGNSDPRGFGHIGVTVDDVYKACERFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLNRIGEVTATAS >cds.KYUSt_chr7.40884 pep primary_assembly:MPB_Lper_Kyuss_1697:7:253592210:253595513:1 gene:KYUSg_chr7.40884 transcript:KYUSt_chr7.40884 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDFPSAAYAPLLPSAADDVALAKQDRPGVGWRGFLTVLAACGVVVLLVAASLLAGSRMGQAGDTDEDGAGGFPWSNEMLQWQRAGFHYQPEGHFMSGPVYYRGYYHLFFQYNRRGVAWDDYIEWGHVVSQDLVHWRPLPLAMRPDHWYDKKGVLSGTITVLHNGTLVLLYTGVTEDPMAESQCIAVPTDPNDPLLRHWTKHPANPVLAHPQGVQGMDFRDPTSAWWDKSDSTWRILIGSKDDDNGSHAGIAFIFKTKDFLSFERVPGIVHRVEGTGMWECIDFYPVGGGHNSSSEELYVIKASMDDERHDYYSLGRYDAAANTWTPLDAELDLGIGLRYDWGKLYASTSFYDPLKQRRIMLGYVGEVDSARADVAKGWASLQSIPRTVALDEKTRTNLLLWPVEEVEALRYNSTDLSGITVENGSIFHLPLHQATQLDIEASFRLDASDVAAINEADVGYNCSSSGGAAARGALGPFGLLVHAAGDLRGEQTAVYFYVSRALDGSLRTSFCNDETRSSRARDVTKRVVGSTVPVLDGEALSMRVLVDHSIVQSFAMGGRVTATSRVYPTEAIYARAGVYLFNNATGASVTAERLIVHEMASAVYDETVMVEDS >cds.KYUSt_contig_686-1.130 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:924969:926570:1 gene:KYUSg_contig_686-1.130 transcript:KYUSt_contig_686-1.130 gene_biotype:protein_coding transcript_biotype:protein_coding MRGERKGAEIPCSADGRIAGRLPLRLSLWRREGGGAVDLCPRLVDSRVRLPIGAGRVVVAAAMWNKVAGASSPSRRGLVWRIREASGFWCPQIRRSGGRWCSGRGHRREDDDLPVELLFHVPLFVAVGCALAFLRRIWGRLPPAEELPVLRDEESHLAQSMLFANGEIMRPLTLLVTAPAPAVSAPTGFMVVRNGELWLGFGEDSDIDC >cds.KYUSt_contig_319.1232 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8199335:8202291:1 gene:KYUSg_contig_319.1232 transcript:KYUSt_contig_319.1232 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLLPLLLLLLALISPHAATPVPASDLLGAAREPGFATWLRGVRRRIHQHPELAFEEYRTSELVRAELDAIGVPYSWPVAKTGVVAKIVGGGGAGPVVALRADMDALPLQELVDWEYKSQESGKMHACGHDAHTSMLLGAAKLLQSRKDDIKGTVKLVFQPAEEGYAGAYHVLEEGVLDDVSAIFGLHVDPSLPVGTVASRPGPFMAASGRFFVTVIGKGGHAASPHHAVDPIVMASSAIISLQTIVAREIDPLQAAVISVTFVNGGDAYNVIPETVSFGGTFRSLTTEGLSYLKKRIKEIIESHAIMNRCIVTVDFMEEERRPYPATVNDKGMYDHARAVAGAMLGEDNVKVTDPIMAAEDFSYYAQRFPAAFFMIGIHDEAMTTGVHPLHSPNFVIDEGVLPVGAAFHAAVAMEYLNKRTTTSM >cds.KYUSt_scaffold_869.1657 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:10681268:10682216:1 gene:KYUSg_scaffold_869.1657 transcript:KYUSt_scaffold_869.1657 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLFERNEFVLMYPGGVREALHRKGEAYKLFWPDQPEFVRMAARFGVTIIPFGCVGEDDFLEIILDYNDEKNIPYLRDGIKSFNEDFTGIRETVKGEDGNQVLHLPAVLPKVPGRLYFLFGKPIEMNGMDNVLKDRENANQVYLQIKSEVESAVSYLKRKRNEDPYRSITRRRFYEATWGPSAQVPTFEP >cds.KYUSt_chr3.31678 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199117280:199120020:1 gene:KYUSg_chr3.31678 transcript:KYUSt_chr3.31678 gene_biotype:protein_coding transcript_biotype:protein_coding MYPATDHSVMIPVFDLLPQVQLEAVLWGIGTALGELPPYFISRAARLSGSELEAVKELDVAPSTEDGPVASNVNRTKRWLLSHSQHLNFFTILILASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKAIIKTHIQTLFIISLCNNQLLYLMEKELIWIFGHIPGFSANLPSVIAKLHSAKEKYLSPPASGSPSSQIEDKQWNFSFAFIWNSVVWLVLMNFFIKIVTSTAQDYRKRQQDVEMELITDSSSLSHSKTN >cds.KYUSt_chr1.41055 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251804172:251806772:1 gene:KYUSg_chr1.41055 transcript:KYUSt_chr1.41055 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSVIERNVALPLMRLVTMGGAPIMQQLHLEERLLRRASDNWCILNDGTAPPTIVMGVSGKVSELVEIKPVLQDGVQVIRRFSGGGTVIVDQGTVFVTFICNKTAVAGLQPFPRDIMTWTGQLYGKVFRGFGEFQLRENDYAFGHRKFGGNAQSITKDRWVHHTSFLWDYDAKSMNYLKKPQRAPEYRQARSHMDFLCRMNEYMPSRSVFTEGITTALKDHFTVQHTELDTVLSDDIDFVPSTKMLSPQDLQDIISSKESPTVERVQGWPQS >cds.KYUSt_chr6.13277 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83038407:83044278:1 gene:KYUSg_chr6.13277 transcript:KYUSt_chr6.13277 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAGYMPILNILNKVLVVVDVTRIQYHINPLTILLSIKHVGVMAVVPHAKEEHHDHTMTGVQLQFQQLAIPDQSSSRQGMQLAPPSSKSVRFPMRPGKGTSGSRCIVKANHFSAELPDKDLHQYDVSIIPDVPSRGVNRAVIGELVTHYRQSHLGGRLPAYDGRKSLYTAGPLPFTTRTFEIILQDEEDRLGGPQVAQRREKKFKVIIKFAARADLHHLAMFLAGKLPDAPQEAIQVLDIVLRELPTARYSPVARSFYSPNLGTCQQLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRNVAVRLLSDADRVKIKKALRGVKVEVTHRGNMRRKYRIFGLTSQATRELTFPIDDNGTVKTVIQYFQETYGFNIQHTTLPCLLVGNPQKPNYLPMEVCKIVEGQRYSKRLNDKQITALLLVTCQRPQQRELDILQTVNLNAYHEDPYAQEFGIRIDERLASVEARILPPPRLKYHDSGREKDVLPRIGQWSMRNKKMVNGGTVNDWICINFSRNVPDNAARNFCRELADMCQTSGMDFSIDPLFPPLTTRPENVERALRARYQDAMNALKPLGRQLDLLIVILPDNNGSLYGNIKRICETDLGLVSQCCLAKHIYKRSPQYLPNVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVSHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQTRRQELIQDLFKTWQDPQRGTVTGGMVKELLIAFRRSTGQKPQRIIFYRDGVSEGQFYQVLGFELDAIRQACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRSVDGKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDASDGGSVASGVTTSRGPNGPRRAVGNVAVKPLPELKENVKRVMFYC >cds.KYUSt_chr4.55004 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339683609:339683899:-1 gene:KYUSg_chr4.55004 transcript:KYUSt_chr4.55004 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRKEAVLAALMLALVVLAAAPGGARAACDASQLAVCVSAITGGAPPTTVCCANLKAQQGCFCQYAKDPAYGRYIKSPNARKTLESCHLAVPTC >cds.KYUSt_contig_959.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000088.1:59541:60519:1 gene:KYUSg_contig_959.13 transcript:KYUSt_contig_959.13 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPEIQAAVYALRNTRGLPWPKDKENEKKPQEKKKPEEEKKTDKDLLDWLQAMFGFQNDNVSNQREHLILLLANVHIRQIPKPEQQSKLTKKIERSNQALTKLHSSWNPSEPSSDKELLTEEEMMIFMEMASGNATEEQGLGEHVIG >cds.KYUSt_chr4.50243 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311237580:311242031:-1 gene:KYUSg_chr4.50243 transcript:KYUSt_chr4.50243 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVWSGVLDGQIWHQVGFLFVVWLLQWPELLVGKRSIVSFNKADGVLAGDGLRGGSCSSSQPLSCRGGEGRRKREASFFSGRFLIRWRRIVIDRIMSEREPPTLAPRSTQAEAATPNHAAPAPALPILPEVGYGAPPPVGLELHFGSITPEVAAASARRSQGREIRATGLTPAPPSRDPAAPASSSPATYRSISTDLTSGAYPEALLPPPPALKASDRPVRAGDAARPGISGSGKVNPAPARLRSIIVAPLKNPEKLRPEIHGDPEGKWQDVRPKHWWRKIPPSSSGRNQDGRRQELSRRAVQPSALNRFKGLCFRCLSAFHFVRNCSGQVRCFVCNESGHIGRNCTTKRVPAGANPPPPRSVGPRQANASAWPALGGPAAPRMALSPGHPSNRPDEVFSISISTPTMERAATEMRCTHLAIIISDPRLNISTRSLAKALQDELNFAWEDIQVSASYPDDFLVRFTHPWQRDTALELGSVPLRRGKMALTTWSPTARGRPQTWRFYCRVALENLPLNTWEDEDTVKAILGGGCELDRIEQRSVLQDNTAALFAWVWSLDPDLIPCVKAHSILNRPAVGRADLPEGTLAEEGRDGPLYRVLIHLDTILDYTPIDESRRKRGYSWPNKTRRDWEFGVKDNAGGPRRRPGQDRLGPSNHRRNDDREDRRDDRDGRRGERRSSRHGADRGGEGDCSRRYGGNQHQRHGGDHHERRASRSPEYRRHGGSSRHRSRSPPAMLALKAAAEDGSFAAAADVPKQRPLILQPARVHTPRGRRSSSRTPEGSAAMGSTPSPPPGTDRRMCLASPM >cds.KYUSt_chr3.12769 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76587726:76592572:-1 gene:KYUSg_chr3.12769 transcript:KYUSt_chr3.12769 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAACSSTVFASSFSSIPYRTSTTRPSLRPPPPRRASLPARPVLRCLRKSDSGEPPLPAAPEPAGEERGGPAPFDASWGYAFAVAAGVLMLQGSQQALAGTQFMGLQPPADALGDLGDISTARNSGGVIFLGTFGALAVMTVISVVLGRAFHYVDGVIPFSFGGTDFPIDDILAVCLLVYYGVTTLLDAASGDGEKMNEEQEEAELAVSKFSGNGAGIVSVASTLASTFVLVFVAEWGDKSFFSTIALAAASSPPGVIAGSLAGHGVATLIAVLGGSLLGTFLSEKIIAYIGGSLFLAFAAVTIVEIVT >cds.KYUSt_chr1.41438 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254175557:254177264:-1 gene:KYUSg_chr1.41438 transcript:KYUSt_chr1.41438 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGAHGGGQLYGDHHPAAAASVPLYDGDDNCFFQNSVDGGLAPPYASITDYLQGFLDPAGLATHLDAAPCVPAGDAVNRDSAAPNSSTSSEPRRGKKGRSEPEQEQEGDEQGEVDEDEEGSVDRQDCRSDTKKKKGKVEKKARGPRVAFATKSEVDHLDDGYRWRKYGQKAVKNSSFPRSYYRCTAARCGVKKQVERSQQDPSTVVTTYEGHHAHPSPAAHRGMATAGANGLYSMASLQQQQHGFSPSSPDNLFLAAARAMAPAAAATSPAALLPSQADHRFAEYYGMQLQDVLLDPSNQHGHR >cds.KYUSt_chr2.46157 pep primary_assembly:MPB_Lper_Kyuss_1697:2:288067968:288068207:1 gene:KYUSg_chr2.46157 transcript:KYUSt_chr2.46157 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPQPKDRPPVVPRSRSQGQPRLRRHGVASLAKAYIDQFDRDGDGMVETEGSPDQTYDIWSVSGVNNGGLWVASKIQC >cds.KYUSt_chr3.191 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1156458:1158221:-1 gene:KYUSg_chr3.191 transcript:KYUSt_chr3.191 gene_biotype:protein_coding transcript_biotype:protein_coding MILFDPVPALHGKRDPLFQIFGHGEGCLQGCRDGEPVRRGSGRIWAPGSGPQLVGVRWENAGKNRRIWGPDGPLTTLSCPPARTPLGKIEDHQGYDGDRGGLGQVGCHLLHGNVPDGYAKGVVCTSTDSSVCQHVDVSCYFRCVHLAAMATFPGGDLVGAVPMMVIPPTPDLVFKCMYLKLSMVLRVSLHTSKKVFAPFACCSAHSDGVVHDVFWAGADDHGLEVKLDAIEWLILVYFDQNLEAISNLCFLSLIFAAQGGDMQAENKVKAKLPSPKPKEA >cds.KYUSt_chr4.3795 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21690927:21691370:-1 gene:KYUSg_chr4.3795 transcript:KYUSt_chr4.3795 gene_biotype:protein_coding transcript_biotype:protein_coding MKPATGIPVGSAPAAGTWSSGLFDCFDDCGLCCLTCWCPCITFGKVAEIVDRGATSCGTAGALYALLATLTGCQCIYSCTYRAKMRAQYALPDGPCGDCCVHFCCEPCSLVQQYKELKARGYDPDIGWQLNAERGNGNAPAVQMMGR >cds.KYUSt_chr4.50346 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311886888:311888684:1 gene:KYUSg_chr4.50346 transcript:KYUSt_chr4.50346 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSVNYNGDTYHLIMKNCNHFCKDMCYKLTGSKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSQPEDSEKRRLRNPLSCFSSISSQKQLPSSSPFPPSPVKEPLPSCSSKKSSTASLRNRSCS >cds.KYUSt_chr2.53993 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337037047:337037818:-1 gene:KYUSg_chr2.53993 transcript:KYUSt_chr2.53993 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEREKVKLLGVWDSPFVNRVQIVLNLKGICYEYVEEDLHNKGELLLASNPVHKKVPVLLHDGKAIPESQVIVQYIDEAWSGTGPSVLPADPLQRATARFWAAFVDDKIGSPWFTILFARKTEEKMEAAARAISAMETLEGAFKDCSVAVGKPFFGGDGIGFVDVVLGSYLGWFLVIETMIGVKLMDAARTPELAAWAERFKMADAVKGVLPEDVEKVLAFLQTFLD >cds.KYUSt_chr7.7980 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48179448:48186464:-1 gene:KYUSg_chr7.7980 transcript:KYUSt_chr7.7980 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAHGICVTSPVCCRTLEGSAPRVLSSLVKKLKNRFLHTACHRRSSRLDRLLARSSKAETRAHSVAALLRLRLSSLAPSFSAVAVSCSLRRRALLLASAVALSYAAAWTASALAPSLLEGESQRETESQRGRIFLHQQWCDDSFEWESDGDAEPSSAPALRNMDAAGPSTLVHQDSDDSFEWESDGDAEPSSAPALRNMDAAGPSTLVHQDPNGRANGEAPPNSLVEGYVGMGFTIEMVVKGIKQIAEYFLSGYSDSNALLELLLTYKAIGDEAAGNCSTSGLISQSVEDDDDFDFENWDGDDDADGREPNSDDSGHEDFPQEMSEKDNKIKSLVNMGFAEDEANRAITRCGVDASVCLLVDSIYASQAAGNCSYMNQSEYEVTDRGFDSFGVRKKARLMEESKKKMKHYGSGAQGSRSSLDGNHGELMWLPHPMVGFNLPTDRLRSVTRSLPKQASGPPYFYYENMARAPKNVWKEISRSLYDIEPEFVDSRFFCAAARESGYVHNLPIEKRSPLRPLPPKTIFEAFPHYKKWWPTWDHREQLNCFETRVASPKQIKKIECALVRSSNPPPLTVQKYVMDQCREWNLVWVGKNKVAPLEQDEMEYLHGFPRNHTRGVASRKRYKCLGDSFQVDTVGYHLSVLKDMYPNGVNVLSLFTGIGGGEVALHRLGIHMKTVISVEISEDNRRILRGWWDQTQTGTLIEIADVKSLTNDRIASFIGRFGRFDLVIGGLECEQPALFYHYCRILKAVKSATARM >cds.KYUSt_contig_60.336 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:1965475:1968469:-1 gene:KYUSg_contig_60.336 transcript:KYUSt_contig_60.336 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRSHRRRHISSSPEPSVFLEQSPRVAHSCQHEAGKGKGKAMAMAEASRTSEARRDAVFGRWVIFSPARSRRPTDLKSHGPANPSPADGNAPKPSCPFCLGRESECAPEIFRVPAPDASSPWRIRVIENLFPALRRDVEPPPPEEGEKEPVGAGECAVRGFGFHDVVIETPRHDVRLWDLDAEGVGDVLLAYARRVRQLAQHPAVKYVQVFKNHGASAGASMAHSHSQMIGTPFVPPSVTSRLNCMKEVFERSGKCSLCEIQCKDILVSETRNFSAIVPFAASYPFEIWIIPQEHSSFFHEIDQDKVLDLGSLLKNILEKLCKQLNDPPFNYMIHSAPFGLSSSCMPYTHWFLQIVPQLSVTGGFEMGSGCYINPVFPEDAAKILREIDCSI >cds.KYUSt_chr2.51017 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319072434:319081363:-1 gene:KYUSg_chr2.51017 transcript:KYUSt_chr2.51017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium efflux antiporter, Chloroplast development, Drought toleranc [Source: Projected from Oryza sativa (Os04g0682800)] MDFSRFAAAAAAPRPGLQIGGGGPFRGPCTLRRPRPRPRLGGAGNLMVASAIRGLFHAPALRLRAARCQGNDSLAYVDGPLEGTKGSSAAADEASASTTGPDAEERSRAALAAGDVDDLRDALQRARKDLEVARLNSTMFEGKAQRISESAIALKDRADGTQAEVSTAVAAVQEVIAREDDAKEAVRKATMALSMAEARLQLAAEALHAKRGSVGQLEVSLDDVEEEALASAQEEIRACQLTLSRCEEELRRVQGKKMELQREVDRLTELAERALLDASKAEEDVSNIMVLAEQAVALEMEAAKRANDAELAMQKAEKAVSLVDSVVDLVPPAEGQLSEEEYDISDVYDYSGDGVADTSERDEVSNVERLMQISDLAAEGIEQLESSYEMSTAEERGEKLLAEPQKEAEPDLDKSSKQGKKPDAERKESKSSLKRSSRFFPASFFSSKSDGEFTPTSVFQGLMKTARKQAPVLITGILLLGAGALFINRSEKSAQLTQQPGITTSIEEVTSTAKPIVREIRQLPQRIKKLIELLPHQEVNEEEASLFDVLYLLLASVVFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAAVIGMIAHRFATLPGPAAIVIGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLLSPNSSKGGVGFQAIAEAMGMAAVKAVAAITAIIAGGRLFLRPIYRQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLFMSNFPAISLILSLLIIGKTLLVTLTGRLFGISTIAAVRTGLLLAPGGEFAFVAFGEAVNQGLLSPQLSSLLFLVVGISMAMTPYLAAGGQFLASKFEQHDVRSLLPVESETDDLQGHIIILGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRAVWALSKYFPNVKTFVRAHDVDHGVNLEKAGASAVVPETLEPSLQLAAAVLAQAKLPMSEIAATINEFRNRHLSELTELCSTSGNSLGYGFSRVMSKTKPLISDDESEPIDGALAI >cds.KYUSt_chr2.48274 pep primary_assembly:MPB_Lper_Kyuss_1697:2:301831893:301836512:1 gene:KYUSg_chr2.48274 transcript:KYUSt_chr2.48274 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMERQTVSTSSASCSPSAASSSSSSACGGRKRPDILNMIRSATCLHSSSTDTGKGRSKLSSNKVTHGFHLVEGKSGHDMEDYHVAEYKCEKNHELGLFAIFDGHLGDRVPSYLRANLFCNILKEPLFWSNPHEAIKNAYDSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWIANVGDSRAVLCERGAANQITVDHEPHTTNERQRIEQQGGFVTTFPGDVPRVNGQLAVARAFGDHSLKTHLSSEPDVRHVPINSSIEFVILASDGLWKVMKNQEAVDLVKSTKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >cds.KYUSt_chr1.20625 pep primary_assembly:MPB_Lper_Kyuss_1697:1:121807629:121815613:1 gene:KYUSg_chr1.20625 transcript:KYUSt_chr1.20625 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAASRIWTKSGLATTTTRAMPFFLSTFPTPTAAAPSATPTAQNPSPHRRLPPPPPPPPPPRRALAFFAAAAAARLAALSPSRTRAMASLATSAAAAAATEVTHLSQRDAAEIDQQLMGPLGFSVDQLMELAGLSVAEAVAEVYKLSEHTRVLIICGPGNNGGDGLVAARHLYHFGYKPFVCYPKRTAKPLYSGLVTQLESLDIPFLPVEDLFHDLPGQFDIVIDAMFGFSFHGTPRPPFDDLVQMLVSLSANDDLAKRPPIVSVDIPSGWHVEEGDVNGGGIKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPISSKYGLELPPYPGSSMCVRIGKAPSVDISSLRENYISPELLEHEVIPDPFDQFRKWFDEAVTANLREPNAMALTTVSKAGKPSSRMVLLKGFDKHGFVWYTNYGSQKAHDLSENSNAALLFYWNEMNRQVRVEGLVQKVSEEESEKYFHSRPRGSQLGAIVSKQSTVISGRDVLQQAYKELEQKYSDGSFIPKPDYWGGYRLTPNLFEFWQGQQSRLHDRLQYSQREVDGSTVWHIERLSP >cds.KYUSt_chr1.30997 pep primary_assembly:MPB_Lper_Kyuss_1697:1:187816433:187820263:-1 gene:KYUSg_chr1.30997 transcript:KYUSt_chr1.30997 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTAVTLRPGQSPAVRSTSNAVDSLTLHRRPLLHPRLHLRRSPEPSQPSIRRRPMPPLTASATNAASGAGSFTELASAADFAAIASPGGRISVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHAAPIFFERGIAIEATKASLPICLHACVEFSSLSVEQCEGEMIVVTVFEIKEEEVVPEGLDGVPFPNPAVVCARYSDEEYFLVRCKGSKEIYNQHYGRYNIDKIWRDDILPCRLYLRHCVLAAKNLGEPAYGNFLDHTYLGDRKTTIREYLATAGAGIMEEEPPESLKSRYGG >cds.KYUSt_chr7.16480 pep primary_assembly:MPB_Lper_Kyuss_1697:7:102090662:102095400:-1 gene:KYUSg_chr7.16480 transcript:KYUSt_chr7.16480 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEAAAAAAAARIRVVRCPRCDKFLPELPAYSVYVCGGCGATLQAKKKNSGQALDPSDNGNVKYLEVLESVPETSEAMDAATTGNRSVPNRMPALHSRSVYSRDNSRITKEPSTSNVKAGARDDVKEAKYMRFRNVENGETVRARGVSDMRPKSPISSVPPNAFRGEGPIDHHLKSRHMHSNKEHANEPDLDGPSRVRGLEKDRAELLRMLDELRDQVQQSCEVNGPDTSAVNRAADTSSSYGIHERLSQLRQDRSQLHRKGSHHSPSMNARSPGIHVYDPLPAQQNHHGYVEPIAHARISSYPAGPYPWRNFDNYFFGQHDPDPLLSCHDEGFYHQAACSCLHCYHREFLPVQGTPLGFNDQRAPYLVNSYGAYPVYGPLLGQQRYNSRSANPSLHPNNPRANISKKPAQTLEPIAGGAPFTICYNCYEVLQLPKKRSLLGKEYKLRCGSCSHTIVVKLDGTRLDVSEITPSAHLSPTLQNNIGDSMADNLCATADERLPPPYSFSVASHQSQEKDKHSNSGESDSKHTPLGTNSEDSPQSRDLPAEENVISRTPSLPRRDHSGFLPSEGSGIGSRSTRSEHEKVVLLTESCKQNSIKDVCVANETQSSDDEFDGPDYTQDMLNVLRDSSHTRATKVGDSFLTNLIKKSFRMNNGTQNGRAKVFVNGFPIPDRLVRKAEKLAGAIYPGDYWYDHRAGFWGAVGQPCLGMIPPYIPEFNYPMPKKCGGGNTGIYINGRELHKKDLDLLVARGLSDSPERSYIVENSGKVTDEASGEELYGLGKLAPTFFAMRHHGKGPLAQPNHQVPPSWAAFFAMRQEIRDSTMHQLLQDDLVEHIWRLRGNANADAN >cds.KYUSt_chr6.17295 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108927450:108930144:-1 gene:KYUSg_chr6.17295 transcript:KYUSt_chr6.17295 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAALASLLVSVLVVAAHAPAASAAPRAFFVFGDSLVDNGNNNYLLTTARADAPPYGIDYPSHRATGRFSNGLNIPDIISEHLGAEPALPYLSPELRGVKLLVGANFASAGVGILNDTGVQFVNIIRIGDQLRYFGEYKRKLRAFVGEEQATRIVSGALVLITLGGNDFVNNYYLVPMSMRSRQYALPDYVRFIVSEYRKILARLYELGARRVIVTGTGPLGCVPAELAQHSGDGECASELNRAVDLFNPQLAEAVRGLNRDIGADVFVAANTYRANFDYLANPQSYGFTNVKVACCGQGPYNGIGLCTPASNVCADREAYAFWDAFHPTERANRIIVGQFMHGSTDYMHPMNLSTILAMDREGP >cds.KYUSt_chr1.23120 pep primary_assembly:MPB_Lper_Kyuss_1697:1:137173014:137176808:-1 gene:KYUSg_chr1.23120 transcript:KYUSt_chr1.23120 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRLKSIASGRSSVSDPVRILLLSLSLPLPPGGDSGSKRPKFDQDGTGDIVVEPHLPNDKPMRLDQESSSSSSHRDAEASTSTSMNPAKTEETAADLPEGMNDMKISDDKVDGHNDKDAEGVAVDGNGTEAGQIIVTTIGGQNGKPKQKVSYMAERVVGTGSFGVVYQAKCLETGETVAIKKVLQDKRYKNRELQTMQLLDHPSVVQLKHHFFSTTERGEVYLNLVLEYVPETVYRVAKYYNRMNQRVPILYVKLYTYQMCRALAYIHRVVGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLVGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPSLRCTAVDACAHPFFDELRDPKTCLPNGRSLPPLFNFSGAGK >cds.KYUSt_contig_2887.84 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:386462:390423:-1 gene:KYUSg_contig_2887.84 transcript:KYUSt_contig_2887.84 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDPDLLDCSICFEPLCPPLYQCQNGHVACFSCWSRLSNKCHVCSHDAIFARNIALEKIVESIKSSCAYAKWGCCNLCQNGHVACFSCWSWLSNKCHVCSHDAIFARNIALEKIVESIKSSCAYAKWGCCNLVSYAQRSTHEEACLFAPSTCPIPGCGYRGFTGCWSGHFLVDHSADCLHFVYGQPFEVNLEVSLPFLVLLGEDDHLFLLLNKNMMPFGHAFTVVCLRTGNLNWKFSYEIITASGGNPENSLQLKASVTNTKEWGGMHPAEAFLLVPYDFCSSTSLTLHVAVARSASV >cds.KYUSt_chr3.18805 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115605502:115610879:1 gene:KYUSg_chr3.18805 transcript:KYUSt_chr3.18805 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDAEAAPPTEPERWRDLDMLLSRPGNLVHSTFDPSPGLRDLIGSFVEVLVVGAGGLGCELLKDLALSGFKNLHVIDMDTIDVSNLNRQFLFRIHDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKELDFYKQFQIIVLGLDSIEARSYINSVACGFLEYDSDEKPVQETVKPMVDGGTEGFKGHARVIMPGTTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHSGKPFDADDAEHMQWIYSEALKRAELFGISGVTYSLTQGVVKNIIPAIASTNAIISAACALEALKLVSGCSRSVSNYLTYNGLEGTHIKVTEFVRDTDCLVCGPGTLIELDTSSTLSEFIKMLEEHPKLLMSKASVTHEGNNLYMQAPEVLEQMTRQNLSIPMFELLKGASFATVHVTGMAESNGKKVSSLRKLRVAFKGLEEASKMDTTESS >cds.KYUSt_chr2.2801 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16708675:16709145:-1 gene:KYUSg_chr2.2801 transcript:KYUSt_chr2.2801 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPRAQQSKPSYHRRHPNSGPRQQQQRYVPKSAAPPAPKPSPPPSLTTALRSSAAPSASGTGGSSGADGFMAYLPHDEAVAAGLGGLDVQESQTVVDLLNNALASLLRAKPRELPAHACVHVACRSVDLACLHHARGRGRLPLHAGEPATRTRQ >cds.KYUSt_chr2.47272 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295766354:295766716:1 gene:KYUSg_chr2.47272 transcript:KYUSt_chr2.47272 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTMGHVVALLSAALSGGAVGKVAEEQCRRCSRHDDHHHLTSAGCCVCISRFRDGEEIRRLPCGHAFHRVCVDRWLALCRRTCPLCRLHVGGVAMVAVDEHQLSDDLAVWFSSLFVAGL >cds.KYUSt_chr5.17593 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113508008:113508223:-1 gene:KYUSg_chr5.17593 transcript:KYUSt_chr5.17593 gene_biotype:protein_coding transcript_biotype:protein_coding MPAECSGMWVNSGRATKEAASLVAACPAVWEVVFCDLEAAASLVAACPAVWEVVFCDLEAAASLVDVAAAS >cds.KYUSt_chr2.32179 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198487827:198488714:1 gene:KYUSg_chr2.32179 transcript:KYUSt_chr2.32179 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGMGSRLALVIVCSVLLSLIGTSAATGKTGRITVYWGQTSNEGSLRKACESKLYSTVILSFLNNFGSGKYGLNLAGHSWAAVGPDVKYCQSKKVLVLLAIGGGIGKYSLASKADAKAVAKHLWDYYLGGKSRSRPFGTAVLDGVDFDIELGSRAHYDDLARYLKGYSKKVFVTAAPQCPFPDRMLGQALRTGLFDRVHVQFYNNPVCSYRASNVAGFKAAWSKWTRSLPRSSVYLGLPAARGAAGSGYVDPTTLVNKVLPIVRRSRNYGGIMLWSRYYDIQTGYSKRVKSAV >cds.KYUSt_chr6.2611 pep primary_assembly:MPB_Lper_Kyuss_1697:6:15234229:15240292:1 gene:KYUSg_chr6.2611 transcript:KYUSt_chr6.2611 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLHGKRATSEPRRSKRLERSQPEAAAPPARRARHAEGPAPPRKCSVPPARRRAEGPVPPRNRDGPVPAARVASPPSPPHGDRDPGDVTVAPPPAHPPKTFGCGRVTLPRTAYALRNRLVPDTSSPKPKTTPTPTPGCDKMFREERRRGPTWQTPMCARVGLEYYNSMNQGDQYELVKAVSSNAFVFNGIWFHANFLAKRKGATNCVDLVPKYFFAEIESRSGGHSCVSCVKLDQGDPKNIGGCTLCSEKMMHPAGGRPFGTFDNPDTEDDYLGSIAEDNFNFTSTSTTSREDLTQVISSIKIPAFDVFKIADNREIFLNLVDDKDGTAMTWLLVQIAKLA >cds.KYUSt_chr2.44149 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274561364:274565015:-1 gene:KYUSg_chr2.44149 transcript:KYUSt_chr2.44149 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSLAASPSPLAPASSPVRTLRHGHAALPSARGPRSPALRAVSGNGRGASAVVRAVQGQDTTIQVPDVTKATWQSLVMESELPVLVEFWASWCGPCKMIDPIVGKLSKEYEGKLKCYKLNTDENPDIASQYGVRSIPTMMMFKNGEKKDAVIGAVPESTLVTCVEKFTER >cds.KYUSt_chr4.46193 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285431318:285432280:1 gene:KYUSg_chr4.46193 transcript:KYUSt_chr4.46193 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRADEAWIDADKPLPASLFSAKKERRKASVGTERGGKPVGVMFSCLLATMFLSRWEDYHGCSHVARPNIHGPCKKQQLHFSDEGIGHLLN >cds.KYUSt_chr4.17599 pep primary_assembly:MPB_Lper_Kyuss_1697:4:110240340:110241644:1 gene:KYUSg_chr4.17599 transcript:KYUSt_chr4.17599 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKSSKASSGKHGAPLLGKYELGRLLGRGTFAKVYHARSLVGGEPVAVKVLDKPEMAATAGMDARVIGEVAAMRRLRHPNVLRLHEVLATRTKVYLVMELAPGGDLLSRLASLPQRRLSEPAARRVFLQLASALIYCHARGVTHRDVKPQNVLIDADGNLKVCDFGLAALPESHRDDGHLHTACGTPAFAAPEVLRRKAYDGVKADAWSCGVILFVLLVGRLPFDDSNIPEMCRKAHRRDYALPEWVSQPARRLVGRLLDPNPATRLTVAELSSHPWFKRSLSLDSQLGSLLGGAPERDLLFQAPPTLNAFDIISMSPGLDLSGLFGENRRSREKRFMTTASPEQMVEQLGLSGPKLGYFMVGKKGVDRLPLGGLSGLVAMSMEMSEVSPPLMLVELRLEAGDDNELQAFGWEELRTELGDVVMAWHGCDEL >cds.KYUSt_chr1.15175 pep primary_assembly:MPB_Lper_Kyuss_1697:1:88230989:88232221:-1 gene:KYUSg_chr1.15175 transcript:KYUSt_chr1.15175 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERLQSGEPDAGEWRAAEADNWRVPERGEDESRRPASGQFPSVAKRGTWVPAGRAEPVILARKASRACDSNGGPSPGVAVVEPELALLSQFGLLCQRLMTAIEISSRRKGTTSGEVRGMAQSSLWRLGVGEVDAADMVYAADWGAQWRSGRSGHGVDAVEGVARSEVGAAESCSTRRTESRCGEWRGRATGE >cds.KYUSt_chr5.43362 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273260173:273260994:1 gene:KYUSg_chr5.43362 transcript:KYUSt_chr5.43362 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGYVFRGRPTTQDVTTVTIRMQQPAGRDSKPDRTSLHPDPAPMARSGSSSSHGASASRRRVVHPTPPSLPAVLCYRCQGGTVRTWVVEDEESKTFGKEILQVPLLPCECSSRSRPSFSHVGVLISMDPKTQVYRLLSLQESKSGACRFGFMWHDDYLPILEQLGVIQPRASQNRLTWDEAPTAEHSYAPPLVPMEARSHGRRSQAAPTAEAGCMLKALLIISFVQLMMLVALYYKN >cds.KYUSt_chr7.39844 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247527621:247540633:-1 gene:KYUSg_chr7.39844 transcript:KYUSt_chr7.39844 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSGNPPTPPYSVAPSAAATSAAPPPNPSVGADGGNPTFGGGMFGGGMPTFGGGMGGMAGMGSMPMPTMGGMADMGSMPMPTMEAWPAWEAWPACEAMEAWPAWEDQAMEECLEGPWEAREDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRRESLKIMQETANKRKQIDQVVRYLEDRLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNDARNELASMDDNRRKISERMSNADNEVVDLREKVKSFDKEIKVSTKGITETRSEKDDAEKKRTEALKVVSQIELDLRDIKDRISSEKRAKDEAIRDLHSMKKESEKSKSELAQISRVHAAKLKEEEEISKSIMDREKRLSILYQKQGRATQFKNEAARDEWLRKEIHDLERVLLSNRKQESLLQDEIQKLKDEINNLTNYIESRKSESSKLEAALANKQKDHNDFMKQKNALQDERKSFWKEENSVTAEINGLKGDLVKAQKSLDHATPGDIRRGLNSVSRIIRDHNIAGVFGPVLELVDCEEKFFTAVEVTAANSLFHVVVENDDISTKIIQVLTREKGGRVTFIPLNRVHAPNVNVPQSSDFVPLLKKLKFRPDHRRAFEQVFGRTVICRDLETATRVARSNSLDCITLDGDQVARKGDKKITDLITKHQQMDAERDHAKSELEQFKVDITSAMKQMGALEKALGKKEKSLDNIRNQIEQIQSGITMKNDEMGTELIDQLTLEERDLLSRLNPEITGLKEKFLSCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPVEVESKEQELKQSKRTLDEATTVLKANVDAINNFTKKMEQLKRQRDDLKALEAKLEQTVQDGAKDLEQLMNSRTVYLAKQDECMKKIRDLGSLPADAFEAYKRKNKKQLQKLLYDCNEQLKQFSHVNQKALDQYVNFTEQREQLQRRRAELDAGDEKIRELISVLDQRKDESIERTFKGVAKHFREVFAELVQGGHGYLVMMKKKDGDAGDEDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGSVVRRLADSADTQFIATTFRPEILKVADKIYGVTHKNRVSYINVVSKDQAMDFIEHDQTHNAS >cds.KYUSt_chr1.3995 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24322078:24323664:1 gene:KYUSg_chr1.3995 transcript:KYUSt_chr1.3995 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGAGGKGKPHAVVVVYPLQGHVIPVTHLALRLAARGFAVTVVNTEAVHDQTARALGVDPARYDVFADARASASPETMDVRYELVSDGLPVGFDRSLHHDEFMGALLKAFSGNVEEVLRRVVVDPAATCLVTDTFFVWPATLARKLGITYVSFWTEPALIFNLYYHVHLLTKNGHFGCDEPRKDTITYIPGVPAIEPHELMSYLQETDTTSVVHRIIFKAFEEARGADYVLCNTVEELEPSTIAALRAEKPFYAVGPIFPAGFARSAVATSMWAESDCSSWLDAQPAGSVLYISFGSYAHVTKQELHEIAGGVLASGARFLWVMRPDIVSSDDPDPLPEGFAAASVGRGLVVPWCCQVEVLSHASVGGFLTHCGWNSVLESVWAGVPMLCFPLLTDQFTNRRLVVREWRVGVPIGDRGTVFTDEVRMRIEGVMSGKEGEELRQAVKKVRATLEAAAAPDGSSQRSFNEFIEELTRRCGGR >cds.KYUSt_chr6.13076 pep primary_assembly:MPB_Lper_Kyuss_1697:6:81674277:81675122:1 gene:KYUSg_chr6.13076 transcript:KYUSt_chr6.13076 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQSRALVVMQDIDLGYFICEFNLNHLFAGRSDLEFPPILNLPEPVVLFNHGVDKDCLTIAVNGNLVVALASRKGQTLLYDTDSHTISAGPDTLSGKPAITLVPVADMFFAMSCCPHLDPKGSPHFELLLRLHDAEEEEENRSRWAWRPIPDPPLLSRPGRRGREWFISARFVAGAHIWVSFSGEGTFSFDTERRRWRREGNWVLPVSGRATLVPDFLGDGRQLLFGFCSREEGGHFCAVDMEARPPAIIKSWPEACVYQCQLALCAGYRAIPEASGLS >cds.KYUSt_chr4.12810 pep primary_assembly:MPB_Lper_Kyuss_1697:4:78855355:78855702:1 gene:KYUSg_chr4.12810 transcript:KYUSt_chr4.12810 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANRDQSPFFHQCEQAMVSDKWMKGLSRISTEAQLREFTALWIKLQDINLSNDDDQILWNLNASTRYSVASAYKAQFLGSFAPIDYSKLWRSKCYDRPDIFQEGAQSWAQLGA >cds.KYUSt_chr2.10870 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69045087:69052660:1 gene:KYUSg_chr2.10870 transcript:KYUSt_chr2.10870 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVSSVALPIPHQPCGQEQQLEFQGFQSIYKSTHLNYFGTASLAARSSSLRFDMPVPSNTPELKKDLEILQAMESSKVGQELQINNHSIWFSSSLNSGYSCCIAVTFLGSSTSVVNCDGFPLLHLRGWFCSIRGFFMIVLAGRLPCLLVVVVQALAQRRCSDGLWHISPRRLISMSSRRNTVQFLRVLERELAVTAKTKEAFFADFTQVKTSFPNTELSIQICASSQAILDVALS >cds.KYUSt_chr6.2832 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16659830:16661164:-1 gene:KYUSg_chr6.2832 transcript:KYUSt_chr6.2832 gene_biotype:protein_coding transcript_biotype:protein_coding MLWEELPPELICLIGDSLDLKGYASARGACTAWRRALAQPFPLLLVVPDHGRCRPSAASVPTRRSFELKPIPLGSHCVGSSYGWLALSFCINGGQIMFSLFNPITATEILLPPLIYSSRWVPKSKLVFARSPASDDFTAVAICDLDRLAYVTAGARRWAILDPIRLPHGDQLADIVYHDENNRVYCLTHYGDVHVLLLPERRRRREFIMVDSPSSLPTIPPSLSGPADHAQLARWRILQQHDFIRRRSLGPDLNAAANVKALPFDPATSFAPPYNTVSVFAGAKNLVFCEGNLYQIWRNSSCTVTVRLPGGGRYRVAQNEVFVFRCFPQRQPCWDAVTDLGGYSVFLGRNNAVSMSPAEGVLGFKGNCVYWIGGRGRDQGMVFDMATGRSTPCLPAAAAAGVAPQSTVCWYFLNDMVNNCNNIIGANEFIRPVREVQADREKQD >cds.KYUSt_chr5.37369 pep primary_assembly:MPB_Lper_Kyuss_1697:5:236268527:236283187:1 gene:KYUSg_chr5.37369 transcript:KYUSt_chr5.37369 gene_biotype:protein_coding transcript_biotype:protein_coding MRAILTQSSDLDEALDGFGKKDAKTWTDDEKRKDRKAFSLIQLHLSNNILQEVLAEKSAAALWLKLESICMSKDLTSKMHVKMKLFSHKLQEGASMMNHLSIFKEIVSDLLSMEVKYDDEDLALILLVSLPNSFANFRDTLLYSRDELTLAEVYEALQQREKMKSMVQAEGSSSKAEALQVRGRTENRNNNYNNYNRDKSKTDRGRSKSKGRDGKFCKYCKKTSHNIDDYWKLQNKEKRNGTYQPKNKSEGDGKAVVVSSDNSDGDCLVVFAGCVSGNDEWILDSACSFHICCNKDWFSSYEFVQSGDVVRMGDNNPREIVGIGSVQIKMHDGLTRTLTDVRHIPGMARNLISLSTLDVDGYKRSGSRGVLKVTKGSLVHMIGDMNSAKLYVLRGSTLSGIAAAVIPDEPGKTNLWHMRLGHMSEHGMAELHRRDLLDGCNLSKFEFVEHSHFCDEGIVRHHTIPYTPQQNGVAERMNRTIISKARCMLSNAGMHRRFWAEAASTACYLINRSPCIPLDKKTPIELEPRAVKCVFLGYGSGVKAYKLWNPETKKVLHSRNVVFNEAVMFYKSSSTDVTDAVDFSDNSDDEQQRISVQVEHVEEKENDVAENDNTVVQHSPPILQQTNSSIAADRPRRNKGPRPRLIEECNLVHHALSCAEQVEHDTEPATYTEAVASVDHVKWISAMQEEMQSLDKNGTWDVVPLPKQKKAVRCKWIFKRKEGLSPNEPPRFKARLVAKGFSQIPGIDYNDVFSPVVKHSSIRAFFGIVAMRDLELEQLDVKTAFLHGELEEEIYMDQPEGFVVPGKEDLVCKLKRSLYGLKQSPRQWYKRFDSFMLAHEFKRSKYDSCVYIKFVNGSPIYLLLYVDDMLIAAKSKKEITTLKSQLSSKFEMKDLGAAKKILALQCPSTDEDIEYMSRVPYSSAVGSLMYAMVCYRPDLSYAMSLVSRYLADPGKEYWRAVQWIFSQSAIYLTKDQMFNERTKHIDIKYHYIRDIVAQGKLKVCKISTHDNPADMMTKPIPVSKFELCSSLVAGSSTYSGMSSPSSSRIMRAVQYHKYGGGAEGLKHVEVPVPSPKKGELLVRVEAASINPLDRRFQKGVGRPFLPSKFPFTPVCELAGEVVELGAGVSGFRPGDKIIAVNFPGSGGLAEYAVVSASNAALRPPEVSAVEGACIPIAAATALMALRTAGVGLDAGDGPAKNVLVTAASGGVGTFAVQLASLAGHHHVTATCGARNLDLVRGLGADEALDYCTSEGTALRGPSGRKHDAVVHCAEGFPWSAFKPALADAGGVVVDLTPRIASVAVAVLHWLCFSKKRLVPLIVSTKKQDMDALLGLVVQGKIRAVVDSRYPLSRAREGWAKSMSGHATGKIIVDMEPRQLVMPAGRHRRRLTLAPGGGSPCRQDRSLGGLGFQFLPREGSVLDEDDSDAEVPIESALEVLDSDASSECSAVSRKGRRTDEEIVQDFWNEIGFPTPASRFWEVQSSSSSGKVANGSGVDACRSSSAKVGSEEGTVDISASFSPSREAAVEADRSCGGFPMRRRPRAGSWRGPCPPRRRTPLPVLGQFLEKAGWSPSFERDAATDSSLQAVEEAESRGQFPLPLHGSVAQLHEVFSWAGLRSALRFLWVDPRLRVPSAASSSSTAATVTLSPAASPSSAAGVSSSLASASSSPSSSAPSSSSTSTPSSPSCRLPLPSTRPPPVSPPAGGWGGGTRLLRPSFADVVAKGPAPMSGARPPLRGQVPGAGAVHGPPPPGGSRPMMTPPPARPGFPVGAARPQAVQYRPQPPPPGYGMPQGQVLGFQGHPRPPPAPMPYGGARAPQMTGAGMPQQQPVHPQASMINVQQQHGVFAPGTAPKQKRKKKKAVVPPEMQGSGLPQGGSQHQLPFYGTGPSVVQGQSTLVQGQPQSFQFASAQYGYAPQAQFHPQHQQQPQFVPPQPQLAVASIHQQQQQPQFIPPQPTAPVVQAHAQDTVLSGTLDQGTSVVTVGAQQAPVAKAKMVWCWKCADNTHASKDCKFKHYCYICDKIAHPTVRCPVLKAPRPTAYVTGSGLLETFFTALPDSVVREDLTPTNSPVARIIVTGDVVPADVIARQVARRCSESPGWKWEAVPYGEKEFLISVPSFDDLNRMDGIQVGVPDSNSSIGITTWQSAEVQHKVELEQVWLHVEGVPHTLRHFLGLWAVGSLLGKTLDVDLLSLRRRGVVRVLVAMLNSSVLDRTVSEPGSYAISDAVVKLKSFEFSFRREPADFVPEPDFVPFLWEKKNDGNDEGGAAGPDDDDAMDTLDGRIGAMVSPAPPTQPSGSGGVSSSGAQVASIVFAVTPFNNNPQTPAAVQVVEKLRSVSPSLERRPPLSPKVTSEELSTALEATRSPPSAQGLERIVLPARGRVHTLARTSPRRSSMDSATAAHPAASQEHAVAGGQTVLGGTSAGKTTKGVHTDGSFHVASCSSSRAGVCFEAGPTRSAVPGQDFRAGHTREDAVLPAADATQGQLGMLLLKDKLHSGTLAMQREVLLLEQPMQPASLQPAELLQGLLLQTDNNAATTTNGSPHVAADGHGLVPKGTAASASAVQPRASAALGRDLRSPGAATSSTEESSGTAAAATEGRPTSPTPPPKAASSRRAMPTTPSRRSTRHGVGADGCEATDEDSLAKAMRRKAASNLDTSVSVSAKALKHMEFDRIKCTPVSKSKSDTSHTSDDDDDAYAISDGQLLSHIVGEDALKPAFLREMVNLAKDNPHPIILGGDFNMLRYPHEKSKGRIDDIPQVSPQENAVLTAPYTEEEIRKAVFQMELNKAPGPDGFPAEFFQTFWDTIKKDLLELFEELHAGQLDLFRINFGEIILLPKINDAERIQQFRPICLLNVCFKIFTKVATIRLNSVADHVVRPTQTAFMQGRYILDGVVTLHETVHEMHRKKLNGVILKIDFEKAYDKVKWSFLQQTLRMKGFSHEWRSLIHNFIFGGSVAIKVNDDIGKYFQTKKGLRQGDPLSPMLFNIVADMLAILIERAKRDGQIEGVVPHLVDGGLSILQYADDTILFMEHDLQKARNLKLILSAFELMSGLKINFHKSELFCFGDAQDEANQYADLFGCGLGSFPISYLGNSPVYGYAPFMVVASASGGPRPIYGGVYTIGEYGQGLYYPTWVAA >cds.KYUSt_chr6.31131 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197300116:197300673:1 gene:KYUSg_chr6.31131 transcript:KYUSt_chr6.31131 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEEGEVGVVEGHAVEEVDSHAVVEMDVDGVVHEQEGERAVKDEVKAMVEVVHNNVVLQGRARINELFARAEFIRNNNLALTESTTMYTSTCAGCIYPAVCRRCGVRGENSIFLCIGCSLPVPKDLHSCDVCGNPLLCDKYWCKDCATTVGLDKDGVCICCDRNPSNYVDAKQNVEFVPDTQA >cds.KYUSt_chr7.36473 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227891851:227898148:1 gene:KYUSg_chr7.36473 transcript:KYUSt_chr7.36473 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGLRGVRDDLSELGRHLLDIACFLHPLLNPAHTDSPPSTPTRARRRARSPSPRPATPPSPSPSILAGILADLAEIGGSFRVGFSGRALPDRQPPPARSLPVPDSPPHAAAAAASGVADGVLGAARALAARPEAWIDFPVLALDENATISDIERDHMEVIEKLVPDLASLRARLCPSYMDQDVFWKIYFRLLESTLSEHSSEEDNQNVQSSVHHVNEIESPPHVCEIESEKSIHEGYQSSESQALTKTRSEQSIDQWVFAKSKSETSMDQWSEVPSDVESFREGKKYLSSEAEDMSDVDNSNVVVMDKYMDSLLPFRRNLPYASSSVRRDSNFLVCWERYHSSFSHISKDAPAYYSQFGESSLGFDVRGICGLRGSTPKLFLLAGRGGEGEDSNGVAAALHWMRCHKCFGAAPASSTPPSMRRSSWEALQQGTLAGIIALPLHPMDERRPFSRSRSSTGRLLSGSSSTVLADHAPSGLFPGGVEGSRWRSPFSGGDQGLDRVFSLFSRVLNETSKG >cds.KYUSt_chr2.48455 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303052141:303057203:1 gene:KYUSg_chr2.48455 transcript:KYUSt_chr2.48455 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAPRASARRRVWVLAVAALVSVALVWAYHYPPQHYASPMRDWLPAQPDRELTDDERASRVVFRQILLTPAIPSRSSKIAFMFLTPGTLPFERLWEKFFEGHEGRYTIYVHASRERPEHVSPLFIGRDVHSDKVQWGTISMIDAERRLLANALQDIDNQHFVLLSDSCVPLHNFDYVYDYLMGTNLSFIDSFYDPGPHGNFRYSRNMLPEVREADFRKGSQWFSLKRQHALMTIADSLYYTKFKLYCKPGMEDGRNCYADEHYLPTLFNMMDPHGISNWSVTHVDWSEGKWHPKAYRAQDVSYELLKNITSIDMSHHVTSDSQVKGTDRGVLLPKEGHEEVADVALQLAKYCIEEPVKSPLIFGEWEVVYCSVPTSPGGIYRTPLGRLVFKTNDMVQVVEAPDIVRNKLSFSVFGLDGAVSLKGKLNVLDSKWIQVIFEPAELKVGSLGFQYGGESEVKLEITYVDEKIRLGKGSRGSLFVFLRQY >cds.KYUSt_contig_257.417 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:3073214:3079698:-1 gene:KYUSg_contig_257.417 transcript:KYUSt_contig_257.417 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDRLFAALGRVYTQEEFEKLCFDFGIELDDVTTEKAIIRKEKHLDEDGEADGDEEVIYKIEVAANRYDLLCLEGIARSLRIFIGTEATPVFKLSSVPNGSMLQMHVKKETSQIRPYIVCAVLRGVTFDEIRYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLQGPFSYEALPPQEINFVPLKQERHFTADKLMDFYKSDMKLKKFLHIIENSPEFPVIYDSNRTVLSLPPIINGAHSAITLKTRNVFIECTATDLTKANIVLNTMVAMFSEYCENKFEVEPVEVISYDGSKAIYPDLSCYKMEASISEILGRIGISLDETQVISLLNKMQLQAEPCPSKGEPRISVSVPPTRSDVLHACDLAEDVAIAYGYNNVPKSKPKSMTIGGRQPLNRFSDKIRAEVTRAGYMEVLTFVLTSYEENFDMINRKDDGNKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVVLDTSRDVGASNNRRLAALYCSSNSGFEEIMGLVDRIVKIVRAPHITFSHPYYVETNEPEFFTKRQCKIVTSDGKQVGYLGIVHAEVLRKFGIPDPCTFVEMDLEALL >cds.KYUSt_chr7.11631 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71492469:71493074:-1 gene:KYUSg_chr7.11631 transcript:KYUSt_chr7.11631 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDRQSEWIVLRRVHAFLKSRKLHRAAYALEKEARLKLDMPHLHKLFAKGRWRAADEYVTAFLGAAKEETGSTPAASATLFVVRFERFVRALKRGDEAWAMRYFGRAVEPLLYSHPDKAAARAECIRALELDDESLRRSYPDDDRRRRERETEFIRCVYENESISRAFNDVFDGNLRFMSKTTSLGLRRHVRRGPPCDQR >cds.KYUSt_chr4.33956 pep primary_assembly:MPB_Lper_Kyuss_1697:4:208464460:208466075:1 gene:KYUSg_chr4.33956 transcript:KYUSt_chr4.33956 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFAGGEDQQRCYGGRVTAFAALSCVTAAMGGAIFGYDIGTAGGVSSMEPFLREFFPEVHRRIQAGAGVGNYCKFDSQLLTLFTSSLYVSGLLTAVLLASWFTARHGRRPSMILGGFAYLAGAAVSGGAVNVYMAILGRALLGVGLGFANQAVPLYLSEMAPARYRGAFSNGFQFSLCLGALAATVVNYLAQKIKAGWGWRLSLGLAAVPAVMLTVGAIFLPETPNSLIQQGRDRGEVKALLKKIRGIDAVDEELDDIVATNDAAGKATDNNGLRMILSRQRYRPQLAMAILIPSFTQLTGINAVGFYAPVLLRTFGMSESAALLCTVVMVIVSSASTFASMFLVDRFGRRTLLLVGGVQMFLSEVLIGGIMAAKLGDEGQLSKTYALALIILIGVYSTGFGWSWGPLSWLVPSEIFPLEVRSAGQSVTVAWGFVFTILVAQYFLAMLCRLKAWLFFFFAGWIVVMTAFVYLFLPETKGIPIEQIGKVWTGHWFWKRVVGVEELPAAPEKL >cds.KYUSt_chr2.27075 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165938135:165940351:1 gene:KYUSg_chr2.27075 transcript:KYUSt_chr2.27075 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQQGAAGGAGLDRKRISDVLDKHLDKAVAASPSTSRGSAGARGDHNRLVVPSSLPKGRCSEGESESDSEASDVSGSDGEDTSWISWYCNLRGNEFFCEVDEDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDIFTEEQNELVESAAEMFWRSIRTMTSDDVHEFIAVANHVYLLDNQIFTGLAP >cds.KYUSt_scaffold_1259.157 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1036081:1038231:-1 gene:KYUSg_scaffold_1259.157 transcript:KYUSt_scaffold_1259.157 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKNAAGSSSSSSGAAGKKEKPLSVSAMLASMDGPGANAKPAKGAPKPKAKPSKSKALASAYGDIDLPSSSEEEDEEDVAAVIAKPKSVRATVDLNALAPSDKDSKKKDKREVMAAVAAEAAKREALRDDRDAFSVVIGARVPGSAGAAEPDAVDGNIRDIVLDNFSVSARGKELLKGASLRISHGRRYGLVGPNGMGKSTLLKLLAWRQVPVPKNIDVLLVEQEIVGDDRSATEAVVAANEELTALRAEQAKLEASNDPDDNDRLAEVYEKLNLCDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNDIIHLHDKSLHVYRGNFDDFESGYEQKRKEMNKKFEVYEKQMKAARKTGSKAAQDKVKGQALSKAHKEVAKGKGKGKGKNVANDDDNVKPADLPQKWLDYKVEFHFPEPTLLTPPLLQLIEVGFSYPGRPDFKLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPGEGEARRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEQKSQIWVVEDGTVEKYDGSFEDYKDELMAEIKKEVEE >cds.KYUSt_chr7.16971 pep primary_assembly:MPB_Lper_Kyuss_1697:7:105138288:105139862:-1 gene:KYUSg_chr7.16971 transcript:KYUSt_chr7.16971 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNRLALALLVSCFSFHLISVPSLAYSDEFHQCLTEMIPSELVYGQSSSNFTDVLASSIKNPKFFTNTTVRPVCIVTPTNSWHVQAAVFCGRWHGVRLRVRSGGHDYEGLSYRSCQPEVFGVVDLSNLRSISVNQGDSTAWVDSGATIGELYYHIAKNNSQVAFPAGECPSIGVGGHFSGGGIGMLMRKYGLSVDKIVDAKVVTANGELLDRAGMGEDLFWAIRGGGGGNFGIVLSWKIQLVRVPPQVAVFSIPKTLEEGAIELLTKWQYIGPSLPNDLTIRAKVQGQQAVFLAVYLGTCSSLVPMMDRLFPELNMTNADCRSMTWLESVALSYGSLAKTGTLEEVLLARGSSLSYYTKIKSDYVQRPIASCSWKNIFAWFKKDGAGYIMLEPHGGFMRTVSAAATPYPHRKGVLYVIQYITFWQGDGGKAPTSWLASFYDFMGSYVSQNPRQAYVNFRDLDIGQNTVGSENDVSSFESGQVWGERYFMGNYRRLAAVKAAVDPNDYFRNEQSIPPLRQTLE >cds.KYUSt_chr6.10925 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67694598:67697568:-1 gene:KYUSg_chr6.10925 transcript:KYUSt_chr6.10925 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIEGARFAVTGGLGFVGAALCLELLRRGAQEVRSLDLRASSPWSQQLLDAGARLIQGDVRKKEDVGKVVRSVDCVFHLASYGMSGKEMVQAGRTDEVNINGTCNVLDACHEHGVRRLVYVSTYNVVFGGKPIVNGDETLPYFPIEDHVDAYGRSKSIAEQLVLKSNGRQAKSKSTHLYTCAIRPAAIYGPGEERHLPRIMSLAKLGLASFKIGGPNVKTDWVYADNLVLALILASMGLLDDIPGRKGTPVAAGQAYFISDGEPVNSFKFIISPLFRKLGYTVPRVTLDTSVALTISRIILFISTLFYPWLDSKWIPQPLVLPAEVYKVGVTHYFSYLKAKGELGYVPITSPQEGLAATISYWQERKRRELDGPTIFIWLAVTMGMLAVFSAACLPPVGPLKWVLALHLFVFRSMLVIRLVLVVSVALHVLEGIYAWFLARKVDPRNATGWFWQTSALGFFSLQFLLKRARESKQM >cds.KYUSt_chr3.543 pep primary_assembly:MPB_Lper_Kyuss_1697:3:2880454:2881233:-1 gene:KYUSg_chr3.543 transcript:KYUSt_chr3.543 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSPPGYPNHRRLVLTDDIKRLMRRVLALMPDPETNNIFFFKLKANNVFVDLLSGKPFLHAASWTANYDVNLARMNYARVGEMFRQTIFSGAVASLPADFQQLLSLMKTNGDTASYAIQHHCSLIWRVDKKELFTRIYDFSNDILQKWNYMSYTDIMNSLHFPANWDTLIQQNPYLTMLYRGSTYYPNAGKEVLRFKRNAYIHCLQYAWDMATKQKIYDQADMGEMLETALSLVLHSFQLELDKRGLLRHIRLESLYL >cds.KYUSt_contig_7441.10 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001530.1:84240:87214:1 gene:KYUSg_contig_7441.10 transcript:KYUSt_contig_7441.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCHYLVDWPLNKSKLDAPSYAAQLTGHVDHVIDIEKIWLCSNLSLDQELKDTCLSFSLFHLLRRRFFGFTCGESKERAHDFVFKGLLLENEKGVTDCNRVFRVIETELAFMSQEGKEMTDSDTVISLFVLASAASLELLQLLLYWTSIWSRVSFVCGYGPSYPDEGELKTHLGVATKLSKYCTYLVVHVPKLLPGHHYDTSRVFDAVAVEANKFLLPSMRRRAKYEALRNYGLEESEATIFQSGAKLGKQLEEIQDATRRWKVLADFWSEMMLYVAPSDDVNEHIDQLTRGGELITHLWALLSHAGILQRDQPGEKHIQSSILSMHANAHATEVATAVFLDSIFKRDHRINLARPAVDVTMTPDGSTILHAYNIPHLSCRRYPAAPCH >cds.KYUSt_chr4.2643 pep primary_assembly:MPB_Lper_Kyuss_1697:4:14839280:14841000:1 gene:KYUSg_chr4.2643 transcript:KYUSt_chr4.2643 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIQYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCRAELHNLLKEERLAGSSLLVFANKQDIQGALKPDEIAKVLNLEVMNKDRHWKIVGCSAYSGEGLLQGFDWLVQDIASRIYVLD >cds.KYUSt_chr1.3478 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21023569:21023988:1 gene:KYUSg_chr1.3478 transcript:KYUSt_chr1.3478 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVYLFIFTDKAAMPLHVTDGGEIRLALSQVQGSRRNLPQSPLDDHGGHLPPEAPNTSRASNCSGRERRDRRAVNKAEAIAPAATGMWRIICRLVEDDVCGVKWGGRDESNIADEMESAILDQLICEETDELMQQAVV >cds.KYUSt_contig_1253.244 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:1655765:1666823:-1 gene:KYUSg_contig_1253.244 transcript:KYUSt_contig_1253.244 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGEVFSRSFSHKREYICVEEVLASHKRLGGHTTKEGLTFFLERAPQRGSPFSTMTPVEAVIPSQDLVHVDTERYYRSRYRSRYRNRVQTLLDSKRYHGGFFNDREADMGLRDKKRNQRRVLSRRSAGPKTGEGKDFLPLEGKEKRIKEKLPEEPENTATVLYIGHIPHGFYEDQMQGFFQQFGAVKRVRISRNRKTGKSKHYGYIEFENPEVAKIVADEMNNYLLYEHTLQIALVPPEKVHAKLWKGVRKGFVPIDRVAIERKKFSKDKTVEEHKRMLEGIVKRDEKRRKRIKAAGIDYECPALVGFQPSAKKIKFDED >cds.KYUSt_chr4.39026 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240940583:240945316:1 gene:KYUSg_chr4.39026 transcript:KYUSt_chr4.39026 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQCTASPTDVSTWRHLASYLTSDGDSRRQEIRLGKRPVEEVPAAIVAGTIQVHPGGSSLTSAPFVSSSARGFLDPLLASLSGAGSSTPAVYTDSSDDDFPAELGNTVEGSLLEERMEQFKDKLEEGKVYKLESFMVVDPRPNYRATDHCYSDEIAIVTLRGEQAEKFNAESIQQISLQENVFILFVGMNVCLFKGMLGFKGTSLTRWHINIPIPEIEILRDSLGNKRFIIDWEDFVAGKKEAVQTTLYDLCKKDTAAIVGNKYKLNVTVTNWWFHSCKSCWRKMIQCGASRKCPACRTPDERYRYRLKVSAIDVEANAEDLPVTGRLIFFANCADVIMGKEADLLAPLTKGRPNYIPPAITATVGKKCTITAEVDQETYDAYPGVVVLTVSKAQLITDSVHVVQPSIHASPAIADKATTQTDDHREDSSSQAAPPKDILESIDAEEDESTVT >cds.KYUSt_contig_402.115 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:685932:686435:-1 gene:KYUSg_contig_402.115 transcript:KYUSt_contig_402.115 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDALVQLAVLAALCFLLIPQLNLLLLSLSTLIHPATPYLSATAIAGAAAALEACALCWALLQRQARRCGKPRCRGLRKAVEFDIQLETEECVRGRTSLAACPAACPGTSSPSTTAAAARTASVDAARTATRSSDRLWRKTFGTRLHFYFLFRVVAVIILRVVI >cds.KYUSt_chr7.13317 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82064905:82069267:-1 gene:KYUSg_chr7.13317 transcript:KYUSt_chr7.13317 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAATKMPPSARGEDGEKTFVEEMKDIAMSLHTEDQARTVNLRNATVEGYLRFLVDSKLVFETLENIVNRAVVPFPWYAEFQNTGLERSEALKNDLKWFREQGHTIPEPSAPGTKHAAYLKELSEKDSQAFLCHFYSVYFAQSAGGRMIGAKIDEKILNKKELEFYKWEGSLSQLLQDVRAKLNQVASEEKKHCLEEVEMAFTYSMDRLRHIFT >cds.KYUSt_chr4.6578 pep primary_assembly:MPB_Lper_Kyuss_1697:4:38669361:38669889:-1 gene:KYUSg_chr4.6578 transcript:KYUSt_chr4.6578 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAGLLRPVNTLDCCRWEMLQCLHVEQIYQLLSDSLTVKVAGNEFVMVRNWRENQLPFHVGNVNL >cds.KYUSt_chr4.47718 pep primary_assembly:MPB_Lper_Kyuss_1697:4:295392319:295400418:1 gene:KYUSg_chr4.47718 transcript:KYUSt_chr4.47718 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKAPSAAEEAGDGSQGGARGDTSKSPAAQDGGSSSGAGSSRVPAAYGSYDKSPGAKPRVTFHDPTIPRPQDQYKIMVNNHSVPFDHVWLDQTEDGRPIHPLEKLNAEQFIDRNAPESEPVRPADVEDTPFTLVEDQKGLTALVNKLKDVNEFAVDLEHNQLQDHELNAKQLAVVSALYEWRDFVAREQDESTGYVLPNKALIEIVVDANFNLILEIVWDATENSNAFEAIAEQLKKVQLGQLDLNNILDTGEVIEMAPRGADNVRISLDSADQYSVAPSSTANIRVTSNSMDNVRISFDSADQYSVAPSSTANIRVTSNSTDNVRISLDSADQYSVAPSSTANIRVTSNSTDSFTTDATLTGSMWLHDKKKTIPSSEIKTSRTLSGLSRPFSKEAMSNNKQETFGALVADPIPVMQTPVMQTPIMQTGYFGGYSNEQAQSDVESSAYYYTQFPEYSSSGGWSPQELQGIPTSGYHDGCYYGHQPSINQSSTGTDLSPPVPCRTNPPKTLSTMAAAATSSPHSMLLHRPSPAAPKAGPAAAVSSIRLPARAAKISCAAVAAPSAATSPFAAAGERGVYNFAAGPATLPLAVLQKAQAELVDYRGSGMSIMEMSHRGKEFDAAIKKAEADLRALLAVPDTHEVLFLQGGASTQFSAVPLNLCASPAAPADFLVSGSWSDKAFKEAKKFSAASLAWSGKDGKYTSLPPLTSISQNPDAAFLHICSNETIHGVEFKDYPEPTNKNGLLVADMSSNFCSKPVDVSKFGVIYAGAQKNVGPSGVTIAIVRKDLVGKAQPNTPVMLDYKIHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNQHKAGILYDAIDASGGYFVCPVEKSVRSLMNVPFTMAKGADLEKQFIAEAAKENMLQLKGHRSVGGVRASIYNAMPLSGVEKLVAFMKDFQARNP >cds.KYUSt_chr4.34079 pep primary_assembly:MPB_Lper_Kyuss_1697:4:209023011:209023403:1 gene:KYUSg_chr4.34079 transcript:KYUSt_chr4.34079 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVAARPPSDFRAPGGWRLSAGGVLIPPSPIRRTALEAEIDAVLVTLSDEQHAEPRFFPENYEAWTDFFRKRYERELAAYDGPPPPPARNNTGGRRRWSRQAHTLENVLAHIEGGNSPVLGMPLLVGV >cds.KYUSt_chr4.40908 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252784523:252787565:-1 gene:KYUSg_chr4.40908 transcript:KYUSt_chr4.40908 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDDGRGSHPCLSQLDLDERAAADAGKVGGGGSHGENGVHIPATQILNRPVSIPPRYKENENLLVSPVETPLPQEPKQHHHSQVVVSRAASKGRYARFRENLHVMPEATKQHHRSEADIPCAISKQRSKAGWMSKERSTPEGIDLEKHARMFEFPLRDPPSNPSLLLEIFSGPQVVMKKRKPPEVRNALRERRVTARQRVARNHAEFELVEIREISIFFEFGGGCVHYNFTAKQPEDHDDSADAGSTKLFFSEVDYPLRSENDVLLCCIVGENDAGHCYGCENYRPVVHPSSQAYGGGSSTCIDYPGSDGDSTDKD >cds.KYUSt_chr2.28496 pep primary_assembly:MPB_Lper_Kyuss_1697:2:174898276:174898869:-1 gene:KYUSg_chr2.28496 transcript:KYUSt_chr2.28496 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHVPSALRVRAMFWKSDIYYDYLDIRSRIPFDASTIKWRLASSATPTEYLLWESHIDGGFERCKDLEITFGGQIEFDIAYRRVDDVLAAWNCDFLIVQGIIGLRSSWADFKRFLCDNFKFASLTKPVVCSNTTVEEVVSVHVVTKERKPGSYTKSTTVTVEEEVPLSGLNMQLKKVQDDACKAVDKVQRWSLFHT >cds.KYUSt_chr7.32138 pep primary_assembly:MPB_Lper_Kyuss_1697:7:200190169:200190570:1 gene:KYUSg_chr7.32138 transcript:KYUSt_chr7.32138 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVEPIIHVNVALRQGIDGSALGQSCKGLSTPETPKQAQGPGETSTLPPPMDLTPQSTSSSASAINETGSDATEGIKRKNVGASQNPTSKTGLHFSDND >cds.KYUSt_chr4.6002 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35234311:35235629:-1 gene:KYUSg_chr4.6002 transcript:KYUSt_chr4.6002 gene_biotype:protein_coding transcript_biotype:protein_coding MATQASAATRHLITAAWSPSSKPRANSLSIPSTRGPAPLCAAAPETPAPATKEAEPAPAGFVPPQLDPATPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGNRLRSKYKIAYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNFRSIGKNVSPIEVKPVPLARAVVPADGAARTPHAHAYVGLPSDGHAAATIGSPVPLGAAPADDAVSLSALLHQFRLRLEDPLLRLPDLRIVRRRLSLQENGYMPTATSRRRTWTSRRRTVVPTVAVGVEPSAYLRSA >cds.KYUSt_scaffold_869.1311 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:8373344:8380894:1 gene:KYUSg_scaffold_869.1311 transcript:KYUSt_scaffold_869.1311 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYDAMKFCKADISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKATEMGLQIREMLYEKIKMNKIMSRITGKTEEQIDEDTKFDYFMSPSEAKDYGILDSIMDEGKLGLVAPMAGAVPPPKSRLWYLWNASGPTRKIMKNLPSEEKFIRNGNGSASGDNGKLKEASPT >cds.KYUSt_chr6.22127 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139755268:139756797:1 gene:KYUSg_chr6.22127 transcript:KYUSt_chr6.22127 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETIVSAVVADMVGRALSLLAGHLLDQQPERNAEAKLPRLRHLLVRVESVAEAPGARRITSHALLAWLAELVNGAFRARYLLDALPSGRGQDEGHGKLVPARSFSLPSSFNPSKRLCVAARRLLLRDADASANELDSILADLERVAGGLTEFIMLLQMCPAAPHRPLPTTGIYADSLMFGRHAERRRVLDFLLEDDEGGEPAVEELGILSIVGAAGLGRTTLVQHVCEDPAVRRRFSLVMMLDFHCMSLMAAGETELFLRSLFAPTAASILSGGDSQKLSLLHRKLRGERFLAVFDNVDTRRRQVVDAIMPTLRRAGRRGSKVIVTSTDAEYVAGLGTAEPIELRPLPREEYWFFFKAHAFGSDAEADPRLAAAGQAIAKRLRGSFLGGKIVGALLRSRPDARLWRSVLNSSTLSVADVSCCLGNGSYVEAVAGNLLPPHVAVSCVTVSGSPVRGHVGIQDSSLALPAPPSPDDHRPELPVLLCKSVFPSYCLYYTAHCTIDGDLNQ >cds.KYUSt_chr5.15809 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102017815:102018144:-1 gene:KYUSg_chr5.15809 transcript:KYUSt_chr5.15809 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPPLSCRNIRSHGQLASCMWRVLQLRTIATNHHGPPATLTGSTSQHGALGMEHNTLAQPSLIRKTRSRVPLEHVRKKDKGYSINASNKGTMSVGTIVDVSGISRV >cds.KYUSt_chr5.29590 pep primary_assembly:MPB_Lper_Kyuss_1697:5:187645718:187648062:1 gene:KYUSg_chr5.29590 transcript:KYUSt_chr5.29590 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVTFGGGAFRNSTLQPKPKDLHQLDLRLGARGTLGQPPLFNPCEQPPLYPPTPFSNFRTKPNRRPWAISRKGGGSTVTTLDRIRPYHAAKKIWEAGTGDPPSFWISREPPVQAPNPAGSFTMAPQAYLDHQMQHYDHFFPGNANQFNNSETLEAVLRPRPSAATPAATAAAHDAARNGATMAVTGAGHARARKRPFRTDRHSKIRTAQGVRDRRMRLSLDVARDFFALQDQLGFDKASKTVDWLLTQSKPAIERLSAESSRRPSVAGGGENGVSSSLSSAERGGRRLKETETAGAGSGKGEVDHMERVMMMRARGGGTTTSVLMEQMNGGLMSSPMAVSGEYCYDLGDMVYNNGGECDDDGEYEEDGDFLDGMQY >cds.KYUSt_chr3.5756 pep primary_assembly:MPB_Lper_Kyuss_1697:3:32533364:32540808:1 gene:KYUSg_chr3.5756 transcript:KYUSt_chr3.5756 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFYRFEIESYLELQCFGNLQFELVQQLVFSVCAFMPKFDRFEIDYYLELQCFGTWNSRLFFLMDLLRNPFKGVVADVKGRASWYKDDWVAGFRTGFRILAPTMYMFFASALPVIAFGEQLSNETNGILSTVETLASTAICGVIHSFLGGQPLLIVGVAEPTIIMYTYLYNYAKKQPGLGERLYLAWAGWVCIWTAIMLFLLAMINASNVISRFTRVAGELFGMLITVLFLQQAIKGIVSEFSKPLEFEIADRTSPIYQFQWVYVNGLLGVIFSIGLLYTALKTRRARSWLYGIGWLRGFIADYGVPLMVVVWTAFSYALPSHVPSGVPRRLYSPLPWESISLGHWTVAKDLFSVPPAYIFAAIVPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLLGIPPSNGVLPQSPMHTRSLAVLRGQFLRKKMLQTAKEGMVKSASSLEIYGKMQEVFIQMDSIQNADFVDKDLKSLKDAVLREGDEEGKLAGEFDPRKHIEAHLPVRVNEQRLSNLLQSLLVGCCVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIRLLFVGASRRYKVFEGPHASFVESVPSRTISVFTIFQIVYLLICFGTTWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHLEHTLQEDDCSIPGSCDNRNDAEILDELTTNRGEVKHRAVTHREEKHLQALSDLQPVAIHPSFHPTPPATMSYTSQLPSDTSSEGKSAGFRHWWDRTRTPSSGGDSLPALDSEEEWLGVEEDVEEEGSEEAVARAKVEADAKAKAKAKTKAQPASTGDDEEDTSSSDESADTASSQEVTSRKRHRDDDDKAEPSSKKKTK >cds.KYUSt_chr7.11425 pep primary_assembly:MPB_Lper_Kyuss_1697:7:70129999:70135605:-1 gene:KYUSg_chr7.11425 transcript:KYUSt_chr7.11425 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVSGGVAPAGEFPVLLNVYDLTPINNYMHWCGLGIFHSAVEVHGSEYSFGAHDYPTSGVFEVEPKNCPGFLYRSTIFIGRTSLQPSEFRDFIQRMASEYHGDTYHLISKNCNHFTDDLSTRLTGKPIPGWVNRLAKIGVFCNCLLPESMRLESTETKNLTDYDFSDGSNATIKDPFEDDDVEDKHLLPQSSFVENAIVQEVHSCSNEDETIWNMTRMSFYEKCGNIFQLCDTNIVSGDIVQYKRCCEFVTLPSSTQAG >cds.KYUSt_chr3.26306 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163692175:163694713:-1 gene:KYUSg_chr3.26306 transcript:KYUSt_chr3.26306 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNDPTFMALGPFATHRRDWPNLPMVLIDDIAGRLLRYDLDEYIRLHAACKEWRNCTAGPREGLGGDLDSRVRSRRWIMLSNRTDGAGRRFLNLSTGACARVDLPELSAHHLETSADGLLLLRDKASHAARLLNPLTRVLTELPPITADLGSAYTLWTEQLRKCTRLVLAGICEETSPPSVVLLMQDPYERAIAYARPCDQRWTVMDTEVWGSLSKSFSFSSAATLQGRFYFATREGNILQASLRPEPRLVPVVANQAKIELSVNSYLVPPADHHRGGGDGGMLMVRYYTTLDHLSVKDRRNMKRRRNVIRLDDRTKMYRWSLIQVFEVDVAGKTLLPVEDIGRHQALFVGEAACFSLSARTFPCVAGNAVYIGAEGVCYPPVGVRYLTDKTVDPAFQFTTEDERLLELKNSVLKRFRVKRPELNLVPLARPCTLQEYLVCCAGLLGGLKD >cds.KYUSt_chr1.9856 pep primary_assembly:MPB_Lper_Kyuss_1697:1:60249931:60251451:1 gene:KYUSg_chr1.9856 transcript:KYUSt_chr1.9856 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDLRTAAAFVAAAVALYFLVEQLSYHRKKGALPGPPLVVPFLGSVAHMVRDPARYWEVMAAQAKESGLGLSADWMFGYFIVFIRDSELSHRVFANVRPDAFQSTGHPFGRKLWGADNIIYKFGDEHKELRRRIASNFTSRALSTYAAIQQRVILAHLRRWLDRSAAAGNKSMPLLVPFRDMNLETTQAVIVGPYLTEETTEMLRKDYNIFIDGFAAVPVDLPGFVFRRARLAGVRLRRLLTECARESKARMRAGGKPECLADYGIHEMVRHIDEGANAGLPPPANTSDENVGSYLFNFLFGAQDNVTSLLCSAVSALETHPNVVARVRAEVVTVWSPESGKLITAEMIQEMKYTQAVAREVVRHRPPGALVPHIALQPFQLTEWYTVPKGAMVFPSVYESSFQGFHSPETFDPDRFFSDSRREDVTYKRNFLAFGAGAHQCVGQRYALYHLTLFIALFVTVAEFQRDRTEGCDELLYVPTIVPRDGCTVYLKQRCPSVPSFSL >cds.KYUSt_chr6.16048 pep primary_assembly:MPB_Lper_Kyuss_1697:6:100947120:100953147:-1 gene:KYUSg_chr6.16048 transcript:KYUSt_chr6.16048 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSGSGSAPVIAEVEMNGGADQGATTVRATVVQASTVFYDTPATLDKAERLIAEAAGYGSQLVVFPEAFIGGYPRGSTFGFGISISLTNPKDKGKGEFQKYYAASIEVPGPEVTRLAAMAGKYKVFLVMGVIEREGYTLYCSVLFFDPLGRYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEIYCAPTADSRPVWQASMTHIALEGGCFVLSANQFCRRKDYPPPSEYAFASLGEEPSPDTVVCPGGSVIISPTGEVLAGPNYDGEALITADLDLGEIVRAKFDFDVVGHYARPEVLSLVVNDKPHLPVSFTSAAEKTSIAKSEATAKP >cds.KYUSt_chr3.45952 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289346413:289347540:1 gene:KYUSg_chr3.45952 transcript:KYUSt_chr3.45952 gene_biotype:protein_coding transcript_biotype:protein_coding MEFADWSSLPADLINCIAECFLATSDLDYYMDFRAVCQSWRSATDDPKLTSDRRFRPCHWVIIDKDYASKTYLLVNTATGRFLRKELPLLHGYYIAVSTRDGLLVLVDTKSYNTVSVLNPLTGYMVRFIAPMPDEFVKSAALVAGPSPTLLLLCNKVVDAPDGSLRDSPRTVYMADPNSQSLAVYEDRNACPLIRLSVRDIYTQGELGSGPPFPLDTAKSMFDLIKDFNADPTEMSDDEDTEISEDEAIRNFIIGYDNRCYLLESGGEILIIIQLNDGMRVYKMDTDRHVFQRVKSIGNQAIFLGGYCRCLSVNADKFPSVDANCIYYTKGLDFNHGIHIYSMQYDREAKISKDIGRSSPPYTIIQLLSSSLNDL >cds.KYUSt_chr7.24601 pep primary_assembly:MPB_Lper_Kyuss_1697:7:153370882:153372823:1 gene:KYUSg_chr7.24601 transcript:KYUSt_chr7.24601 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDDCGWLLYVSLAAKCGGEPYRVAGFVAVLLAACVVTALLHWTSPGGAAWGRYRWTRKQALGIACIPGPRGLPVIGSMGLMTGLAHRKLAAAAACGDGGRRRRLMAFSVGETRMVVTADPDVARDLLASPAFADRPVKESAYGLMFHRAIGFAPHGAYWRTLRRVASSHLFSPRQVASSAAQRAAIARQMVDAMQASVAPSAGGVVEARRFVKRASLHNVMWSVFGRTYELEAVSEEAAELRSLVDEGYALLGQLNWSDHLPWLAGLDLQRTRARCSALVPRVNRFVSRIIDEHRAISDADAVTDFTDVLLSLQGGDKLSDADMIAVLWEMIFRGTDTVAVVIEWVLARMVMHQEVQARVHEELDRVVGRRKAVTESDAASLVYLQAVIKEVLRLHPPGPLLSWARLATSDVDVGGFLVPAGTTAMVNMWAITHDPAVWSEPAEFRPDRFLAGPSRDHAAAAAEFCITGSDLRLAPFGSGRRSCPGKSLAIATVGFWVATLLHEFEWMPPSSDGHGVDLSEVLGLSCEMAAPLEARLRPRRAV >cds.KYUSt_chr6.3183 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18651787:18655522:-1 gene:KYUSg_chr6.3183 transcript:KYUSt_chr6.3183 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGTGYRVRYNAHASTTVRLPRGRFCGRTTLPLRKEKKGNRDDRLSTLPDDILVNILDRLNVPEAARTSILSKRWIRLSSELSHLIINAKDFVPEGLSNANVSVDDLVQMNAAAAQVTKSMLTRRVPGKHTISLLSTTFYLRDAVPISIGHAVGNAMATHKIEKAEFTVLTEKGRRQVTVDDITNYGAQFLSFFNECQVAFSGLTRLYLENLKFSESGMLSSILVTCKKLTYLGFLNCKTDNCMTVKVEHAQLTELSFESCRFGKVELKWLPRLTRIKFAFWMTYKNLPLSFGHVPLLEAVTLATIALSWHEMVELSTLLFETSVRELHLGFRYEKIWLQPECLTRRVAYAFHQLRIVNLDTIPEGYDLTWTMFILEAAALLEEFCMTVMDHPCEMKMDKEERSQGLYSEKKGVEWESPTSNFRHYHLTKLIIFCFESCMISHVRRVMKAAVNLNDVYLYSSCARSIATSDDSVMPVLGQLDLAGTGVSACVLLGIFFFFFFPKV >cds.KYUSt_chr6.979 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6223561:6227160:-1 gene:KYUSg_chr6.979 transcript:KYUSt_chr6.979 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDPALERPPQSGGRACGDLCHRAAERPHLVADMQEEEEDEPDMEEAEGAGNHDHEVHGGEVEAVLQGLAMEDAVGSATQCNVPISSALIYGIRALLSLGYGAIAITRVLDATDRARTEKLTTAKSADFTDGENELSSKSFSLGQGKGDHCSFFRR >cds.KYUSt_chr6.10998 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68255903:68256358:-1 gene:KYUSg_chr6.10998 transcript:KYUSt_chr6.10998 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSPAWEESHRRHYFGRRWRQARGFRLCTRRHRLSVRRLNVKLLTFLGIVGRQARRLARRLRFSSSSSTGGGGCSRSSSARALVGTSGSQRWCSSGETASKATRRTASFMRTNSFYAQAIADCLEFIKRNSVPLEDYGSPVVVAGDAGR >cds.KYUSt_chr5.40087 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253248738:253250633:-1 gene:KYUSg_chr5.40087 transcript:KYUSt_chr5.40087 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGCEVEAGGINHHITVSSRPHPLKIWSRPDDLLLDAAPAVPGDAPPSSMSGSSRCRLVLRNVACRARPGELLAIVGPSGAGKSTLLEVLSGRLHPSNSGHLRVNGTPVDAAALRRLCGYVTQQDVLFPLLTVRETLHFSARLRLGPAAYAPAAVDALVSDLALSRVADARVKDLSGGERRRVSIGVEAVHDPAVLILDEPTSGLDSASALQIVAALRSMADSPRGRTVVLSIHQPGARIVKMFDAVLLLAAGSVLHHGSVDALGSLLADAGLRLPPHVDTVEFAIDSVDDLRVHLHRHQRRDRCTLQQLFHQHKLIQAATDDDDDNSSHGYANSWPREVAVLSQRFFKNVARTRQLFACRTVCMLIAGLALGSIFYDLGDDKAAERVGLFAFLLTFLLSSTTEALPVFLQEREILAKETSSGAYRVSAYAVANAAVFLPFQLVLAAVFATPAYWLTGLRRTAPAFGYFMLLIWLVLYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFITKSAMPAYWVPMHYLSLFKWPFEAMLLNEFGGRCVARAMGVCVATGDEVLRREGIGEECRWRNVGVMLGFVVFYRVLGYAVLRVRCALTLKGAAARSALSSSSPSCFSSNPKP >cds.KYUSt_chr3.45457 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286502083:286504475:1 gene:KYUSg_chr3.45457 transcript:KYUSt_chr3.45457 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPPPTLQPYDPTRGMISCFTEHGHPADPISAATAETLCDILLDHYEEAFRRLPVEGVPDGVDMAYLVDSGGLCLGLLDPVTNIVLNTLSFLPDGFETNHSAPAPSGPGRRQERGRDSWRSLAWASSTCLLQFMCAYFGLLTEEQAGRYLVWARADLAVAVLLVEHELYAARPTPPDPRSGRTRNSLRLACTHASYPSGDYLVSVATAWLPRERLEMLAPVLRRQGGMNRFSVQDAKTILHVLRHQDDISTMPPSPTLEEGVAPLVQHTTSYADLGDGRIAYTTTSVTTVQRAGDNITSLRRPQDMESTLSSYSTQAVPPEPGTTTRPHPHDSPCLSVRSPDADVEADECPYVRSLEMSLYGTIHGFYLKALAMLPSHAARHHVRGVLLAGHCYGPMDPVSNIILGAVWYDANFPLQDDERPAQAHDILDTLPMLRAVSRSLHGLVALLDATSRKKLPLHEILKYLCYAQCDLSVMLQPHLHQDGRTLNPFAAAATAAQHPQASAMAGFLASLPPTKLDQIRSLMMNATAKNVPLSHKSLTQIHSILREETSATMTPWRPRPPKLCNAALSILTRKREAYAQQQRFIRGRIEQLLQDYAVANPSEPSYYLDFVCGATMADHTHQRYHVNFMAAATESGFKNTLFFADFKWAYQHHQSETAVCCPLSQPYDMSRCYYGHESARNIVYPDHSIDYFSSNITGGGLDDTEGELEIDFIYFDSKRDVELAKVLQRMVKKEQSLNGRRAAWDWDVQLQPAC >cds.KYUSt_chr5.42618 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268436861:268439488:-1 gene:KYUSg_chr5.42618 transcript:KYUSt_chr5.42618 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGGHGAGGGGDFRQKVWSMTGGPNCRPVHWRRNTAIAMLGVFLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKEY >cds.KYUSt_chr1.721 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3888052:3890955:-1 gene:KYUSg_chr1.721 transcript:KYUSt_chr1.721 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAAAGTGGGGYYYYPPAQPQPSQTQTLRRRPRPAARWIKQWYVPLRLPPPSLPFSKIDAVVSAAGSRRTSRAPAQKDVYKNLKENGGRGAVQEPEAQPRKVEPTTEILFLCSYDNCGKTFVDVAALRKHAHVHGERQYVCQEPGCGKKFVDSSKLKRHNLTHTGQKDFVCPQPGCGKAFSLDFNLRAHLKTHAMENYHVCPFPACGKKFTSDFKLKCHIKAHEKTGSPIAVQHTPPAEKPPSIIKPAIQATLKPTALALPSFSAERPHVCPYEGCGKAYIHSYKLNLHLKTQHPEHGQEDNGRFAAPSGEYNYAEASDPAPNPKRSKTNQAHKAPPPNAYNVKVSSRMGVDTSGAKNQWPGKGMYDDDSEETEEDHGGNNVEDGWRYGNQNADDEETEEDED >cds.KYUSt_chr7.13389 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82574499:82574849:-1 gene:KYUSg_chr7.13389 transcript:KYUSt_chr7.13389 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLQDLPRPPPRRTSTVRGHRPGIHHPRQQGNHAQLAHIYRTTRNTNSKTGAFRKVTAQCVAAARSEEQRFSPGERIDSRQQETKLPDEALNRETVPRGTANIGTKGAKLSPGV >cds.KYUSt_chr4.38535 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237596920:237606728:-1 gene:KYUSg_chr4.38535 transcript:KYUSt_chr4.38535 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVHTTVDLAMPLPLAPPLESSETLAFVERESLDDANIHSTEVFRQVVSVANEVVASGALAPGDFFAKKLCKEKSLKSKIMKSGASIKERQQQQPPPQHQLTPVVPADLKAPAVAPPPQQKPAATATAMPVPVPRPWPVVFTPKYCECFAARVNCDGCNCSNCGNTTENEKVRNRAIEATLLRNPLAFQPKIENGPNTISARKVNLSGVSQLTPSCTGFGGHNSGYSQSNSSTMIYRSPLANTINLADVNDLIKHVVTACTNAAEVFPTIADNKVDEKEVGKDFRTSNGLPDEAKFSSEAAMELDVRRHHGATPVQPPVIKAEENGPRNIQLPVPDDEPKGESAS >cds.KYUSt_chr6.17974 pep primary_assembly:MPB_Lper_Kyuss_1697:6:113148881:113149448:1 gene:KYUSg_chr6.17974 transcript:KYUSt_chr6.17974 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLCHELETGLPAADVWEVYGSLLLAQLIPQVLPTIAANVEVAGDGGVGTILHVTFCPGIPLTYQKEKFIKVDHENLVKEAVVVEGTVLDLGFLKYLMRFEIVESADKTCVIKSTLEYEVADGDTKTASLASTATLAGLAEAITNHLKAQKSAEKTS >cds.KYUSt_chr6.10105 pep primary_assembly:MPB_Lper_Kyuss_1697:6:62252716:62255911:1 gene:KYUSg_chr6.10105 transcript:KYUSt_chr6.10105 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAASSLVLLLFFSFPPAPANGLLSPKGVNYEVQALMMIKNCLKDPHGVLKNWDQDSVDPCSWTMVTCSQENLVTGLEAPSQNLSGPLSPSIGNLTNLEIVLLQNNNINGRIPEIGRLTRLKTLDLSSNQLSGEIPSSVSRLTNLQYLRLNNNTLSGAFPASSANLSHLVFLDLSYNNLSGPVPGSLARTFNIVGNPLICGAAKEQDCYGTLPMPMSYSLNNTQGTPVPAKSKGHKAAIAFGSTIGCISVLFLVTGLLFWWRHRKNRQILFDVDDQHIENVNLENLKRFQFRELQAATENFSSKNLIGKGGFGNVYRGKLPDGTIVAVKRLKDGNAAGGELQFQTEVEMISLAVHRNLLRLCGFCMTATERLLVYPCMSNGSVASRLKGKPPLNWITRKGIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDFCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELTTGQTALEFGKATNQKGAMLDWVKKMHQEKNLNVLVDKGLGSSYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRSDSHNFKVPEFTFGRCYSDLTDDSSLLVQAVELSGPR >cds.KYUSt_scaffold_2697.591 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:3816502:3819115:-1 gene:KYUSg_scaffold_2697.591 transcript:KYUSt_scaffold_2697.591 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAQLRTKAAQAADFASKQGGAYYKEAMEKNKQFSVPEAAPFTAVLKFAAEEFKVLPQTSAIITNGCLWGGTGHNNKY >cds.KYUSt_chr7.29041 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180622913:180631035:-1 gene:KYUSg_chr7.29041 transcript:KYUSt_chr7.29041 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPEPLSSGQEGDEDDEVRLDDESDQALKEKLQRIQYGLNKFIPPDGGKKYRLLRDSINRELARRQAARSASASPQPRPSRPPGRQGEPDNSRCERIIQSRHAESSGLPSDCNENHGVTKSDFFSAFKVDDEVQEVVLLDGDARHSESADKITNKCEHPQSVELSHDDIKCLEPESLLSSPIMNFYIMYLQQPMSSISIPKGKCHIFNTYFFSKLEALISK >cds.KYUSt_chr2.40287 pep primary_assembly:MPB_Lper_Kyuss_1697:2:250238251:250239702:-1 gene:KYUSg_chr2.40287 transcript:KYUSt_chr2.40287 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVFLALVLVGFSFLLQRRRSCSSAKRACAPAPVVIQKIGDPAVAHRALVENADDFSNRPLAPFLRYLAEKNGGRRGESLASVSHSPLWRAFRCNLTAETLHPSRLGHLAPLQREGIEALVARLSSSAAAAGEGAIPVREHLCNAVFPVIARLCFGDGVDGCHVRAMGSVIQSFQQIAVGEARASPGTLRARFAEWRRLRRLLALHGRLGELFLPLVAARRKSRGPCNGLRPYVDSLIDLRVPVDGDDGGGRRGVRDDEMVNLFSEFLGAGAGTIGACLEWTLAHLVNRQDVQQKLREEVDGGDVFRRSLIRGMPYLNAVVLESLRLHPLVPFMQRHVQADVAERVVGVGGTATVPAAAAAAGDVLVQFTLGDIGRDKHTWKDPDEFRPERFLPGGEAEDVGPLPGPREIRMMPFGAGHRFCPGSGVAMVNIKCFLAALVLEFQWAPPTEDCAAVDLTELDTFIKTMKKPLSARLTPRVLL >cds.KYUSt_chr2.32589 pep primary_assembly:MPB_Lper_Kyuss_1697:2:201032496:201034536:1 gene:KYUSg_chr2.32589 transcript:KYUSt_chr2.32589 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGGRDEGWSTRRVKLQKNRVLEIADDEAESGAGFFDLNQPLDSDDEDDTHEPIQENGESGGEGNFDEDVSSQPIVPFVGMQFDNEDVALKVYNEYAYKMGFGTRICSSKYSRKRGCEQVLINRVFECVHARKGAAAAATLGSTSESAARKQCSATDMSSGSKNTSHQSASASMEMSDSRQ >cds.KYUSt_chr4.4881 pep primary_assembly:MPB_Lper_Kyuss_1697:4:27986225:27986638:-1 gene:KYUSg_chr4.4881 transcript:KYUSt_chr4.4881 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMNHYRDYAPLSLSLSLGAVAERKKKLRRAAASGEFVYKTCSRSFPTFQALGGHRTSHLRGRNGLALALARDHYSLKPKKTTSSDDQNPAAVHQCHVCGLSFEMGQALGGHMRRHREEAGAVAQAPPVLLQLFV >cds.KYUSt_chr4.51084 pep primary_assembly:MPB_Lper_Kyuss_1697:4:316659812:316660178:-1 gene:KYUSg_chr4.51084 transcript:KYUSt_chr4.51084 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRKLFAAALLVVLLLAATEELGGPVMVAEARTCQSQSHRFRGPCVRRSNCANVCRTEGFPDGKCRGFRRRCFCTTHCHHHH >cds.KYUSt_chr3.6860 pep primary_assembly:MPB_Lper_Kyuss_1697:3:39421986:39424083:1 gene:KYUSg_chr3.6860 transcript:KYUSt_chr3.6860 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLAWLPWLVVSILSIYLLDLLAHARRRLPPGPRPLPLIGSLHLLGDQPHRSLAHLAKIHGPLMSLRLGSVTTVVVSSPDIAREFMQKHDAVFAARFVNDAAGHHAKNSVPWLPNAPRWRSLRKIMATELFAPHRLDALQGLRSDKVRELAEHVARLARDGVAVDIGRVAFTTSLNLLSGTIFSKDLTSLDDHGGSKDFQVLVAEIMEHLGLPNVSDFWPALAWADLQGQRRLMARLFARLHVVFDAEVDGRLREREDGEPRKESDDFLDVLLDVAGRDGGKAGLDRDTLRSLFTDLFVAGSDTSSSTIEWAMAELLRDPSSMAKAQEELTRVVGSTRSVKESDIDQLPYLQAVVKETFRLHPPAPLLLPRQAQETTRITGYTVPQGSRVLVNVWAIGRDEVTWHEPDNFMPERFLGRVMDYKGGDFELIPFGAGRRVCPGMPLATRMVHLVLATLLNQFEWRLPVEVEKTGIEMGEKFGLTLTKYVPLCAIASPI >cds.KYUSt_chr2.15411 pep primary_assembly:MPB_Lper_Kyuss_1697:2:97073934:97075153:-1 gene:KYUSg_chr2.15411 transcript:KYUSt_chr2.15411 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGTKLAALALLTLLGCVVRTCEASYGHPNRLLTSRSPPLPGSGPPPAVLAVGYYNQTCYQAEQIVRAAVKKAVYANRGIGAGLIRLFFHDCFVRGCDASILLDETEASPLPEKLGRPNYRSLRGFEVIDQAKTALEKKCQGVVSCADIIAFAARDATVLLSNGTVQFDMPSGRFDGRVSLASETLPNLPSPFADLTTLKTLFDSKGLSLDDMVTLSGAHTVGVSHCSSFADRLPRNASDPLAMHSTLAGSLTKKCRSGSSTVDHDSKTPGDLDSQYYRNVLDGKVLLKSDATLMSSSETEAKVRFHAYYPWVWAGRFKAAMVKMGGIEVKTSADGEIRRQCRFVNAPTIERVYVLSADS >cds.KYUSt_chr5.38471 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243237077:243237565:-1 gene:KYUSg_chr5.38471 transcript:KYUSt_chr5.38471 gene_biotype:protein_coding transcript_biotype:protein_coding MERPPPCPFASGIRRSGSAPRRPVFGRARRLQSPPLRICPARARRLQSSTEQIHVMSRIRDLLEMRSMALSNDHGVEEPRRRQRPKAMADAAPPALRTATPATGGDHRLPVLHAAAPRMLSRCGCIPLGRRKGGDAGWGRRRGGGSAAPLGRRRRDEDWGRK >cds.KYUSt_chr5.28313 pep primary_assembly:MPB_Lper_Kyuss_1697:5:179358559:179362353:1 gene:KYUSg_chr5.28313 transcript:KYUSt_chr5.28313 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTAPQRGTKRPLPATAGAGGGDDDDRSDLADRKVRFPKGKKAKHRDPSAAGGSGDAGAAEEDIDSLMNPELAAVKRARRRHRREGDDAQGTANVKGFEMRYKDDANFIDDGIEIEPFNLDQEREEGYFDDNGNFVEFARGNDMKDAWLDNVEVDTKYAEKFQKKKDKEEEFQDLSSDDIGKIKKRIADMLEADETIIQALKRLKSSSTDERGRMTEGTQRMFDELTEAAMKLMENGEYNVYSDDRETFVREAEGYERLKRARLGIAEVEEDIFADTTEDDPSTSSLLEMDRGLSAANTSTTNAITDDDDSNLDMFGDNDDTDATHDSDVKTVDAGCNPDPVPQDASRTSGVEKAGNGSVDSDYVYDPTSG >cds.KYUSt_chr2.43876 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273126493:273135215:1 gene:KYUSg_chr2.43876 transcript:KYUSt_chr2.43876 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYTKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYIPPPSTFNVLLGSSCSSTVVVVFIGSVPFMALPALSQAVCESCTFSRATTTFFHPLFVPVAGARHRRCLLTCPPPPAHLHAGRRPTSLPVPTAPTPGPPASRQPANSTNATPPKKEADEEVEVEEELPWIQDKALDLVEFTGTVTQAIPGPRIGSSPVPLTYVGVSLALAVARTYRRFTSPRTQKKKEAVARHDGPSPRSPGWATTT >cds.KYUSt_chr3.5307 pep primary_assembly:MPB_Lper_Kyuss_1697:3:30153736:30154500:1 gene:KYUSg_chr3.5307 transcript:KYUSt_chr3.5307 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLLMASVLIQWAQAECEPATCGNLTVKYPFWLGAPSQPPPEPSCGPPAFELWCAGNDTNTTSASMRGSAVHVLRIDYAAGSFVASHTRVAAGDDGVCRTDFNMSSSLALSPFRISAANRALCFLYHCNGTEPPVEPGFVNATGCGRIIYAYLGGSYDRDTPPPIQAGTCTYAYLPALGSEAATETAADYGRMLKDGFLLEWAGAGIADCDACITSGGQCRYSNVSAGFACLCAGGRLLGSTCAGEYVAPLA >cds.KYUSt_chr1.36919 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225201177:225201997:-1 gene:KYUSg_chr1.36919 transcript:KYUSt_chr1.36919 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQRLHPEVRIRSASFHLRFSSLAMVATVVDFLFIYYNKLYYDKLCPAQGTVSPGGRGAQGARRLRAELSLLGKAGEGPGAAWTSTVRTPSCLAQPLA >cds.KYUSt_chr3.29095 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182064677:182065050:1 gene:KYUSg_chr3.29095 transcript:KYUSt_chr3.29095 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLARHQASPSAIAMPTRAARDKFGQPPSHLRDGNKARQRPLLRQPSPLPDYHFAHQVPLYLSLPGFATAIWLLGTQDSRGGRHGILR >cds.KYUSt_chr7.4759 pep primary_assembly:MPB_Lper_Kyuss_1697:7:28802166:28804348:1 gene:KYUSg_chr7.4759 transcript:KYUSt_chr7.4759 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAATLAAATSSFLRRSPLLRPHGFRVARDFAPRSFDKIIAKEIPSSIVYEDEKVLAFRDINPQAPVHVLVIPKLRDGLTGLDKAEPRHADILGQLLYAAKVVAEKEGVADGYRVVINNGAEGCQSVYHLHVHVLGGRQMKWPPG >cds.KYUSt_chr3.34243 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214753207:214757722:-1 gene:KYUSg_chr3.34243 transcript:KYUSt_chr3.34243 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAEALSTSQLQPHVAGAFFALAACTVALAALLAVARTRPPWWCDCAVCEAYLTASWAGEFDNLCDWYAHLLRASPSRTVHVHVLRNVLTANPVTVDHMLRARFDNYPKGAPFSAILADFLGRGIFNVDGDAWMFQRKLAAAELASPTIRAYAASVVASELRSRLVPLLHSASSDDADGKVLDLQDVFRRFAFDCICKISFGLDPGCLELSMPVSAFVDAFDAASMLSARRAIAPMQIIWRLKRLLNVGDERKLRDSVRLVDAFATEVIRQRHKLGASSGSDLLSRFMGSISDDRYLRDIVVSFMLAGRDTVASALTAFFLLLSDHPEAAVAIRDEVSRVAGDDDDRPVFGKLKDMHYVHAALYESMRLFPPVQFDSKFAAGDDTLPDGTAVTKGTRVTYHAYAMGRMESVWGPDCAEFRPERWLRGGRFVPVSPYRYPVFQAGVRVCVGKDLALMEMKAVIVAVVRSFDVETVGRSSRRPKFAPGLTATFAGGLPVRVRRRASAARLDTTLRSDLDIGSSMERWMRGKPHLHRRCFASPLTSSGSGKRGEDGCADEKSDAEKKRSDATPFCVF >cds.KYUSt_chr7.13753 pep primary_assembly:MPB_Lper_Kyuss_1697:7:84908103:84909350:1 gene:KYUSg_chr7.13753 transcript:KYUSt_chr7.13753 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSAAPFTSPEQPTAPPPSCPDYFRYIHSDLERWRDSGITREAVERAQPKAAFRLTVVSGRAYVETYHRVFQTRDVFTQWGILQLLARYPGRVPDVDIMFNLEDMPEFRAADYPDPATAPPLFRYCKDGSSLEVLWPDWSFWGWSEVNIRPWAPLIEEVGEENAHLPWQDREPYAFWKGNPGVSEARRDLFRCNNDSATGKEWNARLFAQDWVAAIRNGFKDSNLAKQCRYRYKIYVQGRSWSVSEKYILACDSPMLAIDTPFRDFFSRGLVAGKHYWPIDPADKCRAVKFAVDWGNSHPEQAMRIGQEGSGFAREDMSMEYVYDYMLHVLKQYAALLRYRPTVPEKAVELCPESLACPAQGRDREFMMQSRERYVATYEPCTLPPPFTAEEVTRMAAREEDVRRKVAKMEGR >cds.KYUSt_chr5.39441 pep primary_assembly:MPB_Lper_Kyuss_1697:5:249590197:249591877:-1 gene:KYUSg_chr5.39441 transcript:KYUSt_chr5.39441 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGAGEGDGAGSGEGRSVLVTGGAGFIGTHTVLQLLGKGYAVTVVDNFHNSVPEALDRVRHIAGPALSARLHFILGDLTIKDDIEKVFAARRYDAVIHFAGLKAVAESVAHPEMYNRNNIVGTVNLYDVMKQHGCNKLVFSSSATVYGQPEKVPCVEDSPLKALNPYGRTKLYLEEMLRDYQHANPEWRTILLRYFNPIGAHESGDIGEDPRGVPNNLLPYIQQVAVGRLPVLNVYGHDYRTRDGTAVRDYIHVVDLADGHIAALEKLFATPDIGCVAYNLGTGRGTTVLEMVRAFEKAYGKEIPVKMCPRRPGDSEQVYASTAKAEKELGWRAKYGIEEMCRDQWNWARKNPYGYCGNAEKEE >cds.KYUSt_chr3.37610 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236641902:236644022:-1 gene:KYUSg_chr3.37610 transcript:KYUSt_chr3.37610 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLGPQEAATLPRHLLEAHVVSLVRQCSGHRALRGAHARLLRLSLPRLTYAFALSKLLAASAATSYARSLFDQIPEPTTFCYNSLIRALSGTSNTAADAFLLYRCMLRAGAPPPNSFTVAFTIKACATAPALREGQQLHSQAFRHGLEPSLYVQTGLLNLYARCEEVALARDVFDGMAEDKNLVAWSSMIGGYSRVGMVNEALDLFREMQAAGVNPDEVAMVSVISACAKAGALDLGRWVHAFIDRKRITVDLELSTALIDMYAKCGLIERARSVFDAMVERDTKAWSAMIVGLAMHGLAEDALGLFSRMLQLKVRPNNVTFIGVLSACAHNGLVDDGRRYWSTMQEMGIKASMENYGCMVDLLCRSGFLDEAYSFVTDMPISPNSVIWRNLLVASKNSNRVDIVESASKRLLELEPQNSENYVLLSNLFASNSQWDRVRYMRKMMKANKVTVVAGCSSIEINGYMHKFVVSDGSHPEIKEIRLVLREIADRVLRAGHKPWTAAVLHDVDEEEKEVALCEHSERLAIAYGLLKTKAPHVIRVVKNLRFCPDCHEVTKIISKSYDREIIVRDRVRFHRFIGGHCSCKDFW >cds.KYUSt_chr4.46953 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290538505:290539881:1 gene:KYUSg_chr4.46953 transcript:KYUSt_chr4.46953 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSVLPSHHDDADKGGGKAPQSRLCFLATLCVVFWVLIFYFHFSVLATDPDGPPVAVATQARIARHHDLPDHRVSNPAPSVASDPPPATATLPKDEQPSAVVQAPPKEYPFQRALRTAENASDPCGGRYIYVHELPPRFNDDMLRECQRLSLWTNMCKFMSNDGLGPPLDNQDGVFSNTGWHATNQFAVDVIFGNRMKQYQCLTNDSSRAAAVFVPFYAGFDVARYLWGYNISMRDAASHDLVDWLRKRPEWDVMGGRDHFLVGGRIAWDFRRLTDQESDWGNKLLFMPAAKNMSMLVVESSPWSANDFAVPYPTYFHPAKDADVFAWQDRMRSLERPWLFSFAGAPRPGDPMSIRGKLIEQCRTSNFCKLLECDLGESKCHSPSAIMKMFQSSLFCLQPQGDSFTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEAGVRNGK >cds.KYUSt_contig_319.1297 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8658804:8660366:-1 gene:KYUSg_contig_319.1297 transcript:KYUSt_contig_319.1297 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMLDNFRSSAWIYLTPVAAACAPIGVLRTYFNQHLRRPVRRFLPFLDPFVTIDIAAKPEDHSYSYYNSKAKSSDAYAEVLAYLSVVCSRDARQLRAEGADEGHGFVLSLREGQEVADEFRGVTMWWSAVVEDKASHRSSGRCCRLTFHEKHRRLVVDEYLPHVRRTGQDVTVGNRPRRLYTNKNNRDYGSPRDEVWSYINFDHPTTFDTLAMDPDEKQSIMDDLDDFRNNKDYYRRIGRAWKRGYLLHGPPGTGKSTMIAAMANYLNYDIYDIELTTLHSNYDLRKLFIETKSKSIVVIEDIDCSLDLSGHRATMMPPTQDDELDDVASERKRRRGSMLTLSGLLNFIDGLWSAHSGERIIVFTTNHLDKLDPALIRRGRMDKHIEMSYCRFEAFRTLAENYLEVDEHPLFDAIKELLQVVDITPADVAECIMMSKRAARDADACLGSLVHELKKKLEDKEERKKVEEHDAKMATDEKLASENGEKHNAEEDAAAKPNGREAMANGVSESSSCSEEDC >cds.KYUSt_chr3.35070 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220091702:220092879:1 gene:KYUSg_chr3.35070 transcript:KYUSt_chr3.35070 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVMKALAATSPALAPPRLRRSLTGSSGAPCQSLNSSFSGISLRCRPRRYPVYSRPCSSRSPVAMLAVKPAIQFIQGTDEQTIPDVRLTKSRDGTNGTAIFEFDQPSVFDSSAELGDITGFYMIDEEGVLQTVDVSAKFVNGKPAKVEAKYVMRTARDWDRFMRFMERYSQANGLQFFKN >cds.KYUSt_chr2.13058 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82870040:82872918:-1 gene:KYUSg_chr2.13058 transcript:KYUSt_chr2.13058 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRKGGIKKKIKAVAELVGLSSGSSQTRPPSAPPSPRPRRKNAQPRRLRTPSPSPPPAEDDDEEHWGGEDEEDGEEHGGQDEEDGGEDLEEDEGLGGLPQELNPDLVWYPPEEEVYVAADPRLEPRDKKPYKRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTCEYSNILGGLIRKHFPGIVNLPSGGRDVAWTWKHYSYAEDPSGKCTNMQERVVRHFWKYFTRAEGEEIACDVILHELCRVRVTGMHYKARVQCVRDWHAERKVWMSKADCRDTLMAPWQYLQNPPQYVGEDKACFLAMVIWWTSPEYARKHEEGKLKRLEMGGGSHVLGSKNLALTLQQEEVKTGVTPNLFGLFQKSKTRREPHPETGSVWVNGLAEAQCGAYRSSFKAKHGEDADPTTEDFDVEVAVLAGQGKKGGRLWIADGLVDPKTIPSLRQIRRGRMSEQPRVETRPRASDLAVEKLRAEMEERERRHQEEQMQMQQQLRENMQMQQQMLQQMQQQQQMFQQMFMNQAVLTSPPGSSGPSTSCPPMFPHFIPTPDPVVMALLQQAPSQSPLTPGLTVNNTGIIRSLQQFLGGQGGGEGQGSGEGQGSGEG >cds.KYUSt_chr4.7331 pep primary_assembly:MPB_Lper_Kyuss_1697:4:43565725:43566712:-1 gene:KYUSg_chr4.7331 transcript:KYUSt_chr4.7331 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAQESWNEADETVHAPEAPILCVNNCGFFGNRMTENMCSKCYRDTVKAKTVSIVVEKKPIVVSSPAPLVAEIKAEASASVKDGKQVVKEEAPKPPSNRCLLCRKKVGLTGFKCRCGDTFCSMHRYADAHKCTFDYKEAGREQIAKQNPVVKADKITKI >cds.KYUSt_chr2.54498 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339990221:339991284:1 gene:KYUSg_chr2.54498 transcript:KYUSt_chr2.54498 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVVVFLCADSNLASPAAALQATLADLLVAAAGANAPPVRCVQPHVSVLEAVDALLGGAQCLVVPIQDNRRRGALEAGVTMMCWLTVEDVVRFFLGSVALFSATASRSVFDLGVVSPALSVAATDGALSAVAPLLRTASVAVVSGGRIEGEVSSSTLCSLDPSLAAAAFATLSAGELASFVDYVPTTREAALRVVRSRIRRRNLHGMLDLLDGHDDLSSPSSLSSSSSPFSSSSSDDDEAAYNTRPTGKHGSWSKGRRAAREPISCRRGSSLVAVMAQAVAHRVTQVWVLGDEEELVGVVGFLDVLRVLRRHLLHTPAAHV >cds.KYUSt_chr7.36226 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226454884:226459453:-1 gene:KYUSg_chr7.36226 transcript:KYUSt_chr7.36226 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGELGTQVLIPVAGLIGIAFAVAQWVLVSKVKVTPGAATAAGGAKNGYGDYLIEEEEGLNDHNVVVKCAEIQTAISEGATSFLFTMYQYVGLFMVFFALLIFVFLGSIEGFSTKSQPCTYSTGTCKPALYTALFSTAAFLLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLSSSGLVVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGISHDFTAMCYPLLVSSVGIIVCLITTLFATDFFEIKAANEIEPALKKQLIISTALMTVGVAIISWLALPAKFTIFNFGAQKEVANWGLFFCVGIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSIAAMYGIAMAALGMLSTMATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVQVVDVLSPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGNSDHARSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATYGGLLFKYI >cds.KYUSt_chr3.22932 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141844261:141853792:-1 gene:KYUSg_chr3.22932 transcript:KYUSt_chr3.22932 gene_biotype:protein_coding transcript_biotype:protein_coding MKAREQQQQQLPQQQRQQQQQQPQQMQMQQMLLQRAAQQQQQQQHQQQQQHQQHQQQQQQQLHQQQQQQQQQQQQQQQRRESSHLLNGASSGLSANNPLMRQNSTANVMATKMYEETLKLPSRRDSLEEASMKQRYGENAGQLLDSNETSLLKAAASGQSPGQILHGSIGGLSGTMQQIQARSPQLPGHAQSMKTDMNSILTPRAAGSEGSFMGLQGSNQVGNNLTLKGWPLTPGLEQLRSGILQQKTFAQNQHQLQQQIQFLTPQQQQQLALQAQQNMTSPTSSDVDNRRLRMMFNNRNVVLGRDGQTTSGGDIIPNIGSPSQSGGDIDMLIKKKIANAHHQQQQQQLLQQQSNSQHQQHQAVSSQQSQSSNQLLQQEKPGTGNMPVDGGIPNSFGGTDQTTKKRKKPGSSSGRANSSGTANTGGPSPGSAPSTPSTHTPGDPMPVPQLQQNGVSAKPLVMFGSDGTGSLTSTANPLGDVDRLLEEGLDENVESFLSQDDMDPRDSLGRCMDASKGFGFSEVAKARASAHKVVCCHFSSDGKLLATGGHDKKVVLWCTDSLKPKSSLEEHSFLITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDIICSCDSDGEVRSWSINNGSCLTCVKVFKGGATQMRFQPRKGKYLAAASEKAIYILDGETQHACRSPLQGHMKNIQSVCWDSAGDYLASVSEDAVRIWSFTSGHDGEFMHELNCSGNKFQTCVFHPTYPSLLVIGCYESLELWDIREKNAMTLNNAHDGLIAALAASSATGKVASDHEDTDDFVDYLAYLAEKAREESTLDQLPYISEGVDEEEAMRLAMKASQGQIPPPPQKPPQYVVIAIFEGLSREEALWVAMEASRVYPDLFSSSSNGISATFMGAQVAMGAAGTVFLDPPSFSAAGQPCADAGTQLSVGLSEIVDLTQDDEDDP >cds.KYUSt_contig_2073.83 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000250.1:492206:493909:1 gene:KYUSg_contig_2073.83 transcript:KYUSt_contig_2073.83 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCTRLSHLLVALVVLLLVPPSSTQPHELQEEDEGLRESFLLCISRLSPGTDDPSELVHWPADASYRPLLASTIQNLRFASPRTPRPLLLVTPRSVPEVQASVACCKAHGLTVRARSGGHDYEGLSYRALRPSGGRRFAVVDVAALRAVRVDAARREARAEPAVTLGELYYAVAKGSDGALGFPAGICPTVCVGGHLSGGGFGPMMRRHGLAADNIVDAEVVDAEGRLLDREAMGEDLFWAIRGGGGGSFGIVVSWTVRLVPVPSVVSAFTVRRLVRRGGEDEEAVLRLLTRWQLVAHALPDVLFVKAAMEPKVDDDGERRPLVVFKSLFLGNCSGMISQLNNHLPELGIKPSDCREMNWIQSMLYFYGYTNGQPAEVFLDRTLQPKEYYKVKLDYLTSPIPTAGLAVLLDKIVDDKGGSIDIDPQGGRMSEIQESDTPYAHRRGYLYNVQYFVKWGGDKNVSSQEEHLGWVRGVHQLMAPYVSKRPRAAYINFRDLDLGQNVEGNTTYEDARVWGEKYFRGNFRRLAMVKGEVDPEQVFWSEQSIPPLVVAKRKRPRQVGLVSEI >cds.KYUSt_chr2.35648 pep primary_assembly:MPB_Lper_Kyuss_1697:2:220207563:220208243:1 gene:KYUSg_chr2.35648 transcript:KYUSt_chr2.35648 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHALLLLAVLLPAAAMADPDALQDFCVPDAGHGRPVELALLRTYPCRNPANLTAGDFAFSGVRVAGNFSAATGFAGVSVTPAQFPGLNTLGMSFARADLSAAGGVNPPHYHPRATETALVLAGRVYAGFVDTGGRLFAKVLDKGDVMVFPRGMVHFQLNVGDAPATVYGTFNSENPGIVRIPATVFGSGIRDAVLERSFGLSPAELRRIESKFGPPKKTEMED >cds.KYUSt_chr7.35776 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223450994:223453174:1 gene:KYUSg_chr7.35776 transcript:KYUSt_chr7.35776 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEVKIAKNRGWNREEEADLRRDPVPRPVDRALDRPTRSKPDQKLMPTGPCTEPSSRAAGCQAGQTGAQSDPTGPWAGPTGPQAGPTGPWAGRAAAVSVVSPAKLVVAVEDATSFPGDVLGMRCTLPKKSSKVFNDYLDTLKAEARPPSSLRWYLHRLATVQEYEDWERNMELGFSRCRIYNRKFNGSYAYYLALRRVDEALERCWHDAVDRGEFAETWEDYKKILRNGFVLPYMEESEQPSRVVHVMKEVGKCIVPIQEIVTVEDDVPLSGLNMQLKKVQDDACKAVDKVQRWSLFQTQCFINGKACKLMIDGGSCTNGISKAMVAALGLSTWRLSEPKRLEWLNSCGMLKITHKVRVPFTVDDYVDEIECDVLPLEVCGLLLGRPWQYDRNVTHAGRANTYSFMHGGKQRTLKPMGDDHIKSDVELVVRKEKLHKPKVQQEVHDVPSIDVGDVSAMPVDDKPVLVGDKQDEAILVVDMDVAACATVPVCIDASIQTDDICADDVLVHMAQMRMGGVGGEQVREASADGVPDWSQARSNRTRHRMSPVQTGFCADASRGMFCETLRRPGPDPVPDPVTTGPTGPRPGQPAYRPAVAQRVSADSGQRHYRARSTTVQLSATPRMHRGKDGCVRHLCGPGITHLVQGHVQRHRVPSRPQKRKVLAPKSKLMWRRNEAPSAVSSQAGREGGCGVEGKQDLGTARTCHVHITSPFSRRPSRVGDNAS >cds.KYUSt_chr1.26744 pep primary_assembly:MPB_Lper_Kyuss_1697:1:161216554:161217501:-1 gene:KYUSg_chr1.26744 transcript:KYUSt_chr1.26744 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALLDIPFSIFNDYFVYRTGGGSASLHLLPRPYPTDYQLNMVAVLPVCDGSDDFAVVFPDIDHFMLEDQNHHTLHIYRSDTRAWSAQVACIAEEETEDTRNKLVATYDATSVVYAGSGLIGWINLWTGAVLCNVLDTNPTIRFVPVPLNKFYLDYIAEINIRPFPHMTIYNGVIKFIELKYHRGPVFNVKRRDDEGWMATIWTRSISSDVWNEGLTFDTSDIVVTDPSFRHLLPEMVNEDNKLKLEKLMSGGPTLSLNDDHTVYILAKMSLFDPEAFVLALNTRSLTLESCAPCRGENILGFESNYVPFALFS >cds.KYUSt_chr7.10023 pep primary_assembly:MPB_Lper_Kyuss_1697:7:61404500:61408368:1 gene:KYUSg_chr7.10023 transcript:KYUSt_chr7.10023 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQCDVCGVEPAAVLCCADEAALCSPCNRRVHRANKLAGKHRRITLLQPSAATEDAGPLCDVCKERRGVVFCVEDRAILCADCDEPIHSANELTAKHSRFLLVGTKLSAEPVDQEIPCPDGSSDEHDDSSAAEVNTPAVLEVEASHGKGGAEVGRDSSSISDYLTNICPGWRVEDILLDDAAFAAKQKGRDEQVPFLDADLFDVVSGGRPGKRGAAWAPHVPQTPAPPAWGFEEVPFTMVAAPTAKAKQGHVKDWYHSDSDSDVFAVPEISPPPPAKRARPSSFWCLFILAKFLGEKHIQSSILSAHANAHTTEVATAVFLDSIFKRDHRINLARPTVDATMTLDGPAILHAYIIPHLSSIPCSTMPPRLSANNVVDEYHSIDIRQHPAAAPKRCPKR >cds.KYUSt_chr5.36952 pep primary_assembly:MPB_Lper_Kyuss_1697:5:233744038:233745966:1 gene:KYUSg_chr5.36952 transcript:KYUSt_chr5.36952 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAPKPLRKGLGSAALLVPWMLWKHINDCDFERGWPFVADLMSNIKDEAKLRAQEGATGLGTVMHFRKPRREIRCGMKPPPTPETPQCFCSLVIKLSRKLGWEVVRMAGLLLECIRYLLTRPEIKKLQYIMLTRPEVKKLLTRPEMKKLQELYLKLIEWTRTWLEKNKVEAKIDWIIIEICSRVVSATSEPCSAPKCPSTLAVDGNLEHPSFSLSFKTTYICPPM >cds.KYUSt_chr5.15868 pep primary_assembly:MPB_Lper_Kyuss_1697:5:102263645:102266217:-1 gene:KYUSg_chr5.15868 transcript:KYUSt_chr5.15868 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALLLSASTSATKGWWRCYYRRRCLLPHAGGAATTGGDFCYQRLAALLLPAATSATSGRRRCYLWPGSAAASDGDFCVRTAVCECFGLTYASGKDGSDGTPETAGAPSASDLPAPDACSDPDEDPVGAGDALSRASDVAPCGSSVSLLEVALVGDTRPAAVGWRDVGGDRRPGEDPRRDVAPSGWERAARDGCAADPKEDSLLYEIFSCTALCLQGT >cds.KYUSt_chr5.7479 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47017852:47022221:1 gene:KYUSg_chr5.7479 transcript:KYUSt_chr5.7479 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRPKPFAARILLRENDIGTWREDERKRDERKEGVQEAISSSYYCYPPYTSAAAMTNNNKRDADVDPTATPPPPPQSRIRAAARKDRHSKICTAGGMRDRRMRLSLDVARRFFSLQDKLGFDKASKTVQWLLDMSTAGINHLLAASETSMSEDGSGSVLLDSDDHIMVIDAETDNCKMPTKARRRAGRKSNNGAPAPVPDKESRARARQRARDRTIQRSRLRSSTLSSAEEAPTPAVIQVIPPVIPPESSSSFGSYYEEQEPWELGGVVFAKPRFHY >cds.KYUSt_chr6.6046 pep primary_assembly:MPB_Lper_Kyuss_1697:6:36300313:36301556:1 gene:KYUSg_chr6.6046 transcript:KYUSt_chr6.6046 gene_biotype:protein_coding transcript_biotype:protein_coding MAESERPAFDPNQEFSTLDKILTQTQRYSELLLEEVLTKSTADEAALTEEEKWEKEQDRLIPSMAGATVKPYLIKGVKWLKFLWQNGLNGIIEDPVEFGAVFQTLLFLAHLKESGLHGPYMIVTAEPSSHISYWLKGIRLFPTMKKLIYFGDKNSRANWRRELVYKTVGPDFPIILTTYRTAMLDKGWLADYKWKYVVLDESDQMKQWEYEILEKLKHRPVDNKLLLNGYADGGSSQDISPDLLS >cds.KYUSt_chr2.14097 pep primary_assembly:MPB_Lper_Kyuss_1697:2:89225461:89226852:-1 gene:KYUSg_chr2.14097 transcript:KYUSt_chr2.14097 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDELAAGTLMQHRCKVCGKGFAAGRSLGGHMRSHISLGEVVPMAEVDDDELTRVSANGGRSSGGNGAVGYGLRENPKKTRRLSDFADEEEDQGGDGGEHDKACRDCGKLFSSWRSLFDHMRSHAPGAAAADEAEDVDLGDEFYAAEAEAEAEDVEEEVAAPMEPLAVAVMAAPPRRRRRSMRVAAPAPAPPPPPALSSFEKEQEDVALCLLMLSRDTTGGMWSSPEHEEPFLEKEWRKKATPKQLHLPRNGYGYNSDDDSALFHYGDAKVKKIKKRRTSHYALNSDSGKQLRKVTAPPPPKRTRYECPGCGRVFSSYQALGGHRASHKRINTSCSAPKAATGVIAALPAPEPSVDTYTSFSTLSPSASPDSVATGIGAAKNNQAAATAVLEKFECPVCFRVFGSGQALGGHKRSHMTADGAYSEDGEVFYGGDAEQEQGYPVAAAGSLDLNFPPATSDEA >cds.KYUSt_chr1.16451 pep primary_assembly:MPB_Lper_Kyuss_1697:1:95516343:95518125:-1 gene:KYUSg_chr1.16451 transcript:KYUSt_chr1.16451 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLPVAATINCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVVVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >cds.KYUSt_chr2.50343 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314752557:314752901:-1 gene:KYUSg_chr2.50343 transcript:KYUSt_chr2.50343 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVVFAAVEPRLQSGHPPTVWHVLLLLRRRAARRARHAAQDACALCAKQLARDSDVFMYRGDTPFCSEDCRHHQMRLDDRQAARAAARRHRQFSSATAFGRGHRESREVPVAS >cds.KYUSt_chr6.21492 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135635602:135643205:1 gene:KYUSg_chr6.21492 transcript:KYUSt_chr6.21492 gene_biotype:protein_coding transcript_biotype:protein_coding MVALARVKSLRLRRSIWSDAAPRRPDTHRPPFSAPPLQDLNARLKRLVQSGRLKDAQALFDGAPHRDEASYAALLAGHAAAGDSTGAMALFSRIHASPLAAADPFVVSLALKACAVAADAGHAASLHAFAVRSSAVSSVFVATALADAYAKAGRLALALRVFDEMPSKNVVSWTTLVGALTRAGRRHDALRRFAEMRASGVPCDSHACAAVLTACAEARLLLRGREVHALCAKLGIDATPYVANTLATLYARCGDVDHVVAAIGRMGSRDVAAWTTVISSYMQSGRAREAIQAFVTMLRDEASNAATPNNYTYAAVIAACADISHVCLGEQLHAQAAQRGYASARSVANSLVTLYSRAAGRLSAADAVFRESATKDVVCWSAIISGYAQEGLVGEAFVLFSEMRRHHCSNPNEFTLASLLSVCATSAALDAGRQLHALALAAGLEHHAMITSALIGMYGKSGSMSDADVVFSSRTKDDVVSWTAMIVGNAEHGYSKRALEMFEQMCHAGLKPDHVAFIGVLSACCHAGEVELGLRYLDAMSKTYGLEPAKEHYGCVVDLLGRAGRINEAEELIGRMAANERDGVVWTSLLRACAARGEEEIGKKAAKMTMEAEPWGSGAHVAMANLYASKGQWCEAAQERHMMKQKGVVKGVGWSSITIGGEERGVGVFVAGDRTHPQDDVICAYFYDGNFSTAHQSGNFNLNRYQEETSIARGEEEQLDVKTDVKMDVKLDMELDMKISHGCAREEWEACARGEEVVQAGPAPVGPGRRTGAPGPWPGSTGRHAGSTPAPTGHRTGANRKVICDTSGCRPVPGPV >cds.KYUSt_chr5.36970 pep primary_assembly:MPB_Lper_Kyuss_1697:5:233859735:233862742:-1 gene:KYUSg_chr5.36970 transcript:KYUSt_chr5.36970 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSLKVRRLHRKQFVMSPSPRGKRKPSLVSQPRAVTMARRGSAGHHSPRHLASSSSPGGAAGCCYLAIALHLSLVRLENLKNGHMLRHRILDVREDALRWEVREWERRADEQSQLLAELLQLIGMPEHEAQLVDNGTTLSGFCKSSRYGSTDDDYANLDGTTPEH >cds.KYUSt_chr7.22735 pep primary_assembly:MPB_Lper_Kyuss_1697:7:141017014:141034482:-1 gene:KYUSg_chr7.22735 transcript:KYUSt_chr7.22735 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPYPPPGEPPTTGIPYSSTEMLSSTHLHSVLAGDSSGIQMVSEDVHMADLDATSTDWSSSDDSDIDELLNDDETEMMVLLFGLKQTEDRLKLLDQRKGSVMGRMCIPRNRALGHEQLMQDYFAESIKEKKDRPLTRKEACFTRNQEACRKDIERAFGVLQARFAIVRGPARFWDKETLVDVMTCCVILHNMIIEDERGRIAARDKLYLMDPRSTGPGVSELKTDLSRRIRDEQETKRMLAPTDGAEMKIFYEQYCKENINEGLNMRRPEMVGLFQTASVLQDVLNCMTPKKSEIEEYPEGVEKETASVSNYNILPLNISSPTQPIMEIPEIKAAVELIRKMESLPTPRLDMTTRPEDQTNGPIIQDLFDWLWKTFGFQKGNVENQKEHLILLLANIDMRGSGIVDPDGRRNHVIHSNTVRHLENKLFENYISWCRNLHLVSNINQNCMLLKACMRTRFHFLNFSSNRTYQEYYVSGTKVFSFALAILERDLLTVPSLVGDTSVIQWPLFLLASKIPIALDMARNVKISEEELRRRITQDPYTHYAVVECYETLFNIIYALTRETRDKEFVDRLHGILQDSINRQTIVEDIRLDELPQLTAKFDKLLSLILETKLNEHDTRKTLIANLLQDAMEIITRDIMKNGQERALEIQCIQDKFDVDMALAIADIKFTYVVSCQVYGIQKKSKDPKDNACYLNILNLMIKYPSLRIAYIDEVEDRSRNGTKKTYYSVLVKGAGGKYDEEIYRIKLPGKPTDIGEGKPENQNHAIIFTRGEALQAIDMNQGAVQGRSTKVRSGQSRTQPRREGLEDTDAFSHGRAVLATAASFWSLVVAGEEKEREESGCATNVSNIAGMLNGIEQLNGSNYVTWKEKLEITMALLNIDYALLNDPPEVPKENNENYEALKKEYDIIKAKWDDSNRKCLMMIKGSITQSMRGALPDCETAKGYFAKIEHQFKGSSKVYATSLIRRLIDEKYDPTGSLREHIMKKCNMAAKLKSMEMEISNGFLVHFIMSSLPPQFDPFMINYNAMDVKWEIDEMMARCVQEEERLKADRIDHVNQFGHSQKKKYRKFVNEYMKPKPYKFKEKGQSSKGSQQKKPEKAPNAEGNNSNACHFCGKGGHRRKDCFGFKRWLKERGTDTITVVEESLYVNYATNTWWIDSSATIHVVNSLRDSI >cds.KYUSt_chr7.28671 pep primary_assembly:MPB_Lper_Kyuss_1697:7:178832968:178834918:-1 gene:KYUSg_chr7.28671 transcript:KYUSt_chr7.28671 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSSARGEPRRLGNMGLLALMLCSLVALSLIRARFAPIGSTTGAEPVIKAEDPKPAAVVSQAAVATDAGDNDVPDEVAAVAVEESKVEPVEEGKVDEVQPKPEHAAAAIVVDASKPVCYETSRRSDTCEAAGDVRLLGRSQTIYVDTLEHEWKTRPYCRKHDAYALSHVKEWSLKPHPSGSGDGAAPRCTTNSSATAFVLSTGGFTGNPFHDYTDVLIPAFITAHRFGGEVQFLVSSYKSWWMNRYIQIFQQMSRHEVLDIDADDEVRCYPSVVVGPTFHKELGVDASKAPDGVSTADFRKMLRSAFGLERATATPSGDRWDIRRRPRLLIITRRASRGRAFMNERAMADMAGSLGFDVRLGDPEMSSDTSKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVVVQVVPFGRLEWLARNTFAEPSAGMEVHYLEYVVQLDETTLSEQYPSDHPVLKDPMAIHKQGWDALKTTYLDKQNVRPHLGRLKNTFLQALKMLPHGRDD >cds.KYUSt_chr7.5253 pep primary_assembly:MPB_Lper_Kyuss_1697:7:31336209:31345903:1 gene:KYUSg_chr7.5253 transcript:KYUSt_chr7.5253 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPSLHSLLLMAASTSAAAGDSGLLLAARRRFPAAFATAWGHRVRLLHSVSATRLPRRAELACCFGTAPAPAPPAAPRSRIVRSDEEKRACEARLGQLVHKLKSEGINMSQWRLGTFQRLLCPQCKGGSTEEQSLSVFIRNDGLNATWTCFRATCGWKGDAQPDGVPKVYQAKRDMGNESDAGNGSDQEVKANNAVKPYRKLREQDMHLEPLCDELVTYFSERMISPETLRRNNVSQRKWNNKIVIAFTYRRDKVLVGCKYREVSKKFSQEPNTEKILYGLDDIKQARDVIIVEGEIDKLSMEEAGYRNCVSVPDGAPPQVSNKLPDKDHDKKYQYLWNCKEYLDSASRIILATDADPPGQALAEELARRLGKERCWRVSWPKKNETEFCKDANEVLMFLGPQALKKVIEGIRGDELGIPTGWKCMDELYKVVPGELTIVTGVPNSGKSEWIDALLCNINSQCGWKFALCSMENKVRDHARKLLEKHIKKPFFNARYGGSVERMSPDEFEEGKQWLNETFHLIRCEDDSLPSINWVLDLAKAAVLRHGVRGLVIDPYNELDHQRSPNQNETEYVSQILTKIKRFAQHHSCHVWFVAHPRQLHNWNGGAPNMYDISGSAHFINKCDNGIVIHRNRDPDAGPVDVVQVCMKKVRNKVIGQIGDAFLTYDRVTGVYKEADEDIVAKVVKQQMRQKQQSQHQHR >cds.KYUSt_chr5.36548 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230987637:230992406:-1 gene:KYUSg_chr5.36548 transcript:KYUSt_chr5.36548 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRVCVTGGGGYIASWLVKLLLSRGYAVHATVRDPCDPKNAHLTQLDGAAEGLRLFKADVLDRAALAAAVKGCEGVFHVASPVPADKIVDPQAKVMEPAVKGTLNILEVCSSMKVQKVVVVSSTSAVHFNPNWPQGKPKDESCWSDKKVCIENELWYCVAKTVAEETALEYAEKNGLNVVTVCPCIVFGPQLQPVVNTSSELLVYVIKGGPNAMNDRLWHIVDVRDVADALLLVYEKPESSGRYISAPNYINTKAILELLKKTYPNYNYVKCKDNADQNSPITPISSEKLKNQGWKPRALEETLLDSVEYYRKTGILQDVEGEGQACRLPDLFQFFHAAEE >cds.KYUSt_chr1.33800 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205394218:205397503:-1 gene:KYUSg_chr1.33800 transcript:KYUSt_chr1.33800 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGGAAEEEEEEEEMAVSETLTADSVDEERRRGSSSSASSVAASSESYCPPDEWQQVAIKTCVTDDAMPKPPPGEKKPPPAGDACAERHRAPELELMKERFSKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLAPEKKAMWRREMDWLLCVSDHIVELVPTWQTFPDGTRLEIMTSRPRSDLYINLPALRKLDHMLLETLESFRDTEFWYVDQGICAPDCDGSASFRRPVHRRDEKWWLPVPRLAPGGLREATRTQLEHKRDCANQILKAAMAINSNALAEMDVPDSYHDSLPKNGRATLGDIIYRYITSEQFSPDCLLDCLDLSSEYQAVEIANRVEASIYVWRRRGTAAGRSAGTKSSWGIVKEMIMDTEKRGDLLAERAEGLLISLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLYVDELTKQTDQPPAGAKVSSCKNSKAVNAPLPAYPVPVSGTAYATPSFSPAQLSSPSKIGRALLVDRRAHHGRASKRSLLAVADHGGGAEVKGMLVTSAVFDAPLATEL >cds.KYUSt_chr7.10708 pep primary_assembly:MPB_Lper_Kyuss_1697:7:65846220:65847653:1 gene:KYUSg_chr7.10708 transcript:KYUSt_chr7.10708 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRDVAIRVFFLLLVVSVAYAKDKEEKKDEKKDEKTEGAASEADGTYDITKLGAKDDGKTDCTKEVEEAWASACGGTGKQTIVIPKGDFLTGPLNFTGPCKGDSVTIKLEGNLLASNDLAKYKSNWIEIMRVKNLAITGKGTLDGQGKAVWTKNSCAKSYDCKILPNSLVLDFCDDALIEGITILNSKFFHLNIYECKGVTVKDVIVSAPGDSPNTDGIHMGDSSKVTIMDTKIGVGDDCISIGPGSKEVNISGVTCGPGHGISVGSLGRYKDEKDVTDVTVKNCVLKGSTNGLRIKSYEDAKSPVVASNFHYENVQMDDVGYPIIIDQKYCPNKICTSKGDSARVTVKDVTFTNITGTSSTPEAVSLLCSDKKPCEGVTMNDVKIEYTGKNNKTMAVCTNAKVTAKGVDKANSCDA >cds.KYUSt_chr1.24605 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147216415:147217765:-1 gene:KYUSg_chr1.24605 transcript:KYUSt_chr1.24605 gene_biotype:protein_coding transcript_biotype:protein_coding MPATGRRSRRVAVLRCIIAALVVTVLLAGLAVLVFWLVVRPKPIEYTVTGALVKHFNITAPPAAALNATFYLTLAADNPNRRVSMRYESLELYVHYGEGEGAPQLAVADVPGFHQPSRNETRLEVRAVARAAPVADRAARELDHDRAAGQVAVEVRVSARICFEVGRVRSRHYDMRAVCSPVVIGLSPKSARSFPSVPCDVAISNDWGWDEVDAPENVSLEVVTTGKSGAAVQPIFAVRRCTAKISLPCAASRPHGNDNTHGKVWEQRTAMKPSTAKKDLAHGKAISHGKVWEQ >cds.KYUSt_chr3.21909 pep primary_assembly:MPB_Lper_Kyuss_1697:3:134992969:134996374:1 gene:KYUSg_chr3.21909 transcript:KYUSt_chr3.21909 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKYSKVEDEEAVRRCRDRKKLMKQLGCRRVDLAAAHITYLQALRNTGATLRQFVEVESALSQQTSVGIPVAASSPPPPPPPLPPAYSVTSSMPPHSVTSSMPSSPLQPPLIPFSPIRIRKREKRDGELDEDDSTDDDSDSCSTPLPPPPPPGMEWEHIDPITMRPLNFPSLLADRNDKEVASQVTMDDDWVESSTEFDGDDESVSDNDDGVVRRVELHQAKSRVLGDENSSMVGWMTKDSDSSVVDLRSDKSLVGIAKEIDEYFLKAAASGSDVVILLDSASGRLDTSELEVKRGKKSKSAKVFSTLSWSWSFKSAQANRESSSDAGGYGYHGKTLDKLYDEEQKLYKLVKDEEFARLQYRKYTSLLQRLESGEHDRLHAEKVRDNIEELQTRIMSLEEAVSLACLTISRLRDEELYPQVIELSAGLVHMWRNMYECHQVQNHVAQQASLLGNRPGSEPTTNSHCYAATQLEVEVSAWHSSFCNLITLQREYVTILNQWISLTDCLPDDDGFMRSSSGIRSLCGELQHALERLREKPAAEAIKTFLSVIHSIVLQQAEERQLKKKSDNIESKFHSQLEKHSENAMQTSGQASQARTYSVPKDEPKLDAFRKRVEEEKARYLNSLRTSRAMTLNNLQTSLPKVFQALTGFSGVCVQAFEGISRCSEVVASHSGAASPAVSSCDEHPL >cds.KYUSt_chr3.34299 pep primary_assembly:MPB_Lper_Kyuss_1697:3:215174918:215184069:1 gene:KYUSg_chr3.34299 transcript:KYUSt_chr3.34299 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRPAPLLAGPSSSSPAAAAAAPARQVTMRMFHGDVSLGDAEVFPIKPGPDAALPFPTNEIRVSHLSPASERCPPLAILQTIAPFSVRCKLQAKTIPLNPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKAVNVPCFWCCSVRAGLYASSVGMLNLRCLAIVFDLDETLIVANTVASFERRIGDLSHKMEAVDDPGRVAAMSAEIKRYIEDRALLKEFIDKDTVTDNGRILVAQKEEVQPIGAQDRLLRPVIRLPERNAILTRINPEIRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEIWRLLDPEANLISLNNISERVVCVKPDSSKSLQHVFKNGGCHPKMAMVIDDRLKVWDEKDQPRVHVVPAYAPYYAPQAETANAVPVLCVARNVACNIRGGFFREFDENLLKKVFELLYENELLDLPYAPDVGDYLVCEDTVPTNKDPAPIPEGMSGAEVEKRLNGRVDQKQISSSTPIPEGMSGAEVEKRLNGRVEFRSTVSSTGKSTQFSVEVLFSNEKVGSGTGKTREEAQVQAAEKALQNLQSNYLSFVAPMAGVLNKDTSKSPGGGNGFLEDDIDSDGDTSMKELSGSTPIVDRLSSVISLLRELVELAGQILGIGIGANRDFAKLQLRDLLKAFHLEAAEEALRFLETTTDPQIKKHLRPIRCS >cds.KYUSt_chr7.29268 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182189689:182192156:1 gene:KYUSg_chr7.29268 transcript:KYUSt_chr7.29268 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLTEEKVLPRVLEDSTPKPVQPPPPPPSCNPWAAPPQPLAWAAPPPPPAWAAPPPPPSAAPAYVPLFPNWLWPEMRNVKAKMATHQMEQGVSMYRGGNSNRLSRRLADSASSTWASLHTDLLDLIASRVLAGDLLDYVRFRAVCLRWRAETPSPRGRGVVDPCFHPRQWMMFPEGGGLYPGHPALLGYVRFFNISTGTFLRVRLPCFRNHSVLDCPNGLLLLQHNESTAIRLLHPFTGDVILLPPLFSIFPQLAKLGCFVSEYDKIQNLRFVYAAVSVNLGGTVTIMLALSNLDRVAYASSGDRHWTPSSWTMGHFFSALPFGGSLYVVNRGEGLNPWRVLRIDPPKESNPSSWSLVPPQTVATFPVEQLSHPELVECNAELLLVGSANEYSQVVVFRVADLIRGVPAVPLASIGDQALFIGGSNMAVNSKNLPSILGNHSQ >cds.KYUSt_contig_815.322 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1899453:1901585:-1 gene:KYUSg_contig_815.322 transcript:KYUSt_contig_815.322 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEGQSVDSVYMNSIKGTVIIPFSANHSDISPKGVERTRLDIHAVRSGFDSIHSYRGPSHALPEGFPAAVGRIAVLIMETKFREINEKCEQALVGYAQTRLGDDMKNLVVNWSALCKIVMDGIIKKHHAAEDIAQIRRLLAQILIYQVDAVTTGLFAHEPLPPEPRTEVWEPIDEQYIDGGEADSVVPNFDTPPPLPAPRNIAKRGIKRGISQMKYPEEKNTRKGLRGGGAGGLPHVPHWFASWNQEKEDKKECDMLVAGARELGLIISEVGPLLVTKYTEKKSEQGAEVPLTMDIISNSDVLDLRNGDFLSDEVISHYFNQLSSRLDSDEILLIDAALAIAYAQDSSSIDPEHLKDRRIVVLPVNDTAEFGYADRGSHWSVLVIDATSEGPPRFIHHDSSDGHNVPAALKIAEKLRTIFPAAQDVEDVQTPRQQNGSDCAVYVMAIARSVTRWWRRREFEDDWMNMMWNEVNAKNVHTMRQQLAELLEKEQIEKQTNKPNSDLVDLQTGSFISDTVISNYFTELSSRLDSDEVLLVDAPSAIAYAQDSTSINPEHLRDRRIVVLPVNDTAEFGYADLGSHWSVLVIDATSEGPPRFIHHDSSDGHNLPAALNLAKNMRTIFPGAQEVEDVQTPRQENGSDCAVYVMAIARSTWWRRRPTEEDWMNIVWNEVNAENVRAMRQQLAEQLEKDQQDKETKNAECKKDRAED >cds.KYUSt_chr6.6340 pep primary_assembly:MPB_Lper_Kyuss_1697:6:38427243:38427674:1 gene:KYUSg_chr6.6340 transcript:KYUSt_chr6.6340 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALSEGWRRVSECGSRAELSGHQRLFAGQRGGDDGWTSRQGRTGPGKVLQQRYPWGSGERPVGGFLSHDSASGTPNETPNRVHLRPEGYAPPWKVHHSPADSPRWRLFLVTRGSDDDGHLWWIRLPSGHRHRYEPRRYLLA >cds.KYUSt_chr2.48473 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303198785:303199711:1 gene:KYUSg_chr2.48473 transcript:KYUSt_chr2.48473 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMSSTFLVAICQAIDLRHIKENVKSAVKSCVMTVAKKTLSTNSTCGLHVARFCEKDLLQEIDREAVFAYADDPCSAKYPLMKKLRGVLVERALSNGMDEFNVETSVLGKVAQFEEELREALPMAVETARAAVESGTAPTPNRITECRSYPLYRFVREELGTVYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWDGKPLPIC >cds.KYUSt_chr5.39644 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250827301:250831802:1 gene:KYUSg_chr5.39644 transcript:KYUSt_chr5.39644 gene_biotype:protein_coding transcript_biotype:protein_coding MEARYGRGIAVVLRGGRRAVYSSIAKDGEVVQAVASGLYYLSVLKSRVPGFVVEEPREEVAAQGHDLRQFKYACAGYSMFVDDRDGKSGGDEAKALLPYCQGLEVGFISLFQVSGLQNLDAFMFPVTAAATHPQPQGQEQGQKPQLARQEFMARKVTVSSDLPLLVYSYNDLFF >cds.KYUSt_chr3.2654 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15253635:15258734:-1 gene:KYUSg_chr3.2654 transcript:KYUSt_chr3.2654 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMGVQTTDNLIVTDGNLLFNTRDDARQFMKYLHPDLGVELPERSYGTDCRIYVPDHPKSRFNNVYDILFDEFVIAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDIMICNWFGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKRLAECSPLSNRNEFTIRQLID >cds.KYUSt_chr5.35562 pep primary_assembly:MPB_Lper_Kyuss_1697:5:224744836:224748341:-1 gene:KYUSg_chr5.35562 transcript:KYUSt_chr5.35562 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGYAPRAPGIQLIKAHISRVYMESPSRNTSCLTTVQYLAVYVKDPPSIKTVLDLDTSWAFTDPASFVEIRDSNVHEQLQADRGSQNHLEDSTVIVRVVVSAEALPEMRFCEFKEDPPEYVLYPSEESNIFTVEVVHNGFFCGLRDNLEYIVSLVDHFDYLTGDTWSMDWMNEILTSLGLARDGKLHLYWCLPTKDIREGLVPLDCDAVIRRMARASLKEKTLCVFVDHTDFLRQLRTDVVHTNAPVANSPSAVARPPPVAAPSIASSKMAAAAVSRAVQAISSGSSKLPNAGASPLPEASCSSFVEEVVSGNLRHSDSDSDFEFYDNDYDVEDGDDDLFADNVDKSVGDNNEKEMVDDKEDEDALDYDTLQLGEENMEVLRNKLTEFNPARDMDNPIFVRGMTFSGVEELRKALATYAVRNRKKIKKVLNDRRRLTAHCATGCLWYMRAGTDLSHTGGFVIKKYEGKHTCEGSWPLEAISSKLLTEKFMHEFRDNQKLGMLEICPRGNNKIVIVIS >cds.KYUSt_chr5.8859 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56151638:56158639:-1 gene:KYUSg_chr5.8859 transcript:KYUSt_chr5.8859 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRASGRLRPPPPPPPPARPPPPPPPPRASPAAAAGDSLPQDRLDVSQDDVTTPTKDTHGVLVERDPSYDDMLKHMVGRITTKPGGKPEMGEASVVERYNRPLPKVRASEPEPGQGGHRQLPPGTLNVGHIQEIIQLYQGKSGSHHGSMSVDDIASRFRVEASVHGAAVVQEKMYVFGGNHNGRYLGDIQVLDFKSLSWSKLEAEIQPVPSEPAEAVLVAPCAGHSLSSRGGQSVTRIGNTLVVFGGEGAGRSLLNDLHILDLETMTWDEFESTGTPPSPRSEHAAACYADRYLFIFGGGSHSTCFSDLHLLDVETMEWSRPDHHGITPEPRAGHAGVTFGDYWFITGGGNSKKGVPETLVLNMSTMEWSVVTSLEGRAPPTSEVYALKTSFRPSVSSNGMAPLSADANSSRGPIFEIEELQDKKNNKGGDTSKTLVQTVKHERNQLEEKLEREKIQTFHLKTELADVENKNVELTQELNSVRDQLLDEAARASKLENEVSEIHQRLQKMAALEKEFELLRGERDSAGDKSASGSNKRPGGVGFRR >cds.KYUSt_chr3.45959 pep primary_assembly:MPB_Lper_Kyuss_1697:3:289404369:289410164:-1 gene:KYUSg_chr3.45959 transcript:KYUSt_chr3.45959 gene_biotype:protein_coding transcript_biotype:protein_coding MIFALIMISQKAKAKSKVKKPAEDKGKSPAKTSRNMYNAALKDPERGLQGIVNLEGNLPLLQFWFWEKFRLDRLDASIDYTGREAPLLQYWDEKKARKVCAILNEHGRDAGEYVFDLKEAWEPPRPSSPLPSFDDLPPTDSKLVLKLHESILNMRRAVMHDNLLTRIKIEEHGDYLYRFEEKLDAFCQQRANDYDDFERGPSTYDYEYREYKHFSPDRTSPMPVRDLNQDFEVMDTIITWMQHMRPIPEIRAGRTVYMERASRVDLLVRDGRSDAYNRDVRNKNHGTNMGKVYISHDMICLPVNYENIHWYVVNVNPEERLIQVLDSMRKQTKNFKKAHPELQNMLKGMEAHLDVTSRHDCEKSDSWPDYAVNSWPVQVVKNVPQQKDGISCGLYALKNMANWIGHELSQNFTQVSQGYLNRQAYERIQLAAANAALVDDKNKKILRDLQLLNKRNKDLQNGRNWSTAILPEALVSIDTGQTLLKPQRTFCSEESVVVVQRTCSFVPAVAATAGDTASASAQYLTGWAAALRNLHVCGSSWPEIDQLRHGGRRQRWPEP >cds.KYUSt_contig_990.524 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000093.1:2656312:2656862:1 gene:KYUSg_contig_990.524 transcript:KYUSt_contig_990.524 gene_biotype:protein_coding transcript_biotype:protein_coding MWWCGAQKVLWLSRAQATKRETQPRTPGIGPGNSGRPWLRRHVICLSGTPRGGEDDIGLPASEDGQPHLQSSTQIESIAGFPQVDTTDPTPWLSPTIADGTTLATRSTADAVRLARPLLFFWVERKIPSIREDFRRNR >cds.KYUSt_chr4.12127 pep primary_assembly:MPB_Lper_Kyuss_1697:4:73791503:73797290:-1 gene:KYUSg_chr4.12127 transcript:KYUSt_chr4.12127 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHAASTGSSDDSVHSTFASRYVRTSLPRYRMPDNSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECNKLMMDSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGETETAVGVGTVGSSEAIMLAGLAFKRRWQNKMRAAGKPCDKPNIVTGANVQVCWEKFARYFEVELMEVKLTEGYYVMDPQKAVDMVDENTICVAAILGSTLNGEFEDVKTLNDLLVKKNEETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWAIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKNIMDNCQENAMVLKEGLERTGRFNIVSKDNGVPLVAFSLKDNSKHNEFEISEYLRRFGWIVPAYTMPPDAQHITVLRVVIREDFSRTFAERLVIDIEKVLHELDALPSRVAPSLLPNGDATAPNDKDMERQREVITLWRRAVAEKKKTSGVC >cds.KYUSt_chr2.1947 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11680890:11683126:1 gene:KYUSg_chr2.1947 transcript:KYUSt_chr2.1947 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEWGKKAIVMKYLFKYVTKGSDFSKLYLERIGSKGVPVGSDGQDNHTVIDCGHLDAPASTDMPTSAVGSVMLLLPSATKPPGPSDGKCDIDSQVKRALFTDSLPEHGVGAVQELAPRVEPEESRDQADGLGKKKP >cds.KYUSt_chr2.50180 pep primary_assembly:MPB_Lper_Kyuss_1697:2:313815213:313815697:-1 gene:KYUSg_chr2.50180 transcript:KYUSt_chr2.50180 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYGDLEAGCLSHSVSPVKPASSPRKPGRLFCDPCDDADELLGHHHYLDICFSCRKLLAGNRDIFMYRGDMPFCSEECRQEQIEIDEASEKRSKQTGRAEEQQRQRQQQKQSPQRIPVWAW >cds.KYUSt_scaffold_2697.633 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4047353:4049818:-1 gene:KYUSg_scaffold_2697.633 transcript:KYUSt_scaffold_2697.633 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQPLPTSPSALLAHLAGALSTPNWFLHPSLPHLPALLAPSLPHMLDVPLPVRLAAAAVRAAAPSRHLLALSLPILFRLHSLSPPPLRPLFSRPFASLLTHLSRFALNPLILRLFAHMHLHSPPAPTGATYNALIRSLCRRADLARALRYLSLMVRSGWHPDAYTFNSLILGYCRAHRATAARDLFDKMPLRGFRHDVVSYAAMTEGLCDMGRIDDALELFGKMEQPDVHTHAVLVKGLCEAGQGEEGLRMLQRTKQFGWRPDSRAYAAVVDFLCREQRVVEAEAMLEEMSDRRLVPSVVTCTAVVNAYCKKGRMSDAVRVFDKMKLGWCKPNVWTYNALVWGFCKDGKVHSAMALLNRMRAHGVEPDIVTYNLLIRAQCVDGHIESAFRLLRLMEGDGLAADEYTYNALVDALCKDGRIDQACSLFDSLEDKGIKPNLVTFNSLIYGLCKVDQVDAAWSLLEKMVSAGFTPDTYTYSPFIENLCKMKGSKEGLSFIDKMLKESVKPTTVNYTIVIDKLFKERNYGLAKKIWGQMVSLGCDPDAVTYTTSMRAYCNQGRLVEAENVLSQMNKSAVTVDTIAYNTLMEGHASIGHTDSAVSILKHMENVASVPNHFTYTILLRHLLQRRLAEGVVLNAASVWKTTELTDIFELFEIMKKNNIVPSTNTYSAILERFSEDGRSKEVTSLVSRMKDDNISLNEDIYTAVIICLCKSKLYSYAWVLLRSMIGHGFAPQLMCYQHLLCGLISEGQANMAKVIFGNSRWEDYNPDEIVWKVIIDGLIRKGHPASCHDMISRLEQMNCRPSNQTYAMLAEELSTRQ >cds.KYUSt_chr5.13288 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86717198:86720006:-1 gene:KYUSg_chr5.13288 transcript:KYUSt_chr5.13288 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGTVNKHATFASTAESTVALPEQKAVCTLQSTQPNKESIDSQRTTPPSTAMLRRLLGSPAAVSGHLHRSLSTHCVSTNLRRSLSTAASSSSHPPWAIIDYNTLVDDSPSSWAPGACFDPLQPPRVSRISAPPHLLRPRERPGPGTNLVQLLTGHVTAASADGHLLLTYYDIIAEGPRASWNQTADMEVERFICNPISGQLLRLPYVSGSRKRICYHRMGLLTQPGPGGARFAVADIVSQRERVIDRFLPELGRWDMVVGLPCQPTPEREMDMNQETVAFGGRLWWVDLTCGTISLDPFSYRPELRFVHLPTGSTLTSHIHTKSESAEERDEVAKYRRVGVSEGRLRYVQTSLHEPFVLSSYVLDEEASSWALEHQVELKQVLADGGHECKNRPPQIAVLDPMNAHVVYITLGEQEHVVAVNIYQDKVIGSAPLQNRYHYLLPCVLPPSLGSSQFPETEGTLRKQPICYTVAAIKFLYLDRENTFSGKKGDMEAIDVLVSCGRHYKG >cds.KYUSt_chr3.28767 pep primary_assembly:MPB_Lper_Kyuss_1697:3:179521765:179523071:1 gene:KYUSg_chr3.28767 transcript:KYUSt_chr3.28767 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVRRGLWSPEEDEKLVKYISTHGHGCWSSVPRQAGLQRCGKSCRLRWINYLRPDLKRGSFSQEEEALIVELHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHADLYYSILDGAAGQGMAAPPGCASLSALDHIHNAAQAGAVAQSPPSSAHNSTSWLSFASQPMFLPSHGADLQYAVDGEFIRLCRAAENPYGPENDAGLLAQEVAGAAAGDRSCIPTFVEPKGAVVDPAMGPVADFMDAILGSSSTSNASASSVDSFSANTGMQLHWIP >cds.KYUSt_chr2.33555 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207247951:207248586:-1 gene:KYUSg_chr2.33555 transcript:KYUSt_chr2.33555 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRVLSVIAATAILFALTLADTTVATKTADYVVQGRVYCDTCRAGFETNVTEYIKGAKVRLECRRYGNNVLERSIDGVTDETGTYKIDLKDSHVEDICEVVLIQSPLANCHEIQNLRDRAPVLLTRNVGISDNLRLANPLGYLKDVPLPVCPDLLKMFNLTAGDDDDDQ >cds.KYUSt_chr4.42338 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261992173:261994714:1 gene:KYUSg_chr4.42338 transcript:KYUSt_chr4.42338 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTLLDYGAGNVRSVRNAIRHLGFNIRDVRSPEDILSADRLVFPGVGAFGSAMDVLTRTGMADALRDYIRRDRPFLGICLGLQLLFDSSEENGPVSGLGVIPGVVRRFDSSEGLIVPHIGWNALQINKDTQLLQGVDGHHVYFVHSYYAPPSDANRDWISSTCNYGQSFISSISMGNIQAVQFHPEKSGATGLSIFEKFLSPNSSGAKVPSHKKASKLAKRVIACLDVRSNDNGDLVVTKGDQYDVRDHSSSKEVRNLGKPVELASQYYIDGADEVSFLNITGFRAFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDASGRYYSSLEVASEYFRSGADKISIGSDAVFAAEAYLQTGVCKDREKQLGADF >cds.KYUSt_chr6.33024 pep primary_assembly:MPB_Lper_Kyuss_1697:6:207984856:207988608:-1 gene:KYUSg_chr6.33024 transcript:KYUSt_chr6.33024 gene_biotype:protein_coding transcript_biotype:protein_coding MACPCPRPLFLSFPKSPAPPLAKHHPISTASVSLPSRARAVSASSNAPSQPPPPPSPPTDGVGPAAPTRGELHLGRQLAAAAAAGARVRAPEEDAEKRRRRKEKRKALAKKSPSGVSCCYGCGAPLHTGEEGSPGYVDPATYDLKKRHNQLRTVLCGRCKLLSHGHMVTAVGGHGGYPGGKQFVTAEQLREKLAHLRHEKALIVKLVDIVDFNGSFLARVRDFAGANPIVLVITKVDLLPRDTDLNCIGDWVVESVVRKKLNVLSVHLTSSKSLVGITGVISEIQQEKKARDVYILGSANVGKSAFISAMLKTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLKGRCFPANDTDVELSGNTLFWGGLVRIDVVKALTRTRLTFYGPKKLNINMVPTTGADDFYKREVGVTLTPPTGQERAEGWCGLEGVRELKIKYEELDRPASDIAISGLGWITVEPLGVPSSDPDGSIEEENSGIGELHLIVHVPKPVEVFVRSPLPVGKAASQWYRYQELTEAEEELRPKWHY >cds.KYUSt_contig_257.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000032.1:164529:167604:-1 gene:KYUSg_contig_257.6 transcript:KYUSt_contig_257.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVGFKDGDELYYTIPECSLDEGIDLLHDDNSVLKMLNFAKKNNLAEIYVKHKGHESNLVNQRSGTGNKEKLPEENTKSKRSKLTSKKRDKRTWTAEEEKLLIDILHQMNDSSWKVDTGHKSGYLTYIEKEMAKVLPHADLKADPHIKSKVKILKKQLSYVLEIMQNGSGFGWDDEMKMVVGDRDTYMGWAKSREGAGPLYMKPMVNFDKLCEVYASDLAQGGSAKGPGEQEVAEDESPVHAEPTSEPNKKNVAQAQDNTNPSGSSRQGRKRTYPDDEAAELGLVSVSNTLAKFLEAEQENAKTMSGLQKALFHEAEVHDQTSANRTKLLDVLQNLEGLTDEEVVMAVRVIGRDAGQTEKKICGCCSVRWQKKKKSI >cds.KYUSt_chr6.33117 pep primary_assembly:MPB_Lper_Kyuss_1697:6:208463445:208465796:1 gene:KYUSg_chr6.33117 transcript:KYUSt_chr6.33117 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSDDEQDVPSADSSGGRKPLGNGEKLVRLVAYSNKKAPLEVNRQQVMLELTDASSTGYRSGLDLVAVLDVSGSMAGKKLHDTKVAMQFIIKKLSPIDRLSIVSFSTTAKRVCRLHFMTEDRQVELERLVNELEDDSLTNISDGLLTGRQVLDGRRLSGGRVASIILMSDGEHNVGSVLPENVDVGNVAVYTFGFGDDHKPRVLDMVAKNSRGGTYNYVKDGVALSGPFSQILGGLLSVVVRDLKLTVRQQPGDSKIEKVDPGSYPQTQDAATGSVTVSFGDLYSREVRKVMVDLLLPAVDREYVATVIIAKCSYSVQGKPFLTPPLRCSIRRTRTAAAGPNAIKQPTEVKTELARRDHADLLGEVSTMDPAGARDKLEGERKALDNLDESNPMVGILKTESEQLRKLTEPPRLYETQGRPYARSSKTSHDLQRMASRGDVQDVRPFATPLMDKYLEQAKKFQEDPNMPLPSLDDDVRDEEEPPPMPLPLPPEDEERVTETLVANQPRVPRTWWGEYSPQHRTTSRRAWVMVILCTVLAILVVVTIAAVLSVYLIYKPNTPYLEVSDAQLGQFQGGQYLQVSITILANNLKSKADATFSSFELAMGFHGAEVALLRSEPFVVPRQSSLPLHFNVVALDPAGMRDMDESLDAGLVPLDLSGKTRTRWRVGIFQKRQFWTRISCRLRFFFPGNGTVMPTDRDRCRSRLT >cds.KYUSt_chr4.40817 pep primary_assembly:MPB_Lper_Kyuss_1697:4:252133691:252137366:1 gene:KYUSg_chr4.40817 transcript:KYUSt_chr4.40817 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVTFSSLSNLFMRSALTLVPGFQPTDSVGVKLEMWLITSSLFTKRMLTLPLTKAQCITLKSPSLYFSFFRVYVLQVLHMDRNDYYGGESTSLNLTKIWKRFKGSETTPDHLGVSKEYNVDMVPKFMMANGALVRVLIRTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEDDPKSHEGLDLHKVTTKQVISKYGLEDDTVDFIGHALALHRDDNYLDEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDESGKAFGVTSEGETAKCKKVVCDPSYLPDKVKKVGRVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYEPANTPEEDNCFVTNSYDATTHFETTVKDVLALYSQITGKELDLSVDLNAASAGEPDAA >cds.KYUSt_chr7.23812 pep primary_assembly:MPB_Lper_Kyuss_1697:7:148277719:148280972:1 gene:KYUSg_chr7.23812 transcript:KYUSt_chr7.23812 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMKLSTLGYAASMLSTGLFDEQFQKLLLLQNKSDPNFVTETITMFCEDGEQTIGELTKQLGKQCVNFDEVAAFVHKLEGCSARCLKTLDTLRVVFDEVSGKFKDMLELEQQQAGATK >cds.KYUSt_chr6.20479 pep primary_assembly:MPB_Lper_Kyuss_1697:6:129132158:129133855:-1 gene:KYUSg_chr6.20479 transcript:KYUSt_chr6.20479 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIIPTSGNGEEATTKLGRLNAAVERSWVGRRFHLAARGTTFTTELRAGTATFLTMAYILAVNASILSDSGGTCTVDDCQAPSPRCKFPPVDPGYAACVSRVRRDLIVATAASSVIGSFIMGAFANLPLALAPGMGTNAYFAYTVVGFHGSGTLPYRTALAAVFLEGLIFLFISIVGLRSKLAQFIPKPVRISSSAGIGLFLAFIGLQSNQGLGLVGFSSSTLVTLGACPASERASVAPVITFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLAVVGFLIIAFCLIKNVKGAMIYGILFVTFISWPRNTGVTVFPDTPAGDESFSYFKKVFDVHRIQSTAGALDFSGAGKGFFWEALVTFLYVDILDTTGTLYSMARFAGFMDDATGEFEGQYFAFMSDATAIVFGSLLGTSPVTAFIESSTGIREGGRTGLTALTAAVYFTAALFVTPLLASIPPWAVGPPLVLVGVMMMRSVAEVDWVDMRQAVPAFLTLALMPLTYSIAYGLIGGIGSYMLLHSWDWACDAATKLGYRRKVGAGAVTEMSSSGSAGGSNREHVNDGGHA >cds.KYUSt_chr6.3045 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17838940:17839660:-1 gene:KYUSg_chr6.3045 transcript:KYUSt_chr6.3045 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAWYVLEKTANVAQLLALLAAVFTSLLRVQRSNRRECARLERCHRRLHSQLQGPATSCVELLLPCFETGDPFGEVLVDAAGLVDSYKKSTLWRRLWSGSGMATQFRDMQYVIDSYCGLLLFVNAHLLFQQQAVHQHHPIPASSDDTSNIPTVHEAIDTSQSPSCPAVTEARGTSHGANGTDQEGMVDVKETCLLICCR >cds.KYUSt_chr4.51763 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321265603:321265917:1 gene:KYUSg_chr4.51763 transcript:KYUSt_chr4.51763 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAEEETSTVLARLQASLDILHGVVAGVDSTQQQMRVQQELQAAAFADGAIKHADTALILRAIMDKLQIGDGGGSERRSPEEEPDPGGQGTRGHSTLEKTTSH >cds.KYUSt_chr5.14260 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92533178:92537424:-1 gene:KYUSg_chr5.14260 transcript:KYUSt_chr5.14260 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEPAKRRVMRRPLEKGGVAGLAAAAVALVLLVCAASLRCSVAVDYALAAPRKLWSGGVSIAAEASTSERPARGGDVPPAAAAAVPVATGEEEECDLFDGKWVWDDAYPLYDSRDCPFLDPGFRCSENGRPDASYSKWRWQPSRCDLARFDAKIMLEKLRNRRVVFVGDSIGRNQWESLLCLLSSAVPDKSSIYEINGSPITKHMGFLIFKFRDYNCTVEYYRSPFIVLQGRAPAGAPKVVKYTIKVDAMDWMSGRGKWRDADILIFNTGHWWNYEKTIRGGAYFQEGNKVKMEMTVHDAYERSIQTLYNWLHKEVNTSKTHVMFRTYAPVHFRGGDWKTGGSCHLETLPDATPFKSLEEWADQLQPVHNILGSSIRPKLPGFAILNVTQMTAQRKDGHLSVYISPSGPVPRNKQDCSHWCLPGVPDNWNELLYAVFMKRQTMIDQNVSLAGSRTLNTG >cds.KYUSt_chr4.38412 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236935579:236936799:1 gene:KYUSg_chr4.38412 transcript:KYUSt_chr4.38412 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTNPPPGRRVIPLADLPGELLLDILLRLPPKSVLLCRAVCKAWRRITTDRAFLLAHHGRQPSQRLLSFVRDVGSNGGCGIVDLNLLDYCVEAVDFRTREFRSVVRFTGNDYSRFDEDDCPFTVHAACDGLLLMSYYDSLYICNPATREWASVRPPALRTHKIAGLYVHGPSGEYRVLSYREDRRTQTTKFFINTVGSKNMRRIKFGSYSESMRNLLAGGSRSTQFDRPFLSHGKLHWLPQSTPPKNILVFDTVAEEFLWLPTPVETWPVVSLLEVDGTLAMSESPLGTSKVDLWVLQDYKSAAWVHKYQIELPAAEIYLIAKHERWTSQVVSADGDVLVDCTDWYTTGWQLHYDRKGNLLRKFQCNPSLSLTTHILKESLLPHPFFRMQQDGSARGPPFFRGL >cds.KYUSt_scaffold_1259.555 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:3740868:3742049:1 gene:KYUSg_scaffold_1259.555 transcript:KYUSt_scaffold_1259.555 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSDDISTQPNPAEKLTRDSLVQIMSRVPYKSLCRFKCVCRRWRSIISHPDHRKALAQYHLQALAGFFCRTHDHNFRLVHNFATVSVGGRPIINPSRPFLPNCGMFNIADSCNGLLLCRCFATTDSLAFTYVVCNPATEKWVVLPGWFKKIPTARLGFDPAVSSHFHVFQFVEHGVPNSDGLMDAPDVRGDGHVQGVGIYSSKTGVWSHKDNGLELVPRIVSDSKSVFVNGFLHLLAMEFLVLAVDVEGTTWRVIPLPHDDYEDALFINTNAGFIDLSQGCLYFANSDDYEECKLSIWVLEDYNSEVWVLKHNVRYWNLFGVNVYLGQYYHIVAIHPERSTIFLVYGHGKVLMSYEMDSGKVQFIHDLGHVTDGPYIPYVPLYSESLADGY >cds.KYUSt_chr4.45572 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281999942:282000328:1 gene:KYUSg_chr4.45572 transcript:KYUSt_chr4.45572 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPSVMRQHNILLLTIAIVICTTATPTTAREAVSDVATGGFKQIPDINTQEIQEIGRWAVAEHTRQASDGLQFKRVVSGMQQVVSGMNFKLRIEAVNGDGKEGMYRAEVYDQPWTKTRELDYFVPTN >cds.KYUSt_chr3.27222 pep primary_assembly:MPB_Lper_Kyuss_1697:3:169955958:169958172:1 gene:KYUSg_chr3.27222 transcript:KYUSt_chr3.27222 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYATHADEYVRPPPRPLVLTENKTPPGHPQQVHISTVGANKMRVSWVTDERSRAASVVEYGTSPKKYTASAKGSHTTYRYGVYQSGAIHHVTIGPLKPGETYYYRCGKAGDEFSLRTPPANLPIEFAVVGDLGQTDWTRSTLSHIGGKDYDMLLLPGDLSYADRVQQRWDSFGRLVQPVASARPWMVTEGNHEMEPRQHDYDDAFVAYNNRWRMPHEESGSQSQSNLYYSFDAAGGAAHVVMLGSYAGFEEGSEQHAWLKKDLAGVDRRRTPWLLALLHAPWYNTNEAHQDEGESMRAAMERLLYEARVDVVFAGHVHAYERFTRIYDNKANSRGPMYITIGDGGNREGLASNFIEDHKSAHLSVFREASFGHGRLRIVNETSAVWRWHRNDDQYATVADEVWLESLASSTSKPAPQAAACRSFRP >cds.KYUSt_chr1.2101 pep primary_assembly:MPB_Lper_Kyuss_1697:1:12126795:12128479:-1 gene:KYUSg_chr1.2101 transcript:KYUSt_chr1.2101 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPLGFGDGMDATLFSSLWAFQDEVQQPQESVEELKQSLLAATTELEASKEELRRKEQTIAKLADLVRQVAKERDDARDQAQQLALLAKQAPAPAHATPQPPAMVTSSVTDSDCSLVSSPVDPFFDPVTSSDRRRCGGNGKLSPPPPAKQQQFGADAVLDMLAGKRPLPPRGRFLQSVMEAGPLLQNLLVAGPLPRWRNPPPVHALDAVPVGGVRGGVYVGATPLLHAANAATALGYGPNANACMKRPMPMAAANCSPGFVPKRHRLH >cds.KYUSt_chr6.997 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6338156:6339307:-1 gene:KYUSg_chr6.997 transcript:KYUSt_chr6.997 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPSGRRITVRSIACRGVKAFVPFQKPPLYAAVSLAGRREKTPPDPDGGENPDWEGAVFGFDLDGDGELLQFEVKAQVPLLGNKLVGTICVPLSHLCSGGGDGTAPRRVSYQVLAPDGRPNGSLSFVCAVTGGAPHSYQQPQVYAARPEQDASPCCAPPPPPPSLAYPPPATPYFEQQGSSYPPPPVSTSLYPPLQDMLPPSNYPLPPPRMIDSLFPVPNSGPNGSYPVPPTQTTAYPPPPASCEGYSVLPTQHIGNYPPRYPPTPASSCYPPQPQMNHEFPAPINCYPPPPPPPQESGSVFSEFPRSMSSPAPRSMDRESPYMASSFQDSGIVTYPESHGPRVPSGGSQYPPSSGIRHQEDGASSPYYYTHPGPSYSHG >cds.KYUSt_scaffold_1854.238 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:1301249:1308394:1 gene:KYUSg_scaffold_1854.238 transcript:KYUSt_scaffold_1854.238 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDVRMDKRVGMQGRGEGSDWLGSYTGPHTKKVWTRLMTRLATRISSLMGKELLRREVDAIRRLLAPLLGSTFLSARVRAPVVPEEAMKISALLTSAGINVGLCVLFLSLYSVLRKQPANIRVYFGRRISEDHSRLRGAFILERFVPSAGWIVKALQCAEEDLLAAAGLDAVAFNRMLVFSIRIFSLAALLCVLGILPLNYFGQNIQHHRVPSEQLDIFTIGNVEVQSRWLWVHCLVLYIISGVACILLYLEYRHIARLRLLHLKRATPNPGQFTVLVRGIPKKTKESCSSDVDDFFTKYHASSYLFHQVVYKVGKVQKIMTGAKKACRKLKQFTDTTVDQSCKAGTYRCCLCGASSNSFQLLPTDDVVQSSGKTDLKDSTLITDNEATFFVTYVLTSGWASLSSELMQLFGLIWNFIRKYILRMKEDTEFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQLLNVYRTRYDTGGLYWPIAHNTVIFSLVLTQIICLGVFGLKESPVAAGFTIPLIILTLLFNQYCRKRLLPLFKTFPAQDLIDMDREDERSGRMEHIHGRLHTAYCQFPDTEDIQLEKIQIDGNGGEKNCSPGESKGKETREEQQPRRDELSHPTLKGLPVSRLQNAVRSITFLIRLQKRGLSR >cds.KYUSt_chr7.4417 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26660447:26665480:-1 gene:KYUSg_chr7.4417 transcript:KYUSt_chr7.4417 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVVKRIENKVNRQVTFSKRRGGLLKKAHELAVLCDAHVGVIVFSARGKLFEYCSPLTRHVPSVYSPHSTWSELIKRYEAISNAQHQETNHDDDQQMSVEIARLRRECDQLKANIRRQTGEDLASANTDELDNLQKQLESTLGKVRDRKDELLNQQLDESRRKVHILEDHNRRLRQMINEGGHHRSSVEAPLVAAVDMASPATASGAWRRLRIGAKVEDDPKVELLYYRDIKAATVGIGALSLQLRTTTFLAEEEAWKGMEAELDGRLKTCV >cds.KYUSt_chr3.29404 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184079768:184082975:1 gene:KYUSg_chr3.29404 transcript:KYUSt_chr3.29404 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAALAAAAVVAAAATIAVRQRLREAKRWARAAAVLWDLQERCAAPAARLQQVSAAMEVEMRAGLASEDGSKLKMLVTYVDSLPSGDEKGLFYALDLGGTNFRVLRVQLGGKERRIIKQESVGVSIPQHLMSRSSHELFDFIAAALAKFVATEGEDCHVPEGTERELGFTFSFPVKQTSISSGTLIKWTKSFSIDEMVGKDIVFELNEAIKRQGLVMKVLTLVNDTVGTLAAGRYVDNDTMVAIILGTGTNAAYIEHAHAIPKWHAPTLKSGDMVINMEWGNFKSAHLPLTEYDQALDAESLNPGEQIYEKLTSGMYMGEIVRRILLRMAQEAALFGDNIPPKLEIPYILKTFHMLIMHHDTSPDLKTVGVKLNEILEIKSSSRKTRKLVVDVCEVVARRGARLAAAGIHGILKKLGRVTDSPDKRRTVIAVDGGVYKYYTFFGQCMESTLTEMLGEEVASSVVIKPVDDGSGIGAALLAASYSQHLQLIL >cds.KYUSt_chr2.53994 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337041473:337043206:-1 gene:KYUSg_chr2.53994 transcript:KYUSt_chr2.53994 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPLGAVVGSPDALHVQCSEVNPLPSTMASNEVGLAGEGMLTACKPCAQRPAALLLPRPSLARAFPCTPAAIAEVGQRKLGAASRLVVRRRCQEEKQQSGGGGGKPEKRTFLSLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGMPIEDLNAGRVCDWFLKDKLKQEQNIGSAVLQWDDPAV >cds.KYUSt_chr3.34275 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214963592:214965805:1 gene:KYUSg_chr3.34275 transcript:KYUSt_chr3.34275 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTAAAAPMTIDFLRARLLSERSVSRASKERADQLAARVAELEEQVRAVTEQRRQAEREAAEVLAILESKGFCGGLSDAGSDNDDGTSEEARPRDAETTGGDAARSNAEEEQGQEPAAAKSEADDDATSRRVAGGLSWKGRSVSPRKARQLRQRHRRSYAYLLAAEDPSPKYRMGQSCRKNKRKELSSGTSDAVLTGSRKGQRDGSAAYTGDGRAELDGEVGVDERSSADGGGQYVIRYEKGGEMQRVLEKQAELIGQYEAEEKAQTDWEKKFNDNRSSSKGDVVQEKSSGKTLSIAGNASEGHAGQRREAGEEHGRGRVQNAAISDVNSDGCPSHNNTNSATSGHKSSPSSDTLASKVSDWSSSRFHDHADDQLDTAQCQQSSSTKEIDVESVLQALQRARISLQQKLGRPLPPSQVTLALPAPGDEYRAEEDLYHDDGSDSSPSRQEMLALPAPEDYHSCNDHDHGSLVDGADSSSLTEKRSSPSTPRQEILALPAPGDDEREDDVDVKIPVCSPGLFRLPTDSFLEEDEMMPRSNGCDFELGLRHHAYRDDGDAGVSAKRFYDPHASGRCSVLPSSGFTIGAASLLSGIPELPKDLRRGRSLGDADLFMQRACDYTITNKWML >cds.KYUSt_chr1.37582 pep primary_assembly:MPB_Lper_Kyuss_1697:1:229446279:229453815:-1 gene:KYUSg_chr1.37582 transcript:KYUSt_chr1.37582 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGVVLISGVTRWWLGEISPRSGSALLYVEDEVGEGKWYLKRDQTSIGQRSFLLGNCPSIYKGTNHPPQGNLAEGMTLLLGPPSSGKSTLMRALAGKLDKTLKVSGNITYCGHTLSQFYPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGVGARYDMLVELARRERAAGIKPDPEIDAYMKATAVQGKQTNIITDLTLKVLGLDICADTMIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYVKHLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESSGFRCPERKGIADFLQEVTSKKDQQQYWYLENEQYRSVSVPEFAERFKSFHVGEQMLKEVQIPFEKSKTHPAALTTKKYGLSIKESLKAVMSREVLLMNRNSFIYIFKVTQLIILGLMAMTVFLRTKMPSGQISDGTKFFGALTFSLITILFNGLAELQLTIKMLPTFYKQRDFLFFPPWTFALANLLLKIPISFIEAGVWVALTYYVMGFAPAAGRFFRQLLAFFATHQMAMALFRFLAAIFKSMVMANNFGMFVILLIFIFGGFLIPRGDIRPWWIWAYWSSPMMYSQNAISVNEFLASRWASPNNDISIDEPTIGKAILKSKGLFTSDSGFWLSIGAMIGFTILFNIFYILALTYLSPSGSSNTLVSDEESDSEKNKEQMSEVNASSTTTSSIPMVSVGTDETTNRPTHSGIVLPFQPLSLSFNHINYYVDMPAEMKEQGFAESRLQLLTDISGAFRPGVLTALVGMSGAGKTTLMDVLAGRKTSGSIEGSITLSGYPKNQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVNDKTRQMFVEEVMTLVELDVLRNAMVGLPGVDGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVRNTVNTGRTVVCTIHQPSIDIFESFDDLLLLKRGGRVIYAGELGRHSHKLVEYFEAIPGVAKITKGYNPATWMLEVSSPLAEARLEINFAEIYANSPLYKENQELIKELSVPLPGYEDLSFSTKYSQNFYNQCVANFWKQYKSYWKNPPYNAMRYLMTVLNGLVFGTVFWQKGTKLESQQDLFNLLGATYAAVFFLGASNCITVQPVVAIERTVFYREKAAGMYSPLSYALAQTSVEIIYNILQGCLYTILIYSMIGYEWKADKFFYFLFFIIASFNYFTLFGMMLVALTPSAMIANILISFVLPLWNLFAGFLIVRPAIPIWWRWYYWANPVSWTIYGVVASQFGENNNSLSIPGGSPTVVKQFLEDILGIKHDFLGYVVLAHFAYAIGFYFIFGYSIKVLNFQKR >cds.KYUSt_chr7.20280 pep primary_assembly:MPB_Lper_Kyuss_1697:7:125767304:125767642:1 gene:KYUSg_chr7.20280 transcript:KYUSt_chr7.20280 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDAGGDAGGAAASAPDAPETQEQKRTAAEAYDYEGDARWADYWSNVLVPPHLAAKPEVVAHFRGKFYHRFVVSRQTLHFPPRPPAAAAAQLLTRIDRPPPHPLPRIRWR >cds.KYUSt_chr5.14224 pep primary_assembly:MPB_Lper_Kyuss_1697:5:92238405:92240512:-1 gene:KYUSg_chr5.14224 transcript:KYUSt_chr5.14224 gene_biotype:protein_coding transcript_biotype:protein_coding MQATMATRLFCCCLSALALLSFIMPSTLAEERFYEFVVQETVVKRLCQTNKIITVNGQFPGPTIEVNSGDTLVIRAVNMAQYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGSSYTYRYTTEGQEGTLWWHAHSSWLRATVHGALIIHPKKGLPYPFPKPAKEFPVLLAEWWRRDPISVIRQSMITGAPPNISDTILINGQPGDFLPCSSQETSIIPVVAGETNLLRIINAAMNSELFVSLAGHKMTVVAADAQYTKPFATNVVLVGPGQTTDVLVTANAAPGRYYLAARVYASAQGVPFDNTTATAIFQYKNAPGSAETSTGAANSPQGRPRSSGHPGRTGPGPMLPYMPANNDTNAATMFSNSIRSPGPVKVPGPVTQEVFTTIGFGLFNCRPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHHIPGVFTEDFPASPPVIFDFTSQNVPRALWQPVKGTRLYRVKFGAVVQIVFQDTGIFATEEHPMHIHGYHFYVLATGFGNYNPRRDEAKFNMVDPPSRNTIGVPVGGWAVVRFVADNPGVWLVHCHIDAHLTGGLAMALVVEDGKAELESTVPPPLDLPICGL >cds.KYUSt_chr3.47755 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299272653:299274722:-1 gene:KYUSg_chr3.47755 transcript:KYUSt_chr3.47755 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFYYFSVDGFMVLETSPPGPPRMEVAARRHMVVSTRSTESSHLVDNAGELMMVHRMLVTEDGETGWRYDMYRVDLGTRTLVPVNSFGGGRALFLGRDCSLLVPVGVFPSCSISSDTIYFRFDVKERDSTEAYHLAVRSIEPAILNLDGSVPRPHTLVDSLCLTFPDDQ >cds.KYUSt_chr5.41465 pep primary_assembly:MPB_Lper_Kyuss_1697:5:261616972:261617406:1 gene:KYUSg_chr5.41465 transcript:KYUSt_chr5.41465 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKRLAQLAKKWQRMAAMGRKRITQTMMEKRAAEECHTTTSVSAKEHCALYTADGVRFEVPLAYLGTVVFGELLSMSQEEFGFTGGYDGHITLPCDAKVMEYAMCLLRRDASAEVVMAFLSSIARPCRFDGGVGLSQHVAVC >cds.KYUSt_contig_959.26 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000088.1:179039:179245:-1 gene:KYUSg_contig_959.26 transcript:KYUSt_contig_959.26 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAAVEKKTRAQDSPRVCAVSEPHDAMPSIAAVEKTRALDSLGWASPPPSHVVARSIPWAAGSRAG >cds.KYUSt_chr7.13271 pep primary_assembly:MPB_Lper_Kyuss_1697:7:81790458:81792899:-1 gene:KYUSg_chr7.13271 transcript:KYUSt_chr7.13271 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCFTPLLLVSLIHLLSRISAHEFLLPGTSLSVEDSSDTLHSPNGAFTCGFNNISHNAFVFSIWYTSTAEKTVIWSANHLHPVYSWGSEVMLDTDGRLAVKDYNGQPVWENHVNSSSSAEKAQLLDTGNLIVKGQGDNILWQSFDSPTDTLLPNQNITGTTKLISANRLLVRGNYSFRFDDEHLLTLFHDRKDISFIYWPDPNTNIWAKQRNSFQTTTIGVLDSWGYFLGSDNLTFNATDWGVGVMRRLRLDYDGNLRLYSLNKPDGRWSVTWMAFIRTCSVRGLCGMNGICLYTPRPSCACAPGHEIIDQSDRSKGCRPKFNLSCDEQEIKFVKLPSTDFRGYDQSKYPVVSLRACKKICWKDCNCKGFFYLNGDGSCYPKSFLGGGVTSPQLAGSIYLKLPKALQVLRSSIPHSQPFGPKYVPKCDEKNKIFTVDFLDEPKSSQSGPQYLYFYGFLSVIFCVEVIFVALGYWFILRREGKQLTGVWPAEVGYEMITNHFRRYTYTELQKATRQFKDQIGRGASGFVYKGVLKDKRAVAVKRLADIKQGEEEFQQELSVIGRIYHMNLVRVWGFCTDGPHRILVLEYVENGSLDKTLFSSKGSHILLEWNKRFKIALGVAKGLSYLHHECLEWVIHCDLKPENILLDEKLEPKITDFGLAKLLNRGGSNKSVSRIHGTRGYLAPEWVSSAPITAKVDVYSFGVVLLELLKGARVSEWASDADEDVEMVLGRVIRMLAENLMLDGGEQLWIADFIDSRLDRQFDKVQARTMVKLAVSCVEEDSRKRPTMENVVQMLLSVDEASGIVQQYSTS >cds.KYUSt_chr2.6782 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42301022:42304394:1 gene:KYUSg_chr2.6782 transcript:KYUSt_chr2.6782 gene_biotype:protein_coding transcript_biotype:protein_coding MYWLPVYAILLFLSWPVLLFASDHRLIPGKPLFPGSVLISQDGVFALGIFSTSSNHSYMGIWYNSIPEFTVVWVSNRAAPITDLSSANLAVTIGSNLVLSDSNGCVLWTTHSSISVVNSSISAEAMLDNSGNFILRSSAAGSSAVLWQSFDHPTNTLLPGMNLRLSHKMHPLQHLVSWKGQQDPSPGEYSYGADPDNFLQRFIWHGSAPHWRSPVWTNYLLRVSYDMDGIKSSMYMPLHHDGDEVYMSFGKLTGSFGVLLRMEIDYSGKVSILAWESNMSVWKALYTENEEHDCSIYGYCGPYGYCNNTEAVPGTCKCLDGFEPRDDKGWIARRFSQGCRRKEVLRCTTHGDGFLTIPGMKVPDKFIHIRSRSLDECKEECRSNCSCVAYAYSSMSNMDIDGDATRCLVWMGDLIDMEKFTQGGENLYVRANRLRGTKRKINTLQILLAVMSSLLMLTCIGFIWICAFKGSGYMSPEYAMKGIVSVKSDVYSFGVLLLEIVSGLKIGTTGLTERSHNLIDYAWSLWKDGNMLNLVDSSIVEGCSLDEALRCIHIGLLSAQNNPNARPLMSWVVSSLDNEAMDLGLKFRYQKSSEPNQNIRYFANSASTGNHFGLVQSRFHRI >cds.KYUSt_chr6.1286 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8016611:8018220:-1 gene:KYUSg_chr6.1286 transcript:KYUSt_chr6.1286 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLATRRRAHEFDLQREKAAKEERAKKLQAKKSKMKIDGSDKKKKGSSFKVGKKKVKTKLSALTKAKAAQAMEVDK >cds.KYUSt_chr2.14409 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91163066:91165023:1 gene:KYUSg_chr2.14409 transcript:KYUSt_chr2.14409 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVSRAIGAADLHPLHPASSESAAAARANGDEKLRFIEEMTSNVDAVQQRVLAEILARNAATEYLANCRLDAATADRDAFRAKVPVVSYDALKPYIQRIVDGDSSPILSSHPVSEFLTSSGTSAGERKLMPTVEDELERRQSLYSLLMPVMNLYVSGLEAKGLYFLFVKSETRTPGGLPARPVLTSYYKSDLFRNRTHDPYHNYTSPTAAILCADAFQSMYAQMLCGLCQRHEVLRVGAVFASGLLRAIRFLQLNWEQLADDIEQGALTPRVTDPSVREAVAAILRRPDPELAAFIRDECCNGDWAGIITRVWPNTKYLDVIVTGAMAQYIPTLKHYSGGLPMACTMYASSECYFGLNLRPLCDPAEVAYTIMPNMGYFEFLPVDSAATGASVAEASASDLVELARVEAGREYELVITTYAGLNRYRVGDVLRVTGFHNAAPQFQFVRRKNVLLSIESDKTDEAELQRAVERAAELLRPHGASVVEYTSQACTRSIPGHYVIYWELLATGGGGGAKLAVDKETLDSCCLEMEEALNTVYRQSRVADGSIGPLEIRVVRPGTFEELMDYAISRGASINQYKAPRCVTFPPIIELLDSRVVSSHFSPALPHWTPTRRSD >cds.KYUSt_chr5.17585 pep primary_assembly:MPB_Lper_Kyuss_1697:5:113463748:113464770:-1 gene:KYUSg_chr5.17585 transcript:KYUSt_chr5.17585 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHHRKRVLFVVDYETDGYLVFKVNLKDLFSDDHTVPPPPPTEMEVLPLPGTPVASFQEPEVVRGNIAFAVSGTTIVGLGMKRTFTYDTDSRAAQSGPTTGGFKCGALLLPVGADIYALSLYPHLGSAARARPRFEAMSPREPTVAWRALPEPPPEVQRVNPDLIGVKPVCSVTACFTMGRRLWLSLRGLGTYSLEQRTWRKEGDWEVPVEGCAAVYVPELGRLLGFCPDRRCLCACDMDARPPVVTEAWEETWPWECFDKGYGISPTGSLVYLGNGSLCITRLVDIKAGRTTTTSALCVQAVQLHTSNQGLQMVKRRIRCYTLPKYARRGYALQPTP >cds.KYUSt_chr1.20133 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118659299:118662295:1 gene:KYUSg_chr1.20133 transcript:KYUSt_chr1.20133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source: Projected from Oryza sativa (Os10g0195600)] MATFTARRSEPEVLSPARATPREIKALSDLDDQRTLRYYETVVGFFRSNSSRPDNPARAIRAALMEALVYYYPIAGRLREDGAGRLVVDCTAEGVVFVEAYVDARLEEFGEPLLPPYPCVEELLCDVGDTRAVLGKPLLFMQVTRLKCGGFVLGFHICHNLADGFGMAQFIKAIADIARGEAEPTILPVWDRDLLTARSIAPIARSYPVYESPVNDLGSTARDMMLSTPPRSMVARYFLFGPREVSTLRDRIPAGHPARSATIFELVTAVMWRCRTVALGYEAGQRVRLMVTMNARGRWNNHTPIPWGYYGNAHVSPIAEATVGELCRQPLAETVELVRDTKRRMTKERMQSMVQTVASLREWPPSDMDKVYEVSDVRWMAVNVLKFGWAELAGGGIPLAGDLTSKLGSDHVWCMNENGEVSTVVSMLLPRLAMERFKEEIALWLSGKDEEKNLTLMSSL >cds.KYUSt_chr7.25807 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161043950:161045698:-1 gene:KYUSg_chr7.25807 transcript:KYUSt_chr7.25807 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCWSCGEGPVVSDPDSGALVCTSCGRVHDAGAGEFVHNQTFTIDGQLDFSSSKQVYSSENPYLDRKLYLATDVITSTVAPFSLSPTLLREVLEMAQSATDGALASPGTAFLPALAAACAFLVARSHRLPISLAEAAAAASCTTFALADLASRIASRLSLPPLPSFDYSAALERAVEYSGKLREAAGEKTVDILSQARFLLRCASKWSLTTGRHPQPVVAGVIAFAAELNGVTSMSVEEIALEIPAVPYTSRLRYKELVAALVGVAQKLLPWGADVNARNLLLNAPMLLRLMEMRSQSDPSEQFLESFAPDIAGIVQVYSSVDEDESKYLQSVPLDADDLDFKNSGQESEDLKISEGGMSDTYQNILKRIAQLKELGKVGKVANRRKRWKRGLDLEPWMDSLGNGWAKNIPLEEVPDIDIGYDAPPPSFTAGLELKKQRRARIEAAKCRIDEIRNPPAARTASTIDLPSTLQTEDACPPQKNTTGLPSALRNEDACPPQKNIKKRRKRQDPAMDHVTHRNHLAEISNAPDCGRKRRKGGACNGIDWEDCVIELLLLHGANEAEIEQGHYKRLLDLHVFTS >cds.KYUSt_chr5.39930 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252369496:252376485:1 gene:KYUSg_chr5.39930 transcript:KYUSt_chr5.39930 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEDSSSDWSSSDSYDSDLDEVLNDDETEMMLLMFGMKHMEDRAKLLDQRKGSVMGHMCIPRNRTLGHEQLMQDYFAEVGMLLGFFHLRYRIRSPTAEELLRLQLQVGALKILSSYIYQMGLEGSCLTLSN >cds.KYUSt_chr7.33665 pep primary_assembly:MPB_Lper_Kyuss_1697:7:210024671:210027129:1 gene:KYUSg_chr7.33665 transcript:KYUSt_chr7.33665 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPRRVIEFFASGFAMAAIDLYNTSNQFSSSSSSSSSDQELMRALEPFIKSASSPTTSTSASSPFSYYNSSPSLQFPQESYYFPAAASSSPSYSYTTLQAPFAPAATATTSFSQLPPLPPVSQYSTSSAATYPSSNDVVGLTSLGQEHIHQIQAQLFFQQQRGLSLSASLLGPRAQPMKQAGTPSTAAGTHYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAQDAALAYDKAAFRLRGDAARLNFPNLRRGGAHLAGPLHSSVDAKLDSICNNIAAVPSKPSSTKTAAAPDSPKHSTSTSSTEGDGSVFSAGSTPLPPSSQQPAAPPLPEMANLDFSEAPWDESDAFHHLHKCPSWEIDWDAILS >cds.KYUSt_chr3.34262 pep primary_assembly:MPB_Lper_Kyuss_1697:3:214874035:214889734:1 gene:KYUSg_chr3.34262 transcript:KYUSt_chr3.34262 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAPHERDKGNTGVEVCLFDESPEDFSSAVRAISELAAGDPEPSFPDAEVGRLASSITFLREWRFFSYEPKGVSFTHGTRTTSSRDDTQEITLPQFSSASVPQAQVTHLEDGRNNNTDRFLTSGYLEYSSYDARYPTVLLMLSISSSSLYHSKVAAHGLYLAVAAHPPGSSYHKIGVIPGDLALSCAIPTVKPGESTPKKGRGRPRKIPKCSPAIELKDMSRALVCIEPKKKKGRPRKYPPRISSKHVLGTIPESGSETACLAVSACNSGHIGTEQNNLTTPKRSDNVASVDNCKKQIIVYTRRRVRQPTKKCDSSETFSLACSGDIQKMERSSTSIMPNNHLSAVEKPKQLGSSISKDMANAADLAGCKSAVVNCEVMEMNDSEAPNQIVAVPFGNSAQIIDELEDTEIAPVKESSNNSNIITCEENLHVSAIPNGIHLPRVVLCLAHNGKVAWDIKWKPPLPNQSEQKSSLGFLAILLGNGSLEVWEVPSPSMIQKIYSSSSMDGTDPRFLKLRPVFRCAKVKCGNRQSIPLTVDWSPSPHDMILAGCHDGTVALWKFSTDLSSQDSKPFMCVTAESVPIRALSWAPYVSEENTNTFVTAGEDGLKFWDLRDPYRPLWELSTAPRAVLSLHWLKDARLGLALSLVLLVLLFGGVDLRLAFGPYREEPNTGKEEHNQDMVASHGVSLLSSDRWLLFHASFSTARCTILGILETGGATTRASPSLVLAERRVNVLGSAAMAPPRALARVRDRGPGQGLRGIVISLEDGTLKFLSLSRIANDVPVTGRPFAGTKTQGVSTYQLSEHLIWNVHVSETTGYAAYCGADGTAVRFQLTSRFWEKEPGRNRVPYFLCGSLAEEGTVIKIGDVLQHSPLPNVPLVAKKGPKAQDIQKEKLQTITNSEYVDPELREGQQESKALVLVDPQIQESGGICNGAVNECPQNVEEYTDSFLLKLVAGVLVFSPQAGDMKENPLIEQTPRAIMEAQSARFHNFSATKESHDVKRTGNALYRYSQVAPSDYFAAVGFDSYEDDPSICFG >cds.KYUSt_chr5.38347 pep primary_assembly:MPB_Lper_Kyuss_1697:5:242480221:242481603:1 gene:KYUSg_chr5.38347 transcript:KYUSt_chr5.38347 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHRRRRLEASPVLPGPAHAAPLDDDDLLLEILLRLPPQPTSLLRASVVCKRWRRLVSDPGFLRRFRAHHRKPPLLGFISLEGYGPLYRFTPTLDPPDRIPAARFSLTQRPGECLHLVDCRHGLALFLNKKLPEALVWNPISGEQRRVALPPEFDNTVQGDVRCAAVLCAAGDDDPNHVHGDCDLSSYKLALVRGGQRHTRVFACLYKSKSALWGNLISTTTITDRLHNWGRGSVLIRNTLCWMISEEGDTLQLDSDAQKLVVIEKPVYRCTGDFSSEIIRTAEGSLGLVVFTELSMLLWVYSDEVGRWVPQETIELASHLSPGVPVEDIWPLILGYDEDHNVILLRISIGLFMIQLDSMRFKEIFGTNFITSYFPYTSYYASDSSVASLGIAACDVKS >cds.KYUSt_chr3.36562 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229899673:229903102:-1 gene:KYUSg_chr3.36562 transcript:KYUSt_chr3.36562 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLRSLSRISRAPPTTAFYHHHRAPCSPFSAAAAAAGRGLAGLGPTGKGEKARVVVLGTGWAGSRLMKDLDTGGYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSSSPGSYFLLARCTGVDPDAHTIECETVTEGEKDTLEPWKFKVSYDKLVFGCGAEASTFGIKGVTEHATFLREVHHAQEIRRKLLLNLMLSDVPGISEKEKRRLLHCVVVGGGPTGVEFSGELSDFITRDVKQRYAHVKDYVHVTLIEANEILSSFDVRLRQYAINQLVKSGVKLVRGIVKDVQPDKLILDNGEEVPYGLLVWSTGVGASSFVKSLPFPKSAGGRIGVDEWLRVPSVPDVFAIGDCSGFLESTGKEVLPALAQVAERQGKYLAVLLNHVLKAGGGHANSSVEVDLGPKFVYKHMGSMATVGRYKALVDLRQSKDSKGVSIAGFASWFIWRSAYLTRVVSWRNRLYVAINWLTTMIFGRDISRI >cds.KYUSt_chr1.32186 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195377981:195382546:1 gene:KYUSg_chr1.32186 transcript:KYUSt_chr1.32186 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSAADRKRRPILLSLSLFLLLSALLVLLFLFLGPSTQSLAFLPSRLASRPSTPSLPTPHHHQHSPTPIPTPASSADSHLNAPASSVEKADASTPDAPSAVAVPGGSGTSDPSRPVHDTTGSQRPVDDDDDDGAVAQVRWETCKVRRGVSSPADYIPCLDNIRAIKALRSRKHMEHRERHCPAPPPRCLVRTPAGYRLPVPWPQSRDMIWYNNVPHPKLVEYKKDQKWVTKSGDYLVFPGGGTQFKDGVARYIQFVEQIMPTIQWGTHTRTVLDVGCGVASFGGYLLDRNVITMSLAPKDEHEAQIQFALERGIPAFLGVIGTQKLPFPDNAFDVVHCARCRVRWYENGGKPLLELNRVLRPGGFFIWSATPVYREEKRDQDDWNAMVTLTKSICWRTVVKSQDINGIGVVIYQKPASNSCYLERKTNEPHLCSEKDGSRFPWYASLDSCILPTASDETKNSPLLWPERLVRYTRIPYDSATTEKLDADTMYWKQVISDVHSNDFPVNWSSVRNVMDMNAGYGGFAAALVDQPLWVMNVVPIGQSDTLPVIFSRGLIGVYHDWCESFNTYPRTYDVLHMSDLLGSLTKRCDILDVAAEVDRILRPGRWFVLQDTMDMIKRMRPVLRSLHYETVVVKRQYLVATKSFWRPGASR >cds.KYUSt_chr1.31819 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193046498:193047985:-1 gene:KYUSg_chr1.31819 transcript:KYUSt_chr1.31819 gene_biotype:protein_coding transcript_biotype:protein_coding MEALISAVLGDIISRAISIMVEKSCRQTTAEEDLQRLHQLLMRISTVVEEAEGRCISNQGMIRQISIMIKQMFRGYYLLDSFKCIQKKTNDEEVSRSSFAPSKFNPAKRFRLLSSSPQIESIVIGRDNSKDLKQIILVLENMVTDMKEFAIFLMSYPRMYRQPYGAYLYLDKYMFGRQMEREQAISFLLQAEPLGGENLGVLPIVGPGLIGKSTLVEHVCDDERVRNHFSLILRYEGNDLKSETETTFRDHCVIKHQNSASGEERSLVVIELLGDVDEGAWKRLLQTYERCMAYGSKIIITSRSENMASVGTTEAIMLRCLSKEAYWYFLKMLLFGSTDPEEHPKLTSIAMELALEMCGSFIFASSVATLLRANTSVRFWCRVLRMLREFRQKNNFVPGEYNEDRMSRYAWRIAETQRGSDDHKVFLLHHIHQKGPAAHDELPRITTVDLIFRTWSAIPQGKFEVLRWRSLIPPYYSYVCSCEFVQHKEHLAIEL >cds.KYUSt_chr3.21945 pep primary_assembly:MPB_Lper_Kyuss_1697:3:135224837:135226506:-1 gene:KYUSg_chr3.21945 transcript:KYUSt_chr3.21945 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLVDADASALLWLGLYLFDELPELLVDLVDNLDQLMLFYYAASSRSCNWMIIGFIDVFLDIPVAFASANDG >cds.KYUSt_chr5.6766 pep primary_assembly:MPB_Lper_Kyuss_1697:5:41923890:41925277:-1 gene:KYUSg_chr5.6766 transcript:KYUSt_chr5.6766 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLRVVTFLKASRSNLHLLIRAAPGETLDWISRIGRWRRYLRRSTSWGIVFGAAAGCWWMLVEWYSSTTLLALSLGGMVLQGIDDGCGMMYACRMVKPSGVMVASIAGLARLMRGSRLKMESRKTAVSTSVACALACAESLLDWICFSPAIERFGKAFGFLDDHSKPHIHPASAIKAKRENSEHIVDTASEIRRNREDIMEICLDDKWKLSKKGNRRSAAVAPAASTRSPVGLKGRTSKGSGRSVPGRLASLAREQKARFYIMRRCVTMLVCWRD >cds.KYUSt_chr2.1678 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9974762:9975822:-1 gene:KYUSg_chr2.1678 transcript:KYUSt_chr2.1678 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRHEQWMAKYGRTYSDVSEKARRLEVFKANVAFIESVNARNDKFWLETNQFADITNDEFRSKHTGYKLSVGGSKDRRMTGFRYENVSLDTLPTSVDWRTKGAVTPVKDQGKCGCCWAFSTVASMEGIVQLSTGKLISLSEQELVDCDTSDNGCGGGLMDNAFEFIVENGGLNTEANYPYTGTDGTCNSNRVSSSAASIKGHEDVPANDEASLQKAVAAQPVSVAVDGGDSLFQFYKGGVLSGDCGTNLDHGVAAVGYGVADDGTKFWVIKNSWGTSWGEDGYIRLERDIPDKQGMCGVAMQPSYPTA >cds.KYUSt_chr3.37329 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234671301:234674167:-1 gene:KYUSg_chr3.37329 transcript:KYUSt_chr3.37329 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNRRIRRDRSRSPGPSRRQKEAELKLLEEELARRVEEAVRKNVEERLNSEEVKDEIKRRVEEGIKKLFDEVDAQLQKEKEAALREARQKIEQERREREELDKMIEENRRKVEESQRKEAMEQHQKEMERYLELEGIQKQREEALRRKKMEEEEERAKQMKLPGKNSHRH >cds.KYUSt_chr4.35056 pep primary_assembly:MPB_Lper_Kyuss_1697:4:215376325:215376957:1 gene:KYUSg_chr4.35056 transcript:KYUSt_chr4.35056 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTQPLPPPSRSTITATASNGSHELTVEGYSVTKLLVPGEHIDTHKFTAAGHAWKICFYPNRGKETDATISFSLKLADGWWKFAGTNVRAEVGFSLVPRQAAEPAPGAPPATVRFAARRRVVNFSLNKNVEHWGLTISKKELEKWPEYLRDDSLVLRCEIAVVDKPVVKMYHGLPVCRCKEDNCKLRHLNGASWLKDVVETYFLDCLGI >cds.KYUSt_chr7.12754 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78607434:78608526:-1 gene:KYUSg_chr7.12754 transcript:KYUSt_chr7.12754 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNSSFNDSFQELGLDLEAFNFGLQAIDFIFMIRGCLFISDMSSNLPVKVSAPAEKVAGSSVVELVGVVWDAKRRMAEECLRWAEGRIGGRDPFQMTFDYESVYVSDWSKLGFSDVDYGYGTPMTAGPLVNCDLIASVIVMRAPAPLAGTRLLASCVTNEHADDFAGLMREDLV >cds.KYUSt_scaffold_869.51 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:320670:328024:1 gene:KYUSg_scaffold_869.51 transcript:KYUSt_scaffold_869.51 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTVKPWALLALLLLLASTCVQAADEDEQTYGAGRRRRRSRRRSTAGAPPNIMVPITFLNSSVEKGAAIFVIGVSDPAVLEALACREALTLVADLAYTKVVIACDCKGVIDDIRNCSDDIMCMDGTPAAYHLDPGSGAGNNSWIINLEGGGWCNNARTCKFRTKSRHGSSDFMERQIAFTGIMSASSADNPDFYNWNRVKIRYCDSASFAGDTLDKGTGLYFRGQRIWEEAVQHLLSLGMASADQVLLTGCSAGGLAAILHCDQFGAFFAGRNTTVKCLADAGLFLDVVDISGGRSLRSYYADIVYMQGVAKNLPPTCTDHLDATSCFFPQNIIDGIKTPIFLLNSAYDVWQIEESLAPNKADPSGAWRACKFNRSACNASQIKFLQDFREQMVASVKSFSGSKSNGLFINSCFSHCQSELPATWNGSPAIQNKGIAKSVGDWYFGRAEVKAIDCPYPCDNTCRIDELIPRQLAPSVGIGGSKVLISMASSTSSSTASNAMDGGKRVQPDLTDFVPHPPARLHAYADLEESTEMTFESFRFLVGKEGSHRLAAPIFSGPLAIEPDFTVSSTSYIESGDEEVSPPRFTKPVDGGALANLFGDMTLRSFIETDLDSDSESCTNFGFTKFDFTNTNNSTASREVFSDLYDGVTDPEADENIIATYHQICVIMGAGHQEVAEFETFDDLGNPYVDPADLTRGTGNKYIGTESREKVQLS >cds.KYUSt_chr3.27719 pep primary_assembly:MPB_Lper_Kyuss_1697:3:173136986:173138275:-1 gene:KYUSg_chr3.27719 transcript:KYUSt_chr3.27719 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQAPEQDQEQGQGPAQEEEQEQELALEGLTLQAQQHEMVTELFAGVDFVRLRSGRAYLHAADDGRSVCLDRRAASRNAVWAVTVDLCERTATTHVLLRGAYGRYLGAPDATAGCSFLPTCRAAAQRDRDGQQVLALLWRPCPTTRQGVFRLCDPSGRYLRANPGCLLPPCRRGVSVSACAGASRGLGKAIQWVFEPVPRGARPLLQRPPESLWGWLFAHVPLSGLCMRWFSGREIRWQRADESGAFSEDNWRSTRFTGRHTILLERQLVALLPREIGSHPQYTVCVRAGLNGLPTPLAIDLPRSREPLNVVLFAYTATGQSAKLSSFLYL >cds.KYUSt_chr7.565 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3145351:3148103:-1 gene:KYUSg_chr7.565 transcript:KYUSt_chr7.565 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAHAEEKDGLDSSGLPINKGLTVDVIKPPATDDATATQPKEEGMGGHPVKARKPYTITKQREKWTEEEHEKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPAAKIAIEIPPPRPKRKPLHPYPRKSANSSNGANAVTGQQKLAPVSSSSGSDQENGSPVSVLSAMQSDAFGSSMSNPSTRCTSPESSDDENILPPMMSEEKNVPRQLTGKDESHKDTNQDNKDTVMSEEDSSDEVQETSLKLFGKTVVIPDPRKRCLSGHEKVEKISQSSSKATSQAPSVGGILPSYVAPNEWVLPYNSYPLRLGEPAAPLHVWWPYYGFPVGHPRGPPSTVTHNEVADVCDTVKSPPVESSSDDSDDNTQTASKKWKSLEPLRMVQVPRSASTFELKPSMNSAFVRVKPVSGGDQPVRGFLPYKRCRAE >cds.KYUSt_chr2.46961 pep primary_assembly:MPB_Lper_Kyuss_1697:2:293738032:293743599:-1 gene:KYUSg_chr2.46961 transcript:KYUSt_chr2.46961 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCIPVFMLLALSAIYVLAIVPSVGCSSLSQTSNNGSDTDLASLLAFKSHLSDPQGVLASNWTTGTTFCHWVGVSCSRRRQRVTAIELPDVPLDGSLAPHIGNLSFLSIINLTNTVLTGSIPDDLGRLHRLKLLDLSFNGFSVLEFLDLQFNHLAGPLPPAIFNMSKLHSIYVTNNYNLTGNIPDNGSFTLPMLRIISLGNNRFTGQIPLGLTSCQDIRAISMPGNLFVGVVPTWLGKLTRLSYIFLGENNLVGPIPAALGNLTLLRGLSLPWCELTGPIPGNFGQLGQLSSLHLGDNQLTGHIPASLGNLSKLSLVVLKRNMLVGSLPSTIGDMNSLVKVDFSENLLQGDLSFLSILSNCRKLRSSLPDSIGKLSMLINLNLSHNSFYNQIPGSFDKLAILQALDLSHNNLSGSIPKYLSSFTILSTLNLSFNNLQGPIPEGGIFSNISLRSLMGNSGLCGASSLGFPSCLGNSPRPSSHILKFLLPAIIVVMGGVASYIFVVLRKKVKNQQGVTVPAGMVDFINHQLVSYHELARATDNFSESNLLGAGSFGKVFKGQLSNGLVVAVKVLDLQAEHATMSFDAECRVMRMARHRNLMRILNTCSNLEFRALVLQYMPNGSLETLLHYSEATRHLGFLERLGIMLDVSMAMSYLHHEHYEVVLHCDLKPSNVLFDEDMTAHVADFGISRLLLGDDSSMISASMPGTVGYMAPEYGSLGKASRKSDVFSYGIMVLEVFTGRRPTDALFVGGLSLRRWVDQAFPVELVRVVDGQLLLPQGSPSSRSSGDGFLVRVFEVGLLCSSESPGQRMTMSEVAVSLEKIKNDYVKWTAELKNLSICKRKPPAISSARASRASTPAAAVSSLSAFPTNTADVAPPEFEPKVSPPPPFASDRWAAGGASSSDEEEDVKKTTTKKGTAAVKRAPAKKSSKPKGKDKYLAEAPPSEQEFMSGTPDFDDDALPPTSFDPFADEAADAGAGAGAGDDGRVHLRVQQRNGRKTLTTVQGLSKGYNYAKVLRDLKRDLCCNGTVVEDKELGNVIQLQGDHRKKVAAFIAKAGLAKTDCIKVHGF >cds.KYUSt_chr2.50909 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318462524:318463312:-1 gene:KYUSg_chr2.50909 transcript:KYUSt_chr2.50909 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTMKSVEGDFRAMAEAGVDGALRRNLPNFRSETTRLLGKMQREMDSAPVHRDLPCIFSELRRAEALEDNSADLINSMAAVRDYCRHGAAHFAAKEPALAEALRGKAAWSDATRAEFVEIAAGARALRGVCLKMLAEAEEGHIVCMATADYLAYVAEDTPYEEWGPDSIPAFMYQAVVDQLGAADPDVTRFMEVYAQERAGNLRRGAAEFGGDDAVKLREHAATVEALCADTNTFLHKIVSSPYFQRARLFSILREAAGGP >cds.KYUSt_chr5.38485 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243327590:243328594:-1 gene:KYUSg_chr5.38485 transcript:KYUSt_chr5.38485 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGEESATRPEYSAVPSKSTRPKDFISTLPTREGWSTPLIQYSKYWHRPHILEEILQVQDTFTPRGDDTIIVTQPKCGTTWLKALAFAIINRGIQSFSDHPLLTVHPQRLVPFIEIPPPNAGHAYLDTLPSPRLLATHLPLSMLPHGMSSAGCRIVYLCRDPKDALVSRWHFENKIRKGFNMELEKAFAMFCEGVSECGPFWDHCLEYWKESLARPDKVIFLKYEEIKSDPVRAVTKLARFLGAPFSEEEESSGVPQEVVRLCSFETLTSLPVNQVGGFHRYVQGLGHVHLPSSAFFRKGVTGDWSNHLSQEMGETLDLIVQQKLQGSGLTF >cds.KYUSt_chr1.33848 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205702656:205707012:-1 gene:KYUSg_chr1.33848 transcript:KYUSt_chr1.33848 gene_biotype:protein_coding transcript_biotype:protein_coding MITKVFGIRSGQTPVVELKKFEQSALRDVYRGSNPRPDIPTTIKVLKGCDDTDEDTIVRSWDLLCMATVVDPKSSNHVGMDYLGSMLHPSRTHEYAWDEYILEQLMQEVKKMQKKRLKTPSLKKGNSKFEFWISGPFAALGIIYLDHLQFPPSNHVIDYSLPRVCHVKCSDFVFAVQCDLSKLFLHNTKVFGRRPFLDISETPYANVLPGRAEGAPVEPEVNPSASLNDWLVFPSSQELEVPDRYKHLHEKHKSLFAAEFDIANKNYVAGVKRMQSQRMAALLCDVDAASQTQEGPSVTFQSMHGDVNTDLGEDVSADDDEQSDKEDSSDEDVDEEESKGADIAVDDPEEDGSADDDDDDIPTAYSEDCDFELAPRHNDVPSHRAAPDVPQPAIIRDSSFPSEDAREHQSVDSPVRSPVLDDAAKRCREIALAKAPDAPPFDLFEPGTPEWEDFNNITILVPDAGGGSAVGIPAACNAAKLPAQNMSAPEIPSAESPPTQSCVAKMPLPESSAHESPAVIVVPTPADIPTAVHAAVPADIPDDIPTAVHADADTPADIPAAVIADDAIPADIPAVIPDAVIADAIAADIPAAIPAAIPADIPAAIPDVILAADIPAAAVDVIAEPVTPVHPKSTGATVVETSSAKNISTSSGPETHDKKNRHKRAAKGPADSTPPKMKKIKVSQDTRDIYDKFICHGRKLKRQPKDRACPEFVRIGRYYCSYKSFLDSLKPCHYLSSEVMNVWIEKFNREAKIIGDNNPRAKKKFAFTQLMVDKLIVDPAAFEIEGCLKEFKTLNSKFKLLKDDLLYFPIVKNNHLAVPCINLCLKKFHIFDSMRSAKDGSLLEQFATNLFINFNKLLIECNLTRFNLDDFTLADIEHPQQTTLFDCGFFVQLFMENFDSKVMAQFDNNAIPDHRRLVAASLIENRGQWAGCRRKVDGR >cds.KYUSt_chr5.32437 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205853656:205854699:1 gene:KYUSg_chr5.32437 transcript:KYUSt_chr5.32437 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLGADVQVQGALKDWNDIWLVRSRYTAANRMTCEFYDLTSSLAARIWLPVVVSQSNYYRQQADLQKTAADFVRDKCAFWEF >cds.KYUSt_chr4.5082 pep primary_assembly:MPB_Lper_Kyuss_1697:4:29183778:29188026:-1 gene:KYUSg_chr4.5082 transcript:KYUSt_chr4.5082 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAARVGLTDRSGTVPRTAPLPLAVVAQQLPHRSSSPRPAVARPARLPLATVAQQCPHSSSSPRPAVARPARLPLAAQQHPHTSSSPRPAVALLALLSPWSPSSSSPRPAVALLTFLSPPSPSNVRTTAVLHVQQPPCSPSSRRGRPATSAQQQFSRKLHALAEESTPRLSLDSKEVKRQRDRERLISQLQILTDMAPHVQQYASHLGDDNESDWLHRNEAWQMQAKPGGNRPILVAQSNITGAGSLSQISDPGETNITDESDPPGIFEPLEQDARFEGDVFDSYRLTTDVPQSEQNDDPYDFVYHNLPKKHHVLKTRFRSASIVEQ >cds.KYUSt_chr4.51386 pep primary_assembly:MPB_Lper_Kyuss_1697:4:318796362:318796758:1 gene:KYUSg_chr4.51386 transcript:KYUSt_chr4.51386 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHSLSIYEHKRSITRVLGAAGVCIFQKMSGLKSSWPEVVGLTIDDARQKILNDRPDVRVVVVPPAAPTKEFNNKRVIVYVNSTGNVMQIPVIA >cds.KYUSt_chr4.21453 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135101117:135102569:-1 gene:KYUSg_chr4.21453 transcript:KYUSt_chr4.21453 gene_biotype:protein_coding transcript_biotype:protein_coding MRACWKVEGLQPRLAQGLKAVEDDTAASRTRATSPVAGLEGGQQSGGKAWRSAWQPAGLQPPRRSLQIPPSTNIGNRAAAGRIHDDDVREEEGPRRKLRQSSCFTGIHN >cds.KYUSt_chr1.31497 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190992875:190993419:-1 gene:KYUSg_chr1.31497 transcript:KYUSt_chr1.31497 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCRSRKPKPLPLFFLIVAVLLLLALRPASAVPASRSMQLRNLQRPPSMKLSSLQETMAASGKHLDGRARPAARMVVEVNDYPGSGANNRHDPPKGPGRG >cds.KYUSt_chr3.18772 pep primary_assembly:MPB_Lper_Kyuss_1697:3:115380341:115381048:-1 gene:KYUSg_chr3.18772 transcript:KYUSt_chr3.18772 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTIGSSSKLGLLLPALAFVLVFAASPGLASSAAPGLVFHVGGPRGWRVPDANTSYGWWAMNNRFHVGDKLYFKYENDSVLLVDREDFDACNATEPIARSDDGSTTFPLDRPGFFCFISGHPGHCEEGQRLIVRVMVHPAELAPAPGPDAYAPGASQPGGGGGGSSGGQGGSSSGASSAAVAVGGFALAAALAVGLVLLLQ >cds.KYUSt_chr5.43173 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272345432:272350780:1 gene:KYUSg_chr5.43173 transcript:KYUSt_chr5.43173 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREVDIFHKIVSELIDEHEKETAKLWNDILSLHDTTNKLQAQLYDVQNQNSGRPAAYWMEKRKKKEDDEAAAAEAAAGPWASVPIDLLPEILSRVPYRSLCRFNCVSRAWLALCSDPARRPEKVSPDALRLLLLPRQQPRPPLAPRLPQPVRAGAAAGRPLAQFRARLPRGHSRLCTAAAASSSATAGEST >cds.KYUSt_chr5.21040 pep primary_assembly:MPB_Lper_Kyuss_1697:5:136898736:136900238:1 gene:KYUSg_chr5.21040 transcript:KYUSt_chr5.21040 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVGTPRMATCPDRNDNAEHERTARRLAWTENEDIRLINAWLTSSRIKKQDMYYYWANVAAVFSSTTPRDRRREVKHLKGHWHKISKKIARFDNCWCQVKAKYPSAVSDTMELMDKTWAMFNEEARAMYLEEEKHRFAFDHCWKALWEQPKWKAYISSLSSKRSKLSESEDCTSSSEDTVDAPDKEIDEHGCNTVKEKNEGKGKIASSWELKKNVQCLSDLQNMRKKKREEMTRLQLLHSDQNLELSRIEQLGSKGNDALILEKQPELLMAGVSSYKEFLPGSELLVGNSKFSEYQHGRALREDEPEEETLEQDYNTLDDDRATAGEKLPGKETGPHVCKKPEHGRVRRGNVPERETGAQSCKLTTLKRKRKGRALPCLSEVQEDIKHAVDLQTMLMKDHEKMSEVQLRLSKEKLEFAKLKQQEAKDKKERTLHKNYSELLMADTSRFNDFQKGEYEKAVRRMGEMLFGKDDS >cds.KYUSt_chr4.41232 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254987168:254990685:1 gene:KYUSg_chr4.41232 transcript:KYUSt_chr4.41232 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYALTMITLVGAEFDAPESPPFDPHRMNQFFPNQPRAVGWFRAAMARRQHITIFTTASLPWMTGTAVNPLFRAAYLAKAGEWEVTLVVPWLSKGDQVLVYPNKMKFGSPAEQEGYVRRWLEERTGPLPRFNINFYPAKFSTDKRSILPVGDITETISDERADIAVLEEPEHLTWYHHGRRWKTKFRKVIGVVHTNYLEYVKRERNGYLQAFLLKYINSWVTDIYCHKVIRLSAATQEVPRSVICNVHGVNPKFIEIGKLKHRQISQGDHSFFKGAYYIGKMVWSKGYTELLQLLQKHQKELSGLKMELYGSGEDSDGVKAAAEKLSLDVRVYPGRDHADSIFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHLYSTEKEFVRLTMAALAGEPVPLSEEMRHELSWEAATERFVRVADIAPATPTKAHPPSSQRFMYINPDEVKKNMEEASAFVHNTISGFEAARWVFGAIPNSLQPDEQQRKELGWRPHQGL >cds.KYUSt_chr2.19991 pep primary_assembly:MPB_Lper_Kyuss_1697:2:125785371:125789483:-1 gene:KYUSg_chr2.19991 transcript:KYUSt_chr2.19991 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWRRKSISEKALAPAETESSASCPSESFTDEQDTLKSSPKSTPSPEIASKEVQDDSNVKVKVLSESLSSAVLDMRAKDALVKQHSKVAEEAVLGWEKAENEMALLKTQLDAATVKNSTLEDRIVHLDGALKECVRQLRRAKEEQDQKVEAALALQSRQWESEKTDLELRIVELKAKLEAKSERSMSTDGDVSSRLASLEKENSALKAQLIAKTEELRLRTIEKELNRRAAETASKQQLESIRKASKLEAECRKLQASARRPSFSSDLRRTPSSLCAESVTDYQSDCSDSWTSALVTELDQFRSEKSSTRSATAVDIGMMDDFLEMEKLASADGATSKREAEDACGQLVKLEEKVRKLTAEKADREKALHEAQRELRACRHRAMVAEERSAGLQRQLNLVNGEKHAMEAEMEAAETKRNELEGRLHLAHGEIGSLLDKGRILEERLESEKALTLELAAKYQQTDALESDKKELHIQLEASQSDARKFSDKITLLERRLEEEKAFSVRLTEKCRGVKALEEKLKGAEIELELAGQEIVSFQKSLELKVQQEKAFSAESAKRCHDLEALDADRNVLRSQLQTANSEIVALNDKVKMLEETLDKQKPVAAELESQLKSAQAEIKSLKENAGLLETKLETQKNLSSAYITALDASEAQKNKITDRFEHKENETEELHKKIGLLEEQILKERTQSSEFEAQCQNFKEMFSGREPGHQPVQVKSVASADLHIRKGKELARAAGKLADCQNTIASLSTQLKTLADFDEFILRAGNDDVAVAESWDGDLKLFDSASYPAQLGCLAVT >cds.KYUSt_chr1.22211 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131341005:131342525:-1 gene:KYUSg_chr1.22211 transcript:KYUSt_chr1.22211 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWRIFLGTALIAIPLILLLRLFRGRRRIPPGPPSVPVLGSVVWLTNSPADIEPILRRLFAQYGPIVSLRIGSRLAIFVADRHLAHTALVERGAALANRPALASARLLGESDNLITRASYGPVWRLLRRNLVAETLHPSRVRLFAPARAWVRRVLAEKLRDDSAAGAAVVETFHYAMFCLLVLMCFGERLDEPAVRAIATAQRDPLIYRSRKMAVFSFLPSVTKHLFRDRLQNVKAMKRRKMELFVPLIDARREYKSRGGEPRRETRFEHSYVDTLLDIKLPEEGDRPLTDDEMVNLCSEFMDAGTDTTSTGLQWIMAELVKNPSIQDTLYKEISATTGDDQEEVSEEDVNKMPYLKAVVLEGLRKHPPAHFVLPHTAAEDTEVGGYLIPKGATINFMVAEMSRDEREWEKPTEFMPERFLPGGAGEGIDVTGNRGIKMMPFGVGRRICAGVGIAMLHLEYFVANMVREFEWQEVPGNEVDFAEKPEFTVVMKKPLRPRLVPRRT >cds.KYUSt_chr3.47452 pep primary_assembly:MPB_Lper_Kyuss_1697:3:297719324:297720147:-1 gene:KYUSg_chr3.47452 transcript:KYUSt_chr3.47452 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRRLILGAADEGHGPLLSLSTVFSSSPALMEAAMLVAPQPSLLFSPARGGPSTRCCAVAGAGGRAKDMETRPEARSEGTPCKPITRGAHGHLLSMATTAAAITSTAALPCHAAPAAYEPTQLHYGAAMVVLGDLDPATAKVVIGVAGPALSALGFLFIARIVMSWYPRLPVTKFPYVLAYAPTEPILAATRKLIPPLGGVDVTPVVWFGLVSFLSEILVGPQGLLVLLSQQVSK >cds.KYUSt_chr4.7505 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44683007:44686273:1 gene:KYUSg_chr4.7505 transcript:KYUSt_chr4.7505 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTGLCCALLLVTLLPLSANASSKLYIVYMGDKKHDDPSVVTASHHDVLTSVLGSKDEAVKSIVYSYKHGFSGFAAMLTESQAETLAKFPEVVTVKPNTFHEEHTTRSWDFLGVDNYQSPQQSGLLQKSKYGEDVIVGVIDSGIWPESRSFDDNGYGPVPARWKGKCQTGQAFNATSCNRKIIGARWYGRGIDAEVLKKNYNSPRDLSGHGTHVASTIAGVEVGGVSYGGLGTGVARGGAPRARLGIYKVCWVGGSCPDAAILAAIDDAIHDGVDILSLSVGAASHEIPGTLHAVQRGISVVFAGGNDGPVPQTVTNAVPWVTTVAASTIDRAFPTLISLGNKEKLVGQSLQYNASAISSDFKDLVYAGSCDAESVASSNVTGKIVLCYAPANAKSTPPRVALPNAINLTVTAGAKGLIFAQYTSNLLDILARCEGIMPCVLVDFEIAQRLWSYWDITGNPVVKVSPTITVVGNGVLSPRVASFSSRGPSPLFPGILKPDVTAPGVSILAAERGSYVFKSGTSMACPHVSAITALLKSVHPDWSPAMIKSAIVTTASVTDRFGMPIQADSVPRKLADPFDFGGGHMNPDKAVDPGLVYDVDVKEYNKFFNCTIGLLDGCESHQLNLNMPSIAVPDLKNQVTVWRTVTNVGPVEATYRAVVEAPAGVDVSVEPSVISFSAGGSRSVTFSLTFTAKQRVQGGYTFGSLTWADQSGYSVRVPIAVRTVVQDFVADTS >cds.KYUSt_chr3.1761 pep primary_assembly:MPB_Lper_Kyuss_1697:3:10051597:10053796:1 gene:KYUSg_chr3.1761 transcript:KYUSt_chr3.1761 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFYLVYKDVWLMATLDPVVSMVIAVSGSPCLQVWLLAGNNVSEVDVLHPFLKLKVPDLCHSNLWDEEDVKREMKRHEAKLEQRNTCYQLINGFANAAIR >cds.KYUSt_chr4.14249 pep primary_assembly:MPB_Lper_Kyuss_1697:4:87788677:87792055:-1 gene:KYUSg_chr4.14249 transcript:KYUSt_chr4.14249 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAAHSPRATAIDLRWLLSVVAGGLFALFIIAASPVPFPSASLFLSQQQTSPAHAASSPRPKDLPPLFVDAALSAQARPLEPAAPSPPRFAYLISGSAGDAGMLRRCLLALYHPRNHYILHLDAEAPDSDRAELAAFVAAHPVLAAARNVRVVEKANLVTYRGPTMVTTTLHAAAAFLWGEGRGRGADWDWFINLSASDYPLVTQDDMMDVFSELPRDLNFLDHTSDIGWKAFARAMPVIIDPALYMKKKGDLFWIPQKRELPTAFKLFGGSAWMVLSRPFVEYLIWGWDNLPRTVLMYYANFISSPEGYFHTVACNADEFRNTTVNHDLHYIAWDNPPMQHPHLLTHADWDGMLGSAAPFARKFRRNDPVLDRIDAELLSRGPGSLAPGGWCAGEVRPAGSYNRTEGEDPCKAVGNAAFVRSGPGAERLRSLMTSLLSEENFRPKQCIVVEERNVQKNRTEIDGRA >cds.KYUSt_chr3.42411 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268180506:268184583:1 gene:KYUSg_chr3.42411 transcript:KYUSt_chr3.42411 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKKGTATPLGAVFSPDDTRRAVSRVAQAVADRRAELARVQGFFADNAALVSLVQRLPDELSHQIMVPTHPYFSLSPCFSSMVTCLEPIWGCVGLVLQVPFGGAAFFPGSLIHTNQLLVLLGDGYYADRSAKQTTEILHRRGMELETQMEAIKATISDLEAEAKFFESTAAEASEGLVEIREEYDEEAEINSSKSEASSSSGTLSDEEHARIMARFDELEMLEKEAGSSSENEEDEEEDDDDDDDDDEGAGTNEDDEENLDTLSDGNDHDNVSFGASVSGSGANDRSQGNSQLKSALKQLGGKEMLKGASVAPSASIINSEVQAPLRKAVSFKDENGNIVSSSKSLSRQDPKYSAESSHERKIISSGQKAFTGSIVEHDDNLSVIQLPKNNDSEKDGKFGLVVPNVFL >cds.KYUSt_contig_662.187 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:1190890:1194286:-1 gene:KYUSg_contig_662.187 transcript:KYUSt_contig_662.187 gene_biotype:protein_coding transcript_biotype:protein_coding MSARIPMIIFLLLLLSAVSYSSPSPTNGSDTDLAALLAFKAQLADPLRVLATNWTPGTSFCHWFGVSCSRRRQRVTALSLPELPLVGSIAPHVGNLSFLSILNFTYANLTGSIPVQLGRLHRLRYLDLRGNSLSNGIPAALGNLTRLESLILALNQLSGQIPPEMLVHMHNLRKISLIGNDLSGQIPPGLFNNTPSLVFIQFGNNSLSGPIPHSIASLSMLEKKIKSGEDKAYVDPTDVVGHQRVSYHELVRATNSFSEENILGSGSFGKVFKGQISNGLVVAIKVIDMQLEHAIQSFDAECRVLRMARHRNLIRILNTCSNLDFRALVLQYMPNGSLEMLLHGSESTRMRLGFLERLGIMLDVSMAMDYLHHEHYELVLHCDLKPSNVLFDEEMTAHVADFGIARLLLDDNSMTSASMPGTIGYMAPEYGLLGKASRKSDVFSYGIMLLEVFTGRRPTDNMFGAQLTLRQWVQWAFPTDLVQVVGGSQLLQGSSLSSCSLDDGILASVFELGLVCSSESPDERITMHDVVVTLKKIKAEYIKQITTTASGSTHTD >cds.KYUSt_chr3.39216 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247089277:247094006:1 gene:KYUSg_chr3.39216 transcript:KYUSt_chr3.39216 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAMLPFCSAAPTPCSPFSPPTSSAHPGARPPRRVETSALRSHADPLLAQAVPDRALLADSSILSPYAATPDDIARGFTADLPTSSDTLWPHLTPVSAAATDDAVQRALQDAPIPTAFPADASEAEESFARFVDNLSRQIFQAEDRLTEGYDRLRLSAYDALGAYRRALRGVAVDLKTSLRGVTGDPPTSSSVAAPTDVVSGAFQDKLAGAGAVAADVLRKAIVLTEDSVGTATASLVYYYGSAKSSLPPNVKDLLNSSEEKASIVLRPIGSALQQVYVIIEGVEKNVGLDPSDPIVQLAVLLGGSTTIGISYWLFAYGGYSGDLTPESTLELLKNDGKAVLIDVRPEDLRVKDGIPDLRRAARSKYASVASPEIKGPTKNLLKGGSDVDDALVAVVIRNLKLVKGDSKVIIMDANGTRSKSIARLLKKLGVKQPYLVKGGFQSWAKNLRVKELKPETVLTALNEDAEEIFEGIKPTPTFVVGSLLGLSAATYALLEWETTLQYIGVLSLGLTIYVRFSSYEGSEDFQQDLKLLFSPVRLGAEALSWAAKKLEPSKIGLATSPSTTAVQDRVLQAAAKHESKPSDAEESSAKADSFASEA >cds.KYUSt_chr7.10852 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66639230:66641782:-1 gene:KYUSg_chr7.10852 transcript:KYUSt_chr7.10852 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIHEHGPVGVIALARQSVDFNYADERATLIGMSSLTKLTWLSLNSYHVYRGQRFGGSLEGPGGEPAIADIGKFEPDIVTACSRRRREPAIVVSSSSLMAASLNQTVSRRAAAAGSRSTGVPIMESRASRPSKVGQDRDRISALPDDLLLGILERLSLHEAVRAGAVSTRWRHLPHQLSRVDLDVDDFQGRSPDAFAGAVCRLLDCKCDCNSRRSVKTLGLRFHLSAPNLSSIGRAVEDTVTRGHTECLEFEVIPPTSDSDLTAVGRTELGQQFMSFSHACPIAFRWLTDLSLTSLDLGDADLPSLMGACDKLQHLSLTSCRFGWHSALQIDVPNSAIQSLDFVRCSCMRIELVSVPKLTHLMCESWNSENTPLRLGYVPQLRSVILCSHAGVWQAPFALSDCLTTDVTSLSQLHLNFSCQMIWIKPEHSKLLTPIFRNLKDLWLWHIFPECDLNWTLFILEAAPALQLLILRRARHSCVKTSEFSAEKANVVWEPSKDLKRT >cds.KYUSt_chr3.2629 pep primary_assembly:MPB_Lper_Kyuss_1697:3:15088817:15089122:-1 gene:KYUSg_chr3.2629 transcript:KYUSt_chr3.2629 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVQPLSAFVSNHWSTTKKRKTTRNRRTWPISGELLQTGIAPDTSSKKLNTGTKTKPKLLLYKGRGPSPHHNAGKRRRREGEGPAGPDLERTVEREGEVG >cds.KYUSt_chr5.37513 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237126309:237126749:1 gene:KYUSg_chr5.37513 transcript:KYUSt_chr5.37513 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRRPAAAGVQAAHLRITKNRGEEHHILAARNRSAGKRPLIDGGSRSSPAHRFRLQPPERHDRQEKQQKAPDPGHKIQSPPPGIKPTTGISPKHQTPTRSTTTIYIPNSGAPPSPTPASYSGREDIGKARFGGGDSQRDGRLWTE >cds.KYUSt_chr4.41252 pep primary_assembly:MPB_Lper_Kyuss_1697:4:255182617:255187962:1 gene:KYUSg_chr4.41252 transcript:KYUSt_chr4.41252 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIKAPAGAGAMVKLALAGGALWFGATNTLYNVEGGHRAIVFNRFEGIKDKVNSPPPRTSPISLMVAPLRPIRSPPFPCLQVYPEGTHLIIPLIERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPMPEKLPTMYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERAKNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFVALRQIEAAREIAHTISSSSNKVFLDSSDLLLGLQQLNIAGKGKK >cds.KYUSt_chr3.26244 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163253780:163255822:-1 gene:KYUSg_chr3.26244 transcript:KYUSt_chr3.26244 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRLFPVVGLLLAAFGVAVLGAGDDEQFVYSGFTGSNASLSLDGNAVVTSSGLLELTNDTAQLSSHAVHRTPLRLWRSPGGVVRSFSASFVFGIIPPHSDLSGHGIVFFVGKDNFSTAMPSQYLGLLNSENNGNATNNIFGVELDTIQNKEFGDPNDNHVGIDVNSLHSIAVKPAGYYDDKTGALRDLLLISGKAMQVWVDYESDSTQINVFLAPLKNGAKPSTPLVSARQNLSGVLVEPAYAGFSSSTGTVDSRHYLLGWSFAMDGPAPPIDIGSLPKLPLFGAKARTKVLLLGIVLPVATAAFVLGVVAAVILLVRRRSKYAEVREDWESEFGPHRFSYKDLFHATEGFKNKTLLGFGGFGMVHKGVLPKSKLEVAVKKVSHDSRQGIKEFIAEVVTIGRLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYSGKDMPATLDWAQRFRIIKGVASGLLYIHEEFEQVIIHRDIKASNVLLDADMNGRLGDFGLARLYDHGQDPQTTHVVGTMGYLAPELARTGKASPLTDVFAFGAFILEVACGRRPVEQTMDDGRLMLVDWVLGHWQKESLLEVVDARLGGDYDADEVVMALKLGLMCSHPLPGARPGMRQVMQYLEGDMPFPELTPTQMTFSMLALLQSEGFDSFVVSASDRSSSTMLTMGTTSGLSGGR >cds.KYUSt_chr1.30805 pep primary_assembly:MPB_Lper_Kyuss_1697:1:186545628:186546104:-1 gene:KYUSg_chr1.30805 transcript:KYUSt_chr1.30805 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLLLAVAGSAAAEKTAYEALADFDFPPGIIPKGVVSYTLDNATGDFTAHLDMKSTCEFSIQGSYSLRYKPNISGRISVDKLTNLEGVSVKILFFWVNIIEVTRSGDNLGFSVGIASAEFGLDNFLECPTCGCGFDCNGLQLPAEPSLRLRGAF >cds.KYUSt_chr3.8113 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46793346:46795824:1 gene:KYUSg_chr3.8113 transcript:KYUSt_chr3.8113 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASLLRPLVGGGEVGSFLMTVGVAGGGADRPDLDADLNPDEEEDGAIRHGLQELPCRRDTSTEGVRHLSALATKKPQTRWDTSGRIDTMEEQLEKMMKMLEAQEKRMSSEMQELKAGFEDFKPDIRAIKGSMGTMGTEVQEIKANLELWKPEIESKVSDLGAAVKDLRRQVDQIARGEGVSLLGRPPTSPAAAPPPAGSSLSGAISGPDGHRVDNITRGSESKELTLSFPSRSLSQHDLIDPSLVELTMSDKYGTGKPPPQAEFPKFDGLEPTVMDQSL >cds.KYUSt_chr2.43619 pep primary_assembly:MPB_Lper_Kyuss_1697:2:271360877:271361838:1 gene:KYUSg_chr2.43619 transcript:KYUSt_chr2.43619 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVELKGSVCRIKNCAVELFSMEDDLLIDDDEDSWDLVERDLRLKATFLYIDLSRVISSCESDERKKTLTGLANKFFYFMDELDNAVKDRSVTLMQACYGDTAHALREVVAALVPSH >cds.KYUSt_chr7.4767 pep primary_assembly:MPB_Lper_Kyuss_1697:7:28830656:28831696:1 gene:KYUSg_chr7.4767 transcript:KYUSt_chr7.4767 gene_biotype:protein_coding transcript_biotype:protein_coding MANTCTNLTGVVRLERQFKIDGFSLLTTTMSWSEFVKFRWDAGGYEWEIHCYPSSSEPGMYGVALELMLFADAGSCNVRARLGCLLVHPRMLHNASHSEESVTYVFKRPKDCSAKVFLVDARYLPAPASLKDDYLNVQCAITIVKELPEAAKLVPAPSSNLHQHLGELLQSQKGADVTFLVSGESFAAHKNILAARSPVFMAEFFGHMREASSGLVVIEDMELQAFKAMLHFMYTDTVPELDLELEAVATMAQHLLAAADRYGLDRLKVICENKLSGGITVETAATTLALAEQHNCLHLKAKCVEFIVSSPAILDAVVATEGYKHLEASCPAALTSIVISMRGRRN >cds.KYUSt_chr2.2412 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14578720:14586743:-1 gene:KYUSg_chr2.2412 transcript:KYUSt_chr2.2412 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPARASPPPRPTRCDSAARTLLPGQFHRWRLLRGEAAMLHALLQDIAVPPGSSYVLMKESMHAQIVVLEHSPQPTLVAIRALMRSDDREEMGATEQEVKMASPMSIEAASALPFRRLSTSPPKARPCLPLDDSVGTRWVRGTLAWAVLELQLSLEATYRSCGTCVKALPRSFDSAKAMRTEFQGDALLELLCCLPLDTRRKMVAAAGSMGAMVAAGL >cds.KYUSt_chr3.34095 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213890998:213891903:1 gene:KYUSg_chr3.34095 transcript:KYUSt_chr3.34095 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRSLTPFQLTATLFVALLATCHAGSIAVYWGQNDGEASLAETCASGNYEFVILAFLPKFGKGQRPQLDLGSHCDASSGGCKSQSKDIKSCQSGGVKVLLSIGGGDGSYGLSSPGDARQVAMYLWNNYLGGTSSSRPLGDAVLDGIDFDIELGGTKFWNNLASDLKKLGNNIDADKHVLLSAAPQCPFPDEWDGGAINTGLFDYVWVQFYNNPECQFNAGRQAFMDAWKRWESVPAGKIFLGLPASKDAAGTGFVPAGVLTSRVLPLIKGSPKYGGVMLWSKFYDDRTGYSSAIKSHV >cds.KYUSt_chr7.22494 pep primary_assembly:MPB_Lper_Kyuss_1697:7:139422124:139428143:1 gene:KYUSg_chr7.22494 transcript:KYUSt_chr7.22494 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSSHHIHHHALCCLSAAPPQPDAPPTPAPEPAAATATAAPGSGSVAVAGVLHKWTNYGRGWRERWFSLRDGVLSYSKIRSDAGAAGGAAEGGIAEDGEVRLIGGASARIAGDRRPEKPAGVVCLKVSAFRESKSDDRRFYIFSPTKTLHLKTDSKDDRVAWIEALILARSVYSLRSFSGRISFVQSDVSVSTARLRNRMRQEGLNESLIRDCEQIMLSEFSSYRKQLKLRYEDHLSLFGSSRHHFEEGKDGNIIQGALSRNEFPSSRRGNFSEYSTTESDDFEKQDGGDLTCEEESTFFDAADYFTESNSRSSAMSSSTDCGVHSGTNIDNSGCLEIENVQMQDSDNMLPQIKRRSKLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYEHGKVGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADFPDRRIRFFAEKVSHHPMLIACHSEGKGWKFWGDSNVKSKFWGQSIQVDPVGVLTLEFDDGEIFQWSKVTTTINNLILGKLYCNHHGTMHIKGNRQYSCKLKFKEPSLLDRNPRLVQGFVEDNDGKKASFLIGKWDENMYYSNLDTSKIRSADQLQGASLLWEKSKPSPNPTRYNLSSFAITLNELTPELQEKLPPTDSRLRPDQRHLENGEYEKANTEKLRLERRQRMSTKLQDNGWKPRWFEQDAEDGTYHYKGGYWEARDQGRWDGCLNIFGEFSET >cds.KYUSt_chr2.36987 pep primary_assembly:MPB_Lper_Kyuss_1697:2:228813398:228815195:1 gene:KYUSg_chr2.36987 transcript:KYUSt_chr2.36987 gene_biotype:protein_coding transcript_biotype:protein_coding MAALREASRRLASTRNHAVAIGVTATRPLLLTHSRGITHKLFIGGLSQFATEDSLSEAFARYGQVLEATIVTDKMTSRSKGFGFVKFASEEEANKAREDMNGKASFLFIC >cds.KYUSt_chr7.6564 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39673484:39673768:-1 gene:KYUSg_chr7.6564 transcript:KYUSt_chr7.6564 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVSKKASSLVVATSMAAVEALKDQVGLCRWDYALRSLYQRGRRAVPVSLSASSPSQAASSAGVAVARTARPRRSEEEKLRKAYHIVCWGPN >cds.KYUSt_chr2.26008 pep primary_assembly:MPB_Lper_Kyuss_1697:2:159202402:159205173:-1 gene:KYUSg_chr2.26008 transcript:KYUSt_chr2.26008 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRPPPVTGTAVTSTSKKPTTAGSHANLLPTSPPAASSLHLPRLPPPPPLSCSNHAPVPAATSTLSRNPATATLYPSDDSLSTMSPREQTALLSRQRHWRRAHDLFDRLRALPSYAPNPVHYNVLLRHLARAHRWPELGRTWLRMSRDDAVPPSNPAYAALADALAKAGLAHESLLLLLHMRARGVSPDEVSMNTFVRILKNTGRYSDALILFTNWCDGRFDVEFLHLEYRPVDFHGPMKFLLDDMSHEKLHSAAAPGVEEVPSRKPKLVVTYNTMIDLYGKAGRLKDAMDLFLDMLAYQVMPDIYTFNSMINVFGSHGSIEEAEVLLANMLVRGITPDIRTFNVLMTVSASVGDVEGALRYYRQIGRTGLCADAVSYRIMLQVLCERNMVHQAEDVIQGIIKSGTGVHEQSIPVVMKMYIDQGLLDKANAFFERHCRGEEVSSRNYAAIMDAYADKCLWEEAEHIFHCDRGAGGKRDIVEYNVMVKAYGLAKQYDRVAPLLENMKGSGISPDECTYNSLIQMFSVGGFPHRAKKLLRKMKDTGFKPACETYSAVIRSYSHNSLVPEAIDLYNEMKASAVEPNVVVYGMMIDMFAETGQVDKALHYSNLMVESGIVPNHIILTSIIKAYSKVNCWKEAQIFYTRMRNMDGGPDIIASNTMLNLYAKLGMVFEAKAIFDSLTRNNQADDVSYITMIFLYKNMGLLSESIKIAHKLQDSGLLSDCAAYNAVMACYVAKGNLRECAELVQKMVEDSIFPDASMFRMIFSAMNKVNISSEEVLQLESAYNDGRSSAKHAILAFLFSMAGMHATALNICEQLLKPELAIDPCAYNVAFKVYASCGEVDKAFSLFTQMHALGLKPDTVTYIHLSTCYGISGMSEAMRRINGLLAYRNSEFSKSLHNALVSFRESGNNDLAAQLLVKKC >cds.KYUSt_chr3.42373 pep primary_assembly:MPB_Lper_Kyuss_1697:3:267857070:267857583:-1 gene:KYUSg_chr3.42373 transcript:KYUSt_chr3.42373 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLMELGIMQVQVVVMSANMGCSHCRQRVTKVVTKMNGLLDYMVDFGKKEVTVRGTVLHTKKKRKKNKISGVGSSWEKMPPTSPNISARTLSWFLGCYGS >cds.KYUSt_chr7.71 pep primary_assembly:MPB_Lper_Kyuss_1697:7:405955:407316:-1 gene:KYUSg_chr7.71 transcript:KYUSt_chr7.71 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFRRWADLPPDLLCRIGDRLHSLQWYASARGACTGWRRALAPPSPSLLLVGPDLAKRCSLSVFLHCTNYHPSAASLPARRSFHLNLIRPGRTCVGSSNGWLALALADRPGVFSLFNPITAAEILLPPLLYGTGCVSKIVFAPRPAADDFVAAAICMNRLVYVTAGARRWAVLDPVRLASADQLADLLYQLDRTVYCITRYGDVHVLRLPERRRRKPIVVATEDPNPATPLFIRQPTRVPSYPAAQMSLRIQQQRRVPAPSTVEPTQPHLNAPATVEPLLSDEDYLPFDPATSFAPPYDKVSIFTGAKTMVFCEGNLYQIWRNASCAVTLQLPGGGFRRVEENEIFVLRYNPRRQPCWDVVTDLGGYSVFVGRNNTASMYAEGILGLNGNCVYWIGGSDGDEGMFFDMATRRSTPCLPAVGRSAICWYFLSDMVNKCNNSNGGNNLSEPDKS >cds.KYUSt_chr2.44592 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277440011:277440901:1 gene:KYUSg_chr2.44592 transcript:KYUSt_chr2.44592 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASSFLSPAAKFALQSRSPSLKPTRSVRFLPVRAQQQEPQVKEEEPAAADVPPPSQEEEQAAPAKKANAQSLPRQPRAESKNMGREYGSQWLSCTTRHVRIYAAYIDPETNAFDQTQTDKLTLMLDPYEEFVWTDDTCQMVYNEFQDLVDHYEGAPLSEYTLRLIGSDLEHYIRKMLYDGDIKYNMRSKVLNFSMGKPRVKFNSSQIPDVK >cds.KYUSt_chr1.38246 pep primary_assembly:MPB_Lper_Kyuss_1697:1:233726471:233736333:-1 gene:KYUSg_chr1.38246 transcript:KYUSt_chr1.38246 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHDSIIGANQKYGKYWARIKAEFDKRKLINSDYNKVTMKRIPSRLSEQRRQQRRRLPTGHKKWRHAAQRDFVASFTLPTVQNGAGGTCAACDQTAAENPILDDSSSADDSTTVIMDNSPLPISVATSSQPAAASPNGNNAATNGAFVDLATEPAGKPPPCKRSKKTTSDVWNHMTQYEVTVQDKNGNTVVERWAKCNSPGCIYKGRRESGRGTSVFISHLKYNHSIITGQQRLELKPSGDNNEQSASVQTYRKLIALTLDNASANDKCVEGVGWLLDWLNGLQEKELALGIMVIYQIWLARNEAREEAKIEDPHAIARRSIHLVEEWVESRTVTMSEA >cds.KYUSt_chr1.18646 pep primary_assembly:MPB_Lper_Kyuss_1697:1:109189688:109190531:1 gene:KYUSg_chr1.18646 transcript:KYUSt_chr1.18646 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCLLRRHFTAAAGGAAMAAPFPERRVHRVFDKTAHSNRMLLLARLGRLREAREVFDAMPHRGIFAWNTMISAYRASGMLEDARALADAIDARRVFDGMLERNTVTWNAMASCYVRNGDITMARRLFGSMPSRDVALWNCRCGRITDARVLFEQIPDPGVVSWNAMITGYMQNGMVDEAKELFDRMPFRKHNILGWNNCRICTEWKERRSFGFTPSTP >cds.KYUSt_chr2.16121 pep primary_assembly:MPB_Lper_Kyuss_1697:2:101454965:101457212:1 gene:KYUSg_chr2.16121 transcript:KYUSt_chr2.16121 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKKTKVDAVEGDAAAAAATNDRLSALPDDLLHKVTSFLRSWEVARTCVLSRRWRNLWASAPCIDLRVWCKARHGRLPRQLARPKDYYYDNEEDYSSSDVEMWIRAAVNTGARSIQLTQHPKDKAFADLDSVPIISCHLKHLHLSGATLHDKTLRQLSSQCPSLQVLELSKCCLDGPQISSASLTSLAIVECRIMADLSVTAPNLVSLRCVSPYHRAPSFENMGSLARGTIVLNDSFLHDKFEYKYKDIKPDVSECDASDSNHDNCDSDADNDDLRSSEGFYGANVLGGQNVICSLSNATSLELIANAGEVILNRELEMCPIFSNLKALSLGEWCMAADLHPLVLFLQHAPNLERLFLKLKMANPRPETRMGCLVGTAVWAVRS >cds.KYUSt_chr1.40194 pep primary_assembly:MPB_Lper_Kyuss_1697:1:246240551:246241575:1 gene:KYUSg_chr1.40194 transcript:KYUSt_chr1.40194 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGNAPVSSPKLGAGSIPVPWEELQGSCSWEGLLDPLDADLRASLIAYGELAEAAYDGFDADEKSPHAGSCMYTQAGLLAASGVSHPEYYTVTKFLQATSEPRGQSPESESTAIGKALFVQQPEKPGRTNWIGYVAVATDDGVKALGRRDIVVAWRGTVNILEYPKDVEFQYKSAAQVLAGDFPDAKVRSGILDVYTTNNPVENHIMPMIVRNSARDQVLAEVRKQVEAYKEEKTSITVTGHSLGASLATLNAVDIVANGYNVPGSRPEQTPCPVTAILFASPHVGDDNFKSPPSPPSLLSVPST >cds.KYUSt_chr1.16148 pep primary_assembly:MPB_Lper_Kyuss_1697:1:93784456:93786039:-1 gene:KYUSg_chr1.16148 transcript:KYUSt_chr1.16148 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTTFAAEIQQDPVDAADKPDGGAPRRSLVCHLAILAATFSALVFYAHYAVQGNMASVIVSSLPLLSSITVDQNPGSAELPVALDGERAPLTPRATVLEANRSTIRAPAPANRCDGRYIYMYDMPARFNEDLVRDCGNLHPWLDMCPYVANDGIGMPLGDEGGVFSGRGWYSTDQFMLDLILHSRMKRYECLTNDSSLAAAVFVPFYAGLESGRFLYNHSTSVRDALQLDAIDWLVRRPEWAAMGGRDHFLVAGRTTWDFRRKADVDELWGTKLLRYPAVENMTVLVLETSPWNQTNLAIPYPTYFHPETAADVSAWQEKARKTERRWLFSFAGAPRPGSNKTVRAEIIRQCRASSGCKLFHCGGVVGGGGAADCNSPAGVMRVFESSQFCLQPRGDTATRRSTFDAIVAGCIPVFFHPGSAYTQYTLHFPRDHGRYSVLIPHAGLTARNVSIEETLRKISPEEVRRMREEAIGLIPRVVYADPRSRRVGFKDAFDVAVEAVIDRVAKRRRGEGADVVRDGEREH >cds.KYUSt_chr4.20114 pep primary_assembly:MPB_Lper_Kyuss_1697:4:126526929:126528023:1 gene:KYUSg_chr4.20114 transcript:KYUSt_chr4.20114 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKIRVAECEFEHIDLIPGMPDDVAVDCLARVPHGSFRSMRRVCRGWKSAAAAPDFAMARAEAGANEDLVYLLQFGNPAAGDDSPKDDSSAYGVAVYNVTTGEWHRERAAPPMPMFAQCAAVGTRLAVMGGWDPKTFEPVADVHVLDAATGVWRRGTPMRSARSFFACAEAGGKIYVAGGHDKLKNALKTAEAYDAEADGWDPLPDMSEERDECDGMATVAGDKFMAVSGYRTGRQGGFERDAEWFDPATREWRRLERVRAPPSAAHVVVRGRVWCIEGTAVMEWRGERRGWIEVGPYPPGLKAGTARAVAVSGGERVVVTGAIESGGHALWVFDVKSKSWTVVPPPPEFAGFVFSVASVRV >cds.KYUSt_chr1.32528 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197551347:197552468:1 gene:KYUSg_chr1.32528 transcript:KYUSt_chr1.32528 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGADSDDQSTSSGGRPRSALEKDDLAGSDDDNRSAFQRHHGGGGNDALPEIKRRRLESEGVVVDEGALPSPTPSSECGSGGTISVIDADAGAASLAQKLLAFACPICGREFGSKPAVSGHMRVHDWHRREQWRKEGKPAISAVTGKSGSVGCRSVSPKSKGVADSMAMAIPEPVIDPMPTASASGSSSAEPDPPNDDSVAIPVASSDDLTGQVVVHPPCSPQFLQGHQPAAPQVVAPQGPQLAEEASAAQFVVHQPAAPQVVHRTSPTPPARDEKGWLRCREKGCDHRRFATHEGLANHMACHKIRQQNKEAAAASIPKKHGARPKASAHELTAALAPSPPAAMEVAPEQPAVAPGVLRLFGVNIVPEGPP >cds.KYUSt_chr2.32835 pep primary_assembly:MPB_Lper_Kyuss_1697:2:202488110:202490132:-1 gene:KYUSg_chr2.32835 transcript:KYUSt_chr2.32835 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVAVIGAGAAGLVAARELRREGHAPVVFERATGVGGTWLYDPAASSDPLSAGGSYSSLYASLRTNLPREIMGFLDFPFVAVEGTDSDQRRFPGHEEVLRYLQEFARRFDLLGMVRLGTEVVRVHRDASAASWRVAYSSSKLAGVGSEEVEVGEEEAFDAVVVCNGHFSEPRLAHIAGIDSWPGKQLHSYNYRVPDPFHGQIERAEEDGSIVFQDGSRIKADAIVHCTGYKYNFPFIGDDIGTYVEDNCVRPLYKHVFPPQLAPHISFIGLPFASILFPLFQLQSTWVARVLSGRIELPSQDNMMHDVAAFYADMEARGCPKRSTHDLRCTFEYEDWLVEQCGMEKIEEWRKLIYIMERTTAPDRHESYRDEWEDNHLLAQAQRDFTKYL >cds.KYUSt_chr3.10307 pep primary_assembly:MPB_Lper_Kyuss_1697:3:61199699:61201255:1 gene:KYUSg_chr3.10307 transcript:KYUSt_chr3.10307 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAALLLLALLSAAAGAGGAAALDFSGDGGGEEAARTAAVELDPSWHFPSRNIRDAYIALQTWKRYAIFSDPHDLTADWAGPAVCNYTGVYCAPLPTSPAVLAVAGLDLNHGDIAGYLPPELGLLADLALLHLNSNRLCGVLPRALRRLRLLHELDLSNNRLVGKFPDVVLDLPSLRFLDLRFNEFEGAVPPALFDRPLDAIFLNHNRFRFRIPDNVGNSPASALVLAHNDFAGCLPASVANMSATLNELLLINTGLSSCLPPEIGRLRELTVLDVSFNRIAGPLPREVAGLRKLEQLDVAHNLLSGPIPQAVCALPRLKNFTFAYNFFTAEPPACARVVPRDSDRDNCLPNRPAQRAPQQCAAFFARPPANCAAFQCKPFVPASPPPPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPPPHSPPPPKPVHHPPPPPKPVHHPPPPPPAPHPHPSCPPPPPCACPPPPPYYPGPLPPVSHASYGSPPPPPYNPDPWPPVTWAEYGSPPPPLRQ >cds.KYUSt_chr7.23917 pep primary_assembly:MPB_Lper_Kyuss_1697:7:149080381:149094925:1 gene:KYUSg_chr7.23917 transcript:KYUSt_chr7.23917 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGSWDAIVWNQIEDPRSRRSTQGMEEYLLEDEEVHAQGHGVNLLNTDEAGIVSVTNFRLLFVSQATKSIVELGTIPLTTIEKLNDDVKLQSLPHKYDKNQPRELLQVIGKDMRVIVFAFRPRTKQKNEVFDALRRYTKPANLWELYAFSCDPSTVDKTSSPKSRLLKEYERLFSKQFPKSGSGFEVEKDSMHKNLWRLTTVNSSYSLCSTYPSQLIVPKSISDEDLWLASTFRAGRRLPIISWCDPGSGAVLARSSQPLVGLMMNFRNNADEKLVSALCPQIFDPKKPPRKLYIVDARPRANALANGAKGGGSESSSNYPKSEVLFLGIQNIHTMRDSLSRLRDYVDAHGSISSNGTSSAVSLVGDRRNRGSTWGGGSLNSMTQFSSMLGEWLNHIQNILVGASWIAAQIAQESASVLVHCSDGWDRTTQLIALACLLLDPYYRTFDGFQALVEKDWLAFGHPFAERMGIPTVTENGGSQYELLRQPSVGNLSSSPSRTSLGSSGSSSNTSAQSQTSNNSSPILLQWLDCIAQLLRLYPSAFEFSSKFLADFMDCVFSCRFGNFLCNSEREREQSGVTSSCNCMWTYLADLRASGGSYHEHFNPFYDAASYDAPLVPPAAALAPTLWPQFYLRWTCPPESQGGGLESHWHSMSKKYAASVKAKEIAESRSRDIKMRMESMLADLQRERRASSSALAMAQKARRENAAIKRAIQSIGCEVNFSINENQVDRTEEMSYSFRREADAGSQQDDNADLSVSISAIEDGLVSDTPSDQVCESLCPLRTREGCRWPHAACAQLGSQFVGLKANFDAFDRLSIEDCYFGPE >cds.KYUSt_chr1.35656 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217384692:217385183:-1 gene:KYUSg_chr1.35656 transcript:KYUSt_chr1.35656 gene_biotype:protein_coding transcript_biotype:protein_coding MNFADRAFRNTTIQPAWWEEASDRSSIDARETLGQPPLLGKMACPLAAARRSTLMAEEEDATDHRPGDESSVASPNPEEGHHREPPRRRGAEDPPATTKHDHAAVDVGSTTTRVTPAASRIPKHHLVAGAAKRDAPPNHRPMPPPRIPISGRPPPAQEMDTTG >cds.KYUSt_chr4.9464 pep primary_assembly:MPB_Lper_Kyuss_1697:4:57085664:57086486:1 gene:KYUSg_chr4.9464 transcript:KYUSt_chr4.9464 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPTPSPEFQTPAWPVPTAAPMCPPCFKTTASATTFLLFPAQLVPFQLSKLARTHQRSTHLTRPEEEEARRVSERGTMDRRVAASAAVLVALAACAALPATTTANKISVNWMPNTNYTVWEQTHGPFYKGDWLVFYYTSGQADVVEVNESGYNRCDASNAIYNYSKGRSFAFELNQTKTYYFICSFGYCPGGMKLAIKSQKLPPPSPPPSGQDKSAALARAHAGVVLYAAVAALAALLRMV >cds.KYUSt_chr3.28071 pep primary_assembly:MPB_Lper_Kyuss_1697:3:175128017:175134140:1 gene:KYUSg_chr3.28071 transcript:KYUSt_chr3.28071 gene_biotype:protein_coding transcript_biotype:protein_coding MANALLCFRKHPNEPASVPEGQGVQWCHTRPAGTKNRDTPSPGGQGWPEGNRKAMSATATAPMEHPLPTTNPTILRPEQAGDGILVPTKNEYRGGSSTASPAILQRRPRPAPTSADGGTGQHPSTQGRDPSGQPSPSQSTPGPDLSPPAQIQARRRHPRPRTRRRWDAPASSQPRRHLAGTTQASHRPGAVASLRRDAAPGGCAGPRRHRQTRGRGGPAAAGAPELCPETPSGSGEGGRRRRKGWSPGGEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLIASFIGGFVIAFLKGWLLSVVMLACIPPVVIAAAAVAKVLSTISSKGQQSYGDAGNVVEQTIGAIKTLILNKGYTGGKVITILFAIMTGAMSLGNATPCMTAFAQGQSAAHRLFTTIKRKPEIDPDDRTGKQLEDIRGDVELKDVYFSYPARPDQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSVQLDSIRGKIGLVSQEPLLFMTSIKDNIMYGKEDATFEEIKRSAELANAANFIDKLPNGYDTLVGQRGAQLSGGQKQRIAIARAIIKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGTHDELVLNPDGPYSQLIRLQESREEEQKIDRRSGALGARLSVDALNVRRLVGDNLALTVQIIATLITGFVIAVMADWKLSLIILCVIPLAGIQGYAQVKFLKGFSQDAKSSALASDSSKAKDSAISIFALLDRKSEIDSSSNEGLTINEVKGNIDFQHVSFKYPTRPDIQIFSDFTLHIPSGKTVALVGESGSGKSTVIGLLERFYNPDSGTVSLDGVEIKILNINWLRDQMGLVSQEPVLFNNTIRANIAYGKDGEVTEEELIAAAKASNAHGFISSLPEGYDTTVGERGIQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQAALDHVMVGRTTVVVAHRLSTIKGADIIAVLKDGAIAEKGRHEELMDIKDGVYASLVELRSASS >cds.KYUSt_chr2.18747 pep primary_assembly:MPB_Lper_Kyuss_1697:2:117968065:117968895:-1 gene:KYUSg_chr2.18747 transcript:KYUSt_chr2.18747 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSLLVLFSFSASSAGQAICSDNNGGMYMPNNTYKDNLISLAKLLFASAAEEHSAVGGAGTGPDKVYGAVLCRGDSDSSDCHKRLTKALDEAINSKAGDSYSLQSNKDVTYYYDEYQAQISFSDKDFLSSFSNMPRCTVNTNLNSVTATVTERFEDLVTKLIDALADVVVSQADKYAVGKVWFEETGQTVYAMAQCIHDMPYERCAVCLDDIISHRQSNISAGQMGAAFLGVWCTLRYETDTQFFTATKMLSLNALPRSRFSLNGFPDFLTKLS >cds.KYUSt_chr2.38306 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237325221:237326435:1 gene:KYUSg_chr2.38306 transcript:KYUSt_chr2.38306 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTAAGPDWSKLPSDILTTVLGRLEFPDLFCAADVCVSWRSICRALRHLGIYSRPQTPCLFYTTAAGGTRAAELLSLADKKAYRARLPDPPIGERNIVGSSHGWLVTADARSELHLLNPATGEQVALPSVGTIEKVSPVFDPAGNLQRYDLSLYDEDPQPYAVDELRGVLYLKAVLSCDPSTGDCTVVVIHNPYRDISFARIGDEKWHWVPSSPREPPEYSDCIFGDDGALYAMNRLGGIHRYAIEGSCAVPEMIFKDTSPFSAYNAYIAKTSSGDVLQVWRITKSTSGEQKEIHTTRGDIYKADLDSQQIVHVPTLGDDALFIGHNCTYCLSTKDYPMLLPNHVYFTDDDEYSLMDGHEIRRDVGIFNLEAECSYDIVSPQPWLNWPIPIWIIPSFNKIQK >cds.KYUSt_chr2.26197 pep primary_assembly:MPB_Lper_Kyuss_1697:2:160342855:160346847:1 gene:KYUSg_chr2.26197 transcript:KYUSt_chr2.26197 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSQIEHDLPMPPALLSRPLVDAIKAELERLFLDKVVANLGLCVSVYDIIGVEGGFIFPGEGCSTYKVSFRLLMFRPFTGEILVGKISGYDDKGLQVSLDFFSDICIPAHLMQCGTERGEDGRWIWKTNGDELYLDIDDEIRFLVSSIKYPPIPVEQNEDDKPFAPMQINGSINGDGLGLLAWWAAGEEEEEEEAEGEEEQ >cds.KYUSt_chr4.46655 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288397671:288398875:1 gene:KYUSg_chr4.46655 transcript:KYUSt_chr4.46655 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRQWERELASYDGPPPPPPRNNAAGRRRWWSAPERTLANVLAHIEGGNFPVLTMPPPSRASASRRRGNVWQPRRMAASSSSSGLAPRSSFAPVKREEATSPSTPVRVKKEPASPPPTRGRSSGALVIRDQPSQPGRKRKAPKKEDAAAAAANRLAEEEAKRAEDATVAEAIASGGGCLDPAAARRLAARAAPTAAHDAARYRRPATPPSGVVVPVVDLESSDDEWYKPSPGWGDAGRAAAAQAAPPKVPKVEDDGSDDGGDDYTVFYRRLGM >cds.KYUSt_chr3.9642 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56658072:56658830:1 gene:KYUSg_chr3.9642 transcript:KYUSt_chr3.9642 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRGTLRRLRLKWVHPNGTAKSTSSLTGPAHACRPTIAESTGGSSLVSAVRVIDGELETAAAAGLDGEDATVGHFGECAAAAASSKRPRTGRGVTRRCGSGPRQLPTRGGRDRQSPIGEADAELPPRRATLRAMAGVDEVVDLHKLEPLTSIPDGTDPGVESRSQKRESARRRRWKSRVRPELCEEVIVRFPGPPHLRRARPWGIVHNSLLRDLHSHVILHAIRLHNVHFRIAHLRQRVQQREPVLRRWR >cds.KYUSt_chr2.15049 pep primary_assembly:MPB_Lper_Kyuss_1697:2:94806160:94807809:-1 gene:KYUSg_chr2.15049 transcript:KYUSt_chr2.15049 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPTSRMIHPFSNMQQRQNPNQFQYSANLQRPCHTYQSSPDTHVVPQHHYSLNSHSPDASYENQVTQDKYTLNSSAAADCMRHDSPSSNSFTPPSIRSGSGSPSSQDDSHSDSTNGSPVSASCVTVTEDPTDLKQKLRDIEAAMLGPDCEIINSLENKLSLEPEKWMQQKGFPRGNLKELLITCAIAVEENNRLAIDMMVPELRKMVAVSGEPIERLGAYMVEGLVARLASSGHSIYKALKCKEPKGSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKAEDRIHIIDFHISQGAQWISLLQALAARPGGPPTVRITGIDDSVSAYARGGGLELVGRRLSHIAGLYKVPFEFCSLAIAGDEVEEKHLGVRAGEALAVNFTLELHHIPDETVSTANHRDRILRLVKSLSPKVLTLVEQESNTNTAPFVPRFAETLDYYTAIFESIDLSLPRDDKERINMEQHCLAREIVNLVACEGAERVERHEVFGKWKARLMMAGFRPSPLSSLVNATIRTLLQSYSVDYKLAETDGVLYLGWKNRPLVVSSAWH >cds.KYUSt_chr1.10904 pep primary_assembly:MPB_Lper_Kyuss_1697:1:66655447:66656019:-1 gene:KYUSg_chr1.10904 transcript:KYUSt_chr1.10904 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSSSPDGPPPGSSLDFISRAKARGASALATRRPWRELGDPGALAVPRGLGDAYLRARANLAHFSMNYAIVVLVVVFLSLIWHPFSLIVFLACMVAWIFLYFLRDVPLALFGRTIGDGVVLAVLSALTLILLLLTGATGNILTSLLIGLVLVLLHALLHRPAENIDEEAGRWYTPAPPPPPY >cds.KYUSt_chr3.23314 pep primary_assembly:MPB_Lper_Kyuss_1697:3:144226195:144226667:-1 gene:KYUSg_chr3.23314 transcript:KYUSt_chr3.23314 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAIYQGTPDDAVLLLVKESETICDLAWKVRRILVTIHFLRGEVDESSLIANILMMVPSRFRDTVAAMDQATTMTEVIERLQVHEEVICHRLLQEANPFKTNDSELMVAVHEDICFTNISTE >cds.KYUSt_chr3.29836 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186615893:186617719:-1 gene:KYUSg_chr3.29836 transcript:KYUSt_chr3.29836 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPELVEQYTNISLGEEIELCDFRLALKVLRKKILSLDFQNSLHVHDPQNSFEYLEVLYKLRQLSERLGNLDPGGEAKEHKEITIYADDLFEMAMARLEEEFVYLLTYYKQPLEQELLSFRSTEDGSTDEFSCSSFSEEQSEGKSTQTGSSGGSEYFVADLIQPGALSAVKSIANFMFLSDYSNECCQAYINARQGAIDEFVGSLHIDKHSIEELLSTRWNKLSSSIKRWNRAIKYFVRVYLASERRLSSLIFGELSESAVDLCFYEISFSSVMQLLSFYEAVAIGEPKPEKLFRILDMYEVLDDLLPEAEFLFQAGGSDMVLTEYNEVLLQLGESARKTFAEFKYAIQSYTSSSAVPTGAVHPLTKYVMNFIKAVTVYSKTLDSLLKDTDQRHHHFSADIQSMPNSCPHFTATALHLQSIAAVLEANLEAGSRLYRDGRLRNIFMMNNICYMVQKVKNSDLKSFLGDDWIRMHNRMFQHQATHYERASWSHVLSYLSDDGLCAAGDTASRKIIREKFKNFNLSFEDVYRVQTAWSVPDDQLREDVRISISLKVIQAYRTFLGRYSSFLDGTKQRDRYIKYRPEDLEELLLDLFEGTQKSLQHSGRA >cds.KYUSt_chr7.27071 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169194389:169196106:-1 gene:KYUSg_chr7.27071 transcript:KYUSt_chr7.27071 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTAATRPSVFLARHQPPIPTSSRAAAGAVAAVDPCKQIKNRQKRTVRSWRAASAGSSNGGGDEYGAGKSVSTVAATPGRRRARLSARRRESIRLLDVLPDQGGAADGIGIGEFLRHPAGVESLLNTRALQSFAPVEPESGPAGTFRCTLQPMGFLGFQVAPVLDLRVAPTRDDCTVEMLSCRFEGSDSIEQQNELFSAVMRNHITWGDNGEQEPCLDIDVILEVTLEVYTKPFSLLPLSAVEKPGNLLMQGLLDRLVPLLGEQLLKDYHSWVQQQPRSSS >cds.KYUSt_chr6.25040 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158630403:158636438:1 gene:KYUSg_chr6.25040 transcript:KYUSt_chr6.25040 gene_biotype:protein_coding transcript_biotype:protein_coding MPHMQETECPVKPFKPKSQDDQKSTSYSVSEAAAADEPFPFFGLLCYADALDWLLMVAGTIGSLMHGMAPAMSYYILGKAVDMFGNNIGNREAIVHELSKLVPYMWSLAIITLPAGMLEIACWMYTGQRQMTRMQMAYLRSVLNQDIGAFDTDLTTANIMAGATNHMSVIKEAIGEKMGHFISNFSIFIVSVVVAFVCCWEVGMLTLLVVPMLLMVGATYAKTMIGMSMTRTAFVSETTTVVEQTLSHIKTVFSFVGENSAMKSFVKCMDKQYKLSQKEAFIKGLGLGMLQITTFCSYSLTIYVGAVAVTGRSAKPGESIAAVINILSGAIYLLNAAPDLQTFSQAKSAGKEVFKVIKTNPAISHESNGIILEKVTGDIVIREVDFTYPSREGNPVLQGFSLAVPAGKIVALVGSSGCGKSTVICLVQRFYDAISGDIFIDGQNIKELDLKSLRRNIGSVSQEPSLFSGTISDNLRIGKINATDEEIIEAAKTANVHSFISKLPKQYSTEVGERGVLLSGGQKQRIAIARAILKDPPILLLDEATSALDSESEKLVQDALDRAMQGRTVILIAHRISTIINANKIVVIENGRVAHSGTHEELLGKSVFYSSVCNMQNLEKKSGMSEQRSTEEDEEQDNETSFSTHDQGKRIELTSKEPKQGIRKRTSVFYRIFLRTFKLVPGKVLLGSAAAAVSGISRPIFAFFIITVGMAYLETDAKRIVSKYSIILFLVGLVTFFSNIIQHYIYGLVGERAMNNLREALFSVILRSEVGWFEEPGNSVGFLTSRVVSDTSMIKTVISDRMPVIIQCISSVLIATVLSTAVNWRMGLVVYAMMPCHLIAGLVQVKSAKGFATDISTSHQKLISLTSEAVSNIRTVASFVHEEEILRKADLALQEPMRIIRMESIKYGAVQGVALCLWHMTHAIQLNCTIVLLEKGLATFENCVRSYQTFALTVPSITELWSLIPMAMTAISLLDPALDILDRETQIVADGPEVPAEEDRITGHIAFADVSFSYPARTKVTVLDGFSLAIEPGQRVALVGPSGAGKSTVFSLLLRFYEPSKGKVLVDGKDIRDYNLKRLRKQIGLVQQEPILFNLSIRENISYGNEGVSEAEIVEAAMQANIHEFISSLLTGYDTVVGDKGSQLSGGQKQRIVIARTVLKNPAILLLDEATSALDGESERVVMSSLEAHGWRNNGELLAKTTNFTIAHRLSTVVSADVIFVMDKGEVVEMGSHETLVSARNGLYSRMNRMKIKGAKD >cds.KYUSt_chr6.21505 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135759726:135760304:-1 gene:KYUSg_chr6.21505 transcript:KYUSt_chr6.21505 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLSSCFNHRGSLSQQAHQPAPVRVIAADGSLKELPASPRVTVSDVLGGEAASFFVCNSDELYFNEPPPALAASELLLPGQIYFLLPATVLGRPLSSADMAALAVRASTALAAKRPQRRGGKSKKVRVVPVQEDLEDGDGFLFNQKLNERTLGEFGLPISLAKKSDEKLAARPRASRLKRALSIIQEMAE >cds.KYUSt_chr4.46196 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285464791:285466582:-1 gene:KYUSg_chr4.46196 transcript:KYUSt_chr4.46196 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFTTRRARAPRLSEPDPGPDPHADGPHDPHGLGGRRRRRGCRSRRPLDAAGDVRQHLHTGAPPSRRRGSYTDRILSYIDNNSIGDSAATRNRLDRLMFRTNERLPGAVLQAQARVLERLRGVSIGSSASRPSITLDEFSATDVFRIMDFGSREAPYESNWPTSSSVQLSSGEDEESPSVASSTLNRTRGLSKRSFLRLQIEIFEAKKDDNREASPECSICLDGFYDGDELIRLRCGHRFHSTCLEPWVRKCADCPYCRTNIRSRS >cds.KYUSt_chr2.39804 pep primary_assembly:MPB_Lper_Kyuss_1697:2:247041444:247044628:-1 gene:KYUSg_chr2.39804 transcript:KYUSt_chr2.39804 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHLAAASSPAATATALRSRAAHPAASFARLPATLRSEYAGLALSGRRAVAPVVVAAGPAAAAPVADLDGRPAAVKQPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKRMNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRVPSGVMHGKSSPVYYDEVVGKDLFEGLANPFTAARYHSLVIEKESFPHDALEITAWTEDGLVMAARHKKYKHIQGVQFHPESIITPEGKRIILNFARYVEEFEKQSSEGK >cds.KYUSt_chr7.11759 pep primary_assembly:MPB_Lper_Kyuss_1697:7:72241619:72246895:1 gene:KYUSg_chr7.11759 transcript:KYUSt_chr7.11759 gene_biotype:protein_coding transcript_biotype:protein_coding MARARKRDRLRWSKLYTFSCFRHPHTDEGGGGGAGPSAASGSPVGGPGFSRVVHCNNSILHRRKPLKYPTNYISTTKYNVLTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSPVSMIAPLAFVVGLSMIKEALEDWRRFMQDMKVNNRKVSVHKGDGEFGFRHWEDLCVGDVVRVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKLKRSLEVTLPLEEDDTYKDFRGVIRCEDPNPSLYTFIGNLEYERQIYSIDPFQILLRDSKLRNTAFIYGVVIFTGHDSKVMQNSTESPSKRSRIEKKMDLVIYILFTVLVLISLISSIGFAVRIKLDLPRWWYLQPEKSNKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIEVVKVAQAHFINQDLHMFDEETGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVRASEVERAAAKQMASGAGDDQDISLEDVWENTEDEIQLLEGVNFSAGNTQKPSIKGFSFEDSRLMQGNWTKEPNSSMVLLFFRILALCHTAIPEVNEETGALTYEAESPDEGAFLVAAREFGFEFSKRTQSSIFIKEKYTSSNGTTEREFKILNLLEFNSKRKRMTVILKDEDDRIFLLCKGADTIIFDRLAKNGRLYEPDTTKHLNEYGEAGLRTLALSYRMLEESEYASWNAEFLQAKTSIGPDRELQLERVADLIERELILVGATAVEDKLQTGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKRISLSTTAGDQVAQDAQKAAKESLMLQIANGSQMVKLEKDPDAAFALIIDGKALTFALEDDMKHMFLNLATECASVICCRVSPRQKALVTRLVKEGLGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNITFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSAEICLQFPALYQQGPNNLFFDWYRILGWMGNGLYSSLAIFFLNICIFYDQAIRSGGQTADMASVGTTMFSCIIWAVNIQIALTMSHFTWIQHVFVWGSIGTWYVFIIAYGMALKSRDNYQIMLEVLGPAPLYWAATLLVTAACNIPYLIHISYQRSCNPLDHHVIQEIKYLRKDVEDETMWKRERSKARQRTKIGFTARVDAKIKQIKGRLHKKSPSLTIHTVA >cds.KYUSt_chr7.32527 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202573218:202574315:-1 gene:KYUSg_chr7.32527 transcript:KYUSt_chr7.32527 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSLLLPLAIVAVLAASSAAVAQLEIGFYSKTCPQVEEIVRGEMVKIIAAAPSLAGPLLRLHFHDCFVRGCDASVLLDSTKGNVAEKDAKPNKSLRGFGSVERVKAKLEAACPGVVSCADVLTLMSRDAVVLAKGPNWPVALGRRDGRASSATEASNELPPANGDVPLLAKIFASKDLNLKDLVVLSGAHTLGTAHCPSYAGRLYNATGNDGAYGLVDPSLDSEYADKLRLKCKSLDDRSMLSEMDPGSYKTFDSSYYGHVAKRRGLFRSDAALLTHDTTRDYVQRVATGKFDAEFFKDFSESMIKMGNVGVLTGAEGEIRKKCYVLN >cds.KYUSt_chr5.28722 pep primary_assembly:MPB_Lper_Kyuss_1697:5:181949106:181950122:-1 gene:KYUSg_chr5.28722 transcript:KYUSt_chr5.28722 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLFPRWPLDNTLPAEILRVASLRRLYLGLWDEFPDTDHLPRGAHVFPHLLELGFCRTDIKDKDLDSLLQRSPVLEKLALILNYATPRNVRVRSRSLRCVLFWMSIAGGGARLAGGGARLAGTGRMAGDGEEGLRKGGGEGWRPKLPAGGDALVWPAAALVWQGTGRMAGDGEERARGTRSPIQNQYRGTCPVSAIGIIPGF >cds.KYUSt_contig_1658.230 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1539263:1539532:1 gene:KYUSg_contig_1658.230 transcript:KYUSt_contig_1658.230 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTGKSRAVPAAGGGGNGVAAAGGSLSYRPGLVPAPEDTMTKEARAMREAEKADLEKKIASAKDEIVALEAELAEMDAAAVSEPTKP >cds.KYUSt_chr6.27065 pep primary_assembly:MPB_Lper_Kyuss_1697:6:171624107:171625271:1 gene:KYUSg_chr6.27065 transcript:KYUSt_chr6.27065 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPNLSLQRHLRIIFVQLCVLYGEPAAAKISALIVFGDSTVDTGNNNFISTLVKSDFKPYGRDLQLENGRPTGRFCNGRLTVDFVSEAFGLPPLVPPYLDPSRNISNLVAGACFASAGAGYDNATSDIFSVLTIWKELEYFEEYAAKLRSFQGDDRARETLTEALYLVSMGTNDFLENYYAVPRGHAKDYPTAAAYGTDYLLGVAESFVRALHALGARKVDLNGLPPMGCLPMERAVLGGACTEDYNVVAEGFNAGLRALIDRLNAELDGARVVYGDVYGPVADALGRPASYGLENVEAGCCGTTGLFEMGYMCNSRSPLTCADAGKFAFWDAIHPTERLHRALADAKMNTTLHVFL >cds.KYUSt_chr2.54495 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339978556:339981055:1 gene:KYUSg_chr2.54495 transcript:KYUSt_chr2.54495 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGAVRSCVQTALKAANSLVGLAGMAVILYALWMLRAWSAQAAHLHRHLPVPWFIYTLLGLGVVMCSLTCSGHIAAETANGATTADVFLNSNWEEDFPEDPSGNFAEFKEFVRSNFQICEWVGLSVVGAQALSIILAMVLRALGPEREIDYDSDDDAVPASMSMSLRVAGDLKHDTSSLK >cds.KYUSt_chr7.35623 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222612664:222616611:-1 gene:KYUSg_chr7.35623 transcript:KYUSt_chr7.35623 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMERTLTIALLQLLPFLALSGAASEAVNAGIGALQPVGGASTERGVSISVPPEAYHMLVEQTGTASHEDPTATAEIKRKNARILARKNSGALTRHYVKKLKIAVPGRNSFKDFVNATTQKVTGYCIDVFKAAVKKLPHDLDYEFNVFDGSYDEIVHNVSSGIFDAAVGDITITYERAVYVDFTMPYSESGVSLLVLSENDSKSTIEWVFLKPLTMELWLATVGGFFFTALVVWMIERPKNLEYQGSSSRQCSAALYFSFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVIVQSYTASLSSILTAKRLRPSVTDLDQLLSTGDYIGYHSGSFVYSILTKQGFDGKRLRPYTKKEEYANALRNGSKNGGVSVIVDEIPYITSFLSDPQYYNEFQMVNRIYKTPGFSFAFPRHSPLVHNLSVAILDLTSGDEGSRIQAEWFGKTTSLPDYGIPKTDSAPLTLRSFSGLFIITVCISALMLLISIARSVHAKYTKVRDSDMQSADGDGGSEGHGGSDPLQNDLGNGSMTDQPHHEARNVDPQGIHRSGESVADEESNGSVPAHTIQIETSTG >cds.KYUSt_chr1.4032 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24510335:24512254:1 gene:KYUSg_chr1.4032 transcript:KYUSt_chr1.4032 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTKCRHLLRQLRGRHFSTAPASNHRKSTPQITIRWPEQSRPSPDPGDTARAHEATVRRLAAAGDLDGVQYALQEMRLRGVACPEGALAAAICAFARAGAPDRALETFYRAHDLGCGAPTVRVYNHLLDALLRENLVGAVVPVYDNMRNAGVEPNVYTYNLLLKALCQNDRVDAARRMLGEMARKGCRPDEVSHTTIVSALCKLGRLDEARGVLAETAPVCTSYDAVVHALCGESRMREAFVVVDEMVQRGLQPGPVTYTSIVHAFCKARELGMACAILARMVIKGCSPNVHTFTALVKGFFDDGKARDALGMWNWMVAEGWAPSIISYNVLIRGLCRTGDLNRALSVFSSMEKNGCFPDVRTYSVLIDGFSKAGDLDGAMSIWNDMTQAGYKPNVVVYTNMVDVLCKKMMFDQAENLIDKMSSENCPPNTLTFNTLIRSLCDCGRVGAALSVLRGMARYGCSPNVRTYNELLHGFFRVGNCKDAFQILIEMLNNGIELSLISYNTAISGLCQMGRSKEAMILLGRMMLQRIQPDSFTFNAIIHAYCKEGNVRAAAWMLGQMDAVNCPRNIVAYTSLISGLCNQHRMDDAMVYLLKMLNEGICPNEATWNVLVRGLSTLVGAIGPMHLIDHIVEDLQP >cds.KYUSt_chr1.20866 pep primary_assembly:MPB_Lper_Kyuss_1697:1:123243627:123259739:1 gene:KYUSg_chr1.20866 transcript:KYUSt_chr1.20866 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSCGDEDGGGVDGGAFRGHFPVPAACRNRESCPPDLGFAMAAALEENSNWPFGISSIVRGEIVIHAQRLQQQLQTQPGSLPFDEILYCNIGNPQSLGQQPVTFFREVLALCDHPYLLQRDEIKSLFSADSISRAKQILASIPGKATGAYSHSQGIKGLRDTIAAGITSRDGFPANADDIFLTDGASPGVHLMMQLLIRNEKDGILVPIPQYPLYSASIALHGGALVPYYLNESTGWGLEISDVKKQLEDARSKGIDVRALVVINPGNPTGQVLAEENQYDIVKFCKNEGLVLLADEVYQENIYVDNKKFHSFKKIVRSLGYGEEDLPLVSYQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKIASVNLCSNISGQILASLIMNPPKAGDESYASYKAEKDGIIASLTRRAKSLEDAFNKLDGITCNEAEGAMYLFPQLSLPQKAIQAAKAANKAPDAFYALRLLESTGIVVVPGSGFGQVPGTWHFRCTILPQEEKIPAIISRFKVLALADHFGGAIARRAQRMQEVLEKDPGSYPFNEIIYCNLSNPHSLGQQPNKFFHEVLALCDYPHLLHWSVTNSIFSPDAIARAREILDLIPGRATGGYSHCQGTEGLRNTIAVGIASRDGFPCYAEDIFLTDGAAPPVHMVMQLLIRGEKDGILCPVPSHFLYTSSMVLCGATLVPYYLDESGGWSVSISDLKKHLDAGRSEGITVRGLVVVNPGNPTGQVLVGENQCEIVEFCRSENLVLIADEVYQENVYTDEKKFNSFKKIARSMGYGEGDISLISFHSVSNGYYGECGRRGGYMEVTGFNSEVRKQMYKVASLSACSNISGQILMSLAMNPPMAMVQTFNSFEGMTCSKAEGAMFVFPSVRLTKKAIAAAEAMNTKPDVFYALRLLESTGIVVLPGSVFGQVYEEKSMGILCYTDEKGEFVCEGLDEGPRLTWQDMQNLTKERRLKKTEDWRERTLQIAGGIDWSNLQTAASIVKK >cds.KYUSt_chr6.26883 pep primary_assembly:MPB_Lper_Kyuss_1697:6:170431272:170431973:1 gene:KYUSg_chr6.26883 transcript:KYUSt_chr6.26883 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTAAKAKQVSSGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGIKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIIDPVYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIITGKGPLENLADHIADPVNNNAWAFATNFAPGK >cds.KYUSt_chr7.25659 pep primary_assembly:MPB_Lper_Kyuss_1697:7:160069393:160072803:-1 gene:KYUSg_chr7.25659 transcript:KYUSt_chr7.25659 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTLHRRLRRRLPSIFISPPERGDRSIDPPPATAGRPSPAKKRATSTVVPKAAAPGDLVSRVKPKIASTHRREAGGNWRRPWAWPPPTTSPCRSAVESRREEGAQRRPSPPIPTAPADPPWESRREKAAQRMPPPICVSAMLEEGRSGRRPPCVDEEGPPPPPRPVFAGLIMVYSKVEVNLRRLLEAAPRQQNHAKLVHYITTARELLEQLGAEITPEGTSSVSKAKLSEYSEKIEALAIQLAAPVPENENPVDESREEENSYEKEKVGSPISLSSGLRRRSMAHAEVGPSSHERKERDTGAPIKLDAEAQAHIEKHRKLQEDLTDEMVDLARQLKESSMLMNQSVQDTDKILDSTERAVEHSLASTGRATARASEVYSLASKTTCFQWLLIFMMTCMFVMVVLLIRVT >cds.KYUSt_chr6.26155 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165708342:165710360:-1 gene:KYUSg_chr6.26155 transcript:KYUSt_chr6.26155 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPTPALPDEILEEIFLRLPPDEPACLVRASLTSKLWLSLLFGPSFQGRYREFHGAPPMLGFVYSFGYKHSGPLFVSTTKFVAHLPNDEKFDVLDCRHGRILLGDKASVKPMTLLVCDPMTGYWMDLSAPKYGYYDGYVAAVLCTVNGCDHRSCHEGPFRVVFVGVHISADDSVTRARVASLQMDEWSEPCSHLDEWSEPCSPLDLAEYAFIEQMPPVLVQDALYFMLTYLDEDHGDDHSEILEYHLGSHFLARIDVPIVDTDIDCDYVILISMGDGSLGFAHVDRLTLNLWSRLVGSHGAAAWTHRTVINLENLLLPVLYLIITPRLIGSVEGRDIIFMNTHLGIYQINLKSLQWKKISREQSCDALFPYMRFYSRPELVHKNNPETEVARETGACETGETGAWETPVILLET >cds.KYUSt_chr6.25326 pep primary_assembly:MPB_Lper_Kyuss_1697:6:160442956:160449055:1 gene:KYUSg_chr6.25326 transcript:KYUSt_chr6.25326 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGESPRSRRCRRAWRRGVAVCPAVIALESLRPDDCLDWPWSGAVVRPTCAAVPPWPCFPPLLVLHGQLKRLPDRFIVLLGKEKNPHPLRYPVALLLCAATRRLLLRVSSAPPPDHLLRVSSGPPPNTSSASTCPRSSSSTADGSGGDYLPRVLHCDRGRSGTSRAAQWREQVRTRRLKKNSEMLTVYAVSRGYLYWMLSFVFGCSNAMYRYACLFTETCMLVCR >cds.KYUSt_chr4.41497 pep primary_assembly:MPB_Lper_Kyuss_1697:4:256732885:256737069:1 gene:KYUSg_chr4.41497 transcript:KYUSt_chr4.41497 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLEKHLLPRKIEEAVNAAVRAKAADPVLFISTHMRRAAPAVITQVRARQILDSRGTPAVEVDLHTNKAVHRASAAAASAPEGAAVDATRDAEKRRLLAKAVADAVRVINGKVSEALVGMDPQQQAQIDQAIMDLDKAHHKTEVGANAMLAVSIAACKAGAAEKEVPLYKHIADLVGKSATTLPVPAITVINGGTHAGNSLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIIWEKYGADSCNIGEDGGFAPNISSITEGLDLVIAAIDRAGYNGRIKLAIDVSATDFCVGKKYDLEFKSAKKSGQNFKTGDDMIEMYSQLCSEYPLVSIEQPFDKDDWEHSKKFTTLELCQVVGDDLLMSDPERIKRAVNEYTCDALTLKANQVGTVTEAIEVVKQAKDAHWSVMVSHRSGDTDDSFIADLAVGAAAGQIKAGAPCRGECLTKYNQLLRIEEELGTEAVYAGENWRTAAT >cds.KYUSt_chr2.37181 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230127967:230128818:1 gene:KYUSg_chr2.37181 transcript:KYUSt_chr2.37181 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSSSSPAGTPTTPRRQLQLQGPRPPRLNVRPESHAIKKPSSGVPAQAQGHGRREDKQQGQPPREPVIIYDASPKIIHTKPSEFMALVQRLTGPGSFSVSAALPSSSGCQMDEDAPDDQPMYLPPELLLSPSAAMSPAARLATIERSVRPSPAPAPPAQFMDFSDYRFDDGGLAAVVSSARPGILSPLPSSLPPAAASGQFSPLPFDASCLSWLNELSPILRTASTGAASSGSGGAGGSARPPPQSYYSDPFVPSPRNLLATPTVPSPRTFAELLSNLPDL >cds.KYUSt_chr2.32367 pep primary_assembly:MPB_Lper_Kyuss_1697:2:199539076:199539783:-1 gene:KYUSg_chr2.32367 transcript:KYUSt_chr2.32367 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRSVSLPSRPHREVEEELCSLEACISSPSLAIETISDCLLRLGDMYCSIEEIMCLPSNQVCSSQQRKMLDGEMECSLELLDLCNAMHAEFAELKNIIQDLEVAIRKGDDAAVQAGIQSYSRLLKKAKKHSKKTAKKVISYKEDCRMVTLLSEAREITISLLESTLHLLSKQIITPKQSLISKAFQKKISVLCKEEQMQMLGCSMGDLEAGVGLLFRRLVQNRVTLLNILSV >cds.KYUSt_chr1.11924 pep primary_assembly:MPB_Lper_Kyuss_1697:1:73625815:73626063:1 gene:KYUSg_chr1.11924 transcript:KYUSt_chr1.11924 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQRSGAPFSAPTSSAGAVARFLQHTSPLTAAEEADKDHPIHACSIDGTDIAPDDNATVFSVPLPLLGILLSLSILLPEF >cds.KYUSt_chr1.31235 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189512393:189514045:1 gene:KYUSg_chr1.31235 transcript:KYUSt_chr1.31235 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGEREFDAPRRAGYFDDLDFFNRELEDDEDKYDDEDEYEDGEDDDEGEDDNDEGDDEYAAEDDTEANRLQMSDEGGVTAAALDNDDLLGEILLRLSPLPTSLPRASVVSKRWELLATDPDFRRRFLAHHRKPPILGVFEQRGRTLVFTSVLDPPDRIPPARFDLPDPGGLAFDSWTLPGCRHGFVLILFQRLSLILMFDPISVDVRALAIPPGFGPRVEFPPDYTICACTISAAVLCDAGSGQGHVHGDCHMSPFKLAVVGTFSGGQHPAVARLYSSKTGIWRKAVWTPGPCAGTVSRLPSTLIGNALYWWLDESEDEILKFDMGKRRLTVVKRPNFTGIPSSCIRIIRAEGGGVGMAVLSYPSFQMWGRTVSNEGVATWMLQKTVNMHDIIGLPSGLETSNEVIVGYSEDADVVFISVSSNSEHYTFVVQLESMKSRKLNQNLLENSYHPFANFYTGGNCLFIFTSQE >cds.KYUSt_chr2.54831 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342047319:342055335:1 gene:KYUSg_chr2.54831 transcript:KYUSt_chr2.54831 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETLVFPGPDGFDCARRLRHKMLLSCLFNQRLYPTFQSMVQQTDAHMCGDHLQRLVSRGHWADALDYLGRFNSRNTVASNALHFFLHTLWALANVAAAATDGSVKSTAHQHGMALSTVICRCARLRSIVKAMVDSPQQCVSLDWKSTRIRAASIAYFLAREDADLYRLMQLPDHGQMLPLLPFRPRRHVKRPPGRRPRGRGPAIARLYLSKRASLRASTPHTPAFMDESLDRVAGLVEECLKAGKRHKLHQGASLPSVGRAFMDESLDRVAGLVEECLNAGKHQKLQSSGRVPRFLIPLQIAPGAPVSQTNSGTTSVPNADQVLSEQLRALSSDAFSKQFPGWDLVWQLRDSNINIGRFYNIIVAFFGNASNVPFTKQSLRNLCGQGSKEQADNDPREENN >cds.KYUSt_chr5.21419 pep primary_assembly:MPB_Lper_Kyuss_1697:5:139845790:139847505:-1 gene:KYUSg_chr5.21419 transcript:KYUSt_chr5.21419 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQDCIDQNILLSDVVGLVNKVTDVFPPSASTPLLLCGGEQADLSDADGLMEASNEEPGLLAFKSTSVTTWYVNMPIPENAAVRDRFKHLPWRIELHSGNQGRTEPKVSTIAEIATFESNYIMGKHYKLSIRISEVLADKAWYYHNRSRYGLPED >cds.KYUSt_chr5.4502 pep primary_assembly:MPB_Lper_Kyuss_1697:5:28741179:28741904:1 gene:KYUSg_chr5.4502 transcript:KYUSt_chr5.4502 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEIQATATRLSFSSACEPQLTAPGLQAVEATTPPWAGPFGDEPQLGFGPEVEPTTPTFPPGFEPVTYFGPGSQLMDGGGHQPELHGAALAASAPAPVDVVIDKFQHLEVDAATTFMAQVFGMLPASLMGPQPSLLPLPVAAPAPPPQQRRSSARLAKARKSGLTQEQQAQARLAKQLQFIDKTVDFTPAVRATYVDRFKAPLGKELSARLGRATGVSTAGLIDLPDNQLLELVGGEFAA >cds.KYUSt_chr4.33468 pep primary_assembly:MPB_Lper_Kyuss_1697:4:205256396:205262368:1 gene:KYUSg_chr4.33468 transcript:KYUSt_chr4.33468 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRGRSRARRDPDLDDNASPARRRAPLGDDDDEEGNEDLSLEIVERARRRREAAGGQPGFADLLSLSSDEEVDEDAVVELGEADPRRKEKKKKKRRKERKKKQRKDDVAAAQEEPQVAGTHEGEIGTAETVLTEDGVGVPVSDNTVLRKLLGQDCFICKKGGHMAKDCPDKHMRNTQQSTTLCLRCGETGHDMFGCANDYPPDDVKEIKCYVCKQNGHLCCTDFSDSLAKEITCYNCAQSGHSGMCQATQGNKCRDNPDPMLQMWRRSRAVLPTVIFNAVYFDPSFLSSTTLLLRHHLLHLHRHLHQIDSPVPPSLLGLLPRLTSAMEGEDKRLCGLAGVVDGEAYSPWGLIGVVDGEGASMCRLTGVSILARTRARAGSPASRTVRPPSLCRFAGAAIPPPPSHAGSPASPMLSAPVGREWLG >cds.KYUSt_chr3.29719 pep primary_assembly:MPB_Lper_Kyuss_1697:3:185943406:185945989:1 gene:KYUSg_chr3.29719 transcript:KYUSt_chr3.29719 gene_biotype:protein_coding transcript_biotype:protein_coding LVVPIDVKRKPWEQKVHLHNRWHPDIPPVADVTEGELFRVEMVDCTGGRVRDDDSADDIKFLDLTIVHYLSGPFRIVDAEGVPASPGDLLAVEICNLGPLPGDEWGYTGIFERDNGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSVELLNIWNERERKLTETSLESLSLCEVLHQRPLAILPTSESCLLGNIEEGTAEWQKIATEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPVGPTALHVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVGCLSFAIMLSM >cds.KYUSt_chr6.12309 pep primary_assembly:MPB_Lper_Kyuss_1697:6:76818498:76819814:1 gene:KYUSg_chr6.12309 transcript:KYUSt_chr6.12309 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQQYPSNLLFHDRGEPERKKEMDMPKPQQLAGVSPGLYFAAGGATVGGNRRKRSREAMAAPPTPAAVKEEYINLFALQQQQPAQFFNIHGGRVASASPSPAPAERVSTGLRLALDEQQQRHQQQMTSSLCYAASTSPSPLGSLSDDLAGQMKQHGEELDRFIRDQGEQLRRAIADRVRHHNRTLLVAADKSASRRLREKSLEAEREARRGAELEERLARLRAEAAAWQAKALSEQATAVALHAQLQQASCEELAADGGEARGPAESSSSAYVDPRRAGSGSCQGCRGGPATVVLLPCRHLSLCGGCVAAGEMDAALACPVCRCVRTGSVEAILS >cds.KYUSt_chr1.32067 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194484593:194486699:1 gene:KYUSg_chr1.32067 transcript:KYUSt_chr1.32067 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPPTSFPPASPSSYFNNMSAGFLDSPILLTPSLFPSPTTGSFPSQPFNWMGTAPENDGSLQLGSVKDDQQQRQYSGFTFQTTATVPAAMAGTNATASSFLQSSMPMAAQLGQDSYNNGEQQQQQPWSYQDAGSMDGLTTRPASFSTPFEAPEMAGSNGGYNSGAQVSTAAGGYGRVQSRRPSSDDGYNWRKYGQKQMKGSENPRSYYKCSFAGCSTKKKVEQAPDGQVTEIVYKGTHNHPKPQNPRRGSVGVPASSYAPDASSDALSGTPEHSSASYGDDEANNGVSSALAGQLGEELGDDEPDSKRWRGDGDGEGMPLAAAAANRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASQDLRAVVTTYEGKHNHDVPALRGSAAAAARYRATAPQLAVASYHQGGGYSSLRPDGFGGAPAQDQSGFALSGFGYDNTSASSYSYAGMQQQQQPNDAMYYDASRTKDEPRDDMFFEHSLMF >cds.KYUSt_chr7.23441 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145983284:145999851:1 gene:KYUSg_chr7.23441 transcript:KYUSt_chr7.23441 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEEKLRCTKESFIEEIGTRRIKSMRFSMLSGNEIRKYAETQVWTNRIYGPDMKPVPNGLLDTRMGATNKQAVCGTCHGSFAECPGHFGYLKLALPVFNIGFFSNILDVVKCICKGCSRILLVEKDRQEFLKKMRSTRAEPIVKFATMKKVRDKCKLSRCPWCGYINGVAKKGRQGLVILHDCSKILDGSTEELRSALLHKKEKLSIASVHILDPATVLSLFKRVTDEDCELLNLGDRPEKLLITEISVPPVPIRPSVFVGGANRGRSNEDSITCILKNIVNTNAIIKGVIQSGEPLMKCFDCWETLQLQVVEYINSDAPCLKDVTQRGLIQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPVLMARVLTYPERVSFYNIEKLRQCIRNGPDKHPGANFILQPDGTKLHLKYCDRRIAARDLKYGCIVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRSSFTLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVREKVCTKKCALTVEDKTSEEMHEAMCPNDGFVYFRNSELLSGQVGKKTLGNGNNEGMFSILIRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGESLNVKKGKTIGEGYQECHELIAQYSKGALKPQPGCTRAQTLEARISGVLNRLRDIAGDHCMSTLHWRNSPLIMSQCGSKGSPINISQMVVCVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFIYGDDGLDPVKMEGKGGNPLNLDQLFMKVMATCPQRGHDTLSPEVISQMLNDKLSEQDTASGGCSDKFKELLTKFLGDRIKMLRSTRRALHLDENHVGRKDSSIEECIAANISGISAKQLQVFLDTCLSRYHTKIIEAGASVGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELLSGQDESFGVKVKRSIEKVVLGEVAAAIKIVLKSSQPNLVIKLDMHLIEAQGYTGISADSVQLSILNHKKIKLKSEHVRVIDGAKLRIYPAGTDKSKLQFELHNLKSMLPKVIVKGIPSVERAVVNPVRRRDKSLDRYNLLVEGTNFLAVLGAPGVDAMKTKSNHIMEVNQTLGIEAARRSIIDEIQYTFESNNMIIDLRHMMLLADLMTYKGEVLGITRYGIAKMKSSVLMLASFEKTSEHLFNASYAGREDEIEGVSECIIMGIPMTLGTGILRVRQSVLGDKLVDAYLHIQNGKELWDALDAKFGDADAGGELAGRPAAGPAGTVPDPAKPGPDRPLRSGPGPRPVPAGPSPGASASPAGIQAGQTGPTACQAGAQAGQACALTSKAAVVPSAAVGDFSTKIQLRLRALGWKEDIYRDYIPFLTGSSFDAVTWRLSSSATLLEFQNWESHIDGGFERCKALDSTFGGQIEFDIAYRRVDDVLAEWYHDFLIVQGIIGVRSSWADFKQFLRARFWVKSTELDKEVVCSNTTVKEVFPVQAITEERKPCSDTKSTTVTVEEDVPLSGLDMQLKKVQGDACKTVDKIQRWSLFQTHALSRASLAS >cds.KYUSt_chr4.35833 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220279612:220282792:1 gene:KYUSg_chr4.35833 transcript:KYUSt_chr4.35833 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEICHDSATDDDRSRNMDLDRAALSRTLDETQQSWLLAGPGDQGRKKKRYVDLGCLLVSRKIFLWTVGVLLAAAALAGIAAGIAKAIPRHHSPPPPPDQYTVALRKALMFFNAQKSGKLPKNNNVPWRGDSCLKDGRSDQSFRRDLSGGYYDGGDAVKFNFPAAFSMTLLSWSVVEYSAKYEAAGELGHVRDTIKWGADYLLKTFNSTADTIDRLVAQVGSAATASGSTQPNDQYCWMRPEEIDYPRPVTECHSCPDLAGEMAAALAAASIVFKDNRAYSHKLLHGATTVWKFASDRNKRGSGSYSPRGSDAAKFYNSTNYFDEAVWGGTWMYLATGNLSYLQRVTDPKLAKRAGAFSGGPNYGVFSWNNKLPGAQVLLSRLRLFLSPGYPYEEMLRTFHNQTGIIMCSYLPVFKSFNRTKGGLIQLNHGMPQPLQYVANAAFLASVFSDYLEASDTPGWDCGPHFYSIEVLRSFARTQIEYILGKNHLKMSYVVGYGKHYPKNVHHRGASIPKKGGHRGCTGGWHWRDSKNANPNIINGAMVAGPDRHDGFKDARKNRNYTEATLAGNAGLVAALVALSGEGHGVDRNTMFSAVPPMFPSPPPPPAPWKP >cds.KYUSt_chr4.13544 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83422587:83429603:-1 gene:KYUSg_chr4.13544 transcript:KYUSt_chr4.13544 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVHGIESMAGDSRNHLSRTLGPALLISVGYIDLGKWVATVDAGARFGYDLVLLVVLFNFSAVLYQYLSTCVGMVTGKNLAQITRQEYSRFICVGLGIQAGLSLFTSELTMIAGIAVGFNLVFDHDDLITGLIFACVVINLLPYLLSPGDRRMAGTLNACIAGFTILCFVLGLLISQPEIPLHVNVMFPKLSGESAYSLMALMGANVIAHNFYVHSSIVQNLTMTLDSQLRIQRPILEAGPLTSCNHKESKPVVNVDFTESTPKGFLEPAFSKPLKPITGFSCLEKACPVAKPMPAASQISVVSCCIDVRLEAF >cds.KYUSt_chr3.34757 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218003184:218005421:1 gene:KYUSg_chr3.34757 transcript:KYUSt_chr3.34757 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKIGVAMDFSASSKKALCWAADNLLRKGDTLVLLHIQHRGRDEAKHVLWSHSGSPLIPLEELKDTAVRQRYDIPADAEVFDMLETVAREKEVRSCVIFLPSFSQVDVMLCILLARSISYPWCSSCTGAIRSREKVCEAVAELSLESLVMGSRGLGQIQSQESANPGGPQMVVEAYGPSGVSVVVD >cds.KYUSt_chr7.6875 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41464161:41465156:-1 gene:KYUSg_chr7.6875 transcript:KYUSt_chr7.6875 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKTDECEKKRMGTPSLDYDAHELRCSPYKKFEFRTHYMAPGQASVKRGLSFASFGSAESYKRFNSRQSRGAGRSSVTPEHVQSQSDSANNEMPPLMDDPLATLHDVTVAQKEANDEIGEVERLIPQEVESTLASKVGAMVMDPGHGAQVCTDLVGKDASIPIVQFPDEDGQGDETERTPQVHVEMTADMLAHMQRMHARHNSMVGGSNWERGPRPSDMIPALQGLSSLQVSFGSVNDVSMTPADTILGKRGAEEQEVQGGRLELSLGLDYGGTKAGGTPKKGKTQGADQGQPEKRNIDMFYRRNRKGAATGHTPTGKLTRPNVWSRQEQ >cds.KYUSt_chr6.26196 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165946431:165949197:1 gene:KYUSg_chr6.26196 transcript:KYUSt_chr6.26196 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGDRVYTVTSPRCSATTYPDAARRALAATTTRHLPSCPARPGQGTWPAHLAPGSFRRACLVGQAASFRASGRPADAIADRNRALALDPAFIPALRARADVLESIGVIPDSLRDLDHLKLLYDVALHDGKLPGPSWARSRARTASSWHASSRSAAAWPPVTGAAWTNTRSSPLASMENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFSVSKI >cds.KYUSt_chr6.14065 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87912023:87913267:1 gene:KYUSg_chr6.14065 transcript:KYUSt_chr6.14065 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISASFAMLTALAFLVHPCASAEFHRKLSSWSDGGATWYGGPTGAGTDGGACGYQDAVDLPPFSSMIAAGSPSIYEGGKGCGSCYEVKCSGHESCSSSPVTVVLTDLCPGGPCLEESVHFDLSGTAFGGMAKPGQADKLRSAGRLQIQYTRVPCKWQGVDIAFKVDTGSNDYYLAMLVEYESGDGDLSSVELMQGGGGGWSKMDQSWGAVWRYNSGPKLQPPFSVRLTSSSGKTLVASNVIPAGWKPGGMYRSVVNY >cds.KYUSt_chr7.23008 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142896390:142896776:1 gene:KYUSg_chr7.23008 transcript:KYUSt_chr7.23008 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAEVWPVYCWMTYLRKIRWMRAGWCTVGWVGGAQEFVLLANVLLEELEQAHRVDDLILQRCSSVLLSMANIASVGSNSRNSRIALMSSPVEKPLPGGVGQPHPILLAREAEAAEARNMGLAAQRIG >cds.KYUSt_chr7.1105 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5930851:5938481:-1 gene:KYUSg_chr7.1105 transcript:KYUSt_chr7.1105 gene_biotype:protein_coding transcript_biotype:protein_coding MPARSNPNYIGSEEFLATVGLLLMSALSPEKHRLLYRHTLAELGDLGCEVKAGENVKQCPFLLNDIPAPFKKVKDRYISYAQLAEDWLSESDRILNMPFLDTAYSTALEACGQFLWGDHDMDSVRNMALKLTEAKKWVLSVRNCISRIDEFLLCKHKFSEKVKYAEIEKLVAARCKSFCEPGLPQLQAYVEKGKMMISEINIALSSVLTVEHLETLYSRASEFPIELTETSTLCCEISFAKSWLKKTCDLLEHNRAGTIDIDLLNTLKLEELGSFLLALDGTKFSIPELNLLKQHYSGACCWASLVNGILRKLFERNDYHNIVEELTGIVRDGKSLRVKEDIQAILPSAPNMKAQIVVARSWMDKCQAYLRPRCDELGPVLKVDDLKDLICRPASMRVILDTSALNSVLSEIEKWEHNSLSLLINLRTLLHLNMVGSTIDPLERNLEELQNKISGAIESGLSLGFELKVLNELKDCLLTVGWMLRALSFCSRIPLLEKIAVPYSIMTAELEDAISKHKSWTEQCHAFFMLPDYQSWAGLLRLRDRGQSVALDCCEMDRVVLDIKKIDGWFNQCRCTLSADVNDNGSLLFILQKISGSLDNASMLYADDCKKKEFCVICSCDVGDVVASRCVICLDWYHSSCVESLLASKQMSSECICPFCFSLESGDPLEKGIQERISKGNRPALSALTELVSSAKGFYKGCS >cds.KYUSt_chr4.19487 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122488889:122494438:-1 gene:KYUSg_chr4.19487 transcript:KYUSt_chr4.19487 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHLLPFRIGLLSSVPSMSRARPASSLIRASRPELNPAESVRQVKRESSLSFDNTNSEMNSGKRKRLNRVLEVKVEHPDKKVPLKKNSTHMQVGIVPDFAKFRYDKNEAKASTSTRRVLASSSVREVKREPSVSFDKSEMNPVNNKRLNRVLEVKREYPNKQVSLKKISKYMQVGIVPDIEDFRYDKFETKESASTKRVPASSIRLEKTVRVSSVVKVEVAAPENWEVVLGGIKSMRLSGEAPVDTMGCEKAGSLLPPKMMIVCWNQFLSAAVERFSENGLLDPDAIVKTDVATLANLIKPVGFGQTICTPLRPKCDGCGINTICPSAFKESSSPNPKQKKRGLGRT >cds.KYUSt_chr7.25492 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159118680:159119351:-1 gene:KYUSg_chr7.25492 transcript:KYUSt_chr7.25492 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVARQHSGPQDGASTSSTSSEQHHQQTVVERPRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAQDAARAYDAAALRFKGAKAKLNFPRAGAVAVHAGTPPRHTTGQLVREQPGPARGAALPLTLPLPPQQRPPMVLVHPQSNTSAAAREEFPDLLQYAHILQSGDVDLRAVAAGRLTPGQSSSSTPVRHSGSPPPPPPPPQEDRSQGEGSAGPSGPNR >cds.KYUSt_contig_662.49 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000058.1:302312:302950:-1 gene:KYUSg_contig_662.49 transcript:KYUSt_contig_662.49 gene_biotype:protein_coding transcript_biotype:protein_coding MADSIVSTAVSSPATGTEHVGYGIRPSDMDSHVASLWPTAISIDDGYDYIIQQRRARRDPDGATFFYGLPTVQERAAEREARRLMYSVDVATLLGHAPGPRRNRRSPDGVREHFDEHGSVTSIDYGDDPYGGGRFGAVPASEAEIARLPKATAGEVRDKDCPVCMERFQEGEEMRKMTCPGSHYFHEGCILKWLRVSRLCPMCRFALPAEHE >cds.KYUSt_chr2.12934 pep primary_assembly:MPB_Lper_Kyuss_1697:2:81906263:81906664:1 gene:KYUSg_chr2.12934 transcript:KYUSt_chr2.12934 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNFLASNVKGVSTGYPLALEVAKSSIKEVELNADFLRGILPKLDWRALVAAASAAGFPDLLPAEQPQEAELFADGAAEAEGSAIRRLHHALLEIHVEEGTLVCPDSGRSFPILKGVPNMLLHEDEVRH >cds.KYUSt_chr7.14054 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86876461:86882176:-1 gene:KYUSg_chr7.14054 transcript:KYUSt_chr7.14054 gene_biotype:protein_coding transcript_biotype:protein_coding MNADYGAPTELAGPLQQRRALYQPRLPPCLQGATVRVEYGDATTTIDPIGADAVAQAFPHTYGQRLVTFLAPDAAAGNAAVEERPPIRVGVVFSGRQSPGGHNVVWGLHDALKAHNPQSTLYGFIGGTEGLFSNKTLEITNDVLASYKNQGGFDLLGRSIDQIRTSKQVSAAMATCGSLNLDGLVIVGGVTSNSDAAQLAETLVQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLIMGEEVALSKLTLMECINKICDGVQARAELDKYHGVLLIPEGLIESIPEMYALIQEISILHNSNVPVPEMSSKLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMIKRTKEGRYKGKKFSSVCHFFGYQARGSLPSNFDCDYAYALGHISLHMIAAGLTGYMATVANLKDPVHKWRCAAAPLTAMMSVKRHLRGPGAIPTGKPAIHPSPIDLKGKAYELLRGKASSFLLDDFYRTPGGIQFAGPGSDAKPITLTIEDQDYMGDIEMLKLYLDKVRTIVKPGCSRDTLKAAISSMISVTDVLTVMSHPLNAELPLYHFN >cds.KYUSt_chr5.32762 pep primary_assembly:MPB_Lper_Kyuss_1697:5:207762119:207765737:1 gene:KYUSg_chr5.32762 transcript:KYUSt_chr5.32762 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLGGLTKSLAMTVLSEVGDKTFFAAAILAMRHPRKLVLAGCLSALTVMTALSASLGWVAPNLISRKWTHHITTLLFFVFGIWSLWEGFKEDGESEDLAEMEAKLDADFKSNKGESKNKSKATDDKKKQKRPFLMQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVIGGVIAQALCTTAAVMGGKSLASQISEKMVELSSGVLFLLFGIMSLLSGPEGQL >cds.KYUSt_chr5.2077 pep primary_assembly:MPB_Lper_Kyuss_1697:5:14130317:14133395:-1 gene:KYUSg_chr5.2077 transcript:KYUSt_chr5.2077 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVPRQVRLASLPAAPAAAAMAKEEAKKEKKSKSKTAATSKTSPAPDARVLAAVAAFLESSGLPRTLAALQSEANLEGDSWRSSPVNLEEAISKLLGSSNSAPVFVFAAGSEQEKVADAVAEEKGAGKKKKSKKGGAEVVESESKPSEPSAPEKPIEKEEDETKEKKRKKKKDSSSAGGDAGGEAVAVVKTEDDLKPDGKKKKSKKQDKDEDVEARLEKVELAVKAKFEAAGKLKDDGKKKSGEEEPKVQNDEADKNGLGAGAPLDKGKKKKKSKSASETSDKADAGTAPTEVEVKPNGVNENSVDVEKGEDVKEKKSKKKKKKSGSEENVLVEDKQVAEKDSAPKPDDDNKTEMDIEKGEEDGKALTDDAVISKKRKLEDDITATEDDVKEPSTISKPNKRQKLSSEPKTVIAFQRVKLDDVKFADERLQDNSYWAKGGADSGYGAKAQEILGQVRGRGFRHEKTKKKRGTYRGGNIDFQTHSVKFANSDDE >cds.KYUSt_chr7.17023 pep primary_assembly:MPB_Lper_Kyuss_1697:7:105556313:105557734:1 gene:KYUSg_chr7.17023 transcript:KYUSt_chr7.17023 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTGTALLLAVCFLACYGSVPSLAASGEFLQCLSGNIPSQLVFTSSSPSFTSVLVSSIRNPKFFTPTTVRPLCIVTPTNASHVQAAVLCGRRHDVRVRVRSGGHDYEGLSYRSERPETFAVVDLANLRAVRVNRRAATAWVDSGATLGELYYTVAKAAPGLAFPAGVCPTIGVGGHFSGGGMGMMMRKYGLSVDNVLDATLVDATGRLLDKKAMGRDLFWAIRGGGGGSFGIVLSWKVRLVPVPQTVTFFNIQKSVDQGAVNAVTKWQTIAPALPEDLSIRVIVQSKQALFQSLYLGNCSALVRTMSSQFPELGMTRADCREMSWLQSTVYINSGDANTTVETLLNRTTSLNTFTKNKSDYVKQAITEASWEKIFPWFNNAGAGIIILEPHGGRVGSIADAETPYPHRSGVLYNIQYVAFWTGNSTDSPNWIRGLYDFMEPLVSKTPRGAYVNYRDLDIGENTVIGGVTSY >cds.KYUSt_chr1.29870 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180909631:180911598:1 gene:KYUSg_chr1.29870 transcript:KYUSt_chr1.29870 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDLSSELDPALLLSTSASSSSPPDSASPSFSSSSQPSPPPEYTLAVTNLSCPAPRRASAAFLPFLQSSSAPATATASKGLLNSVSFTASSSRILAIVGPSGAGKSTLLRILSGRGTGSEIAKPPTVSINGHAVTSRAQLRRTCGFVTQDDNLLPLLTVRETILFAARFRLRSDVTARERNERVEALLQELGLSEVADSYVGGGDGEMSSARGVSGGERKRVSIAVDIVHDPPVLLLDEPTSGLDSRSAMDVLALLHEVARARRQVVVLSIHQPSYRMLGYISSLLLLSRGAVAHFGTLKSLEGALGRLGHKIPTQLNPLELAMEVTDQLQEDHSKFAALKDQDQSEDESLGLASGRGGLHVPEHGYRGRAVEVTALTVRCWRTMYRTQQLFAARAAQAIVGGLGLGSVFFRVPADPDGVALRLGLFAFSLSFLLSSTVEALPILLQERRVLMREASRRAYRLSSYVIANALVFAPCLLAVAILFSVPVYWLVGLRASLAAFAFFVLAVWLIVLMASSLVLFLSAVSPDFILGNSLICIFLGVFFLFSGYFIPKESIPRYWTFMYYVSMYRYPLDLLLINEYGGSASEKCVAWMGGNAMNGNGVCLSTGGDVLRGRGVDEGMRWVNVGVMLGFFLVYRIMCWAVLVRRASKTTL >cds.KYUSt_chr3.29770 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186295714:186297210:1 gene:KYUSg_chr3.29770 transcript:KYUSt_chr3.29770 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDEQQSPLHILFFPFLAPGHLIPMADMAALFASRGVSSTILTTPVNAAIIRSAIDRANDALLRGTGCPAIDIFIVPFPDVGLPPGAENAMAVTSQSDRGKFYQAVKQLREPFDRFLADSRPDAVVSDSFFDWSTDATTEHGVPRLAFLGSSVFARSCSDSMLRNNPAETAPDDPDALVSLPGLPHHVELRRCQMMDPAKRPEHWAMFQSLDAADQRSFGEVINSFRDLEPDYVEHYQRTLGRRAWLVGPVALAGKDMAVRGNTSAPSPDADSCLRWLDTKQPGSVVYVSFGTMTSFAPSELHQLARGLDLSGKNFVWVIAHSGPDSSEWMPKGFAELMERGDRGFIIRGWAPQMLILNHPALGGFVTHCGWNSTLEAVSAGVPMVTWPRYADQFYNEKLVVEVLNVGVSIGAKDYASAMETHEVIAGEVIAESIGRLMGGSHKSDTIQRRAKGLCVEARRAVENGGSSHNDVARLVDELMARRSRVKVGEDISTN >cds.KYUSt_chr3.47172 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296075449:296076134:-1 gene:KYUSg_chr3.47172 transcript:KYUSt_chr3.47172 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNGVRFIRIELRNLSAATATLRCARGPNAHAQHATTSSRGALNLGSSQRATNDVTLNKWFASQLRLTCPALNTDNTTNNDIRTPNVFDNKYYVDLLNRQGLFTSDQDLHTDARTKPTVTRFAVDQDAFFDQFVFSVVKMGQINVLTGNQGQIRNDCSAPNKGRTNNDLPWSVLETLAEAADSLVL >cds.KYUSt_chr5.26746 pep primary_assembly:MPB_Lper_Kyuss_1697:5:169337318:169342150:1 gene:KYUSg_chr5.26746 transcript:KYUSt_chr5.26746 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTPTKIRTNSDMATEKKLLGPCVPPCRSSWPPIRGLHDRAPPVLGRPHQTPRIAMASSSDPWMKEYTEASKLVDDISSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLVTLLSRIPPKSLTDKEMHKRQDALSNLKSKAKQMGTSFNVSNFANREDLLGQGKKAADDMNRVAGLDNQGIVGLQRQVMKEQDEGLERLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQVNATWRRSCGGLRRRLRCRDDHPSSAITDLHRDHVRRCAADLHLGLHVGRIGTQGLDSVHGSSG >cds.KYUSt_chr4.30237 pep primary_assembly:MPB_Lper_Kyuss_1697:4:189647918:189660104:1 gene:KYUSg_chr4.30237 transcript:KYUSt_chr4.30237 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIPRAPPPNPHHNHLLHRPPTLTPLLRLRLPFRRLRHPSAAAVTSTATAAVAHDDISQLNHRLRALVRRRDAAFAASSPIDPAQAEAYLHMIREQQRLGLRQLRRDAADPKEDRDGGSDEEVEEEGGRRRKGMAAGSSLGHRVDPRELEPGEYVVHKKVGVGKFACISGEDGEDYVFIQYADGMAKLAVDQAARMLYRYNLPHEKKKPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQRRTPYRKPVAMDQFAAEFPYEPTPDQNQAFVDIENDLTERETPMDRLICGDVGFGKTEVAMRAIFIVVSAGYQAMVLAPTVILANQHYDVMSERFSNYPDIKVAIFSGAQSKEEKDGLITQIKNGHLQIIVGTHALLTERMGYNNLGLLVVDEEQKFGVQQKEKIASYKASIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVKTYVSAFSRERALSAIKLELKRGGQVFYVVPRIKAIDDVLQFLKDSLPDVPMAVAHGKKVSKNIQLAMEKFSCGDIKILVCTHIIESGIDIPNANTMIVQYAELFGLAQLYQLRGRVGRSGREGYTYLFYTDKSLLSRIAMDRLGAIEEHSDLGQGFHVAEKDMGIRGFGSMFGEQQSGDVANVGIDLFFDMLFDSLSKVDQFCLNPVPYKDVQLDINISPHLSSEYISYLENPVELLNEAAKAAEKDILTLMQFTEDLRRRYGKEPRDMEYEVFTMIHFVV >cds.KYUSt_chr5.38554 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243939820:243945550:1 gene:KYUSg_chr5.38554 transcript:KYUSt_chr5.38554 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGDGQVAPVRSSDRLRQRPKYYGRGYLYYSPNMRNKMNNKKRAPASQIAKKMLRKPAARDPPADSVAANLRRSTRKRRVSVTLDGYGTDSSSMEDDDLMRPRYRSSKSKVNDQASERPKRKKMSNSNSMPRREGLRPRRSLRGQRHLPFQDSDDDQESSEEQHAQDQRENGNDIEEDDANEEEVDGGDEAEEDEDDEDGEEEPEGRKKYDLRDRAEVRRPSPRKEVKHRPQSPRRVLVHGAGPKNSKYLKKSGSRMHKRPRFSMPDDSDDSLLVDEPDEGPSMPWMRSGRGGMPWLLGGMDMHSSAAWGLNAGASGWGHQGDTGVSTSSLMPGAQTAGPSSKGGADIQPLQIDESVSFSDIGGLSEYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPAIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFYFPLPGYDARAEILDIHTRKWKEPPPKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVRVQKNHFLEAMSTITPAAHRGSIVHSRPLLPVIAPCLKRHLEKIMERISDVFPYLSSSDVSKYAALSYGSSISLVYRPRLLICGVEGVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELASNLPVLLLGTSSVAFDDLEEECASIFSSRNVYQVERPGDDDRLRYFTVLFDSLLSLQMEDSRSKSKDQKSSVDLPKAPKKVDGPKVSELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFNAFHFPVSEEEVPDYRAIIHNPMDMAAVLQRVDSGEYFTRAAFMKDIDLIVSNAKTYNGEDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIAAQGGPLQFMDGEDSSILQAPPVVQLVSVTRTSARLRNVQPDVDLSRSYEVLKRHKKSTENEQGSTIKESTARDERSPGDVDLSKPTSPEEAPEGPVSNGPLKEADKAPAEVPAVPGSPPEPMVTDNGQEATMPTSDDTPEQLEAVKQRFMELTVGYGVPQLERLYSRIMKGALELRGKETNEDHRRLVVRHLLSFVENGDNF >cds.KYUSt_chr7.35708 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223043417:223044881:1 gene:KYUSg_chr7.35708 transcript:KYUSt_chr7.35708 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKDLSMATAKKLLPVSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVSGFLRGKGKPPTQVSLSVICTALGVLVAALGDFSFDLYGYSMALTSVFFQTMYLILVEKSGAEDGLSSMELMFYNSILSLPFLFFIIIATGEFPHSLSVLSEKTASVSFTVILIISLVMGIVLNYTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVVLGGVKVHALNVTGLVINTFGGVWYSYAKYTQKKKMPRKIAPDEESHPHK >cds.KYUSt_chr7.13021 pep primary_assembly:MPB_Lper_Kyuss_1697:7:80312427:80315361:1 gene:KYUSg_chr7.13021 transcript:KYUSt_chr7.13021 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKPAAVPESAEDPAKGRAAGGGEGLRQYYLQHIHDLQLQIRHKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDLDKSIDINKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNADSRGDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >cds.KYUSt_chr4.13474 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83080135:83082864:-1 gene:KYUSg_chr4.13474 transcript:KYUSt_chr4.13474 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQCPVNSPFDCLLLDLDDTLYPGGTGIGPALKRNIDEFLVARFGLAADRAAALRVDLFRSHGSTLAGLIALGHDVHPDEYHSYVHGRLPYDRIAADPRLAALLQSIPQRKILFTNSDRAHMKRALERLGVDEACFDDVVCFETMNPHLFGEVNGDDDAVADRTVVVLKPSVDAMVAGLRAAGTNPRRTLFLDDNERNIAAGKALGLRTALVGKRARSKDADYALENIGSLRRAIPEIWGGVAAADELQPDHNVEKNKSMRAELDAVIQPTSIQA >cds.KYUSt_chr3.26996 pep primary_assembly:MPB_Lper_Kyuss_1697:3:168421408:168424173:1 gene:KYUSg_chr3.26996 transcript:KYUSt_chr3.26996 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPKNPNLYGYHLCFLLLMFSTTLSAALSTSYSSVCPDFTSAQDIHTDHNDTLSLTRSFQISTGYFPSGGEGGLFIADDDLYNSYRSFSLFPHGASRTTDQALLHLSATLTIIGPRSRRTYRSSGYRHNYTLPGSISFILDGYYSSVSLQLCMVGTGTEQAPGGSLKHYPDVALRLRVPSPPSLTDPFVNGTLEGSDDFSTIHLLAYAEGGDYKYGSQGAYCGTSRQEARGSFQALGSIGFAWCAHLKEQLMTSYRLQEHGGGSTLLRRLGEPTAMDINQMHCTEDGGVRAYVVFPNRTGAESPRFYYRQRHFLVDEEAVVAEGRWDRDQGVLCLRACRVVGSASAPSALAVQEHECGIGMSFWFPAVWTMRDRSIVAGMLWNSTQETTGNKDDAGVIRASSIEVADHNHMFIQGDHRSSNLSDVKYTYNDTMLEEAKKHYDLKFKKEKMRGSHSFPGNYTYRDFEFQFYGHRMGSGQAYPVTIGLVMVYGERLAAEDSFTKQAVVVHKKNALLSVSYDIRYSAPRKRPRITNGSLVLTPDEELRISAEGVYDPERGILCMVGCREHNGSTDCQILVTVKFSSLDARAQGHGRGVISSLRKQTDSLFFEKIDIRLYGMFSNQLSEAVSRIDLESIMLVASATLSCIFTVLQIFHTKKNPEAAPATSITMLVILTLGYLTPLVLNFEAMFMSRRSRYFEYAMGGPLELNEVMMRAPILIAFVLQLRLLQLAWSGRCKSVDQTTSSPVVSERIVLQICLPLYMLGAVLATIIHVINVRAAREDPLVVRIGGEPATVWEDLVSYAGLILDGFLLPQVILNTSLASPGVRAISPWFYIGCTMNRVMPHVYDVVRGQIYKQSINPSDLYASPRADLFGVAWDIIIPCGAALLAVLLFMQQRLRGAQSLPSQRRSGGYELVSTL >cds.KYUSt_chr1.30170 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182605264:182606520:-1 gene:KYUSg_chr1.30170 transcript:KYUSt_chr1.30170 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASPPAGPAASSRASATRSRAGRLSLPAALPADGRGDSATTYKELGLYSWKRRIEDVVIRVEMTASNALKWEEAQRIKHEEVLQSRSLWDNPAKSHEALSALSDAIRAVDHLKDLLYKAEEAKLISQLAGMDVINGELFKQAYNISLDASEFLDRYEMYKLLKGLYDKEGACVIVTAGSEGVASEVS >cds.KYUSt_chr3.31344 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196896228:196897259:1 gene:KYUSg_chr3.31344 transcript:KYUSt_chr3.31344 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLAKATIALVILVMLFMPAAMAAASFDATRSQHLPLPRGTVRGPESVAFDGQGQGPYSGVSDGRVLKWNGDKLGWTTYTHGPGYDSKMCTATKFRPETATESQCGRPLGLRFDHKTGDLYIADAYKGLMRVGPGGGEATVLVNNVDGIPLSFTNGVDVDQTTGQVYFTDSSMNYNRAQHEMVTRTGDSTGRLMRYDPRTSDVTVLQTDMTYPNGVAVSTDRTHLVVASTGPCKLLRHWIKGPNTGRSEPLPTSRGYPDNVRPSNKGGYWVALHREKNELPFGRDSHLLAVRVGSNGKILEEMRGPKSVKPTEIMERNNGKIYMGSVELPYVSVVKRK >cds.KYUSt_chr1.17931 pep primary_assembly:MPB_Lper_Kyuss_1697:1:104465397:104465936:-1 gene:KYUSg_chr1.17931 transcript:KYUSt_chr1.17931 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSSSLSMASGQRLFAPARPLQHAADPALELDEADIIWGAGGALSSSPVDTYGRALSSAHAPASRASRPRAAAPREVAAAGVGGGPASMPVNIPDWSKILGAEYGGGGGGSAGRWATDERGDAYGESGGWVPPHEQLMCRERAAASFSVREGAGRTLKGRDLRRVRNAIWEKTGFQD >cds.KYUSt_chr2.41885 pep primary_assembly:MPB_Lper_Kyuss_1697:2:260738360:260742858:1 gene:KYUSg_chr2.41885 transcript:KYUSt_chr2.41885 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITVRRSTMVRPAAERPRERLWNSNLDLVVPRFHTPSVYFYRRPDSEGPFFDADRMRRALADALVPFYPMAGRLARDEDGRVEIDCSGEGVLFVEADAPDAAVDDYGDFAPTMELKRLIPSVEYTDDISSFPLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGAQIAVMPFIDRTLVRARDPPTPCYPHVEYQPAPAMLSSAPQALTGKPTLAPTAVDIFKLTRSDLGRLRSQLPTGEGAPRFSTYAVLAAHVWRCASLARGLPAEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTSGVADGAAVIQGALDMMSEDYCRSALDYLEIQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANKDGSLSIAISLQAEHMEKFRKLILDV >cds.KYUSt_chr4.6218 pep primary_assembly:MPB_Lper_Kyuss_1697:4:36500078:36515802:1 gene:KYUSg_chr4.6218 transcript:KYUSt_chr4.6218 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDSHQGSSSAYPGSALTAAFFSSSSIMVEGRPLPPSTSVMVSSGRRPKVIFNLQAVMPLRRPLSYGAAGSRLPVPSGVVPGDVEGAITSASWELNWKSWTPPRVKFFIWLACLDRCWTGERLARRGLPHAPRCPLCDQAEETMTHLLTGCSFSKTIWFEVLSWIRSTSGPPSEGDFAEWWSLAVRTTPRQLRKVPQFRDGQRPRFSSFPSPLTSRLSPPRLRPIPLVAPPKPTPSMRGQGEAQRPQQGHDPRHGAASTSAAAAAQQAPPPPAGMNPYAYHPNPHQYAQNPYNLMLPHLFLQNQAALAAAYHHHHQQHPQQYLRPRLPSPGHAQSPTANVQHPAPQAPGAASPAPAPPPPPRNQHAVLERAQAAASKARNELARAGEGVTGWKVAQAALAALKADSWESLGVQLHDVPILRDLFLIEGTVNTFIHCYVAARQIVSIHDLEVEICKNEGIRQFEELRLGPFLQHPLVVHYFLVPADLSKVPKLSSEDIINCLQKFIDNFKEKVTAESFLDYLAEQKSVSGKEKLGVRVQSLGLHISFLQQARRNEVDGIQLLAKTSGSDYYAETPEEKRNLIKIFSQYPGIGFLNVAVRSMAFGLLDSIYDAIHVFSENKLSSSPIPNSTTEVMEIEPLSKENAEFIAEGANDSNEPGPNATTDDVVRRITEYLESNCGVSGAGALQVENIIFLKTLHDCETCVTNHFSAKHFTSLGHGTFLEFLEKYGHQFPPKLSSFLKGQNSGSSSLEVSVLRQQIEVLLSQAEGNWLEDGDFSGDSFLMLLKRQFPTISFDMAQYKSDEGLVGSVERQRKSIQTNNVTFSISLLEKRWSGMSPGEHNIAGGQRDNAVEPSYNSGTVSSREAVNCLLKAPMLSDLLLWSHWDMLFAPSLGSFIHWLLNTAPVQQLVCIVTTDGKFIRVDPSATVDQFLEAIVQCSPFQVTVKLLSLLHIYNGSTNTPISLLKCYAQRAIGIIINNNNDPVNTNSERKSVTKGSYNLSTEQRDHSTHFVGHVQQRSQPSSAGNFMSDILANIDDTVHFVAKFVLDCLGYLPSEFRSLAADILLSGLRTVTKNCYSAILHEATETWQLCMLHDIGLSLGIAEWVEDYRGFCLTEKVHTKTEAHSSSGHTSAASEVPTLENSLVLIPHDVDMMNDNSKSFPGEKDQVICMNNKKQNMSSPIGAKAEITINMNQSPVMRETNLEEAALVIETIRREEFGLDQAPSCTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELIQNADDNTYLEDVEPTLAFVLRESGIIVLNNERGFSAENIRALCDIGNSTKKGANRGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVPPYSTSSLSSMLSVEDDKDACSLWNTCILLPFRSKFRDGTGMCSIASMFSDLHPSLLLFLHRLNCIKFKNVLNGTLLIMRRKALGDGIVRISHGNEIMSWLVVSKKLQGTLVRHDVHTTEIALAFTLQETEKGEYEPHLKQQPVFAFLPVRNYGLRFILQGDFVLPSSREEVDADNAWNQWLLSEFPSLFVSAQESFCSLSCFQRCPGKAVTAIMSFVPLAGEVHGFFCKLPHLILSKLRLTRCMVLEGSSSQWVYPCNTLRGWDEQTKVLFSDDLLHQHLGLGYLSKDITIPDTLSRALGIHDYGANVFIDMISSICQTEGCIESLGIEWLCACFVNLDWMLSHSSQNIPSATSLEGDLLCALRKLPFIPLSDGSFSSVADGPIWLPHDILNSTPDCESSMKDFPILYSNLRIVSPRLLSVSCKNKHLVEEMRANDLMDILIKIGVRKLSGHEIVKNHILVSLPNCTDAKKSDKMMIEHVSFIMLHLQSPCMSCNFEKEEIVSELRTRPIFLTNHGYKCPADEPIHFSKEYGNSVDIGKLLQNVEIRWIELDSCYLMNHGSDLLPSVLKRWRQFFEEMGVTDFVQVVKVEKNISQVDSSIAERISQGDISVTSFRVDDWESPELANILSIFSSKRCRENCIYLLEILDSFWDDYYSAKSWCLTNMIHCGENKTVESSFMKCIRSFRWIATTVDDDLHYATDLFHDFENVRSLLGSVAPYAVPQVSSISLRKDIGFKTNVSHSDALMILKLWVASQVPFNASVDQMCKFYTFMSEGAADTKIDIKREFMSCPSIFTPLLRSRSSEVVPGKFLSPKDLYWNDPTGCSATTDELFWGKKRMFPRRMLCSAYPSLCEFFMEACAVPKVPTASDYVDMVLQLSNVVLPSQVVHQVFRVFVRWATDLSDKMNDIAYLKDYLQKLETTILPTMVDKWVSLHPSFGLVCWLDDDELKQHFKDCSDVNFIQFGELSSGDKEMLHGRVAALMKSLEVLTLEMLYVVYREAIFYGTADNREKATLICGLLPYMQRYIYKMHNDAYMNFQQNEIMNLSKLQIIVVEKLFHKYMLKGHVSSSKRRFKCHCLLQGNILYATKEADSHSLFLEISRLFFDGSPDLHFANFLHMVKTMAESGTPAEKVESFISNNQNMPVLPEQEAVWSFSSSFVADQCVDSKPVESSSACDINTPKHQRSDGAVSSWPSNIGRTAPDFRTSHGSKHELLQEIKVNDVECSNTKDNWFPVHLEEDWLIEEDIMVGSPVHTESTVATLDEPQMVISINSDNAPSYIDLGTGSPSDIIDTDIIDFNDKTSNASKGRGRLHRGGPDAAELLRTGRVGEALAYKHFVDRLGSKNVNWVNGETESGLPYDLVITRGDNLIQYVEVKATTSSNKDWFYITAREWQFALEKGDDFTIARVLMSGEKKASIKLLKNPHKLLRSPSLLKSSVPETQMRNTTHRNAFADPTYESMTPLLFGK >cds.KYUSt_chr4.35896 pep primary_assembly:MPB_Lper_Kyuss_1697:4:220640473:220641583:-1 gene:KYUSg_chr4.35896 transcript:KYUSt_chr4.35896 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTRLLAVIVFLLSAAAAAVDALVFDMPSGTSKCFAELLHDGADIHVSYRVAKSTSAAASTVSVRVMGPEGEELHLMEGVKRGRFVFQAAGYGQYMACFWTPHYERGAMISVDLQWAIGNIAHAEGPLATVATGKYGSIEMIDWWPITKAKDNSVLEMILTMLRKLFVDS >cds.KYUSt_chr5.31568 pep primary_assembly:MPB_Lper_Kyuss_1697:5:200035307:200042753:-1 gene:KYUSg_chr5.31568 transcript:KYUSt_chr5.31568 gene_biotype:protein_coding transcript_biotype:protein_coding MTEREPLQGLDQSSSVHLEENTDEVADDEEDQDEEDQDDGENGDMDEYDVFSLPNGSITDIAGVIMYVGPIDHDTYFPLGMRELAVVDYNDRTVFLRFLDELADSHREQLFFGEMHYSFLMTTFMEVDQASRSLLSTSATTITFHRCLACHQFDMLEGVRKRIHNDSAYGEAVKNVVLLRRDAQNPANEYNTVHLPAEASSIKYMYNYLKRSTPGTSPDKVDNLLYKYDSLGGKKTQLPHDLAVAIAASPLSSTSTSIDKGLQTPTNVGNYNSTATARSRHHDTLPYHNRRIHSLLHLAGLLHLKTVHSPYESGRVASFSMSGATSPMSSADQSTPRFSAIVHAINAENIDMGSMDYACTGDDWSVIDKIRNEPSDKRYLVSIDDGYLKRAELLSLLTPGEFIGDEIINAYINCIRGTEHLQVRSSCGLWMLNFMEYFTGDILSDIPEQVNMTNFRNKLAIILVDSHLNDDNIRNRDLKDDEEQTFDPTDCVIVDGPPKNIKTSKLASEIGFISQSLLLLPSANPTDQELIDELCLYISTVDDIPSLETEWVKSSSPYPISLNLRQISSILKMNENMDVSCFNMAVWILAWHDIQLARDVPVHYMDLNFCLMSQYARDPSRSDYPDVARLAQLFLSWPDSNEYHISECNMILLPWDIVGLFQLFVLDRHKKVISFLDPLPIPYLAKTILKNVADNFNLALEVANPASKDDITKWGCKAPKVPTNPDE >cds.KYUSt_chr5.29064 pep primary_assembly:MPB_Lper_Kyuss_1697:5:184015382:184019688:1 gene:KYUSg_chr5.29064 transcript:KYUSt_chr5.29064 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLPPAPPNPRQDAIDLHKAFKGFGCDSTAVSNILAHRDSMQRGYIQHEFKTMYSEELSRRISSELSGNHKKAMLLWILDPAGRDATVLRDALSVEAPDLRAATDIICSRTPSQLQIMKQTYYAKFGTYLEHDIGQQTSGDHQKILLAYLGIPRYEGPEVDPTIVTHDAKDLYKAGEKKLGTDEKTFIRIFTERSWAHLAAVASAYHHMYDRSLEKVVKNETSGNFEVALITILRCAENPAKYFAKALRKSMKGLGTDDRTLIRIVVTRTEIDMQYIKAEYYKKYKKPLSDAIHSETSGSYRTFLLSLVGSH >cds.KYUSt_chr7.17123 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106091284:106092831:-1 gene:KYUSg_chr7.17123 transcript:KYUSt_chr7.17123 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSQSLALAVLFSFLSCNASLASSDGFLQCLSAAIPEQLLYTQSSPSFTSVLVSSIQNPKFITPSTVRPLVIIAPTNASHVQATVVCGRQNDVRIRVRSGGHDYEGLSYRSKRPEVFAVVDLATLRSVSVDQKAATAWVDSGTTIGELYYAISKASILLAFPAGLCPTTGVGGHFSGGGLGMLLRKYGAAMDNVLDATLVDAKGRLLDKQTMGTDVFWAIRGGGGQSFGIVLSWKVKLVPVPPTVTMFSILKSVNEGAINILTKWQEVAPALPEDLFIMAIIKKQVAKFQSMYLGTCDTLLLLMGTRFPELGLNQTHCKEMTWIQSVPYIYLGNTATVEDILNRTTSMNSFSKATSDYVRQAIPKDTWVQIFTWLAKPEAGIMIMDPYGGKMSSFPESATPFPHRGGVLYNIQYMNFWSAATDGSARTKWLKDFYTFMAPYVSQNPREAYVNYRDLDLGENVVVGNVTSYQAGQVWGQKYYKGNFQRLAMAKGEVDPDDYFRNEQSIPPLVASK >cds.KYUSt_chr7.33386 pep primary_assembly:MPB_Lper_Kyuss_1697:7:208333387:208333931:1 gene:KYUSg_chr7.33386 transcript:KYUSt_chr7.33386 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMPRFGGRGDPFSSDLFDPLGASWPWDAVRRGGDDATAVARTKRVRKEDVKVEVEDGNVLKISGQKTREEEHKDDAWNRVERSFGSFMRRFRLPENAKAEGIRCTMQDGVLRVVVPKDEDAQKQRNVRSIDIA >cds.KYUSt_chr7.3224 pep primary_assembly:MPB_Lper_Kyuss_1697:7:19175558:19176264:-1 gene:KYUSg_chr7.3224 transcript:KYUSt_chr7.3224 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVMFPWLAHGHINPYLELARRLTAVTSHLDVVVHLVSTPANLAPVACHQTDRINLVSLHLPSLPDLPPALHTTKRLPARLMPALKRACDLAAPASARCSTASARARTAAATAFFAHCLQNERVPRAFPFEAIGLGGPDEDARYTTLLAIRCDGGTALVPDPLRVELGAGVHGGGRSHGGEPSSRWRTGEAASRRRTPCGRWARR >cds.KYUSt_chr4.12766 pep primary_assembly:MPB_Lper_Kyuss_1697:4:78642288:78647171:1 gene:KYUSg_chr4.12766 transcript:KYUSt_chr4.12766 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQEAPLSRPSASRHRRRPLSDDAVESSSPKRQKLSLHRHHHSHRHGDRADRGEAEDGEILDDDDAANNRAIGKSGSVFCGLAPAPECDDKSDANVLHASGLASDPSEKYGVSANKRNSYHSENGTTGSSHRKYSKRNEPFERMSRFPQSRSEREIEHKDAEVNDTEARSCQGYLVSRKESNRMQNGRDERHARSKVSQTEDHYMRYPYPRQHSEAHNMDRLRSSHAYRGTRSEANGLRNFVTGASEHENADDRCIEPDRYSARRWATGRGIYKERKRICMEKDRRSSSTRHKDDARQNDKTNDSCKLRDMVGNIHGMEKLSGDGDMRHFGANKNYAHRTGEINGKYEQNDSTHVNYDFFSNPKDMPISGEKCSEANHRTKRSEEIINESFKEEDQDNFEHFAKQEEDDPEKTKEEARKRRDAILEKHRQRQLQKEQQEPVPCLDSKEVTSLDRDAAATEVKDAYSVTLMVEGKIGNNHEPSNMTVNGNPYTVRKSHPTQPNISINLGESGDQRTKGFSGFEESIPMSGRSSDVLCCDIFGVSPIRVQKSGNLDGMHIRKKGIRDNWDDEDGYYKYHLGEVLGGRYRITAGYGKGVFSTVVQAKDLKAGKNDPEEVAIKIICNDETKERYKSGKREASVLEKLSNADREDRRHCVRFISSFMYRDHPCLVVESLHMNLREVIKKFGRDIGLKLTAVRTYSKQLFNALKHLKCCSILHCDIKPDNILVNGSKSLLKLCDFGSALSAGRNDITPVLVSRFYRAPEIILGLPYDHALDMWSVGCCLFELYTGKILFPGGTNNGMLWLHMELKGPFPKKMLRKGAFTSQHFDHDLNFHVTDENLMMKKAVNKLLMNFKSKGVGSKISSFPGEDLKLLSKFKDLLEKIFVLDPEKRLTVSQALGHPFITGE >cds.KYUSt_chr2.54144 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337931756:337931992:1 gene:KYUSg_chr2.54144 transcript:KYUSt_chr2.54144 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGREKEEDGVSVARTVLPMLLMLDMRCISHCIHAASPDDSIPLVAELGKAYAIEALHTVHRRMGLRRSSVPPPDS >cds.KYUSt_chr5.40659 pep primary_assembly:MPB_Lper_Kyuss_1697:5:256631501:256635923:1 gene:KYUSg_chr5.40659 transcript:KYUSt_chr5.40659 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRGRNGTPPRLGAGVPPASAGSLYRPPHARGIVPDASDGWRSSTPDAGSQHRPSPARGVRKGQSGDWRSSTMHRSPAAPGAGRSSTSGTVPTTFGKTSFKEDSAPDLMLSPKDLEESMSVIWEAMQDGEPSCGPSTPSPPREPSLPRETRPRAPMSASPPRWGRRSSNTCRNRVRDSREPRKSQPEPVKEDQIDIEQCKRSFRSFLDKFCVELANQMWEKKSFSLLVDFDEMKRVNRNLCYDITNNYEKVKSSLSTAAKEFLLDQFSSKATDGRFPSNEMLADSVEGFTTVSFSRMPKSIMSLEDLLKRHKTTTRYLFNRHRKCRHLIAVFAPVARDVLRRVVCYITKHHMDGFAWDGKWELSDWDVIDGDCVELVPAPVRLEGRSMSDSDLEAIAKKIIRKLTSNGEADGIFPAYLEHLYAQLQKTEMASIEAADFNKWFYEFLQFHPSLMSSRARKALIKGLYEIAVNLDYMSEEDYADIFGNNTFVGGWFATEWGFYKSTKSFVAQELPLGTNCSGSGVLPF >cds.KYUSt_chr1.32040 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194352918:194354600:1 gene:KYUSg_chr1.32040 transcript:KYUSt_chr1.32040 gene_biotype:protein_coding transcript_biotype:protein_coding MALNGNGNLKADTQAVESRTSESEPEATGVNSISTQDVSRQGNERSGFLNFVNRFTGPNLRKLGHNPSVKFRQLTLARDEFSRSIHSDNHGNHEHFHLIRKINWGHLWVMGKEWIRQPMNMALFAWIACVAVSGAILFMVMTGMLNHALPSKPLRDTWFEVNNQILNALFTLMCLYQHPMRIYNFVLLCRWDQKDILRLRKVYCKNGTYKPNEWMHMMVVVVLLNLNCFGQYALCGLNIGYRRSERPPIGVAVSISVAIGAAAFASVYNIVSPLGKDYDPDEELREADPEAQSVVTSTEGTKPATSGSSLERRYSFLQSEERRFVESRPEWVGGLADFWDGITLAYLSIFCSCCVFGWNVQRLGFGNMYVHIATFLLFCAAPFFIFNMAAININNETLREALGLTGVALCFFGLLYGGFWRIQMRKRFNLPGNTFCCRNPDVTDCFQWLCCCSCSLAQEVRTADYYDIAEERSYRGQATEESQRVMSPLRREDGLPLFKSTPASPYRSSTPSRSIFIMESPSAPRRSSGATPLGGSPTTGDRAMQAPAPSVLHREGGDGS >cds.KYUSt_chr7.32356 pep primary_assembly:MPB_Lper_Kyuss_1697:7:201573858:201575321:1 gene:KYUSg_chr7.32356 transcript:KYUSt_chr7.32356 gene_biotype:protein_coding transcript_biotype:protein_coding MDQINQAKNEQSLAIVPVAAPACFAIKVIGQIRGKKTDEYKGTFWIEDCCGAVKASIWFTHGRDELEFVSEGSYAAVIGSVKLAGVSPEIQCYDCRPVTNFNDIPHHHLSVIATHIDLVMKPEKGVYARVAEMWAKDVNSTQNAGDKLAAAAVKMEELANKTCTVSPKKEILSQDQTVLNIAEAPLTGSPTCSQNEAIMKMLRLQFGK >cds.KYUSt_chr6.31772 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200778689:200782877:-1 gene:KYUSg_chr6.31772 transcript:KYUSt_chr6.31772 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAGVDDPEVLEAMACREGMALAADLYLRRVKLASDCANVIKSINNNDVLTVYGQVAQTTAELSELQGATEQGRRYLLPAAPKEEDMEMEVGREGGTAAPPRFSLPVDSEHKAKSIKIFSFGNPHMRAFHLGWMSFFTCVVSTFAAAPLIPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGAICDLLGPRYGCAFLVMLSAPAVFCMSIIEGPAGYITIRFLIGVSLATFVSCQYWVSTMFNSKIIGTVGGLTAGWGDMGGGATQLIMPLVFDAILACGATEFTAWRLAYFVPGMMLVVMGLLVLTMGQDLPDGNLGSLQKNGDMNKDKFSDVLRGAVTNYRTWIFVFIYGYCMGVELTTNNVIAEYYYDSFHLDLRAAGTIAASFGLANIFARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCICLGRASTLPTSVICMVLYSICVEAACGAVYGVIPFVSRRSLGLISGMSGAGGNVGGGLTQFLFFTSSQYSTGKGLQYMGIMIMVCTLPVALVHFPQWGSMLLPPSAGATEEDYYGSEWTDDDKSKGLHGASLKFAENSTSERGRRNAILAVPATPPNTTPQHV >cds.KYUSt_chr6.10928 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67708968:67709781:-1 gene:KYUSg_chr6.10928 transcript:KYUSt_chr6.10928 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFLGTEHEEIAKNKTDGEALTWEDLTKMKLTWPVAQETLRVVPPLFGNFRIALEDTEFDGYFIPKGWQVFWTANVTHMDASIFQEPAKFDPSRFESQTVSAIPPCSFVAFGGGPRICPGIEFSRIETLVTMHHLVRQFRWKLCCKENTFVRDPMPTPLHGLPIEIGQRIAP >cds.KYUSt_chr5.30601 pep primary_assembly:MPB_Lper_Kyuss_1697:5:194022607:194025750:-1 gene:KYUSg_chr5.30601 transcript:KYUSt_chr5.30601 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGDEDGTGGGPCSAAAPARQRQAARGTTFSCSVARAFSALADMGGWESGRRVWLGDEEGGGDPCSAASGGRGRGKRDLNQGKLCLPFACQLTTNSAAHLLSLNPSPSAMDIALETRKLYREEWVEQPGGDVRQRQGRQGAGQGRRQAPPQGAAGQHPGHHQAGHPAPGAARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >cds.KYUSt_chr3.45047 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283778341:283778511:1 gene:KYUSg_chr3.45047 transcript:KYUSt_chr3.45047 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPAVLLAACAALLALAAPLLAGDPDMLQDLCVADYKSLEGRKCDDLPCIDAYSS >cds.KYUSt_chr2.36466 pep primary_assembly:MPB_Lper_Kyuss_1697:2:225104288:225107281:-1 gene:KYUSg_chr2.36466 transcript:KYUSt_chr2.36466 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYRLSDLSDAEVSGLRARPRIDFTSIFSTVNPIVEDVRVRGDAAVKDYTEKFDKVLLDNAVVPVSDLPVAELDPAVKEAFDVAYDNIYAFHAAQKSPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLATPPSRDGSICKEVLYCAQKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLGAIEAEVSKQCDALPRGEFASKALGHSFTVFARDMVEAISFSNMYAPEHLIINVKDAEQWEELIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRRLGPHVAKMAEVEGLEAHKRAVTLRLQEIEATVTV >cds.KYUSt_chr5.28544 pep primary_assembly:MPB_Lper_Kyuss_1697:5:180765058:180766797:1 gene:KYUSg_chr5.28544 transcript:KYUSt_chr5.28544 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFKFSAGLCDELSQIIRNYWWGDDLDRRRVHWMSWETMCKPKGQGGIGFRDLRLFNQALLARQAWRLIEYPDSLCARLLKAKYYPSGNLIDTAFIQNTSPCWQGIIHGLELLKKGVIWRINDGTKVRIWRDNWLPRGNLKVIGKASKSRIRWVSDLIDPATKEWKEDLVRNMFYKPDADHILQILLTNTTGEDVLAWHYERNGIFSVRSAYKLALNSQHTHQDAGTSSKQAGERDMWNLIWKTNVPPKVKVFGWKLASDSLGVQAHRCRRKMDLVPTCSICGVEPETAHHAIINCTKAKALRQRLRKEWLLPADHFLDYTGKDWILILLNQVDDGMRAKLLLLWWRTWHLRNNTLFGDGKCGIEHSAIFLQSYLSLFHDNTDCELLADPKGKQPAYSQGATSSQTQRITEASWSKPDQGWAKLNFDAGFLQEDNSGAWGAILRDDKGGVILSAWGKIQNTANAEAAEAFAGLQAVKAVVGAFAGPISLENDCATLIKEIKEANKSKPAIMNSVKEIRRLLDLFPSYSVFKINRAANQVAHNLANLGRNESTGCVLFGAVPSGVEDLANSECNETVMS >cds.KYUSt_contig_1546.102 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000163.1:613450:615170:-1 gene:KYUSg_contig_1546.102 transcript:KYUSt_contig_1546.102 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVISRSKRVCVRNLSPHSPCCCAKKQAMLSRRLAPVGGGCARLLSSSAPAASGGGSISAGGGDTLGKRLLKLIYPKRSAVVVLRRWAEEGRTVQKYQLNRVVRELRKYRRFKHALEICEWMRTQPDMKLLPGDHAVHLDLVAKLRGLASAEKFFEDMPERAKGPSTCTALLHTYTQHGARDKAEAMLREMGRAGHLVCALPFNHMMSLYMSAGDLDKVPETIKQLRRYAVPDLVTYNIWLTYCSRKSSVKGAERVYGLMQDDGVVPDWMTFSLMASIYVNAGMRVEGRDALVEMERRASRKERAAYSSLLTLYASLEDRGNLDRVWGKMRETFRKFSDAEYKCMLTSLTRFGDIAAAEGVYAEWESQSGTKDSRIPNTILSFYIKNGEMEKAESFLQCIVGKGVKPSYSTWELFVWGYLGNNERVGKVLDCVKKALSTLEKWEPNPELVAAIFSHVEKEGDIEAAEELLVVLRGAGYVTTEIYNSVLRTYAKAEMMPLIIDERMGEDKVSMDEQTVRLLKLTSKYPIGEVSTVMS >cds.KYUSt_chr3.47750 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299261465:299265397:-1 gene:KYUSg_chr3.47750 transcript:KYUSt_chr3.47750 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPQSPGSRRGLFSCLHSKCDDASNPMFEGTAITEALADLIDRLYAEAFDRLPSDDLGTERLLTAMRSGGGMCVGLLDPVSNIILNTISVLPPRDSRRYNLRKTPERKKRLAGAVQPDYIWSGIGRLSCHSLVRFLVSYFGCLTDEQAARYLHWGRADLALAVLLVEHDLYAAAEPRLPDPASERTQAALKRAATCARHHAPDVLVRLHTSPLPRNQLLAAAPFLSPGGRNLTLDDVDTVIQLLRYQETASLDFQFNLLPHGKGVVVYGRDFSADEGKLIHTTSSVNNFGVFTIMVERHGNRFASLRGKQSSSISTMLENASQGRTSLKSCGDACEYTESLRMRLHGMIHTFYLKVFTMLPSDVLRGLMRYILFAGHCYGPMDPVSNIIINSIWHSMFFPLPSAGSESQAYDILDTLSMLRVEVRSLNGLIALVKANSDCSMQQAMEYLCSNSCHMPQDMSTPHGFHTAAEAAQHPQHAALGSFISSLTPHMLANLRPFLSINNGTHSSETLRQVGFILAKELPETAVLRPPKEAQLCKVAKDALLIKRSEYKNMRLFIRSELAQVLKKYASEHPQEPKYEPTVICGVVESYYSDRDSYHVNFVAASESGDDNQLFFAELNSTCDQSKPSFCIRLCQTDMAISSMGLEQPPPDQLPTAAN >cds.KYUSt_chr2.48567 pep primary_assembly:MPB_Lper_Kyuss_1697:2:303793810:303794040:1 gene:KYUSg_chr2.48567 transcript:KYUSt_chr2.48567 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRVGGAVKVLCGAEEERVVGTRKAPGACPCCGGPVVATDVESERRILCLPLCLKNKRKYSCSRCLRRLVTLYS >cds.KYUSt_chr1.5777 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35605889:35607748:1 gene:KYUSg_chr1.5777 transcript:KYUSt_chr1.5777 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPALLNYAYLSGLLSRCGRAADHRLGAALHATVVKNPTHFLLCPLRPSLRHVLVAWNALVSMYSRCGRHEDAARVFDEMRVRDSVSWNSLIASASSSSAEDALVQFRRMLRSPFSYGSATRVSCDHATFTTVLSACARAASLAACTMVHGLVVSRGFETEVSVGNALVTAYFECGSPGSAKRAFHGMAERNVITWTGMISGMARAEFYEDSIMLFRQMRRTVDANYATYSSSLLACAGSLAAKEGQQIHGLIVKAGLETDLHVQSGLMDVYSKCGLMEDALSVFRSCRDPDEVFLTVILVGFAQNGLEEKAFELFVEMVGEGICIDTNMVSAVLGAFGASAPFALGKQIHALVIKKCFGKNMYVCNGLINMYSKCGELQESVQVFDETPSKNSISWNSIIAAFARHGQGSVVFQLFESMKADGANPTDVTFLSLLHGCSHVGSAKKGLEILYSMSSQYGVLPRVEHYSCVVDMLGRAGLLHDAKSFIEDGPFKDNVLLWQALMGACSFHGNLEIGKYAAEKLLLVDPDSPSAYVLLSNIYSSEGRWDDRAKVMKKMREMGLRKDTGKSWIELEKEIHSFVVGSPTSHPDSAAVDNVLLQLSAVASDRQDGLIEGNAL >cds.KYUSt_chr2.52251 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325926119:325927050:1 gene:KYUSg_chr2.52251 transcript:KYUSt_chr2.52251 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLVMALLSSGGWRVRITDVGPDATLQPYENDGLLGAALRDGRATYISADVSKLDQLTKGVDTVFHTAAPDPTNNDFQLHYKVNVEGTKNVIQACQTSKVKALIYTSTSGVVFDGVDGLFGVDESTPYPDKLICSSADKVVSLVSRCVH >cds.KYUSt_chr5.5054 pep primary_assembly:MPB_Lper_Kyuss_1697:5:31905864:31906391:1 gene:KYUSg_chr5.5054 transcript:KYUSt_chr5.5054 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLVCPLSLTLLPLVVVAAWMCALPLSSAAPDTSPPTTTTAAAAGDSLPVPAAAGVVPAGSTTAAAEATTPVTATVSKKFPLAPPDVVEPAAGVITALPVPVPETLPSAEGLGFGPNGFGTNGGFGGGGCCGGFGYNGGLGYNNGFFNSAPARRLRLSDVLAPLLVAGVAAMF >cds.KYUSt_contig_988.182 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:1108503:1109024:-1 gene:KYUSg_contig_988.182 transcript:KYUSt_contig_988.182 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRVVCRKLYDYVRYDLKEIAFPSSLPDPPGTIRRPKLTLKEKWCILKEATRLYGASWVRDIGPELRPNDYKKAKEESDPTSTEKGKSTGEPTVLEDLAVAARGGAETLKPALRRIYMTRASTYTSAVKNYVETYQEGLKDVLDEKAAGKGQQGNEPTKPSALPPPPPPSSS >cds.KYUSt_chr2.8709 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54747135:54751017:-1 gene:KYUSg_chr2.8709 transcript:KYUSt_chr2.8709 gene_biotype:protein_coding transcript_biotype:protein_coding MENPRPQAPGFTPPMAPLPLPLHPPIAPIPGAPPRAPASTSAAAAAAESDDDDEVDYEVSDDHRAARERHERAVQELLQRRRAYAMAVPTNDSAVRARLRRLGEPITLFGEREMERRDRLRGLMVRLEADGQVDRLLRAQEDDQGAAANDEDDAEQIQYPFFTEGTKELLQARVDIAQYSLPRAKARVDRAKRRLADPDEDPDAEADLVVKQAGEFVLECSEIGDDRPLTGCSFSRDASMLATSSWSGIIKVWSMPQITKIATLKGHTERATDVAFSPVDNVLATASADRTAKLWNSDGSLLMSFNGHLDRLARLAFHPSGKYLGTASFDKTWRLWDINTGTELLLQEGHSRSVYGVSFHPDGSLAASCGLDAHARVWDLRSGRLYCTLIGHVKPVLGVSFSPNGHLVATASEDNFCRIWDLRTRRMLYSIPAHKSLISHVKFEPQEGHYLATSSYDTRAALWSTRDYKPIKSLAGHESKVTSLDISGDGQQIVTVSHDRTIKMWSCRSSIRDNEMELD >cds.KYUSt_chr4.37608 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231812925:231816272:1 gene:KYUSg_chr4.37608 transcript:KYUSt_chr4.37608 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPNHPPIFRRSPHAKGSCPGTFRSSQHSVHGAGDAKFHAPNQAGETADAFSSTPPRGPARVDQHRGSRRRTAPRSAFSRLPAPMRLLALLLAAAALAAAHEHHGEAPTCAGAGAGGRVLAEFRPGEVTLDGHPTDWEAVEASEFPLLPALDPDEDKAYTGGKVTVKAVHDGVNVFFMLQVDGDYAYTKGDSKKCPSVALMFQVGEKATYYNMGGCKDLPGSCTSKSCRDHEVDIMHFSVGSAIPGRLYGGNHIDNAAGNGGDRFGHLVDTYAWNPHCRYLDGIGPKENNSNAQNDWHGAWWHNSLTFHSGFVDDDSPYGKQDDKGTYYFEFSRPLRTMDQFQQDAQFTIGEPSKMAVAFWYPTDGKPWSNSQHYSASCDWLTLDIQPSLETSQYHPAPNRSWDAATAFALLLSVVAVCVSVFVGYSASKNKSSIQFTQLEEI >cds.KYUSt_chr6.6449 pep primary_assembly:MPB_Lper_Kyuss_1697:6:39025691:39026362:-1 gene:KYUSg_chr6.6449 transcript:KYUSt_chr6.6449 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLERGFQFLNSEQENSVILRALISVVSGDTAAVVPTLLHLEPSTPPFAPAAAPACARCGTNGCLGCELANAVAATTGSSSEGEECSAASFVKNGGVGKRRARRGSKFRGVRQRPWGKWAAEIRDPHRAVREWLGTFDTAADAARAYDVAALEFRGHRAKLNFPVAAASSTTSASSWAAQCLSDSHPENCGSNASSPCRGCLNKDGRWRGSRSSGMGCTKS >cds.KYUSt_chr5.4628 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29502560:29503009:1 gene:KYUSg_chr5.4628 transcript:KYUSt_chr5.4628 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDGLTNDEVKSHLQHTRRTSDSDRQQQSAGVWPPPEQYTTSQHSTSQSGSPQAPLHLTTGSSRAVSATAGDSCDGGEEEDEDGKSASYSWEMQQNRTKAAASSSS >cds.KYUSt_chr7.1127 pep primary_assembly:MPB_Lper_Kyuss_1697:7:6053517:6056991:-1 gene:KYUSg_chr7.1127 transcript:KYUSt_chr7.1127 gene_biotype:protein_coding transcript_biotype:protein_coding MREVLCSTPPGWHQSGVRLAPGGIRRTVRLDRARDRARQSGGRTVLVSRFSAEIQLSGKSSEFMRKVPNSILLSLPQQPSGSQIDRPPPAAIAPPKPHEGIPRSRHYIAYLGLTCLFRSCSALPSIEMTMVVGGIEVVLLDDELPSCLLAH >cds.KYUSt_chr7.26697 pep primary_assembly:MPB_Lper_Kyuss_1697:7:166668966:166670755:1 gene:KYUSg_chr7.26697 transcript:KYUSt_chr7.26697 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAHRDRPASSCRGVWTGRMDRFGGSCNDTTRPPGPSLAELGISILSILPSHPCPAFSLSISPSKISVLVCLWPGLPCCGAVNPSADEPTPAPPADPHAGSDFLAGSCLLGRRAYLCLSEPMSTAVAPGMARGHGFRAADKGKQRADSEEPETNRGGRGGVNGLYVDDDEEEGQVEVGSAELRELEEALSDNSSIGAASSDSSSIGQDSASEKEDDEEVESKVKPPVEEVFGMGLGTIESLEDALPNKRGLSNFYAGKSKSFTSLAEAAAKAAAKEIAKPENPFNKRRRVLAAWSRRRASCSSLVTTYLPPLLSLDHTVVEGDEGEEDEDRSNDGGDEHDDGGNGSGQSSRRESPRFPPRGLSLMQSASQKGAAMAMMRSPTANKTSSFRSPRSYSLSDLQNAGYN >cds.KYUSt_chr1.20112 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118500594:118501615:-1 gene:KYUSg_chr1.20112 transcript:KYUSt_chr1.20112 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPAMDSGDSTSVVRFFLRVAVAYYGCVEASGFIPASSHDGGVAALWLDGDEREGPDCFSSSFSEIGANSLEAMDVLAATATTMDSEVTLTPAATPDSPIATTIATMELQLQWKQSGPPLAAETLSRRRQRRCCPRSKEEKGVEGAKRRGRCRNQKDKNAAATTLQASQ >cds.KYUSt_chr2.4981 pep primary_assembly:MPB_Lper_Kyuss_1697:2:30854510:30855590:1 gene:KYUSg_chr2.4981 transcript:KYUSt_chr2.4981 gene_biotype:protein_coding transcript_biotype:protein_coding MENEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFVRGQGDADGALDRLWQQKRAEIKQ >cds.KYUSt_chr4.33828 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207569389:207573619:1 gene:KYUSg_chr4.33828 transcript:KYUSt_chr4.33828 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-carotene isomerase, Strigolactones biosynthesi [Source: Projected from Oryza sativa (Os11g0587000)] MKKSYARRIKRCSTVRSVMVRPQEVTLAPIPAPPAPVRETVAGTTATTVYHDTWFDNLAIGYLSRQLQAASGLKNAKPGYEGLIEAAVAISRVFRLETQGGIVAKALEQAMPSYIVTMIKVMMPPSKFSREYFAAFTTIFFPWLVGPCEVKESEVNGTREKNVVFIPKCRFLESTNCVGMCTNLCKIPSQKFMQESLGVSVYMSPNFEDMSCEMIFGQQPPEDDPALKQPCFRTKCIAKQTYGVNC >cds.KYUSt_chr2.8821 pep primary_assembly:MPB_Lper_Kyuss_1697:2:55536954:55540853:-1 gene:KYUSg_chr2.8821 transcript:KYUSt_chr2.8821 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAHLGPALAVSSSRRLTCKLSSAQAGRRAVLRSCSLPLLLGLAAAGRGGWRAAAAVESRTVQQGEDGAEGAAEASSKLVLVVGGTGGVAPRFSASVGDALSPALLRGSQCSTCLCMLVDAGVWRATHSSTKKNDRLAVHTGSSIMVLIVQTLAGQLVVASLLSRKIKTRLLLRNPEKAVTLFGKQDENVLQVYEADTRNVDAFTPEMFEGVTHVICTTGTTAFPSKRWDGDNTPERVDWDGVRNFVSAMPKTIERLVLVSSIGVTKYNEIPWSIMNLFGVLKYKKMAEDFIRDSGIPFTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVEIGQGDKLVGEASRLVVAEACIQALDIESTQGQAYEISSVKGEGPGNDQEKWKKLFAAAELN >cds.KYUSt_chr7.8075 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48846896:48849506:-1 gene:KYUSg_chr7.8075 transcript:KYUSt_chr7.8075 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPATATATAAGGAHSVFVYGSLMADEVASNMFQISCSYCYWSLAAAFDCGPRSFGSSTLDDPANLKPRADDLLFLYESGVHVDVELIVLDAFEDYEYVRRTVQISLTDTSETMLADTYVWSDAKDPDLYGQWDFEEWKKLHIKDFLTMTLGFVQELEQPEPKTRVETYQSFMHELEQPESSTKVES >cds.KYUSt_chr3.47984 pep primary_assembly:MPB_Lper_Kyuss_1697:3:300478212:300478607:-1 gene:KYUSg_chr3.47984 transcript:KYUSt_chr3.47984 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGCVTFVAVAIGGSVFFFVRGTLRSSSEGCRLAGGIQSAVANAPRVRRWAAWRGVVTALRAGMEHVHHVQGPLKMAVAWGAANAVFSMHRGTRAAVREGLKGAAYGGAACIAIRGLGALVDSRESSRA >cds.KYUSt_chr2.15647 pep primary_assembly:MPB_Lper_Kyuss_1697:2:98550019:98551565:-1 gene:KYUSg_chr2.15647 transcript:KYUSt_chr2.15647 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSVLLHCTLLVLLLAQPTHSSTIQKPKDATAEQKPRSSSSHTYIIQTNHLAKPSQFATLERWYSSMVATHSPRAAMNSSSRLLHTYGTVMHGFAVRLTEEESRRMSSNPGVSGVYEDAPYFTKTTRSPGFIGLHEELGAWPESEFGDGIVIGFVDTGIWPERASFNDSGLGPVRSSWKGKCVDAEGFNASLCNNKLVGAKAFIAEHGGALTPRDKVGHGTHCVRYPDCRHKKVPAKAPKVPLVPNLAGEVSSPSRASRHDLRQAPPRQPLVSPTAFFDLNCISPEEEKDQNHQACTYPTCNLDYRQLWKACPSLFSDDH >cds.KYUSt_chr7.12427 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76438118:76439278:-1 gene:KYUSg_chr7.12427 transcript:KYUSt_chr7.12427 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSLREAFDRVVEKRTLSSTKVQEAIDQIVNEVTQAISKMQMMNTDSMDSCDHSDILAELKAKLNEVAPLNQLEGCQKELNVALSKYLKLLEKSFNPDISKAYRNVDFEASTINNIIANHFYRQGLFDLGDSFVHECGESDGSYLKSAFEEMYRMLEAMQARNLEPALSWAAKNHDQLLQNSSMLELKLHSLQFVEILTNKGSKDEALQYARTHLGPFAFMTEAEIPRLMACLIWDRLDQSPYAEFVSSTQWEKLSEELIHQFCSILGQSSDSPLNVALSAGFQGLPTLLKLTMVMAAKKQEWQAMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMLMPCGHAVSKQSIMKLSKSSSRTFKCPYCPSEAVASQCKQLRF >cds.KYUSt_chr4.5755 pep primary_assembly:MPB_Lper_Kyuss_1697:4:33568853:33570701:-1 gene:KYUSg_chr4.5755 transcript:KYUSt_chr4.5755 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRDILRPVLNAGPPTRIREIHGPTYLFCRPISSRPSPLHRRIFPENPSSNGKVNINAPSRVALPLFAPPEPPNPHLPNPSFLSHPHPTMAERGGGERGGERGGFGRGFGRGDRGGRGDRGGRRGGRRGPRQEEEKWVPVTKLGRLVKEGRFSKMEEIYLHSLPVKEHQIVETLCPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDSNGHVGLGVKCAKEVATAIRGAIILAKLSIVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRETTFTKAPYQEFTDLLAKPTKALLLDAPVEKIDA >cds.KYUSt_chr1.35002 pep primary_assembly:MPB_Lper_Kyuss_1697:1:213276520:213277213:-1 gene:KYUSg_chr1.35002 transcript:KYUSt_chr1.35002 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQNQASYTAGETKGRTEEKTGQMMGATKDKAGHATEATKQKTGETTEAAKQKAGQTTEAAKQKAGEAKDKTAQTAQAAKDKTAQTAQAAKDKTVESKDQTGSYLGEKTEVAKQKAAEATEAAKQKASETAQYTQESAVAAKDKTGGVLQQAGETVKNVVVGAKDAVANTLGMGGDDTTNTAGKNHTAGTTTGNVTRDH >cds.KYUSt_chr2.36834 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227525830:227528503:1 gene:KYUSg_chr2.36834 transcript:KYUSt_chr2.36834 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKPSRAGPSPPLPRCFLLFFTILLAAPAHEAVAAALSIGINYGQMGDNLPSPSRVSSLVRSMQVSKVKLYDADQNVLRAFLNTGVEFVIGIGNENVSAMLDPATAQAWVQHHVRPYLPSTRITCITVGNEVFKGNDTALKDNLLPAMKSVYGALGTLGLQGQVNVTTAHSLDIMGSSYPPSAGAFRPDVAPYITPLLDFLSAARSPFLINCYPYFAYKDDPAGVPLEYVLFQPNAGVIDPATGLNYDNMLYAQVDSVYAAIQALGHSDVDVKISETGWPSRGDPDEAGATPQYAGIYIGNLLRRIEMKQGTPLRPAVPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNVGLRGYLPPMDESDGARTVIHLFALITIASITVMLS >cds.KYUSt_chr4.46028 pep primary_assembly:MPB_Lper_Kyuss_1697:4:284547379:284548074:-1 gene:KYUSg_chr4.46028 transcript:KYUSt_chr4.46028 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGSGSASAAAEAAAAEAAAAAPEVARKAWTQEEDTVLREQVRLHGGPSKWNTICQALPGRNGPACRQRWFRFLSPTIDVDKPFTAEEDQIIVTNHARYGNYWTTIAHFLPGRSDLAIHNRWKSVLSKQHGAHASTPAPAAARAAGPVLPLVRGGTSSATHATQEDLTGDEPSAPLMECLQLFPLAPGDIRADPRAAPSSDLSCGADDPLTQLRLAPAPAATVVEAMPL >cds.KYUSt_chr1.3103 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18773909:18776878:1 gene:KYUSg_chr1.3103 transcript:KYUSt_chr1.3103 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRPRSITVHIFTFLVFLLLRSVASVGGVSEEDDRSVLLGFKAGVTGDPMGALAGWGSPDVCNWTGVACDVVTHHVVNLTLSNLTLSGKVSPTLGNLWHLRKLDLSRNHFTGSVPPELGNLSRLSCLDLSENLFVGTVPPQLGKLTQLEILSLSENQLQGSIPGELARIRSLECLNLGENNLSGHIPAAIFCNHSALYYFDVSFNSLDGKIPIRSDCPLPNLEMLVVWSNKLNGSIPCSLSNSTKLRWLLLQDNFLTGELPSDDMFSGMKSLEFLHLSRNFFTSQRNTTSLEPFFASLTNCTSLMELGVAGNDLVGTIPPVIGRLSPILMNLHLQFNKIFGPIPANLSYLSNLRLLNLSRNLLNGSIPQSITSMWQLERLDLSNNMLSGDIPPSLGMIPRLGLIDISQNRLTGAIPPSIVHCVTLQTLDFSHNMLRGEIPAGLSRLSGLLYINLSNNLVSGAIPVTIGEMVKLEVLNLSSNRLSGTIPPELGQCVELKYLDVSWNSLTGALPPSLENMSSLERANFSYNDFWGEVPRGGPFAGFSEDAFLGNARLCTRTASMVPGLARCSGGKHSMLHNRRVVLPVVFTIASFTMAIIVLTVCHAMGRDGRRSALLAYVGGGEPSNRGDHPRISQRELSEATGGFEQSRLIGAGRFGRVYGGTLRDGTRVAVKVLDPMTVSGEVSWSFKRECDVLRWTRHRNLVRVITTCSQPDFHALVLPWMTNGSLESRLYPSDGGLDHGMDLTWLVAIASNIAEGITYLHHYAPVRIIHCDLKPGNVLLDDDMTAVVADFGIARLVKDMGDDEITGFADPCSSIAGLLQGSVGYIAPGGHPSTEGDVYSFGVMLLEMITGKRPTDALFQEGLTLHDWVRRHHPHDVAAIIAESWLAAMDAMLSAVQAGHIVVELINLGIVCTQYSPMERPTMVEVCHSIALLKVSSSRRVVMDSTSIA >cds.KYUSt_chr1.42839 pep primary_assembly:MPB_Lper_Kyuss_1697:1:262087529:262090674:-1 gene:KYUSg_chr1.42839 transcript:KYUSt_chr1.42839 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRPSEARVVSSLEIEDGVARSGKAYEPTLLLPPKKRSLLRMFLACMVSGGIQYGWALQLSLLSPYAQTLGIPHQYVSLTWICGPIAGFVVQPLVGYYSDRCTARMGRRRPFILAGCLIICLSVLMIGFSADLGRRFGDTKEHCGTTTGSRWAAATVYIVGFWFLDFANNTVQGPARAMMADLSAGDYGPNVGQAIFAVWMAIGNILGYTAGANGKWHQWFPWLKTAACCDACANLKGAFLTAVVLIAISMTVTMYMADEKQLDKADVESASGHGCISVFGDLFKSLNNMPPVLYKVLTVTFITWLAWFPFLQYDTDWMGREVYHGVPQGPKADIYNAGVREGAIGLLLCSVVLGVTSFLIPTLCRKLTSKVVWSISNFLVFGIMTAMVILSLVSTKGYNASLTAGLNGPNHTIKALALTLFALMGIPQAVLFSVPWAVASELTTQEDGGGQGLAIGVLNIAIVMPQLIISLTAGPIDKAFGKDNTPAFGIGGAFAFICAVLALVLLPKTRGTSNAVMAGGH >cds.KYUSt_chr4.50203 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311052015:311054233:-1 gene:KYUSg_chr4.50203 transcript:KYUSt_chr4.50203 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSTSTLPLLFLHRSTTSPNPTALSFTSSIRASPLRSRAAAAASPPAETLDLPSDTPPVGEGSGIPMPSSIGDDGEQLLFGATAGKETVPRACAQLQPSFSDSFNVSQEKIVIMNRYGEKLVGVLHEAGSKDIVVLCHGFRSSKESRTIMGLTDALTSEKISVFRFDFSGNGESEGTFQYGNYYKEVEDLHAVIQHLKDQKCDTRAIAGHSKGGDVVIIYASMYQDVSRIINMSGRFDLKRGIADRLGNDYMERINQHGFIDVGQKKGQSIYRVTKESLMDRLKIDMQSACMSIDPNCRVLTVHGSDDDVVPSEDAMEFHKYISNHELHIIEGADHRYSSHQLELANI >cds.KYUSt_contig_5188.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001036.1:3023:3621:1 gene:KYUSg_contig_5188.1 transcript:KYUSt_contig_5188.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSFFCSLQYPLKAKEAQTYNGKLVLGGTSFLLRPWSRLRQALTGSLPYKESGCVRIWLWMEDVDKLARRATPMLEEPMVDGPAVAHHSSVGIFSDVAYRSGPVVVIEHQVLIHLDRVVDRSADASNGDVSPVSHVTYVSDINGMASESSTDIDGTVT >cds.KYUSt_chr4.48684 pep primary_assembly:MPB_Lper_Kyuss_1697:4:301500418:301502158:-1 gene:KYUSg_chr4.48684 transcript:KYUSt_chr4.48684 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKEGQGANAWMTVPAFGDWDMKNGAMPDYSMDFSKIREMRKQNKKELSRASLGGDDDILAHHQQHQHQQQKPAKAPTKLGGTANDHRRQPLHGRDDSPTGRKRFLSYFQCCIKA >cds.KYUSt_chr6.23455 pep primary_assembly:MPB_Lper_Kyuss_1697:6:148171435:148174508:-1 gene:KYUSg_chr6.23455 transcript:KYUSt_chr6.23455 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTLFVLGQESRLRILEQAASRIPGCVYLCVWAPIPGGHHPPRSAAASRAHRHLLCLDAWLRDGGGSGDSDRARALFDAYRGSLCAAVSGCVPGWAYGDGRAYMELPEHDLALTASSPVQQQFYQEAGIKVAAFMGCESGEIEVGLSTPAGQTNLQASLGQVFSEDFFQQSLLEELLQLPPTRPSSSSSSLPSISVGSPADGSTSLLRSTMAAMSSTTTSPRDLTAAQMLHPLRPPHPVPFSRHGPGHGHLHFPSAEADDAAMAQAMLTVISSSSSSSGMPTTSMPIVPGNHRARRSPRRGSTTTAFRAYNAALAPRAPRRAAGAPGQRMIKMAISILRRMQEYNGQERRSGATAQRWREDDEETAAASAPAAAPTSSQLHHMISERRRRERLNESFETLRGLLPPGSKKDKATVLAHTLDYMNIMMTQISELEAKNRSLQAAAQTQRHQRAVNGSSRDRPLLQAGGSSVRVQVDVTSSSAGASTSSSSFSPADHHREVTIRVAAPGDLSELLARVLAVLKGMAGHRFTVVAVDGRRPDTNGDGIARASLTLRATVAGEIDEASLREAVAKAVVGLVTPAPSDDDESP >cds.KYUSt_chr4.26001 pep primary_assembly:MPB_Lper_Kyuss_1697:4:163508121:163511398:1 gene:KYUSg_chr4.26001 transcript:KYUSt_chr4.26001 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPVNTVFDAKRLIGRRVSDASVQSDMKLWPFKVIAGPGEKPMIGVQYKGEEKEFAAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDEIEKMVQEAEKYKSEDEEHKKKVESKNALENYAYNMRNTIKDDKIASKLPADDKKKIEDAIDQAIQWLDTNQLAEADEFDDKMKELESLCNPIIAKMYQGAGADMAGGMDEDDAPPAAGGAGPKIEEVD >cds.KYUSt_chr4.21308 pep primary_assembly:MPB_Lper_Kyuss_1697:4:134149618:134156907:1 gene:KYUSg_chr4.21308 transcript:KYUSt_chr4.21308 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLTVVYVFQKHPDSIGNNDRQSGKPPLPNNVIAELRKGSYYASLELVQSLCDTSYGLVDIFPVEDRKIALRESLTEINSHIASSEKNGGVCFPMGKGIYRVVHIPEDESVLLNSREKAPYLICVEVLKAETPGHSKGSSDANKLSKGGIPLANGDVQLPKPPPWAYPLWSRHEPQSYETDRMLNSTSQAIDQAMSQLREAKVKFVNVSFSIEKIGHSRSIAMSESGRKTRQATIESHDLSGDSQAVVDQPIEWVKVTLSAVPGVNMEDVDDNEPIRKKDHRRVPSTIAMEEVKAAALKGEAPPGLPLKGVGQSSQNLDPKAADGGDPKPTDALAGELWTVKKERIRRSSVHGKLPGWDLRSIIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPNILSLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILSYLLQIKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERVILLVEMLQDSGFPCFKGGTRTILNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRVLNGIL >cds.KYUSt_chr2.11316 pep primary_assembly:MPB_Lper_Kyuss_1697:2:71929219:71930823:-1 gene:KYUSg_chr2.11316 transcript:KYUSt_chr2.11316 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMGCHGQEDQKLALVAASDDGFDWLEDSISLLAADIDLGGSYGWWCDPLPAQQQDDIGSVVAQTLSPPAPQTTSSPLAHASPSIASPAVSSPSTEPSSKKRKSPTHRVSGHSSSNQRRRAEQERPSGGGSKKGSSKGGGAGSDRDARWAEQLLSPCAVAVEARNLSRVQHLFYVLGELASFAGDANHRLAAYGLRALSLRLPAAVGTAAAAAVKVPDCECPTPSFAGAEPRLFRASLIKFNEVSPWFALPNALANAAIAQLAASTRGAAAAPRPLHLVDIGVSHGVQWPTLLESLTRVPAGRAPPSVRLTVVGIPPVTTPFSASPPGYDFSPHLLRYAKSINLDLEISRAASLDSAHALYGPDEALVVCLQFRLGHVTAEERTDILRRVKDLKPDLVVLSELDVGGGNVSNAACEFTARLELLWRFLESTSAAFKGRDGEDRRLMEAEAGTAVAVTAAGDHGREGWRERMTAAGFEEAPFDGEAVESARSLLRKYDNGWEMSTAPAATGGGGAVALRWKGQPVSFCSLWRPA >cds.KYUSt_chr6.23106 pep primary_assembly:MPB_Lper_Kyuss_1697:6:146005065:146009107:-1 gene:KYUSg_chr6.23106 transcript:KYUSt_chr6.23106 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHGDLDRQIAQLRECKHLPEAEVKGLCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGESPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDHNFLQFDPAPRQIEPDTTRKTPDYFL >cds.KYUSt_chr4.43851 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271870270:271871040:-1 gene:KYUSg_chr4.43851 transcript:KYUSt_chr4.43851 gene_biotype:protein_coding transcript_biotype:protein_coding MSHACRQPDWAHIAGNGTEKVPGNGSRLQIQESPAGMSPQRVAGRKRKGASLAPLAPSKRPARASDGWASLPTDIVDLVLRRILAGGDDIVDYIAFRGVCFNWRACTPSPRDPTLRDPRLRPRGWVALCDGDAVRPDDACEIPFFNTRTARRIRVHLPELRRHRIVGFSDGLVILLHLSTTAVRMLHPFTRVVVDLPPLARVFRGWFGRWKEHGLDMRAVVCGANSANSIAVVVRFPWKVFAAEPDDTDWKLINRN >cds.KYUSt_chr4.36709 pep primary_assembly:MPB_Lper_Kyuss_1697:4:225689487:225691546:-1 gene:KYUSg_chr4.36709 transcript:KYUSt_chr4.36709 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASYRSPLLCFFSLAAALFFASWYLLLDSAAAPSTHRHPPLRSPTPATKCDPAKALLRVYMYDLPPEFHFGLLNPTTTTATTTTTTAPWPSLLTPHGVPNYPGGLNLQHSIEYWLTLDLLASEQGAPTPCTAARVRDPAKADVVLVPFFASLSFNRHASEDRALQRRLLDFLAARPEWRRSGGRDHVVLAHHPNGMLHARYRLWPCVFVLCDFGRYPHSVANVHKDVVAPYLHMVDNFFNDTAGYNDRPTLLYFQGAIYRKDGGFIRQELYYLLKDEKDVHFSFGSVAGNGIEQSTRGMRASKFCLNIAGDTPSSNRLFDSIVSHCVPIIISDEIELPFEDVIDYSKFCIIVRGADAVKKDFLINLIKGISQEEWTSMWNKLKEVERHFEYQYPSQPDDAVQMIWKTIARKVPSIRLKINRLRRFSRFDANKTNESPARSSWLQNQAR >cds.KYUSt_chr6.5197 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30812757:30816425:-1 gene:KYUSg_chr6.5197 transcript:KYUSt_chr6.5197 gene_biotype:protein_coding transcript_biotype:protein_coding MVASGDGVSVEMVFFGDVARDLVGRPAEVLVAENCSLISNVPAEIASLTGRSYVVDVSVSRYSFRTEDIRFQVLKFYPLGSSVFVGLEASLIAARKEPQQLGDSGQSSPCHKIGSGNVGTQAVSGASAEAVPGASADDTVLRTPPPPATVETPSEKHPVDIEQCEDLGGGDSGMQMLSVIDVSMCSCLWMIASALFCTGFSLFVGDYERKLYKGFATGASFNINTNAYRDFSQLLTCEPINADRVQSAAPPPPPPTPPPPASSSDEEFDDDDNTNDLLGPVRDAKFLADA >cds.KYUSt_chr5.19912 pep primary_assembly:MPB_Lper_Kyuss_1697:5:129214303:129215077:-1 gene:KYUSg_chr5.19912 transcript:KYUSt_chr5.19912 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAEVIPNGHGRPGQDPSPGKRKMRGSRLADEDFEAWEAEFQEFMAAADDEEDIEPFLPGVPAESCKPEHRSPVIAKPKRRRVSPSHPYRGIRQRAWGRWSAEIRDPTKGVRVWIGTFDTAADAAHAYDAEALRIHGTKARTNFPAGTAAPAPAPRSQLPGMSPCRAVCGRDNVARAPESASTSSSSEVCSNAPARILLECCSDDVMESLLAGSDMAGTIDLWSVRLST >cds.KYUSt_chr4.48467 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300128618:300131163:1 gene:KYUSg_chr4.48467 transcript:KYUSt_chr4.48467 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNGFTEEDLMAALSHLVDHKAQGSSFVGMNDPHRTLWVRNYLANTTTTCSGALEGVGGDACMKDDTHFCCGGIYSSWRICQDQSSGYSNNSYRGYETLEEAQQEYQSFLDDEAMSIEAIDKLVPLAQLPSEAVPALQGAPEVHVTCYGMVFSPNLNCAKTTTGQEQPGPAQKFRTGETQIKGKGDDPTSAAGGASGPPGSKSVGASLGVALVLDQYGSNLSASAEVLPSLPLLDSSKLMPGVQSDHLGAIEESLESGEMESHLTDPLASWVEDSQQAEGPPAKVARRSLPSPPPAVGVEGVELVEMETVDESDVEEQVVGGDLRAEVAVATPIAQGPRSKAVYFKRGQSTPASAVRKSARNSSVAPGTSALARAQQLTAEKNLEGKTAPASAIGKEKGNDFSVLDILSDSHLTSVVTDSCMMFVPSAREPEEALSIVRAKEKVQAAMAETARRLAREAETAAANLEAAGAPTAQEAADEVDPALLPPCAQGEGLSEAGASTARTPVTRAKSRPAAAEGQSAAPRSRPLRKCVKASVRAVSTRQYKRRSSK >cds.KYUSt_chr4.34440 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211449582:211452245:-1 gene:KYUSg_chr4.34440 transcript:KYUSt_chr4.34440 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGGQTYGFPIYCASWLPLAHILKPSADDAAEADAPSPAPAPPPRPMVTLGGGGGEGNSGVPNALVVAAVDPAAAGAPPALSPEPVFRLQTGQQVPYRMSVHPHGHGVVCAFPNGCRLLRWELPEGEDPHRLELRSDQEALATLSDVGLQLAVSFSEAGSLLATGGEDGHLRVFRWPTMETIVEEPDTKTSVKDLSFSSDERFLAVNRSSGPSRVWDLESAEAVANLPREAGEIFGFCRFLNKPDNSQILFVTAMQGDDKT >cds.KYUSt_chr2.43943 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273522587:273523021:-1 gene:KYUSg_chr2.43943 transcript:KYUSt_chr2.43943 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAAPSSSSLLRRSLPPKFPAARCLAPPRCRARLRTACQVAVSSDVSPPPDVADEEASAAPKIGKRVRVTAPVRVYHVPKAPDLNLRGMEGVVKQYVGVWKGKRITANRPFRVEFELKLDGQDKPVRFFVHLREDEFEFV >cds.KYUSt_chr7.38428 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239455736:239460213:1 gene:KYUSg_chr7.38428 transcript:KYUSt_chr7.38428 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSSDPANGNGKRSLPSWMGPGGGEGSPGKKKHAEETREMAQTGPDFSKLLDGVVFALSGFVNPERGRLRSQALDMGAVYRPDWSSDCTLLICAFANTPKFRQVQSENGTIVAKEWILESHSQKKLVDIEPYLMHAGKPWRKFKEQAESSRDQKKPHKDHEKKLERAHAKTSPSAAIKAGQSDATNKYFSPSKIKQWAIDDFAKTISWLESQEEKPEPNEMKAIAAEGVITCLQDAIESLEQGNDISGVADQWCFVPHVVDELVRLDGGRNEGSLSKQQLTQLAMKCKKIYQAEFAHMESDGKKDKVRQSNPPDTDSRGKTGADDAQYDSDDTIEMTEEEIDLACRQFSGISS >cds.KYUSt_chr6.18856 pep primary_assembly:MPB_Lper_Kyuss_1697:6:118764865:118765203:-1 gene:KYUSg_chr6.18856 transcript:KYUSt_chr6.18856 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAAAELQGPPAELQVPTTGAAKGRRRSFKGQAWLLQGPPAELQVPTTGAAKGRRRSFKGQAWLLQRPATRAAKAKHGCCQWGQQCYRRQGWCDQPAPAVLPVVLPAVLP >cds.KYUSt_chr4.5945 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34889144:34890968:1 gene:KYUSg_chr4.5945 transcript:KYUSt_chr4.5945 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVLSDVVVLENKVTDILPPSGNGKNRERHVYITDDSDHAIVTLWGEQADSFDADGLMEASNEEAKHLPWRVELHDGNLGHGERYKIAIRITEVASDTANRTKRKTATTVKIPKPKKGLHFNHDD >cds.KYUSt_chr7.18763 pep primary_assembly:MPB_Lper_Kyuss_1697:7:116253970:116254305:-1 gene:KYUSg_chr7.18763 transcript:KYUSt_chr7.18763 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSAAVELAACGVRVNAISPYGIATSMAKRGVREMLGLPPVGTDTDDEEVIRRVFEEDFNEMGGGVVLRVEDVARAAVFLASHDARYITGHNLMVDGGFSVGKPLNVPVR >cds.KYUSt_chr5.19182 pep primary_assembly:MPB_Lper_Kyuss_1697:5:124188960:124189784:-1 gene:KYUSg_chr5.19182 transcript:KYUSt_chr5.19182 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGFNSIDDRRTQLAPACCCFGFPQRSDRCESESETRKGGVRNLYTVGPNAATAGFSRGGPTAPSAPSRLGRVRRRHGKCRWRALPRDEQVLVDFDPYWFEGRAAGKIYWHICQSSRLLVLDPSTLRFSYLPAPGELFAHDRNPKYRIGEAPDGRLCLVTDAQQQLQLWVRGKGDKGWIMERKIVDLLVLCDKLSGRGLPVDPMLRTLCVWPSDMDAGRTGKVFIKTWGFGRFSFHMDTGKMELLATKRGKEYGHPMFAYFLAWPPAFLAPDN >cds.KYUSt_chr2.1649 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9700291:9700752:1 gene:KYUSg_chr2.1649 transcript:KYUSt_chr2.1649 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGVVFAGEPVHSIDSTPPHRLRAYLIPIPGLPEAEDADFTAMDSPDTTVQLTGGGGRVLLLPFPGMQGHANPMLQLGRRLAYHGLRPTLVLTRHVLSTAAPKDCPFPVAAISDGFDAGGIASCPDTAEYLRRMEAAGSDTLALLLLAADVY >cds.KYUSt_chr1.253 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1391513:1401472:1 gene:KYUSg_chr1.253 transcript:KYUSt_chr1.253 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGDLVESDSKVKIPRKKWLKVTADIKAGKLKFATDKEKDLLTLVLGNPEKGGRTRGFGPSIPWSLGFPDDAETYRSRARAKQRQLEVQNDRMAEFQRQLDQQQREIQQQQRQINELRGHREPDNTAGISQRRSSSVADSEAPPECIRMIDGGPGYPVDGIKEQTPCDLHEVFRNISLKVAVGYVLPAVGPEGESALWRGNEIPAGYAHVGVDSIVPTFESLQLEILGGEGQVTLQDVLGDLILWEKKNIRLPGWVPPTSRPRSPSPPPSDRRPPSPPPTGHMSPPSPHGYDHHIPSPSPSPVPPPAPTKTRHAPKRKHFKSPVRKLSPLPKVPKGHGGIDMEETLKLAAQMGCTVEELLGSQDGALPIADIAPKFVYGADLVSKERLHQLPTHMWNLHQWYLDACKVDQRYILANIPEEYYFRREDLHIEISELWQLFNFEALDKSLMSCYCLQKISECRSNKMFNIGFVNPDKVHHDTVRDKDEETGGNLVRFITEQHLCDSILFPYNYSFHWILLDIQVDKRIVEVRDPLSRGLEGFPELQKLLQRSLLKVEPSHPARVEKVAKELMKFPIFVLLFPVLLLLLQPRLTYSALHGNETDRMALLDFKQACGDPHGSLASWNASIHFCSWKGVSCSLKHSQRVSLLNLTDQGLVGYITSSLGNLTHLRELHLPTNSFGGEIPASIGRLRRLKVLSLSNNSLQGWVPDELSNCSHLQILQLSSNQLIGGIPRDIGYLLKLVILGLSENNLAGTIPLSVGNITALRVVSLSENYLQGSIPEELGQLSGMSYLALGANLLSGRVPPTLFNLSSLGVLGLELNHLNKAVLPSDFGSHLPSLQHLGLDSNHFEGPIPSSLANASMLIDVSLSRNHFSGHVPRSLGNLQELTFLNLESNNLESAANKENWEFIDSLTNCSKLQVIGLGENNLGGVVPNSIGNFSQLQILFLGTNRLSGKFPSGISNLQSLIGLSLENNQYTGVIPEWIGNLGNLQALYLEGNSFTGPIPHSIGNLSQLSYLYLQDNKIDGLIPPSLGNMKNLLRLNITNNSLLGSVPVQIFSLPSLINCQLSFNKLNGILPREVGNAKQLMELHLSSNKLSGEIPHTLGNCRGLEIVQLSHNFLVGNIPVSLGRLESLKVLNLSHNNLSGSIPKSLDGLNLLRQADLSYNHLVGEVPTKGVFLNASALMLEGNTGLCGGVPELHMPACSVGSRESTRRRQSRMVITITTIAITVITLLVILIILTFMFRKNKLKHASVTLPSFGAKIPKVTYKDLAEATDQFSSSNLIGGGRYGSVYKARLHGEANLVAVKVFDMATRGATRSFIAECEALRSLRHRNLVPILTACSSIDSRGNDFKALVYEFMPNGSLDSLLHPKEDGMPAPCYLTLIQRLSIALDIANALEYLHHNSQRPILHSDLKPSNILLADDMSARICDFGLARFCDRARSTSTVAVKGTIGYIAPEYATDGQVMASGDVYSFGVILMEMLIGRRPTDDVFRDGLTIVSFVDMSFPDRIQEILDAQLQEEIRDFEVHSGSMAMIIECVQSVLRIGLSCTFQSPNERLNMREVAMKLQAIRKSYVGDLAL >cds.KYUSt_chr6.28650 pep primary_assembly:MPB_Lper_Kyuss_1697:6:181531278:181536751:-1 gene:KYUSg_chr6.28650 transcript:KYUSt_chr6.28650 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGCILRSALFVFLENMASPNALQEGRMASAGVTASVPTKPIGISPDPRNLASDAPSCPRVTLAKHKRLRLLLRASQPLGLRLIVLQRLGRCGSEAGSSSSTSVGESSSASASSGSAGGVRGVERLGRCGSEAGSSSSTSSGESSSASASSGSAGGVRGVDRLVRRGCWSAGVSSSYSWCSAGGAPADELLACGGSKSCSSCSAAGSWSSRCCSSGGVLAVEQLVSGGSSYARCCSAGGILAVSGGGSWSSRRCSAAGSWSSRCWSSGGVLAVEQLVSGGSSYARCCSAGGIIAVRGCGPSSSRRCSAAGSSSSTSTSTSWPGQRHHVLPPKRGTWLPRDIQKSPLLGPIRKCELQGLVTFSPFFVVRHDCEMGVMSFVFWLRQSVSAIIYSSTRFGDSGYGSVRASGTIGVGHGYVDTKIKRKITESEVKIWDDNAFWGKENPSKEIFSELDEINALHLRIPRSFQNTREPPEGSPGGPTHVAGAAQALAAPPYCVAASSAFRLRLFTYLKVPDLNLRYGKGTVRETFQSRRHREANIWRTGVSVSARRWDGEVPPEGFSIDTTAIFITAAVSHEEGVVLPRG >cds.KYUSt_chr4.45486 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281520001:281520354:-1 gene:KYUSg_chr4.45486 transcript:KYUSt_chr4.45486 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHNILSVTIAAVICVIATPTIEGIENHWEQIPNLNDPDVQQIASWAVAEHARKANEWIQFERVDRGMQIQTVSGTNYKLILKAVNRNIKEGVYRADVYDEPSTHTRTLEYFAPAL >cds.KYUSt_chr7.174 pep primary_assembly:MPB_Lper_Kyuss_1697:7:952651:958680:1 gene:KYUSg_chr7.174 transcript:KYUSt_chr7.174 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRTQAVPASGALQAWSARAGVSGDVGMLSAALEGARRVLGAAAAAGELRNKPLEGFLKEVRREVFRADTLLDELDYYRLRQEQEAEAAGAGEQFVNSLINQVEHGDCAHGSNSDPFQQKHTIVDPSALDSSKLQLVIYSVNGNSCVPWMELDKNDISYRISESVNQLNEMQRDARKALKIEELDFLALRMGSSDMDFRETTPFVIEPKIYGRDKEHNHIINKLMCSETEDEDISVFAIVGNGGVGKTTLARLVYNDAIIARHFILRLWIYVSVNFDEVTLTHDLLECLYGDRFEGIEDLDKLQKFVEDSVKSKRLLLVMDDIWGDHDTSRWDTFLAPLRHSEVKGNKILVTTRKFSVVRMTGAKDEIKLDGLTKENFWALFKECAFGNRKDQLKLVYIGRQIAAKLKGYPLAAKTVGTLLRKKLDVEHWRKVLDSSEWKYQENDEDIIPALRISYNHLPVCLQLCFSYCSLFPKNYHFNSEQVVNLWIAHGFVCPKGNKRIEDVGYEYFSELVDCGFIQYEPTRLTHIMHDLIHDLAQMVSSDECFTIDALGSRATPKFVRHVSVVTESAYRPEGDGSMSPNEPFKKEFVEAIGSFQPKNVGTIMLIGRYDLNFSEAFRDKSDEFRAIRVLKLELMFDGLSSLICNLAAFIHLRYLELRAAYANSNLQLPESICRLYHLQVLDINIKHNWGSRVKLPRGLNNLVNLRHFLAFENLHAKIAAVGKLKFLQELKVFKVRKNKEFSIDQLSTLIELRGSLKIYRLGNVESKEEAMMARLEDKVYLSKLHLSWDKLQDDAASHTVVLEGLRPPTSLKFLRIDGHRGAAPKWSTTDFTLTCLQSLHLERCQDWVDLPPIGNLPFLKELHLVRMFKIKKLLIGPLEVLELRELPRLTECTVLDEEYPSKSIRVLEIVSCYRLSKLPFLQNSINVENEQRLPNLHRVQIHDWLESEDLPALPVTRELTDLDISNAGSVRTMSFRLKHAVGSDGLTLMISGGGDLSSLDEKVLAFNNLTALEEIEIRSCPDLSYLAWNSLQELAFLKRLTLSSCPKVFSSFATRYRLPPSLEDLNLSACDITGNQLSWVLANLPNLSSLKIAYCDKISSLAVGLSSNDIDKLPKGICCIPINCLTSIQQLHISSDMAFLSRKGLAEFVSLKELVIKGCAKLLASMVLQAGLESNDSILLPPSLLNLRIDDLPGKLLQHSRLTSLVQLDLEQSPSLTSVNLHSCTALQKLSIKECHSVASCEGFQSLACLTTMSVRYSPSMMYLGLQSCVGLRHLHVEGCNTLSTLESLVCLPLLAELHLIKNQNLVSLKLHPDAALESLNIRECSTLSSWEHLKSLGRLKKLEIRYAPGFVSAWNRIAKKAEIAGQELCLPLQTLHIDGPGFLTMAVCRLLASLKELRIGITQDFDNVDCQIRRFTDEQQEALPLLLSLQRLELLSMTDLQMLPSELHRLPSLQHLIITNCESIKSLPQKGLPASLKLLDAAKCSPELYEKCKHVRGLQWLYINGRKQEKC >cds.KYUSt_chr5.8862 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56181945:56189829:-1 gene:KYUSg_chr5.8862 transcript:KYUSt_chr5.8862 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEDPSVLTVCTALRDRLRGQPGLNAAVGYVRGLALERKGLPHPATDQPFQFSVTVFRTSFGCFPGAISPPVPLRQRRAQWQWILPVPRASAQPKKVAPAAVHGFFSLVFGEGDPITASVSGRADDLAYRCSSDSFDLDARALDGSENWAVLSTEGDKPVPRFAHAAAIVGSKMVVFGGDTGQCLLDDTKILNLEKLTWDSAAPKVRPSPNGRSLKLPACRGHCLVSWGNSVILVGGKSEPASDHLSVWTFNTETEIWSLMEAKGDIPVARSGHTMIRAGATLILFGGEDTKGKKRHDLHMFDLKSMTWLPLNYKGSGPSPRSNHVAALYDDRILFVFGGHSKSKTLNDLFSLDFETMVWSRVKTNGPHPSPRAGCSGALCGTKWYITGGGSKKKRLAETWVFDVLESRWSVRAVPPSSSITSKKGFSMVPLYHRDKIVLVAFGGNKKDPSNKVEVLVVLQNEHSYSWRSAPDVDSLLYEYSPSNKELADHLNKCAPLYSNSSVARHSLASTVKNTPRGESLSQHGALITSLHKQYGRVEESSLAQKLQKPIDDDKYDDVDDCSSCPASTPKEQRGKKTGADIQVDMARTVALKAENSEMEGLNARRIARSSSDISHPYNTKITDLIRRNAALEDQLAAALASKDQAEKNLSLVISSREQLEKRLANKGQEAEMLKEKIAGLELAQEESNNLSNTVHADNVRLEREVAFLKAIADESQKEMHSTRRVLAGEQSRAFQLQVEVFHLKQRLQMVEGRSGTPRKPHNPYSVVLHSDELDQRVGHLSNYAFSNKCFYANSFKHMEVDVLAEKVWRNVAHLKCKVFCWLAKRRHLLTNERRFRHSLATSATYPSCDQDEDTNHLLLECSRAHEVWMMFFPHLCPFPASLEELWSLRCRSYEDFTIITVVAWNVWKRGNALVFDPLDVGRRK >cds.KYUSt_chr4.37641 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232055444:232062099:1 gene:KYUSg_chr4.37641 transcript:KYUSt_chr4.37641 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMGAKLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPEGSPNERKIMKLCEYAAKNPLRIPKIAKFLEQRSRKELRAAHVNYVKIITEAYSKLLFICKEQMAYFAVSLVNVLTDLLESKQENIHILGCQTLAKFIYSQVDHTYARNIEGLVCKVCALSRQQGAEHKLLRAASLQCLSAMIWFMKEHSYIFAEFDEMVQSVLENYRMEEAAGDDDRQASQHNWVDEIVRREGRVVLGGVNDVNIRGSSATVRPRSARDSSALTREERECPVVWSHICVEKLAELAKESTTMRRILDPMLSYFDMKKQWAPRHGLALLVLSDMSCPGKSSGNEQLILTAVIRHLDHKNILHDPQTKSDIIQTATSLAQQLRSQGVVPELAVAGDLCRHLRKTLEALESASVEELNLNESLQKFLEGCLLEVVRGVHDVRPLYDMMAITLENLPSMPAVARAIIGSLLILCHIISLTSVSSNSPMQVFPEALLQQILKSMVHPDADTRVGAHHIFSAVIAQGSSHQRGDSEYLYETKKWQSRATSVFASATALLEKLRREKECLCSDKPGNTMHDDGKERNMHEEDNKHVWARKSPAYFSKLVFSFVDRWATLTNSAEETKIVLLTEDQTNQLLSAFWIQATQTDNSPFNYEAIGHSYSLTVISSRLKNSRNSNNIQFFQLPLSLRSIALTPNGDLSPSCRRSIFFLATSMLAFAGKACHVTELSDLLRCFTASNIDSYLRIGEDLQLYVRLQSDFGNYGSESDQDVARSILSDCRKKVGINDQQVLDVIAGALSNLTEKDKNVLVKELTEMFTPEEVPLFGSNSGLDWANFHAQAFSDESLSFDEECSRTSSVDGGFHESPVTNTASSISKITLPQSAPRVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGSGSRQKLSSWLVNGHSSTPDNPVPSLPASQHFITPKVKSSDFEINRTSSEPCSMVKLPPASPFDNFLKAAYRTQQEM >cds.KYUSt_chr4.24069 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151560060:151561883:1 gene:KYUSg_chr4.24069 transcript:KYUSt_chr4.24069 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELHADSFYARLRAAAVAAAAASSASSSPLLILPSAADADSLCALRILAHVLSADSVRFSVYPVASTAAAADLLASFSPDASSSHPPLCVLLINWGARCDLLRGLLPRGSTAFVVDSHRPVHLRNLAAGNDRVVVLFTADDERAADLSYDFDVSSLADASDLTAEGDADGVSDESDESDASDYDSDADGGRRKRRRPAAETNTNDPVRLFSRLRREYYRLGTFHGKPSGCLMYDLAHALRRNTNDLLWLACVSLADQFVHDRITNERYQAAVMELEHHVNGSGNLDPSGLGSVVTLKDGTRIRAPETSRIAYEDEPRLMLLREWTLFDSMLCSSYVATRLKTWTDNGLKKLKLLLARMGFPLADCQKSFQYMSMEVKRKMRHEFDRLLPEYGLTDFYYRSFLRVHGYKSKVSAADVVYGLTALLESMDAESKEECSAAEQFWVAYSALSVNNVDQLQKGMQSAIEIQRAILRQGSSAITKSGFIRSAKKFRWVKLDDPVDTAKLCHPQALTKFCFFLMDALKERGARMKPLVCACLAGDPEKVLVVGVCGKPRLGAIQGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >cds.KYUSt_chr6.32938 pep primary_assembly:MPB_Lper_Kyuss_1697:6:207391277:207391624:-1 gene:KYUSg_chr6.32938 transcript:KYUSt_chr6.32938 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIARSPGSSRHGAPSPPGSVRAGAASPVLKLAGVQDFASRSMPEEQSCPRPPHRPRVTVTPSPASSVPVCPGAARARGRVDVTSTSKTLRWATLVRLAILSQFPSSSGRPR >cds.KYUSt_chr1.23893 pep primary_assembly:MPB_Lper_Kyuss_1697:1:142307540:142314012:-1 gene:KYUSg_chr1.23893 transcript:KYUSt_chr1.23893 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNDAGSGGDAGDWRRGGDPAESSHAFDGSDDEPTTTVASSGDSLWQWRSQGLSEVVLSWSVDQILNKDLLRDKVSKIPQTFNSMEHYMTSFFGPLLEEVRGDMSSSMEDISGAPYARLLSINAMRKGNGMYEIKFDRWKGVFPGSGADGYRPKAADILLISETRPANQSDILRQSKSCVIVWINKVQGNKMTVKASRRMEIGADGDERHPMGVHKYEKLHAEDLDKSWEILDQEAMQKSMNSRLNEKNRKEPPKGRKSLEKCSDPMEQNGTGMSGNSSRRWSFYAMNLTNMITYDRVWITLRRGLTMQSEVILNMLGKNNYAIRHCRHCSNESHGEIKDDLCNFKLNDSQLDAVASCISASNCPHRSSSVGLIWGPPGTGKTTTLAVMLHMLLMKKQRILACAPTNMAVLQVASRLIGLVENFSLSHHYSLGDIILFGNKDRLHIGKELSKIYLDDRVKSLLRCFNREVGWKYCVDSVLKFLTNCISRYKMSLDIEASSDDCNPTFKKYFTSKFSILAKELVACIDTFFDHLPADTLGKNFDKMLFVKSMIYKLQQLVCADDVSDERLFTIFEPSDELSDPSIDHDGLKDDATEDLPDYDISLDNPLEINSKCIKTLMDLSKMRLPCEENESSIRDLCLKQAKLIFCTASGSFELFRLQGVMPISILVIDEAAQLKEAESLVPLLLPGIKHVLLIGDENQLSSLVKSKIAKDAAFGRSLYERWCEMGYDKHLLEVQYRMHPYINKFPNANFYGNRISDGPSVKREDYTKSYLPGRIYGAYSFIHIENDMEMLDDLGQSSKNMVEVAVAANIIERLAKECWEKKQRTNVGVISPYTAQVIAMQERIGRKFEKHEFLSVTVKSIDGFQGGEEDIIIISTVRSNKDGKVGFLSDAGRINVALTRAKYCLWILGNGTTLLASNSIWAELVKDSIKRECYFDALKDKNLAESMRLATKRSGRTIDATGVPSWSVRARGDLTVAGSSPPIRRSQLPVSGSARSINNSYDSRPDGHDLRSNASRPNRSSFLAPREDMQRTHFQQHRTFSGGDYYNQSRGVPANQYGPDRSRPSCDKYGAPEGFRGQAERHLGQRHHNRTVQEPLCSTSQTGNGRFAPGSVRRAGSHNPTSILGAWQPSGGYCNRDIQNRTASPLWPVSSQRRSSSYGNADPHLWSMNNDRQLSSHPQRAPDTYRGRAPPRGVAGQGIGRPSFHERLTRGGRDEHASNNRMEEPHSGRQHSTSEAVSRGLPVPEQRGVKRDWHDAEASDSPQQNNTKVRPAVESADGPHRQGQDCSSGAASNKLAVPEQPEMEVDGCNVEASDPPHHDKTEVRSESAYEPNCQPHDGSSGAASHRLPVPDHPEHKAESSCSPRQDNAEARPVPDHPEHKAEPSCSPRQDNTEARLQSSDQLHGKPQDTIRGAAPNELPVPDQPEMKGVKCEAEPSDSTHQDNTKASPGSSDQPPGQPELTSSGAAPRQLAASEQGGMDIDSWKAEATVIPDINIRLESVEPDS >cds.KYUSt_chr2.54525 pep primary_assembly:MPB_Lper_Kyuss_1697:2:340163656:340165523:1 gene:KYUSg_chr2.54525 transcript:KYUSt_chr2.54525 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNFAKVRPQIRSVRRGAVFDVGLLLLSVSTDTKKNPNGRVRYPINITGTRQNGKTLSGTCRSLHQYPEIMSRDVILKSLDCWAWTANPSSIPKVVWLTFTSRGVGGLASEVFVHEVRPTFSKRGATFRVLVHLDLMEDYTSAPLDFFGSSSDAAAFKPTSVAFDWHYLTVDGMPPTPMQHEEDDGTLARAATLARRNHAAPKDDHPRQHTRRDDHDEDRDRDGAARMDRRSHGERHDTLGSVCRERTRSPRRWDHDDGGHGRRHAVGSPAVLQLAAPAPMTASDANLRAFIAEQGASLKAELLACLNEAVAPVLAESAALHAWQLRALTFLDKAGVTSSPLRTQSPLRGPGEGDRLYGDAFGLHGHHSHAGTRDASPASPVTDCRGLGSPGAGPIVLEPSVGASDDQLQHATGLMA >cds.KYUSt_chr4.12260 pep primary_assembly:MPB_Lper_Kyuss_1697:4:74684934:74685296:-1 gene:KYUSg_chr4.12260 transcript:KYUSt_chr4.12260 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFPACFPALFLALNLLLVAGVRGQSPSTGGNPCPTNALADLKVCADVLVLLKLKINVPANQQCCPMIGQLVKLDVAACLCAAIKLSVIGIPINLPLDVPLVLNYCGRNASAAGANCS >cds.KYUSt_scaffold_6468.852 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4145532:4150820:1 gene:KYUSg_scaffold_6468.852 transcript:KYUSt_scaffold_6468.852 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPSPSHHHTPPPQQTRQNRMKILECKGGQIYDIGFIDPNTVHEVTVQQYPNDTEDNLLIHNNGLHEQLDPRVGVKGVRSTHVEGDGGVGSVRHYNCGSAIPFNVMKKKVEFLDVDKCECRYTLECDGVETSTWSIKMKPTANGGSVA >cds.KYUSt_chr4.10224 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61846817:61848521:-1 gene:KYUSg_chr4.10224 transcript:KYUSt_chr4.10224 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKRIYGDGDEPSRSSSGDRADFISNLSDELLGTIVSLLPTREGGRTQALSRRWRPIWRAAPLYLDVGDFGCGFSEKVISSILSAHTGPARRISLSRIRPLPRRFHYTDDDASDGRIDLWLCPRVLSHLQKLELTYSYDYTRITLPPSVFRHAPALRVAKFSWCRLPPNLAVDFPCLQQLTLRRVTMTEETFRAVLTGCPALEILLLEKNVGVGCLRISSPTLKSIGFLARWEKPQGDNSTVNVNELVVEDAPCLERLLPLDPDNGSTIIRVISAPRLEVLGSLGMVQLHLGTTVFQEMIGLTSTMRTVKVLALDYVGPDLDAVLDFLICFPCLESLYIVLEPRRFEHPFNGNELPPRNKMNSVRRYASPDGPAECLELHLKKVALKVYYGWGVEADFARFFILNAKVLEVMEFGTVIRCNDKWKANQNKLLRLEDRASHNARLEFKSFSSSTLKDYKKRTHDLSLPDPFDASFLYGYVTL >cds.KYUSt_chr2.50863 pep primary_assembly:MPB_Lper_Kyuss_1697:2:318068817:318071851:1 gene:KYUSg_chr2.50863 transcript:KYUSt_chr2.50863 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAPRVLYSYSHAATGFAARLTGRQAARLASQRSVLAVVPDATLQLHTTLTPSFLGLSASSGLLPASNGATDVVIGVMDSGVYPIDRDSFAADPSLPPLPPGKFRGSCVSAPSFNASAYCNGKLVGAKAFYEGYELELGRPINETEESRSPLDTNGHGTHTASTAAGSAVADAALYGYAKGKAVGMAPGARIASYKVCWKYGCMTSDVLAAFDEAIADGVDVISISLGSTGSAESFDMDSIAVGAFSAVRKGILVSASAGNSGPGESTARNVAPWLLTVGASTVNRRFAADVVLGNGDTFPGSSLYAAPPPLGATKIPLIYGRTVGSKTCEAGKLNASLVAGKIVLCDPGVNFKQGDAVKLAGGVGAIFTSAKEMGEQAFGSPQILPATAVTFAAAKKIQKYISKNTSPMGTIVFQGTVIGPTPPYPRMASFSSRGPNILAPEILKPDITAPGVEILAAWTGASSPSGLEWDTRRVQYNIMSGTSMSCPHVSGIAALLRQARPEWSPAAIKSALMTTAYNLDSAGSPTTPTPTIFHGSLRPKQLQIDALKRGDDTRAPPSTDHDGSRDYPGAFPDRMTKHHLGDTFKKEATPEAVAIAGLDQLRPASHRGQGISPELITPSCFMLLARPPP >cds.KYUSt_chr7.34234 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213930532:213932130:1 gene:KYUSg_chr7.34234 transcript:KYUSt_chr7.34234 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFLLRSLSYSAEDVVVSSIRLLSATNPNKSASLQSVNRNPDPGAAPPPPHPPTTTLLLPPADRLRGVFLQKAPGRAALHQALSSTGLDAAAALSPEILSDAVNHGNLSGAAIIDLFDWAISNAELPPTLDTCNIVIRALGRRKFFTFIERALEIMGKRGIVPDLTTLGIIIDSLVAARQVSKAVQLLESHHFGIRIEQTCGRKEAFSVLINCLCRRSHVGVASSLVQAARGDLLVLDKHVYNDVMGGWARFGKVDKMEHFWAMMLEDGLVPDEVSHCHLIEALGRAGRAEEALRVFEKMVQEGYSPTTMAYNALVSNFISLGDLDRCMGYYKDMVDKNCPPNSDTYCNLIRALLRARRVADALQMFDDMLAQGVLPNTGVITSFIEPLCTFGPPHAALMIYKKSRKAGCTISLKAYKLLLERLARFGKSGVVLKIWEEMQECGYPSDKEIYEFIVDGLCNVGKVDAAVSVVEESLRKGFCLGRVVYSKLNNRLLEMDKVETAYNLLKKIKRGRTLANSRNYCRANGWHL >cds.KYUSt_contig_1422.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000145.1:10840:20831:-1 gene:KYUSg_contig_1422.1 transcript:KYUSt_contig_1422.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEQVSYLAVESIFPEWNNWTQFLDETTSGLRLDALAESHPIEVEVNHASEIDAIFDSISYDKGASVIRMLQSYLGADRFQKALASYIKKYAYSNAKTEDLWAVLEEETGEPVKDLMTTWTKQQGYPVIFAKLNGQYLELEQAQFLSDGSSGPGTWIVPMTSCCGSYDVNKKFLLKGKADKIHIKDFAASETADRGTCQNSWIKLNIDQTGFYRVKYDDELAAGLENAVKANKLSLMDMIGIVEDSYALSVACKQTLTSLLRLLNAYRHESDYTVLSHVTSVCLGVNKISTDATPDLSRDIKQLLIKLLLLAAKRVGWDPKDGESHLDVMLRSLLLLALVKLGHDETIAEGIRRFHIFLEDRKSPLLPPDNRKAAYLAVMRNVSTSSRAGYDSLLKIYRETSEAQEKSRILGSLSSCPDKDIVVEALNFMLTDEVRNQDAFYVLGGISLEGREVAWAWLQENWDHVVKTWPSSSLISDFVNSTISPGVVALGGCCAYLGRLPPPTCCIRLRRHRRDSIDNVAIESDLPVIHTMTRARRRPDRSTDRAHVSAKHVPSTRYHPIELRATAARPFGPQRRRLWSTLPASDAPSRAWMSLVASHHCTDSRPAAYAGPSSRDVTVPVYFVVPAH >cds.KYUSt_chr3.32952 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206952868:206953347:-1 gene:KYUSg_chr3.32952 transcript:KYUSt_chr3.32952 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKLFAVVLLCCMVAVVAAMPAEHAELVQDGEAISTYLVHVAYSHSPRATRNTARLTRAYTSFLRDTLPTGMNAPAPSILYSYAHAMTGFAARLTARQAAHLEAQPSVLAVIPDRLYELHTTLSSSFLGLDTVLAAHNGVEQRHGRCDRRHRHRHLP >cds.KYUSt_chr3.30913 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193955882:193966063:1 gene:KYUSg_chr3.30913 transcript:KYUSt_chr3.30913 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNWLGRPALVPETAFAGVVVVSTFAGIMDESRKRAASNANTKNASSSLDEDFGSDFLSSWKVPKSGKDTIDFDVESAPKSSKKFSFDNFDDFGIDGAFDKISSFKMGMSDLDFSSPLKKKSKHSCSNGNDLSEGKKDTEKDFFSFDFNDLGKFNLDTKLGSEENGTSRTTEKTNPISSEGNKDPQRGTSDKGTDVPEDSKSKEQTQTHDACTLEPIHFTSINPERVEQLKVDMVSNDMLGDHSNEAHPTKPVVNSSSHSFPCSAVSAEDPTHLKAISAPEKSKEDPAVDLSKLNISRENSNSEQEVSSQSRNTSTENPYISRRPMGQSDSQNNQNEAVEESRSLNEGSHGSQSFGGTLMNPVRKTSSGTNNVEKGTSGPKNLSSTTQREIRNVKPALVNEAGTFSLLSKSAITKASRPPQESIPDAKAPAVLKRIMRSPAILSGTPKTRMDNVVSSTTPCEMGDISDLEVPALLEDDGNVDKACRKELEDKNMSALQKFANSLRSKKHLFEEISAIDTVGEYNLLAKDNSRNVDLLLRFGSGLLADPRSLLFFH >cds.KYUSt_chr5.38026 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240157445:240164084:1 gene:KYUSg_chr5.38026 transcript:KYUSt_chr5.38026 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPSTTGSAHRCRRPSLGYPTPFDCVWSRWHIAFGNAGGTPVSPHGLGYPTPFDRAGMLLRMLLLVSTYSTTCSPGLPPCGVYFSGDTRLAVGLRSSSQYDHAGNMQCTSALLCFATAIARPLPHPVRTYVPPLLLASSHSGSRKLADRGLVLSNADGEDDAYTILRVDGSLVTKNASDIGIFDRTLLYVGKIVESVSDYGGQIGVVTGVATTLGVVQLNDGLGATKAVSRVSPADLRRVRALSLGDYVVSGQWLGRVVEVSMDTEISFDDGAVCRVADAASGKIKPAKTHAYLPETNTALYPGARVVINQEDSSVVFMEERWLSGQWKPDRAEGTVTKVEMADVLVYWIASAEQAGMESAPPANQNPDRLTLLCSAPECTWCFADRCFLNADHTDEQLSSSSLPVTMAVSFTCTSVEVLWQDGTRQTMARSTHVSPVEFASDLDFFPGQYVIDNSVADDDAMDAMAMGAASGSKRRVGVVRSLNSKEHMVKVSWFKAAETGPGGWEVECEDTVSAYELARDPDYSAFYGDVVVRLQSDVSESTPLAQKQAQALSWVGHVVDLHVDGHVQVKWGDGSTSTVLPHEISVANNKHYTQLRAELTGSVEEDGVDAPADNDQDGTNVEGGVIQGSDGSGHVSAGSHEDPSVDGDATETRINAIVDDDSVDDSTENVVNKADAHGDDDRSMFPHFDVVKTPPDHHYLDTMEQVSGGGKKWVKAVQKEWKILLNNLPDTIYVGAFEDRMDLLRAVMVGASGTPYQDGLFFFDLQMPPSYPAEPPQVYYHSFGLRLNPILYESGTVCLSLLNTFGGDGTEVWSPATSSVLQVLVSIQGLVLNDQPYYNEPTYETLVGTLAGRRNALPYGENAFLLNLRTMLYLLRRPPQGFEEFVKDHFRRRGRFVLATCAAWLQGCAIHTFVGDARDTAADNQRPCSVGLKLALTKLVSSLLAAFMEIGAEGCDDFQLQFQELKSL >cds.KYUSt_chr4.38214 pep primary_assembly:MPB_Lper_Kyuss_1697:4:235638998:235639470:-1 gene:KYUSg_chr4.38214 transcript:KYUSt_chr4.38214 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHRPVSSLLFFIRTEHVHYSYTYGTRRRAGDGVIIANREKDEEGCSGDYYDDAELSECGVEDDQLLERAMMEASCGNVEAAEGSEDAGVDVKADEFIAKFYKQMKLQRQISWLQYNEMMHRSVC >cds.KYUSt_contig_2442.4 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000340.1:36174:37526:1 gene:KYUSg_contig_2442.4 transcript:KYUSt_contig_2442.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAVADVNAGRVAVTGPLDATNPAIVRMRQLVDGQQSADGWSRCWEQGVTPWDLGEPTPAVVKLVRSGTLPAGSVLIPGCGGGYDAVALSGAGRFAVGLDVCDAAIQRAKQWSSSSPDVAFVNADFFTWAPPEPFHLIFDYTFFCALDPSLRPAWAARMHELLRPDGELITLMYLPQDQESGPPYNTTVLDYEEVLKPLGFIIDSIQDNDVAVEPRKGLEKIARWKKMLDGAEKTASELLPTDAL >cds.KYUSt_contig_817-2.123 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000077.1:832051:832413:1 gene:KYUSg_contig_817-2.123 transcript:KYUSt_contig_817-2.123 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSGRAAASAALLLLLLLATELGTTTVAEAGARTCRSQSHSFKGDCTSSTNCASVCKTEGFPDGKCKTHYLVSKCFCIKDC >cds.KYUSt_chr6.18124 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114090274:114090885:-1 gene:KYUSg_chr6.18124 transcript:KYUSt_chr6.18124 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWDLQLMITVRSDRLGTSVLLSSSDFIVGDTLFSHIHISTTLRSYASAFQLYRRHASKVNPIGGLAVSSRRITMPKEYVSDLTDTCPVHWYSGSRHQVTVDDRVELCAVKEHKG >cds.KYUSt_chr4.38549 pep primary_assembly:MPB_Lper_Kyuss_1697:4:237740556:237745374:1 gene:KYUSg_chr4.38549 transcript:KYUSt_chr4.38549 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVGSIGSRGLFGRKAKITRETVVPDPDYRLPIAILGIAGAFAYADNLLAAAPVGLLGLLLLFQTTRVRFVFDDEALAQQLEQGRPRPVLGRSGAQRHPRHPQRRSKVGDAAVTATVSSRRTTGMAAEEDDVLVLLEIFFLRTGPIDAVLVVPLGALQRPQTCGEGGAGDARQHEAWLLWVYFMGISTAWPRSGKPGWPIVGGEACGPSGSPVAVDHEG >cds.KYUSt_chr4.9430 pep primary_assembly:MPB_Lper_Kyuss_1697:4:56878157:56878960:1 gene:KYUSg_chr4.9430 transcript:KYUSt_chr4.9430 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSPPHVVEDLRPFLQLFSDGSVIRFPDPFPPPSPPPDQPVVEWKDVVYDASHKLKLRMYKPADASSGKKLPVLVYFHGGGYILGSFEKPNFHACCLRLAGELPAVVLSVDYRLAPEHRLPTAFDDAETALCWVRGQAAAGENADPWLAESADFGRAFLCGDSAGGNIVHHMAVRAGLGNLSLDPVHVAGCAMLCLMFGGVPEYDKLWRLALPLGSTAKSQDHPLANPFAPGSPALDGVALPPMLHAGIGCPARQTTRCHHGLRR >cds.KYUSt_chr7.25328 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157934433:157937690:1 gene:KYUSg_chr7.25328 transcript:KYUSt_chr7.25328 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNGKAKSGSGGDYTINLDNFSKRLKVFYDHWNGNKSELWGSSDAIAIATPPPSDDLRYLKSSALNVWLLGYEFPETIIVFIQKQIHFLCSKKKADLIGTLKKAAHEAVGADIVLHVKGKNGDGIDLMDSILRAVSAQSKSDTPVVGHIPKEAPEGKLLEAWAEKLSGESVQLTDVTNGFSELFAVKDATEIICVKKAAYLTSSVMKNFVVPTMEKVIDEEKKVSHSSLMDDTEKIILDPLKAKVKLKAENIDICYPPVFQSGGKFDLRPGASSNDDYLYYDPTSVIICAIGSRYSNYCSNVARTFLIDATPTQSKAYETLLKAQEAALAACKPGNQMCTVYQAAVAVFENNAPEFLSNLTKSAGTGMGLEFRESGLNLNPKNDRLIKEGMIFNICLGLNNVQAETNNEKTKQFSLLLADTALVTDKTVEILTNCSKAVKDVAYSFNEDEEEVPKPKRPKVEMSGLEAVHSKATLRSDNQEMSKEEQRRQHQAELARQKNEETARRLAGGGSGSGDGRGPARASNELVAYKNVNDVPSPRELVIHVDQRNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDNNLKSQGAIFLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQLATNKAKQMRLNDLWIRPPFGGRGRKLSGTFEAHVNGFRYSTSRADERVDIMYANIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTVGGSRRSALDPDEIEEEQRERDRKNRINMEFQNYVNKVNDHWSQPQFKGLDLEFDIPLRELGFHGVPHKASAFIIPTSTCLVELIETPFLVVTLGEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSSSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPRQFVEDGGWEFLNMEASDSEAEEESEESDQGYEPSDAEPESESEDDDSSSASLVQSDEDEDEDSDEESEEDKGKTWDELEREATNADREHGAESDSEEERRRRKVKTFSKSGPPPQRGSGSSSKHHPPPGRGSSGNSRPPPDRGSSKGGLSKKPKFR >cds.KYUSt_chr5.37808 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238897141:238904961:1 gene:KYUSg_chr5.37808 transcript:KYUSt_chr5.37808 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAITSRARRPVASLHLCERALPKSLPLRTASRSCVSRRRPFPRVALPAVAPSPPPPRISHGHGRRRGHAADVGSWKRRLLPSSAGLAARGCRRCGQRGRRSLPSAASRPALLQSSCRRCCQQQRWLLPAAAGVATNGGRACYKGLEALLPAAEADAAGKRRRLLPAAAIVATKGCPACYKRLEALLPAAEAVAADERRRLLPVAASVATKGGRTCCKGAGGVAAKGPSWLLRPAIAIATKASCGCYEQHRQCCERYYQGTKELPLPPELLLPTDGHGGGQVCSDGLGTEAVGELSWSSVEAGENEEGAAVVAFSCMARLTASLPLSVLTERRCHRSLPSMRRPYFSAFRNALAIYAEFHNGLFFWQLQAHVLDHCKDVLLLDLLHREAVQDQREDDSVFARSSFKTVSSSSRGKPSVIKKSIDKTVQYLIEELKKKLRPMKGSGDVKIELQL >cds.KYUSt_chr2.42123 pep primary_assembly:MPB_Lper_Kyuss_1697:2:262307897:262311166:1 gene:KYUSg_chr2.42123 transcript:KYUSt_chr2.42123 gene_biotype:protein_coding transcript_biotype:protein_coding MIGESYFGKAYRAELQDGFFVAIKRARKEHFASLHAEFKNEIALLKKIEHRNLVQLLGYIDKGNERIVITEFVSNGTLRDHLDGHHGLILGFSQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTEGFRAKVADFGFARTGSSEQSEIQTDVKGTAGYVDPEYLRTNYLTVKSDVYSYGMLLLEILSGRRPIEVKRPREKITVRWAFEKYNRGDIQEIMDPMLTESVNEDILTKIFDVMFQCVAPTRADRPQMKEVVEKLWKIRRDYAKTQSRGEVNL >cds.KYUSt_chr5.21586 pep primary_assembly:MPB_Lper_Kyuss_1697:5:140873224:140878245:-1 gene:KYUSg_chr5.21586 transcript:KYUSt_chr5.21586 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKTKKDAAIHVDFNIFIQEISPWPPSESIKSLRSAVLFWENGERNSGKTNTVAPSIGSGSTVGKIEFNEFISIQVVFQKEGSSKSGKWQRNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDTAVPVPLNCKRSFKSNAHPMIYLRIQPLDGDSSSVSSRDALSKEASVDKDSKEFVSATMSEEYTEDAGFASFTDDDEEETPYPYRSDGSVHTGSNRSQDSLKGKDVRVVGNEGTSSSLDSQHEVPSSSTKVRTEEVENHPIQIQKASIHPGMLSLLSDLPREQSPSLPPHSALRTGRKMSFAYGMTDSNQRQFRDRTYSTLTSDREKNMRFSMRVPDVNGSAINKKVDSQKEEVNEVDSKDILIAHHTKGSTDNVMQAQVPIRISNSRSDNKVRELELKVEMLETELREAAASEIGLYSVVAEHGSSANKVHTPARRLSRHFIHAFKNWPREKMGSAARSASSGLVFVAKACGYDVARLSFWLSNCVVLRAIVTETCKQSDNGNSINAADYNSSTASRRNSASMWESLNRKKGKLLSPEFDNWEDIDTFIAALKKIESWIFSRIVECIWWQAFTPHMQSAYISSESKTCLNLKKRYGKITVVGNQQQATLSIDIWNKAFKQASEKICPVRAAGHECGCLPVLAKLAMEQCIARLDIAMFNAILRESEDEIPTDPMSDPITDPKVLPIPSGKFSFGAGVQLKNAIGSWSRCLTDLFGMDMDDYLEVENGDGENGISEIRKPFYFLNALSDLLMIPKDVLIESRKELCPTFSSSIIRSILHGFVPDEFCPDPIQDSLLHALELEEHLEGSDKGIQAVPCSASPILYSYPAPGSILSIIGDPRKSGSAVLRKSNTSDDELDELSSPLSFISKAPANPLAKLKQISGSNTARYRLLHDVWRLDDQ >cds.KYUSt_contig_786.494 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2766292:2770205:1 gene:KYUSg_contig_786.494 transcript:KYUSt_contig_786.494 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTDRHVPNAPPFSTKTLKNPSPPPTPPVAMALLAAARRAATSSLPLLRASRGAAALLRPLAAAAARPMPFSSAAAVRPSSDDELLRVIKSEIKFADDCDDQDRVEEIPENFPFKITDKKGLNEITLTRTYQGEKIEVLVYMPSLVTGDEAEHDQDEDDKEKDDDQEDGEKAPKSTIPLTVTVSKSDGPSLEFTCTAYPDEILIDTLSVKQPAANDDEELIAYEGPDFNDLDENLQRAFHKYLELRGISPLTTNFLHEYMINKDSREYLFWLNKLKDFVKQ >cds.KYUSt_chr3.24909 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154587357:154589947:-1 gene:KYUSg_chr3.24909 transcript:KYUSt_chr3.24909 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPRAPPPARPPPPVPLPDTPPCPDSPPSTPGEDYHTPTPSLDEAREDTPPWLQQETNGRAAAKSPSPTLSPVRLPSQHRLPPPNSPTGNGQEAAAAGQPQAPGRRPQLRLAPGLVRTPSQGSVAKSPSPSPSPSPPSPLTPAAPPVPTTNSKSVQSTPKRTESWKPPATGISVQFDPVEEAVTSPLQLGKARLDSHRARTPAAAANGAASTNTVPREVAAVAAVGERRTLSVALRLATALLSLASFALIASAKTSGWDGDHFDRYVQYRYALAVNVIVCVYSIAQALGEIRRLVAARFAYRSMSSYYFSLFLDQASANRNIHADQSEHLLGDFVSFSCSAAGLGVPPDVGIVGCRVAQQSLGVELWPGCVQQEDHQRNVAVLPWVHRACCKLSHLHG >cds.KYUSt_chr6.29465 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186755528:186758881:-1 gene:KYUSg_chr6.29465 transcript:KYUSt_chr6.29465 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAACSARLLAAAAAAHRHPSVVYRHARHPVACSLSFPRGGPGPSFRGRASLFSSISLPSSSAAAHHAPFSLLPPDAEPFIQWDPPPPQDASSASPFGPSRGGDDEGPALVVLLGWLGARQKHLRRYADLYRDRGVGSVRFVVPVRELVGLDLGRRVELRVADLAAEIAAWCDADRRRTLLFHTFSNTGWLAYGAVLENLQSRADIIERIRGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSTLTGPAADSPDGSTLNGALNKVNSVSDLTKPSWGETFLLSTLHKFFEIVLHVPDVNQRLRKVLAVLSEKQPSCPQFYLYSTADRVIPAECVESFMSTQRSLGLSVSAHNFVSSPHVDHYRSFPHLYSAKIDEFLKICSPARV >cds.KYUSt_chr1.5663 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34954473:34971040:1 gene:KYUSg_chr1.5663 transcript:KYUSt_chr1.5663 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAATQEGKASGSGAPKPRRGRSSTGAAMLTTTARSMALVRTRPQSSGSQSAQRRKRKGRAAGNKRSNKRKRTREEEKDDEREEEKREEEEKEEEREEEEVSSAGSSPLRELHVPDEIYDHGDSGIWKAIDEAQHYINAKLARRTAWPTLNTRMIRSTKCVLDDPKLVLDRESARKAVLFAAPSFVGLTSSVGGKTLARCCGFWVHWDEESKIGTVLTTSRLICTKSPSRSAWLGQEEYDIDAEVLVHLRGNTTEKAHLQYLQKHYDLAFFSVKVDQPVRRLPFNEGVKHADQVLELGRDESSFLRINHGVIHVLRCIPRPHLGMKFWSIKFVDIALAENILYMCNIDDGLIVEEVSQGSHAEKLGIRDGDVIRCVNGQHISTTVELENMLLGKCEDESGNLISELDVESLLQLLLQSDHRVISLSFWKRDLSFLGVTRTSRAVRADLHQYVAGGLDWLGRERRHDVVFYPTKATKPLFEKRPKLFGIGGALPPEKREAPRPVPVPAKKKRQSDSSGLVCLQKKMAAPNEKWLYEKTKDSIFFINILPRHDKHGAINDLMKTANHVEEGKREEFLNGDGHCATGFVVENGPDLLKILTCAHVIEHVYSATDPVSTHDCNRVFITQVLCDHSELSFRRPGQNAHPQRVYAKGRIIGISCEDDLLLIQVPREDVVDLDEICMRNHPHLTFPSTQPAAFDVCAMVSWPPLRHRTAVIGRVSHTSRSFDDLGQENPVGYRMNLMEVRIGSELGSSGAPLVNGNGKVIGMLHGGFRDAFSYFLSLNDIMLFLAHRGVVVIRKFLLLLEP >cds.KYUSt_chr5.13804 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89782799:89784823:1 gene:KYUSg_chr5.13804 transcript:KYUSt_chr5.13804 gene_biotype:protein_coding transcript_biotype:protein_coding MAITNHYYFELTPEQRRNPQWHPDYNPTWESFFINRRERALARQEEGGPPPSNFNEAGRRLWWRGRTLQGVMSYRGPRLRYPQSQPTRALPSRFDYRDPDASDDDDGDYDDYSGDYYRARHEPPSTLALAGAGALAPYLAEAHLSALPSLSRILVWNRTKPKSAALVTKLRSAHPGVAVEEVEGMDEAVSAADIVSCATGSQEPVVRGELLRPGAHLDLVGSFTPAMRECDYEALRRGRVFIDFEAAMEEAGELVGVVQ >cds.KYUSt_chr4.52305 pep primary_assembly:MPB_Lper_Kyuss_1697:4:324454359:324458274:-1 gene:KYUSg_chr4.52305 transcript:KYUSt_chr4.52305 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQKRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECEIDNGLQDAYALCRIFKKTAPGPKIIEHYGVVQYDTEQPQWAASSVERSPTLDVSCDGRGGDDFESSSFSFPTEAPMATGSMHGGGFGMQMMGAPHEDGRWMQFLSEDAFNATNPYFMNPATSNFSCIPSKVDVALECARLQHRLALPPLEVEDFPHDVSLDTKTGILRSNPNEVDILQEFLSVASASQELINGSCSSSYPDMWLGAGTSSAGAGSHYMNELSSLVDLGASKAKEEADNFYQLCGIGASMSRDEPGRLVEISEMEEFKEEKKQVENLRGVKLVNNDLGEIVVEGDESNPTEGITHYPIKDTADNSGEAGHHLTDTTDAGVIDMAPIFSQSQPDDFATAMGFDNDDDVNPDASFDLYEKVDVQRGLFVSRVGASKTFFHRVEPPKMVSFHLNPVASEVGKAIEKFRYLPVTSKVSGSSRVSIFGKVKALIRGKLLTRRSSSQYQSSETTVSELLQIVSLLLAPKEVTEEEEPVGKKAKKVKPGWGCDGCNSAWQFGLPKGSKGISSMFLSGKWAFLTSALAIRAPGLCNH >cds.KYUSt_chr2.19795 pep primary_assembly:MPB_Lper_Kyuss_1697:2:124583722:124586916:-1 gene:KYUSg_chr2.19795 transcript:KYUSt_chr2.19795 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRPGGQLPPPPPAPLFDIDPEAAENEVWEEAALADTIAAFDAATAEEAWLRRTEEMAERWRAERHRLHMERHLQHRERMEAIEQRRREAVERQQRQAAEDQEEAPVKVKKRPPLRRGKVYPQLTVPEHIPRPSYVGANIPQALHPVRQIHNAEGITGMRAACKLAARVLNFAGTLVKPSVTTNEIDRAVHHMIVEAGAYPSPLGYGGFPKSICTSVNECVCHGLPDSTQLQNGDIINIDVNVFLNGYHGGTSRTFVCGEADKSIKHFLKAAEECLEKGISVCRDGVNYRKVGKKISNLAYFYGYHVVERFVGHGIGTMLHSEPLILHHGEYLLDFTYLIDIHPIG >cds.KYUSt_chr4.3416 pep primary_assembly:MPB_Lper_Kyuss_1697:4:19476083:19476685:-1 gene:KYUSg_chr4.3416 transcript:KYUSt_chr4.3416 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSEQGHHVSISKLQQISPLPSRSAGLHATTPTPLATMAGRREQQRIKPSDPEDSSIGLIIGDSSSPHPSSLQPPPREHHHEEKDSRPAPAPATGNWHHPPSIKLKKRHKAEERHLANTEPSSPTIIATTIYTMRSGTSPQPPPAGTAAEEGLGSARFTGVDSQPTVAMREKKGIRVLPQEPVIPVLYSCLMQQSVNK >cds.KYUSt_chr7.41016 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254110821:254111884:-1 gene:KYUSg_chr7.41016 transcript:KYUSt_chr7.41016 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDGELPALPSGDGWPVMEVLVVPTAWCWWLLLKIFYPLSKNKLEDVVTALDLGFGVMAAAMCRSRAAEGSCFLLGARRGGENGWTLQISFCLLLVLRRGTTQAAPGSFSTAVLDWQSSQLVVGRPLPPPSPATGFSGRRKQVYINLQAMMPQRRLFCFVGVSSRLHAPSGFVPGGMEVGSGELFGGETGAGLDRVFFSQSKELAWEEEKIHPFSVRRGRGLACGYLFSSGELWGGGGGALSPGPMDGVKWMDGGESIGWRPPDPERRR >cds.KYUSt_chr2.52507 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327606197:327609480:1 gene:KYUSg_chr2.52507 transcript:KYUSt_chr2.52507 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSTVIGHPTDGSPVSGGGLSQNSKFSYGYASSLGKRASMEDFYETRIESVDGQLIGLFGVFDGHGGAKVAEYVKENLFSHLLRHPKFMSETKVAIDDSYKSTDSDFLESDSTQNQCGSTASTAVLVGNRLFVANVGDSRAIICRGGNAVPVSKDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEIVDESLEFLILASDGLWDVVSNEEAVDMTRSIQDPEEAAKRLLQEAYVRESSDNITCVVVRFFHGQGSSGPA >cds.KYUSt_chr4.42974 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266180741:266181034:-1 gene:KYUSg_chr4.42974 transcript:KYUSt_chr4.42974 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDVYDSACALAKKEDFLANAIRTPGQVLDLVPMSAVVRRPPRPDAAPPGKIEVTAAGKLGFRGNLAFRRRLELLCFASLKTTAFRRRIAVSHDG >cds.KYUSt_chr1.1153 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6301851:6317486:-1 gene:KYUSg_chr1.1153 transcript:KYUSt_chr1.1153 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPWNSMDPVATVAQIVGVDAYGLIKMIVQRAETVQRNRYECRQLANHAETMGDVLRQVLREHPEIDGMVGKLEATLREACVLVSSCQASSYFRRFVRSGKQAQQFQRIKDKIDFYLQLFPVISHIDTTRRLKILLDGVESPQTQNMPRSPSNHSGPLASRSVILLSKSIINKDDSYKRPHAKTESFAMDMVAVDIVKEVRSSTRRDDDGHQLINTDDITVAIKRCFVESSPERLSDFENEIKFIPKLQHRNIVKLQGYCIHRKERILVYEYMINKSLDKFIFGLDIIHRDLKPSNILLDSQMNPKISDFGTARACPPDKSHKADVIAGTRLLLEMLTGKRNCTSYSIRERSYLSLHEYAWDMVFMEKAVENLVHPSLCDENPRRMKQMTRCAHIALLCVQEDPADRPSMWDVVLVLNGAAGAAYALRLCHIAHRMISRNQSAFIKDRHIHEGVLSLQEIIHETKYRKLRGVFLKLEFEKAYDSVNLDFLCELLVRKIFDPGWVHRALGLFYGANLSRNGLGGVRQGDSAVEAIDATLRKANDAGHFKVLVPHLIPGGDASAICGRHDHHDPSRHAWDCESQDCPIVL >cds.KYUSt_chr2.769 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4536220:4537582:-1 gene:KYUSg_chr2.769 transcript:KYUSt_chr2.769 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQVDMTRVADPPRAPHALASETVTSINRWSIFKVKMIAIGMMLAIIVLVVAANAEPDPSHKKDICIDKTDKVPGATACICSKNCACAGKCILAGGDGDEVKTCFVECVLKNDCKCNAEGSSDPAPQANK >cds.KYUSt_chr6.28216 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178801453:178802379:1 gene:KYUSg_chr6.28216 transcript:KYUSt_chr6.28216 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLVMKKAVKPSSFDLDIQLDRSWIEDVTCPICLDFPHNAVLLRCTSYEKGCRPFVCDTDKSRSNCLERFKGAHGQPVNVKVSAVNIAPRDSIHIISSNANNHPACPLCRGDVIGWVVIGEARQHLNQKKRCCEESCCSYVGNFHDLQKHTQQKHPNSRPSEIDPARRVDWENFQQSSDIIDVLSTIHAHVPNSIVLGDYVIEYGDDEAGDDYEVLHRVRGNWWTSCIFCKSFGSSRGRRRARTRERRGSESRGVSRSTQERFAVEVPARSVGIREIRFGGVDDEYLVTGASRRMTSHYRSAHIL >cds.KYUSt_chr1.23605 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140520861:140521637:1 gene:KYUSg_chr1.23605 transcript:KYUSt_chr1.23605 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRRGSSGGLRIKKKGRGFMCGCGGSKAVSVSDGGSDDKQSPMATPPTNTSTATTMSIATTATTTTRRAAGNSSKSASMISSAAAAASSSFSPSSTDDADTSVGSTPSVAALLRQLGELERTVRSLQGHTAENVGGGGRGRHRRTASEGGARRIEESVAVVKESADPLADFRRSMLQMIVEKEIVGGDELRELLHRFLSLNAPHHHHLILRAFAEIWEEVFSGYDRTPDFLVSHPRSGKRRLHLPREPAAAVSPLR >cds.KYUSt_chr2.6814 pep primary_assembly:MPB_Lper_Kyuss_1697:2:42489842:42492376:1 gene:KYUSg_chr2.6814 transcript:KYUSt_chr2.6814 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRHRVLSASLLLCAAALTCVSGSLGPITTNGQNYSKVCDPARFASMGLDMRGFRYCDASLPYPDRAKDLVGRLTLEEKVRNLGDRAEGAARVGLPPYRWWGEALHGVSDTGPGGTWFGDVVPGATSFPLVINSAAAFNETLWRAIGGAVSTEIRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFLVGRYAVSFVRAMQDLHEHDGATGAADADPFSRPIKVSSCCKHYAAYDVDAWFTADRYTFDAKVEERDMIETFERPFEMCVRDGDASCVMCSYNRINGVPACADARLLTETVRDAWQLHGYIVSDCDSVRVMVRDAKWLGYNGVEATAAAMKAGLDLDCGMFWEGAQDFFTAFGLDAVRQGKLRESEVDNALKNLYVVLMRLGFFDGIPELESLGATDVCTEAHKELAADGARQGMVLIKNDHGRLPLKAANSVALVGLLQHINASDVMLGDYRGKPCRVVTPYDGISKVAKTTSMHACDKGACGAPGGWVKTVDATIVVAGLNMSVEKEGNDREDLLLPWNQTNWINAVAEASPDPIILVIISAGGVDISFAQNNSKIGAIVWAGYPGEEGGTAIADVLFGKYNPGGRLPLTWYTNEYIGKIPMTSMALRPVADKGYPGRTYKFYGGSEVLYPFGHGLSYTSFSYASATTGASVAVKVGAWESCKQLTYKPGTSASPACPAVSVAGHGCQEEVSFELTVANVGGMDGSHVLAVYTVPPAEVDDAPRKQLVAFQRVFVPAGGAVQVPFKLNVCKAFAIVEETAYTVVPSGVSTVLVGDDALSFSFPVKIELAAA >cds.KYUSt_scaffold_2697.83 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:551885:552883:1 gene:KYUSg_scaffold_2697.83 transcript:KYUSt_scaffold_2697.83 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPIRDHRRVDPKLFQRLKDGSVIPASGSRRRGRDASPPSARCKEGHDQGHFGAAVRGRSPPPRRLTSIICLGADGPTFQAPSQPRCAPGSSISPPPGSTARLARRSLSCARCLDFTPATTVSSPALASAFSTPVGDIAGVSPSEAGSTPVHGDGASPARTPPDSAAHPQAASIGRVLFNKLQLPLLPRPSSPSPRPTPPVARRKTLAGVKGFNLQRSSARLKTKGKRAPMALLAEQLLCKRLGILKDGECMTEAAIAKFVAMFQGKLPALAVDALRALFRLDCDLAAAVEDALLAHGGAAAVELAHDAAGLDEDDGVAPTGATGQQIAT >cds.KYUSt_chr4.33437 pep primary_assembly:MPB_Lper_Kyuss_1697:4:205047923:205051450:-1 gene:KYUSg_chr4.33437 transcript:KYUSt_chr4.33437 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPAGDACPHCGAGEELMAGPARVTLRKRDLPADAVARVGPGDEAAALRDSLAWHQTGMAGLQEELEAERGAAAEAASEAMSMILRLQRDKSEAMMEARQFRRFAEDRFAHDAAELAALHDAIARRDASIQSLSAQLRACRSRLLQLGFLSPSSLPSSPTAAAAAPGDHPFADDDYPSIHCLDQPAAPSDVGTPRTHHLLNRLPGRAADTCARPRHARALSNDSLFALPDESPFLVEQDDDDCCDRVYTVDAVHAAPEDRRYFPTPMETNLGSLVPAWTEEQEIHKLSARLQALEADRESMRHAIMSMGPDKAQALMLNDIAHHLCDDDDEAPLNALSFKMHPDRKVVKNQPFAAKFFLVTVIKVSYWFVRELCWTYVVARQIPQTEV >cds.KYUSt_chr6.15045 pep primary_assembly:MPB_Lper_Kyuss_1697:6:94232860:94240592:-1 gene:KYUSg_chr6.15045 transcript:KYUSt_chr6.15045 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAETLVSLPIASPSRSLLRPLRRRLAAPSIRLSAAPPRGLGFALTPRRARCPPAARANVERDGDGASGPGDASSTAETDRDPSAEQAGDTATTSTSTTGATPPSPPPSSSSSNREQNKWRRKALKGGRGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEITLPGSEPRPQTTYVSVPYSDFLASVDKDQVRKVEVDGVHVMFRLRPEVEASVVPTQTPMTDAVADSSSALAPRRIVFTTTRPVDIKTPYEKMLENSVEFGSPDRRSGGILNSALVALIYVVLIAVVLQRLPINFSQQSTGQLRNRKNSSSGGEKVSESADIVTFADVAGVDEAKEELEEIVEFLRNPERYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGEADVPFISCSASEFVELYVGMGAARVRELFARAKKDAPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDKFGRESILKVHVKRKELPLGKDVDLSGIAAMTTGFTGADLANLVNEAALLAGRSNKQIVERIDFISAVERSIAGIEKKHVKLKGNEKAVVARHEVGHAVVGTAVAKLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEIVLAGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISLATLSNGGLDDSGGSPFGRDQGHLVDLVQGEVKALLQSALEVALSVIRANPGVLEGLGAYLEENEKVEGEELQEWLKSVVAPEELASFITGKQEHVLQLQSDCGGRRLSLAGLGGEGGKARLLATNGVNELLAGRGGEEEPSRAISSSTVDGRSYLRRFWCSSRALMLLLLVGHGGEREDIDVAAMHWRRCRTCINLGAVCASSSPSFIRRLAWEALQQGTHAGIFALPLHLMAKRRPFSRFSSSTGRLSGSSSTTPAPDAPSGLLPGGVGGGRWRCPFSGADQGPDRFFAFLPRVLLAKYEGFSVILCFS >cds.KYUSt_chr1.9373 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57233069:57233737:-1 gene:KYUSg_chr1.9373 transcript:KYUSt_chr1.9373 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNFVCEEIFKVVMERARALANECGCDGASRLMSGRMPLSSASSSVEQIASLAATMLCHAGGVKLIHLLYGQIVPALLLSAGDTKLGSAGPLCSMFEGFALAFVLILSGASIWGIGVDSPDYISVHTTKRRQAVGRHLEFMTKVMEGNMDLGCGQATWRTYVLCFVGLLVDFVPTWIPEVQLETLQKLASGLQKWNEHDLALSLLERGGPKAVTIVVESML >cds.KYUSt_chr1.3052 pep primary_assembly:MPB_Lper_Kyuss_1697:1:18336566:18339898:1 gene:KYUSg_chr1.3052 transcript:KYUSt_chr1.3052 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGTSMLGIVNFITFLASIPVLGGGIWLASRANSTDCIRFLQWPIIVIGLAVMVVSLMGFAGACYRQTWLLRIYLFAMFFVVVALLFFIVFAFAVTDRGEGQVVMNRRFLEYQLSDYNGWLRNRVADQQYWATIAACLRDGHACKGMRRGVRDPNTGMMVAESPAMFANRNLSPIESGCCKPPSSCGFNYNNETYWTPIPGSSVADPDCYKWSNDQQTLCFGCDSCKAGVLAGIKKSWRKVAIINIVVLIILVIVYVAGCAAFRNAKRMDNDESYGMARMTKSRPSRFQF >cds.KYUSt_contig_319.1247 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:8314531:8316144:1 gene:KYUSg_contig_319.1247 transcript:KYUSt_contig_319.1247 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGWSTSLSSGIVLSLVAVVWTMLWNNLQGLQLQQFVSRHLSRHARRLAAIVDPYLTVTIAEYDGGRMKRSDAYKEVQAYLQRATCATGGGVRHLKAETAKDVDALVLSMGDNEEVADAFRGATVWWLAYSTPPREDNAPSYWGGGRAARADRRFYRLFFLERHRDLVLGEYLPHVRREGRAVMVKNRQRKLFTNLSGDGYDADGMWSESVWSHVVFEHPKTFATLAMDPAKKKEVMDDLDAFRKGKDYYARVGKAWKRGYLLYGPPGTGKSTMIAAMANHLDYDVYDIELTSVRTNTDLRKLFIETTSKSIIVIEDIDCSLDLTRARKKKKKANDDGQKTDGDKKDGVEPPDEEKEAGGSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCCFEAFKLLAKVYLDVESHHLFDAVESLLREANMTPADVAENLTPKSIDDDADSCLAGLVKELEKAKKKVLAKKEGKRKDAADGADDDDDDE >cds.KYUSt_chr6.29583 pep primary_assembly:MPB_Lper_Kyuss_1697:6:187447681:187448748:1 gene:KYUSg_chr6.29583 transcript:KYUSt_chr6.29583 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPPRRLTPPPRLLVPLPTPPISPTPSSPSPARGAGYRATSATAGFSDLAVCDPLSRRHLLLPPIPEDLLTAFPEKRTLETVTILAPIGDGEDETSFKVICCADCETNLVAFVFSSLTGQWGIPASPSWSSLGTTSRKFSWLGCRGLSCFKYMHGSLYSASPWMDKLLVLDTRTMEFSTINDGTGYHMQLRLLPGQSDEFLSLNDMPRRKRPGQNRSLPRIVGREGALEMFSLVGDHSPNGSFDLYHTSQQINREHSEEWQLENIIPLPGQYDYFTLGAAEGFLFLGATTEDQMDIDEDPVWLSMTDWDVDYFSLDIRTSELAKVCRRRRRFFHYEDVHWYFGFPPSLSSPSI >cds.KYUSt_chr5.43624 pep primary_assembly:MPB_Lper_Kyuss_1697:5:274739689:274741650:1 gene:KYUSg_chr5.43624 transcript:KYUSt_chr5.43624 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHREVASDDGNQKQVMSGADKLDDAAAPNKKQTSSDGGLATLVNEMVAGSVTYQHNRRVAEELLGMNKEEAAATTRAFTYHELWEATGGFRVESMLGEGGFGPVYRGRLQDGGRAVAVKQLDRNGVQGTREFLVEVLMLSMLHHDNLVTLVGYCADGADHRMLVYDYMPHGSLEDHLLDLPPSAPGLDWCTRMRVAQGAAKGLEYLHDASRRPGPPVIYRDFKASNILLDAGFNARLSDFGLAKVGPVGDRTHVSTRVMGTRGYCAPEYALTGKLTPMSDVYSFGVVLLEIITGRRVLDADRRPDEQNLVAWAMPRFKNKRRFKEMADPLLGDAYPIKGLYQALAIAAMCLQEDATMRPAISDVVTALEYLTGHPPPRPCTTTTRDDVADTAD >cds.KYUSt_chr1.25121 pep primary_assembly:MPB_Lper_Kyuss_1697:1:150637401:150638111:-1 gene:KYUSg_chr1.25121 transcript:KYUSt_chr1.25121 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQCVPSWDLEETVGAGITPVSAGPALRMASHAAPVAVPMPDQYDEVAELTWEKGNIFWQGLLSRSAPKYPAARPTAPSQIHAISSGGDHHETLEAVVGEAATRLSSNPHLAQPRAPAAAPWLGVGTPADGLVPCAARSDDAAEAEARRKRARLVGEDGRMCASQGSAAPGRRESTLLTKLDPCGTGADDVCGFTTTTNNSTSLLDHGSPEETENTSFGGGASDSRCFSRRSQAS >cds.KYUSt_chr7.23658 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147492847:147495446:-1 gene:KYUSg_chr7.23658 transcript:KYUSt_chr7.23658 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLACPTRHLASRWRRKLSSEAVKEAIALIVGEAREKKRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMRVCMLGDASHVDQAEKLGLDSMDVESLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVSHSESLEAKVNETKATVKFQLKKVLCMGVAVGNLSMDEKQIQQNLQMSVNFLVSLLKKNWQNVRCLYVKSTMGKRQRVF >cds.KYUSt_chr7.5907 pep primary_assembly:MPB_Lper_Kyuss_1697:7:35321754:35323107:-1 gene:KYUSg_chr7.5907 transcript:KYUSt_chr7.5907 gene_biotype:protein_coding transcript_biotype:protein_coding MEACIPCLGVRKGRVPPPPVAGDPLNRNVPAAARTFSFEELSAATRNFRDENLVAGSEPCVYRGRLKSVNQVVAVKLQHLVDRNNNVSTEQRNSEFLARVVMLNDLRHPKLVNLIGFCADGNHRILVHEYVPLGSLEDRLHDPSPEKPRLDWSTRMNIAAGVARGLQYLHDKGVVYRCLRSSDVLLGDGEGYHPKLSQYELAKAGRLFDDSEAQEAREFQIRTIGALAPETTMAAQVSMASDVYCFGVVLLELITGRRAFSPRAAEEEPLLVTWAQPLLKHRAGMRRMADPALQGRYPSKDLEMALAVAFICVQQEPAMRLPIGDLVKVMSLLAYDNGTEEPRGHLS >cds.KYUSt_chr2.43178 pep primary_assembly:MPB_Lper_Kyuss_1697:2:268737349:268738023:1 gene:KYUSg_chr2.43178 transcript:KYUSt_chr2.43178 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESEGLGYRDLLFSLIGFGTVFLFPFSRFMCLLLPSYNVYSLELTGIDGVGDPAGLSALAFNVTLHVRNERLLVAENRFSHGQVVVSYDGVVIGEGRVPGFSAGARSTAEVKAVARGSRAGMVQIPGGLVRRRVEAELRWDSAEFDVETKLFRFRDGKESGGPVVLWCKVGSQVPQQPMRCTAFTDFMVTLP >cds.KYUSt_chr3.14120 pep primary_assembly:MPB_Lper_Kyuss_1697:3:85478670:85482092:-1 gene:KYUSg_chr3.14120 transcript:KYUSt_chr3.14120 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYDREDGLAPPQPHGGGPADAYDPNYVPDSVKTFVVHLYRHIRDKNVFEINQMYEGGFQRLSDRLFRDSPWPSADAVAPYCDGDHVFLLLYRELWYRHAYARLSPLTASHRSESWSNYCDLFSVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTDDELKQLKQFDKAWNVYGVLNYLQALVEKSDIAQILEREKEGLEQFTATDGYDYEGGSNVLKVLGYYSMIGLLRIHCLLGDYHTGLKCLAPINLNQQGVYTIVIGSHISTIYHYGFANLMMRRYVDATKEFNKILLYILKYKQYHQKSPQYDQILKKNEQMYALLAICLSLCPQNKLIDENVSTQLKEKYNDKMTKMLRFDDEAYAAYDELFSYACPKFITPSPPVLDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSAITIAKLAQYMEMDEATLRSILLTYKHKMHAVDSNGKIVSSADFDFYINEDVIHVVESKSNKPQGDYFLRQILKFEETIAELEKVQLD >cds.KYUSt_chr4.22580 pep primary_assembly:MPB_Lper_Kyuss_1697:4:141953499:141960826:-1 gene:KYUSg_chr4.22580 transcript:KYUSt_chr4.22580 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMAATAVSILHEHNVSQMPMHRGSVKGHSLPRNKVEGHLWLHKDYFDHTNPVFPEKMFRRRYRMSRDLLMVILRGVRDYDPYFQCGPDATVLVPVVQSSCWANLPPELLRDVIERLETSEATWPCRKNVVACAGVCRTWREMCKDIVQKPEFCGKITFLDSLKQPGPRNGIMQCFIKRDRSTQIYYLYLSLTSAVLVENGKFLLSAKRIVHATCTEYIISMNADNISRSSNTYIGKMRSNFLGTKFVMYDTQPPYNASGVSQSGKASRRFYSKKGSAKVPCSTYSIAQVSYELNVLGTRGPRRMHCVMQSIPASSIEAGGSVPCQPDLTHSRSLDESFSGMSISKSSIAEHSVRFSSARFSDILSGVGSGIRGQTLSDDDESTDKPLILHNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLTAAAPPAAGAPTPPHPAPTPPPEHDKVILQFGKVAKDMFTMDFRYPLSAFQAFALSLSSFDTKLACE >cds.KYUSt_chr2.19818 pep primary_assembly:MPB_Lper_Kyuss_1697:2:124811555:124812415:1 gene:KYUSg_chr2.19818 transcript:KYUSt_chr2.19818 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPQILPSPRPSPSPHLAASRHAFSPAHAFRRTPRLHAPSHRRRARLATPPSASGPGSPPSSPDDGLVELPLFPLPLVLFPDATHALHIFEFRYRIMLRTVLDTDLRFGVVFAGADVGGAADVGCVGEVVKHERLADDRFFLICKGQERFRVHRVLRTKPYLVAAVRWLEDRPPADAPAPGDDAEALAVQVEALMRDVIRIANRLNGKPDKEVGDLRRGLFPTPFSFYVGNTFEGAPREQQALLELEDTATRLRRERDTLRNTLNYLTAASAVKDVFPSSPSSG >cds.KYUSt_chr2.50293 pep primary_assembly:MPB_Lper_Kyuss_1697:2:314454004:314454297:-1 gene:KYUSg_chr2.50293 transcript:KYUSt_chr2.50293 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVACSFFFDAEPAGEAGMPTLDACALCAKPFARDSDIFMYRGDTPFCSEGCRHEQMQLDAVYARQAARRLQRYSSSGAAARRGHQETRKVSVVS >cds.KYUSt_chr5.20057 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130338077:130339585:-1 gene:KYUSg_chr5.20057 transcript:KYUSt_chr5.20057 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDSPPSQPLPPTPRPRVRHHRSIVLLTAPSLLAVAVAILFATSTNPLPRLLHLLLRPRSLLTRPPEPVPAADTSGHAGRPPCVLWMAPFASGGGYCSEAWSYVASLEGNVAAGFGANYTLSIAHHGDLESPEFWHGLPEQSKDLAHRLATARCELSRAIVVCHSEPGAWYPPMYQSLPCPPTGYDEPAFIIGRTMFETDRVSPEHVRRCNQMDTVWVPTDFHVSTFVKSGVDPSKVVKVVQAVDVAFFDPAKHVALPLPIGFSVMASDDSTIGTEDSSSKAKGFVFLSVFKWEQRKGWDVLLRAFLQEFSGADDVALYLLTNAYHSDTDFGGKIHRFVKSSSIEEPAEGWAEIRVVDEHVPQSDLPRLYKASDAFVLPSRGEGWGRPVVEAMAMELPVIVTNWSGPTEYLTEENGYPLDVDRLTEVTEGPFRGHLCAEPSVDHLRALMRRVFVDREEARSKGRKAREDMVNRFSPEVVAMIVADQLQKVVASTQYSVDG >cds.KYUSt_chr7.3205 pep primary_assembly:MPB_Lper_Kyuss_1697:7:19065145:19065477:-1 gene:KYUSg_chr7.3205 transcript:KYUSt_chr7.3205 gene_biotype:protein_coding transcript_biotype:protein_coding MRDCAPPEPSPTRVSSARKSYYSARASPHRRTAAGQNDREATAVSAASFSGEIKLLFGMNQSAPRAQRRRPRLVHLAFTLRALRPADRLAFTPLQRRDFSGSRCGDSGEK >cds.KYUSt_chr6.21769 pep primary_assembly:MPB_Lper_Kyuss_1697:6:137363874:137366139:-1 gene:KYUSg_chr6.21769 transcript:KYUSt_chr6.21769 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWLGSADRARASRVAWDSSVVTGLLDLCGGVAIPASAVKVRSNSLEISNVDSLPSLALGYEESGDMHLVIEKLMVQARASSPPSFLASPVRPFHGNNRSMEWDMSHGNPSTDALHPDSSGEAASIAIHTHQVYRLRPNNSTHDLQFKCDSGSYANNHRINNYQAIPSLPVDRGFH >cds.KYUSt_chr6.31127 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197271364:197273334:-1 gene:KYUSg_chr6.31127 transcript:KYUSt_chr6.31127 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVLCAANHAPLTPISFLERSALVYPDRPAVVSAAGDAPPRTWRETRDRCLRLAAALAALGVQRRDVVAVFAQNIPAMCELHFAVPMTGAVLCALNSRLDAAMASVLLLHSEAKVIFVDAALLGVAQEALRLVSAAGARAPVAVLITELLDDDDDSSPPPQSTIIEHEYEALVRRGGSPGFAVRWPADENEPIALNYTSGTTSRPKGVIYTHRGAYLNSLAVVLLNGMAAAPVCLWTVPMFHCNGWCMSWAVAAQGGTNVCLRRVTGGAVFDAVSRHGVTHMGGAPTVLSMIVNAAPEDQRPMPAGKKVSVITGGAPPPPTVLFRMEELGFLVIHSYGLTETYGPATVCVWKPEWDALPAEERAAIKARQGLHHLGLEVDVKDPATLRSVPADGVTMGEVMLRGNTVMSGYYKDAPATAEALAGGWFRSGDLAVRNPGDGYVKIRDRSKDIIISGGENISTIEVEAALFAHPAVAEAAVVGRPDEHWGETPCAFVVARRKVEPEEVMEFCRGRLPRYMAPRTVVVVEELPKTATGKVQKFALREKARAMGSLSSTASRPQGKGGRSTSKL >cds.KYUSt_chr3.29872 pep primary_assembly:MPB_Lper_Kyuss_1697:3:186915627:186916421:1 gene:KYUSg_chr3.29872 transcript:KYUSt_chr3.29872 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGNNQQQSAEEQHELARKHAAAVATSRQWSSQTESRIVRVSRVFGGKDRHSKVKTVKGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAARHEIDKLPPLQFPPHQVDLMDHMMTSSSMPLMPHVDDKFCHFAAAAGEGVKPGAEDMGRFGYHRFMGLNSNSMGLVNGGMPYNFTGSESWNNNSVQESGGAGSPQAVSIAAAAHHSTFPSLLSLAPRSHQLVYYSSEADQFPVDDLGSQNLSLSSARAFHDQTES >cds.KYUSt_chr4.48262 pep primary_assembly:MPB_Lper_Kyuss_1697:4:298887182:298889137:-1 gene:KYUSg_chr4.48262 transcript:KYUSt_chr4.48262 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPRRLSRLPAAGTTSPSTANLSISHGGTGGRPSTAVLAAAATAAAASGRASECQSLLLRMTRRRGASRLDIVTSLLAAASPTPTPQPQVFDLLIRTYTQSRKPREAFEAFRLLLDRRVPVPAAASNALLAALSRAGWPHLAADAYRLVLSSNSEVNAYTLNIMVHSHCKALQFDKVDAVVAEMGKRCVFPDVVTHNVMVDARFRAGDVEAAMAVVDSMVGKGIKPGILTYNAVLKGLCRNGRWDKAREVFGAMDECGVAPDVRSFNMLIGGFCRVGQVDEAMKFYKEMRRRGVTPDVVSFSSLIGLFARRGEMEHAVMYLREMREFGLMPDGVIYTMVIGGFCRSGSMLEALRVRDEMVACGCLPDVVTYNTLLNGLCKERRLSDAEELLTEMGERGVPPDLCTFTTLIHGYCREGNTEKALQLFDTMLQQRLTPDIVTYNTLIDGMCRQGDLGKANELWDDMHSREIFPNHITYSILIDSHCEKGQVDDAFGFLDEMVKKGIVPNIMTYNSIIKGYCRSGNVSKGQQFFQTMRHAKVLPDLITYNTLIHGYVKEEKMHEAFNLLNIMESEKVQPDNVTYNMIINGYCVHGNMQEAEWVYKKMGAKGIEPDRYTYMSMINGHVVAGNSKESFQLHDEMLQKGFAPDDKF >cds.KYUSt_chr2.39533 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245186658:245188462:-1 gene:KYUSg_chr2.39533 transcript:KYUSt_chr2.39533 gene_biotype:protein_coding transcript_biotype:protein_coding MANNFLLGGLGAILVVAVVVGVVATVTHSGSKAGDNFTVPEEATLATSGKSVQSLCAPTLYKESCEKTLTSASNGTENPKEVFSAMAKTAMESIKSAVEHSRTIGEAKSSDPLTQGAREDCKELLEDSVDDLKGMVEMAGGDIKVLLSRSDDIEHWITGVMTFIDTCADGFADEKLKADMQGILRNATELSSNALAITNSLGAIFKKLDLDVFKKDSSRRRLLSEKEEQGYPAWMKSPERKLLASGGLPAPNAVVAKDGSGKFKTIQEAVNSMPKGHPGRYVIYVKTGLYDEMVMVPKDKVNIFMYGDGPKQSRVTGRKSFADGVTTMKTATFSIEAAGFICKNMGFHNTAGAERHQAVALRVQGDLAAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAIFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEFSRLVIMESTIADFIKPEGYMPWNGDFGLKTLYYAEYANRGPGAGTSKRVTWPGFRVIGRKEAEQFTAGPFVDGATWLKFTGTPNYLGFKV >cds.KYUSt_chr7.23513 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146506433:146508426:-1 gene:KYUSg_chr7.23513 transcript:KYUSt_chr7.23513 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGLTDAGDHHLPHHVKSRAVDDEAAAADYCLKGVRHLSDAGITRLPGRYVLPASDRPGASVCISSGGNARVKLPVVDLARLRVPTERAAVLSTIYTACRDYGFFQVVNHGVDSEAIAGMLDVAARFFELPFPERARHMSPDVRAPVRYGTSFNQANDAVLCWRDFLKLSCVPTVEDVVPSWPDSPADLREVAAAYAEASRRVFVEVVEAALEALGIGDGEDGVMEELATAGSQMMTVNCYPACPQPELTLGMPPHSDYGFFTLVLQDDVEGLQVMHDGEWFTVDPVPGSFVVNVGDHFEIYSNGRYKSVLHRVRVNSTRPRISVASFHSVGTERVVGPAAELVDEERGGEARRYMDTDFATFLAYLASAEGKHKNFLQSRRLA >cds.KYUSt_chr5.6160 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38032683:38033926:-1 gene:KYUSg_chr5.6160 transcript:KYUSt_chr5.6160 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGIEEISLSFGFFAKAAYTFPCWLLSDRIRNSIRCLKLHRCVFGPTVKLGPFRSLAMLRFFKVRITGYKLGCLLTNSPALEWLELNSCDELDSLKIPCQLQRLSYLSVYFCLRLYMIEIKAPKLRVFDLDAEKVIKLSFGVSVELKQLYISSPDLVSCAHLEFLSNVPDLEGLKLKSCCQVSHTCVGHQTFMEDPSHPRQMPGQQHGNLKSVTIFGFCYAKSLVELTCYIIENAATSLESLTLDIHGTRVVSVSGAILRPMYDGKLVEAPRTLLAIRTYIQRRIPSTVKLNVLEPCGTCSSSVGH >cds.KYUSt_chr3.23500 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145252325:145253742:-1 gene:KYUSg_chr3.23500 transcript:KYUSt_chr3.23500 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPTVAKSPPVLVPPAGPTPGGVLPLSSIDKTAAVRVSVDFIQVFPPSSSDGAAGDQVAAMREGFARALVPYYPVAGRIGEPSPGDLVVDCTGEGVWFVEAAASCSLADVNGLERPLLIPKTELIPRPPPEEKLEDLILMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGELARGLPAPSVAPVWDREAIPDPPKLPRGPPPSFTAFNFVTQVVEISPENIARIKDEFKAATGGQTCSTFDAVTAVIFKCRALAVELPDDAEVRLGFAASTRHLLQGVLPSVDGYYGNCVYPVGITRSSKTIREAALPEVVSVMREAKEALTVRFTDWMHGDAKGDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTLNDDVNIVASVIYLKPPTPKRGIRLMLRCVEEPHAAVFAQELAKYA >cds.KYUSt_chr4.30651 pep primary_assembly:MPB_Lper_Kyuss_1697:4:192161473:192171149:-1 gene:KYUSg_chr4.30651 transcript:KYUSt_chr4.30651 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARARGLLVGRRRDLMASLLSSSSSSSSSASGPTPKPSPPSTSPSLPSASACASARASRFRLLLARAAARRDPEPSPPPPAPEDKKSFAVRTGELFLGMTAMFVRAGRGTAPVEEVEDREGVIWEQRPEDVEAERQRQRRELATASPGFSFSAAGLLFPYHIGAAQYLLEKGYITERTPLAGSSAGAIICAVIASGNTMQEALQVTKILAENCRSKGTAFRLEAVLKNVLEKFLPDDLHIRCNGRIRVAITQLSWRPTGLLVDQFDSKEDVINAVITSSFIPGYLAPRPATFFRNRLCIDGGLTLFMPPTSASETVRICAFPASRLGLQGIGISPDCNPENRATPRQLFNWALEPAEDEVLDKLYELGYQDAAVWAEQNPAESVMKNELPLATD >cds.KYUSt_chr7.19886 pep primary_assembly:MPB_Lper_Kyuss_1697:7:123269555:123274228:-1 gene:KYUSg_chr7.19886 transcript:KYUSt_chr7.19886 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTAFLWDGASRAFNARPAVTNLVLVLAASSGGGLVAYADAQQDQPQGPKKKKVVVLGTGWAGTTFVRNLDSKLYDVQVISPRNYFAFTPLLPSVTCGTVEPRSVVEPIRRILEKKGGDFKFWEAECFKIDPAKKKIHCRSNTGTNFDGNGEFLVDYDYLVVAVGARSNTFNTPGVEENCHFLKEVEDAQKIRRSVMDCFEKASLPYLNEEERKKNLHFVIVGGGPTGVEFAAELHDFVTEDLSKLYPSVQHLVKISLIEAADHILTMFDKRITNFAEDKFGRNGIDVKTGYKVVKVAKDAITMQNPATGDVAVPYGMAVWSTGIGTRPFVVDFMKQIGQANRRVLATDEWLRVRECDDVYAVGDCATINQRRVMEDISEIFRVADKDKSGTLTVKEIQDILEDIYVRYPQVKLYMKSKQMNGIADLVKAGNGDTEKQSVELSIEEFKKALSLVDSQVKNLPATAQVAAQQGQYLAKCFNKMEYAEENPEGPIRIRGEGRHRFLPFRYRHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYAT >cds.KYUSt_chr3.30316 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189912839:189917730:-1 gene:KYUSg_chr3.30316 transcript:KYUSt_chr3.30316 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGAVVGTAVVACAAAAAAVGVAVVVSRRRKRRRDAEAGRRKQASDVIEEVEQAFATPTPLLRGIADAMVEEMERGLRADPHAPLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVLRVQLGGKEKGVVQQYEEVAIPPHLMVGTSAELFDFIAAELERFVGTEGDDFHLPEGRHRELGFTFSFPVHQTSISSGTLIKWTKGFCINGTVGEDVVAELSMAMERQELDMKVTALVNDTVGTLAGGIYADNDVVAAVILGTGTNAAYVEHATAIPKWNGPLPKSGNMVINMEWGNFKSDKLPRSDYDIALDFESLNPGEQIYEKMISGMYLGEVVRRILLRLAHDASLFGDVVPPKLEQLFVLRTPDMSAMHHDTSHDLKHLGAKLKDILGVADTSLEARYITLHVCDKVAERGARLAAAGIYGILKKLGRDRVPSDGSPKQRTVVAIDGGLYEHYKKFRACVEATLADLLGEASSSVVVKMANDGSGIGAALLAASHSQYTVEE >cds.KYUSt_chr2.54013 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337169083:337178697:1 gene:KYUSg_chr2.54013 transcript:KYUSt_chr2.54013 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFNVLLGSYCKPSYTGKLANKQEMIQWIIGLQRTRGPDCDPEVEAINDGAPEGSYELVYEEPDLTGGVEGVDYGIVYGPDDTEEEEVTVESTPEEEEARLRYLEFVQQAAAQAVVLAVAAYAYAKHDAGPLRPGVDHVEGTVKAAVGPVYDCYHAVPLDLLKFLDRKVRTRES >cds.KYUSt_chr2.30185 pep primary_assembly:MPB_Lper_Kyuss_1697:2:185837804:185838385:-1 gene:KYUSg_chr2.30185 transcript:KYUSt_chr2.30185 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCMSSCGAAAAVRSEGLPAASTAMVLLPTGELREYPRGTTAAQALEGLEGFLCDADAMGFEGPAPAVGAGEELRPGQIYFVLPSEARRSGLRREDVAALAVRASAALVDRANAAAASAGGGGGLRRRRAMSVSPLVFAPPKEEAGGNRAAYKTVPAMLAKRCPVGRVKSAGRMQPRFSPDLTAIPECDTYE >cds.KYUSt_chr5.38967 pep primary_assembly:MPB_Lper_Kyuss_1697:5:246744338:246745081:1 gene:KYUSg_chr5.38967 transcript:KYUSt_chr5.38967 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSSSSTSSHEHSAALMAPPPKRPAGRTKFKETRHPVYRGVRRRGSAGRWVCEVRVPGGKRGERLWLGTHATAEAAARAHDAGMLALLGGRPVSVSAARLNFADSAWLLAVPSALADLAAVRCTALAAVADLQRREAASCVATVPIYEADASSSSASSSADDAGSSSATSLCSELDGLFEVPAAAPLGMGNDMFDFEFGMSGEIMDLGSYYADLAEGMLLEPPQPEFTEVCWDGGADYAALWSYN >cds.KYUSt_chr1.30369 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183874687:183876852:1 gene:KYUSg_chr1.30369 transcript:KYUSt_chr1.30369 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAARKPLAALLSPPTLSCSSPSSAPLLQRSRSLREGWLVLIPRQCVSPPSSRLPRGHHFHDARRALDKTPNRTASAWTSAIAACARGGRHRDGLATFTDMLAQNGGLPPNKFVLAAVLKCCAGLGSTEPGRQIHGWMLRNDVRMDGVLSNALLDMYAKCGDYGRTRRAFTTMPHTDAVSWNIVIGACLQSGDVLGSMRLFDASPVRDASSWNTIISGLMRSSCPAEALGRLYRMARARVAFNHYTYSTALALAGMLSLPRLGRQLHARVLTAATEADAFVRSSLMDMYCKCGAMDSALSIFGRCSHVTGDVNFAWSTMVAGYIQNGREEEALEFFRLMLRRGVAADRFTLTSAVAACANAGMVEQGRQVHGCVQKLGHIIDAPLASAIVDMYAKCGNLDDACRMFSRARTKNVSLWTSMLCSYASHGKSRMAIQLFNTMTAEKITPNEVTLVGVLSACSHGRLVTEGDRFFKLMQEEYGIVPSIEHYNCMVDLYGRAGLLDKANNFIMENKIRHQAIVWKTLLSACRVHKDMEHAKLASGNLIHLEQCDAGSYVMMSNMYATHSKWLDTLKLRSSMREKRVWKQPGRSWIHLKNIVHTFVAGDIAHPRSTEIYDYLEKLMGRLKELGYTSRTDLVAHDVEEEQRETALKFHSEKLAIAFGIISTPSGTPLRIFKNLRVCVDCHEAIKYVNRATDREIVVRDLYRFHHFKDGKCSCEDFW >cds.KYUSt_chr3.38392 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241833764:241834896:1 gene:KYUSg_chr3.38392 transcript:KYUSt_chr3.38392 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKGRRVAGRGEAMSAHYAFGPHEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFAAETEKDADNTMDCERLYKAFLQEINTFELPLLKSKAVVDANLREKESFNELQVEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKLIYWQPPRSETKKLIAELEKEITNLEAESAACARTLELRKKQFALLLHVVEDLQISIEDEQRSIADELRAAAEEPKMSMEEGSGGGASDAMAVD >cds.KYUSt_chr2.44911 pep primary_assembly:MPB_Lper_Kyuss_1697:2:279662416:279665425:-1 gene:KYUSg_chr2.44911 transcript:KYUSt_chr2.44911 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVLGAAIRETIMAEEEDLGAADMPRVVGALSVLLERVTERNDAVAGPGIDASAFRATAKPDISVRAYMARIARFAGCSPACFVAAYIYLDRLLRRRRALTVDSYSVHRLLITAVLAAVKFMDDICYNNAYFAKVGGISLPEMNYLEVDFLFGVGFDLKVSPETFGHYCGILQSEMLCLEMKPEPPLPLPAAPGSNRLHRCLSEDDGSSSSQQQMAA >cds.KYUSt_chr5.38073 pep primary_assembly:MPB_Lper_Kyuss_1697:5:240497219:240498507:1 gene:KYUSg_chr5.38073 transcript:KYUSt_chr5.38073 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMASPAQAKDAEVLRFIEEMTSDVDSVQERVVAEILAQNAGTEYLSKPVAHAVDGPRHRLGVADESVREAVAGILRPDPELARSVREECGKAEWAGILGRVWPNTRYLDVVITGTMAQYVPTLRHYSGGLPMVSLSYASSECYFGVNLRPLCDPSEVSYTIMPNLAYFEFLPVDDDQEEGDEPMLVDLARVEAGREYEIVITTFAGLNRYRVGDVLRVSGFHNSAPQFQFVRRAGVVLSVDVDKTDEVELQRAVERASALLRPHGASVAEYTSRTCTYRIPGHYVVYWELLLLAGAVEVDKEVLDGCCLEMEEALNTVYRQSRVVDGSIGPLEIRVVRPGTFEGLMDYTISRGASINQYKTPRCVTFPPAIRLLDSCVVSNHFSAALPRWTSYH >cds.KYUSt_chr1.32308 pep primary_assembly:MPB_Lper_Kyuss_1697:1:196148568:196161788:-1 gene:KYUSg_chr1.32308 transcript:KYUSt_chr1.32308 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPHLRLLSPLLPPLCPLPRRRARTRLLSALPSPSPPPRSQQPVSTASLDRGPSPSPEHHPLAAELARLSAARARLRAARSLDDKLRALDAEPRVAAFFGDASGGGVLDSLQPREAYLLKCLVAAGQDHVLGAELGWAGDGTHARERHRSGSALREALHSLAGLVGKWSGDDVARGGDTELLRQLLKFLGDIDEFYDCIGGIIGYQIMALELISASKSKDSKHRHTQDKSVHFHVPTGVNLSEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLMRDLQAREFLHFKIFGKQCITPVAIMTSSVKNNHQHIVSICERYEWFGRGRENFRLFEQPLVPVVNAEDGEWLIGKSLLPVGKPGGHGAIWKLACDRGVFEWLYRHGRKGATVRQVSNVVAATDLTLMALAGIGMRHNKKLGFASCERRPGATEGVNVLIEKQNLDGLWAYGITCIEYTEFEKYGISEPADHNGSLQASYPANTNIMYVDLQAVEEVGSRRNASCLPGMVMNLKKAISYVDHLGFECSAAGGRLECTMQNIADNFMNTYSYRCSKAIESELDTFIVYNERKKVTSSAKKKLKPEDRSLHQTPEGSLLDIMRNAHDLLSSSSIEVPKVKDNNEYLGSELPFLIFLHPALGPFWEIIKQKFVGGSISKGSELQIEVAEFVWKDVELDGSLIILADNIMGSTKRNTHGEQILHYGARCARCKLQSVKIVNNGISWDSPSNVYWKHDVERSESLKIILHGNAEFEAKDVLLKGNHMFEVPDGHRMRIIQDGSGFAVKLDRISKEMMDSGSWYWEYTVDGAHVKLNMVELSSTDVQVHLCLPAKTPWAPTSDGMKFLTVWLATASVTSAACDRGPLSPFLFILAMEPLQQLIAMAVDDEELEAIRQILQRFGDVTGLRVNFAKTSVIPIRCEALDIPDIVSPLGARIASLPCKFLGRKLRNVDFQPLLDRIASRLACWKAKLLSAAGRLVLLNAVMSALSVYWISVHAMPVWVRKEIDRIRHAWLWRGEETCHGGHCKVAWSRICRPRELGGLGIIDLDRFGIALRLKWLWLERTASDKPWIGMTVPCSLADRQLFAAASSVAVGDGTTARFWLDSWMDGRAPFVVFPELFVASRRKHHSVSEALHEQRWVADLRGRVTSQLLPAFVSLWLAVAELRPLPGTADTFLWRCTEIGLYSASSAYRMRFPGSTVLTADRLMARRWPNSYFCPMCMRNLETAEHLLVECPWSAALWFRVAAKFGIASMRPDAWSLPVASLPAWLASLSALVGVPAKTAKSLALLVIWMIWRERNARIFRDQCRSPAAAARELFDEAASWTLAGCRHIRCRE >cds.KYUSt_chr7.495 pep primary_assembly:MPB_Lper_Kyuss_1697:7:2727811:2730874:1 gene:KYUSg_chr7.495 transcript:KYUSt_chr7.495 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKAIGPALTVLFAAAFGFFLGISVPAAITPKLQCGILTWSSSSTGAAANCSFGDIGSLGRFWAPLGRNITSSSTSNRIPATNATSSGSAEVVTMNPEAGAERRLPPGIVVSETDLHLRRLWGSPKDDSPTRKYLLALAVGYDERANINATVHKFSDNFDIVLFHYDGHTTEWDEFEWSREVTHISARKQTKWWFAKRFLHPSIVAPYEYVFVWDEDLGVDNFNAEAYVHVIHMPPMLSPSSKYLSVVMDDEVQVVHGCRYLDIVRKHGLEISQPGLDATNVAPMYDVTAKKNSSEMHKTDAGGEHCWNVHERPCSGFVEVMAPVFSRDAWRCVWHMIQNDLVHGWPIDSHFWRCVDDPEEQIGVVDAQYVVHRAIPTLQGEGEKEKPGGHWEVRNRQIEELKAFNTRISNADKELANRSSSTLN >cds.KYUSt_chr5.42813 pep primary_assembly:MPB_Lper_Kyuss_1697:5:269804597:269811647:-1 gene:KYUSg_chr5.42813 transcript:KYUSt_chr5.42813 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAEGEVGDTLIPMHHPGRQTSVVRTQKRVEPRALVVRTEGHQPVVTIDNPAMRTKDMPSVEDDLGDDPAVRFKMRFSVRRFEASMKCLTPKQVGFVVKYGYEPILELKHKAVFPMVIIDWVMDKMVPELAMFRHEHKRINFDKNMMQQFVGIPAGGTIAKLSSKDSVIVDKVNLLKAKYMGTGNKIFMRTLIDQLKGDEDEESFMRTFMMVLLGTILCPSTSDTVDWKFLYSLTDLATMTSVDWAALSLQVLLNEVANFKKKLLNFPNGIPSTAIYVGGCLPFLAVVYMDFLELNACSIQHNIDYGVPRLFHVDNADFKLLIQFDRIKCSGRVCFGAIDFREKSCTPYGNLQFEEFLLQKIVGSIIKSNKEKIAADVTKLLETSFHLMSAEIFSAISSTRQPPQVETPLKSPADDTHFKAQSTDTHSQPPTSETHFQPPTGSASNIVSSPRQEYDASDHVHFMDKVEVAVVKSSNCNDIVSEQDKVPADSNVTGDKMNCDAGTSSPQADPQCDTAVNGNESVLDKDKDDDVDKDDDAISYNEPSDVVADAQADPPSVLDMKTHNEPVLDEVDTRDAETVHSLDPKQPPCPTIPSVADTLLDAGTASILQEDFQSDVVHSVDPRKVSTANLVMPNQDAIVIVSKCSLTFLTLEYDESGTSRESLMKAKTLLDDATASPKHLHLNEDSAPLSSSLNAESHSDTIKSAAKDCDESDVNLGIDIAMSYASEDPKSPLSNDHTPLCTSSDEVKSDDVLKTGPSTIDKRKRKKRYAKRPCNSEERLNLIVDHSAETFYNKNVGKMLFKNSKKFRETSIVVDIDGHTVTYERFYQSLKPRGEIANEVMDAFVQLFNNRNVKVKDDKSSLRKISFSPFFASKLNVPPEKFDAKSVTRELGKMNKKFNLAKCDLLHFPVVSDNHWTITSINLLFKKINFLDSLQDTDKEKKAIMASNIVTNFHKACTVSKCFSFTLDNYVPEIPLNLPQQTTTYDCGVFSFLYMEHWEGQEFKMFESALISNVRKVVAHALVSSEMNDMTTELESFKKQRLS >cds.KYUSt_chr6.19880 pep primary_assembly:MPB_Lper_Kyuss_1697:6:125381821:125385409:-1 gene:KYUSg_chr6.19880 transcript:KYUSt_chr6.19880 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGGELGAGGSAPVCNFIRKPPRNIRKRPAASAGSDDEDGGGGDDDSGAIAAARSKKPLSTTSKLFFSSADSSSEPRRFQFESSRTIQSSTDNRATATLETETAYDRDARAIRERQLKQAEESLKKNPSSAPSASGDLYKGISGYTDHKAGFRREHTVSGEKAGGAHGPLRASAHIRLSTRFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEREYDEAEKARKRRIAMREMGGSDGEAEEDDSDDEEALPFACFICREPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKPTLGIFNAAQEIRKRMAQEKKQQDL >cds.KYUSt_chr2.2417 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14620986:14622648:-1 gene:KYUSg_chr2.2417 transcript:KYUSt_chr2.2417 gene_biotype:protein_coding transcript_biotype:protein_coding MEQATYYLSLFLALLLPLFLHKLIRKRDGGRLPPGPWCLPVIGSLHHLAGKPLIHRALADIARRLDNAPLVYLKLGEVPVVVVSSHDAAREVMKTQDVTFATRPCNPTTKIIMSDGVGVAFAPYGDHWRQLRKICIMELLSARRVQSFRHVREEEVGRLLTAIAAAPPGETFNVSQGLAVLITDSTIRAMVGDRFNRRDEFLENLRGGVKLLSGFNLVDLFPSSRLARFLTNSSRLAWENHTKSFELIDYAMKQHEELKATAASNGNVKDEEKEDLLDVLLRIHKEGSHDVPFTMGSIKSLIVDLFSAGSETSATTLQWAMSELMRNPNVMRKAQAEVRENIKGKPNVTEDDLADLKYLRLVIKETLRLHPSVPLLLPRESTETSKVLGYDVPKGTTVFVNTWAICRDPKYWDAAEEFKPERFESGSVDFKGTNFEYTPFGAGRRICPGMLFAQSIMELALAALLYHFDWELPHGVKPEELDMTEQMGLAVGRKNDLYLFAKTKVPLDGAI >cds.KYUSt_chr3.15076 pep primary_assembly:MPB_Lper_Kyuss_1697:3:91972852:91975608:1 gene:KYUSg_chr3.15076 transcript:KYUSt_chr3.15076 gene_biotype:protein_coding transcript_biotype:protein_coding MRICVLCCCHCFAGIYKLPSLFLPSHGITEGDCFFDSHSTAKRSIEFPKNEPTSKESTSTSLHFPKPRAQPSLLPRASCAAAAWSLGEWSPTSPALDGDLSCANLLPSFPVLGNARCRLRDVTPPPPRSPMLSKICLLLAAASSPFREPRDSASSLGTPHGDDGRPAAVVDGSHRDDALLGAVLCSHQPLTFLGLHRTGKSPSRHMPPFPLPY >cds.KYUSt_chr7.6157 pep primary_assembly:MPB_Lper_Kyuss_1697:7:36950365:36952495:1 gene:KYUSg_chr7.6157 transcript:KYUSt_chr7.6157 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNDVLLLVSAAVLGLVWWRRCSKTGGVDGLPPGPPGWPVVGNLFQVILQRRAFMYVVRDLRKKYGPIFTMRMGQRTLIVVTDPDLIHDALVKQGPMFASRPADSPIRLLFSVGKCTVNSAPYGPLWRALRRNFVSEIVSPPRVKGFSWIREWAMGAHLRRLRAEHASTGAIRVMANCRLTICSILVCICFGAKIPDDLIVEIEEVLKEVMMISLPNLPDFLPLLTPLFRRQLNDARALRRRQLNCLLPLVRARREFLQTGGNAWKEGDGNRVVGGVEMMSPPGEAYVDSLFDLEPPGRGKRLGEEELVTLCSEVMSAGTDTSATALEWAMMHFVLDPAAQERVYDEVISKAGKTARITEADVEQMPYLQAAVKETFRRHPPSHFVLSHAATRDTELGGYRIPADASVEFYTAWVTENPETWPDPDAWRPERFLEGGEGHDTDITGTRALRMMPFGAGRRICPAATLGVLHIQLTLANMIRELRWTPPAGEGPPDPTETFAFTVVMKHSLRAGIVERNQTPLPVAAN >cds.KYUSt_chr4.984 pep primary_assembly:MPB_Lper_Kyuss_1697:4:5079985:5085516:-1 gene:KYUSg_chr4.984 transcript:KYUSt_chr4.984 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSSLILLAWQLGFLLLIQLSSLVDSLLLIQLRMDHLSVRFHVRGKLEYDGKEWNYIGGRTGHSTVEVLNLSIQVLKLHLLEFLVISDEDLEDTTLSWRLIDNERNSRCMCRLDDDSNVKNMVKHVTRVADGFVEIFAVLPPTDQGSRRNDTKKSTW >cds.KYUSt_chr3.29554 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184933826:184934113:-1 gene:KYUSg_chr3.29554 transcript:KYUSt_chr3.29554 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQNLASSAKCAAWAQERRPFTRPIDIPSVSGAPDERRGGAGEEEDGERSPPHVLMARRREAFSVCSGQGRTLKGRDLTRMRDSVLRMTGFIEG >cds.KYUSt_chr5.25820 pep primary_assembly:MPB_Lper_Kyuss_1697:5:164066124:164072331:1 gene:KYUSg_chr5.25820 transcript:KYUSt_chr5.25820 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGAPFAGDVWPVARRLLHVAATGAADHRIPSPVFMVLYVVRIWSLGVIQIQAVKYVVELARALGETPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENLGDDMGESSGAYIVRIPFGPREKYIPKEQLWPHIQEFVDGALVHIMQMSKVLGEQVGRERRVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQTRDEVNATYKIMRRIEAEELCLDASEIVITSTRQEIEKQWGLYNGFDVTMERKLRARTKRGVSCYGRYMPRMIAIPPGMEFSHIVPHDVDLDGDEANEVGSGSPDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHPGLRNLANLTLIMGNRDVIDEMSSTNGAVLTSVLKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAYIEPFGLTLIEAAAYGLPMVATQNGGPVDIHRVLDNGILVDPHNQNDIAEALYKLVSDKHLWAQCRQNGLDNIHRFSWPEHCKNYLSRVGTVKPRHPRWQRSDDATEVSESDSPGDSLRDVHDISLNLKLSLDSEKAGTKINTERNSTNARRNLEDAVLKFSNAVSEGTKDESDENAEATTGSNKWPSLRRRKHIVVIAVDSVQNADLVQIIKNLFEASRKEKSSGAVGFVLSTSRAISETLTFLTSGGIQTTEFDAFICSSGSDLCYPSSSSEDMLSPTELPFMIDLDYHSQIEYRWGGEGLRKTLIRWAAENNSQSGQEVVTEDEECSSTYCISFKVKNTEAVPPVKDLRKTMRIQALRCHVLYSHDGSKLNLIPLLASRSQALRNTEWAC >cds.KYUSt_chr3.2388 pep primary_assembly:MPB_Lper_Kyuss_1697:3:13596096:13596464:1 gene:KYUSg_chr3.2388 transcript:KYUSt_chr3.2388 gene_biotype:protein_coding transcript_biotype:protein_coding MKRASSSASLALVLSIVAMVCFSLPCSALQASKETPYGEAQGGRQPWKPLPPGCPECLYRNGDMPPPSAAAMAAPALTPYRKCPRDTRN >cds.KYUSt_chr4.42554 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263375882:263376109:-1 gene:KYUSg_chr4.42554 transcript:KYUSt_chr4.42554 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNIGGRRKGAKAVAEPVGLASPGRLPKFMAMEYFAQLKLRHCTKVIQLDGTAFRVKPPAYAGTVLREHPASSS >cds.KYUSt_chr4.34933 pep primary_assembly:MPB_Lper_Kyuss_1697:4:214601675:214602850:1 gene:KYUSg_chr4.34933 transcript:KYUSt_chr4.34933 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLLCPTLSWSDIPLELAGLVLRLLPAYADRARFAAVCPQWRAAAQLITVPPPVPLLALPDGTFYSLPCTEPFHFAGCGFAGFKSACGSWLVFPRDDGCFLVNPFSRATVTLPSLSSVRLYPADADVNHIPVDPLRFTWLHIKDKNPQLSKLMLCSPNLVAAFVNHQGLGQILVCQPGASSWSVRAYDTCKGFEDMAFYQGRLYILSHHEHLSVVDISQDQTTGDPHVSRIVRIIKGNPFPLFPSEDNTRVDKKLYLVESRGALLMVRRKVCSRRILDKFVIARNKFEIFHADLKQPGWVNKTTLGDDQVLFLGRRCSQIVPVSQYGLLGDRICFLDDDEEYFNGRCYEDENASVGVYDVIGQVVSFPLPTVSWKRDGMRLATWLLPQN >cds.KYUSt_chr4.26172 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164601473:164602814:-1 gene:KYUSg_chr4.26172 transcript:KYUSt_chr4.26172 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHRAKSPRHSKGHENDKNRDIHDDRKDRCDADNGSRDFPRRRDDNSASRKRIEDRRAKSPRQSKEYENDKRGSYTRDDSKDHSDAANGSRGKLATHDSKGSRLSPRRRSPRPSTGSHSRSGDRTRAR >cds.KYUSt_chr3.39963 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251847390:251848689:1 gene:KYUSg_chr3.39963 transcript:KYUSt_chr3.39963 gene_biotype:protein_coding transcript_biotype:protein_coding MDREAAADLQEWELLLASPAAPAPYVGAGEDDAGAIKYDYFELGSDAKYARRASMSMAAAEDEEGTDEEAGSAGWVEPHPDALAFPARDRAALWSDSSSDGERRDEAEPSVEPPQEVTAVPAADEGAVAKGGAAPAPWWKLPMEVLRLWAARAARSAWSVPVAVALLGFAVLGRRLYRMRRQSKAVARVRLVLDDKYCAVETLDRVSLLELRTWNSANKQRQESLEGISIQGSTDVTASSNDKAFASCQWSDPMACAGAPLSCEIREG >cds.KYUSt_scaffold_869.696 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:4371988:4372751:1 gene:KYUSg_scaffold_869.696 transcript:KYUSt_scaffold_869.696 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGCCRDKRPPFPRRCLDECRSSPSLLTEADEIVSTGSSAAATARALEGYAAAPRPGVDGRGPFMPRLRLEGRRRPKSQPTGVALDLLEVDRTRIGVLPPHGLSGNKERDRVRPRSVNKELRSNNKMKDLDMVLILIRGRGI >cds.KYUSt_chr1.23020 pep primary_assembly:MPB_Lper_Kyuss_1697:1:136602077:136604202:1 gene:KYUSg_chr1.23020 transcript:KYUSt_chr1.23020 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMVYRDELWARHSIRSGFIVAEVCPNSTAEKIGIRRGDVIELIDMDHLSTVVELEEFLLSLAWDFLEEKLDSSSTIDIKSSSYDSSDDEYDQEEEENIALLLAYRAVKRQNLVDRCSVDRSCGEKGLKGMRS >cds.KYUSt_chr2.38268 pep primary_assembly:MPB_Lper_Kyuss_1697:2:236960813:236966819:-1 gene:KYUSg_chr2.38268 transcript:KYUSt_chr2.38268 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPAHRTKVVLRRLPPAISQQAVVDQVDARFAGRYDWACFRAGNASQKNHRYSRLYLNFKSPEDVVEFAEFFNNHVFVNEKGAQFKALVEYAPSQQVPKSNIKKDARQGTITKDPEYLEFLELISKPTEHLPSAEIQLERKEAERAAAGKEPPVVTPLMVYVRQQRAAKSMAQRSVSSRLSRKVSGVVTSSSSPSKRASERRRASTSSYVVRENAKEKPTYILAPKRDDHTREKIIAGTSDGASGGPSGSPQVIDGKKDKIVLLKGRARVDSNISDSSTPQQSLPPSRNTPPSSSRQDQRHEASGRIIKTILSNKEGRHGIASQHEQEGHMVNADKDKRPPRVPNSRSIVKDQIIENAEKSHYDDKLNHVHGSGPIGEKIERQARNRDRPDRGVWAPRRYDKSATGGSTQASSSEFQLMQSHSEDNFAQQADGHGERKIDTRSPGGRGGLVENGNRHGNRRGPPRGLKEMEISPITSDGKPPKRGPASYGAHERQVWVQKSSSGS >cds.KYUSt_chr2.6724 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41890388:41902141:-1 gene:KYUSg_chr2.6724 transcript:KYUSt_chr2.6724 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGFGRDAGPLNRAPGSAPLAFGAGAATAPPPAAPPAPVQFPSARPAAPFVRPSPTFPSARPQLAAAAASSRPRAATAPIPIPSSSARPVAAAPAASGSARFPTPVRAVDPGAAAATARLAARHLQPQPRLAAPSVSRPMQTVTSSRSRSPPLLSNQRSDFTAENDNGMGQRRLVNYADPLFENGSAQPTVQMRMQPPDIGKTARSPPLDMTRQFRTSSSFQNYPPVQHPEPRDHVQRPNLSPSKFGIQNQSPFHDARGASSPLLNSNLVPCSGRPRPVLGTASSNYDKSTQPVMAQQEMSDHMRHQRVSAPFQSRTVDHNISKRSRSPTLSYEDVDGAEARHGTGANARRLIDYTDTLVDDASIETSKRMRAPSSEFRNMLKSPSSDIRDNIRSAPAGFGTNGAAQNFRSQADNQRPNASIPKVGGQIQSRIGDVHSPPYQVFRPSDTYSNEHSTPAFSPPKPSILSSSRISAAPSDVSDDAIPSTELEREKQAKAKRLARFNVELSRPVEHTNDLAKGDKQKQTSSVGKAPVISNDSNLVDMDSPELAAILGLCSDMCPEPERAERERKGDLDRYERLGGDRNQTTELLAVKKYTRTAERDADLIRPLPVLQKTMSYLLNLLDHTYDDSFLGLYNFLWDRMRAIRMDLRMQHFFNRECISMLEQMIRLHVVAMHELCEYSKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVLFATENEFRGYYALLKLDKHPGYKVEPSELSLDLAKMSREVRCSPDVLFAREVARACRMGNYIAFFRLARKATYLQACLMHAHFAKVRRQALASLHSGLQIGQGIPISHVVEWLAMEDEDIESLLEFHGFGSRQYEEPYTVKEGPFLNSDSDFPSGCSELVHSKKSQRIVDDVSCGPVCVPSSQKTTDAPYSSVFASPARKRELVMPQAAPVIPVNAKRDFGSLFSGPASPTSGGQITSKYSGLFSPKAGNKQFTSPYSGPISPVASRKESVPVSPSTASPRATKHTGWMDDQRVASPKAKEKTKVSDDLIVPEDHDGAFVEFSTEQTGVPQSVAYTQHIDDLAETRVSHPLADGISSDYPDMHGVEHELRAHGSGSDTDLDEEGPSSHQVNLIESVWPTGPALPGHEYGDRQINNKTTDDSLPSFSIVVSQKNKISDEKLKMILRKWRQRAADRRSVREQKNVLALAALNSLSLGPPVHQTAMVPKHAVHELDIGHAFKERYQRQQRSWSRLNVSELAGPILIERKPDARCICWKMLVLVPPGAMESQSYNVASKWLLKKLMGSGNEDNGLLFTSADISIWSTWMGSPSACCMSVVRASDQHVIGNGVADSTNCVVFVVSESVPWEMQKARFSSLLASIPSQSGLPLLILSSDTYNEGYDYVSQIIIDKLGANDPNDGKIASSLVVFLVGSCTEGYTNGFFDDGKLREGLKWMANSLPLQPDVTLVETRDLLLNYLKPSLQILSKRAAPEVGPEHCISVFNNALNQLEEEILAAVYRTPIQWPALEIDLLERSSSERKFTEMFLPSIGWSLPSRIKPLVEIIRRCKLPEFSDDLSWLKHGSDSGSQIQDQKLFLEECLTKYLTQSARLINGAQAVAEAESMVQKGVELELRDSYHYLVPKWVTIFQRIYNWRLTVLSTGEFSEAYVLSQRLYQDPACDSIGATQYGLTADLDTTDEASILEDHDMMVVMPSGLSLDEMIEASCDLDSFNAPPVRPTPQQLTTPTREEPQAPEHANGEVINPVHRATDVNVHDVPRRVELRDLVPPEWDEELVKLEQKCAELQSKIDDSLYIYF >cds.KYUSt_chr2.13044 pep primary_assembly:MPB_Lper_Kyuss_1697:2:82753749:82756282:1 gene:KYUSg_chr2.13044 transcript:KYUSt_chr2.13044 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWNHVFSKTEFRVLILGVHKAGKTTLLEKLKSIYLKGEGLPHDRVVPTVGLNIGRIEDANVKLVFWDLGGQPGLRTIWEKYYDEAHAIVYVIDSASASTFEDAKSALEKALRHEDLQEAPLLIFANKQDLPAAVTEEELDRHLHLKEFDERPYMFVAGSAYDGTGIKLGIDWLVETMGKSRRTEALKARTDTYAKI >cds.KYUSt_chr3.37618 pep primary_assembly:MPB_Lper_Kyuss_1697:3:236700684:236711744:-1 gene:KYUSg_chr3.37618 transcript:KYUSt_chr3.37618 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVVIISSREFTHRVKSVSMAKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPDNSKPDRIREFIRAVYVDKKYAGGKSTNKPATDSESVKSNESEIRRPDSYHSYSQSPPYDMQYEDRRYGKQVNTLARRPSDRALFDGKLGSILYSPGRVRDQMHEDRFANESHGSRFSDFSASSTGDLRNDALSPSSQDTGYSSPSVHHSRNVSSENPQSQRHPNAVPQIGVNGGRHSQRTASSGSLGSFDGSSVSNKSVDSGVLPDAPTERPVTGASSVPHLAQPNALQRSTNSPASHIAPTQGSVQHLPVSPQPQPTAFSNQDLFDMSTMPQPGTSSASIDLFAGFNQHTASISSGHSDVVNGSAHNAVVQKVVTPSSSVPAGALHTSDPVHQDLFSLSILQEPVTSSSPPSVDLFAGFDQQLAPISNVHHTTPAAPLPANEGWAFFDTPQYGSSTSVSNVQAQVPAALPQSDGITKTVDQSTLPTPPPNAIIRQTSLPIMDQWSSDAEEVKIPVPKENSQTWNAFCESTENMPDNLFAFNTMPQVPTHQFTAPSVPYVVSGTPQVLARGEPERSTPGDMFPGFNVSPGVLGEPSYPAPIQHELGMMSQPAKSTNPFDMAFESDIEANDMFMDFTSLQATLPDPHVPAEYSGNLAESWISQNSTVPYIPSVPHGGLSYIPGQVQDPHMLLKPYPKSFPTRLRPPTDVTMRPFHPPRPTAAQPHHPRPGDPGRPPLLPHPAHQHAAFPPPGPGGGGGGFGAVNPMVAAAAAAANPFLAMQLFGQAQQLQNLGYLASLMQQQQHQQHQQHQHQQQQQPFFPGGFTPNPNQFGPFPGMQAGFNGGGGFLPGGAGLAGPRPPLPMMGGGAGNGCNGSPAGGVGPGGAGLAGPRPPLPMMSTAAGNGSGGGGGVGPGSPRPVLNGDGKDQNSGGRGTQVNHTNNKSDGISQVVSENGERNNTTDQKTRFNPGTDGRDGRQFGPSGGRGRGDGRGGKQFSPSGGRGRGRGDARDGRGRGRNFNQGRGRAIERHDYLTYTFTKSRYYVLGKRRPPIIYDQNEVKQWLQARKKNYPTSVNVNKSQLDDQKKDEDAQMRRQELKEVLAKQKELGLELPELPPGYLSDTDGQPREPQGNEKESKWRNQQRDSRFGNRGRGRGNKRQRNDRGGFQSKRPREWNHSHAMVKSREPTLFQKLVSSDIKRDRHRLLHTFKFMAQNNFFQDWPDKPLEFPSVKVNQVELEIDDIAAEDLDDDDLPDAEAAKDVSVELNENGDEQVLGSSSDEEAGSKEDDEAEEEEGEVSEEDAGAEECDASEEEFSA >cds.KYUSt_chr2.54233 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338411433:338423806:1 gene:KYUSg_chr2.54233 transcript:KYUSt_chr2.54233 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEDASLEPAIAWLVQTILATLLVDKLDTWIRQAGFGDDIERLKSEIRRVGMVISAVKGRAIGNEPLAQSLAILKELLYDADDVVDELDYYRLQQQVQGVTRDESEGMHGVERVDEISRDADTPNSSVGKLRSVVWQHFKITEKYNGKPVKSVCRYCAKEFTCETKNGTSSMSKHINKICKKKPRVLPANLSRADDAITNSVPVVIGDSCSRKRRRVEEDSTQIIASNTQTPWDKDILSSRIQKIASQLQDIRGDLGEFLKLQGSDLASNSNHHPSTDLDQRLRTSSLLPRKVYGRDAEKDCIVKMVTEERSDGVAVLPIVGIAGVGKTTLAQLVYNDPNVERQFDQKVWVWVSHNFDEVRLTREMLDFVSPERHQGFPNKNETYEGINSFAKLQEVLKGHMQFQSKRFLLILDDVSDNMDGYRWNKVLAPLRSSHVRGSVILVTTRNLSVAERVGTLEPIKLGSLADNDLRLLFKLHAFGDENYEVPRNLSSIWRQIAENLKGNPLAAESTGILLRKKLSIDHWNDILKNEGWKSMQLCTGIMPSLKLSYDQLPYYLQQCFSYCSIFPDSYQFRAKDLVHIWISQGFVKCNHSSKRLEEIGQFYLTDLVNLGFFQKVGEEEDESSLGSQSSYSISGLMHDFARMVSGNYCATIDGLLCTKMLPTIQHISIITDSAYSEDWLGHIRRNNKFEDNLRNTVISLRKLRTLVLIGKYDSHFFQVFQDKFRTAYNLRLLQVSATSADFSSLVCSLVDPTHLRYVKREAYYGLDRALPLVLIKFFHLQVLDVGSNNDPGVPDGMNNLVSLRHLVAGNGVYSSVANIGSMTSLQELRDFKVQPLSGSFEISQLQSMNELVELLVSQLDNVESQEEAYRAGLRDKVHLAKLHLSWKDALSDEEYSSDYSSESSEELMPMDDGGPSSEPSMGTAREVLEGLEPHPNLKQLRISGYNGAASPTWLASNISVTSLQTLYLDGCRGWKILPSLQSLPFIRKLKLRKMQEVTEVLVPLLEELVLIRMPKLLRCSSTSVEGLSSVLKTLKIRKCQELKEFDLFENDDKSETRQRSWLPGLRKLVLSDCPHLKVSRPLPPSTTISQLLIRRVSALPTLNGPSNEKLQIGYSGMYGHKYIDESSDELRILDDKILAFQNLRNLKSMSIGGCRNLASIAFKGFSHFVSLKNLEISMCKQLLSLDVMPEHTLEDETSLNGKTFPSLETLSIKSCGITGKWLSLLLRHAPDLDELHLEDILPIGTGDSSLGEEDDALTGLAKDEKGDALTVLAQDGLVHIPLNLISSLKKITIKSCHHLTFSWSEKGFSGFASELNIWGCTKPLSSLVHKDGNDLASLKKLMVEWSPDLESLQLHSCTALEELEIGHCGSLTALQGLQSLGNIKKLVVYGSSGLESLQLHSCTALEDLTIKYCESLIQLEGLQSLGRLRHLAVSDCHGLGPCLEGFLRQGYELFPQLETLETHDTSILTMSFCNHLTSLRSLRLNFLEISPSEEQGKALVILTSLQELEFYCCSSLVDLPAGLHLLPSLKRLKLLSCQGISRLPETGLPLSLEELYIYECSNELNDQCAGLATSKLRVKINEYW >cds.KYUSt_chr6.2043 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12183334:12197334:-1 gene:KYUSg_chr6.2043 transcript:KYUSt_chr6.2043 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAAVVSALGAAALAECCAGLLRPGGGGGDAEAARLALDALYAAGGEAVRPHADELTPLVVGRLGDGDAAVREAARRFLVLLMEMKEMNARLENVQTGSSISDVHHNICRTTETESPDTNEVRKMIKQKIGTRDMSLLAGEGDITRKSVEPIKVFSEKDLIKEIGKATSTLQPDNDWSIRITAMQRVEGIVLGGAADYSAFPVLLRQLVTPLINQLLDRRSTVVKQACHLLIFLSKELLRDFEPCAELLIPALLKNVVITVLVIAESADNCIKEMLRNCKVARILPKIIEFAKNDRSAVLRGRCCEYAILMLEYWVDTPELQKSANLYEDLIKCCIADATSEMINDDDAETNQKQLSPVERISTAMDIVVKVDSTSFSSEDLQSALIKLSLQHDDMTSESPAQESKEGTLAIGSSFEDTSTPGKEIILDRDPDKCDSGISSSSCYLPSDSAFEPTPDILLSETTAETTFQDKAEYRPNVEQISSQQAEVSGDPSHLLSMSPSVSLEYSENLLNQSPVKASSGGKLRTQQLERHLVSTPRKGVVHKEPKNNHTPNFRRPLLGKQITNWFYASTRGDLDSKQPILGEMVNSMDVPSSLTGALSLGLNPKSDWMMRVYAFNFLQQSLLEQAPKGIQEVAQNFEKVMRFVSRYLDDPHHKVAHAALSSLAEIMPFFKKPFEQYLDQTLPHVFSRLNDPKESIKLQCLAILTLAGESYSIDSLLPALLRSLDEQKSPRSRLAVLEFAKSSFAKCSVNSDIYSSSSFLKLWFGKLTLLFKDKNSKVKEAAVIGLSSIYSHYDPASMLSFLVSLSMDEQKRLTRAMKQLIPMIGSDLEEFLQQKRQRQKAPYFDRFAATDPHPRSYAGKKNKSQQHDAYQSNYVKADDVFSSACQYVPNSPLVAQVRRAEKIEHESYGRRAEMVNKRSITTRLSSGIPRRSDYSMLSESTVESQSIPQMYYQDVASLPEMNGHPVSIKNLHQMSSSLLEMLDDPNESTRELALSLLVGILEKQEKALENCIETLVVKLLHATKDASLKVVNQAHVCLTSVVTQFDPLRCFRAIASQLVSHDEKILIVSINSLSKLVIRLSQNELMTHLSTFLPALLDALENQSPYVRKAILLCVVDVYVKLGPALLPHLEERLDGAQLQLVVTTAHSRRRAIAAGKDYVVVSMAGSKKQGGDHLGVRKMPNASERARNRASTFPVVRLYEHLSSDQKNSIGDMDLGSMLDIKCHVLHNPLISWLAPLYDSHSREFVIQGRGRIPLNADSIYRTLGLPRGDIPVVYAMDSVIEARLGPLLFPGHSSTPKITGVFTMLSEMTQYDDIFKQVWMMYLVCTLLAPTTSNKVSNRCYPILDNISNVRNMNLCQFVCDRLHDELCTGKPSGGCLFHIQLLYVDSLDISSLNLDLPDGRFVANIWSKKDVDTVLDADLKRDGSGYGNLELKPHLAINFNLFGGAASFERWVETNTAPNCPKNRKDKVARLMGEFASGLTGLMSKLVQGLTEVDDDEVVYTAKSDKTLRSQIVASRSSHDGGAANTTSPIAQSTYDRPAKIVGKSPIRRLKNVPCNQSADATDVGGDVPCKQIADVTDVGGDVLCNQSADATDVGGAITADPLNIVDSVSSPVSEQTNVLAEARVVEQTRVTRSAAKAACGAKAPCQTDKVPVVNFSDNMSDGDSYHSGNDSDYVDEAVAARFVIQSRRHVDGVVPMDIDCAVVEPSDADALVRFMETDITVASADNRIVFQGLENLAETAAMHIDGEVLSSSLEVAVMNEGNGKGLSDGYDVVSETINDVVNDLKRSRSALDASEAKRSCVVREDRSVNPVQNEPSSAPKKKPNVRGRRQAVYKSQVATRSSPRRPPRGTSSGVADNVAVKSKVYTLKDRLEGSTKIHAAGTSTVVETGSQISAEVVSDGVVFKDAVFSSKSTSETVPTGKESAHEDPVQVSFATGSQISAVGGSDVVVPSKSTSEIVPTEKESAHEEPLEVSFATGTQVSAEGGSDVVVPSKSTIEIVPTGQESAHEEPVEVSFAPQDSGTSVTNQMADPVGDVDHHASASTGTTVVVARDAEQNATASPQDQIVTVVKRAKFVAADGKLSLTPGIPIDLSAYHVSVVNTSASDKSASPSEALGNADGHDSTISADVVKESETANVSSIPPTG >cds.KYUSt_scaffold_869.39 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:241701:242699:1 gene:KYUSg_scaffold_869.39 transcript:KYUSt_scaffold_869.39 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHPVAEADERSPFGRLTAEEYYARHGVTHSSSTFVNPRGLRIFTQRWVPSGGAPVLGAIALVHGFTGESSWMVLPTAVHLAKAGFAVGAVDHQGHGFSEGLQAHIPDIVPVLEDCEAAFASFRADYPAPLPCFLYGESLGGAIALFLHLRDKERWRDGVVLNGAMCGVSPRFMPPWPLEHLLWAAAAVAPTWHVAFTRGNIPARSFKVEWKRALALASPRRTTAPPRAATALELLRMCRELQARFEEVELPMLVVHGGEDTVCDPACVEELHRRAGSKDKTLHMYPGMWHQIVGEPEENVDKVFGDVVDWLKARASAAAATGTGGSSEPQ >cds.KYUSt_chr2.31374 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193667725:193671345:1 gene:KYUSg_chr2.31374 transcript:KYUSt_chr2.31374 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARLALPARLPSLLLRPMLRAPCATASTSPRCRTLTVARPCTPVPGRGWSRLRPRAAEAEPQGRVQRGDDVVDSNVLPYCSIDGKVKKSIGEMEQEFLQALQARSCFFLLPFLRIATTTGFNIRCVADSFYYDKTAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVSGNPIMTDAEFDDLKLRLKVYSDLTVDYFKMFLLNVPAAVVALTIFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWIAQAITNAIIKDFLILKGPCPNCGNENLSFYGTILSIPSGGAKNKVKCANCGTALEYDAGSRLITLPEA >cds.KYUSt_chr2.10583 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67333588:67336782:1 gene:KYUSg_chr2.10583 transcript:KYUSt_chr2.10583 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAKAPTLAENLCFKLDLHLQEYSLPPQEVPAEKAAEEKPEIATEAEPVPASNDEAPPAAEAPASVEDKSETSVQDDVDKSEAEETNTATEEATETAEEEAEEKPEIKIETAPADFRFPTTNQSRHCFTRYVEYHRCVAAKGDDAPECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPL >cds.KYUSt_chr3.37854 pep primary_assembly:MPB_Lper_Kyuss_1697:3:238159460:238166216:-1 gene:KYUSg_chr3.37854 transcript:KYUSt_chr3.37854 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWTAVRFVFDGSDLVDWSKCEKISLAILRNDMAAHVRQRQFASGISEEKKGRTAAADLARGRGQKAVWAPPARTRPSMANPRRAIALQIHTQTPPFPAAAAASSPSSLPSSLLHFLKRPASFPFLLSLFLLLTWISLRFHQPAPSASLRRPAVAHDPQANLVRYPAALHPTPIAADGRGWLLDPVAAARDAGLPDKGYGEAIIAADEVAIVASTRSTAHALINMDVRPTFFLGCQDTPINPNSSNTDYKLSEEQIGEFKEAFSLFDKDGDGSITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRQVMTNLGEKLSEEEVEEMVREADVDGDGQINYDEFVKVMMAK >cds.KYUSt_chr7.17452 pep primary_assembly:MPB_Lper_Kyuss_1697:7:108069216:108073923:-1 gene:KYUSg_chr7.17452 transcript:KYUSt_chr7.17452 gene_biotype:protein_coding transcript_biotype:protein_coding MVIALESGRLILLKVQTEQSFVPWVLRSKRPIVVQDSVAASSVLVKTRGQLGQRRLECEVLLLAAGSGMDKKEENIVGSYCYNDISLSTPFLHSTCLHRCSLLVLLPAACPTLPLSGRPPHHTHPTPSPPDTPTHPIPSGAWFPHVLHAQDVGVKNLNLVLVLAIQSA >cds.KYUSt_chr6.6748 pep primary_assembly:MPB_Lper_Kyuss_1697:6:40646354:40648723:1 gene:KYUSg_chr6.6748 transcript:KYUSt_chr6.6748 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHFSAAAAGVVQRYYCGGACDGREDGAVQAMLQCQRVSDFLIAAAYLSIPLELLYFASCADIAPLKWLLLQLASFAVLGGTTHLLAVFSHTHPHSSGLLLASTATKLLAALVSFATAVSLVIFIPRLIRAKLREALLRSKARQLDRDLGIVRRRVEATSRVVRMLTHHIRSSPPLDAHTILHTTMLHLADALALHSCAVWMPGHDAGADLHLVHQLSLRDKGPVSVVLGSQAPISTDDTDVADVMASEAAKVLRPGSALAMASSGGLQPRGAVAAIRIPMLKVSNFDGRKAPVASSYAILVLVLRNKQDGDSSSREWGSQDLEVMQVIADQVAVALSHAAVLEEWQAMREKLAEQHRALLHAKHEAMMATNGINNIQSAMCHGMRKPMYSIIGLLSIVSQVENMRPEQRLVADAIARTSTLSLALMNDVATETLTVDRRPFGLHSLIRETMSVTGCLAGCEGVGFSYQLENSLPAWVVGDETRVFHLLLQMVGGLLSQQRSDAGRLLFSVSTCTAGQEDCIPAQPNLSPGCSICVKFQVAMERSTGCSQSPGRPVGSQTSLCKFQSVTERSVGCSRSPGKPISSEISMCKKIVQMMKGSMRSALDGETITLFLQFQLQQSGVCRRTPLSVPRFDGLRILLADGDCMSQAVTQKLLEKLGCQVTSVSSGLHCLALLGSADSSFQLLFLDLDMDAFEVALRIRELRNRCWLLIVAAVAVSIDDSVREMCRRSGINGLIQKPITLAALGAQLYRVVRN >cds.KYUSt_chr4.44606 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276288879:276289292:-1 gene:KYUSg_chr4.44606 transcript:KYUSt_chr4.44606 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYDRRGDSSIVEAFTLSPLPYPVILILGMVALLLGVSWFFSYEDFMEDAAEQFSWILLGIPIALVLIIKWISSVDSFEGYFGFYPTERRWGGGGYQGAPSEGSSPWGVAVVVVLLLVLASYHSTFTDMWNPLIRS >cds.KYUSt_chr3.36478 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229420731:229429726:-1 gene:KYUSg_chr3.36478 transcript:KYUSt_chr3.36478 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGNRRGRKKPAATDGGTSGERESGSETEVREPAHRRDGEVEPTMRIRVSHGPALRHVFVPPQATFGHKGAAMGGGRSGEGEWEVRPGGMLVQQRRDGQDGPTVRLRVSHGPTLREVIVPAQATFGELKRVLVPVTGLEPERQRLIFRGKEKRDDEPLHASGAKDGAKVLLLENQFPANVEQKAEPVMMDESMMRACEAVVRVRSEVDKLSAKVCDLEKSVLAGTKVVDKEFVVLTELLMVQLLKLDGIEAEGEARAQRKAEVRRIQNLVEVLDKLKARNANPFSDQDKSASVSTEWETFDNSMGSLNAPPARFSSTQNDTDWEQFD >cds.KYUSt_chr6.4756 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27921605:27924295:-1 gene:KYUSg_chr6.4756 transcript:KYUSt_chr6.4756 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTRNVDRTDSGGIDTGGTSYIGSGLFTRLLRIFSRRVSEPPRVCKPHIYGTGPLDDEDVNLGFSDGTDVLEMCSELLARIQICYQQAKVFNAAGGFCFGLLDPLSNIIVNAFIDNAVVVETEEDDDQATSLWHGRQTDGQIEDRDMNQRSLNGLVAFLAGLFPHLSDVQALWYLHKAKADPLVAARIIIKHRRMDHSFRFGSDATTAAVQTALRCAAAAADHPNPRRFLTGWRILSPSLNKLAAVLSLSAPDVTSNLFASMVLLLEENHAPAFDLGGSWELASSRLVTLSPDLGGVVFPGHTTMKRMLLTTIHGYYLQALARLPRDLLCSQHLLYSMMHYGHCFGPLDPVSNIIFSTIWYSSACPLPSSIQNSKMVMVSTTAMLRIAVRSFYGLVSFLCTRYDALAVDQAMHHLLEAGADLRIADPNFHGNNRAAGGHVPSATVQEAYAAAAAAARHPQHQAHILLLRQTSLISRMGSIYLKGSGPLSRDVVDHLSSTARHLSSSHFQGLLLPLQETPRVLDKLTYNRVNQKRVSFWNQHARAVTMVKSAMDMYNSQPGVPKYELHVICGVNEYVHGPEYITGNYRKYHHSHINFLATCKESQSAGARPVLFFAQWSNHGTKEECFCFPVGVPPLNSEQVRCLYCEYEGSRIVHPANESFHGRDIEFEKMLCGRLYSGNYTNDGIIEHSCPLAFWVHGLADDSIYSVDLIDDDDKEDGVQPVDFQRLIRLT >cds.KYUSt_chr5.4736 pep primary_assembly:MPB_Lper_Kyuss_1697:5:30153473:30156470:1 gene:KYUSg_chr5.4736 transcript:KYUSt_chr5.4736 gene_biotype:protein_coding transcript_biotype:protein_coding MPQELDPTLVWSPPVEEVYVAAEERLEPRDKKPYRRGITKLPKLKTWAFRDVVLVPAGKSMFKYGDPRRKPTREYPNILGGIIRKHFPGIVNLPTGGRDVAWTWKHYSYAEDPSGKYENMQERVVRHFWKYFKRAEGKEIACDVILHELCRVRVTGMHYEARVQCVRDWHAERKVWMSKADCRDTLMAPWQYMQHPPQYVGEDRACFLAMVIWWTSREYARKHEEGKQKRSEMGGGSHVLGSKNLALTLQDEEVKTGVAPNLFGFFQKSKTRKEPHPETGSLWVNDLAEGQCGAYRSKFKDKHGEDADPTTEEFDVEVAVLAGQGKKGGRLWIADGLVDPSTIPSLRQIRRGRTSEQPRVETRPRASDLAIEKLRAEMEERERRHQEEQMQMQQQLRENMQMQQQMLQQMQQQQQMFQQMFMNQTVLTSPPGSSGPSTSCPPMFPHFIPTPDPAVMALLQQAPSQSPLTPGLTVNNTGIIRSLQQFLGINGEAGKFWNFGSIFAVHMHTAKKKAHGKGLLCRAHTHGTRQRPSLPCTHTRQRASSCALALRLVCWSAFFAVRGVGEAHGKADPVPCGLEAERTAKNAARQTFQTHGKEICTAK >cds.KYUSt_chr5.37587 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237518866:237521278:1 gene:KYUSg_chr5.37587 transcript:KYUSt_chr5.37587 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRTTMEAIDGKGATGAPKVDATGPEEDPRSETEDHTAESVVSEEGGYTVPNELPASSHRDGSMYWDMDWLWWKEDFRIVDRDEIELYGYVAIRDDLDPLLNYVVNISRDYPITVQQGSLINMDGPKRGIEMSDFTLIEYDMRIKIGDHENDDLQLIDGASLIGDGGLWNQPFMLDIRGGDGAVNMSLSRLCRAVEATIEIIILEVRSNFNLSLGCLTSGIDEDIRIFDDSITESRVLRRFVVAAVKDSLIDLKFKVEAVSSGPNQHCCSFNAKTHGHDTQEIKIDLALISVKVTWSTLPCGFPA >cds.KYUSt_chr5.13724 pep primary_assembly:MPB_Lper_Kyuss_1697:5:89213761:89221640:-1 gene:KYUSg_chr5.13724 transcript:KYUSt_chr5.13724 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHTGVQAMVDPTGVQAMVDPTGVQAMVDPTGVQAKVDPTGVQAKVDPRRSFLRRFTNSNNTEASSSEDSSNARDEIDQLLLDGFDMYPRSSLHSEEEEGSEDDSEDEDVETYKRGMTNAAFEDMLTLFREALPEGHSLPKKFHEAKQYIRGVGLAYDTYDVCFNDCIIFRGIHANANVCPVCKTSRWKTERSVVGGKRISRVARKVIRHFPLKKRVRRLFISNKTAALMSWHNDGRTKDEVMRHPADSPAWKNFDSRYRSFSKEPRNIRFGLATDGFNPFRNMNLSYSIWPIILIPYNFPPWICMKETNFILSVIVPGRRSPGKDIDVYLQLVIDELQELWHHGVLVHDAHFGKKFRVYAALLWTISDWLGRGILSGERILQDQPTGEEISAMTMDIQTAYGKLQKQKRSGRKKRKRGEGDEDLENKEEVHTVESTFKKRSIFFQLEYWKFLLVRHNLDSMHIEKNVFDNIVNTLLDVDKRSKDNANARMDMKRMKIREHLHIDETQEKPDLPDAVYYMESSKKKMFCGLVKNVRFPDNHASSMYNKVRLEENKFVGLKTHDCHILFEEILPLAVMKTLPEEVALPLVKLAKCFKVITSKIVSNKEIAIVEDQLPEILCELEKIFPPTFFDIMEHLVIHLPAEVRLAGPVQFRNMWSTEMFIGNMKNWVHNRSHPEGSIAESYLFDECLTFCSRYVDDCNTKFNRPPRHDDNLTSSANKNCSKYLTIFGRPLSACSISELDYLSWTQAQKYVLFNYEHISSYTEKHMKALAAGKKRKSKRQVEVEHHRTFHTWFIDHVQSLLLKGTKLPEDIVLLANKPYMMVKKYNSYCINGCVFHTKEFAAGKSTQCDGVSNSSMTSSYSSSKDKNPLKGEVEYYGRIVEIVELNYSNQGSVVLFKCEWSKPAGVKNIANFGITQVNLKQLEFGSEPFIFASQAKQIYYVKDAVDDDWYSVVCPSIRDYFDMEPRIDRTNKSDTQEWKEKMIKVGEAVAAGSNGDNMLERFIHIAKATGYLPGNQQIVENKTIYEQLEEDEDDDDDEDDSDEEDDEEEDGAEDGQRENDGEGYDSDATTDPGTEEEKSHRGPTLLKGFWKHVNPNCKIDVEFNDNGQPCGPNTSQFSNFIGSLVKGKEISMAATSLEQSTQIRENALAFFNVEERHRYWVLKSAGKKWKDFKCYLKKKYYKSKLSIEENVANRCGQRLPEAQWDWLNEINNKLNANPELHGEEPNPNDLYSTLFPKAKKSTRYGLGMVVGGKGSENLAQALAALEESRKETRDLKLVVENMARKTDIIEGQLSQLMLVYQASQKIQDNQQQQQQTSEEGMEGIPTIQVTKQNVEKVISSEVTGCKENVRLSFFFHDLYCNHTIQMVQATTTHEDRRARKIKERKSVKEPNSSIPKETIYTHETTYSQQGVYSQESMFSQEEMFTNQEEGNHSKTTYKHLEAKTASVNKIIKSVKVEKAQENKMKNSEVKKKRNKGTVNVKKGMDVALTSPTSETIVALGTVQNADTDEYIEVMINMVLKRTTRLPQAKGRMTLLGEAEAHSIQWPRKNVSTEEVDMTHASIVSSMDKNLRIHSRSDGRVLTLQEQAANRDKIRRTDNLNDSQNPDRTKRRRTFTFKSKASIASPVQADELLDDV >cds.KYUSt_chr4.45728 pep primary_assembly:MPB_Lper_Kyuss_1697:4:283028887:283030345:1 gene:KYUSg_chr4.45728 transcript:KYUSt_chr4.45728 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLPKLVCLPLLLALALAATLLYAAGLHATLARVRPAPNPATMRDGQTTLALRLAKQLAPASGNTTTATTKGNVAFSPVSIHAALSLVAAGARGATLDQLLAFLGAPSAAALADSGRRVVHRVLADRAASGGPRVLFGGGIWSDASCGALRTAFRDVAVQSYKSEARTMSFANEPEEVANAINGWVKKATNNIIDSMISPKDITGGTVLVLANAVYFKAKWEIPFESSLTSSGSFHRLDGSRVDAQFMSGTMYAAQYASCSDGFKILQLPYEHGRDFGIRRGRGDAAGADDTRYSMYLFLPDERQGIASMLDAVTAGPDYLYAVLNKTAANTVRVTLPKFAISFNRNLVDDLRLMGLSLPFSSESADLRGIFDKEWQAFIGKVLHKAVVKVSEEGTEAAAVTMIMMDGVVREQPVDFVADHPFSFFIMEERSGVIVFAGHVLDPTTN >cds.KYUSt_chr5.35914 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226903177:226912839:-1 gene:KYUSg_chr5.35914 transcript:KYUSt_chr5.35914 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGAVSRILLIAVALVASPRRLGVTAAGAEMRTSRPDPNWHVVSVASMLPAAVCTPSTAASNSTVLNVVHRHGPCLPLQSRGGTPPHAQLLDHDQARVDSIHRKIAAASTVLDQANHAAKGVSLPAQRGISLGTGNYVVSVGLGTPARDMTVVFDTGSDLSWVQCTPCSDCYEQKDPLFDPARSKTYSAVPCAAPECQGLDSHSCSRDKKCRYEVVYGDQSQTDGDLARDTLTLGTPSNTLPGFVFGCGDKDTGLFGKTDGLVGLGREKVSLSSQAAAKFGAGFSYCLPSSPSGVGYLSLGLGRSAPGNARFTAMATRRDTPSFYYVNLVAVKVGGRTVRISPVVFASAGTVIDSGTVITRLPPRAYAALRRAFTRSMGRYRYKKAPALSILDTCYDFTGHTTVQIPSLALVFAGGTTVELDFSGVLYVAKASQACLAFAPNGDGTDVGILGNTQQKTLAVVYDVANQKIGFGANGCS >cds.KYUSt_chr3.28407 pep primary_assembly:MPB_Lper_Kyuss_1697:3:177235648:177239252:1 gene:KYUSg_chr3.28407 transcript:KYUSt_chr3.28407 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAPILLLAFVLFLTSHSMPASAAMKATHSLFRDSHLLQRVYSYLVVSTDPVSVLSASIAGLTDLPGVVAVIQDRLCKVHTTHSWGFLGLETYDGKATDGWKNAAKFGVSPTSESFRDDGLSAPWWWRGRCDAGKDTTFSCNKKLIGARFFSAGIQEENFINGGPPLDKTDLNSPRDYDGHGSHTLSTAGGGFVAGASAFGHGSGTAKGGSPRARVASYKACYAPGCSSLDILAAIFAAVADGVHVLSLSLGGPAEDYAVDLMALGTLYAVNTGVTIVCSAGNSGPQPGSVSNLAPWMLTVAASTMDRDFPAYVTFGNSTIEVWPFMEHLHKLFMPLWTDAIYLQGRSLSDGTQPARQMISGDKANAANQAAENSTLCLAGTLDPAKVSGKIVVCTRGVNGRVEKGLVVKQAGGVGMVLCNDASTGDETIADPHFIAAVHCSYSRCIDLFKYLQSTESPVGYITAVDAELGVKPAPVIAYFSSRGPNTITPQILKPDITAPGVDVIAAYSEEVPATDLSFEDRQVPYNMISGTSMSCPHVAGVAGLIKAKYPGWSPAMIKSAIMTTASTIADDYGQIRDEAGGAATPFSYGSGHLNPVPALDPGLVYDTTPFDYANFLCSLKPTQTQSFNLLPVSLPFLLPLFIHFNSNPFKCSTGAPFRPENLNYPSISATCISGSTTVRRRVMNVGTESSTYTVSVVQPAVGVKITVQPSTLSFGRVYEERSFTVKLEVYDPAMAADYVFGSIEWSDGDGNHRVRSPVTATTKCS >cds.KYUSt_chr7.24495 pep primary_assembly:MPB_Lper_Kyuss_1697:7:152750949:152751710:1 gene:KYUSg_chr7.24495 transcript:KYUSt_chr7.24495 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTREEDERLVAHVRAHGEGCWRSLPGAAGLLRCGKSCRLRWINYLRPDLKRGNFSRDEDDLIVKLHAVLGNKWSLIAARLPGRTDNEIKNYWNTHIRRKLLGKGIDPVTHRPVATHTAVSFAQAVPEAPKPPQLVLSPPAPTTATPKEEQESKPPRCPDLNLDLCISLPFQQEEIRPPPPPAARAHAKPVKMEQLPLQGGGALCFRCGLGRAAECVCSSNFLGLRAGMLDFRSLPMK >cds.KYUSt_chr4.51839 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321884636:321889986:-1 gene:KYUSg_chr4.51839 transcript:KYUSt_chr4.51839 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSIPYSRCYASDSDDDGPDEELDEDGVTAKEAERAEIFKKVTGRDIRIPLFRDVSLADGAVVDGGKSLLLGARPISKRDVDGVHGLSVQDLSKKGSRGLKLDGKDAQPDRRQLTSEFIAYKLSVEISSLLTMSIRSVKDTGKSRFDYDVKYGKSTHQGEGACEGNEKEESVWEEALRTVRKREKEELERKRQEEIAKKKAEDDRMQRGYEEYLLRHKRRNEKLQAERDRLWREKFLTNMQLAQLGRERANRIHLEEVAKEAERIREERAQAEASKMEERHHFFDSVVQLAGDIREKEELAEESKKKKAKGGSLCHAVMLCDLSGAQWCDESKCVILEVVHYHRHVTDHPRDVEFLNSPIANYNKIHAIFSFGLATGKFFIGSSEPLGTPPAVPSSGDANTQQSDTVILDGPPEKVVDASEKGYGTLEGAQQEYHSFLDDEVMAIEAIDQLVHLAQLPLDAVPSLQGEPEVPRVKDFIIVFLIIVIVRIFFFRMVYDWM >cds.KYUSt_chr3.29081 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181931441:181934067:-1 gene:KYUSg_chr3.29081 transcript:KYUSt_chr3.29081 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGDAPSMAATVDAPSVPAPIDAPPKAAPAGDAPPKAAPGPGLYSEIGKKARGKHERFPSGFTFVGFGRLMVLDLLNKDFNMDPKFTLTTYAENGAAITATSTKKDEAILSEIQTQFKYNNVKLDVKANSDSQVLITGTTEYPRVPGMKHITAISYPFKTPGKNELQYQNDYAGLSLGVGMNSKPLITFSGVVGNKSVAVGGDVAYDTAIGDWTKYNAGVSLTKEDLVASVMLNNKGDSLTASSYYMVNKQSAVGGELTHNFSSKENTSTVALQHSLDPLTTVKARYNNKGMVSGLIQHEWRPKSLLTISTEFDAKAIEKSSKIGLSLVLKP >cds.KYUSt_chr1.35763 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217948355:217953926:1 gene:KYUSg_chr1.35763 transcript:KYUSt_chr1.35763 gene_biotype:protein_coding transcript_biotype:protein_coding MEALISAVLGDIVSRAISVVVKKCREQTTANEETTIKDNLQRLHQLLLRISAVVEEAEGRCITNQGMIRQVSMMIKQMFRGYYLLDSFKCRNNKTDEEEVSLSSFAQSKFNPAKRFRRLSSNTQIESMEIGRDNSKKLKQAVLVLESMVADMKEFAIFLMSYTRMYRQPYSSYLFLDKCMFGRQMEREQAISFLLQAEPLVGGNLGVLPIVGPRLIGKSTLVEHVYDDERVRNHFSLILRYRGNDLTHETATTFRDHCVIKHQNIASGKERSLVVIELLGDVDEGAWNRLLHTSERCMAHGSKIIITSRSENMVSVGTTQAIMLRCLSKEAYWYFFKMLVFGSTDPEEHPKLTSIAMELALVMRGSFVHACVVAAVLRAANLSAGFWSSVLREANTKVTIQLSFLVGEMWKERNYLFPSSSEHHLASDKPGGVLVPSCFRMEVLVSAVIGDIVSRTISVVIEKCREQMTAKEHTTTEEDLQRLHQLLLRISAIIEAAEGRCIRNRGMIHQVSMMIIQLFRGYYLLDSFKSTQKKTDSFKSTQKKTDDEEVSGSLFAQSKFNPAKRFRRLSSYTQNYSMVVGRGSSKELKQFILVLESMVADLKEFAIFLMSYPRMYRQPYGSYLVLDKFMFGRQMEREQAIGFLLQPEPPSGGNLGVLPIVGPGLIGKSTLVEHVCDDERVRNHFSLILRYRGNDLKDEIPTTFRDHCVIKHQNSASNEERSLLVIELLGDVDEGAWKRLLQTSERYMANGSKIIITSRSEKMASVGTTKTIKLSCLSKEAFWYFFKMLVFGSTDPEEHPKLTSIAMELAVETCGSSIFAYVTATLLRANRSVRFWCRVLRQAREFRQKNNFVLGEYHEDRMSRYVWGIAETQQGYEDGKQFLIHHSHQKGPAAHSEVPRITIVDLLSGAWSPMQRGKFEVLSWRSVIPPYYNYVYSCELVQNKNARL >cds.KYUSt_chr3.1298 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7243340:7252973:-1 gene:KYUSg_chr3.1298 transcript:KYUSt_chr3.1298 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPPAERRPGGAASDGDFPVLGLGPEQLQHHSAPGRRHWPGGVELRFQEMLMGGAALDAVGVPLPDETLAAARASDGILLGAIGGYKWDTNEKHLKPETGLLDIRAGLGVFANLRPVTVFPQLVDASPLKKDIAEGVDIMVVRELTGGIYFGRPRGFGTNDNGEETGFNTEIYSVSEIDRIARVAFEVARKRGGKLCSVDKANVLEASMLWRKRVTAIASEFPDVELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLSSASVGESGPGLFEPIHGSAPDIAGQDKANPIATILSGAMLLKYGLGAENAAKRIETAVEQTLDNGFRTGDIYSHGTTLVGSPPRLGKTYVPDFKWKIHDFSALLETKATSAISVPFDLSGYKWYICMYPRGDRCSTDCLSLHLCLDASNDVPHESKNVAKMTLSIQDQKNGKHVNLTTGLVVFEGSWGWPNFIPLKKFKDPSEGYLVESNCIVKADLTIVGSSNDG >cds.KYUSt_chr3.40901 pep primary_assembly:MPB_Lper_Kyuss_1697:3:258164288:258168996:1 gene:KYUSg_chr3.40901 transcript:KYUSt_chr3.40901 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTLQDVQEIKNEENDFEENGSSSPMVYQLVRVYSYFLSYITPSKVEGDGTLVPPTEDEVLQIEQFLDEKVDLPSIEDVGHVEDFYTNDCIFLTKPDYEEGYSELETAGEIHALQFDANLEEDRLESLDVSLDLPSKCTGGHDCGQDKLNTEQGDNTIVHQDNTSTETTKSTVLNDSCSAEKEKADACSRPVNNSSTGPSVSSSVPDFSILRGEVCLDNLTIRELQEAFRATFGRQTNVKDKLWLKRRITMGLTNSCDVQSSGCVVKDYKIVHKDAKHVLPAIEGIPKVEVEATSLVRNKVLVTRSERDTPSCSYYQSEDQQRSSKRLKGASAQNNGSQGTLQDEQGSAKRLRKPTKRYIEELSDIETGDFTGKLSSPGIMPVHDEVLLRQRVTPFEGSKRPAHDEALLRHRDDDSSVEGTEVQMAVEVTLGKDGEQKNHANKAMEVSLMTNAEKKGGLIETTDDDDEVHAVQANDVCRSDAKPKTQQCLKRKHHRAWTLSEVQKLVDGVARFGVGKWSEIRRLSFASYSYRTSVDLKDKWRNLIRASQTQLFPEKDGVCPRKINPSIIPIPPDILLRVKELAELQPPSGNLATPIKFSGQGSKVAQGKGLGFL >cds.KYUSt_chr3.32000 pep primary_assembly:MPB_Lper_Kyuss_1697:3:201173749:201174574:-1 gene:KYUSg_chr3.32000 transcript:KYUSt_chr3.32000 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKAAICIAAVAAMIHVVCAADYIVGDPTGGWQGRTDYKSWAAAQSFAPGDTLTFKYSAYHNVVEVTADDYEACSTANPVSFDNSGLTTVALTAPGKRYFICGGPGHCQNGMKVEVDVADRPAPAAPSSPPQLPPSPLPPAPAPAAEPPRHAGHKRHKKWCSPPKPAPALAPVVQSSESYLPLAAVAPMSSPTPPAPMSSDAVAVWHSKWGGATLGLLALWFAVLPL >cds.KYUSt_chr2.13263 pep primary_assembly:MPB_Lper_Kyuss_1697:2:84003056:84003805:1 gene:KYUSg_chr2.13263 transcript:KYUSt_chr2.13263 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAEAEATVETPAPPPSLDLHHAPAISRGGSPPSGQLLLLDAPIPALGAAVARAPRSKAPPVEAQRKSVRAKGTSDGPVLEHAIRATAEKNSMSKSSIEDSAAPSSATPAPGNSNLPSSFVAFQDSSVQHLVKVARDSCILFKSSEGSPAHAVTLLQARERAQAKLLSARRKIEEEKAKDKEEAVRTSPDQREEGTCPEAGSEGEPCSGPGKTKKLAPKKRRIARRPTPVGHRPLTRRARALSMVSQ >cds.KYUSt_chr6.25548 pep primary_assembly:MPB_Lper_Kyuss_1697:6:161950284:161950646:-1 gene:KYUSg_chr6.25548 transcript:KYUSt_chr6.25548 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNAGAAASAPGAPVTMVSAIVRTASTSGGGIHGSAPIGSWRRAGRGGPVRRQRRMLPLENIKPPSSGERGHLAVEFMGSLRPIWELSAVPAMAGIFVGHGDGACEAGGKRREWNTLRR >cds.KYUSt_chr2.483 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2943006:2944376:1 gene:KYUSg_chr2.483 transcript:KYUSt_chr2.483 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAFSSSCQELVTRWTESIASNLEGWCEVDVCPEFLILAADTISRTAFGSSYLQGRRILALQSEQVDCIKASGKMIFIPGYMSLPTKNNRRMREINNEIESVLEGIIAKKMQAMQEGESTKCDLLSLMLEPNMGKTDGDGRSVAGMTIEDVMEECKMTMILLSMHPEWQDRAREEVLGLFGKNQPEYGGFSRLRTVTMILYEVLRLYPPAIAFHRKTYKETELGGITYPAGVVIEMPLLLIHHDPNIWGADVHEFRPDRFAEGISKASNDPSAFLPFGWGATDLHWSEFCAV >cds.KYUSt_contig_815.363 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:2193009:2193920:1 gene:KYUSg_contig_815.363 transcript:KYUSt_contig_815.363 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTDTWTSCSPDWGNCERHDSCTMMQISSLKLPYTSASISGPVQLYGYMAVRDLLNPYRNYIFNRSRDDPFIVEQEDGFIQMTGPKRGIRNDGYVLLEFDMKIKTGDEESDDLQLIDGVAYFNNLTIMNATEHKQRIDGDHGAVDITLSLLRSAVEATVQVGISDLEHGSGLSLRLTASYISSHFVLRERIQLFDGVVDPETCEQNKYVVAVPWKVILSLKLQISQIGGSHRSVEEFCLCSPRKHGHENLTFELGFATVKVKVTWSTLDIPRSLLGPDCYMYDFEAAEDLGLLNLMGLEEAN >cds.KYUSt_chr5.22575 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147319340:147326084:1 gene:KYUSg_chr5.22575 transcript:KYUSt_chr5.22575 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPNWQDLAPTEVVQFEEDEILVIGFQKELPVGEGVLTMNFTGTLNDQMRGFYRSKYEYKGESKNMAVTQFQAADARRCFPCWDEPAFKAKFKLTLQVPSELVALSNMPVVKDTVHGPLKTVYYEESPLMSTYLIAIVVGLFDYIESSTLEGTKVRVYTQVGMTSQGKFALDVGVKSLNLYKDYFATPYPLPKLDMIAIPDFAAGAMENYGLITYRESALLYDEQLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVESIFPEWNNWTQFLDETTSGLRLDALAESHPIEVEVNHASEIGAIFDSISYDKGASVIRMLESYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEETGEPVKDLMTTWTKQQGYPVIYAKLNGQDLELEQAQFLSDGSSGPHMWIVPMTSCCDSYDVNKKFLLKDKTDKIHIKDFTASQITDRSQNFWIKLNIDQTGFYRVKYDDELAAGLENAVKAKKLSLMDMIGIVEDSYALSIACKQTLTSLLRLLNAYRHESDYTVLSHVTSVCLGVDKISADATPDLSRDIKQLLIKLLLLAAKRVGWDPKDGESHLDAMLRSLLLIALVKLGHDETINEGIRRFHIFLEDRKSSLLPPDNRKAAYLAVMRTVSTSSRAGYEALLKIYRETSEAQEKSRILGSLSSCRDKDIVFEALNLMFTDEVRNQDAYDVLRGVSLEGREVAWAWLKFTSEEKAAEVSEFFATRVKPSFERALKQSLERVRISARWIESIKREPNLARTVHQLLLQEV >cds.KYUSt_chr1.3573 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21766977:21769493:-1 gene:KYUSg_chr1.3573 transcript:KYUSt_chr1.3573 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLHTLHLAALLFLLLAAAASASTLAIAGGSTVCGVAADNGTVYCLDFAAATNSSASPIARPLVFSRVSGGDGYLCALGAGGGDPSVLLCWPPGPSAQYQLRRVYRGPTPYSDLSVGDDHVAAYDAQTRGIQWWRGGNSYPQFLPGAFTSLVSGHGFTCALEATNSPNVRCWGPNGSAVQSAFANTTSISSLAAGGTRACAVDSATGTVLCSGITGAGSAAPTGLYPHGLAVGDSHACGLSRPNHTAVCWTLAGPTPTVYYPAPGTAFEFLVAGANLTCGLVSANYTVVCWSATSADNAAVELSSLPSVLPGTCVPDASACDCDAFPGSGQICAAAGSPGSVICKRICEASAPPPAASPPQPPPAAAAPSKAVSKRWIAFAVVGAVGAFVGLCSIVYCLLFGFCSHKRIHNSVQPNLPGGAGAAPAPADTNVAAVAAASPYGSPNGSRARGFLFRRQLSRAMTRQRSGPSSFKDQTEEYTFAQLAAATEFFSPDAQIGAGSFGTVYRGKLPDGREVAIKRGESLARKFQEKESAFRSELAFLSRLHHKHLVGLVGYCEENDERLLVYEFMKNGALYDHLHPKPAHIIGDEPPLVSPVMSSWKLRIKILLDASRGIEYLHSYAVPPIIHRDIKSSNILLDGSWVARVSDFGLSLMGPSSPDSDDSAGAAVQQHVAMKAAGTVGYMDPEYYGMHHLTVKSDVYGFGVVMLETLTGKRALFRDAGGGGDPVSVVDYAQPSIVAGELGKVLDGRAPEPTPNEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETAFAFCEGSAGGNGSRDGRATGGGGYRNSSSSLSMTSMELSGRIGE >cds.KYUSt_chr1.17720 pep primary_assembly:MPB_Lper_Kyuss_1697:1:103244064:103245685:-1 gene:KYUSg_chr1.17720 transcript:KYUSt_chr1.17720 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLLVAVAALIVTASSIGFHLLTRAKKPCPAKLPPGSLGLPVIGQTIGLVRAMRANSVDRWIQERIDRYGLVSKLSLFCTPAVLLAGTEANQFVFFCSMLPVWRPRSFQRIIGEKNMMNLYGDDHRRIRGAVMELLKHDMHRLYVRRMDSEVRRHLEKNWAGRTPVTVLPLMKRLALDIICALLFGLEPGAMRDSLAADLVRMLEGTIAIPVNLPFTAFGRSLKASGRVRRLLSGIVREKKAKLEQGKSAPTNDLISRLLGLTDNQGQQLLTNDEIVDNSMLVLLAGHDTTSLLMTFMVRHLANDPDTLAAMVQEHEEIARNKAAGEALTWEDLAKMNFTWQVAQETLRIVPPAFASLRIALEDIEFDGYRIPKGWLVFLAASATHMDPSIYHEPDKLDPSHFDNYSLAAGPPCSNLAFGCGHRICPGIVFAKIEILVTMHYLVRHFRWKLCCKENTFARDSLPSPLLHGLPIQIEHMTSL >cds.KYUSt_chr3.28988 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181233848:181238111:1 gene:KYUSg_chr3.28988 transcript:KYUSt_chr3.28988 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRQPQPPRAAHPSLATLRPRGWPRPRALATGPPGVGSPPAPASELARHLAPRPRLRFSATPPINAPRAAPTLAAAAAPTSSSSSSRPPVDMAGVSGKRRSPSGDGMFAPRPQLVTRKRRSGGRVRRPPATRPVSVSELTDSPYHLPVGPWLGSAPPYILMMISAKAVVGRGGGEADVLDLNRAVLDPDVIRAKKAGDDQLATVPLQLDEHISDILPIPEIDDYMSLIPSASDISAFLPQADENYEIFDGILNSLPEIPAANVRYSDFFDPFTDCMDMSNPGLNANTSVNLTTHFHDERAGLSLFPNSKSGPLM >cds.KYUSt_chr3.33166 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208131357:208133083:1 gene:KYUSg_chr3.33166 transcript:KYUSt_chr3.33166 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNSLEYDNLYLDMNNIIHPCFHPEDKALPALYSLDLFGFVDGSNTAPPKKVPASEGSSESVANPEYAAWFKTDQRGSECSSCISRTHPCSAM >cds.KYUSt_chr6.13577 pep primary_assembly:MPB_Lper_Kyuss_1697:6:85066763:85068373:1 gene:KYUSg_chr6.13577 transcript:KYUSt_chr6.13577 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTWAVVVAALAAYMAWFWRMSRGLRGPRVWPVLGSLPGLVQHAEDMHEWIAANLRRSGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHGVFLDLLGDGIFNSDGDTWVAQRKTAALEFTTRTLRTAMSRWVSRSIHGRLLPILADAVASKAHVDLQDLLLRLTFDNICGLAFGKDPETLAEGLPENEFASAFDRATEATLNRFIFPECLWRCKKWLGLGMETTLTRSMAHVDQYLAAVIKTRKLELADAAATHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPASERRIVAELCAVLAASRGAHDPALWLADPFTFEELDRLVYLKAALSETLRLYPSVPEDSKHVVADDYLPDGTFVPAGSSITYSIYSAGRMKTVWGEDCLEFRPERWLSEDGTKFVQHDSYRFVAFNAGPRVCLGKDLAYLQMKNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKDGLRMEVCPRDLAPFLDEPCGVEAAAAVAAAAASATAPCA >cds.KYUSt_chr7.8633 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52342600:52344810:1 gene:KYUSg_chr7.8633 transcript:KYUSt_chr7.8633 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLLARLVDREGALRDILPRLFQCCDNPFATVTEVRDANGWRIHFRRTFGLAEMVEWDNLRRIFDLHPYTTGEDERWRVLVKPMDRGMLDVMMGEPEPSPPHPTDRARPPQENPQAATTTTAMEPLGAGNGGSPSSSAERWRAEASRAFQHHLDRAAPHTAGRWAGTLLAAAVYALRVYYAQGFYVVSYGLGIYLLNLLIGFLSPMVDPELEALDAAGPALPTRGNDEFKPFIRRLPEFKFWY >cds.KYUSt_chr3.36331 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228528760:228529920:-1 gene:KYUSg_chr3.36331 transcript:KYUSt_chr3.36331 gene_biotype:protein_coding transcript_biotype:protein_coding MRISKSSNWEGVGTKRKYHLVNWPAVCRPKAVGGLGLVNSRLKNIALMLKWVWKLYQGGDQLWKRIINAKYLRSNDFYASTGVRGSQFWRSLHRIKHLFKLGARHCVRDGTRTSFWMDRWAGDRPLKDRFPALFSIALDQMESVAQVCTRLEPMRFRRQLPLELTGDHGELIALIGSTTLSQGQDTVSWLLEENGIYSVKSMYARLSRGATIAHFKDVWAAKIPLKIRIFSWQLVLDRLPSSSNILSRHGPGNGKCALCGEMETADHIFFGCSLARFAWSVIREILGCSWCPASFPQFFAIISNFAGRARRTIWCLFIAQSWALWVIRNKLTMESKLIRHPADVTFKTMLFMQHWLGVAKPADRPWLRWLIAALRSIHAQLIPADP >cds.KYUSt_chr1.39908 pep primary_assembly:MPB_Lper_Kyuss_1697:1:244336763:244337977:1 gene:KYUSg_chr1.39908 transcript:KYUSt_chr1.39908 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKKPHSEPPPSWSALPLDLAVLVVRLLPAYADRARFAAVCPQWRAAARELLPTPLPLLALPDGTFYNIPYTKPFRFPGCGFAGYQSACGNWLVFSRDDGCFLVDPFSRATVTLPALSCVRLRPPNAVAKWLNIGRAKIADPCTTWMHIRGSKKLHISKLILCSPNLAAALAGIGYTSQILMCQPGASAWSVRAYDRCKVFEDMVFYQGKLYAVAKDENLLVVNISEDHSIGDPQVSKIGRVIKGDPWYPAVFENNTRPRKKLYLVESCGALLMVRRVIWCRVPEPGVKGKVVAGQSKFEVFEADFEHSRWVKASTVGDDQVLYLGRRCSRAMSVSAYGFSGDLIFFLDDDEQNRVEYHYEDENTSFHVYDMRSGTVRTASPTISWKRCNEMLLAAWLFPQD >cds.KYUSt_chr4.18430 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115814244:115816922:1 gene:KYUSg_chr4.18430 transcript:KYUSt_chr4.18430 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGCCVMRRPCLSEKPGRRGRGRLCSRDGKADDGFHGRRNAPARRSHFACGSPTFCLTPPRLPYSRRYTEQARVERYDGDPAVANDFGRRTLHDYEDRALYEAGYMASLNMWSPCKWMLRARGIPLPPEPRVVAREAAIHQHYYGQLTPHQCADTRWDLNNHMMWNSFFRQRWEMKLAR >cds.KYUSt_chr3.36196 pep primary_assembly:MPB_Lper_Kyuss_1697:3:227528403:227529494:-1 gene:KYUSg_chr3.36196 transcript:KYUSt_chr3.36196 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGPQIHDQPLQEEDYIDMELSSPAAAEVGTNTASLLCYTTAMAAASQHSREFEFHMSAPTDHWEPVASPADELFYKGKLLPLHLPPRIQMVERLLEIAADKGLLSASTAPTTPYQSCSVSPANSCYASGELNAEQYFSECTAASIAAAEVEAACEKKPWSKKLKFIKNLNLGLKLKASKAYLKTIFATKAGDPDEKSGAPRASEFPIAQVKSWRKNPFVQTRSNRYTASPISNSAPLGGNLKEDEFGHRRSFSSVIIRYSSSNKTSSASSSSCSSSNSSSSIPTSNDSGLGPVLRRSSSASSEMDNPIQSAIAYCKKSQQLASVRKSASDAGFRFMSSSASKIAAESEDAEDILEICRRR >cds.KYUSt_chr6.10588 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65574883:65575377:1 gene:KYUSg_chr6.10588 transcript:KYUSt_chr6.10588 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLSTPMFRWGTAPTFYGVLLQDIAVDGNLLNIPPSVFSDRSVMSAGTIISRLPAAAYAAHSTAFKAGMTQYTAAPPRNILDTCFDFTGLTSITIPIITLVFDGGVAVDLDGNGILIADCLAFAAATTPDGVPSIIGNVQQRTIEVLLDVGQSVVGFRPGAC >cds.KYUSt_chr5.2478 pep primary_assembly:MPB_Lper_Kyuss_1697:5:16611434:16614766:1 gene:KYUSg_chr5.2478 transcript:KYUSt_chr5.2478 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSNKGAADLTRNLKRNERTGHLKRSPAKKNRICDGGEPTELLISGDLLRNSNQGVPSEINKQIASNLSKSVVSVALFNGETLLLALSGIPVQRLGNVTRFLTSASLAKAFNDGRKVYPDLKVVVRHENKVVPGFLDKHDPHLNIAAVNVMDLSDLHTVLLSRDLKFPPHKKVVAIEVLLPNKNCTEGKLEYVNLHYNVALVSVKDFSACQPVKVEERWPGSGEVLALGRYFSSGILMAAKGQRAVAEGDYCGNPSDKPDWAKEGDNSVFCNSWHVPSPCWYDPEVLEKVENEAQSRVPRYLIELEWDSDSDSDSE >cds.KYUSt_chr6.1274 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7962731:7964495:1 gene:KYUSg_chr6.1274 transcript:KYUSt_chr6.1274 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAALATSTGAVAAGTLAALALATFYFVYAAWLSPAATRRRLQKAGFDGPAPSFPLGNLPEIASTLAANTSSATPSAPAVSGVVSSDIHSAVFPYFARWRAAFGKVFVYWLGTEPFVYVADPEFLKRATGGAMGRLWGKPDVFRRDRMPMFGRGLVMAEGDEWARHRHIIAPAFSATNLNDMISLMEETTAKMLRDWDHAVAAAGRSAAVAVDVERGVVRNAAEIIARASFGIEEGGARVFEKLQAMQSMLFRSNRLVGVPLAKLLQVRKTYEAWKLGREIDALLPDIIDARRHAGHGAEKRKDLLSLLLAAGKKDGGKRVMTSRELVDECKTFFFGGHETTALAVSWTLLMLAAHPEWQQALRDELREVTGDGPLDAAALSKLTKMGWVLNEVLRLYPPSPNVQRQALHDVTLTDASSSDEGAPTTVIPKGTNMWIDVVAMHHDEELWGSDANEFRPERFAAGAQGGCGHRLGYLPFGFGGRICVGRNLTGMEYRVVLAMVLRRFELAVAPEYRHAPRIMLSLRPSDGIQLLLTPLAASTNASIKH >cds.KYUSt_chr5.41541 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262126073:262130130:1 gene:KYUSg_chr5.41541 transcript:KYUSt_chr5.41541 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGHSKLEEELAVRHCRDRSDLLALAIRHRYALADAHRAYADSLAKVGAVLHDFVRGVQTLPAPPPDTELRLPAARKGDGLPAASPLHSRGGPAIASSSSHPPQVAKQVRINPDDEHIHFHSDGDSDSDDGHIKFHSDDEDDPAQRRPEIVRSTGQPPGPRPPPPSQHMGPPPQPYGSSAYGPPPSYAGAPAYGYGAGYGPPPDYGGTAGYDPPAYGGSMGPPGVDGYDPPPPPGYGGMGGYGQSFFNMSYARSQPPPPSVSFQHRPGAVDAKVQTFFNISYARGQAPPNSVSHEHRPETADATVQTFFNTSYARGQPPPPSMAYEQRPQPTDARVQYYGDGGAQPPPPGYGGYASPPQGSTSYNQYAYGGYYGGAAPPPAGMPSSSREEVAPPPPPSPPRVSTWDFLNPFETYGSYYEQPAPAQAPYTPSRSSKDVREEEGIPDLEDEDMEVVKEAYGDEKHPTKGYAGKGKAAKEEGRSSNGDEMPRESKSSEASSSGSSLEHDVHVVEKSVVGEQVQRSEARQHVAGLPPAGSEKTYVDDNEVVLEIKTQFERASNSAGEVSKMLEVGKMPYYQKSSGFKVSAMMICGIPTMEEEFLRFEEDKAMGCGNLSSTLQKLYMWERKLLQEVKAEEDERLKYDRKREELKMLDEKGAEAEKIMATEKEIRKLSTRISIAIQVVNTISGKISKLRDEELWPQTCELIQGLMRMWDIMLECHQIQLHAISQAKNIDSMINAARFGEAHMDLIKSLELQLLDWTTCFAAWVTAQKSYVRTLNEWLLKGVIYTPEETDDGVPPFSPGRLGGPPIFIICNNWAAGVGRISEKEVVKAMQAFASNVFQIWERHRSEQRQGMMANKGMDRDLRVMERDEQAMRKALEAQNKKLVLVSDQTGVSLSAQALHDGGPPAENSSLQLGLRNIFEAMETFTTASANTYKELHLRAEEEKVRVTQDSGRVPP >cds.KYUSt_chr2.1915 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11493900:11497441:-1 gene:KYUSg_chr2.1915 transcript:KYUSt_chr2.1915 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSIGDSPPPLPIFRNRYWILRHGRSVPNERGIIVSSLDNGTKPEFGLAPQGVEQARCAGESLRKELEQMGVPVDSVKIRYSPFSRTTETARAVAGVLGVPFDGPSCKVTVELRERYFGPSYELLSHEKYAEVWAVDEADPFMAPEGGESVAGVASRLAGVLSSIEMELQGSAVLIVSHGDPLQIFQAVLGAAKENPSFLDEVTDLQKKNLVAPSVLSQHRRGTCILFGSLAPSGPVKTFYFGDMPIKLREGGDVAGSRGWMTLAKMPMIHGPIS >cds.KYUSt_chr2.38681 pep primary_assembly:MPB_Lper_Kyuss_1697:2:239772588:239773259:-1 gene:KYUSg_chr2.38681 transcript:KYUSt_chr2.38681 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLDKHLFGRSDAPTLNWEQRYNVAAGVASALNYVHHDYDQMVIHRDIKPSNIMLDAAFNARLGDFGLARALESDKTSYTDKVGLGGTLGYIAPECFHTGRATRESDVFGFGAVVLEIVCGRRNSYHHPAGCSQLLDEAWELHGAGSVLKAVDPRLAGEFDEADAERLLLLGLACSHPNPWERPNAQAILQILTRAAPPPDVPPSRPAFMWPVRSFGLVN >cds.KYUSt_chr7.24812 pep primary_assembly:MPB_Lper_Kyuss_1697:7:154644373:154655031:1 gene:KYUSg_chr7.24812 transcript:KYUSt_chr7.24812 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSFKSKEVDSAPRWSEYLAAEEPSPSASASWRATSVDGPAGGGPRHLQMEPVAQLSKVAEGLLAKMYRLNSVLDYPDPNTHTFSDAFWKAGVMPNFPKICTTLSKKFPEHPNKLQLEKVDKFALDALNENAEGYMRNLEQWVMLLLDLLEFREQVLRLILDLSSTVITLLPHQNSLILHAFMDLICSFVRVNLFSDKIPRKMILQVYNILHIMLKGGRDWEFYHRLVQFVDSYDPSVKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLVLPLFRDEYILLHENYQHYVLPKVLESKRLAKSGRTKQKESDMEYNIAKQVEKMLTEVHEQALVACDAIHHERRILLKQEVGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVVWYFQHVGIASSKSTRGRTVDIDATDPTIGFILDGMGKLCCLVRKYIAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKTQGENVPAITCDLTDLRKHWLSILMIVTSSRSSINIRHLEKATVSTGKEGLVSEGNAAYSWSRCVDELESQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACSFPECASAIIPEEVNKIGRDSISYVESLIESIMGGLEGLINILDAEGGFGSLEMQLSPEQAALRLNNATRVKAVPGLLAPGNESYPDNSSSVKMLEAAMQRLTSLCSVLNDMEPICVLNHVFVLREYMRDCIIGNFRRRFHNIIRTDNCLQRPSIIESLLRRHLSIIHLAEQHISMDLTEGIREVLLTESFTGLFSNLQVFDRPVETNGGGSAIEIICNWYIENIVRDASRTGVVFDATHNCFRSSQPIGGGYLAESFTDKRELKALVRLFGGYGIDRMDKMLKEHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDIETLADFCIQAGQAITFRELLVEAVGAVLEEKVPLIYSLLKGLTTQLPDEVPDKNEIIRLRRVASSVGVGDKHDAEWVHSILAEYNSANDNSWILLPYLCSAFMVSNMWSSAVYDVNTGGFSNNLHCLARCISAVVGGSEYTRIAKEQRMNSLSNGHTDELQEPELLSRASAESNIKSAMQLYVKLSAGIVLDSWNNTSRPHIVPKLIFLDQLCELSPYLPRSTLEAHIPYTILRSIYHQLYGASQMASEQMDPSPRQSPLISLAHASPSARPNRPDTTPRSHTFESGYHSSSGSQHDGGYEADRRTGERQLQSMRRSGPLDYGASRKAKFVEGSSSGSHGSGSLQRFAVSRSGPLSYK >cds.KYUSt_chr1.33748 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205077949:205081725:1 gene:KYUSg_chr1.33748 transcript:KYUSt_chr1.33748 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAGGYGGGAEHQHQHQHQHQHQHQLLLGQAAAGQLYHVPQHSRREKLRFPPDPAESSSPTPGSWPPPFYSYASSSSSYSPHSPTLAHAHAQLLPQGMPGGSQIPSHNFGLSLSSSSSNPPPQPRRHLGGAGVATGPYGPFTGYAAVLNRSRFLGPAQKLLEEICDVGGRPAQLDRCSDDGLLDLDAMDAAGDVGHEMDSSDRAAAEAVTVSGAEQQWRKTRLISLMEEVCKRYKQYYQQLQAVITSFETVAGLSNAAPFASIALRTMSKHFKYLKSTIQSQLRNTSSKAASGKDGLGKEEMANFGLMGGGAALLRGTNANAFSQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDSDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQGHKNSSTDKSQLGVRQQTQHSPDSSGRPPSDPSNSQKGQSSSMTRNHSAHASRHIQNELSPMTQDMPGQVSFAYNSGLAAHHHHHHNIAMSHPQQVEGVGGAGSSSGVSLTLGLHQNNNRAYIAEPLPAALPLNLAHRFGLEDVSDAYAMASFGGQDRHFTKEIGGHLLHDFVG >cds.KYUSt_chr2.9272 pep primary_assembly:MPB_Lper_Kyuss_1697:2:58383944:58388269:-1 gene:KYUSg_chr2.9272 transcript:KYUSt_chr2.9272 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPLESFWSFVNWCRDFSSTRVRCKLGGGGSAVFPSTPILQNSEPKQNREIPQQQRPPAMEKGKGLARRWAVELHDASSSSSAAFPDPPGFTRSAPEADDAATARQRKEAEATWKGQKAWEVAQAPFKNLMMMGFMMWMAGSTVHLFSIGIVFSALWQPFNALRSVGKVFEPFKDPRVDTLAPKLLFIALNLAAMGLGVWKEVEYAGGGIPLM >cds.KYUSt_chr4.24469 pep primary_assembly:MPB_Lper_Kyuss_1697:4:154063821:154066119:-1 gene:KYUSg_chr4.24469 transcript:KYUSt_chr4.24469 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGLKSVDGAHEESIWAAAWVPAADHRPTALLLTGALDETVRAWRPDDLAAASPPARGHALGVLSLAAHPAGVIAAAVSLDSYIRVFDVDSGASVATLDAPPSEVWGVQFHPKWISETLGHREVAAYCQPSCSTPRGSSPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTGCDDSHIHIYDAKEKGLIGAMSGHASWVLSIDVSPDGMAVATGSSDRTVRLWDINARASVQTMSNHSDQVWAVAFRPPGGEGVRAGRLASASDDKSISLYDYS >cds.KYUSt_chr1.19620 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115332969:115337666:1 gene:KYUSg_chr1.19620 transcript:KYUSt_chr1.19620 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAAARRSAQIRRLLSTSAPAAGAGSALRGPCIVHKRGTDILHDPWYNKDTAFPLTERDRLGLRGLLPPRVMSFEQQYERFINSYHSLEHNTKGEPESVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAETVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNQKLLDDKLYLGLRQPRLEGEEYLAVVDEFMEAVHARWPKAIVQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLTDFTKQKIVVVGAGSAGLGVLNMAKHAMLRMPGIHKVGELGEGHNQFWVLDKDGLITKGRKCLDPAVARFARGFGPEEVEDLHEGASLVEVVKKVKPHVLLGLSGVGGIFTEEVLKAMKESDSPCPAIFAMSNPTTKAECTPEDVFKHVGENAVFASGSPFSNVALSNGRKGYANQANNMYLFPGIGLGALLSGARHISDGMLHAASECLASYITDDAIRKGILFPSISSIRHITARVGAAVARAAVDEDLAEGCADVDPRELRSMSESDTVDYVARKMWYPVYSPLVNDK >cds.KYUSt_chr4.48792 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302169884:302174335:-1 gene:KYUSg_chr4.48792 transcript:KYUSt_chr4.48792 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGGNGEVELSVGARGGGGGAGGLVEPPVPISLGRLVLAGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGLVVQPCVGLYSDKCTSRWGRRRPFIMIGCILICIAVVIVGFSADIGAALGDSKEECSLYHGPRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGKYGPSAANSIFCSWMALGNILGYSSGSTDKWHKWFPFLRTKACCEACANLKGAFLVAVLFLCMCLVVTLIFAKEVPYKRIAPLPTKANGQVEVEPSGPLAVFQGFRNLPSGMPSVLLVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTPAQMSAFQDGVRAGAFGLLLNSIILGFSSFLIEPMCKRLGPRVVWVSSNFLVCIAMAATAIISWWSTKEFHEYVQHAITASKDIKIVCMLLFAFLGVPLAILYSVPFAVTAQLAASKGGGQGLCTGVLNISIVIPQVIIALGAGPWDQLFGKGNIPAFAAASAFALIGGIVGIFLLPKISRRSFRAVSTGGH >cds.KYUSt_chr5.30271 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191957343:191958994:1 gene:KYUSg_chr5.30271 transcript:KYUSt_chr5.30271 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCQEVPAKAVLPWWTTRLEESGVNNRNLRWQGPGSSLDAMLMVMAVSGAVECARVEELWGGASLGQGCDGEGGARVGLLNLKSSDPSSSWDEERSLLLRSDNHHGLTLLLLLILYFFHCLGRS >cds.KYUSt_chr7.29326 pep primary_assembly:MPB_Lper_Kyuss_1697:7:182534125:182534532:1 gene:KYUSg_chr7.29326 transcript:KYUSt_chr7.29326 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSRSGALDLGRLIRGLLGLLPLLLVLLWSEQSEKRSNAVSLNKLAVVDLLCLQGHHEVKVFMSGRGGKGEYQSSVARRSLAYLPDGLGGEGRKATRAVPGSGQEDLVCALAFGVEKLSAALLPGLPWRRGGSC >cds.KYUSt_chr5.8776 pep primary_assembly:MPB_Lper_Kyuss_1697:5:55619628:55623442:-1 gene:KYUSg_chr5.8776 transcript:KYUSt_chr5.8776 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVVHCSVGSVSLFHIGSFRPSREIQIRRFRGSERYSRLTSPSHHGLLQPQTAFRLISVYKSSWSSASRLRTLSAAAVETDVAVEGSSSPSGETSGESSEAAPAAAETTEKAEAGTRPAASSAPKLGRNVRKSEMPPLKDEDLVPGASFTGKVRSIKPFGVFVDIGAFSEGLVHISRVSDGFVEDISTLFSVGQEVSVRLVEANKQTKRISLTMRTGGDYVKEAPNAAGGGRSPSSAAPRSSPRQTKDYKKIDEEKYARGKSLTGIVKSTNRTGSFVTLPDGDEGFLPREEEAAALFTLIGHSGLEIGQEVDVKVLNVSRGQVNLTMKGGDNDEDELASLNTNLKQGWSRGTNAFELAFRKSKEISAFLDQREKITAPEAQAEVETESSVATSEVDSKVDELVEPPALSATEVESKEENSSSAEAVAGTIEEITPVAEEPEASVEEVPATASTESVDKEAAVETEEVAASSEKTTEVAAAGAGEASTTTATISPALVKQLRDATGAGMMDCKKALAESSGDIDKAQEFLRKKGLAAADKRSGRATAEGRIGSYIHDSRIGILLELNCETDFVSRGDIFKELLDDLAMQIAACPQVTYISIDDVPEEVAKKETELEMQREDLQSKPEQIRSKIVEGRVKKRLGEFALLEQPFIKSDKVTVGEWLKQTIATTGENMKVRRFVRYNLGEGLEKKSQDFAAEVAAQTAAKPPPAAPQKDDKPEESAETAEKKPAVAISAALVKQLRDETGAGMMDCKKALAETGGDLQSAQEFLRKKGLSSADKKSSRLTAEGLIGSYIHDNRIGCMIEINSETDFVARNEKFKEMVNDLAMQVVACPQVEYVSVEDIPESIVSKEKEIEMQREDLQSKPENIREKIVEGRIAKRLGVMALLEQPYIKDDSKTVKDLVKETIAGLGENIKVRRFVRYTLGEN >cds.KYUSt_chr4.37614 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231864522:231865535:-1 gene:KYUSg_chr4.37614 transcript:KYUSt_chr4.37614 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVGQVDLQPPRRSTLHTAARLSAASVVAAQAVADVAAIGWTECPIGSVAGFAGFGEDPPEPLEPMPRPADHVLSLAVRRARSKRTRGSAYPRRAASEKGKVKDEEEEEKGEIWRPPPSTPPLRVRSPTPPPSAPSSPRPQTMVPAPPSSPCRRQPKLEPILSSPPPPGIDSPPPPGSGSPPPPDSSSSLPPRWSRPTLAPLSAPPARFVSPLLPTPPVGFGSSQVPPPPGPGAPPTFKHHLQPAGPRPLWRPTVPLSNPAPCPGAPSVLPRQQAPWRWPLAPPRRAPPHMQHYQRPPPPWGWMRRSAPPPVPPQHPPRFEEQQHTPQPRQVIYF >cds.KYUSt_chr5.33566 pep primary_assembly:MPB_Lper_Kyuss_1697:5:212959918:212962881:-1 gene:KYUSg_chr5.33566 transcript:KYUSt_chr5.33566 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSPPPPPPPQDRWSILARIPKVVKDKEAKRTFPPGADVSVACDEPPLASLLTVPLRISPPPCLSSYPYVAAADSSGLLLLCATEPHAEVTYHLCHARTGEATRLSERPVDFYGARASVGLMVKGGSCVVAELKPATDGTGRAALLCYTVGQYKWVVKELDCSPPLHQDWSEEAVVSYGGMLWWVDLSYGRLLACDPFTDKPELLHVPLPPVLDQLPVESYTLNWGAHHCVMVSGGKLRYVQIHGSPDAPLVSTWALTEARKWNPERNVPLHDIWVEESYLDTMLPWSIPALALLHPADPDKLYFFLGSCIFAVDLRRRKVVEFSEFVMPDPANHYVMRSSHLVQAWQYDPSSTRCDSVLTCLRQEKEIAARSRAGRKIGNSLKRYRDNLIMQQQNDIKIYNPLYKETMDLARKKERRVGRRRRQAP >cds.KYUSt_chr5.149 pep primary_assembly:MPB_Lper_Kyuss_1697:5:996308:997432:1 gene:KYUSg_chr5.149 transcript:KYUSt_chr5.149 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCCNDTCCKKQLVDDIAGWIKIYDHGTVERPAPPPEAQQLATSISPYDVPCNGVTVHDIPADPPLRLYLPAAAPLNGRRLPVLLHFHAGSFCISDPTWKMYHSFYARLAASIPVAGIVSIALPLAPENPLPAAIAAGYSAIDWLKSLARSVLPNEPVPEPTYDPVNRLRDVADLSRVFLIGDSNGANLALQVAAGFSSAEPGYWGPVRLAGAILLNPGFTRSAPSRSESTDPMNRYMNRELVGRFLKLALPEGATRDHPYIWPVVDGAAAVPPLLVSIAMLDSLRDRQVEYCNAMRRAGKHVEVALSAGVDHIFYLKHGLVDSEPENDETAARIAELTTGKKLSAVHGSLPCASSALCRAEVLCRAPRARQ >cds.KYUSt_chr7.23765 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147980467:147983315:-1 gene:KYUSg_chr7.23765 transcript:KYUSt_chr7.23765 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKHFKYVILGGGVAAGYAAREFAKQGLQPGELAIISKESVAPYERPALSKGYLFPQNAARLPGFHTCVGSGGEKLLPEWYTEKGVELILSTEIVKADLASKTLTSAAGATFTYETLLIATGSSTIKLTDFGVQGAEANNILYLRDIDDADKLVAAMQAKKDGKAVVVGGGYIGLELSAALKINNFDVTMVYPEPWCMPRLFTAGIAHFYEGYYASKGINIVKGSYATGFDADANGDVTVVKLKDGRTLDADIVIVGVGGRPLTGLFKGQVDEEKGGLKTDTFFETSVAGVYAIGDVASFPMKLYNEPRRVEHVDHARKSAEQAVKAIKGKESGETVAEYDYLPYFYSRSFDIAWQFYGDNVGESVLFGDNDPAAAKAKFGTYWVKEGKVVGVFLEGGSADEYQAIAKVARAQPPVADLEALGKEGLDFAAKI >cds.KYUSt_chr1.20176 pep primary_assembly:MPB_Lper_Kyuss_1697:1:118875540:118883353:1 gene:KYUSg_chr1.20176 transcript:KYUSt_chr1.20176 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFKLEWGPAICMVLIELFTTGQMLLTKVVVDAGLFVFALLTYRFFLGASLVVPLAMIFERGKLKELKLKAFIWIFTSALVGFTIPGLYYIGLGDTSPGYAINFYNIIPIATFILAVLCRKEPLDMRTLVGNIKVAGTLVCVGGTLVISLYKGKVLHLWPTNIIGYYPKADGSKIGHHHVRGTVLLITSCLSLAVWYTVQAQMLKVFPYKYWSTVCTCFVGSIQMAVVGVIMNREKATWELKWNMSLLTIVYSAILNTAAKFVMISWVVTKRGPTYPSMFCAVSVFFTTVLDSLLLGHDLSVGRGKLKELKLKAFIWIFTSALVGFTIPGLYYIALGDTSPGYAINFYNIIPIATFILAVLFRKEPLHMRSLVGNIKIAGTLVCVGGTLVISLYKGKELHLWPTNIVGYHPKQAGTAFGHHHVRGTVLLITTCLGIAIWYTMQAQMLKVFPYKYWSTVCSCFVGSIQMAVVGIILNRQKATWELKWNMSLLTIVYSAILNTTAKFVMISWVVTQRGPTYPSMFGAVSVFFTTVLDSLLLGHDLSVGSILGIFMILAGLYLFLWGKRKESVSLGEENPKEEMQFQSGDENNRSVSNV >cds.KYUSt_chr6.11118 pep primary_assembly:MPB_Lper_Kyuss_1697:6:68957601:68960198:1 gene:KYUSg_chr6.11118 transcript:KYUSt_chr6.11118 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGEVAVVVLHTLQRLFKLDNVTHMASVELWVLVTTVLLVVRFVIDFSGPWFGNPRRMFIVLTLEILNQNLVIYTIGLMQLSGTRVNDYFQVWAVLLVTLQYSVKIGRPYTRSKQVPLLDLMSSFWSANLLRVQTFYLLRIPLWLIWSLNAVRIIVLFVTSGKGETKNQESMRLVTDYMSYEDSLTPVDGHGQEKPFMTGYKYLVHGEHRVLQEVQEGPREGKRSVSSCFRKKRTKRSERSYKIQLDPDRGHRQQLVTVEKIWDDTRESGLLGGRADPANKRKDLCLSFALYKLLRREFYDLPIHEQSRRGGKDKIRRLVFDYIIADRERAFRVTGVELSFLQDLFYSKHATVFAGGLMVPLRSLLLSLSLAAATGYIAYPARYIPERMDPADRNMITHGVFITRLMVAIIVLKELLEIILYVLSQWAKVLMLCKYVQHECLRRPVVERAMSFMLFFGSKAKWNQTVSQQNLLVTFRVLRPGVLPPLTMKMVLCRGVVHGTTDLKDYTKDALLCWLNKLKKEGLELDNYFYTAFDSSKDKVEWQWQWQWLSNLKVDTHIILVWHIATCLCEINFFDKQVQKLKVVRRPRPFVGEPNGALEQEESEKLLSQQYATAVTLSNYCTYLVRRALVPDNVLVAEKVIDEVIQEIDYVTSRVSPRGYSPKEHELQDVYDCLMVTVEKPCEIPFQYHTGGGHDTEVGGDQDDDDNKIAYDQYHSGGGTDTEVGGNQDNDDDKIPYLYHSGGGPDKEVGGDQGDDDDDLNIRCSLTKMGATLGKQLTEVYAGDKEGKLWRDLANFWMGFLLHLAANTRAATHRKHLAGDSELITHLWALLSHAGFRGNAIDGEAGPDPEDNPDFNQEVANQQ >cds.KYUSt_contig_817-2.212 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000077.1:1497206:1500417:-1 gene:KYUSg_contig_817-2.212 transcript:KYUSt_contig_817-2.212 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDSNHYGVFPQSFYGQHVVSFQTSAITNGPGAMPVCLDTSSGMNGNLAMLNTTSSTVVSTGSPNMISDSSQSLKYGGPMAVEWSYPELQMLNDGLHKYASVPGIMKYIKIAAMLPEKTVRDVAMRCQWMAEKQNTRRRRTEEHYVGRKIKDRKAISQDKMVESSLRTMNRPVQIDPRGSSFAAASDIDRAMLNVLEENAQLLNQIEANILTSQAQNNIDLFNRTRRNINDLLQSMSQIPGIMSKMPRLPVSVDEKLASYLLPGVNLVNFLPDLR >cds.KYUSt_chr7.30437 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189529530:189536056:-1 gene:KYUSg_chr7.30437 transcript:KYUSt_chr7.30437 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGGVLLLFIVFMAGVVLTFVLSSRRGHGRSAPSPPSVPLLGHLHLIKKPLHRSLATLAASVSGGAPAPLVSLRLGARPALLVSTHAAAEECFTAHDAALAGRPRLLVGKHLGYNYTALTWASHNAHSGLLRRILAGTLFSAPRLEERAADRLAEVTSLVENLVKDTGGGGAEVTLRPRLFELLLNTMLRVVTADGHAGDARVFQLFVEESFKVVGAPSVGDFFPALGWVDRLRGIEAAHARLQAWRDAFVGGIIDDHRRRPDAGSTGDRKSVIDELLALQKIDPEYYTDTILKGIVLILFTTGTDTTALTIEWTMALLMTHPELLRKTREEIDANVGTGRLVDETDMANLPYLQCVVKESLRFCPVGPLIPAHEAMEDCTVGGFRVRRGTMVLVNAWAINRDANLWSSPEEFRPERFLDAGAAPMMPFGLGRRRCPAEGLAMRLLGSTVAALVQCFEWDAGAVDMAEEMLLPGSRRNLFMNANAEMEEIPKGNKHFLNNILKGVKPSLIEMLKYNRPFLRFLQGKKGIAQGDEKMWNVIEGVWVEDALLLCQLRIPLLRSISIGLGLYEFVFV >cds.KYUSt_scaffold_1700.585 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:3640433:3641373:1 gene:KYUSg_scaffold_1700.585 transcript:KYUSt_scaffold_1700.585 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSASRMVLRAYDGQSRLEAARSARRWWPSLQPVRLALVVGTMNIDAESLLLATLAKSLVGLGYQVEVLAFSYGKAHDIWRTICRVNIVRIDKLKSVDWLKYNAVLVSK >cds.KYUSt_chr2.2705 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16176806:16178182:1 gene:KYUSg_chr2.2705 transcript:KYUSt_chr2.2705 gene_biotype:protein_coding transcript_biotype:protein_coding MASADMHVVMFPFLAFGHISPFVQLARKLVAAGNGVRVTLLSAAANVPRVQAMLGSSAVAVAPLSLPRVPGLPEGAESTAEVSADGAELLKQAVDGTRPQVAALLAELRPDALLFDFATPWVTEVAAPLGIKTLQFSVFSAVAGAYLMVPARRQLGAVPSAGDLQLAPAGFPPSSPLATIPAYQAADFTYVFTSFHGEPCVYDRVLAGVQASDALVIKTCFEMEGPYIRYLAAQHGKPVLVTGPVVPEPPKGELEERWAQWLSSFPDSAVVFASFGSETFLPAAAATELLLGLEASNRPFLVVLNFPRGADVEAELERCTPPGFAERTKGRGAVHTGWVQQQHILRHRSVGCFVNHAGLSSVVEGLVAGCRLVLLPMKGDQYLNAALFARDLRVGVEVARRDGDGWFGRGDVSAAVDTAMADGWEGEGTKWKEFLMDDAVQKRFGDDFVRDLTNFVRA >cds.KYUSt_chr3.43606 pep primary_assembly:MPB_Lper_Kyuss_1697:3:275140393:275149482:-1 gene:KYUSg_chr3.43606 transcript:KYUSt_chr3.43606 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSVVKEIIGRLTQPVIDEIALQWGYKGEVQHMVEKMKDLAALMHDADLRLRRGEENGQAAGRWLMKLKSVAYDIEDVLDDLEAPNSQPKFLLFNAVIDSLDLKEIEMVGRQFTWANSLPEPTYEKLDRVLMDHNWESKYPMVNVRALPRIERLSDHAPILLTTGKNISQCKRQFKFELGWLQREGFSDMVKRVWERPIAGSSPIQRWNNKLRAMRKHLGGWARHVAGLLKSEKVRLTTLIDEIEALAETRLLSPQEIDSKSQYNAQLAFMLREEELKWYQRSKVQFILEGDSNTRYFHSVANGRHRKKLIHSLVQDEGVVPHLVDGGLSILQYADDTILFMEHDLVKAMNLKLILSAFEKLSGLKINFHKSELFCFGEAQDVASQYAGLFGCGLGYLPIHRFAPFVVYASKDRESRPLYGGIFTCHILIQRITKPHKLNKVRKKIDELERENLTPRLVVHHEARPEVRRNNETFAASNRTGMVGRNIERDNIIQMLFNTEADENISVIPIVGLGGIGKTTLAESVYTDERVKSFFHIQAWVYVSKEFDLKNIGFAIMKSLDSNLNLDKCTLQLLHDNLEKGLASTRYLIVLDDLWEEDGDNLEKLKLMLQYGSKGSKIIVTTRNISVVRKLCVGNLANERKVCPVPESTQINLNILAPEHCWEVMKQRAFGPRDHGRSDLEKIGIQIAQNCGGLPLVLCALGQVMSESKDVKRWEDIRDTKIDLSLEDLYQKKILECLMPSYYYMKVDFKICFTYLAAFPKGSIMNTNRLVQQWDALGYIPHEYDGQICIDYLLGMSFLQISGSASVSVLPESFCRLIHLNDLDLSDCHDLSELPDCFGNLSELDSLNLTSCSKLQLLPESFCKLLKLRYLNLSYCMRLEKLPSSLGALKLQSLDISYSKLYDLPDSIYEMTTLTELVVVSAKDKVFLKASDIRKHLNLPEVIVHTVHQTENKECSSIVELEQLTCKELQVLQLQNVRHPEDAERAKLRDKTDLLRLYLSFGLQGEDDKSVLERLIPPRTLETFYLNRYTSKDFPTWMSDVSFYLPSLTLIKLSGLRTCDNLPPLGELPNLRNLVLVRIPNLRKIGKEFYGEAGGTCTKLRFIRLTSMENMVELWTTLSEWMGQLISLQEFYVISCQNLTSLPESTRNLGVLKKLYIWGCPSMVERCQGEDAHMISHIPEVVLQGKTIGMELQQAQGFAVK >cds.KYUSt_chr1.29959 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181382878:181385729:1 gene:KYUSg_chr1.29959 transcript:KYUSt_chr1.29959 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKMASDGLWQGENPLDFALPLLAVQIAVVLVVTQGLAFALKPLRQPRVVAEILGGILLGPSALGRWGAFRRTIFPEWSTAALDTVSGLGLLLFLFLVGLELDFGAVRRVGPRSVAIAGAGIVPPLLAAAGIVPLLDLAVPAPRDASYFSLCVFLGAALSVTALPVLACILKELGLLGVPFGETAMAAAAVNDVFAWTLLALALAVSGGGREPKGPPLAPVYILASGALFVVFTFFALRPLMARLARRAGPGGADGDLACSGAVACALLAGAVTDAIGVHPVFGAFVFGLAMPRESGFAERIGTKVAPLVSGLMLPLYFATSGLHTDVDSVQGVAAWGMVALVVAVAVAGKFGGTFAVAVAGTGMARREAAALGVAMSAKGLVELIVLNIGKEKKVLDDTTFAIFVIMALTTTVVATPLMTALYRQPPTATTPESDGVELKGGDACPA >cds.KYUSt_chr3.40635 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256316197:256319494:-1 gene:KYUSg_chr3.40635 transcript:KYUSt_chr3.40635 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGEPPNQRAALPLIAAPDAAPTVPGGRSDYFGRLPDHLLLRILVRLSTEEAAQTSALSQRWRGVWAQIPRLKFYLMESSVPAGALAVYGDHGELDINRVTVYSNQMDAQPTASWLSLAAPRLSGVLYFNNSHAMSRETLQLFLHDAEEAVMRRGAFELPCFKKAVVIRMDLGFLGIALPPAGVFHALRVMRLEHFWFRGQLTLIDTMLPSLRDLFIRRVRGLTILMLSSKYLLDIHLCLLPELRRLNILAPRLEELEVTGCFYVPESVARIGAERLEILKWEVSCVPELGEMPHLRELRVPPITTDWSEDFCADLNCFPAANHLALAIILGVSASSLSLGPNYAFTIQMILSLNYTTVCHGDRIVLCQHKIILQYEVQDFYSTDCICHKSRPFFNNSHTVSRETLQLFLHDVKEAVMRRGAFELPCFNKATVIRMDLGFLGTALPPAGAFHALRVMRLEHFWFRGQLSLIDTMLPSLRDLFIRRVRGLTILMLSSKSLLDIHLCLLPELRQLNILAPRLEELEVTGCFYVPEPVATIAA >cds.KYUSt_chr5.25976 pep primary_assembly:MPB_Lper_Kyuss_1697:5:164800419:164801021:-1 gene:KYUSg_chr5.25976 transcript:KYUSt_chr5.25976 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSSQARAIYENLMAETREAYQNRFLDYKKESLDAVRAFVEDTTKQFTSVNASIATVQASMGVELAAVQEALGADLAIIKGGLSTEISQLAATVGRAFQAPRPTPVEGAPGSVPRTPGVGDVGPHGHCVATNHRGMAPAPPPVGGNKMSPYFSDSVYYHGETSSITDANASAPQFIPIFFRFRVLPWRNSHGHCLPPT >cds.KYUSt_contig_60.201 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:1129135:1129873:-1 gene:KYUSg_contig_60.201 transcript:KYUSt_contig_60.201 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGWQPTPLRAVSGGTAQPVQVLLQIDGQRDQDRQLQELLQVLGVAADFATSGVRGCFERASGGAATTSRCCSEQSQALLCQQASVAPSRGRRCYKGWPTLLQRPADLATMARRRCCKREEADIATKGDHPCYEVRPTLLPWRSGAATNDGWFCYKGRQTSLPWRGGVATHGEASLLQAEALLSEGCGGATGANNIDASVDGEATSPATPCQVSPTKKMLQ >cds.KYUSt_chr4.13751 pep primary_assembly:MPB_Lper_Kyuss_1697:4:84710977:84712452:-1 gene:KYUSg_chr4.13751 transcript:KYUSt_chr4.13751 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQDQEKAAERGGGSGRGPVRAVCVFCGSRPGNRPSFSAAALDLGRQLVERRLDLVYGGGSGGLMGLVSKAVHDGGRHVLGVIPSALLPEEVSGETLGEVKVVRDMHERKSEMAKHSDAFIALPGGYGTIEELLEIITWAQLGIHNKPVGLLNVDGYYNSLLSLFDKGVEEGFIDEAARNIFVVADTAGELLTKLTAAAAAAPVDDDDGQKGAAAAGVKRKRS >cds.KYUSt_chr3.2876 pep primary_assembly:MPB_Lper_Kyuss_1697:3:16469940:16472862:-1 gene:KYUSg_chr3.2876 transcript:KYUSt_chr3.2876 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKLGYGHHHHDTAKLLKAVSRNVEPRNFGIGLVAGFLIVTCAYFSTAKFDAIHIALVSPEARNAATGIGTPVSAAQASSQRLGSDSPSPDLEDALSKQGSRAEVLDQDDDTGGKILPSPETDSGQSASLGNTRKDDIAREGAAPSGGGAAALLPPLSSEEPANGTREQGGVEDEELQVRDAIANSTKKSSNGSSPAVFQSDPATLPVPPVQQSPPAQQQETEVPPVQQIPLITEPNHTGPEQSASAPPREWKPLCDLASNRRIDWCELDGDVRVHGAQGTVTLVGAAKAEEWRVKPYPRKVDSNAMRFVREITVRSRLPGGAIGQEAAECSERRDVPALVFSDRGYVGNYFHAYTDVILPLFLTAKQYGGEVLFLVSDFQMWWLGKFMPVFKSLSNYDLVDLAADNRTRCFRHVQVGLTCHSDFSIDPRRAPNGYSMLDFTKFMRTTYNLPRDLAVPANANTRPRLLIIARARTRRFVNADEIVRAAKKVGFEVVVSEGTHEIAPFAEEANSCDAMLGVHGAGLTNMVFLPTRGVVIQVVPLGGLEFVAGYFRSPSRDMGLEYFEYRIARNESTLTDQYPPDHPIFTDPDGVKSKGWDSLKEAYLDKQDVRLDMRRFRPVLKKAIAHIRANKPLQ >cds.KYUSt_chr6.26576 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168359075:168364481:1 gene:KYUSg_chr6.26576 transcript:KYUSt_chr6.26576 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTDDAVAADIICSLRGADLAGWTPPWRNRAKDTDDAPPAAAPAELSWPALARGKRSRRRSPSASAASASAAKSARFSARSSPASPLDYSAGSVRSGASTSGGEDGAFCSPWHRRALTTAHTTTATTTKVGSIGRPQLTFQAPPPRPAGQRQRKKMRLPEVQQLVRSLAVENESLHEEMRALQRACSALSKENDKLETRIEQSNSQKETALKEQKGKQPLDQQPPHDSFALPDLNLPAQDNADSSSVHC >cds.KYUSt_chr6.11448 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71019387:71019686:-1 gene:KYUSg_chr6.11448 transcript:KYUSt_chr6.11448 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLCSPSKAVAAVAAAAASLAGVAYAYTDGGFSFHRQPAARPSMPGPSPGNNEFNPEFLERTEGLAMPCTACLENSRIQGDQIKADYPDKFPSATPRT >cds.KYUSt_chr4.14281 pep primary_assembly:MPB_Lper_Kyuss_1697:4:87978166:87987120:1 gene:KYUSg_chr4.14281 transcript:KYUSt_chr4.14281 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPLPFQRAAEYIAAIMASNTGASGWLRGKVKAVTSGDCLLIMGSTKAEIPPEKSITLSYLMAPRLARRNGVDEPFAWESREFLRKHCIGKEVTFRVDYTAPNIGREFGTVYLGDKNVAYLVVAAGWARVKEQGPKGGEQSPSLAELQRLEDLAKEQGLGRWSKEPGAAEESIRDLPPSAIGESSGFDAKGFVVENKGKSLEAVVEQVRDGSTVRVYLLPSFQFVQIYVAGVQAPSMGRRPPNPAVVAEADGTAEDTANGEDSVEAPAPLTTAQRLAASAVSTEIPPDSWGRQAKHFTETRVLNRDVRIVVEGTDTYNNIIGSVYYPDGDTAKDLSLELVKNGLAKYVEWSANMLDIEVKIKLKNAELQAKDEKLRMWNAFKPPVTDSKPIHDQKFTGKVVEVVSGDCIIVADDAAPLGSPLAERRVNLSSIRAPKLGNPRREDYQPVKFARESKEFLRTRLIGKQVSVEMEYSRRISTMDGQNGVPATKMADTRVLDYGSVFQASPSQAGGDDTSSIPSTGNQPRINVAELLLSRGFANISKHRDYEERSHYFDVLLAAHSRAEKGKKGLHSDKIPPAMHITDLTMVNAKKAKDFLPFLQRSRRHTAIVEYVFSGHRFKLTIPKETCSIAFSLSGVRCPGKDEPYSSEAIALMRRMILQRDVEIEVEAVDRTGTFIGSLWESKTNMSSVLLEAGLAKISSFALDRIPDAHVLTRAEKSAKQQKLKIWENYVEGEVVSNGAASASKQKEILKVVATEVLGGGKFYAQAVGDQRVSSIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSLDNSWNRAMIVNGPRGAVESVDDKFEVFYIDFGNQEVVPYSRIRPADPSISSSPALAQLCSLAFIKVPGLEDDCGQEAAEYLSERLLSSSKQYQAMIEERDTSGGKSRGQGTGTILIVTLVDPETESSINAAMLEEGLARLERSKRWDTMERKTALKNLEEFQEKAKKQRVGLWIYGDVDSDEDEQAPGGRKPVARR >cds.KYUSt_chr5.11621 pep primary_assembly:MPB_Lper_Kyuss_1697:5:75359638:75360307:-1 gene:KYUSg_chr5.11621 transcript:KYUSt_chr5.11621 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVFGIRDCAPLAIVPCRIARVGEANLRSRAVSISVTFEAALYVVSTKTTEEAIRIFTDGLKPVKGVRKMGSSTTDSSDDDVELDSSENSTPRVGGGTGCRRHGRSIKRDIATAPF >cds.KYUSt_chr7.15452 pep primary_assembly:MPB_Lper_Kyuss_1697:7:96093269:96093874:-1 gene:KYUSg_chr7.15452 transcript:KYUSt_chr7.15452 gene_biotype:protein_coding transcript_biotype:protein_coding MESNYGLAASKMVVKRWGSIPARASARTGRGRRAVASKMVAKMGFNPGTGLGKDGQGVGAPLQGVSRPIHAMLGSVSEHTPSSKARRTFPCLRRPPQAMEVTQAVDVQTRSRAAAVAKRTRRPLLPSPLTAAAADSTIADGSESGGSSYLKLRNHMLFMAPPLPSNPEPAHDSEAGYGAPLPVGLSRCSSMVSSVDASSAA >cds.KYUSt_chr1.31175 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189111857:189115255:-1 gene:KYUSg_chr1.31175 transcript:KYUSt_chr1.31175 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGEAVLSAFMQALFEKVISTAFGELKLPQDVAEELEKLSSTLSTIQAHVEDAEERQFKDKAARSWLAKLKDVAYEMDDLLDDYAAEALRSKLEGPSNYNHLSKVRSCFCCFWLNTCLFNHKILQEIRKVEEKLDRLVKERQIIGPNMVSTMDRKEIKERPETSSIIDDSSVFGREEDKEIIVKMLLDQKNSNSNHANLSILPIVGMGGLGKTTLAQLVYNDTRIKNHFQLRVWLCVSENFDLTKLTKETIESVANEFESVVSWVSSVTTNMNLLQEDLSKKLEGKRFLLVLDDVWNEDPEKWDTYRRALVTGGKGSRIVVTTRNKNVGNLMGGVTPYYLNQLSDNDCWYLFRTYAFVDGNSIAHPNLEIIGKEIVKKLKGLPLAAKAIGSLLSSQGTEEDWKNVLRSEIWELPSDKNNILPALRLSYNHLPAVLKRCFAFCSVFQKDYVFEKNRLVQIWLALGFIQPQRRRRMEEIGSSYFDELLNRSFFQHHKGGYVMHDAIHDLAQSVSIHECLRLDELPNSSSSGRSARHLSFSCDNRSQTSFQAFLGFKRARTLLLLSGYKSKTSSIPSDLFLKLRYLHVLDLNRQDITELPDSIGSLKMLRYLNLSGTSIAVLPSSIGRLFSLQILKLTNCHELDYLPQSITNLVNLRCLEARTELVTGIARIGNLTCLQQLDEFVVRTDKGYKISELKEMMEIRGHISIKNIESVASTEEASQAFLSEKAFINILDLIWSDNRNLAPEGPNEDREILEVLRPHHELKELTVKAFAGSSFPNWLSSLSHLQTIHLSDCTKCSILPALGELPQLKYLDIGGFPAIIQIHEDFSGSNEVKGFPALKELLFEDLTNLKRWASVTDGEFLPSLTELAVMDCPQVTEFPPLPSTLVKLKLSETGFAVLPEFHIQNSQISSSLECLQIHHCPNFTSLQKGLLSQQLLALQQLTITHCSDLADLPLEGFRSLTALKSLHIYDCPRLAPSGQCSLLPSKLEDLRISSCSDLINPLLRELNELSSLTHLAAADCPSLNSFPVKLPVTLEKLEILNCSNLSYLPAGIEDAPCLTAISILKCPLIPCLPGRLTKSLKELYIKECPFLTESCQESNGRDWRKIAHVPIIEIDDDTNIPNKSIRRRLS >cds.KYUSt_chr1.32492 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197318211:197318771:1 gene:KYUSg_chr1.32492 transcript:KYUSt_chr1.32492 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHHLGVSFSGDVEELVAMVFLGEVAVADVVTSLGIFVLCLRFRLDFDFSVDVEERWRILYRGLVSGAAVPALVLLRAGASSSPAGKVRGVAPADVLPSSPRFRLADDYCGASTRLVLYSTEQAAQWLFLSLVCRRISGETTVWKVAAAVWARKVPGSCCNFLVLQGLFCKSGQLSSFWLYPMFI >cds.KYUSt_chr6.24516 pep primary_assembly:MPB_Lper_Kyuss_1697:6:154844157:154845308:1 gene:KYUSg_chr6.24516 transcript:KYUSt_chr6.24516 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRHLPGGLVVLGRNWAKTFYKTARCDELFCEYLFSSPFLADGGVAAAGGLLGVLPSPREHTYSEARRAPPSVSNPSLSFLAGDSLGSLASVTSGGILRYCTTPPRYTLHRNTSALIEYAFVLRITVTDA >cds.KYUSt_chr4.18305 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115168219:115173411:1 gene:KYUSg_chr4.18305 transcript:KYUSt_chr4.18305 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCLRGKGGAAAAAAGEALRKAAPWQRAASASYHHTIQAVPRETAGPRAAARERRNGNVPAVLLSLAGSGPGEGVAHRKLLTTDRKQLGEMLKQSPYFLSTPVGLQVRAGERSTAVVHSGTVLPIKVQTDETTGNILNLVMVEADEGTMLKVNLPVVFKGEDICPGLKKGGFLQKIRTSLVYLCPAEHIPPKIEVDLTNLDIGDRVLMQDIPVHPSLKLLSKNETMPVCKVLSSKPAE >cds.KYUSt_contig_786.92 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:564622:568419:1 gene:KYUSg_contig_786.92 transcript:KYUSt_contig_786.92 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSVNPGRRASEGGLPTVAGLLHPKSRSPPVLTIALLLLGVIVLIAYFNSGSGSCTSEVIQALPYLKKAYGSDMQKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDADSSCKSLVRKGFVRMSDIKFTLPYRPDSFNLVVVSDALDYLTPRYLNKTLPDLARVSTNGLVIFTGNPGQQKAKVSELPKFGRPAKLRSNSWWTRYFIQTGLTENEGPLKKFEQAASKSNYKPDCQIFHLSS >cds.KYUSt_chr4.42420 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262496845:262499758:-1 gene:KYUSg_chr4.42420 transcript:KYUSt_chr4.42420 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSHDPAAEKLIGHEDDTELTPCEGMEFESEDAARDFYSLYARQAGFRIRISRYTRSRRDNSIISRRIVCSREGFHETRNCESLHPDKKQQERTGARVGCKAMIMVKKIGPGKWVVTKFVKIHNHGSVPPRKHDIRSADQDLNPIENPHSNDVEPIEEPVEGMEFDSEEDAKSFYINYARLNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRAKKEITDDGKTKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLLSSKLAPNTSNITSEEVAEFTGMCSDPNEVKAERYGAEIQCNSTDSLTALYNNLCQEAIKFAKEGSVTEDIYHVAMSALKEAAVKVAQVKSCHPVMQEPTSKVLQVKTMDASQCSDEVRKKITSQQLKLFQEPTSNLVLVPTNPSTDSGTNSNNSAQLSCAFPANEGQGRDGLERSYLHAEDRKHDSSEKYQNCTSNRATQGNHASLRRPSEETGVAIPAIPLTLYMPVMRNSPGVRAFSDICSFLWATDGQYKVLAAPIEAVPISYRPAEPIRQPKRSYGNIGPLPGVMSELTRRGEGPNSLVHATAVACGARVVPLQEAASLIKAIESKIRSGGAKIARLPSSSLRHLLPEADSMSSSSEDGEENDHSEQLAVNAENYCRDDQISKEMRLHGEPSSELETDSENG >cds.KYUSt_chr7.17254 pep primary_assembly:MPB_Lper_Kyuss_1697:7:106915588:106916188:1 gene:KYUSg_chr7.17254 transcript:KYUSt_chr7.17254 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFTGSGIEDGTTGTNTTSTTAMEHRLELDSLQLGGEVRREAGWRLKETKEARRHRRTACGGVEGARGGGGVGKALTLKWLLRAHVFRSRDPESPNGSSREAAGSVQRRAELTLDGATGEQP >cds.KYUSt_chr2.34894 pep primary_assembly:MPB_Lper_Kyuss_1697:2:215158311:215163192:1 gene:KYUSg_chr2.34894 transcript:KYUSt_chr2.34894 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAPAGGGDIAGRLADPLLAASIDDGDIVVSAKDRYWVPSDEKEILARQDCAAGTPPLLYRTFRVKGFLINLYRLLTLIRVMVVILFFIWRMEHRFSDSMWLWWISVVGDLWFGVTWLLNQVTKLKPRKCAPMLSMLREQFDSSNGISDLPILDVFINTVDPVDEPMLYTMNSILSILATDYPAEKYATYFSDDGGSLVHYEGLLETTKFAALWVPFCRKHCIEPRAPESYFERNVQPYTGNAPGDFIDDHRRIRAEYEDFKACLDGLSSVIEERSKARNSANTKGRHVNATWMSDETQWQGTWIEPAKGHKKGHHPAILQVMLNQPSTDPQLGKPASSDHPLDFSAVDVRLPTLVYIAREKRPGYDHQKKAGAMNVQLRVSALLSNAPFIINFDGDHYINNSQAFRAAMCFMLDPRDGDDTAFVQFPQRFDDVDPGDRYCNHNRMFFDATLLGLNGIQGPSFVGTGCMFRRVALYGADPPKWRPDDAKDKVLQRPNVFGKSTAFINSMPSAANQERSIASPATLQETSATAELDDVMTCAYEDGTEWGNDVGWVYNIATEDVVTGFRLHRNGWRSTYYAMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSRFCPLLAGRRLRPMQRIAYTNMTTYPVSTFFLVMYDLFPVLWLVHGQFYIQRPFQTFALFVAVIIGTVELIGMVEIRWAGLTLLDWIRNEQFYIIGTTGVYPMALLHILLRSLGLKGVSFKLTAKKLTGGARERLADLYDVQWVPLLAPTVVVIAVNVAAIGAAAGKAIAGRWSAAQVAGASTGLVFNVWMLLLLYPFALGIMGRWSKRPYILFALQAAAVAAVASVYAALAGSVLYFHS >cds.KYUSt_chr4.23414 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147408315:147408611:-1 gene:KYUSg_chr4.23414 transcript:KYUSt_chr4.23414 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGDAPVMVLGEAETRRYRYAADEEARRCWGYVRVGEARSRMSARWSKSGEAGLAEVNEVGAAMAPMRDSRTKLAGGWGRQRRDSGKRQGVEYQST >cds.KYUSt_chr3.23100 pep primary_assembly:MPB_Lper_Kyuss_1697:3:143009648:143010066:-1 gene:KYUSg_chr3.23100 transcript:KYUSt_chr3.23100 gene_biotype:protein_coding transcript_biotype:protein_coding MREVWHLRKEEDAGKTEDEWVILRQSDNGNEIKALVLMVDGVASAQRHHLRHRNSCISWSNTSTGRLKINTNTSFISENGTGHWEQ >cds.KYUSt_chr3.48080 pep primary_assembly:MPB_Lper_Kyuss_1697:3:301048013:301052199:-1 gene:KYUSg_chr3.48080 transcript:KYUSt_chr3.48080 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRQRKKQRELERQRNRDAMLPPDAVPFAWTTAEHRRGKAAVALDSPEGSARQLPVDVNYQDALGMMAQSYSIHPVSIQTLENFLVAHPLVHTKRPFGSAISIQVMKADGRFLLLAVMDEISEQHSENISWDGNFSIADILIVKYKYSIKMKIQKEPVKVQESAGQLQAKVNDLKRLAAIFLPLYEDAKGVLPVFLDKFKQDLEEVTTGLVEEKWFMEYLTYHVGIMPTTARRNFATSLISKNFNILRSIVLSKPSAYPNSWKTIVKSTKNGSITLLHDVYYHDNPCTAERPDKYESTSGLMLQKPQEPPPSPDGGSTLRMVEADPAMLSKILIMVGPTDNVQERSDDDVARANLAVPSRRTSANAIAAQKKVCVAEFTILTEKEGRRCSPDDMLAYGRRLSSLVDDCPDAFSCLTRLKLENLNLGESDFPRIFRLCKLLQFLHLDNCDMGFGSLLEVEHPRLRELEVFRSDFERVDLNWLPELTTLAFSTWLSLDDPLSFGYVPQLHTVSIRNPALSWQKMLKLSEFLGKATVSNLTLGFEKEKIWVKPEDPRELSRVFSKLRLVNLAAISNECDLTWTVFILQGAPSLEELCIRVCDCSGVRNKEKRKNLGYSEERKDADAKWEASDFKHNNLSVLRIFGFQSEDKFVGYARAVMHIAVNLKDIYFHEKPACKVECAYIGRRSNKYPRSVDGEDHA >cds.KYUSt_chr6.28856 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182813110:182818280:1 gene:KYUSg_chr6.28856 transcript:KYUSt_chr6.28856 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAATETAPVAAAEQKSSYRYWVREATGDAAPLPVPRKLDAAAAAAANGTGNGNAPQALGSVWNQAGTWEEKNLNSWASSRIKDLLGSLSSLDFSTGKASIDEVSKCSGDAYLVTVRNKKRVGYNYELSLRFKGEWLIKEEQKKVTGHIDIPEFSFGELDDLEAEVRFTDSLEWDDKSRISKDVISFLSPIREKLRTFELELKDSFYNSWSSVVRQRVDVATGEDSAAAGNNASMIVEAVCVA >cds.KYUSt_chr5.20073 pep primary_assembly:MPB_Lper_Kyuss_1697:5:130420944:130422630:-1 gene:KYUSg_chr5.20073 transcript:KYUSt_chr5.20073 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSRLPNPIGRIWRILCFGFFVDLLWWQERCLARDLERGISINKAVLPIFWRLVVLVLFCGTGRLLVKLSVKLARWKLDGHGGSGMAFFNKQTMVVLFFSCSSFVLLLPLDCRGGEGLEDFDMVGFSFGRNQGKSCTANLWSSSSVASQRPTQSAGGQRLRALMQTVRQVSDQPPWRRPFEGFLLAFIALAAPSGSVPGAAMRGRQWSSFYGGEDGPDCFSCNLSRGKIVYGLSPIDWSRPNNWFYPSSPAIHPSRITRRSGRRQVHPLPRVVDLPGISVDGGDESGGGFFYGSCPTAPLLIRRITSPSVSPIALLQPERNPRPPERSSPPAPHLVPELLPLCLGKLPVAAAEEEIALV >cds.KYUSt_chr7.39940 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248055030:248061351:1 gene:KYUSg_chr7.39940 transcript:KYUSt_chr7.39940 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSKMPAMLWLLAAVFTFGATVGLAQSQGSNRNYYDFFVKKTVYTRLCQRKALLTVNEQFPGPTIAARKGETVTVNVHNQGDKNHHHPLVIIHTHGVDQPRNPWYDGPEFITQCPIQPGTNFTYTIILSEEEGTLWWHAHSDLDRAGVHGALIVLPERGKEFPFVKQPDKEIPIILGEWWKADVNDLLNTYLKTGSEVNISDAHTINGQPGGLFPCSEDDTFNVPVQSGKTYLLRIINAGMDNDMFFGVAGHLLAVVGTDGRYLKKFSVKQIMILPGQTMDVLLAADRATNSNGRYYMASRTFSSNPALAHLNGTATAILEYTDAPRSTRAAQPDFPNLPDSDDIAVAVEYTGQLRSLASKEHPVDVPNKVDQQMLITLAVNVLPCATGPGTCQGPGGQHLAASLNNASFEDPKIDILHAYYYSIKGVYEEDFPNKPPVFFNFTDNEVSKGYRFTRRGTKVTAVDRRRGLGTFNVTTDPANYNLDDPPYQNTVTVPKAGWAAMRFRATNPGGTRFCWSSVSLHCFAPQGRQPTLVPMGAKYIVGSLVGSCVIAYACDYIVSQKKIFGGTIPGTVSDKEWWQATDTKFQAWPRTAGPPVVMNPISRQNFIVKDLFAVEACAGVEWRCP >cds.KYUSt_chr5.11921 pep primary_assembly:MPB_Lper_Kyuss_1697:5:77478400:77481646:1 gene:KYUSg_chr5.11921 transcript:KYUSt_chr5.11921 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQRRRPPPSLPDHLLELILARLPPEDPSCLLRASLVCKPWRNLIHASTFFLHLLDLGRAPQMLGFLHDSDFEGRLEYVRTSPLSFPFPLPDRDCWYLLGYRHGRALFHSRGTDDNLSLLLWVPFTGQRTEVAVPAAFHSERTYATVICAADACHHRACHEGPFSIVLVFTDPEEQDADRRYFTSACVYSSETRTWGKVVSASGVCLICDTPALLVGNSLLYFLSNCGRIVEYDLARRSLAWIRPPYRDPNAALYKRMMLVQVGDAGLGVVLVQPDPDTHGFSDGSDCLCVWSRKSNQGGRAEWVELGVIYLQDSISHVVSTTSVGITAFLIGFAEGANTVFLTTREDDAIFTVDLQSKQARKVSQCTWTIDRLAPVLTSYTSASTLQAPQGEHRSLTAFSSSDDEEEEWDEDQRRLMFGMGLEAIERGRFVAAVDYLRKALELRFGVYSQTPFLLTALNDFPLFSCALCFEAFQALSFVVWDATLVGFRWQNSNRKYQEDRNGDGDKDDIKAACNAIDSDLDLALHMLEVARGIVEESKVNTVEIFRILSALTEVFTEKRKR >cds.KYUSt_chr4.46129 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285044445:285046007:-1 gene:KYUSg_chr4.46129 transcript:KYUSt_chr4.46129 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPAGRVFQYLSPSRRPPPSPLPEIPAGGDALASDADADSVYRIVTAAPTPSAMESALSASAVPLSAPLLDLVLRRFRFAHGDPLRALSLLSLAADRCGVTPSPFALDTALYVLGRARRFSHMWDLVHSCRRLCPDAVTPRTAMVVLGRVAKICSVRETVSSFRRLLRLFRGREGGADSADLFNALLRTLCQEKSMSDARNVYHAHKYEFQVNRQTFNILLSGWKSSEDAEAFFAEMRELGISPDLVTYNSLIDCHCKNRGVEKAYSLLDEMRASEIAPDVITYTSLIGGLGLVGQPDKARDLLKEMRELGCHPDVAAYNATIRNFVIAKRLGDAFALMDEMASKGLMPNATTYNLFFRCYYWAFDIGSSWLLYERMRSERCFPNTQSCMFIIRLCHRHGKVAEALELWGDMVKNGFGSFTLVSDVLFDLLCDEGKLEEAERCFHQLTDLGQKPSSVSFRRIKILMQLAKREEAVARLTEKMAQFVRLPAEDCQRVHHTTESTPHNGGGVQADIITAT >cds.KYUSt_chr6.29063 pep primary_assembly:MPB_Lper_Kyuss_1697:6:184026341:184028641:-1 gene:KYUSg_chr6.29063 transcript:KYUSt_chr6.29063 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAWSSCTSSTKTCSVQDATLLGAVKERRHACLGLARAPRRGDERLGRLNNLANRAARARAASKAGTPFATYRPRWSSGRPGCMKNETIMFCAATNPIPAVITVHRIREPKPDRSGCYLPSGVDWPPEFVLPSAVASAVSVDLHDKRRPRPRAPTVSISVHNPLFGQIRAPPRRLRRRIDGGPVYHRSDEKMVAEAAFVAFLLFVFLVPFER >cds.KYUSt_chr4.26238 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164986350:164988224:1 gene:KYUSg_chr4.26238 transcript:KYUSt_chr4.26238 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPFVFLSHPGLASQQPHDDLSLECISRMLMEEDIVDKFFYQYPDHDALQQAQHPFAQILSTSGAATSHAQQPSAMLPGEGSSPQGKSSAFFFNGKDKMEPNSNMDVLSSMAFFRGMEEANSFLPTNYGLVDCSGRKNMSHSSNAEVETEPPRRSNQIVVLIQPESKEEEAACKILDQLMLGYAICSYEVHTDMEKENKAEQQRICKKAPCARYGPRLLAVDDLETLLVRCAEAVATNDRRSACELTWRIKRQSSPIGDATQRLAHYFAEGLEARLAGRGSQLYRSLMAKRTPVVELLKAYKLIMSVCCFLRVFFLFTNKTIYNAVMGRNKLHIVHYGVNDGFQWPHLFRCLADRDGGPPAVRITGITSPMPEFRPAEQIEDISPRPGFRPAEQIEDIERRLANCARQFGVPFKFRAITAKSEDVRVEDLNINPDEVLIVNSLLHFRYLMDESVVINRLNPRDMVLNTIREMKPAVFINAIVNASYNTTFFATRFRQVLHNFAAHFDMMETTVPRDNHERLLVERDIIARCAMNNIACEGRDRVERPQNYREWQIRKQRAGLRQLPLDPDIVQMLKAKVKKQYNKHFMINEEHQWLLQGWKGRMLYALSTWVADDGSSSQVT >cds.KYUSt_chr2.27251 pep primary_assembly:MPB_Lper_Kyuss_1697:2:167148857:167149336:-1 gene:KYUSg_chr2.27251 transcript:KYUSt_chr2.27251 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRPLPGTLAQARRRLAPLPEPRRHALPGRRRALSHKLAGRSPRCLSPVDMRLLCYGVNGRCSGSPAPPRPDREQLSVVHWTPCPRMTSSPLAHRLQPWSPAWSLGEARSSTCLSAPVTIDDAIPRMSSLSLGLANNVDAETLKMSRVMSGVDALLT >cds.KYUSt_chr5.23199 pep primary_assembly:MPB_Lper_Kyuss_1697:5:151258498:151258917:-1 gene:KYUSg_chr5.23199 transcript:KYUSt_chr5.23199 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRWRCPNQLTSAPPPPVAADLLRTVAALKAIWVRQAEEAKLKSEADTTAAKATFRVLSVSAHTDQPPKDPEPSSPAQPASFPALCAASSNSDSDIRRRPSPHPAPRWVPSTLSSPRPPARESPVAPRRAGHLHRRR >cds.KYUSt_chr1.39102 pep primary_assembly:MPB_Lper_Kyuss_1697:1:238991789:238996814:-1 gene:KYUSg_chr1.39102 transcript:KYUSt_chr1.39102 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYRGNNYTRPVKSQTPDGTSSPRKAAEDGTLFIPDASGTGEDDNQAKGLTAQHGNVPRLDIHNTDDMTEDELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKAPFRLLPTGMRTSLTNTELTNLRKLARNLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKLMSEEIKNLTGGTLLLRNKYYIVIYRGKDFLPTTVASALAEREELTKDIQSMEEQRRCISIAHSPDDGLDGHALVGTLAEFQEAQARWGRDVTSREQEKMKEAALRSEKEKLFRRLEHKFSIAQAKIHRAGKLLQKIEASMILANPSDDREMITDEERSVFRRIGLKMKAYLPVGIRGVFDGVIENMHLHWKHREVVKLITKQKTLAFVEETARLLQYESGGILVAIERVPKGHALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIDQLESNMKQMKRDLGMEDYDEEDEDGSDSENEDNAAMSGSYDEDQDDFDESSDDDEFGDYDEDKDGGMDG >cds.KYUSt_contig_60.105 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:588471:588863:1 gene:KYUSg_contig_60.105 transcript:KYUSt_contig_60.105 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVAPLLLLLATAAEGLGVNWGTQASHPLPPKVVVQLLRDNGIKKVKLFETNLDAMRALAGSGIEAMLAIPNNMLHDIAQDSGAAKDWVKRNVKRFDFDGGVVIKSALLSLSLCIGRHCRASFHTHF >cds.KYUSt_scaffold_869.1790 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:11441391:11444285:1 gene:KYUSg_scaffold_869.1790 transcript:KYUSt_scaffold_869.1790 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGRCIKQRRRPTVYATNMRLTDQGVVALRKELDVGKQVPSVEELRNGHLFHLECISCGHTWFSSRDAISSLTVDAPSTGGNVGTAPWATAKFDVLQKQLASPRDQPDKQLGTDALQKSTVAAMPKLEKQKSFIRPKPDEPSSMSTTLQKQKSFTRPKLEEPAAHSTSHE >cds.KYUSt_chr4.13391 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82583600:82587658:1 gene:KYUSg_chr4.13391 transcript:KYUSt_chr4.13391 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEKTAEDIRRELQELQRQHREISERLRDPRGLRRGAPPTGPGGPRPIRGFQRPAPEPVDQPEPKRRLLSAVVKVRALDANSAAAAEGRENGGSVAAEGAERRGAGNGGFRRDGTQWVSRRELDKALPEPLPRQFPKDDDQSLVRRNRRMLGKLLVGTLEKFQQEDKKLSNSEAFMRRSEAQQKADQKAREDSERLRQQEREQAAEKRRRDMTLRARVAAKAEEKRLELLYLQWTEHHKKLSNFLRTKAEPAIYYMPVKPLSDDPAIVEQTKEKTFEEWKSVRRAELNQFQKQVEEHYLSNVEKQLERIQNARNARKGNVPANMQEMDKELDTHRAEHGPKARRIPDGDNDEDEDVDDMAVEDYLMDEVLGVSEDPTKPSEETTDVAPVPDEAQ >cds.KYUSt_chr2.1072 pep primary_assembly:MPB_Lper_Kyuss_1697:2:6242315:6243346:-1 gene:KYUSg_chr2.1072 transcript:KYUSt_chr2.1072 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRGHVTTAMELLQDSIPMVSLAVAAAALYARASSSLLRPGLTRLIALLPLFPLLVAAPLAFPSSAIVRGLAAFFLAWLCTFKLALLAVGRDPLDPALPVLPFLFTALLPVKLAARASKAKPVSLVSCALKVAVIAAVVRLYQFNDRLHLYARLALYGVHTYCFLDLLLPCIAAAAAALGMEAEPQFNRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRALAGIPAAVLATFAVSALMHEAMVYYLSLQCRSDGMMAAFFLLHGVCCVVEGWCSRRWPAPPRAVATVLVGLFVTATSFWLFFPALCKDGVEEKLLQEWAAVAAFFQDAGGKILWSMVRST >cds.KYUSt_chr4.15586 pep primary_assembly:MPB_Lper_Kyuss_1697:4:96304342:96305136:1 gene:KYUSg_chr4.15586 transcript:KYUSt_chr4.15586 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGFQLCTTLWTTAVAAPRETRHKGVRVPEIPGHRASTRHPSLLHRLHSTAFSFPFSISFAFLLGGASAPAGAFSATRPLRRAASILPQSRCPTHHQQQKAMNLASTFNKLLRRKRSDSATAGTRETEAPVARDDYDSSMDAPTAATRPSLNKPKLTRILAAAYSLEALFRNATEKKKVPGGATRLARCGRGLPLLCSVHGRLGGLRAVPLRRQGAIQSADVAVVAYLTKRRFLKDTTGEARATAAVGNATSPRTLRSSGIC >cds.KYUSt_chr3.30232 pep primary_assembly:MPB_Lper_Kyuss_1697:3:189296406:189299762:1 gene:KYUSg_chr3.30232 transcript:KYUSt_chr3.30232 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSTPESNIRPFHFYSGLVRPLFRALNPISQHTPRVRFSHAGTPFHACSAAPPARLCPVAAVDDSPCLGHCRGGKTGICYGRNADDLPSPDKVARLVQQQSIKYVRIYDTNIDVIRAFANTSVELMVGVPNADLLAFSQYQSNVDTWLKNSILPYYPATAITHITVGAEITESPVNVSALVVPAMRNVLAALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAHFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQGVIDPNTGLVYTNMFDAQVDSIFFALMALNFKTLKIMITETGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFSPDQSAIYSLDWTGRGNVDIMTGGNITGSNGTWCVASTNVSETALQNALNWACGPGDVDCSAIQPSQPCYQPDTLISHASYAFNMYYQQKGATDVACSFGGAGKDYQHKFNPTSSSKRIQSVIAGPMLYLAAPYASHCDRVRLPVILGLSSS >cds.KYUSt_chr4.22403 pep primary_assembly:MPB_Lper_Kyuss_1697:4:140761953:140765023:-1 gene:KYUSg_chr4.22403 transcript:KYUSt_chr4.22403 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRGVLGFRWGPIAYTIGKGFGQRKGSRSPAKLAKSSKPKSRSEDGDALMGNIGSRGGSNPPPPTPPPHLDGRGHGVPPPYYHRYPVWPPGPAAPPPVPFPAQVERQRAVSVHAGVNIKGDTLRLERDDDGRRLLAFSFDADAPGRFLLVLFLDSCITVYFFAQEDDDSVLKATKENMLQPVRVTFKEGKGQEFKQPSGTGIDLSMFEESDLTKVGEDGVFPVAFKVEVDVSSNQDLEREQDAEDSKSLAKFAVFVKKDNSEYGIQVVQQILWVNGTRYVLQEIYGIGNRNTADKNVDEDDSGKECVCLCMECAQLVRFQTNKCPICRQPIERLLEIEFDSKPMPHQGDQ >cds.KYUSt_chr3.4705 pep primary_assembly:MPB_Lper_Kyuss_1697:3:26736882:26738282:-1 gene:KYUSg_chr3.4705 transcript:KYUSt_chr3.4705 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLGGGIGGASGTAAGPTAAAAAAAAQKQRALLQKADTDVASLVDNFSALINIARVNDPPVRNSQEEFQMEMRASRMVHSADSLLKLVSELKRTAIFSGLASLSENVDRRIEVLNQQAEGTDRMLERIGQEAAASLKELEAHYYSSVVRTPL >cds.KYUSt_chr1.22647 pep primary_assembly:MPB_Lper_Kyuss_1697:1:133907171:133908016:-1 gene:KYUSg_chr1.22647 transcript:KYUSt_chr1.22647 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFTLGGGAAGKSKAAHARSASEPCHCQCHHVHTRLDAGIRSLGAWSASATCSGVNDGPSGLARVDAVLAVLGEFLALPQAAAALREDAAAYDRILDGSLALADAYGSFEPALLALKQSAAELRAGVRRGDGAMVAESLRARKRAEKELCHLAAAMGHASRHAFPSPADGEVIGVVAEVAAATASASEAIFLRCAAMSPDVSAVAHTVSSNAWLTRLRVVSAAKKAVPLPLPETATATVAAALEGLERRIGEVESWSEKVFRSLLHTRVSLLNIHNTPTL >cds.KYUSt_chr2.51043 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319235186:319235446:-1 gene:KYUSg_chr2.51043 transcript:KYUSt_chr2.51043 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLCRRSRATALLLFLILSVVLAARGVDGSRSYRRPGVGSGGVGGRLFGGYELPRAKLIPPSGPSERHNSVGPELEFEHQQRKP >cds.KYUSt_chr3.36305 pep primary_assembly:MPB_Lper_Kyuss_1697:3:228350808:228360229:-1 gene:KYUSg_chr3.36305 transcript:KYUSt_chr3.36305 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDRLPPMDHLRSEKMCFVQLIFPAETARLAVTYLGEVGLIQFKDLNEDKSPFQRIFVNQVKRCAEMSRKLKFFSDQINRAGVRSSVRPALQPEIDLEELEAKLGEHEHELLEMNSNSGTLQQRYNELFEFKLVLSKAGRILAASQNHATPSDLELDEHIYDKEVDEGNSYLLEQGIQGASESGVRFVSGIVLKSKALAFETMLFRSTRGNMFFNQAPAGEPVTDPISGEEVEKTVFVVYFSGEQTKAIILRICASFGASCYPVPEEMVKQRQIFREVSSRLSDLEVTLDAGIQHRNKALESVGSQLWRWIIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIEDVLQRATLHSNSQVGIIFHEMDTIDSPPTYFRTDKFTNAYQEIVDAYGVGRYEEINPAVYSVITFPFLFAVMFGDWGHGICLLIGALFLILREKKLSSQKLDSFTEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYACRDNSCSDAHTAGLIKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVSQMNLGILLSYFDAKFHKNALDIRHQFLPQMIFLNSLFGYLALLILIKWCTGSKADLYHVMIYMFLDPAGDLGENELFWGQRGLQMILLVLALIAVPWMLFPKPFILRRLHKERFQGHSYRFLGTSEVDPDSEPDSARSRHDDFNFGEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLLLAWGYDSLIVRSVGLIVFAFATAFILLGMESLSAFLHALRLHWVEFMNKFYHGDGYKFKPFSFALLEDDED >cds.KYUSt_chr2.49852 pep primary_assembly:MPB_Lper_Kyuss_1697:2:311813113:311820164:-1 gene:KYUSg_chr2.49852 transcript:KYUSt_chr2.49852 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDEMDAERNRGAVRSYWAALKKDDKTVNRFSLGYLEHKILSWSVQDIFNKNLFRQQANFIQIIWVEKLDGEKSIYCFEISEPSKDQKSRQTYDPQEGDIIVVSSRKPWHVSDLIQNKASYVLDPETKIRPGPSFAAFLINMKTYNRIWKCLCMEGERQNRSSTGIVNLVWQYKRRVLDASPSCSQIPHCSALRSIDGLGLEKFNLNDSQLNAVVDCVSAMENHSPSLKLIWGPPGTGKTKTIITILWAMLIKGVKTLACAPTNTAILEVASRIVRLVGESSDGSLCFLNDIVLFGNKERMKIDDRHDLSMVFLDTRAERLLPCFVPHTGWRHCLCSVIDLLENPVTKYKLHIEKIIEEMKREKEISEKDGDKPLRGKDRKSFRPRYPLRSKPNSKYHLIGPLSVFRNIRSITKHKHGYKTLRCKDRKYLLPRYPLCSNPNSKDHLVEPLSVFRKTIHNRPEDEEEECHKEAFRVLPFKDYLKDSYNKLSKDLCCCIEILYNDHPRNSETGKSFQCMLEVLELIKILHALINCDRDSDDIWSDKLLEGELEEDCNPVTWPEQLACVRTNTCNKSKFKLARSLCVQELRYLRENFDLPNYYNTKEIQLYLLQRTKCILCSVSSSSRLYGVPMDKSAFYIGQLLKNPEKLNPVELLIVDEAAQLKECETLIPLQLPGIRQAVFIGDEYQLPALVKSKISDNANFGRSVFERISNGPNVTTKSYEKRFLASKLFGSYSFINVDGGHETMDVHGRSLKNTIEAAAVSQIVERLFKESVSTGIKISVGVVSPYNAQVREIHEKLGKSYNKHDGFSVKVKSVDGFQGAEEDIIIISTVRSNKAGSVGFLTNMQRTNVALTRAKHCLWIVGNGMTLSNSKSVWQKIVNDARDRGCYFDASEDEDLSNAVIKAVSELDDAENLVKMDPLHVSRPRTKGQNTVGEIDVRSPLKNATHCWHVVVGHC >cds.KYUSt_contig_2197.163 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:917559:919772:-1 gene:KYUSg_contig_2197.163 transcript:KYUSt_contig_2197.163 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVDEAVRRYLGDGKPAELLPALFKLCCTLFPPSQSKPSALALASQLHADACKRPISAAASNSLLTCYLRAARPDLALAHLRCPSTPTDDVTYNALVAHLPPTPAYSRLCFASLPLFRPNLATLLALLRRASCYDNLSAAVHAWLTKTAYISLGGNAEVSNSLLAVYAASGDYLAASRMFDEMPVRDVVSWTSMIGACLAGGSAVQALRLFREMLADGAVELDGVVLVVALRACDHLALGASLHAVAERRGLQGDDVFVANSLVDMYARCLDLRSAMKVFEMIPGKNVVSWNSMLSGLVHAGRCAEALELLGSSSFLKGGDDVDLDETTLVVLLQLCKKLGEAMWCRSVHAVAFRRLWFASSSLPLLNALLDAYAKCGLLEHALRLFGGMRDKNVVTWSTLIAGCAHNCRPHEAMACSVAMREAGMMPNSITMLSILQACADCAETRASRCAHGVAVRSGLAPERDVGNALVDTYGKCGDLTTAMRVFDAMPRKDVLTWNSMIGALGMNGRAPDALALLDRMEREDDDNVRPNGVTMLAVLSACGHGGLVEEGMAQFERMTAKYSLQPQVEHLSCVVDMLARVGDLEGATKIIEERMLSATSGSLAAAWSALLSACRSHVNCEVGRDAACRVLELEPDNSAGYLMSMSIPGGEPARMRWLMRERGVKVTSGHSVVQIGQEAHRFVSWDGCHLHRAQVYSMLGLLHQQILPPTHDSNHHLHHQHLTLSCIDATTR >cds.KYUSt_chr7.35616 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222585804:222589580:-1 gene:KYUSg_chr7.35616 transcript:KYUSt_chr7.35616 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHEWYLRACRRSDRYLTVYLRDEHYFRGREEINLDFEELFQLFNQDALDKAFISCYCLFHFILLIIDLHKGVVNVMDSKRKEHAEWADMAAILQRAWKRFINTVPGKWKPELTFQDYPTEQTIASSHRPTTKAEVSSLCRTRRGHVGHSLAIHRNEPRARALHLNVQVATRQPSTTRAIKTPSPHDKTRRDTTDAKPIHQNRAPTMTPPPPHVAVVAFPFSSHAAVMLSFARALAAAAPDGTALSFLTTADSVALLRKAGALPGNLRFVEVADGLPPSSGEAPMLPPPRRMELFMAAAETGGIRDGLEAAQASAGGARVTCVVGDAFVWMAAEAATAAGAPWVPVWTAASCALLAHLRTDALRQDLGDQAASRADEPLTAHAGLGGYRVRDLPDGVVTGDFNYVISLLLHRMAQRVPKHATAVALNTFPGLDPPDLAAALAAELPNCLPMGPYHLLPAAESTATDETPSDPHGCLAWLDRHPARSVAYVSFGTVASPRPDELRELAAGLEASGAPFLWSLREESWPLLPAGFLDRAAGLVVPWAPQVGVLRHASVGAFVTHAGWASVLEGVSSGVPMACRPFFGDQTMNARSVASVWGFGTAFDGPMTRGGVANAVSTLLRGEEGERMRAKAQELQAMVGEAFQPDGGCRKNFDQFVKIVCSV >cds.KYUSt_chr3.22771 pep primary_assembly:MPB_Lper_Kyuss_1697:3:140732975:140736186:1 gene:KYUSg_chr3.22771 transcript:KYUSt_chr3.22771 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLLPRLCLVLSSLAGAARSASPVVLQWLNASAAENPQIPPGVGRALSAPIIGHGGNLVACSGKNLLAFHRNGSFAWIVPLGYNCRQDIRLVTERDKIYLVTEDNKVVKITPQNVHTSAPSSEVFFSHSPAPGRSEEIIGLSTSSSYSSLFITIANRGLFSFSLRDGQLQWSAGPVIDRFGYRLGCKGNISSCYFSSAPVVDQCEGTLYISNTEGQLYSMFIQSRQYRWIQDLSSIDKVITIVPGNNGRMYILLPRKSIVLGLDVLSGNISFQQSIGPLSNEKILPAVDSNGQLSNLLSSSDLEYFTLDETILLTALSAARIGSAVQCYTKSDHNHVLLLFIFQLFVIVIQAVVVRFCCIFWRKKKLQKNNGLQKFLEKRRSLHSKRRVLGKIISELEQKAAQDASSNETLEHLGEMVKAKECVERKLYTSYSLGRDVLGLRRGSSSILPLYNGKHKSHSFHGAQRESITIFNTLSDSSSLEEDRTSSSYSSSSGSYSGSSSGDMEFDTGSRSAGEAGAGPSNTADVTEGDQDKLPADVESSYQVFMNPLYAQGESSRRSLSQREEFLMPQGSAPTKGMSLKRRRTLSSTN >cds.KYUSt_chr1.38659 pep primary_assembly:MPB_Lper_Kyuss_1697:1:236305561:236306904:-1 gene:KYUSg_chr1.38659 transcript:KYUSt_chr1.38659 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKQWTRVRTLGRGASGAEVFLAADDSSGELFAVKSVCMSGAAALRREQAVMAGLRSPRVVSCIGGRAGRDGSYQLFLELAPGGSLADEVTKSCGGLDECAIRRYAADVATGLAYLHGESIVHGDVKARNVVIGADGRAKLADFGCARKAGCGPIIGGTPAFMAPEVARGEEQGPAADVWALGCTVLEMATGRAPWSGVDGDAIAALHRIGYTNAMPEVPQWLSAEAKDFLAGCLVRQAGDRCTAAQLLEHPFLASAVVLDRKFEDVKTKWVSPKSTLDAAFWESESDTEDELPASQSSAERIKALACHSSALPDWDADDGWIDVLCAATTEGSVAVPAEETTGMDDALTSEEPTAETAGVLDIFVDSSTCSSSNVGEPCDDSVVRTVHQPLQISFWHELVPCKLFCDVSTNAIDFLLAQTLCLALLLCFSAHGTSPALHCDTFD >cds.KYUSt_chr1.37771 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230568488:230584181:-1 gene:KYUSg_chr1.37771 transcript:KYUSt_chr1.37771 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGNGGFGPGHGAGPGRGRGDRGDRGDRGGFGGPGRGANYGNNGNGFGGPSGFSNHGNGHGAFSGRPGNFVTGESSGTAGEDVAQGQRFNGEFAAEVEQFNRGSNFNNYNRPGGNQHYRPRSYGNNNYYANNRYGFNGGRSNFSQNRSYGAARKEGLRCQLGSGVAVPATTQAAALCNNLESLGLQQVQPMQVETETVPRQEGTNPAKKAKKTEKNPCFRWGSYKPKVDNLKLVKVTVEGDPMSIPDIADCLKRIVPVENFQWEIYNFQNNVFRVKFPNKSEARRMKNFRTYPSSRQRLDLVFEDWSALEDPLYMLPEVWLRVRGIPADVRTDFLSLWAVGTLFGKTKEVDMVHTRKNKELRLRIGCLDHTLIPETTDVFIQRGFFKLSFEVEPVTVIQLETDGLDNDGGNNGGGDNNGSDKDNTDDASDMDFEKTINSIQQQNNNGQQGTMKNVSNAKSVSAHQAQHQIEAPILFGSLKKDLLSSAQKDIEGAVFKVVSASGSPKGQAAVALGLETPGLADADLPLGRQPTFGVQLVDADVAAQPRAITPLALLSPGRRSPRSPGRPTSAAGGEGADTSGTRALVAESQLGPEALAAALLATQTTQTAPPVGMTRRGVSPLAAVSVGCNPKGGSVFSVQPVMAREHGLLLQHGPQMHMGSSVASPTVGCSIVSTKNIGTTTPTAIVLEERAKKASLENDVVAFGGIAAPSMSVRASDRIRAQPNADATQMERAMQNANFRHDFTAPGELQLFKLNFGVITLLPKKENAIQIQQYRPICLLNVSFKIFTKVATIRANTVAEKVISPTQSAFMPGRHILEGVVVLHETIHELHRKKLDGVIFKIDFEKAYDKVKWPFLQQVMRMKGFDPKWCHLIEQFVKGGSVGIKVNDDIGHYFQTRKGLRQGDPLSPMLFNIIADMLAILIARAKEDGQIGGLIPHLVDGGISILQYADDTILFLEHDFEKALNMKLILRFFEELSGLKINFHKIEIFCFGKAKEEEEHSLCGAKTPERKELFGRLKSAGEIPSRSGKSTAIVTDIELDIISITIIIISIIITAVSTAAHRHRCIAMDEVRKKLFSISMSDKTLLDTSCSGSFTRNKEEFKRDLLNRIQENTERWENDKDRESDIGASISAIPYELYTEIMHEIGSCELEDIDVVIRLANRETISPTGIVRDVEVLCDDSPNNDFRVEQCASIALAPNNPLQQHLENSESEVFREERNELDEIFLRQPILKHDLPVEDLGTTLPPKEDPVFDLKPLPDNLKYAHIDDKKIYPVIISSKLSEIEEERLFEILKKHRGAIGYTLDDLKGISPSICQHSINMEDDAKPVVEHQRRLIPKMKDVVRNEVLKLLEAGIIYPIADSRWVSLVHCVPKKGGMTVVPNDNDELIPQRVVVGYKMCIDYRKVNKVTKKDHYPLPFIDQMLERLSKNTHFCFLDGYSGFSQIAVKTKDQEKTTFTCPYGTYAYRRMPFGLCNAPATFQRCMSAIFHGFCENIVEVFMDDFSVYGNSFDNCLRNLDKVLQRCEETNLVLNWEKCHFIVNEGIVLGHKISERGIEVDRAKVEAIEKMPCPRDVKGIRSVLGHAGFYRRFIKDFSKISKPLTNLLQKDVPFVFDDDCKEAFETLKKALTTAPIVEPPDWNLPFEIMCDASDFAIGAVLGQRVDKKLNVIHYASKTLDAAQRNYATTEKELLAVVFACDKFRSYIVDSKVTIHTDHAAIRYLMQKKDAKPRLIRWVLLLQEFDLHIIDRKGADNPVADNLSRLENIAYDPVPVNDSFPNEQLAVIKVSSRDSPGSTMVSNNEGKGLSDEDIQDPEWKEVDESVKEEDEEEVEEDSRAHPRATIASIKVVENPFSAKRSARIRTGGKVPRHYLAPKTSSPGTYNPFCNLIYNSQIERTPKVALPSNWDIDRSNTAGRMKPEAEEWGNNSKSWDSPSDILLNRVEHNSEMIRNLMYKIDELQELVEKLVKNSSSPPPPKE >cds.KYUSt_chr1.33398 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203075988:203076248:-1 gene:KYUSg_chr1.33398 transcript:KYUSt_chr1.33398 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHQRRASQSVFALPENFAALDDVPASDEHRKASADGGAGEQQQQGARSAAGRHRRAMSMAVNSSRDLEMIKEDGGGYNYNKIGA >cds.KYUSt_chr1.7976 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48924366:48924695:1 gene:KYUSg_chr1.7976 transcript:KYUSt_chr1.7976 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAAGVPQRKVFGDGRETGPAVGAVATGAPQNGCGSGSAERLRQRKVFGCRCSAAGVRLHGLRGRSDWRRQGFAQEAEEQRGSGELQMELCGRAMWGGCHAKLPQYP >cds.KYUSt_chr7.6356 pep primary_assembly:MPB_Lper_Kyuss_1697:7:38344925:38348519:-1 gene:KYUSg_chr7.6356 transcript:KYUSt_chr7.6356 gene_biotype:protein_coding transcript_biotype:protein_coding MISGDEFVDVNLLDSSSSDDSDLDELLHDDEMESTMLLLSVKELEDRTKLLNRRRGSVLGQNHIQPNRLLGHEQLMEDYFTEMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr5.37539 pep primary_assembly:MPB_Lper_Kyuss_1697:5:237229743:237232444:-1 gene:KYUSg_chr5.37539 transcript:KYUSt_chr5.37539 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNHNENWGGHFVIGNPYFCIDLHHTRRAVKAIVDDYESLVAIVLKEMDETRSCVCEVEKVPVIGVHGDESDNSRTGLGDESLSASKNIFVGSRLDNSMSSEHGREANINMNIDNDYEREISEVALEENNNEEISGLENVYDYYGESDMENCFYDESAGGKHSGESKPSNNMESHVDDGDDANLSQACQAEDMTELYMMIKEMTFPCEEAAFVFYNSYAKDNGFNIRLDKVRYSKKKYET >cds.KYUSt_chr5.35784 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225997403:225998011:1 gene:KYUSg_chr5.35784 transcript:KYUSt_chr5.35784 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKSSTPPPPPVLGAPVGYPPGAYPPPPGAAAAAYNPQLYAAPAAAAAQQALAQQQQQLQVFWADQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPREDTKDADAAAAAAAAAVAAGIPRPAPGMPATDMASYYYVPQQ >cds.KYUSt_chr3.33090 pep primary_assembly:MPB_Lper_Kyuss_1697:3:207715583:207721037:1 gene:KYUSg_chr3.33090 transcript:KYUSt_chr3.33090 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGFLPLLCDGCHGCTVADAPAWSAQSDSSAMQELSTIVRAGEKQTIIKTAISKLGACLDFVEWMAWQNRHREVRDSDQKRGSSDLHDVLQGFILYRKLQSSCSFY >cds.KYUSt_contig_973.278 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:2424709:2425995:-1 gene:KYUSg_contig_973.278 transcript:KYUSt_contig_973.278 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLKKAQFLEVRGANPYLLPRNARQCPNSYFYHVNQERIYNEVYGAKEFNCCPQYSISMDKLNSNLEYFGEALEICEEQGLTPLMTFSHNYSKEVICQFYATVVFLEDEGGFRSLKWMTKEHVMEVTWEEFARGIVYELHDYNSNFFQIHLQSKPMAKEKMANLYIHGRMLCGSAKNLLRVYDIMNHIYRSTINPKHTNHDEVHGFLVNLLVRTHEMRGRGKQLDVMDYICHGMRDCAFLRKLPQYGPYIMRLICLKWAQASRGDLLEQCRPNITIHKEKSPLVKNHDLPRFGKGAPKDKEEEEADSDDSDYVPNSVKTKGLFAKLTARLKKSFCFKRDLEDRMYQAHHDNKKIRQRQKAMMRHMQLPVSEGSEDNITPPGEWKSKLIWSSSEDSIPEPPHGKGHAQDEDDDDEEEEDDEEEDDDDE >cds.KYUSt_chr4.41877 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258936103:258940261:-1 gene:KYUSg_chr4.41877 transcript:KYUSt_chr4.41877 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAASAFSPSRLAAHPARVAAAAVPVRARAVAAGGRRRRSGRGSSGVRCCAGSASAVINGSAAAKAEEEDRQRFFEAAARGSGKGNLVPMWECIVSDHLTPVLAYRCLVPEDDKDTPSFLFESVEQGPEGTTNVGRYSMIGAQPVMEIVAKENKVTTMDHEKGTVTEQVVDDPMEVPRSIMEGWHPQQIEELPETFSGGWVGFFSYDTVRYVEKKKIPFSGAPQDDRNLPDVHLGLYDDVLVFDNVEKKVYVIHWVSLDRHESTEQAYQYGRSRLKRFLSKVHNSNVPKLSPGFVKLHTKQFGTALNKSTMTSDEYKNAVLQAKEHILAGNIFQIVLSQRFERRTYATPFEVYRALRIVNPSPYMAYLQARGCVLVASSPEILTKVQKGKVINRPLAGTTRRGKTENEDKLQEEQLLSDQKQCAEHIMLVDLGRNDVGRVSKPGSVKVEKLMNIERYSHVMHISSTVSGQLDDHLQSWDALRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGLGGISFNGDMMIALALRTIVFSTAPSHNTMYSYKNSDRRREWVAHLQAGAGIVADSVPDDEQKECENKAAALARAIDLAESAFVDKE >cds.KYUSt_contig_402.386 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2397336:2402394:1 gene:KYUSg_contig_402.386 transcript:KYUSt_contig_402.386 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCASWCWAAPVTVAAASPSTRPARVTLLALPRAPSPAPLPRIQLGRHPRDAFFVSRCQKGADRNPEPKPEPELEQPDVVDNFFKKLDKARDDYIVEKDIDDISNRICGHALSALDLASTVMDMPSLGLGTNEISQQTVHQLDRFSSDTLMSNGTDELRACSYGAWIVIGLKGKWNFINMSTQRKIDLPPLKEVEATNSALRLWILDAQDPQLYCRR >cds.KYUSt_contig_1253.673 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:4148795:4149862:1 gene:KYUSg_contig_1253.673 transcript:KYUSt_contig_1253.673 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAVKVKPLDDGEGYIRWKESMLLRLRTLRVAHVLTEDPPAGDEGGAPAVAKQWAHDDALCRGHILAALSDRLFADYVRHATARELWDALARTYDLDTTQEERRLTLRAFEFDLDAPLLEQIAHAEALATGLDFPWTDDHYLARSLCSINLSMGLLLTPSVHKQVDI >cds.KYUSt_chr7.29583 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184136887:184139371:-1 gene:KYUSg_chr7.29583 transcript:KYUSt_chr7.29583 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRVLTALDHARTQYYHFKAIIIAGMGLFTDSYDLFCIVPVMKIIGRVYYPSSSAGDGGPGVMPPAVVSAIVSIALLGAVVGNLLFGVLGDRVGRGRVYGACLLLLVCSSLASGFSICRTRACVLSSLCLFRFLLGVGVGGDYPLSATIMSEFANKRTRGAFIAAVFSMQGFGILASSGVTMVVAAAFDRFTGHPAPLDTPEAADIAWRVILMAGAVPAGLTFYWRMAMPETARFTALVQHDVLKATNDIGRVLTDLDLNGLDEDEDAAAIPRTPGSFRYASPASQYGLFSLAFLRQHGRNLFGCASTWFLLDIPYYSSTLFQSQIYRPWFPPASHQNVFREAYDVARFQAIIAVASTIPGYFVAVLLIDRVGRRWLQMAGFFLMAAFLFALAGPYDHYWRGNAKNAWYIVLYALTFFSANLGPNTTTFILPAELFPARFRSTCHGISAAAGKVGALVGSVGFLWASQARHRGEVQAGYERGIGMMNALVILGAISLLGLAVTYFFTPETMGRSLEENESSEQGDQDLQDGDGGMTMRLQELNLTPKSPASLVSSHVSSSPIHPHRFSV >cds.KYUSt_chr2.23499 pep primary_assembly:MPB_Lper_Kyuss_1697:2:143475023:143478764:-1 gene:KYUSg_chr2.23499 transcript:KYUSt_chr2.23499 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEPTFPVPSASTMAFPAPAGPCSGGSKSVLDGMAGPSMKPVELEELAASSMKPVHLEEMAGPSMNPVELEELDPMGDVDKQRLKWETINEDQQVWDALDEQRKLRKKEEMKQRQEERKHKQEERRHKQEERRLKQEYNKKIKLEVAARRKELQDRKKKQANELQDTRLTSVVWRDFIPHYDDGKLVEAEFLFLIPFALAFKTPSSATMSSSSSSSSSSGLSYVSSPIRESTPEWGTQAAYDILTPTAWDQEDHDFSVWSEDDKSLTDGESDPLFLADGETEEESDDDRLSWADFTTSEEEEEENSSSDEPPAKRFCPWPGNLSDFDSNDDADEEDEDNEGPAGGRWSSDDEPVGSSADSGDDGDDEGSNGP >cds.KYUSt_chr2.17405 pep primary_assembly:MPB_Lper_Kyuss_1697:2:109731794:109736668:-1 gene:KYUSg_chr2.17405 transcript:KYUSt_chr2.17405 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLGGRWTRSAASFDPGAPDLDYSQGQPEQGHSLSSCHGLEYVWTGHGPHVRVKPSVQFGLVQMANVTRLCATKSIVTVNGEYPGPALVAREGDRVLVRVTNHVAHNMTLHWHGIRQLRSGWADGPAYITQCPMQTGQSYLYNFTITGQRGTLWWHAHISWLRATVYGAIIVLPKHGVPYPFAAPHKEVPMIFGEWWKADTEKLVRQATKTGGAPNISDAFTINGLPGPLYNCSAKDTFKLKVEPGKSYLLRLINAALNDELFFSVANHTLTVVEVDAVYVKPFTVKTLIISPGQTTNVLLTAKPFYPRANFHMSAAPYSVIRPGTFDNTTVAGILEYQKPGGTPSASSFDKSLPLFKPTLPRFNDTGFVANFTAKLRSLATPQYPAAVPQSVDKRFFFTVGLGTLPCPVNVTCQGPTNTTQFAAAVNNVSLVLPSTALLQSHFTGTSRGVYGSNFPIMPLKKFNYTGAPPNNTNVATGTKLLVLPFNSSVELVMQDTSILGIESHPLHLHGFNFFVVGQGVGNYDSVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTTWGLRMAWLVLDGNLPNQKILPPPSDLPKC >cds.KYUSt_chr1.21433 pep primary_assembly:MPB_Lper_Kyuss_1697:1:126426602:126431786:-1 gene:KYUSg_chr1.21433 transcript:KYUSt_chr1.21433 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYKRRPPLDDPSTPPHSPPLTASQPPPHSPDSRRSKANRELAPAEALQQSPANQSTSGDATCTWSFAVVHIYPEHTAIPSWSSVPGVDELVFTGRPSSWGEVLILGFMEPNEPINTKFYQLGNGGSLIFEHDLNAVSDFLGRPHPEFHGVQLDDTPGGELPWRDLDATRAYANQTHAHITEQGEAIKLLNNDRKSLRQQRAKKDATIRRLRAKIASLEATVKAQEDQIRELEDDNGGIDIQGGDAFLSDDNDFEEDENTEEEDYEFLEAGPVAAQENIPIRFSSPKADLGCIKFSRDSAALLCMVSVRSVPAHPDAALRRLRQGASAPRPSLRLGLLHPRPSAPAHVLLRILLEHVFVAAPTSRSPPSRRREAAPAAQPAPRCVACISDAFVHGQTSGLETINSSTSPSFT >cds.KYUSt_chr1.35921 pep primary_assembly:MPB_Lper_Kyuss_1697:1:218885061:218885683:-1 gene:KYUSg_chr1.35921 transcript:KYUSt_chr1.35921 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLAKCLVLSLSVTLLSLLCGGGATAMGLPPPPATVNFSIAVQGMVWCKGCKYSGYNAPMDASPLEGAAVELRCSHGPRRTKLVPGVTWQGGYFLIESSQLTSFTIDECKVYVTASHSPLCDLPVPENPGAGKGLPLKFERFDKRADGLQAIYSAGNFFFQPEYPNKCY >cds.KYUSt_chr3.17238 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105507715:105508179:1 gene:KYUSg_chr3.17238 transcript:KYUSt_chr3.17238 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPGSQNRLPRPAKTQTIHGLVRAGDLAGFQRKLRENPALLNDKNPVVRASCAPPILSSRRRISLVGRIQNARRIEYRGINEVSSKEKMNLELQKVRDKFKMSENAWFCFSSK >cds.KYUSt_chr3.33663 pep primary_assembly:MPB_Lper_Kyuss_1697:3:211349068:211349976:1 gene:KYUSg_chr3.33663 transcript:KYUSt_chr3.33663 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVPAVLLLTVVSLAGVAAGARAGGVAIYWGQNGNEGTLAQTCASGNYRFVNVAFLYTFGKGQTPLLNLAGHCDPASNGCTSVGADVRSCQSLGIKVLLSIGGGVGSYGLSSCADARVVARYLWDNYLGGTSASRPLGDAVLDGVDFDIESGNSAHWDSLAKYLKAYSRRGRERKTVYLAAAPQCPFPDASLGEALKTGLFDYVWVQFYNNPPCQYTTAGGVSNLASAWEQWTRIPAGQVFLGLPAAPEAAGSGFVEASDLVSKVLPVVKKSSKYGGIMLWSRFYDLQTGYTDKVKPSV >cds.KYUSt_contig_2838.3 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000536.1:19558:28958:-1 gene:KYUSg_contig_2838.3 transcript:KYUSt_contig_2838.3 gene_biotype:protein_coding transcript_biotype:protein_coding LLEDGGSTLSFGDSSDECQVKSALRVHDPVFYWKIATESDLGLADAYINGWCSFLDEKEGLLNLFLIFIANRDKSSSSIVSKRGWWTPMILTAGVSSAKYFLRHLSRNNSVTQTRRNISQHYDLAKVQRDHQVLDIGSGWGSLAIQLVKQTGCKYTGITLSEEQLKYAQRKVKEAGLEDHVTFLLCDYRKIPARKYDRIISCEISALGFDDKFIRTWEYYFLYCAAGFKTQTLGDCQGAKPRLKPNPVNERYKKNKRREGRSLVGAEYTSDEGSDDEEKVVGVAGLALAVPGSLFTYDYTKDYSDNSDIPNNSGTCLMVRGSMEDNLDLSTIPKNSDICLMARSSKREIVAIVTAIELDFIRIIITIISTTSTIITAASTPSRCNILGLILV >cds.KYUSt_chr4.50877 pep primary_assembly:MPB_Lper_Kyuss_1697:4:315405310:315410486:-1 gene:KYUSg_chr4.50877 transcript:KYUSt_chr4.50877 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSGYCAATRVFRSKRADVPLPADPDLDVVTFLATRRHSGVVALVDAATGRTTTFAELWRAVAGAATALSAPPFSLRKGHVALILSPNSVHFPVAALAAMSLGAVVTTANPLNTAAEIAKQVADACPVLAFTTRDLLPKLPRSAQADLKIILLEPAHDHRLPTTIDPRIIATIDEISATTPNPSRRRDRVTQDDQATLLYSSGTTGPSKGVVATHRNLISMVQIVMNRFRLDDSADATETFLCTVPMFHVYGLVAFATGLLGCGATVVVLSKFELPEMLRCITAYGVTYLPLVPPILVAMVAHPKPLPLGNLRKVLSGGAPLSRELIEGFRDKYPRVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPDSGEALPVNRTGELWIRGPYVMKGYFKNAEATQSTVTPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPDVTDVAVIPFPDREVGQFPMAYVVRKKGSNLSAAEVMEFVAKQVAPYKKVRKVAFVTDIPKNASGKILRKDLIKLATSKL >cds.KYUSt_chr6.32046 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202452257:202452490:-1 gene:KYUSg_chr6.32046 transcript:KYUSt_chr6.32046 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDSGDVLLVLLWASVMMAMAPFFQRIYDLTLGIYVQRFYGMIYDRTLVSNIVVTVSGALVVFALRAMASFSDVDG >cds.KYUSt_chr3.22595 pep primary_assembly:MPB_Lper_Kyuss_1697:3:139691023:139692752:1 gene:KYUSg_chr3.22595 transcript:KYUSt_chr3.22595 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLYKGGIIQNSEFNSGLMGWLVPVGVQAGVSSSPSGNKYASAKSKGQPSRSVYQKIQMQTNTHYALSAWLQVSSGTAEVKAMFQAPNGAYIIGGTTVAKSGCWSMLKGGMTAYSSGQAEFFFETDGVVDILVDSVSLQPFSFAEWKNHSRLSADKARKSTVKVLARGPDGVPLAKANVRIELLRPGFPLGNAMTKEILDIPAYEKWFTSRFTVASFENEMKWYYTEWKRDHEDYTVPDAMLRLAQKHNIKVRGHNVFWDTNNTQMAWVNPLSPDQLRAAMQKRLNSVVTRYAGKVIAWDVMNENLHGEFYETRLGTPNVSAQIYQQVAQIDGTATLFMNEFSTLEWAGDMTAMSSKYVRKMEEIRSYPGNAGLKLAVGLESHFSTPNIPYVRATLDMLAQLKLPIWLTEVDVSPKTGPYQVEYLEDVLREGYGHPNVEGIVMWAAWHKHGCYVMCLTDKDFNNLPAGNLVDKLIAEWKTHPEAATTDANGVAELDLVHGDYSFTVTHPSLHSPTVHTLTVDASSSSSLEHALGIQD >cds.KYUSt_chr3.24112 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149675762:149685102:1 gene:KYUSg_chr3.24112 transcript:KYUSt_chr3.24112 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSLQFAEPQRMSNLNSSASNLPDSTGRPFAASFSGQSGSVQGFHHSGLHNIHGNFNLPNMPGSLAQRNAAMSGLPSSGVQQTGGSISGRFASNNLPGAMSQIPHAHSGVGGRGMNVGGGQAYSSGMNIGGTIQGLSSNLGNSVNRNSVPGMSASPALGNLGARITSSVGNIVGGSNIGRNMSSGGLSVPSIASRMNFGGNTGSGSLNVQGSNRMMTGLLPQGSSQLISMIGSSYPTSGGSLSQNQMQGGNNSLGSMGMLHDHGDNAPFDINDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGFKGSSSEYAMELHHKEQLHENVPVMQAQQYPMARSVGFNLGSSHPPNRQQHQLGGNSIQSAGPQNIGLRPLNSSQASSLGSYEQLLQQYQQPQTQRPFRLQQMSSGTQSHRDQSLKVIQGGQTPPDPYGLLGLLGVIRMHDADLTSLALGIDLTTLGLNLNSADNLYKTFGSPWSNEPAKGDPDFQNPACYLAEQPPPLTPLHFQKFQTLILFYIFYSMPKDEAQICAAIELYNRGWFYHRELRLWFTRIPNMEPLVKTPDYERGSYGCFDPHNWETVRKAAAPPPRPPVFCTDAERRKWNRSEGARKHNARQWTNWGLTPLGKLARYANAGEGSSSGGSSGAPRLPIADSSDDDELVPARSPTFSAGDYVHGSDEEEAVLVQMKAISEAEARARFRWEEADAVRRCVSTRRPTGRSVSAASSSR >cds.KYUSt_chr1.5584 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34434838:34444388:-1 gene:KYUSg_chr1.5584 transcript:KYUSt_chr1.5584 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSCWIRRRNLGLLSVRPFLWRETREKGKSFCGHPYQDTDTSPSHLHAARGSSGYRIVYRSFRHGISRRAHHGPDHLEVLDPGQAAMSALARLRGRRRGAAKEAARELDPFTIEEEVSHLTRIGSEPCPRTRRRRRGVSAFHMLASRESGRAGPGGGGFCSADRAYAAGKHLPAEGPWCVEDMDSEAYVSQFSSDGSLLVAGFRGSRIRIYDVDKGWKVHKNISCRSMRWTVSDIALSPDQRYLAYSSLSPVVHIVNVQSAGRESDANVNEIHEGLEFCDDDEYSFGIFSVKFSKDGRDVVVGNNDSSIYVYDLGANKVSVRIRAHAADVNTVTFADESGNVLYSGSDDNLCKVWDRRCLVREKPSGVLSGHLDGITCIDSRGDGRYLISNCKDQTIKLWDIRKMSATTKGRPPRLYDWDYRWMAFPTNARYYKHPNDQSLATYRGHSVLRTLIRCYFSPMHSTGQRYIYTGSSDDTVYIYDVVTGAVVKKLSWHRSIIRDCTWHPYRPTLVSSSWDGYVARWEASGDKEDPSMLSFDEQRTSPYHQTYGLSFAM >cds.KYUSt_chr7.22882 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142002745:142007548:-1 gene:KYUSg_chr7.22882 transcript:KYUSt_chr7.22882 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFCGGGSGSRRPTTARRLRLARNNAAGRRRWWSAPGRTLEAVVEHIEGGNSPVLTMPPLSCSAVKIERPPNKTPETDNNSSGFTSKLVVAENLKSNRDRGKETSFPSLQVSTPVDGKDNSQGTVICFKEADPAISVKPLHIPTTSADPCGFMADDVMPDPSECSVDSPCYRGASASRVSPFDALQTPAIQSTDQELEAFGAGEKQSCSTVPHYNALSEVQSLVTSKTKHDHSQSKTEADVSKESGVIGMKQTKDTHGKELECTNQYAAKCIIEQKHLLELRDNYVKRSGLNSAAPDYVPSSTGKSVTAQGSCSSTGRNVSGVLKAIKNFTELLQSSCSDEEIELEEHEYILLESVIDSLQTCLHKTRQGPIKGASGKAGSKAPYSQTTVLKSDAGKCNGSYIADDGKGIIINHFAGSSHMLNEFGRNSLTRGQPPLNDVPKKISCEEEHSQVQVYRNLWIEAERANCELKYQLMNTCIRIDLESSMVPIGSPSKNYFQVSDLGTDPSSSYGASLAHAPMLTFSKDPPTGETSGARSSQNLFYAGDYIQSGGNSALSCSSSTKGYTMPKNLQRRHVLAGLEETSTHRHAHLGSQQASSRARPESNGCTLDDVLPPSYIIGRGSILHSNSEYGSSDWEHVLSEENGWS >cds.KYUSt_chr1.38423 pep primary_assembly:MPB_Lper_Kyuss_1697:1:234748577:234753116:-1 gene:KYUSg_chr1.38423 transcript:KYUSt_chr1.38423 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQGRGPATPRPQAGRPIEVAGHPLPNPSRPPLLHISSIALAKLHRSSPPPPTPRRRAVGFKRSYYFRCPLEREVDVVFINNRTCDRVRRCCPFVAPEAIVIKIFYALLQAASERLPQQQEPHLVGFGISSRVRLDIPSLLPSSRLHLGLDCVFAAEGQVKGRHEGPRRQGRAAKTWAAPPYCLATSWPHFVSSPVFWKLRVKIGPWTLISSNSENISLLGFLKPKTAENSNWLFGISLIDPPPPPPPVHPPTAPPLRTPGSRSAATTTLATMAGGGGAGGLSESVLRKVLLSYCYVAVWIFLSFAVIVYNKYILDPKMYNWPFPISLTMVHMTFCSTLAVALVRLLRLVDPPSSPPMTPHLYTSSVVPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSASMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLCFLLVPWIFVELPRLRAVGTFQPDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHIKLQALKAKEAQKKNAQADEEAGSLLQERDGHSDRKSENQA >cds.KYUSt_chr1.15816 pep primary_assembly:MPB_Lper_Kyuss_1697:1:91998176:91999048:-1 gene:KYUSg_chr1.15816 transcript:KYUSt_chr1.15816 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKLAALGFVVLVSIGFTNASRMLASSSSASGGGGGGGGGGGVAGATGWGEGSGQGGATGYGENGGDWSNKYNYAKGAGGGGGGGAGGGSQGGAGSGNGYGSGTGTGASGTASAPSGDGYASAKGDGAGGGQGAGADGSSGKGSGEGSGKGYGESGVAKAPAPAAGGVSYSDAGGSGNGGGGGTNGNGGGNGKGAGQAGSDETSGNASGEGSGNGGGIVEGVAQGPSVGVGSGSGVGAGQTGSSGTDGSGYATGIGGGGGGGAGSSDNGGTGGGSGTGSGSGTGGYP >cds.KYUSt_chr7.6224 pep primary_assembly:MPB_Lper_Kyuss_1697:7:37474621:37480305:1 gene:KYUSg_chr7.6224 transcript:KYUSt_chr7.6224 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRRQLLLALDRLPPPALYRLPPFTVQRLPLTALAAGARRPTAAPSPPVHGTVAPLSRRGVGRRNGGVLAALATLLPPRLAGQRGHGRERRRIRLCAASRCRRALLLHRLPPLPTVCTPSLFPGRCRGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQKLSEASSNTFLTRYRSGGTPLRQHRTCDQKALRAVALRDWGQPQP >cds.KYUSt_chr6.33019 pep primary_assembly:MPB_Lper_Kyuss_1697:6:207930011:207931564:1 gene:KYUSg_chr6.33019 transcript:KYUSt_chr6.33019 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPFFNPGFPAAAGFQPWMPGFQGGAPVFPGGAMPGGQGFVGNFPGFLPFGNPPMQPDGFNVGAGFEGAGQGGAGRRKRNDGKALGRDGMLRGRGRGRGNAATRAVGPQLQGAQQGAQHLGQPQAAPGHGVGGGGNGMVAQMPVVPPQGAAQAANQGGDVLVNQFLPQMVADPNATVNQKKGKNPDKIKCNRCGLTGHIAYGCVQVLCDFCERAGHANADCPLHNAPKPQMIMHGMADDELCFFEMPCTGSYKPKMENSRTGRISIEGGVLTAQQIVSQLQRLVPVENYHWDVFPTEDNSFRATFPNKAELERLKVFGACHVPNTGCIMTVDSWGARVDPNLRLPEVWIRVAGIPPRHRGDYLAVWALGTLFGKTFEVDMPFTRQHGIARIRIGCMDFTKIPALKSVFIKDGFFDLLFEVENASLLQSDAIMHDAYTHDDDTNGGNGNLGGLGEGQGERQNLATGATNADAAPGGHAGSVPSNNVQSAKRFTPGVIFSPSLKLKMAEAKAHYAATV >cds.KYUSt_chr5.35870 pep primary_assembly:MPB_Lper_Kyuss_1697:5:226572486:226574237:-1 gene:KYUSg_chr5.35870 transcript:KYUSt_chr5.35870 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAREVAAERSRNSCSGFARTVARLPASIVQGLSRSIPRRAPRSRPRGVHVHQQQPPQAPPLAPPSPTPPFVPEELYFFSAFEQQYGSHHPFFYGCRFGEVLGIARREGKHVFVYLHEPDHPYTGPFCRSTLCSDVVVEFLDANFVSWAAVTGRGEGSGMAASLQPGSFPFCAVVAPVSSESITVLQRVEGPVTPSELVEILQRTIDEQSVAFRALTANEQAAAFRASRAEEEERRRSALRVRQEQDAAYLESLWKDQEKQRSTKGLHEGIVVKPKASPKYQCQAATETDKTTQIRAPTHKETAPSHRNEANTKIMIRFPNGQRSQQGFLHTDTIREIYTYVNSLGIPGIGQYQLVRSYPRKTYGHQQLAMTLRDAGFHPSVTLYIEKLQ >cds.KYUSt_chr1.33517 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203713251:203715979:1 gene:KYUSg_chr1.33517 transcript:KYUSt_chr1.33517 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRVSDPSVQSDMKLWPFKVVAGPAEKPMIVVKHKGEEKQFAAEEISSMVLTKMREIAEAFLGNTIKNAVVTVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLDKKASSTGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDMTGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITISNDKGRLSKEEIEKMVQEAEKYRAEDEEHKKKVDAKNALENYAYNMRSTIKDEKIATKLGPDDKKTVDDAVEEAIRWLDGNQLAEAEEFEDKMKEVEGICNPIIARMYQAGDGTDIPGMTRMEEDVPTGGSGAGPKIEEVD >cds.KYUSt_chr2.31589 pep primary_assembly:MPB_Lper_Kyuss_1697:2:194901473:194903549:-1 gene:KYUSg_chr2.31589 transcript:KYUSt_chr2.31589 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTFLSSSPSSSPSPLHLGQISSPSTQPEDPQIDPPPDGKLQLQAQLTRAASSAADLGDIPMATSKKEPLYPSRDRAKRMAPNLRPSSSESSGYGARRAKSVPSSPDRKFGPSAAAAAAAAATAPSAAPDTSRPLLSHAGRSISSRTMSTSSSSSHGRRPSSGPASKPTLARAKSEKVTANSQRPPSLAVPLSNSFKDMAKTVSKSHSSLLKNKLSPRPCADKGVASPKPSIKRVPSAGPARGGKPLPVSSARAPGAAAKKREAANDGSSRPKGTTPQRAVEPSVTRQEKDDEPSMQFEESESLTTPSIEDQLHEELPDPVDLKFIDIAASGSPPRDPYFQQQGNNVEEVKRQLDKEDGELHNGGHGTDASGQSKPDTAKVADELDRAETEEARTKAAALANRAEVAQSWRKDDPKSNDVIEETKAKLLEERKSRVKALVGAFETVMSFKE >cds.KYUSt_chr1.18760 pep primary_assembly:MPB_Lper_Kyuss_1697:1:110006275:110006958:-1 gene:KYUSg_chr1.18760 transcript:KYUSt_chr1.18760 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAASLLLAFLVAGTAGAVTFEATNNASTTAGGQRFDREYGVGNATRVLSEASFFIWKVFNQTEADRRPVDHVALTVVDDTFGGVASTSGSAIELSQRYVGGYTGDLQAELTGVLYHEATHVWQWGLQDYEAHWWVFEGVADFVRLRAGLGPAHWVAPGQGSSWDKGYDVTARFLDYCDSLIKPGFVAELNAVMKDNRYSDDYFVQILGKSVEELWNDYKAKYGA >cds.KYUSt_chr6.701 pep primary_assembly:MPB_Lper_Kyuss_1697:6:4502495:4508989:1 gene:KYUSg_chr6.701 transcript:KYUSt_chr6.701 gene_biotype:protein_coding transcript_biotype:protein_coding MWTCKPLGTPSQRRKDSSPAAAAAAAPPLDDCLRLLRGERDEQKLAGLLIAANVCRAGDAAAVAEVYRAVGPRFLRRLLNTGLGKVEGGKEEEREAYLRLAVTVLAGLARVPEVAADEGVVSAVPLVAEVVSKSPDLTITEECFELLSLVAIASDDGAHKFCDPGIIDMLFGQFSRFPDGSRCLELATHLMQLLVHKLRADNMSVERLQGMASMVASLAVPFNVLHTAVKFESLHMLTTLLSQKESPLHGALRSMPSTIWEYHIRGGIIDVLQNRVVSSEKLQALLLAECMMSILGESWLLEDHKILDNKNVMSVDKFVLLVLESARVEVAVLLNELAFSKYESSESSQIDGAISQKQRNLAILFSLIERIIKMISDASGGEGEPSQTIHERTIMQAIKGLNETISLVLDFLQDAKDHGQRKGDDLLAAVRIVGSYLAETPYACQDKTGRLLEFIFSIEGQDESRPFYSIRFMLPMLSQITTTADGCRTLVSFGGHKAVIDCLIKMTEQNGMIVDNGSIFLACDTIINIMSNRKSSHIQMEPCFICLLQALITWAGTTDASSVIMTASSLCTMVMELTSEEFLLSCSDFDPKILGSLSDLIVRSLHQDIPDGDMEQLNQKQIIVSGYKRWADRFPRVRNVVHQHVSV >cds.KYUSt_chr3.31578 pep primary_assembly:MPB_Lper_Kyuss_1697:3:198452952:198455374:1 gene:KYUSg_chr3.31578 transcript:KYUSt_chr3.31578 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPQCSAEHFSCAFPPDDSAGVSPYCSSRDIGSDPPSEDQYLEDTEVEASYCNASTIPASPCLERMSPGIADPLLNSYNTETSRPVPHYAESTVAPTKRNITVRSSYFKKDERVYTNQGEDQFNDDDDNQETCTSTFSEDQLRNPEGITKRRKLWDPQNFEGETLQPTTLHDSPPDDEGCDVGSLDGIDDTNSEGRFGCDVSHVNKYSGIAEKSMDKFAELISSFRYAGSRASGLRAPLKDVKNTLSVRSILRPPEQKKSARGHRSQSRSTSYASNSTESPPDLNTFAYRPSKTAAFLPDLGKTTNKAAGPATSTGGLGTFGHEPARSTGGYPDQGKNTLKSVGNADSHPDLSTFAYMPKTTASCTDQSKFSTMAIRTAQSPPDLSTFAYKAMKPVARDRESDGSRFTLAASGRISRRRFT >cds.KYUSt_chr2.51246 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320335235:320339639:1 gene:KYUSg_chr2.51246 transcript:KYUSt_chr2.51246 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGVPTRLPSSRVQALYELCKRTFPSPSSAAPPSPPPASAVRAISSIMDTISPADVGLRDDNLEDGGGHGFFESSFMKGSARAARWAQPITYLHIYECDAFSIGIFCLPTSAVIPLHDHPEMTVLSKILRMAERLATVPEETTNGFTAASPPVEQPLPPQDPDGCCVRRTDKINSLLQLPVKP >cds.KYUSt_chr7.4444 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26877122:26877505:-1 gene:KYUSg_chr7.4444 transcript:KYUSt_chr7.4444 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPQPAMGVVAGGSQVYPGSAYPPAATVSPGVTPAGSQQTPPPLPSNPAHVSAENQLLYKQSQQLQQFWVERLSGIEQATDFKNHTLPLARNKIIKADEDVGMVSADAPVIFAKACEMFVLELTLR >cds.KYUSt_chr7.33250 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207455227:207459735:-1 gene:KYUSg_chr7.33250 transcript:KYUSt_chr7.33250 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARVCSGMAHLSYIIFDQSSDSSNFPDADNEDDNPDTEDRCSAVADKEPEVPVLAYHECRDGDQHLLLVAIDT >cds.KYUSt_chr1.29249 pep primary_assembly:MPB_Lper_Kyuss_1697:1:177020262:177021131:-1 gene:KYUSg_chr1.29249 transcript:KYUSt_chr1.29249 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLAALGFVVLLSIGFTNASRMLASSSSASGGGGGGGGGGGSDGGSGWGSGGGKGGASGYGGTFTYGGSQNNYAQAAGGGGGEGAGGGSKGGAGSGSGSGYGSGTGASGSASAPTGNGYANANGNGGGEGQGAGADGTSGKGSGEGGGQGSGESGVALAPAPGATGVSYSDAGGSGTGGGGGDNGNGGGKGAGAGQASSDETSGGSASGQGSGDGGGIVKGVAQGPSVGVGSGSGSGGGQTGSSGTSGSGYATGEGVGGGGGAGSSDNGGTGSGGGTGSGSGSGGYH >cds.KYUSt_chr2.43902 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273295573:273302399:1 gene:KYUSg_chr2.43902 transcript:KYUSt_chr2.43902 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSVTRGDVKCKGVPPDLYLVEKLSNMASWSALPTDLGNTILRRLQHHADRVRFGTVCREWRACARRNTPPSQFPWLALPDRTFYSLPDSAFQPLPLHLERHRQLPHAQSSCGEWFVFERRDGAYTLVNTFSMSTTMVLPRLPTEPSHAIGDPPPFMKKLVVCSPKLVAAVVGEGWQLALCRPGSASWVVVAHDQLKSLQDMIWHRGKLYALHDTRCRLLCVSVGEDRDTGEPNVSRVDILVEGSMWEVIESPPWSPYLLESDGALLMVRRGDPNSDIQNPFMGGDSVHYVGRGLERATRFTVFKADLARSRWTELSSVGEDTVLFVQGWCSRAVRIPGRCKDYVTGDRIFFVSDAAARGYHCPYYRKKVSFYCSFYDMRKRRSQTYLGTKVPKKSGFKFEKWWLSRPDFKDLVIKAWSLGRGVGLTPIIPKDLAGSIQTPGMGSGGKIQMRPGEKTENGAIADARLKCTSFDWISTWKSSTASVHQGRASEAYLSKHPEESGNIHVVI >cds.KYUSt_chr3.47832 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299718844:299720111:1 gene:KYUSg_chr3.47832 transcript:KYUSt_chr3.47832 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGFADGRPESSQGLGYPTPFDRAGDASWATSPPYILVTFFPEALKRAVATVNGAMAPLRPIFKVISKMPVSTPAEVRAKEEARAAANELLTRHLGQLLLGGSVKISNEL >cds.KYUSt_chr6.21422 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135211859:135222404:1 gene:KYUSg_chr6.21422 transcript:KYUSt_chr6.21422 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASGSLTVVHKDGQSNGGTSYGRHGSAATGLQVNAQPIGSIIRELPPGRPIRHKLPPELVGDVFQILEFLGRFAEIVGLKEVPSYEQLEDELIDPWPVCANQKEVLSVSTQHYRDHSSPMNFPANGSISNSNGESGLTNNEDIVSVFVPVETSCTKEATQDALLAQTLGRCSGVVLPGVHLTLFKVLLGELLSKVSIFVDPNIDPKESKPRRGRKRDTESLISAKELNFDMLTANMLTWPELARSREGVKLFRCLQGDGGILCGALPGVAGMEKDALLLLEAENFLRSTPSNDGNKVIMMDYKDTDTIDSAEVPTADNRKLPDWAEPLEPVKKLPTNVGTRIRKCVYEALERKPPEWARKILEHAISKEVYKGNASGPTKKAVLSVLAEAYLATVPQKIENPRKERKTISCSEAILRKCRIVLRSAISSDESKLFGNLLGTTLMNSNENEDEGILGFPGMVSRPLDFRTIDIRLAMGAYCGSWETFCEDVQEVIRNLHIAFADRTDVVEMIVALSQSFKSLYETEVLDLVQKFDCHLFNGNADSEIHEELHGILTAATNLPKAPWEDGVCKVCGIDRDDDSVLLCDKCDSEYHTYCLNPPLARIPQGNWYCPSCTSGQKKSHLDQGVQDLKQQGKKHVREESHAFNKVISKLAAAMEEREYWELSPQERINLLKFVCDEMLNTALIREHIDQCADKSNDLQQKFRSLNFELKDLKYKEEIRSSYARQSRWSKTEQHFNNCSGLAENQQNGLAIASAHLEEAERGNTGVNLNHPAEGAPPGQLDAAKSCRSNNDISIVGLSEQTCGRASEIEVIIIDEESQSSRDILLGGKSSTCDDFNSLGTPIAERAPVASPLSTPAEELPDENVSTSFQDNLKTSTTRSLEHAADNDEMDTLSDGISKLQDSIAIVESQLNMASLRRECLGKDSLGRLYWVLGRPGKRPLLIADGSMLIRKDRDISMINSYPVSTFDCKGWNSASVFIYESDEEIQGLIEWLREYDPREKELKDAILQWQRHLYHQGSFPLIDPSVPKLSKSGELMDLPNTKAAVILEQKYGLLLDQDTGDLSRRRGKKTKSGSEERAYRCDCLEPIWPARHHCLTCHETYLTSTDYEGHNAGKCNTDNHSTSESKENDEPKVKGPKSDIKEKDSSVIESSSSKKLKSCPYDFEEICRKMATNDSNKEILNGIGLIGSNGVPSFVPSPAFFLEPAVILNKNKKDDVPNDLTSALEECQAMSAQRVGQEGSKSDQNCSGNTGDANVSRSTKPTPDSTSCEEASSATDKPTRLLVVNGGLVPESSLRPVIGRNTHILNQQKINLLDIDAALPEEALRASKSQQIRRRSWRTLVKGAESISEMVVATCLLEGMIKAEFLKNDWWYWSSFTVAMKTSTVSSLALRIYTLDDCIIYAKDPNIEPADSTKVVNKGRKRKEPEPSAS >cds.KYUSt_chr4.8530 pep primary_assembly:MPB_Lper_Kyuss_1697:4:51187760:51193985:1 gene:KYUSg_chr4.8530 transcript:KYUSt_chr4.8530 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYERSLETTSRHFANVLTAILSLTGEFIKLPEPTATPPDDYKWKWFPNALGALDGCHIDVFVRVADKGRYMNRKQDITTNMLGVVDWNMKFLYVLPGWEGSASDSKVLKDAMRIDRQDAFVVPEGKYYLVDAGYTNGPGFLSPFRSTRALNLVEGDKRQQPWHLVFGDSDSDDDLEEIESEQGSDSEKSEAGGEERGGGAKNRYLNDLDSDDSDTEKTRVIRSLKDKRNDEMKATADQMRNAVKINDWVSLHEGFDKINKQLEKVVRVNESTKIPNMYITTLVLLDDFLADTFAKKDTKKMSSSNSKALNVMKQKLKKNNKQYEDLIKKCRENPASFEEDVTGEEDPDSGESSDSDGEVVDPDNMPRSESDESEKEDDEGEEGGAWEKKLSKKDKIMDKQFLKAPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPTNVWKKCVDNMLLVLDILQQHPNIVVDTSVEPDEKETQKGADYDGTIHVTGDLVAFLERIDSDFIKSLQGSDPYTKDYVQRLRDEPLFLVVAQNVQDYQERVGNFKAAAKVALRHVELVYYKPQEVYDSMRKLAEQTEVSMDNGDTEAGEEHQAADDNKGPPPFVVIPEVVPRKPTFPQSNRALMDDLMSLIYKYGDERTKARAMLCHIYHYAISDEFSVARDMLLMSRLQDGVQLMDIPSQVLFNRAMAQLGLCAFRAGLIAEAHSCLSELYSTGRVKELLAQGVQHSRYHEKTPEQERIERRRMMPYHMHINLEHLEATHLVCAMLIEVPNMAASTFDKRRPMSKTFRRLLEMSERQTFVGPPETVRDHVLAATRALIKGDHDKAFSIINSLDTWKLLRNKDHILEMLKLKIKEEALRTYLFSYPSCYESLSLDQLTTMFDLSEQEVHGIVSKMMMHEELHASWDQLTSCIIFHNVDQTRLQGLLFQMADRISVLVESNERAYEARTGAALEGLPTKRRGDGQDSSGLGKWQENFVSSQGGRRGGGRGYAGRGSGRGGGYQNDRLQNDRSGQGNRGGYGGGGGSRFQDGRARPQSGRGDGSARMVSLSRPGRD >cds.KYUSt_chr7.9724 pep primary_assembly:MPB_Lper_Kyuss_1697:7:59503059:59503409:1 gene:KYUSg_chr7.9724 transcript:KYUSt_chr7.9724 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGCMAVRVVGPVGGGAGAEEEERFVVPVGYLKHPLFVGLLKEAEEEYGFQQQGAITIPCGVENFRRVQSLIDHRQKTGGGGSSRGLVSGGHHHHNHGSSSGHLPFHIAACFRA >cds.KYUSt_chr5.36720 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232178171:232180464:1 gene:KYUSg_chr5.36720 transcript:KYUSt_chr5.36720 gene_biotype:protein_coding transcript_biotype:protein_coding MNRATPAERADCVQLMELARHRLADAADTVPVAHDDARMWLSAVLTDHVMCLNGLVQEPLRDAVPEALNCLGHEPLRDTVLFSPTTVKEAVPRWELFCELGLLGFLCWQGWGTDGL >cds.KYUSt_contig_319.924 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:6084123:6084380:1 gene:KYUSg_contig_319.924 transcript:KYUSt_contig_319.924 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFLCACGAAEAVCAAAVAGGSKCVDGDGAVKVKADELKVWLDLLPTSTNGLVCQLDDFLDGIVGCGRSCPISASNIEFPRTNE >cds.KYUSt_chr3.26568 pep primary_assembly:MPB_Lper_Kyuss_1697:3:165426288:165426923:1 gene:KYUSg_chr3.26568 transcript:KYUSt_chr3.26568 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPKKDCRNAIKSLEHAGDEQPPNAATPPLAGDETSWKRGSLNGRTEAEEDPPLEEEKAAAATTAEHGGASLLAEDSGGDTTPSLRNRRKENRLRNLPKLSSNLKMHHAAELLSPTTTVGQESRDSTTTLEKTTISRGPVMEQSQQSSTSEELQMPSLTTDWWHATAPNRRRTPAILQLHLLHHSTLSHPRHHSRPGEGEALGLFMEIPG >cds.KYUSt_chr5.42106 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265446051:265455455:1 gene:KYUSg_chr5.42106 transcript:KYUSt_chr5.42106 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDFAPPWPTSSPAPAPARGGGGVYQVGGVPVEFPYKPYGTQLAFMGRVITTLDRARRQGRSHALLESPTGTGKSLSLLCSALAWQRHYPLRAPPAAAPDPFLHGGGFVADDTQQQQATPGAQEKAVRKKNAPTIYYATKKLLDDKVQGCPEFKNAQKLSRHPSLQTGGSYEVHDIEDLLRVGKQVKGCPYFAAQTLAEAAQLVFCPYNYLISPIVRRAMDIDIRGSVVILDEAHNIEDISREAGSVDVDAESLDSLDGELARLATNQAVAMIYQPLHDVIEGLRNWITDQEGNVRDNEFEHPASFWTGENALKELQRAGITPVYFPLLQDCATKAVKAASDTESDGDHLAGRHAMTLESLFSSLSHFFAGNGRHMYDYQLAFQRFVQREEPRGSTEELEPVLNGYYNAILDERYQEERNLVHISKWLKNSIKLYSSFQETMVELNKFFQKAEEHIKIKRQDMFPKVKLDADILAAHSDKRKLPWPESDFLKQSVLQTNQKVKKEDISVKVSNIDAAHSDKRKLPSPVSNFSNQSVWQTNQKVKKEHGSVKVSNIDADILTPHSDKIKLPLPESNLSNQSVPQTNRKLKKEHDCVEVSNSDDVAGADPKNHGMSYTSPEAWKISVKSSDLAKRKSPPMPRSQTTACHLPPSHKVQYSAKHKANTGGDYEVNVIDLENCDSVPRYQKLTIFSPSQDRSEEPTLVEGTSEDDPVASPSNHSEVNTSAVMNDDEDQVVDVSASLSTVNRNHSCVSTLAGTPERTADRGYHLDHESSVNKSVNSHQQKRRRLNIPMSSCTDTEHSNPLNPSSTSICNTDSAVRLVPGDLKSTDGLCCRSMNMSRCENIKFERCPKPVELSSNKSAGKKLCIYCARCQTPLGLQKDGFLVSSSLSSPSKVYLTYLLRYGLSSVEFTQDLISSPPAVVNVIVCDASSLNQEILRKFCGEGSAHQSGVWSEKDGCVYKPITCPFCPCENASAAVLGAQVLATDASNLQSLNEVLLFADRLDVKHEPLSDVKHDSSSDVKLEPSSDVRLEPSSDVKFEPSSEPVASNQRGAVKPMSPPPVIDFKEFAYTPLKKNPVPLSTRRSKVSTS >cds.KYUSt_chr5.35669 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225382433:225385929:1 gene:KYUSg_chr5.35669 transcript:KYUSt_chr5.35669 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIGVRAKSGATVTFNGSTQRSRSQSLSPGERRGKMRRPRRPAKAGGCGGGGEAPVEAVGVKGAAGLPVIAVDGEGEAPLLGFPVAAAAGGGEDEAPVVEGVQPIAVKGNGKAEKDEDGIKWLKHYSSAQSILIVGDGDFSFSLALATAFGSAENLVATSLDSYGALTSKYGKAEANVTELKRLGATVLHGVDAKTMKLHSCLKMRRFDRIVFNFPHAGFKGKEDQLHVINAHKQLVHGFFANARYLLRPYGETHVSHKTGRPYDTWDIEQLAYDSCLIRVRTVAFSKEDYPGYNQKRGASAKCDQPFALGPCCTFMFCLGDVKKLKKVHGNMIGSIPFLGDSKFYPGVSAAGMSQFDLRPHALAWPQPHFPPVNTVHMPIPFVPQPFGVAPIEHPGFTVNFSGAERAPYFHQQQDLVQPMCRGQPLKVLPTQCGFRPPTSRVLANPEQPPVVPPWGGDYSYSPGGYQGLQREYEIHRQHTLSLEHRYIESVQRRARLEMLIAHYGSQ >cds.KYUSt_chr7.16297 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100956694:100959152:-1 gene:KYUSg_chr7.16297 transcript:KYUSt_chr7.16297 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAWPLPAIESIKNNGSEWILQALDQVPEQMHMMMMMTWWRVWHVRNEVVHHKPAPPIEASRRFLCSYLDSLLCIKQNPMADPIKGKSVIAYTTKSKEKNLRDATSSSQAALKTWTKPPLGWSKLNVDGAWTDEESVGGTGMILHDDVGMISVSACWSIPSCTSPLEAESRACVNGLALALQWTDKPILLESDCLEAIQMINDTGPNRSHMVALMKTIFTPRFVCKDQMYQPHFLARALNYNKEEYAAYRVLQPLKRSTWLRT >cds.KYUSt_chr2.49841 pep primary_assembly:MPB_Lper_Kyuss_1697:2:311782984:311789042:-1 gene:KYUSg_chr2.49841 transcript:KYUSt_chr2.49841 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDDEFHSAEDMEAEMESERKRESVLSYWAALKKDDKTVNRFSLGYLEHKILSWSVQDIFNKNLLRQQVKSIPDTFTSLCTYLNSFICPLIEEVHADVFSSLDGYAQASFIQIIWVEKLDDEKSIYCFEVSEPSKDQKSRQTYDPKEGDIIVVSLRKPQHVSDLIQSKASYVLGSVLKCGGREDDDFPSNCCIVRFSSAIPVQVDPETKMPTGPSFAVFLINMKTYNRIWKCLRMEAERQNKSSTGVVDLVWQYKRRVLDASSSCSPISQCFTRRSIDNLGLEKFNLNDSQLNAITDCVSAIENLSPSLKLIWGPPGTGKTKTISTILWAMLIKGVKTLTCAPTNTAVLEVASRIVRLVGESSDGSLCFLNDIVLFGNKERMKIDDSHDLSMVFLDTRAERLLPCFLPHTGWRHCLCSVIDLLENPVTKYKLHIEKIIEEMKREKEISEKDGDKPLRCKDRKSLPPRYPLRSNPNSKDHLVAPLSVFRKTRHNRPEDEGEECHEEGCYDSEAMMEAFRILPFKDYLKDSYTKLSKDLCCCIEILYTDHPRNSETGQSFQCMLEVLELIEILHDLINCYWDSDDIWSDKLLEGKVDEDCKPLSWPEKLACVRTNTCKKSKFKLARSLCVQELRYLHKNFELPNYYSGKQIQLYLLQRTKCILCTVSSSYRLYGVPMDRSTTDIGQLLKKPEKSNLLELLIVDEAAQLKECETLIPLQLPGIKQAVFIGDEYQLPALVKIKISDNANFGRSVFERLSMLGYSKHLLNVQYRMHPKISKFPVVTFYDGKISDGPNVTTKSYERRFLASKIFGSYSFINVDGGHETTEMHGRSLKNTIEAAAVSRIVQRLCKESVSTGIKISVGVVSPYNAQVRAIQEKLGKSYNLHDGFSVKVKSVDGFQGAEEDIIIISTVRSNKAGSVGFLTNMQRTNVALTRAKHCLWIVENGTTLSNSRSVWQKIVTDAHHRGCYFDASEDKDLSNAVIKAVIELDDAENLVKMDSLHISRPRTQRLETVGEMSGALK >cds.KYUSt_chr5.7711 pep primary_assembly:MPB_Lper_Kyuss_1697:5:48541456:48545105:1 gene:KYUSg_chr5.7711 transcript:KYUSt_chr5.7711 gene_biotype:protein_coding transcript_biotype:protein_coding MARQHIRFKIKSVNTMEADEEITPGITSEEYISRRKRLLDVLPEKSLAIIASADQQMMTDVVPYSFRQNGDYLYITGCTQPGGVAVLSKETGLCMFMPDKHKQDVLWEGQTAGVEAAVDFFKADKAFPLSEMKKILPEMIEQSKVVYHNAKASTSSYRNFDAFRRASLNNKVKDLTRYTDELRWIKSKSEIKLMRESASIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVIHYSRNDKKIKTGDLLLMDVGCEYHGYLSDLTRTWPPCGRFSAAQEELYSLILETNKECIKLCKPGASIEEIHRHSVKMLINGFQELGVIGKGKSIQYNYLNPTAIGHSLGMDIHDSTSLSKDRLLEPGVIITIEPGIYIPPVPILNENAPDRYRGIGIRIEDDVLITESGHEVLTASVPKEISHLTTLMNMDMGGGSSTAEAPEPRAACS >cds.KYUSt_chr7.34680 pep primary_assembly:MPB_Lper_Kyuss_1697:7:216517037:216517576:-1 gene:KYUSg_chr7.34680 transcript:KYUSt_chr7.34680 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMMNQEQLMHTISQLDSALAYLASPSSSPPQQPSVLVPSCTATTTLPSVTTMPVQPPPPAVANPEAQTATSRLLVGTVAADVERPRRRNGRVSSEPQSVAARLRRERVSQRMRALQRLVPGGARLDTASMLEEAVRYVRFLKSHVEALEQAAAAMQGRRVDEVAGGDFYHHCPYYA >cds.KYUSt_chr7.39643 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246416487:246427043:-1 gene:KYUSg_chr7.39643 transcript:KYUSt_chr7.39643 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGVGTLKSTSINGVKLYSVTGKNYVAPWVLAKKKRSLRKDAGTSPIRLPRFFLFPCGCTVAGLLVCLIGAVAVAVCLAVEYQRRLELIHDLRFETATTRIKVTPDGQYVIASGIYPPQMKVFELKELSMKFERHMISEIVDFEVLGDDYSKLAFLCADRSVCLHAKYGSHYSVRIPRMGRDLAYDCWSCDLLCAASSPDLYRINLEQGRFLASLSTQSPAINVVTRSMIHGLVACGGEDGAVECFDMRRKSSVGRIDTTSSSEDIDQEVTSLQFDENQGYLIAVGSSIGKVSVYDIRMSSPLRVKDHMYGSPILNIKWHQSLNSTEPKLITADKHIVRVWDPNTGNNMTSIEPDNGSINDVCIFPNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKQENTLYDDYKFLTEEEMERLGLSEYKNSDAVRAHLHGYVIRYDLYKKQRAKLDIMDYETLQKEMKKKKLDAQRKSRITQVVKIPKVNRQMFDNILAEEEELDADVDNVDKSSTRKKKRRLELTKSLLTDPRFDEMFKNKAYEVDVTSREYQALHPQVATAEPPLIEEHFDSVSEDEDEQDAGSSDESDSDNDIHNSKRIRLYEVKDDRHAEAFLNSVSLGGEEARPLGDRVAALERQQNSRALDKVKYGPGGSREISFIARSSRRRNDEEDEHSEEEATEVAAEVVAAEEATAVEEAEAVVAEEAEAEAAAEASLEAEVQANLEAEAEGEAGAGVAAAAAMLSVSRALGRRLFSSAAAASEGGAAASTSVVRKAQNPLEEFFEVERSAADDQARPHYGRGWKAAELRLKSWDDLHKLWYVLLKEKNMLMSQRQMLNSENMHFPNPERISKVKRSMCRIKHVLTERAIADPDPRRTAEMKRMINAL >cds.KYUSt_chr4.8689 pep primary_assembly:MPB_Lper_Kyuss_1697:4:52135670:52137703:1 gene:KYUSg_chr4.8689 transcript:KYUSt_chr4.8689 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGKKLIKIDVSSDVVCPWCFIGKKNLDKAMEQTMDKFDFEVRWHPFFLNPNAPKEGVKKSDFYKTKFGPVQFERATARMTEMFRGLGFEYDMSGLIGNTMDCHRLITLAGHQGCEKQNALVEELFVNYHCQGKHLGDRQVLVNAARKSGIEGAEELLEDTSKGVDEVKEELSKYSSGISGVPHFVINGKYQLSGGQPSNIFMRTFEAAAKSGAE >cds.KYUSt_chr5.3545 pep primary_assembly:MPB_Lper_Kyuss_1697:5:22959667:22963851:1 gene:KYUSg_chr5.3545 transcript:KYUSt_chr5.3545 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSGRTSAKGGGELRPPEQQRDPLELLSRSWSASAADVSRALAASAPAAIAEDVAGELDDCASGAGTASGSSFSFASAATSQVVLDRIMSHSQEVSPLTSGRLSHSSGPLNGGGSLSDSPPVSPDVDDARFFRTVSTPKPQPPPRGGSKTVGRWFKDRKERQKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAGSGGRDDRAARTDMAVASAATLVAAQCVEAAESMGAEREHLAAAVGSAVNARTPGDIVTITAAAATALRGAATLRARVLKEARNVAAVIPVDKGSMGMGGHKHGAPRQLHRVVQELGSSNSSSFSDDLPALEQDESNNFLGICCQELVARGTELLKRTRKGSLHWKVVSVYIHRTGVVMLKMKSRHVAGTITKKKKSVVVDVCRDVAAWPGRHVLEGGEHRRYFGLRTAEHRVIEFECASQREHDMWTSGVARLLAIVDGRKRFA >cds.KYUSt_chr2.15735 pep primary_assembly:MPB_Lper_Kyuss_1697:2:99069888:99070805:-1 gene:KYUSg_chr2.15735 transcript:KYUSt_chr2.15735 gene_biotype:protein_coding transcript_biotype:protein_coding MELHFQVQPQVLQAQDYCYYYQHHQEQAAAAQAAQPTKPRGRKKGGSSHTKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETADAAARAYDEAARLLRGAEARTNFAPRISPDCPLAVRIRGLLHHKKLKKARLPAASTKVAPASSSTPAAPAATTTTTTTTSNSNSNSMDGACGGGSSSSSSSSAISFDAPMKQGAGEVYRPDFAPLASAEDQFESWVFDSAPFGQFPALDSFAAVDACTPPAASPEETSAAPASGMAEFERMKVERRISASLYAMNGLQEYFDKVFDASACDPLWDLSPLCH >cds.KYUSt_chr3.4047 pep primary_assembly:MPB_Lper_Kyuss_1697:3:23018284:23018661:1 gene:KYUSg_chr3.4047 transcript:KYUSt_chr3.4047 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDAPSPLPRHRPLVLSHATAPGPLPRHRPLLHYVDAHVGLPVQNAAVAVPPHFTQAMQLVLALVNAGCRQHLRCVVHPLGHLQEGGEGGGRLSGGGLGAEQRRRQARALAAAAAGSGVLRPC >cds.KYUSt_chr3.39168 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246815754:246820740:-1 gene:KYUSg_chr3.39168 transcript:KYUSt_chr3.39168 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGRGGEVAAAGEVTRADFPDGFVFGIATSAYQIEGARNEGGKGDSIWDVFADKKEHILDGTSGEVAVDHYHRYKEDIELMAKLGFGAYRFSISWSRIFPDGLGKDINEEGVAFYNNLIDFMIEKGIQPYATLYHWDLPHNLHQTVGGWLSDKIVEYFALYAEACFANFGDRVKHWITINEPLQTSLHGYGIGIFAPGVCEGEAAEPFLAAHHQILAHAASVDVYRRKFKAVQGGTVGFVVDCEWAEPASDKIEDQAAAARHIDFLLGWYLDPIYFGDYPESMRKRLGDRLPNFSEKDREFIRNKIDFIGLNHYTSKFIANQPNPQPKEIHFFQARQVEILEKRSSGEAIGERAASEWLCIVPWGIRKMINYIAKKYDNPIIYVTENGMDDLDDPSAALDQFLNDTKRVGFFKGYVGAVAEAIKDGADVRGYFAWSFLDNFEWAQGFTKRFGIVYVDYKNGLSRHPKASAMWFSRFLNGTGADSKPDTY >cds.KYUSt_chr7.10817 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66466080:66469403:-1 gene:KYUSg_chr7.10817 transcript:KYUSt_chr7.10817 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDVPSVELMTELLRRAKCSSKPDKRIILVGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLAKQGAKVDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKTPGVDDVTGEPLIQRKDDTAAVLKSRLEAFHIQTEPVIDYYSKHGLVANLHAEKPPKEVTVEVQKALS >cds.KYUSt_chr4.36793 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226246372:226247544:-1 gene:KYUSg_chr4.36793 transcript:KYUSt_chr4.36793 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPSPPTGGGALETAIEEVRVTLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNTPVLGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSLATVKKELASPPPTSGRSSGALVIRDQPSLPQRGRKRKTAKNQAATAAANQLAEEEAKRAEDTAVAEAIARSLKDLVPADNSLPHRRRAGVVQRDRERRGGGASSGGCWIRPPRDNSPPRRRTVVLPERRARGGDQARGEQRRRHLPVFASTRRRRRRGTSRRYEAPPPRDDAGSSDDDDGGDYTTFYRHFGM >cds.KYUSt_chr1.993 pep primary_assembly:MPB_Lper_Kyuss_1697:1:5236702:5239751:1 gene:KYUSg_chr1.993 transcript:KYUSt_chr1.993 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLCSIAGGRSRTYAERFPSLLDPLPGLRSPPALHDEDPPPHTEVTTLPNGVRIASQDIPGPMCCVGVTVAAGSVHETPSSAGAAHVLERLAFHETRSRGLGEIARGVEAAGATLGAAAGRERMFYRCGALRAGLPLAVEVLLDCVRNPAFLRNQVRCKVAEAREELSFLEGNPELFLRESLHRVGYSGALGNPLFPTKEALARINRGAICNFYFVTHVAMAFEVPGGWHQAQSAVIMKVMQTLMGGGVSYSSGGPGKGMQSRLYLLVMMEYDWMQAISAFSSVYDDTGLFGIHLAAPSDRVAEAVDIAIKELTAIARPGEVTEAELKRAKKSTVSSGLRNLESKKSHTEDIGRQILIDTSGKASQHFLEHIDEVTLDDITSVAQKMLSSCPTMASWGDVDKVPTHEYVCKRIESPPSDLMWALKSLFS >cds.KYUSt_chr1.1378 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7495763:7496959:1 gene:KYUSg_chr1.1378 transcript:KYUSt_chr1.1378 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVLAVLASLLGAVSCEFPIYAGYGFPPPNPSVPYPLPPACPPLSPAPGLKVGYYADKDKCPRAEEIVREVVEKATAGEKAGLIRLFFHDCFVEGCDGSVLLSGTDTERTAVPNLSLRGFELIEEAKAKLEKHCPGIVSCADIVAFAGRDASYSLSYGRINYRVPAGRYDGKVSRANDTFQNLPPPFGDLALTTAMFAAKGLNQNEMVVLSGAHSIGRSACSSFPDRLPPAANSSTAMEPKLAGQLTATCSAGSSVNVPQDAVTPDRLDNQYYKNVMSRDVLFNSDASLTTSSQTEDLVEFYAGNLPFLGGKFLGPLAWYHDFEDAMVKMGYIGVKTSAEGEIRKTCASINKP >cds.KYUSt_chr7.961 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5092552:5095803:-1 gene:KYUSg_chr7.961 transcript:KYUSt_chr7.961 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDSLVQRCAAALEDFAGQEACAALGIRENVRGLLATLARIDAVVAHEEQRRVLSSKTDAWVAQLKDAMYEVDDVLDVCAVEGAKVLADADHPPAPKVRCAFMMFSCFRSSAPQKFHHEIGFTIRDIDIRLREMEDEMPALPAGSLIARRDWLISDETRIRSCHELPACAVGTHVQKSVAALVPRMIREGKKKVDVFAIVGAVGIGKTTLAREIFTDERMTENFPICVWVKMSKDLSEAAFLKKIIAGAGVNIGDTDNKEELLGLLGSALSKRFLIVLDDLDSPSIWDNLLKDPLGDGVARGRILITTRDEEVATGLKAIVHRVDRMDAENSWALLREQVFADSSSEELGALEDVGIKIAERSEGHPLAIKVIAGVLRSRRASKAEWEVILDSDAWSMRPFLQQVPQALYLSFVDLPSELKECFLHCSLYPEECPIRRFDLVRHWIAEGLVKASENKELEESAEEYYLELIGRNLLQPDPDNLDQCWITHDLLRSLARSLITDESILIDGQQRSSMGPLSSMSKPRHLALCNMENSLEGPISVKQQMSLRSLMLFNSPNVRVIDDLIVSAPCLRVLDLSNTAVEALPKSIGNLLHLRLLNLDGTQVRDIPSSVGFLVNLQTLSLQGCQSLQKLPWSISALQELRCLCLQGTSLRYVPKGVGELQHLNHLSGLIIGHDSNDPEGCDLDDLKFLSELRYLHLERIDRATTSGAAALANKPFLKVLHLSEQAPLIEEEEHEEEQENLEGAGKEEKSEREINNQCSKDDSAKASEKIWNELTPPQSVEKLVIKNYQGRKFPNWIAGPKLSTSFPSLAFLDLDNCTSCTTLPALGRLNQLKSLQISNAESIVTIGSEFLGTTVLSQSTSFPKLEVLKLRNMKKLEDWSLSVEESQILLPCLKSLHIHFCPKLKGLPEGLKHAALCDLRVEGAHGLTEIKDLPKLSDELYLKDNRALQRISNLPVLQSLTIDDCSKLKHVSGLDSLSHLRLVFPPSTETFYFEELVIFWSIAFPRWLEVLIRKRNGLRRFELQCTLPLLRSCLDGGKNWHVVQQIPEVRITSTDGKKYIRYNKGRRIYETNAQSED >cds.KYUSt_chr5.40541 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255941291:255951495:-1 gene:KYUSg_chr5.40541 transcript:KYUSt_chr5.40541 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIQKVLPGTAHRWCKWHVLKKAKESLGPLFTRRHAFRAEFNKVVNHMLTIDEFEDAWDVLLEKYHLREHAYMTQLYEVRQKWAKPYFKGIFCAKMTSTQRSESANSMLKKYIPKGCSMHMFVRQYMRLLYDRESDENYQEKRTKVVMDYVGITEIPIKHVMKRWTRDARDVLPEHLRHYQRDHAAGKNFTKRHSTLYIQAMELVRLGDTSATAYEKLSGMFKEILTVMAPFEETRDGLGLEDRPTVSGKDGKRKGDECSVEGSVSVSEHLMAGMTAPSKKQKAGRPTSSRDRAPYEGCSKRTRFCSIYKRPGHKRTTCPDRGDEPKLPRKFLKEGMAPPEAWSSLPALTSESGSSAVAAGGVQVEALEDLAVPNRARRIAGVDSGVDTEVDEESVMGVLKCSSQTGTGAVDPERAICFAEVQAVHAGVEEGWDEHDPFGATEELVNVRDGLEEGDMSGVWAWSAVSMKPGPVAGRKGATPPEQVAAGASEPTGGPPVVAEKAKSPAIRSGFSTSAMLGVGTICYPVVASTGLETVLGVESGTKPVQVTTRRSRSSRVSLIEDVQGLLGMEVNSSGGIGIDDLKRVLLICDPAGMTSDQVSAAKVAFTLLACSCFLSPKQCGQNINLPDEVLCSVSKPDEIGNYNRAGYILDQLRVAATRFQDDVKKRAKTYTLSGCNLLLQIWYLEWMDFGDANLVTDLVPKVAAYSLEKIKELIRLDTEDMSLEKPRLKATGKIRTLIEEEVIALVKDAVVKAVFGLSHPGSEGGVSEARTAAQIEEIFGEVDARKRELEIKFITALFPTGRLAEARNEIFASKQIQRVDKPSSSSKGRRQKVDDQGVVIAGVETGADEEQGLATGATPHVSAAVGPGVSGVMDVADMCANAGGSEHGAVEAVVPVDAGDKHAAGGGEAIVARDVIKPACSRRKRKNLALPVTVEKRVHFSDKVSIIEGADEEQGLATGATPHVSAAVGPGVSGVMDVAAVAGAMCDSNAVINECVNAGVGGSVEIPGEAAVADMCANAGGSEHGAVEAVVPVDAGDKHAAGGGKKAGASDMDVQLHGRSTAPASGNASKSKMVSGSAMGSGSKSLARAAAKSRKRAACNIRARGAPSPTDRTVGVLAGLNSVKAKRKDESNYVVEQPVRSAAANAPAGTAVGYNTTVKKHKGGRRFPADIGLHHEEPHVFAVLCFNDKVKDCEGYELEREWFVHMHPYGVAMTGSELMNQLGPDGEIDKEGWAVIWRLLRHLDAKLFRGAFARWRHVFEPRFAVRTMMAEDHVGYNLSNVNKLMLTHYSYDGWALYVVDVEAKEVLVMDPAETSELPEEMMVKHEAAAKKIVANLCKAIEVCIPGWEVSESGWNYFYNWSMHPSCEREKSGLYIMHYIREFDGVRLRNDISESQLEYMRMSLFYGIMKMTANRGDRPSFMKDIRG >cds.KYUSt_chr2.5069 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31439355:31440320:-1 gene:KYUSg_chr2.5069 transcript:KYUSt_chr2.5069 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLQSAKDLKNVNFMTRMEVYAVATISGDPITRQCTPPDPYGGRNPTWNTTLRFAVPPTAGGGGSGCLHVVLRTERSRRDRDVGEVIVPLEEIFAGIGYDRGPRPPQFAAYQIRKLHRAETRGVLYLSYRLSAVFVPQAQAPHRWATRASSDEPFVAYPAARPYGHQPYDYMLVPALMPPPPTPRAPGHMSTAPASPQSSGGYMAFQPSPQDPGHMALPPPLPKAYGHLSMPPPLPPIKTSGHATPQKTAGYAAASPSTTGKNNGNPDFGTELSAGLEGDTSGGMIVGDTMSDGAAYSAGYRAAMAREWRRGVY >cds.KYUSt_contig_1181.640 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:4494870:4496553:1 gene:KYUSg_contig_1181.640 transcript:KYUSt_contig_1181.640 gene_biotype:protein_coding transcript_biotype:protein_coding MALTARPLLASALLALLLAAASAADSKNNPADELVTLINSNRTASKAHTLADNQGLGCIALQYIKAYKGECDQVGENKKPVDSSFTDTFAPNCGVQATTLAKITGRLLACQPAYPPPAQAFDMLISDARRLEILHSKNHTQVGAAVTGTSGTGPYFWCVLFSDGKPNSTFTVEGGVPKTAHPGCFSGNNDECSGAISTGVSTWRLVSAIIFALFGAFTL >cds.KYUSt_chr6.19788 pep primary_assembly:MPB_Lper_Kyuss_1697:6:124744068:124756456:1 gene:KYUSg_chr6.19788 transcript:KYUSt_chr6.19788 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYCVPRERRYVLEVLLNGLRRLEYRGYDSSGIAVDADLQPGSAPAYAGASPIVFRQEGKIENLVRSVYSEVDEKGVNLDAAFAVHAGIAHTSWATHGVPAPNNSHPQSSGAGDEFLVVHNGIITNYEVLKETLIRHGFIFESDTDTEVIPKLAKFVFDKARDGEGDMTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNEVNGQNCGQSFNNVKSLTANGSPREFFFSSDLCAIVEHTKNYLAIEDNEIIHIQDGSVSILKFDREKEKPASVERALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTMTMRAARPLVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALEYALENGAICVGVTNTVGSTLSRRTHCGIHINAGCEIGVASTKAYTSQIVVMAMMALSIGSDQLSTQTRRESIITGLSSLPSHASEVLKLDSDMKELTSSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFRKQKSVIQQLLSRKGRLIVLCSTGDISAVVPSGSCRTIQVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >cds.KYUSt_chr1.23800 pep primary_assembly:MPB_Lper_Kyuss_1697:1:141769976:141771491:1 gene:KYUSg_chr1.23800 transcript:KYUSt_chr1.23800 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKMKRGGETCFLLQAAAVVSYMVLLMASTGARAQMRVGFYDSSCPAAEIIVQQEVSKAVSGNPGLAAGLLRLHFHDCFVGGCEASVLIDSTSGNTAEKDAGPNKSLRGFEVIDRIKARVEQACFGVVSCADILAFAARDALALTGGNGYQVPAGRRDGSVSRAQDTSGNLPPPTPNVNQLTKIFASKGLNQKDLVTLSGAHTIGGSHCSSFSSRLQSPSPTAPDPTMDPGYVAQLGQQCAGASPGALVPMDVVTPNTFDEGFYKGVMANRGLLASDQALLSDGNTALQVVTYANDPATFQSDFAAAMVKMGYVGVLTGSSGKIRANCRVA >cds.KYUSt_chr3.11487 pep primary_assembly:MPB_Lper_Kyuss_1697:3:68478033:68481002:1 gene:KYUSg_chr3.11487 transcript:KYUSt_chr3.11487 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRSRIRGREPPPPSPSGGRYRRRSPPPLAPSPRHHRRARDPPPLPQRRTPERPPPLPQRRSPERLPPRRLLLDDKPAPPPALLVPAADRRWRADILLEAGRLAAHYLVAQGVLPEHVLHAREDPSPKNANTNTNHTPSPRPEVPAVHAAGYARKRDDEPHPDDDPRWPRRNGGGGDWVRDKREEDDRLARARSGWDRRTQSFDGRRRYNDGGAAGGAGAGGGGDWGRDKRADGGGDRLADRGGGGRRGNAYDEKRRPAMARSYSQNDRRASSDDRRPSVDRRLDRRRRSRSRSRSRSRSRTRPRNSYGGGRRDSDWRPQNGDLDQGKVPEPTTVATGDGYLDRDDVDHAPSNLKGPRSVVVMKADDSASHEYEEVVVMEVDDGASDEDEEGMVSDDHGEDDGASYEYEEVVESEEDDGASHEDEEMESENHGQDFRGVKDDEAAVAGLNDGVEINARHQPSIVDVHPSEPVEEPVHMQSQLSPVKEDTEAGIARTDVCPIEPVAENSGCSEVRGEMEAPQSELETTLHEEIPQNELEPELPEEIPQNELETGAGDPTKDEEELPAWFGIFDLNVTGAHGTGEMSEIPGDPPEDHVPDSVPDLDGQMSEQAYYDTTETQAQEELADDNHQLEEDSKVLLNQDIGTYGSNENDLSSEQMLIDRGANEHGHGDEQLEDEQNLVNNEEEPLKQDVEEHMDNNDQLEDEQNLVNNEEEPLKQDAEEHMDNNHQLEDEQNLVNNEEEPLKQDAEEHMDNNHQMEDEQNLVNTEEEPLEQDAEEHMDNNHQLEDEQNLVNSEEEPLKQDAEEHMDNNHQMEDEQMLVTNEETPLEQDAEEHVDHNRQMENEQMLLNEGTALQVLANHHANGEQVLPDHATDEHPDVNHQTKNEQMLLHHVTGAHDLDHCDLNGEQMIQNLDHCDLNGEQMIQNICADKSAADAGQLKDGQLHLGQAADGQAALHSLENGQTIPVIHLEDDDEEQSDTEEFLDPK >cds.KYUSt_chr3.44980 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283362533:283369790:-1 gene:KYUSg_chr3.44980 transcript:KYUSt_chr3.44980 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGAVAPVAAAMRGVLARAGRAAERSGRAAEAVRVVAIGKTKPVSLLRQLYDAGHRCFGENYVQEFITKAPQLPEDIRWHFVGHLQSNKVKSLVAAVPNLDMVEGVGNEKIANHLDRAVVSLGREPLKVMVQVNTSGEESKSGIDPSRCVELAKHVKLACPNLIFSGLMTIGMKDYSSTPVNFKTLVNCKLEVCKALGIPTEQFELSMGMSGDFEQAIELGSTNMGFTMTGSEDVKGLASSLGDLQVEASPSREKEIDWLDNGDDAAIDDDVWDDAAILDRDWAHRKNQFVKMGYRDGITEGQKDAAQEGFNIGFEQSVHVGYKWGLVRGITSALDSLPDSLKEKLLLDGQRKGKLEDLHNSVQGISSDGALRLFHESILQDNPPSEENRLQSVPNDLLLLLNECPDVRVPEELTRVP >cds.KYUSt_chr4.8461 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50656674:50658213:-1 gene:KYUSg_chr4.8461 transcript:KYUSt_chr4.8461 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSAPTPAIHSLSAPSPATPHLRRATCAASTLRESSQSPQSPLSAFSRRAALLALVLAASPARPAAADFSFSFPGPKEMLREQKKKSARYLLAPIAASRETLVKAQALLASENASGADAEEVRGRLSAAGRDCVPRERNSIVAFQSRTGVEVCTFSLILKNAASLLDNKDPLKVEADARLAELIESFADLGTVVDNSNFELSGDRKKMKDDLLSTISALDKYEQGVKDCLGV >cds.KYUSt_chr6.3069 pep primary_assembly:MPB_Lper_Kyuss_1697:6:17973862:17975897:-1 gene:KYUSg_chr6.3069 transcript:KYUSt_chr6.3069 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPSPAAEPTSAADDLSPAEARLAMMELANMISVPMALTAVIRLGVPAAIWADGANAPLPAAALLPAGHPDPSVLERLLRLLASRGVFSEHTTPGTSHSRRYALTAVGRTLVPTGPSGASYADYVLQHHQDALVLAWPRLHDAVLDPAGPEPFARAHGGVPAYAYYGQDREANEVMLRAMTGVSEPFMEALLDGYGGGFEGVATLVDVGGSSGACLEMIMRSVPTIREGVNFDLPDVVAAAPPIAGVRHVGGDMFKTIPSGDAIFMKWVLTTWTNDECTVILKNCHKALPEGGKLIACEPVVPETTDSSTRTRALLENDIFVMTTYRTQGRERSEEEFRQLGLTAGFSGFRALYLDPFYAVLEYVK >cds.KYUSt_chr5.40002 pep primary_assembly:MPB_Lper_Kyuss_1697:5:252804760:252812625:-1 gene:KYUSg_chr5.40002 transcript:KYUSt_chr5.40002 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPVELPGRLAILPFRNKVLLPGAIVRIRCTNPSSVRLVEQELWQREDKGLIGVLPVRDSEAVPLGSILSSGAGGDSGEGGSKSPGGSGGESTKQDAKNGKEPIHWHTTGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELNARGSYHVARVSRLDMTRTELEQAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKLLLETVPVYRLADIFVASFEISFEEQLAMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKDELGDNDDDEDDVAALERKMQNAGMPTNIWKHAQRELRRLRKMQPQQPGYSSSRAYLELLGDLPWQKVSEERELDLRAAKESLDRDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVVFVATANRMQPIPPALLDRMEVIELPGYTPEEKLKIAMKHLLPRVLEQHGLSSAYLQIPEAVVKLIIERYTREAGVRNLERNLAALARAVAVKVAELDSTLRLGKEIQPITTTLLDSRLADGGEVEMEVIPMGQDITNSYENPSPMVVDEAMLEKVLGPPRFDDREAADRVASPGVSVGLVWTTFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPNSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSNRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLAEIPAPILSGIEILLVKRIEEVLGHAFENGFPLRLPSSL >cds.KYUSt_chr2.4280 pep primary_assembly:MPB_Lper_Kyuss_1697:2:26233296:26233925:1 gene:KYUSg_chr2.4280 transcript:KYUSt_chr2.4280 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGDIMINGRREKLAFGTSAYVTQESVLMTTLTVAEAVRYSAQLQLPDSMSPSEKRSRADDAIKQMGLAVVADTRIGGRVSKGISGGQRKRVSICIELLASPSLLFLDEPTSGLDSAASYHVMSRIARIAQMGGMTVVAAIHQPSTEVFELFHGLCLMANGKTVYLGLAAKAIEVRLRN >cds.KYUSt_chr3.39847 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251201061:251207459:1 gene:KYUSg_chr3.39847 transcript:KYUSt_chr3.39847 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTISRLREAAAPLASASAATVRRCSGGPRIHADVNCPRCTGHMSVQLSVQPVPPPPADGASHPPHHHDGAGECPTCRAAFLFRAHRIEPLRGAFLEIPGGVGGADEDKHREGLLRIKRMITERPPDEWPPMPQPPPMQMPQVPSRRKQGRRRGREEGGGGGGGGGGGGGGGNSGDSSGGEGTSAAPKREWWGGASLGEELPTPREMSRRLDEFVIGQGKAKKVLATPLWSQLWVIRFQRVRSLLVLASAANSGYPDTTDDEQNNVEIDKSNVLLMGPTGSGKTLLAKTLARIVNVPFIIADATSLTQAGYVGEDVESILQKLLVEAEYNVQAAQQGIVYIDEVDKITKKAESANVSRDVSGEGVQQALLKILEGTVVTIPEKGSRKNSRNDSIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSGVTNPTITSSLLESVESGDLAKYGLIPEFIGRLPILVSLAALNEDQLVQVLTEPKNSLSRQYRKMFSLNNVKLHFTDGALRIIAKKAIVRNTGARGLRAILENFLLEAMYEIPDEKAGDERVDAVVVDEEAIGSVDRPGCGAKILRGDGALGRYITRSNMPNSPETNEAEVEDAYMLSRIVSL >cds.KYUSt_chr7.40222 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249747643:249752207:-1 gene:KYUSg_chr7.40222 transcript:KYUSt_chr7.40222 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVGGEGNWEEMLRRMLPPGTAIPEGNLDYSIALEYDGPPVAYEVPRIDPVDMADVPTAEPVSGSYRLGNSVVPVAPVFRPAAPRRADPPPAPPARVRVSSESANSAPQDEGDSDHDDDSRQASREFARSWQGHTDSNRPAAPEGRRSHVVTFGLADDSKYDDSSELDDTRSEQFVAATRKEKRDKTCDRCGKRKWEGKEACIVCDKRYCGYCLLRAMGSMPEGRKCITCIGRPIEESKRSKLGKSSRILSRLLSALEVRQILKAERECQANQLRPEQIIVNGCPLCQEEMSDLLSCARPPQNLKPGRYWYDKESGLWGKAGEKPNRVVSTNLNFNGKIQPDASNGNTQVYMNGREITKIELKILKIAQVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWQSPFTRFVCAMVSLPVPPPNSDEPKDDSPYSARAVPDYLDQKRVQKLLILGSPGAGTSTIFKQAKLLYGTRFTPEELDNIKLMIQSNMFKYLGILLEGRERFEEEALAISNNPNSEDGDTQQDENKSSDSNSCIYSINAKLKKFSDWLLDIIAMGDLDAFFPAATREYAPVVDEMWKDPAIQATYKRKEELHFLPEVAEYFLSRAVEVSSNEYEPSEKDVIYAEGVSQGNGLAFIDFTLDERSPMSELYGDSHDPTSQALNKYQLIRVNAKGMNEGCKWVEMFEDVRVVIFSVALSDYDQLGLSASGGSRRLLNKMIQSRDLFESTIRHPCFRDTPFVLVLNKFDLFEEKIGRSPLSGCEWFSDFGPLRTHNNNQSMAHQAFYYVAMKFKDLYAAHTNGRKLFVWQARARDRPTVDEAFRYIREVLKWEDEKDEGFYPEESFYSTTELSSSRLVGAGEWQQQSAAG >cds.KYUSt_chr4.35624 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218747865:218760161:1 gene:KYUSg_chr4.35624 transcript:KYUSt_chr4.35624 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDQSSSPRRPPSSTPGYLDPESSRLREEYRRYRKRLSSSNDSPLLGTSVSRFSEARVLHDGSSMPRRPNAGLLLEEIKQEAADYSDIDGFDGSKLFGSAKRRTSLDGGSAPDAGFVSGRKPVRSSMNPVKMEGDMLQQGETTFTVFASLLDSAIQGLMPFPDVILQFERTCRNASESIRYAATGKLRMAEDKLMQQKAQLLLDEAASWSLLWYLYGKANEELPGELFVSPTTSHQEACRYVATDLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYLKRKNNDSNIVKHVDFDAPTREGAQLLPDDKKQDELLLEDIWTLLRAGRLEEACELCRSAGQAWRAATLCPFGGVDMFPSLEAMLSNGQARTLQAIELESGIGRQWRLWKWASYCASEKIAEHDGGRYEMAVYAIQCSNLKRTLPICTDWESACWAMAKSWLDVQVDLELSQYHTSRQEEKQYDDDMNGTQPMLSSVGPENWPYLVLDQQPRDITALLQKLHSSDLVHETVSRACREQHRQIEMNLMSGNVAHLLDLLWSWISPSEEDQNVSRSRDDPEMIRFGAHIVLVMRYLFSEEMEDELEEKLVTVGDLIINMYVRYLFSEQQEELVGVYASQLERDVCIDLFVEMMELRLNSSLHTMYKLFLSAVEYLPFSSGDVSKACFEEIIERVLSRSRETKPNKYNEDFSDVAERHHVQALHKAMVIQWLCFTPPSSIPGFQMITGKLLMRALMHSNTLFREFSLISMRRGSELPIGPHKLLAILAEPLKQKENFSLEDQEISDNLQEFEDWHEYYSLDATYRGWLRCEMENSSVPPDMLSAEEKDQAVAAATQTLELAFLLLLREERPWLNAVEISPFESSELVFLELHATAILCLPSGECMPPDATSCTALTSALYSTVSEEEVLHRQLKVDVKVSSKDPCCIEVALRCLATEGDGFGLHEANDGGLLAAIMAAGFKGELNRFQPGVSMEISRLDAWYSDGHGSVESTAAYIIRGLCRRCCLPETILRSMQASISLSEAGDSLDHCDKLIELVASSDSGMIHLFSQQQIQEFLIFERECFICKMELEEEQIPTDG >cds.KYUSt_chr2.31103 pep primary_assembly:MPB_Lper_Kyuss_1697:2:191893032:191896252:-1 gene:KYUSg_chr2.31103 transcript:KYUSt_chr2.31103 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRPSWSRSVTETVRGSHQYTLKGFSLAKGIGPGRHLSSDTFAVGGYDWAVYVYPDGKNHEDNASYVSVFVALASEGTDVRALFELTLLDQSGRARHKVHSHFDRSMQAGPYTLKYRGSMWGYKRFFRRTQLETSDFLKDDCLVMNCTVGVVKNHLETPKNIHIDVPPSDIGRCFKDLLRLRIGCDITFEVGDEQVQAHKWILATRSPVFKAQFFGPIGKADLDRVVVEDVEPIVFKAMVNFIYSDELPGIHELAGSFSMWTSTAVIQHLLAAADRYGLDRLRILCEAKLCDDLTSETVATTLALAEQHHCAQLKSACLKFTAVRQNLGAVMVTEGFNYLEETCPSLLSDLLATVAVVDDDPASSVNRKRGVSINEDANPVETVEGSDRRTRRRV >cds.KYUSt_chr1.37149 pep primary_assembly:MPB_Lper_Kyuss_1697:1:226951551:226953711:-1 gene:KYUSg_chr1.37149 transcript:KYUSt_chr1.37149 gene_biotype:protein_coding transcript_biotype:protein_coding MESGCRCGSIDPVVDFAEQPQVLNMVVATVPKIVHPAPITVPQDGASSSSSGASLLPAGFWRLAAMDGMSMMGDEIDYMKEPLQRKMQEQAHDVDTVVALLSLFLESNLNENWP >cds.KYUSt_chr7.9454 pep primary_assembly:MPB_Lper_Kyuss_1697:7:57672936:57675333:1 gene:KYUSg_chr7.9454 transcript:KYUSt_chr7.9454 gene_biotype:protein_coding transcript_biotype:protein_coding MLDILNYATQNRASVPKFGTWDTDNVGYTVYFEKVRENKGATAPPLQRPFNPNDPEEDAPPRLVTPTASNRPVSSIGGHLAGLQQQHRRVGSNSSVASDPGGHRGGHQSKFAPPPQYHQRPQPSAQQQPSNGQHGGDHGHHQRPAGHHKPHDARHQQQQPGTRARSASPSPHHVAQGGRQRPVAVPKFGVWDEQSAAAQGFTVQFERVKRDREVARGAPPGVPRRRMPSPESYANARRSQTRHTPFYSKMFGCFLPHTRD >cds.KYUSt_chr6.536 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3303568:3312365:-1 gene:KYUSg_chr6.536 transcript:KYUSt_chr6.536 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATPRKRDPVEEQCLCRPDELQCLCRPNELGTARFQVIEIFPYLEQPREFHIARYAKLIISAPSHGRPCNVFQVSTAFRPTGGRQTTSGMPLKSHRANGIQRRGCGTALQSLQMRRFPSQYLLNARRLDGSSSHGGQRERGPRPPTCSWCVSPSSAPNPRQIAKQTTRAAFLAIWHAGCQLWAVAFIAGEMGDAAGGLMTCMKQLAMAHLLEQAARLATADRIRSFVSAPWFLVWLFFFFFFRLVRRDEGAAGPELRVRPHHDVSGQAVATMGVMQTRVLRKGDAGTRLVVHGRVAVRAGVIACNHPLPPLYIKTPCSSSPNFSPLPNLLVAAASPLPDLLLAAPKMSSSSSRKIAAANGFSRGSLTVAEALALCHARYLVPPDMRLPSRRGWKMAMNGIGVPPPPKAGTD >cds.KYUSt_chr4.7082 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41928332:41929628:1 gene:KYUSg_chr4.7082 transcript:KYUSt_chr4.7082 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHCESYCASPPCYIPCLPKSKDAPPGAGALSTPPCPVEDKPPPVQKFEPVEAPEKKDDVESRKDEDGEKTLAAAAVAPPKSNLKKPNCATDGVCAPKGNVKWLDLLGKDLTEVREFEPRALK >cds.KYUSt_chr4.22104 pep primary_assembly:MPB_Lper_Kyuss_1697:4:139045093:139046232:1 gene:KYUSg_chr4.22104 transcript:KYUSt_chr4.22104 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQGAAPSSSTSTSTNSSRSTSDHPHHAAAQHHHPFYYAATAAATGAAPPPPPSFMGSLAMVPVATPTGGQTAHQAITVAEPPAEKKVAKRPTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKTDGETVEWLLQQAEPAIVAATGTGTVPANFSTLAASLRPGASSRAAPFQHHLHHQPQHDVGAMLGFHGHHQHQLLSPQHQQHQHPEAPQDPGAGEFMRKRYREADDLFKDSARHQDPASAAAGGGDSGQQKPRPTPPPTAVSGAMWAMAPNNGAGGGGAFWMQPAWTFAAGNTVQAPLQFMARSSYPGGIGEPNLGMLAALNSCGRSTGAGEQHQQQQEEGQPPEMDQNRRGSTSASANGGEAGHSAASPQ >cds.KYUSt_chr4.19435 pep primary_assembly:MPB_Lper_Kyuss_1697:4:122174547:122174900:1 gene:KYUSg_chr4.19435 transcript:KYUSt_chr4.19435 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSSTQYGIPMSLVEKIEPRSRSSLLFPIVMYVEEKRWTDSFRVGLILLHGVLLRRLRPAFGGRHSGGAPKQAPTVASSTALARDLEVPLEGIISAYYSGRMAALESLSSHRFFNS >cds.KYUSt_chr3.42126 pep primary_assembly:MPB_Lper_Kyuss_1697:3:266119367:266124118:1 gene:KYUSg_chr3.42126 transcript:KYUSt_chr3.42126 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEPEAEKAESGAEEEEEQEDDGDEEEQQPKKRGRRKMLAGEERPLASPASERPSRERKTVERYAELTPRSTSAKKTTVILQGPGTMLKEIPNVSFKLSKRKSDEVLQSLHTIMFGKKSRVHFLKRNISQFSGFVWIENEDKQRTKVKDKLDKFNKEKLLDFCEILDINVKGTLKKEEVSAKLLEFLESPCITRDVVLTEVKKGKKRGRKSKASGQSSAEGASGEKKKRKSRKQAVEAEKENDEEDAGPAGSEDVPMGEDDDSEAQEDAGSDEEPEETPAKKKSTDGKQGKKEAGSKVKENDASGKKASTKPAKAKPDVEPESKKAGKKASKSSTKEPNTPVDKATKKASKPKKDEKENQSNNKTPKKRGAKASSENKGKGKGSTDAGSAPTTKELHAVVSDILKEVDFNTATLADILRKLGAHFKMDLMDRKSEVKRIIEEVINSMSDDEGEEENDEDAEENGKKENSKEDPDEDEK >cds.KYUSt_chr1.24619 pep primary_assembly:MPB_Lper_Kyuss_1697:1:147362436:147364130:-1 gene:KYUSg_chr1.24619 transcript:KYUSt_chr1.24619 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFYRKGPSGFAGASTAEEVTAGTDGRGLVAVITGASSGIGRETARVLALRGVRVVMAVRDVSAGIRAKEAIQAEIPGGAEVDVLQLDLSSMASVRRFADDFGSLNLPLNILINNAGVMTRDCTRSCDGLELHFATNHIGHFLLTNLLLENMKSASRNSGIQGRIVNVSSAGHIMTYPEGICFDKLHDPSGFSSFIAYGQSKLANILHSNELSRILKEEGVNISANTVHPGVIATSLFKNRTIVNGIPTAEEEHRAMFIQGAATTCYVAMHPQVQGITGGYFGNCNIAKPSSQGVDGELAKELWKFSLQIVSS >cds.KYUSt_chr4.33082 pep primary_assembly:MPB_Lper_Kyuss_1697:4:202775493:202777315:-1 gene:KYUSg_chr4.33082 transcript:KYUSt_chr4.33082 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKTSTKIAIRKQTYKGYPQAPPGRDLIYPDFNLPILQTSFQGTSKVGPAEKFISFKMSSTNVEMGEIQLTDMKQSETASIAQMSSPDGEVGKIKENDNKQIDMVGNAQMTSTDVEMGEIQENDMKQSETACSAQMSSIDGEVEEIKENDNKQIDMVGSSQMSSTDREMEEITEDYKRLDDIKKYIMSFPSTTKLVRIHDSCLRRSD >cds.KYUSt_chr6.16824 pep primary_assembly:MPB_Lper_Kyuss_1697:6:105986462:105989440:1 gene:KYUSg_chr6.16824 transcript:KYUSt_chr6.16824 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKLGLKRGPWTAEEDAILVAHIERHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDVKRGNFTGEEEDAIIQLHAVLGNRWSMIAARLPGRTDNEIKNVWHTHLKKRLDESRQGTTAAPKRKTKKPMPESSLEGPTSVSETVSSPEQSLSTSSAATDDSMTTSLENTGSFSSDSEEFQIDDSFWSETLAMAVDSSMEAGDPLGADSASPSSSNDEMDFWVASVVPLPPEIQTGSIDEGFECCFGEFSPSALHTSSSVLTTVVATEVVAPVEILPELQDHCGKSSVVLPVELGHLEPLAVDIAPSPSPSESCELPSSVDSGGPSIRLPLFDREAMLASIDQAVFVKKLSGLLACLEAASPGSGEAIACLIAEEASTGKIKKVKKALRSIGKKGGAIGKAPATA >cds.KYUSt_chr1.40892 pep primary_assembly:MPB_Lper_Kyuss_1697:1:250887074:250887439:-1 gene:KYUSg_chr1.40892 transcript:KYUSt_chr1.40892 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGKEISPWRELRLRVEDDGSQSSPWPASSPARPGGRLAGVQRQPPSATRVTQAREIDGSARDVHTRVRRQTPDRRRLCDCPGREIQKGEGKGVSSGGRWWISEKDKERTNGGERAGTS >cds.KYUSt_chr2.32945 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203234082:203238927:-1 gene:KYUSg_chr2.32945 transcript:KYUSt_chr2.32945 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAETEKRKRPRRGGSRPGRRKSKPRQRMEGHAMLHNDYFADDATHANNFLRRYRMSKGLFMNILHGVREFDPYFKLKLDVVGVLGFSSIQKCTAAMRMLAYGAPADTQDDYLRMSESTAIECMYKFCRAVVGKFGKYYLRGPTEEETARIMTQNATRGFPGMLGSINCMHWVWKNCPFAWQGIYKGRHGYCSVVLEAVADYDLWIWHSFFGMAGSHNDMNVLQPSSVFSRLVEEHAPPCNYEINGHEYTKGYYLADGIYPKWAIFVKTISNPSGLKNSHFATRQEACRKDVERAFGVLQAQFAIVRYPALSWSHDQMWEVMQTCVIMHNMIIEDGRKNHARAASCAAICMEAAVVSVSEGAIGSLLGKLGDLLAGNYNLLKEAIDDIVSLKAELESMRAFLERMSEADEEPDKQAKCWAKEVRDLSYDIEDSVDDFMRSVECESNSKPSGFLKGFIHRTMNLLTTMNSQHKIAKEFRGLKRRVVEASERRTRYKIDDAVFKPNNTPIDIRLLALYEDKSGLVGIDGLRDELIKLMVDEEDVSTQQMKVLSIMGFGGLGKTTLANQIYGKLMCNFDCGAFVSVSQKPNIRNIFRRMLSEVGYEAPEGTNMDIWAEDELISALRKFLLDKRYFIVIDDVWDETAWNVIRCALPKNSKEDYIMKKNDLVRQWVAQGFVNKAHGRDPENVAEGYFNELINRSIIQSVNIDHNNEVLSCRLHDMMLDLIIHKCREENFITATDDIQAIIGLPDKVRRLSLNLDALEFSSGNSLEIIDLTGMCHLFQLRHLKIKAYCKIVLPSNIRELEQLETLELGAYGLEVPSDVIHLRRLLHLIIPIGTKLPCGIGNMKYLCTLHGFDIGLNSMDNIRDLGDLTNLRDLQICFAELEPLDDMERRQRLDVLRCSLEKLCNLRYLLTDSKISSTYALYPLSASTCLLQRLQRLWVFSRVPVWIGELHNLFDLDLAVEVLENDIGILAQLQSLSHLKLHIEGTH >cds.KYUSt_chr2.46321 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289403747:289404899:-1 gene:KYUSg_chr2.46321 transcript:KYUSt_chr2.46321 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIDQRSTTPNHRPTLSGEEEATSSVEPKTAAPGALVSRKKPEIASTHRREAGVGGMAQTEGLATAHHPPLPECCGKPTGGGRPQRRPSQLRRPVRQGTAVREEGRHRRRPSHARLLRHASRRWDPAAVLQAATRKGPRRRHTPRDFAPSALPAAAEGGCGRVRKFKRNHNISTYAYILKNNAAKQEMIHWRIC >cds.KYUSt_chr4.12941 pep primary_assembly:MPB_Lper_Kyuss_1697:4:79535782:79537011:-1 gene:KYUSg_chr4.12941 transcript:KYUSt_chr4.12941 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSLFLLHRLVHAYPPGYDRDIQGHVLTSGDKLAFGFRAHDEANSVVRDLELEAVLARESDPSFLILRGANLYTGIHIVDKDLVLISTAFTEVYPREVFLIYNANDRSLHMIPSMPRTSLPICTTHVLVARLHDDDRLYALVFPGQKKFDEGSVKEDVVFVAHSSSASPWQKTKKANFPNDLRSDKGLFIAEQVFSSRGRGYWVDLLFGIMYCDCSDVISDVIDMVNFHSLDLPVGCKKHLGCRENIAEARAFRAMSPVGDSIKFVSIDGYLEYVDLKDCKVRIWRLMEDNNWAVEYELKLASLWDGVEFKGNFMPDFMAPMYPFLSTHEDHVIYFALGLCNRDKDLLFPSDVCCMVRVELHSKTFHYTGLSRSQRSSMPSHLAVSSNAEGKLLGLELSAIPSVN >cds.KYUSt_chr5.38462 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243183291:243186066:1 gene:KYUSg_chr5.38462 transcript:KYUSt_chr5.38462 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSGSGGGNAGAVFYADKYHPIQAGSIDGTDVAPHDNAVLRALLCSTAGLCACSPFLRSSSPPVLLLSHSRINALQVPHSVSDLFRPIPSLDDPFGDPKAAGDPYCTVFVGHLSRLTDDETLRKAMGRYGKVKSMRLVRDIVTGASRGYAFVEYETDREMRRAYEDAHHSIIDGSEVIVDYYRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRPIPYDELKKLGIPPPPEGRYMTRFQVPPPPRRKGGHVDREESPPPRRRSKDRADSTYRRQSPTEDEGSPRRRKSSRDHREESQSRIRSSTYREASTHSRQRSPTEDDSDHCKKRSRREHGDIKSYDRHASAAQDDRDHHQKQRRSREHRDISSHSRQRSPTEDDGYHHRKSRRRNREPGDISSPSRQRSLAEYDSGHSKQRSREPGEVSPGNEDDRVRGGRTSTEASRSPRHRSHWGQRHYSEQTHDDGGSSRSRDGGRRSHSDRARSRRSESGDYSQ >cds.KYUSt_chr2.29735 pep primary_assembly:MPB_Lper_Kyuss_1697:2:182989837:182991855:1 gene:KYUSg_chr2.29735 transcript:KYUSt_chr2.29735 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAHEKLSCFLTPLLVAVSLAICSAVGDVSEQFVYSGGFAGANLTLGGAAAVTPAGLLELTNGTLRQKAHAIHPSPFRFRNASTAARSFSASFVFGILCPDDDNCGHGIILFVAPGGYDFSSAFPSQYIGFVNSTSNGAAANHIFGVELDTDQNNEFRDIDGNHVGIDVDGLTSVASASAGYFRDGVFENLTLSSRKAMQVWVDYDGAGKQITVAMAPAGMARPVKPLLSTTYDLSTVLTDTAYVGFSSATGSFNSRHYVLGWSFAMDGPAPAIDIAQLPKLPRFGPKRRPNLAKIIPPVATAAFILAVGAVAVLLVRRRLRYREVREDWEVEFGPHRFSYKDLFRATNGFKDRNLLGVGGFGRVYKGVLPVSKLEVAVKKVSHDSNQGMKEFVAEVVSIGRLQHRNLAQLLGYCRRKGELVLIYEYMPNGSLDKHLYSDEKPVLDWQKRFRVIKGIASGLLYLHEEWEKVIIHRDIKASNVLLDGEMNGRLGDFGLATMYDRGANAQTTHVVGTIGYLAPELGRTNKATPLTDVFAFGIFMLEVTCGQRPITQNSRGEQHMLADWVLDRWHKGSLTEAVDAKLHEYDVDEASLALKLGLLCSHPLSNSRPDMRQVVRYLNGDASLPEVTPTNETFEILALMQNEGFDSYVMPHPSPMESVNTMSSLASEK >cds.KYUSt_chr3.14239 pep primary_assembly:MPB_Lper_Kyuss_1697:3:86469116:86473149:1 gene:KYUSg_chr3.14239 transcript:KYUSt_chr3.14239 gene_biotype:protein_coding transcript_biotype:protein_coding MERARKLLGVTKIDGSSLRCKNADIIVFNTRQVGMRKPPYGKNTSKKATNFRIFRFASMKGTEDEVVEIAVKRLLARSLHGTAEFRNEVELIAKLQHRNRSLDAFLFGVKIGFDLVTHGKCLHMAEPNCRYCKDCTPGLEDEASNVFLDNKMNPKISEFGMAKIFEDEVIEVNTGFIITCLGLFQIVVWGCYCCDVLLLLLNLFNICSDVCCSVFCVRQTCALRRLLRKNLGASRQQRLGEPGQGVAWAGGGCPLGALGREDAWAGGDCSPRAPGRGDRPGSEHLGEEIGLHKHLGEELPELEVQGTEEELQRTEMELLQPELEQERLDEEIGLHEHLGEELPGMKMQVRMQTDASTESVACIDLAAKANLSWKYVRLPAVSAGQYGPSTQTG >cds.KYUSt_chr2.46522 pep primary_assembly:MPB_Lper_Kyuss_1697:2:290801059:290802462:1 gene:KYUSg_chr2.46522 transcript:KYUSt_chr2.46522 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENHATESVAVVAVPFPAQGHLNQLLHLSLQLASRGVEVDVHYAAPAQHVRQARARVHGWGDEALRSIKFHPLSISSYVSPPPDPTAASPFPSHLMPLFETYTAEARAPLAALLQELCGSHRRVVVVHDRINAYAVEEAARLPNCEAFGLHCLAVSMLVGQIDAQLLRENGLAFSGVERHTTDEFMDYVRRARPPKQISPGAGLLANTCHALEGDFVDAVAGHLAADGKKIFAIGPLNPLFPASASMPGKQRHECLDWLDKQPPASVLYVSFGTTSSLRAEQIEELAAALRGSKQRFIWVLRDADRGDIFAEDAAESCHEKLLSEFTKDTEGTGLVITGWAPQLEILAHGSTAAFMSHCGWNSTMESLSHGKPILAWPMHCDQPWDAELVCNYLKAGVLVRPWEKHGEVIAAKAIQDVIEEVMLSERGMALQQRAAALGNGVRASVADGGSSRKDLDDFMAYITR >cds.KYUSt_scaffold_2697.927 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:5883656:5885400:-1 gene:KYUSg_scaffold_2697.927 transcript:KYUSt_scaffold_2697.927 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAEEAAASVNIQDSQFVPSFFCVKKEEEEFSTGPLSVLMLSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >cds.KYUSt_chr1.42311 pep primary_assembly:MPB_Lper_Kyuss_1697:1:259006475:259010745:-1 gene:KYUSg_chr1.42311 transcript:KYUSt_chr1.42311 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYWIAALPVQSADGSSIAAAKTALWGRLQDSISRHSFDTPLYRFTVPDLRPGTLDSLLALSDDLVKSNIFIEGVSHKIRRQIEDLERAGGVESGTLTVDGVPVDSYLTRFVWDEGKYPVNAPLKETVASIQSQVAKIEDDMKVRVAEYSNVKSQLGAINRKQTGSLAVRDLSNLIKPEDMVTTEHLVTLLSIVPKYSQKDWLASYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVHAREKGFQIRDFEYSPEAQESQKQELEKLLQDQELMRTSLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPARFLSVVLAPSTKSEKKVRSILDGLCGNANSYWRSEDDVGIAAGLGGETESHPYVSFTINFV >cds.KYUSt_chr2.10790 pep primary_assembly:MPB_Lper_Kyuss_1697:2:68625441:68629228:-1 gene:KYUSg_chr2.10790 transcript:KYUSt_chr2.10790 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANPYEEQRRRQVEENKSKLEELRLHRLSAAVRVAAVKPMPKVTIRPRNHRPPPDVIRRSARIARLDKQPYFRITKAHRDKKAELPRPVYATNEERAYAIAKAQELKDQLDSHYPAFLRPLSLSYAAGSWLSIPLQFSKRYLPRCDEMILLVDEEGAEFQVLYRAHSSALSATGWKPFASAHKLADGDCLLFQQVERIKFKHSKSPPLPPCLLPPLASSGLSTRSSPPASRRRVGPREEAHEAYIRRAIEDGDESSHDFSVWSEDDKSSTDGESDLRFLADGETEEESDDDRFSWDDFTSFEEEEEEDDDTSSDEPPAKRFCPWPGNLSDFDGDDDARRGGRGQRGPCRRPRPAADDESAGSSAEQRRRRRRRGQATARRLGPSSIGLAVVVDRPIGLSFVLPSLSNRLFLCKKSFINEEIFPK >cds.KYUSt_chr2.47298 pep primary_assembly:MPB_Lper_Kyuss_1697:2:295886734:295889490:-1 gene:KYUSg_chr2.47298 transcript:KYUSt_chr2.47298 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQAGALSPTKLRMKLLGAQNRVRVISNSSARTSPSKSVEPSQAQNRLLVCDVLEEDNSGASKCPAAVGNTEALDKDPAVDSYKVQNMPKNSAHQPAPSSSSVIHPVRIVEEDSNECDSGLDNASTSSFEFHGGEKTGAQNPTAGYFSRQASSKWNDAEKWIVNKQTVQQNTTKGASQNQSAYQVNSAAPRGAIVPKHPNRGAFARPIQNMKRFNPASSASRSILERLSFASHQPKVVRHADICPVESSISATAEYQKGVTHTSSIAIQPCNEPEAISTVQSVSVRDVGTEMTPIPSQDPSRTGTPLGSMTPSRSPNCSIPSTPVGGRSTASIGEDNADDGPYFNRKGGANEMSEDEIRLKARKEIAALGVQLGKMNIATWASKEELELVSATPTIADLERMKKEYAARAAAFEDAENSKHTARFKKEELKIEAWESRQRTNVEFEMRRLEERAEKMRSDAMAKMAEKLEMARRLAEEKLASANAKMNKQAARAVQKADQIRETGRIPRSHVLCCGCFCEP >cds.KYUSt_chr5.12347 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80549646:80552746:1 gene:KYUSg_chr5.12347 transcript:KYUSt_chr5.12347 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAAGAPSPRDVYTRHFFTRSDGYVWAAYDSGAGAFARPAGTRHPGARKPPERHRVDRNSSSPAESSRALPRICIRESDGCDVDEAEGPVLCLDGADTPCSVRQRRRQGKTISTDHKNYHLMLNLQLGIRHAVGKSAAKLMRPLKRADFDPGEKFSTRFPPAGSKLTPPHQSSEFRWKDYCPMVFRHMMELFAVSPADYMLAICGDDALRGLSSPGKSGSFFYLTQDERFMIKTVKKSEVKLLIRMLPSYYKHVRRYKSSLITRFYGVHSVKPNGGQKVRFIVMGNLFCAEHRIHRRYDLKGSSYGRKSDKFGEDTDGETTLKDLDLNFVFRMKQSRHKQLHEQLRRDCAFLESEGIMDYSLLVGVHFRDDIPGSKVGLSTLTTSDLSANMASTCQGSVSMPKPCLSAKDLDHRKPLPRLGTRLPARAERRTSSSKTNSFLSSSGGSENRVEAYDVLLYFGVIDILRDYDISKKLEHAYKSLQADPGSISAVDPRLYSQRFRDFMSRVFVKEG >cds.KYUSt_scaffold_2697.933 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:5924790:5926181:-1 gene:KYUSg_scaffold_2697.933 transcript:KYUSt_scaffold_2697.933 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAFVAVPFPAQGHLNQLMHLSLLVASRGLSVHYAAPAAHVRQAQSRVHGWDAKALASIHFHHLDVPTYDSPPPDPAAASPFPSHLMPMWEAFTAAARAPLAVLLHRLSATHRRVVVVHDKLSAFAAVEAARLSNAEAFGLQCAAISYSLTRLLDREHKLLRDHDLQPLAIDACTTKEFTEYFSRATGATGGVPSSGLVMNSCRALEGEFIDAIAEHPRFKGKKLFAVGPLNPLLDATAQTPAAKTRHECMDWLDAQPPASVLYVSFGTTTSFRAEQVAEMAAAIKGSKQRFIWVLRDADRADIFADSGGGESRHEKLLPEFTGETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAEFLCKYLKVGLLVRPWEKHGEVVPAAAIQEVIEEAMVSDNGMALRRRAKVLGEAVRASVAHGGSSTKGLADFVAHITR >cds.KYUSt_chr3.6456 pep primary_assembly:MPB_Lper_Kyuss_1697:3:37039153:37040985:1 gene:KYUSg_chr3.6456 transcript:KYUSt_chr3.6456 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADKKTRQQLRTPAMNPVALPMVARTTQPRLSSQQAMEGKAATRPCRPRSDPGPMPPPVRRATVVQPPASSTKASPVRLCDDAGQDPPRRRQPPCPTAAATRGIFAGSSRQGSRALARGRRTPLEAAARPLVAAARPPEVAARPPVAAARCPWPPRVRPWPPRVRRGRLASARGRRRRCGALRRKREGKIGGGEEPPPPPPSLRRARLRRRPLRRRRCGGGGGGVLGGARKIAQICFVSSTHPCAAFHAASRAVSGGPVYVSDSVGSHNFPLLRRLALPDGTVLRCEHHALPTRDCLFLDPLHDGQTMLKIWNLNKFSGVLGTFNCQGGRWSPEARRNKCASQCSVPVTARAGLADIEWKQGKTHPVPDVENATQFAVYFVESKKLELLLPDETVEITLQPFNYELLVVAPVSVLRLASGGGAGFAPIGLANMLNSGGAVQAFETNRNGDEVTVAVAIKGAGEMVAYSSARPRLCKVDGEDAEFAYEDGVVTVAVPWTGSSSKLALVEYIY >cds.KYUSt_chr2.29955 pep primary_assembly:MPB_Lper_Kyuss_1697:2:184342936:184343288:1 gene:KYUSg_chr2.29955 transcript:KYUSt_chr2.29955 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLHLDPGARPAYGCNPSERAAQAPADGEGRRYRRRRPQATKQVNIFFGMQGLGKVVAKARYEKVVDLVIVSDVHVCSAI >cds.KYUSt_chr7.4438 pep primary_assembly:MPB_Lper_Kyuss_1697:7:26855574:26856192:1 gene:KYUSg_chr7.4438 transcript:KYUSt_chr7.4438 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLDGCAAAAQLVFGALVVDDVMGLGVWGRVALGVALAAVLWAAVMAGLVAQEQAPGTSAGFRHWWDRTRTPSSGNDSLLALDSEEEWLGVEEDAEEEGSEEAAAAARAKAEADAKAKDNAKAQPASTGDEEEDTSSSDESADTASSEEVTSRKRHLDDDAEAGPSSKKKTK >cds.KYUSt_chr3.29163 pep primary_assembly:MPB_Lper_Kyuss_1697:3:182454533:182454967:-1 gene:KYUSg_chr3.29163 transcript:KYUSt_chr3.29163 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSKNQDSPDHHRPQEPAAPLPCANGCGFFGSPDTSGICSKCFRDSLRRAEAQLQASAEAEAPAAASKERDERGAETKSRSRCAACGRKVGLMGFECRCGGVFCGEHRYSDRHDCGYDYRAAGRAAISQANPVVRNDKVDKL >cds.KYUSt_chr5.2993 pep primary_assembly:MPB_Lper_Kyuss_1697:5:19481557:19483761:-1 gene:KYUSg_chr5.2993 transcript:KYUSt_chr5.2993 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRRLPLLLTTIATLYAAVVAQGTYDPFNPSCSKADNYTGGSQYQKNLDQLLERFPAASLSNGWFYNDTVGEAPDQVFGLIMCYADRNATQCRECLTGAPPWITTVCPGSRNVNAAYDACVLQYKPAPPFAGIADLGVAFYVNYSASFPIDQDTMDGPWLQLTNDLTGRAAGTPSRIANGSTAYDASWQVYGLGQCTRDLNASECSRCLSSVVGKLPELFQNQSAGAVKAYSCYLRYQIGAFDITLPPEPLQPPPPPSSSSQPETPPPPPEPLQPPPPPSSSSQPETPPPPPTSSRTGLVIGLSVGSVAFVILLGSLICISLRRRRRKQARILEGEREQQLEEASFFDGDDPAMEDDFERGTGPKRFRYGELAIATDNFSDEKKLGEGGFGSVYRGHLKESNIEVAIKRVSKGSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDTHLYGGNNAHVLPWPARHEIVLGLGSALLYLHQDWEQCVLHRDIKPSNIMLDGSFAAKLGDFGLARLVDHGRVSHTTVLAGTMGYMDPECMMTGKTNTESDVYGFGVVLLEIACGMPPLVKAQHKDDNMIHLAQWVWDWYGRGTILEAADERLEGEFVGKEMECVLIVGLWCAHPDRSLRPSIRQAINVLRFEAPLPSLPERMPVATFMPQVGAFTYTSSAVTGGSSTTGTSSVVTGATGTSSVVTGVSSSSSAGTNVSASLTETSSLLK >cds.KYUSt_chr2.5756 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35715098:35715673:1 gene:KYUSg_chr2.5756 transcript:KYUSt_chr2.5756 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMSALNPDAPMFIPAAFRRVEDYSPEWYELVKTTAWFRDHWYRQQQLYGEDDQDVEDVAALLPDDSVDLLDAQDLFYSPPSPQQQAQLDFHNYNKQAGFGGDMDAVLRTLSLNSPRGGVPSAAAPAWSPRQAEKPVQHFGARAGGGGARRAIHQPR >cds.KYUSt_chr6.14189 pep primary_assembly:MPB_Lper_Kyuss_1697:6:88608246:88610453:1 gene:KYUSg_chr6.14189 transcript:KYUSt_chr6.14189 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSLLGGGGASSPVSASSGGRPRTRGAGANMLLRATSPPPPSAVAAAACWESRTLRRDGEDDWEEVVAGVGVEGPAASGEPDVDDDYRVVFWSPPTGDEVRAAFSSIQEVFENSFGVDPDETEKQIALLSTSGYSSSSNSSGSDDWVEPAAYVLNSTALLTREHRTVLDAFRLLQRDPNVQKMVMSLSSDRTVWDAVMNNEAVQEFRRSFQDGKENNRKGCCSSVGPAGVLKWILGNTQAKIVEFFDNIVKIVSMLFHPQSEEDKPDLYSDAVKVSFMLSVFVFIVVAIARIK >cds.KYUSt_chr2.43839 pep primary_assembly:MPB_Lper_Kyuss_1697:2:272895325:272896341:1 gene:KYUSg_chr2.43839 transcript:KYUSt_chr2.43839 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGTYPPQQGHAETNEELYQQFTNLVSSWPCFPALSNYQLYRHDDGWHSSLAPMVGTMVADACFAARPSDVVVATLPKSGTTWIKSLLYSTVRRREHPVGSSDHPFNSLGPHECIKFFEYQLYTGNRIPDLDELPDPRLFATHVPFASLPRSVLASGCKIIYVCRDPKDHLISQWSFANKFRVRDGLEPLSVDAAAGFFCDGMSPFGPYWDHVLGYWRVHLAHPEQVLFFRYEEMSRDPAAHVRRLAEFAGCPFSVEEEEVGVVDAIVKLCSFENMTGLEATKGGKTELVFGAVDNSSFFRRGVVADWVNHLSPETARRIDAITEARFTGSGLRI >cds.KYUSt_chr3.5291 pep primary_assembly:MPB_Lper_Kyuss_1697:3:29993777:29994232:-1 gene:KYUSg_chr3.5291 transcript:KYUSt_chr3.5291 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRNNVFDPFSFDLWADPFDAFRSIVPAASGNSETAAFANARVDWKETTEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERSREKEDKNDKWHHVERSSGKFVRRFRLPENAKVEEVKAGLENGVLTVTVPKVEVKKPEVKAIDISG >cds.KYUSt_chr3.41804 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263779854:263780471:-1 gene:KYUSg_chr3.41804 transcript:KYUSt_chr3.41804 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKRSSPRRRQGKLAIATTTPTVAVKALLLHATTVRGEQDYLRRREHRRWSFHMQPVGVYPNLKTEAVGKRRNSISLADVALSPAVPALRRDANLEGWPWSSTPSAATPMIRSPHKSTSLQSKRSTTVPTCMCTCCHQARVKMATVEGSTTGPSRDHVVSTPSQSVQDFYAEGSETHAPMLISGLTGNPRRQVLDGENRRMQFG >cds.KYUSt_chr7.38976 pep primary_assembly:MPB_Lper_Kyuss_1697:7:242592091:242593074:-1 gene:KYUSg_chr7.38976 transcript:KYUSt_chr7.38976 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSMFLQWAVSTFQHRDPGVLADNGGEVPSIQAPREAATVVLEPTELAAEATGSYRSSGGGSEIGSVSWSMSPNSGGTSSVPMSWDFGAVSAPPSSSGGGTLVAVASPIPELVYGSQTARSTAGTGSASAVYAQGHIMAERKRREKINQRFIELSAVIPGLKKMDKATILTDALRHVKELQERVKFLEAAAGASNGQTVVLVKKACVVARGDDESFPEGSFSAPTRSPLPEIEAKLSENNVMVRIHCENGKGLVVRVLAVAEELHLRIVHSNVMPFTASTVIITIMAKASN >cds.KYUSt_chr2.38848 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240772980:240774716:1 gene:KYUSg_chr2.38848 transcript:KYUSt_chr2.38848 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPSPPTLCSSSLLTSCSGHHHSPSRHRTRLRSSNPNAPTFPKPNPDPPRRLLGEDRRLSSLVHRGDLDAALRVIDSSPCPPDVQLANKLVRDLCRRGRPADAARVVDACGPAATAVTYSALADGYCRAGRLDDARRVVDGMPVPPTAYAYNPLMHALCVRGQVRDALVLLDGMLCRGCAPDVVTYNILLEAACKGAGYRRALELVDLMRDEGCTPTNVTYNVIMDGMCREGDVDAARQLLNSLPSYGCKANTVNYNTVLKGLRTAGRWGDVEELIDEMFGEDCPPSEATLSVVISQLCQEGLLQQAVRLLEKMSKHQCTASVASYNAVIGGLCEQGHVANALELLQKMQSCGCEPNTFTFEIIVKGLCSVDRWDHTTEGLCSPDISPFNTLIALLCQRGLTVQAIQVFKQMPKKGCTPDSTTYSTLIDGLAKSGNMDQSLELLNEMGNKGFDPEVNYKLLAEYLHDEAKIEEAIQMVHKLQDKGISPHTALYNTILLGLCRNGKTDHGIDILANMVSDGCMPDESTYIILIEGLAHEGCMKEARELLSKLSSKDVLIDSLIKNDGLLLDQSIHTS >cds.KYUSt_chr3.31710 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199280718:199294120:-1 gene:KYUSg_chr3.31710 transcript:KYUSt_chr3.31710 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYDPLAKPLSNKHCWMQRCALDILDIDLAGHGGEGWGRRIEVGGVLEKGRGSLSLVPWCSTGDWPRICDLKPLWLGMEPYDPLAKPLSNKHCWMQRCALDILDIDLTGRGGEEEDEDGGDGGIFVGPFQRWEAFFLSRSKATLWPIQLSATDSGESTSVVRSFLRFAAAYYGCVEASGFVPASSHDGGVADLWLDGGEREGPDCISSSLSERVVVMAEEPAMDFEGGAAAGFDAEDNLSMPLGDFMAFLDSGSPGDDDDDHQHPEVPVDADGFENGFDNHEDFLADVGLWSNCPHVDPSQYQEEPMMEQGDGAFDCSDAKPYGMLDNDLSGNSWTNNHDNQPLPTDTFNHINAVEEANASPYEVLSKSSYVGEQLYFDQTQIKAENHLESTGPQINTYLPCNELPEQSGLSEVKSEDTGAIFDNTGQEGNHFRSTDMFTLHDNNGTIPHISYPELNIGEATGSMNNGNNSCLTVQEEYLQGSFGECPKPEYGSLDMAGEMSVHDLPQNNQSYEMEQFPQNICESSSMQTSSPDEYCDDASLSDCYMDVSSSDEYCDDASLSCEPNQSQYIALKSESSTDSSPVPSSRNSTTEDADKYLGGATKQLLNSKLVPISHQQPYRTMADQMPAAFHEQYDIHRSGNPFTQGSLSRSCFGANVNGDSDLSILSSHRAPGHLLPQFQGKLNNFQQSLSATPIVPRFGGYNKTHDERTTLRLALQDISQPRSEANPPDGYLSVPLLRHQKIALSWMLQKERNSSHCSGGILADDQGLGKTISTISLILTERAPLPGSSAIKQEPCEAVTLDDDDDDDPAELCLKKRPYTCNSEVASTKVKIENPIIEIKTRPAAGTLVVCPTSVLRQWAEELRNKVTSKANLSFLVYHGSNRTKDPNELTKYDVVLTTYSIVSMEVPKQSSPDSDDEEKGRPDRYSAFVSLNKKRKASSKKSKKATKEKSCLPERPLAKVAWFRVVLDEAQSIKNYRTNVAGACWGLRAKRRWCLSGTPIQNAVEDLFSYFKFLRYEPYCGHKQFCALIKMPISRNPVTGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTIHLKAVNFTSEERAFYNTLEAESREQFKVYAAAGTVRQNYVNILLMLLRLRQACDHPHLVKGHESSWTSSLEAAKKLPMERQQELLVCLQSCSALCALCNDAPEDAVVTLCGHVFCNQCILEQLTGDDSMCPVPNCRIRLNATSLFSRGTLEYSLCKLTSEYQSNDSCTEMVHTENETGIDSSYASSKVRAALDIILSLPKVDSTQIIDSKRTVGLASENINGKGSECAETKNTEKAIVFSQWTGMLDLLEVHLKASHVSYRRLDGTMSVAARERAVNDFKTVPEVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTVKDTVEDRILALQLPWCARPNNSYYAEIHASVDRIFLSTFETTHEASRTFDAVVWQLERLRREMNINDVQKREQTEMLALPPCIITDKEKRRHHTRLWRHYHAEENKCVRTEW >cds.KYUSt_chr5.40177 pep primary_assembly:MPB_Lper_Kyuss_1697:5:253749225:253751857:-1 gene:KYUSg_chr5.40177 transcript:KYUSt_chr5.40177 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFGGPYDESVMLASRLRQHQISGVGSAAATKQMVLQQLADLRQGHQHHMMLQGMGRSPTVGGGGDGGMLLPLTLGNGGSGGDVQALLKAAAANSAGGDAGVYGGFAGSLQQQQHFQPHPQLQPNLALPPVFFLSSFNVWLQLIDHVVVDVLQLRRERIAERMKALQELVPNANKVLDTGWFTFVLTARLPLEKAHEGVAPEAFAVALMGIAVAMSRHLTTSRQALSHTLYWELEGIAYETAYMCDLTDLDFVVIMIHWMSPLWLDLLVFDNLALSRFVVFKCG >cds.KYUSt_chr5.18299 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118308052:118309023:1 gene:KYUSg_chr5.18299 transcript:KYUSt_chr5.18299 gene_biotype:protein_coding transcript_biotype:protein_coding METSQNKAPSCYNFLKKGLLLPSRNPRLFTAVCALFLAYTAPLHLANDLAIQPLNDAIQLDIQALNTTEPGSLEYSQLVQEIKNDAKELLLVGAGYLLFAVVVGSAVRIIVLFAAVCTYSDDQGTTTFGALLGQAKARIKGPLLTLAFVYVLEILLYALLLVVTTAAFPIMVLLVKQHSVALLFVALLPIVAAAVCLVYFSFLCSFSVVVAVAEPGCHGAAALSQAWRLVKGTRRQVVMYLSATSVLAIAVSPVHTLANTCAGNSVAIGLLLDFAYALLLALVQLFALCAMTAFYYERREIIHTHQLGATGYAKIPSTEEATA >cds.KYUSt_chr3.43762 pep primary_assembly:MPB_Lper_Kyuss_1697:3:276110280:276111608:1 gene:KYUSg_chr3.43762 transcript:KYUSt_chr3.43762 gene_biotype:protein_coding transcript_biotype:protein_coding METEIAEASSGEVAFAMRALHHLVRADACRPGAGASNLAISPLSIHAALVLLGAGARGATLDEIVAVLGPAGGHAHALLASRVALRVLADADGESGGPKLRFANGVWVDDAAARLKDDYARVVAEHYRAQARQASFSTMPDVSRNSINQWFEAATAGLIKDFLPSGSVSAATRCILANALYFKGVWDTKFDAQLTQPGTFHLPANREVCVPFMSSRLNQYIACCPDWKVLKLLYACGGIDESRRRQFAMYIYLPNQRNGLQSMVQKLAASPDLLKQVTVDLWANKVPVGDFRVPKFTISYKAEATGLLQGLGLHLPFDHQVADFSEMLESTNTADHRGFVVSNVYHQSFVEVNEQGTEAAAATMFGCYGAGCSSVVRTPVSVVDFVADHPFMYLIKEELTGVVVFAGQVVNPSL >cds.KYUSt_chr7.37889 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236281230:236283357:1 gene:KYUSg_chr7.37889 transcript:KYUSt_chr7.37889 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYLMASLPSCGAFRAPLLSSFPRRKTTVVAVASKAKVGIPEKTAFTPREAPFRVRVTRSLPPQKKEIFDSLDHWAKDNILVLLKPVEKSWQPQDYLPDPSSDGFYDEIKELKERAEEIPDDYLVCLVGDMVTEEALPTYQTMLNSLDGGVRDETGSSPTSWAVWSRAWTAEENRHGDLMNKYMYLTGRVDMRKIEKTIQYLIGAGMDPKTEGNPYQGFIYTSFQERATFISHGNTARHAKKYGDVKLAQICGTIAADEKRHETAYTKIVEKLFEVDPDYTVLAFATMMRKKVTMPAHLMYDGQEDNLFAHFSAVAQRLGVYTAMDYADILEFLVERWNVANLTGLSGEGRRAQDFLCSLGPRFRKLEERTQERAKQLPSVPFSWIYGRQVQL >cds.KYUSt_chr5.10039 pep primary_assembly:MPB_Lper_Kyuss_1697:5:64095300:64104500:-1 gene:KYUSg_chr5.10039 transcript:KYUSt_chr5.10039 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSGYVSISRCSVIFDGTNYGEYIAFIHIHMRGLHLWGVMTGEVSCPMCHIFFMASTPPMPSVLAADATLAAKEAAKAAEDVAHEAYDQHVLDYSAILGAYRDGLDTYTQWRDEDAKAVAVLSQSFSKRLRTTTGNTTSSDDEFLNDNFFLDIDNLFSNLNMGDNIDLDAATTNAGPLIHMEEAYEKFDAMFKVTLFSIIADNIVDMYITFDHGKDVWDALEAKFGVSNESTKLYVMEQYYDYKMTGRKDLLRADGKRLTCFHSWCWYSKSDVVEGTLLNSLLPIKQGLKMFIIEDKGGAIAIMCASLLFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVLIALTFGQLGEPKHNMPNFFTQLSQDNLPSVLFAMAGGVVLSIGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDDRINRAEILFTGVGCFLVAVILGSLVHASNAADNEKKLSESTNSYKLGKDGGTETGKQVIDKDAPKDMENGASADYATKAEAGTAEYLIELEERRSIKVFGSSTYIGLGIVFFSGICFSLFSPAINLATNDQWHTLKDGVPHLVVYTAFFYFSISCFVVGIGLNILFLYHPRAGVPKSSFKAYLNDWEGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSKKTYTLLALMLLMFIAAVATLMASSGHRSTK >cds.KYUSt_chr4.41153 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254450617:254451414:-1 gene:KYUSg_chr4.41153 transcript:KYUSt_chr4.41153 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDGNSLHGGYGAHVGAGPAGSNNNEDDDASPPPSAGGGGGGGSSGGSGRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVDAIAAFSRRRQRGVSVLSGSGAVTNVTLRQPAGTGAAAVALRGRFEILSLSGAFLPAPAPPGATGLAVYLAGGQGQVVGGSVMGELIASGPVMVIAATFGNATYERLPLDQDAEEGAVLSGSEGAAAQLEQQGSGGAAVPPSMYPVPQTPPHDMFGQWGQAPVARPPPPTSF >cds.KYUSt_chr3.38390 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241820159:241824299:1 gene:KYUSg_chr3.38390 transcript:KYUSt_chr3.38390 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGEGASCSAAAAAHQQQPQQKRQQVRVVRCPKCEKFLPELPNYSVYVCGGCGTTLQATKNSASETSLEKSDVVHVKYLEVLESLPEKREEGPVREENSETVEATAQERSVPHRMVSEQREFRCNSDGNQIPRETSTLKFEATLRDDSREIREAKYRRIRNEEKGEVKQPVRLRDRSPRSVVNSLPPNAYPGEHASEYHMKSRFRDANGAEHADRRNMDGPHSVSGLKKDRTELLRVLDELRDQVQRSCEITEKPSGSASTNRVADVSSSCGPHERLNQLRHASPQLQRNSSQHSPSLNGQTPGIPHAYASVPSQQDLHGYREPVAHMGAPCYPAGQYPWRNCDNYFFGQYNHDPLVSYHHDGFYHQPACSCLHCYHREFLTVQGASMGFNHRRAPYLMNNPGAYPVDSSVMFGMQNNNLRGINASMQRSNMRANLSKKPAQKCEPIACGAPFTICYNCYEVLQLPKKSPLPGKDEYKLRCGSCSHAIVVKLDGSRLDASAPSPVSHISSGSKVNSSDVQASIANTAIGERMFPLYSFSAGSHGSQERDLHSNSSDAEKNQGVSSSSSIFEDENSPARSNSQRGTPGSRNLPVEAQVIRRVPSLPLRDHFGYSPSERVIDGSGKGSRSTRSEHEKTVLTESFKHNTIKDVRVVSVMDLSDDEYEDPDYSQNPGNGTQHVDRPTVSKSGDSFFTNLIKKSFKGNGRSKVFINGYPISDRAVRKAEKIAGPIYPGEYWYDYRAGFWGVMGQSCLGMIPPYIPELNYPMPKNCAGGNTGVFINGRELHQKDFDLLVGRGLSESPDRSYKVEMCGKVYDEVSGEELYCLGKLAPT >cds.KYUSt_chr4.45362 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280806373:280826307:1 gene:KYUSg_chr4.45362 transcript:KYUSt_chr4.45362 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLPRLTSSLRAHFDADQAYLARKAVLQARTLPRPHDEWELARRIVPNWDDAPSDVRQAYKQFIGAVVELLNGEAVSEELQQVAQAVYRLFAAESDSTDKPPLQKRNELERLVGYSVQDSVSKKLAQLAQKLRSLQRVNIQGFVQEDAKGVDGDDVTEFGASFDFKAPARFVIDVDLDDDLSFEKEQHDACGTSTSFSSNAVGGSVNLRWLKDQCDLITTSGGSMLSGDELAMALCRVLRSNKPGDEIAGELLDMVGDAAFEIVQDLLSHRKELGDAIQHGLTILKSEKVSSNNQPKMPTYGTQVTVQTESERQLDKIKRKEGRRGKRGAENGMGDFGVDDFSSLLLASERKLPFEDMIGTGEGESSFQVTSLPQGTTRKHMKGYEEVKIPPTPTAPLKPNEKLIKIRELDDIAQAAFQGYESLNRVQSRIFQATYNTNENILVCAPTGAGKTNIAMIAVLHEVKQHFREGILHKNEFKIVYVAPMKALAAEVTATFGRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMALSMLVKLIIIDEVHLLNDDRGSVIETLVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNADTGLFNFDSSYRPVPLAQQYIGITERDYAKRNELFNSLCYEKVVESIKQGYQALVFVHTRKDTGKTARTMIDLAAKAGEVELFSNADHPQYSLIKKDVSKAKSREVVEFFESGFGIHNAGMMRSDRSLMERLFADGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDAKAGGWKDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGITWEEVIGDPSMGAKQRAFIIDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVETYNEMLRRHMSESEVINMVAHSSEFENIVVREEEQDELEILARKACPLEIKGGPTDKHGKISILIQVYISRASVDSSSLHSDAQYISQSLARIMRALFEICLRRGWSEMTSLLLEYCKAVDRKIWPHLHPLRQFDRDLSPEILWKLEERNVDLDRLYEMEENDIGALIRFSHQGRLVKQYLGYFPYVNLSACVSPITRTVLKVDLLITPEFVWKDRHHGMSQRWLIIVEDSENDTIYHSELFTLTKKMARGIPTKISFNIPIFEPHPPQYYIRAVSDSWLHAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKAYEDLYRFTHFNPIQTQAFHVLYHTDTNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPMKAIVRERMNDWRKRLVTQLGKKMVEMTGDFTPDMMALLSADIIISTPEKWDGISRSWHSRGYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVREDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPDKPVLIFVSSRRQTRLTALDLIQLAASDEKPRQFLSIADNSLDMILSQVTDNNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDYVITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSSTIGNKEEAIIYLTWTYLYRRLVVNPSYYGLEDTETFTLNSYLSRLVETTFEDLEDSGCIKVDDHSVQSLILGKIASQYYLSYLTVSMFGSNIGPNTSLEAFVHILSAAAEFDELPVRHNEDNLNRALCEKVPYSVDQHRLDDPHVKANLLFQAHFSRAELPMSDYITDLKSILDQSIRIIQAMIDVCANSGWLSSALTCMHLLQMIIQGLWFERDSSLWMLPSMNDNLLDHLKGRGVSTVLNLLDRSGEELRKLLQPFAAPELSQDLQHFPRLDVNVKLQNEVKGQSKPPMLNIRMQIKNSRRSSRAFSPKFPKVKQEAWWLVLGNVTSSELYGLKRISFADRVLNTRMKLPQTFNAQETKLIVVSDCYLGFDQEVSLGHLA >cds.KYUSt_chr7.6604 pep primary_assembly:MPB_Lper_Kyuss_1697:7:39903179:39903703:-1 gene:KYUSg_chr7.6604 transcript:KYUSt_chr7.6604 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKGSHPDGCNDTSPPTSGLLLQAVRLDPKRHPCEGFEVGNGDGGVEKRKSVYAERREKTMMDRLDYYSLFGFVYVTYMPPRRRSSTGYRDVCERPNGTFYAEIRIGDERIGLGTFETGLEVVRAYDAVAWRIGRSCQSMNFHDV >cds.KYUSt_chr6.25936 pep primary_assembly:MPB_Lper_Kyuss_1697:6:164416917:164421921:1 gene:KYUSg_chr6.25936 transcript:KYUSt_chr6.25936 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os02g0177600)] MGSVPEESVVAVAPAETVFRSKLPDIEINNEQTLQSYCFEKMAEVASRPCIIDGQTGASYTYTEVDSLTRRAAAGLRRMGVGKGDVVMNLLRNCPEFAFSFLGAARLGAATTTANPFYTPHEIHRQAEAAGAKLIVTEACAVEKVLEFAAGKGLPVVTVDGKRDGCVDFAELIAGEELPEADEAGILPDDVVALPYSSGTTGLPKGVMLTHRSLVTSVAQLVDGENPNVCFNKDDALLCLLPLFHIYSLHTVLLAGLRVGAAIVIMRKFDVGALVDLVRAHRITIAPFVPPIVVEIAKSDRVGADDLASIRMVLSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFKVKSGSCGTVVRNAELKVVDPDTGASLGRNQPGEICVRGKQIMIGYLNDPESTKNTIDKDGWLHTGDIGLVDDDDEIFIVDRLKEIIKYKGFQVAPAELEALLLTNPEVKDAAVVGVKDDLCGEVPVAFIKRIEGSEITENEIKQFVSKEVVFYKRINKVYFTDSIPKNPSGKILRKDLRARLAAGIPTEVAAPRS >cds.KYUSt_chr1.5685 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35112755:35114469:-1 gene:KYUSg_chr1.5685 transcript:KYUSt_chr1.5685 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMKKRQSAPPVSVADRIGTLPDSLLHHVLSFLPVQAVVRTCVLARRWRHLWRSTTSLRIVGLDDNYYVDVVELRKFVDHFLLLRERTDLDLVEIKFNEFCEEDQPYVKTWVRFALMCKVRRLTLHVRGSQYLYLDPSLVSRHLRTLDLDGVGLQETFLDFGSCPVLEDLKISHCIINACRMLSHSLKHLSIYCCHNEFTCRIHVSTPCLVSLKLDHFIGRTPLLENMALLESADVYLSDYCKDVCLNYDSGVLCGSNNNACQNCVPIEDDCSRECVLLGGISNAKYLKLLPGSKTFVFTRDLKHCPTFIKLKTLLLNEYWYEAPDLDPLACILKNSPVLEKLTLQLFSEGPNHKVEIKGRYSSMERPSAISEHLNIVEVKCSVVDERILKVLKFLSALNIC >cds.KYUSt_chr4.53054 pep primary_assembly:MPB_Lper_Kyuss_1697:4:328797818:328802232:1 gene:KYUSg_chr4.53054 transcript:KYUSt_chr4.53054 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKYWPFRKAKNDHEASGSWSGKKPQVERKGCPHGRDACRTAKAAHTAKAVSARQRNRRTAKPLPCNFVRYVTVDEENGAELFYYFIESEGDPRRDPVLLWLTGGDRCSALSALMFEIGPLKLIIEPYDGTLPRLQYHPHSWTKAASIVFVDSPVGAGFSFSRNPKGYDVGDMSSSVQLKTFLTKVH >cds.KYUSt_chr1.32556 pep primary_assembly:MPB_Lper_Kyuss_1697:1:197701932:197703383:1 gene:KYUSg_chr1.32556 transcript:KYUSt_chr1.32556 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTDSADLPPSSGGSDLEEGEFVPEYGDSVGPNHEDGKFVPEHDDWAASDAESGHDGDGRPGIKRPRLEFEGIVVDGGALSSPSPSPTPSRDRDSYGTISYVDASLASLGLKPLVFTCAVCRREFTTQRGLSIHINVHGPHECQQCYKEWTPAIGGGLAVAGETSSVGRRSVFFPRGEGGVVDSMAMVVPEPVIDPMPIALASSSSSSAEPSPRNDDSMAIPVSSQDIPTSQVVVHPPCSSQFLQGHQRAAPQVVLAQAPPSVAQGQQLAEAAAEPPQFVVHQPAAPQVFLAQAPPSVTQGQQLAEAAAAPSQFVVHQPAARQVVARQVQRILPPPPPALTEPGRWVRKEKECDQRRFATDQGLGGPMSGNKRQRNEAADWGLDLHLGVGYPAKLHPCKYCTGVFTSGAQLSGHMRKHYAPQKLASALELSLSMPSAAMEATPAQRPVVEPSPPPDVLRIFGVDMVVPPGATANGTSSVVT >cds.KYUSt_chr6.13297 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83183969:83186341:1 gene:KYUSg_chr6.13297 transcript:KYUSt_chr6.13297 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQPQDATDRPDSAACASSTTSPAATMWEYHQAHAALQPSPSWNPYAGTTAALLDGPAFTADSAPAVADMHLPVGDHIHGHAWSHGELSNDSTGYRENFLDLLASKNVTPEMFEDVPAGRYATAPASLTARFEAGSDISPMKYEVAAGSPLFLGSGSNAVLEAQGMNLLSCMPSYVDGHHQRKESSNHHQQDHGNPMASFLQQISTRTSAGVHASLDYSGLGGLDKICQEGRGMEAASPFNIRSLPDFSSFGGYRSTKEPTSVPPPPYMRCTDRSSDSSRKEQEIVPARSSSSGSGAAASDRKKRSSEERRESTGKKSKQEAASPPKQQVPKVKLGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQLLSEPYTNASRNKVNCNNIPWGGVHAEASKGEGQHDLRERGLCLVPVSWTPEVYRDGNAMDYWTPAYRGCLYR >cds.KYUSt_chr5.43208 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272461608:272467299:1 gene:KYUSg_chr5.43208 transcript:KYUSt_chr5.43208 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLLGTSVGGKGGEATARSTPAWLRRAIDTEEAWAQLQFVVPMVLTNMFYYAVPLVSVMFSGHLGVIHLAGATLGNSWATVTGYPFVGMYNDDILAMPRGTNADVEERETPHPNCLLLLLPLSSGPADIGMSSAPLLGTSVGGKGGEATSRSPPAWLRRAIDTEEAWAQLQFVVPMVLTNMFYYAVPLVSVMFSGHLGIIHLAGATLGNSWATVTGYPFVRPPPLNPDQAGEKKLSARLNKLHRRRQEFQDPSGIKGNSRENEPSARSQRLRCTCHNKILWSPSPQVLHGCCHRFSPPAAAA >cds.KYUSt_chr7.25220 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157302587:157306139:1 gene:KYUSg_chr7.25220 transcript:KYUSt_chr7.25220 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDMIERFREEYEEEMLNKEVVPRRRRRREFIGRDRAGAHDRLFEDYFADDCNYPPSYFGRRGEAPVVNFTVNGHEYHYGYYLADGIYPSWPVFMKGVTLPQSENHRVFTAAQSAWRKDVECAFGVLKSRTRCTRYRTRTRAEPDQISLPFVAVAVAMDPSRPLLGRGGFLPSSAHAVAAMALIAFLLLTLLRLPLSLSISIYTPPPPLAVADQHHHQEDQEQQDDSSSCDLSSPLDCADPRLFHLMMRSAIDAFPAVHFARFGRPVPGDPPSTSCDMAWRARSNSNSSASTASPTATTKDYRRFAIARDPRTCTYSVLSIGDYHSGPNARKPRPGVTNATTAAPPPPPLSRSQFAAAAYLSYHGGGDRCKPMPHYMRSLLCALAEARYLNRTLVLDLTLCLAASYTAAGMPEEGKRLAFYIDVDHLRSSVAGIVEERRFWEDWDRWGAQGQLGLRLVEDTRVPPTKFSKAKDILVVRKFGDVQPGNYWYRVCEGEAERVLSPPRRAIRLAPSLTSIVDAIISSMQPDFDSVHADANAEDLRRKIEESVDAGRQVYLAGEGISMVLVEALKAKYSNLHYLDDFQGLWRKDSKWFVEMKRLNGGVPVEFDGYMRELVDREVFLKGKKMVEVHR >cds.KYUSt_chr6.3408 pep primary_assembly:MPB_Lper_Kyuss_1697:6:19727090:19727512:-1 gene:KYUSg_chr6.3408 transcript:KYUSt_chr6.3408 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMSREGGASGSMPPVDLQEMLKRMVLKDEELDDVVLPKEEVMNLKEGARWMAVVKPFFQKMDAAWGFAKAWTIRPVEDNMFVLQVSCLRDWNRVMNDGPWIFRQQGVMVEPYDGVADLNTVVLNLFVLWMWIWSYRYH >cds.KYUSt_chr3.46629 pep primary_assembly:MPB_Lper_Kyuss_1697:3:293205487:293206313:-1 gene:KYUSg_chr3.46629 transcript:KYUSt_chr3.46629 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNVARVMLFLEEIGAEYELVPVDYLAGEHKRPQHLQLNPFAKMPGFQDGDLVLFESRAIGKYVIRKYGTADLDLLGENSGIEASAMVDLWTEVEAQQYYPAIAPAVFECIINPSIMRTAPTNQTVVDESLERLRGVLGIYEARLEKSKYLAGDSVSFADLNHIPFTFYFMTTPHASLFDEYPKVKAWWESLMARPAVQRVCKHMPTEF >cds.KYUSt_chr1.32080 pep primary_assembly:MPB_Lper_Kyuss_1697:1:194595310:194596167:-1 gene:KYUSg_chr1.32080 transcript:KYUSt_chr1.32080 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGEAFRGHFPVPAACRNRESCPPDLGFAMAVALEGFSYRGFSVSSSNSPEKLAADTAMAPTVAGDRAAPARALSSMLPAWSCGHAPLSSS >cds.KYUSt_chr4.5663 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32873355:32877938:-1 gene:KYUSg_chr4.5663 transcript:KYUSt_chr4.5663 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPFDEVDLALPTSPAAVSPPRRLKRLKKSSQTTASAKAPPAASPPPPSSPPAPAQETLAPAPGSPAPPPNSSSSPPPAAEEETVAPHPGSPPPPPKNPSPPPPAAAPDADAVAPAQSSPPAPISSPLPPPDTAEEDDGLDPLFSDTAGLAGWDPSCFPAGEDDDDEEEVLGGGLIEELRRERSAKKRLDMDEGEEGGDGGEMGAEPEAAVTGKRSKRRKKDEGVEGKRRKKEADVEGKKRRKKEPKETAGSKKRAEKERRVQLDSIHAESQRLLRETRKASFKPIAEPVYKPISSVLEKIRLRKMEILKMSNTRTEEEEEEEADDASSEPENDPAEQPCVPEAKEVGSADKDLQNDEVGATVGGPNDHVSPCEDEDSLTCEKDLNNSGSKSPDKELVDKSQDDHEDNAQPSDDSNTGAVDEAQLPPSSSPTKSTDDSSSEDEEDNDKENIYPDTQQNDVNTHQQPRRGRAGDSSADAAILKDFLDVEAEEEDDSDDDMMRFKDNEEEDGDDENEVLTALIAAGFEEEEVDHEKRNALHQKWLQQQDAAETNNVIQRLKYGHQEKKNLPDEDDDEDDAEDSNLEMLMFGSNSNSSSKSSFLGRTTSGPVSSSHRSVYKTYVFGRDDSNSNSKSCLAASESTSDMDQTNPSQPKKAKFSSSQPKPAASGTGSESGSSSGASLFELLRRSSVATNKQEPSRPENFGIITESQAVHQFSAFKLSRKFSKIGARN >cds.KYUSt_chr1.35515 pep primary_assembly:MPB_Lper_Kyuss_1697:1:216524720:216527935:-1 gene:KYUSg_chr1.35515 transcript:KYUSt_chr1.35515 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAASMIAAAVLAIAAVSALPRTSAAASGVGVNWGTMMSHPMHPAAVVRMLAANGVDRVKLFDADPWTVSALAGSGLQAMLAVPNDHLRSVARDPRRARDWVRDNVTRNIQDGVDVRYVAVGNEPFLKSYNGSFINITFPALKNIQRALDESGLQVKAVVPLNADVYNSPENNPVPSAGNFRKDINSLMVTIVNFLQRNDAPFVVNIYPYLSLYQNPNFPLNFSFFDGGSKPVYDKGMVYTNVFDANFDTLVWSLRKAGVPDMKIIVGEIGWPTDGDKRANVKYAQKFYDGFLKKLAKNVGTPLRPGRMDAYLFALIDENQKSVLPGRFERHWGLFTYDGKPKFSMDLSGNGKNSYLLGVEGVQYLPSQWCVFNKDAKEKYRELPASVNYACSNADCTPLGYGSSCNGLSHDGNISYAFNIYFQTMDQDVRACSFGGLAKIVTANASQGGCVFPVQILSASERVVPLRLLVASLVVSVAVFILM >cds.KYUSt_chr3.41709 pep primary_assembly:MPB_Lper_Kyuss_1697:3:263177250:263181896:1 gene:KYUSg_chr3.41709 transcript:KYUSt_chr3.41709 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNYAQYIQLLINKVVPAPLNTHGERVKMEAFKVPVQGDRPDVPDMTPPERRSKERHDPTSSSFTRRPQHGISRFHFRVGKEGSHRLEVPISSRSSAANTDTSESSSSFEIGTEEIFSPHFTKSATSGTLVKIFGNMDVGSSADSSISSDSDSVDSFDFIDRSTSVQEVFTDLYDGVTNPNESEAPKYHQVYAIGEPSRPQEETSEAFDDVGNPYVDPADLTRGLGTNSSSSSPEKMAGRKGGDRKKSVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKSRIVPRHLLLAIRNDQELGKLLAGVTIAHGGVLPNIHSVLLPKKAAEKAEKAEKSPKSPKKKAAVKTPKKKTTEA >cds.KYUSt_chr6.4731 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27786062:27786489:-1 gene:KYUSg_chr6.4731 transcript:KYUSt_chr6.4731 gene_biotype:protein_coding transcript_biotype:protein_coding MPACRLEYTTTVSLTTSAAENVLTTTPVFDLLFISSGMSALSTTCCGFSSLPDDEEARASDGEGCVAERTEEERPPAAAEEVRGEEKIGLIWKEVWVVDGS >cds.KYUSt_chr1.15595 pep primary_assembly:MPB_Lper_Kyuss_1697:1:90692751:90695613:1 gene:KYUSg_chr1.15595 transcript:KYUSt_chr1.15595 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNPGNLSSVQNISSFPAIACLVRSRNKRVVEDIIDARGLKEDEEQDDADNRKSRSGMSRRDMPVDELEDPGDSRLRSADRNESRRKSVSSSGRAYSGDEDDYDVRRGSRVSKIRRRSPEERSEKRFSDGYKDRDRDSSRRRREDEHDPDSSRRSSSRSSDHGVSHSTSISKAEGPYDGKHAKGIQSLEVRKGSKENQGHRNQEDPFYQRSVDEAYSDRRADGTGSDRSDTRDGSDQSNHRGELRGSLEDDKRGNGYATIESNDQDRLSVDPRCESGSHMFRENKHVSIQERIPSSIDDIERSDDNVETRDKLPCLDEDGRALLKDGNNKEARDDKKYPAAKDEGDRGHLDSYDERSISMKEKTKEYAHADPNSYRGRDKNRELEGSKEYSRNRQRQDSKEATDYDAVTDRRHGQERLDGGNFHSRPGYRKDLRGRYEGNKGPLSYGNRYDSSDSIEIRPNRNLDFGRESPVSVRRSDMGSHQDLMPGTNDPSEDNKKSYGSGEDLQERYYEDAQNIDNDTANDQSDSQTGRRGLKGAMTPNTSGAVESGSGSRISPIPQQGPKGGRPSRGLRGRPIGRDPQRVGISVPMMPPSPFGPLGLPPGPMQPMGPNMSHSPGPLGHGVFMPPFPGPLIWPGARGVDMSMLALPPNLPMPLQNFTTSVGAGPNHNIHMHQTDTGRGLASGSGFNPMATPSREIMHDKPPAGWTPQRNSGSAGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQRKDEIVSNSASALMYYKCDLMEHALSPDFFGTKFDVILVDPPWEEYVHRAPGITDDIEYWTPEEIMNLKIEVVHSLSFFFGSFPFSVLTACYLIQAIADTPSFIFLWVGDGVGLEQGRQCLKKMSFA >cds.KYUSt_chr1.6112 pep primary_assembly:MPB_Lper_Kyuss_1697:1:37544528:37546635:1 gene:KYUSg_chr1.6112 transcript:KYUSt_chr1.6112 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHFLSTMPGACVTRIRHIVHLVGVADEQAAIESSDALLSASSPTASPSLGSQARRSGGLLPYMSDPVPVIDFSKLDGAERAETMAQIADGCENWGFFQLVNHGIPLELLDRVKKVCSESYRLREAAFRSSEPVRTLEALVEAERRGEAVAPVDDMDWEDIFYLHDDNTWPSDPPAFKETMREYRAELKKLAERVMEAMDENLGLDKGRMKAAFTCDGIHAPTFGTKVSHYPPCPRPDLVTGLRAHTDAGGVILLFQDDKVGGLEVLKDGEWLDVQPLADAIVVNTGDQVEVLSNGRYRSAWHRVLPMRNGNRRSIASFYNPAFEAAISPAVAEGAAAASYPKFVFGDYMDVYSKHKFEAKEPRFEAVKAPKTPQA >cds.KYUSt_chr1.26057 pep primary_assembly:MPB_Lper_Kyuss_1697:1:156714760:156722231:-1 gene:KYUSg_chr1.26057 transcript:KYUSt_chr1.26057 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLYDRTRHKDLEDNPTVRKRPAPCDSPRAKAESGTCNLCSAPCSSCLHRSLAPVDSNMDCGSSQTCCARSESKGSMLVRSGKGLHTKGGENEDEFSATSSHTSYSENGGHKAMARSSVAADSEVDMPAKRRKLLNHDPRLPREECHDDSNSCVTGASAASKLLLDRKDKLSTSASSRDLTAKDYKDKNIACHNRLKNSRIEESTEKKRSDVHTVQPGSVDRSVPADSPSVVTKKLLRTQSSASASQGLSPKRPCQGLADSQDNLAQKTYDKVSNDKIDQSVGEKLNPSVIGADKHVMLSSRTNKIKAGSSSKELESSTPCSRKSIQGHTEIESVHVAKSNNNFEEDQNQDLSTDTSSGRELNTQNDAMAECGNSESLIDVNVCDICGDVGREYLLATCTRCLEGAEHTYCMRVKLDKIPDGEWLCEECQLNEDRIKKRSNRGASTVDILDGKNQSSGSMSNPKTLQIAITNLESQQIARGTPKTNHLPGNDKKLHSMSIDPESRQVKCATPTAERLDSKNKNFGSIANRKRLQVVTSDTEARPPTCGTPSGGRLGKKIESSEDLLNRKKLRITTDIESPLSSEGLLSPPKSCKKHAENASSSDARLFKTESPRKHDIFSRQNSFKKSDKGNFKSPNNALVRGVQAVKNSATLSRSYSLGSTANVKAQPVPSPRGPLSKQMSFSNNSEPKVKQLVEGTIEKKQSSVLSKKEKGDVTVHPTLIGGFSAKDASTVQSSYPLLPVENVNKDSDCAGESYDNEMPTKPEAVSAPLGMTSESDLQDMVRRASASEDVTPTVGHHQEDILVSTGNKPSKNAETSEDKLPENPHGPAVAQKQCAPRSAMAQKLCAPESKLIEPNMKHQDSFELPPIGNLSRALVIPEQTYIWHYDRAYGKLLEKMLVGDLSLIANISGFQLLILSSDKLPEKIQRWNGLLYFWGVFYAGKANSSTELIRVTNPCPLESTSEAIDKPVCAPKVPLSLGIDLNECPGDEFYDHPLSLGSETEKFGSSEDSQTLLRSKHEVENLDEREIRQEGTVVTRETVLGSATAGVRETYVPASLGGCNMKPDYPSVTTGGIGTASRDIMEDEDSFSPNEAQHDVEQHAGAGRLTSDDILAKKQALTALTEVSVQHSRESISKADFVMRDSRPNYKRQKTFNGDEQLPSICLSKMHSLPAGWRTPLDGIQCTYRGLADLGSTTVSDHVVHVLSSDDEDSPEPSTTMNKASLKAEGGSSPPLSLSLSTVAKTHNLAGSVTGDDRSLSLSLGLPLSGVAKGNQDLEIKQFLPEKPGINTSFLL >cds.KYUSt_chr2.3280 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19463541:19467744:1 gene:KYUSg_chr2.3280 transcript:KYUSt_chr2.3280 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFASAGSGAKIVMPDGTVRALGEPVSVAELMVEHPCHFVVDAWLVSTGAAAKVAALLADDVLDGAGVYVVLPAIRGRVYADDVEVTDAALLALIENYCREAGVRNLQKQIEKIYRKLVRQGVSNEPAQVAVIATASDELTVGGSETMVKDENLKDPAIEDAALVNSIIDPAPEEVKEVPLTEDSCN >cds.KYUSt_chr1.29652 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179564103:179564660:-1 gene:KYUSg_chr1.29652 transcript:KYUSt_chr1.29652 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSVALLILSVSLSVDAYGNLNPKQLKAKCDKTPYPGYCLAITKARHYDPMVYPMASAQDMAQYLMSIAARIGHDLTTMARAESVRLPGGSARRRCMQRCAAGFDAAASKLDVVDPELVDDSNEPFQLVYHFVQKKRNVLAENWDACPGKPTAGDMVAKQKHFVMLMDVILALGKLPDPQPLL >cds.KYUSt_chr3.35820 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225122671:225125626:-1 gene:KYUSg_chr3.35820 transcript:KYUSt_chr3.35820 gene_biotype:protein_coding transcript_biotype:protein_coding MCATECVFSVAHHPTCATESLISVAHPGWCVTECLISMVHVVLCATEIVKPMVEDEAHLISVVHISEGQRLEDWEPQNLIDEYPPHAELPKATPTLSHASEHSAHPPPPPPPPIKMKAIGSGGEWWWNLPSIRRKNDSRRRGRRNQDARGRRRGPPREPLSSSSESVGQSSGWPLDFPFKQAVTAACLTLTGDTIAQVHRRIMDSRSRGPEAADNKALIPDLLLNHDLLRSLRIASYGFLLYGPGSYAWYQFLDQTMPKQTLASLSTKVVLNQIVLGPCVIAIIFAWNNLWLGKLSELPSKYQNDALPTLLNGNSA >cds.KYUSt_chr1.11067 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67761521:67765202:1 gene:KYUSg_chr1.11067 transcript:KYUSt_chr1.11067 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQQAAAAPSGVWKTIKPFVNGGASGMLATCVIQPVDMIKVKIQLGEGSAAQVTKKMLAEGGPSSFYKGLSAGLLRQATYTTARLGSFRVLTNKAIEANDGKPLPLIQKAFIGLTAGAIGATVGSPADLALIRMQADSTLPAAQRRHYKNAFQALYRISADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDKFGAGEISTVVGASAISGFFAAACSLPFDFVKTQIQKMQPDATGKYPYTGSLDCAMQTLKSGGPLKFYTGFPVYCVRIAPHVMMTWIFLNQIQKFEKKIGI >cds.KYUSt_chr1.884 pep primary_assembly:MPB_Lper_Kyuss_1697:1:4635783:4636555:-1 gene:KYUSg_chr1.884 transcript:KYUSt_chr1.884 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVDSRPPCPRVVFAEAHKDAVDFLFSLLAMPTSKVLKHLGNESMVGCIGNLYTSIEKLDDPYVQPGAAKDAILTPTVLPRAAKSNRSFFRLPELSPAMKRFFGWGGYTYSSSRNYVTEERGAQCPMCGTQMFGDSQYVSSEHLTQEAKGLVQGGMVTYTVTDDLKIFPMSNISSIALLNTIAVRDLGALQERIVQLGYKEGVEILKTSLQSKTVLTDVFLGKKT >cds.KYUSt_chr4.46633 pep primary_assembly:MPB_Lper_Kyuss_1697:4:288277371:288281172:1 gene:KYUSg_chr4.46633 transcript:KYUSt_chr4.46633 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAVFFLVWAAALVNAALMAQSVPYDYSSSSECLAEPLEPHYGGGVIVNPNFDDGLKGWSVFGYSSVAEASSAATGNRYAVATNRTRSYQSVSQKVYLQNDTHYTLSAWLQVSDGSADVVAVVKTADGFVHAGGVDARSGCWSVLKGGLTTAASGPAELYFESNSTVDMWVDNVSLQPFSREEWDAHRGAAIKKARKKTVRLRARDTAGNPVPGARISVEHVRSGFPLGSAMSSEILHNQRYQQWFTQRFTVTTFENEMKWYSTEVVPGREDYSVPDAMLRFAQSHGIAVRGHNIFWDDPSTQMGWVKALSPDQLRRATAKRIKSVMSRYAGKVIAWDVVNENLHYDFFEDKFGSGASAAFYRKAHGMDGGALMSMNDFNTLEQPGDPNGQPSKYLRKLWQIKGAFPGNGNGARMAIGLEGHFGATPNIPYVRAALDTMSQAGVPIWLTEIDVAPGPDHAHHLEQVMREVYSHPAVHGIILWTAWHAKGCYVMCLTDNNFKNLPVGDVVDRLIGEWRTSSHVGVADADGYYETELFHGDYKVTVAHPEAAANAAVVQSLSVDKESDNEYTIHV >cds.KYUSt_chr2.19629 pep primary_assembly:MPB_Lper_Kyuss_1697:2:123516311:123524416:1 gene:KYUSg_chr2.19629 transcript:KYUSt_chr2.19629 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSGGDGGDDDGDDDDDGDGDDVQLDGGDDGVDFPLREGISPADLSLPESSFLSGVLRPAEAAVTLRERFTTAQRSQAHLSTPHSPLHFIPTHQRRRRTQQRAATIPRSPIPELELEQEQAMSVQDPSPAARPKRTSSAPIRPPDYAHSPAHHCIAMRDAAGLSAILAGLPPLAHPSRILSAADASREARLAASVSAALDRRDVPGGDTALHLAVRLRLPSLASALAAAGADPTLQNHAGWTPLQEALCLGCKDISAFLLRAHRLAAWAKLRRRAPALSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGANLRADTTLAGFDGLRIRRADHSFLFFGEETDAGGRLLPPGSLLVLHRGKREVHDAFAAAAAAGDEDAATSDAAAYRPGLNITSARLVPRTTWLRKEKMENVGEWKARVFDVHNVVFSFRTLKAASAGRKDFTFELAVDEDDEFLPLEIRDDDEDGDFLVADIPPPPSRRSCYVPGRRSVAGPPSHMGTPQRRRNSVDVPRRLPTCASVGRGEDSVFGRPAATGGTKWKEEETVKTLRPTVWLTEDFPLSVDEFLPLLDILATRVRAVRRLRELLTTKFPPGTFPVKVAIPVVPTVRVVITFNKFVPLVEPEEFFTPMSSPSLLASPGPGSIMPKPDVHKSSYLRWTSKNSRAKPVNLSQVADNTDPFTIPSDYTWVNLGSSKSKDKKSSKTSKKGKSKET >cds.KYUSt_chr7.5607 pep primary_assembly:MPB_Lper_Kyuss_1697:7:33555134:33562713:-1 gene:KYUSg_chr7.5607 transcript:KYUSt_chr7.5607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein, Metal ion transpor [Source: Projected from Oryza sativa (Os06g0676000)] MENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRESCPPDLGFAMAAALEETDSGSDGTAFMPRFRFFCKNSSPTIRRPARSDGCRAVPPPGQNLLGLDSVGPRDREAPATPFLLLSEASGRRRAGDDDVSAAPALLPQQQQLLWIILIASCAALVIQSLAASLGVVTGKHLAEHCRAEYPKVTNFILWILAELAVVACDIPEVIGTAFALNMLFKIPIWCGVLITGLSTLMLLFLQQYGVRKLEFLIAFLVFLIATCFLVELGYSKPNSSEVVRGLFVPEIKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALTVAFLINISIISVSGAVCSSDNLNPEDQMNCKDLDLNKASFLLKNVLGNWSSKVFAIALLASGQSSTITGTYAGQYVMQGFLDLRMTPWLRNLLTRSLAIVPSLIVSLIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSKTKMGQHTNSRFITVLTWVIGSFIMIINIYFLITSFVKLLLHSGMSTVSQVFAGIFGFLGMLIYIAAILYLVFRKNRKCTLPLLKNDSMPGGAVHAEGEGSQLGHLPREDISSMQLPHERPASDLD >cds.KYUSt_chr2.29384 pep primary_assembly:MPB_Lper_Kyuss_1697:2:180731922:180733136:-1 gene:KYUSg_chr2.29384 transcript:KYUSt_chr2.29384 gene_biotype:protein_coding transcript_biotype:protein_coding MCYTSIRQETLRVKDDELHQLLQDIRARDSTIREITDKLHETAEAAQTAASAVHSIDEARRILSSELECLKQDQENQVELSLLRLRESEEKAKLLAEEREHLLRQRDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADVNIHIKEAVSKLEFATKEKEELLALVDALQSQIQRQETSTKQVCEERSEICSTSSKCMEMEDDNVDKACLSDTDPIPIAENIVELDDEGVDIRTIGDTEWENSHSSEVSDVREVTTEPEENSHSSELSFVREVTTEPEENSLDIPVDSQPAAENTFQG >cds.KYUSt_chr1.28719 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173632163:173632549:1 gene:KYUSg_chr1.28719 transcript:KYUSt_chr1.28719 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDLSKMDTYYLMPNGKRARSVADVERFLKENPGYRATMPASRFSFAMPKIVRETVEECSQWRAVKADQRGISEKSSLSWAAMAADQRERPEENSLLGDARTQSERSEETDASDSDYCCPSSSGGSD >cds.KYUSt_chr6.28796 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182482877:182487402:-1 gene:KYUSg_chr6.28796 transcript:KYUSt_chr6.28796 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSWSGHGGAVALVVAAVMLFWSAAGVGAIGANWGTQASHPLPPDTVVKMLKGNGFQKVKLFDAEDGTMSALRKSGLEVMVGIPNELLMIMATSMKAAEKWVDKNVSNYLNDGCNIRYVAVGNEPFLSTYNGSFLQTTFPALRNIQSALVRAGLSNQIKVTVPQNADVYESTTGKPSDADFRADIHDRMLEIVKFLSDNGGAFTVNIYPFISLYIDANFPAEYAFFDGSSSPIVDGSATYTNMFDANHDTLIWALKKNGYGNLPIIIGEIGWPTDGDMNANAQLAQRFNQGFMTHIASGRGTPMRPGPIDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGIPKYNLNFGTPNSQIQRASGVKYLEKKWCVLKPSASLDDPKLPDTISYACSMADCTSLGYKTSCGMLDIRSNISYAYNSFFQKNDQDDVACGFSGFATTTGQDPSTGTCRFGVMIEVDSAFSWKLQRARSSSLLMLLLALVHLCLSFS >cds.KYUSt_chr4.9853 pep primary_assembly:MPB_Lper_Kyuss_1697:4:59574273:59575377:-1 gene:KYUSg_chr4.9853 transcript:KYUSt_chr4.9853 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPAGRGHDGGRPANRPRPRHLYLVTEDWSEGYSIRKVDLAAGSGAGADTDEQQQEPRRLPPAVLRLESPHNGPNHFAAAFGTKILAMHQTPRQYIPVLDVRTRCLSFGPPVQRLPVSPICVPLGDNLFRLDVPPFRHKFVTSHAVHPDGRTIFVSVKVEGRDKGNTFTFDTGVDDAIWACHRGWQLPFKGPAHYDRKLDAWVGLTGDPATAGHLCSCRVPSTGDDGCWQPPAWKLSREKLFRQDYPPGETHTGATLVYLGMGYKSRFCLVECLSRRVGSRRHLLRLTTFSLKYHKNGDLGASRRRRVQSFKLTKPRIKNSGFLEHPVAFWL >cds.KYUSt_chr3.43203 pep primary_assembly:MPB_Lper_Kyuss_1697:3:273074649:273077423:-1 gene:KYUSg_chr3.43203 transcript:KYUSt_chr3.43203 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGVIWLLILKLRDALTNETVQIGKSFIAYEASALQDLFGEIRKMKEELESMQAFFRTAERFKDADETTVAFVKQIRGLAFNIEDVIDEFTYKLGEDREAMFLLKAIRRVRQIKTWYRLANSLRDIKANLKRAAERRHRYDLKGVERDAKLTRVGSLNRRSAESVHFKREDDLVGIAETRNLLMKWMKDEEQQHMIITVWGMGGVGKTTLAAHVYNAIKTDFDTCAWITVSHSYEADDLLKQIVEEFRKNDRKKEFPKDVDVTDYRSLVETIRRYLENKRYVLVLDDVWSVNVWFDSKDAFSACKLGRIIFTSRIYEVALLASEAQMINLQPLQNHYAWDLFCKEAFWKNENSDCPPELHDWAHKFVEKCNGLPIAIVCIGRLLSFKSATFLEWENVYKTLEMQFTNNFILDMNIILKVSLEDLPHNMKNCFLYCCMFPENHVMQRKWLVRLWVAEGFIEESEHKTLEEVAEDYLTELINRCLLVEVKRNETGYVDDFQMHDILRVLALSKAREENFCIVLDYSRTHLIGQARRLSIQRGDIAHLAESVPHLRSLLVFQNSLSFGSLRSFSRSVKLMSVLNLQDSSIECLPNEVFDLFNLRYLGLRRTKIATISRLIGRLQNLLVFDAWKSKITNLPAEITRLCKLTHLIVTVKPLIPCLQYVPSIGVPAPVGGMCSLASLQTLLLVESSSEMVNYLGALVLLRSFRISKVQGRHCDKLFVAITNMVRLTRLGIQANDDEEVLQLDALNPPPLLQKIFLLGTLAKESLPRFFLSISKLKCLSILRLVWSKLQEDMFCYLEELQQLVKLQLYDAFDGNNMYFRATSFRKLRVLKIWGAPHLSQMTIERGAMPSLVDLKLLLCPELKLLPGGIEHVSTLEELTLNSTAEELVNRVRQKKEENISHVQRVYVGFVRNGELAAERIQ >cds.KYUSt_chr5.38683 pep primary_assembly:MPB_Lper_Kyuss_1697:5:244823308:244823811:1 gene:KYUSg_chr5.38683 transcript:KYUSt_chr5.38683 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSMAHVNTAAAAVQHAAVGAAPNAAPKKSSNTARCDTRRAFLHGVLIAAAGAGTLLGHVDAAPAASKRRAPPPAEEKEKKDPNMSGVQAKVMASRKRKEAMKEATAKLREKGKKPADAAAPTVVTKTQIKSAAAVVE >cds.KYUSt_chr5.5356 pep primary_assembly:MPB_Lper_Kyuss_1697:5:33600796:33601909:-1 gene:KYUSg_chr5.5356 transcript:KYUSt_chr5.5356 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLHRVWTPNTGAFPYSVARHARTGSGWMVGSAQVRPRCRGSQAPPTAAPEQTHYLTRRTGASKVVSKLAYTDQFTCLDKAAMLTPEEDALCADGKTPTDPWRLCTMQQVEEVKCLARIIPVWSSGIVYFVVVTQLSTYVVLQAAQTDRRITASSSFQIPQGSFVVFVMLALTLSDPGQVLAGLSEAFAAIGQTEFYYRQFPENMRSVAGALYFLGWALASYASGLMVTILHRTTGWLAQDPDEGRVDLFYLVTGVIAAVNLVYFVACARWYRFKKSDDDHTGSGAGDAGLDESPKKAANAAPV >cds.KYUSt_chr6.17239 pep primary_assembly:MPB_Lper_Kyuss_1697:6:108585699:108586409:-1 gene:KYUSg_chr6.17239 transcript:KYUSt_chr6.17239 gene_biotype:protein_coding transcript_biotype:protein_coding MGARARDDDIDDGCDLGKILCHILPGIAGALTALVIMVPILYLGFLHSEDEGKIPEYRVAVAGFSGLDLSLPTLDPTFGLTIRITEPRRYDAACFERGTAASVSYAGVALARGPVPEFCAKSENVTEQGSVMAWGNAVAVPQFARDRLAEELRRGDAAVDVALVAPSKYCEYCKQRVVLCTAVLSRSGEPSPPCRVIYQLPDLPDQHPAGRPQPWRKLLKPDVSDAEGSTGGVVAS >cds.KYUSt_chr1.36505 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222685674:222688902:1 gene:KYUSg_chr1.36505 transcript:KYUSt_chr1.36505 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPGHAYLRILLLCLVLPFCLRRHAAAQQVDEQKVLLRIKAAWGSPPALASWSSNASSLCTGWTFVTCDGAGRVSSLALPNVAVSGPIPDAIGELTSLATLNLQNTTVTGGFPSFLYNLTALAYLDLSSNSLAGDLPADIGRLGKNLTHLALDNNFFTGAVPPTLSQLKNLTHLALNSNRLTGTVPTELGELTGLQTLKLELNNFSPGKLPDSFKNLTKLATVWLASSALTGEFPAYVTKMSEMVWLDLSNNSFTGSIPPAIWNLPKLQTLYLYSNYLAGDLVIDGAIGAAGLEQIDVSFNDLTGAIPEEFGNLTKLVHLNLNTNSFSGEIPTSLARLPSLVFLWLFQNNLTGSLPAELGLHSPVLRDIQVDDNDLSGPIPAGVCQNRGLWIISASNNSLNGSIPASLATCPALISLQLRDNQLSGEVPAALWTETKLMTLLLQNNGGLTGTLPQTLFWNLTKLYISNNRFSGALPAAGARLQRFYAGNNRFSGEIPSVLPAGMPLLQEFDLSANQLSGAIPDSVVSLRGLTQMNFSNNQLSGEIPAALGSMPVLTLLDLSSNQLSGSIPASLGNMRVNQLNLSSNRLTGEIPAALAISAYDGSFLGNPALCTAASSSENLAGVSSCAARTSDKVSPGLRAGLLAAGAALLVAIVALAFFVIRDAKRRKRLAPAVEAWKLTAFQALDFGETAVLRGLADENLIGKGGSGRVYRVECPSRTGGGTATMVAVKRIWTGGKVEKNLEREFDSEVNVLGHVRHTNIVKLLCCLSRAETKLLVYEYMGNGSLDRWLHGHRWAAGSAGAVAKAPSSARRAPLDWPARVRVAVGAARGLSYMHHECSPPVVHRDVKCSNILLDAELNAKVADFGLARMLVQAAAGTTADTMSAVAGTFGYMAPECAYTRKANEKVDVYSFGVVLLELATGREAGNGGEHTSLAEWAWRHLQSGKPIADAADSSIGDAGRSNDFEVVFKLGVICTGAQPSTRPTMKDVLQILLRCEQAHRKTVDEKTVSSEYDAAPLLPMRGGSRRKKVSDDDDSKGSFDIV >cds.KYUSt_chr6.21353 pep primary_assembly:MPB_Lper_Kyuss_1697:6:134790011:134790418:-1 gene:KYUSg_chr6.21353 transcript:KYUSt_chr6.21353 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGGASSSPVVVPAYGAGGAVQPLPFWSTPTPYLFIGFGIVMSLIAVALAVLLCSRPKDGRREEDQEEVIVQAGMMSVRVLAPVDRESPKVVVVMAGDDAPSFLASATPLAFAGKVQRPYCQCHGGPKLDVSAV >cds.KYUSt_contig_195.163 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:1013619:1016507:-1 gene:KYUSg_contig_195.163 transcript:KYUSt_contig_195.163 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIKHALTLFADFITVLVRILIIMLKNAGDKSKEGGEKEGRRRSYSQLPRPQIQELDHLEVLVEELEDAFNRLGGSSVGPRWVPPNIQAKCEKCWATPPPTTDRRPPTATATATATATAYKLFPISTAMSRFRRSSSHDFQPQPPPLLLLLLASVRNRLPHSYTMPMSRLRLLRRSSTSTSTSTSTFPPSLSCYPDAALDAATQYGRAGMLRSEHAHQLFDEMRRTPQPVLNPERSLNLLRSAVLLPNKTAEVIPLSVCTYCILMDCCCCHGTRLALFASSSGRA >cds.KYUSt_chr5.33430 pep primary_assembly:MPB_Lper_Kyuss_1697:5:212048333:212049921:-1 gene:KYUSg_chr5.33430 transcript:KYUSt_chr5.33430 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLFSVCISLLCILLLLCFLWNQSTKSSTGAPAPPGPTPFPVIGNIPNLIPGGELHRALARLSAFYGPVMSLRLGMVTTVVLSSPGAAHEALQKKDGAVSNRWIPDSTRVGGYSSISMVWLPSSSPLWKQLRTVASTVLFTSRRLGASHAIQERKARELVAHFRASSGSPVRVALPVFSAVLNMMSGVLFSEDVVEMGSVSGQEFMELIADTVAESIKPNISDLFPFLSALDLSGGRRVAAAKLVRFDKFFDAVIDRRQNSAEKHCDLLESLLELPAKSQLERPVIRALLTDLFIAGSHTTTTTVEWAMAELLRNPTKMAKARAELKEAFGSGNAEEGDLANLPYLQAVIKETMRLHPPAPLLLPHEVSESGVTLGGFSVPKGARVFINVWAIGRDAEVWPEPEVFAPERFLDREVDFRGRSFEYIPFGSGRRACPGMPLAVAVVPMVLASLLHEFEWRLPDGMVPGDVDLSDRFAAALELAVPLRAVPIWAKGTQHLGP >cds.KYUSt_chr4.12357 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75476695:75480510:1 gene:KYUSg_chr4.12357 transcript:KYUSt_chr4.12357 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYNTCCGLRTCNQLVFSLKPHIQCIVLIAFVIGCGEEDLMEAAVASALTLAVRTVLPKLGSLLEEKYKLPNRVKKDVTFLRGEISSMDAMLEKMAEMDELDVQDKVRRDKVRELSYDMEDCIDTFTDDLDSRGAKLKAGLKKVKARYKIENRIQELKSLVVEINDSHNRYKLDKERTGTTSQSQSPVARIDPRLSAVYTSGDHSLVGMDGPKEKLIELLRGDGEEGARRLKVVAITGFGGMGKTTLASQVRDTIKSEFEYTAFVSVSQNPNMAKLLSSILASVGCYVPPSWTTACQLIDLLRMCLVNKRYLIVIDDMWTIEAWNTIKCAFMEENSLGSRIIITTRIEDVAQACCSSLHGHIYKMKPLDDLDSRRLFDRIIFHSEDACPEKLKNASSEILRKCGGVPLFIRSTASILASHKEINSTVFWEKIPNSFGFQLEGKKPELQWMRHVLDLGYNDLSLDLKTCYLYLCIFPEDSVIMKGDLVRRWIAEGFITEKHGYGPEEIAEDYFYELMKKNMIQIAELDDCGHVLSCRVHDIVFDFIIVKSTEENLVTIVKKSGDPEGMKGCMQVRRLSLQVGKPDAKHLPGSMVLTQARSFNFWGPARLMPSLSRFRLLRVLHIEVDCSKYDKCDLSSLRSFTQLRYVRIRGLGCKKVLKQLRKLQHLKTLEIVVREKEEKTDPSELELDVDKLPSTLWHLIVPCAVKAFGEVSRMRALRTVGELSMDLLKDVENIKGLGDLVDLRELKIVLVREAVMAEDDSLKLFMVEGASEDPILSEGACDDLVRSLSRLVSLESLTIRMFGSLKIVDVLTCWSPPPRRLRRLHVLGLPFSTVPASWITQLDNLTSLKIQVVSLPRDGADVLATLASLVHLTLHVRVLRDHAPDEGVVFPTASFPGLKDFVFRHKVPCLVFEEGAMRRIRRLNVECYAEAVRRGDGVLDGIQHLGSLDSCMVDIYEREDFARILYQLQAGRSSPDQPQAEKEPTATWDVDSLEAALREAISKHPGIPHIRIRSV >cds.KYUSt_chr1.34812 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212048885:212057853:1 gene:KYUSg_chr1.34812 transcript:KYUSt_chr1.34812 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPESGSSAPLLRVGSGHRRATGGWRSALFIIWVEVAERFAYYGISSNLISYLTGPLGESTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYIMDLGLLTLSSMFLSPGSHQCDISADGRSECLSSSLQTAFFYVSLYLVAFAQSGHKPCVQAFGADQFDATDPIESSSRSSFFNWWYFGISASATVAVAIMSYVQDNVSWGLGFGVPCTIMLLALVLFLLGTRTYRFYDDSSSGKDGSVFWRAGEVFRAWRKRSPEGGALVEHGEYSENAVLAEEVRGLARLFPIWAACLLYGVVFAQSPTLFTKQAATLDRRIGSSLFQIPPAAMQCFLGVSIIICVVLYDRVLVPVARRITGVASGITMLQRIGTGMALALAALVVATLVEMRRLRAAMDAGVVDVPDAVVPMSLWWMVPQYVLLGAADVFTLVGMQEFFYDQMPGELKSLGLALYLSVLGVGSFISSLLISVIDGVTSRDGGTSWFADNLNRGHLDYFYLLLAALTALELLAYLYFSGSYIYKRNTVNLH >cds.KYUSt_chr3.14640 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88977344:88981060:1 gene:KYUSg_chr3.14640 transcript:KYUSt_chr3.14640 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKMALVHQRLALLDSGSDKDADVAPAAASDEKLAVVLDKQPTVINCDDTDDEEEHVPLKVVPPGRVDSEQKKLPGVPQADNNQNTYIAKKKRGRPPGSKSPKGNMEQKLTSVNPRLALIESGSSNNSSKSDMDDDPVLMGNCGSAMERAKEVQGKLSAEHPSFVREMLHSHVVKGFWLGLPSNFCNKHLPKEDTTFVLEDEDGHNCDIKYLEEASSNDAKCKEDLKITGVSSKAAHSNSSNLVGETIDGISFSDTYIDFGDVTSFSDFSIIIDSLVIDCKFSDHLRKTYYELCCSQKLFLHKNLLKQINLTLAVGVIMETINIAEGIRACKAHASSHEDFVVWKKTLESFELLGMNVAFLIKRIDDLLSLPAPSRDLAEHEEYIEMKLERARAEEKMKELDSKMSAVKVALKKIDVEMEEMESSVKRRAEMLQQLATAPW >cds.KYUSt_chr2.51282 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320624333:320625847:1 gene:KYUSg_chr2.51282 transcript:KYUSt_chr2.51282 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLATVPEETTDGFTAASPPPRSPYRDRIRMVAVSGEQIRSTLFSNSQSSHNGSSYSSDSGASNSYPFNYSGGSSGSAASAAAPNLGAHELKMIAHQMVNDGYTERMVQAFNAAASPPTAACEYGGGQDRAALGDWFSELDVDWVLQIREGHGLQLQDRSLQDLVEKWIRALTVIVVSIRSLAAPHRTLEVAHFGKTSIAEMVVFTDAVVPALKAENLQAVLDMYICVSNTSSDMFMIGIPFMPFEIGSLLARAGTRLSNAISNTMEKVRTLVEDDDLWAIEIPRGGGEVHTNTRFMVDCIVSMRKAQASTENSAPSYHTGNLRELINATVKYQMDLLLRKSLLCSDPSLRYLFLLNNFNFIAYVLEQWRSLLLDLDLWSVNQRLERDCEKHMDSYLNVSWGHVISFIPKSGFSRPLIHRWSNTSLLANFESAFHETYQAQKFWKVPEPRLRNLLRKSIAKRVISAYRDCLVENPELQKHVDAGTSSSPAVLEEMLGELFEG >cds.KYUSt_chr1.17387 pep primary_assembly:MPB_Lper_Kyuss_1697:1:100910732:100914874:1 gene:KYUSg_chr1.17387 transcript:KYUSt_chr1.17387 gene_biotype:protein_coding transcript_biotype:protein_coding MARHCLDLRHLCWLPNRRVTVPNIRSVPAQSAAVSRGVNSQLIEESVGDSDLVSRIIHFYRKPFLQQSETEELLRKVQAKVSSSIIDIKTEQCFNVELENALSSEKLATLQWLLAETYEPENLQTGSFLEEKVSGSPYSFLVEVGPRMTFSTAFSTNAVSICKALSVMEVTRLERSRRYLLCLQPGSVPLDESQLNTFAALVHDRMTECVYPSKLTSFQSDVVPEPVSVIPVMEMGRQALEEINLKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKIDIDGETMASTLFQLVKSPLKANHNNSIIGFKDNSSAIRGYPVNHLRPVLPGSTSPLSIMMRELGILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFIVASTAGYCVGNLQMEESYAPWEDSSFSYPSNLAPPLQILIDASDGASDYGNKFGEPLIQGFTRNFGSRLPNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMSQKLYRVIRACAEMGENNPIISIHDQGAGGNCNVVKEIIYPKGAVIDIRSVIVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLQSLCERERVSMAVLGEIDGSGKIVLIDSAAVEHAKLSGLPPPLPVVDLELEKVLGDMPQKTFEFKRVSRVGEPLDIAPEVTLMDVLKRVLKLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPIKGLLNPEAMARLAVGEALTNLVWAKVSSLADVKASGNWMYAAKLDGEGADMYDAAVAMADCMIELGIAIDGGKDSLSMAAECDGELVKAPGNLVISAYVTCPDITLTVTPDLKLGNDGVLLHIDLAKGKRRLGCSALAQAFDQIGNDCPDIEDVPYLKKVFEAVQELLSERLISAGHDISDGGLIVAIFEMAFAGNCGVSLKIELKDNELLQALFAEELGLLIEVHSEDLNVVKQKLQAAGVSANVIGEVTAAPEIELLVDGEMRLKERMSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKSRTSPPWHLSFTPRFTDKKLLTSSLKPKVAIIREEGSNSDREMSAAFHAAGFEPWDVTMSDLLNQKASLTEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQDFYNRSDTFSLGVCNGCQLMALLGWVPGPDIGGSLGAGGDMSQPRFTHNESGRFECRFISVAIGDSPSIMLKGMEGSTLGIWSAHGEGRALFPDENVLSDVVKSNLAPLRYCDDANNVTEVYPFNPNGSPLGIAALCSPDGRHLALMPHPERSFMMWQYPWYPKGWQVEKSGPSPWLRLFQNAREWCS >cds.KYUSt_chr4.8432 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50469923:50475106:1 gene:KYUSg_chr4.8432 transcript:KYUSt_chr4.8432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Succinate dehydrogenase [ubiquinone] flavoprotein subunit, mitochondrial (EC 1.3.5.1) (FP) (Flavoprotein subunit of complex II) [Source: Projected from Oryza sativa (Os07g0134800)] MWRSCVSRGLREAKAAAAAASRRLSSTAGSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLIMDKEGTCQGVIALNMEDGTLHRFRSTNTIIATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVDIKGDNPDNVIPGLLAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGATQKPLENDAGEKTIAWLDKLRNSNGSLPTSKIRLNMQRVMQNNAAVFRTEETLKEGCELISKAQESFHDVKISDRSLIWNSDLIETIELENLLINACITMYSAEARQESRGAHAREDFKTRDDEKWMKHTIGYWEDEKVRLDYRPVHMNTLDDEVEAFPPKARVY >cds.KYUSt_chr5.32873 pep primary_assembly:MPB_Lper_Kyuss_1697:5:208388670:208392906:-1 gene:KYUSg_chr5.32873 transcript:KYUSt_chr5.32873 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAAARRWVQWEEVVVSNDRGRRLVHYYLRGEPGAGGGEERELAVVGRERSPRHMSYAVQGRFLRSLAAAGDGSAAPSPSRSPAAAAEGAPRKWRSRREVVDWLSSLVSGCNDGTYSMANRFHGNSYDDVGVDFVDVAASMVHNFVYIMSEEKKRLIAYVEDLYEDSNALNMVIVRWFDKVDEVGVELPPNVDDREIFFSHGLQDLNVECIDGLAAVLSAQHFEKFQSGMKHSYWQPYLCRRQINNDDVKPFDITQLQGYWSQELLKAMFNAGSSLKVRFKVTKGGPSSDGAQKRKSDAFNDDNYQQRRLPSGGFLSDSVDLDHSLQKQLNPGCHAEILSQDSGIRGCWFRCSILKRHRDKIKVRYQDLQNADDTGNLEEWVLLTRIANPDQLGIRISGRPMVRPHHVMQDSKDPCSIDIGALVDAWWNSGWWEGIVLQCGNDGRLQVYFPGEKRVAYFGICDLRQSLEWVGDRWIPLKERKDILSHLPPTPECEDGAFSKLVGQKDSPSTVPESGERCDEKSLGNKISRCQKRVLADLTNDLKLDNLKWMARKRSRRCSSKRQSGTSSGSSSQGDMEESSPCGINSVPDEEVCKSNGEPIFIGLPVSSLVISRRMIEH >cds.KYUSt_chr4.43085 pep primary_assembly:MPB_Lper_Kyuss_1697:4:266893179:266894450:-1 gene:KYUSg_chr4.43085 transcript:KYUSt_chr4.43085 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARIGSRFRCALVMTILLTRTGSITKTDITGIMSAGAAGVVLINWKDAGFTSVLEDYGPDVVQVTVADGNSISEYVRTTSKPSAIVIYKNTLLGVRPSPTVAAFSSRGPCSFNPGVLKPDILAPGLNIIAAWPPVTILGSGPFHIKSGTSVSTPHVSGVAALVKSVHPKWSASAIKSAILTTADIADSRGDPILDEQHQKASAYDMGAGHVNPTKAIDPGLVYDISITEYAGYICALLGDQGLAIIARNPWLSCTKLPKIPEAQLNYPTITVPLKSTPFTLNRTVTNVGPADSVYTLKLYTPKSLAIYVSPEKLVFSKVGQKIQYSMTVSSNANDGKKFMEGSLSWVSRNHVVRSPIVASADLDFPSL >cds.KYUSt_chr7.1862 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10734031:10736120:1 gene:KYUSg_chr7.1862 transcript:KYUSt_chr7.1862 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLLAPAAPPLPTPRRRRPLSSAVASAQRASAPLCSAALRCASSAVLRGSPSRLAATAAPGPAEPEPAPPSAEEEAERAKLAQVSKRLEKTARYFKSLGTLGFWSQLVCTTVSAGILSFSAVATGNATSPFTFYLTALGIVAAFFSVFRSFGYIRLSERLGRTSTEPAKAPPRADVVKNLRNSIALNVIGMGAALLGMQATVGALVAKALTTSSVPYYQGISAGQSPVLALDVFLVQASANTILSHFLGLSSSLELLRSVTISPAGAGLSPRPA >cds.KYUSt_chr2.3811 pep primary_assembly:MPB_Lper_Kyuss_1697:2:22992578:22993882:-1 gene:KYUSg_chr2.3811 transcript:KYUSt_chr2.3811 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPPVLGADANDPATRAATLLEDLPQEIIDKILVRLPSKDIGRFRAVCTSWGSATSTPQFMLEHHRRQPSLPIINGNGRPASLVVLRDTSARASNQHLWPFRPGFKHRSEYRLLGSCDGFLVVCRRSQFRSRFYAFNPVIHKHALLAQPQVGQSFYNTMIGFYRDHPTGEYRVLWVSRSHHSWSHHLCKPGLYILTVGSDRPRYVGFRTTKDLPPSEHIMFLQRLCCSSYYSPPVHHRGSLHWCSYGTSDIIVFDTQAESFRWMQSPTELWPSKLFDMKGMLALWAGSARYYNGFTIMDVWVMRDYEAEIWAFMYRIDLSTVEASRQLYSTSFYKKNKRKFKKKKLLDSPVRLFEDMAVLNNRELLIMYDENKVLRCNIDGKFLGIVNLGKGQYYMWLTQHRLQESIVPIPYHETQEEDEESVFSTGCV >cds.KYUSt_chr2.53806 pep primary_assembly:MPB_Lper_Kyuss_1697:2:335789808:335790434:-1 gene:KYUSg_chr2.53806 transcript:KYUSt_chr2.53806 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVWWCSSSPSFPRSGACVGEVVEAVWCLCLTGEAVAAVKLGPFVPGPLPCSAGACSGAGGGVSGVRFRSGWPAAVVLLRPASPDGGGAAVEDGEWLAVVGSGMSVSDLERVVLGACRRPLLLCDEDPALRGWWSLRLFNAFWLGVPPAPWMVVDGGCFAGVRAGGGSPRWLVDDEDEDPQQFLCLYPLLFCLYLYAFRSCILATVG >cds.KYUSt_chr2.48033 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300300349:300303179:1 gene:KYUSg_chr2.48033 transcript:KYUSt_chr2.48033 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELDEGIRKLAWLNFDCSRNELGSCVARLSELKILLTKFPSLPPTFEVSPNAVEELKFARAVYEFAVILSMEMKDQEAFERDLVQLKGFYMDTRGMIPPSPDEYPILGLNLMRLLAGNRIAEFHTELELLPLGALHHPCIKYAVELEQAFMEGTYRLINDRKAVPHESYRYFMDLLAETIRDEIADCSGQAYDHLPVNDAMEMLMFSSDQHLLEYISERQHEWEVQNCSVLFHMAKPQPRVGVHSFKLIKQSLCYAQELEQVV >cds.KYUSt_chr7.26093 pep primary_assembly:MPB_Lper_Kyuss_1697:7:162934985:162936028:1 gene:KYUSg_chr7.26093 transcript:KYUSt_chr7.26093 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTRDLVAAPATSLVVLLLVLLIMPSTAAAVPSIDATLTRHLPLPRGLLRGPESVAFDAKGQGPYSGVSDGRVLKWNGDKLGWTTYAYGPDYRSEECTATILRPETATESHCGRPLGLRFHLRSGNLYIADAYKGLMRVGPGGGKATVLVTEVDGAPLRFTNGVDVDQVTGEVYFTDSSMTYQRSQHEMVTRTGDSTGRLMRYDPRTGKVALLKAGITYPNGLALSADRTHLVISSTGPCKLLRYWIKGAKAGTIGLFADLPGYPDNVRPDKRGGYWVALHREKTELPFGVDSHLLALRIGADGKVLEEMRGPKSVRPTEVAERKGGRLFMGSVELPYVAVVTRK >cds.KYUSt_chr6.1144 pep primary_assembly:MPB_Lper_Kyuss_1697:6:7276332:7277471:-1 gene:KYUSg_chr6.1144 transcript:KYUSt_chr6.1144 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCILTRLLSSPSSALHRLLSAAAAAADPSPAFAVEQYLVDTCGLTKPQALKASAKLSHLKSPAKPDAVLAFLADLGLSSADVAAAVAGDPQLLCADVDKTLAPVVAGLTAHGLSRAQVARLVSLGRPIFRNTSLVSNLPYYLSLFGSNENLLKLLNQSNSFLGCSLEKVVKPNVAFLQECGLGDCIFSKVQLSTPRILSTKPERLPAMVACAQGLGVPRGSPMFMHVLYAVAIIGEDKIAARVDYLKKTFRWSDAEVGNVVCRTPQLLRRSKDMLRRLSEFFISEMGLEPTYIAHRSVMLTFSLDSRLKPRYYAVKFLKQNGLVKCFPSYTIFHLTDKVFVERYICPHMEAAPYLTEDYAAACKGEVPTRFLSA >cds.KYUSt_chr5.33257 pep primary_assembly:MPB_Lper_Kyuss_1697:5:211016289:211017179:-1 gene:KYUSg_chr5.33257 transcript:KYUSt_chr5.33257 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQDALQAANSLPGETANGAPDIHSFSQLPFMRPKPPPATEAGGSSPTSSIRLFGFDFPPDGAASSVTNGDAAADSTTSPGQTVAAASVGASGGGSRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQFQSAMAMQGHYPGHAYPAFGSGYHHHHRFLAAPAHMARYEPPPHYPSWSNHHISPTVPAAVPTYYGGSGPGSVSQPINGSPVPAAALWRVPGVSVTAPVPRQERPTPLTHARRDEMAVAWERSGQAGSASSASSASSSSQHEAVRHGGDAADNRANVSLDLTL >cds.KYUSt_chr1.31456 pep primary_assembly:MPB_Lper_Kyuss_1697:1:190752270:190754828:-1 gene:KYUSg_chr1.31456 transcript:KYUSt_chr1.31456 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRAGATPPVPVPLLLSVLFLLLGRRDAAGGGGGGVRGSSVYPAPVVYPHHSRQISWHPRVFLYPHFLSDDEANHLVSLASGELKKPGDIKSGKSTLSEVRTSSSYFISKGKDPIVAGIEDKIAAWTFLPKENGEDMQVLRCKRGEKYEPKYDYFTDSVNTMHGGHRVATVLLYLTDVAEGGETVFPLAKGSHGKDATLSECAKNGIAVKPRKGDALLFFNLLPNATTDPSSLHSGCEVIKGEKWSATKWIRVASFDKVYHAPGGNCTDSNDSCGRWAVLGECTKNPAYMVGTAALPGHCRRSCNVC >cds.KYUSt_chr3.2146 pep primary_assembly:MPB_Lper_Kyuss_1697:3:12443422:12446985:1 gene:KYUSg_chr3.2146 transcript:KYUSt_chr3.2146 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRLLVILVFSAVLIAFAAGDNYQADCPYPCLLPPPTPPAAADCPPPPSTPSVYGYPPPPSSTGYSPPSPSWSYPPPAGGYIPFYQPPSGGGGGGSYGPVPPPPNPILPWYPWYYRSPPSSSAITLRASSSTVLLLAAAAGHSIAYEKHIQSSKLSAHANAHAIGAATAIFLDSIFKRDHRNDLGRPAIDAATAPDDTTILHASITLHPSPRHHCTMPRRLDDADTAKNTAPPQHHHHPLSAPKRCTNR >cds.KYUSt_contig_7030.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001412.1:166:5714:-1 gene:KYUSg_contig_7030.1 transcript:KYUSt_contig_7030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHGCMHWQWKNCRWHSGPILKAADIILWRRKEVSASILGAATAAWGLFEVAEYHFLTLVCYAAMIAMVTFFLWTNASAFLNLPVPRIPEMVLSERTTRQVILGLHMRLNWFVHKLYNIACGQDLKMFILTAVSLYIGSVFASCFSSLTLLYIVVFCTMTVPALYERYEHEVDHLVAKGAHDFRTQVSRMDSGVLRKIPRGKGATAAQRTATTNDINGWHRSQAS >cds.KYUSt_chr5.16164 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104058007:104061370:-1 gene:KYUSg_chr5.16164 transcript:KYUSt_chr5.16164 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVCYVVLGLAAALLLCCPQPAIAKEQRHEFVIQEASVTRLCNARTIVTANGQYPGPTVEVSEGDSLVVNVVNNATYNITIHWHGVRQMRTGWSDGPEFVTQCPIRPGKSYTYRFTVTAQEGTLWWHAHSSWLRATVYGALVIRPRDAVPYPFDFHGEIAPIMLGEWWDMNPIDVIRTATRTGAAPNISDALTMNGQPGDLYNCSSQDTTVFAVKTGETNLLRFINAALNTELFVSLAGHNMTVVGADASYTKPYATSVLVLGPGQTTDVLVTFDQPPGRYYLAARAYASAQGVPFDNTTTTAIFDYGASSMASPAMPTLPAYNDTATVTAFTTSLSNLHAVELPSAVDEDLFFTVGVGLFNCSAAQNCGGPNGTRFAASMNNVSFVLPSTVSLLQAHYQGDAAANGVFTTDFPANPLVQFDYTAQNVSRALWQPVPGTKLYKLKFGSVVQVVLQGTNIFAGENHPIHIHGYDFFILAEGFGNFNAATDTAKFNLHDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELQSLGAPPPDLPVC >cds.KYUSt_chr3.47723 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299071676:299078291:1 gene:KYUSg_chr3.47723 transcript:KYUSt_chr3.47723 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFIPAYFKKTQASKLGDAQGIPFFIDNIIRNPSKEIFSELDEINAQDLIFPRTFQKSGGDTKWGDEALTQQGGAAQEKAYSRTPYRRRVRFEGAGAAVERGDSTGVPEPRGGVRRRGHGAALRLQERLRRRGHRAFGGERDLRRALPRLLDVRADDHGKGGTFALYSLICRRVRAGLLPGGGGELAVQPREGAALRLSRVRAALERHRVLQKLLLLLALLGTCMVIGDGVLTPAVSVISAVSGLELSLDNKQHECRFHVGAGIPGVAPHYTPPPSTFNVLLGSYWFDKPWFLTEGNLLLYASHLPLGVPNGRVLNGETHVNYAQAMIHIFGSGADVHIEIGGL >cds.KYUSt_chr6.18946 pep primary_assembly:MPB_Lper_Kyuss_1697:6:119240674:119241579:1 gene:KYUSg_chr6.18946 transcript:KYUSt_chr6.18946 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRFITMKQDEKRKPRERRPYLASECRDLADADRWRGEILREIGVKVAEIQNEGLGEHRLRDLNDEINKLLRERGHWERRILELGGRDYSRSSNAALMTDLDGNIVAIPNPSGRGPGYRYFGAAKKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGVLEPLEAAAEKRMRDEVVTEWHRVEKVRREAMKNVVSGEVAAPGGRGGETAREVLIEEVEEEVEEERRLEEEKMERERGEEAGREFIAHVPLPDEKEIERMVLEKKKKELLSKYTSDTLQGEQKEAKEMLNVQR >cds.KYUSt_chr4.36810 pep primary_assembly:MPB_Lper_Kyuss_1697:4:226336774:226339929:-1 gene:KYUSg_chr4.36810 transcript:KYUSt_chr4.36810 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPGTYASHWRTSSHSVPPGTSAKAASPPPVGGAGRPWARDEDNHDGDDDEDYSEALAYHNEEAKDDSDDYVACIFQEWQLAMAEGREFEYPDNMTDDEIARLGVLVSEVDRPVQPPLPRSIRENAIRYLVVAADLEPPPPGTIVAAGEHATWGVDGVASRSGVDEDPRAEDKESKKNKASRHGVASFAQDSSGVPSSSLMNSNKNRFQHAHLTHADFLPRKKLSNSCLPHSILPLRTIAMMVKEGERYYGSRG >cds.KYUSt_chr3.19434 pep primary_assembly:MPB_Lper_Kyuss_1697:3:119526423:119529834:1 gene:KYUSg_chr3.19434 transcript:KYUSt_chr3.19434 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGLGGFQWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVGRAQRWPLSRTLLVTAFGAVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIFLGAGYIVLFALGKGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKVLVGSVLCLVGVLTYVFHHHDGDEHSLHAHVHRKLVGS >cds.KYUSt_chr7.28769 pep primary_assembly:MPB_Lper_Kyuss_1697:7:179275045:179276472:1 gene:KYUSg_chr7.28769 transcript:KYUSt_chr7.28769 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRAPVPHLLFVTSPMQGHINPVRRLAARVAAADARVTISTAVSGHRRMFPSLASPDEEAVDAAGLLHVPFSDGYDEGFDREVHDIRSFAARSRAAGCETLAGVVARLAERGRPVTCIVYTFLVGWVPEVARACGIPAALFWIQPAAVFAVYYHYFHGHGAVLATCANDPTCDAVVRLPGMPPLKSRALPTIVSLTSPQQQGYPVLGTLRDLFLALDADRPTKVLVNTFDALEPEALRAVPGLELVAVGPVVPDIGASPSSTDLSLCDDGSDAYMEWLDTQVARSVVYVSFGTIFTASKRQELETFQGLKATGRPYLGVLRKVAEEGAALDGGSGGGGAADGRQGMVVERCDQARVLSHPAVGCFVTHCGWNSALESIASGVPIVAVPQWTDQPTVAWLVEDCAGVGVRVEVDDEGVAERGEIQRCVETVMGDGDAAVEIRASAMTWMEQAKEAIAAGGVLERNLQAFVSGL >cds.KYUSt_chr1.20760 pep primary_assembly:MPB_Lper_Kyuss_1697:1:122577337:122579939:-1 gene:KYUSg_chr1.20760 transcript:KYUSt_chr1.20760 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMGRLKDTDLVMVNELLTASNDWNEPLIREIFFAPDVDSILSIPLRSTRGDDWLAWSKEKSGIYTVRSAYKSLMDARQSEEARNNNGHVVSSSENDTDLWRRLWKLPVVPKTLELPRDKGVPKSARPESTGAVAREGVVFRGATGKTYRGVSDPLTVESLAFRDAVVYARSRGFTNVVFEVDSEDLVRLWKNRANDLSVVKQALWSIRDPWMLDGHRVHLHFMVIGATYHPNSLDLALRRSLRLNKGINILECVAMSAGSRFSASRCQNV >cds.KYUSt_chr2.17097 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107705053:107708396:-1 gene:KYUSg_chr2.17097 transcript:KYUSt_chr2.17097 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPRDHRMAHRAAPKMSPSALPQKPSPAQSATHARSFAPPDAPPILRTNGASPCRTQDPRRQVGSGFARVFPGSCRPEFTNRPAQIHATSIPEKTPSYGDLGAGLRFPPPLQKQLDGAEAPVEEQRQSKENSARILDGILLFDARMVGCSEERNPIDLTDCSSRDGYMNIPNLLLWDEIYSIIMGKMVFIITIQKYKVGLQVGRCICRMLVARFVLNHFAFQRMKPNLPKYLSKA >cds.KYUSt_chr4.13409 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82681124:82684386:1 gene:KYUSg_chr4.13409 transcript:KYUSt_chr4.13409 gene_biotype:protein_coding transcript_biotype:protein_coding MERCDCIEPLWPTDELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTVAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDWEMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTIVELGRTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSSIPINLPVINQVFSSNRAIIIPHTCPLARIRPLAGRYVPPEVAAVRVPLLNLSNFQINDWPELSAKSYAIMVLMLPSDSARNWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIRAFNLHAVFKEVMSFIRPIAAIKSLSMSVMLAPDLPLCAIGDEKRLMQTILNISGNAVKFTKEGHITLLASVLKPDSLREFRTSDFHPAACDGHFYLKVQLKDTGCGISPQDLPHVFTKFAQTQPGGNRGYSGSGLGLAICRRFVTLMGGHIWLESEGAGRGCTATFIIRLGVSDNMNAYQQQLTPHAWPSSANADSTGPRALHEERRPSSLKPRYQRSV >cds.KYUSt_chr2.36821 pep primary_assembly:MPB_Lper_Kyuss_1697:2:227449436:227453420:1 gene:KYUSg_chr2.36821 transcript:KYUSt_chr2.36821 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDPNIGPRSDHTAANIRRPPEIRRPPPSRCVQSTEEEGAVDCDSIVRTFSRSQTSKMATTFTTPVLCNFKTNTRLQLPTLNQSTRQPLHLRLSPARITPTFPGSGASSRDFRAMAVYKVKLIGPDGKESVINVSEDNYILDAAEEAGLDLPYSCRAGACSTCAGKVLEGGVDQSDQSFLDEEQVGAGYALTCVAYPTSDCVIQTHKESDLY >cds.KYUSt_chr5.30129 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191130784:191134404:1 gene:KYUSg_chr5.30129 transcript:KYUSt_chr5.30129 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLVFSLLFLSCLLGVSSDSRYFTSMFTLGDSYIDAGNFVIMAAPVFPVLHDKPPYGMTFFGHPTGRLSDGRVTIDFIAEQFGLPLLQASLLNSPDISKGVNFAVGGATAIDVDFYERNKLVPFKLLNNSLNVQLGWFEELKPSICNNTEGGRDCFGKALFFVGEFGVNDYSFVWGAGKTEDEVKSYVPKVVKNIVMAVERLVTDGAIYVVVPGNPPNGCSPALLTIRSSLNQTEKYDHLGCLSDINRVTMYHNIKLRAAVGALRDKYPHAKIIFADFYKPIISILQNPDHFGVSSANALQACCGGSGKYNWNASAVCGMPEATACKDPSAFVSWDGVHYTEATYRYIANGWLRGPFADPPILTVIPH >cds.KYUSt_contig_815.307 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:1795553:1804847:-1 gene:KYUSg_contig_815.307 transcript:KYUSt_contig_815.307 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAQGEKGSQGAPGGSETAARTRPLSIQEILRRREKKAIAEAKRAAAEAKDAKEELQDYEKGKPSRSDSGRGHKARKDVPVEKESARDAPREDSRKDDVRHVSREGSRKDSARHAPREASKKDNLKDRPKDGSKVDDAPKKGSKKERHSARDDGQSAGKDKGSRSSHKLSTSTRAWPAESRDGNHGEIRARNGDAARSEYLKGPAKRWNGEPVHNDRIIESDKLRNEAKRKYDHRRPEYDQRRPDVDLPVLKKHDSARFKHYDRNDGRKEYGNPYHEEPRPKRRRSRSRDHDQGRRGRSVSLSPRAQRRSYHGHDRDNYPPGRKYAENDRHRTSGNGGHGGESYQRHESPLGGYSPRKRKTVPQDEQVSTKITPPAVRSPEKKPATWDQAPAGADQSNFFTTLKPIVSQTSSVSVSSSAPKPNPATTLDTILSGNSSSIDSVQLTQATRPLRRLHIENLTSSASEDMLIGCLNDFLLSSGGNHIQRSKQPCLSCTINKEKRHAFVEFLTPEDATAALSFDGKSFNGSTLKIRRPKDYIEMPHVAPKKPVEEEIKVTSDVVADSPHKIFIAGISGVLSSEMLMEIVSSFGQLATYRFVCNEDLGRRCAFLEYIDHSITNKACAGLNGMKLGGSIITAVQVFPNPLEVHNEASPFYGIPYSAEALLEEPTKVMQLKNVVDREEYLLLSKPELEEILEDVRMECARFGAVKSINIVEYPSSIDSITEDIVVEPKDGPAKLEPTECCANDNCAETATDCPLPSKSIAVPSGPMKITGVDPIFEGQDHKEPDTLCESDIPAAADQYTDLDDLHAGAAGPSLDQQMEAVHMDSAQADQDATAVGDIHARASGLSSDQPTEAVNMGYMQSDQDATAVGDIHVGTVGPTLDQQTEADHMNSMQADQDATAVGDIHATAADPTLDQQTEADHMDSTQADHHATALDDDSAVGEGHAGSKTLEICISTTTPGDVVQRSETENEQKSSNDVSESGAEKLPAADTRGDASVSDTIVLEAGSILVEFMRKEAACMAAHSLHGRSFGDRTVSAGYAPHDLYLQRYLR >cds.KYUSt_chr5.14818 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95866425:95868863:-1 gene:KYUSg_chr5.14818 transcript:KYUSt_chr5.14818 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALPPNLATVSLLSFLALVSCAASRDILQLGSSLAVEAYQSDILQSPDGTFSCGFYSIYENAFTFSVWYSRAANKTVVWSANRDRPVHSRRAALTLRKDGNMVLRDYDDTLVWQAADYLTNVQHAQLLDTGNLVVKNTSGGIVWQSFDSPTDTLLPSQRITAATQLAPTTQSHAPGNYIFRFNDISMLSLIYNVPDVSDIYWPNPDISVFDNSRSRYNSTRLGSLGNNGVLSSSDFADGLLLKASDAAVPGTKRRLTLDPDGNFRMYSLDDSDGVWSVSMVAISQPCAIHGICGQNGICHYSPKPTCSCPPGYVMTNPGNWTEGCTATFKLTCGDQEPVQFVKLPHTDFWGSDQKRLLGVSLEACMDSCISDCTCKGFQYLQGKGSCYPKSLLFNGMSCATPMVRAIYLKLPARFNVSDTPIPQSNVLDPAPPTLHCDQMSQGVRHPFPDVKETSDGEPKWIYFFSFIVAIFVIEVSFIAFAWFFVFRREMGPSEVWAAEEGYKVMTSHFRRYSYRELAKATREFRVELGRGRSGAVYKGVLEDERSVAVKKLKNISRGKEEFQAELSIIGRINHMNLARIWGFCSEGSHRLLVCEYVENGSLANILFRDQKTSVLDWKQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDTDFEPKITDFGLAKLLSRAGSNQNMSKVRGTDGYIAPEWVSGLPITAKVDVYSYGVVLLELLSGTRVSELAVGSDAEVHSMLGKLVRALADKLEVHEESWVGEFVDQELSGQFNYLQARTVIELAVSCLQKDRNKRPTMESVVQTLLSFDEASD >cds.KYUSt_chr7.7110 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42795275:42796564:1 gene:KYUSg_chr7.7110 transcript:KYUSt_chr7.7110 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMIRSSFPAAAAVLVAVLLWPLAAAQLSTGYYASICPSLEAIVQSSVKQSMAQSQIAGPAALRLFFHDCAVKGCDASIMIVNSNGDDEWRNPDNQSLKPPGFQTILDAKAAVDNDPQCRYKVSCADIIALAAREAVSQSGGPYYQVELGRYDGRISTKSSVVLPHVDFNLDQLNSFFSGLGLSQTDMIALSGGHTLGAADCTFFQNRIGTDPSMDSGFAAQLQNTCAGQTFAFLDGATPGGFDNSYYKNLQGGRGLLGSDQVLYTDLRSRGTVDYYASNPGTFFYDFTNAMTKLGRVGVKTAANGEIRRDCRTPN >cds.KYUSt_chr1.19987 pep primary_assembly:MPB_Lper_Kyuss_1697:1:117752086:117772960:-1 gene:KYUSg_chr1.19987 transcript:KYUSt_chr1.19987 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSCEGYVDSLGDKSKRNVVQYKGRFSVTSESVDLAKVQEIPFSTISRKSPHGVQLRKSASVGDWIVNAKPMSNSHQLKELCNSSVSSSILIPHLENILQQTTLQQDLIVNLLSSMQHNEKADGAQSEMSSQVQTMESETMFQTANTEKEWSLLVKISELQSRFIHKVHGDHLMDILIALEMDIIDHIHKRNGSSNGLETGDFDRARVHPKFLHTNATSHKWAFGAISELLDNAVDEICNGATFVKVDKSINSKDNSPMLVFQDDGGGMDPEGVRKCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAAVFTRAVRGSNVTLSIGLLSYTFLRRTMKDDIVVPMLDFEVRDGHIVPLVYGSQGDWDSSLKIILDWSPFSSKEELLKQFEDVDSHGTKVVVYNLWMNDDGLLELDFEDDDEDILLRDQGQTSGGTTKIQKEIVEQHISHRLRFSLRAYTSILYLRKFENFQIILRGKPVEQISIANELKFKKVVTYKPQVAHDSQVVSVKVDIGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEASSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLETKLRQIIVDYWKEKCHLIGYQPIDPKLRSQYKAALKDPSGPGGKIQHKAFTARKTGEHLSNLLPETYDDVEAVRLTANGTGSALHSSGQAQENSMESAGLDEDLVDISSHGVLDPNLNEKLSEENLALFTRREDLRQRDTQLKQTIGELEHELEETKRKCSQLAAELQIRKSQQQLPYK >cds.KYUSt_chr2.52163 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325524735:325525996:1 gene:KYUSg_chr2.52163 transcript:KYUSt_chr2.52163 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVERAPFLPTGEATLRPSFVRDEDERPKVAHDRFSDEVPVISLHGIDDARRTEIRDRVAAACEGWGIFQVVDHGVDAALIAEMARLSRDFFALPAEDKLRYDMSGGKKGGFIVSSHLQGETVQDWREIVTYFSYPVKARDYGRWPDKPAGWRAVVEQYSERLMALSCKLLGVLSEAMGLETEALSKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGNTWITVQPIAGAFVVNLGDHGHYLSNGRFKNADHQAVVNGESSRLSIATFQNPAPDAKVWPLAVREGEDTILEEPITFTEMYRRKMARDLELAKRKKQAKADQLKQQLQQEAAPTKPLNQILA >cds.KYUSt_chr1.26383 pep primary_assembly:MPB_Lper_Kyuss_1697:1:158950074:158951946:-1 gene:KYUSg_chr1.26383 transcript:KYUSt_chr1.26383 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCPAAQFLWSFLQEALGPEWQASALGEFIEVHANNTGRRRRLVWLLFAALTWTLWTVLSRHITMLNGLVDELQWLLALFRAADQLVSDQGMSWFLRWFLCIADRAARVSLCRWRLPLSLAACSPSSSAKPPRAPPRPSLHLSASSASARARCRDQPHPCLSSVFVSGVGLHQYEIDQG >cds.KYUSt_chr4.39259 pep primary_assembly:MPB_Lper_Kyuss_1697:4:242306980:242309272:-1 gene:KYUSg_chr4.39259 transcript:KYUSt_chr4.39259 gene_biotype:protein_coding transcript_biotype:protein_coding MREGDACVALLRSKFHGLIERNHTLEEENKQLRHEVSHLKGQVSSLEGQHTDRKMMWKRLENFATSNNYMERQFVHNNDDAKEAMDLNNSASYSRQRLFRTPVVKSRAPRVPNPPPSPTCIQPIMKVNKEGSMAPPPPPPPPPLPSKLLKSTKAVQRVPEVVEWYRLLVKREGKNDGKSGSTGIPVATNSRDMIGEIENRSAYVIAIKSDVENQGDFINFLAREVQHAAYKDIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTYRDLKNLETEASSFHDDRRVATPMAFKRMQDLQDKIEQGIHNTEKIRDNASARYKDLMIPWDWMLDSGIIRQLKAASLKLAKEYMNRIMNALKSDPFANDEELILRGVRFSFRIHQVAGGFDEGCRKAFQELKTYANKSE >cds.KYUSt_chr3.30416 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190504696:190506438:-1 gene:KYUSg_chr3.30416 transcript:KYUSt_chr3.30416 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAAAEYDAHGGYYGRDSKPCADIFVVDDLLALPCDDDDDDQGEAAEAPAFQLGAAAVTVKKEEVGFGNVSADSSTVTALDSCSNSFSGLADGDFSGGLCEPYDQLAELEWLSNYMGEGEESFATEDLQKLQLISGIPSGGFSSSAKYGQPAAPPTAATASASAAAQPGMFLPEGPVPAKARSKRSRVAPGNWSSRLLVLPPPPASPPSPASMAISPAESGVSDHAFHVKRPSKPAKKKEAVAPPQPHAQPATATAPPSGVAAAANEGRRCVHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFSNARHSNSHRKVLELRRQREMHPHLHHHHHQHHFNAGGGVGPAGGVGGLMHMQSSLLFDGPSAPPLVGGGDDFLIHHHHHLGSDYRQQLM >cds.KYUSt_chr3.35443 pep primary_assembly:MPB_Lper_Kyuss_1697:3:222569643:222572885:1 gene:KYUSg_chr3.35443 transcript:KYUSt_chr3.35443 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKHLETGRADRSVWLMKCPTIVSQAWQEAAAAACSSVSGGPNPNPNPVVAKVILSFDPLSPDEEPKQASFPLRSCCVEKKKATATKPSEQKRTRRDRKDLENILFKLFERQPNWSLKHLMQETDQPEQFLKEIMNDLCVYNKRGPNQGTHELKPEYKKSVEDTDAP >cds.KYUSt_chr7.29637 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184432319:184433897:-1 gene:KYUSg_chr7.29637 transcript:KYUSt_chr7.29637 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHPYRPDERQEHIKLCEKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRGNSPSSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKLEITENYKAKLKSIDCKYFDFGTGTCPFGTSCFYKHAYRDGRLEEVTLRHLDCDDGSTLIAKNIRLSDFLSRLHL >cds.KYUSt_contig_3162.8 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000611.1:44963:46036:-1 gene:KYUSg_contig_3162.8 transcript:KYUSt_contig_3162.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSVSRRGAVLPMASRDFLGVFGGGRKGAPAEEGGSTEGSDSDEIEKAFATSLLAARQAMARYDRTITVISPEGHLFQVEYALEAVRKGNAAVGVRGVDHVVEKKSSPKVQHSRTRPAVLPASPRLDSVATHSHRSKECYMARWSCTTRGGGHCRRRLELHQPRKPKQLSPSSSSRGPSTSAKT >cds.KYUSt_chr6.445 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2809234:2813953:1 gene:KYUSg_chr6.445 transcript:KYUSt_chr6.445 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLLPLLRRRRAIPNLLHRRRPFSTSTSSPPPPSPANPGSSSPKPPSLSARLSFVFDQLDALDRSRSSDLSARDAALRRIQSWRRPPPPPPDAPPSPPPPEPREQVELEAAVATAGEQREAVAEVDRMSVAEVLRREVELVHPWPEWIELMERLAQQRYFDLGPAHEEARLAAAVPMDLSEVSQESGFDFSRDWTTVKNACMNFGRDRFDILKPLPRKDLQVLVGHGCPSMDPKVVFSAKLIRKLVHLDEGDVCSSCNLRNSCSRGYILTRKEDEARTLDVMRILLVYGFDHVKGTVENKPLLKLKSVKTVVRKLIHEIAKLSAVPIDPNLPPPVIRKLPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPRCDFLNYRRNMSCFHCEHDRPPDEYTNNQTEAYQSAPRKRSDRPARKPEVSSAWNFDFEDNESDGADVAAFEFADSSKGRESSSVDTSYRDNANGFESEKFGMDETRSKGRERKFTERDSLNSSRVGFDDFDDEEDDIDSYELDLSKGGQTGGLSRVSYSDLDDASDSEDYGESSHSRNSNYGEKDDLTGSADDDEFVGQPSLKSSHIADSWQKTRGWSGSNNRRSASFGSESNDGAISDSDEDIDNGFRYKQGRNQGSRERVPDMDSDMDDQFQSDNRNRSSANFRDNFPARSSNLNSRSSNGDRYGRTKGNEQHFRRADFHEGGSANFRGNFPARSSNLNARSSTGDRYGRTKGNEQQFRRADVHDGGSAFDKNHRGRANHQDHGSRGSQRNAGRNWDKRSEFDGSDRSPRHSNRR >cds.KYUSt_chr4.24409 pep primary_assembly:MPB_Lper_Kyuss_1697:4:153655262:153661253:-1 gene:KYUSg_chr4.24409 transcript:KYUSt_chr4.24409 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRLLIDSAARDRLMLYKVRVTEREKIKKTWHWQQTSWEKMALWSGVGQAASMAQLAGVDAYGLINMIVEAVQTVKRNRETCQLLARRVKMIGDLLQQLESTQLMQHHETRNPVEQLEETLRHTYMLITSSQDSGYLYSCFMGGKQADQLREVQNDITFYLQLFPLVSFVDTSRTFEQLLNRARPLGTQGKLLNGLEIAVKRHEISSYQDESKRKFLNWAKRLQIIKGIADGILYLHVHSQMCIVHKDLKASNILLDREMNAKISDFGLARKLTPNPEYVAIGMISDKTDVYSFGVVLLEIIAGKLSRFYMQSASLGSLPDYARRHRKKLHKLMDPLLAAKKNERAQIMQCIKIALMCVHHPVEDRPSMSEVVVMLCSIKCAR >cds.KYUSt_chr5.36417 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230158053:230158406:-1 gene:KYUSg_chr5.36417 transcript:KYUSt_chr5.36417 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTSYERLSLSSGPESRSRGGAWAAVRRSLARLCSARRQGRWAPARRMLLLVRARGRRHGRVACGYDSEGYARNFDDGVWKADEGVLPWMTAAGAGPATSSALAACTLARAVSSVR >cds.KYUSt_chr1.37973 pep primary_assembly:MPB_Lper_Kyuss_1697:1:231844669:231845934:1 gene:KYUSg_chr1.37973 transcript:KYUSt_chr1.37973 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLFTVVLAALLAHSAAEGDNILQLPSAAQGHNIQQLSCQLQLQQSSLEACRRVVNQQLATQSPFFFSPQVVTANWVQAQCCQQLRDISRECLASAIRQIVSQYEHQTVVPLEGGSFQPSETFPAQQGQGLYLPGQSFPQQPGQGWYIPGQTFPQQPGQWWYLPGQPFPQQPGQGWYLPGQSQQPGQGWYLPGQSFPRQPGQGWYLPGQTFPQQPGQGWYIPGQSFQPKQGQWSYRPGQDFPQQQPGSYCPSQTFPQQQQPGSYHPIQTFPHKQQQKPFGSIEQGKPVAGHESSGEAAQHQEQGPSSTSEAFPPLQQGEQSFGESTMEQQQGSQDPSHMHNNLYRQAVQPAQHNLGETVPQQEVQGQYCGKQSQTGFGVSSSPSLSGTDQQASRLKIQLEVVARARQIAAQLPTICRL >cds.KYUSt_chr7.36268 pep primary_assembly:MPB_Lper_Kyuss_1697:7:226718789:226723870:-1 gene:KYUSg_chr7.36268 transcript:KYUSt_chr7.36268 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRLPNSVQQLASTVQEPPSRYLLREEEPLGRNLAGTEMPEPIPMIDLGLLSASNDADEAAKLRSALLTWGFFQVSNHGMEASLMDFVMTASRDFFLLPLEEKRKYSNLIDGKHFQMEGYGNDQVKTPNQRLDWSDRLHLKVEPEDERNLDHWPIRPESFRDVLHEYTLKSKRIKDDILRAMARLLEIDEDCLVNQFSDKALTYARFNYYPPCPRPDLVLGIKPHSDVFALTVLLMDKDVQGLQVLRDGTWYNVPTVSNYTLLINVGVTMEIMTNGVFRGPVHRVVTNAEKERISVAVFYGLHVAADAGSAPCHELVRNTSGENVFSYWSINIGFLVRKNFLRCSSYLPLGVPLNVEFSFINSALRSSRKYPRTLMADESWRLPNSVQQLASTVQEPPSRYLLREEEPLGRNLAGTEMPEPIPMIDLGLLSASNDADEAAKLRSALLTWGFFHVSNHGMEASLMDSVMTASRDFFRLPLEEKRKYSNLIDGKHFQIEGYGNDQVKTPNQRLDWSDRLHLKVEPEDERNLDHWPMRPESFRDVLHEYTLKNKRIKDDILRAMARLLELDEDCLVNQFSDKALTYARFNYYPPCPRPDLVLGIKPHSDFFPLTVLLMDKDVQGLQVLREGTWYNVPTVSNYTLLINVGVTMEIMTNGVFRGPVHRVVTNAEKERISVAVFYGMDPEKEIGPIADMLNEEQPARYRKMKNKDFLVAHYEHFTRGERVVDSLKI >cds.KYUSt_contig_528.46 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:325641:326731:-1 gene:KYUSg_contig_528.46 transcript:KYUSt_contig_528.46 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNTSCVLLPVAIVLVLLVSALAQLDTAFYSRSCPKVEEIVREEMVRIISAAPSLAGPLLRLHFHDCFVRGCDASVLLDSTAGNPAERDAKPNKSLRGFGSVERVKAKLEAACPGTVSCADVLALMARDAVVLAKGPTWQVALGRRDGVVSSATEASDELPPSFGDVPLLSKIFASKGLDVKDLVVLSGAHTLGTAHCPSYADRLYASAGNGGVVDPSLDSEYAEKLRMKCKSVDDRSMLSEMDPGSYRTFDTSYYRQVAKRRGLFRSDAALLTDDTTRDYVQRVATGMFDDAFFRDFAESMAKMGNVSVLTGVEGEIRKKCYVVNKSYY >cds.KYUSt_chr7.5065 pep primary_assembly:MPB_Lper_Kyuss_1697:7:30240793:30241345:-1 gene:KYUSg_chr7.5065 transcript:KYUSt_chr7.5065 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGVMPFLCRFLELASSFGISSSPSFGGCPWWMMALGKGFGDGSLNKLAGALGHGALARSGHRGGGRWGEFLRSGVSWDVQGRSELHLGDLHMVAMPGYRDLWPERRHLQTPLMACVQPPVRRPFRGFMLAFNVLVATSGSVPGAGSFLLNLRTAL >cds.KYUSt_chr2.52037 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324702935:324704254:-1 gene:KYUSg_chr2.52037 transcript:KYUSt_chr2.52037 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVHSSKAVTPDYAGCGVAPGSTADVVPLTVLDKANFDTYISVIYAFRPPAPPNAVLEAGLARALVDYREWAGRLGVDAKSNNRAILLNDAGARFVEATADVSLDSVMPLKPTPEVLCLHPSGDDGPEELMLIQVTRFPCGSLVVGFTTQHIVSDGRATGNFFVAWSQATRGVAIDPVPVHDRASFFQPRDKPLVEYEHRGVEFKPYEEDHTCDLVQAQDDEVVVNKVHFSREFISKLKAQASAGAHRPYSTLQCVVAHLWRTMTKARGLDGGESTSVAIAVDGRARMSPGVPDGYTGNVVLWARPTATAGDLVTRPVKHAVELINREVARINDGYFKSFIDFASSGAVEKERLVATADAAEMVLSPNIEVDSWLRIPFYDMDFGGGRPFFFMPSYLPVEGLLILLPSFLADGSVDAYVPLFSRDMDTFKNCCYTLD >cds.KYUSt_chr3.34918 pep primary_assembly:MPB_Lper_Kyuss_1697:3:218944772:218948464:-1 gene:KYUSg_chr3.34918 transcript:KYUSt_chr3.34918 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQAPDNDVLEKCTKDILSLIKPEEDDRNKRQSAIQELEVSIQSAPALSGAAVKPFGSFLSNLYSKSGDLDLSVQLMNGSNLPRTKKQKQTILRQLRKALQKGDVARTMEFIPQARVPVLQYVSNRFGISCDLSVDNYPGRIKSRIFYWVSTLDERFGDMVLLIKEWAKAQNINDPKSGTLNSYSLCLLVLFHFQCLAIYSFRSHVDMTLYDEEHLDEFCFIDALSSDVISTYTGKIEKIQDSPNRRMKKSHSLFVEDPVERPDNAARAVGIQGLLLIASALKEANLKFASLEHSDRDGLLAMLCTPAVCSKLGGTVIAKPFTSTPRRTPGPKRQGAKCQDSTETMILLK >cds.KYUSt_chr5.32959 pep primary_assembly:MPB_Lper_Kyuss_1697:5:209035563:209037290:1 gene:KYUSg_chr5.32959 transcript:KYUSt_chr5.32959 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPATTTTGSFPTKALHARLLRNGALNADPSAAAPLAASAANSSLPYALSLLQAHPTTFSYNTTIRSLAHGPSPHLAVALYRSMLLNPLSNPNNYTYPPLLAACARLLVARSPKPAAPGTAVHASLFRRGLDSGDRFISASLLSFYAAAGDLPAARQVFDRSPSNQRDLPLWNSLLHAYLSQGLYTQVLHLSRQMPAADEVTLLALVSACAHLGALDTGRWAHAYYARTRRNTTTRNLGTALLNMYMRCGDVESAWSVFRETLDKDVRTWSVMIAGLAVNGLPRDALDLFTEMKSIGLDPDSITMTAVLSACAHAGMVDEGKRFLACMPVEYRLQPTIEHYGCVVDLLGRAGKLEEALALIETVPFEADVVLWGALLVACRVHKNVDIGQMAAREMLKLDPRHAGACVFLSNVYAADGKWDLVQEVRNSMKQHRIFKPPGSSIVELDGVVYEFFSGDHSHPQSDRIYAMLDEVCKTLSLKGHKPSTKQVTFDIDEEDKEVCLSQHSEKLALALGLISTKRGAVIRIVKNLRICEDCHSVMKIVSEVYDRVIVVRDRNRFHHFKNGSCSCLDYW >cds.KYUSt_chr3.48893 pep primary_assembly:MPB_Lper_Kyuss_1697:3:305479307:305488557:-1 gene:KYUSg_chr3.48893 transcript:KYUSt_chr3.48893 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFGLQASGAAASWMVGRMGTDAHLYDDPEDAALPALLDSRFDGDKVDALKRLLALIAQGVDVAHLFPQVVKNVAAQSLEVKKLVYLYLLHYAEKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSPYVRKCAAYALCKLYDLLPEENTTLEEVVDVLLGDSSSGVVGAAAVAFNSVCPNGLALIAKHFRKLCETLPDIEEWYQITLIEILLRYVIARHGLVKESAIFASNLSWEIQGAKDSVPVDNISCIQTETAVNGACGTFSSITLLRHYIDEYSACFDGEGDKIRSPSVTTSTNEDVAILLKCTSPLLWSQNSGVILAAASVHWIMAPVQEVKIIVGPILFTLRSSPDATYVMLGNILVFAKTAPLLFAPYYEDFFICASDPYQTRALKLEIITTIATESSIPAILEEFQDYIKDPNRRFVADTVAAIALCAEKLTSVTSTCLEGLLALVFYESSIANSVHLDGEDVVLVQAILSIKAIVKIDAACHEKVIIRLVRTLDVIKEPAARSLIIWIFGEYSSTGNLIPRIAPAVLKYLAWSFAAEVLETKLQILNTCAKVIIHAAEEHLQEFKRIVAYVIQLAACDLNYDVRDRARFLSGLLPFCANENDSSRRSQNADVCKELADHIFDGKIHSASNSDSNYRIYLPGSLSQVVLHAAPGYAPLPKPQSMVLIHKTMESTRGIANSSDSNNSDAESGSSANESGSLYDSESEGGDLSDRDGTGSKQYSNDDGHNLHLQEGNQEAPLVNMYNGSVGQGHAGQVDENLASLISTDLTELMSKSALESWLDEAPTTPLVQNSIQASCARVSFTTRSFERKPKLHVLLDPSNSNGLSVLYAFSSEVSPNSRLLVCIDLFVENVTPDQLANISIKSEEASTSEHGMETSEGSASIPAPVQMEEIQSLAPQQTAKRVLQVHFHHHILPMKLSIFCNGKRHPVKLHPDIAYFVRPLPMDLNAFLCKENQLRGMFEYARRCTFKDHLQNPEHTEESVENTDKNLLVAQSLASKLLSNANVHLVSMDMPVTFSIDDASGLCWRFCSEVLSSSNPCLITVVAEGHASGPLDLTIKVNCEDTVFGLNLLNRVVAIIE >cds.KYUSt_scaffold_719.393 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000009.1:2636946:2637887:1 gene:KYUSg_scaffold_719.393 transcript:KYUSt_scaffold_719.393 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAAARPCLFSPAPPNPTARAVPLLPRSSTATPPKPVRLLRPLQATGAGAGAGPPGASSRPARDRLIDFGKHKGQMLGTLPPSYLRWVVAELDYGDTAPWARLARDVLDDPVYVDRVEWEHAHRFLRGDSKYDYVYDDAQDGSDGPLQEMAERFGWDLSDEDGWSRLDYRLLGTSYGGRIPRKADRTSPRGGALFDSGAAAGPDGDGPKGKRDERRERMRMRREEQVRTAKMGILGVSPAAKDAGALGTPRKARIGAAAKKDILELGRGSRGGDVLRERAVPPGKGGEGGSPFPGRQAFLDKVRKLKGDDS >cds.KYUSt_chr7.5376 pep primary_assembly:MPB_Lper_Kyuss_1697:7:32089078:32089311:-1 gene:KYUSg_chr7.5376 transcript:KYUSt_chr7.5376 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr4.31186 pep primary_assembly:MPB_Lper_Kyuss_1697:4:194912687:194912905:1 gene:KYUSg_chr4.31186 transcript:KYUSt_chr4.31186 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGTRVAAAEEDDYENGYGDDVGDQVAGGATNGRHDGGRSPLHGYGGRSAGGVVKDKYFGFALPKVCVYC >cds.KYUSt_chr5.33072 pep primary_assembly:MPB_Lper_Kyuss_1697:5:209636672:209647192:1 gene:KYUSg_chr5.33072 transcript:KYUSt_chr5.33072 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSETPKDNSSKDVGNLYMEELRMHPKELLLVEGELQVKDVQGPKGEGSLEDRMEKLEQEVFKYKKMAEREVDIFHMIVSELIAEHEKETAKLWSDILSLHDTTNKLQAQLYDFRNRTVNLSHGGYLAKSYSRLCGGGEHPEKKELSGGRGIRREIPLREGEIDAIVTVIKLDIIAITIIIISTIITAVITAGHHHRRSNLEDIKAVHVNSSPVPAQAGGRRSDLMIRACTCTRRSSSLHNPNNTDILMTCKGCGGESIVDRGGPSCGSKANTRGLELPRPIDPEVRWKTVNKRPRAARRARTSFSGEDRMKDAIKAFYACPNATSREVAQKDVPVSESEKLGVSILGRRFSDPLESVPIKKRRVLMDCSPSPPPTPLLVDPYEKKLRISSGGISSYGKHRKAKTPGGKRMDEKRALLDIDDFSGISILAAAACESEMDVAMLNGECSKSAHSLEERKSEPISGTSELGLLHEIKGHKLNVPDASHCKLNRAKKLSETAPDMEPSLPTTLNSSESAPDMNPLLLTTLKSSENCVESAAALEANTPLLSLLSNANKTEEFLSVSDAKSSDVAISTNSSTDKPDGCFRDRVVHTKHSNAAGDSRLHWDLNVAMEAWDIHSGGDDHDMVGPGPVASVSGCNDAEKEINKVQACEDLSELTVAVDHHSGDEVHVVDVAKNIKTKSEGDFPGHSFQTLRTGSPQNMQLLESESLNGNNFSAETNGFLDLQKRSYVSEVELHLGSNRDLRSSALTTEHFAFTANEEKLNASRTSPLDCEGSSLLASEDGHDGGSSIQTSDLGFRVKPMTSRIVSEESTNIATVAVSNKSCTDIGSPDDKLGQASLQSISEYKNQELFDVDSGTSKIDQSVNDGAEHAGDVLCVAKRTADADTDSEHPENNLDTSNRVLSYVHEEGGVGATINHKNPLITCANSSSAETYYISSGTHARGLNSECTRQAATDMDSIVDSQSAAQSYPSGCKNALQKVASNNCLEHCYQTGTSHISKDLSVTGKVDVEEDDSQYEDGELRESGDRYWVNDGYDEVKCANHQVSNYKDEKAAPDIHLVPVGSVSNNMVTAVADYNGTHSRKEDCDVSPVSSKRSWSSNCLDGGSGIMYSTTTRSDHVSMRNETRMCGNSDRAIAGSAATVSQSERGTDGLGDDPLNISTKLIGWDTLPEDQQHSRHDSRDRVDSSNQCALGTLEVAEAGTSFQQMGLPNRDVQSRLGRPRSFDRPYRNEQCRSDDGYGSGLKTERTIDRSHGRGGASRHIQASSRGEQWMENSSNSRSTQQRSPDYYNYGPPGPRNAAEAAVAKMESNGFVVAPDGTLPISFWIKISIPTYLDISQEQKGNYGKWRLKYTET >cds.KYUSt_chr4.39204 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241981308:241981724:-1 gene:KYUSg_chr4.39204 transcript:KYUSt_chr4.39204 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVLLQALGVNPAMAELGLEAVTVPTTAASPERAASRTRFTCRSVSSPHACEEGRCRTPARNAAACKPLLDGPEPRPPARSPEPLRCKAVEGWSPARCKAPIQRLHGLRRGAGQRPHGLRRGIPSSSPYSGDDGERF >cds.KYUSt_chr6.5223 pep primary_assembly:MPB_Lper_Kyuss_1697:6:31022584:31023159:-1 gene:KYUSg_chr6.5223 transcript:KYUSt_chr6.5223 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLATLGFVFLLGVGLANAVRVARYSASQGIGSGEGRGGGDVDGIGSGSGAGTGSSQSSASSGASSRSGGGGGGGGSSQSSGAGNGRGAGQGSSSSTYSDGISGGGSSSAVGAGRGAGQGKAGGGYGSSGHGYDGGTSSGSSWATNVLGGPTLASANAEGHGGAMGTGENGGSGDGQGAGSGDADAHP >cds.KYUSt_chr3.20405 pep primary_assembly:MPB_Lper_Kyuss_1697:3:125568641:125570901:-1 gene:KYUSg_chr3.20405 transcript:KYUSt_chr3.20405 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGLDLLQGLVRSYLNNRYEDETSIARGGEEQLDVKKDVKLDMELDMKISHGRAREEREACARGEEEVQAGPAPVAVGTPARLADQPPQQPPFQLVRVPLRLPPRQQPYAGAADRASVVARSSGAASYSDLATRTALRNKATAPDLGVRRGGAREARVLDGVGHEPAPLAGQSPPPTPAAAATDTSRRRHQWTTTFKMADPTLAPKIPIWI >cds.KYUSt_chr2.55636 pep primary_assembly:MPB_Lper_Kyuss_1697:2:347032202:347034529:1 gene:KYUSg_chr2.55636 transcript:KYUSt_chr2.55636 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVRFLFSLAAAIAAASLLAASLRRRVQPPRLPDLFNPGTMAARNRSFVLWLHGLGDSGPANEPIRNFFTAPEFRLTKWAFPSAPNAPVSCNHGAVMPSWFDIHELPMSPGSPQDESGVLKAVENVHAMIDREVAAGIHPDNIFVCGFSQGGALTLASVLLYPKKLGGGAVFSGWVPFGSSVIERVSAEARKTPILWSHGVADRVVLFEAGQAGPPFLQSAGMSCEFKAYPDLGHSIATEELTSLQTWIKGRLKTSQDKES >cds.KYUSt_chr3.38020 pep primary_assembly:MPB_Lper_Kyuss_1697:3:239302056:239307043:-1 gene:KYUSg_chr3.38020 transcript:KYUSt_chr3.38020 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNHPIANDTKNQTEEDEQRQNYRIIVAPALERSHPIPERESSFPRSYLPSSARGTKGSSISWLYLVRRERREEELSWPTEKMPSSSSSVVRATSDVLIGPDWAMNLEICDTLNRDPGQTKDVLKSLKKRIVHKNSKVQLLALTLLETMIKNCGDIVHMQVAERDILHDMVKIVKKRPDYHVKDKILTLIDTWQEVFGGAGARYPQYYAAYQELLRQPPFIFQRAGTIFPLRSEGSVPVLTPPQTQPLQNYPPALRDVHHNAPESSVQDFPAIRDEELLSQGLSLNDDLQRVLGKHDAIAAGIAVRVEKPRLLQSQNSHSQTTKEPVQRSSATTSASKQAPFEQLALPAPPSSSTSKAVATRAPNIDLLSGDEYIKPEPANSLALVPVSEYSASDQNVLALADMFQQNTASNNNKHNLTNSSTPNSTFPSSQAYHAAVQPMLPQQPTAYSNGDMTNAIVPYGIENQNGDLPPPPWEIQQSMGNQSQYSQVGAMSLQPVSMPPQSTQIGQGFISTQQIARAQPGGMHPQPVPGMPHGALQSPQYVPNMQHGGMYHSMQINQGMGMYSQPMAGGQFYGMNHQQLYAVQMAGYGYGQQSGGYYIPNAAYAYTSANELSQRMNGLSVQDGTSNGAATPFSLKQQNKSSRPEDSLFGDLLSIAKLKQTKPAAGKVGGL >cds.KYUSt_chr6.32069 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202570965:202571666:-1 gene:KYUSg_chr6.32069 transcript:KYUSt_chr6.32069 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFDPTRITTFRLTKADVAVKAKQISKTKMSVDWEWGLEPHNRRHPPAPQNFACISVEQPALFTTNRTEEDNEDPDPFRTTPVHEMDSTRNTGSGNSSAFHPSRTQADDPGSEEEDCIILEVLDLLPISYTFPTTPVSADPDRQVLEHTVPLAADPGAPPTSRVRKAPAPAAGPSGAPTPKRQKVPSAGPLREKKRKAIPTSSG >cds.KYUSt_chr2.27012 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165268071:165282009:-1 gene:KYUSg_chr2.27012 transcript:KYUSt_chr2.27012 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWKENLSPAPPHFGGWSNPSPLLPCKRPLQSPCPPPRRALAEVTGNALQKRVPGAGGLCDGEGIDYGYMTPLPKVPKSCGFLVEDDDMDEAFLLEVDAICEEHSRSMAGKDKGRKMDSTVEREPVVVAEAIDPGPECLTLDDAFWKEANAVFEECNAQTAAKSHDEELKEMEEDESLVLSCGDDSLLPAISIADDGVKLEDAFWEVNAISKEHNATSSAKNQEAINEVHLEDGSVALCVDFPPVISIAEVGGEVDAICEGQATMSAAKDKEEPGEMGLEMEEDDGCAPRKYYEYLHSLNDRQREAACSDVAVPLMIVAGPGSGKTSTMVGRVLTLLKEGIPPSNILAMTFTTAAASEMRDRIAAVVGKAVAKEIIISTFHSFCLQLCRAHAEKLGRTSEFVIYGHGQQRRAIIEAERLLEHAKSDGLGDAVKQDDGDIKNSFKDKAKKWLKFVTQAKSSGKSPEEYEKKGDLAGASILRHYNEILVSCNALDYHDFINSSITLLTKFPEVYKECQETWQAIVVDEFQDTSAMQYFLLKLLASHNHITIVGDEDQSIFSFNGADVSGFDSFRRDFPNHKEVRLNKNYRSTRAIVEAATALIHNNTKRGHHKLAETDNPSGSKITVKECHSEDSQCAFVIDKIIETTSSSAEGCNFGKVAVLYRRQITGKAFQVSFRNRKIPFNVHGVAFYRKKVIKAIMAILRTTLPGCDDGPWRQAFKTLLPGDKEEKKKIIDHVEKISLARKCSFISAATDIFSAKVSGTFKRAQITQGRKVLSTLYSLSKFVEREQSVSAVISSVGNMLPQQYLLEKRAVIDNDGGKLLNEDNDIRSVLQFLMDDVSDFLSTHFSSSRDASQIEEKGCASTLKAFIDYISLREAENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHEYNGTVKEAGSTLEEERRLFYVAMTRARKKLYILHVTVDSYRQLLPPSRFLREIPVHLLDMQGEGAATKKHEQPSGDISFDHAEGETSIEKPRPIPEQNETSPYPELMQTCLANDFLKRFEIDDRAVVSHIFHQWAKKQAFQIPKRLLDKIKFAIDERLRGTFPEAEDREFNGFLGAYT >cds.KYUSt_chr2.34697 pep primary_assembly:MPB_Lper_Kyuss_1697:2:214219669:214221003:-1 gene:KYUSg_chr2.34697 transcript:KYUSt_chr2.34697 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWPLAPATRRLRRPHLATFFSTAPSSLGCPLHAELARRGVPAAASLALYSRIREATTPTPFTFSLLLAALASSPSPSCTGLAAPVAHAQALKCGALAHPVVTNSLLKLYCALGHLPYARRVFDSGAALDVASWNTMVSGYGKSGDLPAAREVFGRMPERNLVSWSAMIDALVRAGVFGEALRVFDRMMFEGFKPDVVVLVSALKACAHLGAVERGRWIHRYLETEGVAGRERNVKVETALVDMYCKCGSMEEAWSVFDGVHCRDLVLWNSMIGGLAVNGHGERALELFRVMSEKGFRPNQSTFVAALCACTHTGRVDEGRSIFKSMRCHGIEPQREHYGCLADLLGRAGHVEEAETVLLDMPMEPHASQWGALMSSCRMHNDISVGERVGKRLIELEPHHGGRYAVLFNLYVANGRWEDAKAIRQMMVEKGAKKDTGLSFME >cds.KYUSt_chr3.48798 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304924876:304925403:-1 gene:KYUSg_chr3.48798 transcript:KYUSt_chr3.48798 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTSVDAAIIGTNTRSGQVFRPDLFLSKRRLARSSASTTAHLRHRPPNTEKLQLEQHLTLQRTQPHLRHDGTSVPGQPRSGAAAPASSSRTNEHHYLPAPTTTCRAGTARNSHGRTWTWSARSAASHAPADLPTTTAAKLTWGRTTTGRGHCQGLRTLASHRAKRPPTSVQQI >cds.KYUSt_chr7.27073 pep primary_assembly:MPB_Lper_Kyuss_1697:7:169200447:169201967:-1 gene:KYUSg_chr7.27073 transcript:KYUSt_chr7.27073 gene_biotype:protein_coding transcript_biotype:protein_coding MRMARVASFLLLLTLLAAADAGRQKDGENACDNGWECSGSSFCCNETITDYFKAYQFEELFPKRNDSLAHAAGFWSYRAFIAAAALFEPRGFGTTGGKEMGMKEVAAFLGHAGAKTSCGHSGATNGSLAWGLCYKRELSPSQSYCDDSNELYRCAEGVEYYGRGALPVYWNYNYGIVGKGIKQDLLNHPELLEQNATLAFEAAIWRWMTPMKRRQPSAHDVFVGNWKPTKKDTLSKRYPGFGATMNILYGDLICGKGTIDRMNVIVSHYQHYLNLMGVGDQQSGDNLDCADQVPFNPSSKNLDS >cds.KYUSt_chr7.31986 pep primary_assembly:MPB_Lper_Kyuss_1697:7:199173572:199173835:1 gene:KYUSg_chr7.31986 transcript:KYUSt_chr7.31986 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRSKSVMLVILVVAITSLLVASSSARPFGGNAPAAEAAAVAVVSGGESILQLLKPMYLQNLQTGPGASCTTNSNNVKCSPPPPMA >cds.KYUSt_contig_1658.251 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1662815:1666422:-1 gene:KYUSg_contig_1658.251 transcript:KYUSt_contig_1658.251 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRVKGEDLPKPLSAKDFIISLGMENLKDVDTTKVITRYTYVPPADSVEHKVKPSGDAILDKLSSVDKESLAAPEPDYVMEIFPNSGHRDGSIYSGTDDWKIDYRIADRNEKLGSVELYGYIAARDNMNPLLNYIVHFSRDDPIIVKQGSLIHMAGPKRAIELVGTILIEYDMKIKAGEHEKEDLQVIDGISCLDNIDTWDRTPFTFRIQGDCGAIDVGVSRLSFAYEATVEVVVSQVQSSFSMCIGCFTSGLDEEIRLFDGAIGESRALKRSVVAVASDDEMELKLKVAADSGIPAEYCCCFQSKQHGRATQEIYTGFALIEVKVIWSTLNKPRKGKEAKAV >cds.KYUSt_chr6.30252 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191673150:191677245:-1 gene:KYUSg_chr6.30252 transcript:KYUSt_chr6.30252 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTSLSPHSPRPSPSTANPRRRPPPPHVTAPPRGHIRPTAAVASAATNSDGGGADDYHSTIRSLNSHGRHVPRKSLGQNYMLNTRVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLAAGATVVGVEKDKNMAALVKDRFGSTNQLKVVSNLPFNVSTEVVKQILPMGDVFSVMVLMLQDETALRLANAAIQTPEYRPINVFVNFYSEPEYKFKVERANFFPQPKVDGGVIRFKLKNAGEYPHVSSNKSFFAMVNSAFNGKRKMLRKSLQHICSSADIEVALTNIGLPATARPSDLVMDDFVRNLRVFLTADDMYARMNIRSDYVYTTSCTDLGKMEAAM >cds.KYUSt_chr3.10630 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63094357:63097549:1 gene:KYUSg_chr3.10630 transcript:KYUSt_chr3.10630 gene_biotype:protein_coding transcript_biotype:protein_coding MWEVMQACVIMHNMIIEDDRKNQARSHIGMYECQDPFAEVDHELPADFADFLAMHGEIRAAWFRVWTDAIFSLFGVQDKEKDLKRDYRMLRDARKQSGVGWDEERCMIQAEPHLWDNLEISFGKRIKKFRKNGYFPLYDLLGSLYESQIAEGNLNFTSMAEPSERDEEITTIESDGEHDNGRELEKVVPVDEDFQVTSERDESTSAVGVAKEKLKISKKPKRSPKKPNQSSGDALVGVMKRFVDIKEKESNKDDTVDFSITRCMAELRNLEGVTGDLKVKCYDIFRCPKSCEIFINAVAEKDGSALAWLKSQIGTPLPN >cds.KYUSt_chr1.25244 pep primary_assembly:MPB_Lper_Kyuss_1697:1:151440243:151441076:-1 gene:KYUSg_chr1.25244 transcript:KYUSt_chr1.25244 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAARELQETSSSSSSYTTSSTSMSCSSTVTDSSSCPSSPARAVNAAAPAPRKRQLEEEEERDEEEMAVETETEEGKTTTKKQKQRKRSSDGKHPVYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGARAAHLNFPELADVLPRAASPAPKDVQAAAALAAAFTASPSSSSADQSQEEGTVQEEKNDAGAAAEPESAAATPTSGDEAKAEAELQLFDLPDLLLDIRDGFGFSATMWIPLPDVEEVNAELRLEEPLLWE >cds.KYUSt_chr4.53735 pep primary_assembly:MPB_Lper_Kyuss_1697:4:332441322:332442439:-1 gene:KYUSg_chr4.53735 transcript:KYUSt_chr4.53735 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDTSCLVGPVAFKDLNWKDEGEMVITPPDESADIVSSLPSNITGPNIQLRQYQGVWLLGTVVPGLISLHRRFRSRPGDVLLASPAKSGTTWIKALTFATMARSSYPPSALDHPLRRLNPHECVPYMEDLFVDGHEAKLEALPSPRLMHTHLHYSLLPRSLANCKTVFVCREPKDMIVSLWHYLHRAGVNFSFSEVFELTCDGKNPNGPFWDHVLGYWSASKVRPEGVLFLRYEKMLVDPVGTIRELARFLDVPFSAAEETAELPMEIGKLCSIDIMRGLQGNKTGSIGQFKFAHQSFFRKGVVGDWVNHMTPEMARRMDAIVEEKLQGSGLTFTS >cds.KYUSt_chr4.27752 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174331592:174334168:1 gene:KYUSg_chr4.27752 transcript:KYUSt_chr4.27752 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRRDYSSSFLFLCFHLLVASNAAMSSYILQAINCSTSGNYTDTGAYAANVNQFLGELPENTGSKNGGFFNGTVSLGSDTLYGLAMCPADYSRADCSDCLTAAAASNADGLRNSCPGSRTVLAMFDRCLVRYSDVNFFGTPEIGQSSSHILLWSKNLVVLGDELLYV >cds.KYUSt_chr4.3736 pep primary_assembly:MPB_Lper_Kyuss_1697:4:21317652:21318413:1 gene:KYUSg_chr4.3736 transcript:KYUSt_chr4.3736 gene_biotype:protein_coding transcript_biotype:protein_coding MESAEARLKKQLVSDRLVALIGCPVDMVVQMVLRIARDSATPADLAARLVGLVGFPSSADTVAFAKDVHGMFPCKGAAAGVSEVQSTLKLLDGNDDEDNASAATAPSSSGNNNRRKRFGRKGEEVDSDDDEVTAIQDSERHAPAWSTGPTEDAGSDFGGEKLELDREMVQLDRQIMEHGAANTRTQEDKLARRSSRYRFLQKHKNMKVDKKAVALQNKILEYENIFYSIKVTDDEVREHRLNKKTYYLGEWIW >cds.KYUSt_chr7.33174 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207099560:207106386:1 gene:KYUSg_chr7.33174 transcript:KYUSt_chr7.33174 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEELSDSLSDELCMTVLKKNSIDLLSLFVANREEVMANPHGSNGRNGLVAVSGKLRLEGVGNGKAQVGGSDALGCKGTIRIMPGGAMVVNCSKGKDVYAMATAPPRRFSVDLVRLFLLFAVLTTGFLVAVLFFGDGVAASSYYRLPSLALPDVLHAVGTSAPCTEENEVERWWARPPAKSAWHNMSDDELLWAASFEARATPPRRPSKPRKVAFLFLTRGPLPLAPLWERFFNGTSTGGNGTELFSVYVHTTPGYRPDFPPSSPFHGRQVPSQVTQWGRPSMVDAERRLLANALLDHDNEHFVLVSESCIPLHPLSTVHAYLTRSVHSFIQVIDDPGPDGRGRYHAGMAPDVSISQWRKGSQWFELRRSLAVFVVADGRYYPRFREVCRPPCYVDEHYLPTVLTIVAPGSIANRSVTWVDWSRGGAHPASFGTKDVREKFLEGMVWKEKKRCTGNGQLEEACFLFARKFAPSALQPLLELSSGILGY >cds.KYUSt_chr5.39606 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250608719:250609313:-1 gene:KYUSg_chr5.39606 transcript:KYUSt_chr5.39606 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGDDGGVELTELTLAPPGDRARRARRARKNGGGEPAFVKVSMDGTPYLRKVDVASYGDYLELLQELNAMFFCCSIGLMDGYGVWEHAVVYEDGDGDWMLVGDVPWEMFVCSCKRMRVMRACEARGLSSNL >cds.KYUSt_chr3.40549 pep primary_assembly:MPB_Lper_Kyuss_1697:3:255824202:255827750:-1 gene:KYUSg_chr3.40549 transcript:KYUSt_chr3.40549 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAPTSARSVRWGDLEDAAGALDRPLLRQRGANTTSQMAVVGANVCPIESLDYEIVENDVYKQDWRSRGKIQVFHYQVMKWVLALLVGSVVGLVGFFNNIAVENIAGYKLLLTSNLMMQNRNLEAFLLFMACNVVLAASAAAICAYFAPAAAGSGIPEVKSYLNGVDAHSILAPSTLLVKIIGSIFGVSAGFVLGKEGPMVHTGACIASLLGQGGSRKYGLTWNWIRYFKNDLDRRDLITCGAAAGVTAAFRAPVGGVLFALEEATSWWRSALLWRTFSTTAVALMVLKSLIEYCRSGNCGLFGEGGLIMFDVSSRVTTYTAVDIVVVIVLGILGGLFGALFNHLLGRVLRKYGFINEKGAPYKILLTAFISIVSSCCSFGLSSLSPCIPCPPDLPAGRCPTIGRSGNFKKFWCPAGQYNALASLFLNTNDDAIRNLFSGGTDSEFNVWTLLVFFMAIYSLALITYGVAVPSGLFIPVILSGATFGRLLGKVFGSGTGLDTGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLIMLVLLISKTVADCFNKGVYDQIVQMKGLPFLEVHGEACMRSLVAGDVISGPVITFSSVERVGAVVSTLRRTGHNGFPVIEDEPFAPAPELCGLVLRSHLLVLLQGKVFTRARVKTSAAEVFRKLKPFDFAKVGSSGKALEVDELGLTDEDMEMYVDLHPITNRSPYTVVENMSLAKAAVLFRDLGLRHMCVVPRTPGRPPVLGILTRHDFMPQYIRGLFQNVLRE >cds.KYUSt_chr1.11134 pep primary_assembly:MPB_Lper_Kyuss_1697:1:68158679:68164375:-1 gene:KYUSg_chr1.11134 transcript:KYUSt_chr1.11134 gene_biotype:protein_coding transcript_biotype:protein_coding MIQMTAPAMEMRTATRANGSPSSHPSGRQSPSPQQQQHIADLLWNVLSCFVAVFFKGIFAGIRFESFRGISACAIMAEDGIGHWVSKDRFAAKRLHALAAELDDPKRRIIEEQSAFRALLNVSPFNIPNALIDFVASHTSPGLREFKFHKKRIVFTKDMVRKVFGIRCGDRLVVQKKGEHPQLRQIYIEEGQSRPFIQHAVKLLKACDVTDDLTIIRTWDLICLATVIAPGSANLISLDYLDCMLDPRRTHEFAWDEHLLELAMQEVVYMDHLDFPPNQHVINYSIPRVPAAYKHLYEKHRSIYGRDLDTTLKNFGVGLKQMHSQRMAALLIDIDAAKKESDGPSVHFPNRGGVEDENMDGADRHDDEGTSNHDDEEIPAADSEETDNDEFVVEARAAVMDSRTLVVDMPQSAVLLDSSTGGDVAGEQVSVDSPVMSPTNPPFARIPEGISVEAWNRAPDPPSMELFSQDPDVVVVSEDQITIPATEITPPADVPSVVKLDDTSSEQPKVMEGTTPPIPSRDAEDHLGENVSPQHPTNTDVSVIKRAKLFAADGKLSLIAGIPLNVGSTVVVAERSPSPSDVVADVVTKDASTGAKTVEKKTRYKRAAKGELSPPKLKKIKVSQDVVRNKEFLKIGRFFCSYKSFIGALRPRQYLSNVVMAVWTEKFNHAAKASAEKNPRNHKRYAFSPYFAEKLAVETSTFDPASVMKEFKIACSKFKILKDDMFSNFVRTANESKVSWVDFGKFKETTPDHPQQDTLYDCGFYSILYMEHFNGKVMPNFENDVVPDFRRLLAASLIDNRDNQSDDVDVIMNEDLQQG >cds.KYUSt_chr2.39553 pep primary_assembly:MPB_Lper_Kyuss_1697:2:245333172:245339251:-1 gene:KYUSg_chr2.39553 transcript:KYUSt_chr2.39553 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETGKAEAAGSGSCGGGGCEPVKKRAEQSVAFHELFSFADPLDWLLMAAGSAGAIVHGAAMPVFFLLFGELVNGFGKNQHHLRRMTDEYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLATFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRSGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDSADGRCLDEVHGNIEFKEVSFSYPSRPDVMVFRDFSLFFPAGKTAAVVGGSGSGKSTVVSLIERFYDPNQGQVLLDNADIKTLQLKWLRDQIGLVNQEPALFATTIIENILYGKPDATMAEVEAAASAANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRIMIGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGSSTRKNRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRRAPKGYFFTLLKLNAPEWPYTVLGAIGSIMSGFIGPTFAIVMSNMIEVFYLRDPNAMERKTREYVFIYIGTGFYAVVAYLIQHYFFSIMGENLTTRVRRMMLAVILRNDVGWFDEEENNSNLVAARLATEATDVKSAIAERISVILQNMTSLLVSFIVGFIIEWRVAILILVTFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCGELRVPQAHSLRRSQISGALYGLSQLSLYASEALILWFGAHLVRSRVSTFSKVIKVFVVLVITANSVAETVSLAPEIVRGGESIRSVFAILNSRTRIDPDDPEAEQVESVRGEIELRHVDFAYPSRPDVMVFKDFSLRIRAGHSQALVGASGSGKSTVIALIERFYDPMAGKVMIDGRDIRRLNLKSLRLKIGLVQQEPVLFATSILENIAYGKDGVTEEQVVEAAKVANVHGFVSALPDGYRTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALGRIMKGRTCVLVAHRLSTIRCVDSIAVVQDGRVVEQGSHGDLVSRPDGPYSRLLQLQLHHG >cds.KYUSt_chr5.42070 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265191491:265195152:-1 gene:KYUSg_chr5.42070 transcript:KYUSt_chr5.42070 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVLVCCTAALIILFLPLSVSDDRLVPGKPLFPGNTIVSDGGAFALGFFNLSNSTPAKLYLGIWYNDIPELTVVWVANRETPSTKITSSTPMLSLNNNSNLVLSDGGEGGRVLWVTSTIAAIQGSSPPTAVLLNNGNLIIRSPNGTTLWQSFNHLTDTFLPSMKLGFTDANEEHLVSWKGPNDPSRGSFSYGIDINTSLQIYLLDGVRPVGRSAPWTGYLVTSFRTYQQGNASTDVIVYLAVVDIDGEIYVTYSLSDGAPRTRYVLTYSGDYELQSWSSRTSAWAILWKWPSPGCSRYGYCGLNGYCDDTEVPKPTCKCLDGFEPRSMEEWSRGRFSTGCQRKVPLRGCSDGFLALPGLKPPDNFVLVGGDRSTFEECATECTHNCSCIGYAYANLSSGRSSGNMTRCLVWFGELVDTGKVGEVLGSDTLYLRIADIDAITGKKTKNNPLRIALPILGGSVLVGVYTLESLHVNHSGCPDSQAIWFMDSEGLLCVQENPDDRPPMSSVVFVLENGSTTLPAPKRPAYFARRSAEMDQIRNDIQISANSFTLTEIEGR >cds.KYUSt_chr5.43143 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272134144:272134350:1 gene:KYUSg_chr5.43143 transcript:KYUSt_chr5.43143 gene_biotype:protein_coding transcript_biotype:protein_coding MICRRCGQRRSGDGEGAAQASGEQKLIASGGDAILRMGVGSKELIVGGRAGACAEAELRTDRGGLIAG >cds.KYUSt_chr3.13323 pep primary_assembly:MPB_Lper_Kyuss_1697:3:80223904:80225326:-1 gene:KYUSg_chr3.13323 transcript:KYUSt_chr3.13323 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCILPVLLLACVEPAASSVQSYDSIFSFGDSFADTGNDIVVFGENSLVTPAAELPYGMTFFGRPTGRNSNGRLIIDFIAEKLELPFVPPYLTHNGSFRRGANFAVAGATALDASFFRDIPGVGQFVLNSSSGVQLRWFDSLKPSLCSPEQACRCFFKKSLFFMGEIGFNDYSFAIFGMNTTQLRSIVPDVVKTVSAAIEVVIKQGARTVVVPGIPPLGCTPPNLFFFPSTDPAGYENSTGCLKDLNEIAVHHNSLLQESLKNVRRNHPIARVVYADFFTPVIEMVQSPDKFVISTTVGLIQDVLRCCCGGGGKYNFNISAGCGMPGATVCEDPSAYLFWDGHLTEEAYRYIAKGWLNSIYNLEY >cds.KYUSt_chr7.41161 pep primary_assembly:MPB_Lper_Kyuss_1697:7:255011351:255012317:1 gene:KYUSg_chr7.41161 transcript:KYUSt_chr7.41161 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWCEGLVDGVLDGGGPLGAQGGAVEIDGDPLGAKSDAVEVGINAPGYSATGWRSAVAAPRHTAALCGSAAAPQTACGSERRRSMAKAGGAARGTGDAPQEEDDCSGSQDKNAAHLATYRSLSSDGTVPGSSAASYKIFHLQNPSHLFPPPNGAATLSSSSTKTPPKTAPLYTPRRRRHGRRRPRLSAGTGSPLPPLRPRRLHGGWSAKLGTLPTTSARLHPGHFFLFVAGDHLRRLNLVVDYPRVSTPPCLAPACYYMLGSNRSAEIERG >cds.KYUSt_chr7.12782 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78834951:78845261:-1 gene:KYUSg_chr7.12782 transcript:KYUSt_chr7.12782 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSGRVPEAISWIPRDGIGGGGVSGRDTCGDALELYENMQTEEAVGLGTPTSSTWTTLGTRTTTAHNKELRLLLLHLYFEEGVVVGLVVVLHRNWPSLTRSSTPGTSSSPVVPSDMPAATHVEVHLHFEEGGVLGPVVVLQRKWYWCGDNVSAAIASADDQRCFHMPCFSGLPAAWTGNQEPPLPCLAADNPSLLLPGFIDVVLPLEGINPYLLVTHVEMHLYFEEGGVRGPVIVLQRKWPPRSMDRQPRAIPAPAYLQTTLVCFRSIGRSLGGVAASRNLSLQVCLLGNKQVDRLRLLFSDAGGGGLLVLLIDPSASTAGSVAWSGASGRVPMLPGVEQDVTAWSAWTPSLTGCFFVPS >cds.KYUSt_chr6.8904 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54793538:54796522:-1 gene:KYUSg_chr6.8904 transcript:KYUSt_chr6.8904 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGTTWLRAAVLLLLLVSSSAVAAEGSSKTGSKAHNYEEALRKSLLYFEAQRSGRLPHGQRVPWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLVEYGADVAAAGELAHALEAIKWGADYFVKAHTKPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRENPGSDVAGETAAALAAASMVFRDSNPHYAHLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGRADYLDYVVDNAHDFGGTGWAITEFSWDVKYAGVQILAARLLLRGEHTAAQRETLERYQAKAEHYVCACLGRNRNTDDDDESSNNVERSPGGMLYIRQWNNMQYVTNAAFLLSAYADYLSDAGVQTITCAGGETADAGEVAALARQQVDYVLGDNPRGVSYLVGYGAKYPARVHHRAASIVPYKHSKQFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRKDRFRDDRENYMQTEACTYNTAPMVGMFAKYNKMARDEREQRLATARSPVTSAAAEV >cds.KYUSt_chr2.30379 pep primary_assembly:MPB_Lper_Kyuss_1697:2:187055302:187055562:1 gene:KYUSg_chr2.30379 transcript:KYUSt_chr2.30379 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALTLGLMAKWASSGTTEEHRSDRRWLKTPAVRAEVEDGLVRLEQLRLLAGDEDAADIKAVPSPSSARRKAARDGITVEDFVQR >cds.KYUSt_chr1.2549 pep primary_assembly:MPB_Lper_Kyuss_1697:1:15192081:15196450:-1 gene:KYUSg_chr1.2549 transcript:KYUSt_chr1.2549 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSHCDVTDAGQPPTPHQVKKGAMEIMDSELLTRRQAQQTTSMNILEDRVFSRKREEARKWTKTFELVRRQNELDALTSFLQDPGVIFVCGIAGVGKSALVRTIYHDEITTKGSFKTSGWVDVPHPFNLAEFSWRLLVDFHSDNLQTKETAAFRMMEGHDPIQECRKLLHEDKCLVVIDGLRSVEDWDLIQATFLSEPISGCIIVITNESSVEAHCVDKGNRSFNVKGLEYDASLGLFNMMHSHRPEMTTHEKKVLKLIMTKCGGLPEVIVAIEKYSYEVKDATGEEDPKSSTSDAIGEEDLNPSSASLLDCLRDDFMGMLQMDIRFHGLSGLFCWMRSYFDACSDTVKPCIFYLSVFPANQNIRQRRLLRRWIAEGYSRDTSAGCTAEEKGEMLFTELAKLSIIQELGIKHLYTLITASKRWEFTRKTKKICQVNGFFREYIMSRPMEDNLVFALEGRCSPNSQRTGQHLTIRSCWDRDENVFQSMELSRVRSLTVFGEWRSFFISSSSCNNMRMLRVLDLEDTSGEKCTSTPLEDGDGTVVAAEDDMASTTLEEDKDDTVVATLPAADKDRISIPQESDDTVVATLPAANDDQISTLFTWSMRRTLGSWLSKIRRRQLVVSIRKGGVKVPVAVEIGKLTALHTLGVINVGGCSSGEAFLKELKKLTQLRKLAVCGINRGNWHKFCGAISGHGHLESLSVRFELREDKQDHLYCLDDISQPPKTLKSLKLYGPVHKLSLWIKQLDKLEKLELQIATQEDLDVLDELSRPHILHRLLVKPIQDGVLFLGRDDDYQRYYSSFFALRIDCISKLKLTLGGPMMDFVEHLIIHCYGGSSLELSGLDNLRCLTEVWLKGSYSNALKQQVANHYMRPVLNLE >cds.KYUSt_chr7.30538 pep primary_assembly:MPB_Lper_Kyuss_1697:7:190119184:190120965:1 gene:KYUSg_chr7.30538 transcript:KYUSt_chr7.30538 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPHWNRLIQLAAASGAYTQCMGHYAALLAAGLRGGDASTFPSLAKSCAALRLPRLGRSLHAHALLAGAASDVFVRTSLLDMYAKCACLPDARRLFDEMPSRTLVSWNCMVTAYSKSSHVEEAVAMFNAMRGLGVRPSAGTLVGLMSWSVNSMATRSLGLCLYGYSLKSGLDADLPVLNSVLTMLVRGGQLHAARLIFDSMSEKSVVSWSAMASGFLQVGNYMEVFGLFNRMQAAGHKLDSVALVNLISAAVLLGNLLVAKGMHALLVKSGFESEKDLVSSLVNLYARCGDLEAAQELFDAVHMKDVVLWTSMITGYVEGGYPDKALTMFDSMLRTDVEPNEATVSSALSACADLGSANQAKKVEDHVVALGLQSDLRVATALIDRYCKCGSVELARKIFDGVNITSRDLAIWSAMINGYACNGEGSEALFLFSEMQKEGVQPDAIVFTHLLTACNYSGLVDEGLRCFRSLTEEYGIEPSVEHYMCIIDLLCKSGHISIAKEFFKKMPIKLQNLVLAPIVSASSSHCADSSMDLVSEELLNLDPQNSGHCILISNMLSCLGEWKKARTYRKLLSKQALVKEPGLSCIELSG >cds.KYUSt_chr1.30387 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183979802:183982540:1 gene:KYUSg_chr1.30387 transcript:KYUSt_chr1.30387 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPIAVVAGIAAGGAALLLAVAVAIALWCRARLRARRNRTSETGSSDPSTLVEWGKGGRSSSAPEHQGARHFSLEELALATNDFSEANLVGAGSFGLVYKGLLLDGSVVAIKRRIGAPRQEFADEVRRLSEICHRNIVTLIGSCQEAGLQMLVFEFSPNGNVSSHLYDSGKGFATRLEFKQRLAIAIGAAKGLNHLHSLMPPLIHKNFKTSNVLVDENFIAKVADAGLVRLIRGYEDVGSSHGFSSSIYQDPEAHSVAQLSESSDVYSFGVFLLELITGREAASLASQESRESLAQWLEAKFSSNELIDPRLGGGFTSEGMKEFVGLAFQCLNPSSRRRPKMRLVAAELDQILETEMSMTTIMGDGTSIITLGSQLFTS >cds.KYUSt_chr7.14333 pep primary_assembly:MPB_Lper_Kyuss_1697:7:88578602:88580071:1 gene:KYUSg_chr7.14333 transcript:KYUSt_chr7.14333 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEWTRGPTIGRGSSATVSLAVDRLTGELLAVKSVGADRAAELKREQSILSSLTSPYVLRCLGSEVSASAGGSGGYDMLMEYAPGGSLADEIRRRGGRFEEEALIRSRARDILRGLAHAHASGIAHCDVKGRNVLIGADGRAMIADFGCARRTTGGGIAGEHRVMGTPAFMAPEAARGEAQGPAADVWALGCTVIEMATGAAPWQRFGSAVAMLHHVAFSGEAPEFPMWLSEEGRDFLGRCLRQDAGERWTAEQLLEHEFVAAATVTWSSSLPAITEKELFVSPKSVLDQALWEDNDDDTTADTPTACPIDRVRGLAAGAPDWTWDASWIAVHSSAPSSDDDNDEPAMSAEPDMDTDTDRDSSVGGSSSAGRVSSNSQASHADGDGYEGTGSCNAERRDDCNHVISSDCTAILPITTSNGLFSDSMLLFVPAGCAILLRFPLLLFFLSFASLLLSFVPIIISSSSTSTSDLTRRDECQRTVLANWHA >cds.KYUSt_chr2.15786 pep primary_assembly:MPB_Lper_Kyuss_1697:2:99365870:99367722:-1 gene:KYUSg_chr2.15786 transcript:KYUSt_chr2.15786 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNGFLALLLLSLLSSPLLSRAQESSSSFPVNVWPKPTSMSWAEPLMAMPVSSSFRIVGPSGNNPYLVLATQRYTAMLFTERYRPIVRPAVNVTATGDSLQSLTLFVSDLSASLQDGVDESYVLEILPTGAATVTAATAWGAMHGLETFSQLSWRSASGKLLVAAGVRVEDRPLYQHRGLMLDTGRTYFPVLDILRTIDAMAWNKMNVFHWHITDSQSFPIELPSEPRLAEMGAYGEDMRYTVEDVTRIVEFAMSRGVRVVPEIDGPGHTASWAGAYPEAVSCAGKFWLPDANDWGTRLAAEPGSGQLNPLKSKTYEVVANVINDITSLFPDGFYHAGADEVTPGCWQTDPSIQADIDNGGTLSQLLERYVRAVHPHVVSKNRTVVFWEDVLLDAAVNVSASLIPPATTILQTWNNGSNNTKLIVQAGYRAIVSSATFYYLDCGHGDFVGNNSVYDDQRSDYDTQGGSWCGPFKTWQRVYDYDITYGLTAQEAKLVIGGEVALWTEQADATVLDARLWPRASAMAEALWSGNRDAAGEKRYAEATDRLNDWRHRMVGRGVRAEPIQPLWCRTRPGMCNLVR >cds.KYUSt_chr2.15251 pep primary_assembly:MPB_Lper_Kyuss_1697:2:96197833:96198300:1 gene:KYUSg_chr2.15251 transcript:KYUSt_chr2.15251 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCLIGLGTGLTVVGQHPDTKVPWAIGLCILCILAYVAFFSIGLGPITSVYTSEVFPLRVRALGFAVGASCNRVTSATVSMSFLSLSKAITIGGSFFLYAGITVLGLIFFYTFIPETRGQPLEDIGKLFGMTDDTAVEAEGTPTKDKVKVVEMS >cds.KYUSt_chr1.26685 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160879143:160882070:1 gene:KYUSg_chr1.26685 transcript:KYUSt_chr1.26685 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAAALPYAPTFEIPAIQPGRKKRPRRSRDGPNSVSETIRRWKEVNQQLERDPASAKRARRPPAKGSKKGCMQGKGGPENTQCGFRGVRQRTWGKWVAEIREPNRVSRLWLGTFPTAETAARAYDEAARAMYGPLARTNFPLQDGQIPDVAVPAATQGVLLRGSTSCQSTTTSNHTDIASSSHNWLQAHEISSPVRSQQDVLESVISDCGKQDSVPEAGSSTSRSTSQEDVFEPLEPISNLPDWEDEGFDIEELLRMMEADPVEVEAATGGSWDECQDGANTVAEMTQQEPLYLDGVDPSTLEGMLQSADPLPVWISEDRNMYNPGFHDAELSEFFEGL >cds.KYUSt_chr1.27750 pep primary_assembly:MPB_Lper_Kyuss_1697:1:167235139:167239194:1 gene:KYUSg_chr1.27750 transcript:KYUSt_chr1.27750 gene_biotype:protein_coding transcript_biotype:protein_coding MEIALGCIPADPNGIESKNVTNVLDLWHLKKEIPAPFVWPHADTHPSSLTTTELDVPVVDVGAALRSAAGMRHAAAQVAAACASHGFFQVTGHGVDPALARAALDGAGGFFDLPLATKQRARRAPGTVTGYASAHADRFASKLPWKETLSFGHDHDDGDSRVVVDYFTSTLGDDFKDLGEVYQEYCKAMEEASLAIMEVLGVSLGLGRGYYRDFFADGSSIMRCNYYPRCPEPDRTMGTGPHCDPSALTILLQDGAVDGLQVFLDGAWRSVRPKPGELVVNIGDTFMALSNGRYKSCLHRAVVHREQERRSLAYFLCPRHDRLVRPPPPSSPAPAPRLYPDFTWADLMRFTQRHYRADSRTLDAFARWLGPPSCSAPPMSPG >cds.KYUSt_chr6.12107 pep primary_assembly:MPB_Lper_Kyuss_1697:6:75334678:75335104:-1 gene:KYUSg_chr6.12107 transcript:KYUSt_chr6.12107 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLAASPASEAPFRISFSGHRGHLRLDPNTPNPGPDFLLLRSRRPAYVRAARMRTYLEANYLSPKLYILTAANDAKVWGCGLVWTWPGRLWSPPPMVSWMPGLERQRLCEGESE >cds.KYUSt_chr5.3178 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20540040:20540963:1 gene:KYUSg_chr5.3178 transcript:KYUSt_chr5.3178 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYIQKNGGAILQNFANIKLYNKKDIKKIQTTRNLIGHGGFGNVYKGCIGNDPQLVAVKAPINKKSKFNDTFTNEIIIQSRVSHKNIVRLLGCCIQVEVPILVYEFVPKGSLDVILHGDKSIPLSLGQRLQIAAESAEGLAFMHSKTTAKILHGDVKPANILINNDYAPKISDFGISRLIATGKEQTGTVIGDVNYMDPVFVQTGMLTNKSDVYSFGVVLLELITRKKASRSNQNGLLSKFSEAYKKDKSMIELVDKELVEVDPQLLYILAGIINECLSLDVNERPYMTDIEERLRDIVKRFRSTE >cds.KYUSt_chr5.17282 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111631301:111632398:-1 gene:KYUSg_chr5.17282 transcript:KYUSt_chr5.17282 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDEQMQYPFFTEGTRELLQARINIAHYSLPRAKARLERANKQPRLGDSGEDPDNHVVKRAGDFVLNCSEIGDDRPLTACSFSRDASMLATSSWSGITKVWSITPPGITKIAALKGHTERATDVAFSPADNCLLATASADKTAKLWNISSDNRSLLTSFHGHQDRLARLAFHPSGRYLGTASFDKTWRLWDVNTGTQLLLQEGHSRGVYGVGFHPDGSLAASCGLDAHALVWDLRSGRLYYTLTVHVSPVLGVSFSPNGRLVATAGEDNFCRIWDLRMTGKLLYSIPAHKSLVSQVKFAPRDGAYLATSSYDTTTALWSAQNYKPIKTLDGHDSTVTGLDISGDGQQIVTVSHDRTIKMWSCSR >cds.KYUSt_chr4.44711 pep primary_assembly:MPB_Lper_Kyuss_1697:4:276799017:276802067:1 gene:KYUSg_chr4.44711 transcript:KYUSt_chr4.44711 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSYSNLLDLATGAADQGPPPAALGALRRRLPSLVTTPGLIEDSPASPSTPSPAPRPRTIVVANHLPIHAHRPASPSQPWTFSWDEDSLLRHLQKSSSSPSMEFIYIGCLREDVPPADQDAVAQALLDSYNCVPAFLHADVAARYYHGFCKQHLWPLFHYMLPLTPDHGGRFDRLLWQAYVSTNKVFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLPYESKRGHICLEYYGRTVSIKILPVGVYMEQLNTVLALPETEAKVAELMETYTGNGKVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKNVAGVQEETYAMVQRINEAYGAPGYDPVVLIDQPLQFYERVAYYVIAEVCLVTAVRDGMNLIPYEYVASRQGNDKLDRILRLCKPEEKKSMLVVSEFIGCSPSLSGAIRVNPWNIEAVADAMESALVLPEREKNMRHDKHYRYVEKHDVGYWANSFLQDLERTCKDHSQRRCWGIGFGLRFRVVSLDLSFRKLAMEHIVQAYRRSKKRAILLDYDGTLMPQAISKSPTAKSVQILNSLCRDKQNSVFLCSGFTRPTLHEWFPCDNLGMAAEHGYYMRLKRDAEWETCIPAADCSWMQIIRPVMDLYTETTDGSIIEQRDTVLVWNYEDADPDFGSCQAKELVDHLESVVTNEPVSVKSTVHSVEAKPQGVSKGLVARRMLATLKERDMRPDFVLCIGDDRSDEDMFQFISSAPCGEDLAPTAEVFACTVGRKPSKAKYYLDDTAEVVRLMQGLAYVSEELALQIPAEGEDPEDLWCVGELQ >cds.KYUSt_chr7.27883 pep primary_assembly:MPB_Lper_Kyuss_1697:7:174031922:174033977:-1 gene:KYUSg_chr7.27883 transcript:KYUSt_chr7.27883 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRVAAAAVAVLAFLAASAAALNTDGLALLALKFAVTDDPSNGLATWRDADPDACGWTGVTCADGSGGRVAAVELANLSLAGYLPSELSLLSELTTLSLPSNRLSGQIPVAISALQKLTTLDLAHNLLSGQVPAGIGRLAALARLDLSSNQLNGTLPPAIAGLPRLSGVLNLSYNHFAGGIPPDFGAIPVAVSLDLRGNDLAGEIPQVGSLVNQGPTAFDDNPGLCGFPLKIECAGARDQPRIPQANPGMDPGAAAQVGRPPKRHSSPTVPILAAVVVAAIVAGLVLQWQCRRRCAAATSRDDEQKSSSSAKEKNTTLTLASTEERRGGGEEGELFVAVDDGFGMELEELLRASAYVVGKSRGGIVYRVVPVRGTAVAVRRLSEPDDADCAESGWRRRRAFEAEAAAIGRACHPNVARLRAYYYAPDEKLLIYDYLGNGSLHSALHGGPTASPTPLPWSVRLSVVQGAARGLAYLHECSPRRYVHGCIKSSKILLDDELRAHVSGFGLARLVAGAHKTAHSKKLGTAACALRSGALSALSYVAPELRAPGGAAAAATQKGDVFAFGVVLLEAVTGRQPTEGEGGLELEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDPELRPRMRAVAESLDRIN >cds.KYUSt_contig_1658.238 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:1578924:1579671:1 gene:KYUSg_contig_1658.238 transcript:KYUSt_contig_1658.238 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPPPVQKEPPAPRRSRDWSLLPLDALASVFVRLGAVDVLMAACLVCRSWLDAASVPDVWRVVDMESHEAVLTKDKAVLRAMAKAAVDRSDGQLRVFAGNEFVTDDLLKYIVKSSPSLATLRIISNCEDYTKQLVSVIKEAPLLELRCLELGDINPTVGEMLDLLRSCPLLQVFRVRGYHFDIWVTDERVPRTKFAGTEVMTGKWGDTQWRHVAMVEV >cds.KYUSt_chr5.2856 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18745497:18746828:1 gene:KYUSg_chr5.2856 transcript:KYUSt_chr5.2856 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLIVQFIVFALFLLSIGISIGILACFLIRRRKRHNATTKITKHLQIAGAATLFRGALVEDELDQGVGGPRRFSYNELATATNNFSEDRALGRGGFGSVYQGFLSDMNREVAVKRVSETSRQGWKEFISEVCIISRLRHRNLVQLIGWCHGGNELLLVYELMHNGSLDTHLYRPDFVLAWPVRYEIVLGLGSALLYLHQDTEQRVVHRDIKPSNIMLDASFIAKLGDFGLARLINDGRRSHTTGMAGTLGYIDPESVLAGRASVESDVYSFGVLLLEVACGRRPAVVHENGDVVHLVQWVWDLYGDGGILGAADERLSGEFDDREMEYVLVVGLWCAHPDRGMRPYIRQAVNVLRFEAPLPSLPARMPVATYGPPTNPLSFGTLVLSSADGR >cds.KYUSt_chr4.8028 pep primary_assembly:MPB_Lper_Kyuss_1697:4:47847561:47848773:1 gene:KYUSg_chr4.8028 transcript:KYUSt_chr4.8028 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQDAEVDIGAPPLDPFEFGDDLHGEEEEVGEGEEEEDELTEIGVEAFAAGGCRKASNYTEAEDIILVRAWASVGMDACTGTDQTGKRYWQRIEDAYCKMKPKTGGFAPRTFRSLQGRWELMKPSCARWSAAMSNVIDAPPSGTVESDYEDIAEKRYMQMAGSKGKQFPWKHVWKHLKDFDKWKLRDQETAPKKAAMESMDDSAEEERNADKPEGTKKAKLRKKMEGDVSSIRDKMETMMKTRETLTLKTLETKLLITDKKKEVKLAQVEARCEEAKRKAEERARKADLEERMLAMKEAKAWKELMLEEKEHMMMSKKDMDEDQLRWWKDYKEDIAARKRMFRIASSSLRGDTPMSGCGDGGRCGGLHHRRL >cds.KYUSt_chr1.41434 pep primary_assembly:MPB_Lper_Kyuss_1697:1:254144778:254146133:1 gene:KYUSg_chr1.41434 transcript:KYUSt_chr1.41434 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPLLALLLLLLLLAVSPAASSALFPKEALPTSSGYLPIDPSTNASLYYAFYEASNPLTPPAATPILLWLQGGPGCSGLVGNLFELGPYLVAPDGASLSRNPFAWNRRFGLLFLDSPLGTGFSAAPSPALIPTNQSVVAAHIVAALQAFFDASPPFRSRPFFLSGESYAGKYVPSTGAHILSTNPTLPAGRRINLRGAAIGNGLTHPVAQVATHADSAYFTGLINAKQKRELEALQEAAVALAESARWREAADARGEVLSWLRNATGLATLYDYAKQRPYATDAVGAFVNRAEVKAALRAREDVAWEECSGAVGAAMHEDVMKSVKTEVEALLRRRTRVLLYQGVRDLRDGVVSQEAWMKDLNWGGLQAFQDADRAVWRVAGSGKEAELAGYVQRAGALTHVVVYGAGHLVPADNGRATQEMIEGWVTQTGLFGGSRLGSRGLMPA >cds.KYUSt_chr7.12680 pep primary_assembly:MPB_Lper_Kyuss_1697:7:78048798:78050213:1 gene:KYUSg_chr7.12680 transcript:KYUSt_chr7.12680 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPHFLVLTFPLQGHIAPALRLARRLLAAAPDALVTFSTTEAAHRRMFPTKPADNPQAAAEPNGDGRLEFHPFADGTESGYVRGSDSAAFNEYMASLRAAGARSVGELVDALAARGRPVTRVVYTLLLPWAADVARARGVPSALYWIQPAAVFAVYYHYFHGHAGVVADHLHDPSFLVRLPGLPPQAVRDLPSFITESAEPHDRFHGAYTAIRNLFENLDRDAPKATVLVNTCEELEASTLTAVGAYDVLPIGPVLPAGDEAGLFERDDARYMEWLDAKPADSVVYVSFGSLARMVPEQLDELLLGLEESGRPYLCVVRKDQVPEAETEPLLSRNGMVVEWCDQVRVLAHAAVGCFITHCGWNSVLESVACGVPMVVVPQWSDQRINSWLVEREWRVGTRAVVGSDGVLRAAELRRCIGMAMRREGAAGDERSAATELKRRVVEALGKGGSSDRNLMAFVEGVSSGVHV >cds.KYUSt_chr2.8176 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51452032:51452565:1 gene:KYUSg_chr2.8176 transcript:KYUSt_chr2.8176 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAALIALIVLAGTTSHSEAASSAAGEKTTHIKLYWHDVVSGPSPTVVQVAHAASTNASKTGFGGVVVIDDPLTEGPALNSSRLLGRAQGTYISAGKDDVSLFMSMNFVFTAGRYNGSTVAIMGRNAVFSAVREMAVVGGTGVFRWARGYAQARTHTFDLKTGDATVEYNVFIKH >cds.KYUSt_chr3.26839 pep primary_assembly:MPB_Lper_Kyuss_1697:3:167444723:167446330:-1 gene:KYUSg_chr3.26839 transcript:KYUSt_chr3.26839 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGVGSTLPDGQLAWFDESDQLQQVSIHSLAAGKKVILFGVPGAFTPTCRSISLLPQSYFCILSSLGWSIDREKTNMQHVPGFVTQSEELKAKGVDEILLVSVNDPFVMKAWAKSFPDNKHVKFLADGSGTYTKALGLELDLSEKGLGVRSRRFALLADDLKVTVANIEEGGQFTISGAEEILKAL >cds.KYUSt_chr6.31913 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201566595:201570491:1 gene:KYUSg_chr6.31913 transcript:KYUSt_chr6.31913 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGCRETCGDLTIPYPFGISEGCFREGFDVSCENNTVYRHNSSSRLAIYNINLLGGQARVNTLIASNCFNNPGGWASLDTGEFFTVSTKANKLTVVGCNTLAFLVGFNEYNVGAGCFSMCADKQSVDDSGQCSGMGCCQTSIASNLVSSNITFDDRFNNSEVRGFNPCSYAFVAEQDWFRFEASYLEDRKFTEKFKDGVPTMLDWVAGNEYCDQAVKNKSTYACIGKNSQCIRSPNATGYLCTCKDGFAGNPYLEEGCEDINECSFPDQYPCNGICSNMIGNYSCSCKSGTQSIDPKRETCDPIAASERARLTKMFTGISACAILLLICIFALLIECQKRKLVKEKKTFFQQNGGLILYEQIRSKQVDTVRIFTRAELENATNNFDSSRELGRGGHGTVYKGILEDSRVVAIKRSKVMNMAQKDEFAQEMIILSQINHRNVVKLLGCCLEVEVPMLVYECILNGTLFDLMHGKNRRLSLSLDTRLRIAQECAEALAYLHSSASPPIVHGDVKSPNILLGENYTAKVTDFGASRMLPTDEIQFMTMVQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITMKFAIYSDSAGERKNLASSFLLAMKENGLQFILDKNILEFEADLLQEVAQLAKSCLSMTGEERPLMTEVAGRLKSIRVTWREQLIQNPSTETECLLENSSHYDPSSTGQHRSLMALDLESGR >cds.KYUSt_chr1.28854 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174595279:174596098:1 gene:KYUSg_chr1.28854 transcript:KYUSt_chr1.28854 gene_biotype:protein_coding transcript_biotype:protein_coding MATHWTACRSRRRGLRDHRSTREGGPPAMASSSGEEDGARMSAGARELADMAPVPLSDRACPVVSIACRGFRAFYVDGKCCARALCLTVETIYGSTSSPHLNNTCNGLEYDFDWKHSIWYFRRVVLEALV >cds.KYUSt_chr2.7298 pep primary_assembly:MPB_Lper_Kyuss_1697:2:45813016:45814309:1 gene:KYUSg_chr2.7298 transcript:KYUSt_chr2.7298 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTAALTVLALVACVGRPCQAGYGYPHPMPSPYTPSTPSPPPPTPIAPSSPPPYSPSTPSPPPPSPSTPSSPPPYTPSTPSPPPPTPSSPPSGLTVGYYQKTCYRAEDIVREAVRDASKGIMAGLIRLFFHDCFVRGCDASVLLDTADPNSATEKFGIPNLSLRGFEVIDAAKARIEKECGNVVSCADIVAFAGRDATYFLSNKKVYFDMPAGRYDGLVSLINETLPNLPPPFATVEQLKAGFAFKGLNTDEMVTLSGAHTIGISHCSSFSDRLTSNSSDMDARLKSTLQQQCQSNSGTDNTVVQDNKTPDKLDNKYYKNVLSHEVLFTSDAALMMATDTSDAVRANAKDTNQWEEKFKAAMVKMGAIDTKTVANGEIRRSCRVLNTN >cds.KYUSt_chr3.29970 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187660009:187661442:1 gene:KYUSg_chr3.29970 transcript:KYUSt_chr3.29970 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGVGVGHLVPMVELAKVFLKHGAAVVVALVDPETKSTDFSDAVARAKTSNPSVDFHVLPPPPPAPVDSSNSQVASTKHHLTKISQFLTAMNAPLRDFLRSLPSVDALILDMFCVDAQDVAAELKLPVYYFYASGAAALAIFLNLPSMVAGSTAKMNELGDSVITLPGVPPFKASDLPNELTGGGGALPIIVGMFDRMSRADGILINSFDSLEPRAVRALRDGLCVPDHATPPVYCIGPLVSAGGGGVEHECTTWLDAQPDKSVVFLSFGSLGNFSAKQLLEIAVGLEKSGERFLWVVRSPRSPDFKYGDPLPEPDLDALLPEGFLERTKDRGFVVKSWAPQVEVLRHRATGAFVTHCGWNSTLEGITAGLPLLCWPLYAEQRVNKVQIVEEMKLGVEMRGYNQEVVKAQEVEEKVRWVMASEGGKALRARAAAAKEGAAEALKEGGSSYSAFVQFLQNLDTATPAAGLK >cds.KYUSt_chr5.38147 pep primary_assembly:MPB_Lper_Kyuss_1697:5:241021411:241026466:-1 gene:KYUSg_chr5.38147 transcript:KYUSt_chr5.38147 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATPSAVAPPRPRPLAHRAQPCAQRRRALPSRWTASASASASSRGKARPQRAPSSLHATVVSGRRSGVREYMEAARDMVRRPDGGPPRWFSPLECSGAAGRIPGAPTLLYLPGIDGVGLGLIRHHERLAKMFDMWCLHIPVEDCMTFERLVEYVERTVRLERSRAPDRPVYLVGESVGACIALAVAARNRDIDLVLILVNPGTSFHRSQLQSLSAFLDLVPDPFHLSTPQLLNFLAGNFMKMRPTSDGAGQALSEITTGLLPSLMYLADILPKESIVWKMKMLRTASSYVNSRLHAIKAQTLVLASGNDELLASREEAERLKGTLQNCRIRHFRDNGHKILLEDEFDLATTIKGAGYYRRSRQTDFVSDYLPPTPDELEKAIDHVRVLTYATDPVMLSTLPDGKIVRGLAGLPREGPVLLVGYHMLMGFELGPLVTGVLRSTGIHIRGLAHPFMFSKTSEQLMPDSSAHDLHRIMGAVPVTPVNFYKLLSEKQFVLLYPGGAREALHRKGEEYTLFWPEQSEFVRMASRFGATIIPFGAVGEDDICDMLLDYNDLLKLPFYDVLDKRLNEDGLKLRTDSTGEIKNQGMHPVVLTPKVPGRFYFVFGKPIETRGREKELKDKEKAQHLYLHVKSEVESCLEYLKEKREEDPYRSILHRLLYQAAHGSDAEIPTFEP >cds.KYUSt_chr5.42520 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267915390:267916061:1 gene:KYUSg_chr5.42520 transcript:KYUSt_chr5.42520 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSSFAVPSVRVSIPNQLPTLFEPQKAAPNPKADAGDDNAALPERRLTVLALQLALLEKAASRLGTLAFIWATVVLLGGFAITLGHTDFWCITVLLLIEGTRILGRSHELEWQHQAISCAQAVRTFSWMQLLSVSACVSLSLLRLLHQHYGGSEEARTNRTAALNIFYGLALAEALLFLVEKALWEWKVGHCRLLESVANDYNLAPSAGEVGIRLFFYNSY >cds.KYUSt_contig_2887.117 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:567113:571517:1 gene:KYUSg_contig_2887.117 transcript:KYUSt_contig_2887.117 gene_biotype:protein_coding transcript_biotype:protein_coding MGFALGRARETGYLRLLEDGGSTLSFGDSSDECQVKSALRVHDPVFYWKIFIANRDKSSSSIVSKRGWWTPMILTAGVSSAKYFLRHLSRNNSVTQTRRNISQHYDLAKVQRDHQVLDIGSGWGSLAMQLVKQTGCKYTGITLSEEQLKFAQRKVKEAGLEDHITFLLCDYREIPTRKYDRIISCEISALGFDDKFIRTWEYYFLYCAAGFKTQTLGDCQVVFSHAGSEAGPGFDD >cds.KYUSt_chr1.11930 pep primary_assembly:MPB_Lper_Kyuss_1697:1:73694310:73701147:-1 gene:KYUSg_chr1.11930 transcript:KYUSt_chr1.11930 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVIHRRPLLALVAVLTFLLRPIATAAASGHAADRIDRLPGQPAVDFDMYSGYITVEQSAGRSLFYLLQEAPEEAQPAPLVLWLNGGPGCSSIAYGASEELGAFRIKPRGAGLFLNEYRWNKVANILFLDSPAGVGFSYTKTTSDLYTSGDNRTGSFLAEWFEKFPHYKYRDFYIAGESYAGMNSSPHLNLLHAWLIHRKNKGVNKPIINIKGFMVGNGLIDDYHDHRGKFQFWWNHGLVSDDTYRLLNDSCLHDSTVHPSPACAAALNVYKGEHGNIDLYSIYTPTCNETAASRRQPNGRYPWMTGSYDPCTERYSTAYYNRLDVQRALHANVTGAINYTWAACRCAAPLVPDLGEVDAKLIGTVASTGVSYNCQWRPTTMDERWTDDGEFPVMMVATPWCEIKGMQGMISLR >cds.KYUSt_chr2.11693 pep primary_assembly:MPB_Lper_Kyuss_1697:2:74425723:74428788:-1 gene:KYUSg_chr2.11693 transcript:KYUSt_chr2.11693 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLAEYISQVSEISVKERGYFTIALSGGYLVNLMRKLCEAPYSKTLDWSKWYVFWADERAVAKNHVESNYKLTKEGFLSKVPILNGHVYSINDNATVEDAATEYEFVIRQLVKIRTIGVSESNDCPKFDLILLGIGSDGHVASLFPKHPALELKDDWVTYITDSPEPPPERITFTLPVINSASNIAIVATGEDKAKALHFAISDSCEGPDALSIPARMVQPTDGKLVWFLDEAAASSLMAKSNDFDHQGF >cds.KYUSt_chr5.11377 pep primary_assembly:MPB_Lper_Kyuss_1697:5:73816634:73820130:-1 gene:KYUSg_chr5.11377 transcript:KYUSt_chr5.11377 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERRSVVYDAEGGDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWAVGPLVLLGFSGVTYYTSTLLANCYRYPDPVSGSVNREYIDAVRCYLGRKSVLLCGCAQYVNLWGTLVGYTITASTSMIAVKRVNCFHRSGFSVTGCDGSGSTYMVVFGLMQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWASHGDVRGTLAGASIDAPRDKAFNVLLALGNIAFSYTFADVLIEIQDTLRSPPAENKTMKRASLYGLSMTTVFYLLLGCIGYAAFGNDAPGNILTGYAFYEPYWLVDVANVCVIVHLAGAYQVFAQPIFARLESYVACRWPDSKIITATYYVRVPGRPSSSVPVAPLKLVLRTVIIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHIARLSIRRGEGRWWSLQAMSFVCLLISLAASIGSVQDIVHNLKTATPFKTVD >cds.KYUSt_chr1.18998 pep primary_assembly:MPB_Lper_Kyuss_1697:1:111559532:111563273:1 gene:KYUSg_chr1.18998 transcript:KYUSt_chr1.18998 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGMLDRTRSRGGFGNGGFGSFHRGSGYGYNDRRGYNQYPRQGYQQGHQAGVYRAVPSRNAFAGDAEGGRREGGNDQELLQQTVQAVVAAVTAASKNAGAIMAEVNAPNSIAPNSTQAASAPVTSSVLQPGTGQIDTGRADDGAALAKKKKTDCCFRCKQPGHYIDDCTVPMCDICESVNHATTASHLLHAPKPTVAMYGYANEALMFFEVPFRGTYKPKVENAKLAKVTIQGEAMTISEIIEHLKWIVPSENFQWEVTHFHNNVYKVKFPSKNEVQRMKKFKTYLVPNRTTDMEFDERSAMEEPTFMLPEVWVRVSGIPSDVRNDYIALWALGSLFGKTMEVDMAFTRKTKVLRLRIGCMDASLIPETSDVYISRDFFRLGFEVENKNFQGEMDMAEGPQDNGGNDDGNGGNGGNGGNGDDNNNGINRDDVMHMEVEKTMNLGANQGVVGNNNNTGLQQNSLVLTFGSLPPSPIIENVTIPHVFPAVFGSHKELEVTGKNLTQNSVQNQHIQQFSSRPEKDREVGVFQTDYALDHELIIRGDRPIIGASVSVSVDVHDWQQPAEVVPTAAVLSPAPDCQGVSQVEEMALYAGDTRRDMATPEAGSGAPPLLAHALEDELNGAKSVPTITNMNNKAVVVANDVHEKSQMKSTPTKEEVIAFGGIAPTMARSSARLRRKDNADDDALDRAMKLAQHRDTPTVSDRGVIWNGGGFGDIAKHRFVKESIREAMQNVSPRSTSEDGIGGPLIDSDGNFIGMELYSSEETPYLPSRPGM >cds.KYUSt_chr4.17961 pep primary_assembly:MPB_Lper_Kyuss_1697:4:112560929:112564990:-1 gene:KYUSg_chr4.17961 transcript:KYUSt_chr4.17961 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDEFYYYYDDDGGEDEEEDEAAADWGGLALEADEDDLGLAEDDPPPRERRADCWAITEDSLSAAQQEDLSTVMNLLNIKQHHARALFIFHQWKIDRIYDCFDRKGRDRMLREADIVLQETNNTTPSSSSKCNVCFEDDLSLTDVSTMDCGHCFCNNCWTEHFYAAIDSGKKQIRCMEVNCFAICEEGIVRHLLGQKYPDAAKRFDRFLLESYLENNDFVKWCPSVPHCGRAIRVGTRDTDCEVKCPCGVSFCFNCMEQAHSPCPCTIWKKWSVKNHGESENIKWIVKNTKSCPKCFKPIQKRDGCNLVKCHCGQYLCYLCGGPTGASHTWTSIEGHSCNRFKESNENVDTGRRQLERYTHYCNRFKIHEESYKEHKQKLGPAIKEQVKQLESNCLRPRMIRDGDWLIDAHQRLLWSRQVVSRSYAFAYYMFGGELRTDPADKRSLAPAQNLFENQQEQLERHVEQLSKVLATDILGLPDDKIVQMKQEVVNLAKILETLCGEMYHCIQDELLPLLVEPMDIAMYTPDGPVKAKLFSV >cds.KYUSt_chr1.24042 pep primary_assembly:MPB_Lper_Kyuss_1697:1:143349062:143352392:1 gene:KYUSg_chr1.24042 transcript:KYUSt_chr1.24042 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPMPRKDASPRRWRRRLLREDRLSDLSDDLLLEILRRVDTHTALGAAALSRRWASLPRELPAMDLKVTDILPPRYHRLYLLRDDARESKISSTLQDRRRLEAITGRYERRAMRAMICSVKRLLASRAQRRVERLSLEVFAYSTSPCINRLVVDAVDSWGVRDLEVVATPTGPLAFPDPPPYSFPCGLISRKPAGQSRLRSLRLANCLPPPLRGFTALTTLVLRDLPSSTPAATYEGVVAACPQLQVLHLVSCEFTSTTRRLVLDAPMSEIRELLLDGELMVVELRSLPKLESLTSLHADVILCSTAAAPCLARVSLAFSVGPLEGDSFAALNRRHQDCLIRMLLEFFQGAISVKDLVLRFTGPEMWILPKNPFSAMSNLRRLLVADVPSSWDISWPHLLIQAAPLLESLYVHASHGEDETRQEVLGEASSSSRHHHLKELVVISFQRTERQMHLVRFAVEISTALKRVSLLKHGHVMDKGPSCDWEVVSYVRIFELVVEKEEMYAEPAERKDSSDSLVNYERREIRSKQASLAGCKRGEEEDGGRDASTATVSSFL >cds.KYUSt_chr2.7646 pep primary_assembly:MPB_Lper_Kyuss_1697:2:47925572:47927754:1 gene:KYUSg_chr2.7646 transcript:KYUSt_chr2.7646 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGLVWMVAAAVAAVLASWAFNALVYLVLRPRAITRQLRAQGVGGPGYKFFAGNLAEIKQLRADTADAALDVGSHDFVPLVQPHFRKWIPIHGRTFLYWFGARPTLCVADVNMVKQVLFDRNGLYPKNIGNPHIARLLGNGLVLTDGDDWKRHRKVVYPAFNMDKLKMMTVTMSDCAGSMMSEWKAKLEKGGEVEIELSSQFEELTADVISHTAFGSSYTEGKKVFLAQRELQFLAFSTVFNVQIPGFRYLPTKKNLKISKLDKEVRTMLMNIIKSRLAAKDTMGYGHDLLGLMLEACAPEHGENPILSMDEIIDECKTFFFAGHDTSSHLLTWTMFLLSTHPEWQEKLREEVLTECGNEVPTGDMLNKLKLVNMFLLETLRLYAPVAVIQRKAGSDLEVGGIKVPQGTVLTIPIATIHRDEEVWGEDAHEFKPLRFEKGVTMAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVIAVILQRFSFSLSPKYVHAPMDVITLRPKFGLPMILKSLEM >cds.KYUSt_scaffold_1700.169 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1179570:1182212:-1 gene:KYUSg_scaffold_1700.169 transcript:KYUSt_scaffold_1700.169 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKYRPKGYGMASSAAKRLPYLLLLLVFLTAAGLSVVVMHKVREQRVFAVLIQERDGKVISLRALLQKEKAYSKETKRKVEEMKATISSLRTQKTDLKTKLKGLEATATNLKTTQKELESSLKEKDSRISQMEETASDLHSTRKELETSLKERDRRIKQMEEKATNLQKTQKEQEVSLKERDIRIKLLEEKATAESNHDQMAALMEILQRKEAELEEIKTRFQGRKDPSSKRTPEQTNKAHTTPTIVVAGNLTNSSDTTIPATLEEKRAGNTTVTESKHQKQKARSLEEKKVKLAGDIEVDDLQDTTSDDFLDIDDIYGDSHSKKSEFPRRNNNDLMNSHVDNQKSRHSPNQDSDHLRSNKLLKKENSKADETKKDSTAGHLEEKSSKNSLSNADLDRPKQGAKEMAGAANVKPNVPANDDATQQQNKRQKNKKSKTKKIKMIDTPTINGNGEVTKER >cds.KYUSt_chr6.4508 pep primary_assembly:MPB_Lper_Kyuss_1697:6:26188535:26199335:-1 gene:KYUSg_chr6.4508 transcript:KYUSt_chr6.4508 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGRAREEEEELKGARRGYREASSEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVTVKYLPQRHLIESCQSLGEVYLRLARFSDALTYQKKHLEIAKDSNNLVEQQRASTQLGRTYHEIFLGSENDHSAMRNAKKYFESSMELVKILRKNASSEKSSYLKELIDAHNNLGMLELELENFDEAEKLLLEGLKICDEEEVNQYDDARTRLHHNLGNVYTELRKWKTAEDHIEKDIKICKQICHLQGEAKGFINLGELHSQIQKYEQAELCYNKALGIIKDLEDEDALVDQIHQNIETVKKAAEVLEELKKDEQKLKKLVRDTSRAPETSNERKLLLEQHALLDDLIEKARMISSWHKHKEFSKAKKRVTNELCDKEKQSDALLSIGESYQKLRDFNKALKWYTKSWDIYRSIGNLEGQALAKVNIGNALDSRGDWEDALKAFEEGYRIAVEGDLPNVQLSALENMQYSNTVRFDNKIKAKKLQQKIDDLKQILNQHEARSTVSDYCPETESEGGDVSDNILDPVDSDGHGANTYSEEADDNVILSSLVHRSRSSSNIKASKTHSTPKNVDRLCQMDEGTREVISKACGNQSGRKRVRMVISDDEADEDPAIDQSKRILTSRTDRLSTSGLEDDEALYLQGQHFLAFRIGEHLVYLDANACICEAAFSIDSLKVEVACVYNLQILDEKSSKGLFPIIGELKCCGNVLDGAESTNYIGQLASEEKCIDVVIDDWVPKRLMKLYLDFCAKLSEVPNKKLLIKLYNLEVSEDEIILSDCGLQDLSITPFLDALRSHKTIAMLDLSHNMLGNQTIERLQEIFALSSQTYGGLTLDLHCNRFGPTALFQICECAVMIKRLEVLNLAGNRLTDGCSSYLFTILQKCKALYSLDVEQCSITSRTVQKMADALDEGSVLSHLSIGKNNPISSNTMLNLLSKLASLKRFSQLSLTGIKLNKLMVDKLCSLARSMCATGFLLGGTSIGPGGTIKLTEALSSTSQELLRLDLSNCGLTTPELSQICSNLSQINILDLNLGGNSINLEGCDTVGAILANPQCSLRSLTLDRCNIGLAGITRIIQALAGNDQLEELRMAENTNLALERTLHFDEDMQDVLTSTECKHGNNAETSDNIAPGNVDLENMVVADSEDEAANEDRRAASGASGSCASSCQRNSYLGCQVIQELAEALVFAKQLKVLDLSRNGLSEEGIQLLYTAWASGERGDGIARKHVSKEVVHFSVDGMSCCGLKPCCRRDLQM >cds.KYUSt_chr1.3660 pep primary_assembly:MPB_Lper_Kyuss_1697:1:22314313:22315078:1 gene:KYUSg_chr1.3660 transcript:KYUSt_chr1.3660 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKYETLTYGTAAPSSSRREDHVASPGKWRDWASLPRDVLYVVLSKLPQTEILGAAVLVCSPWRRLALEEHLLWRRIDLRLWDEKERHPDGWKAMALAALERSAGRAPLLRKLNVTGWPYIKDKKLVRDIIKKLPLLERLVLSDGRFQEELLLALLDYCPRLELLDLTDSWPTFRVWEEPINTTIQNCNIKYLCMPLEVLD >cds.KYUSt_chr4.27802 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174661887:174662729:-1 gene:KYUSg_chr4.27802 transcript:KYUSt_chr4.27802 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRVEVDTARPFRSVKEAVAVFGERILVGETNSRYSSNSVAIANSHANATPNAKLEDSGTSSAITLSPNAMAEVEAEPESEATAAIVPMYSAPSSPPSFASPRSGYEDDDDEGEYDRQDEEAGLAIMSSIKNLEADVADTRREVVQLRKRGTEMEMAVASLNAQLHRGLSRLAEIEADKASAAARRSIGGDTDVTSAAMLRSERWAEKSGMYSSEYLPSFSHALSLGEFDDDDNLPCGRRRKAQKVKPIVPLIGDILFSKSFSRRKSTKDSGDLSSVC >cds.KYUSt_chr3.32828 pep primary_assembly:MPB_Lper_Kyuss_1697:3:206308094:206309649:1 gene:KYUSg_chr3.32828 transcript:KYUSt_chr3.32828 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGARHVVALPYPGRGHINPMLVVCRQLVAADGALTVTVVITEEWHGLLASAGVPSTLPNSIRLATIPNVIPSEHGRGADHAGFIEAVCFRMGETVEQLLDRLERRPLAVVADTYLPWALTACARRGIPVCSLWTQPATFFLALYNLDLWPPVDGRHSDEELRIRSLEEYVPVPCLSSRSYVRKAQCVLLTSFHELEPCAINALAESLPCPVYPIGPSIPFMPLNGNKKIQDEEQRQWLDAQPENSVLYVSFGSFVAMPPSQFEEIAMGLRDSGVRFFWVARDRAADLRQTCGGNGLAVPWCEQQKVLCHPSVGGFLSHCGWNSVLEAVCAGVPLLGFPVSWDQLVNARMVAEEWKVGIDLREQRGEDGTVGRAAISAAVRKLMDFDSGAGQEMRRRAAQLRQVSRSTVQEGGSSHRSLNSFLQDLVKGKLDATETC >cds.KYUSt_chr5.37859 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239150538:239151976:1 gene:KYUSg_chr5.37859 transcript:KYUSt_chr5.37859 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDDEALPARRCTGPDRCARLGRVGGAVRPPRSRHPSGRPLARALRLSGAFRAAGLLPVKRVLFARLISLPVINLRPDSPDKNQEEDVEVAERRGARLVATPDLRDNFLGLYDHRYSASRLSDNQRKTLEHIGATRPQRRPWQPAASWASTSAGAVGPDCTRGGVAPPRDPAACPVVAGFGGEGGRLDGQRDAVVGAMKAGQLATSDRSTALGFVALRGIVSFVEAVGLV >cds.KYUSt_chr2.30216 pep primary_assembly:MPB_Lper_Kyuss_1697:2:186005334:186006298:-1 gene:KYUSg_chr2.30216 transcript:KYUSt_chr2.30216 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTADPKGKRPLYQEDGLAYARAAAAAASATAAAAPDEEEAKPKPKPSSSSEEAKPEPEAEDQAEMPPLVLVAEDGVEVSISRRAARMSHMLRLLMEDSCADGPIPAPNVHSDILDLVVQYCEKHGPYYDPEASERARNPFPPFPVELTPTVSSIKPVTYVDPDPHGLKDWDKDFISLDNSTLFEVILAANYLNIEDLLDLGTTTVADMMRGKKPEEIREIFEIENDYTPEQEAELRKENAWAFED >cds.KYUSt_chr2.3348 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19996092:19997727:-1 gene:KYUSg_chr2.3348 transcript:KYUSt_chr2.3348 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKPHSSKQTSTCCRGGGRTSCMAAAHQSTASQSAWELGRGRGVLGAVESGEANLVVGAQPEVERAGAVSEEDAQQDHADRVAQVAAAKAPNGVAPEAAATVMHHAQWNAHFGHPLPRTAPRKFVKKIPKVVQPLTCEVCKIECDTQEVLVIHKTGKKHKKNLQKLQDSITPKPAKPLNGAVGASTAAAPAVADGIMPAVQPRKNKSSQATPEDLEVKKRKVLEAGAAQGEVKICTACNVVVNSQKVYEFHIAGHKHKANVQKQQQGQLPHVA >cds.KYUSt_chr3.24131 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149821828:149824622:-1 gene:KYUSg_chr3.24131 transcript:KYUSt_chr3.24131 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTAKRHHKHYIPVSGNKRMQTFFEMQTDAEFDLRPKPYPSGKESSASPLPKRTQSNVTSSDIVTRNIGMKDQPGTRHLSSFSSLDNPRGRQIQKAPVRTKSLLAAFFVRHRSVRARRQGEDQAGSGPNALAGVCTSLQCGPRDGSDDSNRKT >cds.KYUSt_scaffold_869.470 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000010.1:3047543:3058516:-1 gene:KYUSg_scaffold_869.470 transcript:KYUSt_scaffold_869.470 gene_biotype:protein_coding transcript_biotype:protein_coding ESFRSVNGELRELPPVDLSNLPLILKTILEGGITDQMRVAELITQDRDFFPKLLEIFRMCEDLENLDDLHMIFKLVRGIILLNSPSIFDKIFSDEFILDIIGALEYDPEVAKVQKHRTFLKDHVIFKEAIPIKNVSVVSRIHQTYRIGYLKDVILPRTLDDGTMASLNTMIHTNNAAVISLLKDDACFIQELFSRMRSPNISVESKRELVRFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFEIISDVLQSQDRKIVSAGTDIVILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDFGEQMHCQFLEILRILMDSFTMSGAHRDVIIEIFYERHLDYLVDVIASCCPPRSITRRATNSAAVGRNTEVHRIKPEILLNICELLCFCVVHHPYRIKCNFLMNNAIEKILTMTRRSEKFLVVAAVRFMRTIISRNDEHLVRHVVKFNLLKPIIDAFVENGDRYNMLQSGVLELLEHIRKENLKPLVIYVIESFSDQLMKFEHFGSIQAFKLKYQQYLESAETRLSASVPDIRKKAEGRGLEKEEEDYFNEDSDEEDSVRRTKHAHDEERKADVANGSEADDISSRPKSGGLVDYADDDDEDFNPPPKEPDRPAEDDELLKISRVKRKSVNTGDGKHADGEVRKRQKIETRITCSKISALTSLASKHKDSHASSSPSCEVNGIMGEHATHSVEQQHSTDTAETSRQIGGDCIKAMGNLSSEKAVNTTKTNDSEPYSVR >cds.KYUSt_chr1.29558 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178946745:178947413:-1 gene:KYUSg_chr1.29558 transcript:KYUSt_chr1.29558 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSALDSPAGGTWGSLCGVQEPVKSRHVSVVPANAKKPAYGLKRNLEMCTEALGCETGGVDTTIYDTDDAAARKRYAMAERDEDEEETEMERRVRVLPPPLTTLAVGATRMRMVHERHDGRLEVYAVRASAMEAERSGGRLRLRFLPCSGCRCNAAVCSQQEAQETEEYETEEVDEPEEAYGNAKYVRGGRCVEAEDVAAAARCGEKWEPEQAAAFWVAIT >cds.KYUSt_chr4.47920 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296740271:296742232:1 gene:KYUSg_chr4.47920 transcript:KYUSt_chr4.47920 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFAGGAALVLLLSVATTRLAVADFFSPLSPLLAPVMGPVCKAAACGKGNCTETSGLPGYMCDCDPGWTQMHVGDHLRFLPCVIPNSCDFAYCGSGGTCKNGTGLSYHCECKEGFSNVLNMTTMPCYQDCSYGADCAALGILPSSNSTAAPPAGSASNAPASVSVSRQILLPLMILALVAMMGQAT >cds.KYUSt_chr5.18360 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118678677:118680748:-1 gene:KYUSg_chr5.18360 transcript:KYUSt_chr5.18360 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISKYLPWLLVLLLRGGVAAADGKKKVPAIIVFGDSSVDTGNNNFIPTIARSNFWPYGRDFADGLPTGRFSNGRLATDFISEAFGLPRTIPAYLDTNLTIDDLATGVSFASASTGLDNATAGIMSVISIAEQLGYFREYRERLRLSKLGEAGAEEVVGEALYIWSIGTNDFIENYYNLPQRRMQYATAAEYGAYLLGLVEAAVREVHALGGRKMDFTGLTPMGCLPAERLGNRDDPGQCNEEYNAVARSFNGRLRGELVPKLNRELPGLRLVYADTYDLFDAVVRKPGAYGFENAERGCCGTGLFEAGYFCGLGTSMQLCGNANKYVFFDAIHPTERMYSILADTVMNTTLHVFL >cds.KYUSt_chr2.44311 pep primary_assembly:MPB_Lper_Kyuss_1697:2:275646408:275657224:1 gene:KYUSg_chr2.44311 transcript:KYUSt_chr2.44311 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRARFPPGIGNGRGGNPNFYGRGPPPMQQHHQQPPPQQQQQPQGHLQQYMQRHSQHHNQQLQHQQWLGRNQVAGEAAGTSRASEQQSRPAADDVDLSSQDWKAQLKLPPPDTRYQTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSNILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRVLDLTKKGICILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPSSRQILMFSATFPVTVKAFKDKYLSKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYATFYGSFHLGQAVMTYLCQDMLGQPCWSSITPLLIVACVVVVFRGGEARCGLCRWSVELLFDGQCKMYLHTGWDKFVHTHNLEAGCLLTFLYEGDNEMIINVFDKTSCRRHYHTDESGKDTNN >cds.KYUSt_chr1.40077 pep primary_assembly:MPB_Lper_Kyuss_1697:1:245492182:245495931:-1 gene:KYUSg_chr1.40077 transcript:KYUSt_chr1.40077 gene_biotype:protein_coding transcript_biotype:protein_coding MKARAGPWRRRAQEGGEEAAVDVRAGPRRRRAQEGGEEAAVDGREEHVVDRAEVVQPRRLALGARLHDIEDLGEAGAHELPLDRAVATDGYIGEGEDTAEGTCGMDVRGRWAKTDSDDKTPQEDSDDDINDDAFFEGEPKDEDEWEEDEGSDEREREKNKDQPP >cds.KYUSt_chr1.30274 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183281324:183281683:-1 gene:KYUSg_chr1.30274 transcript:KYUSt_chr1.30274 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAANRAMAFALVAFGVMLLAGFLYAAVLSKALPPPDNWFLLAVRNDRYYCLLVPLTVPVIIVAVYLHWMSMKMFKHA >cds.KYUSt_chr3.39562 pep primary_assembly:MPB_Lper_Kyuss_1697:3:249266131:249276035:-1 gene:KYUSg_chr3.39562 transcript:KYUSt_chr3.39562 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTRLKHTITADSPQPRPWPARPPAYDPEFEAAFEACNEAYQQASAQWNKQNMAYMAYIGALMTSLSSGTPPPDPVPMAGDMPIMPSRATFAMTYYGSTPFYLFEAGAMASARMPVFPALVPVLFAVGLVALQGAVLRAGAADTFTVDRPLSGSQRPLVSKSGKFALGFFQPELSWETIRSRCLVMLYLENRFPYFLIRIWGDNSRRWYIGIWYNEMPGQVLVWVANRDSPVSNPDTSRLTISTDGNIVLLDDSRSTVWSTNMTTITSSNVGVILDTGNLVLADTSNTSIILWQSFDHFGNTWLPGGKVGRGNLTGGSTSLISWKTFSDPTPGFFSLVFDPNGTSQFLLMWNSTQQYWSSGNWTGQGFSGLPEMSHSNGYPNSMYEFEFIHNAKESYFMYNIKGDAFITRFVMDATGRILIFTWIESAKDWMLSLTAPKAKCDVYSLCGSFSVCTENGLALCSCLRGFSEEYQGQWSQGDHTQGCRRNVALQGSSNGSQSDRFYTIMGVKLPSNAHDIVAAGSIQNCELACLRRRDCTAYSFNGSCSVWYGDLINLQVLSSDVGTKGGYIQIRLAASEFSSRKSIKKLAIIVTIATISVALIIALIFLARKMFKEEVTVKGSLTAFRYRNVQTLTKNFSTKLGGGAFGSVFKGSLADGTMVAVKKLEGFRQGEKQFRAEVSTLGTVRHVNLIRLLGFCSERKNKLLVYEYMPNASLDRYLFESSQQPLLSWSTRYQIALGVARGLHYLHERCRDCIIHCDIKPENILLSDTFVPKVADFGLAKLMGHDFSRVLTTVRGTVGYLAPEWIAGTAITTKADVYSYGMMLFEIVSGRRNSRQRQDSKADFFPLLAAMRLTEGELEGLVDTRLGCDVDLTEVERVFRIACWCIQDEEGARPAMATVVQVLEGLLDVNVPPVPRRIKHLADQSTYVEFSSELLSE >cds.KYUSt_chr3.45096 pep primary_assembly:MPB_Lper_Kyuss_1697:3:284104602:284110785:1 gene:KYUSg_chr3.45096 transcript:KYUSt_chr3.45096 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIGGSDPTTPAHRKLAGFLRAVVSIPFAAAAAGSVRPLAPCTLTACGAVPLAPLPDDAPAHSHSRATTTPRGPAKWRATGGSVLRQLRALAGHRCVEVEGTVLRVAVSKEGEARAVLLLDVYLPVAAWSGWQFPRSRASAAAAVFKHLSCNWDARKALVDFDWTSQDNAHCDDQYIWSCTDCHVLGCEVHQISAIPNNEKSFDLHEIFKVLPSVRAEKAMQITRIMPDVVSDEALEPGIWDIPDDVLNKVLIRLKPRDLIRVAASCHHLRALAASIMPCMKLKLFAHQEAAVEWMLRREQNSEPLAHPLCKNFCTEDGFPFFINVTSGEIFTGVAPTIKDFGGGMFCDEPGLGKTVTALSLILKTQGTLAEPPKGVDIKWCMHKTDKKYGYYEFNTSDSSNRNDISSESKRLAGKDVVEEDGDSVPSTRSSRKRGRLVNPDLSRVNMPLSGEKSPESCNPHPMPATQVLKFTKNLNHVRKNLMDAYSNDSAGTKRKRDTTSELSETWVQCDGCRKWRRLSDGTVLDSTTAWFCSMNADAARKTCTASEESFDIKSKITYLPGFYKKDELPGNEQNVSFFANILKDSVSLINSETKKALLWLAKLPLVKHLQMESVGLTRPVLDARATIGKGARPYFKIFQAFGLVRKIEKGVTRWYYPSMLDDLAFDSTALEIALEKPLDLVRFYLSRATLIVVPANLIDHWTTQIERHVSSDTLNVYAWGDHKKPPNAHLLAWDYDIVITTFSRLSAEWGPQKRSILKQIHWFRVILDEGHTLGSSLALTNKLQMAVSLVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHEEAYGENYQSWDSGIHRPFEAQMEEGRIRLVELLRRTMISARKADLKNIPPCIKNITFVDFSEGHAKSYNELVVTIRRNILMADWNDPSHVESLLNPKQWKFRTTTIRNVRLSCCVAGHIKVGEAGQDIQETMDELMKLGLDPSSEEYQSIRFALFNGTDCVRCRDWCRLPVITPCRHLLCHDCVALDSEKCIVCGNNYEMQSPETLARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTTSSKVAYLVEKLRSLREANIKLGYSTSTNGAGLASNNPQTRLPQTLPDKVIIFSQFLEHIHVIEQQLTIAGITYAGMYSPMPLGTKRSALMKYKEDPTCMALLMDGTAALGLDLSFVNHVFLMEPIWDRSYTRKHICLLVSDKEALKPSPAVTSKATIGESAAPVARSVRSCGRMGTPLEPRPFEPKINVLMLN >cds.KYUSt_chr4.37499 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231044670:231048602:-1 gene:KYUSg_chr4.37499 transcript:KYUSt_chr4.37499 gene_biotype:protein_coding transcript_biotype:protein_coding MARQIAPSRTFHPLLASRHYPPTSPAPPPRPILLPKAPRSRATAAAAAPRLPRRVVAASAPASSSPQTEAAAGAWGKVSAVLFDMDGVLCNSEEPSRQAAVDLFAEMGVDVSVEDFIPFTGTGDDLSAGAAAGEANFLGGVARVKGVKDFNTENAKKRFFEIYLNKYAKPDSGIGFPGALELIMECKNAGLKIAVASSADRVKVDANLSAAGLPVSLFDAIVSADAFENLKPAPDIFLAASKNLGVGTNETADGIPLYMSSRYAAEHDHSYNDNYA >cds.KYUSt_chr1.36583 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223209627:223210481:1 gene:KYUSg_chr1.36583 transcript:KYUSt_chr1.36583 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDHEQAAMEQDGAGRGDQVPSPASLSAKKLWRMVRAVHLVLVRGLGKHQPKLAALGVNLHHMLSSSKRHNQHLGAATGDQSPALTTYLSAALSCRSMDPAAAVHPYPRRGGHGGGASSMSCRSMDPGAAVYQQYQYRPREVEFSFKSTPLHRRRRRTQRHRDQGLLQKQQLEDLPEYGSAAKVKTLFELMDGDDDVDDGGDMESAIPWAASAPVGRPPPRQVRITDSPFLAREDDEVGSMGVVDRRADEFILWFHDQLRIQQHQQQQQGPSSRDRTTYCFR >cds.KYUSt_chr7.1859 pep primary_assembly:MPB_Lper_Kyuss_1697:7:10720250:10723068:-1 gene:KYUSg_chr7.1859 transcript:KYUSt_chr7.1859 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTPSIQGQILELRVTGCRKLRDTEIFSRQDPYVIVEYANTKLRTRTCTDGGRNPSFDEKFQIPLVDGLRELNVLVWNSNTINNDDFIGSCRVPLHKVLASGYDDASWPLQTRRMKSAGEVTLIMHFDVAAMKNKMGGKTGAQYAPSMPAPSPYAASSAYPAPAGYPAATPHQAYPAPYPAPSAYSTPPPQQPYGQACPPQPYGQPYPPQPYGQPYPPQPYGQPYPPPSAAQSPYPPGKYLMLLR >cds.KYUSt_chr7.22795 pep primary_assembly:MPB_Lper_Kyuss_1697:7:141548939:141550783:-1 gene:KYUSg_chr7.22795 transcript:KYUSt_chr7.22795 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAASADEDACMFALQLASSSVLPMTLKNAIELGLLEILVAAGGKSLTPTEVAAKLPSAANPEAPDMVDRILRLLASYNVVTCLVEEGKDGRLSRSYGAAPVCKFLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMSAFEYHGTDPRFNRVFNEGMKNHSIIITKKLLELYHGFEGLGTLVDVGGGVGATVAAIAAHYPTIKGVNFDLPHVISEAPQFPGVTHVGGDMFKEVPSGDAILMKWILHDWSDQHCATLLKNCYDALPAHGKVVLVECILPVNPEANPSSQGVFHVDMIMLAHNPGGRERYEREFQALARGAGFTGVKSTYIYANAWAIEFTK >cds.KYUSt_chr5.36884 pep primary_assembly:MPB_Lper_Kyuss_1697:5:233281998:233284747:-1 gene:KYUSg_chr5.36884 transcript:KYUSt_chr5.36884 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGRIALLATLRAVLGEPYVAGATTTPSSISFGLRAPASASARLHLPASCARSAAARSSVAVARAAGANSSYDAAALHYCPSSRACSAAPRSSMTFGHATGGKSTSDAAASLHYPSSCACSAAPRSSMTFGHATGGKSTLGAGGTSRGSRVLRNCSKDAADHGLADATHTDPEYLVLDADEARKIATIVAMFLMIQKAYFLRDKKVSNIIRKSALVALVGLSIWCGFMASKGHEWAVILAGTCEKADKLYKIVTTICAIFK >cds.KYUSt_chr2.51313 pep primary_assembly:MPB_Lper_Kyuss_1697:2:320866447:320869898:-1 gene:KYUSg_chr2.51313 transcript:KYUSt_chr2.51313 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLYHSERYNTSGTVFHWCYIRLAYYTMQCDEKPEAVAEKLEKRNRLQKVVLKLDLHDDRQKQKALKAVSTLHGIDEMSVDMKAQKMTIIGAADPVAVVGKLRKLFPAALIVSVGPAKEEKKEGDKKEGGDKKDGDKKDGDKKKDGDKKEDANKQLVYPPWYPPPYGYGPPPQPYPQFVIRSAEEDPNSCVIC >cds.KYUSt_chr2.45295 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282523122:282523790:-1 gene:KYUSg_chr2.45295 transcript:KYUSt_chr2.45295 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLSAVSEVRALRHPNQILANGATPLPNPHSRANLLADPARQPPPRASAPRRRLPPRPPPAKADLLRLIADQSRGLETQSDPSRLADIVSCIDALAASAPGADTVSDADKLSGTWRLLWTTEQEQLFIVRNAPTFRTAAGDVLQVIDVPGGSLNNVITFPPSGAFVVNGTIEIQPPQRVNFRQELLALLLFILHLLFDHLVDICTECCVDVFVGLHVLC >cds.KYUSt_chr2.46575 pep primary_assembly:MPB_Lper_Kyuss_1697:2:291106587:291107071:-1 gene:KYUSg_chr2.46575 transcript:KYUSt_chr2.46575 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRTLFLSCFHSSGSEVSRQLSLRSRYPSMPRRPRAAVAVEVGDDGGSGADLEAAAGGSSINVPEEEKVAVFAVTGMTCTACAGSVEKAVKRLPGIQEAAVDVLGGRAQVAFYPASVSTIIDWFV >cds.KYUSt_chr6.30621 pep primary_assembly:MPB_Lper_Kyuss_1697:6:194118914:194134495:1 gene:KYUSg_chr6.30621 transcript:KYUSt_chr6.30621 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLARLIPSRETWLVSSFAWFSEAIQACELQSAYLTMATPSSSLEGLPEQGGADDKLSTLFTGATGFAVDNYTWFAEARIKAAFYPKFDNENSDQETRNRMIGMVSRGLATMEVTLKHSGSLFMYAGQHGGAFSKNSFGNIYTAAGVFILGRIFREAWGEEAPKMQDKFNHYLTKNRVNISMELVTAVLGDHGQRPKDDYGSKDHAIVQGEILEGLVTRMVSRESSVQMEEVLRNFSQTPLDGVDCDLGPTLREICAPDRLDEMQQIKAILENFGSSMYPDHFDWSGNGGLDAQSRKAGESVVAEFLQAHPTDYATKKLQETIRLMKQMKPSCFPAAFKCYGNYQKFDSLSNDKYYKMVIHVLDDSVFKRYRQEMKKNQGLWPLYRGFFIDVNLFKANNKKAAELPKESNILLNSIEGSLDSSSSVKDGLADEDSNLMIKLKFLPYKIRTFLIRNGLSTIFKDNQSDYSNNYPRCSASSIELRVDDNLDQMPCCSAWTAGVASASGGARGSEDAGVGRAREFDGLQRKYLLAGGGRLMAVRNQQAGRGGIPGCAKSALCNEILKMPGGLGDNRPLHSLEGDRTKGADTNPFSLEALAVFMFRVLKRVNHPGNLGKDSPNAGNVLLKFYKLYDGKSRREFENEMYERFGSLVKMPLLKPDRGKPSNGSDVSEEWKQWEERLREILFGYEDYLKSIQVPFDVAVKEVVEQLKAVAKGDIKTPDTAKQRFGNIIFAAVKVSQADILGLLRKVAEKDTDVSNFLNGIKLENNLNKVHITLAHKGAHGVAAVASYGVYQNQELPVSFNTLFYNDKMAALEAQLGTVNGETIVSRNDWPHCTLWTAAGVGPKKANTLPQLVSEGKAKRVLVDPPITISGVLDFY >cds.KYUSt_chr1.16683 pep primary_assembly:MPB_Lper_Kyuss_1697:1:96918877:96922608:-1 gene:KYUSg_chr1.16683 transcript:KYUSt_chr1.16683 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVMVHSAALQHIGGSLTSSRLVFDQMLRPCEGLGGLRLVLFRVEGTHKLPPTTLSPLDMVCVRTCNNQVEWYRSLGKNGSHFNPSSDLFTPKERRDVILASELTGAEGG >cds.KYUSt_contig_2820.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000523.1:26569:30683:1 gene:KYUSg_contig_2820.5 transcript:KYUSt_contig_2820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSIVDEDGGGVDGGAFRGHFPVRRRAEQRLLSPASWLRDGGGFGRFLVPWLFYRVFATEALGRRKGKRTGRKMQALLRSVCRAGPRGASVKLLDCAPPGVAQSFAEPLTLRSCSWVRQIVSPIVPHDGVQAYGVCTKALAQVPENKRRESTLKGIVIARRNAGIATTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK >cds.KYUSt_chr6.11488 pep primary_assembly:MPB_Lper_Kyuss_1697:6:71318331:71320903:-1 gene:KYUSg_chr6.11488 transcript:KYUSt_chr6.11488 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATATAENKKPRSSGGAEKKQRRKEILERKKAIEELIRGAVAVKDHLAQFLAFHKYERNGISVYLESAHGNQLPLPTKNYIQNLLKVNMEGPYGSEWPSEEKIKRREMVAPEARYILVRQFANDCNAKKSSEQDAGVECTDGACTGDHLLGFVHYRFVVEEDVPVVYVYELQLESTAQGKGLGKFLMQLIELIARKSQMGAVMLTVQKSNTEAMAFYNKLRYVISSTSPSRVDLLIGIGKSYEIFCKTFEAEAKRILEVSSMFDIA >cds.KYUSt_chr6.14140 pep primary_assembly:MPB_Lper_Kyuss_1697:6:88315808:88316747:1 gene:KYUSg_chr6.14140 transcript:KYUSt_chr6.14140 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTFGSCGDSFSATSIKAYVSEFIATLLFVFAGVGSAIAYGKLTSDGALDPAGLVAIAIAHAFALFVGVAVAANISGGHLNPAVTFGLAVGGNITILTGIFYWVAQLLGSTAACFLLKFVTHGKAIPTHAVAAGMNEFEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGDFAGNWVYWVGPLIGGGLAGLVYGDVFIASYQPVAEHDYA >cds.KYUSt_chr4.10340 pep primary_assembly:MPB_Lper_Kyuss_1697:4:62582273:62582512:-1 gene:KYUSg_chr4.10340 transcript:KYUSt_chr4.10340 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIEARISSTEQKQQQMTVFLVLAMNSASFLQLLVDRQERRRELQDALSKKRHRPQIECVPARNGETSSSASYSPAAV >cds.KYUSt_chr3.33179 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208187201:208189247:-1 gene:KYUSg_chr3.33179 transcript:KYUSt_chr3.33179 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRNRRRRRRAQAEARSKLSQLSEKEEEPRRIPDVRALRRSLHGQIRGYYLDAISRLPTADLTTTLARGLLVAGHCYGPLHPVHNILLNTIWHSAAFPLRPDDRIDVAVINKSGIARLVQRSLDGLVASLRRHCPRLSEDDALWHLSLSGADLRAAVASARGAAPSLFRQTESEAEAVFLAAAKAARHPKPAALALFASSVIPSVAGDAVSLLNSKRRLSSADILRLSAMVLPSPLPDELPHLPLQERFPAAFKLIARRRKLLVRTYTKWVQLADAALSKYARQTAEHYQLHIVYGVGALQDEFGLDESYHVSFMAWPKDPPSCCATSTEAPVVFFFAEALYPSGSEFSEEDITLCCMVQPSPTGVDSCQVCLTENVQVDHPDDSENFGGGQYCNIDGIGKDLDCQITSDVDYRCFDPDRDIDFVEYLDQDFTSYTSASPWHRRHKDDIDSAILYYCKRYTSSKCIYNGVPMHMPQGLNPGRFV >cds.KYUSt_chr3.21604 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132680646:132702454:-1 gene:KYUSg_chr3.21604 transcript:KYUSt_chr3.21604 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPAGFQPEPGPGLDRAELRAYSDVARMSRAQNPVADRAARSGARLLLEIVLLGDLPPKLVAFAEKMGNSSLLQEISSVGPRSAAAISVTSSLLRLGEISSVGPRSAVAISVVAIKQLTEVLMLSLLHHQKHVNMVLLLSVYSNMNIYFGIIDLGHFPNAAPGFGISMLGLVENRSEVQVALFLYEPTHSAGPELLIALKKEQLLSKLQSGENGICWDIVEMAVMVVLVVEMMMMTPMKSSLMAVMMATISPLRDVDTYRSRARAKQCQEEVQNDRLCEFQHRLDQQQRETDELKGGRQLDNTINTSQGRSSMADSEIPPDGPQMIDGSPGYPVDELIEMRENIRVFCLPGPSSVDAMPNDTAPLNPNPTPTNHTTEVTTKVVELIDPILQAGEIDNLTIMLGQSNLVVLCRFHVGAGIPGVAPHYTSPPSTFNLLLDSYWWEKEENAKEDNIAKVWTITTTSNANASHVAAPPTINGKIIGVGNVSTSNVKREKLPETAKTAETACNKTAEIFSNIGDNDPIALDHNGLDFDDCHISEVIKFLQKLAKSPNASAINLAFTKHITNALIKAREEKLNREASIPRKLEDGWEPIIKMKVNDFDCNALCDLGASISVMPKKIYNMLDLPPLKNCYLDVNLADNSTKKPLGRVDNVRITVNNNLVPVDFVVLDIECNASCPIILGIPFLRTVGAIIDMKEGNIKYQFPLKKGMEHFPTKRMKLPFDSIIRTNYDVDASSLDNT >cds.KYUSt_chr6.27683 pep primary_assembly:MPB_Lper_Kyuss_1697:6:175630838:175634140:1 gene:KYUSg_chr6.27683 transcript:KYUSt_chr6.27683 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRAPCPHQEYGPLPSRSWRNQNPSGGGQPANKSACLWWWWRKEGRMAAMRRLLQQLQPVLAQQAALRRVPHCRRLHSPFPLAAASASPPAPAPAAHSPSPTPRLLPRSTGSLLPASAGAVVAAARTDTARNAGPLDEPLSLQLSRASDCFASSSSTLLHGVAAPWAHWATTPADDMVLMLAGANVAVYGLWRLADPNFGLGPSMISLDNFKSLRLHTLLTCAFSHKDANHLFHNMMGLYFFGSSIARTFGPDFLLKLYVQGALLGSAFYLTEMAFLAPQKEGFGGWNTPALGASAAVNATVLLYIFLYPTNILYLHFLIPLPAALVGAYLIGADLRRVKKGNSRVAGSAHLGGAVVAALVFAEMKGWI >cds.KYUSt_contig_319.381 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:2512725:2513309:-1 gene:KYUSg_contig_319.381 transcript:KYUSt_contig_319.381 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMAMSSFAGAAVLPAGRFGSRSLPALGRRAFVVRAQTEKPSTPSPKPSPSIWDALAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGNSTGQAWFAYTVAVLSVASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEILTGAPFINI >cds.KYUSt_chr4.28446 pep primary_assembly:MPB_Lper_Kyuss_1697:4:178671453:178673454:1 gene:KYUSg_chr4.28446 transcript:KYUSt_chr4.28446 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKTHLPGAAGGSRRGRSRAVRLPANALVGRDARRRRCWGGDELAGGRSRPARCGGDVVLEGGAGQKRSPEKELGQQSRSPGVREAPSLEEEQRGALAGARCDLANEKRKQDLCGQRLRIRSSGTHPELEEEHNRQDLQHRIRSPYHHGRQKRGKTQQISSRVDLEPEEGISRVDS >cds.KYUSt_chr4.16610 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103175377:103176348:-1 gene:KYUSg_chr4.16610 transcript:KYUSt_chr4.16610 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAMPGVGEEEEQQTKQGGPALEGLVVGSYCHDVLLRGGRVVGETLGGAAAFVSTVLDAASPPGASFSVVSKVGPDFAYASAPAPARHPPLLCPAPTTSFHARFSAAAAHDAPDRQLSRVHACDPIYPDDLPDRRFAYGLAVGVAGEVLPETLDRMVRLCRAVLVDAQALIRVFDAAAGGGGGAAVRHVALEGTPYAPLLQRVAFLKASSEEAPYIGVETARRRCCVIVTEGKEGCRLYWDGGEARVAPFSAVQVDPTGAGDSYLAGFAAGLLWGLSATDAALIGNFFGAAAVSQIGVPTFHPEMLQVCTRDTYSRHQYSI >cds.KYUSt_contig_1358.23 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000136.1:112509:132697:-1 gene:KYUSg_contig_1358.23 transcript:KYUSt_contig_1358.23 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEPTNDEEVAAVVKDDDSISFKKVEDPDNKPRSKPQGPHRKSGNSPVPGVLSSLGSGRYYCLRPLPSARRLLPPAATRRAPPPHHRSFSPPSRDVSSGLAALGARLGGGGGGMSSLVERLRVRSDKRPRYTLDESDDDLPPRGGSGKGKDWQDDAPAKQIEREDLKEDACQKCGLNENLVSCSTCTYAFHRKCLTPCLNITSDKWSCPECVSPLTEMEKILDCETPEVASEETSSVESRSKKKPVKRYLIKWKGLSHIHCTWVPEDEYLEAAKIHPRLKTRLNNFNRQFESIDRSDDDYVPIRPEWTTVDRVLSSRKNSTGEKEYYVKWKELSYEECTWENESDISVFQPQIERYSEILSRRKKSTDKSKSAIREMRRVDGTPKFLSGGTLHPYQLEGLNFLRYSWSTNRRVILGDEMGLGKTIQSIAFVASVSEDKFGPHLVVAPLSTLRNWEREFATWAPQMNVLMYFGSAASRDIIKKYEFYYSKDKPNKVKKNKSSSSNDEKKQSRIKFDVLLTSYEMINMDSAVLKTIEWECMIVDEGHRLKNKDSKLFGLLKDYHTQHRVLLTGTPVQNNLDELFMLMHFLEGDTFGSISDLQEEFKDINQDKQVEKLHAMLKPHLLRRFKKDVMKDLPPKKELILRVELTTKQKEYYKAILTKNYAVLSRRGGGHVSLINVVMELRKLCCHGFMTDEPDTEPESPEEGLRRLLDSSGKMQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKIGGAERQIRIDRYNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKMILEHLVVGKLTKGNNVNQEELDDIIRYGSKELFDDDNDEADKSRQIHYDDAAIDRLLDRDQVDEEEILEDEEDDEFLKGFKVANFEYIDEAKALAAKEEARRKAEAEAASNKANFWEDLLKDRYVAPEVEEDTAMGKGKRSRKQMATADEDDITGLHDLSSEDEDYSYDNDDVSDNDTNVPANITGRKGQYSKKNSRNVDSLPLMEGEGRALRVYGFNQIQRTQFLQTLMRYGFQNYDWKEYLPRLKGKSAEEIQRYAELVMVHLVEDISESGTYADGVPKEMRSDETLVRLAKISLVEEKVASMEQGKITKLFPSYLLHEFPGLSGGRVWKGEHDLLLLKALVKHGYARWQYISDDRENGLFEAARRELNLPSANELISSQPNNEANGNLESPQEVQVNPTSLSQYRDIQRKIVEFIRKRYHILEKCLDIEYAVIKTKTPVPDDLTEQNVYGGQSPAVPDISEVLRELPPLVPISAKEVASDGTADQAQFPHLYNKMCGVLEDSGVRALNSFCGDKAASSSLVNSLHQLEKVCEGVDRILRVQENGTTSKEEVVDASVKEATPPEDAVTEAVNGDLPSTVKPEDKMEVEG >cds.KYUSt_chr2.16234 pep primary_assembly:MPB_Lper_Kyuss_1697:2:102087151:102092321:1 gene:KYUSg_chr2.16234 transcript:KYUSt_chr2.16234 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASARRGSALAVAAAVAAMMWAGTAAAAVYEVGDKLGWTIMNNPDYASWAKSKKFSVGDTIVFTYNKQFHNVIAVSKADYKNCDVTKPTATWSTGKDSVVLNTTGHHYFICGFPGHCAIGQKVDVPVLSSAAPSTAPTMAPTPSAAGSGSAGRAAAAAPSPHGNAASTLGCSFALTIAASVLSVAAASLNLL >cds.KYUSt_chr3.47599 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298457725:298464852:1 gene:KYUSg_chr3.47599 transcript:KYUSt_chr3.47599 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAGGGSSISGGSFPAGTPRGAPSTDPPSLAQYLPLDPLPVGDHKHSRAAELRRALADAAEPPFALKPLPPAATEELRRIRGAVAESSARAKDRAKSMHDSLQKLDKYQNVVTRRRQRSSAERSSGSMSGSLRMGAQNSGDAPVQRLEERAKSATMSKRVRSSLLTADARLEGRVNVSTRQGPLVDTEKNLPLEKEKLSVRNVNATAGFSEDKLVRGLAPGGEGWEKKMKRKRSVGTMLNRGSDVDRDVKPSVQHRSSSEVRGRSSDALPFRHGPSAGASGGSKMDGSSQQSSSGSRYLQKTEMDSPSLPNERRERHAGLDKERVLVKGNKAHTSEDMQPGTLSPVTKGKACRAPRTSSLVGIHSSSTLLRSAGGMDEWEEAPCTNKASPLGGTTNRKRPMAASASPPPVAWVGQRPQKMSRSRRANVVSPVSNFDEPLLSEGSPNDVAVRPALETPGLLLPRGAASNNSQAVSRMDNVTSPACLSESEGSVATEHRSKEKVTNSGDFENEGINSAHVATDLIFSSKKSRIPLKEELEDGSIRRQGRSGRGTMHVKGSSIPKEKLDSSETRKLAKSARPVSEQNESKLGRPPTKKGSDRKASSRQPEILNCGSMDITVEPEDDREELLAAANAARGAIVGAYTGPFWKKIEPMLTFIRSEDLSFLKNQITFLEELEMGMSNMHDDDKLTVSTNYNGPSSMVLPASNSSMLLDQSEANGIGPKESVDILSYNGENHSTASQKAQGQGIFGEMAPLTSRLLSALIVEDVDDFSESNGVQGDILLEFSNDFLPPAATVEFGATAVESSFGMCPDFKHSSSNSVYNSMSNGFTASSNLRGSYSQNSVFSENISDGINVTVYPENGTLHGSMPHISHQYQTPGKDLSLPLYGYQYAQMSLHDRTLVELHSIDIFPEMPELDEGEDEDINKVILELQKRLFDQVNQKKCQLNKLETAIRNTKNMEERSLEQQAMNKLVERAYKKLLGGRGSSSHKGGLSKAASKAAKQLALAFAKRTLARCQKFEETEKSCFREPFLWSVLSAPLPKSEAAEGGAPGSADRPKHAKLDRSPLSQGSTKIKKSERDRDRDGSAKNSSSKSGRNSSGSGRNDRKTKMKPKQKLAQLSTSGNVLGRVTEPPLNFPSPSPRESQERTNPLSLKPIHPTQPIRNTAPIAPQEPPMDANLPGMDPMVDILDVPEGNDIGSWFTEDLDESLQDFDFSGGLEIPDDDLTQLGFM >cds.KYUSt_chr6.10943 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67770642:67775696:1 gene:KYUSg_chr6.10943 transcript:KYUSt_chr6.10943 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVPRELSEVQKKRTLYQPDLPPCLQGTTVRVEYGDVAIAADPAGAHVISHAFPHTYGQPLAHFLRKAANVADAKVISEHPAVRVGIVFCGRQSPGGHNVIWGLHDAIKAHNPNSKLIGFLGGSDGLLAQKTLEITDEVLSSYKNQGGYDMLGRTKDQIRTTEQVNGAMASCQALKLDALIIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNMCTDALSAEKYYYFIRMMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVILIPEGLVESIPELYALLQEINGLHGKGVSIENISSQLSPWASALFEFLPQFIRQQLLLRPESDDSAQLSQIETEKLLAQLVETEMNKRLKEGTYKGKKFNAICHFFGYQARGAMPSKFDCDYAYVLGHVSYHILAAGLNGYMATVTNLKSPLNKWRCGAAPISSMMTVKRWSRGPSTTQIGKPAVHMASVDLRGKAYELLRQNSSSCLLEDIYRNPGPLQFEGPGSDSKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDVLKAALSAMSSVTDTLAIMTSSSTGQAPL >cds.KYUSt_chr5.33655 pep primary_assembly:MPB_Lper_Kyuss_1697:5:213624939:213627340:1 gene:KYUSg_chr5.33655 transcript:KYUSt_chr5.33655 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVGGEGAARGEVGYWFWDITVGLEWRGGGAGDGEAAAGRRRAVDRAVLARGRLSLKLEAAYGKARRSIVKAKATRKANGASKGPDRVPDAAIHR >cds.KYUSt_chr5.42182 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265839973:265840515:1 gene:KYUSg_chr5.42182 transcript:KYUSt_chr5.42182 gene_biotype:protein_coding transcript_biotype:protein_coding MSASYLLVPSPSMRYLSPATLQLPMCLTSESPPLAHLLHSQGTATPLAMGYVVSKAVPPVRKNSAQLTKEDRHSVLSVSIVDYYGGSSATVQDKMSRGSKQARNMAHEMSARDYETDMHNVLESVAAELHALSWMTVSPVYAERRSALPFHCDMVLRLRRLLHYADRHLSQSQPTVKGET >cds.KYUSt_chr5.19676 pep primary_assembly:MPB_Lper_Kyuss_1697:5:127680060:127681836:1 gene:KYUSg_chr5.19676 transcript:KYUSt_chr5.19676 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGYGEMGQKVDYVFKVVLIGDSAVGKSQLLARFARNEFSLDSKATIGVEFQTKTLHIDNRTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRSHADKNIMIMLIGNKSDLGTLRAVPTEDAKEFAERESLFFMETSALEATNVENAFTTALTEIYRTVSKKNLVANDESNSSGNASLLKGTKVIIPGQEAAPAAKATCCMSS >cds.KYUSt_chr4.4053 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23165695:23166657:1 gene:KYUSg_chr4.4053 transcript:KYUSt_chr4.4053 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIELDHKDMVHDSAIDYYGKRLATASSDSTVKITSIGGASAPSQLLATLTGHYGPVWRVGWAHPKYGSILASCGYDGRVIVWKESTTGQWSQAHVFGNHKSSVNSIAWAPYELGLSLACGSSDGTISVMSMRPDGGWDAATIERAHPVGVTAVSWAPAAALGSMVGSDQLVHKLVSGGFDSVVKVWEFVNGGWKLESSLVSDMHTECVRDVSWAPVLGLAKSTIASASQDGKVVIWTKGKGGGDKWEGKVMRDFEAPVWRVSWSLTGDMLSVAAGEGDITLWKEASDGQWESLWTKGSDEPQQQETEQAAAEVAAQ >cds.KYUSt_chr3.39204 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246982529:246983048:1 gene:KYUSg_chr3.39204 transcript:KYUSt_chr3.39204 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLASIAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr6.1420 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8703323:8704540:-1 gene:KYUSg_chr6.1420 transcript:KYUSt_chr6.1420 gene_biotype:protein_coding transcript_biotype:protein_coding MLRADLRHVDSGRGFTKRELLTRMAARSTARAASLGASAQAATAPVASGGSEYLIHLSIGTPSPQAVALVLDTGSDLTWTQCACTACYTQSLPVFDPSASRTLGTVSCTDPICGAQGGLPNSACSSQKKLCRYGYSYGDKSFTIGTIVEDTFTFSSSDVPSLRFGCGMKNGGRFTPNESGIAGFGRGPLSLPSQLNVDSFSYCFTTIGDQGSSPVFLGTPDNLGADAQSTPFAPTPASGGTSSFYYLSLQGVSVGKTLLPLTASGSDGPIIDSGTSITIFPEAVFQSLREAFVSQVPLPVADASASPIPGMLCFSTAQATDLENVPVPKLILHLEGADWDIPRENYLLEVEDDKGTANALLCVVILSAGDLDITVIGNFQQQNTHIVYDLQANKMNFAPASCDQL >cds.KYUSt_chr1.5542 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34135253:34137899:1 gene:KYUSg_chr1.5542 transcript:KYUSt_chr1.5542 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLSVSRFIKSREVNFLGDIHGQYSDLLRIFRVTGFPSDANRYLFLGDYVDRGSRSIETICLLLAYKVKYPDAFFLIRGNHECSSVNRSFGFLGECHRRGLGQASWNIINGCFNCLPLAALVGNKIGKNIFCVHGGLSPELESMDQIRRIKRPLPQVPSEGLACDLLWSDPDAADEWGWGESSRGRSVTFGSDLVAEFVEKNGLAMVCRAHEVKQGGYEWFADRKLVTVFSAPNYAGQCDNAGAVMKVDKNLTCSFHILQPTPLQVLADNLHDSS >cds.KYUSt_contig_1158.330 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000110.1:1997649:2000041:-1 gene:KYUSg_contig_1158.330 transcript:KYUSt_contig_1158.330 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYRVIFCSDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAESRVVSYETGKALADEIGIPFLETSAKDATNVEKAFMTMAAEIKTRMASQPAANASKPATVTMKGQPVAQQNGCCSS >cds.KYUSt_chr6.17663 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111125589:111126239:1 gene:KYUSg_chr6.17663 transcript:KYUSt_chr6.17663 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLGLDVARYMGRWYEIASFPNFFQPRDGQDTRATYELMEDGATVHVLNETWSKGKRDYIEGTAYKADPASDEAKLKVKFYVPPFLPIIPVVGDYWVLYVDDDYQYALVGEPRRKSLWILCRKTHIDDEVYSQLLDKAKEEGYDVSKLHKTPQSDPPPESDAAPTDTKGTWWFKSLFGK >cds.KYUSt_chr1.20490 pep primary_assembly:MPB_Lper_Kyuss_1697:1:120851929:120853747:1 gene:KYUSg_chr1.20490 transcript:KYUSt_chr1.20490 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYKGGVIQNGEFNNGLMGWSTYRNIKAGVAKSPSGNRFAVVHGASSSLSGNGDAAASQSHSVYQKIQMQGDTHYSLSAWLQVSAGAAHVRAVVKTPNGENITAGAVDAQSGCWTMLKGGMTAQAYHSGQGEVFFESDGPVDIWVDSVSLQPFSFEEWDSHTRQSANKARRSTVKFIARGVDGAPMANANVSIELLRAGFPFGNTMTKEILSLPAYEKWFFSRFTVATMENEMKWYSTEWNPNQEDYRIPDDMLKLAQKYGVKVRGHNVFWDDQNSQIKWVRPMNLDQLKAAMQKRLKSVVSRYAGKLIHWDVVNENLHFNFFETKLGPNASPMIYQQVGQIDHTAILFMNEFNTLEQPMDPNGTPTKYVAKMKLIKGYPGNGGLKLGVGLESHFSTPNLPYVRGALDTLAQLKLPMWMTEVDVVKGPNQVKFLEQVLREGYGHPGVQGIVMWAAWHANGCYVMCLTDNNFNNLPVGALVDKLIAEWKTHKTAATTDANGLVELDLVHGDYKLTVNHPSLETAATKTMTVDATSDHTISLKA >cds.KYUSt_chr4.42590 pep primary_assembly:MPB_Lper_Kyuss_1697:4:263647270:263648271:1 gene:KYUSg_chr4.42590 transcript:KYUSt_chr4.42590 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDQMLSPLLEGRSSSAWTSSSQLLGLGGDAVTRQILKCTRWQLEETTDFVTCPYHYYCDSSYPGDYHSAVGALVAAFAAYCFAAALAFTVLDFVRSGAAGVRGIKRKYLVPSGPFLLPLVLLALAKGQRVNAVFPLAQLGPALLLLLQASALAFRNEADGDIRYAVLEASTVSGVLHASLYLDAVVLPYYTGLEALRWSRFSGECASCLCRMEPLVVGGTAMRYRGLSKTALAIIFALCSRMVCRIYGEERLSAWTRSALEGVGWVFVAADAVYLVGWVSAEGGAVGVAAYGMVAGLVFLCVFGKVYRFLAWAESRQSQWKSSLCCHSSNV >cds.KYUSt_chr5.41247 pep primary_assembly:MPB_Lper_Kyuss_1697:5:260318095:260325577:1 gene:KYUSg_chr5.41247 transcript:KYUSt_chr5.41247 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAAESCGSHAAAAGAAAGSASPPSSAASGAQSRKQQQQQRHKLEVYTQVLRRLQDGGVPEGQYPGFEDELWCHFNRLPARYAMDVNVERAEDVLTHKRLLGLAKDPALRPAFAVRAVQVSPVLDGNQTDADSHTAGEVASRLLNRQQSIHPPPAFGSSTNLEALALEASKSQGQDHDSTSDNVRSLYRPMHEITFSTIDKPKLLSELTCLLGEIGLNIQEAHAFSTNDGYSLDVFVVVGWHSEETEDLVQEVKKEMSKMEETHTWSTSHSWSSPVENMHIVENSPADHVEIPTDSASEWEIDMKLLNCGNKVASGSYGDLYRGTYCSQDVAIKVLKPERVNVDMQREFAQEVYIMRKVRHKNVVQFIGACTKPPRLCIVTEFMSGGSVYDYLHKHKGAFKLPALVGVAIDVSKGMNYLHQNNIIHRDLKTANLLMDENGMVKVADFGVARVKVQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGILMWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKNAHAKLAELLQKCWLEDPAQRPDFSEILETLQKIAEEVGEEHNGKPKEKTLGGFFSALKGRGH >cds.KYUSt_chr2.23630 pep primary_assembly:MPB_Lper_Kyuss_1697:2:144218855:144219509:1 gene:KYUSg_chr2.23630 transcript:KYUSt_chr2.23630 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMTALQLVMKKSSAHDGLVKGLREVAKAIEKHVAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >cds.KYUSt_chr3.45482 pep primary_assembly:MPB_Lper_Kyuss_1697:3:286642777:286645117:-1 gene:KYUSg_chr3.45482 transcript:KYUSt_chr3.45482 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRVQRPLQDRRQRVGSSWGETNRVQWGHWTRFGSAVGHLLGTKGLFSTSVLSEELCEEFIPGLIIDHVDISNLPMANPDLETDGGDGFPPEVEAFRARVLAADCFLFASPEYNYSVTASLKNALDWASRGSVKCWADKAAAIVCAGYDFNGGRGSLHLRQIGIYLDIHFINKPELHVRMYDDPPSFDADGNLTDAKNRERLKKVLLSLQAFALRLQPKQA >cds.KYUSt_chr2.43998 pep primary_assembly:MPB_Lper_Kyuss_1697:2:273819256:273821225:-1 gene:KYUSg_chr2.43998 transcript:KYUSt_chr2.43998 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGRGNKYAVLDPTDGPEVDTAGPGPRRQRRPSASERRIKVRLRFVYACAIFASLNAVLLGYDVGVMSGAIIYIQKDLHITEFQEEILVGCLSVISLLGSLSGGRTSDAIGRKWTMGLGAVIFQTGAVIMTFAPSFTVLMIGRLLAGVGIGFGAMISAVYIAEISPASARGTLTSLPEICINLGILLGYVSNYAFSGLSEHISWRVMLGVGILPSVFIGVALFVIPESPRWLMMEKRVPEARAVLLQISESEAEVDERLAEIEEAANIMKSVKSEDKAVWRELLSPSPAVRRMLYAGCGIQLFQQITGIDATVYYSPTIFRDAGIKSDQELLAATVAVGFTKTIFILVAIFLIDKVGRKPLLYVSTIGMTVCLFVLGIALTLQKHAMGLISPSIGIDLAIFAVCGNVAFFSIGMGPICWVLSSEIFPVRLRAQASALGQVGGRVGSGLVSMSFLSMARAISVGGMFFVFGAISTVSVAFVYFCVPETKGKTLEQIEIMFEGGKGWKGGEVELEDTQHLIQGDKKSASLG >cds.KYUSt_chr1.40498 pep primary_assembly:MPB_Lper_Kyuss_1697:1:248204717:248206981:-1 gene:KYUSg_chr1.40498 transcript:KYUSt_chr1.40498 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAQLLLSCLAGDRLRRLLPAAHARALLTEALPDLFLANLLLRGYSKLGRLRDARRLFDEMPHRNIVSWGSAISMYAQHGREEDAIELFAAFRRASGEAPNEFLLASALRACAQSRAVSFGEQVHGDAVKLGMVGNVYVGTALINLYAKAGCIDTAMLVFDALPVKNPVTWTAVIAGYSQVGQGGAALELFAKMMWLDRGVRPDRFVLASAASACSALGSLNGGREIHGYAYRTAADADASVVNALIDLYCKCSRPALARRLFDCMENRNLVSWTTMIAGYMKNSFDAEAMDMFWQLSRAGWRPDVFACTSILNSCGSLAAIWQGRQVHAHAVKANLESDEYVKNSLIDMYAKCERLSEARAVFEALVEDDAISYNAMIEGYARLGDLTGAVEVFSKMRYCSLKPSLLTFVSLLGVSSSQSDIELSKQIHGLIVKSGTSLDLYAGSALIDVYSKFSLVDDAKLVFSLMHNRDMVIWNAMIFGLAQNEQGEEAVKLFNQLRFSGLTPNEFTFVALVTVASTLASMFHGQQFHAQIIKAGMDSDPRVSNALVDMYAKCGFIKEGRLLFDSALGKDVICWNSMISTYAQHGHAEEALHVFGMMRGNGVEPNYVTFVGVLSACSRAGLTDEGLHHFHSMKTKYAIEPGTEHYASVVNLLGRSGKLQAAKEFIERIPIEPAAAVWRSLLGACHLFGNVEIGSYATEMALLADPTDSGPSVVMSNIYSSKGLWVDAQKLRRGMDCAGVVKEPGYSYGLS >cds.KYUSt_chr2.31644 pep primary_assembly:MPB_Lper_Kyuss_1697:2:195200126:195210826:1 gene:KYUSg_chr2.31644 transcript:KYUSt_chr2.31644 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLTVLRRTEPKVTSSSASPSTKNKPGKAPELQDLEIPSFFLTVMVTGRIPSDGICLVLEEAGDIFFQRQQGQDEDLQKHMVVAATMATHLRGVHPRRQLCRRLCRLKGSHSPLICWFLRDSTWNTSTSNLGGARQRTLPHGKGRHAHGKESPHGKGPLPHGKERRTAKCGDKADDVSRWTQGPALSGCLRSVAGGSLVFFAGCRSRFEGENPFASLVPRIWTYHGEKAKKRARKEVRQIQPRGEYDTGFDRCLENLANGNVPESSHVEVETPQDAETSEDPEENTKEYYEALFASQKPLHENTRDRNGDGRKRRTTVAKNILRYLPVLPRIQRLFMTEDTAQQMRRAVEGNRYTDKMIHPSDGTAWKNFVKKFPLRKRGDPRSVAIAISSDGFNPYGMSAAVYDCWPVFVIPMNLPLASA >cds.KYUSt_chr1.31312 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189998211:190000133:1 gene:KYUSg_chr1.31312 transcript:KYUSt_chr1.31312 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNINQSSTPPLVCVTPVGQFPTGFGAGNLPAFGSFATFSATANGFSHGGTSANGPIDATPISAYKTRSSGVTYNGDDEPHSGNQTRSSERKAKRSATLAADGTKIKRPKPVYKNLVAGKELAFLPPSNSNPRETVEVVHMIFEALRRRHLQMDETQDASRRADLKAGAIMMASNIRANVGKKVGTAPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMSAKFGNDEDSVAICIVAAGGYENEDDDTDTLVYSGSGGNSRNTEERHDQKLERGNLALERSLHRKNEIRVVRGFKDPACVAGKIYIYDGLYKIQESWKERTKFGINCFKYRLQREPGQRDGAAIWKMTQQWIQNASTRGRVLLPDLSSGAETMQVCLVNEVDHEKGPGHFTYTNEVKYLRPLSSMRPLQGCHCQSVCLPGDSNCDCGQHNGGDLPYNSSGVLVCRRPVIYECGEACHCTLNCRNRVTQKGNRYHFEVFRTTNRGWGLRCWDPIRAGAFICEYVGEVIDELKVNLDDSEDDYIFQTVCPGEKTLKWNFGPELLGEESTYVSADEFEPLPIKISAKTRGNVSRFMNHSCSPNVFWQPVQYNHGDDKHPHIMFFALNHIPPMTELTYDYGVVGDEPSHRTRICLCGSSACRRVF >cds.KYUSt_contig_402.419 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000039.1:2628673:2631753:1 gene:KYUSg_contig_402.419 transcript:KYUSt_contig_402.419 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAWERAVEAALDTGDEGSSSPPRSLTLDGAVKCMHGRLPAPAILERHQSLEHLSIAGVGVASLAGFPRLRNLARLTLSDNRIAGGLEHLVEAGLASLRDLDLSNNRIQDVDDLAPLARLRLVSLDLYECPVTRVKDYRSRVFGLIRTLKYLDKMDADENERPESDDDDDDADGDGDGEEDDDDEDDDDEDPGSGEVANGGVSHSRGRAAAHPVEVNGVIDVDEDESDADEVVPNGGAEHHHQANGFRMAAVGGAPDEEDEDVEDEEEDDDEYLEEEDLGEEIDEEGEDDAVVEVHDVPSSDDEEDDIDEDDDDDEEEVEDDGEEAVPESSGRVAMAVGAVGEEIDGHEQGEGEDDDEDGEIGEEDEERLEDNRGVYEEGNEDDDDDADDEDEDTEYLVQPIVPPQPAMAVGSPEDFDVADPDDVDEDRDEVDDDDEEATTDLPSSSQGTKRKRDDDPSGSGSGSDDEDDDVEDPRPFKHH >cds.KYUSt_chr4.10197 pep primary_assembly:MPB_Lper_Kyuss_1697:4:61652416:61657469:-1 gene:KYUSg_chr4.10197 transcript:KYUSt_chr4.10197 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGGDGGDDDGDDDDGDGDDVQLDDGDDGVDFPLREGISPADLSLPESSFLSGVLRLAEAAAIVEEQRKVSKDGFAQFVAREYRRSNYSRQIFTRAAARRWNSDRRHQRRPEWNGGLRRRHHGNRWVSRRRFGLGGGLRRPVRGNRWAPQQHNRHDNRPLQCHRSPGAPGPSTTAIVRREQTPVAAAEPAVVAAPEVAAPEVAAEEVVDAVYEDEASASNISADADELIPVPPEFAVPPMEWLLGGPSAGWLVDDPERDFGDEELLAPPPPPASPPTMYYCMRHGFGPCLPSPTPSDEDMQHFAPPGYEPVHVPEFSSSSAAALVDAHPPLVKKEEVVAAAPARSPRALPVPDLNLPAPEKEEDEPVPQLPTPSLEARVILRGWSLPPPLMELPVAVARGHSHGAGERWKKKMLELGVIHSSIPFDLLVQGFKAVPTQAAEKHDELLMENHNARPTGAMPIPEAHANAHFTSKFGNHKRNFRKFKGKWKLNNGQKTNGPSKGNGHFNKNNQNDNSQTCQRCGCTNHRTNECSMPEHLVELYMKYGKEVKQVHGNKAEAHFNDIQDNSQVGPSQSSIEEFEPKDDIILDEDMLVDYTQDVFGDLN >cds.KYUSt_chr4.5823 pep primary_assembly:MPB_Lper_Kyuss_1697:4:34067226:34068377:1 gene:KYUSg_chr4.5823 transcript:KYUSt_chr4.5823 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMVLPDDLVVEILSRLPLKSFCRFKCVCKSWLAFSSDPHYRQKLPRNPIGLLYQKREHGTSIHLAGLPSRDRDIDTTLGFVPCREYPLELKDCSNGLILCYHGGIMYSEGISDPIVCNPATQEWMEIPYAEPGSAANEIDLKLCFDPLWSQHFYVFKFESSPSPDPDHGTSTGVKVFFSEDSTWSTCLWETEDAFWGDSLFVNGVLYVGHLWGHCLLALDAPDTLTQLLSDRTIQLPGFPYAPDQKFYCCDGCLCQSSGVLCYAQQELDGCMLRIWSLEGADRWVVKHRLSVNDVFGRDMLLRTNSRGLWYFDYEILDFDLERELVILADLATDKVLSVSISTGEGSEILKIPSFFELYRSLFYVPYYCKFPASVLQGAQD >cds.KYUSt_chr1.31300 pep primary_assembly:MPB_Lper_Kyuss_1697:1:189931979:189932657:1 gene:KYUSg_chr1.31300 transcript:KYUSt_chr1.31300 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATISLCGSRCLSTSSKPEPRLTSRAAAPLHSRPSSSHRRLVVVAATESSGEKTDEKVPSWARKGSDEAPPWARNEGGASGQDAGGAVEVPFYAYLLASAVTAIAAIGSIFEYTNGRPVFGVLGTDSPLYAPILGFFAVTGIPTSGYLWYKAVQTANKDAEEQDRRDGFL >cds.KYUSt_chr5.41157 pep primary_assembly:MPB_Lper_Kyuss_1697:5:259775078:259776382:1 gene:KYUSg_chr5.41157 transcript:KYUSt_chr5.41157 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAFNAGAAPATEYIPLSMFDRVTFRMQMAIIYAFASPAPSTAAIEKGLAAVLSQYRAFSGQLGVNPDDGTPSFILNDRGARLVEASVDADMVDMAPAKPTPDLLKLHPDLEGELEEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLPIGPPPVHHHKDLFKPRSSPRVEHDHRNREYYQPSPNAVVGHHGDGVDNIVIHKAHFTKDFIAGLRASASEGRGRPFSRFETILAHLWRTMTRARDLSPEETSKIRLSVDGRHRLGKPAEYFGNMVLWAFPRATVGDLLNLPLKHAAQVIHDEVARVDGSYFQSFVDFATSGAAEKEGLAPSAVCKDAMCPDVEVDSWLTFPFYELDFGTGSPSYFMPSYFPTEGMLFLTPSYIGDGSVDAFVPLFEHNLQAFKECCYSSAE >cds.KYUSt_chr4.36171 pep primary_assembly:MPB_Lper_Kyuss_1697:4:222237134:222238195:1 gene:KYUSg_chr4.36171 transcript:KYUSt_chr4.36171 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQFVNLVLGTFRSGSFGMHRIKSSSLFHPKNQNRRSLPLTSLEEAELPKPAWTLSLSILKEDGGDLMFMPFGSSRDKIVSADQDGIVLVHDICQNRLSCKPRLKNGWNANSIAITLGDDLYLINRCPRVPDRFQPYRPCFQALINGVPPADVPGLPGWYWHSLPLPPYLETSGYEHSCASKIVSSTVVRDSIWVSTRGDDIGTYSFDKVSHEWSKVGSWELPFCGDAQYVPELDRWLGFSCGRDDQFLCASDLSVAAADGAVPTVCRVWKEDIATNPQNWELLRSDLVRVDNGRFCIARQFHVYDDHPFLDENFAVLTGVELEHTAEDGIQVVKHKSIRYNFNGKLLQLVC >cds.KYUSt_chr4.5196 pep primary_assembly:MPB_Lper_Kyuss_1697:4:29895826:29898285:-1 gene:KYUSg_chr4.5196 transcript:KYUSt_chr4.5196 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHEITTVAGQPTIPKSLAEKAAGSSATSNVVTPAGPPPPPPPALPGKAPPPPPALTGKAPPPPPAIPGKAPPPPPPAPGAPAPPPPPPGASAAPPPPPPGASAPPPPPPPGASAPPPPPPPGASAPPPPPKPAGPPPPPAPRTGGGSGPGPPPPPPKKGGPPGAGPPPPALPGGPKKGGPPPFKKPGAAAPVAESSKTKLKPFFWDKVNASPNQAMVWDQLKAGSFQFNEEMIETLFGCNAVDKKSTDGKKEPAKEATQLVRILDAKKAQNLSISLKALSVSAADVRTAVTEGHELPSDLIQTLIRWIPTSDEELKLRLYNGEMSQLGPAEQFLKTIIEIPYIFQRLEVLLFMASLPEEAASVKQSFETLEVACQELRHNRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGVDGKTTLLHFVVQEIIRSEGIRAVRAAKDQNSSISSVSSTDDLSEDVSDDTEHYKQLGLTVVSNLGEDLQNVRKAAILDADAMTIMVASLGHRLVKANEFLNTSMKSLEEESGFQHKLVQFIEQSQVQVTHLLEEEKRLRLLVRTTVDYFHGSTGKDEGLRLFVIVRDFLVILDRVCREVKEAAAKAAAAIKKEAAAAKAAAAPTAPPTRGRQPSQTSMPRQHLKPAIQGRRGKAHSSSSSSDSDD >cds.KYUSt_chr2.52880 pep primary_assembly:MPB_Lper_Kyuss_1697:2:329915732:329925703:-1 gene:KYUSg_chr2.52880 transcript:KYUSt_chr2.52880 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDEYNEIELCARPHERLPSRPSPHQRDGAGGGEVVGGVRAPARARRRRGGDPLAALAATAAALLAGLLILAFWLRSAAAGPAKAPAAPLRPPPVRVRDDADLDDGRKRVTVFFGTQTGTAEGFAKAMAEEAKARYEKVVFRVVDLDDYAAEDDEYEEKLKKETFALFFLATYGDGEPTDNAARFYKWFTEGKEKEAWLKDFNYAVFGLGNRQYEHFNKVAVVVDELLLDFGGKRLVPCGLGDDDQCIEDDFTAWKELVWPELDQLLRDDDDTTGASTPYTAAIPEYRIVFIDKSDLVFEDKSWTLANGNGVIDAQHPCRSNVALRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYAENSIETVEQAETLLGLSPNTVFSIHADAEDGSPRKGGSLAPPFPSPCTLRTALLRYADLLSSPKKAALTALAAHASDLTEAERLRFLASPAGKDEYAQWIVASQRSLIEVMAAFPSAKPPLGVFFAAVAPRLQPRFYSISSSPKMAPSRIHVTCALVYGPTPTERIHQGVCSTWMKNTLPLEYSEECSWAPIFVRQSNFKLPADPSTPIIMIGPGTGLAPFRGFLQERLALKESGVELGTSVLFFGCRNREMDYIYEDELQNFLTEGALSELVVAYSREGPTKEYVQHKMVEKATEIWNVISQGGYIYVCGDAKGMAKDVHRVLHTIVQKQGSLDSSKTELYVKNLQMEGRYLRDPAIATPQHPHDGRVVPGKSHVPILAVSADQISQTGSYGPGQAFRIKGM >cds.KYUSt_chr2.19284 pep primary_assembly:MPB_Lper_Kyuss_1697:2:121300910:121309308:1 gene:KYUSg_chr2.19284 transcript:KYUSt_chr2.19284 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWGLGWKRSSEIFHLTLDYGDFAEDDPDQDPSSPPPQSPTFSPTATANGDLGFRIELDWSAADDEDQVALRLQSQLMVALPPPHDAVSVDLRPDNDNDKVAVEMRVVRRREALRSVRVARALGSTQSTGDGAVVLARLIRSNLAPAPAADGAVAAGVPVLADHWRSVTALSLCNCGLMVLPVELTRLPFLEKLYVDNNKLSVLPPEVGELKNLKVLTVDNNMLVSVPVELRQCVLLEELSLEHNKLVRPLLDFRSVPKLRVLRLFGNPLEFLPEILPLHNLRHLTLANIRIDALESLKSVTVQIETENYSYFIAARHKLSAFFSLVFRFSSCHHPLLASALAKIMEDHSNQVAISKEENAVRQLISMISSDNRHVVEQACLALSSLASDISSAMQLIKCDIMKPIEAVLKSFDDEELVSVLQVVVTLTFVSDLVAQKMLRKDVLKSLKALCAHKNSEVQRLSLFAVGNLAFCLETRRTLIHSESLRDLLIRSTFSQEKRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQMLKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGIKQMNMDQCEEIYTKLGKLVFAEPVPKDESATWKEKLDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADDDGDLLIESSVKGIPKVFVVSTLVSAMPAQPYIFRNYQYPPGTLEISPGMAESPCIGAVGTAVSGAPVGIKRGAFMGSCKHQLWEAIRASSAAPYYLDDYSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKSRRGGWRYLDTGQVLIESACSVERVEETLDTLIPMLPEMQYFRFNPVDDRCGMELDETDPAVWLKLEAATEEYIQKNLQDFKNVCELLVPRYQEQEKSSGIIKSLSFSRLSSSNSGLSESNPTLGWRRVVLLVEASFNPDFGKKINHTRSLEAFCSQNGIRLTLMNSTSGFGKPTTALPTPITSPLFTGSFPSSPLLYSPDGTQRLGRIDQVPLLSLDGHPTTKSSPPTSPVKSWQPSGHVRSLYDKLQNMPQVGVIHLALQNDSTGSILSWQNDVFVVAEPGELADKFLQCVKASLSTMLHGCKRKGAYSVSKISCLSELVAEWPSFEIGGIHHRYIGRQTQVMEDNQEIGAYMFRRTVPACHMSPEDVRWMVGAWRERIIVCSGKYGLAHGLAKAFVDSGAKAVISSSVEPPDSQAIAYHGMDVNGNLENGKFVIGDDDADESEPEPVSPISDWEDSDAEKNGEINKDIDDEEYLAQFICLLYDKLFREGVTVDTALQQALRAHPRLKYICHLPYVCS >cds.KYUSt_chr7.14170 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87664581:87667561:-1 gene:KYUSg_chr7.14170 transcript:KYUSt_chr7.14170 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKREPSTPAAAAPETPWKGRLRWQHESPLSSLSPWTRSRSRIRDEDARRFKKQATRCSSCDEDDGSARRPRRARARRSPRFQAGRDPEHPIVLDDADEEHEVGGNQSVITPKGRSARLHRGDKSSSKSSVEKESRHKQTPRTPNPRGVSRSKKTQNAVKKDKKQENPTSSQRSAAVKTSTRTKKHKELQILHQDSQDVPARRKTSYKKSGMQDPEPSCCEGSTRKRKRGSGRKLTSRKQSQQEPKSDCKEITPITEPRNIIHKNSGNGPSPTVQPNIGDDTVANAEECTEELSGIKGGVPCASDDWTEEQELTLRQAYFTARPSPHFWKKVSKMVPGKSAEECFNRVHADLSTPTPMAPRARTSKTQFSPLGHFTLSDPKFPKLLEPLVARRTAKQKSLAAQKTVRHLLKKHSLIDQAQEADHFSIFETSPSALQLNIPLEDSPGTPDNYLNSFPLNKYSVSSSARKRPLSRLKTKQAEPSPEVLKPLKNVVLHEKYINQLSRREGAKKPRKKAAGTIATDPENERPLLEQQAGVVKAAKNALMTEATDFICQFKKLQANSLAHILENCEDDVDNCT >cds.KYUSt_chr3.39266 pep primary_assembly:MPB_Lper_Kyuss_1697:3:247359946:247360578:-1 gene:KYUSg_chr3.39266 transcript:KYUSt_chr3.39266 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHQVGGDRSHGDTNISAPLSALDFGLQVTVDSLDAELGCITTNELGTVMRSLGQNPTEPELQGMINESATKQIRPSSRHPRQVLRSLNPPLDGDVKINVDVALARYDDRGVVAAICRRSDGVYLGSSALDCPGFS >cds.KYUSt_chr7.23440 pep primary_assembly:MPB_Lper_Kyuss_1697:7:145975971:145976627:-1 gene:KYUSg_chr7.23440 transcript:KYUSt_chr7.23440 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAGSAEHVKFDNPAVSGGLRFQGVKRSLGSGPRRLLIAVTGDDDGVQEGLLCIFSVYLDFSMLPRVWHLLLQFSSNLQMPPFESDIAIAVYLVRSTSHDTESLFTKHFSKFAYLIKQFAK >cds.KYUSt_chr5.42578 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268246477:268246770:-1 gene:KYUSg_chr5.42578 transcript:KYUSt_chr5.42578 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPSSWSLPAAAVVLLLLAIRGANGAVPRPPAEAPVMLPGTARTTSFGDVAKGAVEMSRGERQLAGADDEDQDYGYVDPPPDTNRRGAGAPIPHK >cds.KYUSt_chr1.27960 pep primary_assembly:MPB_Lper_Kyuss_1697:1:168628909:168631202:-1 gene:KYUSg_chr1.27960 transcript:KYUSt_chr1.27960 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFFSIADGFLRGLFFSCGEDDGTEEAVANLAMELNCTEIPNLRWKGNDLHFNSAVCVYMIFVVGIKRKHRIPIRVRMCKVRNRIGEAWRGQEEVAGQGKATYRRRSQPTATRSRPPLPAARRPLFCYSTRERPPPMFPYPTRERPPSVAPAHHCSPIGQGETTDGPPPFPFLPLLF >cds.KYUSt_chr5.14666 pep primary_assembly:MPB_Lper_Kyuss_1697:5:95030231:95031271:-1 gene:KYUSg_chr5.14666 transcript:KYUSt_chr5.14666 gene_biotype:protein_coding transcript_biotype:protein_coding METKLLDKVFNLGRFHPEQTEAVTSLPRLISGEPMQHAAERLIQRTVVYGCEPNDLAKVFVPVPKAKSTCDRFLLRPCKPQNGRGNRSARAAGEGNLQKKISRVKNSAAVKAGEKNTRPLTKGDALKCWLKVKEGAIDAGDGEKVLRKIFLPPNSTHQEPAAVLCQEDKEEELPANPPATVSTPSRRRPAPVAADQHCRKRARASVPNPPVVQHQQDYSEASAWPPAAAEASHDIERFRRCALEELLGCCGAREEERTQPVLDEILGGQVPELQAVWTIDQEPSIAAEEEKCSWALLRSYKQRPIFRLDQLDGYQEFISYTLNLDGCISNVGNFDTKFVIDYTELY >cds.KYUSt_chr3.42451 pep primary_assembly:MPB_Lper_Kyuss_1697:3:268497569:268498244:1 gene:KYUSg_chr3.42451 transcript:KYUSt_chr3.42451 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGTMRSMFVESSSSSSGSGRDSREPEPVLCAPRPRRAQVHPCSADLILGPPPFLLNSSGNNKKQREGKSKTAEHDEEDEGGWSLFGGSPPARADNPLVHDPHFLLNQRHHGAAVAASDISLAVFDSHRNNHHVPTYSNSTSHSSSFAPSYAPAVRIQGFEVSSCRSSHGSNGGGRVLSARA >cds.KYUSt_chr4.11978 pep primary_assembly:MPB_Lper_Kyuss_1697:4:72795042:72797034:-1 gene:KYUSg_chr4.11978 transcript:KYUSt_chr4.11978 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMARRSTRPLLLPLLLLGFLLLAHVPSAAPQSFIGINYGDVADNLPPPSSTARLLKSTTIGKVRLYRTDPAVVTAFAGTGISLLLGAANSDIPNFASSPSAAAAWVASHLPSTSSPAINGISVGNEVLFADDATLAAQLVPALQNVYDALPPNSSIKVSTVNAMDVLASSDPPSSGTFKPALAAALDPLLAFLSKTGSPFLVNPYPYFAYQDDPRPDTLAFCLFQPNAGRPDAGSGLTYTSMFDAQVDAVRAALDAKGYKDVEVVVAETGWPHSGGADEAGASVENARAFVSGLVSHLRSMVGTPRMPGKSVDTYLFAVYDEDLKPGKASEKSFGLFQTTLTETYTTGLMRNGTAELSLPTGAGDSDPSSAAGRGGSVFSSHS >cds.KYUSt_chr4.6931 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41029536:41030854:-1 gene:KYUSg_chr4.6931 transcript:KYUSt_chr4.6931 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQLKTGHHGAMDGKPPPPTTTPAPSPAPPLPAAPPRVSRLRRLLVRVSASERVAAAGDAKEEKPAGAGDAEVGSVGLDRMVLSFMEESAAVAERPPRGRCNCFNGSNYEESDDEEDFFLPSGIPSKPAPAAAGETLDALKGLVQSASVAERNLLADASRIAERCGRTCKRKAECRRAVAEGLRALGYDAAVCKSRWEKTPSYPAGEHEYIDAVFADGARLILELDFRSEFDLARSTKAYRAALQALPPLFVGTADRLGQIVAVVAEAARQSLKKKGLHYPPWRKPEYMRAKWLSPHVRAGDGNDKAVAPLPTSAATAVSAASFSGEFELLFGMNQSGADSVMAPGGEKITVVVSPWRPTEEASRVPRAKVVTGLSAVL >cds.KYUSt_chr7.39659 pep primary_assembly:MPB_Lper_Kyuss_1697:7:246555379:246558062:-1 gene:KYUSg_chr7.39659 transcript:KYUSt_chr7.39659 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRQGYGDPRQQQQQQQQQQLMLPPSARALNPAAAAAAAGQQQQPLKRPRPADYPAANIFVNFSKFSPMVLDLPEDLLGLLRGLMLVTKLMIVQWWLLGPGGDPIVLCFVDFANAAQAAVSMEALQGYKFDEHDRNSPHLRLQFARFTGPRGQSGPGGGGGGRVRR >cds.KYUSt_chr7.7623 pep primary_assembly:MPB_Lper_Kyuss_1697:7:46033675:46042334:-1 gene:KYUSg_chr7.7623 transcript:KYUSt_chr7.7623 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGDSSGDEDGGGVDGDAFRGHFPVPAACRNRDSCPPDLGFAMAAALEENSNWPFGISSIATKDDYCDMVLSRHPEVDDPLHMPTDEESLVLSGHGRRHGRYPFLNKAVKPTSATSYTRLKHTLTTDSPQPCPRTARPPAYDPEFEVAFEACNEAYQQASAQWNRQNTAYMAYIGKLMISLSSGTPPPAQVPMAGELPIMPSRATFALTYYGFTPVYAEGNAVGIDPCCDHMVRVVRFARSVRPDWEFLGFVFFFFLLQWSELEATTVSTACLNKAKASGGGDLGMGSSTLLLFLSCRRGKGKRSGEAPSFFKWLLSRWWIFSDKRIQAGGNFASAILGRHGDQTSPSYLLELGASMPPSGRMADTLSLVLRKVALFLGETVSEKLGTELVEVASVRTDFEYRMKRTESELVILQAFIGQVNTHNVGDKTFEAWLGQVTTVAHQVEDIIDEYSFLTSQAAVINNFFKRKFHKAKSFAAWQSLSNQIDEVETRIQRISTMKDRYAISVEEPGKNSTLQNARQLSLSDSAYLSDDAELVGNASEIKRLKQWLLSEQQDQSIMSILGMGGLGKTTIASSLYKNQQISRAFDCYAWVTLSLNYQVEDLMRQIMKQLIDQRSHMASGIETMSRVGIIGELQSYLRDKKYLIVLDDVWDTNDWLYFNAALVRNNRGSRVLVTTRKKDVASVANDGFVVELKILPYTEAWHLFCQKAFRRLDDKICPVNLRPWAEKIVKKCQGLPLALIAIGSLLSYRELEEQEWSSLHNQLSWQLANSPELSWIMGILNLSLNDLPGYLKNCFLYCSLFPEDYKIKRRWICRLWVTEGLVEERGAGTTMEEVAECYLNELTRRSLLEVAERNAHGRARSFQMHDLVRDACLTVASREKFAVVYGASGINQVTSEARHLFVQKDARSLKVAAASQIRSFILFDTQVASTWIHDISSNFRLIRVLCLRFANIHQVPGVVSDLLNLHYLDLAHTKVKHIPASFGKLRNLQVLDLRFSYVEQLPWEITLLTKLRHLYVYMVHDVQERIFDCFSATNILGNICCLENLQTLQSVSANRDMITQLGNLTLMRSLAIMKVRQNYIAELWGSLAKMPSLSRLIIFANSKDEVLNLEMLKPLPNLKLFWLRGRLYDGVLPQIFASFEKLATLKLDCCFLKKDPISSFAHMLNLVDLKLYKTYDGEQLKFRAGWFPKLSSLDLGGMEHLNSIEIEECTMKVLHTLEMVGLRDLNAVPQGIKHIKTLQKMLLIDMPKEFIDRLQGADSYIVQHISNIQSFESSNSQEVNKLVLLPHLAKKYGTGWWELS >cds.KYUSt_chr6.9511 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58686702:58688156:-1 gene:KYUSg_chr6.9511 transcript:KYUSt_chr6.9511 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGQLKRLFQLLVSNLLTVVAVPLAAAALLKAAELGPDELLGRVRALRPMHMFLATFVPAAASIVYLKLRPRTVYMLDYACFRPPPNCRVPFAAFQEHARVWPGFDERSVRFMTRLLERSGLGEETCLPSAQHYIPPSRDLESSRAEAELIIFSAIDDLLAKTGVSPQEIDILVVNCSLFAPTPSFADMVVNRYGLRPDVRNVHLAGMGCSAGLISVGLARNLLQVAPKGSKALVVSTETITPNYYMGKERAMLLPNCLFRMGGAAVLLSTSGANARFRLARVVRTLTGGKDGAYRCVYQEEDDRGNVGINLSKDLMSVAGDALKANITAMGPLVLPASEQLLFAASFIARRVVNRGVKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYVEAKGRMRRGDRVWMIGFGSGFKCNSAAWECIRPPPANGGAGGPWATCAHRYPVEIPDVLKH >cds.KYUSt_chr2.7275 pep primary_assembly:MPB_Lper_Kyuss_1697:2:45714602:45715795:1 gene:KYUSg_chr2.7275 transcript:KYUSt_chr2.7275 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLAVLAVLASLLGAVSCEFLSIYDGYGFPLPNPNQPFKLPPASPPKNPRPDLKVGYYADKCPQAEEIVKRAVKEATPGEKAGLIRLFFHDCFVQGCDASVLLEGPDTERTAFPNLSLRGFNIIEKAKAALEVECKGIVSCADIVAFAGRDASYSLSDRKINYQVPAGRYDGKVSRANDTFLNLPPPFGDLKTITDMFAAKGLDQTDMLVLSGAHSIGRSACGSFANSFSNRLLPDNSSTAMNRTLADQLNTTCTANSINVPQDYETANELDHQYYKNVQEHNVLFTSDAVLLESPETKVLVDSFARDPFFLSDIIPIGRNPWYDAFAKAMVKMGNWEVKNSTVGEIRQFCGKVNDKTY >cds.KYUSt_chr5.4558 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29190649:29191035:-1 gene:KYUSg_chr5.4558 transcript:KYUSt_chr5.4558 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGKRLLWFLPGPAFLGMALAVASYRGQALTDRQWSIVRSAVASFVALGTVKFSLAGRGIMDIKARWERALLRLLFLLVVASTLLLFFTAIHDVAPILHLGAATSHACAVVAVAVHLRIGWVAIFGE >cds.KYUSt_chr3.1928 pep primary_assembly:MPB_Lper_Kyuss_1697:3:11083347:11084343:-1 gene:KYUSg_chr3.1928 transcript:KYUSt_chr3.1928 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAGRELPDGAAPAAAYEFLLSSSPPTAARHARLPADLRFVVILINQLFGSRLPDTAASLSNIAVLRRGIGGSEEPWDYPRLGVALGSAGWVGRIFRPPALPLLGVVAVLGTEGCGAELLVAAGFV >cds.KYUSt_chr7.30430 pep primary_assembly:MPB_Lper_Kyuss_1697:7:189468152:189469412:-1 gene:KYUSg_chr7.30430 transcript:KYUSt_chr7.30430 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPCGRDGEDQRRWRRRCEGPGCGGEADRAVAKVWMLERPRGEVAGFLGREYRRGASLGGRESGDEEPRASMENYSLLMGDSSVDEDGGGVDGEAFRGHFPVPAACRNRDSCPPDLGFAMAAALEGFSYRGFSVSRF >cds.KYUSt_chr4.54978 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339495660:339496484:-1 gene:KYUSg_chr4.54978 transcript:KYUSt_chr4.54978 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAPPPSSAKLLEILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPDHFDFRRYSGLDAYVDDLLAILDALSITRCALVGHSVSAMIAILASIRRPELFARLVLIGCSPCFLNDGDYHGGFEVEEVQEVFDAMTANYSAWATGYAPLAVGADVPEAVQEFSRTLFNIRPDISLHVCQSVFRTDLRGVLGMVKAPCVVVQTARDISIPAIVAAYLKAHLGGHTTVELLPTEGHLPHLSAPSLLAPVLRRALAHR >cds.KYUSt_chr7.15629 pep primary_assembly:MPB_Lper_Kyuss_1697:7:97058247:97061882:1 gene:KYUSg_chr7.15629 transcript:KYUSt_chr7.15629 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDARSEDDTAQRSYPAGFEVDSEHVGPSTIADDCHDDAREVPLRHPCARTTGLDGQTGVGKTSMESWSKHSRRKARNTLTSKPPISPEVNPGGISQFDTSGCMDQGVDVAVNLNGEQCPRFFRIDRLKPGPTCTESPAHAFEIKYTQATHLNGTTDITPGNSTTSASIMTTR >cds.KYUSt_scaffold_6468.838 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4073103:4073657:1 gene:KYUSg_scaffold_6468.838 transcript:KYUSt_scaffold_6468.838 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTLELESQVSAPRKFRATVMDWHTLAPKLAPHIVDNAHHVEGDGGIGSVRHYNCASAMPFKVMKKKVEFLDVEKCECKYTIVCDGIETATWDIKMEPTASGGSVAKVECIYKPQPSEEGNDMMLKAKDSAAEMFKTVEAYLLANPDAYN >cds.KYUSt_contig_786.356 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:1937638:1940551:1 gene:KYUSg_contig_786.356 transcript:KYUSt_contig_786.356 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGGVVVDGGRAVAAARHPEQQQRHIGTAAHLAAGGLAGVVSKTCTAPLARLTILFQVAGMHSDAAALRKCSIWHEASRIVREEGFGAFWKGNLVTIVHRLPYSAMSFYSYERYKKFLRMVPGLDDPNYAGVVNLLGGGLAGVTAASVTYPLDVVRTRLATQKTTRYYKGILHTLSTICKEETGRGLYKGLGATLLGVGPGIAISFYVYESLRSHWQMERPNDSNAVVSLFSGSLSGIAASTATFPLDLVKRRMQLHGAAGAAPIDKSSIAGTIRQILQKEGPRGFYRGIVPEYLKVVPSVGIAFMTYEVLKSLLSSIDEDDEN >cds.KYUSt_chr2.28589 pep primary_assembly:MPB_Lper_Kyuss_1697:2:175442569:175443060:1 gene:KYUSg_chr2.28589 transcript:KYUSt_chr2.28589 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQQAGGAPASDGHATVLCANDCGFFGSAATGNLCSKCFKEQQQIGVAAVSGAPPVDSVVSSFASLRIKETGGQRATAAAGVRGEVQAGKDVVPTTATKNRCQTCRKKVGLLGFACRCGGTYCGMHRHAGAHDCGFDYKAAGREQITRQNPLVVASKLDRI >cds.KYUSt_chr4.16207 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100452707:100454891:-1 gene:KYUSg_chr4.16207 transcript:KYUSt_chr4.16207 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVVAVADGRATGKHGHGGMGGSPSSTPPCAACKMLRRRCSPGCVFAPYFPAGEPHRFACVHKVFGASNISKLLQEVPVEHRGDAVSSLVYEANARMRDPIYGCVGAITSLQRQVESLQTQLALAQAEMIRLRMAEACAAARRGGNGNGSSSGGGSPSSMSDYKTTPDLHVAVDQHNVMELEYATLWY >cds.KYUSt_chr7.26271 pep primary_assembly:MPB_Lper_Kyuss_1697:7:164051514:164054163:1 gene:KYUSg_chr7.26271 transcript:KYUSt_chr7.26271 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGVNPCNYGPQAATPILSGEIKTSKLAHCGLHRPAWCAVYVLIKDGNFTHGYGYPRVPYPHGQGSGTEAKISPPLFHLIHVRTDRGTMLMVLKAAKSSEMEMTPEKAGFLGGRLNIPLLKLLQFAFLSSKYYSICLLCGPLPHCVAHIHDITRVAL >cds.KYUSt_chr6.2166 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12791578:12792697:1 gene:KYUSg_chr6.2166 transcript:KYUSt_chr6.2166 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQEPPTASGTPPSGAVVDVPVGGPPAAGVVSAMIASTISSKRKRIPKQFFEAPAAAADSPGEAPPAAKKTGRVKTKAAGPRGAAPAKVRTKAISRIGLAPPPPSKVTTPPPSVPAVAPLAPPAPPPPTIDVDKVFDVESTTSYLDMLNDSAVNLDAGIGAFDGECNVEDFDDEEEDEGDEEEVLEVDPAAAGFSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYPKYAQQRYKDMAGSKNKEFQFQHCFSILQHLPKWKLRDNEPKC >cds.KYUSt_chr6.33224 pep primary_assembly:MPB_Lper_Kyuss_1697:6:208911676:208922773:1 gene:KYUSg_chr6.33224 transcript:KYUSt_chr6.33224 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGIASHGSMVPVQGQHHMYQFPYIPSGYDQHGQYSSQFQQLPVQTLALQNVYAPPHQPSVSALPLQQQGQPSVPPVPRPSVFVSGSGLLETHFTAFPDSVVNEDLAPSQSPIALVVVTGDVVPADVIARQVARRCSDCPNWKWEAVPHVDMQFLVSVPSFEDLDRVDGIQVGVPSFSSSISISAWRSDEVPHKAELEKVWLHVEGVPHTLRHFLGLSAVGSLLGKTVDVDLISLRWREVVRIQVVMIQARVLGDPSDEACPIAKADVVVKFKAFEFRIRREPADYVPDPDFVPLIWVKKDDADEGGEGAPDGGDDAMDTSEPRVGPSDTGSSQVQQVGPSASAPGATHTVASLIAVTPFNPNPQTPIAIEIVKRLRAISPSLEGSSPAMASPRVTAEALRLALDAAAADSPGAARQQSSGSTLEHSRSDRPARGRVSTLGHITPAAARRAAASAADAAVVMIKIYSYGFEQVGYLLSDDHDEEVYAVSDSQMDHVVDAQPFLQTEFYQLGNGGEIIFERDLFSLSEFLGRPPPEVFGGMLNDQPGGQLQWVIMVELRGRFTLPMSARI >cds.KYUSt_chr6.398 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2460006:2462499:-1 gene:KYUSg_chr6.398 transcript:KYUSt_chr6.398 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEFVPDPFLKENIEPDPEEVDLVFDRSPNYAEVLTQVRIGLNWNEPSDAVEIEGIHNVGFEMHNRWKTMRINSEQRWSVYKETVAESQDKALELSPPPMSQEEATEPPIIQDAPLEKKYDEHDDGDNGFKMKYNNVGDLDAYLTQEDMDHSIPYSRCSVSDSDDDGPTEELDEDGLTAKEAETADIFKKAKSLLLGARPISKRDVDVRTNVIFKGLKFDTFLELKHRRRRSAMAMSDLAAAVISTANGRVNEETVEIREVWADNLEAEFALIRDVVDEFPFVAMDTEFPGIVCRPVGAFRSPADYNYATLKANVDMLHLIQLGLTFSGPTGELPALGAGRRRCVWQFNFREFDDSRDIYATDSIELLRHSGIDFRRNAERGVDARRFAELLMSSGVVLNDGVYWVTFHAGYDFGYLLKILTCDTLPDTQAGFFKLMKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLKDSFFAGSTEKYAGVLYGLNAENGVTAH >cds.KYUSt_chr4.18036 pep primary_assembly:MPB_Lper_Kyuss_1697:4:113080635:113082095:-1 gene:KYUSg_chr4.18036 transcript:KYUSt_chr4.18036 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPPGFRFHPTDEELITYYLTRKVSDFAFTTRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATESGYWKTTGKDKEIFHGGRLVGMKKTLVFYGGRAPKGEKTSWVMHEYRIQNKFPYKPNKEEWVVCRVFKKTQIVKMRHSQDSPDMDSPCNDGNVSLGELGELDVSSMLGSFGPASGENFGHGRVDMGAYMSWLQAAANQNAAAMLPWAPGLLGTVFAGNPTMQKALAPFAGCSQQLPRDVVGGDLALFGNAMAKVDMECEQQQPQLEMHDSTWRTF >cds.KYUSt_chr3.6604 pep primary_assembly:MPB_Lper_Kyuss_1697:3:37998546:38000727:1 gene:KYUSg_chr3.6604 transcript:KYUSt_chr3.6604 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVVSILNAVFRRAFTSAGLRSSSTAVDADTTLHFWASPSLVPPSSDSKSSSKNGSNIKQRRPVVVLIHGFGPDPTWQWASQVGPLSRHFDILVPTLLFFGPSTTRSPDRSDAFQAANIASLLAGVGGGEEEPREVHVVGTSYGGLVAYHLARALQVQGGRWRVGKVALCSSDLTKGPEDDRALAAKGGVAEVTELMVPADTKALRRLMAIGAHDPPKYLPECLARELLRKCFGAQREGKIQLIKGIATGHGFKIAPLPQEVLIVWGEFDQIFPLEKAHKVKEKLGEKVTLKIIPNTGHLPQQEDSKLFNKILIDFLLPSPPISASVK >cds.KYUSt_chr6.646 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3985243:3987339:-1 gene:KYUSg_chr6.646 transcript:KYUSt_chr6.646 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGIGSAATRPEEDTVIIASSFEIDQDVKDWEATAAIAWVINGNRKVPPIAIDRAIRKRFCLSHSELTVSPHQPVQFLLKFVQKGHCSEVLKQGRIKADGALLQLRPWRPLEHAFGASMSYRVRLCLEGVPTYGHTPYVTERIIARRCSYERLEDSSVLLTNARSLDCWAWTANPSAIPKVVWLTFTSRSNGGPASEVFVHKVRPTGSKRGEDYSMAPLDFFRSSNDAGAFRPTPVSFEWHYLTVNGMPPVPLQNKDDEETLRAAALAHRDRRAKGDDHHHHHSSHRDDRDDDHDKDGAARRERRGLGERRDADGYIRRDRTRSPRRRDAADAGHGRCRDLAPNVGSTLGDVDMTPAPTALELQAVLADQAALLRADLLACLDGAIKPILAESEALRSWNARATAFLDGLVEKGMLTRVTTVPVTPSPTMLLEGNAAATTNSTDTPGMILADAPFADTVNLFAQMDISSENEAWNADEDCSPPPGPDHILPASPERPSTMIDTGSGRADSPSIMASRDTPLAGLGGVQLPTATTVVADATDTVTDLATATTPASNITTPAVVDASTRLLTFIDSVAAPIQQPLMSTPSPKKKKNKVALPLASPRRSGRIAIKKKARSLADGAEAIQKLIARVCGLLAPTATFDDATKTAYQQLFINAPLAASAIQALEALVKQVKKMKKKGATKPAAENVIIPANV >cds.KYUSt_chr3.33139 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208011613:208016630:1 gene:KYUSg_chr3.33139 transcript:KYUSt_chr3.33139 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDSDSDDDMERDFAARLRLAPSPSSASASPTAAAAAAGGGGIAFRAPQEQFTVDDFDIGKIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIKLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLPEDDARFYAAEIVDILEYLHSLGLIHRDVKPENLLLTSDGHIKIADFGSVKPTMDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPATFGNDLWALGCTLFQMLSGSSPFKDASEWLIFQRIIARDLRIPEYFSDEARDLIDKLLDVDPTKRPGAGPDGYASLKKHPFFRGIDWKNIRKTRAPNLAAEANANEDEDTQDSDWLSHMGSAPGNQPVPVGNNGAASSSEVRSHVSKLASIDSFDSRWQDFLEPGESVVLISKLKKINKLSNKKVQLILTNKPQLILIDPAKMVTKGNISWSDDPSELNVQVANSSHFRICTVSLFAVIAP >cds.KYUSt_chr6.10470 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64657276:64659237:-1 gene:KYUSg_chr6.10470 transcript:KYUSt_chr6.10470 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGWIFSALLLVSLVIAPACEAFYLPGSYMHTYRQGEEIGAKVNSLTSIETELPFSYYSLPYCQPQGGIKKSAENLGELLMGDQIDNSPYRFRINVNESLYLCTTSPLDEVDVKLLKQRSQDLYQVNMILDNLPVRRFTEQNGMTIQWTGYPVGYTPEGSSDVYIINHLKFKVLVHKYEGSKVRVVGTGEGMEVISDTDTDAGSGFEIVGFEVVPCSVKRDPEAMSKLTMYDKVEAVSCPVELEKSQMIREKERITFTYDVEFVNSDIRWPSRWDAYLKMDGAKIHWFSIMNSLMVILFLAGIVFVILLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLFCVMIGDGVQILGMAIVTIFFATFGFMSPASRGMLLTGMIFLYMLLGILAGYAAVRLWRTVKGTSEGWRSVSWLTACFFPGIVFIVLTVLNFMLWSRNSTGALPISLFFTLLSLWFCISVPLTLLGGFLGTRAEPIEFPVRTNQIPREIPTKNYSWLLIFGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGAVAFYVFLYSINYLVFDLRSLSGPVSATLYIGYAFIVSLAIMLATGTVGFLTSFSFVHYLFSSVKID >cds.KYUSt_chr4.23622 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148761817:148766884:1 gene:KYUSg_chr4.23622 transcript:KYUSt_chr4.23622 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAGVASLRYFPSSLRNRVCPGTTSGGGAVTFSPRRGRGASAAAVAAPSREAEPASSLGDLTRVDFPILDQEFDGNKLVYFDNGATSQKPSHVMKALDDYYRFYNSNVHRGIHALSAKATGAYEAARIKVANFVNAADSREIIFTRNATEAINLVAYSWGLSNLKQGDEIVLTVAEHHSAIVPWQFVSQKTGAVLKYVGLTKEEVPDIEQLKGLLSNKTKIVVVHHVSNVLGSMLPIEEIVACSNRVGAKVLVDACQSVPHMPVDVQKLGADFLVASSHKMCGPTGVGFLHGKFEILSSMEPFLGGGEMIADVFEDKSTYAEPPSRFEAGTPAIGEAIALGAAIDYLSNFGMHKIHEYEKELGAYLYESLLSVPKVRVYGPAPSQSDHRAPLCSFNVENVHPTDIAEILDLQVC >cds.KYUSt_chr5.13392 pep primary_assembly:MPB_Lper_Kyuss_1697:5:87178583:87179604:-1 gene:KYUSg_chr5.13392 transcript:KYUSt_chr5.13392 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDADLLPQVLVENDVLPRCTWRTISSSRRSSSGPSSSSSIPCTSKPGSSSTRSSWRPISSPKCSDPGRSPRPDDGEQANEEMYEQNLFEAALDEVEDAVDEEQDAVEMDEQDQHYNGEEPGGHQALRHRKVLPDHQRYAAYIAMHSLCMKNGGKFDKNDKKDVAAFFQSDIQVMQRIWRLAMKQIADGLEVDVSSKRKGRCGRKPKYINMSIVPSIPQNQRLTIRSLA >cds.KYUSt_chr1.29745 pep primary_assembly:MPB_Lper_Kyuss_1697:1:180102582:180103718:-1 gene:KYUSg_chr1.29745 transcript:KYUSt_chr1.29745 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGKFSNPSSDKDQEEVVSNQNSDTDEELYVRTDITETRKMFAHTADIEVVYTDDTHKAAEIIDKYEQWLMKEKYKFVGLDFEYCDPEYKGDHRIAVVQLSMNNHVLVYQWSSSLKWCPKLMDFLRSGVHFASVDIRNDKIAIERSWNIEIPAECHIDLQDLFKLDRDRTARDAYVAYQLYRTILACNYGQRHRLPPQATATALQPSSTGGAEASSSSICKVQQVLVGTKRPSGDEGWTQIRMTDGHEYWSAASLKFPMYYETSPPHYLEGEWDDWPEDKKPKIDWSDAPADTPVFYAK >cds.KYUSt_chr5.39619 pep primary_assembly:MPB_Lper_Kyuss_1697:5:250700010:250700636:1 gene:KYUSg_chr5.39619 transcript:KYUSt_chr5.39619 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMIFAGTETTSITLEWAMAELIGNPRAMAKLQDEVARVSSGKPTIEEDDLHRMEYLKAVLKESLRLHPPAPLLVPHESTTVAAVQGYEILAKTALFINAWAIGRDPAAWGDAAEEFQPERFLDGSSVTGIDLRGNDYQLLPFGAGRRVCPAISFALPALEIALASLVRHFDWELPIGTCLDMSEAPGLTTPPLAPLRLLPKCKTLV >cds.KYUSt_contig_686-1.562 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3394452:3404566:-1 gene:KYUSg_contig_686-1.562 transcript:KYUSt_contig_686-1.562 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASQPRHLPLGAGGDPRAAMCSASTSKIYSLDKAYGFRFMCRSVVDPRSQRLHSRISKRKCYLRSPPSEVEKIVHSARWLEFRRQRTPFPRARRSVHSIPLASRDDGSGVSVNGVPQVDPASQMGEIRLQLDKALQNEDISTGLVQSIHDAARCCEVKPMETRESDLSLLMLGLFCLAAITKLGSAKVSCQQFFSMVPDIIGRFMDMLLEFVPISKAYTLTKDISLEREFLCNFGPRAAAPKFANDHGLEISFWIDLVQKQLLKALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGISTQAYLSKRLTDSNDSVNGIVRYLIGGSVLYYPQLSSISSFQLYVEVVCEELEWLPFYYEDVPTPIVETEGREEMLKGQVISRALNMCSYWMTSFIKYSSWLENPSNVKAARFLSKGHAMLSDCMIELDVTKNNMPKDRSLPKNGVLVAGTELASIDKSLESVDEALVKLENLLQELHLSSSNSGKEDLKAACSDLEMIRRLKKEAEFLEAYFRAKAEYLEASAAKVDQKDMQSNDIRRFEQLRHELIELERRVQKSANDSKKEEFQEKGVPDETIDAFVSSPLLSEPSGPVIKKESFITKTVEKVKDSTTIVLQGTQLLAIDTGAAMGLLKRSIIGDELTQKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAMLAFIQRYVPSMIPSTYGPERLYLLRQLEKVKEMELSEGSSEDMSGTISSRGDQVK >cds.KYUSt_chr2.51145 pep primary_assembly:MPB_Lper_Kyuss_1697:2:319842451:319845948:-1 gene:KYUSg_chr2.51145 transcript:KYUSt_chr2.51145 gene_biotype:protein_coding transcript_biotype:protein_coding MSIICLQETKLTDASPSKASSFLPPGFRNFASKASVGASGGLFTAWRDDLVGHVRDMELRFTLTTFLEFTSDGSRFAVSNVYAPCDSTLRGEFFDELRSLASLCSLPWLLVGDFNMTRSASEKNNQHFDAATAEAFNDVIDELVLQELPLLDRQFTWTNNREVPTLVRLDRAFINPQWSEALFNSTLRSLVRNTSDHVPLLVEATSRAPASQVFRFEKFWAASPDYRALVEDVWARPSNQIDGAARRLSRKLKWVRAESKKWARSRLRPDAVISNCREVNSLMDLVEEFRALSHVESLLRSLVKTKLSSEYKKLDAYWKQRYTYRLCKLGDENTAFFHANASARLRRNQIKVLHSDGRPVTNHGDKERVLHAFYSALLGTTSPVVWDPNLATLLPPIAGLSSLELPFSEEELKKALWSMRSDSSPGPDGFGPAFFKAFWPVVKEDLLSFVTEFHSGDAHLSGINQAFIALLPKTAEVVTADGFRPISLQNCVMKIITRMLTTRLQQFIEQLVAFEQSGFIAGRCITDNFLYAAELAQCCRLRDAPTIALKLDFKKAFDSVNWGSLDAILEARGFGALFRSWIRELLTSGRAAVLLNGVRGRWMECKNGLRQGDPLSPYLYLIVAGLLRQLITTGFGLLHPLVDDLPCPVIQYADDTLILVRATPSEVSLLKTALDTFSAATGLTINYHKSTFVPICVPPDDAASLAATLGCSISTFPQTYLGLPLSDSKLPANALDFLAEKIVARIPSWRLRTLDPGSRLTLTTAVLSALPSFAMSALPIPKGTLVRMDRPRRSMFWNASATCSGGDCLVSWEIACRLRSEGGLGLIDLGTQNTCLLLKNVYRLLTGEDNPWTVWIRQWYLRGDPHPATPLWRSFADLIPLLRSITSVRVGDGSMTSFWMDNWTSAGPLHAALPSAFSHCLDADATVAAGTRMGAAALARRDRVSPAAAADFAMLEDALARHRPTPVPDRRALVGGAPTGFKTSDAYRLLHSSGCGPPLHDLNWDTFVPVRVKVFIWILRHRRTRTRARLCRLGILQSSDCPFCPGVAEDVDHLFVDCPRLLRIWRCASADPQAGSHRTVEDVVDAFSDAHLGWPLTLRMTAATLLLWIIWKTRNRMVFDGVNTTTAEFFASVRDHLTLWLVRAPRRIDCAPLVAWCASLSPLTP >cds.KYUSt_chr6.23104 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145992842:145997151:1 gene:KYUSg_chr6.23104 transcript:KYUSt_chr6.23104 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTGYSSLPVLFLLTSVVANGQPVSEANADHLALVSFKTLITSDPSSALASWGGNRSLPLCRWRGVTCGMQGRRRGRVVALDLSNLGLSGAIAPSVGNLTYLRALQLPMNRLDGAIPSELGRLLELRHVNLSFNSLEGGIPASLSRCQQLENISLAHNNLTGGISPDMGDWPSLRLVEMHYNMLDGPMPRSLGSLHGLEVLHLSNNKLTGTIPLEIGNLTDLVSLNLNYNHLSGSLPSSLRNLQRIENLQVRGNQLTGLIPSFLGNLSTLTILNLGTNKFEGDIVPLQALSSLTALVLQENKLHGGLPSWIGNLTSLTYLSLGGNSLTGSIPESLGNLHVLSGLVLAENNFTGSIPSSLGNLRALSDLYLDKNQLTGQVPTSIFNMSSLSLFNVQFNQLTGSLPTAKDVNFPVLGIFNAGENMFHGAIPPWLCNCSMLTKIGVEANMISGTVPPCLGDSLKSLSILTLGQNQLLANEDHNGWDFMSGLTNSSHLRVLDFSSNKFRGVLPNSVANLSTELQAFNIANNMISGNIPEGIGNLVNLSYLMMNINSFEGTIPSSLGRLQRLSFLDLGTNKLSGQIPPTLGNLTLLNKLYLGSNSLSGPVPSSLRSCPLQLLDVQHNKLSGPIPKEVFLISTLSNFMHFQSNLFSGSVPLEIGSLTHITEIDLSNNQFSGEIPASIGDSQSLQFLRMQRNFLQGGIPASIGLLKGLQILDLSHNNLSGGIPEFLGSMKGLSSLNLSFNHFEGEVPKEGIFLDVNATAIDGNQGLCGGIPGMKLYPCSTHTTKKQSLKLIMIISISAAVLLLIVLSALFAFWYRKNKSQQTNSDLSLLNDLHIRVSYAELVNATNGFASENLLGVGSFGSVYKGRMMLHDKQVIVAVKVLNLRQRGASQSFVAECETLRCVRHRNLVKILTVCSSMDFHGQDFKALVYEFLPHGNLDQWIHKPLEQNDEYEVTHVGDFGLSRRISIAIDVASALDYLHQHRPLPVIHCDLKPSNILLDSNMVAHVGDFGLARALHQDQSNLLEKSSGWATMRGTIGYAAPEYGLGNEVSILGDVYSYGVLLLEMFTGKRPTDSEFGEALGLHKYVQMALPNKVIDIADYQLLSKNMDGDVSTPNPDIRGDIRIACITSVLHIGVSCSKENPTDRMQIGDALKELLTIRDRFQMHLSSMQLSSN >cds.KYUSt_chr7.21327 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132070326:132072346:1 gene:KYUSg_chr7.21327 transcript:KYUSt_chr7.21327 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARKLLPWPRPRRSRDQALTRRLFRASHLSDPDDDDPPFTRIPSNPPRAPAPPPPPNSKAQASKIIPDEPARSDLPFDFRYSYSEADPSWRPIGFREPTRFSPFGPGRLDRPWDGVAARVDGEVGDGDARQSTREEVLGDALSEAEVAELVERYRHSDCSRQINLGKGGVTHNMLDDIHNHWRRAEAVRIKCLGVATLDMDNICFHLEDKTGGKIIYRCINILILYRGRNYDPKQRPVIPLMLWKPLAPIYPRVVQNVAEGLTFEETKEMRNRGLNSPPLMKLTRNGVYVNVVEKVREAFKTLEVVRLDCSHTGTSDCKKIGVKLRDLVPCVPILFKDEQIILWRGKLDQEDSVSAHSVSQPQ >cds.KYUSt_contig_319.542 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:3404244:3409950:1 gene:KYUSg_contig_319.542 transcript:KYUSt_contig_319.542 gene_biotype:protein_coding transcript_biotype:protein_coding MLRISYMERKASSEKKLKKAVEDSSDSEGKARTKKKTSEDKRKKKRKHYSSDSYSSETSDSGSYSSDSSESESYSSASLDTSSSSDHRHKRRKGSKKVKRKSTKRKSSHKKSKSKSRGTKRKSKRTYGSSSDDSKSSSSSSDNESAGRHTKHSLKKDKENTEMINSEKGKTLEDADKGKEMAAGSKPLHKDENGANARVGNQNSEDRSSKFRDDTNPIRADAALSRPDGNNTADAAGTGISEAGTEPNSVTNQPVPTNGKDLAKSSTADGRVRKGRGFTQQYSFARRYRTPSPERSPVRSRYNGGRNDRWGNFNRYGRNGPYGARSPSRDLLQLGELLVVGTGCGVGMESSGGGADFGSGRAADVG >cds.KYUSt_chr6.30226 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191545963:191551517:1 gene:KYUSg_chr6.30226 transcript:KYUSt_chr6.30226 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVSPRDPSDRRAASSSLFSVPPSPRAGRNVVARSQYEKVEKIGEGTYGVVYKAKDRYTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHNEKCIYLVFEYLDLDLKKHMDSSADFKNHHIVKSFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRIMGTPNEETWPGVASLPDYKSAFPKWPSVSLHEDASRRLGDVMAMRQAFVRSDLVAVAWAGPDHARINRENHELQDVLMARDKAMVATARDRARYREDLARIAADEAAAQHARWDSFLAEAGEHRRWEDGASFGSRTASEGSGALPTPSCTSTMAPPPLLTPPSSNRPRDCE >cds.KYUSt_chr6.555 pep primary_assembly:MPB_Lper_Kyuss_1697:6:3450604:3453732:1 gene:KYUSg_chr6.555 transcript:KYUSt_chr6.555 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAVLLVGLVVAAFAGAAVGAGSAARLVPAMYVLGDSTLDVGNNNHLPGKDVPRANVPFYGVDFPGGPVATGRFSNGYNVADFIAKNLGFERSPVAYLVLKSRNYLIPSAMTRGVSYASAGAGILDSTNMGNNIPLSKQVRYFESTKAEMEVAWGSRKVSTLLAESFFLLSIGSNDLFQSKPKTPVDVVALYTTLVSNYSTYITELYGMGARKFGIINMGPVGCVPRVRVLNVTGACNDSMNRMAAGFAAAVKSGLAALVPTLPGFTYSLADSFVSTQASFANPQSLGFVNTDSACCGIGRLGAEDGRCKRNSKLCADRDTYMFFDAVHSTQRAAELAAQQMFDGPAHLTEPISFKQLAQKRY >cds.KYUSt_chr2.30215 pep primary_assembly:MPB_Lper_Kyuss_1697:2:186002761:186004438:-1 gene:KYUSg_chr2.30215 transcript:KYUSt_chr2.30215 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGWKQCPHTGRRRWAVWFVGLDKFTLYLCTVVAATGPKRKDMWVRGGVIRLNGLSISHLYHRPSLIGSAKRPDSDLVFVSLYAGVFMIDLESACAAKVFERDEFNAIFPYMSFCTPGDILKPVSFSMFEFCGYLPERMPHGDVRAGKLGVVAANSMSSTGFEDGVTALRLEAL >cds.KYUSt_chr2.15688 pep primary_assembly:MPB_Lper_Kyuss_1697:2:98774192:98776183:-1 gene:KYUSg_chr2.15688 transcript:KYUSt_chr2.15688 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLMLLLLILHGAFVSSADDGQFAYQGFAAANLSLDGLAAVMPNGLLALTNFTSQKKSHAFNPTPLHFLNKTMNSTAVMSRSFSTSFVFAIVSSYDGLSDHGLAFVVAPTTNLATARAGQFLGLLNATNGTASDHILAVELDTIMDPEFRDINSNHVGIDINSLMSRQARPAGYYGDDGVFRNLKLNSHKPMQVWVDYDGQAGQLNVTLAPVEEPKPRTPLISEAIDLSTVLADTMYVGFSSATGVVKTQHYVLGWSFSFDGPAPLLDFSKLPMLPRVGPKPRSKVLEVVLPLATALLIAAVLAAVSFFVWQRRRFAEVREDWEDEFGPHRFAYKDLFRATDGFKNRNLLGVGGFGRVYKGVLPASNLEIAVKRVSHDSRQGVKEFIAEVVSIGRLRHRNLAQLLGYCRRNRELLLVYDYMENGSLDKYLYNKNGLALHWPQRYWIIKGVASSLLYLHEEWEQVVIHRDIKASNVLLDRQMNGRLGDFGLARLYDHGTDDQTTHVVGTMGYLAPELVRTGKATPSTDVFAFGVFLLEVVCGRRPIERGEQSNRVILVDWVLEHHRNGSITDTVDPRFMGKFSTEEVTLVLKLGLLCAHPSPNVRPSVRKVMQYLDGAQSVPDISPTYTSYSMMALMQNEGFDSYIMSCSASATSREKSIYL >cds.KYUSt_chr7.29596 pep primary_assembly:MPB_Lper_Kyuss_1697:7:184245500:184246385:1 gene:KYUSg_chr7.29596 transcript:KYUSt_chr7.29596 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLRSCSAVGVPSLLAPSSGRSRFCVASATTTSGRVTMSAEWFPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEIYHCRWAMLAVPGVLIPEALGLGNWVKAQEWAAIPGGQATYLGNAVPWGNLPTILAIEFLAIAFAEQQRTMEKDPEKKKYPGGAFDPLGFSKDPVKFEELKLKEIKNGRLAMLAFVGFVVQQSAYPGTGPLENLGSHLADPWHNNIGDIVIPRNIYSP >cds.KYUSt_chr1.3550 pep primary_assembly:MPB_Lper_Kyuss_1697:1:21616225:21617223:-1 gene:KYUSg_chr1.3550 transcript:KYUSt_chr1.3550 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHHLNTAFSNPYHPLLSSSPSPPHHHFPPLPPPPPPPLPPAAAADPPERERLPQWSHAETSAFLAIRADLDHSFLSTKRNKALWEAVSARLHAQAGGGFARTPDQCKSKWKNLVTRFKGTTGDVAHPSEGDPSGVAAGARGSFPFHNEMRRIFDARVERARALDAKKAKGKDPAARGDPDDDDGGGGVGDEDEEDMELLEDEEEIAETKGAVGRKRRRSKPALARTASAGGSGIEIGEVEAVLREFMRRQTEMEERWMEAAEARDAERRAREEEWRAAMVALGEERLALVRRWREREDAWRARAEEREERRHQLVAALLAKLGGGGDTS >cds.KYUSt_chr5.13255 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86472051:86472260:1 gene:KYUSg_chr5.13255 transcript:KYUSt_chr5.13255 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRIFDPARALLLAVWMVRFNKLNVAKAVLPDNYSMVEFQAKQARLLLLPELQIIACKLQISDIDGI >cds.KYUSt_chr7.41102 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254641400:254651627:-1 gene:KYUSg_chr7.41102 transcript:KYUSt_chr7.41102 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAIATGIGTGTGTGTGTGMAPLFSPGAATAAPSIRLIIPSALTAHSPLPAPTRRSGRRPRRRRLLSAPAVARSDSDGVPALSPSPSSSGNGTAASASALTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSVRPDDSRYGDNPNRLQRHTQFQVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQGVDHFKKIQYTEGITYGELFLENEKEMSAYYLEQANVDHIQKHFDDFEVEARSLLSIGLPIPAYDQVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCSQLWLKTREDIGYPLGTYQEANLIYPHNSENLSRKEVLGQAQAEAHAFVLEIGTEELPPHDVIDATEQLEKSLVQILGKRRLSHGKVHSYGTPRRLAIVVENLSMKQMEEEVELRGPPVSKAFDQEGKPSKAAEGFCRKNNVHVDCLYRRIDGKTEYIYARVKESARYADEVLSEDLSTIISGISFPKSMRWNSNIVFSRPVRWIMALHGDLVVPFSFAGISSGNQSCGLRNSSLASFKVETAESYLRTVEKAGIVIDMQERKSKILDDSNILAKGVDGGFIAPDSLLQEVVNLVEAPLPILGRYDDSFLELPKDVLITVMQKHQKYFPVTSKSTGDLLPYFITVANGSISEEVVRKGNEAVLRARYEDAKFFYKMDTQKHLSDFRGQLKSILFHEKLGTMLDKMARVEGVVAELTLVLGINEMMITVIKDAAALAMSDLATSIVTEFTSLAGIMARHYALRDGLSEQIAEALFEITLPRFSGDVFPKTDAGIVLAVADRLDSLVGLFGAGCQPSSSNDPFGLRRISYGLVQILAENKKKFDLTKALTLVAEVQPIRIDNNVINEVLQFVTRRLEQLLVDEGINCEIVRSVLMERANCPYLASQTAAEMEAFSRTEDFPKIVEAYSRPARIIRGKQIESAWEVDASVFEKDEEKALWSAYLEVADKIHPGVDVKTFADASLLLIQPLEDFFNNVFVMADDEKIRNNRLALLRNIAGLTKGIADLSVLPGF >cds.KYUSt_chr2.18297 pep primary_assembly:MPB_Lper_Kyuss_1697:2:115295446:115299021:-1 gene:KYUSg_chr2.18297 transcript:KYUSt_chr2.18297 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVGGSSARAAKVSKKPNKHHHLWIRKDSAGSGKKALCLVNTVSKLPNEKETVYGALDRWTAFEPEFPIIAAAKALAMLKKRRKWLQIIQVTKWLMSKGQVMTWTTYDTLLLALFMDGRVDEVESIWNTIIQTYTRSVPKKLFSRMIQIYNARHLPDKVLEIYGDMEELGVHPDEDTTRRIGRAFAASGQEDKQKPVLEKYLKKWKYIHFNGERVRVKRAGPLA >cds.KYUSt_chr3.45338 pep primary_assembly:MPB_Lper_Kyuss_1697:3:285652284:285655186:-1 gene:KYUSg_chr3.45338 transcript:KYUSt_chr3.45338 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALEKLILDLHAIEAVKLGSFVLKSGITSPIYLDLRALVSHPRLLSAIAALLATLPATRPYGILCGVPYTALPIASVLSVDRGLPMLMRRKEVKTHGTAKAIEGSFRAGDTVLIIEDLVTSGASVLETAAPLRAEGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVGEEKAAEVKRFLDANRKVTVPGAAPAVKPKVARMPFAQRAGLAKNPMGKKLFEVMEAKQSNLCVSADVGTAKELLDLADKVGHEICMLKTHVDILSDFTPDFGTKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILEWADIVNAHIIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAHGDYTAAAVKIAEQHSDFVIGFISVNPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYTTPYSVINDRASDIIIVGRGIIKASDPAETAREYRIQGWQAYQSSL >cds.KYUSt_chr2.19034 pep primary_assembly:MPB_Lper_Kyuss_1697:2:119714702:119722919:1 gene:KYUSg_chr2.19034 transcript:KYUSt_chr2.19034 gene_biotype:protein_coding transcript_biotype:protein_coding MADTATAPLITNHKAKPAKAPSVDDTIEAYMGTTGTVQLLKAVLLAFAWAFDAQQVFISVFTDAEPNWHCTGSDGTCSDAAASPCALPAGAWAWDRPPVTSVVSEWSLKCAGPILVSLPASSFFAGCLAGGFLLTTLADSLLGRRKMLLASIVSMSVASALTALSPNVWAYSALRFVSGFARSMVGTCTMVLSTEVVGKKWRETVCVAGFFCFTLGFLSLPALAYTFRDASWRNMYLWTSVSSLCYSILIYFLIQESPRWLLVRGRKQDAIEALQQIASLNGNSMTSSFSMLHACTMQEDAAGSSGGDSVFATLHSMWQRPWALRRLAAIMTVSFGVGTVYYGMPLNVGNLGSNLYLSVMYNALAELPSSILSCLLMGTINRRSSVMGLTTVAGLCSLACIVIPQGAARMAAELVSFSATCTMLTVILMYSIELFPTSTTSRVRITGTFLPAGACPAVGALEDPNIARDHGNQGLLIDNQRFASSIADQTTRVEAEWSVRNSAVGLVRQALVLGGVAAPVLVALGRDKSFLSFSVFGLAIGCLGMFAAFLPETRGKSMSDTMDEEEQKEAAVANCAVHHRDSSSSDLV >cds.KYUSt_chr2.11588 pep primary_assembly:MPB_Lper_Kyuss_1697:2:73692688:73693212:1 gene:KYUSg_chr2.11588 transcript:KYUSt_chr2.11588 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVVSGGLPPLLPTPRSCIGLTPPPASYVSKKRPGRATLSESWVKDKLAGGGVSSDRSKVGGGGESADQNPSKMPVRASLGSSWVEDKLLRRAGTSTSGGERASRPTWRDGWSKRAASRAPSADRFEKKAKAPTDEADDVLELETNQYAGPTFALSPDPSLLPIPFLFMKAQ >cds.KYUSt_chr2.32261 pep primary_assembly:MPB_Lper_Kyuss_1697:2:198993909:199002175:1 gene:KYUSg_chr2.32261 transcript:KYUSt_chr2.32261 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIIQASVNSFHGYHHDLVNRGDSGADVSQLFDRAMDMYQKNSEGHKTFTLTHCYSKLKMNHKWKLTHLSLSKGKDDIDLDAPLATSVGRPTGNKATKAALADVASSEKTQASITKCLADVSSTFLSRNKKADERWAELLKRQEEKLELKKRRNDMSLLRASTAKPPGGDEANKGHGKPNETIHTDAVEHRSVSRHDSAGLICNHAADGMPHNLENGSAKKTRRLTTMISADHFSRVSPKENYSTDSVVAEGVTKGPARNTHKYKELRLRSVSPESSLFDHDAHEKLIDDDLNIGFESIPEASGNCEMVPFEDRAVCGPLDVRGSESSPKASLKRRAKRKRPNCKASVKSIVPTGNVGTLASPDVKHPEMDGSISGVTNSELQRPQGCESERPAKRRRKSQCPTPYRGRKSKGLRAEKPSYTPPLELLHDPMSNGHRRWVAPLNNIIHMPPDTPEDQDDHTPEDQDDRRPQVPTHRTGATKRGPPVHYLNQFHSVNAQAHTSLATTQPPLPACLFRAAMQPSATGQHVLPLQAIDLACAPNSSSLAALGAPTCTGDTGGPRGAAGYLVKVVIHAKLDRGGRAAGPVEARYTARKLSSTPTGSGRRASGHLQRRDAFRCPPASVCVARRTETDVFVRASVCT >cds.KYUSt_chr2.16833 pep primary_assembly:MPB_Lper_Kyuss_1697:2:105942766:105944978:1 gene:KYUSg_chr2.16833 transcript:KYUSt_chr2.16833 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKNATAEQKPRSSFSHTYIIQTNHLAKPSQFATLDRWYSSMVATHSPRATTSSSSRLMHTYGTVMHGFSVRLTDDEARRMSRTPGVSGVYKSRVRYTHTTRSPEFMGLNETFGAWHDSNFGDGIIIGFIDTGIWPESASFNDTGLGPVRSTWKGMCVDAEGFNASLCNNKLVGAKVFTAELDGALTPRDKVGHGTHVSGTAAGSKVRGASLSGFSSGTAHGVASNARIAMYKACNTDGCDEHGLVAAIDAAVSDGVDVISMSVGVEGSTNPQFYDDVVSIAMFGADRSGVFVVVGGGNGGPEASSVVNVAPWMTTSLYARKANGTGMLPVVLASCGENDLTPDKIAGKVVVCDNKQSDLKSGIYVQSAGGAGIVAVEGTERLREAVPGRAFILPGLSMSNTERKKLDAYMSSEPYPVASFVFSCDTVTGVENRAPVVAGFSSRGPNTVVPEVMKPDLVAPGVNILAAWSGAALSYPDVDPRSTEYNIMSGTSVACPHVAGVAALIKKVHEDWTPSMVRSALITTVKITDNADGAILDSGGVGSATPLAAGAGMVDPALAMDPGLVYDAGTQDYVDFLCSFNYTAPQIRRFVPELTKCRTALPGGAANLNYPTIVVVFDGDTRVRRLTRTVTKVSEQSETYNVTVAMPHGVKVKVTPTTLVLKRQKEKKSYTVEFRSETVKPAGSWEFGHITWMSDEHKVRSAVGLTWKA >cds.KYUSt_chr3.31283 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196569991:196573000:1 gene:KYUSg_chr3.31283 transcript:KYUSt_chr3.31283 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKPEEISHPAMEQLQGFEYCIDSNPPWGEAIILGFQHYILALGTAVMIPTVLVPMMGGSDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVIPIMAIVQDSSLTAITDNHERFLQSMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPVGMAPVVALLGFGLFERGFPVVGRCVEVGLPMLILFVVLSQYLKNVQIKDIPVLERFSLFICIALVWAYAQILTSGGAYKHSSEITQINCRTDRANLISSAPWIKIPYPLQWGAPTFSAGQSFGMVSAVLVSLIESTASYNAAARLASATPPPAHILSRGIGWQGIGILLSGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYSMAANRGPAHTKAGWFNDYINTIFSSPPTVGLMVAVFLDNTLEVKDAARDRGMPAWVPFRSFKGDSRNEEFYSLPFNLNRFFPPS >cds.KYUSt_chr3.40673 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256551842:256553318:-1 gene:KYUSg_chr3.40673 transcript:KYUSt_chr3.40673 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDVDGDFPPGGGAPEKGPAAGLDKGRRLAWKAAGRGHRGASPHLVPFLQSVSLAGSSQGPEQMAESGPRTGGRTTKQPDLIVFYFLISPVEFLLCDAKATNITADVWRYYLISNLGKRCGGAYERSITEAILEHALKICANKNVEVKMEVVVGNPKEKIF >cds.KYUSt_chr4.51169 pep primary_assembly:MPB_Lper_Kyuss_1697:4:317262989:317265017:-1 gene:KYUSg_chr4.51169 transcript:KYUSt_chr4.51169 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAALAATRIPTSARLHSRAASKQRVDFADFSGLRPGSCSVSAAARETSFSDVLGAQLVVRASGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHGRENSPLEVVVINDSGGVRNASHLLKYDSMLGTFKADVKIVDNETISVDGKNIQVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVMGVNEGGYDHDVANIVSNASCTTNCLAPFAKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVINTVKKGITADDVNAAFRKAADGPMNGILGVCDEPLVSVDFRCSDVSTTIDASLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGAEKTGSGDPLEDYCKTDPAAEECKVFD >cds.KYUSt_chr2.52598 pep primary_assembly:MPB_Lper_Kyuss_1697:2:328171552:328171857:1 gene:KYUSg_chr2.52598 transcript:KYUSt_chr2.52598 gene_biotype:protein_coding transcript_biotype:protein_coding MGETWAAADEVVLLEEVEDEVEEEVVGRRPARSVEHWSMRWRSAAAPVYRMAQWRSAVTAGTVDPPGGAWLQAELNGDSGGAVVWNRASHWYFSIRRDNLL >cds.KYUSt_chr3.30146 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188624516:188631062:1 gene:KYUSg_chr3.30146 transcript:KYUSt_chr3.30146 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPIFRAIPARPEPGRIIPTTTTAHTAAPPPPPPHRSAVSLPAWRLGLSSAQLGFKRHTGARGAERLREMAAMVGARRALFAARYSPRGELAAALVSPARRVDSLPSLPAGGGCLRSLAQHRGAGSLASEQVDGDYHREWGVRSEGNYGEPRSNHSAERHSRPLQRDPPSAHSSEATDRNKSVHVGGAVNAPYGRNSEQYYQSGGSYGLPNSRQPYTGARVNSETPGYNTRQPYGGSSAYGHQNPNGDLPNSQQQHNGTTANNDLSADGNVQMNRDLTRYDTYNSGYNTQSYQQRYTSGQYGYGPSAYQDSTGNAHQAFQQQKVDQTSDGNYANRPGNSASQYPNPSSSDKEQAAGFQQGHHGDFAYSTRQPDRASSAYNHQSPRGGPPHSHQQPSGTGYGTHSLGYNNQSNQHNYTSRQNEYGPSGQSYERSAGNVQQVFQQQNYDQSGHYTNRPGNSASQYTNHSSFRKDDDAGFQQSNASQAYWSPYTANKVDTRRNQTGHFNVNTDVQSNRNGIYQEKHLDNQPFMSGENNLGSTPYQDSRYQHSPPGSLPNNGSPSEVSGEASGIPKVTIEELDKLCEDGKIKEALETLPLLQEQGIILQAPQYFKLMQACADASALAEAGQIHHQISQSELAADTDVNNKILDMYAKCASMEDAKKVFSTMAQHDLTSWSTMISGFVRNGLGEEATDFFDQFKQTGDKPDPGMFTHVFLACGILGSVDEGMLHFESMQKDFGITPAMDHYASVVNMLGQSGYLDEAREFVERMPMEPSIDVWESLMNMCRLSGSLDLGGRCAQIIERLDPSRLNEQSKMGLFPVNASDLAKEKERKKANSVEARSKVHEYRAGDRTHPETLKIYEELRYLAAHMKEAGYVVDTRFVLHDVDPETKEDALLAHSERLAVSYGLITSAPRAPIRVIKNLRSCGDCHTALKIISKLVGRQIIARDAKRFHHFENGVCSCKDYWVPVAALGGVQGLMPLHSATASALLTSMLGLKPGSWGWLSEGFATPL >cds.KYUSt_chr3.36622 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230350483:230351115:1 gene:KYUSg_chr3.36622 transcript:KYUSt_chr3.36622 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGPGPSSAGGASVPDVAPKPPAQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLRYLDQFGKTKVHAAGCAYYGQPSPPGPCPCPLRQAWGSLDALIGRLRAAYEESGGAPESNPFAARAVRIYLREVRDSHAKARGIAYEKKKRKRVQATEASSSSTGAAAAAAVSRDLGGSADVGAAVAASTAQAGGSGSTAPSIS >cds.KYUSt_chr2.39222 pep primary_assembly:MPB_Lper_Kyuss_1697:2:243134178:243135773:1 gene:KYUSg_chr2.39222 transcript:KYUSt_chr2.39222 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQAEADVKPHAVCVPLPAQGHVTPMLKLAKILHCRGFHITFVNSEFNHRRLLRSRGAAALHGIEGFRFATIPDGLPPSDADATQDVPSLCRFTNATCLPHLKRLLADLNGSTESPPVTCIVGDDVMSFCLDAARDIGVPCALFWTASACGYMGYRNYRALYEKGLFPLKDAEQLTNGYMDTPVDWAAGMSSHMRLKDFPTFIWSTDPDEYMSHFALHVTERIAEADAVILNTLEELEPVALAAMRAMFPPSSPIHTIGPLAFLAEEIVPQGGPVAELGSNLWKEDLSCFGFLDGKEPRSVVYVNYGSITVMTNEELLEFAWGLANSGQAFLWIIRPDLIKGDAAVLPPEFLESIEGRGVLASWCPQEAVLRHQAVGVFLTHSGWNSTVESLCGGVPMLCWPFFAEQQTNTRYGCMEWGVAMEIGHDVRREAVEVKIREAMCGEKGKEMRRRAVEWKETGARATKPGGRSYANLEKLIADVLLSGGKSR >cds.KYUSt_chr7.25054 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156309092:156310468:-1 gene:KYUSg_chr7.25054 transcript:KYUSt_chr7.25054 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQGLLPVYYHSRPWAKTLMNLSVPYWRCTGTAGRTRIMAADMNKCSPATRPADIAAHDRSAAASAIKPNKKKQQQEEEGDEEWLRYLEPSKLEVFDQLEPWAEANVLPLLKPMDAAWQPSDMLPDPAMLGADGFHAACCELRARAAGIPDAHLVCLVGNMVTEEALPTYQSIPNRFEGVRDLTGDSGTAWARWIRGWSAEENRHGDVLNRYLFLSGRVDMRRVETTVHRLIQSGMVMNAARSPYHGFIYVAFQERATSISHGNTARLAGEHGDAALARVCGAIASDEKRHEAAYTRVVGKLFEVDPDGAVRALAYMMRRRITMPASLMDDGCHAPGGDLFADYAAVAQQAGVYRASDYRGIVEHLIKQWRVEELGDGLTGEGRRAQEYVCALPHKIRRLEERAHHRSAQRATTSVPFSWIFCRPVNL >cds.KYUSt_chr2.17546 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110546605:110547834:1 gene:KYUSg_chr2.17546 transcript:KYUSt_chr2.17546 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVTSLPVTDDLLAEIFLLLPTPADLVRASAACASFRRLVTDRAFLRRLRSLHAAPFLGFLNHNGFHPALPPHTSAPAARAVSLAADFSYSFLPSHDDGWKVRDVRDGRVLLDRTPEDDDAEDPSSPVFTELAVCDPLHRRCLQLPPIPDDLAASVEHPQRVEFQRWCEPFLAPPSQADETSETSFRVIWMAQCKTKLVAFLFSSTTGEWRAVASQGWADLLAGTGVSTASSKSPVFFGRQYACGCFYWVMDWREKLLTLDTTTMEFSIADLPPGCRRPPIAIVDAGGGRPGMFSVRENVAGSTFDLYYTIRRNNNDGPQRSNNRWQMERIIPLDSGYRYYLRSATERYLLLIRSEEESSSLQMADVECFSLDVKTLQFQSVCRLKHHILRAHIYTNFPPSLSSQTI >cds.KYUSt_chr6.25053 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158755847:158759291:1 gene:KYUSg_chr6.25053 transcript:KYUSt_chr6.25053 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEGWRGLTAVEAPAAMDPILACAGHAAALHIPNELLAAREVESISEEELKAAKQGYQEAVSKGNGK >cds.KYUSt_chr2.14607 pep primary_assembly:MPB_Lper_Kyuss_1697:2:92302270:92306521:-1 gene:KYUSg_chr2.14607 transcript:KYUSt_chr2.14607 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVKVDMLEIEKAVDKIQGNVPKIEWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLTYDNLASGLKLALEKDKTALDADRLKNYTGPQLRELLNWPRPLPIEEERVRLLHEVGLELERSFGGSAANLVKSAGNSAASLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDINSITIFADYIVPAVLRELGILKYESNLCCSIDSNREVVSGSEEEVEIRACSVHAVEKMRELINKKFGKQLLSIDLDLWLWSVGVQNMALSHHRTLSIYY >cds.KYUSt_chr4.24451 pep primary_assembly:MPB_Lper_Kyuss_1697:4:153945867:153955995:1 gene:KYUSg_chr4.24451 transcript:KYUSt_chr4.24451 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAKRPASTSTSPSPSPSASAPPPKRPKVRPLSPCESDRNLAPDLIDLNRVHHQAEPPASPTSSAPGRAEEDSVTAAATGSAGLADDALTPANKDQGADKRAATAAESSRRRKETVPQQHVAPWAKLLSQCSQSPHISITVPQFCVGHSKRCNLLLKDQSVSKVLCKLMHTEQGGPCELEVTGDKGVVLLNGRAVSPGVKLPLAGGDELVFSSCGKHAYIFQHPLNDKVSKVVPSSAISLLEPPSAGVKHIHMENRTEVTSAVAGTEILASVSNQSKDLPGVPPASAGEDNQRLVQPIASSASDKSKGRCISPDKECENGENTNEANSNIEDSPMDVSATPISPDAVVNDSNRQTGFGSDAHLDEIALEDQRDLITDLNTSASLPPSRCQAFKDGMKQGIISPSDIEVTFENFPYYLSENTKNVLLSCSFLHLEKKEFIKQFSEISSINQRILLSGPAGSEIYQETLIKALAKHFGARLLVVDSLLLPGVPSKDPETQKDVVKTDKSGDRAFVEKLTILHKHRPSLADTMHFRRPVAPASSVNADIVGTSTLHSAATLPKQESSTATSKGYTFREGERVRYVGPAQPPAAIPRGPSYGYRGRVMLAFEENGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSAELLRPDCSGGEEVERLAMTELIEVISEESKNSSLIVLLKDIEKSFTGITESFSSLKSKLELLPPGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQDEALLTSWKQQLDLDVETLKAKSNISSIRTFLSRSAIECNDLEELFIKDQSLTNESVDKIVGYAVSYHLKNNEVETSKDGKLVLTSESLKHGLDMLQSMHSDNKSSKKSLKDVATENEFEKRLLADVIPPNDIGVTFDDIGALENVKETLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDATNREKILKVILAKEELGQDTDLDSLANMTDGYSGSDLKNLCVTAAHFPIREILEKEKKEKNVAKAEGRPEPALHGSEDVRPLSLDDFKSAHEQVCASVSSDSANMNELVQWNELYGEGGSRKKKALSYFM >cds.KYUSt_chr3.10878 pep primary_assembly:MPB_Lper_Kyuss_1697:3:64755472:64756682:-1 gene:KYUSg_chr3.10878 transcript:KYUSt_chr3.10878 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPAPALMIPPRVDLDGDDPSTLVRGTPEWLRRAGLIRGALETHGCVAVGCRRRVPPELRERMLVEMAGLFALPAKAKRRTGDADGPYKSFGVLLPYMERRDSAACRHEAFAVLNAGGAGGGEVARAFVTRAWPNGNDRFLETLKSTSGEMTRLARVILAMVIDSYGLAHRSDEIVGTTDANFRMLRYCKDSVGTSPPDEQPAVALAAHVDGSYLTVLFQNDVDGFELRTRNGGEWVRVRPPGPDSLLVVAGQALMALSNGRVHAPLHRVVAVGGREDRLSCGVFLQPTKNFVVDAPPELVTADTPRRFRPFEYVDYLRFKHTAGNSNSDDVLHRFAGM >cds.KYUSt_chr7.34101 pep primary_assembly:MPB_Lper_Kyuss_1697:7:213053178:213054386:1 gene:KYUSg_chr7.34101 transcript:KYUSt_chr7.34101 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTTRSHVLHLAALLLLLAAGAAAQPVPPWERSRDGDGGIMPGTRQPPSFSAPMVVLLVALIATFFFIGFFSIYIRRCGRGDPPANAIRTSALFTLSPQEQDRQPRGLDPDVLASFPAMTYAEARALREQAGGGKDVALECAVCLSEFEDEEQLRLLPECSHAFHPDCIGEWLAAHVTCPVCRRSLVPEEPATVEAAEENTPGEEQQRPEVAIDMSREGGQNEDEERRVEAAELERIGSLRRAVRSRSGRPFSRAHSTGHSLSARLDRDLERFTLRLPEQVRREMVAAGEESLRRTVGRGAAGARSARIGRSDRWPSFIARTFSSRVPFWAASRRAPDVETAGGPGSTTTIDEKSAGVSASSNKGSVRFDCLGGGVAGARVGDDSEDEPEEEKAIAGRRV >cds.KYUSt_chr4.24904 pep primary_assembly:MPB_Lper_Kyuss_1697:4:156704162:156711388:-1 gene:KYUSg_chr4.24904 transcript:KYUSt_chr4.24904 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTEPEVQVPSTALNCTISQSTGKFTLAHVNLIIFYYFNAKLYTYHSCITNKMLLSNYEYDYVAGPHDCDPEVEAINDGAPEGSYELVYEEPGLTGGVEGVDYEIVYEPDDTESSNASLNVDTKTSFIPREGAGFLSHVQLKNDIQGHNKLKLTGFEMIEIIVDVERCLQAFVGVAPDPRSIIIAFRGTQEHSASNWIEDLFWKQLDVTYPGMPDAMVHHGFYSAYYNTTLRHEISKSVQWAWKTYGKLPINVVGHSMGGALASFCALDLSVKYGSHEVQLITFGQPRVGNPAFATYFNDQVPRTTRVTHENDIVPHLPPYFPYLGEWTYHHFAREVWLHETVVGNVVTKNETVCDCSGEDSTCSRSVYGTSVTDHLEYYGVSLHADSRGTCQFVIGSSNSAYSDIVQVDGTIILSRYPQEQHSVESI >cds.KYUSt_contig_1181.722 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:5006283:5013269:1 gene:KYUSg_contig_1181.722 transcript:KYUSt_contig_1181.722 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMRQAAARPRPPLASSATLNLCSPPQPLLEIWIGSGRRTPRKARGDLHQIIRYIGSLTHSSLKLGQTVGSERRRFKRKLSWAPFKVGSITISDTAGIATEATSVFRSPGRVLDFQLQGRDCCGNTGCNERGIDQHWEEDRRKSGFRKRSRQVAEICSMSEKGRGRGKNRGEVVEEDSGNNSNLLLSRCHRRLPTISGSNLKWFPLKVLLVAFLLTSSIHSGISLVVVFLLSRFTPTNTLVHGSLLAWRLFHGLSSNSSSRLLKNRTRITKLRNSHKKIKRFGLPKENVFVKVHVWSGVMDYYADLEEVWLQIRRLNQEWCKWPILMQSVTSFGIMVDVDWHGMFKTFYEVVRVKIKCRDHSRIPGSRIFEVQGVLFQMRFAVEGPTSVVNLEEGGNNIHPPHPDPDNEDKDQGPEDGTLKENDVMDTGNHSTPSAGQTSTGASRANLGAPATDKQKSTSTLSEKVHEIAQFYLNQKGDISPGPSKLSPIPDMVAANDKVMDWINQTTQIDNSCINLLQDMELGQEEYDFYESQTQDLSQEELSAHLDYVPLSKQTNKWGPIAGTRQSSRLFNNEGKSMLQLAQELAQKKNLEKVVPASSRVTGNPSSNPFEVISPYNFIDIANTVGIDTSLVINELKSPVVDDNIPAKLSTPAHLKGPDYDEDFPDALDIKSESEELSHEELLRLKIIYAEMHNLWLKEEVKAKQRSRDRDIKEGDRNTAYFHSVANQRRRKMVVHSLDGPDGPITEEADMLELATSFYKDLFKKEVPSGYRLAEDFYSSEECVTAEQNRGLEAPFTEEEVKKAIFDSYSDGAPGPDGLPFIFYQHFWNMLKDDLMAMFKDFHEGKLDLFRLNFAILTLIPKEPEASSMKKFRPISLLNCCFKIFTKVLTNRLALIMGIITSINQSAFIKGRFILESVVTAHEVLHSIVHERKEGLVLMLDYKKAFDKVDIDFLLDVLLKRGFFPKVLAWIKAITTQGSVGVKLNNVVGNFFVTGKGLRQGDPLSPLLFNLVVDVLTRMLIKASNANLIRASNLKVVLTCFEQVSGMRINYEKSELISLGVSEEEILRMIPLSVRRWKIIFKDPMLPLVDHLCELALKEISTNFRIEGG >cds.KYUSt_chr4.47152 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291854979:291855915:-1 gene:KYUSg_chr4.47152 transcript:KYUSt_chr4.47152 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPPRALAVLFLVVLAGPISTAAGAKRHAIPDDLRDVVDDEEDEDWRHWGAPAKLPDRPDPPPDLSRMDPAALRAELLRGGQAGPSLGFVKLRLGVRRSQEDVMGIATRWSKVLRTGSVAAKFVAVDFGTLMFTMERGRDLRELKEFILGQPEAYEFKIGDQVFRRPGDPPLEQVIETLRKEKMNKSEDEL >cds.KYUSt_chr3.14809 pep primary_assembly:MPB_Lper_Kyuss_1697:3:89974100:89975362:1 gene:KYUSg_chr3.14809 transcript:KYUSt_chr3.14809 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTDREPAAAAGDGTARPPERRQQQLGQGRRPYRAAEGDEAPVPVRTRPPEPEPQPPRPRPATNHAAEARAALLADVARPPAQAERAPKGRATREAPVAAAVARPPVERPATPEAPAATVASPPGQPHGAREGRAAREAPLATVTRPPGHPNGVPEGRAAQQVAKPPGQANGVPDGRANQEPPAPTAARPAEPRPEPYYVPEDGRGAPVATTDRPPSPATWPYPYYYEPEQPRRRRRASPLTSCLLAAVFLLLAGGGAAAALFLLFRPRPPDIAVSAVRLPSFAAANGTVAFTFEQLATVRNPNRAPLAHFDSSLRIAYAGGEIGSIYIPAGLIDSGSTKHLTASFAVQAFPAATPPPPLQMAAQQPAAAAVVMEVDSLLVVKGRVKMLGVLTHRVQASKMCRVGVSPMDGRVLGFRC >cds.KYUSt_chr5.11021 pep primary_assembly:MPB_Lper_Kyuss_1697:5:71467895:71468347:-1 gene:KYUSg_chr5.11021 transcript:KYUSt_chr5.11021 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTVLYLLQEKTGYFFCVEDRALLCRSCDVAVHTASPHVSAHRRFLITGVRVGATQDHIDADPSGAAAIVSPSSSSANGSNSVPTSGNLTVADNRLSAEAAGLMEGGEDDVGQQQQWPWSDIFADDGVGMDQHQCYPGFSEPGSSSLTG >cds.KYUSt_chr3.17028 pep primary_assembly:MPB_Lper_Kyuss_1697:3:104447116:104447712:-1 gene:KYUSg_chr3.17028 transcript:KYUSt_chr3.17028 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPQQEQQLLRVLDSAKLSPAKQPPASSLPLSGLDADRNMLDVSFRTVRFFPPHPVSLDPLDVLQDAFAAALAHFPQLAGSLRDDGRLVFSGAGDDAVTLVLAASELSLPTSTRTRRTRRCSTASRRAMETADRQRSRSARPLAPRAAASRSGCGWRTRSATARGPPSSSQPPHGLHARRSRGMGVSVAAFRRTP >cds.KYUSt_chr4.47459 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293751468:293766419:1 gene:KYUSg_chr4.47459 transcript:KYUSt_chr4.47459 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQHDPRRSLRGRASKLRNHLHLRSARWVPLLLLLATRPRRLGLAVTLATRRPPPAAALAPFQKLFLVVAAAMGPVRVALLLVAAAVLLGPGGGSEGVYIPYNTSAGVVKGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLKDENRKFIYVEQAFFQRWWRQQNDVIKDTVKGLISSGRLEFINGGMCMHDEATVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGAEVGFDAFYFFRIDYQDRDTRKETKELEVVWRGSKTFGSSADIFAGIFPKNYEPPPGDFYFEVDAESPVVQDDPLLFDYNVEQRVNDFVAAALAQANITRTNHIMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKFAANEPWPLKTNDFFPYADNPNAYWTGYFTSRPALKQYVRMMSGYYLAARQLEFFNGRTNSGITTDSLGDALALAQHHDAVTGTEKQHVANDYAKRLSIGYKKAEELVSTSLACLSESGSKSHCSSLKTNFGQCPLLNITYCPPSEMNFSQGKSLVVLVYNSLGWKREDILRIPVMSDSIVVHDSEGREVESQLLPIANASLYMRDKHVKAYLGTLPAAKPKFWIAFPVSVPPLGFNTYFVSSGKRSAIVSTISTLHSHGSENSNLQVGQGHLKLQYDAAGELSLYSDSKTRVEANFEQKYKYYVGQDGNGSDPQASGAYIFRPNGTVPINTDGQVPPTIMRGPILDEVHRRINSWIYQITRVYKGKDYVETEFIIGPIPVNDGNGKELSTEIITSMATNKTFYTDSSGRDFIKRVRDYRSEWKIEVNQPIAGNYYPVNLGIYMEDGSKELSVLVDRSVGGSSIKDGQIELMLHRRLLHDDGRGVGEALNETVCSNSQCEGLVIEGKYYLKIDPQGEGARWRRTFGQEIYSPLLLAFSEQDGGNWVNSHVPSFSAMDPTYSLPENVVLLTLQELEDGSVLVRLAHLYEAEEHKLLSSPASVELKRVFPDKKIGKIIETSLSANQERASMEKKRLKWKVQGPPPKEDVVRGGSVDPSKLIVELAPMEIRTFIISFDHGFTEHVIRPVCRYGPGSCLFLSTTRHVCISDPHAIGASSNIVLITLLLMGTRSLFFPVPSAVVHDPPSVYPQPGDMPNSTPPEHAAAAEDATGGGTSYDEAAGMLCHYSTNAKRAPPRLELKVDAPLLRLIKFMRSAAAGTQVAVCRAEDEELGEPAKKRMGRRRLGKVAVRLLQLGPHNIVRTDEVEIFAKFLYKKPKKIVCQIRAIRHVKSSSEQYRADPPPPGMHLPWRRIADVTEKTFFLQFEKGTLERSYGKMLYTHPSLILLPLPRASNEGDEQEAQATTAQQTHMIRAAVPVPPSVEAVMTSTRMIGSTYTGANFAAHEHQGYLRFD >cds.KYUSt_chr4.37026 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227665704:227672981:1 gene:KYUSg_chr4.37026 transcript:KYUSt_chr4.37026 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAKRAALSPKVKRRVGKYELGRTIGEGTFAKVRFAKNTETMEPVAIKILEKEKVQKLRLVEQIRREICTMKLIKHPNVVRLHEVMGSKARIFIVLEYITGGELFETIYTNGRLKEEEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDAAGNLKVSDFGLSALTEQVKDGLLHTTCGTPNYVAPEVIEDRGYDGAAADIWSCGVILFIMLAGFLPFEDDNIIALYKKITEAQFTCPSWFSTGAKKLISRILDPNPTTRITIAQILEDPWFKKGFKPPVFDDKYETSFDDVYAAFGDSEDQHVKEETELKPTSMNAFELISLNQGLNLDNLFETKEEHKRETRFTSQCPPKEIISKIAEAARPLGFDIQKKNYKMRMENPKAGRKGNLNVATEVKEKGMTVPSDCLSREFIGSICQ >cds.KYUSt_chr1.7222 pep primary_assembly:MPB_Lper_Kyuss_1697:1:44262533:44267293:1 gene:KYUSg_chr1.7222 transcript:KYUSt_chr1.7222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L18 [Source: Projected from Oryza sativa (Os05g0155100)] MVSATTKRYYRLRYRSRYRNDLQSLLDPKRYRSGTTVTLVTVLKRYLGGTTALKRYYRSRGTTKGIDLEAGGRNKRTKRVAPKSDDVYLKLLVKLYRFLVRRTKSKFNAVILKRLFMSKTNLPPLSMRRLSNFMKGKEEKNIAVVVGTITDDKRIQEIPAMKVTALRFTETARARIVNAGGECLTFDQLALRAPTGENTGLIRICKCVHLQILLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >cds.KYUSt_chr1.4004 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24364361:24364861:-1 gene:KYUSg_chr1.4004 transcript:KYUSt_chr1.4004 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPVHLHREWSMRARAGTLCPDDAHQLLDQLLHQATPVPEHKHPLNDFLAALAAAPASDACGDGLALATALFNRVCRQAPQAAPFTDHTYLALVECCYRARRPNLALSFLARFLKTPAEMAPLSPWLSSTACAEKKKKACRWRLSQATPTISLWTAVAAPVART >cds.KYUSt_chr3.17692 pep primary_assembly:MPB_Lper_Kyuss_1697:3:108471373:108474035:1 gene:KYUSg_chr3.17692 transcript:KYUSt_chr3.17692 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEGVRHRTVEVARGVRLHVAEAGPEDGPAVLLVHGFPDLWYGWRHQMAALAARGFRAVAPDLRGYGDSDVPPAKDSYTTFHLVGDLVAIIADFGQPQVFVAGHDWGAYVAWQLCLLRPDLVRALVNLSVEYHPRRSEGTTLQAIRALCGEDHYMCRFQDPGVAEAEFALYDHRYKFKAILGMRKAAPIILSKGKTFFESLDSDGTLPAWLSEEDISYYAEKFEKTGFTGGLNFYRCLDLNWELSAPWTGAPVKVPTKFIVGDLDLTYNTPGVKEYIHKGGFKASVPNLEDVVILEGVGHFLNQEKPNEVSEHICEFFSKF >cds.KYUSt_chr2.37799 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233978555:233978782:-1 gene:KYUSg_chr2.37799 transcript:KYUSt_chr2.37799 gene_biotype:protein_coding transcript_biotype:protein_coding MANIAERRVEMPEDSTVGKAATAVPPEKRLNRFVHFVATVERLGNALGTLAFTWATVVLLGGDIFEEALVHIGCD >cds.KYUSt_chr4.33694 pep primary_assembly:MPB_Lper_Kyuss_1697:4:206702206:206703647:1 gene:KYUSg_chr4.33694 transcript:KYUSt_chr4.33694 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSKSSALVLMSLHVIAAVLLLLPSGARAEGECGKVKCGMGSCDESNNYAFGFACQCNPGWSRYHLGDMQFPFLPCVIPNSCLLQYCGGGSCEKTGFTHRCVCRDDFKNFFDNDTFPCYRQCSLGTDCSGLGIGILNGSNTSTSPPAPVSFALEKSSAPGGWAPAAHMLPEIIMLVSIFLVQTLL >cds.KYUSt_chr5.11532 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74784750:74787737:1 gene:KYUSg_chr5.11532 transcript:KYUSt_chr5.11532 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPKAVADMDHTVDGAMSAVLLSLLGGVTAKSGKGAAAADDKVEWLRSQLIGKDVEFDTPFGRRALTYADQTASGRSLSYIEDYLVKEVLPFYGNTHTEDSHVGSKTTRLVHKATRYIKRCMGAGAGDALFFCGSGTTAAIKRLQEVIGVALPSVELRNRLLAQLRPEERWVVFVGPYEHHSNLLSWRQSLAEVVEIGVDADGLVDVAALRSALGSPEYLDRPMLGSFSACSNVTGIMTDTREIARILHQHGAFACFDFAASAPYVKIDMKTGEIDGYDAVFLSPHKFVGGPGTPGILMMNKSLYRLSSQPPSTCGGGTVAYVNGFNEEDTLYYDDIEEREDAGTPPILQKIRTSLAFWVKEYVGYDTMGLHERVYSEMAMKRLVNNPNVRVLGNTNADRLPIFSFLIYPSVKEKLFDGFGETGCDEQFENVRRKQLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHILLDVDNETSLRIRSAILEGYSGLKPGWTRLSFTYYLSTEEFKFILNAIEFIAEFGHRFLPLYRFDWITGNWTFRKQAIKYHIMREELSLGTEPLHNQNDQPKLANKIGKPDVKHNKFECYLDSAKKVALSLPDISNQIVSVPKGVDPDLVLFHI >cds.KYUSt_chr6.9503 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58639470:58644914:1 gene:KYUSg_chr6.9503 transcript:KYUSt_chr6.9503 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQCNTRPAWDPLPTPPLTNFPHELPNLLPMSLTSCPISIYSRLHGTFSGVSEGFASPAVRRHGRDDRGIGSCVSGPPSAATITTRCVTKPVASSAPPTPAAVAASAAPARPRLAPPRRPPPRPDEATPGHGSPRPRRRPAPSRPRPSRRPPPPPGHGSPRPATTAPRLPARGRAAAAAWPGLLARAHEWPTRPAACPPVRARRGRESRRARTAPIGSTPADRFSRLASGNLGPAQRPPLGDRRSPRCRCRDSWQNGEARSLVSLFAVRFPRGAAATHRRPPSTSSKRLIGRPAPPPPSTSPPSTRNSSPSHASAGRLHHLLCRTCGVVDLHHLLWPTYSASATVASLHHLLWPTCSTSTTTENRVHSHVMGGAVVNRVTCSLFSLIFFSLSKPPWETTEQRGEDARRERLREVASDEREEAHRAAGGSATPPPASTTRRHAAGDEL >cds.KYUSt_chr2.38382 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237900434:237900667:-1 gene:KYUSg_chr2.38382 transcript:KYUSt_chr2.38382 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAGQRELEAGSFVSGSPIPGRGGSLVSASCSSPKIGPQRRRLRRQLGERQAGGWTPDLDRVVARGCSGELRWGG >cds.KYUSt_chr2.50581 pep primary_assembly:MPB_Lper_Kyuss_1697:2:316209426:316211565:1 gene:KYUSg_chr2.50581 transcript:KYUSt_chr2.50581 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNRSSIAESLPAMGETKEEEKKFELKTAVYKVHVHCGQCARDIETQFTEFHGVQEVKLDAGSGKVTVRGVGFDVEKLRVKVSDGCRKHVEFIPPPEDLITEIKTKEEELTIITLKVGLHCPDCAVRVREILLEHTSIYAAKTDFGKSSCVVEGVIEETKLTEYIYQRTRKHCTIEKVEKTVRIIEEKVEVKKEDKVEEVVEVVEEVIEEKIKEVVAPYFIPCTHPHFIDYSHPSYRGGGCGRRRSPCGGGGYGYGYGGGGYGYGCDDGFGDGYGSYTHSELSGYQETSFLHCSHPVDFLSYEDPNACSVM >cds.KYUSt_chr6.5174 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30677926:30678549:-1 gene:KYUSg_chr6.5174 transcript:KYUSt_chr6.5174 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPPQEEDGGGEKELQVAEHQSMCWPKRSYSKDLNTKADGRGGGSGCQRSGRSGSSSELASSAATDGSVRRVVPMFASHAAARLGLKLELSQEGHIGVPAGQLLGGWERRRTWFV >cds.KYUSt_chr3.12762 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76554426:76557428:-1 gene:KYUSg_chr3.12762 transcript:KYUSt_chr3.12762 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRPFPSSFNSLTAGTTSRRTRLVFCCSCSATGDEALTVAITGATGFVGRRLVQKLLSDDHKVCVLTRSATKAASVFPSSAYPGVTVAEQGDWEQCIKSSNAVVNLAGMPISTRWSPEVKKEIMQSRVNVTSKVVDHINNASADARPSVFVSATAVGYYGTSETDSFDESSPSGKDYLAEVCREWEGRARQVNEDDVRLVLIRIGVVLGKDGGALAKMIPLFMMFAGGPLGSGRQWFSWIHLDDLVDLIYESIKNPAYRGVINGTAPNPVRLAEMCDRLGQVVGRPSWLPVPELALKAVLGEGATVVLDGQKVLPVRANQLGFSYRYPYIRDALKAIAKEL >cds.KYUSt_chr6.6177 pep primary_assembly:MPB_Lper_Kyuss_1697:6:37222131:37226186:-1 gene:KYUSg_chr6.6177 transcript:KYUSt_chr6.6177 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGEVTAAAAEEVARNPRCYLDVSIGGDLEGRLVVDLYASVVPRTAENFRALCTGEKGVSAATGARLHYKGSCFHRIVKGFMVQGGDITTGDGTGGESIYGLNFEDENFVLKHERKGVLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGRVVKGLGVVRAMEHISTGEADLPTSDIVIVDCGELPEGADNGVVNFFKDGDMYPDWPIDLDEKPADVLWWINAVDSAKSFGNENFKKQDYKAALRKYRKAMRYLDICWEKEEIDQENSSALRKTKSIILTNSSACKLKLGDLEGALLDADFALRETEGNAKAFFRQGQARMALNNIDGALESFKLALDLEPNDGGIKREVAAAKKKVTGRRDLERKAFSKMFETSGSSEKIDEVY >cds.KYUSt_chr6.29772 pep primary_assembly:MPB_Lper_Kyuss_1697:6:188747501:188750183:1 gene:KYUSg_chr6.29772 transcript:KYUSt_chr6.29772 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHMFGGAWGSLPYPGSNANANANENQFMFDAKSAPQQLQLFGSNTVGASGYYNFNANNNLSVMNQARKTGNYTVDEKQLKLQMSLNNYADGLACTGNSSVVSTGLKLSYEDNERNSCITSGSGSMSLTSTTPFVNDIMKEMEKGNKEIDHYFKIQMEQLSKRVKEMEQRRMVSFLASLERGVGKKLREKELEVEAMNRKSQELNEQIRQVSMQVQSWQSAAIYNESIASSLKSQLMQVVADHANRTREGCGDSEVESAAPGQKNNINAAAPGGFFESSLLLRGKNVASGSGPAAAATCRWCGAKEAAVLVMPCRHLCLCADCERVAGTCPVCHFPKSGSVEINMS >cds.KYUSt_chr3.42968 pep primary_assembly:MPB_Lper_Kyuss_1697:3:271536870:271540095:-1 gene:KYUSg_chr3.42968 transcript:KYUSt_chr3.42968 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTRRLLAAAAPAGRLFCLLVLCTAVESSSAPVRVGVVLDLTSDVGRKSLTCISMALDDFYLKHPSYATRVELRVRDSRGDLVTAAHATEELMDKNSQVQAIISPQTSPETELFAGTAERSNIPFISSSAAWPASSWAKSRFFVRTAPSMSSQARPIAAILEAFAWRAAILLHEDSPYGIGILSSLVHAFKGSRSLTDSVAVPGDATDSLIDAALLVANTMPTRVYIVHMLPALAARLFRRAMVAGMISEGYVWIATAGVGDAVDSLPDHGDINNMQGVVSLLPYVQATEELRSFRRRFRVRFRLENSALQDDDLNVPMSLLWLYDTAWAAAVAAEVSFRTAPPTTFLDALLVSKFDGLTGRFRLVGGQLRVSTYEIVNIIGKGVRTVRFWTPEFGISTSLYPKSPGKELKQILWPGETAVVPIGWTATPNGRPLIVAVSVSGGPSRGYYLEVFEAVMARLNYALAYKYILVSNASMEFLLNMVHDKEVDAVAGHVTITASRMNLVSFTMPFAETGYSMIVAEEDISNSMWIFVKPLTPELWLTSLAFFLFTGFLVWEIEHRINPRFSGTPLKQFGTLLYFAFSTMVFSHKEKLESNLSKLVVIMWVFVVLILTTSYTANLASMLTVRQLQPSMNDWTEKDYVGIQEGSSVELILEKMGFPEAKFRMYTTIDQYADALNKGSDNGGVTAIFDEVPYLKLFLSRYCEGYSMVGPIYKSGGFGFVFPLGSPLVEDVSRAILELKEEGELTLIENKWFNPFGACVSRSKGVEARLGLWRLGGLFLTNAVVSGIVLLVHLASLFSGKPRADSEGAVGALQWLRAWLRLFNTSEERRGEPGNNGRGDMELNHHGLAAGATEQQGDTGDSDSTPLYASDSGRNAASAPVPEEILAHDGDDAIISPQI >cds.KYUSt_chr6.24967 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158178697:158179269:-1 gene:KYUSg_chr6.24967 transcript:KYUSt_chr6.24967 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRSIFTRLLSSPSASPISHLHRLLSAVSPSPGLNVEEYLVDRCGLTRAQAIKASAKISHLKSPTNPDAVLSFLAGLGLSTGDVSAVVAKDPKLLCSAVDKTLAPVVTGLAGLGVARLVLVARDRIRCRSIVSYLNYYLPIFGSFHNLLRALKFNNSLLGYNLERTVETQRHVPADCAVYMIAILPS >cds.KYUSt_chr5.9529 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60575943:60576980:1 gene:KYUSg_chr5.9529 transcript:KYUSt_chr5.9529 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSMSRLVKATIALVILVLLFMPAAMASTVASFDATHSQHLPLPRGTVRGPESVAFDGQGHGPYSGVSDGRVLKWNGDKLGWTTYTHAPGYDSKLCTASRLRPETATESRCGRPLGLRFHQKTGDLYIADAYKGLMRVGPGGGEATVLVNEVNGMPLRFTNGVDVDQITGQVYFTDSSMNYPRSQHEMVTKTGDSTGRLMRYDPRTSDVTVLQAGLTYPNGVAVSTDRSHLVVASTGPCKLLRHWIKGPKAGTHEPFADLPGYPDNVRPDRRGGYWVALHREKNELPFGRDSHLLAVRVSANGKIVEEMTGPKSVRPTEIMERDNGKFYMGSVELPYVSVVTRK >cds.KYUSt_chr6.24143 pep primary_assembly:MPB_Lper_Kyuss_1697:6:152495546:152496205:-1 gene:KYUSg_chr6.24143 transcript:KYUSt_chr6.24143 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVALSSFAAGAAAPRVRAAVGLQHGAGASFGARSPPVAGKTAQRRHGLVVRAQNDPKPTTKASTKFWDVLSFNGPAPERINGRLAMLGFVAALSVEASRGVGLLSQAESGAGLAWFAATTAVFSVASLVPLLKGESPEERAGPIMNANAELWNGRLAMLGIVALAATEYLTGAPFVDV >cds.KYUSt_chr6.25792 pep primary_assembly:MPB_Lper_Kyuss_1697:6:163405554:163405928:-1 gene:KYUSg_chr6.25792 transcript:KYUSt_chr6.25792 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDLGQLDLLSPQLPPVRTSAAPATAEDGCATPKLAANVLPAPLVCPPAPRKPRPARAKRRKHSCCRRPATVPVQAERYYWIVAVPHDLANMFVARPSSPSSSTGVSICRPPAGKKIRLHVLG >cds.KYUSt_chr6.5492 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32807027:32812088:1 gene:KYUSg_chr6.5492 transcript:KYUSt_chr6.5492 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTHVVQAAPAAALLLVFVQLLASWSSFAVATDPPFSCGGPSTAPFCDRKLPIDQRAGDLVSKLTLEEKISQLGDESPAVARLGVPAYKWWSEALHGVANAGRGIHLDGPLRAATSFPQVILTAASFNPHLWYRIGQVIGTEARGVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTMTGKYAAVFVRGVQGYGISGAINSSDLEASACCKHFTAYDLENWKGVTRFAFDAKVTAQDLADTYNPPFKSCVEDGGASGIMCSYNRVNGVPTCADQNLLTKTARGDWGFNGYITSDCDAVAIIHDVQGYAKEPEDAVADVLKAGMDVNCGGYVQTHGLSAIQQGKITEKDIDRALHNLFTIRMRLGLFNGDPKYNRYGNIGADQVCKQEHQNLALQAAQDGIVLLKNDGGALPLSKTKVSSIAVIGHNGNNATLLLGNYFGPPCISVTPLQAIQGYVKDARFVAGCNAAVCNVSNIGEAVHVASSADYVVLFMGLDQDQEREEVDRLELGLPGMQEKLINSVAEAAKKPVILVLLCGGPVDVTFAKNNPKIGAIVWAGYPGQAGGIAIAQVLFGEHNPGGRLPVTWYPKEFTAVPMTDMRMRADPATGYPGRTYRFYKGKTVYSFGYGLSYSKYSHSFVSKGTKPPSMTGIDGLKAIESAAGTVSYDVEEIGAEACDKLKFPALVSVQNQGTMDGRHPVLLFLRWPNATDGRPASQLIGFQSVHLRAAQTAHVEFEVSPCKHFSRAAKDGRKVIDQGSHFVGVGEDEFEMSFMA >cds.KYUSt_chr1.36230 pep primary_assembly:MPB_Lper_Kyuss_1697:1:220888855:220890546:1 gene:KYUSg_chr1.36230 transcript:KYUSt_chr1.36230 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEAMQLGGGEEACKDPGNPPATGHQVRDRAAPSHSSPPFPSTESSSSEEHEDEQHKPVASLPEDAVVEILSRVPYRSLRRFKCVSKPWLALCSDPKIRKRCPQTLSGFFHFSRDGLKFHNLPRGGPPIVDPSMSFLRESYIHVQLVQCCSSLLLCQCLKSTPEGGYPYEFVVCNPATEKWAVIPPISLPGREERSLLDQINVFLGFDTAAPSRFVLFVPQRSVCETAEVAIYSSQTGRWTSVQNEWGDQTIPVGNRECAFVNGTMHMTTLYSWVATVDAEGKVCRKFKLPYVSSSDTFGVPGSTGHSQGHFYAWQINNYSDCQLYVWVLEDYDSGKWTLKHTVNVLELFGRHHRKNTESYTMFAIHPERNLIFLTDRKEMTVSYDMDSQKVHVICTSGEFLGGLPYTPCFADWPSDGHS >cds.KYUSt_chr2.6736 pep primary_assembly:MPB_Lper_Kyuss_1697:2:41978184:41978741:-1 gene:KYUSg_chr2.6736 transcript:KYUSt_chr2.6736 gene_biotype:protein_coding transcript_biotype:protein_coding MANTAFKSLGDVLMVLPTSTVIVYEVLNPIVTNTGVCSVANKVVTAVLLSLCAFSCAFSAFTDSYIGADGKVRYGIATVRGLVPISGGDDTDGEGRDFSKYRLRLADFAHALFSVIVFAAVALLADANTVACFYPRLMAQQKALVTALPVVIGALASGFFVVFPSTRHGIGYPPMKPAATALASQ >cds.KYUSt_chr7.7921 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47856480:47858885:-1 gene:KYUSg_chr7.7921 transcript:KYUSt_chr7.7921 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRLPQTPDDDLHILADPDRERVVPARVIPSPVYAQPTQTVRDADKYQHPQIPDVIPPDVRRKLRDLVMKSKDPRKSLEIFRSRKPTNLFDFGKSSPNPFFCSTSPVVSPPHFIAMDESVSAPVAIPQTPRVIHESFSVAGSNKTTIADPTDAAPAHATPILRSPARVNAYPLSNLMNQPAPQSIHPPITRFFEGSNHRIKRARERAIKHVDVIKDYSLSPLPSAAHQKSLCGLYLLLMAEQSNLVPTSANQKATPPPPGYVLVKKSLLVGFSDSSNEFDANSGEGSTIAGEVEAMPTYLGRRCGKEMVHELGTEEEKKALVVNMKKARGAARGRFLAVGVFLSVLLITSKNLIESMRKKWRIRGHLDTNQLADRRFVLEFSEEGDFIHVTKGGPWSYRDDAVLIEELKEGVDPETVQFTTIPIWAQFKNIPFYLLSKKLAREMGNKIGKLICIDNHSRGDICNKFIRARVHLPIDKALQRWIPIVDEVTDDDDDEVVDSVFYERLPNFCLLCGIIGHKDTNCNISSNMRRKRYGPELGVRPIHKDDPRCWFLPETTGQVRQQHTPELPWRTTQQAPSKPAPEHHQAIVAHVANEVGKLSVDDQPTSNAAPPKTADNTDDNTNLLPAPHHDLQPVSAQACITISPAPAETLQLVAATTEGAAVEASPSPKGKNKSAASWKRIQRKGGDVKDPKTLTTQGCSLGAPRVRPEEEGEDHPRQPSAKRILMSVPSLELCLGKENLAKLRDGEDMDAPDRGSHATGQEAQGGMEDDVNKEEEEATSLGAAGTLTGAKERACQEP >cds.KYUSt_contig_7424.20 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001519.1:104623:107376:-1 gene:KYUSg_contig_7424.20 transcript:KYUSt_contig_7424.20 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSPQGFRLAPLRALLLALPALSLLLLLTLHLPARHRPTPPPPAALPITPLRATTTTAAAQRVKKADPSSSSTPSPTTLSHVVFGIASTGRTLPKRLPLLRAWLRPPARAFLYLDALPRATATLPPNLHLRVSSDASRFPYTHRRGLPSAVRVARIAKELLLELHRQKQQHEHLRPRWLVLGDDDTVFVLPNLLGTLAKYDWREPWYLGARSESATQNALHAFAMAFGGGGIAISWPLADRLARVLDSCIVRYPHLYGSDGRIYACLAELGVELTHEPGFHQIDLRGDISGLLRAHPLTPLVSLHHLDHVYPLYPGMDRSKAAEHFFRAANADPARILQQTVCYDRSRSLTASVAWGYSVQVFKGNVPLLDLLAVQKTFVPWRRARNVTVTDAFMFNTKHYPRDECKRAALFFLKSISSGEGKTKSNYSRQLPRKCLPNLIPLGNLQQIQVTSELLHLVPGKALRRHCCDIIPSSSETAMDINIRKCKDGELIAMHS >cds.KYUSt_chr5.42634 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268600695:268601991:1 gene:KYUSg_chr5.42634 transcript:KYUSt_chr5.42634 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLHGGALVARLAQALLAAAAVAAMVSASDFASLTAFRYLVAAEALQCLWSLALSILYCYALLVGRSYRSPRAIAILCAGDWITGVLTFTAACASAGITTFVNDDVEACFENHCPSFMAATALAFLTWFTVAPCCVLNLGSVIHKLQRP >cds.KYUSt_chr2.51822 pep primary_assembly:MPB_Lper_Kyuss_1697:2:323681020:323688881:-1 gene:KYUSg_chr2.51822 transcript:KYUSt_chr2.51822 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTHQAGIKKDDHGGSCDQHPQTASVAPDQLRAGVNGDHPGGCSLDQQPRVVSVVNLDQFQAGAADRARNQLQPLTGEHDVETSTCMLKNCEKKCATDGGGRSSRIDILLPAALPEPDGVQFVLHSLPVAKGCIGDGDGFTAYVKKAAGVAVSGSYKGIDAPELEMEHGDEARNELVKLIGGKCITINVYGTDQYGRYLGDIYCDGIFIQVKMQSQGKESIETLPWLISKDMETLGKEWKNKLEKIVADNVELNDHLLELERNKKEAEERAKELENEMESIMVDYTKLNDQLEESKKNKKKADDRILKLTFAINIYLHDKLGKLKEKNEKAEDQVKGSEKEMESVIAVNGELNDQLRELKRNKEVAEDRAAELKFYYDNLAAVNIDLQNELNELRGKNREAEDRTKESKKEMEIVVAHDMKLNAQIQRLNNKVSNKSRKRKKKLHKDLNGELQKLQKNNENTKDRAKELEKETVNVVAEEQNIQGIRHEESEQAVETPVAPPPPAKDSGEKDTVVWRKKTATTTCSTPQSEGHCE >cds.KYUSt_chr4.13635 pep primary_assembly:MPB_Lper_Kyuss_1697:4:83985617:83987827:1 gene:KYUSg_chr4.13635 transcript:KYUSt_chr4.13635 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFCCDDLLRFAPVNLDHLTETFNMSFYMTYMARWPDYFHTAVNPGDRVMGYIMGKVEGQGESWHGHVTAVSVAPEFRRQKLAKTLMHLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVDKKSIIPLKRPITPDELEYD >cds.KYUSt_chr6.14114 pep primary_assembly:MPB_Lper_Kyuss_1697:6:88166000:88169851:1 gene:KYUSg_chr6.14114 transcript:KYUSt_chr6.14114 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYARTLLLVTTTFVSISIATDTIDLTASITGNQTLVSARGVFRLGFFTPPGSSDGRTYIGIWYASIPVQTIVWVANRQDPVVRSPGVLKLSTDGRLVIVDSQNTTVWSSAAPTRDITSSATARLLDSGNLVVSHDGSGSPESVAWQSFDYPTDTQLPGMKIGVDRRTGIDRNITSWSSPSDPSPGPYTFKLLPAGLPEFFLFRGAAKIYASGPWNGVGLTGVPDHLKNWDYTFTVVSNPDETYCAYYIAASSPLLSRFVVDGAAGLLQRYVWGGDGAWNSFWYHPTDPCDSYAKCGAFGFGHCDTAQTPECRCLPGFQPRSPRQWSFRDGSAGCVRRTNLSCGHGDGFWPVNNMKLPETTGATVHADMTLDECRQACLANCSCRAYAAANVSGGVSRGCVIWAVDLLDMRQYPVVVQDVYIRLAQSEVDAMIKVQSGKRRRPIVIAVAATISGVLLLGAVGCFCFWRNRATRKKGQAQAPGSRDNVLPFRVRKHTDLSPAREQRLYQNKMSGEDDIDLPLLDLAVILASTDSFAAESKLGEGGFGPVYLGRLEDGQEVAVKRLSTKSSQGVEEFKNEVKLIAKLQHKNLVRLLGCCIDGDERLLVYEFMPNNSLDTFIFDAEKRKLLEWNKRFEIILGIARGLLYLHEDSRFKIIHRDMKASNVLLDRNMVPKISDFGIARMFGGDETTAYTLKVIGTYGYMSPEYAMEGVFSIKSDIYSFGVMVLEIVSGKKIRGFYHADLGLNLCGYAWMLWKEGRSSELLDEAMGSSCDHGQVRRCIQVALMCVDIQPRNRPVMSTVVMMLASENTTLPEPNEPGVNAGRNTSDTGSSRTQSEFTVTATDTSFEIKNLTAGTRLRIIYEYETQIFKSGCPGSPLRHNNARSPAHRSYGELSNWHHLPMTTSPVRRPPPGRPLPKRPREPSTFSPDLRHCLPVPPLQGIALRCHSVVCSIRLCQATALRCHSVVCSIRLCQATALRCRCVVQHPAMSDYSTALSQRRAMHHVVQHLAMSHGPTVWVAAR >cds.KYUSt_chr5.5854 pep primary_assembly:MPB_Lper_Kyuss_1697:5:36465209:36468189:1 gene:KYUSg_chr5.5854 transcript:KYUSt_chr5.5854 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVRLRGNKPYIPDELNDPTVYPEVLAAFEDAQAKYMAKLLCRRTALFTSQYRRVDAPSCLFHHEHLHPILEAAKRAVLQAAKSVIRLSSYLEGEPLTNCCGLWIDWDRESKTGTILTTAHLIRSKHPMEDNWISRDEYDIKANVTVHLRDGTTAEGHYLYHQEHYDLAIFKCDGDGGAVIDLDGKVVGLINNHLSASFVPSSILDNCIDLWRKFGCIPRLHLGMKFDSIRLLDPINVERMWRMHNIEEGLIVEKLENMLLGRCKDHFDQGKKLNAKIDVSVSTFEPSVGSCP >cds.KYUSt_chr1.36416 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222166959:222167264:1 gene:KYUSg_chr1.36416 transcript:KYUSt_chr1.36416 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKFNLYWKEIYMSACIPVILDTRYKYDFLEYHLSDFGSEKEVDTWMSEVKNTTQKLFNEYNQLISGEMQDCDIQEVQDLNDPLAEWDQYMKSKKATVKQ >cds.KYUSt_chr5.17240 pep primary_assembly:MPB_Lper_Kyuss_1697:5:111409420:111414979:-1 gene:KYUSg_chr5.17240 transcript:KYUSt_chr5.17240 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYIPSPMEAHGVEMVEHGNLPSTKEAHGDEKVEPTPICLIDELLPIPCEYESHLAHLSESDSEVSDFHPICEFECFHLEDMSDTQSELREVDDRSMGDIAFANILTSPSFVSSYVALGSTEDEFPLMETMYMVHEDDDISPCLLQDEHVDHMDPPTSTTPTSNESASKGNNIGVDDAMIPLVDMMTYECMHDLDDPFATSHATFIFPCDTLLVNMVAHVEVNACDTMTMPCYESFNFSTIACNMSTTCSFPCIACNDNDKDDISFRMLCPKCLHHSMILASKIVNNCSFLCLICKNAYFIVHEMAPIAFSLFDDHELPHAMNAPSCHMHHRHAFHTILIDTNSDVHKTWNIMMDDVFLYHAHTLFVFSFVCIDTRMTTSTATEHDLTKRAIESYPNTDEIHDPTHRIHAKGYVPKRLRPRVFPACLVELPVWTNASSPLLPLMQQMLTHLVGTMVVVCLDVIIIHSENLKDHVIHVPTSTRTKREGTPTVQGREKKKKKKKKKKKKKKKKKKKKKKKKKKKKKERGEEGWPAQNPFFLLAKEPSFQTSRDVPAAVVMEKPSPPPPPFSKSKEIKSPQPMASTTSLQRKKLGSHFLASDERRFAAAAGAPNAIGTTPLDIRGAPIPERDLPRTGGWVAAFFIFGNETAERMAYYGLSVNMVVFLFKVMHLPFAASAGAVNTFLGISQASSVLGGFLADAYLGRYWTIAGFATLYLLGLVGLTLAATLPALVPSQQGCDTLAMLLGGCQPAERWQMAYLYAALYVTAFGAAGIRPCVSSFGADQFDDRDVNYKRRLDRFFNLFYLAVTVGAIAAFTLVVYIQMHHGWAAAFGALAIAMGASNALFFAGTPLYRHKAPGGSPLTRVAQVLVAAFRKRHAGFDSGGYVGLHEVAGPKSAIRGSAKIEHTDDFRWLDKAALRLVQDGHNDGEIDPWRLCTVTQVEEVKILMRLLPVPTCTIMLSVVLTEFLTLSVQQAYTLNTALPLLGSHLPVTCMPVFPCLAIFLLLALYYHTFVPLARRLTGHPHGASQLQRVGLGLAFSILSVVWAGAFERYRRRYAVEHGYLGLFLTPMPGLTAYWLLIQYCLIGVAEVFCLVGLIEFLYQEAPDAMRSVGSAYAAVAGGLGCFVATAINNAVDAATGDVLEGRPSWLAQNINVARFDYLYWLLAVLSTVNLAVFLYFASIYTYRSSPHQATTANNKEQEEVSNI >cds.KYUSt_chr1.20501 pep primary_assembly:MPB_Lper_Kyuss_1697:1:120928433:120938268:-1 gene:KYUSg_chr1.20501 transcript:KYUSt_chr1.20501 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLVGGLLDLRPCKLSPKPPPPPPLPMPARRSPSRSQAATAAIPSPRRAVPELHSTTQLADGSIVFHFGHPPAEEPGPEEACPDPGRADASASPQPQLGVAGAILLGDPAPVHEPNLYMAAAGSEISLASSDAGPVEAPEQAISSIVGVEAVAEAGLAGGRAVAADEPGPANCGNEVELGVRARGTVEAGVTGPASLEGIEEAEADADAAASWEGSTCQNFDTDVDTESSGSSGDEQGATEFGVPIPAALQIDALKREDDTRVPPSTDHDGSRDFPGAYPDRKSQRHLGDAFKKGAASETAAIAGLGQLPAGQQPRQGVSPGLVASSCFVPKTGPPSSTLPTSTSRHLPSRRHGPPPHQIRASRPLIRHPTIPEPLRTQGRRPAIHGIDSQGEVRNLVDLEKEISEGRTSDGRVPVAKSSLVLMSGAAILPHPSKVATGGEDAYFIAHNGWFGVADGVGQWSFEGLAGMGMVISAATDGRQGARIQRRCHVDGLGTGVGRGKNHKARLPPQSVERSPGHATGGAPSPPGYSGINAGLYARELMDGCKKIIMDNEGEAELTPEQVLSKAANEASSPGSSTVLIAHFDGQFLHASNIGDSGFLVVRNGKVYTKSEPMVYGFNFPHQIEKGVDPLKLVQNYTIDLEEGDVIITATDGLFDNVYEQEAAAMISRSLQADLKPTDMAEHLAARAHEVGRLAQPYSASSSNILGRIPTAEELQAELEGQARMAAKVLEAEQKKGSKARNREGEKGQWWPSEVTESELRAFEKEGRIAPDTWSFNEDSSTPTPEADERVFTKA >cds.KYUSt_chr1.30622 pep primary_assembly:MPB_Lper_Kyuss_1697:1:185395068:185403820:1 gene:KYUSg_chr1.30622 transcript:KYUSt_chr1.30622 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVLMALDQFIDSSFVAYSCTKRSKEEKERLDKQRVTLLKTLVSAVKISFVTNEAIQKSLSSINCAISSTWIKIDELISLTTSMSNIGVALYNIGHLEEAPKALELCCQTTWAYARLSSCRLSAITEGHIITEDLPKDIIAGVFARIANVTKVLHRCGSKAIPGIVVKSLSESLVYSDTSDYHKSYLVLIKLWVEITLKDLKDGQCVDSAPLLYHSLMGCLPPLPMKFIGLIVEQELLAYGATESRVTMLCANMQNRIIDVLLNEIYCSKEYYLDRSKVLVRKAHILRASGVQNISSCLESLSEAISLLRGVLLNSSRGNTIVIHELAIAYCLHAHCAQEANHGGKVIFDSARSVVGLWSKMGTLHHCFPGVIFQQQSETLVPLLCSLVDLLAMKGCFELQFELCKLIIMIWKQENLPLEKLFSMLFISGRLNHACCHLPMDQKFVSYVAQHLGVDCHNTIFWRNCFKEDYPSLTMFLQRLWPVEFFSQLCEHSFGNLFGFNASVDEIDKVASSLVSEGPLSNQSTHLAGCMYYDLSERLLSRGQVLQAISYGREALQLRKKLLKKKFKFNLGKFVSGESQCSGGQGFISLEAWGPTMAEIWPDCTRPSSMRDTFLTPWNVLRCYLESILQVAVMHELVGDGAEAEVLLRTGKEISCFQGLPIFAVVFTSVLGKLYHKRQLWDAAEGELKYAKDLLAENDEFISCKTCKLTLQISVDVQAGDLFWNLFEKDLQKQSTDNLSSALGMYQSAVEKLNNSGSAGSCDKLNTSCMLCIKDSIAETKRGACDHGKEPLAAKDGVLPTCTPCLLFSQVPVDQYDVLMALKSEENLKSAEIAPPLDVKVKRASRTSLRLAKEQNVSAHAKTRTTRSSKRTAHVKSGLVCGKLNRSLHGVDRNRDGICNMFGCWSCLFVNSLNFECIANILQFREDCIRRRHLVSLLLKTARTLGAQGGKHRAHEVHSIYWQCISLLYFRSLPQGYYRTYGPYLIELIMNQNTGDFLSLERAEILCSMSSFLLKGFLSEQSRDGCCSFCSVQMSDVVSWLLKAFVLSRESPSLLQEVCRLLTCIFLLSAIDSTVRLPLYFKGSLSLNHWAAYFHQNSVGTYLNFHYLASLQALPRKTETKGVTGDFADKTDEVPKFLRFSSADMEHLEKHVIEFFHELPDVPTVCISMLGGDIVNLFGETLFLPSPFPAWMLISRFDSTNKPTTMLLPVDPISKEAENGDSSIKELDNPTRTSDKKWKCPWSYTIIDYVAPTFKKLLEDNFRSLSGATHIPKDGQANAIRWWTDRMKLNDDLNEILENIEELWLGPWKCLLLGHQLADQHSEAVLENLITGLQSEFKLEVDPALMKVILGGVASVDELKECVSQLISYRSFFGKGGCCGRDRLRAISCQTDAEALVTLEHLCNGIVDELSEPVDRNPVILVLDSDVQGNAGDAPTAEELVLALRNHDLFLYFGHGSGSQYVSGKEIEKLDNCAAALLMGCSSGTLHCKGAYAPQGAPLSYLFAGSPSVIANLWDVSDKDIDRFSKALLNSWLQENFKAAKNCPKCCRPLTQEFESMTVAAKDDDRPRRKGTRRGKKQQQRAEINGSSNCCNCRHRRIASHISEARRACRLPLMIGASPVYYGVPTIIGKK >cds.KYUSt_chr7.8130 pep primary_assembly:MPB_Lper_Kyuss_1697:7:49212397:49212948:-1 gene:KYUSg_chr7.8130 transcript:KYUSt_chr7.8130 gene_biotype:protein_coding transcript_biotype:protein_coding MREGAGLHPGMPVTARSVAKLLPAAPSDPPRPMDAMSCLAPHPASFLPNTSSQAYYTDAAIARALNSSMPDHYSPGTASTSSPSSSSSLLADLPYSDGTNVRSGASAPTCRSRPTRTSTSSPSSPPAATRAWSRSSTPPRAARPPSPSCGARWPGAATALSAPPFSIAKPLASLRRTVVGSRG >cds.KYUSt_chr1.597 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3177228:3178522:1 gene:KYUSg_chr1.597 transcript:KYUSt_chr1.597 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRPGIEVAEEEEEEEPDMEEEEEPDMEEEERPKGMGSTSRRCTAAKWRPSCKSCQSMFLSLRSGYFPPYIVILLFFELEVKKQTIVLELDKCTQHWESDNPTFLSVAIE >cds.KYUSt_chr1.19388 pep primary_assembly:MPB_Lper_Kyuss_1697:1:113866361:113868291:1 gene:KYUSg_chr1.19388 transcript:KYUSt_chr1.19388 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLANDVSDLCIGKPAVRALPLSAAAADLAAAVRKGPRAAAAACVAVGPARGAAVLGRAGLADVLCLLCSSPDALARPAAALARPVAALLPKDGAGEVRRVDPRSSVLDALDEILSGAQVLAVPLRNGGGRKKQLAGVAGVTTDFCWLTQEDLVRYFLNSISLFYHVAARSVSALGLVRPDFLSVRPDEAALSAVPLIRRAIASETAVAVVSADGHLVGEISTAHLAACDETAAAAIATLSAADLMAYIDYFGSPPEHILRAIKTGLKSKGLDAMLELMEDETMTSFSLSSSSSDEDTGRAYLRRPSSGSFGRRSTEEPVVCSPASSLVAVMVQALAHRVSYLWVLDEEDDCRLAGIVTFADILRVFREQLL >cds.KYUSt_chr4.13230 pep primary_assembly:MPB_Lper_Kyuss_1697:4:81378680:81390853:-1 gene:KYUSg_chr4.13230 transcript:KYUSt_chr4.13230 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPPVSVSPAASAATAAAETSAAAGLPAAMPPPPPPPSMPTPRTSSTTNTPGERRVRLSGVSLGGGGGGGSTSPPDGVKLNEIVGGGISGVLYKWVNYGRGWRPRWFALSDGVLSYYKIHGPDRILLSRDTDRAAKVIGEDSLRRLARPSTTTSSSSAPHSNGHHHHNPPRKPLGEIHLKVSTVRESRSDDRRFSIFSGTKRLHLRAETREDRAVWLEALRATKEMFPRMSTSEMVGPGDTAAAAAVSTERLRQRLQQEGVSEAAIADSEGIVRAEFEALHKQLVLLKQKQALLLDTLRHLETEKVDLENTLVDESQRQSKEYGSASRPRNEKYSEGSASESDDYNEPQDPAEEETDEDENIYFDTTDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDPSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCYEDLEYSYLIDRAYEWGKRGDSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGMLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNREYSCKLKFKEQSIIDRNPHQVQGVIQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNLTSFAITLNELTPGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKVTLAWAAFVSIELSRTTNSRDDFTDSADDTDGGRYNAIDLATDATDGRNNTANHVSDCGDFNLIRGSEDKNNSNIDWPRVHRFNDCIASLALREVRRTGARYTWTNRQLDPVRCVLDRVFMTAEWEALFPLCSLVADTIIGSDHSPLILSSGEELRKRSPRFFFEQGWLLRPDFGDLVSNKWRAQALDGVADGRGLDDEGWALRYHLEDQLTHLSVVEEEYWRQRSRVTWLTKGDANTAFFHAYANGRRRKCAITRLVTDSGTLVEPHALQAHIYEFYRALMGSTGEPPCFTFPPPSGMLRIRCRKGRITAS >cds.KYUSt_chr2.24447 pep primary_assembly:MPB_Lper_Kyuss_1697:2:149458022:149464121:1 gene:KYUSg_chr2.24447 transcript:KYUSt_chr2.24447 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAESDALVPPALAIAGDRDRDGVRIPCPHSPSLETEAHNPSSLGAGNLVRWTTRGWLPDKLILGCKGLCLQAWRWSFGNRPTMLRHAGVRRCYELSPRCYRNSLMVFRQPPILCCHSCKGRPMVLQSCSNELRFQAIPFPAEHFTSPSKGSLSSYVMSLLPTSNSGHEDASPFARRDMQGAVFGGLLNGPLRPTEKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYLCLKDTLAFGGGGNFALRLDEDLIHGTSGSCETFGNSCLAHGPDFEMKNVENDDYIFSTMSQ >cds.KYUSt_chr2.17465 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110125786:110129974:-1 gene:KYUSg_chr2.17465 transcript:KYUSt_chr2.17465 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFCLSGTTASGKRYYRSEFEIPPDLVKGRRAVLPHEVPLEVSQQGPNLIGLEAVPEWYQSGTAVTRYDTWAEQVHGKPLTMLGAWKMSHKKTKVDKPGEEQYYGKSGTYLQNYATAFKKLHGEDSQPLEEEVDETVVIVSGLGKRHGRHQILDGTSLDEVYDRLYESYEEKLEEYVKSVEAHDAYQASHNAAVMAYITTGVQPTMGSPPPPNHRYQGSQREKNSSPRSPQEFRDDALGGRP >cds.KYUSt_chr2.12248 pep primary_assembly:MPB_Lper_Kyuss_1697:2:77561519:77567329:-1 gene:KYUSg_chr2.12248 transcript:KYUSt_chr2.12248 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRNTPATVPRGKVSRHDTAQDVSRPAGGLYYPSSPTIGAKTLEKSTMWVSWDEAALEAAIEVVEADPQLAEYEAWEEAALAAAVDAYDAGERQRQEAERRERGEARWEAERRQRDEENRLVLEKAEQLEFQEARRWRVALSQPIRFGLTSHQLLLTMERQGQPKNERNAAGAGSNGGGGGGGANGRRKWRGVYGSAGGGGYTQYPIIQAYPALLPLPINAGRAHINGAAALPLPPPVLLYLQQPPPLHLLPGAATCYGKPMAGAVQRGPLFAHKPSKKPPPPPHAVTAALLPLPQDAKALQHKKFFIHEKNSSGIRMDHVNGHHRSSNNHQGTPIVQRPDNGGVEGAVIPLSANHFLVRFNPDQKIFQYDIDISPHPSKETARMIKNKLFQENSSVFSGALPAFDGRRDLYSPIEFQENKAEFFVSLPIAPARCPVDKKDGHMVDKQNFKVFKVNIRLVSKLSGQDLNKYLTEDKDGISLPQDYLHALEVILREGAMENSVLVGRSLYPRSMGEAREIGGGAVGLRGFFQSLRPTKQGLALNVDLSLTAFHESTGMIAYLQKRCDFLKGLSHQKTRALSEEERREVEKALKNIRVFVCHRETDQRYHVHSLTEETTENLKFRDRSGKDHMVMEYFKEQYNHDIQFRNLPCLQIGRSKPCYVPMELCVVCEGQKFLGKLSDEQTSKVLKMGCQRPSERKGIIKGVVEEAFGAESNSYADQFNLQVSKDMTQLSGRVLLPPKLKFGIGGRIKDITPHRFDRQWSLLDSHVTEGSKIKNWALISFGGTPEQHSCIPKFVNQLSSRCEQLGILLNKKTVISPLFERIQLLNNVGILESKLKKIQEAASGNLQLLICVMERRHRGYADLKRIAETSIGVVTQCCLYPNLSSMTVQFVANLSLKINAKLGGCNVSLYNSLPCQIPRIFSDEEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIEHLDVMAGELLEEFLREVGKLPGRIIFFRDGVSETQFDKVLKEETHAVRATCSRYPGYKPLITFIVVQKRHHTRLFHREKNGGSTHYSDQNIPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYHVLLDENNFQSDELQQMIHNLCYTFVRCTRPVSLVPPAYYAHLAAYRGKLYLERSDAVATGRTTLQRATPLQTTPLPKLSDSVKRLMFYC >cds.KYUSt_chr3.41622 pep primary_assembly:MPB_Lper_Kyuss_1697:3:262617330:262617839:-1 gene:KYUSg_chr3.41622 transcript:KYUSt_chr3.41622 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPLPDSAEEAARGPALVDEAKSLAKAARVFAEAEEPRAPPRLAEDLMERASALAVAADLLLQKWISTPMSATKAGGQGAEAVDGLASAGTWVRAVRGSQGVDATSGRRRCYQRRLHLALLGFVRSFAALGLRRCYLRRSVLLFKVSSIATGGGWIFSTIGIGHCY >cds.KYUSt_chr6.18129 pep primary_assembly:MPB_Lper_Kyuss_1697:6:114115841:114116884:1 gene:KYUSg_chr6.18129 transcript:KYUSt_chr6.18129 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCSFELIPGTPLSAVFSGCVHQSTTIEFDDAYLRAIGALPPLAPVRFSSSSPIHHAAALHDSIELPATCEVSDYDADIDVNLREMEKDAKQWPSPEYLKTVQGGLMTKSRRAELVSWMDDFTRYFDLAPGTLHRAVSYVDRVLSQRTLPETDTEHQLQLLGATAVYTAAKYEEQCSTHKLNATAVAGICGLDTTSKEVIAMELVMVETLEYDLSGPTAYTFVEHFMRYAGRGEQDSEVRRLAHHLANRSLYDYSLLQLLPSVVAASAVFLARLILNPNAIDVRQWNEDFEELTGYKPTDIILGIESLYMKNHDSRFDVVPDFLEGQLMDFRFFFSKWGSALMDFR >cds.KYUSt_chr3.46196 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290722924:290732870:1 gene:KYUSg_chr3.46196 transcript:KYUSt_chr3.46196 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKQRTSAAAAAATEVDADEPSQALLPLDDYSGDVCAALTARYGRSASAQHRHLLATAAAIGSILADDGRSLTAESYLPAVVSALRAAGPSDPAAASALASLLVILIPHISSLPPASASESASSLADFLASPDASKLPTGTVRSVVKSLGHLALLLEPAADWGAPLEALLAASVDQRAKVRRCAQESVEKLFAYLERSRCGKKASSAAVGLFEKHISSVRGLAKLDADASEAKETEAVHMLGAMAVLVPHLSKKARSTVFSGARRLLTARFSPLTRHVLRLMEALLEHLKVENVESELENFISLLLAYLPYDEKKPDDTIIAALQLLRSCLAKLAGHSKLWTKALPSAFEAVSGYLILDRKCSEDIAKLLIECIYSHVDQSILLTNESQPDAGDSSDGAAVKSICLSINKRLKKCPAPPLPDNVLKIVLALFLKLGECSYIFMKDILLTLSRLGTKIHKEPHLKKVEECIGAAIVAMGPDKIHSLLPISFHEDWFTCSNTWLVPILSKYVYGASLQHFIEYIVPLAKSLRDASSRAKKPRKCKELQAWSDQLWNLLPAFCRYPSDVYQNFGSLSKLLVEMLKCDRCLYKSACKGLQQLIDGTRRLTSSDQDVEIPAEISALFTSSEANNLSCVSLQRCSKKDARKSMKVLASHSVDLLCTFADDFLDSSEKRAHLKDALRSLAQLSGSANICNLFLSLVKRFGLEDTPLEPENLECQTNEVDGKEEESSDATAEINNKRSLLMELIPTLAEAADEDALDLFFGFIKSSLLNNIKSCDSRALFALSIILKEHHGYSLTQLDEIMMLLHGIKPDSNNAVLEGQLVCYKYLLVHMIKVNEESTSKKAFLILNELILALKSKKENRRIAYDVLLAISTSLRSSESNNGDSDLQRLFTMVMGYLSSPSPHIVSGAIAALSLLIYNDANFCMEVPNLIPSVLVLLQNKAIEIIKASLGFVKVLVTSLHPEKLLSLQADIMTGILPWSSFTKHHFKGKVVLILEILIRKCGFDAVNLVTPEKYKEFVREVEEGRKGNHNPADGAEPEANDPEDPTPKRRKWGDSNAEPGQEETPKREFFVKGDRKPHFGGARNHTDKASGGKAIVTQTHGFRRSGLKSMVPV >cds.KYUSt_chr7.39122 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243446679:243450812:1 gene:KYUSg_chr7.39122 transcript:KYUSt_chr7.39122 gene_biotype:protein_coding transcript_biotype:protein_coding MASELRLDMRSDGYVRVRDLLGLNLQTFARVPLKAHTVDEIREAVRRDNKQRFSLLEEDGELLIRANQGHTVTTVTSESLLKPIVSADEVSVCVHGTYRKNLDSILQSGLKRMARLHVHFSSGLPSDGEVISGMRRSANILIYLDVNKAMQDGMKLYISDNKVILTEGFDGVVPVKYFEKIETWPGRAPIPFQR >cds.KYUSt_chr2.53908 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336492743:336493301:1 gene:KYUSg_chr2.53908 transcript:KYUSt_chr2.53908 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAHPVQVYNTYVFLVPLRRRRWTRRALLALPGTPARATGARVRVLAIDGCGPGPGDALLAAEALARLEAALRAKAGDPDARVADFFDAAAGAGAGGVLAAMMFVKGDDGRPRYTAADALAFVTNLKS >cds.KYUSt_chr5.27818 pep primary_assembly:MPB_Lper_Kyuss_1697:5:176080553:176080906:-1 gene:KYUSg_chr5.27818 transcript:KYUSt_chr5.27818 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDQTKVLLRSADLAKFAVPASLVQRAGRVAAAVGAGERVVELPRGVSGKGLATAVEYYKARAEAEASGVDVGEFDDGFVGGLTHDAAIDLIHAAHHLGDESLFNLFLGYRANQF >cds.KYUSt_chr1.30559 pep primary_assembly:MPB_Lper_Kyuss_1697:1:184928737:184929835:-1 gene:KYUSg_chr1.30559 transcript:KYUSt_chr1.30559 gene_biotype:protein_coding transcript_biotype:protein_coding MDQISAILRDQFGMVPKRRAISYSKPYPNEAGGARSGTGGPRRTHPASSGGGTDSELVEDLRPRRKHRRSSGRGGGGGGARGQGGGGGGGARGEEEEAAVELGVEEAAAAELRGEEEKAAAELGGEEAAAAELGGEEEKAAAELGGEEAAVAELGGEEAAAELGGRRRRRRSLGGEEEAAAAELGGGDGPKLACGTERSVMLQLRANTELLTSELGKG >cds.KYUSt_chr1.4137 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25253661:25256952:1 gene:KYUSg_chr1.4137 transcript:KYUSt_chr1.4137 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRSAVVAVAVVLAVALAAEASELKVGYYDKSCPGVENVVKWHVAKALKANRKTGAALVRLIFHDCFVRGCDASVLLDPTPENPAPEKTAPVNIGLAAFELLDEIKSAVEDRCPGTVSCADILIYAARDAGSLMSNGHVYFDAPAGRLDGMHSHAADAQHDLPDSTFNIGELIQNFKRKNFTIEEMVILSGAHAIGVGHCSSLRARLTAPKEQILPAYRGLLAGKCGAGPDPIVTNNIRDEDPKAIAATVPSFLPKLRKFEFLDNSYYHNNLARIVTFNSDWQLLTEKEARGHVHEYADNGTLWDEDFSDSLLKLSKLPLLPHGSKGEIRKKCRYVNHY >cds.KYUSt_chr7.9355 pep primary_assembly:MPB_Lper_Kyuss_1697:7:56936321:56942042:1 gene:KYUSg_chr7.9355 transcript:KYUSt_chr7.9355 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASTVAARFAFFPPSPPSYGVEPPPSPAAAAADGAVVELSGVPRRGGVEARRLPTKRGTEVVAMYVRQPGARLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYRCLIETYGTSEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPISSGLRVMYPVKHTYWFDIYKNIDKVPLVKCPVLVIHGTADDVVDCTHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVSAIEKSPPLKDESPESSGPSDLDTGSESMESSRRSTDVREKSRSSTDHRRSTDQREKPRGSVDRRDKSRKSVDHPDKPRPSVDQPDKPRKSIDRFGGMMRSVKLCNIDCFKVGRSTNNIWTKEAVRKLIVEGVRFLEGLEELKYSAGKIDGSTVGLLSLKLLDPSHVMLVGAIK >cds.KYUSt_chr7.8477 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51345948:51349613:-1 gene:KYUSg_chr7.8477 transcript:KYUSt_chr7.8477 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSCKCELATGYGLWRQDRRFWVRYGFGAAVDGVRRRSWAGGGFRRGGVRRRQVRRGDGKQVMGSGDKIGDFGLGMASVPPSTACGAGAGPAVASAGAGSGGGRFWALAGLSDDDEEQGGAAASGAEEESGSDLEQGLSPRRSPAAATLGDFIARAAELGGSLRHRRRAAFAPGGRGSRFLCSTPPRFRRLGDGRWSGALSGAGEVRCAGSAPAAVRPRAVPTTSTTSSVSPPADPATSELRDFDRRSGLRGDRWDPLSQGDVSSTPNGLEGGGLLSVGLVTDWASPPDGTGVGLLRPGPLGRPSRPPGPCTLPRFRWLWLRSGCTEPELGFPARPSEVRRRLSDPSAPRHRLLRRVPDPTPLTRSFATVVMAGGFDEGRKRRFEEGSGPRRQDAGGRAAGGRQDGGGGGRLEGGGGGGRQDGGAGGGRAGGGRQDGGGGGWQDGGGGGGGRLEGGGGRPEGGGGGRQDGGGYRYLDGPGRQDGWQEGGAGGFDRGRGDFGSFGAPPPWWEEQRWREEALANRRSDGNSSRGSGQPGQGERGNSRGQQQQKNKGKKVAGGASGDGQPPAKGKSKQAPARTGAPAAGECFKCGREGHYQSDCSFDPLCVVCSGEGHSSANCPSRGKGLRLETMGHAITGGGFYNIDVEPLRGGRGNGKVFAAVIKFSSAPLSEDQLSDELKHLVDDLWDWQVRKLSDSEFSVVFPTRQTLKLSTGSGKLFLPLSRTDTEIREAFLAPRPSLILPSTWVRLTGVPEDLLVKDRLMAAFTMIGRPIDVDELSIKKCEHEPIRMRFHCRYPERIKGSVQVFVNGEGYTVGVQAEAPPRGTPGAGPEGPPPPPPRTDRDEDSDEFSSDSEWNKHRSRRGGKEKGKGVDPPPLAGGASGPAGSKSVGVTLGTALDLDQYGSNLSASSDVLPSLRVLDASKISVADGGTLGAIEESLESGELDSHLTDPLASWVEDSQQAEGPPAKVARRSAGESEVETVEESEGEELEVQGAVAVGDLRSEVAVATPLAQGLRSKAIYYKSAQTTPATAVRKSARNATVAPGTSALARAQQLTAEKNLEGKSATTTTSAGKEKGNDFAVLDSFPDSHLSSVVSDSCMLFIPSAGEPGEALSVIRAKEKVQAAIAETARRLAREAEAAAGSEARGVASEEGSALPQPVQDPAPPEAGASASASRAKARRASAKTASAETRSRPLRKCVLASVRAVSTRQYKRRSSP >cds.KYUSt_chr7.8638 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52363401:52363764:-1 gene:KYUSg_chr7.8638 transcript:KYUSt_chr7.8638 gene_biotype:protein_coding transcript_biotype:protein_coding MRDALDGDHGGRRAREHPAVHLAEATAAEELALAETPRGVLQLRAPAPASAENTDARIRVVQLIMSGLDCSSDGRDDRSSGDAPPSSLKLHPMR >cds.KYUSt_chr5.31508 pep primary_assembly:MPB_Lper_Kyuss_1697:5:199648226:199653347:1 gene:KYUSg_chr5.31508 transcript:KYUSt_chr5.31508 gene_biotype:protein_coding transcript_biotype:protein_coding ARRLEAQLDDQMIAYRKLVSMKSDGSENDIEADIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRVKQQHASLLDLRDFDRAKFDVEEAGESEALLREQAAIGRNSGQVDTVISQAQATLGALMSQRSTFGGITTKISNVSSRIPTINHVLASIRRKKSMDTIILSLVASVCAFLMFIYWLSK >cds.KYUSt_chr6.33406 pep primary_assembly:MPB_Lper_Kyuss_1697:6:209767703:209768368:-1 gene:KYUSg_chr6.33406 transcript:KYUSt_chr6.33406 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAGNQAGKVSKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKREEQERLDEEGASIAEAVALHVLIGEEDSDESRHLILNKHRRCNDWDPSAGFDFTLDAHGADDIYSPGGLTCANHAYATKGRWIDWDKAHPLPTWGEVRELKGSYYQGTFHQSVACPGFMAAQAVSSLQIREDSSSQGVAAASVVNRMLGGGTNRLSLYREI >cds.KYUSt_chr2.26143 pep primary_assembly:MPB_Lper_Kyuss_1697:2:159988828:160001888:-1 gene:KYUSg_chr2.26143 transcript:KYUSt_chr2.26143 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESCGSRGASPPPSASGGAAGRRRKAEAYREVLRRIRGGPAADARPGFDDELWAHFHSLPARYAFDVNIERVEDILLHKRLLEEAREPMNGLVFDVRHSQIVTVDGSTEVDSATSIKKEEQDPQCSAFASSDQRPLYEVIFACDDKPKRLSQLTSLIGELGLNIQEAHAFSTSDGYSLDIFVVDGWKYEVDTLRNTLRRGIEEIKYRAWPLVHSTAVSMGHQLLEDSSPADCVQIPADSTDVWEVDPRLLKFERKLASGSFGDLYHGSYCSQDVAIKVLKPERVSVDMLQFMRGGSIFDYIYNHRGSFQLLDVLRIASDVSKGMNYLHQINIIHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHSPYDQRADVFSFGIVLWELMTGKLPYEDMTPLQAAVAVVQKDLRPTIPADTHPMLVGLLQKCWQRDPALRPTFAEVLDMLHSINEDGAANNGFPRQSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPQEHALDVAIEEARLTLSDQERAEPRYHPDNYTAWNSYFLRRWEQELASYDGPSPPPRNNTAGRKRWWSVPGRTLDAVIEHIEGGNAPVLTMPPPSRASASRRRGSWQPRRMAASSSSTGSASRSTPSSRSAPTLAPVKREPLSPSRNRDRSGGGIVIRELSTPRGHLRLVLPKREPGTSDERKRKPVKEEVHDAEEAAILEAVMWEKEEAERQAQLLEQAARYRQPATPPSGVLVPVIDLEESDDEWYMPSPSPPRNSGRWGDPDQGSSQAAPPHFDVDGSDDDGGDGDKDYTVFYRHFGM >cds.KYUSt_contig_1181.802 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:5443908:5444963:-1 gene:KYUSg_contig_1181.802 transcript:KYUSt_contig_1181.802 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKITFVSFILLLTVAPIATMAASTPTAATGALPSAPVDIPALPFPLVGEATNAAADCWRAVLHGESCAVDILRWLASPESALRVGAACCSVLQTVGDRCLHELVPASAFGRIYASLVDHACGIPRRAAPSGRQPADLEVHMVNAHGCGRLKLQSRNDCLDWSVSAMSQTGACSAAGSSCSRARVPT >cds.KYUSt_chr1.1844 pep primary_assembly:MPB_Lper_Kyuss_1697:1:10646530:10649230:-1 gene:KYUSg_chr1.1844 transcript:KYUSt_chr1.1844 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHVKWTTSSPSPSPSPEAEFLHECAATAAVADVAAALAGTAGLQARVLSLCRRLRERCADAPAAYGELERALSEAEAYASKVQVRHNKFLSPRALREHIKNIEKAAANTLEVSLGALCLQHSKSDEKHDTIQLWWAGKELAMDKKLSDYIGTNDKTKIVVRLSLPNDA >cds.KYUSt_chr1.10216 pep primary_assembly:MPB_Lper_Kyuss_1697:1:62700916:62704178:1 gene:KYUSg_chr1.10216 transcript:KYUSt_chr1.10216 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMELPAKGGFSFDLCRRNAMLEKSGLKMPGFLKTGTTIVGLVFKDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATSRESRVVTALTLLKTHLFNYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTGGTEYLRNHEEPNPRTYVSSKGFSFTPGHTEVLSTRVRQIGPVVAEAGPVVAEGDVIMEEEMLELKNGCILLYSLNLVETFMLKMSLCSRMLDSVVMIY >cds.KYUSt_chr2.51598 pep primary_assembly:MPB_Lper_Kyuss_1697:2:322373864:322377343:1 gene:KYUSg_chr2.51598 transcript:KYUSt_chr2.51598 gene_biotype:protein_coding transcript_biotype:protein_coding MAASADAAAAAAARTLRWAGRAGHLGGVPRAAVIGAIGTVAKAYMALLNTTTVHNADALHRVVKSRPPGTPLLTVSNHMSTIDDPFMWGFKGFPITDAKLARWVLTAEDICFRNAFMSYMFRVGKCVPITRGAGIYQEHMNEALEVLSTGSWLHSFPEGKVAQDHQPIRRLKWGTASLIIRAPVTPIVLPIIHTGFEKVMPEKSFFGRRPPLPLCGKEIQIIVGEPVEFDLPSLKQEAATIPQDTSFERKGWPTITPEGLDEAAQRWLYQKMSDKIQSVMEGLRKTLLNQKQH >cds.KYUSt_chr6.7011 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42576510:42576977:1 gene:KYUSg_chr6.7011 transcript:KYUSt_chr6.7011 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSPGHSADGIGGVAIDVEGDRKREVKRSWVRVTATTDAPAMLERTKLELIDLLDLSVRDLRLADPSFFHSGIITCGHAIVCGLEPLRCIITADEALFLQEISDEFMGCAAELHRRFVDRDDDLPFEFIALEVVLEASFTYFDMQVSHHATLT >cds.KYUSt_chr2.18761 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118092689:118093999:-1 gene:KYUSg_chr2.18761 transcript:KYUSt_chr2.18761 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALAVLTFVAVFIRTFPPITCAIDNHGFRGSLTRVHTHSSNYSAAVHRDTRRLAFLAPAPTTSPAIALALQVLAENGVGAYHVSISIGTPPLTFPAILDTGSDLVWTQCAPCTECFEQPTPLYDPARSSTFSTLPCASPLCRSLPSPFRRACNASGGCAYDYRYVAGFTAGRLAAETLAVGGASFRDVAFGCSTANGGYMDGASGILGLGRGPLSLVSQLGVGRFSYCLRSDEGASPILFGSLANVTTGEGLQFTPLVQNPAVPVTGAPYYYVNLTGVRVGAADLPVTPATFGFTSTGAGGVIVDSGTTFSYLAKAGYAMLERAFLSQTAGLLTRVSGAPFDFNLCFEADGGANVDAVIVPSLVLSFAGGAEYSVPRRSYFDAVDEEGRVACLLVLPTGGVSVIGNVMQMDLHLLYDLDGGTMSFAPAVCATV >cds.KYUSt_chr5.33969 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215784474:215787031:-1 gene:KYUSg_chr5.33969 transcript:KYUSt_chr5.33969 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYFILLCIFVSLAIASYVLYAIRRQKRKPQGRHDQAVLKLPPGSMGLPYIGETLQLYSQDPGVFFSSKLKRYGEIFKTHILGCPCVMLASPEAARFVLVSRAHLFKPTYPRSKERLIGPSALFFHQGDYHLRLRRLVQGPLGPEALRKLVPDVEAAARSTLASWAADGDAASTFHAMKRLSFDVGIATIFGGRLDERRKEELSRNYAVVEKGYNSFPNSFPGTLYYKAIQARRRLNGVLSDIVRERRAPGEPGADLLGCLMQSRNGDDDGGALLTDEQVADNVIGVLFAAQDTTASMLTWIVKYLHDHPKLLEDVRAEQAAIREANDGGKRPLTWAQTRSMTLTNRVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHSPDYFQDPHKFDPSRFEVAPRPSTFTPFGSGVHACPGNELAKLEMLVLIHHLVTGYRWETVGSSDEVEYSPFPIPRHGLLAKLRKDNSVGVDNKECKIHDNCDGEVKCDYSVT >cds.KYUSt_chr5.613 pep primary_assembly:MPB_Lper_Kyuss_1697:5:4443023:4444964:-1 gene:KYUSg_chr5.613 transcript:KYUSt_chr5.613 gene_biotype:protein_coding transcript_biotype:protein_coding MELIESGLAEMEICIGAESSAKTRSTKKNIRKPRRFGLTRTGMPKTDRLPPQIRKTDEHRGEVQELAPPTEEKRAVEPAPPTEEQEEDDAAPPTEEQEVEDYRKFWERCFGRRHGSYDAETSLAPMYCATGTIPPDALPDSSLQFFSIKVTDLSGLSWPLQVHGFVAARDSVDRRRNYLLRCSRDNCQTLTEKDPFLRLTGPSRAVLMIDPVAIEVQLKVKTTEESEDDEVLALACFKFRETYPLIDGLRACIPRQRCMLEFALAVLGSSVETTVGVRLVDGSWPDQCAGLIVCNTDKVKEGRMVLLDFKDGKLPTKSDGTVELSRRIVSVSYPAGKLFVSVEASRYGFSAQDTVNFGMKRSGTSTCTCDLIFCKMEVTVTWGNSIWIPGPNALASSTNHLRPG >cds.KYUSt_chr7.33169 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207080217:207081720:1 gene:KYUSg_chr7.33169 transcript:KYUSt_chr7.33169 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVSDELLGTFVPIAVYWLYSGMYILLDRMEMENYRLHPKGEEETKNIVSKSTVVKGVLVQQGFQIAVSLLLFTILGDENGTVRKQPPTLMIALQFLIAMFVMDTWQYFMHRYMHVNKFLYKHVHSKHHTLVVPFAFGALYNHPLEGLILDTIGGALSFLVAGMTPRTAIFFFSFATIKTVDDHCGLWLPGNILHVLFNNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLEERKGGGFEARPVNLSLAAQSKSD >cds.KYUSt_chr4.38274 pep primary_assembly:MPB_Lper_Kyuss_1697:4:236023126:236027039:-1 gene:KYUSg_chr4.38274 transcript:KYUSt_chr4.38274 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWSTDPFHGNLMLTGFLKGGQLDKAYQIFKRMPVKDLVSWNSMIAGAVRSSHLKDAMNLFSRLVNSALVPDGFSFSSVLSACARAGARSYGVWVHQLMDELGVEKNHILVSALIDMYAKCGRIDVSVDIFNTVKRNHVSTWNTMIGSLAAHGLGSNVVILFHRMEHAGVVPDGVTFVALLAACSHCGMVEEARQYFEAMTMKYHITPKVEHYGAMVDTLSRAGLLDEAYNLVSSMAVKPDAVIWRALLSACRRYHQTKFGDVTIEQIACQGSGDYTLLSNIYSSINRWDDSEEVWKERKKRKVRKIKGLSWVELGGSTHEFKAGDRSHPDSDDIYRVLHGLSEKAKVEGYTPSIELVTKDVSPEEREENLSFHSEKLAVAYSVLKTGPGTEIFVSKNLQTCGDCHEWIKIISKSDLHHLKPLQCYDIQEKVSGGKTEYPATILVFDIETTGFSRRGDRVIEFAARDLAGGKNSTFQTLINPEREIRNTAVHGISTSMIRGSDVPRFGEFIPILLQYVWSRQVAGKPIVWVAHNGKSFDVPFLIFEFERCKQEMPGDWLFVDTLPIARQLVESNARPHSASISGQKITSASMSALIERYKIPVDGDAHRAMRDVTALCYVLQKLTFELKLTVPQLLEKSVRMSDIATTPAKK >cds.KYUSt_chr7.35761 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223410636:223411493:-1 gene:KYUSg_chr7.35761 transcript:KYUSt_chr7.35761 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLHRLPEADGVLVNTFESLEPSAVAALRDPRCLPGRVLPPVYCVGPLLESAREVTVPRHECLAWLDGQPDCSVVYLCFGSVGWGAHSEEQLREIAVGLENSGHRFLWVVRAPDGGVPDLSALLPDGFLERTADRGLVVKLWAPQVDVLGHRATGAFVTHCGWSSVLEGVMAGVPMICWPLYAEQKMNKLLMVGEMGVAAEMVGWQQGRVQAGEVEAKVRLVMECEEGKALRARAAVHKDGAAVSWKDGGSGRAAFAQFLAEVDGEGKTSKNNLAALDLQTCSV >cds.KYUSt_chr7.18597 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115194897:115197501:-1 gene:KYUSg_chr7.18597 transcript:KYUSt_chr7.18597 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGGGRGGLFGFGDPFAGFGGFVPPGNLMPSFFGGSSPFDDPFLANPFGYMVGPTQFEQRFFSSSMFGPPRGLIGGVMNAGGFQQQAPEPSRPKGPIIEELSSDDEDDSDANENDEKKANPMKHPRISREPYVEEPDEVQVEECKEADTTTGKATHRIARGIGNKGHALTRKLDGDGRVNTMQTLQNLNEDELAGFEESWQRNAGQCLPGLDPRELFTLASEKRMECLHFQHPVKCLRFQHPYNIVAPVPQG >cds.KYUSt_chr5.27756 pep primary_assembly:MPB_Lper_Kyuss_1697:5:175744018:175747317:-1 gene:KYUSg_chr5.27756 transcript:KYUSt_chr5.27756 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIGKLAALLGDEYKLLTGVRKQIEFLERELSSMRTLLDKLAGMGERLDGVAKDWRGKVRDLSYDIEDCIDRFMDRLGDGDAKPNFMKRTARRLRTLWARHDIATQIKELKARVVEESERRNRYRLDESFYAPSTRVVEIDPRITALHGEVRGLVAMDSPTKHVTALLTDDKEMELKVVAIVGSGGLGKTTLAMEVYRRIAGDFHCRASVSVSRTLDLDKLLKDILSQIDEDAYRKCKSECWEIEQLIRKTKLILTGKRYFIVIDDVWNEQDWNLIKATFPDNNNGSRVIATTRITGVAKLCCSNSGGQPYHMPPLDDYDSRRLFFKRIFCSEDSCPADLEEVSARILKKCGGLPLAIITFASLLANKTHTKDEWERLQDSIGTGSSLENDGNLKGMKDILLLSYWDLPHHLKTCLLYLCIYPEDYKIKRKELKWKWIAEGFLAPQWGHMDQVAEDCFNELVNRNLIQPVFKYDGSVRSCRVHDMVFDLIISLSIEENFAAVLNGHVCNSFPRKIRRLSMQSGDKEYKGAAHAITETKLHVRSLTMFRPGKQIPHLVDFHVLRVLDLKSCDWLENKHVRNIGSSRQLRYLRIESRKITELPGEIGKLRHLETLDLRRCSALLRLPSTVVQLLKLVRLFVSFDTVLPAVEFGSMDALEEVDFWNTDDPMRFAEELRHLTKLRKLRHLGHHIVDPIGDFAMREKLLELLVSSVSELAKCNLKYLVTDGKVGQRLFRDLCCTYPYLHDLAIHPHIEMIPKGMTSLRNLVKLDILVEEFDKEGLHVLMDMRSLSHLRLEIRDGMKENLTIGNNGFKVLKVFLFRYRIGYPFKVEPADLLQLTFAPGAVPALQRLDLMLSPMEVTSDFFANLGFQHFSGLAHLRATLWCDGAAPGRVEALESSIEKAIDLYPSCEFQVDRFLEDGMFKDDKQWEEAVAERRKEFEEHRQKLEESREEEMRLATTN >cds.KYUSt_chr5.17067 pep primary_assembly:MPB_Lper_Kyuss_1697:5:110013106:110016406:1 gene:KYUSg_chr5.17067 transcript:KYUSt_chr5.17067 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRPQGLRPGLVWMRMRSVPAANFSQSMEGGSSETADRCQPFSHHGRLHLRDAPYAAGLAVHRLTVMSSLALTYCSTSSRVACARYGPERTCTSIHGAGSRPLVAPASAREPVCGTVAWNALEFALEHSLSSRVNSRFISPSGRRAQRPRPSGPERVCAAAARRPLQRRAGERSRGLLHRTPRLILHGATVRDPLDSAPPREEALPACTLTPSTIGVRAPPPPLTAKVRLHPGRTLASLDELHVSGLRTSDSKINKTPNKMTIMGGIRKRITISRMKLNIKLHRSINRALIPKSNTSKKILNALFLRDRKPSEVEETCRCLFDGTLGILMEGRRSRGHRAESTRDGGTRFTQLRNTCSKAAPTAVCLELSGRFRVVTISCGCASRDPGIRLIKAHGSRVYMESPSRNTSCLTTVQYLAVYVKDPPNIKAVLDPDTSWAFTDPASFVGRLVSGFLFLDWTSSFRIYSNWAARWATCLITNCGPPGLAGSRPCR >cds.KYUSt_chr3.11277 pep primary_assembly:MPB_Lper_Kyuss_1697:3:67230017:67232002:-1 gene:KYUSg_chr3.11277 transcript:KYUSt_chr3.11277 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSPKKKVIIDTDPGIDDAMAIFVALRSPELEVIGLTTIFGNVYTSLATRNALHLLEAAGRTDIPVAEGSHVTVKKATKLRIASFVHGSDGLGNQNFPPPAGKPVDQSAAAFLVEQANLYPGQVTVVALGPLTNLALAVELDPSFASKIGQIVILGGAYSVNGNVNPAAEANIFGDPDAADIVFTCGADILAVGINITHQVVLSDVDRKKLEQSDSKYACYLCKILGLYYDYHMDAYSTKGVYLHDPTALLAAVNPSLMTYTEGVVRVQTDGITKGLTVFDNTKKTYGEITAWTGKPTVKVAVTVDAPAVVELMMQRLTMDD >cds.KYUSt_contig_686-1.437 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:2749511:2749858:1 gene:KYUSg_contig_686-1.437 transcript:KYUSt_contig_686-1.437 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHGEEEAAAPLLEAKGASRGATVASEWWAECKLLWRIVGPAIFQRVALYGINVVAQAFIGHIGDLELAAFSIASTVVAGFNFGFLVSTPTHVHASSIPHHPYMSLEEHIQRDR >cds.KYUSt_chr6.19574 pep primary_assembly:MPB_Lper_Kyuss_1697:6:123204525:123209006:-1 gene:KYUSg_chr6.19574 transcript:KYUSt_chr6.19574 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFLVAAPPCAGEDPEAPPPPPRRWHRWSRIAVELDGRIDARFRHRELRRLLDSYSETRTFEHKYYTHGEQRCQTYVNRMINASNFAGCYHPAREGVSAMEFDKKGVYLASVTLSGCLTVHDFETLYCSLYGPSCSLPDDSSNYVLHISNQLPLCAVRWNPGNQDEIVCSSSQSDKVSLFDIGYVSSVPTEIHHAVSMIIDEVPLRSWQGAVRTTSDHHSAKSPSEGGPEVDRIHEGTLYQTVLENEHLVSRCVMVSSD >cds.KYUSt_chr3.3133 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17980441:17986113:-1 gene:KYUSg_chr3.3133 transcript:KYUSt_chr3.3133 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFLGVCVCVEFSQVCVLERDKRERESSEVEEEDGAARLQPPTILPRNAHRRHAWSVPAFCSYMGIVACIRAYFRHATEEQAQRYIHLSGGDLVVAIRIAELEHSAAVNQEDASNITGCHPDLFRDRVRFALKVAAVTAEHPMPEDVALLCQPALQEPCEDMRAVLATLEEGRRLTIDDVETILGVLQSHHRKISAQLKLTVPRSHRGSGEILHYSFNGANGGEGGGGVVAATCTSQRHAPFNLANLQVADASPTCEHIELLKAGLLDTIHAFYMKALARLPRAALRHLLRGVLVAGHCYGPMDPVSNIIVHAVWYSVVAPPLRSERCSEPDILGVAALLRLEVRSLDGLLAIVRAATGFGEHRAVEYLCASRCDIADTLLRRAAPETRGGALRLASEAAKHPLEEHHSSFLASLASSDDNTSLLDILTSLLRPTEADLLSDETITQLRGILGDRYSSVIPVPTQNHEADLDSLQSKKQSLEKRQSFLRKELQELLHCYADQHPWEPLYKLQTICGFEKGDKREVLIHGGGGMVMFIFVVGDVVMLVPDMTDGVMVVFVFVVNDVVMLVTGVTGGVMVVFVFVFGDVVMLVTGVTGGVMVVFVFVVGDMVMLVTGVIGGVMVVFFMVGDVMMLVSGAMVVAGFVVDDAPMLVMGETTHSMLGLNGRALPPPPPQPEPEPEPQADDDNSTNEDAYPQFAVWDEAAILASLKAQRYKRLMEEEDEFVNDVNLQHGLEISRQRAATEEAGRRLMAAERQRLAELNAHRHYEAFARQVARRAEIAAFRERMEARGQRHR >cds.KYUSt_chr5.4296 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27502574:27505936:1 gene:KYUSg_chr5.4296 transcript:KYUSt_chr5.4296 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGTAWITPAFRRWRRPSPALSPAVVSSGSGSRFLPIDDVFEDDEISVAEEVAWNGLVDEPRMLPLDKIVDQGGGERLADFWSKIGFPTAASRHWEKAHGAQRARSSSPPRSEKAASGGRPASASPPVFRLPKPPVKLRAWKGPLPPKRITPPAVLADFIAAALGKSGACAAARIPTSTSFSAPAKEPAVVPLAPTAKTSIAAALPRFKPLDAGSLADLGGPQPRWAWFGRAVMAVQRAPRRGIFGDLMRSRTIDQNLHRPSLDPAATARPHVAASVQAVVVSSSSPPSPFKTSPGSSSRRSFAQVVAGASSLVGVGGMVRPPAPAGAPGAAPGQAAAAPGLAAAVPGPVPPVAAVAAATGPYVGPPGFQGWPAGMMMSQPAPVPRSPAPVPRPTPGYRPPVRMPVPPFIPQQQVQPYSGPFQYSMAGQQSMVQPFLQQPVQQQPPQQPGQVKKRRKKKSAAAVGQPVATVGQPLPPMGQHVPPMGQAVQVPMGQPSMVVSTDSSSVPMQMPTAVPVPVAPVVKQKKAGRCWKCANNTHATKDCKAIHYCLVCDSGAHPTIRCPVLKLPRPTSFFVGCGNDATLDLQLPDSVYKPQLISPGAPTALVQVSGEGAVTAADIQSLMARMCPGNPAWKWEAMAHGVNAFLIAIPTADDLSRIDGMQMSVPKTNAQALVSSWVHQGVQPVFVMEPVWVHVEGVPDSVRHFLGLWAVGSLIGTTLDVDLFSLRSLGIVRVLVAMRDPAALEKDNGCMEVIALLQLSGYRFRFRREAVGFQPDTRFRPYFWKDGGDDDGAHGSEEERFDDAAPDAAPESANMDVDGHIPTHTSGTSAAPVTQVALTPFNHSPSTSRGREIVARAREECPHLVATPPTSSRASAPSRVRSFMQGRTRPASSTSSRASSPGLQRGAPVPSSTSPQMDLVPPPQRSLSTSATHVERPGASALSSLQHQLVSAQQQPLSAPLERPALQQQPASPASVQLGQGAGGAARPGRPGRPHRWAASPLQYPQGWPRLGQHSCSCLSVPLERPALQQQPALSASGQPAKAPEAMPDQEAQAASPLQYPGGGASLAMRQPVATTFDSLPCRSTPESVALPPREEGMPVSPLPPLEGGSPWVELL >cds.KYUSt_chr4.22742 pep primary_assembly:MPB_Lper_Kyuss_1697:4:143058398:143064703:-1 gene:KYUSg_chr4.22742 transcript:KYUSt_chr4.22742 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTECGGLGCDDGECGSLGCDDGECGGLGCEDGRRCCYCGDSPSPPMRCECDGCYERGPCLGWGSFRSLGWGPGSSPPPPPPPPPPPPPPYVLSCGSGSYEVGGDSSRDGVSEAFLASGRRASESEVWDDWADGAPSKSIPIPRPPTVANEWGDCGGSTSDSMSISPSLTPTDVKLADGGRAFDCSACCLPLKPPILQCDRGHAVCLPCHNAHLSWEGAAAATRCNVSGGHDTDGYRRCKPLEDAVETIRVRCPNAAYGCADLLTRYFLPTHRRSCSYALTASCPRDACSFIGSAEALLDHFISVEKWPYTAENEAGKSFDILLCGGFNVVGAVRGTSQHLLVLFVTRRPFGSTVSAICIFPQPQVIRWSLPPPSALKCKLKLHFAATHSTQISEHENTTSFDVPCTNPLDGLPDPDDFVQFFVPKSVYPDDNASIGCRDLFAIKSILQANREYDDVLGFLGVGYVNYEWYGKCIQGSDLAAVLPEDIYLDLLAFVAQNWKVDFASTNMHYIPLIKCAGADGEVTYMSVYEAKTDDGKLCMLSDDSFKSELEILGVLVRFKQNYQVIVDNFKLPTGSVTSGAAIMLLKCIRYADSCRKLVKGLKKRPWLKTNADFRAPRETFLLDSEWKCLVKFADVVPLLDLSFYGDEILSYREELTKIRVVASLLALISCYKELSENKTFPANILKFMHTEKWLHPSHGFRTPDKCALFDCSWEPVIPVASLPFIDDSDFIHGTGKKIYSYKKELKALGVAVDLNHGADILLSSLAIDETHEIPIPNGLHPCLSLNSTLVALLKCMQLSVHPRKFAEEIRKMQIKTTLGYRYADGCILYDSTWSSYFHMEDGPFIDVSFHGPDILSYRTELKMIGVVLDVGSGCSLMALNLKSFSRTDTISRIYRYFAAFKWEPKKEGENWIWIPKGRSSGRWVSPGDCVLHDRSGLFSVRFNVLDNYYEKDLFPFFSTAFHVRHSPRVLDHCILWRSWECTSFELRPASCCSFWEFIGSHWNTTTANLLSGSVTRVPVLVNDKIVLREVEDVFVPDDLLLKHLFDQFSSNPMFVWYPTGLSFVSRAKMDIIYQSLGVRSISKAVIKDESFRPNLSSCQVVETTDAIVTPGFLRIILAFLANPVLEIASEKRHQMVSCLPGTKVLEMIEPITVSYKVELLSSGRTVSVKVRRMFRWEREDRKLYIQKSVGLLGRTAKMEMATCFAEEMSQGLLYDRVDLISSLTELLKIGFLVDFEGGEVEFLLRSKNLQLFPEDVDFLLDAFPARVLEVLGQISSVYFIFQFTDSFLSDNANHSLYFQDDLCC >cds.KYUSt_chr2.52398 pep primary_assembly:MPB_Lper_Kyuss_1697:2:326949002:326951172:1 gene:KYUSg_chr2.52398 transcript:KYUSt_chr2.52398 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGTSLVPKDKGPSEDNLKAVGTKRLHTDASSSPVYHNVYVRRKVETEHSKVNSSQELKGNGRGKTKEHEEQRNVETEHSKVNSSQELKGNGRDKTKEHEEQQNVETEHSKVSSSQELKVNGREQKETGHSQVNSCQELKDNGSEKTKEHEEQKKVETEHSKVNSSQELKGNGRDKTKEHEEQQNVETEHSKVSSSQELKGNERDKTKEQKETEHSQVNSCQELKYNGSGKTKEQDERRVQHDHINKPEVPPPIAESGIKEEEERQMVQHGQLNRPEVAPHIAESGTKQQEERQGVQNEQVNKPELPPPIAESGIKEKEECQTVQHDQINRPELPPPITEPVGLVPSEMASPIAEPLGPVPSESPEKTNAETAPEENEPAVIPANEPLVTPGTTVEGDIHMSGNQNPYWSERYIRLQTYLENCDRSPQEGYMQSKELQRMKSLNVLGKSPPNGSSKQAPLQR >cds.KYUSt_chr7.21733 pep primary_assembly:MPB_Lper_Kyuss_1697:7:134783070:134788472:-1 gene:KYUSg_chr7.21733 transcript:KYUSt_chr7.21733 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRTAAHVNSPWTLAIRAAADHGHPRRAIALYLSSLRSVAAHRPCPFALAAVLKSVSRLPAHIAATAAASLHAHLLRLGLLMHPYPHAALAHLYSRDPIAARSLLDETPPTGAQAPHSLLVSRNSLIASLLRSGDLPAARALFDQMPVRDVVSWNSMVAGLAKAGRLDSAIQLFQQMPERNAASWNAVLCGLIAHGHLARAREMFEQMPIRSIVSWVTMISGYAKAGDVHAAAGLFERMENKNDLYAWNAMISCYAQNGCAREAIEVFNRMLKPHVCVLPNEKTFSSVISACSQLGDLRFGLWVQSFMGSVGIELDDHLRTALLDLYTKTGRIDSAFDLFRGLRTRDVVSYSAMIVGCGMNGKLNEAISLFKEMSSANIRPNAVTFVGLLSAYKHAGLMEEAHACFASMSSKYKIRPSMEHYTIMVDLLGRSGKLDEAFQFIMQMPMQPHASIWGALLLACELHNNAELGELVASKCFELAPGDSGYYILLGNIYAQANKWDKVKRLVVKARSVKKRKFNTSLYIQLVRIGVCFNMGFKEQQMKKVAADVLAFAGVHVTTLQLYNHIRNWRTKWSVIMKMKFDRILDWSEDGCCFYDGDEGAANEYIQMKTIFTPWFVCKAQLFQPNLLVRAIDYIPDIEAEYAVYRKLQPPERRSWLRTWLRNQSCLVLLLPSHDPLILGGDLVSLH >cds.KYUSt_chr2.49831 pep primary_assembly:MPB_Lper_Kyuss_1697:2:311707858:311709101:1 gene:KYUSg_chr2.49831 transcript:KYUSt_chr2.49831 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSSPARSARLAAVTTATGCGWSSSSSASMTSVVFIDVVLLKDVDVDVEIIPLGSPDLSAPTVNAQPCLIASKPDMVWKTELSKFVLNRLVQLVRSGVCFNMGFKEQQMKKVAADVLTFAGVHVTTLQLDNHIRNWRTKWSVIIKMKSDRILDWSKYGCYFYGGDKETTDECIQRYLKHRQYVGTLIMNYAQMKTIFTPQFVCKAQLFQPNLLVRAINFIADNEVEYAEYRKLQQPERRSWLTTWLRNQFPA >cds.KYUSt_chr7.16435 pep primary_assembly:MPB_Lper_Kyuss_1697:7:101840513:101841662:-1 gene:KYUSg_chr7.16435 transcript:KYUSt_chr7.16435 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVVDIPASPVEVVEVVQVASDSTTGTIVTVDSSTGTVVLPASSPGSPVSPTSVLRVAPLTAIISLGSPDMSAPTVNAQSCLIASKPTMVWKPQISEFVLNRLVQLVCSGVCFNMGFKEQQMKKRYPKNCQYVSTPITNYAHMKSIFTPRLVCKAQLFQPNLLVKAIDFIAYNEAEYAEYRKLLPPERRSWLRTWLRNQFPA >cds.KYUSt_chr3.26732 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166686062:166693234:1 gene:KYUSg_chr3.26732 transcript:KYUSt_chr3.26732 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKAKAAEPTRRSKGKKDHPPHAPTQAAAANATAAAAGMEAAAATAGVCVYDTLPGLTLDFSPEEALDAAEPQPSSYPAAAAEDATATYAVFRNEITAAGDALVDIPATDFFSLDVSAAGKAVPASPSSSVAWPAGAATPSSSLAKAEQPAQGSERAWFRGGRRFRSPMLQLHKEILDFCDFISPSAEEESSRTAAVQAVSDVVKHIWPHCKVEVFGSFRTGLYLPTSDIDVVIFESRVKTPQVGLYALAKALTQKGVAKKIQVIAKARVPIVKFVERISEIPFDISFDIDGGPQAADFIKDAIKKMPALRPLSMILKVFLHQRELNEVYTGGVGSYALLTMLITHLQLIWGVKDMLGYRQSKEHNLGILLIKFFDFYGRKLNNWDVGISCNSARTFFLKSDNDFVNLDRPHLIAIQDPMVPDNDIGKNSFNYFKVKSAFSKAYSVLTDAKLITSLGPNRSILGAIVRPDSVLLDRKGWNTDGALADMLAEPWEPLAQRFDSENDAMYNWHALDDDEPLPRNTQPASEDTSSSPLKKRKSSKSNKKSRKKAKADDVSSSDDAEDGSRNRRERSRGKESRQSEKTHRSAGSSRRRKGPREYDRFTNTLPQHTHISRW >cds.KYUSt_chr4.42249 pep primary_assembly:MPB_Lper_Kyuss_1697:4:261324856:261325935:-1 gene:KYUSg_chr4.42249 transcript:KYUSt_chr4.42249 gene_biotype:protein_coding transcript_biotype:protein_coding MGALHVGEPRISFQPQVVGKNGAGHGAVVEEIHGLIRVHKDGHVERLPAIPDVPCTWGSTAAGSGVLARDVVVDRATGVWARLYAPASAPSKVPVVVYFHGGGFCVGSAAWSCYHEFLAQLPAKAGCAVMSVDYRLAPEHRLPAAFDDGMAAVRWLRQQAGHGGRSSGASDEVAWWRGRCRFDRVFLMGDSAGASIAFHVAARLGQGQLGGLAPLAVKGAILIQPFFGGEARTASEKTTPQPPRSALSLATSDSYWRMALPSGSTRDHPWCNPLSRGAPRLETLPLPPVLVCVAEADILRDRNLELCRALRKAGRSVEQATYGGVGHAFQVLHNYHLSQPRTQEMLAHIRAFVAARSSS >cds.KYUSt_chr4.11057 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67093271:67098298:-1 gene:KYUSg_chr4.11057 transcript:KYUSt_chr4.11057 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCQFLFSAVFGFRNPKGCISPCLAGLTNLLRVNLSHNSFSGGLPLELVYSSNIIVLDVSFSRLNGVLNELPYSVTSARTLQVLNISSNQLTAEFPSSTWNVMRNLVVLNANNNSFTRCIPPSLCLSSSLAMLDLCYNQLSGSVPAALGNCSNLKVLKVGNNKLSGILPAKLFHATSLEHLSFPNDGLQGELDGENIVKLSNLVILDLGGNKFSGKIPDTIGKLKKLEELYLGSNNMSRELPSSLSNCTNLIIIELMINNISRDLGRVNFSTLHSLKHLHLMRNNFSGMVPESIYSCNNLIALRLSLNHLHGEISPGIGNLHLSYLSLARNPFTNIMKAFHVFKSFRNITTLILAQNFMNEAMPQDETIHSFQNLQYINMADSSLTGNLPVWLSKLRNLKILRLSNNRLSGPMPGWINCLNSLFYLDVSNNRFTGKIPITLMEISVLTSDKISTYLDPGHLELPVFRGNLSRQYHVLTAFPKFLNLSTNNFTCVIPPEIGQLKVLSQVDFSFNRLYGDIPPSICNLMKLEVLDLSLTGEIPATLGNLHFLSAFNISNNDLEGPVPTGGQLSTFPESSFDGNPKLCGAMLIHHCSSVGGDPVCVISTKQHSNKIIIFIAYGLFFGVGVLYDQLVFYRIELNHVDSKANLTAAEHFRRAGSRAQLRLESLVVTAPLTLGDGDYIAQFTIGSLKVHAMVDTMTNLVWTQCKTCRPHCFQQELDFYDLSMSTTGEAVYCNDSLCAAGYETQPCTGHHGRAGACAVRTTSDFAVDIAGVLLTEEFTFGSTEAKIAFGCITETNNVMGLHLHGASGVLGLGKGALSLVSQVGGNRFSYCLTRDHVQSSSLFVGPSAGLHGDAPFESAPLSLNPPINEPFHSYYLPLATVTVGEPDLDDIPFEALNLQGGLIIDISYPFMLLVDVAYQEVRQVMSRKLGSSLVPSPVDWLELCVAHSDVRRLVPPLELHFGDGTRGGHWTIPPENYWTPVDISTSCMVVLNSALMASPLNKTSIIGNYMQQDMHILYDLDNQEVSFQPADCSSI >cds.KYUSt_chr3.39395 pep primary_assembly:MPB_Lper_Kyuss_1697:3:248155359:248157541:1 gene:KYUSg_chr3.39395 transcript:KYUSt_chr3.39395 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNELVVSFGEMLIDFVPTVAGVSLAEAPAFLKAPGGAPANVAIAVARLGGAAAFAGKLGDDEFGRMLAAILRENGVDDSAVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTADELNVGLLKRAAVFHYGSISLIAEPCRSAHLRAMEVAKEAGALLSYDPNLREALWPSREEARTKILSIWDQADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTFKLLLVTLGDQGCKYYARDFRGSVPSYKVQQVDTTGAGDAFVGALLRKIVLDPSSLQDQKKLEDAIKFANACGAITTIKKGAIPSLPTEIEVLRLMENA >cds.KYUSt_chr4.49784 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308356545:308358849:1 gene:KYUSg_chr4.49784 transcript:KYUSt_chr4.49784 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKDKLEPKKLCGVIQASSGKASTPSEVSLLKTALDTFSAATGLTINYHKSTFVPICVPPDDAASLAATLGCSISTFPQTYLGLPLSDSKLPANALDFLAEKIAARIPSWRLRTLDPGSRLTLTTAVLSALPSFAMSALPIPKGTLVRMDRPRRSMFWNASATCSGGDCLVSWETACRLRSEGGLGLIDLGTQNTCLLLKNVYRLLTGEDNPWTVWIRQWYLRGDPHPATPLWRSFADLIPLLRSITSVRVGDGSMTSFWMDNWTSAGPLHAALPSAFSHCLDADATVAAGTRMGAAALARRDRVSPAAAADFAMLEDALARHRPTPVPDRRALVGGAPTGFKTSDAYRLLHSSGCGPPLHDLNWDTFVPVRVKVFIWILRHRRTRTRARLCRLGILQSSDCPFCPGVAEDVDHLFVNCPRLLRIWRCASADPQAGSHRTVEDVVDAFSDAHLGWPLTLRMTAATLLLWIIWKTRNRMVFDGVNTTTAEFFASVRDHLTLWLVRAPRRIDCAPLVAWCASLSP >cds.KYUSt_chr7.16106 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99846366:99848092:1 gene:KYUSg_chr7.16106 transcript:KYUSt_chr7.16106 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMVLFLVCTLGVAMKMEAGWTRTTQRGEVLVDNGGGGGGSAQRRGLVGHRPRPVASFRRRDEEVGLPSSQVDGGDGEKRESFSPTNRFVNQITRAPGIRLIKALGSRVYTESPSRNTSCLTTIQYLTVYVKDPPSSKYVLDPDTSWAFTDPALLRRSVGIRLLVPGLDFILQDLQQLGRPMGHMPHHRLWATRACRI >cds.KYUSt_chr4.13435 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82837147:82837983:1 gene:KYUSg_chr4.13435 transcript:KYUSt_chr4.13435 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRHARWLLVDRPAVASFHWRPGTTLGASPSFAAAAVCAYLAAVLLLHRRVVPLPTLPPRLLRAVSAVHNVVLLSVSAAMAAGCVLSAAATAPSPRWVLCFPPGATPPSGPVFYWAHVFYLSKIYELGDTLLILLGRRPLTLLHVYHHAVVIAMCYLWLATSQSLMPVALVTNATVHVVMYTYYLCCTLGLRWPPRWKRAVTELQIVQFVFSFAASVVMLWFHFARGGCEGMAGWAFNAVFNASLLALFLDFHGAAYAAAKGKKRSSSNGKKAE >cds.KYUSt_chr2.804 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4741674:4741976:1 gene:KYUSg_chr2.804 transcript:KYUSt_chr2.804 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLVLYRLKPTVLADLAGRFRLLDSHASPLPLDAFLLAAAADDDPPRAAVVPGSGVVRIDAAFLDAAPSLGCIDRLVVGNLEAFFAGTPLLTPVLVFH >cds.KYUSt_chr7.25098 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156618756:156619265:-1 gene:KYUSg_chr7.25098 transcript:KYUSt_chr7.25098 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVVAPVTAAVNGSPCSGHLYTTPDAAETIGQRHVHHTQGGGDAHDQDHPACAAEKRLVFTIDGARRGRQPVTATPVVAPCPAFAWLRVRVPGGVRETGVLMRGARRPRTCAALFAAPRRKGETTAGVLRTQRGSVCARDVLHPDPAAVRGHGKPQRGGARGSWPVCS >cds.KYUSt_chr6.14610 pep primary_assembly:MPB_Lper_Kyuss_1697:6:91630542:91631330:1 gene:KYUSg_chr6.14610 transcript:KYUSt_chr6.14610 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASPPRTLDAAASPPTASQEDLKRVAAHRAVAMVGSNMTLGLGTGSTAAHALDRLGDLLRSGALRGVAGVPTSLKTEAHAARAGIPMLALADAAEIHLSIDGADEVDPDLNLVKGRGGSLLREKMIEGAGARFVVIVDESKLVPRLGCTGAVPVEVVPFGSAYTLGLVRKVFDGVPGFSARLRTVVSKAGDGKDDLFVTDNGNHIVEMFFDDGIRGDLTAISDSLLRITGVVEHGMFLGMATSVIVAKKDGTVAVLTKK >cds.KYUSt_chr1.36423 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222195459:222196069:-1 gene:KYUSg_chr1.36423 transcript:KYUSt_chr1.36423 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCEIEGHHLSSAAILGHDGTVWAQSTAFPQFKPEEITGIMKDFDEPGHLAPTGMFVAGAKYMVIQGEPGAVIRGKKGAGGITIKKTGQALVVGIYDEPMTPGQCNMVVERLGDYLVEQGM >cds.KYUSt_chr2.5785 pep primary_assembly:MPB_Lper_Kyuss_1697:2:35934757:35938991:1 gene:KYUSg_chr2.5785 transcript:KYUSt_chr2.5785 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPAASLASLLPQLWHRPFSPPPRLPRVLSSSSSSLLTTRHIPRSRFRSSPTTHLGAAATAVTTAADSLEAPTANLYPLYDRLLPCPSQDFPPRIEHLVARKDEVAGDFISRSLSLPPLYVADLIKFGAVYYALVAPQPPPYADPAHVRIFREVTEPSILRRRASIKGKTVREAQKTFRVTDPSQHLEAGTYLRVHVHPKRFPRNYEIDWKSRVVATTDSYVVLNKPAATAVGGSTDNIEESCVVFTSRALGLESPLMTTHQIDNCSEGCVVLSKTKEFCSVFHGLIRERQVKKLYLALTTGHVAPGIITHYMRPLNRAPRLVSEDQIGGWYLCQMEILECKKVPWPKSLITKVHKVDNCGWPKQEAAYECKINLMTGKTHQIRAQLAAIGAPIVGDSAYMTGAIAAKANPSINPYGRESLNYSSEEEKAAAVEAWVACHGKEPKSVIGLQASEISWDYEGERHYHKAGVPWWRQDAVESDLIRAQLAAIGSPIVRNSVYMTGAIAAKDNPSINPYGGLNYSSQEEKAAAV >cds.KYUSt_chr4.47140 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291778112:291779335:1 gene:KYUSg_chr4.47140 transcript:KYUSt_chr4.47140 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLRNLTAGDQRFFAGSRFLMRLPLWFSNARRLKTSAAAKSRRSLWASLYATTSESAGPEEQTFPGKPRWTVSVSPNLSPEKPSSLHFFSVCTSDKKSDMGTGIQIWSTAKTLATGAVIGLTISDRYLTIVTTKGDSMHPTLTAADSFFRGDVVFAERGCLDKYKFSRGDVVIFKCPSDHKRDFVKRLIALPGEWIRIPVSQEIIKVPAGHCWVEGDNADRSWDSRSFGPVSYFFFIFLSTGILQPIGDLHLLFRVSLFADDAVISIKPLQGRSGQRVSIGSYVWPSLGKAIRWHQGPSSTHR >cds.KYUSt_chr7.25552 pep primary_assembly:MPB_Lper_Kyuss_1697:7:159426945:159430879:1 gene:KYUSg_chr7.25552 transcript:KYUSt_chr7.25552 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGGGRQQWPCDYCGDAAAALHCRADAARLCLACDRHVHAANALSRKHVRAPLCAGCAARPAAARVATADAEPAFLCADCDAGCDSAARVPVEGFSGCPAAHELAASWGLDLLLPATAGCGFGTGHDDEDAFFFSNLDYSMLVDPEMRDLYVPCNPPEAAAAAGAGGRPLKGEALCQQLAEMARRETDASPQPQGYSDLSPRTPRRSSTGRLPDKQPLPPAPEAPSPYTSLLMMPPANCAELIGKDDDEPLQWEFTAPSPVPPTQIWDFNLGRSRNHNENSALEIGFGSNSGGFMIKSYNDMLKEISSGTTKDLEDIYDSGYCAAAEDIMSTNICQLPSKNTSTASNKRLKVSSCTSTNDGPTTSGSHVPTSGPLVSSSHDKGAAPSREISFGDQTIVPIGAERSATRMDSETLALNRDNAMQRYKEKRKNRRYEKHIRYESRKLRADTRKRVKGRFVKSNEALNASSNGG >cds.KYUSt_chr5.36835 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232908332:232909160:1 gene:KYUSg_chr5.36835 transcript:KYUSt_chr5.36835 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRQSFLDLILIPLSLLLPMLYHAWLYRAVRRHPLSTAFGVYSASRRVWISGMMKDNDDKKGVLVVQSLRNVIMGSTLMATTAVLFCTGIAAVLSSTYTIKKPLSDAVFGAHGEYMMALKYVALLMLFLFAFLCHSLTICFLNQASFLINTSALSGDLVTGEFIGEILERGFTLNFVGNRLFYAGVPLLLWIFGPLLAFLSSLVMIPILYNLDVVNVSGGGKGSSSEHSSGCVNAKADTNGNGCMHV >cds.KYUSt_chr6.21882 pep primary_assembly:MPB_Lper_Kyuss_1697:6:138014517:138019327:-1 gene:KYUSg_chr6.21882 transcript:KYUSt_chr6.21882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MERNGESHLKEPLLDAANGPPGASPAARASPRKERTTKKVMFNVRGMSCASCAVSIETVVAGLKGVESVQVAVLQGQAVVQYSPEETDARTIKQAIEDINFEVDELQEQEIAVCRLRIKGMACTSCSESIERALLMVPGVKKAAVGLALEEAKVHFDPNITSRDLIIEAIEDAGFGADLISYGDDVNKMHLKLEGVNSPEDTKLIQSVLETVEGVNNVEWDTVDQTIKVAYDPDVTGPRLLIQRIQDAAQPPKCFNASLYSPPKQREVERRHEIMIYRNQFLWSCLFSVPVFLFSMVLPMLPPFGDWLFYKIYNNMTVGMLLRWLLCSPVQFIIGWRFYIGAYHALKRGYSNMDVLVALGTNAAYFYSVYIILKALTSDSFEGQDLFETSSMLVSFILLGKYLEVVAKGKTSDALSKLTELAPETAVLLTLDKDGSIISEMEISTQLLQRNDFIKILPGEKVPVDGVVIKGQSYVNESMITGEARPIAKKPGDKVIGGTVNDNGFIIVKATHVGSETALSQIVQLVEAAQLARAPVQRLADKISRFFVPTVVVAAFVTWLGWFIPGQLHLYPQQWIPEAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASLGVLIKGGNALQKAHKIKTIIFDKTGTLTIGKPSVVQTKIFSKIPLLELCDLTASAEANSEHPLSKAIVQYTKNLREQYGSDSDHMLDSKDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEAPMSSEVEEYMSEMEGLARTCVLVAIDRIICGALAVSDPLKPEAGRVISYLTSMGITSIMVTGDNWATAKSIAKEVGISTVFAEIDPVGKAEKIKDLQAQGLTVAMVGDGVNDSPALAAADVGMAIGAGTDVAIEAADIVLMKSSLEDVITAIDLSRKTLAKIRLNYVWALGYNVLGMPIAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHIEDAPRPAGSSDGASNLV >cds.KYUSt_chr7.39567 pep primary_assembly:MPB_Lper_Kyuss_1697:7:245926016:245929603:1 gene:KYUSg_chr7.39567 transcript:KYUSt_chr7.39567 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDLDPNRWANGEATSTSLVEVFMGMGFPKEIVVKGITEIVRLLLSGHSDENRLLELLLTYKALGDEAAVGNCCASGSAPTTVEDDDDLDFENWDNNDDAGGREPNSDNSDDEDFLQELSVKDKKINLLLEMGFPEDETNVAITRCGANADLSVLLDSVSASQYAGDYNSRNFSGHEVMDIFLGSVGEGRKARLMDERKKKRKRSPIHPIPPKTIFEAFPHYKKWWPSWDQRRQLNCLLTCVGTATLTRQIQCVLARSDNPPATDVQKYVMKECKKRNLIWVGKNKVASLEPDEYEYLLGFPKDHTSGVGRIERYKALGNSFQVDTVAYHLSVLRNMFPNGVTVLSLFSGIGGGEVALHRLGIQMKTVVSVEISEVNRRILRGWWDQTQTGTLIEIADVKSLSNDRIASLVSRFGGFDLVIGGSPCNNLTGSNRHHRVGLDGDHSSLAYHYFRILGAVDTSPTYR >cds.KYUSt_chr4.308 pep primary_assembly:MPB_Lper_Kyuss_1697:4:1667816:1669239:-1 gene:KYUSg_chr4.308 transcript:KYUSt_chr4.308 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDCRSVGSLIVMVMVFRAAMRDFVPPEAEQWLRRFLARVTAAFRAPTATILIYEADGATITGVANDIYDAAQLYLGRHGEVDLHRAPVDVYGGGKGPSGGGGVHRSLELQFLRQHRDFVHRMRFTSREHRLYTNGEEYQRLWTSHAFSHPSTFDTLAVDPALREEIRADLLRFASRREHYASVGRAWKHGYLLHGLPGTGKTSLVAAIANLLMFDVYDLELTTVATNSHLRRLLVSTTPKSVNVVEDIDCSLDLSDRRKKGGGIIDEDDDDNNAKMSMLPPATIGRESISLSGLLNFVDGLWSSCIGERLIIFTTNHPERLDPALVCPGRMDRKIELGYCSPAALRVLAKNYLRVGEDTDDEVNGLMALAEGLLAADDDVRITPADIGEVFMGCDGAGASAALRKLVGELRRRRDHAPAPAVDALQPSALTAETM >cds.KYUSt_chr4.6823 pep primary_assembly:MPB_Lper_Kyuss_1697:4:40315912:40316616:1 gene:KYUSg_chr4.6823 transcript:KYUSt_chr4.6823 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTASWSRHGAVPPYSPPPPPPAPILEPNGDAADPALPSSSSPPPPTATAAEAGVAFFSRAGVSASAAAAVGRPRAWREALDATAFARPESCGEARARARRNLAYFRANYALAALLLVFLGLVYRPASMLAFLALFVAWLALYFGRAQDRLACLGREVDDRLVLAALAAATVLAVALTRAGLNLLVSLLISSALIGVHAAFRMNVYLDESDAFDAAVSSFTGSPYGYSALPR >cds.KYUSt_contig_1256.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000123.1:627:858:-1 gene:KYUSg_contig_1256.1 transcript:KYUSt_contig_1256.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDQISYSLTGHWWVSGAAVKTTRISQPAEETTAMLASPPGPNHLRRPPILASVGLPRKRERTEDLVLVGKYGSEE >cds.KYUSt_chr7.22342 pep primary_assembly:MPB_Lper_Kyuss_1697:7:138374139:138385541:1 gene:KYUSg_chr7.22342 transcript:KYUSt_chr7.22342 gene_biotype:protein_coding transcript_biotype:protein_coding MFMVLGTMGSFVHGMGPSMSYYILGKSVDAVGNNLGNLDAIVHALSKMAYLRSVLSQDVGAFDTDLTTAYIMAGATNHMKVIQDAIGEKTLSHIKTVFSFVGENSAMRSFIKCMDKQDKLSKKEAMTKGLGLGMLQIATFCSYSLTVYIGAVAVTARSATAGETIAAVINILSAAIYISNAAPDLQAFSQAKAAGKEVFKVIKRKPAISYESDGIILDKVTGDIEIREVEFTYPSREENPILQGFSLTIPAGKVVALVGSSGCGKSTVISLVQRFYDPISGDIIIDGQNIKELDLKSLRRNIGSVSQEPALFSGTIMDNLRIGKMDATDEEITEAAKTANVHSFISKLPNQYSTEVGERGVQLSGGQKQRIAIARAILKDPPILLLDEATSALDSESEKLVQDALDRAMQGRTVILIAHRMSTIINADKIVVVENGRVAQSGTHEELLEKSTFYLSVCNMQNLEKKSSKSEERFTDQGAEQDTETYKEHSFTAHEQEKKPEPTTKQPKQGVRKRTSAFNRIFLGTMKLVPQKVLLGSTAAAISGISRPLFAFYIMTVGIAYLDPDAKRMVSKYSIILFLIGMSTFFSNIFQHYIYGLVGEKAMNNLREALFSVVLRNEIGWFEESKNSVGFLTSRVVSDTSMIKTIISDRMAVIVQCISSILVATVLSTAVNWRMGLVSWALMPCHFVAGLVQVRSAKGFATDTFKSHRKLISLTSEAVSNIRTVASFVQEEEILRKADLALQEPMRISRIESIKYGVVQGISLCLWHMTHAIALSYTIVLLDKRLATFKDCVRSYQAFAMTISSITELWSLIPMVLSAIAILDPALDILDRETQIVPDEPKVTSEDRIVGNVEFEDVSFSYPSRPEVTILDSLSLSIESGQRVALVGPSGSGKSTVLALLLRFYDPCNGRVLVDGKDLRSYNLKCLRKQIGLVQQEPILFNLSIRENISYGNEGASETEIVEAAMEANIHEFISSLSKGYDTVVGDKGSQLSGGQKQRIAVARTILKKPVILLLDEATSALDGESERVVMDTLGAKGWKSKGLAALFANWYEYSIVLEMADEDSSDFTFCKVEQAENGGHLESPSSITVANMTLEDVDGNGADISKTKKIQGNTETDNPITDGRSLQDSSIKEPVVPKNSGESVQSNVSPQPKSSKKSAVRAKVPFEKGFSPMDWLKLTRTHPDLAGLRGQTNRRLITLEEVKQHKTGDCIWTVLKGRVYNIGPYMKFHPGGVDMLMKGAGKDCTALFNKYHAWVNAEFLLEKCLVGFLDPSE >cds.KYUSt_chr3.30357 pep primary_assembly:MPB_Lper_Kyuss_1697:3:190209504:190214343:-1 gene:KYUSg_chr3.30357 transcript:KYUSt_chr3.30357 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAWMRRQMEQILELDMEELEVEEVDDSGSSSSSDVATFLRNTHGDREASTSEEFTFNTSRASLNTYVGEVDDTRRRFAFLNGGAVLSLPMFYLQGFVLFPEATLTLRVIQPRLLATVDKAINHVDTPCMIGVVYADPLINDGRHPIASVGTIAERDLMDSENDFDSLSSTSTSSDHSVTDTRIYYSSNEDEDLLPEPSWQKHESVNEFGTLCHPVKDATMVNDDDLWFASPKSLSTALIARRSDKAVMSSDDPVGAYVKPYDSAAQEVITLHNASGLALHGNPSRDHSWFPGQLP >cds.KYUSt_chr3.46546 pep primary_assembly:MPB_Lper_Kyuss_1697:3:292762258:292777058:-1 gene:KYUSg_chr3.46546 transcript:KYUSt_chr3.46546 gene_biotype:protein_coding transcript_biotype:protein_coding MHPATKLWVLFCVAAAAATAFSSSTHAATATNTSAARCVSHEREALLEFKGGITSDPTGQLASWQRDFEQDCCHWRGVQCSRRTGHVRELHLRNVHANNTHLIDDRETALVGQISPSLLSLRHLVHLDLSVQELQGPTGHVPEFLGSFKKLRYLNLSCIPFIGRVPPHLGNLSRLQSLDLSQNGVGYLYPGAHLSSTDISWLTRLPMLRYLNMQSVNLSLAADWAHVVNTLPSLTTLCLSQCSLQSANQSLPHHNLTNLEDLDLSRNYFVQPSASCWFWNITSLRHLNVGDSDLYGQPPSALGGLKHLQVLIYSRSSMGTITPSMRNLCNLEILDLSYNFLHGNIFEMLPRCSPNKLTELQLENNYVRVLPRLIGEFVNIVTLDMSNNQLTGHIPSEIGMLNKLAYLDLSNGSPHGIEIFAVSATSALGGSNKTGGSNKTAYLDLSNNQFASSQTQKNQLTGTIPSEIGMLNNLAYMDLSGNNIGGVVTEELFSNLTSLHKIYLDDNSLKVVVDPEWRPPFSLTGASFASCQMGPLFPGWLKWQVNLSYLDISRASITDKLPDWFSTSFANVRTMKISENGINGSLPTNMKVMTSLRRLYLDSNQLTGQIPQLPVGLSILDLSRNSLSGFPSNFGALNIQELKLYSNLLTGHIPESICGLQGLGMILDLSNNQLEGEFPPCSQPIDPWILLLSNNRFTGMFPSFVQNFSSTSILDISWNNFSGRLPLWIGELVNLKVLRLGHNMFSHSIPASVTNLTRLVHLNLASNSMSGVIPQNLSSLIGMQMGNSPTVFPSKTLNMSVFTKGQELNYQDARIFDMVIIDISSNFLTGGIPEELVTLHGILSLNLSWNDLSGKISNKIGAMQSVESLDLSRNRFYGEIPQSLSNLSYLSYLDLSYNDLSGTIPSGGQLDTLYEEYPSMYYGNNALCGHPLPNNCSNNSAPDHHVQQTSGDAMFFYIGLAVGYIVGLWVVFCTILFKKAWRIAYFRLFDKVIAALREAGLESSNLILGIDFTKSNEWSGRHSFRRQSLHAIGGTPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYQENRPCRGFEEVLERYRQIVPHLNLSGPTSFAPLIYAAMSVVESSNWQYHVLVIIADGQVTTTNSSDRRLSPQEQATIQAIVDASFYPLSIVMVGVGDGPWDAMQHFDDCIPDRAFDNFQFVNFTDIMSTSKDMSKKEAAFALAALMEIPTQYKATQGLRPSEKHAQKATPPRMLPPPNKVLEHDNVVAASHAPTTTSRSTDIGKNVSDEQVGMSNLLDESKGHGVPMRSSDSGARLDALRERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGDIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAIEMRKVVESTQNLFIREAMATEVMIGKNDSVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQHLGFETDRLKTGTPPRIDRRTVDFSRLEAQHGDEEVGWFSFDPEFHVEREQMCCYLTRTTKDTHQIVTDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQVFLEPEGRDVPELYLQGFSTGLPERLQLPLVRTLPGLENCVMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIVSGINAARHSDGKSLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLFRSDNADSRLTHLGRDIGLIDDRRWELYQSKQARIKEEKERLKHTRVSGEEFAAEVTAVSNQPIKQSSTLEAILKKPHVQYKLLDKHGYGNEHLSRIEKDCVEIDIKYEGFIARQQSQLQQIINQEHRKLPDDLDYHSMKNLSLEAREKLSKVRPQTLGQAGRIGGVSPADMTVLLISMESTRRMAEYKRKQDMLRSAAVKADDSSDEVVHISATTT >cds.KYUSt_chr7.29070 pep primary_assembly:MPB_Lper_Kyuss_1697:7:180834070:180835903:-1 gene:KYUSg_chr7.29070 transcript:KYUSt_chr7.29070 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPCRQRHDIKAATSSTQPQHPEMPPVLVLVGLTGLQTDESFQSYQVDNRELLHIVVRRQHAAGWLATIVWRAITSYGEAGDELCEAADMLRGGCQRSAGGGNNMLEAGQELL >cds.KYUSt_chr1.8104 pep primary_assembly:MPB_Lper_Kyuss_1697:1:49788104:49790699:1 gene:KYUSg_chr1.8104 transcript:KYUSt_chr1.8104 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTQRGQSKSNTTSLLHAQTPHSQSPPLYKLPRPSSVPKRSSVSSAAAAAACLPIAAVAMGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFSHCVHLVSWEKENVSSEALEAARIACNKYMTKHAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKANNAVHATEALRRAKFKFPGRQKIIESRKWGFTKFNRNDYLRLKSEGRILPDGVNAKLLGCHGRLSNRAPGQAFLSDDIVA >cds.KYUSt_chr6.28742 pep primary_assembly:MPB_Lper_Kyuss_1697:6:182108430:182115587:1 gene:KYUSg_chr6.28742 transcript:KYUSt_chr6.28742 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRCRRRRPNSSPPPALEDDDLLAEILLRLPPQPSSLPRASLTCKRWRRLIRDPGFLRRFRAHHRSRGTAPVLGFFTKEDSGISFHPTLDPPNRVPPERFRLHITGSPFNCRIVCCRDGLVLLVNVHPGQVLVWDPVTGDQRRLLLPLVFRNYDKFYSGMVLRCPAAIGDGDHFRFQVVLVRCIKGRHARAVACVYSSDTRAWGDLIQISTPLLRALYVERPKSGALVGRSLCWLLCGKSTAILEFDLDRQNLAVIPSDLKGWIMSSEGGGLASVSLCAHTAQIWKREIGSNGVAKWMLTKTIHLDKLLPLSPGDRFFAHFAEENSMLVLGFSEGIFTVQTESMQIKKLPKWELVLNMLELLHNKKEKCPVRCAIQMHCFSSSPLHPLRISTPRALLRHHVFLLLCPIGSCQPVLHVPRADAGVQPGGGPRGQHPPRHCGREESSHDFSIWSEDDKSLTDGESDLRFLAVGETEEESDDDSFSCDFTSSEEEEEQEEEEEDDDESSSDEPPAKRFCPWPGNLSDSTATRTTPTKKMKTTRARSAAIGATTSPPGAAPIAATTATTRAVMAHR >cds.KYUSt_chr1.6891 pep primary_assembly:MPB_Lper_Kyuss_1697:1:42383497:42386474:1 gene:KYUSg_chr1.6891 transcript:KYUSt_chr1.6891 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVFDLHMRSLIELKQTGTVAEYTAAFWEHLHPVLDLNPNLNIKGFVHCITSASSLARIREDKIVENTKMGGNKLGDSCLWPEENLTDVFPELAAPVQYRASHGITTIDASCRAALMPTTCSMECPSSDAIVHVFPMLAASTESSEDLDIISVAVVTPATCSTDGLAHGRDCDSSVVVLLVQCSVIPSSTIPPEVTQFPRWRPSFILKLDTMAPKRCLTPCLDHQITMLTSVLPLDVIPSIKQQGLVLRPSPWPSFFGEHTSTTCNGTAEPPPRAATAMRMDVIPYLNLSLLPFTLEAFWMFSPRSRHAGPVEHCEAPPLRGLYLWSQRLCRRILTAQSEPTQLDYLLPLAIPSDGREGCATTVSCENCAWFRARGAKVVLNCYSFMAPEYLVMATQTENADFRRVPSVSTQLKYDGTDYHLDHILNAQIWALQAKHHLDKVGWLNLLLQQHVGGLSPWGLGSVCLVNILGVVVKLQVPWDPGVHSFLGEFVASACGQADSRGEANVMTVATEKPIGQVMTWAGVGPGLELTGVKGENYKGSN >cds.KYUSt_chr4.34651 pep primary_assembly:MPB_Lper_Kyuss_1697:4:212879609:212880172:-1 gene:KYUSg_chr4.34651 transcript:KYUSt_chr4.34651 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAFAPPPPNPSRGLFFYHAALLHPLPRVRPRRLSLFLWPVVLFIHNLKALLSGDQFDVEVYAVQYSGRTRVARLLLIAGKCESEQMRLDALRLAYEGSLKGEDTALHRDGMYWYSPLLIAAADDGFLASFPSVDCCCWRWIFGKLNMITVLPVI >cds.KYUSt_chr3.21669 pep primary_assembly:MPB_Lper_Kyuss_1697:3:133187746:133188747:1 gene:KYUSg_chr3.21669 transcript:KYUSt_chr3.21669 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAGPGQDAGAGAPPSSLLPTVCPAPGSPPAEDTTLPSCVAPAKRTAKIGNSEKGKSPFIRSNNGKPDHPRERVVTVEESQAMASASAAVPGQDASAGAPPSSLRRQGLLTLPRTLSAKTVDEVWRNLVRDDPLTGVVADGAEPQHHRQGTWWEAGPPSSAAGQAAL >cds.KYUSt_chr4.26853 pep primary_assembly:MPB_Lper_Kyuss_1697:4:168628484:168633831:1 gene:KYUSg_chr4.26853 transcript:KYUSt_chr4.26853 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSSSGHPRHRDPPPHHVLGYGGFHAGAMPNHSMPSATFFEQDGGGGGAYFGELEEAFMHQVATFSSSGGRRAAHQQQTPTATSTIAHHHHGHSNAMLHQLSFPSTVAATATAVVAAAAGGARPPPTLDIFPAWPMASLSLHHTPKEGSNVTADSTDSESSSKNNMNKDSSDHHHHQQGLVGVVTVASQFHQIPEQYQPQHHQQKMAASSTHSDRTGKALDPKTTRRLAQNREAARKSRLRKKAYIQQLESGKLKLAQLEQDLHRARSQGLLVGGAPGGNSSAGAAMFDAEYNRWLDDDSRRMVELRGGLHAHLPDSDLRAIIDDSLNHYDELFRLKGAAARTDVFHLITGMWATPAERCFLWMGGFRPSDLLKTLVPQLDPLTEQQMVGIYGLEQSLQQAEEALTQGLEQLHQSLAITVAGSGCLADDANIGSFMGDMAVALGKLSNLEGFVIQADNLRQQTLHQMHRILTVRQAARCFLAIGEYHNRLRALSSLWASRPREILMPDEGNCGQLSIAAHPSESQYSAF >cds.KYUSt_chr4.25089 pep primary_assembly:MPB_Lper_Kyuss_1697:4:157693231:157697126:1 gene:KYUSg_chr4.25089 transcript:KYUSt_chr4.25089 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLKKLKLRQQAAARKLEQGPSELHKEEPWTPQVRQISTPGALASASHGHPETPAPAPANPTEKQERKIVQKEHRLAAVLKLKQGPSELHKEDPGTPHVRQITPGSLSPPAALAKGSPETSGPDIEEQAGVEEHVREVSSREFETLTLDQSPPPDSTHRVNKHDSEVSNRELEAWAIHQSPPKVDSTPSIEEYALEVRALKLSQSPPALDSTHGSEECAYDTTNSETGSEIVEEKPPKKSVPWVYRPVRFDILEALIGHSHGYNNPVQHKLDAQKIWESGKEFVAAEASDSVASPTESLAQGEASTKEIIENGKKWMGKEVMLAFEKYIEGKKQFKDVLYCFDEIKYQCFSVESYSHIFHHFNFTVKMKKISDDQWSLTPFFAEVKEVYGRKYSSCYKLHSYDDGHCYACKNQGMHALQHPILGIGYEGGHAAMGPPFVYFKDDDYFD >cds.KYUSt_chr6.2492 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14487339:14491521:-1 gene:KYUSg_chr6.2492 transcript:KYUSt_chr6.2492 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGAGPIGLEPGLPAWAPGDWAEAPGWRPADGKNAHLLALEGADDRLSLYRADVLNYDSLRVALSGCHGVFHIASPVSNDPDLVPVAEEGTRNVMRAAADAGVRRVVFTSSYGAVHMDPNRSPDALVDETYWSDYEFCKRTGVVN >cds.KYUSt_chr4.43245 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267918605:267926555:1 gene:KYUSg_chr4.43245 transcript:KYUSt_chr4.43245 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCFRAAAAPRLLFRAGARRLPLPLAVSRRAFSKQSILPTADSTESFQGPSVDHAPPIPLYDDGMPSGVSTILTNPGENVAPADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLLLDMLEFIPSHVAVVFDHDGVPYGKYKAMPSKECHMAKGMTFRHMLYPAYKSNRTSTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDAIGTLAVNSVSAGYKVRVVSPDKDFFQILSPSLRLLRISPRGSGMVSFGVEDFVKRFGALKPSQFVDVVALSGDKADNIPGVDGIGDVNAVKLIIKFGSLENLLRSVNEVEDERIKQALISQSEQALLCKSLAILRCDLPSYMVPFKTPDLVFQKPKDDGAKFINLLRALEAYAEGSSADQIIRRALYLWNKLES >cds.KYUSt_chr2.13615 pep primary_assembly:MPB_Lper_Kyuss_1697:2:86287371:86289436:1 gene:KYUSg_chr2.13615 transcript:KYUSt_chr2.13615 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGDERNDIGMVVLRGNSVVMIEALEPIAKSQ >cds.KYUSt_chr1.6189 pep primary_assembly:MPB_Lper_Kyuss_1697:1:38052424:38053806:1 gene:KYUSg_chr1.6189 transcript:KYUSt_chr1.6189 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKGAAARRRKAAAAVRKANGAPKISDAPKIPDAPKVKAQPPRPCPSLSPDLLANIHDRLGFLDRVAFAAVFATSCDENLFLHAPWLVLPSNKRKTGRLFSIADQRGATVPAPEPALRDHFVIGSARGWLATADIRGQIYLVNPSTGEQHELPHMSTMGVFGPSSNYHNFSLNIKALLTIRYGHGPPFNDHYWGPEGHGTFSYTADQMRMWFYRKVVLSSSRARHGTYAAMLITEWKAGAPAFATAEDRVWRLAPSHDGVEDAIHHDGQFYSVSYSGLVEAWERDAESGAYTSTVVAPRLAVEEHKEEYREPSCRKYLAASPGGRLMVVIKYPGHVVDDRYSQSRWSCAFKVHVLGDDGQWKETTDIGELALFVGMNNSLCVRTIGCPRIKAGCVYYTDDERGAAEQRKQAYSSHEYSDYRAVGVYSLMDGTVKKIKALGEEQPSFYEPPVWITPSIP >cds.KYUSt_chr2.11652 pep primary_assembly:MPB_Lper_Kyuss_1697:2:74110350:74111006:1 gene:KYUSg_chr2.11652 transcript:KYUSt_chr2.11652 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRARHGSSGGELDVFGATRYFADLAAGPVTVREPEDLVIQMKVTHDMKHMEESYRGIRELGLGAKSKSNLATFFDSLVSPAGSFRMNLPASSSTTTTTPGSHDEPPQVSSSSSLRWSSDIFTDAAFVSCDLGEVVGDRRLQGVRVVRGASGGEERWVVRCGGQNLEAEPHVNEKIADAASSDHQAAEELGNGGDYGSDSDTSSDLFELDLEDANNY >cds.KYUSt_chr5.42595 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268326939:268330403:1 gene:KYUSg_chr5.42595 transcript:KYUSt_chr5.42595 gene_biotype:protein_coding transcript_biotype:protein_coding MTVALCSGLWSPTQSSGHGGGCEMDDDDDGSFEVCAMWRELASFKNDGAKRFLMVASDGFRDSLRIHQEIGSHLRTMISPSKPVTLEAPNGHVYPVEVIEELGDIVLRSGWNEFVNANHIEEDDYILFVSLVNSIFKVHIFDSSGHEKSSCSQPPFGVFGAVPPCDHHVLNEQAVPDPGHVQTSSDISYTTLPGCRLTKAQDKKVLEIADTMESEIPLHVAAMDKQNIHSKDSFVYIPLLLVLNHFKDEISKPTIQLEAPDNHIYSVGARKQSDDLVVLDSAWDSFVASQYIQEKDLLIFRSTKKNHLEVFILDPSGREKTPSNIVIGNSSSTQEMSGDSLQIVDPPPHAIFELSSSDDDDIMREGTRESCRVQKRVTRSSAKAREMASTSSPATKSGYEARKTHDGAPVKLGVGSEPPSNNLGGTYILGMKASLSLQMEKNVFLDNRHYMPSLKLIRPQQSGSQLLQHIRTHRSVPDEKRITCKIGRLNRQSFN >cds.KYUSt_chr3.12840 pep primary_assembly:MPB_Lper_Kyuss_1697:3:76975022:76980764:-1 gene:KYUSg_chr3.12840 transcript:KYUSt_chr3.12840 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKETLLSRELVKGESISHPRKSAFAIKAITANYETANKNSVEKEIKWLRPNRGKLKLNIDASYYPNGLGSVAGVLRDSKGEVQGGFCRPIDNLLSAAMAEAKALHEGLVFLERFGCLSCQVEADALELIQACNGDVDINSPYAAILADCFQKAKEMEEISFLHCHREANQVGHELAKLAYINRENRVWEGDPPDVILPFVMNDCVVAANRASKSFTKDTITDQHQQDVTADTIEDAAQHLPSAAAPPTPTAPRRLPHIPAHAASHQISSVGKEEEEQKPASIYPKEVKRKRDREYYARHKDEISRRRREARELKKQATILDNLNNDTAIWHTPSAGQLGVTQTPATGMAPFVQQTNASQLPQPDSWQSYADGSLNHKADLGASNLVVDVCPKNLVKARFPPRGNPLVEVG >cds.KYUSt_contig_1253.937 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:5897414:5898376:-1 gene:KYUSg_contig_1253.937 transcript:KYUSt_contig_1253.937 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRGLPPSKASQSEAGGVGGNPPSGVVSTEVTVEDAVFMESGTSMSTPHLSGIAAVIKSLHPGWSPAEIRSALMTSSDTADHVGVPIKDEQYRRASFYAMGAGYVNPSCAMDTGLVYDLTPITIRRTVKNVGKADSVYTAVLDMPKEVSVTVRPPMLRFNKVNQRQSYTVMVRWAGKQPAEHVGQSSAPRRTELGDAAAFCRTPGARAVHLAGPGVGGGERGCRRRRGGRDVRRRGGRGVRRRGGRDDELRPPCSQEEKGRERRRRGPGRLPAGGGGCVGGGVVPSVASAAWVRTASAALLYASHEENQGIWGFPSRG >cds.KYUSt_chr7.2523 pep primary_assembly:MPB_Lper_Kyuss_1697:7:14766125:14772170:1 gene:KYUSg_chr7.2523 transcript:KYUSt_chr7.2523 gene_biotype:protein_coding transcript_biotype:protein_coding MQATMATQPAVLLLFLAVLLAGAATFARGFYLPGVAPADFRRKDPLAVKVSQLSSTKTQLPYSYYSLPFCRPDAIVDSAENLGELLRGDRIENTPYVFEMREPRMCRIVCKTSFTRGRILDNLPLVVPIKRMDKDAPTVYQHGVHVGIKGQYSGSKEEKHFIHNHLTFLVKYHRDAETDFARIVAFEVKPFSVKHEYDGDWKGNATRLKTCDPHSRQLVLDSDSPQEVEANKDIIFSYDVNFEVPVLHPHVLSSFCSARLAQAYLGMVSMVNPPGKRHQVVVALGQLPPDDGRPDPLVLHRQLADDRALPVRDGCHDHAADAVPGHHQVQPAGRGGGCAGGDRVEARPWRRLQAPANAELLCVYVGTGVQFFGMLLVTLLFAILGLLSPSNRGGLMTAMLLAWVFMGLLAGYSSARLYKVFKGSEWKKVTIKTATMFPGIVFAIFFVLNALIWGERSSGAVPFTTMTALVLLWFGISVPLVFVGSYHGFKKQHPAMEDPVRTNKIPRPIPAQPWYMHPAVLVPIGGVLPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILVLTCAEITVVLCYFQLCGEDYRWWWRSYLTAGSSAVYLFLYAGFYFFTKLDITRAVSGLLYFGYMLIASYAFFVLTGTVGFCAASAKLIGVRLHRCLLLLNGGEQDEGFSRALVVPVIRSLAPVVLAEHEVAKGADETTRRPPIASLKLWSHSIGCSRMLLDLLTKDYWTKGDTDSGYGAKAHDKIGQVRGRGFRHENTKMKCVGGGCPLELLPDDADSGYGGKAHEISGQVRGRVFRHEKTKMKRVGGAVHLELLSGVHLRPAALAFFDGDLSYLDGLLLLRLHVFRCKFYKSGSIVGMIWRRGGWSDRSES >cds.KYUSt_chr3.1350 pep primary_assembly:MPB_Lper_Kyuss_1697:3:7535917:7536951:1 gene:KYUSg_chr3.1350 transcript:KYUSt_chr3.1350 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVGKRALECILMPAMFAAKTVRAQRDRLLQLRRRLQRSPGSVSVQDLAADLFKVYSKGLTHGAGYLTGCLELAYDNDADLSFCDPAFAFVPDEQLYETLFAHRLRPRPATQTDAFARLEVAYYAVNLALRYHVPRCVEFLVGVRPPSATAAGGKPDDRMVGYADDTLAAATDHIFKTRLAGMPRPDPDVPRTRPSLFNRTLDPSQGPAAATWEPPQVATSNDPDQALSYLHRACSLASLAVKHIDAAVAVISTFLDPKDVAETAEMANEDAYISEVLYWIRLLLPDLFIRMNASINLNYPIHSLHLIHCRTDPTHQINHLTTSPDRARLSSDCRGGIPLHC >cds.KYUSt_chr4.18070 pep primary_assembly:MPB_Lper_Kyuss_1697:4:113360987:113361910:1 gene:KYUSg_chr4.18070 transcript:KYUSt_chr4.18070 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPRKRQRCLQMDKSCHGNMAPINNFSCESSEESFSSEDGDGVHDVAGSNYVARKKSRLTSSQKEHLKDGYITTSKTTLTSVQKEAVAQKVQSIKSETLIVVAVMSKCNVDSGCFLVSSIVNFPSHYAKKYLGRDPTMNLQVHGQKYTVRFDEAPQDKRLRSGWKEFVKDNNLKMGDICLFELLSNQRIRTMEVHIIHVNGSN >cds.KYUSt_chr2.28252 pep primary_assembly:MPB_Lper_Kyuss_1697:2:173410770:173413002:1 gene:KYUSg_chr2.28252 transcript:KYUSt_chr2.28252 gene_biotype:protein_coding transcript_biotype:protein_coding MIINKHRSSLNPETAEELICSKDWLKEYLYEDDDDENDDQQQMHEQQALDQQYAIYMKLAASRSTPRNIFLTTLQAQMKRAWRVHYGDIVQVHEFVFKASFNSFLSMMWVYEKQPWRIGPDVILLELADPEGEGYQIEQAKSVENGGPPKYSFRFVYVSVRAYGIPKQRRFLKLLSEVMSMIGEPYDLHQPRTAMINTHPDYIWGVVRHTICNPILDRIKLVLGPQEPSIAYLHYEKIGRICLFCGVMFHTIDQCYLRKSIVSERIRHHHNPMQVPFQRYGTWIIDESQIPMDKAVASTPIFSTFQNPELSSFNNIFSTPEGRRGRLSENAASQIMSRMEKARARATPPMVSQHNEDEATVVVTPSPTEAVEGHNAAMQGTHVERQNPSGGNTDKGQHANDRSRLQLQA >cds.KYUSt_chr6.13361 pep primary_assembly:MPB_Lper_Kyuss_1697:6:83597564:83602671:1 gene:KYUSg_chr6.13361 transcript:KYUSt_chr6.13361 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSVRRFEASMKCLTPKQVGFVVKYGYEPILELKHKVVFPMVIIDWVMDKMVPELAMFRHEHKRINFDKNMLQQFVGIPAGGTIAKLSSKDSVIVDKVVYMDFLELNACSIQHNIDYGVPRLFHVDNADFKLLIQFDRIKCSGRVCFGAIDFREKSCTPYGNLQFEEFLLQKIVGSIIKSNKEKIAADVTKLLETSFHLMSAEIFSAISEHCQPPQVETPLKSPADDTHFKAQSTDTHSQPPTSETHFQPPTGSASNIVSSPRQEYDASDHVHFMDKVEVAVVKSSNCNDIVSEQDKVPADSNVTGDKMNCDAGTSSPQADPQCDTAVNGNESVLDKDKDDDAGTSSPQADPQCDIAINDNEAVLDKDKDDDAISYNEPSDVVADAQADPPSVLDMKTHNEPVLDEVDTRDAETVHSLDPKQPPCPTIPSVADTLLDAGTASILQEDFQSDVVHSVDPEKSPPANLVMPNQDAVVIVSSAANFSHPQYDESGTSRESLMKAKTLLDDATASPKHLHFEDSAPLSSSLNAESHSDTIKSAAKDCDESDVNLGIDIAMSYASEDPKSPLSNDHTPLCASSDEVKSDDVLKTGPSTIDKRKRKKRYAKRPCNSEERLNLIVDHSAETFYNKNVGKMLFKNSKKFRETSIVVDIDGHTVTYERFYQSLKPRGEIANEVMDAFVQLFNSRNVKVKDDKSSLRKISFSPFFASKLNVPPEKFDAKSVTRELGKMNKKFNLAKCDLLHFPVVSDNHWTITSINLLFKKINFLDSLQDTDKEKKAIMASNIVTNFHKACTVSKCFSFTLDNYVPEIPLNLPQQTTTYDCGVFSFLYMEHWEGQEFKMFESALISNVRKVVAHALVSSEMNDMTTELESFKKQRLS >cds.KYUSt_chr6.13758 pep primary_assembly:MPB_Lper_Kyuss_1697:6:86138685:86141024:1 gene:KYUSg_chr6.13758 transcript:KYUSt_chr6.13758 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILPRFFGPFGGGGLPPYDEFGIKETRPRLPGRRTAGYDLVERMEYLYVRVVKARELRWGAEFDPLAEVKLGSYSCTTRHIEKTVGPEWNDVFAFSRERIQASFLEVHVRGRGFAADEYVGRARFDLGDVPVRVPPDSALAPQWHHVFDKGGERAGEVMVALWVGTQADECFPLAVHADAAFAVDADLAAHIRCKQYAVPRLWYVRVNVIECRDVELADKARVGELFVRSRVSTQVLRTKTCVSRLPSYGWNEDHLFVAAEPFEDHLIISVEDRVKVDKEEVIGHVHIPFTDFERRWDARPIRPRWYNLVQPEGATKIEKFSSKVCVRLCLEGGYRVLSEPIHYLSDVRPAARELWHGRPPIGLVELGIHHAFGLSALRERNGRGSCDAYCVAKYGSKWFRTHTVIDSLAPRFHQQCFWEVHDHCTVLTVAVFHNCQIGDSGGLVTGDPVKDVLLGKVRIRLSTLETGRIHTHAYPLISLHGGGIKKMGELHLAVRFSSTSTLGLLQTYARPHLPPMHYHCPLSVVQQERLRREAVAVIAHRLGRADLPLRRECVEHLCEAHGHRWSMRRSKAHFFRILSALAPLFAAFSWFVDVCRWRNPVTTVAVHIIYAMLVCCPNLILPTFFLYKFCLGLWNYRRRPRHPWHVDTKVSHAHTAHPDELDEEFDEFPTARPHEVVRMRYDRLRSLGARIQEMVGDVAGHVERARCVMTWRDPRATTMYMLLCLCAAVVTFVAPFQAVALLTGFYLMRHPSLRQRLPDVPSNFFRRLPCKVDCLL >cds.KYUSt_chr3.36479 pep primary_assembly:MPB_Lper_Kyuss_1697:3:229430498:229434866:-1 gene:KYUSg_chr3.36479 transcript:KYUSt_chr3.36479 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLQKEVEDLPEHTGVDEMSAQIMNAAEKLHEEKDLGPQSCFRKSLGTRHHDGREASQPSEPRLLPRIWVAILRAGDDGGGVAPPLQVLRRRESACKYVGSDRVLPRLVDFAEGDRYHKVDPPLKPMSGGEFDKWRSAWELQRSWKAAWEGSSSGGGRGGADEKEEAPGEDPLFLEAVARPRRRPPTRLGRRRRRRRTPSPPSRI >cds.KYUSt_chr6.15894 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99947016:99947261:1 gene:KYUSg_chr6.15894 transcript:KYUSt_chr6.15894 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAVEAGVVAVASGRSSKLVRGLYWRLRAAVRRLQSERRRWRGGGARRERFSSFHYDALSYALNFDDGRPAADLVLV >cds.KYUSt_chr5.9869 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63111438:63111695:1 gene:KYUSg_chr5.9869 transcript:KYUSt_chr5.9869 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSLAYPKPLLKNPSPGHNFEHTSSLLTRFAAEEHQGSRGTVDLTSHTQNGRSAVLDISQLFNSGHHGRKPEEKKASKPDDVC >cds.KYUSt_contig_815.366 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000076.1:2209571:2215989:-1 gene:KYUSg_contig_815.366 transcript:KYUSt_contig_815.366 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEGSLAVEDGTGGKGGAAAEYTRDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIASNLVIYLTEKLHQGTVEASNNVTNWSGTVFLTPLLGAYVADAYLGRYWTFVVGSVIYFMGMVLLTLSVTVEALKPPSCDGKVCPPPSALQVGVYFGGLYIIAFGNGGTKPNISTIGADQFDDFDPRERSHKLSFFNWWMFTIFVGILFSSTVLVYLQDNVSWSVGYGIPTLGLIASICIFLAGTPVYRHKVPQGSAFTRMGKVIAAALRKWRVPVPADAKELHELELEAYTKKRKFRMDSTNAMGFLNKAAVNDPSSAKWSLCTVTQVEETKQIVKLIPILVTMFVPCTLIAQTNTLFVKQGTTMDRHMGTGTFQIPPASLGAFVTLTMLIAVPLYDRVFVKAVRRYTRNPRGISILTRMGIGLAVQVLTMATASVIESRRLSYARSHGLDATDAPLPLTIFVLLPQFVLMGLADAFLVVGKIEFFYDQAPESMKSLGTALSLTAYGVGNVFSSFLLSLVSRVTRERGNPWVTNNLNASHLDYYYAFLTVLAAANFLVFAALARRYRYRVESTETIDVDMD >cds.KYUSt_chr3.31108 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195213172:195213411:1 gene:KYUSg_chr3.31108 transcript:KYUSt_chr3.31108 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAKSVALLAAVLLLLVASAAATARTVEREEVVSLGSLAPSLAPAPAPTMLSAAGAVAPGAWAVAALVSLLAFLAH >cds.KYUSt_chr3.14609 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88796687:88804511:-1 gene:KYUSg_chr3.14609 transcript:KYUSt_chr3.14609 gene_biotype:protein_coding transcript_biotype:protein_coding MANAPPAGVATPPTVTTTTKKPKLELTAKEKANKIKNRVDQRKAAKTRRLKAAATEERRKEAEHLQAMQSNASVYALANSVAHQALLMINRNMLTQIAATSANSAEMWVIGKKNKKDDKKKESIYVRVYEDRIDLLRAAIIGPAGTPYHDGLFFFDVHFPPGYPQCPPKVHYRSGGLRINPNLYESGKVCHSLLNTWLGSGSEKWGKSNSTMLQVLVSIQGLVLNDKPYFNEPALFSSKEKHSLAYNTTAFLLSCKTMLYSLQNPPKNFETIIVCHFHEREQAILEACGAYASGMIVGSLVKDGRTYVRNKCFARFKKSLDAQTELLKKELAANRFRALELKRHSTDEIVSTS >cds.KYUSt_chr1.36300 pep primary_assembly:MPB_Lper_Kyuss_1697:1:221360076:221361500:-1 gene:KYUSg_chr1.36300 transcript:KYUSt_chr1.36300 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPDPTVVLYAALGVGHLTPMVELAKLFLRRGVPVVIAVPTAPASAPALLAATASDSARIAAANPSIAFHHLLPPDYPSPDPSPFLQMLDVLRLTVPLLLAFLRSLPSVAALVLDLFCIDSLDAAAEAGVPAYIYYTSSAGDLAAFLHLPHHFAATTEGNFKDMGKALLRFPGVPPIPPSDMPHTVQDRATRMCAALIGHYRRIPEARGVLVNTYEWLEARAVSALREGLCVPDRPTPPVRCIGPMIVQGAAGGGERHACLSWLDAQPKHSVVFICFGSVGAVSAAELKEIARGLENSGHRFLWVVRTPPVDPAKFFMPRPPPDLDALLPEGFLQRTRDRGMVLKMWAPQVEVLRHAATGAFMTHCGWNSVLEAASAGVPMLCWPQYAEQRLNKVFVVDEMKVGVVMEGYNEELVKAEEVEKNVRLVLEQEEGEKLRERLAMAKEKAAEAMADNGSSQTSFAEFLEDLKLTE >cds.KYUSt_chr7.2383 pep primary_assembly:MPB_Lper_Kyuss_1697:7:13849105:13850644:-1 gene:KYUSg_chr7.2383 transcript:KYUSt_chr7.2383 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLFAIATWLGYRQYRQRKSISSKDADIPLEDMDTEFQRGAGPRRFRYSELLRATRRFSDEEKLGEGGFGAVYRGLLRDEGLQVAIKRVSKTSSQGRREYIAEVTIIGRLRHRNLVQLIGWCHKADELLLVYELMTNDSLDVHLYNSEKILTWAIRHKIILGIGSALMYLHQEWEQCVVHRDIKPSNVMLDSLFNAKLGDFGLARLVDHSRDAHTTAVIAGTRGYMDPMYAVSSRASAETDVYSFGVVLLEVACGRRPVVQQEDESRVLIVDWVWELYGTGKLLDAADARLDGEFDACEVERVMVVGLWCVHPDYGCRPSIRQAMSVLQLEAPLPNLPLEMPVAIYVAPGGGYGSSYTSLNGSYNTSGQSSASDRTVKSHSFAATGTRSESDVTKLMNQATGTTEHVQFTNYTS >cds.KYUSt_chr1.10962 pep primary_assembly:MPB_Lper_Kyuss_1697:1:67114509:67115624:1 gene:KYUSg_chr1.10962 transcript:KYUSt_chr1.10962 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAPAPALEKYVPKSILITGAAGFIASHVANRLVRDHPSYRVLALDKLDYCASLNNLAPALASPNFRFVRGDVASADLVLHLLLGERVDTVMHFAAQTHVDNSFGNSLEFTKNNVIATHVLLEACRRAGPGAVRRFVHVSTDEVYGETDSDAAVGNHEASQLLPTNPYSATKAAAEMLVMAYARSYGLPAITTRGNNVYGPQQFPEKLVPKFILLAMRGGPLPVHGDGSHARSYLYCDDVAEAFDVVLHRGQIGSVYNIGTTRERRVIDVARHICGMFGLDPAEAIRTVSDRPFNDHRYFIDDQKLKALGWSERTPWEVGIKKTADWYIKHGNDWWGDVSGALLPHPRMLTPQQSFDSASMTNFDFN >cds.KYUSt_chr7.41110 pep primary_assembly:MPB_Lper_Kyuss_1697:7:254686239:254690322:1 gene:KYUSg_chr7.41110 transcript:KYUSt_chr7.41110 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSPAPFSPPGFAVPLAVKKRQTAAAAYGSGSSSNPWGVPPAPHSSARNGLSGDTSIFSTSLPVLPHEKLISPDSAHATPLLMDDASAKLKDFDRDPLGLGSDDYKFDFDLRQIDDLLPDEDDLFAGITNEIDPSAAQPNNPAEELEEFDVFGSGGGMELDSDPLEGITSGLGNTSIGDGLRASNGVNNNFGLSNSPGAGAGAVAGEHPFGEHPSRTLFVRNINSNVEDSELRSLFEQFGDIRTLYTATKHRGFVMISYFDIRAARGAMRSLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGAYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRSLVQQLGHELEQDEPRGYRNPHVGSPMANSPPGVWAQYGSPTDSNLLQPFNNSPTGNGMSPIGISPSMMSNAMKIAPIGKDSNWSKYDQVFSNSNHSLGAAFQHSQSYQDHKSEHMSSSPGTLTGPEFLWGSPKPYPEHSQSSMWRPPTIGHAMSSSSRPQGQGFLYGSRQTSLFGSSDQNRHHVGSAPSGAPFESHFGFLPESPETSFMNQVRFGNIGNIGTNRNGGGLMMNMASRASLNPVSSLSGSLSDNNSANFRPILSSPRLSQPFFGNPTYQGPGYFGLDSSMDRGRNRRVDSSVFQADSKKQYQLDLEKIRKGEDTRTTLMIKNIPNKYTSKMLLAAIDELHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIISFYQAFNGKKWEKFNSEKVASLAYGRIQGRNALISHFQNSSLMNEDKRCRPILFHSNGPETGNQEPFPNGICIHMPLDGGAVASREPLGYEEDDNPNDKMAGESSMMGSL >cds.KYUSt_contig_605.1433 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:5354667:5355204:-1 gene:KYUSg_contig_605.1433 transcript:KYUSt_contig_605.1433 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGERSAVSLVRPASTLACSFRSWTAVALRCCSGHKLGLPRFCLPRHRATLQPSTSLSSHQRFSFTRMAGKGNELVLMLTLKLFSAYSRPATAASIHATPRHGQAQTAVDASGRLAPSAPVAPPSVGIACSRRQRAALLVPFDAVLHVNLI >cds.KYUSt_chr1.42869 pep primary_assembly:MPB_Lper_Kyuss_1697:1:262250844:262254395:-1 gene:KYUSg_chr1.42869 transcript:KYUSt_chr1.42869 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLPCRRRRSTPPLPSSSLHRCRRRSAPPLARLNFPVVAIDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALIHHRSLQGLHLLCLSKPRSKLPLAFGSKTLTWVADALRRSASPPAFILIDCPADPNEDVDGSSQRKLDEHYRLMVSDQLEELPGSNDGSSEEGDDEMDNDGEDERAAADETTDSGVAGGSSDTTTEAKRKRKQQCPNRVGTTRDIITAVDAKTGLPTEPKHVVKGNEDPKDNIVNRRALSKFSKNLSGYKTMLRGMLGDNETWEEIQRHFPRMTLEQYNKFLENEELEYTKRQSTWGKELADKNIGHHNLGCRGFEGKQPVWDKEDQAYIKSGLEPPFAKYKDPLFRAYLRSRYHRELAGKRVTKPDVVVGVELVADAKVMALEKAVLMEQAAAESAGSSSQTSTAKVPWDTPFIRGLNTVKARPLLDKPHRVPGAGGGRKLADYGLDVPASTKESRQAQKNREHEALLKKVADLETTMEQRVSAEVQQRVGAEGSTAASVPRKAVRAIFSELDEINAKPPIFPGSVQNTEE >cds.KYUSt_chr6.15242 pep primary_assembly:MPB_Lper_Kyuss_1697:6:95559856:95560365:1 gene:KYUSg_chr6.15242 transcript:KYUSt_chr6.15242 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRSNHTAASPPANNATAPSPRRPPAPLPPSPGDAAWGPYGSSRAFLSNVATILIILACVSLLAFSLHAAARCLLRLLDRRRARPRAPKPVPAADAGWSAEAGGAGVQLAGGWADAECAICLSELAVDGERVRVLPACGHGFHGACVDGWLAARASCPTCRAPSRLEP >cds.KYUSt_chr4.44837 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277491900:277493777:1 gene:KYUSg_chr4.44837 transcript:KYUSt_chr4.44837 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDVDQLRTPSVSSQEFIDFKRKATTIVEEYFSTDDVAATANELRELRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYNDVIDRPQVYKGFCKLTESCDDLSVDTPDAVDILAVFVARAIIDDILPPAFLAKQLSCLPDGCKGAQVIHRADKSYLSAPHHGEIILQRWGGSKNITVEEAKAKIVDILEEYLAAGDTAEAFRCIRDLKIPFFHHDVVKRAVVLAIERGGAAEGHILDFLKSASREGVINESQMIKGFNRMIDSVDDLTLDVPNARCLLKSIILKASSEGWLCASSLKPLGPEPKKAAEDDAAVKKLKAKAVSIIQEYFLTGDIIESVSRLEAENRSCSPSVNAIFVKKLIIAAMDRKNREKEMASVLLCSLCMPPEHVVAGFHLLIDAAEDAALDNPAIVEDLAMFFARSVVDEVIAPSDLEAMEEEASRRKAVGSPGMLALRNAHALLGAKLSAERILRCWGGGGTGKAGWELSEVKEKVSKLLQEYDCGGGVREACRCIKELGMPFFHHEVVKKALVSIIEKRGKDERLWGLLDECYSRGLITPNQMTKGFERVADCVDDLALDVPDAREQLRCYVERAKKGGWLDASFSITRPGQQAPVPDGIAASS >cds.KYUSt_chr4.41733 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258077869:258086213:1 gene:KYUSg_chr4.41733 transcript:KYUSt_chr4.41733 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRRARVSRYFPARRPGTGGSVAQFRGQARLPRFAAPRRYVLRLRPDLVACTFSGSVAITVAVSAPTRFLVLNSADLSVDRASIHFQVLAPEEVVFFKDDGILVLGFAKQLPLGEGVLKMDFNGTLNDQMRGFYRSKYQHKGKERNMAVTQFESVDARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVATTTVAGPIKTVRYEESPLMSTYLVAIVVGLFEYVEGLTSQGTRVRVYTQVGKSSQGRFALDVGVKSLDLYKDYFDTPYPLPKLDMVAIPDFSAGAMENYGLVTFQEVALLFDEKSSSASSKQNVAIIVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSHLAVDSIFPQWNIWTQFLDNTTGALRLDSLEDSHPIEVEINQASEVNEIFDAISYDKGASLIQMLQGYLGADRFQKALASYIKKYAYSNAKTEDLWAVIEKETGEPVKDLMSTWTKQKGYPVINAKLKGNDMELDQAKFLLDGSSSPGMWIVPITSGCGVYETPKKFLFKLKHDKLVIASQCADRKKGGNFWTKLNINGTGFYRVKYDEELAAALQNALEMNKLSVMDKIGIVDDSHALCMARQQTLASLLRLLHCYRWEADFSVLSHVNSVTTSIAKISLDATPGLAGDIKQLLIKILFSPAEKLGWDPKNGESHVDVMLRPLLLIALVQLGHGKTINEGVRRFNIFIHDHNTSLLSPDTRKAAYLAVMQNVSSSNGSGYDALRKVYSESAEGEERFTVLGILSSCRDKDIVLESLNLIFANEVRIQDTYTALRGVQIEAREIAWNWLKGQALSRPGSTLVSLQGAGDLAVGLFEMPPEWMSRPLTRVDREVEAETGPPIMRICNLGDGNRSDLESKQDQWRSNLEQELIRLGRDKEAKESLRLMNQSREDELHR >cds.KYUSt_chr4.8444 pep primary_assembly:MPB_Lper_Kyuss_1697:4:50548950:50550131:1 gene:KYUSg_chr4.8444 transcript:KYUSt_chr4.8444 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHVWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTMTDEERADPRHHPDNYTRWNSYFLRRWERELAAYDGPPPPPARNNAAGRRRWWSAPERTLANVLAHIEGGNFPVLTMPPLSASRASASRRRGSVWQPRRMAASSSSSGSASRSSLAPVKREEATSPSTPVRVKKEPASPPATRGRSSGALVIREQPSAPQSGRKKTKKEAAASQLAEEEAKRAEDAAMAEAIARSLHDMEEEKRADDAALDWARRDWEREEAEQQRRLLDLAAARQLAARAAPTANDDVARYRRPATPPSGVAVPVVDLESSDDDRYKPSPGWGDAGQGSSSQAAQPKANDDGSDDDGGDYTVFYRHFGM >cds.KYUSt_chr3.44079 pep primary_assembly:MPB_Lper_Kyuss_1697:3:278254427:278257120:-1 gene:KYUSg_chr3.44079 transcript:KYUSt_chr3.44079 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLQYRLAAILDALLAGVLAFGAAGHRSPAPLRFAPEGRFKVALFADLHYGENAWTDWGPAQDAGSDRVMAAVLDAEKPDFVVYLGDLVTANNVPIANATLYWERATSPTRRRRIPWATVFGNHDDMAFEWPMEWFSPDGVPPVHCPPSVSDCSFRGTPRVDLMTTEIDRDGGEQGLSRSSVGPEKLWPGVSNYVLQVISREKEQHPALLMYFLDSGGGSYPEVISDAQVRWFQTQSQFLNPDGRIPELVFWHIPSIAYAKVAPKAKSKIRRPCVGSLNREGVAPQQAEWGMMDSLAKRPSVKNIETCDQIVCLRVFHFDGAAMTTSLPEELHLEILKRLLPSPQVLARASAVCKEWHRVVNDPVFLHELYRARGGAPVTLGFFHNFDDLHRRFVHVEAAGPAKFAFDSIDHKKRKWQFFDCRHGRVLLHDNWDTLLVWQPMTGDHHLVSYEGPFSLGGRHTGVALTCECAADDGGDDRGTPCNSSHFRLAVVSNHMRTDCLRASVFSSITGKWTSSPVLPLANQIRPEPCVIVGRTLYQPLLDYLVLEFDTDNRTLTTFERPNFGHVRLFKAHGGVLGLAGVLGFTLRVWVRDADAWVMRKTVDLSRILPSLSTPSQNTDYWFTLMPPVKIIGVADGGHDLFLLTAIGIFLFCVNSMELKMVHEASPNMKTVYPYGAFYVPPTTRTPT >cds.KYUSt_chr7.33256 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207500357:207502743:1 gene:KYUSg_chr7.33256 transcript:KYUSt_chr7.33256 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKVLAKNFDILAGPLVSLAYPLYASVRAIETKSQVDDQQWLTYWVLYSFITLFELTFAPILEWLPFWPYGKLFFNCWLVLPCFNGAAYVYEHFVRPMFLNRQIVNIWYVPRKDKLSKPDDVLSAAEKYIELNGPEAFEKLISKSSKPSNFRSRRLSVLQEAKADRTGKAERESWGENPFYDKSYRH >cds.KYUSt_chr5.21987 pep primary_assembly:MPB_Lper_Kyuss_1697:5:143570689:143573486:-1 gene:KYUSg_chr5.21987 transcript:KYUSt_chr5.21987 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGSTPKGAAAAGGGKTAEVTKKVRKPKPWKHPQPITIGQLRQMRDEFWDTAPHYGGQKEIWDALRVAAESEVALAQTIVESAGVIVSNADLTLCYDERGAKYELPKYVLSEPTNLVQDS >cds.KYUSt_chr5.41644 pep primary_assembly:MPB_Lper_Kyuss_1697:5:262764317:262767247:1 gene:KYUSg_chr5.41644 transcript:KYUSt_chr5.41644 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAELSHRPQRPTTQLGTGGPADALPGPGPQRQGNVRTTLMPPLGLQALANELGVNSGRAGYLSWSDSSSVAEVGLNSEVLSGPSKPSSSSLFFSGGRSGDRRRRDGAASPAIRPFLPPLVICFGCAGAATKVQIFRLSDRIHGGWTKGVEDLRMVSPISAPGFAEDAIINARLPESVAGPSGVMVASDAGVCRVSVLRRLHRSLAEASLQSTTSAAHLLSVIHASEAWQLFNLHRRPFSRLAVASHADFGASGFVPALKLDGDLLLVGGEREGLDCFLLSFSEALSANIRDLCVITRFWPNQEMGRKGDGLGRLHVEDL >cds.KYUSt_chr4.37383 pep primary_assembly:MPB_Lper_Kyuss_1697:4:230209087:230209515:1 gene:KYUSg_chr4.37383 transcript:KYUSt_chr4.37383 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKDGATEEAAGVLAVLALVEDGLGGLALENKGETHPGGYPVHLLPAAGLASPRDAPPILHGGGRSDEEGRRGLRGGEGQVAEPGGRKAQRHAGADEEREREEEGEAAATRREWIGFAWVTASFPFLPIFFLALPHPSQP >cds.KYUSt_chr1.31756 pep primary_assembly:MPB_Lper_Kyuss_1697:1:192611807:192612733:1 gene:KYUSg_chr1.31756 transcript:KYUSt_chr1.31756 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFSPAACSLSKLMVQGRESAAALEALLRGASPQEHGEVQELAAEILRCCDRALAALHHGEVADDGAGGRKRKSGAAARTRSSKRTRADGGEAAAMRVEKKWTSEDGFIWRKYGQKEILNSKHPRLYFRCTYRDDSGCKATRQVQMSEEDPSLYVITYFGHHTCCTDVAAAAASEVNDLGEKHQFVINFGSAAASSGSQPWLSSSSSSSDVRNETSRSSQGVCSLEEGGEEELRVMETKVEPVSSDLQTVAEPSSSADGSSSSPVWDPLAACSDWDFFGESSFDFISDFINSDDLALYQSCGARVD >cds.KYUSt_chr2.38727 pep primary_assembly:MPB_Lper_Kyuss_1697:2:240029833:240030174:-1 gene:KYUSg_chr2.38727 transcript:KYUSt_chr2.38727 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVPSLCSVVPRRAAAHFRLPRHGLRRKVHVVRLGGAGARRAGCARVLCSLRGRMKLRWLSSAMWRLAGVCVAVMSGPPGVSDGPPSWTGMEPCFAAPLVAAVLVRRAGQDC >cds.KYUSt_chr4.36959 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227249959:227256662:-1 gene:KYUSg_chr4.36959 transcript:KYUSt_chr4.36959 gene_biotype:protein_coding transcript_biotype:protein_coding MGIADAVQAYTGLSPAAAVTVLALMLATYLIVSTLFVSPDTAAPPKLAQQQERGTEAEAEPFVPPFPDPVQVGEITLDQLRAYDGKDPAKSILIAIRGQVYDVSRGRLFYGPQGPYSLFAGRDASRALALMSFDLNDLTGDLEGLSPDELEVLQDWEEKFKERYPLVGHLPSDDATAGGDQKGAEPVDHEEENA >cds.KYUSt_chr5.15361 pep primary_assembly:MPB_Lper_Kyuss_1697:5:99308263:99312279:1 gene:KYUSg_chr5.15361 transcript:KYUSt_chr5.15361 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRKIAAANGFDRGSLTVPEAWALYNARYPVPPDMRLLSSGGWKMAVNGIGVPPPPKPRTDLWRDAIKACRAQLTTEERLDPTWAANNNDAWWTTYFQAKYDVEMHSTDGLVGGPTAGTRTAAPCSGAFRGAPSRTSSAASATALQVLRAAFLASMNDKDAWRGDLDAAIAMSIRDSGKPLVDLSDDGEAGPSGLVKDERVKQEVITDDMYNFQQYYDASGRRKWFYIRTCKGAEKIVRDVVQEEIKRNRGIGAGLIRLFFHDCFVQGCDASVLLDKTPANESTEKFGLPNIGSLRGFEVIDKIKTKLEAKCKGVVSCADIVAFAGRDATYFLSNKKVYFEMPAGRYDGRVSSASETLFNLPPPFANITVLEAMFAAKGLNLNEMVTLSGAHTVGISHCSSFGDRLPRNASDPMAMNPRFASSVTRKCKSASSTVDQDFKTPNKLDNQYYKNVLNHEVLFTSDAALESSKTKRLVKQNLIPNVWETKFKQAMRKMGSIAVKTKANGEIRKNCRLIN >cds.KYUSt_chr2.34373 pep primary_assembly:MPB_Lper_Kyuss_1697:2:212234614:212236356:-1 gene:KYUSg_chr2.34373 transcript:KYUSt_chr2.34373 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRHPVKAYYSVGFKSDGRMTALHLEVGISPDANHRISEAIILGLKKYNWGALSFDIKITINIKLPESSQIRQAVQQLQQVAKAGYLLRAPRFQGSTKTSSGRVSVLNSLWLRLKADTLSLIQGGVTAGSTTSEFSCAAILQICSMLIDRLEPVMDKLKYQGGAVSWDSLISQASKDNANLSSSAYWVPGEESSSYLNYGAGISEVHPSQLRLDPCADEWRGWGAHLQPPWLRQGDPLSALLFDTIVEDFGVALGLRTNLAKCLLHPIRCSQDQVALASSILGCEVASFPFKYLGLPLGLRKVTASQLQPIMDSAANRLPPWCVKLVNRGGRTILIQTMLSAIPVHTMMSLDIPPKVIEALRKIYRAFLWKGRQEVKGGP >cds.KYUSt_chr2.41743 pep primary_assembly:MPB_Lper_Kyuss_1697:2:259726308:259730280:-1 gene:KYUSg_chr2.41743 transcript:KYUSt_chr2.41743 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGRGGGRVRGGGGGLCEGGGGREAKAKAKAKAQLASTGDNEEDTSSSDASADTASSEEVLENMDMVNRIGTSFCEIQFPTYWESSEPRSSPSENGTVEEDDMEFDHNGAEGMIAADIECLSDGSIEQIMKEIDVSYGLRKELDLLPAVHGRIMLAAARREVKESNVKGHVMSERRRREKLNKLFVILKSSVPSIHKVDKASIIAETIAYLKELERRIEELESSRAPSTRPTETTSRRRHGMAGKEVSAGSKRKASEPCESNDGPVNVVAVAVTDKEVLLEVQCRWKQLLMTRVFDALKALSLDVLSVQSSTPDGLLALKIRAQYAGSAAVAPAGMISEALQRAALKGVAE >cds.KYUSt_chr6.21682 pep primary_assembly:MPB_Lper_Kyuss_1697:6:136826945:136830105:1 gene:KYUSg_chr6.21682 transcript:KYUSt_chr6.21682 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLKQPLVLSAQSGNVTSPLFAAAASSQQRRAPRAGGRPVRTRRISCASTEEAVGVSTSVTTKERNLTVTAIVTAQAAPASMYISRGLDDIQDLFGKTLLLELVSSELDPKTGSEREKVKAFAHMTLTQGTYEAKISVPASFGPVGAVLVENEHHREMFLKDIKLITGGDESTATTFHIDSWVHSKFDNPEPRVFFSVRSYLPSQTPPGIEALRKKELETLRGDGQGERKFHDRVYDYDTYNDLGDPDTNIQHRRPVLGSKEYPYPRRCRTGRPMTTIDPKTEKRSSTVYVPRDEQFSGIKGLTFSATTLRTGLHAILPALAPLIKKSENFPHFPSIDDLYSDGIPLPADAGASLHIIRDVIPRVVQMIENTTEHVLRFEVPHMVERDRFSWFRDEEFARQTLAGLNPICIRLLTEFPIVSKLDPAVYGPPESALTRELLEKMMNGLMTVDEALESKRLFMLDYHDVFLPYVHKVRELPDRTLYGSRTVFFLGDEGTLMPLAIELTRPQSPTKPQWKRAFTSGNDATQSWLWKLAKAHVLTHDTGYHQLVSHWLRTHACVEPYIIATNRQLSRMHPVYRLLHPHFRYTMEINALARESLINADGIIEDAFWPGKYSVELSSVAYGATWQFNTEALPEDLVSRGLAMRREDGELELTIKDYPYANDGLQIWDCIKQWATDYVNIYYKSDEDIIGDQELQAWWEEVRTKGHADKKDEPWWPVCDSKDNLVQILNTIMWVTSGHHAAVNFGQYHYAGYFPNRPTVVRTNIPVEESREDEMKKFLARPEEVLLHSFPSQMQAIKVMATLDILSSHSPDEEYMGEYGEPAWMAEPMVKAAFEKFSGRLKEVEGSIDEQNNNPENKNRCGAGIVPYELLKPFSEPGVTGMGIPYSISI >cds.KYUSt_chr6.18491 pep primary_assembly:MPB_Lper_Kyuss_1697:6:116341633:116348443:-1 gene:KYUSg_chr6.18491 transcript:KYUSt_chr6.18491 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHRTFGSLVDERSDNGIGVWTPFSDQDDPRIYRGIKASNSLRKRRSDLVFRCWPATNELANPVIKPSSMPHGKLEYGERGWTSGPSFLGQCYHPMAPLPRLDEAMTLGTRIVSEGLGWENHEVEYVPMPLGYTEWGACIMKKYHTHLKGSEEGVDYIYGAIYCSLGDYSVSPPLLRSLLEKWNPNTNTFLFSSGERTITLLDMHQMAGLPLDGEPYEEFVPPSHELEGSSLLYPKFLSRLLDIWTKLAIGNQQGRLSNDNVAAVVSSAQPLARYNEPPKITPNTNGKRSRQSTSTLTPVDEDERLPLKRKLDFSAESDLSAFPVFETVTTESDFGTLISNENLDRALAGEDDHGWEDLFDIYMESYQSDGSGMPHSSSTLNGAQNIPATPNDFGFSSTLHGSEQDAHSLASPEVTVVVSGKSSEMEHNLIRDPFLDATNKVVKNALKGLNTTTICDPEHRIMLEEISKMLPGYIPQIAKAKEVLVQLVTLSNKLETNQDELQKETEKENHARTEATLEVQSREDAAKTVAEELSSVGKRRAEQAAFVASLKAQLEEASAALFDLDGNEEKLRQAYSVHESELKERRDRLQNAGVGHSQKLTEFQQQAQTLKLEADQLIGNLRKWRSL >cds.KYUSt_chr1.27167 pep primary_assembly:MPB_Lper_Kyuss_1697:1:163883518:163886302:-1 gene:KYUSg_chr1.27167 transcript:KYUSt_chr1.27167 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLLPPTFLPHHRHRHRHRLRLPVPSCSPSSSVSTSTASRYDFEPLLAYLSDPSTVASLTSPSPPPSVPAPEHRLAASYSAVPSHEWHALLRGLAASDASLPLAFALLPFLQRHRLCFPLDLLLSSLIHSLSVSGRLLPHSLLLSFPPSLSDPPSPLLLNSLLAASAAASRPAVALRLLALLRENNFLPDLASYSHLITSLLNTRDPPDAAILERLLGDLKESRLEPDAPLFSDLISAFARAALPDAALELLASAQAIGLTPRSNAVTALISALGVAGRVPEAEALFLEFFLAGEIKPRTRAYNALLKGYVKIGSLKNAEQVLDEMSDCGVAPDEATYSLLVDAYTRAGRWESARILLKEMDADGVKPSSYVFSRILAGFRDRGEWQKSFAVLREMQAIGVHPDRHFYNVMIDAFGKYNCLGHAMDAFNRMRQEGIEPDVVTWNTLIDAHCKGGRHDRAMELFNEMRESNCPPSTTTYNIMINLLGEQEQWARVETMMSEMKEQGLLPNIITYTTLVDVYGRSGRFKEAIDCIDAMKADGLKPSHTMYHALVNAYAQRGLADHALNVVKAMRTDGLEASTVVLNSLINAFGEDRRVVEAFSVLQFMKENDFRPDVITYTTLMKALIRVEQFDKVPVIYEEMITAGCAPDRKARAMLRSALRYMKHTRVA >cds.KYUSt_chr3.38900 pep primary_assembly:MPB_Lper_Kyuss_1697:3:245052965:245057362:-1 gene:KYUSg_chr3.38900 transcript:KYUSt_chr3.38900 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPTQAHHGHGGMPPPPRHGLARYGSAPGSFLAALSEVVRGDAPSPSPAQHQHHHQPQQQQQQAVSRFFSGESSGLTSCESTSRPDVPTLHRAYGGSGEIHVPPPALAPPPQQQQSGLLRHSSSPAGLLSRLVGDPHGTRFSSPTTTRLPTYLLACRAAQSIIGNGGMAGTRAVMGSYSQGAGGNINVMTQQQSRLSSQWSFSRQDMMPHITEMGMATMPGTNDIGESIANGSAGAGCNSSSDLSRSFSMSSWDDTTSIMFSSPNKRARVAVDGDDANFSNIDSQVRPDSIRVVFAIVRLNFVLTVMDFPLRPIQFGLSSSSLEMPGMDEYLQMQDSIACRVRAKRGCATHPRRKKNKDQQEAKEAARARAKHGQEIKTGPRKLLLLSQAGMLRLENQIYDVMLYQCDSQNTSFPSED >cds.KYUSt_chr5.29651 pep primary_assembly:MPB_Lper_Kyuss_1697:5:188061999:188062979:1 gene:KYUSg_chr5.29651 transcript:KYUSt_chr5.29651 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSARAPVAALRPSASSPSPRFLGDSGRVALAKSIARRDVAVQAKGAWLPGLASPAYLDGSLAGDNGFDPLALAADPEDLRWFVQAELVNGRWAMLGVAGMLIPEVLTKAGLLNAPEWYDAGKGEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPAHECGYPGSVFNPLNFAPTIENKEKELANGRLAMLAFLGFLVQHNVTGKGPFENLQQHLADPWHTTIIQTFSQAPVEEVAAALN >cds.KYUSt_chr6.16056 pep primary_assembly:MPB_Lper_Kyuss_1697:6:100986080:100991438:-1 gene:KYUSg_chr6.16056 transcript:KYUSt_chr6.16056 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAHKEYTEERRLEKAKVSMAKERRVTLEEKKIDMEEYARVTVPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISANNIEIGVIRADREFKVLTPAEIKDFLEEVE >cds.KYUSt_chr4.49513 pep primary_assembly:MPB_Lper_Kyuss_1697:4:306622905:306625880:1 gene:KYUSg_chr4.49513 transcript:KYUSt_chr4.49513 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRYKTLPKSFKTPNLLSKSSQTLTKSFASSSSTPPSSSLRHPNELTDLLHATRSPKCLRRLHALLAVAGAVSRDTSAVTALVDAYLSFAIPGSAASLFAGAHRARPTVYALNLAVRSFSAHGFHRELLDLYRGARALCGSDNFTLPPVVKACTAVGCLRLGREVHGRVLRAGHGGNVGVQTALLDMYAKAGRIGASRTVFDSMAHRDSVSWNAMISGYSLNGCFPEAVEATQQMQRDGIRANASTLVALVGVCSAVGDSEAGDSLHALAMKCGALADESLGPSFISMYAAFENLSSSRLLFDLQPGKDLASYNSMISAYMQHGKWEESFEVFRLMRRAGLGPNLVTLVSVLPTCSDFLGVNLGDSVHGMVIKFGLAEQLSVVSALVSMYSKLGELDSAVRLFCTCTEKNGLLWNSMISGYLVNGKWGMALDTFRRMQTEGVAPDATTVVKVISGCRHMKDLRMAKSVHAYAVRNRFELNQGVMNALLAMYADCGELSDSCKLFQKMEARMLISWNTIISGYAEIGETEASVRLFRQMRQSGLQFDVVTLIGLISGISMAEDAAIGESLHSLAVKSGCNADISLANTLITMYSNCGSVEACQQLFDSLSSRNTVSYNVLMTGYRKNNLSEEIVPLFHQMLKNEQEPNHITLLNLLPACQSQSQGKSVHCYAIRNFLGLETSIITSAICMYSRFSNVDYSCKLFNSIGEKNIIVWNCILSAFVQCRLADNAFDFFRQMCFLNVKPDAVTMLALLSACSQIGNADLAGCVTALILQSGFGGTLTVVNALIDTHSRCGSISFARELFDSSVAKDSVTWSSMINSYTLHGDGKSALELLSMMIGSGVEPDDITFVSILSACSHSGLVDQARALFKSLQIDYCITPRMEHYACMVDLLGRTGHLDEAYDIVRSMLFRPSESLLESLLGACRFHGNSKIGEAVGKLLIDSCHSKSRSYVMLSNIYASAGKWNDYEWLRLNMEAKGLRKDVGISSIE >cds.KYUSt_chr3.48651 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304094959:304098763:1 gene:KYUSg_chr3.48651 transcript:KYUSt_chr3.48651 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPASFYLLGHRFCSSCWMLAVFGGVVFIYHIVGAESQWHGATGYRRQLRDDVYVQDGGAVWRHGGIDGRSCKLQQLASNHYYSLTSLLWSSVGAMATATGAGGGGGGFGEGDGHFWPNKPMSMSEGAQPEPARFGEGTGGGGGGGGGEGDPQGWLNVPLLMSETTERTLAPQPALKCPRCDSTNTKFCYFNNYSLTQPRHFCHACRRYWTRGGALRRAKRRTKPKAAIEAAGVMSLARSMAPNSATAAMPAGMHDYSAPCSSPTSSEISLE >cds.KYUSt_chr2.31412 pep primary_assembly:MPB_Lper_Kyuss_1697:2:193895822:193899121:-1 gene:KYUSg_chr2.31412 transcript:KYUSt_chr2.31412 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVNGAVAVPYGRVQGSDETSGLMPGPRQAAASRHSLLLLGSTLAPESLVLHRTTLLHQQPSRGLHLLLMDLEQLIYFFVKDVLNSSSEDESDASSGPMVVGASLIHEHTEM >cds.KYUSt_chr2.4438 pep primary_assembly:MPB_Lper_Kyuss_1697:2:27452668:27453141:1 gene:KYUSg_chr2.4438 transcript:KYUSt_chr2.4438 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEMDSSSLDSQLRFDAYAVLVAFGVAAVLVVCFWQLYKLTVSARPQDMLPVSSSGSGGGKAPRQSDIAALPVFVHGGAAGVECAVCLAEMADGEKGRLLPGCGHRFHVECVDRWFRTNSTCPLCRVAVFGEPSAVEAHKVVPAAAAPAVAVLQV >cds.KYUSt_chr6.17843 pep primary_assembly:MPB_Lper_Kyuss_1697:6:112187994:112190565:-1 gene:KYUSg_chr6.17843 transcript:KYUSt_chr6.17843 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAIVAGHGISFRRSLHLPNPPGKPGSASFSVARPHAHYGRLAVPATSPSPRPCRSISSESPTADTVEDEEEPPVTNEEEDDVDPLAEVCYLDPDADAEGIREWEVDFCSRPILDARGKKVWELVVCDATLSLQFTRFFPNTSINSVTLRDALASVASSLGVPLPDRARFFRSQMQTIISRACNELGVKAVPSRRCVSLLLWLEERYETVYSRHPGFQKGTKPLLTLDNPFASNLPDNLFGDKWAFVQLPFSDVREEVELLERRYAFGAGLDLDLLGFELDETTLVPGVAVESSRARPLAAWMNGLEICSMEVDTGRANLVLSAGVSTRYVYAGYQKSAATTQEAEAWEAAKKACGGLHFLAIQENLNSDSCVGFWLLLDLPPPPV >cds.KYUSt_contig_1130.41 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000106.1:318831:326687:-1 gene:KYUSg_contig_1130.41 transcript:KYUSt_contig_1130.41 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAVPTVPRPLTPSSHRLETRRERGEEPQMRRKLGVDNRPQEESRQSWASFIQRSHQPVAPGSAPSPSLHACAALPADFTAAAILESTGADVPLKSTTRRHSLDLDCAYSCTGSRCGLAPRISRFNFRQGSSRMGTQWAGRKRESKFKGKKFDNAFGPADDQEVVFAESLPVLRSVTDGSIHAWFDLERVGAAALAYEANKLLVIENVQAEEQPEKYWKAVNRVGTDTKLTAPLRAVAKPTLSLPYLEAVFPSKKSLQVEDILVFQFGPDLYNVLKFSPPRQLIGSYRRLK >cds.KYUSt_scaffold_3611.19 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:101333:105108:1 gene:KYUSg_scaffold_3611.19 transcript:KYUSt_scaffold_3611.19 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYYNPPPSSYAAPPAPPPGASAYAYRHHAAYPPQPPPPAYGGYFDRAEPLAPPRDELRTLFIAGLPADVKPREVYNLFRDFPGYVSSHLRSGKSAQSYAFAVFADQPSALAAMSATNGLTFDLEKNCSIHVDLAKANSRPKRPRTDYDFPTSGKKSRNPRDLPDSGAGSNIHMSGMGNSSHSLNAYPSAQRYANTGSSTAFSKDPSMFAPQTNPPCPTLFVANLGQSVSERELTDVFSSCEGFIKLKMQNKFGGPVAFVDFKDEYSSTEALNRLQGAILHSSPVEGMRVEYPIFGDH >cds.KYUSt_chr5.16294 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104808928:104810301:1 gene:KYUSg_chr5.16294 transcript:KYUSt_chr5.16294 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWSFWARPSPGLRRQRFFLPRRRLGRRVGCARALPLPSEPAAAPAFAPSPAGELLLPRGVPSWSSAADAAGVDDEEDEEELAPQTSPPSSTPPRLLVCPVQVGGHPVEDAPATLPVPPAPAAPVAPSSKASNAIGAEEDAVELGPSSLRSAADDGEAGAGALPLAATPWPASWVSTADNDDEDNKEELVPWTPPATKSFNVGADVEKDDGKDMECVGGEHDGWQEVMPRRGSRRSSLPAPPIARCPVPAWLKGRCCSLLAALAVSSPCQATAPRRAQLEVSLPFHVPHRRSWALVVSAPVGSLASADVESALEKQAEFFQEAIRPLHEAVDSLHGWMLAIGGFLEREEAALSRLSLTPADPLVVPDDDKVGASGASLHGCFSPRARASSVITTPVMQIMPELLELCGGVLSPLPSRK >cds.KYUSt_chr4.25249 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158664070:158664408:1 gene:KYUSg_chr4.25249 transcript:KYUSt_chr4.25249 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGARGAAGALARPRAFVGRTIAVAVRHRRLRAKLEQGAGGALPASLVVVLGSPLVSCRPPLLPPLDRVTSTGARAPPRDHIQLVAASPLSLSLAAQRGGEWGAGIRERTR >cds.KYUSt_chr7.5652 pep primary_assembly:MPB_Lper_Kyuss_1697:7:33902018:33904320:-1 gene:KYUSg_chr7.5652 transcript:KYUSt_chr7.5652 gene_biotype:protein_coding transcript_biotype:protein_coding MFAINFTYYFSLGNWLLFLITKDDQLCAYDLSGAENITRFSYKELVRATAKFDQSNKIGEGGYGPVYKGTLRDGTAVAVKVLSLQSRQGGREFLSELLTISDVSHKNLVKLHGCCVEGSHKILVYNYLENNSLAQTLLGSRKSGIQFNWNTRVNICIGVAQGLAYLHDGVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPADVSHISTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLIEIVSGRCNTDTRLPYEDQILLEKTWTYYDQGELHEIIDSSLGDDLDVDEACRFLKVGLLCTKNVTKRRPDMASVLQMLRGEMDVDSQEITQPDVIRDFRDLKLRSKATSSTLLTSIMARSSPSSSSAGNTTRTSITFTAISDRD >cds.KYUSt_chr1.12864 pep primary_assembly:MPB_Lper_Kyuss_1697:1:78883827:78888851:-1 gene:KYUSg_chr1.12864 transcript:KYUSt_chr1.12864 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPANTVAAAPSSSSAGAVPRDAPEETLDSAAGESGRTLRLYIVFYSMYGHVEALARQAAAGAGAVEGIEVVLRRVPETLSPEVLEKMQAPAKDPAVPVIAAPADLEEADGVLFGFPTRYGAMAAQMKSFFDSTGSLWEKQRLAGKPGGFFVSTGTQGGGQETTAWTAITQLVHHGMLFVPIGYTFGSGMFNMDDIRGGSPYGAGVFAGDGSRQPSEAELALAEHQGKYMAAFVKKLAQA >cds.KYUSt_chr1.5386 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33245292:33245564:-1 gene:KYUSg_chr1.5386 transcript:KYUSt_chr1.5386 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPHSDGEVRVHKVEKIEPVRNLVTKPSMYYGGKPRAAASSSTMRRGAADHGTAASAKVLRPGVVSIEDINKRSEAYIRDRKKLFHGLK >cds.KYUSt_chr4.45955 pep primary_assembly:MPB_Lper_Kyuss_1697:4:284229702:284234928:-1 gene:KYUSg_chr4.45955 transcript:KYUSt_chr4.45955 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAGVASWLVGKLLDKLSNDLVAAYVSSEELGLNSEQIKEDLMYMQALLHAAQGRDDNNPGLKGLLQQLARKADEAEDALDELHYFMIQDKLDNTHHVTPFLGGDIQEKVQHGRKAVRHTFGNWLSNLSCSSRKDDVCAAATAVTNNPQSSAKFDSANVSGHEDYLEFDTVVMSNKIKSVIEVIHSKCLHVSDLLKIPNDSSTEGTLVTIEWPVMGSTTEQNKLYGRSIIFEKTIKDITGGAYYSEPLYVLPIVGPGGIGKTTFTQHLYNDRRTQEHFYVRVWVRVSTDFDVLKLTQQINNCIPASKSEYYRDRRGQLIATDVVDGLQPHDNLKELVIKGDYAFPRWLCRDITIKHLESLTLDGVCWGNLPPFGHLSYLKILRLKNITGMCLIGPDLSFMHLKKVEFSNMPDLEEWVMGPNCHQFPKLESIKCSYEHALAFHNMGKLESVTFTNGSKIPWTDLQRLTCLRNLLVERDSSMWSSQSMALLSTLTSLTSIALLGCHKFTVDGFNPLITVNLKELTIYNYHSDSIAADLFSEVARIKLPPGSFHQLTTLSVDSISAVLVSPICSLIAATLHTLHFCYDDHVESLTEEENKAFQLLTSLQSLKFLSCSGLLSLPQGLHSLSSLRTLEIHRCPKVRLLSLPKGGLPTSLQLLRAEHCSAELQEEMKIVQAANPALRLSSSQPTVNLYVGIRNLAALDQFNGVVPLSLIVMEV >cds.KYUSt_chr6.10644 pep primary_assembly:MPB_Lper_Kyuss_1697:6:65957972:65962163:-1 gene:KYUSg_chr6.10644 transcript:KYUSt_chr6.10644 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAPLLRSLLPIRSSPRRFPAAAFSHRFSPLKSLFSSRPSHTVVRSSSTASPAAPEAAEAAQSEAVEEEARVVLPTNESSENLLRIRHTCAHVMAMAVQKLFPNSKVTIGPWIENGFYYDFDMEPLTDKDLKKIKKEMDRIIRKNLPLVREEVSREEAQKRIEALNEPYKLEILERIKEEPITIYHIGEEWWDLCAGPHVETTGKIQRKAVELESVAGAYWKGDEKNQMLQRIYGTAWESEDQLKAYIHFKEEAKRRDHRRLGQDLDLFSIEEDAGGGLVFWHPKGAIIRHVLEDSWKQIHLQRGYDLLYTPHVAKADLWKISGHIDFYKENMYNQMDVEDEPYQLRPMNCPYHILVYKRKLHSYRDLPIRVAELGTVYRYELSGALHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILGQFGFRRYEVNLSTRPEKSVGSDDIWEKATIALKDALDDKGWGYTVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPERFDITYVDSNSEKKRPIMIHRAVLGSLERFFGILIENYAGDFPLWLAPTQARILPVTNNELQYCQEVASELKSRGIRAEVCHGERLPKLIRNAETQKVPLMAVVGPKEVEARTLTIRSRHSGEMGTMPVDDFISKVQSAIADKSSL >cds.KYUSt_chr7.20611 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127763806:127765942:-1 gene:KYUSg_chr7.20611 transcript:KYUSt_chr7.20611 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRALLLLLCLALPLVAPAAAGCDCGANAADAAAAEEDASGALRLKFVAIASILAAGAAGVLVPVLGRRSAALRPDGDVFFAVKAFAAGVILATGMVHILPAAFDALGSPCAGARGGFPFAGLIAMAAAMVTMMVDSLAAGYYTRSHFKKPRPVDDDASNLRAAAAGDEEHAGHLHVHTHATHGHAHGQAHSHGHGGPDAASSPEDASAAETIRHRVVSQVLELGILVHSVIIGVSLGASLRPTTIRPLVGALSFHQFFEGIGLGGCIVQAKFKAKATVLMATFFSFTAPIGIALGIAITTSYSKHNSVALVVEGVFNSAAAGILIYMALVDLLASDFNNPKLQTNTKLQLATYFALFMGAGLMSLLAKWA >cds.KYUSt_chr4.45229 pep primary_assembly:MPB_Lper_Kyuss_1697:4:280101768:280105416:-1 gene:KYUSg_chr4.45229 transcript:KYUSt_chr4.45229 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTNPGGGGGGQSSYSTTGGGGDQAGTVVDDLDELWPTRPSTAAAMLAQVWMDNPASSSRFTSGLNGVDLDHEIWEIRIHLDGMDNIERSIGRDDITLMNLVALIETKGYGFSDSIYCRKDGDQVLSLIVKRGRRKKPVAKEKKISPPKQMQRKHPIRKGPTLRSHSAVHIDDVLDWKPSSDEDMCLGLLDESDDDLFQPLCMVPPKGRKIRAKKMPLRKWYDERRLKAHEQLCVKMCFTSVHQFRDALINLHIAQSRNYRYHRNSNVRVIVQCIKKTCPFYCAAFEIKGEKPFVIRKMHIDHTCETTTDSTRINARWLARTYENAFRSDPNASIQTHIDSCRQQYGVEVPKMMAYRAKNMALDAVLGDHREQYVRIRDFAQTVIDTNPGSRVIVTTVTPPPSEENPHPGPAFHGLFFCINGAREGFLKGCRPFIGLDGCFIKLCTGAQILAATSRDGNNKMYPIAFAVVSKEDTANWCLFLTQLKYALGGEEGEFGPYTIMSGRQKRLLKAVSQMFPNSPQQYCLRHIYANFQAAGFGEAFKPIIYPVPGQQDWTKTDTPDIIPPVFTINRGRKQEKGRKGKFEVPKPKDTSRMGTITCSNYKLQGHRYTSCTVPLRPDLLIRKNKHVEMLHLLHLLQQGNLQLHLLHLLQQGNLQPHLLQQGNLQLHLLGRDKLLQQGKVQQGIHMFPEAHLLLPGQQHWKKEDKALIEVLVTKGQGHVAILTVGLASYFGCVV >cds.KYUSt_chr4.46994 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290770063:290770871:1 gene:KYUSg_chr4.46994 transcript:KYUSt_chr4.46994 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGEVDKDAAALAHELPGFNIFFDQTGLEVPSVEVEGEQVQGAAGEDDEEELEWLSNKDAFPSVETMAAVEEEEAAAAAAAVAVAAPARAAVGPRTKGLRRRRRVTAPWSLAPALPRPGPRQAAARRRCTHCGSEETPQWRLGPDGPRTLCNACGVRFKTGRLLPEYRPANSPTFSPLLHSNSHRRVLEMRSRGVDGGEASPSGRAIAKARRAERVAARHAAKDGGDAPGPAQAETPALP >cds.KYUSt_contig_4233.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000834.1:84715:87815:-1 gene:KYUSg_contig_4233.1 transcript:KYUSt_contig_4233.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGMREDDGRRERLEQRLQRIEDSRCRAHRSFCRHHLLVGFVPPPLLSSGASTPLRSSHSRSSMRPAGLAAGHRSPPPLPRRPNSAGCCLLPTAPVPSPNAVKVRGSEEIHSRDYNNRVTVPNAAASATVADLQRLIATTVTMPVLLPAPSHATSLATDAPLPPAGTNPNSTKPMNLKCK >cds.KYUSt_chr4.31169 pep primary_assembly:MPB_Lper_Kyuss_1697:4:194805840:194809132:1 gene:KYUSg_chr4.31169 transcript:KYUSt_chr4.31169 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTLVWTFVAGVTPTMTTGHTIMKLMLFSYLTSHCRILCEHAPPARSGLLGKMCVLHRLKNANPVSIRRQLHHAPGAGIDPDGIDPDGAPPGQSIQTELHQDNRSTTPPELESIQTESIQKSAAGAEAPPGTSAWNTDLESIDRSSASGAAPCSPPCWSGSSTTRLCLVLLAQLLLDSCWSGSSTRHLRLVLLAHFLLDSCWSGSSTTRLRLVLLGHLLLDSCWSGSTATRLRLLLLGPLLLDSCRSDSSATRLRLLLVDTLLKNRLPQIGSAARLPIFKLASLQFATLLATLLHLAAASRLHLAAAARLHPAAPARLHPAAPALLHPAAPALLHQAVAARQGYILIIASATWLPIQILSKLWRALLDLKSHKFLQR >cds.KYUSt_chr4.29205 pep primary_assembly:MPB_Lper_Kyuss_1697:4:183256860:183259553:-1 gene:KYUSg_chr4.29205 transcript:KYUSt_chr4.29205 gene_biotype:protein_coding transcript_biotype:protein_coding MVEENEASDSSSSRRSYSDVVRDGSPSPQPEGAVDSAPMGASGSARTPPVRRLASVVTRPERPWRAPSGPGWRAPGQQQKRQRFLGPLPSYNVPDGVPAELAGLCFNCGEPGHVAAACTGKTKCLRCKGVDHVARQCTAVLPPRGPPPPLARRPSPPPRRADPPPPPPPPRVVPQGSRAGSSPAGRGAAADPCAGALGCAWTVEELRREGRSWDTPLRSAGSAAASSDRGVSADQGAERCIIYRTQEVEEAERALRWSLVAYVTGTRRPVSCGAARDAIAERFSASDGHVSVHGFWPADFLVVFDSRARRDEVLNAGAGVLDAADFSLRFSPWNRQLQGTRRVFSYRVHAEVVGVPAIAWSLATAKTIFGSSAWVERLGTETASRADMGNFRVTAWTDDPARLPRSKQLWLAEPLEFDEEDEDLLLSVAALVPQEVALLEYNASIHVMRVEDVGAVGGCHPRGVDRRDHDDAGAGGSAGAPPGGHGPGASSPPSRWPEAGPGADAQRPTGRWGGGRERRVALGRTTEIAPWPVVAVNQDSSAGCAPQLQLHGAGVTSVVPLGMSMFTVEISPDSYLQRPGREMRSVGQASLLAADTRLDEGRALDVDPPTPQGARLPTPTPLQPACAAPAASASTDLGMGLAAFRERCRIKKLQPLLPRPAPRKPRKKRQPPSVIRRSSRVAGRFAPGSSIKAQQKTLMLQLGIAREGETIGDDTLQAYLDYFQKKPLTDEDLSACLALFGWVPSALPLAGDDDVLVV >cds.KYUSt_chr3.10626 pep primary_assembly:MPB_Lper_Kyuss_1697:3:63070637:63071892:-1 gene:KYUSg_chr3.10626 transcript:KYUSt_chr3.10626 gene_biotype:protein_coding transcript_biotype:protein_coding MEETNPGKEEKAGTTNLPAAAAPEAGVLTDDLIVEILSRLPARKLPQTLAGFLYDTYHRVNPDVREFHFASVSATAAAGAAQAVDPSLPFLPRDEHHRYVAHVDTCNGLLCLAHMASASSPSSTDDDEDMVESHYVVCNPATAMWVDLPPHPKVSSALVMARLAFDPAVSSHFHVLQFAKTDQEEYVRGVDIYSSRTGAWNHRETTGSVEKFNLYFGVTSVFFRVDMEGQVWKTIRVPSRGIRFGKIGLSQGCLHYATTPVGDVGRNTVIASVWYMKDYASKEWVLKHTLCKDELLRGITAVDYKVAAIHPDCDTIFLDSCEVDTLALYDMQRREFRHIRHLEKNMACVFLPYVPLFSDSLAGADGQ >cds.KYUSt_chr2.50844 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317921522:317925545:1 gene:KYUSg_chr2.50844 transcript:KYUSt_chr2.50844 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRAGVHGEGGHSPSPSPSPGAARMLHEMALREGGNLDLTDEQLRSNDQLQQDEMLALEAIYGENVEVFGETSAPRSFQIHVHCEIPDGISVSAELLQGVDDYPNNWLTFSVEHLAPMSLTCLMPPSYPSHQPPYFNLGIQWLDRAKVSSLCHMLDTIWAQQPGQEVVFEWVQWLQSSMLSHLGFDGRIIIRQSDRMMGHVDVRAVGDILSVEEIVEHLISYNEEQCHETFLRGIHVCTICLHEYTGIDFIKLPCRHYFCRRCMETYSKMHVKEGSVLKLVCPDDKCGGIVPPNLLKRNCDKSFCYSCGKALNEDHTSEQCKIDREKLRVNVEVNDVVKKMQKDLRLTLSRAHPCSNCHQPNFKVGNNNHIFCGTCQVHYCALCQKRVYKSTEHYGPRGCKQHTSDLDFV >cds.KYUSt_contig_605.2218 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000057.1:9088381:9096315:-1 gene:KYUSg_contig_605.2218 transcript:KYUSt_contig_605.2218 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAEDAAATAPPPAPTPPLGRGTAAGSRLLLQSPPPAFPLGSNDDQQERARARAMARAASVRRRSLAATLAPKAPHPNLLNRDEVMDLLHNCIKLASENKINQKNTWELGLIDHLSEIIQAGEEDDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGEEADLGEGGDAEPAQEGINKKDADKRISPASTLESSFEALNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLLYNLGVYGSCRVLFDSFEAPDNFILSDIQEKAEVIDLSFAKEQIEQMIVHMPLCNDISPTLRDIVAHFDEENKRPSHDASSGQMPVMEDQMVDSNNSENDDNMQPDCETWDFGGGNDQDVAYDENGNSMNFNSTNYEEGTDEYTFQDPDGPDVDRRFEKITDLLSLGMGFSSKTNAWAGPEHWKYRKAKDLETARTSSGDLDVAKKTKKKRGKEEPDIDFTNALEYEMENVFAPPKNPKSLLLPANKGSCNNKLPVDCHYQPESLVKLFLLPDVLCLARKRRKPLDDSRDNNDDFMASGPWDDDNLCNDHLDEGNAASDVEESVNLIAKPRQVNKIDIKYDKVSKQVDVHALKEVLWNHIHTSAETDDLISCDIPFWKYLRTTTIPVSFIDDKCLS >cds.KYUSt_chr3.40362 pep primary_assembly:MPB_Lper_Kyuss_1697:3:254244113:254244934:1 gene:KYUSg_chr3.40362 transcript:KYUSt_chr3.40362 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSFSRSTSARLTARGGAGSPRVSSTAQRKWWWWAPPSLETVALACFLACCALMLSGGLYLSVSRYLGRDRAVADFAGGDRPLDSCDVFDGSWVRDESYPLYNSSECAFAERGFNCLANGRRSTEYLKWRWRPRRCDLPRFSARRALEWLRGKRVVFVGDSMSRTQWESFICMLMTGVDDPRTVYEVNGNQISKTIRFLGVRFESFDLSVEFFRSVFLVQQTPAPPFHGSKRVRAILKLDKLDDSSRRWENADVLIFNSGHWWTPSKLFDM >cds.KYUSt_chr3.33489 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210222683:210231520:-1 gene:KYUSg_chr3.33489 transcript:KYUSt_chr3.33489 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRRPSSPPPLPAHPLEDNALLHDILLRLPPQPPYLLRASIVSKRWRRLATDPKFLRRFRAHHGKPPLLGDFSYEVGSFSFRSTLDPPYRIPPRRLSLRPDGSEGWACLDCRHGRILFDDCRRRRVIVWDPITDGRCVLAYPQQFRDSGIVQIHSGAVLCAAGDQGHVHGACQSIPFKLVGLSAWHHNDVASIFASVYSSETGVWSDLVSTTLPRKGINLLSHSALVGNTLHWLVTTNSILEFDLVAQTLAVTKRPLGAPPRHDNVQITRSEDGGVGFAALSGSRYDPCLQMWDRKVDPDGAATWVLRKTVELQKILGLDSMIEKNKASILHYLDDAHAIFLRVYSSVYMVQLESMQSKELFKSIHNCIYRPFTSFWTEEVALLVYFNLECWPLESNSPPRGEGDMSHRRRHQLSSSLPELAHPLDIDDLLHEIMLRLPPQPPYLLRASIVSKRWRRLATDRKFLRRFSIHHRKPPLLGDFSYQRGKFSFRSYLDPPYCIPPKRFTLRPSGSEQWTCLDCRHGFLLFDDWISRQVIVWDPITDDLHIFPYPPYPLQFHESRIELGAVLCAAADQGHVHGACHSSPFKVVVLSSYLHKDEAVNEIITFASVYSSDTVGIWSDLVSTTLSWSAIMFPFRSTLVGNTLHWLLGMNINGILEFDLDAQRLSVTKTPLCAPPCNHSVGIIQSEDGGVGFAALSGPRYCPCLQMWDRKVDPHGVITWVLRKTLELQKILGLESRIENKNLSIMYYLNDVHAIFLRVQSSIYMVQLESMQSKELVKSFHSCIYLPFTSFWAEGKQVARARAVPPQKRKGKGL >cds.KYUSt_chr4.26218 pep primary_assembly:MPB_Lper_Kyuss_1697:4:164868697:164870706:1 gene:KYUSg_chr4.26218 transcript:KYUSt_chr4.26218 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGTPEEFLGQQQGFLARLEPPSPSLFLDLPPTPRSEEDGDSAFDDMALPYISRLLMEEGTDDQFFFLYPDHPALLRAQLPFAQILVHSGGSASALSPSCSSSDAAASPSPSIASPYDAVQISLPPYADATSASPHHHGVQNSFTGNCLLTGDQDMLKLAFLKGMEEAQKFLPPNSTLPVNIGSTLAATTKEVAVVGGVPAGGGLKKKRHNPEPEAGRATKLIAPDQEEEDGARELFDEMMFQEQEICMKGVQQPRVPVEAKPARTSRKGTGKRGRPRKGVVGSEMVDLHTLLLNCAEAVSADNRWGASELLKRIKHNSSPLGDAPQRLAHYFAEGLEARLAGRGSQLYQSLMVRRTSVADFLKANQLYMAACCCKKVAFVFANKTICNAVAGKKRLHIVDYGLNQGFQWPGLLRMLAARKGGPPEVRITGIDLPQPGFRGSDHIEDTGRRLGNFARVFGVPFKFHGIAAKRETVQPEDLDIDRDEVLVVISLCHFRLLMDENVCFDSPSPRDEVLSNIRKMRPDVFIHGIMNGSYGATYFLTRFREALFHYSAHFDLLDATVPRDNDGRMLLERDIFGRSALNVIACEGADRVERPETYKQWQARNRRAGLSQLPLNPEVVRLVLDKVKDNYHRDFVVDGDQRWLLHRWKGRVLYALSTWIADDAT >cds.KYUSt_chr4.11810 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71736947:71740223:-1 gene:KYUSg_chr4.11810 transcript:KYUSt_chr4.11810 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGQKRGPGAGTHRKADGRGVGNDANPAQIWARNLQLVVELSRRRELDMASTCNNSSSFKCADIDEKAIRREKPEELVKALAEAKADAVKLNLPGGCARYQPTLLITSDQVMVSKGVIRERPRNMEEAREFIKAYSGDRAFAVNYVLVTNLSTGGRKGGWDIPEIIFRHIPDAFIEQVVSEGRRHDLRGWGLQADASIGVAIHQGTSERTLPMFPTTLVLNDRRATVANFCLAQVGTADSVRGLPRELTEKLITESLEAQ >cds.KYUSt_chr3.35045 pep primary_assembly:MPB_Lper_Kyuss_1697:3:219862358:219862612:-1 gene:KYUSg_chr3.35045 transcript:KYUSt_chr3.35045 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGGAESAAAAAGGAYGCGGWETPKRQECRIPATLPCPAAPRKAAADFGKPRGPPKNGYFQPPDLEALFALAPRRRQASCA >cds.KYUSt_chr5.26917 pep primary_assembly:MPB_Lper_Kyuss_1697:5:170250398:170251111:-1 gene:KYUSg_chr5.26917 transcript:KYUSt_chr5.26917 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLLLCSFVVLAFAAGSQAKDFIVGGPTDGWKVPAMSDALNKWASANRFHIGDNLVFKFNAAADSVLEVSQDDYNRCSTASPINTYKASDATVPLPRSGRRYFVSGTPGNCDKGERLIVVVMSDKHGRRPGSAPVPAAAPAQSPRAAGLVHVPAPAPAPAPAKGAAARTAGSGSLLLGALLGAALFAF >cds.KYUSt_chr5.18769 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121324497:121326318:1 gene:KYUSg_chr5.18769 transcript:KYUSt_chr5.18769 gene_biotype:protein_coding transcript_biotype:protein_coding SVLAYRVLKLTPMLGQGEADCGLDGSVGYLPCVCIHAMMNTDLPVSLALYFGFSVIMMSKVSSIISSRHAIVSAGCALEALKLVSAYIISVSNYLP >cds.KYUSt_chr6.12412 pep primary_assembly:MPB_Lper_Kyuss_1697:6:77605119:77614229:-1 gene:KYUSg_chr6.12412 transcript:KYUSt_chr6.12412 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGATLRSQYFSSFRGASQRRDPEYALVATAAAAADADSPAGKRPSRPAPAADAAAPPPATGMRRGSLAPAELTANVLGHPTPSLHDHYLLGRKLGQGQFGTTYLCTDRATGADYACKSIGKRKLITREDVEDVRREIQIMHHLAGHQNVVAIKGAYEDQVYVHIVMELCAGGELFDRIIQRGHYSERKAADLTRIVVGVVEACHSLGVMHRDLKPENFLLANKDDDMSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLRKRYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGEIDFDCDPWSVISDSAKDLITRMLNPHPAERLTAHQVLCHPWICDHGVAPDRPLDPAVLSRIKQFSAMNKLKRMALRVIAESLSEEEIAGLKEMFEAMDTDHSGAITYDELKEGMRKYGSTLKDTEIRDLMEAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVIIEADQDNRCANGDFVNLKICRLGPSELLIEGSRFKHKTCAATTVPRAKSVVRNHLRRFHARSRRLPWSGRLEAVEERPEERGPEVGRGKAGNKRRSDDRSRAGGGGRPQGAPERAVRSSSRAATKRRLSGAGPGGARSPSAGRGDSRVGAGDSNAPPAWWVAREKKREARRAQEAAAPPVPPSIPELGRGRASETVAGKQPVVQGRPEASSGPCRAVAVEEVTTPASNMECFKCGRMGHFQASCTFPPVCLLCGVEGHNSNACLSKGKQPELRILGQAVPGESFFYLDFDEDEDEDEEVTNGAVISFRQVAFSADLSRELQHLVEADWDWQVQEVGRNEFAVLFPSRESLQFSTRSGRLFLPLSGTVADIRLADADPAPVELLQEVWVKLTGVPKRMRRAGRLLAGMRMLGWPMEVDEASLRCRQPVRMRIACRNPDKLKGVVQVFHKKQGFSIGIHVESLAGTSGSTPPRSPPQPPHPRDEDEDDDDDVDDLSPSRKDSEEREYRAREHEGGSPTGRGVPSGSHGQATSPGGAKRSRTQPGIPEADVATVLPAVEEPLGAGLDHRLGVEAPVGTGPAPVQSASPPFDSSTLVTADSEDTTPTGSPSKDLGGAESLVSIGVLDSDELMREASELDEATVAQSDGPGAGVPRRRRTRGGASAQERPPHRAGRGDLGPAEGAGEDGDQEPRPVR >cds.KYUSt_chr4.10054 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60808865:60816922:-1 gene:KYUSg_chr4.10054 transcript:KYUSt_chr4.10054 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPTAACPELSGRFRVVTMSCGCASRAPGIRLIKAHGSRVYMESPSRITDSLATVQYLAVHVTDPPSIHVVIGSGFLMGLPGSGSLLGGKSSVVAVMDDEAVLVDFLSMTLVNLKTRKQRSVAWYDDAGKGFFPSLFFDEQADEMTNVGSGKVDDSAPGIMSDKVVNSPPEVVKQVVLESSPPDPQKPSTADVLRKKITSVERGSDDFLVVQDLFLSGMGPFATPHNILHIHRYAPNDITAQCRLQAFERQMSCTKEDRGDSNVRYGWLGSTKSDIVRILINGFGSTGKPAEKACLSAGVYLSPEDRAFTSVGLCDVDEKAVQYMLLCRVILGNMEAITPGSQDSFPSSEIYDSGVDDCSNPKCYVMWPSHVNTHIRLEYLVSFRLPSKVRNYLLGLKGLWFHPSPKEVAMDISTLQPIMGETGEAPTSPWISFRVLFAMIQENISSVARELLFHHYEELKENEITREEMVKKMIIIVGEKLLLETLKKLHYCPSLWYKSSVEVVSSDPARIAAEDSSRTTEEHISLDKATGNCALTLGNLGDSYAPNTSPESSAALSSKGCDTLAVGFTPNGVPETSTSGSRCRSSPSVEPKARDSPIQIMSPENSANHGAKNQDPFAARIAPIVHDGLLRTSSGKSASLGAEAPANVPRMRGSVAPRLGPKGSESPGPSLALGNSKCVGVKRPSSVPRMKTEGQEFLSLSIAPQSSVIYSSKGRSDLTSCAAPPAHVPAATVELENQAVQFGPLNKQPVPATTEPGSNVAQAADILVALSTPREKGK >cds.KYUSt_chr7.20483 pep primary_assembly:MPB_Lper_Kyuss_1697:7:127017056:127018396:-1 gene:KYUSg_chr7.20483 transcript:KYUSt_chr7.20483 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPQGRPLDGRSDTQLGVSATPEKSPTTNICFNCGVRGHFRSECPRPEQCLFCGNPAHQAAACTERFNSHRRREVIEYLGHGIDGGFYYIDLGGAELRSPQHLAVITVLPEQDPPLQIEVTVDMIRTELTQLESTWVWNVREISPTEFAVAFPSAELLRALSWGSSTILPANNINVSVLPSCVDPDTVATLSEVWVRVHGIPEEARTDHILELISQIIGKLVKVDPRSLPGAGPVRMLILCPDPTKLACTLPHVFFGKGGRALTVEVEGDEAQAGAQTPPLDPSQSHHDDDADDDDGSSDGGSEDDLGGDGKDYSQRQAPGTGAESSAALDVRPGAQSAQLGLARTLRSAPAKLGAAAPHAPSAVTVGSCGLSILEYGSNFPQDSSSASVGLDVCPPQSPRSPGLVCYTRSTGSTPTSPVNTRIFKSRCLSCHTSQSQEYCCCET >cds.KYUSt_contig_1658.159 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000183.1:985040:987667:1 gene:KYUSg_contig_1658.159 transcript:KYUSt_contig_1658.159 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLAVLALVATAASPLVLRAAAQGEGLLPFAVGAAPAGCDVGVGEWVYDEAARPMYEEEACPYIQPHLTCQAHGRPDKSYQHWRWQPRGCDLPSFNATVMLEMLRGKRMLFVGDSLNREQYVSLLCLLHRAVPEGARSFETVDALSIFRAKNYDATIEFYWAPLLAESNSDDGAEHALDDRVIRGAPMDRHSRFWKGADVLVFNSYLWWITSSKIQILRGADNDLSKAMVEMEAEDAYRLVLHQVVRWLDANMDPTKSRVFFVTASPTHTNSQLWGEEMEGGNCYGQKEPITDASYRGSTSRAMQRVTGEVLGAASARVPVGVVNVTQMSEYRRDAHTQVYRELWAPPTPEQLADPRSYADCTHWCLPGVPDAWNELLYWKLFFPAAGDQAL >cds.KYUSt_chr3.30819 pep primary_assembly:MPB_Lper_Kyuss_1697:3:193382380:193383686:-1 gene:KYUSg_chr3.30819 transcript:KYUSt_chr3.30819 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVLRASLWCVLATLACAGNVNPPASSSNYSAPSAPAVGSNVACKDKDGKRPSCTGTCPASCPQQCLVFCPGCRTFCRKYGCFPTLTWHDCMIEMFCLIAVGPDQVRRAKKPVRPAAIFIFGDGNLDIGNGNVLASGDYDVPHDEQQTLDSQWVMTDGENIAQFIGISASILAVHEETRMVLHVCEERLLLPGLPAVTGEVAANATIPALAAPPLRSRGHAAPVVVVVVVATAALTASCLPLSGREQQLVATSLSANSRLAPLRPLLGTP >cds.KYUSt_chr6.32288 pep primary_assembly:MPB_Lper_Kyuss_1697:6:203710044:203711378:-1 gene:KYUSg_chr6.32288 transcript:KYUSt_chr6.32288 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQTAALLLLLVLAVASEAAEPPQQERTALQEFLLGTPHERSLGWNSPSAPSACLWFGVTCDAANATVVAVRLPGVGLVGDFPAGTLGKLTSLHTLSLRSNRLFGAVPGDIFSLLNLHSLYLQNNRLSGAVPPELAGLTALRHLALYDNEFSGEIPAALDGLAELRSIRLDGNRLSGSIPSLSGLRRLEVFNVSENQLDGAIPAFLARFPPESFAGNPGLCGEPLDSPCPVSSRKKKGLSGAAIAAIAVGAAAAALLALVLLVLCFVRRRRDAAATGDNNGNKATSTPTQDRGFTPSPVSGEMTDLADLTSSKDLVPSAGETTTRRLVFVGAGAGGYSFDLEDLLRASAEVLGKGSAGTTYRAVLEDGATVVVKRLKDVVAARREFASALEALGRVEHRNLLPVRAYYFSKDEKLLVADYLPAGSLAAALHGQSSPTLSLSQ >cds.KYUSt_chr2.5872 pep primary_assembly:MPB_Lper_Kyuss_1697:2:36533906:36534591:-1 gene:KYUSg_chr2.5872 transcript:KYUSt_chr2.5872 gene_biotype:protein_coding transcript_biotype:protein_coding MSAERSPPIRSSVHSPQGAVTAGSLAALSYDKHQEGARGGGVFSSSSSSSAPSLAAGGRSPFEYVKDGDGRTVISVTEEFIVKAVTGTRSPAGDRGDDEENGALICSTPELRKHYEQLVGAARDRSWSPRLETIEERKARRMVDVVISPRTMSPARLLGLVKGSSERAR >cds.KYUSt_chr3.33324 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209177616:209179938:-1 gene:KYUSg_chr3.33324 transcript:KYUSt_chr3.33324 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRHPLHPAPAPAPVPVPRRKGAAAAAASQEWLVVPPAGEPRAGEFGRHRIMEMTGLPARDLRVLDPLLSYPSTILGRDRAIVLNLEHVKAIVTAAEVLVRDPSNPRLQPFLLELHARLALPDASIANLATDGGDETEQAGQGNVPMSALGTPGCAKNQPFEFKVLEVCLEHTCKCMESETLALEKEAYPALDELATRVSTRNLEHVRQIKSRLVELLARVQKVRDDIERLLDDDADMSELYLTRKFAFQGANESPGRVESNKHASADHDDKEEEDHGDETESSGDESSAHVKPDIQELEMLLEAYFVQVDGTLNKLCHLNDYVDDTEKYIEMMLDEQQNRLLQTGVMLTTATVVVTAGIVVVSLFGMNIHIDLMKDPETPEMASIKNMKFWETTWGTVAGCAAIYFLLIYAGKKSKYLK >cds.KYUSt_chr1.4209 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25571291:25573680:1 gene:KYUSg_chr1.4209 transcript:KYUSt_chr1.4209 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDPKMKVKKTANKKGMKAPFNMPHKAPPVKVKQSRGRKKIDTTMCSGSNAIRISIGIGHHTYVSIGDCVCDGIGIVVGNNFGAWQEGRRRDSRQTGFTVDDCVLLAGTMASAGGNVGGARASLVILPVLVAWACLIFVPVADAQLKVGYYNSTCPGAEDLISTIVLAAVRMDRGNGPGLVRLFFHDCFVRGCDGSVLLDDPTGTPGNQTVEKTAPPNFPSLRGFGVIDRAKRVVERRCPGIVSCADIVAFAARDASRIMGGINFAMPSGRLDGRVSNSSEALANLPPSSFNLTQLITAFAAKNLTSDEMVTLSGAHSFGRSHCSSFSQRLYPQIDPTLNITLAKSLRAGKCPAATGGRDRVVQLDAKTPLMLDNQYYKNIGTHEVLFTSDQTLLDRNDTATLVAQFAANRKLWSQKFAAAMVKMGYADVLTGPPGEIRKVCSRVN >cds.KYUSt_chr5.16234 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104528573:104530633:1 gene:KYUSg_chr5.16234 transcript:KYUSt_chr5.16234 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRVAPSPSPAAAAAVGQLSVAGLSAGSVWVPQGTLSSAAGSAALSCRAAAKGKEVLSGVMFQPFEELKGELSLVPQGKDQSLARHKFVDECEAALNEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEERGHAEKLMEYQNKRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVATRCNDPQLTDFVESEFLQEQVDAIKKISEYVSQLRRVGKGHGVWHFDKMLLEEAA >cds.KYUSt_chr5.2329 pep primary_assembly:MPB_Lper_Kyuss_1697:5:15711193:15717932:1 gene:KYUSg_chr5.2329 transcript:KYUSt_chr5.2329 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGRCGGENGHRWHPHFFAGLLLMTIDGDKTPCRGYDDGSNMFRKKAVTHTAFLLPLLLLAATAESLTLKPVCQPSCGTVDIPYPFGIGQGCFRAGFEIQCNNGKPTLGNTSDNTQVTSLSVTPRPEARVMLRVAYQCHNSTGAAIDNYNGSVDLNPTGVYRISDTANELFVLGCNTMIITNSIGCVAYADNAKSAQDGACAGIGCCHVDIPPGLTDNLMRFMPHAKQEFCPCDYGFIVEKGNYTFRAQDLHMDGSITSMPLRLDWAIRDNSLSCAKVLIMQGYTCVSANSECIDSTNGPGYFCNCTKGYEGNPYLDKGCTNINECARTRQEFPCYGKCRDTDGSYECKCRVGYEANGDPKENICHPKFPFAARLALARIEHDKRKLAVQFEKNGGNILKEITEVTIFTEKELTKITKNNSEPLGKGNFGNVYKGTLTDKTVVAVKSYIKVDDARRKEFAEEMKVQLKMTHDNVLKLRGCCLQLDVPMLVYEFAGKGSLREILHVKDQSLPVDLRLDIAIGSAKGLSYMHSKHIRHGDVKPDNILLADNFVPKISDFGLSKVLIVTEYFTQNIIGAISYIDPVFRNTGLLTPKSDVYSFGAVLLELISRKPIIYGKTGSLIIEFRHIYETEKSGKSMFDLGIVAEKDILILEAIGKLAIRCLKEHQDDRPDMTEVADQLVNLKVKFGRYLTGEYACYSSGPFTCSAMEWGSDSDDEQPFAKLMEEEAEEDAAEDEEHMQILTFLASMCTQNGKPRRGVSTRGCWKCKPRQCMESYYMLYADHSADDPLNDAAVFRRCFRMSHPLFRRIVAALQVYDRYFKCKKDCTGMVGFSSLQRCIVAMIFLTYGASGDTSDDYMRMARVHRPVVAVFGPTYLRSPNAQDTALQ >cds.KYUSt_chr3.30547 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191478686:191479750:-1 gene:KYUSg_chr3.30547 transcript:KYUSt_chr3.30547 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTHRPSKPAKPPHAARRLALRLTTPLASLALLLFATAGVLLYSKTTPSSQIVARSNESGTTASPALSFPTVEAIGGARAIWELPAAPARAVLFVAHGCRCRPENFWPPSPRCPGCVGLPEDVALTAGALRRGFAVLAVASAGECWSLGHEVGGAKRAIQSWTAKNGLGDLPVAALGASSGGYFVSRLAAEMSFAAVVIMISEGAFGPAGAPAGYPPAMFLHMPKDRRRVALLERNMKMLRSSGVEVRELRSLELPLTPTLLSDRIPELDHGLSERIWKIFSEEGFIDERGYMRKDGRATPWKDAVAKRGFWEEVSRWSDHIQEELNLAYGYHEMTSLQADEMFNWIEKHLT >cds.KYUSt_chr6.8794 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54051727:54055155:-1 gene:KYUSg_chr6.8794 transcript:KYUSt_chr6.8794 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSADRRRGNPSTAASRGVWRPRSAAAPTPTAADPHPPIPTNAAPHHHDNAAPILPLPNPASDARPHHRRQRRPNPTNRHAPPQERAPTNHSNSNISSHRPAPPPDRAPANHSNSRSHRRPPPQERTPLAPATAAAPAPAGAAVPQLVQEIQDKLARGAVECMICYDMVRRSAPVWSCGSCFSIFHLPCIRKWARSPASVSDASDPAASWRCPGCQSVHDVPARDIAYTCFCRRRRDPPNDLFLTPHSCGEPCSKPLGRTDPAAKGVSPDDDAAPRCPHLCVLQCHPGPCPPCKAFAPERPCPCGKQSIVRRCADRSTPVTCGQQCEQLLPCRRHRCGKVCHTGPCGDCQVNFSAQCFCGKKTETMLCGEMVVKGSLSEKDGVFSCSEACGHSLACGNHVCQDVCHPGPCGECELVPGKVTTCHCGKTKLQEKRASCLDPIPTCDKVCDKKLSCGLHRCKVTCHEGKCPPCLVRVEQRCRCGSSVQMVECYMVLMEEFRCNKPCGRKKNCGRHRCSECCCPLSKPFAQHEGGGNMDPHFCQIPCGKKLRCGQHGCQHLCHSGHCEPCRETIFSELSCACGRTSIPPPQPCGTPTPSCPHQCMVPRPCGHPASHQCHFGDCPPCVVPVMRECTGGHVMLRNIPCGSKDIRCNQPCGKNRQCGLHACARTCHPPPCDAPTANGDASSSSGSKVSCGQLCGVPRRECKHTCNTPCHPSSPCPDVRCEVRVTITCSCGRITSTVPCSAGGSYNGDSMFDISIIQQLPMPLQHVESNGKRIPLGQRKLCCDEECAKMERKKVLAEAFDITPPNLDALHFGENSNASELLSDLFRREPKWVLAIEERCKFLVLGKARGNSSSNIKVHVFCHMTKDKRDAIRLIADRWKLSVQEVGWEPKRFVTIHVTPKSKAPARVLGSKAGIHVSASHPYFDPMVDMDPRLVVAMLDLPREADVSSLVLRFGGECELVWLNDKNALAVFSDPARAATALRRLDHGSAYQGAAMFCPSSTTQASSSGNVWVGAQRDGVSAAKTSANPWKKAGTSESDPSVGHSPGTSLLGQAPGSGWRCADTPGQAMGTNRWNALESAAATSSGATDKRKMPPRTDAGSSSASAPRPDIGSSAAPNARQAVPKLQPDFEVEDWEESC >cds.KYUSt_chr6.11144 pep primary_assembly:MPB_Lper_Kyuss_1697:6:69088677:69098188:-1 gene:KYUSg_chr6.11144 transcript:KYUSt_chr6.11144 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRMRFRNALGSRLFRWCSRDKHTSVQKLLQVDGVAERSKLLNKVPVLMGYSNTQYLMEQERVRRESATELISVLKEIDFAEISAKFPCIKIGDSSPIELYDDSAGMMCKETMLSGNLTNFILESGGNLETDREFADKCHPLGPSIVNVNNPPISEESSLTTQNISHEPAVDAEVCAESLPDATTADGNALDKSESCLPGEPAVVMEASLESLADATSPDGNILDKDIRSLPGTTSRQYRKLEDGGFHTIRKLLQHFPRTYADLQNPQGLIEDGQYIMFFGTVISSKGVKIRSTLGLVEVVVGCSIVETGLSSSAMSCNSGAEQKKTIHLHLKKFFSGTRFSSPYFLNCISSKHKEGDLVYVSGKVKKVLSNGHYDLKEYTIDMLEEEQQSTLPDRKPYPIYPSKAGLEARLLGQSISRTLKMLTPDIDPIPPEVLAEFNLANLFDAYMGIHEPKNRDEADFARRRLIFDDFFYLQLGRLFQMLEAVGTRVEKEALLFKCENHELNAVGVDLWSPLAKKLLKSLPYSLTASQLDAVKEIIWDLRRPVPMNRLLQGDVGCGKTIVAFLACMEVVNSGFQAAFMVPTEILAVQHYEHLTSLLEKLDGDECKPNIALLTGSTSTRESRIIRNGLKTGEISMVIGTHTLIADKTNFSALRISVIDEQQRFGVVQRGRFNNKLYTPSSKLSNENGNADVASDSETFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNDAGFETVFQMMRDELTDGGKVYLVYPIIDESEHLPQLHAAKADFDSIKEKFEGYPCGLLHGRMKGVEKDEALGNFRSGETRILLSTQVIEIGVDVPDASMMIVMNAERFGMSQLHQLRGRVGRGGKKSKCILLSSTSSTLPRLKVLEKSSDGFHLANADLVMRGPGNLLGKKQSGHLPEFPIARLEVDGAILQEAHLAAVRVLGTSNDLALYPRLKVELSMRQPLCLLGD >cds.KYUSt_chr3.47808 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299570116:299573631:-1 gene:KYUSg_chr3.47808 transcript:KYUSt_chr3.47808 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEIADNDDSAGAAHGQVSGQTLEHGWAFWLVSPQVEAGGKVASERTVHTFSTVHEFWSIYNNILHPSKLGVGADLYCLKNKIEPNSEDPICANGGKWTISCGKGKSDKFWVHTLLPLIGGRFEYDDEICGAVLSVRGTQDMIAVWTKDSANEPAQDCILVSRQGMGKWCRCKQGLGGDSNDLISSESTMHREDKGDSVPIRDLGIEMDELDVAEIFQLQQYPCISKRRTVITVTAAAASGRIPPMTKKVVDPTWSPWLSSAEVKEVAEAAVAEAEPAEASNVVTRSPLPEPALPKRYKRARKHRPRGKGGKGKKKDNEEDSSEAMDEDDASPDLKEGSAAKPNPFVKKSVGAYHTFLGTPTVRASKSAIRILNATVPAVPQYVKWSETPCTFDRQDHPTIIPKECYALVVSPRIDGYDFSKCLMDGGVSLNIMYLETLERMNLIKEQLKHSTTEFHGVVPGKKANSLGSIRLPVAFGDIHNFLEEMITFEVVPFKSSYHVIFGRPAYHKFHARACYMYNKLKIPGPNSMITVSGDYQKARECEIGEAAFAEPVIS >cds.KYUSt_chr3.33952 pep primary_assembly:MPB_Lper_Kyuss_1697:3:213073184:213074002:1 gene:KYUSg_chr3.33952 transcript:KYUSt_chr3.33952 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLESLVCGGTAAGAGDRKVSCETVIAGSSGDASPRWVPPPQPPPDHDFPPESITIRIGDDDGAAFSELNPIYERDDSTKGSTNPKFAGACSSNPVPLKARSNSARIAGAPAAAATTFFGLPVKIRPAFTRRQPSQGRILPDKRSGGAGEEPRSPKVSCIGKVLSDRERYGRRRQRRWWRGVVSAFRCSGGCAYRGVGGAGKKMALEDDEYDGEEEEPSVAAMRRFKSGRRADTWAQEALAAVAAASGETTAEEEKKHETERWVRLPVS >cds.KYUSt_chr5.12273 pep primary_assembly:MPB_Lper_Kyuss_1697:5:80048176:80049213:1 gene:KYUSg_chr5.12273 transcript:KYUSt_chr5.12273 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTHHVMGGAYHHNLISSVLALFSPAKLSGHGDEEISPARSAVAPQPRHRARRRAPATPPRPPPRLSPAGLSSSRSSGGPRLSDADDNRRRPHMLLSRELGLPRPSSAAPKPSAAAAPGHARGTVGHEASCTSSDYRAPATRRRPQGTLRCAQAAPPPSPGHARGTVAPPRGVAMVLRGPPLPVAAAPGPLAPPAGSARVAVKVPIAPPPGPHSLRRPGPRAPPAKFSTAAGQVLHGCRPSSPRPPAMFPPAAALGQAKMATLGARAGAGRALRWRQGRAALSPLGPCFSSAAPRTMLLLRWPHKSVLLLRRRAYTQHKHDANDLEVLPWASQVATDGGPRCC >cds.KYUSt_chr4.47097 pep primary_assembly:MPB_Lper_Kyuss_1697:4:291404211:291406213:-1 gene:KYUSg_chr4.47097 transcript:KYUSt_chr4.47097 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPHVRPEMTLPSERRPAGRRQSLDGADGDYRVRGSEEVRQGRARRWAAATPTTLLVPVLSSSSALDVSFRRSDGREHTSGGGWMLVSSQAPTTSQDEMAWPEPAGRALPGWKRMRVRRTRRGRKGADGQHPERRRRASSLRCCAPTAATTTGILISLSRVSSIIPKVELHLHSWPPNACLPSRGGSGALVTGLLPLVLAVTSAWPLFVGLLLGARFSLPRHIWSRAQLHLRRLAMERAGGLQCWEAEQQDKVIFLWGYQVAMKGVILSGIRLLLLLDSLSGGCTAEVVQSVRP >cds.KYUSt_chr3.33493 pep primary_assembly:MPB_Lper_Kyuss_1697:3:210254466:210260248:-1 gene:KYUSg_chr3.33493 transcript:KYUSt_chr3.33493 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRCRPSSVVLAPPLEDDDLLHEILLRLPPQLSYLLRASLVEERRASAMAGQLPDRRCDGEQAEVDCLHRDEIFQQVADAIGLLRLSPICVGLRGLGFSEPNPNDTLSVDAAFLLEGSSWSSLFPSTPAALPPARVHRLQAPRRLATDPKFLRRFCVHHGKPPLLGDFSYEVGSFSFRSTLDPPYRIPPRRLSLRSDGSEGWACLDCRHGRILFDDCRRRRLIVWDPITDGRCVLAYPQQFRDSGIVQIHSGAVLCAAGDQGHVHGACHSSPFKLVGLSACHHNDVASIFGSVYSSDTGVWSDLVSTTLPRKGINLLSHSALVGNTLHWLITTDSILEFDLVAQRLAVTKRPLGAPPRHDNVQITRSEDGGVGFAALSGSCSGWGWACARVSAFLMETAWASLVLIIQQPLPQPGKEAHPPWGQGRAH >cds.KYUSt_chr3.21562 pep primary_assembly:MPB_Lper_Kyuss_1697:3:132371234:132373670:1 gene:KYUSg_chr3.21562 transcript:KYUSt_chr3.21562 gene_biotype:protein_coding transcript_biotype:protein_coding METVVESKSDVALGAGVPVRHPAGRGRHGVAGASIGLQNWLATFGACRCDPKAAPDPKRLLGSLWGAPPGQNLEEHRQMTVQEYQMQVAQGNSQAVNYSGTQFSNAGTSSPVQSFPVQQSQPNQMPQQVHMFGNTHHSHIRGANQSSPQHQAYVRLAKERHIQQGMMPQQQHPLSAAGTVPNVQNGSQMQPQSSASTAPSSHSHHKKQNPVQSPQDSSVLPNQPANSISNKQKKQQTQQQSRQNQQQRNQGSQQAKLMKSLGRGNVMQQNSPVDATQPSSSNATSNNQVSDRNMVQQGPAYFAGNKGLIPSVSQPGNQPKHQTKACCKGKCQGVFLYMGMLLVPSGSNSRVDNNIRLSSSTDLSFKAVYILTLQILDQDDDGIT >cds.KYUSt_contig_2197.119 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000276.1:685266:685865:-1 gene:KYUSg_contig_2197.119 transcript:KYUSt_contig_2197.119 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHFRNLLCLLSTFRVLLCMASAISQEGEALLWWKDTLLNSTSLSSWSRTNPTCSWSGILCYEDAGHVNELHLSCSSLNGTLDAFSFATFQHLTMLDLSGNNLFGTIPANISLLRNLNTLDLTDNDLCGAIPYQLSKLSMIVELYLADNYLRNPDATKFSLVASLQILNLAGNKLNGTFPAFILNRTFVMLLDLRPVV >cds.KYUSt_chr1.34051 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207097203:207109332:1 gene:KYUSg_chr1.34051 transcript:KYUSt_chr1.34051 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPFLDPAAAAAAAPIPRGGALGRVRVACGATRVPRRVVGAGLARPGRGRPSLLAAALPEPLDHLLPAQEGAAAPASEADEVQRGVASAEISPPPPAAVHETTVRVRFVLREQCTFGHSFHLVGDDPALGLWDPANAAALEWSEGHDWTVEKDLPANRSIEFKFLLRDSSGEFQWQNGPNRRLQTGEAARTLVVYEDWGDEKNQKVIEEGDVPVEMAEVIATDDDDKSINDVVAANELQLDGSQEIKEDESGVGDAESSAVAATISVRGESAKACEADQPESYYRRMSKKYQPELVMDGQNIQDDLPDEVDRAPQNDSATTYADDDYGESTNDDSILSKDGVLLPFPMEVTVVGPIVILQIFQKTDDFCYNQGANPAGEGTLQVLQPYQSDPAGDPANPPNHGILRRGGGKAPGSRSVMADGASSSGAGPGGRGTGSVGESVVIDYGRRRTTCGYCRSTGPTSISHGLWATSLNAHDYQALLDRGWRRSGSFLYKPEMERTCCPSYTIRLKASDFTCSKEQDRVLRRMQRFLDGELEPQVGSPQCKTNPTKRSLNEPMNSPTLKVSRVSTYEFRTDKCPSLDQKDEFICCLSSKINEAMDMCFQGGIAGSDVQLPKAVVKTVKPQVKKKVGEAAQEKKGGTIQDLVYTCNISFQLAATIRRALPEEKRAVLGDLSPNCIAEKLVSAMTQNGEIAGFSLKACNGHLNFYSATIQAVQNHNGIGASTQASDNSSSSKQSAVNKNDARHNQKAKRLEFSMARSHFDPEEFALYKRYQTKVHKEKTVTESSYKRFLVDTPIVSIPPVSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDLAFLSLGKYTALREIDWVKTAQESCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRYEWVHYDAAKPLLDKSLYSVLSDFSTAQDEKPQAHASVPCDEPSAKNDHSEIPIDEDDEDSDYDESDMMVDEEMVHSESSADTTEDCSNIDGIENVTMDLSGSRVKYKELQSVVGPIERRHLSELERQLSRYAKVVGKELSDRLVYSLS >cds.KYUSt_chr3.39840 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251117655:251119530:1 gene:KYUSg_chr3.39840 transcript:KYUSt_chr3.39840 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWHGKSTAPTRMETENQWFGLHLIFVMWPTYWAPAPSLEARAIVGVQTAHSFASHGCHCFDCHPNVHYSPPAWSSAFDAMEAMAAMAAVRTDSTCVAERKIPKDNSIEMPSHVNSVVGAAPSGLERARGKADSGVGLWSTRDLIYWIVYQWISAAGQRCNRDGRG >cds.KYUSt_chr2.54882 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342248213:342251787:-1 gene:KYUSg_chr2.54882 transcript:KYUSt_chr2.54882 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVWSSTSSDDWMSIKLNHSVRLIHCKASSPNCGTDGTEYTYFTLPADYPLEQATPPGSPSAGENVGVTDRLSIGAQAACLAPVLSSKANNTPGSVSLLVPNLQPNVQDAPHVFKEMHVYNIYEEQDCACLNITSDVRDFNLELLQHDDERACNCPVLKASESFATSSSQLNTEHTGAGGCSDGQGSSSKDGGNVEKEAASDLSLRLKLLASEDLHLKLLASLDLRRRLQDPVFTVDTMNGWLLTDCWHRAEMASVEYFNLVYLTCVFCWQDMENHEGCMGQIDLDHTSVGNSMQDCSGSHLAAIHLGYGAQAGGTPSDSLALVPSGGEWTMAELQRQLLLTNWFSPPVAFPNPVQAGSGSKCKAT >cds.KYUSt_chr3.29061 pep primary_assembly:MPB_Lper_Kyuss_1697:3:181735729:181736121:-1 gene:KYUSg_chr3.29061 transcript:KYUSt_chr3.29061 gene_biotype:protein_coding transcript_biotype:protein_coding MACVPNELASAPARCVTAGHLWSQGKQQRKAAAADDDFEAAFRKFDKDSEEEREEDVMVVQEEVAESTPFAFGAPSNTPTARIRPTLSCAGSTGAPLFPSLRDTTGCHSANFFCPLVSSAVLDLSPRSGR >cds.KYUSt_chr7.25063 pep primary_assembly:MPB_Lper_Kyuss_1697:7:156395044:156395571:-1 gene:KYUSg_chr7.25063 transcript:KYUSt_chr7.25063 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIVARELLRLLYTLLLMGSVFRVLPENPCRANACGADLHVRVVLAHHFLILAAFAAAVLLQSVRVAVSTAEAAYVAAARAKDFDAKHEAAEGVACIAGKWRRASLRALLLAAVALSAAASFLALVSIEDGYLYATGCDADHPGYGTGTPFSVVLTVGMSLLHGGAAWIAVCKN >cds.KYUSt_chr4.54411 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336200576:336201673:1 gene:KYUSg_chr4.54411 transcript:KYUSt_chr4.54411 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPRRPSTWPDLPSDLLGGVLCGLPSLADRIRLRAVCRSWRSPMLHQSPPQLPWLAFRDGTLLDVSNNTAHHVRLSDDGTSCYCAGENMLFLLHGDGHCSLMNTFSSDVTPLPELATRLKGCDVKSTDIMKVVVSSAPQIVAVLFRSTYGSGVLASICRPDGKIQSCQVLRTDRYIGKICDIVIFRGKIHAITGDDCKLLGLQLHNDEKRPRFSRRILCASDLPPRPSTDIYEVERYLVESNSKLLMVRRWISGVWYSEFANWARELSVVEADLSSGQWNKVDGLEGQALFVSRQCSKSVPAASSGARQDCIYFLDEYGLGDSGTYSMGERKITPLLPEKPATPLLSNRPPACPAWFFPVEV >cds.KYUSt_contig_528.657 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:3952634:3953899:-1 gene:KYUSg_contig_528.657 transcript:KYUSt_contig_528.657 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWLELLHAATGPRWRQLHTADFGSDKTGHYASTAADESVSGRSRQQEPAQPATRSRGVIHPRKGLEPGPPRTRLHASPIPSHRTTPVPPLSSAHQVPIRSISSSHQVPNPHPPHHPDASPQAPPPSSSPQAPPMARPDPAGADPDIPAKAPFEAAQRLQRVRANLRLPDRPFAALSRDHDAGLRALRLLDFARLDLPSSGVPRPDLVAELIANYSGARGRSSVRGKQIEVSLDSLARALRLPPAGSDTDGAARASACRESACREMLQVGIRPNTQPSTSRSMPPSTTAGVDDSIRSGSGSDFLRPGPCNFGH >cds.KYUSt_chr5.22355 pep primary_assembly:MPB_Lper_Kyuss_1697:5:145906378:145908272:-1 gene:KYUSg_chr5.22355 transcript:KYUSt_chr5.22355 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGRNKPAPSTASPSSAAAAACGELRAAYHECFNRWYAEKFAKGQWHKDDCAGQWSKYRACLEEHLEDKHLRQILLESETSSCYAQLDPDSSSGQGAATSK >cds.KYUSt_chr2.54053 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337400492:337400803:1 gene:KYUSg_chr2.54053 transcript:KYUSt_chr2.54053 gene_biotype:protein_coding transcript_biotype:protein_coding MMATPADPVDELIEEAIPGSSSSFDRPPWTSTGKEKLHDLVAAHMQPHRMRKEEGEGPIGEDATPPPRRLSPLLPPEKNNHEEEGEDGPDLAMGIRPPTHRLH >cds.KYUSt_chr4.41849 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258787886:258790414:1 gene:KYUSg_chr4.41849 transcript:KYUSt_chr4.41849 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASASASAGRGARAEKVRRIFDRFDANADGGLDRQEMASLVVAVNPRVKFSDDQISAILDEVFRTYAHFILPDNKGLSLAGLLRTYDDGAGDVDRDFLALSLPALDSSSPSDIALGDDPDDASSPHTATAASLLDDHLRPLGIAGTPPSSSSRAAAAAAPAWATSPNHGIAFDSSWSLLDDLEILVKRLRSKQLRKTPTNLSTDNLDSFSETGWSREISGSADSSLPPPQWDETSRDYLTFVKELAVLRTRADASRSREEAFDNHMVIGRALAEHRLARDALASFRRGCELQPTDVRPHFRAGNCLYALGRHAEAKEEFLLALEAAEAGNGSSLSADVLPQIHVNLGIAMEAEGMVLGACEHYREAAILCPSHARALKLLGSALFGVGEYRAAEKALEEAIFLKPDYADAHCDLGSALHAVGDDDRAVQEFQKAIDLKPGHVDALYNLGGLNMDAGRFVRAAEMYTRVLSIRPNHWRAQLNKAVALLGQGESEEAKKALKEAFKMTQRVEVYDAISHLKTLQKKKPKATKGKNDAAQGEEAFVIVEPSKFKRVGTKTTLRQDLASALDIRAFERTTKLGHCDAELLRKEMNETDVPVSYSGTGIPEKSIRKAALEVILRRLLSFLKPDTFQGAVKAMNERILSVLDASGSGRVDLGMFFAIIAPICSGPVDRRKRVVFDALLWRPASEGGRGQIRRSDALSYIKLLRAVYVPIHGASDMLEMHGESDPTMVSYTEFLEMFNDPDWGFGILTILVKLEDSDHVRHGSHTCSICRYPIIGSRFKETKHSFSLCNRCYSEGKVPSAFKLDEYRFKEYGNESEALIDKCMCFNLHSKNLEADA >cds.KYUSt_chr2.43427 pep primary_assembly:MPB_Lper_Kyuss_1697:2:270252993:270258927:1 gene:KYUSg_chr2.43427 transcript:KYUSt_chr2.43427 gene_biotype:protein_coding transcript_biotype:protein_coding MDREDEVEMKEESVEARVQVMAASRFRRICVFCGSSKGKKTIYQDAAVELGKELVARNIDLVYGGGSVGLMGLVSQAVYSGGRHVIGVIPKTLMPREITGETVGEVKEVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHEKPVGLLNVDGYYNALLSFIDKAVEEGFVMPTARHIIVLAPTPDELLDKLEEYSPRHEEEVVTKAKWETTEHLSSCKSFEIPSLDEGKAIIPAQRGSIL >cds.KYUSt_chr7.5591 pep primary_assembly:MPB_Lper_Kyuss_1697:7:33478679:33482865:-1 gene:KYUSg_chr7.5591 transcript:KYUSt_chr7.5591 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAVRMGATQRVIGTAFALNMLFKIPIWCGVLITGLSTLMLLFVQQYGVRKLEFLIAFLVFLIATCFLVELGYSKPNSSEVVRGLFVPEIKGNGATGLAISLLGAMVMPLTAKVQNENTLLKDELKKLKKKMKDEKEARREAFIIADEKEGVLRESITNLLSTADMSIDRTSKLREDSMSDALSFATDSSNQVQGLLKKTKGALSKLFSMMFPKLDQNKTLGEMADTFFIDSSEAIEVLKWRSHLYGAVLTFQLLMGHGLGSELEKLSKALPMDANDLSSQPRAFQAIIPSTSSRVETSLNGDESELVSRLRDQVSRLNKDISNLHAMAALVKRKSEIATAVEHHALDRLRVATESLSFVAFDESEENKRIHEKIAAMTDVTHPKHELWSNRSKAIAIAKFEHRVEKVHYYFDKYHAHLSMVWKTMFPLDQAPETLSALFNRFKTPDRIRLLVRVERDLKAREDQEALP >cds.KYUSt_chr7.27433 pep primary_assembly:MPB_Lper_Kyuss_1697:7:171325224:171326836:-1 gene:KYUSg_chr7.27433 transcript:KYUSt_chr7.27433 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQHQGALAAPPMATTGGDEMRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSEDPHVVRLLDLKQGQNKEGQTILYLVFEYMDTDLKKFIRGHRQNHEKIPAHTVKILMYQLCKGVAFCHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRAFTVPLKKYTHEILTLWYRAPEVLLGATHYSTPVDMWSVGCIFAELITTSALFPGDSEVQQLLHIFKLLGTPNEEVWPGVGKLPNWHEYPQWNVSPLSSVIPSLDADGIDLLEKMLQYEPAKRISAKKAMEHPYFDDVDKELY >cds.KYUSt_chr7.33261 pep primary_assembly:MPB_Lper_Kyuss_1697:7:207514381:207520639:1 gene:KYUSg_chr7.33261 transcript:KYUSt_chr7.33261 gene_biotype:protein_coding transcript_biotype:protein_coding MAADYLKNDPFERDVEQDETMLIWYSGRMEKQLNLIQVSRIIPGQRTAIFMRHPRPDKEYQSFSLIYGQRSLDLICKDKDEAESWFVALKALISRRYCKWTIGTKNGKASYKPTNHTHGDSPLASPCSSTEVENKASEGIQQCGTDYDARPFANFGNIFSDLILYTGQEKTRVSTGSVSTSSSTISGSADTSDEGVSGIDNNARVSYSSTVSSCSYGSGDDFDSMGDVLLWGKGVGDGKLAYSSHLCGNLYGSRIDASLPKALESAVLLDIHSIACGSKHSVLVTKQGEMYSWGEESGGRLGHGVDTYVTHPKLISTLSGINIESVACGEFHTCAVSFCGDLYTWGDGMHNFGLLGHGNDTAHWIPKKVCGPLEGLHVSSVSCGPWHTAVVTSAGQLFTFGDGVFGALGHGDRQSTNVAREVGSLRGMRTVRAACGAWHTVAIVEVVDSLNFATSCKLFTWGDGNKGQLGHPDREARLLPACVESLFKVIFCQVACGYDFTVALSTSRKVYTMGSNAFGQLGNPTNDGKLHSIVKGGISSRSVEEIACGSHHVAALTSKAEVYTWGKGANGRLGHGNNFDRNTATFVEALKDKQVKSVVCGTDFTAVVCLHKCTSGLDQSICSGCHLQFGFRRKRHNCYNCGLLYCKACSTRKSMKASLAPNLHKTYRVCDECYTKLNTVGDVMSLQRSKLHDGNPHQLSSGATDRENSNKNLRVRLSRFLTMEPSKPEGKHSRSNSRFPLHHSGNLSLGSINFVGDSKEVISSCSPTSTTSPLSSGLSSPHFTNRLTTSGLVLPSPDSACTYMSNKNLTEEVARLQSQVNELRDKSKLLEAELDNTNNQLREARTTADVENLKCKAAKEVISSLTTQIKSITERAPEECTVNETWTGEVSKSFGSHVGENHGNDVSRSPDSSVQLAHQLPCKGNSIVADIDWIEQVEPGVYITLFCSPAGHKYLRRVRFR >cds.KYUSt_chr4.45535 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281819260:281819559:1 gene:KYUSg_chr4.45535 transcript:KYUSt_chr4.45535 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAALRTAALQGYRRSASTAAAQADGGAARAKAKPVGDYVPIYVALGMIGLAATLGLHTARQQLAHAPNVRLDKRKRETVAEVADPDLCQGIGYV >cds.KYUSt_chr4.44147 pep primary_assembly:MPB_Lper_Kyuss_1697:4:273468951:273472574:1 gene:KYUSg_chr4.44147 transcript:KYUSt_chr4.44147 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSSALKHAVALFLVSWMAHCGNELVAAGPPAGWYDYSAYTDCRGQPEPAQYNGGILRYSNSDDPTGYKTTETGVLSPAFVVYDLNKTTMYTFSGWIKLEGSSSALVTARLAPDNSGTRCIGTVLARSDCWSFLKGGFTLDWPTQTSVIFFQNADRTPMKITVASGSLQPFTMDQWSMHQKDTIRKRRKRMATIHVADPHGTRVVGATVSVQQTAKDFPFGSAIASTILGNDAYQKWFVDRFNAAVFEDELKWYSTEPASGLLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPRWVKGLSPEDLRSAVNTRIQSLMTRYRGEFAHWDVNNEMLHYNFYEQRLGPNATADFFSVAQDADPLATLFMNEYNVVETCDDVSSTVDAYVARLKDLRAAGAVLEGIGLEGHFSKPNIPYMRAVLDKLATLNLPIWFTEVDINNKFDAQTQAVYLEQVLREAYAHPAVSGVMLWTALHQGGCYQMCLTDWNLNNLPVGDVVDRLLQEWQTGQATGLSDAHGAYSFSGYLGEFVVTVNYGNTSAQSTFSLSPGDETRHITVQI >cds.KYUSt_chr2.51955 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324313412:324313813:1 gene:KYUSg_chr2.51955 transcript:KYUSt_chr2.51955 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKGVYHFFYQHNPFRATWGNGKLSWDHSVSVDLINWSALENAMDPDSSFDINGCWSGSATFLADGTLVILYTGIDANNNHVQNVAFPKNASDPLLREWVKPSYNPVIALPDDVVHDNFRDPPRQWRTQEKN >cds.KYUSt_chr3.41910 pep primary_assembly:MPB_Lper_Kyuss_1697:3:264517291:264517503:1 gene:KYUSg_chr3.41910 transcript:KYUSt_chr3.41910 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRGQRRGGAELAQSTFDSLDALDALPLGMIDSANPVDLARIGARPLTSSAEQAASNMDTSSFHAGDGD >cds.KYUSt_chr5.42953 pep primary_assembly:MPB_Lper_Kyuss_1697:5:270638580:270639464:1 gene:KYUSg_chr5.42953 transcript:KYUSt_chr5.42953 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEGRLVDLGDRIQCTVEFFFCAVSCWWQVVMQVWKRGSRIPPNKVRIRSLELGFLGQIRLCVVALLLAAVLPWGEPKAVTVKGAIGSLNKVHLVCSCCNSSLHLPPLARGGSSGFDGGFVIMVQQPEAWFFRWASVASAAVRDPPSRGGSGRRKKQGEPSYARGRRRLGRCNIRSITSVAKDCQPNLTAEGRLCWMRVEHPLFNLQNRRPFNGVAVAEFVFYTPSGAVPGGVDDGQRRLQFNIDGAGFVLDCFFLLVSRMVSVKEEDLFLVWFCVRGLSVTCTVTVVNQCTD >cds.KYUSt_chr2.2662 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15933549:15935101:-1 gene:KYUSg_chr2.2662 transcript:KYUSt_chr2.2662 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTHLEIEASRSPFIYPSHPPLLSSRATTKMLRHSSSSSSSSPIYTALARLTRLKLNSKRLLSSTAPSRKTSSTTPLLILILLLLLILVVSAVFLLPATTMSSSAPTAPFKNPRAVVRKLLSEAQSEGHGATVRRSIGRHELRSLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLDGAFTHQDFSGRKGTIRTGDVQWMTAGRGIVHSEMPASDGVQKGLQLWINLASKDKMIEPRYQELQSKDISRAEKDGVEVRIIAGEAFGVRSPVYTRTPTLYMDFTMQPGSQLHQPVPAGWNAFVYVIEGEGSFGREGAAPAAAHHCLVLGADGDGLSVWNGSGAPLRFVLAAGQPLKEPVVQQGPFVMNTRAEIERATEDYYYGRQGRT >cds.KYUSt_chr3.48630 pep primary_assembly:MPB_Lper_Kyuss_1697:3:304011058:304012544:1 gene:KYUSg_chr3.48630 transcript:KYUSt_chr3.48630 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRLGEGSGELEIFKVRALQIVRRSRDPTRCLQFLRSKVAAFLEFDINSSSTLGVEQGTAVEAVTHSKSPVDAVAADGVVVPSNQVNALDRNLAVSEEELPVPEPASAPAVDPVFAAGSKILQEKEEVPMEEGILEIDLDRTTSNTVQLYAHEAIVDSTVQLYAQGVFVDTDGGGASDLSSMWNNMLESTPNPLVNPASKTDRAARVQEATDNLKRTFDGVRELRSQWEKRSANPKASPKNSQSTKDRQEKEPTVGTSPVTPEPAGKEQPSAKTVSDSESQRFKVSRVNYRIFKHWRGTVNQLRICELHGTKGVAELRANSLLGNRVAEFERAHEHEEVESSCEVLLGPKHIRSEEISIANDGNMSGPHGEARHEQ >cds.KYUSt_chr3.35091 pep primary_assembly:MPB_Lper_Kyuss_1697:3:220206135:220208661:1 gene:KYUSg_chr3.35091 transcript:KYUSt_chr3.35091 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMVLIIQAQGITRHYDFNVQMANVTRLCGTKSIVTVNGQFPGPELIAREGDRVHVRVTNHVAHNMSIHWHGIRQLQTGWADGPAYVTQCPIQTGQTYVYKFTVTGQRGTLWWHAHISWFRATVYGAIVILPKLGVPYPFPAPHEEVPVIFGEWWAADTEDIMSKALKVGGAPNISDAYTINGLPGPLYNCSAKDTFKLKVTPGKTYLLRLINAALNDELFFSVANHTLTVVEVDAVYVKPFTVKTLVISPGMTTNVLLTTKPVYPNANFYMAAAPYSVIRPGTYDNTTVAGILEYHKPGSPSTPSLDKTLPLFKPTLPFFNDTKFVTSFTTKLLSLASKQYPAAVQQSVDRRFFFTIGLGTLPCPKNMTCQGPNGTQFAAAVNNVSLVLPSMALLQSHFTGLSRGVYASNFPAMPLSPFNYTGTPPNNTHVATGTRLLSLPYNTSVELVMQDTSVLGIESHPLHLHGYNFFVVGQGFGNYDPTNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTTWGLRMAWAVQDGTKPNEKLLPPPSDMPKC >cds.KYUSt_chr5.40907 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258244379:258245014:-1 gene:KYUSg_chr5.40907 transcript:KYUSt_chr5.40907 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAILLLLLAAAAAAPRDAGATEYAVGDSGGWTIGPNYLAWSQKYNFTAGDTLAFNYVPGQHDVYQVTQDAFRTCEPAPGQTLRMWASGSDVADLAAPGDYYFLCNITGHCLGGMKFTVAVAAPPPPPPPPPPPPALPTPAPPPPSSRASLSGRLAWPDVARISCLAVIGLWFGLLS >cds.KYUSt_chr6.14368 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89897062:89907094:-1 gene:KYUSg_chr6.14368 transcript:KYUSt_chr6.14368 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISRIPRDGIGGGGVSGKVFRIVALGTGGFVTETFYRRKGRLWRWKKDRCEGIGVDWSNQGAKMRARRSPSPASRSRRAARVRHLGQRHQVLQRAAVDQLLHRDDVQGVDVLSCKLNSGLIDTVGIRYSTQVACFMMQTSCFLSVDVLVRQYNNTPSKFLTDFMSAMVKMGSPAAVMWLWRWKMDRCEGIGVDWSNQGAKMRARRSPSPASRSRRAARVRHLGQRHQVLQRAAVDQLLHRDDVQGVDVLSCKLNSGLIDTVDVLVRQYNNTPSKFLTDFMSAMVKMGSPTAVMWDAD >cds.KYUSt_chr2.1934 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11603711:11604999:-1 gene:KYUSg_chr2.1934 transcript:KYUSt_chr2.1934 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLIHGDKEKRQQANDNHPFHILQERNGVEHMVIYTLGDPVMGASTVACLLLPSNTMAPTVMASSATTVAPFQGLKSTAGLPVSRRSAASLSSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSPEALLKQIDFLIRSKWVPCLEFSKVGFIFREFGSTPGYYDGRYWTMWKLPMFGCTDAAQVLKEVEEVKKEYPDAYVRIIGFDNIRQVQCVSFIAFKPPGCEESGKA >cds.KYUSt_chr3.41076 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259186390:259190206:-1 gene:KYUSg_chr3.41076 transcript:KYUSt_chr3.41076 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYADNRYADPSSYRDRRSDLAAAPVIAPQVPVGAANPYAAPYTPIAASAGGNFPRYGQGGGGGYGGGMGYGGGRGGGGGFHGGGGRGGGNGRDGLDSISLQKPDFRGLIPFEKSFYVECPEVQAMSETDVAQYRQLRDITVEGREVPKPIRFFHEANFPDYCMQAIAKSGFVEPTPIQAQGWPMALKGRDVIGIAETGSGKTLSYILPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQAEATKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIAIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKILAQIRPDRQTLYWSATWPREVESLARQFLSNPYKVMIGTAELKANHSIQQIVEVISDHEKYPRLSKLLSDLMDGSRILIFFQTKKECDKVTRQLRMDGWPALSIHGDKAQSERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINFDFPTTIEDYIHRIGRTGRAGATGMAFTFFTHSNAKYSRNLVKILREAGQVVNPALESMSKSAGSMGGGTYTRNNFRSRGRGGFGGNRSGSNNIPVRRRY >cds.KYUSt_chr7.37570 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234348186:234351725:1 gene:KYUSg_chr7.37570 transcript:KYUSt_chr7.37570 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVILNGSLPWLRRSLRLSALAPGILFLPILVFVLSREHGRDCDETFAPVAHMTTVRTLLVVASVRRCSLVAWKTKKQVAVSRSSVEAELRAMALLIAEVTWLRWLLADLGVSVMTPTPLLSDSTCAISIARDPVKHELTKHIGVDAFYTRAQGRRRRCLPPGASRRAYSAPCRKRSPAASCGDTPEKDPAADEPPPPSVSCRWTGLVCRSVSLPPISTSLVLLLLGQSFVFAGVNASQTCGAFLYLLPLVMGQGRLCTSAAGGAQEGGGRWVVCAGLSILSRSPGPNPTHPSSPPSLDHPTLRAPPAGSPPGLGPPAVAAASPLCLRCVAAASPPDCRTCRVDGTGARFSSLYLQIELKLSPILSASHIIEHV >cds.KYUSt_scaffold_1854.126 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:683563:684362:-1 gene:KYUSg_scaffold_1854.126 transcript:KYUSt_scaffold_1854.126 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDGKDTNAIRYVEGLKAIYGDGQSTLCLVYNATGDTLRKEDNHDWYGYIGPSVPYPAVIGNGQWAAFHHVHRTGEPSGSVGAVVYRGRNKEGEFKDYLVAWSTPWGPWYRNKAYCEIGAVGAFKNLWGGLYNVVANSNYSSNARSVGCEMEASIETGDSPKFTVRISCR >cds.KYUSt_chr2.39662 pep primary_assembly:MPB_Lper_Kyuss_1697:2:246030345:246031654:1 gene:KYUSg_chr2.39662 transcript:KYUSt_chr2.39662 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMSVHPATTPALASRPRVSLPIPSTTSSSYPGIVHFHSRKLPVRSLRSLAAATGEPYVGLGEEEPLGGEVAAEAVEESEEYKVEVPEKQDPVLVLKFIWMEKNIGIALDQMVPGFGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLSS >cds.KYUSt_chr3.26263 pep primary_assembly:MPB_Lper_Kyuss_1697:3:163389223:163396872:1 gene:KYUSg_chr3.26263 transcript:KYUSt_chr3.26263 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGPQGSSEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIRHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSLDTVSRIRNEKARRYLSSMRKKQPVSFSERFPKADPAALKLMQRLLSFDPKDRPTAEEALADPYFKGLGKVEREPSCQPITKLEFEFERKKVTKEDVKELIFREILEYHPQLLKDYINGTEKPNFLYPSAVDNFRRQFANLEENGGKGGAVVSSDRKHVSLPRTTTVHSTPIPPKDQKPSQVPQRIPAGRPGRVVGPVIPYENSSAMDPYSQRRVARNPVLPAAATNLSAYAYHRKSDSSERELQQELEKDRMQYQPLQRFMDAKMVSPDLRSTSYYIPKGAPKGDVAERAALQPNMMQGIAPFNGIAAVGGHRLCGLWLIYGIVTDSKISEQMLEITRMKQDKTPESKLYAFTSQSFLLSGQQVHQNLRQRVPEYLDDLEVMLPKEHINGNSSIMPEAAKKVAEEVQASPVIRNSKCGIACIASSPNKKDSVQREFNDIVDHSISTSDPELSTTDSNSVTSTNIVTLTSEIAIMDKFVECGAQGNEEYYMTTKLFGNFENRCMFYTMKTSEGRLIWLKRHYADRRRN >cds.KYUSt_chr4.11513 pep primary_assembly:MPB_Lper_Kyuss_1697:4:70008866:70017625:-1 gene:KYUSg_chr4.11513 transcript:KYUSt_chr4.11513 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQRRSRQQEEQVETPAAPHEDAPAAAAAAAAEEAAAQEVAVEGQTQTQGATNGGVKEEGKGEAAAEEEVKDVSFDELGLDEQLKRALRKKGLTNTTLIQREAIPRILEGTDLVAQAKTGSGKTFAYLLPLLQKLLRLSSEGTVRKSAPNALILVPTRELCQQVFNEASSLLQLCTSKLRIVQVNASMPDKDIKLALSGPPNILVTTPACVATCISKGIVQGSSIKESLSMMVLDEADLLLSYRCEDDLKALVPHIPRGCQSILMSATSSPDIVKLAKLLLHNPDILTLTEVGHVKDDVVPRNVQQFWISCSEKDKMLYILALLKLELIQKKVLIFVNSIDMAFRLRLFLEKFGIRSAVLNAELPQNSRLHIIEAFNARLFDYLIATDDNKTKEAKQTNKENKKDSRGRKHMQQTLDAEFGVVRGIDFKNVFTVVNFDMPPDPAGYIHRIGRTGRANKTGASISLVSPEEDDVFEEIENMLQDVEKKDTKCILPFPLLTTNAVESLRYRAQDVARSVTSRDIQEARRQDIKNEILNSDKLKAHFEENPTDLDLLKHDKILSNKAIPVHLRDVPEYLIDPTTKEASNAIKLSRAAMGVDNPGRKRKMGFRRGSGKSSDPLRTFSAEGKSRKRGGKERDGEQDRRKRRKKAEV >cds.KYUSt_chr2.25636 pep primary_assembly:MPB_Lper_Kyuss_1697:2:156867587:156869980:1 gene:KYUSg_chr2.25636 transcript:KYUSt_chr2.25636 gene_biotype:protein_coding transcript_biotype:protein_coding MSASIWKIASPSLVLDHLLQLGLRFATVGGSRETWTAPVYILTSDFADALPADEDQMPPDGNPHPLPGELQPNNNLWANPQYPEIGWDAVQDLGHEQQGGHGGNFGQHGGWGQMGHGQHEGWGQDDMEQGQEQGVLQEMQESMVINLSDSSSSSVNMMEVQQQHQQDGIQMLHNVLNIGMACTIIGPALPPEMMCAKALDMGLPSLFSRLVPKPRFNTSFMFLKELTGASSNSVVQPKIKKKVRAKNLLLEMEKEATQQQQEEAQGPGGAAASASTTYPISSDAES >cds.KYUSt_chr2.44305 pep primary_assembly:MPB_Lper_Kyuss_1697:2:275566161:275568460:1 gene:KYUSg_chr2.44305 transcript:KYUSt_chr2.44305 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKSCQKPKAQHRKGLWSPEEDQKLRDYIIRFGHSCWSTVPVKAGLQRNGKSCRLRWINYLRPGLKHGMFSGEEEDTVMHLHATLGNKWSQIAQHLPGRTDNEVKNYWNSYLKKRVEGARSPAKSAASDAPRSPTPSKNEQEGSIVDRPANSGSSGPPPLESSSSADDLSCLTGPGALIRPHAPVLPKVMFADWLDMDYGTALLAPSALDAAFGGRPGPAQHGMSHQGSVQVDGPCSGGGGVVDSFHGLGGGGMCWEFDADLMHMQGEAAGFCDLLSVSDFLGIN >cds.KYUSt_chr6.32717 pep primary_assembly:MPB_Lper_Kyuss_1697:6:206090479:206105574:-1 gene:KYUSg_chr6.32717 transcript:KYUSt_chr6.32717 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPLVAMSMQLRSEAPWLEGEEDGVFALDSEADLLQHLKAKTLKTFFAADPKLQPVTIVSEVSCIVPDNDCGDVDLEADLRLKLTAEKVTITGVVTPCSCRLKRSWLVLVKKMMTSTEVELMNQRCSRDEDIKITSADTSRILAANALMLVGDGEYFKVMEKLRSLRNAIDCERSGLARCFSTGSGSFAVKENGVSRRSKWKLRFQRNTHQPYLKWCYWPQWSAVMGNIAKWVKKEGDQVSPGEVLCEVETDKATVEMECMEEGYLAKIVQGDGAKEIKVGEIICVTVEEEGDIEKFKDYKPSSSDAPVAPSESKAKAEPAEPKAEEKVPAKAPEPKAPKTEEASRSGDRIFSSPLARKLAEDNNVPLSSVKGTGPDGRILKADIEDYLASATKGGKSETFAASGLDYTDIPNAQIRKVTANRLLASKQTIPHYYLTVDTRVDNLIKLRGELNPQQEASGGKKISINDLVIKAAALALRKVPQCNSSWMNDFIRQYNNVNINVAVQTEHGLFVPVVRDADKKGLGTIGEEVKQLAQRARDNSLKPQDYEGGTFTVSNLGGPFGIKQFCAIINPPQSAILAIGSAEKRVIPGSADGQYEFGSYMSVTMSCDHRVIDGAIGAEFLKAFKGYIENPTTMLLPFYLILPPWQPLRKLVFTHIVIKADTIDAAVERILSELGAETRRSSNRENAIYFDGWNGLGASTVLQAVAKHLAVSGDLSMRPAGLEFQKIIHIDCSKWESRRAMQRKIAEQLKLTDSVMEIFDKQDEEDDFNGLDQGSRAEIAQISTEIYQTMQNLRFLVILHNGGNQEIDIFNSGLSLYEYANSKMLWTFRGRFRLDPKVIDNVKKSTTTDVLFSASRDRRDPQELWSYLVRHEAAQVSWNKHGHAIIDSAIAAKCVSYMLKQSWIGSHIIDYNWDIHASNYWICDGIITLEGIDQAWKVGNALQQEVQLLLDMDGRLNNDESRLMTFSAQLASSAEVVPYWMSTTTCGFVLSPSGAILDNMFQHSHMLGVLKISRCAFSFSSPPFLCCHSLRFLCLEYCQDLRTRSCQSDADKQEKELDKSPIESWECFQSLWVLDVRYTDWDQILSTEMIDLMTHLRELNVIGAKNWDMSHLRGRLCNIRKLRVKKSTCFFNNDVFSEMECIELLDFSGNTVRQGMTSLSGPSSNSSLKTVTIDGCDGLEMISFRGCKELENLLLKGSLDWLEELDLSGTKVKTLDLGGVKSTLPKRIILMGCERLRAILWPKGVVIKEETCPEYVLRIDTTSASSSTDGGESTHAHPHGDQSFQWEKEKLFKDGWQISSTDARLLRSLSPVRSYFRFGPLHIDIYSPAIAGGSNVQGTSSDKLVQFQPHTSTIMDSEYRDVAKDGPVEEMMMWECPSIFSMWLRRPRNVSVIRVIMHEQGNKLLVKDAPSASTSDLFFPDFICELAKSLHMHDNSSITSIPAPPKGSGWKYLSWCRVERCPKLHTVFTIPMGSDVDCFEFLETFWASQLLSPCYIWDRPINNTASRLRFLHLDHCPRLVHVLPLCRRNIYYAGVLQSLEILEIVYCSDLKEVFPLDLELQAQNDMVIKFPSLRCIHLHELPTLQHICGRRMYAPNLEIIKIRGCWSLRRLPAVWRNTKPTKVDCEKEWWDNLEWDGLEEHHHPSLYKPSHSLYYKAPLPRASLLR >cds.KYUSt_chr2.24462 pep primary_assembly:MPB_Lper_Kyuss_1697:2:149571038:149571625:1 gene:KYUSg_chr2.24462 transcript:KYUSt_chr2.24462 gene_biotype:protein_coding transcript_biotype:protein_coding MARWTRPAHLSVSDVLETSSPTAAGAAPGQSGTDIASMTAATWCNLSKENGPVRSDGERVMRGTERGRETRRQRAQPPGDDTDAEIRHGAVERARGSRSSCGGGKPHVKDGKRGQSGSDGDGMGLEEACTEVLNMLVEQHASEMFSGRRGWNSVNGDMHGGYELGGGEGRGREGAQALPCKAPGHACSATLRTEV >cds.KYUSt_chr5.32436 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205847201:205853152:-1 gene:KYUSg_chr5.32436 transcript:KYUSt_chr5.32436 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNSRTLCARWSYLYHVNQDAEETAAVSLPPSLAVENAEPPIQITAKNSSCPALERAEEGIYAWVAANYALGTLGGATQDTTGIIELGGASIQVTFVTNKPMPPEFSHVLKLGDITYNLYSHSFLHLGQNVAYESLHQLLSRPGPKSMATHLTHQATYRDPCTPRGFSRMGGEVKLPASILESKAEYNPFAHAVGNFSECRSAVRTLLQKGQACSILKWRRPHLKTIYDMEKGRHCMVRHAALLNWTECHVAPWFQSFKRRVRLIPWDTFMQAVIEEFRHDEYDGQMSKLLQLKQTGS >cds.KYUSt_chr2.8632 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54162323:54162714:1 gene:KYUSg_chr2.8632 transcript:KYUSt_chr2.8632 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLTAALVALVVTASLVASAKCCKDYHKWGNELEKTCDDEEQSDNDCNAWCMQSSCSRDGKDGWCKKIGKLHYCHCKC >cds.KYUSt_chr2.34623 pep primary_assembly:MPB_Lper_Kyuss_1697:2:213826419:213827462:1 gene:KYUSg_chr2.34623 transcript:KYUSt_chr2.34623 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVVLVLIAVVAGGAAVRGHPGDGTPAARFWEAALPGSPMPEAIADLVQKGTDHSPLAEHRSAAMFLPNACLGYKYDIQCGKPPPASTEAAPAVEGIFFREAAMRVGSTMTVALPAAVPSAFLPRDVAGRIPFGNLTDALATFNIAPGSQEADQVSDTVRECAAPPLAGERKACATSLEGVVLSTTRMLGTRRVAAAASALPSTGLPRAAYVVQATSPLGGSRFVACHSRPYPYAVYQCHMTERSSKAYVVSIRGGLRGDPTMDMAALCHFDTSNWNPAHPAFRILRTHPGGSPVCHFLPYADLLFGEKVANA >cds.KYUSt_chr7.9736 pep primary_assembly:MPB_Lper_Kyuss_1697:7:59580242:59586564:-1 gene:KYUSg_chr7.9736 transcript:KYUSt_chr7.9736 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSVKSPAVVGLVSKRQAAHETAIIEVARSDGVAIAELPPCGVAGDVELVPAADDQCHVLLARLGAIGGDGFSGDGVHVAALDEFVEDRVFDIGYCRPCFEGEILESANVKAFTFSELRTATRNFRPHSELGEGGFGSVFKGWIDEKTLTPTKPGTGMVIAVQKLNQGHREWMAGVPWTTITPKSCEARPAYQLNILQGLRQR >cds.KYUSt_chr1.42115 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257977337:257978920:1 gene:KYUSg_chr1.42115 transcript:KYUSt_chr1.42115 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRDHRLIAGHRFQLHGPSWGHSSRPCRVTHPLPGPISLLSGLEERLLIITNMERPRNAENTAAYPDNMAPPISAAPVPASVPASIITVVSKQTVRPERASPIGDLKLSFSDMLMLSCSYIQKGLFFQPSGTPMAGLLSSLVSSLSRALGVFPPLAGRLVTLPDGRIVIRCDDDAAVEFFHAVAPALSLADFLVSDADVPTMLTKELFPMAGAVSYEGHHRPLSSFQVTELGDGAFFLSVVVNHAVVDGTSFWHFFNTWAGLCRGDPVQPPDFGRSFFGGSTAVLRFSGRDGPAGTLHAAPPLRERVVHFSAAAIRDLKAAANGCGKTNEDSMVNGEISSFQSICAHMWLAVTRARLQLAADATTRFIMAVNCRHRLRPKISPVYFGNAIQILATTATVAELAANGLRWAAARLHAKVAVQDDGAIRQAAADWEAAPWCFSRGNLDSATLIIGSSPRFPMYDGTDFGWGKAVAVRSGCDSKIDGVLSALPGQAGDGSVDVEVCLAPDTMARLLGDNEFLQYVSHAP >cds.KYUSt_chr5.4664 pep primary_assembly:MPB_Lper_Kyuss_1697:5:29722032:29723455:-1 gene:KYUSg_chr5.4664 transcript:KYUSt_chr5.4664 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEDCSSCAFSLTCPEDGADLGDGVVDDGDLFSFYAGAAAAEDEDGDNEYVEQLVSKEASFCSSSDSGDADCSSAASEDWFRQARLAAVKWILQTRGCFGFGHRTAYLAIAYFDRFFLRRRVDRAAMPWAARLLSVACVSVAAKMEEYGAPALSELDAGGGYEFCSDSVRRMELLLLSTLGWRMAAVTPFDYLPCFSSRLDRHGGGGDDGHDPARVALKSIGFIFATAEAGSVLDYRPSTVAAAAVLAASYGALLTREALETKMGNLSPSCHIEKEHVHACYSMMVGNLRNRMSNCKRSLPCSDSNEAATSTYDSLLVHDVADTAAFMAAVSETNKRIRLELPGIR >cds.KYUSt_chr2.47925 pep primary_assembly:MPB_Lper_Kyuss_1697:2:299599640:299604535:-1 gene:KYUSg_chr2.47925 transcript:KYUSt_chr2.47925 gene_biotype:protein_coding transcript_biotype:protein_coding MWYNRLSEFLLQKGYSNNDDCPCVFIKKSSKGFCIISVYVDDLNIIGNATDISVARNHLMTEFEMKDLGKTKFCLAYTEKILVEENVSMQKKERVARIKKELQEHQWLPSPYDTAWVAMVPSQGIPQAPCFPQCVEWILQNQQDNGSWGIRKYDSSNDKCSLLSTLACILALKKWNVGPEHISRGLHFIGGNFSIVMDEQIDAPVGFNVTFTDGIEKRDEEIMLDVATCAMAFRILRMNGYDVSSDELSHLAEASAFRSSLQGYLNDTKSLLELQKASTVSVSKNEMILDNIGYWSSNFLKEKMSSNDVDIVPVFTEVEYAVKFPFYATMERLDHRRSIEHFDAQGYQMFKTSYLFGLFFNSWVKENRIDQLQFARQKQAYCYLSASGTMFTPELSEARISFAKTSVLITIVDDFFDVAGSTKK >cds.KYUSt_chr5.2755 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18201437:18201927:1 gene:KYUSg_chr5.2755 transcript:KYUSt_chr5.2755 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTVTAVAQQLKDTAANSWRPGMEMPFSKCDSSDMMSKLEIMKRLAAYFSTLQEICSKSRFILSSQFIVVLAVKLQLSNEHVTSLAMDIFSATEKKGVSLIGIEKQITKRKIRQQGYNLRILRRV >cds.KYUSt_chr4.34532 pep primary_assembly:MPB_Lper_Kyuss_1697:4:212103712:212106585:-1 gene:KYUSg_chr4.34532 transcript:KYUSt_chr4.34532 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQTLGASPAPSSSSKKKKKHSKKRRAIEEAVGASSDPVDVTVDASFAGAAAPAPVVGYFPTGYDPLAAQEPPSARLFRHEKHHNRVELVVSAPGKGPDFVGRSYAGEAAAPQLCGYALGVLDKASGTLRIVPIASNKILRLEPHFEVQQPAHSQQSALEAGSSKADTEFDRRELTLAFGTKNDRAKDERWRSLKEQREDPSAYQDLDLGESRITSDATDSQASMDVRNIPPYNPAADTSEKAYLFDEIIPKNIRMHLLDIVGHFKSGEFASKGYGSFVSNRVNRLNELQGEDQQRLAWILSYITHLLTLLARNNSSKHKRKENEQATHGPMIPEAVHRMLLLMFIEPGSSALSSEKQELLINYILVLTLFADDFRSETKDICADLKMTHGMLKPYYDQLGCKSVAVSFKPSVMTLPAPIKFPSEVTKRRRQQR >cds.KYUSt_chr2.35604 pep primary_assembly:MPB_Lper_Kyuss_1697:2:219931876:219940883:-1 gene:KYUSg_chr2.35604 transcript:KYUSt_chr2.35604 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRGIGQHKITRNACKIEKDLGSGSGAHFKWVSRGKPAVCARAAESDGGVTRIGVTPPAFLPTDALASALCALRSCSVSVAISCSLTIHLQQVDHNVSVRTWGFTQILRLEMQLYIPMLTDSQREYRPAVEQVLAAEALSIGVNYGQIANNLPSPARVSSLLRSLKISKVKLYDADPRVLHAFLGTGVEFVVGIGNEHVPAMVSPTTAQAWLQQHVAPHLHAGARITCITVGNEVFKGNDTVLQASLLPAMHSVHQALGTLGLQGRVNVTTAHSLDIMGASYPPSAGAFHPGAVSHLRPFLSFLSATRAPFLINCYPFFAYKDDPARVPLDYVLFQPNAGVTDPNTGLNYDNMLYAQVDAVYTAIQAMGHTDIHVKVSETGWPSRGDPDEHGATPEHAGTYIGNLLRRIEMKQGTPLRPAVPIDVYVFALFNENLKPGPASERNYGLFYPDGRPVYNVGLRGYLPPMADSQGTRQVIHLLILIAMASVAFALS >cds.KYUSt_chr6.31911 pep primary_assembly:MPB_Lper_Kyuss_1697:6:201558776:201559153:1 gene:KYUSg_chr6.31911 transcript:KYUSt_chr6.31911 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSIKRWTEIRCLALSKAHSALRPRIHPSSTSNGQERKSWVIPESHTRTRQTSTTFRYDVGQLLERSLLVRPPQLCSPPAMKELWLPAISLHPPATVADAPTSGNDGNLEVTHAGPAARFFHLQ >cds.KYUSt_chr4.4164 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23901222:23901641:1 gene:KYUSg_chr4.4164 transcript:KYUSt_chr4.4164 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQVAAPSAASAAEKATMAATAAWPYVEYMARWERQVERRQLFLRSYHFSRDADHHLSPRARTRRVVWAGARRLRRAAATGLRRLRARLRLCFGWAAPALRRRSSPRRAGNGFRYGRLNRPTAGKAAPASAAASVCFW >cds.KYUSt_chr4.15408 pep primary_assembly:MPB_Lper_Kyuss_1697:4:95164075:95164610:1 gene:KYUSg_chr4.15408 transcript:KYUSt_chr4.15408 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGYTGEGGDSIMSWPRSTSPTSSEVQLARQADDPWYIAYQDESTQWCSQRMDLEEKVANLGDELARKNLMIFELKRIVDEEKKNSELIRKEKLRVETDLAVFDQVVENLEHELKVMGEEKSRFICAVLLGVIPVLAVMWLR >cds.KYUSt_chr1.4883 pep primary_assembly:MPB_Lper_Kyuss_1697:1:30074727:30076168:1 gene:KYUSg_chr1.4883 transcript:KYUSt_chr1.4883 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSEAATSVIIGMAQCASCARKSMNAEAAFKGLKVAIKCNNGSSGAGEYERKAVGDLDGTGAFAVRLPSDVDLRGSAQCFAQLHSAASSEPCPGQEPSKIVPLSDEGNGTFVAIAGKTKRVVSTTPECAAANICFPCHMFGRKPLYMHRHMKPMPDYGVPTPVYAPPVYGTPAPRCLCTPTPEPGCQCPSTTPVYGTPMPEYEPPPTPEFRTPTPDCPPEAPDRMPVPYCNSRAGLRDSGTGLRDSGAEMHVLSYSGSRMPVPDCNSHAGVRDAGAEVPVHSYSGSRMPVPYFDSNAGVRHTDGSTPTPDAGLRDTHAGVRDADAEMPVHSYSGAWMSVPFFHSHAGVRDADGSTPSSHAGVRNSDAEMPMHSYSGAWMPVPFFHSHAGVRDARARVPRHIPSLHAGAGVRDAHAGV >cds.KYUSt_chr5.29322 pep primary_assembly:MPB_Lper_Kyuss_1697:5:185782132:185784022:1 gene:KYUSg_chr5.29322 transcript:KYUSt_chr5.29322 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNFQLRSSALPVRFFPGGLGARLSCNEPKHLGSSSSVGVAVASPARTMQRHQAKATAKEMGVQETAQGSAALGWAARDASGVLSPFDFSRRAQKDDDVTIKVLYCGICHTDLYTIKNEWGTAMYPVVPGHEILGVVTSVGSGVTKFKAGETVGVGYFLGSCRSCECCGNGYENYCSGMVLTSNGIDREHGGEVTQGGFSDVIVANQDYVVRVPDGLPLAGAAPLLCAGVTVYSPMMRFGLNAPGKHLGVVGLGGLGHVAVKFGKAFGMKVTVISTSPGKREEALERLGADEFLVSRDPEQMQAAFGTMDGILDTVSAWHPISPLFALMKPMGQMVFVGGPTKPLELPAYAIVPGGKGIAGNCVGGIRDCQAMLEFAGKHGITAEVEVIKMDYVNTALERLEKNDVRYRFVIDVAGSLGSTA >cds.KYUSt_chr4.21464 pep primary_assembly:MPB_Lper_Kyuss_1697:4:135177619:135183397:1 gene:KYUSg_chr4.21464 transcript:KYUSt_chr4.21464 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTHRAEKLLVPSGTDWISALPDGVPVHILGFLPADEAVRTCVLSRRWLHQWKFMRCLRVTSHRVWEKSADEINKFISSLLLLRDPGAALDEVELEFTYEPSGNDRASYPNIWIRHALLRQARALSVTLRGEYNLVFNVPPLVSRHLRKLMEYTCKSYQLGQGGNLMFEKDLKQLVEYLGRPYPEFFGIPLNNPSGGQPRWEVTADLRGKLGTPTWETIWFSVTGNTWNDGIAKAMQEAIARLCGQNVNKLKTTRFIYYPRHDPMGRPIIMPPHPEMNHYVAYLDYMLYKTRKELDNALAFRQAHYP >cds.KYUSt_chr3.28941 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180709827:180712188:-1 gene:KYUSg_chr3.28941 transcript:KYUSt_chr3.28941 gene_biotype:protein_coding transcript_biotype:protein_coding MATLATRPDLLLVALRRSRPRTLRARAAAAAPRVRGAPVPTRATRPRRVFLGLGVAFVDQLASMASSGVPSRSFVAAARPRQGVSPVEEILKNVEWPDEFPFKPDDFSRFDESSDAEFYSAPRFVTHIDDQAIRALTKYYSQVLPPSNSPGVAILDMCSSWVSHYPPGYKQEKIVGMGMNEAELQKNPVLTEYVVQDLNVNPKLPFEDNTFDVITNVVSVDYLNKPMDVFKEMRRILKPSGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYTGGFEAPEAIDISPNPGQTDPMYIVCSRKKTA >cds.KYUSt_chr3.33264 pep primary_assembly:MPB_Lper_Kyuss_1697:3:208755533:208762948:-1 gene:KYUSg_chr3.33264 transcript:KYUSt_chr3.33264 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTVAGGESAGELFLRAAALVPAEHYALAALAVLSVIGYRFLELHVLGDLLRGFRGGRVDLVFHPASEIYHCVASKCRSLHGRYLVTPWLASPHLQTLFLGIGRPPSFTYNRQLYTVRDGGTIALDWFLASDLEDADGVISQDASTPLVVVVPGLTSDSDSAYVKHLVHSMARKGWNVVVSNHRGLGGVSITSDCLYNGGWTDDVREIINYLHHKYPEAPIFCVGTSIGANILVKYLGEEGENTPVAGAASICNPWDLVVGDRFISRKLVQRIYDRALSFGLKGYAKLHQPVLARLANWEGIKKSRSIREFDHHATCIVAKYETVDTYYRRCSSASYVGTVSVPLLCVSALDDPLCTREAIPWDECRANKKIILATTPNGGHLAFFEGLTAGRLWWVRAVSEFLSALHESSYMHRQKTEDHVLHSSLESSIDKSPYVNFLGDGMIAPATDDCPVNDGPPCSQIVNELKLNNGVNATQQNEGATEAEIKSGIQVDAVHAQSPAGSAKQQGEKANKIHDAIAPLKRRDTGTVVWHDVSLHVAMFLVPSPNSSFSVPIGLNSVMCTISVQ >cds.KYUSt_chr4.6947 pep primary_assembly:MPB_Lper_Kyuss_1697:4:41116068:41123839:1 gene:KYUSg_chr4.6947 transcript:KYUSt_chr4.6947 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAVPCTSSSRRSTDEDGVLSDGPAMDVHELELEAYRSTVRTLTWEQESLLELTESSLKMRMYFVILLGSSYCAFRLQKHWNEPLHREGPAGGPPGPALPAGGPPDSEITYEDSSVTEGLFIALNEENKVATQCWLHAKSPECRSVANMLTLLQSGMNNKDELFEHFMAAVEYLHGKGVIHRDLKPENVLLRAGVVKLADFGDAAIKSTAKSSFNGRKNYGSKGYTAPELTDIFLSHNEKVDIYGSGVFYFLLHNPRHPGHMHVPGLRTGTYHPGILGVGHAGCQKAKRLTVLIAYHPSRHPGHMHVPGLRTGTYHPGTLGVVPGVHAGAY >cds.KYUSt_chr2.53130 pep primary_assembly:MPB_Lper_Kyuss_1697:2:331425573:331426532:-1 gene:KYUSg_chr2.53130 transcript:KYUSt_chr2.53130 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLGSRDKLQAAAAASGHRRVLLLVFASCFAFATFLTFLYSSSSFASATTSRYGSSSAASTTSPLSGSGPGGALPLPVFDALVHYASFSNATHRMTDTDIRAISAILRARGPCNLLVFGLGAESPLWLALNHGGRTVYLDENEFYVKYLEPRHPGLEAYDVSYTTKVRDFKDLLEAARSTRAAECRPVQNLLFSECRLAINDLPNELYDVPWDVVLIDGPSGWNPNSPGRMPSIFTTAVLARSGATAAKGPTDVLVHDYNFELEQVLSKEFLCDENRVAGSGTPSLAHYVIHPGSAGAGFCSAGQENSGQAAGEKTRK >cds.KYUSt_chr5.20222 pep primary_assembly:MPB_Lper_Kyuss_1697:5:131427548:131434695:-1 gene:KYUSg_chr5.20222 transcript:KYUSt_chr5.20222 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGQWPTQGTTSSPSSFSPSLYSPSPTAVFQEGAYVQPSRSTPSPPELDVGGGGQFEDTSPVMRRGPLAFGAMAAPNEEEIHEMITSGSTAAAACPGFFTQEEQRATAAVAARNEHREDVADGSQAVEEEDEEEEEPTQAAANLSKGKKKRKKDSERSRCRLEGGVNRQLQTLADLSYSPPAEPRIKWTPKEEECLAEAWKTMSTNGIIGANQSFDTYWLRVKQAYEERKLVDPYFKKTNMNVYRGDKAMATHWGIMQTACSKWHGIQEECEKRPISGHDLEQKLRRALDMYTDDTGLQFKFLNVYARLENCEKWKEVRTTLSKSKTEQMRRRSTESWADLRSHADGRNDKFDGRWREMLANQGVRIACSKTTAAAKKRNTDLAFLMGGGDMEPMDEETRIGTGATAATSSEPLRPRPSSLYSSTPHNAVPPQRSNDDTHTTASPLHYVLGDMAGGAAVGGGVRQDYPGRLTLFVFITCLVAATGGLIFGYDIGISGGVTSMDPFLSRFFPSVSRKQREADGSNQYCKFDSQPLTMFTSSLYLAALVASLFAASVTRLAGRKWSMFVGGVTFLAGCALNGAAQDIAMLILGRVLLGVGVGFANQSVPVYLSEMAPARMRGMLNNAFQLMITLGILAANLINYGTNKISGGWGWRLSLALAAVPAAIITVGSLFLPDTPNSLLERGKADDARRMLLRVRGTDDIDAEYRDLAVASEASRAVERPWRDILRRRYRPQLVMAVAIPLLQQLTGINVIMFYAPVLFQTLRFGGSASLMSAVITGVVFLMATLVSVFTVDRVGRRVLFLQGGAQMFVSQVTVGALIGAKLGWSGVAEIPAGYAAAVVVMICVYVAGFAWSWGPLGWLVPSEVLSLAVRPAGQSITVATNMFMTFVVAQAFLPMLCSLKFVLFFFFAAWVAAMTLFVALFVPETKGVPIEGMANVWKRHWYWKRFVTDDDDPRRADIQMGNGVVKN >cds.KYUSt_chr3.9673 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56789426:56791613:1 gene:KYUSg_chr3.9673 transcript:KYUSt_chr3.9673 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGATTQWDDIQRRLGNLPEKPPAFKPPEFVPRADADEQPKSKEWLDDREADELEDLEDDLEDDRFLEQYRRMRLAELREAAKTARFGTIQPITGSDFVREVSQAPPDVWVVVFLYKDAIPECGLLHTCLEELATKYAETKFVKIISTDCIPNYPDRNVPTILVYNNSAVKGTYVGLQKFGGKKCTPESVALALCHSDPVLNDGQGGGDSSRNNVMEGVRRKFIEKVVAQHEAREDEDDSD >cds.KYUSt_chr7.8604 pep primary_assembly:MPB_Lper_Kyuss_1697:7:52177900:52184389:1 gene:KYUSg_chr7.8604 transcript:KYUSt_chr7.8604 gene_biotype:protein_coding transcript_biotype:protein_coding MSESPCRLTVSLKSPSDPAASSPLRVSVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGINDKAIYHYQFNLQEETSSSARFSKLATTYKNSANFRQDLAIELAVEQTGTTGSRNVCLPHDSDDAYLSIATPNIERLVSGLKDYALSHGNTCEKCDACCLNRHRFSDFAPPPDSESLAHGAHQMWDPPANDPKPGWAKSENPVLHFEDFIPCTISQSSFNVLMSMDWQSYGFKLKGGFMDDEGNAVLQWDNLTFSRVDIAIHTYHGICEYVPDLAESIAGLISSSNDQEFQDECFTLLGLGSDHDISEGAVRSCISDKMARIIEMNDTKENVVESTPYLFECEKLDEDSEQLDEEDGDDDMVSDY >cds.KYUSt_chr3.49479 pep primary_assembly:MPB_Lper_Kyuss_1697:3:309104955:309107277:1 gene:KYUSg_chr3.49479 transcript:KYUSt_chr3.49479 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRLMEAAMSDVVALEESLLSSVNLDEETPLLAAVTNGYVTLASSLLSCCCQARLRQAILQQDRYGFNALHHAIRNGHTKLALELIEAEPELSQAGRHGRNCLHAAVKNGDEKIVKMVMEKCPVLARETDRDIRNPVRHAVLDGKVDVLRVMLEHDSTLGYEINSAGTSLLTDAAYRGQVAAARELLKHCPDAPYHNAKGETLLHTAVLHDQEDFIEFVLRMPILRKLVNVQDNKGKTALHYAVKKCIPTVVAALLSHEDVDATVLDNDGLSAAWELLYNMKKAKTLNWNEVIMLMSKANPQEADSLHNLHMEAKKLTTDESRKAAKSLTQTYTSNTSLVAILITTITFAAAFTLPGGYSSDAGSEGLPIMSKKFAFQTFLIFDVLAMCSSFAVAFICIIARWEDYEFLLYYTSFTKKLMSFAYLATTMAFSTGLYTVLASRLHWLAIAICVLVALLPIITWLLGKWPVLKLRFQLGKSVRSDFLDMV >cds.KYUSt_chr2.52567 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327973097:327978367:-1 gene:KYUSg_chr2.52567 transcript:KYUSt_chr2.52567 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGDESAGGAAALPQGPVPVEHVKAANGLEKVVLREVRGNSVEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGHLEAHGFARNRTWIVDTDPPPFPVPVSSRAYIDLILKPTEEDLKIWPHSFEYRLRIALGPGGDLMLTSRIRNSNADAKSFTFTFAYHTYFSISDISEIRVEGLETLDYLDNLQDRARFTEQGDAIVFESEAKAMSDFGDDEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGQLDPDRVIQDSVVPEDSIS >cds.KYUSt_chr5.25766 pep primary_assembly:MPB_Lper_Kyuss_1697:5:163858115:163858829:1 gene:KYUSg_chr5.25766 transcript:KYUSt_chr5.25766 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDPLGRLLDDAEPSGRERHGLLSRDSEIGRGAGREVTIGVDGREGAGRPRRHPPARSHSLALPDGAGPPDGEGSLLLAKLKRFLAKIIVDSKGEGKEQNSDIREAARE >cds.KYUSt_chr4.10716 pep primary_assembly:MPB_Lper_Kyuss_1697:4:65049266:65052574:-1 gene:KYUSg_chr4.10716 transcript:KYUSt_chr4.10716 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCRPSAAAPLAVQGFFPINPLGHFTPKLHWAVSKFQQSQVLWPRTSPESNDLPKGDPAQPSPRPLLPPPLHGAASSRVLTPGKTTSLCSAAMATPAAAPRHSCAKLSVAVEDPKAPGGGGIFVKATWLPTRFSLAVTDGAGAWVADASDAEVRLRAEQWDQPVPEYLALAERYLAFHQPASTYSFHEAGNGNRRLSWTFEKQGTKLEWRWKLQQSPHTQQTIAEVLDFLMDANIRLSEEVVRKTQSFDKLKQEAEKCLQQSERFNNEKADFEQASFTKFVAVLNSKKVKLRLLKDKIAAHEAADKAPKEDEDNESSDKGPGEEDEGNSTDRTEPFEEDSDKDPTVKDEPSETWSGNLHSSPEKSAATSTSRGRRGRKRTRK >cds.KYUSt_chr1.36762 pep primary_assembly:MPB_Lper_Kyuss_1697:1:224367093:224369719:1 gene:KYUSg_chr1.36762 transcript:KYUSt_chr1.36762 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVQREITLGNPAFADVASNEFAPQSLSTVRSTLRPTLDLATDRNPAHEPADTASTLPAAQSLRAGGPQRPLPGALQHEPADTASTLPAAQSLRAGGPQRPLPGALQHEPADTASTLPAARAFELAVLGDHFRVLFSTSRRTPSPPCRGPEPSSWRSSADHFRVLFGTSRRTPSPPCQRPRAFELAVLSDHFRVLFSTSRRTPRPPCQRPRAFELAVVSDHFRVLFSTSRRTPSPSSRLFRLRLRRLAAPSRLVRLRPRRLVRLRLRASSDYAFAVWLRLRALSGCDLAALSGCDFVASSGCALSGCDLAASSGCAFAASSGRAFAPPRLRRLVRLRLVRLRLVASTGCDFVASSGCASSGCALSGCDLAGQRLRRLAPPRLRRLVRLRLVRLRPSPRPLRLVASSAAPRPAAPCPLRPRPAAPSLASPRAFAASFGCASSGCALSAAPSSPRPAAPSPPRPAVLSPPRPIAPCPAATSSPRPLRLRRLVRPRLRRLAGRAFAASSGRALAASLDCAFAVGCAFAPRLAAPSSARPAAPSPPSSGCASSGCDLSPRPAATSPPRLAAPSSPRPPAAPSPPRRLRLVRLRLRRLVRLRLVRLRLVRLRLVASSGCASSGCDLAASSGCAFVASPSRPRLRRLVRLRLVRLRPVASSGCASSDCALSGCDRRLVRLRLRRLARPRLLRLAGRAFAASSAAPSPPRRLRLRASSGCAFTALVRLRPRRLARLSPGCALTASSGCAFAALRMVQISLGDYAPLTHATPWFTSTSPSSSGPSPRLDCNSSPTQARGLMTGILPPV >cds.KYUSt_chr6.32485 pep primary_assembly:MPB_Lper_Kyuss_1697:6:204904765:204907932:-1 gene:KYUSg_chr6.32485 transcript:KYUSt_chr6.32485 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAEGGPRRLADAVTGPSRPERDRSRCSCYADGLGYAEGGRRRILLYAEGMSTPRGSLGRCPGPDVRRRPRHLAVGVRVGCFLGTPAAMLTVHTLLKFAFNSHTDGISKPTSMDLDLVEHGLTLLHKVVQELSVEELQH >cds.KYUSt_chr6.2862 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16867990:16872153:1 gene:KYUSg_chr6.2862 transcript:KYUSt_chr6.2862 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFRLWEELPPELVCRIGDILDLKGYASARGACTAWRRALAQPFPLLLVVPDHDRCRPSAARLGPPTRRSFELKAVPFGAHCVGSSYGWLALSFCIYGGRSLFSLFNLITAAEILLPPLIYSSRWVSKSKLVFARSPARDDFTAVAICDLDRLAYVTAGAKRWAILDPVRLPRGDQLADIVYHDEKNRVYCLTSYGDVHVLLLPERRRRGSVMADNPSSLPAIPTTLSGPANHAEFARWPIKQQHDFIRRRSLGPGLNAVAKVQALPFAPATSFAPPYNTVSAFAGAKNLVFCEGNLYQIWRNSSCTVTVRLPGGGRRRVAENEVFVFRCFPQRQPCWEAVTDLGGYSVFLGRNNAVSMPPAEGVPGFKGNCVYWIGGRGRDQGMVFDMATGRSTPCLPAVAAAGVAPQSTVCWMIEKNNKSDASQNWFSELHQPRLQVMCMVPEKEPDLKVLAAGADMSMIGQFGVGLYSTYLVVERVVVTTKRTGDKQRIWESGTPGWWLLHCSSSYLWVFRWSCTSRMTRSVRRRSNTFLCPDKSITAAIIKFTPHIPPAREVDEIEEELQLLSRVSKSKSVGSQGRVQPARTDKGVVLVLFEQRPRDPASAATGFPEDASLELAPPSAAPAASVAPSTTLTTPLEPKTRSPAAMDTDALVVFDEMPSR >cds.KYUSt_chr3.27493 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171546032:171546499:-1 gene:KYUSg_chr3.27493 transcript:KYUSt_chr3.27493 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPGSCRGGTVVRGATGPREHDAAMPVRLLGCNVNDTGARLRTSSSSRPGPQLLAFVAALFSDRQLPLSMTQSMRRHGAFTTRHRRSCAQLELKPLHAREGRGQPTGSVRVALPRVSVMVAVTATTEAGRSRSMPSFSAFLWTWTHGGTGADMS >cds.KYUSt_chr1.2400 pep primary_assembly:MPB_Lper_Kyuss_1697:1:14157143:14163773:-1 gene:KYUSg_chr1.2400 transcript:KYUSt_chr1.2400 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGPYSSATRAQPTTSARGSGSLVFLVPLVLRTAERGEPLIAKTPPPLSGEPMMRPSPMAARAASGCSTAAVAFFRLRPLGRAARPAPAPAPARRFAFSGTAACRGRLVDSVLQELRSRRPVRVSARIGLQGTKELSDSKIDKKTLRKGLLLEFVKDSERSLLAVVERPDGKKNWVVTDQNGILSSIKPQQVTYVVPGTIDFDCSRIAEFLEKAQDLLDPTILECAWMELSEKDKSVTVEEFADIVYGSKESLESYCAHFLLSRDIVYFVKVDNRGSSTYQPRPSAQVDELIRRKLSKEAADKELEEFVDLLKSAKALPLDSKPSKNSWLMEEKVKQKIESLQAYAVDACNDAQRRMAGNILKALGFSRTSSAALKLLINVGYFPVHVNLDLFRYDVQTTYSEEVLSVAEELLADCPDSDKHIRKDLSNLRVYAIDVDEADELDDALSATRLPDGRIKVWIHVADPTCFLQPRSIIDREAMHRGTSIFLPTATYPMFPERLAMNAMSLQQGIDCRSVSVSVTLHPDGSIAEYAIANSVIKPTYMLTYEGATELLYMNLEEEEDLRILQEAASIRAQWRCSQGSIDTSMIEPRIKVANPDDPEPNINLYVEDQTNPAMQLVTEMMILCGEAVAAFGADNNIPLPYRGHPQSSTAVSAFAYLPEGPARSVANISVLRAAEMDFRKPVAHGVLGVPGYVQFSSPIRRYVDLLAHYQVKAFLRGESPPYSAGDLEGMTFIATMHVKVARRLHSNSLRYWLLEYLRRQPKGRKYKALILRFMKDRMATLLLLDVGIQVTTMVAAGKVGDGATVVVEMVHPRDDILSVTEIPEDTE >cds.KYUSt_chr6.27419 pep primary_assembly:MPB_Lper_Kyuss_1697:6:174138711:174139281:1 gene:KYUSg_chr6.27419 transcript:KYUSt_chr6.27419 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPAFPAAADQVRRRRTPAQLRLAALYRLLPVDLIHAVLITRAQPGNPSSALMVLAAAGLGGYRRVSVADTVLAFPLLRLSLLGELDLFFERPEPQLGSAMPGCSDSSSGGVLVVCCWGWGARGGVAAACAAVLTVNWWCGGYFVLDAGRMVP >cds.KYUSt_chr7.7113 pep primary_assembly:MPB_Lper_Kyuss_1697:7:42802870:42805143:-1 gene:KYUSg_chr7.7113 transcript:KYUSt_chr7.7113 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIVIGDSGVGKSCLLQQLTDKRFQPVQDPTIDVEPDVFGTRMITVDNKPIKLQIWDTPGAERFRSITRSYYDEVAGALLVYDITRRETFNHLASWLEDVMNFAIKGMITITLVGNKCDLSERRAVSYAEGEKFAKEHGLIFMETSAKTAQNAEEAFVKTAGAICKKIQDGLFDEPEPRGIKVGYAGARASSSQGGYYYH >cds.KYUSt_chr5.18870 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122030371:122031912:-1 gene:KYUSg_chr5.18870 transcript:KYUSt_chr5.18870 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDQLSMSTDDHHRIDIDTDTAAETKSDAVVGVEAERKWLRKLTSATVNKAVMRDLIARTPMLWYLGERSGTILRSRPGRSGRVEALHAVRAVAIGPFHRGDPGLAFSDDAKLPFMRYLQDQCALDVDRYVAALSAERDRLRDEFADDDVEADRALLDDEERFLQMLLLDSCFVLVVSMMLSKAGVGEDADSAARAASINREYFILHMAVAQHAEQIKLDMLVLENQVPFAAVKLLVASCSRLKLRHCVEQVVLGCFDDLSPKRARQPCHAAGAEFHHVLHLFHWSRVPKTKYAILSTPLKLLKIKKQSERLFPCSVELRHSAVWFRQSSDASDASSSTCQSHLDMSFWSRTASPVAVMSIPCFPVHEYSAVVLHNMLAFEMHFHWAHGACVTTHVARMEGLVRCTSDASFLRRRGVLASSRFTDAELVCFFRELGAHTVGARLPDEFGDMLDAVACHRSRRISWWCGGFVLHFFPSPWVAVSLFAAAAIFVLPSLLQTVYTMLGYFKTTSS >cds.KYUSt_chr4.52469 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325426389:325428296:1 gene:KYUSg_chr4.52469 transcript:KYUSt_chr4.52469 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTKVRRDSRGGRQGPSCRPLLRLRLGLWWGSARLHRGSRLRNSCRSSSSLFRRHSSTSLTRGNIFTILLCSNRSHLSSRLLSSSRHNRAGEEEKKEEARGHSCSGGSGSCRAAARSSEYGAAATTSRGYAGCYTIGGGTSCTAADAYGCSCVAAAPPVKPKKVGRCWKCADNSHAPKNCKVLHYCLVCDSGAHPTIRCPVLKTPRPMSSFVGCGNDATLDLQIPDSLYKPQLSYSGAPTALVQVSGEGTVAAVDVQNLMARMCPGNPTWKWEAVPHDNNAFLIAIPTAEDLLRIDGMQMSVPKINAQVVVSSWVHQDVTPEFVMEPVWVHVEGVPDSLRHFLGLWAIGTFIGSTLDVDLHTLRSQGIVRIQVAMRNVSVLEKVKSRDRPPCLEVLARLQLNGYKFRFRREATGYKPDPRFRPFFWKGEDDDDASHGFEDEGLDDPADDLAPGSSHMEVDGHAPGHSSGTASVPVTQVALTPFNHSPRTDRGREIVARALTVSPHLVATPPPTIRASSPSRIRSFMQGRTRPVSSSSPMVASSLVPAPSSTSPQTERVQTRPPLAPAEHTATPGQQPTEAVSARLQLRDAAEEQRQPAGGSETSEELASRPATQLQPAPIGGAQQGPAQGRVLQ >cds.KYUSt_contig_1993.497 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000238.1:2830739:2836537:1 gene:KYUSg_contig_1993.497 transcript:KYUSt_contig_1993.497 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLPVAGGAGSVLVVAVAAWLLAAGGVSVSAGDPPLSPKGLNYEVAALMAVKSRMRDEKGVMAGWDINSVDPCTWSMVTCSPDAFVVSLQMANNGLSGALSPSIGNLSYLQTMLLQNNRISGDIPPEVGKLAKLKALDLSGNQFVGGIPNSLGQLTQLNYLRLDKNSLSGQIPIDVAHLPGLTFLDVSFNNLSGPVPKIYAHDYSLVGNKFLCNSSILHGCNDLKGGTNDTVSKPPSKAKNHHQLALAISLSVTFAIIFVVFFVCWLSYCRWRLPFASADQDLEMELGHLKHFSFHELQSATDNFNSKNILGQGGFGVVYKGCLRSGTLVAVKRLKDPDVTGEVQFQTEVELIGLAVHRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLREYHHGKPSLDWSKRMRIAIGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDRQDSHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHGQSQKGMILDWVRELKEEKKLDKLVDRDLKDSFDIAELECSVDVILQCTLTNPILRPKMSEVLQALESHVTLAENGVDLNRETLPYGGSCSFSVRHEDPHDSSSFIIEPIELSGPR >cds.KYUSt_chr6.14382 pep primary_assembly:MPB_Lper_Kyuss_1697:6:89976331:89976885:-1 gene:KYUSg_chr6.14382 transcript:KYUSt_chr6.14382 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTPRPLPARVPAAASAKITGGWSRSRQDRPVGGSAREAKAGVTPFARPSAARLHVSECAERLPVERLVQLSLLVDAQGDGRAASLRGAASEAAGTSLITSGLVRASRSRVELEVRRPPWPLAGTRHLPRHGMDYGRVGLQCRGQPLRSTRPLRQLLRKEPERRSRPLPLRDGAASTIPRGGE >cds.KYUSt_chr1.38467 pep primary_assembly:MPB_Lper_Kyuss_1697:1:235159591:235163070:-1 gene:KYUSg_chr1.38467 transcript:KYUSt_chr1.38467 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVTALQAERFFTKLLDKEGDAEVHSPVVHDGAGVSYTFIQHNNVFLLTASRQNCNAASILLFLHRLVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEATILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDIIGALKMRTFLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLTRFENDRTISFVPPDGAFDLMTYRLTTQVKPLIWVEAQVEKHSRSRIEITVKARSQYKERSTGTNVEIEVPVPYDATNPNIRTSMGSASYAPERDAMVWKIKSFPGGKEYMCRAEFSLPSITSEEATPEKKAPIRVKFEIPYFTVSGIQVRYLKVIEKSGYQALPWVRYITMAGEYELRLI >cds.KYUSt_chr4.47440 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293608496:293613058:1 gene:KYUSg_chr4.47440 transcript:KYUSt_chr4.47440 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGMSHRPDDDASGVPHQPSGAGTPLATPPPSHSASTSGGSAGSPSRSDHNGPSSTAAAGTGPAPAAVTASTPASDGTTSLLLNNLDINGDDAPSSQPPVSIIKKKKRRAASIGPDKGGRGLRQFSMKVCEKVESKVRTTYNEVADELVAEFSDPNNNIEAPDPDNPNAQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWKGLPRTSVNDIEELQTELVGLKGRIEKKSAYLQELQDQYLGMQNLVLRNEELYGSGTTPSGGVALPFILIQTRPHAMVEVEISEDMQLVHFDFNSTPFELHDDSYVIKALNSSGKEQNHVTPEPRSNGCEGSSMPDIYRNHVQQSAMANNGTTRLPSSPPVPGILKGRVKHEHQF >cds.KYUSt_chr7.8529 pep primary_assembly:MPB_Lper_Kyuss_1697:7:51712419:51713840:-1 gene:KYUSg_chr7.8529 transcript:KYUSt_chr7.8529 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRELVGAVGRRPGPGWSDLPSDLLESVLVRLPVTDRLRFPAVCTAWRSAADASAARVQAVDVPSPWLMLPFNQTARWQRRGAGDTFSKARFLSLSESRTYDIPQPAPAVSDRLCVVSSPYGWLVTADAASELCLLNPLTGAQVALPPPDTLPFVHASRDAGGRVVSYSIRCCFDEDENGDDDDDAAVVVPPESFAPDRLRFELYEKAILVSAPRKGQTGSWGAYAVMLICQPLSRLVIARAGDTRWTLLDTPSRRWVDAVRGSSAGGAGGRQVVYAMDSAGRVDAWDVDATMPTREAVAPACCCCSGRACSMSGTCSRYLVELSPGRLLQVHRLREAAHARYGCEPRPECVEYTTVDAELFQWTTEGGRWAPVDGKNGGVLAGRALFLGKNTSLCVAVDGCCRSELKGNCVYFTDDGPWSHDRCREVAPDVGVLDLADGSYTPPRAAARDLLWKWPPPVWVFPSCVRRLN >cds.KYUSt_chr1.21422 pep primary_assembly:MPB_Lper_Kyuss_1697:1:126369153:126371329:1 gene:KYUSg_chr1.21422 transcript:KYUSt_chr1.21422 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRWTFKQRRRCSHACGRRRADAFGRLGNAEAHQQRRGCRDQSMDPWDLRVVAFTQSSVPRSHARVQECLLPNQPIREIVESGIIEQDWGRILCWWHRDEVRIEGVI >cds.KYUSt_chr7.18224 pep primary_assembly:MPB_Lper_Kyuss_1697:7:112999020:112999766:-1 gene:KYUSg_chr7.18224 transcript:KYUSt_chr7.18224 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSATSSPPVAPNCRSSRWPAFPPGRPCSTPACIPCAWQLRDVRPSFETSLLCHVLSNARNSVLRLLIPRAWWGDPRARVPAPARLASSSWPCCLLCEAAHLPVVGMRPCGLGAMSYDPARGCTLQWLPHAPLQLPPLAPASRDALRTCSAPPWMALRPCSSPVRQGRTPTTAPCSSCPAAHRKSRTSATPATHAPKSSAPRPAPARVPSPFRQPSPATSTPRILRLLHMLQAKLRIWHTMPLPSLL >cds.KYUSt_chr2.2535 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15301934:15304636:1 gene:KYUSg_chr2.2535 transcript:KYUSt_chr2.2535 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSSSSAFLFLTLLCFATTLPSPANARHFPRKDGSTSAINSVRIKFSWPFSRDGAGSGHGSGDGHGFGWAVSRNGSDTTIGLGGGIGGGVGSTRDGDGSSAGGGVGVGVGIDVGKNGIDVGVGVGGGGAASEQNQDGGVSVGLGGGAGIGFHIGKGGVSVTMTHGTGGGGGGGGSDGASGGGSGVGRAGNAVGSGQGSGNASGGTGSGSGGGSGSAPGATGGGGGGGMGGSSGHPLRRRPLCNWFHWIDQEQPDWARREVEEKQRRAWARFHEEERFEKAIANDKVERERQIQKLRAEQARNREVNQKRMDDEAARRYAEEEVRRETREEERKRLRERAAEAEAAEERGDKTEKWPRWTQGK >cds.KYUSt_scaffold_6468.980 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:4756805:4757032:-1 gene:KYUSg_scaffold_6468.980 transcript:KYUSt_scaffold_6468.980 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAQPPVMETPVSTAWERPLDRYVRSRLEASAAEEAAMAEQRAAAERDLFVATTAEEAAVPNDGPVATSCQGL >cds.KYUSt_chr5.4013 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25876688:25878229:-1 gene:KYUSg_chr5.4013 transcript:KYUSt_chr5.4013 gene_biotype:protein_coding transcript_biotype:protein_coding MTVERWLGLWTGVGSVIFLWTKVQDHIPAALRHYLTTSATKLASYFSPYLQITISEQGIERFQRSEFFLTVEAYLSDACARRARKLKAELGKDSNNLQVSVDDYEEITDEFSGTTLWWSASKKQSNARSLINLYPGQDESRFYRVVFHRRHRDLVVNSYLPFVLGEGRAVTVKNRQRRLFTNNASSRWNPYNSKSVWSNVPFEHPATFDTLAMEPEHKEAIVDDLKAFKESKEYYGKVGKAWKRGYLLYGPPGTGKSTMIAAMANYLDYDVYDLELTAVKNNTELRKLFIETTGKSIIVIEDIDCSIDLTGKRIKAKKASADKEADDEKPKFPGEPEKDEATKVTLSGLLNFIDGLWSSCGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCRFGGFKVLAKNYLDVVVEHEMFGEIHQLLAETDMSPADVAENLMPMSNKKKRDPTECFLGLIEALKKAKEDATATKAKEEEEVEAKIAKEKEEGEVSKAKEKDKGKCEAVGDMKQVDK >cds.KYUSt_chr7.12422 pep primary_assembly:MPB_Lper_Kyuss_1697:7:76417721:76421732:1 gene:KYUSg_chr7.12422 transcript:KYUSt_chr7.12422 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGAANNGFGRRSLHQWEGRLLHAAGYPAPPDFRAPGGWRLSAGGVPIPPPPTGGGALETAIEEVLATLSDEQRADPRFFPDNHEAWIAFFRRRYERELAAYDGPPPPPARNNAAGRRRWWSAPNRTLENVLAHIEDGNSPALGMPPPVAATVSRRHGSSWIPRRMAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTERRSSGALVIRDQPSSPQRGRKRKTAKNQAAAAAANQLAEEEARRAEDAAVAEAIARSLKDLVPADNTLPIDAALEWSERGPGSARGGAAAADVGSGRRATTRRPRRRTVVVRAERRAQGVDSIVLSYPIRVLTLGYGQDGRAVHEQGIAHEFGPAAQRLGLLVESRRRKTSRGGRRHLVHVRARFGIRFPDVGIVFSQFDEDADLKIDIGVCYFLSDLKVSRLSRVISKSSNSL >cds.KYUSt_chr3.31107 pep primary_assembly:MPB_Lper_Kyuss_1697:3:195202936:195209856:1 gene:KYUSg_chr3.31107 transcript:KYUSt_chr3.31107 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSSSSPRKGSWGTRCFPCYNGERGAWFNGCNVPDDLLPSLGATAAQHQSTPSRLRKYLVWPYDSRYKVWETFLILLVVYSAWICPLEFAFMRYLPRAPFVVDDVVNAFFAVDIVLTFFVPFVDKKSYLVVDDPKKIAIRYLSTWFIFDVCSTVPFHSINHLFNKHGLGFRFLNVLRLWRLRRVSSLFARLEKDIRFNYAVIRCTKLISVTLFAVHCAGCINYLIADRYPNPARTWIGAVMPDFREDGLWDRYVTCLYWSITTMTTTGYGDLHAQNAREMLFGISYMLFNLWLTAYLIGNMTNLVVHSTGRTRDFRDMIQAASDFSARNQLPRQIEDQMLNHICLRFKAEGLKQQETLDILPRAMRSSISLCLFYPVVHGAYLFKGVSSGFIQQLVTEMVAEYFAPKEDIILQNEYPSDLHLLVTGEVDIVAFLDGTERVCGKTTEGGLLGEIGVLCNKPQTFTFRTTKLSQVLRISRPKLMDIIQENAEDGEIIRINLQQRTTDEECVREVLTREIVEGCEAQRSSTTTTFILPMASVSAKSVALIAAVLLVLVASAVATARTVEREEVVSLGSLAPSLAPAPAPTMLSAAGALAPGAWAVAALVSLLAFLAH >cds.KYUSt_chr7.12150 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74661142:74662761:1 gene:KYUSg_chr7.12150 transcript:KYUSt_chr7.12150 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPQEPPTAGGTPPSGAVVDVHLGGPPTAGVVSAMIASTIPSKRKRIPKQFFEAPAAAADSAGEAPPAAKKAGRVKTKAAGPRGVAPAKVRTKAISRIGLAPPPPSKVTTPPPSVPAVEPPAPPAPPPPTVDVDKVFDVESTTSYLDMLNESAVNLDAGFGAFDGECNVEDFDDEEEDEGDEEVVEVDPAAAGSSSTPKPRTANYSEIEDAILVRAWSKVGMDACTGVDQGGKRYWQRIEDLYHQLKPRMKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCVPEDYWKLRDNEPKCKKEALLTMDDEAEDMSGRNTGKPEGNKKAKERVKVELEAASVREKLDQLIKSKEALTMKTLETKLLITDKKNEVKLAKVQARREDAKFKAELDMKMIAAKEAKAMKELLAEEREIMMMRTDGMDEDQLAWWNETKADIIARKKAARQACAQGESPASGGAGGDGSIDG >cds.KYUSt_chr2.27821 pep primary_assembly:MPB_Lper_Kyuss_1697:2:170807579:170808100:1 gene:KYUSg_chr2.27821 transcript:KYUSt_chr2.27821 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGTPPPADLFGSSGIGGFGYGVGVSIGILLIVATIAFAVYFCARASSTPVATAGAFPAPAPPRRDDNDVELGGIDEATLEAYPAVMYREARKKQRQSAGEEAACCAVCLERYGDSDEVRMLPDCGHLFHRECVDQWLRQRPTCPVCRTSPLPSPMPTPLAEVTPLALVRPS >cds.KYUSt_chr5.38474 pep primary_assembly:MPB_Lper_Kyuss_1697:5:243256460:243259698:-1 gene:KYUSg_chr5.38474 transcript:KYUSt_chr5.38474 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSVIAASKLLQMQRRRATAAILPAPSSDEPGRCSPDNSSGDGKKKRKGKWRRSAPILGEADQCGPSGQCFAKRFRLGAELGRGEFGVTRRCDDAATGEALACKTIQRKRLRRRADADDVRREVEILRRMSALEEGGELPVVRLREACEDAKGVHLVMELCEGGELFDRIFARGHYTERAAAKIGRTIAQVVQLCHDNGVMHRDLKPENFLFASKSEDSPLKAIDFGLSVFFKPGDQFTEVVGSGIYMAPEVLMRSYGPEVDVWSAGIILYILLCGVPPFWGDTDERIAESIIRGEINFEREPWPKVSRAAKDLVKKMLDPNPSTRLTAKQVVEHPWLKNADKAPNVSLGELVRSRLKQFSSMNKFKKKALGIVAKSLPVEEIDNYTQMFHTMDKDKDGSLTLEELKEGLRINGHSVPETEIQMLLEAGDIDGNGTLDCEEFVTVLLHIKKMSNEEYLPKAFKYFDKDGNGYIEMEELMEALADDELGPNEQVVKDIIRDVDTDKKWRNVVIFDGVQPDIPGLLDTIKAEARAWRQAGVSGLTKLLPAA >cds.KYUSt_chr3.35230 pep primary_assembly:MPB_Lper_Kyuss_1697:3:221256095:221262385:1 gene:KYUSg_chr3.35230 transcript:KYUSt_chr3.35230 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARRERCAAPFLWVPVLVVLLLLGGGGGVVRALEEGAAVYIVTMKQAAASHKRLDLERSGGTSVAAAGGGGGDTPATSVLRKPRHGSSKPLNYGSYLVHLHNSLLKKTLRGEHYIKLYSYNYLINGFAVVLTPQQAEKLYRRKEVANMILDFSVRTATTYTPEFLGLPQGAWVQEGGPQCAGQGVVIGLIDTGIDPNHPSFADDLTNDNYPVPAHYTGNCEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDLASPSDSDGHGTHTASIAAGNHGIPVIVAGHHFGNASGMAPRAHIAVYKALYKGFGGFAADVVAAIDQAAEDNVDVISLSITPNRRPPGLATFFNPIDMALISAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDREYKNYVVLGNNLTIPGVGLAPGTDGDSMYNLIAAPHALKNYTSSPIEMSLGECQDSSHLDKDLIRGKILVCSYSIRFVLGLSSVKQALDTAKNVSSAGVIFYLDPFVLGFQLNPTPMDIPGLIIPSSDDSKIFLSYYNESLVRDETSNRIVSFGAVAKILGGLEPNYGSSSPKVMFYSARGPDPEDNTLANADILKPNLIAPGSSIWGAWSSLGLDSAEFTGESFAMLSGTSMAAPHVAGLAALIKQKFPSFTPAAIGSALSTTTTLSDRQGSPIMSQRTYSNPDSTQTPATPFDMGNGFANATAALDPGLIFDCSYDNYFSFLCGINGSAPVVTNYTGSNCGVSTMTGADLNLPSITIAVLNQSRTITRTVTNVACDENYTVSYNAPYGVTVSAAPTHFFIPSGQEQVVTFVVNATMNNSSASFGFVGFYGDRGHQAIIPFSVMSKVVYSS >cds.KYUSt_chr2.24697 pep primary_assembly:MPB_Lper_Kyuss_1697:2:151052015:151053520:-1 gene:KYUSg_chr2.24697 transcript:KYUSt_chr2.24697 gene_biotype:protein_coding transcript_biotype:protein_coding MLATCECVARYDGCAARGHADDGGAWFPTPGISQTSRHEVVASKTKHVHGLVSNQLNHVPSPRRTSYTAYTRFNWFQDRNREHKTVRQERLLVLVQRHSGARVPPADGDHELGAAQRRLDVHALLLLPLSDGDSEQLVVSFDAACHRASMLREPTLAKQAVGRALLASRVVVVEGAAHGRRLPYDSGAAVSAAVPVLSRPSVAADPAAVPVLSRSTLSRPSAAAPPTAGPLLAKWIRR >cds.KYUSt_chr6.13227 pep primary_assembly:MPB_Lper_Kyuss_1697:6:82680610:82687343:1 gene:KYUSg_chr6.13227 transcript:KYUSt_chr6.13227 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSEPAIFGIRGPAICGVSRPVVPVLGVCLLLASFFSFFLVQHRVSSDVLLSRLVSDDTKHIGLSYKAFDEAEGIEVAWNQVNIDEVMQCPDNLERLYSEVHLLKSLKHENVMKFYNYWVDDQKKTINVITELFTSGSLRHYRRKHPRVDLKAIKNWARQILHGLDYLHSQQPPVIHRDLKCDNIFVNGNHGEVKIGDLGLATVMQTPKARSVIGTPEFMAPELYDENYDELVDIYSFGMCMLEMFTLEYPYCECKNPAQIFKKVSKGVKPATLSKIANPEVKHFIEKCLVPASQRLSAKELLQDPFLCANKENSFVDTMVPSSTPKAVEISLDSLHMDVDTRESICASPCKKNVLIAPHTSVLEFTRTNRNTELNLKGEKLDDSSVSLVLRIADLCGQARNIHFLFYLESDTAMSVASEMVEQLELADCDVTFIADFIDLLIVNLVPEWKPAVSPYMESKRGESDQAIISQQNLPAMAPGYVLVQSMMHSEDTGPLSSNYLDSVSSATNLRGPKGSEGSDLSVQLVGSSGSPSYDETDDTGTMHCGGYKEGMVKLDRTHVLGDGSRNISIFQIGEASPGSELLSGCSSISITDSQDAPTGDFDFIEDQYKHWFDEIAKIREEAMEGGQEKLLPDNDG >cds.KYUSt_chr3.6135 pep primary_assembly:MPB_Lper_Kyuss_1697:3:35081796:35082685:-1 gene:KYUSg_chr3.6135 transcript:KYUSt_chr3.6135 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPPVQVAHRRRINQDCPGAARAAAEDVPEAGLDATDPGRLVDGRAHVLFAEEDQFGDTDAAEVCALRPGTLVVAAPVQTMLPPTVTSTIYHSAATVFSLARHVAPPRPLVWATASKAPSACMLRFLLASTMDKLSSAATRIFHGAVRRHARHLQRRLVALLAAAGDEEHESSSTLPTFSAIPAPR >cds.KYUSt_chr4.34338 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210722447:210726726:-1 gene:KYUSg_chr4.34338 transcript:KYUSt_chr4.34338 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRAGSAPNDEDSTPAMRRLRRLSLHLLQPSDRPVPEGPNSLVAAACAGKRRAGGLDADAAALTAYLRGRHRAMQARVYEFYVARPELQTPVELPTAAHRELCFRQMSALVREAGVRPLTLMASDPAEYFAVMEAVGGLDISLAIKVGVQYSLWGGSIINLGTKKHKEKYFDRIDNLEYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIIDTPNDGAIKWWIGNAALHGKFATVFARLILPLQGKGGEPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKIGLNGVDNGALRFCSVRIPRDNLLNRFGDVARDGKYTSSLPTINRRFAATLGELVGGRVGLAYSSVGVLKVAVTIAVRYALLRQQFGPPKQPEISVLDYQSHQHKLMPMLASAYAFHFARAYLVDKYSEMKKTEDEDLSADVHVLSSGLKSYITSYTAKAISICRESCGGHGYAAVNRFGGLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQQKFKGGTLSVTWNYLRDSMSTYLSQPNPVTARWEGEDHLRDPKFQLDAFRYRTSRLLHSVAARLQKHMKTLGGFGAWNRCLNHLLTLAESHIESVILARFIEAVNSCPDEKTREVLKLVCDLYALDRIWNDIGTYRNVDYVAPNKAKAIHKLADYLSYQVRLVARELVDAFDIPDQIIRAPIGMQSEAYSQYTQCIGF >cds.KYUSt_chr5.34239 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217165796:217167223:1 gene:KYUSg_chr5.34239 transcript:KYUSt_chr5.34239 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFISKYSQQPVQAMEISLERILLRAQVIVDEAEGRRITNQGMLRQLGMLRDAMYQGFYVLDTLRYRDFKEEDAGDNKVESHSWALSKLSSAKRLCLSSSSTKASQHLEVANVLDRLRNMIIDVSESVVFLTSYPRMHSQPYSKHLLMETCMFGRQMEMERVLYFLLHTPPCSSRLDRFDVLPIVGPVRGGKSTLVAHVCNDERVRDHFSQIVFYRHGTFRDEDMVILTDRCTMGHAQTRKLLIVFEVVGKLNDNLWKRLYSLCKSCTTSGSKIIITSRSDKIAKLGTTQTITLKHLPLEAYWYFFKVITFGSTDPEIHPKLTYLAMEISKMLNGCLVGANIIARVLQTNFSIQYWCKILEFVRGEVEKYVSMFVEHPCDLLDGTKHAYIRRLGRTGEDVYISGLSQTCSSQEELPEITVQNLLCGGVKPPYLGRTFKVLAWKSMLPPYHCYILTCEIQRLQTRVGKKRGSLNN >cds.KYUSt_chr6.10500 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64972063:64978551:1 gene:KYUSg_chr6.10500 transcript:KYUSt_chr6.10500 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYHDEHVEMEDDFDMDDPADAMADGHQEQEQGLRDSDSEDEDGRSLRNLVWATSKHDVYLMSHFSVLHWSALSSADTELINVQGHVAPREKHPGSLLEGFSQTQVSTLAVKDNLLVAGGFQGELICKHLDREGISFCCRTTYDDNAITNAVEIFNTSSGAVHFMASNNDSGVRDYDMERFQLCKHFQFDWPVNHTSLSPDRKLVVIVGDDPDGLLIDANSGKTLHSMKGHHDYSFASAWSPDGRTFATGNQDKTCRIWDARNLSKAVHVLKGNLGAIRSIRFTSDGQFLSMAEPADFVHIFDVKSDYNRRQELDFFGEISGMSFSPDTDALFVGVWDRTYGSLLQFRRLYDNSYLDSML >cds.KYUSt_chr3.26746 pep primary_assembly:MPB_Lper_Kyuss_1697:3:166785008:166788053:-1 gene:KYUSg_chr3.26746 transcript:KYUSt_chr3.26746 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKSNEAEAVETAKEWTRLYASGA >cds.KYUSt_chr6.22352 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141239950:141243348:-1 gene:KYUSg_chr6.22352 transcript:KYUSt_chr6.22352 gene_biotype:protein_coding transcript_biotype:protein_coding MFAASARRVAAAAAANSSSSSGRASQIPSALNHQRWIHDRNKKAMELVVKGWSALQEVDRVIDFADRNDKRLIPLLRGAKENFELALEIDNMNTHARCWLAKMHFKYHVPGACKAVGAALLVEAANMGDPEAQYELGCRLRIENDHVQSDQQAFHYIEKAVDQLHPGALYLLGAVYLTGDCVKRDIASAMWCFHRASEKGHAGAAVAYGSLLLKGAEVPEVITRFNSGKSPSTGKVRKKDMQQDPLKLAKEQFQMAAEGGCDLGLRWLKRLEDYDKHPEELKQIQQ >cds.KYUSt_chr2.44996 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280429581:280430060:-1 gene:KYUSg_chr2.44996 transcript:KYUSt_chr2.44996 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHRDNRGVLGGGGFGDRGYGGDHGQQQQHYQQKQPAMMCALKAATAATAGGSMLVLSALIMAGTVIALTVATPVLVIFSPVIVPAIIALALMAAGFVTSGGLGIAALSVFSWMYKYLTGKHPPGADQLDDAKARLASKARDIKEAAQHRVEQVQQG >cds.KYUSt_chr2.31509 pep primary_assembly:MPB_Lper_Kyuss_1697:2:194390177:194390794:1 gene:KYUSg_chr2.31509 transcript:KYUSt_chr2.31509 gene_biotype:protein_coding transcript_biotype:protein_coding MLWDWWTMRNKCNAGELERTTEQVCHVVQRHAMEFVPWVKRVLSETPISNNEACSRSGWERPKENLTKVNFDVAFHQSTASGAWGFVARTDGGEFVAAAAGKLRHLHDALQAEAEACVAAIEGAEALGLHRVVFESDSLTLVQALNTSSHELSAIGVILREARSNCIAAFDSFDFIFCPRKCNSVAHTLAQYGLRAEDECVGWQM >cds.KYUSt_chr1.5666 pep primary_assembly:MPB_Lper_Kyuss_1697:1:34995869:35001863:-1 gene:KYUSg_chr1.5666 transcript:KYUSt_chr1.5666 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEIHKENYAASRERSEIKHTQAVILHKLSGDQGPRLSLQLTKVTVDGILHRFHGVILMIAFKAMSASTAAIPAADTNGNHALSVDSHSSQDVRRRTVVAKKVAAPEVLSEGGVNGVSGEKTASKKDVAHAVRGESAVDKPKYSSEARKAAFPSAATPEHRRKSASKQGNAKWETALSVLMKLCLLITAIAWIGQVLWRWQNGDLSFATLDMESRLSKVEGFKKTTKMLQVQLDILDKKLGDEIGKAKMDITKQFEDKGNKLETKMKALEGKTDMLDKSLAELRDMGFVSKKEFDEIVNQVNKKGGLDGTGTEITLDDIRLFAKEIVEMEIARHAADGLGMVDYALGSGGGKVVKHSEPFKKAKSILPRRSEAYKMLEPSFGQPGECFALEGSSGFVEIKLRTGIIPEAVTIEHVDKSVAYDLSSAPKDFQVSGWYQGLGDDSDKQQPTATNLGEFTYDIEKSNAQTFQLDKTTTDAQVINTVRLDFFSNHGQPDLTCIYRFRVHGSEPGSLGTTASKA >cds.KYUSt_chr4.7495 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44640871:44641829:1 gene:KYUSg_chr4.7495 transcript:KYUSt_chr4.7495 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSAAAPSLADRQTEKLRQAAGQLEHQIPEQRVNFLAARILEQQAPPEDAVWPNVNVLGGDGVEPNGLLKAAGDEAVVLLLNILVLAAVKDEAAEELPAENAAPDPNGELPNTGPEEEVVAPKDTVPGPDEEGAAPKDTVPGPDDGIPAPNEKRPGLNEEAATPTETLPGPDEDAPAAPKDTLPGPDDEAAAPVATVGNEELNCVAELCAIVPCGCGSLLPKIVLAADA >cds.KYUSt_scaffold_3611.17 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:83316:88036:-1 gene:KYUSg_scaffold_3611.17 transcript:KYUSt_scaffold_3611.17 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHATVAAAAARASSSPAKRDAEAATSASSPFLPSSRGGDGGKDCAPRSSPPLHQRCPLPAPVRALLALEDPRSPSAPSSYRILIAVLSCLALAALVSAPSLWSHLICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKFDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYVDNVLPRIKEKKIMSIKPFVDRLGYDNVPMKINRLRCRVNYHALKFLPGIEEMADKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFVGTREEKVMMAEYRQKQWPRRFKNGSHLWPLALEKRKEGRCPLEPGEIGFILHAMGYTKETQIYVASGQVYGGNNRMAPLRNMFPNLVTKEDLASKEEIEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYLPWATFVEDVMITHQTRTGLPEATFPHYDLWENPLTDCMCRA >cds.KYUSt_chr5.33851 pep primary_assembly:MPB_Lper_Kyuss_1697:5:215017243:215018226:-1 gene:KYUSg_chr5.33851 transcript:KYUSt_chr5.33851 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSTEIVVDAGYFRLYKDGHIDRLSGMDTVPAGFDADTGVTSKDVVIDAATGVSVRLFLPEVRATESGGDTITAAATKLPIAVVFHGGYFIVGSTGCRRHNRYMNSLVGNARVLAVSVDYRLAPEHPLPAAYDDSWAALNWAVSGAADPWLSEHGDLGRVFLVGGSAGGNIAHNMAIAAGLTGLRAAAAAQVRIEGVILLHPSFSGEVKLDTEAEEYRVSVEKRWAAIFPGARGGLDDPRMNPLAAGAPSLRTLPCERMLVCAASEDPRRPRNRAYYEAVKSSGWGGDVEWFESEGKGHGFFIEDHGSREAVALMARVVGFIAGH >cds.KYUSt_chr5.34218 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217046933:217052179:-1 gene:KYUSg_chr5.34218 transcript:KYUSt_chr5.34218 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGGGWAGEIRMEVVLRDGSTAAVYRLAPPWERTESTGGGAAAAPTVAAALTGATASRAAVPAQAARLVLTIAIAGTTYSISLLPPGEDGEASLAQSTTSMSIHLHPMEDRAAVRVYTIAGARKKWRTIGFYTICRIILKDNLPSFSTEQLFGTQVVQFSVRRCEELLFPPFHLKFKQDFNSLKRLGKGTEGEVFKCSSNFAEYVCAVKKVVPSDLMITSTNSEPSDVTILSELNHPNIVKLHLCWNVLGKDYFGRPAEVTFTSMLLCDRTLSTFLKENSRIELDTANDIFRQMMMGLQHAHQHHIVHHDLKPDNILVDDNQRIMISDFGTAKKKESPNSMLQPGVIGSLPYSAPEVTNFHESHDEKKVKEMSRRIRQAMALCPPWINFDLDSALDGTNLLDEWTGDYSLLKLMLKPNGSERPSASDILVHNLEHTFLPGYQVTLHKISKGTDFDLTLRLECNFCLSIVIFLVTRIGGCHGAPATSPSLIPRISWLTVLGKSGQPVRGQGWSLRHRAGRAGRVLVHLDLYPDANRAVPRRQKHNTTILLAPGAVDGESETLSCAPALEKQEPRPARRAELLLGYATVPEQVQSSHR >cds.KYUSt_chr5.32319 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205052170:205052745:-1 gene:KYUSg_chr5.32319 transcript:KYUSt_chr5.32319 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHREGAANNGFSRRSLHQWEAELLHMAGYPAPPEFRVPGGWRLSAGGIPIPPLPVEGDELDAAIDVVRVTLSEEQRAEEWYLADNYDAWNEFFHLRHERELAAYDGPPPPPARNNAAGCRRWWGAPGRTLASVLAHIEADNSPVLGMPPSAPTPFRHAVFQFYFKFPSENSNIYMYELALYMYEPAHIC >cds.KYUSt_chr6.2532 pep primary_assembly:MPB_Lper_Kyuss_1697:6:14713397:14714350:-1 gene:KYUSg_chr6.2532 transcript:KYUSt_chr6.2532 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDQSVVKEIDQPGQDLPTWDGWSTPLTLYNSYWLRSHTVSKFMLVRDRFKPRRDDIILATHPKSGTTWLKSLAFTVSTRSRFTFDNTPLLTSNPQRVVPFIGVMGGDLDNLEALPSPRLLSTHLPLSLLPPTVYTVGCRVVYLCREPKDAFVSRWHFENKIGTGAPISLDDAFRMFCQGISPFGPFWDHYLEYWKESLARPHEVMFLRYEEIVSDPLMVVRKLATFLGVPFTEEEEESGVAEQIVRFCSFDSLRNLDVNKTGAGVERAGGKILLEAASLFRKGKVGDWVNHMSKDMAEAMDHLVEDKFKGSGLEF >cds.KYUSt_scaffold_2697.513 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:3289949:3301254:1 gene:KYUSg_scaffold_2697.513 transcript:KYUSt_scaffold_2697.513 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIPAVSASPRFPLPFLPVLNPRPPLIPPLRRRCLYRHRVRRQCHGGGGPPLHLLHPVASRRTGIVIDVDEVQDIGDRDLPVGLSFTRRLPPVLTLVDGIAALRRATQELKASPPAADSGVIRFEVLVPPSTKALKWLCSQFRGSSLFPQFYLSRKLSSDPSIQLDISGVGSALCLHGSSHAKNGCDLISRYISFDSEFIGAYGSVGMKCDKELLSIEENADSFYFFIPQVELTEFDGYSVLSSTMVWDHSVSHTFEDSVCLSESCFNQVCGSYDSTASTCYEGMMKSYIGESYVLETGNAQLVYLDAEVLAKVNATTSMQKEKFLMSKQSFIRVSAQFLFSANMDLCSQSNKTESFIKSCSNINSAWASLIVEECVRLGFTYFCIAPGSRSSPLALSATGHPLTTCISCYDERSLGFHAVGYGRGCRKPAIVITSSGTAVSNLFPSVVEASQDFIPVVLLTADRPPELHDAGANQAINQVNHFGNYVRYFFNLPPPGDQMYARVILTTVDSAAYNAMQAPQGPVHINCAFREPLDHSNQGWSFDCLRGLDRWFRNNEPYTRYLGMKMVSAFGNYSCSTIEVLDIIKKANKGLLLIGAVHKEDDMWAVALLARHLSWPVAADILSGLRMRKVLNSFPELDKNILFIDYIDQILLSDSVKSWINPDVIVQIGSRITSKRVEMFLESCFPSSYILIDTHPCRHDPSHVVTHRIQTSVSEFAASLCQCNLERKTSRWQDILMVLNSVVSQEIICHVHSESSLTEPYVAHVIGEALYGDAVMFVGNSMVIRDLNMFGKGWLEHTTHGGNMIMHSIPDFVGATVAGNRGASGIDGLLSTAIGFAVGSNKRVCCVVGDISFLHDTNGLSLLNQRDHRKPMTVIVINNHGGAIFSLLPVAKTTSPQILQKYFYTSHDISISKLCAAHRVKHFLVQTKTELHDTLLKTRAEHLDCVVEVENHIDENANFHRTISMFVGNTATYYLNYLLGGQTRSDLDGMHNRKIRAVEYMLYRIQLSAPRTSGASDSSFSHEGFILKLCMDANIVGFGEVAPIEIHEEDMVDVEEQLRFLFHRVKDCELNVVPLLRGSLSNWIWTSIGIPPSSVFPSVKCGLEMAILNLLASQQKISLSEILTGSNPLLGDQSLVEYNQNRSTRIQICALLDSYGTPMEVALAVAKLVEEGFTTVKLKVGRRETPAEDAAVIEKIREVVGYKINIRADANRKWTYEQAIEFGSRVKRFCLQYIEEPVISVDDLIKYCENSGLPVALDESIDNLKGDPIDKLQQFVHPGIVAVVIKPSVVGGFERAAHIAKWAHMHDKMAVISSAYESSVGLATYIQFAYYVDRQHDLVARIKRNDSCGSVAHGLGTYQWLREDVSGQKLKIHAPSLGDGMGASVEDAHGYLQHLSINNEKIERTYSEEKIKSYSVQVDGDDFSYLVNLQEAGDCTNDKVVLFLHGFLGTSEDWTPMMKALAPSARVIAVDLPGHGKSQIPQHDAENFSQMSVTVQSIADLLLKLIYCITDGEVVVVGYSMGARIALHMALSQNQKHVRFISSSDVKRLIHAALVPVGRPERLEEASPHRYRQELCEMMVVPESGHAVHVENPLPLVRAIRKFLLKLHKT >cds.KYUSt_chr4.4134 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23701480:23701936:-1 gene:KYUSg_chr4.4134 transcript:KYUSt_chr4.4134 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASDILLYVKQYPNLIKTLSFLLKESDLNGKRAGCTNITTKSGEQVVVTYPVFLMSWRRRIGKDQSIFFEGCEKAGLGVQHLGDLVYLINKKK >cds.KYUSt_chr2.26069 pep primary_assembly:MPB_Lper_Kyuss_1697:2:159605678:159606510:1 gene:KYUSg_chr2.26069 transcript:KYUSt_chr2.26069 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLPPLLLLLAVATAAAATETPERPAPWPEQFHAVLFTNLTNVSTASTSPPLRLTDLYYDWPRRRNLNLIRYQLSGDPLYDVEWNNGTSFYFDSATCRTEHFPVGVLRPDWLVDCVYLGRESTGGIDCHLWGKEGFIVYYEDVLTGRPVRWNFLDVTGIQQFVMSFEVGVVLEDDSQWQAPAHCFPDDGEGKNKVDGDHVDISSGMDAARIFRKFAGAAAF >cds.KYUSt_chr1.34544 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210277264:210278022:1 gene:KYUSg_chr1.34544 transcript:KYUSt_chr1.34544 gene_biotype:protein_coding transcript_biotype:protein_coding MELAALLPAASGAATMGDGRCYKEPALLPATAGAATMDDGRCYKEPALLPATAGAATMGDGRCYKDLPALLPATAGAVTMGDGHCYKKLPALLPATTAAATWSTGAATWNWRCCCRRPPELLPSVASLATMRDGRCYMELTALLPAATGVATMAHRCSCISNAAVSPVTAVADGGATPACTDGRMQRDVDGCATRRGLLQRRPNNGFSGNAMKGFSGDATMGLSGDATTEDHRRRCSRCGLRESCEDGTVRS >cds.KYUSt_chr2.41173 pep primary_assembly:MPB_Lper_Kyuss_1697:2:255936495:255938378:1 gene:KYUSg_chr2.41173 transcript:KYUSt_chr2.41173 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPLLLLLLLALALTLPPAAPQPPPGSPAAPQEDDARCLRGVKTNLKDPDGRLSSWTTNSTAGAVCSFSGVTCWNPQESRVLSLSLSGFALQGPIPSSLQYCGSANTFDFSNNALTGPIPPALCDWIPFVVNLDLSGNRLSGPLPAELANCRFLNSLKLNDNAFTGQIPASLARLDRLKSLDLSNNQLSGQIPAQLGAAFSKDSFSANPGLCGHPVSSRCGGGLGGAGLGIVIAAGVLGAAASLLLAFFLWRCTGKTKAGRRRRRGVTESSPTTAEEGSWWAERLQAAHNRLAPVSLFQQPIVKVKLADLLAATQCFSTSHIVVAGSSRAGTAYRAVLRDGSALTVKRLHSCPLSEKAFRSEMGRIGQLRHPNIVPLLGFCVVEEERLLVYKHMESGALSKVMKEPGETLLDWATRLRIAAGAARGLAWLHHGFQVPQVHQNLSSSAVLLDEDYEARITDVGLTRLVRMAPGEGGDTSPFLNGDFGEFGYVAPEYASNPVGTMKGDTYAFGVILFELVTGQEAATVSSDVVGEGFKGTLVDWVYQLKSSGRIADAVDKSLSGKGHDAEISEFLKVAFQCIVARPKERISMYRVYHSLKTIGRGRDVSEQFDEFPLAYNKDDSDTM >cds.KYUSt_chr4.52444 pep primary_assembly:MPB_Lper_Kyuss_1697:4:325295388:325296284:-1 gene:KYUSg_chr4.52444 transcript:KYUSt_chr4.52444 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPRRPRAPARAPGGYVVLVAAAVVMGYCSTAALMLTSAATPTSAGRCRRRNPSILCLACLLYRALVPSDIGIPNGPAEDSIRGLLKAHYPKNKKIRKPKMLLRKARVVIGSVICNLAG >cds.KYUSt_chr6.27126 pep primary_assembly:MPB_Lper_Kyuss_1697:6:172083469:172087755:1 gene:KYUSg_chr6.27126 transcript:KYUSt_chr6.27126 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTVAARFAFFPPNPPSYGAEPLPPAPDAGAAGKEGSAVVELTGVPRRANVEARRLRTKRGTDVVAMYVRQAGAKLTLLYSHGNAADLGQMYELFVELSAHLNVNLIGYDYSGYGQSSGKPSEQNTYADIEAVYRCLIETYAAAEENIILYGQSVGSGPTLDLASRLPRLRAVVVHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVRCPVLVIHGTADEVVDCSHGRALWELAKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVGAIEKLPPPNDESPESSGPSDSSTQTGPEGTEESRKSTDHREKTRPSIDYRKSTDRRDKPRGSTDRRDKGRKSVDNPDRPRASVDQPDRPRKSVDRFGGMMKAVRLRNIDCFKVPTASGS >cds.KYUSt_chr3.10268 pep primary_assembly:MPB_Lper_Kyuss_1697:3:60977079:60978947:1 gene:KYUSg_chr3.10268 transcript:KYUSt_chr3.10268 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYPGVPPHTLNTRHHMGSAPSNGGSFENVCSVHPGSLGSVGFPGSPQLYPSDLGVFPPARGNHRETMFSPAGAGFPSLRQMCHAVNGRNPMVQVSASYDATNDRMRSHRHGGNSVQPENKRQFELDIDRIAKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFVYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKRWEKFNSEKVASLAYGRIQGRSDLISHFRNSSLMTEDKWCRPILFHKDGPNAGDQEPFPVGNNIRPRTLRNRPLHSSDTRAGDASLSTSPNQENSSRGANAVEGEDPH >cds.KYUSt_chr6.28221 pep primary_assembly:MPB_Lper_Kyuss_1697:6:178830259:178833584:-1 gene:KYUSg_chr6.28221 transcript:KYUSt_chr6.28221 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGKPRVVVVGGGIGGALLAKTMEPDADVVLLDPKEYMEISWAELRSMVEPSFAERSLIYHKDYLTTATIVTSSAVNITEDSVLTADGQSLAYDYLVVATGHVLASPGSRAERLTEFQRDSGKIKSSESVLIIGGGPTGVELAAEIAVDYPDKKVTIVHRGSRLLEFIDQKASKKCLDWLTSKKVDVLFKQSVDLDSLSNTEKLYKTSSGETVTADCHFLCIGKPLSSSWLHDTILKESLDNKGRLMVEKDLRVKGYNNIFAIGDITDIPEIKQGYLAQKHALLVAKNLKLLIKGSPASKMATYSTGYPLAIVSLGRKEGLAQLPFVTLTGCIPGMLKSKDLFVGKTRKQMGLDA >cds.KYUSt_chr6.24217 pep primary_assembly:MPB_Lper_Kyuss_1697:6:152978110:152978849:1 gene:KYUSg_chr6.24217 transcript:KYUSt_chr6.24217 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASLLIRISALLIAFLAVCCPASLAAPPLGKRYRVGGPEGWRVPPPVDKEMFYVKWASPITFFVEDSIEFVYKNDTVIKVSKAGYYHCNETVGVGTGPVPKDGSTLFLLDAPGFAYFASADLGHCADGQRLMINVLAAEPPAPASSLAPAQAPTVAPSPPQPSASSFPPGPGPAPSMEYSSAAGTSFLPSFACAVLSAAVMVLAGFV >cds.KYUSt_chr4.43663 pep primary_assembly:MPB_Lper_Kyuss_1697:4:270670531:270672028:-1 gene:KYUSg_chr4.43663 transcript:KYUSt_chr4.43663 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSQNITHMDLPRLVAVAVLLGALRQLRCHFAGDAKSLHPVVLVPGYAANQLQAQLTSAYEPPTLVCGARKGKGWFRLWPINHTAMDDPHQATCFTDQMSVVYDAVADDYGNAAGVVTRVPFFASTRGLIGWDPLVRQLEAVGYRDGESLFGAPYDFRYSVAPRGHRSAEGARYFAALARLIEMASSLNENLPVVLVPHSFGCALVYQFLLGRPLAWRRRYVKRVVFVAAALGGFAEGMDILAAGMDLGLPNLARPSRIRLGRSQQSALWRLPTPMVFGDRPLVVANNVTYTAYNIVGFLNAIGFPEGVRPYVTRVLPMWEALPAPMVPVTSIIGVGVSTPETFVYGEDGFVGAPEVVYGDGDGDINMVSLEAIEKEWSGVEGQVLKVLRLPGVHHSDFFSVGFALKKVLDEILNAGRTAKLYPKIEVQTNMQVPVGEKRLRVQVL >cds.KYUSt_chr5.42693 pep primary_assembly:MPB_Lper_Kyuss_1697:5:268905319:268905720:-1 gene:KYUSg_chr5.42693 transcript:KYUSt_chr5.42693 gene_biotype:protein_coding transcript_biotype:protein_coding MYEFQAVNMDANLWYCSFSQAVQSEEDASRRERSCHAERIGVLMLIKGKGPYRSYPAGIPHKRRVLQSRDEDVYQSPLPLSAISCLQDCAFSFEALALSNSLGQAHQVNLAQRRRPCGITDDTPRRCAWQHCC >cds.KYUSt_chr7.3850 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22965319:22966040:-1 gene:KYUSg_chr7.3850 transcript:KYUSt_chr7.3850 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLSSRTAAALARRLGGQPGGRVLGRRANHTRRAGRAMVLEVDAAGASTSAEGASALKQRLEEAIDGAMARMSEPEWAPFRPGTSYFAPPRPAGAAMGLLELVTRGGIGVLPPQLSDDEARAVASSSRGYPCSAYYVDGHFPDEAEESVDDPDVEVEVTIEEAEPAEQG >cds.KYUSt_chr6.26113 pep primary_assembly:MPB_Lper_Kyuss_1697:6:165415384:165424127:-1 gene:KYUSg_chr6.26113 transcript:KYUSt_chr6.26113 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEFATGAMGALLPKLGMLLQEEYHLKKRVKQGIKDLKAELESMQTALVKVSNVPLDQLDPLVKIWANEVRELSYAIEDSLDSFMVQFQGLESTKPRVGFVGFIKCTRNKITEFKIRHKIANDIRDIESHVGKVKERYDRYKFHDIAADQATTTVVDPRLSAMYNKVSDLVGIDKPIYELMKSLFEGSDVPKRKLKTISIVGFGGLGKTTLAKALYDKLNKKFDCGVFVPVGQNPDIKKVFGDILHELGLQTYNVSSAMDVRQLINQLQKFLADKSKEMAEQAKEKSGDTSVDKLYEIFSKLLEQQQVKVFPEATKYALEPNPVKLSGPANYVSWARHAQLILSSHGYENLLLGDDDKQKDADIRIKQTNDRVLVWLLASMEPIVRQQVEIMQTVYEVWSALERQFAGKSNKMQATRIMDELTHLKQGTKNVTEYAGEVKGLYRDLHYYHPFQPLDKNDLAIHHKWFESFVSKLFLDGLNQEFNLRRQLIFSQPEWPSLDDIISSVIEEETRLSQPKEDGPKFTDDRAALSMQSRYTPRSFIRSDKSKSFCKDKVRVADGSMAPIVGRGSVSGIDLLPLEGQQEGENGSSSLIPIRVPPSSGTTGPLIHDNMSSLENNLSSSNDTSQGEETHHDGPDSESFPGDTMDATGHSSLPSEDEESIMHKDPGTDCTYPNSTTPISTGGQGDNQISTVNPQANLPIALRKPARTRATPGHLKDFVGYRHNIANFISYQHCSPTFQSFIASLDSVSIPSHWKVALEDPKWREAMLDEMRALEKNETWELVDLPQGKQSVGCKWVFSVKHTPEGKIDRYKARLVAKGYSQTYGIDYEETFAPVAKMNSVRTLISCAVNLDWSIYQMDVKNAFLHGDLQEEVYMDIPPGFELSEQKGKVLRLRRSLYGLKQSPRAWFDRFRKAILIKGFHQSNADHTLFYKHAENKVAILIVYVDDIVITGDNQKEIDDLKCHLAQEFEVKDLGHLRYFLGIEVSRGSKGIFLSQRKYTLDLLRETGMHGCRPVATPIEQNHRLSSDMGTPVDQEHYKRLVGKLIYLSHTRPDIAFAVSVVSQFMHDPKTTHLDALNRILKYLKGCPGKGLLYTKQGSLQVECYTDADWAGSLDDRRSTSGYCAFVGVAKKAGAVYNMKPLSDDDSKKLFSFRIFGDQAVITIASLLVGKRREDWYKVYESIGFGNEDNEVIQNTRKILSFSYYDLPSRLKTCLLYLSVLPEDIWINKNTLIWRWVAEGFVPDKQEIGLFEQGERYFNDLINKSMIRWIEPCHRVNVVRCRVHDMILDLIRTLSSEVNFITLHDVEHRKTCLPSNSVRRLALHKRAFGHIPNMETGHLRSFNASMCRDSKMPPLSRFKVLRVLDLTECDFSGGISRLEHVGKLIQLRYLGLFGTPIAEIPREIGHELKFLQTLDVRETGLEELPPSVSELRKLLSLRASEGTRMLGEIGKLTSLEELRLFSVDKSPNFFIEMGKLTELRVLEIHFDEMKESMHKALVSSLCNLQKIQTLEMYCDSMDIEEWPGHDGWEDWTPAPYRLRELTLSGIFLPRRLSWFDSSCVPHLSYLLFAAQAVEHQDLRILGSLPSLRFLCISSVDNCTPYTVLTSDEFQSLRYLITNIEIKCVEGALPMLHQLVCSASIGREDVGLVPGSMPLLEKATYWLNCKNHNGEKVEEMEAAMRHAAGVHPNSPLLAIRRYNDQEASSKTNQEQVCRRMIIQSLKRVLHDGKDVLANDEEVLIICYN >cds.KYUSt_chr7.28197 pep primary_assembly:MPB_Lper_Kyuss_1697:7:176120453:176121466:1 gene:KYUSg_chr7.28197 transcript:KYUSt_chr7.28197 gene_biotype:protein_coding transcript_biotype:protein_coding MIITLAELEKATNNFDKTREVGGGGHGIVYKGILDLQVVAIKKSKIIVQREIDDFINEVAILSQINHRNIVKLIGCCLEAEVPLLVYEFISNGTLEHHLHVEGPISLSWDDRLRIALEICTALAYLHSAASRPVYHRDIKSANILLDESLTAKVSDFGASKFIPIDQTGVTTAVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKRPLAYNSVDGDSLVLHFASVVTEGVLADLLDPQVMKEEDGEVQEVAALAEKCVRLNGEDRPAMREVEMILQNVRIKRKQAARDATSRRYDDDQFSTDDMSTERDIEELSMQYTVEEEVFLSERLPR >cds.KYUSt_chr7.35848 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223955523:223956860:1 gene:KYUSg_chr7.35848 transcript:KYUSt_chr7.35848 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEILESCLVKPSEETPKHGLWLSNLDLLVARSLTPTVYIYRPRSDPAFFSPAVLKAALSKALVPFYPLAGRLAQDDAGRPEIQCSGEGVLFVTARAEATLDDLGDFAPSDELRQMLVPSGAGPHAGILAVFQVTLFKCGGACLGVGIHHTAADGLAALDFVNSWATIARADDVGVPAPSPCLDRTLLRARSPPSVRFDHAEYSRRAGGSSKPIAFDSAILPLSKIQVDALKGDGGGKRLSTFKAVVSHVWRCACTARELAPTEDSRLYMTADARSRVDPRLPRSYFGNAIFRASAAAKVGDVASGPLDAVAEKVTGATARLNDEYVRSLVDYLELQAVDAAGLRKGEWVMPETDLWVISWQGLPIYGADFGWGQPVFMGRACLQFSGLVYLVPGPDGDGRLDVVVAMEPRSLGRFREVFYQELKSISASPAILRARVVHDSS >cds.KYUSt_chr4.13386 pep primary_assembly:MPB_Lper_Kyuss_1697:4:82537440:82538924:1 gene:KYUSg_chr4.13386 transcript:KYUSt_chr4.13386 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSSSSSPLHVVICPWLAFGHLLPCLDLAERLASRGHRVSFVSTPRNIARLPPVRQAVAPLIDFVALPLPHVEGLPEGAESTNDVPYEKFELHRKAFDGLAAPFSEFLGAACAEGAKKPDWIIVDVFHHWASASAIEHKVPCAMLVLAAASITATWAANVAKQAASWGGEPEAGTPKFEVERRKLARTLRESGMSIAERVSLTLQRCNLVVIRSCLEWEPESFPQLATLGGKPVVPLGLLPPSPEGGRGVSKDGEDATVRWLDTQPAKSVVYVALGSEVPLRTEQVHELALGLELAGTRFLWALRKPSGVPDAVVLPPGFEERTHGRGLVVTGWVPQISVLAHDAVGAFLTHCGWNSTIEGLLFGHPLIMLPIFGDQGPNARLMEGRQVGVQVRRNENDGSFDRDGVAAAVRAVAVEEESRTIFVANAKKMQEIVADSQVHERCIDGFIQRLRSYKE >cds.KYUSt_chr1.39144 pep primary_assembly:MPB_Lper_Kyuss_1697:1:239325487:239326029:-1 gene:KYUSg_chr1.39144 transcript:KYUSt_chr1.39144 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYLSCTLAKTPGGKGARVILPDGRVRQVTLPATAAELMLDAPGHFIAETRAARVGARLAALSADEDLEMGAVYATFPMKRLGTPLAAADMARLAAAATREARRSAKVSAVVAAPPEAATVAAVSLVPEETPSPRARLDEMVDDAVAAEIGVLKHRLSSARSRRPTLETIHEENYMLRR >cds.KYUSt_chr4.27978 pep primary_assembly:MPB_Lper_Kyuss_1697:4:175811449:175814594:-1 gene:KYUSg_chr4.27978 transcript:KYUSt_chr4.27978 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNRTEDLDMAQLKKNSTEADKAANNGKSIPTDIDSKKKYEICGVGREKIIQFVDFLMTRNYSGETCLHEAIRWDRKELVTSLIWINQQVCKGDITRPSLVKIVDYGGVSPLYLATMLCRTDIVLSLTKDDHNVLASYAGPGGRTALHAAILLKNKKLIKQLLKWNLDLKSEKDKCGSTPLHLLASVEGLDGITELLVPRFPAYHPHSNGPCDFLKKDSDGMLPIHVAASNGRLEMIKRLLKACHHCMCSRNASGSSFLHIAVEKKWLGVDKHMAAKLDKENLSDKMTKFAGPMAVCAIFMLNISVAAFFSIAKSYLPSADPNAAAGAVSKSKSNAARAFKALIISDIIAFSSAALTAYCGTFAGLSVMDRPTRLLYLRIGGTALQIASMAVVTVFVLAVYLAFGPVDPVLPTVAGVLGLVALSPQWTPLFFMILHAWTLIYSIPQKRFAVQLLAVSARDALTGSNAGHLAEDDLEVALSDELLGNKMAGVERMLASSPATGSKRQRLKNSIVLLQQSKEAVADIMDRISAAGEV >cds.KYUSt_chr3.14528 pep primary_assembly:MPB_Lper_Kyuss_1697:3:88395305:88401951:-1 gene:KYUSg_chr3.14528 transcript:KYUSt_chr3.14528 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRGASSSSAAGGGGGAAAFATRVLLLLTLLPLALAAFAFALQWRGGMRDPTGAAWPADTQRFPGMENSPLGSSSQGGGGSYFAVSSASASSAAADCAEILGRSASSHGISLYRGWSFDSEAAITPKICITGSTSASLHQILPWLYYHKAIGVSHFILFVEGEAAKPAVTSVLESIRGVKIIFRTKELKEQQDRSRIWNETWLSGFFYKPCNYELFVKQSLNMEMAIVMAREAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSVERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHMRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFVIASTATEQEMRNWYHEHVVWTDKDTNLKLLRKGVLTRIYAPMAIIRGLKESGIFTAAVTSAKAHPKVKSSNIGLENKESIHTNVTAARSTTLKEGGNDNSHASARKILEMIDVQEEAMPPLSPPGFLELIESALS >cds.KYUSt_chr3.24985 pep primary_assembly:MPB_Lper_Kyuss_1697:3:155056491:155059518:-1 gene:KYUSg_chr3.24985 transcript:KYUSt_chr3.24985 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGLSSSSGSEGFVCIINNNNNSSSNGNLNMRDLDMNQPASGGEEEDFLMGSVEEDEEDMRAAGGPRRPKKLRLSKEQSRLLEESFRLNHTLSPKQKEALAIKLKLRPRQVEVWFQNRRARTKLKHTEMECEYLKRCFGSLTEENRRLQREVEELRAMRMAPPTVLSPHTRQPLPASALTMCPRCERITAATSPRVTRPAASPFHPRRPSPAF >cds.KYUSt_chr5.40890 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258143208:258147636:-1 gene:KYUSg_chr5.40890 transcript:KYUSt_chr5.40890 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGGASPARPAAGSFAPAPAPTAAAIGAPPRPQENLRDPAAQAEGPDPGNAAARKTAWNVPPPPPAPAGGGIIGGEESWPALVDAAPGRAGPNSASSDSLRSLSDGSAPSAPEDLIAPSVPLQPVSNPISTSPSPSPASTSPPPPSAPTTAPSLQNGSPSQPDLVSGHSGHGGNNELVSGGNESSRGRSTGNNSSDENSSSGGDGNWNDGGLGGGSNLNSSVHSGGINLNSSVHSGGSSLSSSVHSGGMGGSGIDSSRRVLGSNNWNGGGRGGGGSNNDNGNGSGDWGNRNSGGRGGGRWNNNGRNDSGSSNGFGGRGGRNRRDHDRGGNFSPRNYSRAMPVQQQQQQTGFYQPGAFQRPPPPPPATHFMMPPPYGSYVPPFGYPGDVQAFPYYLTPVEQQFQNMHLVQQSMQPFGVQQDQQSLQNDIRHQIEHYFSTDNLCHDTYLRERMDDQGWVPIDLIASFPRCSRFTMLGVDTNYILDSLRGSELLELQLYVGCRCGNSILKERMRYQRSNTTVPASIWEAPVEQL >cds.KYUSt_chr1.32987 pep primary_assembly:MPB_Lper_Kyuss_1697:1:200236483:200238616:-1 gene:KYUSg_chr1.32987 transcript:KYUSt_chr1.32987 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKREFEPSANDHEAGSSRQVAPATFAMGPPATPRRDRIYVTVAVAQMFRGRRRPNAVGGRAPPPRPAPKPGSGSGAAHPGLRPRPRRGDPEAPRALPADLQQDPAYGDASPNRDLWFEVEHDAHRHTCFTSATARPRAQPCAPQGGLAAAPAASTSASTPRPRTSPVPRAEEDDPEPQAALAASRERAISTSWPNGRTSPRRCAPPALEEAARKAREDARAEAWAFLEPARRRGGGNASGGAPEEEERRAARPAEEERSATLRLRAELQAAAEEQLRVYFERSISQSVEMSSQAIEKNREGAEVYHGAALCAEKAVELLAETNMPLGLLPLADIEEVGYNRATGFVWLRQKKALTHTFKQIGRQVSYAAEVTAVVEDRKMKRMTGVKSKEMLIWITLCDMYIDKDDPTKITFKTPTGLGRTFPVSAFGKEDDGNAKAPAAAVADGQAAVAK >cds.KYUSt_contig_973.209 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:1935566:1940664:1 gene:KYUSg_contig_973.209 transcript:KYUSt_contig_973.209 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYGPAAPSPTPSHQSLVSHLPSPPPARSAGVFTLSPSLRHVDGVFSQAVLDLWSQGQGRAPIVVAVLRSSNSSRRKSPPKISAKVVIRNSSAVSRAHSVVASSYGDCATTSAETICSFEPAAGSGVAVLAGSAAELLWALLPERGAGREQRRQVDLHLGPYHPRQSPQDGDGGVLAIQHTAGNEQLEVCMTLSRSCA >cds.KYUSt_contig_915.447 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:2906626:2907699:-1 gene:KYUSg_contig_915.447 transcript:KYUSt_contig_915.447 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSDDGLDFLRHPPPPHAKLAQGKVDQGQAREGETMAVAAAAEAAAIQSAASFSPTSNPNQNFSVKEGYTDVAELFPVPEEDELDEEPAVDKAALVREARSKAAKAKARKTCELKLRAAAGTVDDAFVLSDSCSDDNKDITNSGDDDGAVLESEIATRKHKSRAKPLKKRVYYDAMKEMCEGMKGQGFILTSQPF >cds.KYUSt_chr4.11710 pep primary_assembly:MPB_Lper_Kyuss_1697:4:71212355:71214694:-1 gene:KYUSg_chr4.11710 transcript:KYUSt_chr4.11710 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPAQPPPPPPYESPSERRRAHRESRRGTRHVRKEGSPGIQSRYGKGEAMGAAINPHLTGNLDRKILKPIERWGLVPGSESDRTRLEAREMAGRKVSSTAGLNNGGCKKDSGVQAPGRDGAAKMGQAVRGGTTSGDRQTEKMRAATSPVGLLMAPPGGGGEKGGLDERPTHPKPSGAAAVASLLRQRALLGGDRAEATDGYWDDGEAMEEPGFRQGAEAQIGGIVDMEDDVYLEFDEEEEVKKDPGEAVTWQLMARYMATFKPNTKALFTKLAEEAWHLRTGLDYAEKGKNYYMITLFSKGDYDFVKRGGPWIFKQHALIVKDFDNSVQPSAIKLDAVPVWVRIYDVPFGKQDETWGMRYGGGLGEALEVDVPDSELKKQEFLRVRVNLPYDRRLQTQLTTGVKGKPREVKVFKLKYERVPYYCSHCGFMGHKKDDCEKRRIGTPSLDYDAHELRCSPFKKFEHRSHSIPPAGHPSARRGISFSSYGSAESHKRFGQEHGHEARRSSLTPDPTQSRAGSVDHDMPPLVDDIVPGVIDGYGRIIGQATSAPGPVLDMHRVVDADGFEGKEVAAPPEEELNLVAKVDAMQMEANRMRKGQEGQVQKVLEDRDASQPIVQFPEEDNPCEGHGTDNFQITMTDDMMMHMQQLQAKATSTISGGRVLSSKEMIPAMRNLSNLQVSFGSASDTPMPPADSVLGKRGAEESEVQGERLELSLGLNYGAKEDGGMLKKGKKQAVEQDLSAARSVELVYKRNKKQTATGHEPSGKLARPNVWSRQGQ >cds.KYUSt_chr2.18872 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118691918:118693205:-1 gene:KYUSg_chr2.18872 transcript:KYUSt_chr2.18872 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLATMGEIRRLQRADGPAAVLAIGTANPPNCVSQDEYPDFYFRVTKSEHLTDLKHKLKTMCQNTSTEKRFFHHTEELLNAHPHFLDRGQPSLDDRLEIAAAAAPELAASAAAKAIAEWGRPATDITHLIVSTNSGAHAPGADLRLASLLGLRASVQRTMLHLNGCSAGSASLRLAKDLAENNRGARVLVACVELTIVAFRGPEDACPHTLIGQATFGDGAGAVVVGADAVEPVERPLFEMVSASQTVVPGTDHVLTMRLTEGGLDGHLLTRELIPIAAENIELCLSGAFGQIGVGVEWNDLFWAVHPGMRAILDHIDRALALEPGKLAASRTVLREYGNMLGATLIFVLDEQRRRMEEDGEGGEWGVMMGFGLGFTIETMVLHATSNLKKN >cds.KYUSt_chr6.13993 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87544026:87545228:1 gene:KYUSg_chr6.13993 transcript:KYUSt_chr6.13993 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGVPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRRWERELASYDGPPPPPPRNNAAGRRRWWSAPERTAANVLAHIEGGNFPVLTMPPPSRASASHRRGNVWQPRRMAASSSSSGSAPRSSFAPVKREEATSPSTPVRVKKEPASPPPTRGRSSGALVIRDQPSRCRAEEEGGEKGGRRGRRRKQARRGGGEARGGRRRGGGDRRVAQRPGARRQRPPEDAALAWSERDREREEAERQRRLLDPAAARQLAARAAPTAADDAARYRRPATPPSGVVVPVVDLESSDDEWYKPSPGWGDAGRAAAAEPRRPKVPKVEDDGSDDGADDYTVFYRRLGM >cds.KYUSt_chr5.27466 pep primary_assembly:MPB_Lper_Kyuss_1697:5:173898853:173909505:-1 gene:KYUSg_chr5.27466 transcript:KYUSt_chr5.27466 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARLRVRPPPAPDAARAASGDAELRRLRAAPVPICLPPGAAGLHSPLPLAAPPTETRPTASAASPDHILRRILSLLPAKDGARTTVLSSRWRCLWHSAPLVLADTHLLPGGDAGVRPARAGAASRAVTNAVSAALEAHPGPFPFVSLSCSFIAGADRPVLARWFQHLATKGVDVLVFVNRPWPLPGLRLPSSLFSCASLRKLWIGAWVFPDTTTLPRGAAFPNLRELVLGCAVMEDKDLEFVLAVSPVLEILAVAGSQNPVHARLASPSLRHGMFCLSILDEVAVVDAPSIEGLFLWHNKTAMSKTSTTVKIGHAPKLSLLGYLEPGVHTLQIGETIIKAGTKASTSTTVSSVQILALKLQFGVCSEVKMLPSFLRCFPSVKTLIVQSEETLEPTSKLSVKSWKGTGPIECVQSHLKTLLFRELQGNRNEFKFLTFIAENAQKLEKMYIEVKMGLSRTTKEVMATKLRALKSANWASRDCEMLFRTSKVLRGGLPAPPLIFLPIKIVPKGLSCAAANDVVLTMVMHTGTVHLRDQREVAQVIRDGGEQRRPQRTVQQTGHALQMAVKTTCLGEWCACQATASDSDTTRTINLHGRHVPLLELLPLSQWN >cds.KYUSt_chr6.2739 pep primary_assembly:MPB_Lper_Kyuss_1697:6:16057991:16058423:1 gene:KYUSg_chr6.2739 transcript:KYUSt_chr6.2739 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKALPALVLLALVCGELVIGGHAQTTYIGLPRGGMLPPPYGRGGMQPPPSPSEYTAPVSASVNDGPGRLPSVKEDKEYMIHRVPVHDRARPVPVPPTAN >cds.KYUSt_chr2.1435 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8587989:8589059:1 gene:KYUSg_chr2.1435 transcript:KYUSt_chr2.1435 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVQTFAWRILRRAIPSGSRAGRNDCLFNRKPGSPLQIKHAAEAIIQGQTLQDRVTNCITPNEKHNEQQEQDHHLPAPGCTIKSDMLIAGSKVFVDASWKTSKLSGAAAQPATGIGVFMQFNISGVDHNLMVQASTPTASSPLQAEAKALHFAALIADSVQLSRPTFMTDSLLLAKAAAVRCSSLNHLNWDIRASIAEFFHLSTRLEATIYHISRDINRVAHDCAQQAFMRSQAQPEFSCINLAHRERSCPVLSLLQNFSCQGFVISYVLCT >cds.KYUSt_chr6.30178 pep primary_assembly:MPB_Lper_Kyuss_1697:6:191289302:191289505:-1 gene:KYUSg_chr6.30178 transcript:KYUSt_chr6.30178 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKSSWPELVGILATLAATEIAHERPDVAVEVLPPGAPLPPGYNAERVRVFIDNSGIVAQTPVIG >cds.KYUSt_chr7.32608 pep primary_assembly:MPB_Lper_Kyuss_1697:7:203170373:203171757:1 gene:KYUSg_chr7.32608 transcript:KYUSt_chr7.32608 gene_biotype:protein_coding transcript_biotype:protein_coding MGETQEAAASYSHYSLWKKVLTYTLCPLLTLPLIYILLYSAPSFISYTNLLATFQAHGTSTPPPLQPPQVWVQCDYGDGKWVWDASVAGPRYDSEKCEMKSTEKCVTNGKPDNGYLHWRWQPAGCNLSALDPAEFLRALRGKHLAFVGDSTARNQAEALVCFLSTVSRPETAHRYEERLGRKFWRWVFPAPHNVNISTYWSPFLVRAEGKSEDYGMTQDTVFLDALTEPWTADVDKMDVMVISAGHWFPHPAVYYDDGVIAGVFSRPEVNETDIGGGFLGVYREVMRRTLGFVNAKSSGGDKLVVVATIAPSHFDPRYAWNHRDACSRAKPFEEGEAEVASTEAELRKVVVEEAAAVAVQSRRRGLRLEVLDVTRMASMRPDGHPGAYITKEAFAGRPVPETVMNDCLHWCAPGPVDSFNDILMQMVRASG >cds.KYUSt_chr4.50340 pep primary_assembly:MPB_Lper_Kyuss_1697:4:311859371:311865377:1 gene:KYUSg_chr4.50340 transcript:KYUSt_chr4.50340 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRTDRGGDPELVRNSQRRRGKPVELVDEVIALDEAWRKTQFDLDKIRQELNKTSKEIGKLKAKKLDATELIQSTEEIKTRLAAKEAEVQEAKTTLDAKLVTIGNIVHDSVPVSDDEANNAIVRTWGEKRQEGNLKNHVDLCLMLDIVALEKGADVAGGRGYFLKGDGVLLNQALINLGLTFLRIRGFTPMQTPFFMRKEIMGKCAQLAQFDEELYKVTGDGEDKYLIATSEQPLCAYHLGDRIYPADLPIRYAGFSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCATTPNDNESWEMHEQMIKNSEDFYQEIGLPYQVVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDYQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENYQKENGVEVPKALQPFMCGIDFLPFKRPLDSKQAADSKTKSKPKGDVYKSHSYMKSIAVVLVG >cds.KYUSt_chr5.19000 pep primary_assembly:MPB_Lper_Kyuss_1697:5:122981374:122984615:-1 gene:KYUSg_chr5.19000 transcript:KYUSt_chr5.19000 gene_biotype:protein_coding transcript_biotype:protein_coding MISWEDPLGGKFLQIYEESMEPRKTEEPLDADDWLQTMENNLEVAGVEATEKVLFATHYLSGPARAWWTSARAMNAGQMMNWEDFKLKFSKYHVPQGLIKKMRDEFRELKQGSMSVVEYRDRFLTLSRYAPDETNTNEKRKERFLNGLHDEKQTVLVNIPFADLEALVDSAIQMEGKLHQANENHKRRMMPQNGPNNAQKYRGNSSGGFAPRYNKPPAQTYRPSNNNNNHNTNNNNNNGNRTNNNNHPNGNNNNPNTAQMTGSNTVPVNPKDKSTIICYECGVPEAEEVPALDLLDDFWFFSNSLGGRDDGKGGTRRPPLLPNSPSRPPRRRPGGRPGRLDLAAHRIPCATSRPLQKQCSESAQFGARNVPDPMPSIQQMPQSVRCSVLIPDGAARTRRRSRWPARNLQFSSDIIVEVRDASSAVELLLVDLNGRRTDVKQREMLLLPRSESSSNEMLLCGRLRSRIHPHMLDLSSRTAKLIEPKNGYDFVIQVYVKLTSFAITK >cds.KYUSt_chr6.1013 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6455552:6456619:1 gene:KYUSg_chr6.1013 transcript:KYUSt_chr6.1013 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGDGTKPSRSAIVADMASGHHLLTIHGYSGTKSIPTGDCAKSLPFTIGGHLWRIDYYPNGKKSDVEEYISLGLILDEDVAAEVNAKWDICFAGEEEEAKQVASMASESVFNFPSRSCSLCTTFVKREALESSEHLRNDSFTIRCDIVVVHGCRAQEHAVAFVSVPPRNLHRHLGELLKTEKGADVVFEVGGETVAAHRCVLAARSSVFSAELFGPMKEGNAASGVIVRVEDMEMVVFKALLHFAYTDSLPEMQKEDEDVTYQHLLVAADKYNMERLKLICEGKLCEYIDVGTVATILALADQHHCDGLKKACLNFLAAPATLKAVMATDAFQHLSRSCPSLMVELMAMSLQH >cds.KYUSt_chr3.212 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1251808:1254665:1 gene:KYUSg_chr3.212 transcript:KYUSt_chr3.212 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGMGYRAWYNTHAYDTVRLPCLKPSAARMILREDDIGRGERMEGCAVRGRKEVRRDWIVERVERKEGALFIAHQRQQGIGLNKCQHGWPRGHARRDASLHRLGCRGFRSHGWYRQRYRKRYYRFCGRTGNTIAAVPADVYLVLMAGAVLPLSALVLQSCQDQMISSDSGILYSCYNKTHTSPLAFVILHGPPGSFRFGIQHERTTRFFLCRPKIKRRSHTPTPPPRLPSPPACAVPTREMQMPRKRKIVAEEYAVDKPVYLVVEHEVKEPSHSIVIAGTTTPPVMVPLRYAKRGMSFAAVDSRWIVGIGGDYRCPFSIYDLTTSKESGGPRLLKNKVNPILIPHNGMLYILSSRPKVDMGADFLPWFEMINFRNGSLPQFGGCASYDLPPPPIFPYHINPLEYLNPPDVRVAAYAVVDSHILLSVYYQQQHHEEEEEQEQEKGTCAFDMDKKVWDMVYDKSLPFVGQAIPLGNNLFVARSKERSGGTAAAVYYMAVFPAKDTSTGKSELSIVELPLDLPKGRIVPGQHLCALGMGSFFSFHVRSVDSSPDTVFEKARVIQRTYSLVKADDTSANPKVVKGERRSFELLDQSYFFARPAPVVAAFTM >cds.KYUSt_chr7.13776 pep primary_assembly:MPB_Lper_Kyuss_1697:7:85027591:85033367:1 gene:KYUSg_chr7.13776 transcript:KYUSt_chr7.13776 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPLGAIIGRHFSAGAAGAGGGGGDDAATGVIRHDRKCRDLPFLALFAAFWVAMIVNSSFGFNQGNPLRLTYGLDYKGNICGSKHADPDLSELDVRYWMNPNQVYQSGLKDSKIDLADAKSVCLMECPYPAEDGLNFVCDYPEGDIRLSVDDWIDRDYDYFEYLTPDMRNTSLQLQGPCYPIIFPSINVYWSCQFIARPSNVSLKHWQRMGGASIDENMLIDKTIHNTINYKSSVLKRYVADIRKSWPVLIVCGGLLPLFLALIWLAMIRYFVAGMPWITVILFNALVISVTLFFYIKAGWIGNDPLTVVIGPSDPYVSIGGREITHLRFAAVLMTVVMIIALLTSIAIVRRILKATSVLKVAAKVIGEVKELIIFPVVPYFALAIIYMFWFAATLYLFSSGQVLQNDCKANCCTFDLKLGKVNCDNCCGYSVHYTPHIGIAIFFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEMSHDITFHTVVSSLKRLLRYSLGSVALGSLIVSIVEWVQSILKSLRRRLKTVDSAGESSFQKTVSSSSNCCLGCIDWTIKSVNRNAYIVIATTGKGFCKASELATGLIMNNILRIGQVNVIGDVILFLGKLCVSLFSALFAFLMLDNHKYRSAHNKISSPLFPVLLCWALGYVVAQLFFGVVEVSVETIIMSFCQDAEEHDGEAQARCAAASSSRHCRSLALVASSLSVSKDSTNVLCSAVFSSGVGASGSSEDHQGHQGSQLVGYS >cds.KYUSt_chr4.28035 pep primary_assembly:MPB_Lper_Kyuss_1697:4:176092067:176096482:1 gene:KYUSg_chr4.28035 transcript:KYUSt_chr4.28035 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPLGSPHLHDIGAKNPQILENLKNGHMLRHRILDVREDALRWEVRECERRADEQSQLLAELLQLIGMPENWLMLSGTIFVSSYILQSVLILLSFQVASGEDLVECNLHLVYFQIDYCEHEAQLVDNGTTLSGFCKSSRYGSTDDDYANLDGTTPEH >cds.KYUSt_chr1.30086 pep primary_assembly:MPB_Lper_Kyuss_1697:1:182021614:182025124:1 gene:KYUSg_chr1.30086 transcript:KYUSt_chr1.30086 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTRHAHQLPADPLPPPPPLQTRTTTGNGNGNGNGNGNGNSNGVQSHRRMDLEHEVAELKQQLSNEQTVHDILERALHPTSTSARSVVLNIPAFIPSKAKELLAELVLVEEEITRLESQISTMKGGLAAAQHQRASAMGTTASYPYPPSVYTSNGNGGGGTTGKGTTPHAPTPTSVLTRQPADQVAPEIKSMFFISQAMNAEYLKRHIAADDKQAKSPKDQHIRGSGAAAAVSPKFNNVFALPPRTSLEKQSERAAEKPSKTIQEPSPPTTATAIKRDEQTKIQPNKLSERIVKCLAVIFIRLLRSSRAAEMEKSGNLARNAQGSFRIDAALNVAAGAAKEKERGQQDHYGIFAVQDSIVRDIGPYKNLVRFTSSAFDLRGFSTSPLLTKLREMLEALQQVDLRFLTHQQKLAFWLNIYNTCIMHGILQHGLPSNSEKLLALKNKATINVSGQKFNALVIENFILRQPSSMKEELWKCDIDVEEQHVRSLYGLNSSEPNILFALCCGIRSSPALRIYKADRVMMDLEKAKLDYLQASLVVTSTRKLMIPSLIHSNMHDFAKDMESLLRWICDQLPTSWSLRKSMVDCLRGQQGHLKVDDVVEVIPYDYEFQYLLPM >cds.KYUSt_chr4.35196 pep primary_assembly:MPB_Lper_Kyuss_1697:4:216272507:216272713:-1 gene:KYUSg_chr4.35196 transcript:KYUSt_chr4.35196 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPSGKRNLLAAEVVRGGRLGSGDYALISWSSESSRCATRYLREGRTSGSGDWSSAGGADAGITLCA >cds.KYUSt_chr4.4052 pep primary_assembly:MPB_Lper_Kyuss_1697:4:23152598:23158548:1 gene:KYUSg_chr4.4052 transcript:KYUSt_chr4.4052 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIATAEPSASGVDYSLLIMEAAMAVKMAVKTAVEMAPGAIPRPGRVPEQRLLSPELDFRDGGGSGWFSLFPSNLSRFLGLKKRLPRHTIIQAFRRKVAMAAAAPSRAEVLSLFRAFLRTSRKFSDYNIRGYTRRLAADAFRENRSLADMPAAATAFADMKQQLEVWKRQALVYSLYAPKIKSVVEMKV >cds.KYUSt_chr3.8065 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46498928:46539302:1 gene:KYUSg_chr3.8065 transcript:KYUSt_chr3.8065 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRDVEEVIQKLSSDRARPRDEGVKLLGTWLQGDRAPTFCRLLARNTARAKPGQLSSSATWPFLTMALAKCVLTDIAAKKRGPIKSAAAGMLRVAIRCAEDVRLSGHSLPLISVAKQLFSHILEVIKDAPNFQLEYSPILRQLLTVKEYRYQMKPRTYSSLVVLYMKKVATAFDANFSNQAGSKEESFRCTWTLHVLLENPPGDYPDTMREEVLNGFCTIFSHIRRQDGKLTSKLMECVNTFLLMDGPNLGDKSVEIHNAVQDFILHSWLTTRDPQLKSLFITYAKIQLKLAKAISEVLEKLYDVIIKELDQYGSTGAGLLWCEASRDAKAVSLRCFQEELMDLSATVFYLVHKCTPKRLSREKRLKTEHVTTVIMDGLLKGSPCWSGTMCFLVHKYGYRVDKSSLISWFVASCKSLKSVLSNANAIRFQDSLLWLIRTLKEFSAMLIVNAREDSHCLLTTSEMSTVEGYWQDIWNLLIHALPLFSTTALVSDSALSLLGEMIMRDQVHTSFVSEDTWDLQTFKQSPSSSALYFITCYFSRIGLQGDLSNSIFVRKNLLRSILELFHSKVRFSLLNEQNVVMIPEAIFSVCAGFSSSVINSADTSQLFGECKNFTKLLLEDENWVIKDELGYCVEALSEISTESYTKVMADKCNRTHLPRHIQQPLLLELMEFIKGLMASSEQFEKVDLCTLAYLCSLFCNLIYCALLSRAIEEKSSFLQEVFDYVTNIIKHMISAIMKKHDELSHGLTSVGSTFETTGSVLSSFQTFLSAPIFRLQSVSNKISSVLIKDVIEMLDELLVAFSQLFSCLCSPVNTSDSEHTSKMLPISSVNLSEDLNPLDHKSVVDMDFDVTDSGEVDSITASVSGSIGISSRPLEWKLELVCVISAFFSVSPPHTWEVLYNLLEKESDVKVCQDILLNLCQNISASSKSLSSVVHLIFDTREKYACSLLGSADCLTHLHALLRSMIAIHDIGQNADGKSQACNVVSKENQDILLDLVIKGTEISITDWFFRIKLIDCISHFIYLFPDIAQDMTGHLLNMLHDTDYRVRLYLARKIVVLFQTWEGHNELFNDVCSNIGVQMVQFSNKIPVKSKEVLAVGPQSVPVIETVLITLAHLSVHSEEVEVECAFMISAVAAIEPSQRELAYALFDSISRKLSYASRSKYLDQLIGPILFRWVACEVSLVSLLEVQEMFGFNSAKPKNFIEHICSWLLPFLILRGDAADLNWISKVLLQPLSAVIKGYFVPIFGLSIAARCGTGPEKDLAETVLYESVLQFGEISELERDDLIRKHMVSIVGFLLSVSSSACQPEFPYFPREIIAFSLKTVVDGFVDTTDDDLADTVVIDKINIFRADRVFKFLLAIHQQVTDAGHPRHMSHRLCAIEVLIDVLGHRVVHYSTCFYIICIVGNYIWRQPLQGQCCNILSKLLAAFNANSSKETVAVLGMQLQLLVPKLVTCCLPNDKEGGHANGDSSKVLSLLRQLTVDADPLLYDYIRDLEPLPDLDCLKDIHVFHASLSDSYASRDQFLKFVNRAPHLPPELFLLSLRTHHKKLLLGEIICRGDVSVGNADTVSCWRSDPDVVSAVWTLVDLCSSSSVANEASSVLADFISRAGISDAHQVIFHVPNLTQKHPLQLQSGCTSKEDKLCSDYSISDDILVGLLKLLKTYLSDKSVEIIDVTSRTLRGILSTSKGLNSLQCLDSLDRSLLMVHSRGINIQLVEQTLLGMDKYSTVSLEESEIWQTNGRPYEQWLCTLVSSLICHCDDIILRLCRSLVFLKVEAAELLLASALVNIAGNMDSNNSICGLISSKVEKMIFSDSNHLMKSVKLFLDALNVIRSFYVTEKARDPPSNILKVCSLLQSVRDGRSARSKSRSPAATPSSSWKKVYWLSVDYLVAARAAKRCSCDFATLMYVELWCEEQFNQLALGPPDFSQQESLPPHIGLLVAAFTHINEPDSIYGITLANEITSQIIRYEHEGDWSSALEYYDLLVRSTQKENLGDFAGTVLPKSSAVSSKADDKILNWKTHKGLMRSLQKTGCSHVLDFYSQGLTNQNACLQQDSEFIDIQYEAAWRAGNWDFSFFVPYSSQASSRSRNYCLFNESLHSCLRALENGDFEQFHGKLSRSKMDLVLALSNASKESTKHIHSTVLKLQMLDHLSVVWELRWKLGLNRTPKSYAGTEEFSLVPAVPASNQLELLNKEWNFIMCQTERNLDLFEPFLAFRRALLKILGCGEHLVEHLFQSASALRKGSRFSLAAASLYELKELCCHRDQQTMPNNYFLSKLEEAKLLRAQGQHDMAIGLGKYILQNHTDKKDISDVYRLVGKWLAETRSSNSRTIIEDYLRHSVDLTEFHKNSDKKYMSRQCRTYFHLAHYTDNLFKSYEERLSSNEWQAALRLRKYKTRELETLMKRLKSSSKGEKTDYTAKIQELQKQLTLDTEEAQKIQDDRDRFLSLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKSMIKTTKEVQTYKFIPLVYQIASRLGSSKDAQGSTNFQ >cds.KYUSt_chr1.9793 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59858540:59864771:1 gene:KYUSg_chr1.9793 transcript:KYUSt_chr1.9793 gene_biotype:protein_coding transcript_biotype:protein_coding MESAHREIAIDSPRDDAASPSTSRRDNSDSSDRLPRIPESSSETTTNASNSQNAPGERRDDSRARRQQSPLNSICWISVELVVTVSQIIAAICVLALSRNEHPHAPLFEWVIGYTVGCIATLPLLYWRYLHRNRPTTGQEPASQNFPPNSIPDSNSHTASSAPRVSEAGFVTDTNGVTQNNALTRNPRAQAYADHFRMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISVMSFREDQNQNKGATSEAINALRTYKFKTKKARTGEGNEVGGGVVAAGTDKERLVSAEDAICCICLARYLNNDELRELPCTHFFHKDCVDKWLKINALCPLCKAEIDSGPTTAPSIGFGRRHSDNRVGLALKKLFEDGVVKREDLFITSKLWSGDHAPEDVPEAFGTTLKDLQIDYIDLFLIHGPIRIKKGTTVSPENFLPPDIPATWGAMEKLYDSGKARAIGVSNFSRKKLVDLLAVARVPPAVNQVECHLIWQQDNLRKLCQSRGVHFSAFSPLGSHGSPAVNGANLLSDPIVISVAKKLEKTTAQVALRWGLQMGQSVLPKSANEARIKENFDIFNWSISEDLMAKFSEIKQACLNSFLSTLHILLVHV >cds.KYUSt_chr3.6028 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34441118:34446391:-1 gene:KYUSg_chr3.6028 transcript:KYUSt_chr3.6028 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKGRVDREPRPATPFLDPGDPDYWQLCSGGQKVTAFKLAKALVRTVRWHIALTALYALIYTISIYVGPYLINSLVQYLNGDERYASKGKLIVVIFIVAKVFECLSQRHWFFRVEQTEIRARSALVSVVYKKGISLSSTSRQSRTSGEMINLISVDADRVGYFSSYMHDLWLVLIQVAMALFILYSTLGVASFAALGATVLVMLANVPPMQMQEKFQRKLMECKDVRMKATSEILRNMRILKLQGWEMKFLSKIIDLRNKEESCLKKYLYTCTVATFVFWGGPTFVAVVTFGTCMLLGIPLESGKVLSALATFRVLQKPIYNLPDAISVLIQTKVSLDRIASFLCLEELPMDAVLRLPSGKSDVAIEVSNGFFSWDASSEVPTLNDLNFQARQGTRVAVCGTVGSGKSCLLSCILGEMPKLSGEVKTCGAMAYVSQSAWIQSGKIQDNILFGKEMDSEKYDRVLESCSLKKDLEILPFGDETVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGALGSKTVVYVTHQIEFLPAADLILVMKGGRIAQAGKYNEILGSGEELMEMVGAHQGALAALDVIDIANGGSEAFSSSDAASFSKSLSTAEGKDKQNGKEDVEKVQSGQLVQEEEREKGRVGFWVYWKYLTLAYGGALIPFVLIAQTLFQVLQIASNYWMAWACPVSEDVEPPVSMSTLIYVFVALAGASSLCTLVRALFLVTASYKTATMLFAKMHVSIFRAPMSFFDSTPSGRILNRASNDQSEVDTSIANKMGSVAFAIIQLVGIIAVMSQVAWQVFVVFVPVIIACFWYQLKYAPQLPFVLKGLTVTFPGAMKTGIVGRTGSGKSTLIQALFRIVDPTIGHILVDGVDISTIGLHDLRSRLSIIPQDPTMFEGTIRSNLDPLGEYNDNQIWESDSFAPFQALDNCQLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILKRTKILVLDEATASVDTATDNLIQKTLRENFSEATVITIAHRITSVLGSDMVLLLDNGVALELDTPAKLLEDKSSLFSKLVEEYTMRATHT >cds.KYUSt_chr1.32816 pep primary_assembly:MPB_Lper_Kyuss_1697:1:199350061:199351922:-1 gene:KYUSg_chr1.32816 transcript:KYUSt_chr1.32816 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKAELEQIALPAAQPPLADVRAVDLSAAPGPDRAAAARTLVAACEEQGFFRVTGHGVPQELVRAVEVAAAGFFALPQAEKEGATGEPVGYGCKQIGDGGDLGWIEYLLLCLTSAGTVPAASFPFSTLPCAAAAAAAASLSEPCSPLRELLEEYAAAVRRVACGLLELMAEGLGIEPADAISRLVADPESDNMLRVNHYPPAPRPEQQAGRLLTGFGEHTDPQIISVLRSNGTTGLEIAGRDGAWASVPPDADSFFINVGDALQVLTNGRFRSVKHRVVVSSERSRVSMIFLGGPPPGARLAPLPQLLGDGGGRSRYREFTGAGSSTTASAPSRTSRLYRPV >cds.KYUSt_chr7.23504 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146407014:146409597:-1 gene:KYUSg_chr7.23504 transcript:KYUSt_chr7.23504 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRQSSAAAVRGAAPDTHRPHQMEDDRVEQQGTEVVQIHSAWMKRIARQCTTRRVGAAESRELLAVPSAVADLATVHRATVEAVEDFQRREAASGPFAAEEPTSSDSSAASTPDNAGSLRTSEPPAHGVLDGSDMFRLDLFREIDLGSYYMSLAEALLMDPPPLATANSSSWDNGDCGDGAADFSLWSY >cds.KYUSt_chr5.42294 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266558841:266561011:1 gene:KYUSg_chr5.42294 transcript:KYUSt_chr5.42294 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAMPDDILLEILFRLKHAPAILFRCATACKRWRGLVADPAFLRRCWPDQDASSSMAGFFTQELEATGMFNVTTPCFTPTPRSVLGPGRRTLRSFMTAAVPAGLFDRAVPLASRHGILLVRLDTYGIRGYWNSTSTIIDLAVCNLLTGVCHILPALKFGSDFERCMWRGYAILTGTDCPPSSTDEPSNSSSLFKVVIIGYDQNYAGTCTLHTFSSDEASWRVGTHGFDSLAQPNMHGSSCRAVVHRGTAHWLFSRYGEPYVGVLDLNMRTGQVTATTLPIAMCFHPDSHLFINLAARTTTLSMFCMQKRGTQLEIWEQREEQPNEDRGSAWICTSTVELKQPWEKTENEARELYVLGDKCGTLLVNDTIQGVYTADLQTGVMEEVLDWPHRSYINPMETVPLELDWPSIFASRLDTRFLIDGRHDAFAGRVDAKVGSLISLNRAKDDTFLFLKELARHKLAGKQPMADLFHCSSKRNESLQSFMRL >cds.KYUSt_chr3.23127 pep primary_assembly:MPB_Lper_Kyuss_1697:3:143197621:143198232:-1 gene:KYUSg_chr3.23127 transcript:KYUSt_chr3.23127 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSSYYLSSSSSSSVSAATASVPGGPSGNAGVDVDQLPTYDPQSDATKKEALDASRANLAHALVHLVPVVVLLCGLLLWSLSTTPVPPAEVGVIVLKKGRLMALKSWPEQSLNGPRTMAAMEDPDPVGKPSHTHGLTSEQDGSTAGNSIKKDGLKK >cds.KYUSt_chr3.38647 pep primary_assembly:MPB_Lper_Kyuss_1697:3:243429240:243435936:1 gene:KYUSg_chr3.38647 transcript:KYUSt_chr3.38647 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFRSLSLICWTLTLNMLLKYIWLLVIVAKEVALAEAFEDLAPGMEDHGGELRPRRYKWLISVLFTFLAKDMVDMVEVIKIEQEDGTAVAGAQEEGGAEKLGRHEEQITSGKVKKTRKQSVSDQQCKRACLDCTKRCARVHGRAASSSSDKVPPATPPSFFKVMMGHFSENMDIPPPFAKTIGDLAGSYVYLEDAFGLRWRVRLCCSLDNGRLSFGHAWKNFVLDHAVAVGEFLVFTHIAKSVFAVQIFAKSACERLYLCEKNKRQSRKRKPREKPSSIGDGTAKASKRSTMGKDKRSKKKQRTDCDLLDDGQVQDGKDQENVADAYTESEKCEGSSFLTGAELSTPLAMMNIDDEITDDIFLTADAYKFEADLCNQDTEAFSVETGMNHLVVAHGQTSGFSFSCAEPSSWNCESSVGVCLENKEMAGLPVTSTDADNDPDNKESPGNELSTFRADKSSCDIDAQVPPSELVIETFKKDGEYSLSPPGDNHNPVERRQATRIHGDFVPEAASAKQDIEQQMQEDPGHNAAEIMPGDTNPGELSELKQGDPAQTVLPRRKKHGRKVLVVEDPCTRHWPVLYLCTPSFSGLVTGWADVHAENGLNEGDTCELELHGSNSNLALRVRVPGSQ >cds.KYUSt_chr2.34225 pep primary_assembly:MPB_Lper_Kyuss_1697:2:211468158:211468805:1 gene:KYUSg_chr2.34225 transcript:KYUSt_chr2.34225 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDGDLCCGCAVSYCEAVGGKVLFRIVCALLIFAVLATAVTLVVIAFVARPAGVAVEDAVLGRLSLTDNSNATATSLAYDVSVAVAVRNRNWLMHAEHVTPLHAELLFAGARFAGVPLASEGSVIRPGHSEVYHAAAAADSAGVPLGSARVTEFISESTAGVFQVELKVMGDIKYPPRHHVHRLKAICPLELALSTATSPATFRKVKCAVFTT >cds.KYUSt_chr2.8039 pep primary_assembly:MPB_Lper_Kyuss_1697:2:50549653:50551036:1 gene:KYUSg_chr2.8039 transcript:KYUSt_chr2.8039 gene_biotype:protein_coding transcript_biotype:protein_coding MITLAKSSSLQDSAASVKPSRLLPTVEPKTFPNSVPEEILSKVRLEESDAFYILELSTSRELSSSLLDKNAAILVCLIDVDGDSLLQRVPAIYGDEPAHGTKPLQSLPFQSGSVDIITFKGPKLQTVKEIWIGLESGSWRLDGLSLKVIHGALNTPTDLEGTLGFSGLQYTFDKLNALLGEDGASVAEARPIAVTDLSGISISDLQEGQLSSARTVESIMEMKEDGLREYADLKQSLLLYDISIVITGFSAFTLASNDGAAYSFLVGGIGGFLYLLLLQRSVDGLPAISSPSEASSSETSLNFSGIRRPWLILSILMVAGAVALKYGAGGDSFELTPTELFVGAAGFLANKVAVLLAAFKPLQSNLENDDGSLD >cds.KYUSt_chr3.11863 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70737001:70739242:-1 gene:KYUSg_chr3.11863 transcript:KYUSt_chr3.11863 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGRADSPSSTKTPPRGGAAGGELYSPSAKSPRAGILSSLLPTGLAASLFERRWAISGAVTVFLFIVATLTVTSTSSSPASFFSFFPSSGSVELVQHQQAAANVSSAPRPPHPGANVPRLAYLVSGSKGDLDRLWRALHALYHPRNLYVVHLDREAPVGERLELARRVANSTVFRRVGNVEVIRRANMVTYRGPTMVANTLHACAMLLRRSRDWDWFINLSASDYPLMTQDDILHAFSALPRNVNFIEHTGYLGWKESQRAKPLIVDPGLYSSQKQDIFYAGPTRREMPTAFKLFTGSAWVGLSRDFAEYVVWGWDNLPRTLLMYYANFISSPEGYFQTVLCNAPRFVPTVANHDLHHIQWDTPPRQHPHALGLADMDRMVRSDAPFARKFPRDDPVLDAIDAHLLGGRGWNGTMFVRGGWCGEEGDCVGHVAATGGEDSWVLWPGLGAERLRRLMDRIVRSEAFPNRQCK >cds.KYUSt_chr1.36883 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225035170:225035400:1 gene:KYUSg_chr1.36883 transcript:KYUSt_chr1.36883 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRLTMDAEEPAPLRPLQARHPRTSIAFNGASARDHIDMPAHLHQPLTYMDTNCIDTKIVFIDSLPFIYAKRLR >cds.KYUSt_chr4.46192 pep primary_assembly:MPB_Lper_Kyuss_1697:4:285429382:285430913:1 gene:KYUSg_chr4.46192 transcript:KYUSt_chr4.46192 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITGKVNYVSEEEQNKYKMKFIENVHVVMRQHQGFGVDEFLLEFGLSDRDAHHIDNWVTLAASMRMKRLVINLSGPSLECKIVPEKYALPLQLLDDIGTIKRLRNLQLSNLSLKPMGDFRGFVNLTMLELQLVDVTEVDLESLLCKCPALERLALNTCGPFMSLRIGHELSRLEHLCLGDGTLVEKLQIEAMNLRTISHSYNVGEIVIRKDSQISEVTADMYIPPRTQVGNGYKDTLQYMFTGLPSTLPCVQKVSLNIWEDIQTLEVPNCASRFMHLRHLTLSMYLDFHWKFDILRLIHLLQAAPFLEHFELNIDQLLLPLYDEDLIPSFPRWPHDHLKTASFQGFVANKDLIALATYILKNAESLQLMSVQTTHECHWMVTEELLRREDLRNVLNYTLYNFHSV >cds.KYUSt_chr3.47275 pep primary_assembly:MPB_Lper_Kyuss_1697:3:296587495:296596300:1 gene:KYUSg_chr3.47275 transcript:KYUSt_chr3.47275 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEAIAQSVGISNLPADVSAALAPDAEYRLREPMQDAIKCTRHAKRTVLTADDVDSALSLGNVESVYGFASDDPLWFKRDLGHMDLFYFDDREVDFKEIIEAPLPKAPLDTGVVAHWLAIKGVQPAIPENPPADDMATRIAMTKSLTEILIRAFCDPHKALTQHYGPVQSSVDKNKSSTDLSASQPPLKKMASDASKNFMGSAAPVAGNMPGCMGGFSAQLPNPSMMQASSSGQMADGIAAAGGIQRDQGGNHHAQRASAVLRQAWKEDQHTGHLLESLHGVFGEDIFSFMQPPEISFFL >cds.KYUSt_chr5.901 pep primary_assembly:MPB_Lper_Kyuss_1697:5:6234722:6235402:1 gene:KYUSg_chr5.901 transcript:KYUSt_chr5.901 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNNVAGGRAYEEEEGEELDPAVEWKQAGEEQDVVEIRLPGFRKEHVRVQVDNYGVLRVTGGRPARGGRWVRFTKDLRLPDGCDADGVRARFEDDRLLITLPIVPAAAGDAASPTTPSPEPLPRTSSFQPPSSVPPPPLPPPPLLEEMKKKEKKKMEGRKARETANAGNGTPPEVTERREVSPNLLTPASRDPISTTELVANMAAAAAVLVGIAVSVWHTMSGSS >cds.KYUSt_chr3.46288 pep primary_assembly:MPB_Lper_Kyuss_1697:3:291238624:291245202:1 gene:KYUSg_chr3.46288 transcript:KYUSt_chr3.46288 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRSHVHALASAPTTIEYRKSKDQDHHNAACYRNDRRRRRALLLPPTRRRWCPRAAVRLPNGERAGDLGQHGRGPAAPRQGTQHRLVSLRDTGYFNDHVTSMRAVERVSEQACKKACLDDCACAAAQFYYGPDAGDGFCYLQSEVLSMQTLQPEVVHYNSTMHIKGWAPVFDVLRLFPDDGCSYPTVCGAYGVCTDAQCSCPDAANFRPVDFRRPNRGCVPAATPATSCGSSRRQGTQHRLVSLRDTGYFNDHATSMRAVERVSEEACKKACLDDCKCAAAQFYYGPDAGDGFCYLQSEVLSMQTLQPELVHYNSTMHIKVQAKSARTTTVPEKFLFYSGYAQTGLFAGIDDLLALGRQSMSLKSQVSSPTASRPKRPPWGT >cds.KYUSt_chr4.25800 pep primary_assembly:MPB_Lper_Kyuss_1697:4:162269154:162272311:1 gene:KYUSg_chr4.25800 transcript:KYUSt_chr4.25800 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKILEYDSKRLLKEHLKRLAAIDLHILSAQITESTDFTELVNQQPWLSTMKLVVKPDMLFGKRGKSGLVALNLDIAQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSVVSERLGSTISFSECGGIEIEENWDKVKTVFLPTEKPMTPETCAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFIEMNPFTMVNGEPYPLDMRGELDDTASFKNFKKWGDLEFPLPFGRVLSSTESFIQDLDDKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKASRMHLYVRRGGPNYQTGLAKMRKLGAEIGVPIEMTDHALNNPLQVYGPEATMTGICKQAIECIMAAA >cds.KYUSt_chr4.12377 pep primary_assembly:MPB_Lper_Kyuss_1697:4:75628224:75632129:1 gene:KYUSg_chr4.12377 transcript:KYUSt_chr4.12377 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAQLVIGPAGSGKSTYCSGLFQHCETVGRRIHMVNLDPAAEHFSYPVSTDIRDLISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLENYLDDDYLVFDCPGQIELFTHVPVLRNFVEYLKRKNFTVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEDYLNPEAAVLLSQLNREMAPRFGKLNKALADLVDDYSMVNFIPLDLRKESSIQYVLSNIDSCIQYGEDADVKVRDYIPEADED >cds.KYUSt_chr4.48992 pep primary_assembly:MPB_Lper_Kyuss_1697:4:303504472:303505846:-1 gene:KYUSg_chr4.48992 transcript:KYUSt_chr4.48992 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAKRWWPIASEFARGGMGGASNFPFSSATYFDLEEPPILAAIREKEHQVELECPVAVGLHDSIASAALPGTPPPPALPSPHPPSACHRPNPIPWWPAKRWHPPCITGALMRSGTARRAAPGCVAARVPGRMREAADTSTLLRRPPLLLRIRWIPTFSAVRVADRASAIAGIPRSGHYHHKRQLQPAHKVSQTMSQLRSKHNSLARPSSPANFGKEIREHGASIRTASTLRIMAGGP >cds.KYUSt_chr2.614 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3751958:3752815:1 gene:KYUSg_chr2.614 transcript:KYUSt_chr2.614 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAYRGLSPQHFWNVTDCGHGYLLLHNWEHRQFAAYNPLAGVLHRIPAPPDAVRELYILSPPEQPHGSFRLVCVHEDGLQVRAVVFSSDTGEWQVLPWVQAAAINKNHPEDDKHCLPPRTGKLVDGRIYWTRHDYVIVLDTVMLQFSSMDLPPYMYGQKPFVVGETKDDKLCMVCAIDEEDTVAVWVWRADADRVDKWMLDKVIELEDLSALKLVAVKQGFVHLHWMAVEEPGIVPLCDFFSLCLEKQEMKKIFSLYEYELEWSYPYIMPWPSSLVCNKVNPQI >cds.KYUSt_chr1.4056 pep primary_assembly:MPB_Lper_Kyuss_1697:1:24686355:24696858:1 gene:KYUSg_chr1.4056 transcript:KYUSt_chr1.4056 gene_biotype:protein_coding transcript_biotype:protein_coding FHSVPSALGITALLEDHAAFGGTPVLTKGALPPTSGPSEPVLLVASEAIMMSDAKDFPEPSEKHSGPSLLPQPCQPHENSTLPLAGSTTLSTYHQRSSSNSTKESSPTSPAPHPPLFPTLSTPSNSTSIHCRHQDSLLATTSTSSRPPAQRSPPPPSTTGFSTVGSPLSPPPPPPASAPTSPIGLSSKSSISRPPAPPPPPPVASTSYVVQSAAPAAPPLPPLSSTSSPVGPAAQPPPLASTLSAVRPPPPPPPPPLSTLSAVRPPAPPPPPPLSTSPAVRPAAPPPPPPPPLASTSSTILPPAPPPPPCPTSSAIRSSAPPPPLPPGIASTPPPPPPPYHSPKQSSSSTGMSLPCPTAPPPPNAPSFSKDAHHPTPPAPPGVNANLFGAKGRGPAPPSGPMSKSLQSGQAMSRRSNLKPLHWVKVTRAMQGSLWAEGQKTDDVSKAPVFDMSELENLFSAALPKDSRSSDKSGSRASGAKPEKIHLIDLRRANNCGIMLTKVKMPLPELMSAILALDDSILDADQVDNLIKFTPTKEEIELLKGYKGDKQVLGECEKFFMELMKVPRVDSKLRVFSFRIQFRSQVSDLKRNLNIVNSSAEEIRGSAKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLASLELAAKIQLKSLAEEMQAINKGLEKVEQELTISENDGPVSETFRKTLKDFLSGAEAEVRALTSLYSNVGRNADALALYFGEDPARCPFEQVVTTLQNFVRLFTRSHEENCKQLDLEKKKAQKEAETEKPKSESETDKVNRESENEKAKLSHSIKELDISLQSQAQTASAK >cds.KYUSt_chr2.54960 pep primary_assembly:MPB_Lper_Kyuss_1697:2:342672907:342674008:1 gene:KYUSg_chr2.54960 transcript:KYUSt_chr2.54960 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAPPSLPLRSPSRRFTRLPLPRPSITCRRAPAAGRLALAVAPARASVRCRAAVKLVGDGEFEAEVLQSELPVLVDFVADWCGPCRLVAPVVDWASEEYEGRLKIVKIDHDANPQIIEKYKVYGLPALILFKNGQEVPGSRREGAINKTKFKDYIEPLLETSNVA >cds.KYUSt_chr3.3019 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17342252:17344073:-1 gene:KYUSg_chr3.3019 transcript:KYUSt_chr3.3019 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRPQDGVTRFKSYLGTKLLLMEPYQEVAASQVRRCRDKDAELLLVAYTIRVEGLLKLLPVLTDPTWHNSDGLLLPMTCSWFKSYQRMRRRDSMALQEEVSTVAANQL >cds.KYUSt_contig_786.68 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:404102:405520:-1 gene:KYUSg_contig_786.68 transcript:KYUSt_contig_786.68 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLKFQSSGLRQMDSFATCQDFSQEHMDKLGHGIYTPLDVNLLPPLYLVYAENPSDSGKVHSSVRQRWLDGDEFVISSMKEVAQLAYDGHNALLQKNYTELARLMNRNFDLRRQMFGDDVLGAVNIKMVEVARSVGAASKFTGSGGAVVAFCPDGDAQAELLKKACEEAGFVVEPIEVAPSVLTEEEVASLYKSPPVDKRIS >cds.KYUSt_chr7.14852 pep primary_assembly:MPB_Lper_Kyuss_1697:7:91912638:91917049:1 gene:KYUSg_chr7.14852 transcript:KYUSt_chr7.14852 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSPPHPAATDPECRRGRSRKAPAFHVSVFKHMELPCGRDWAELPADAISCILHRLDQVELLIGGVAAVCRSWRRAAREEPELWRRIDLRDLPYIPPFQPEASLANIMSAALRLSAGQCHTFFGEILHDDHFLLLAEQAPLLKSLHLIKCHNISNQGFANAIKRFHMLDELELLWCLGHPQVLETIAGVCPGLRHFRLVNNSWGFGPNDERKAHAIARMRELRSLHIVSDKIDNEGLTVILDNCHNLQYLNMHDCWNINMDDNLSEKCAHINMDDCEYLLPYDSYSCCSYPFSCFDDYHDLSLSYYLGDDIDDMDEEHGRIIDIKSMCRTSSPAKLWRLRSTRMKSSLFYFPDADLYINTGRPPAKFAACCFMILTVASVSLTGCFGNVAACTNLLRQRRSPFQAFSSPSAALKVRPSCFRPML >cds.KYUSt_chr4.18707 pep primary_assembly:MPB_Lper_Kyuss_1697:4:117299730:117301160:-1 gene:KYUSg_chr4.18707 transcript:KYUSt_chr4.18707 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVVLIVGAGPAGLATAACLTQLSIPYVIIEREDCSASLWRNRAYDRLKLHLAKEFCELPHMSYPADAPTYIPKALFVKYVDDYIECFNIQPKYLTSVKSSTYDSEKKVWSIVAHDIAECKKINFTAKFLIVATGENSAENIPVITGLQNFPGETIHSSRYKSGKSFSGKSVLVIGSGNSGMEIAYDLATHGVNTSIVIHVMTKELIRLGMTLAHHLPLNLVDKLLVMGAKFMFGDLSRHGITMPNMGPMMLKSKTGRSAVIDVGTIGLIKNGFIQVQGSISKIMGNIVKFQSGDEISFNAIVFATGYKSTTNMWLKNGESMLNDNGLPTKDYPNHWKGENGLYCAGLARRGLAGIAEDAKNIANDIKSMISSMSC >cds.KYUSt_chr3.12532 pep primary_assembly:MPB_Lper_Kyuss_1697:3:74943871:74944335:-1 gene:KYUSg_chr3.12532 transcript:KYUSt_chr3.12532 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEVETSDVGTSATESDKEAEALDVEKKPAETGKAADAIQAADECDDEYPTAAEDLADITEMARLLNESDEWIEERRQMYKEMAARSKRIMEDFVQSYGDWINRQLGCVEEEEDEDEEEDPEYDANFARMQELNTMLWNEHLLNKSARRPGP >cds.KYUSt_chr5.37751 pep primary_assembly:MPB_Lper_Kyuss_1697:5:238434419:238439791:-1 gene:KYUSg_chr5.37751 transcript:KYUSt_chr5.37751 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVGAHFSGLRLEALRLPAPSSPSSPSSSPSAPAGALPNGAAVHANGAAELVSPSALRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLINQDSFYRGLTAEESACAQDYNFDHPDAFDTEQLLECMGQLKRALPVNVPIYDFTNHRRCSERFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDNFERGRNVNSVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVQHIRTKLGMHDLCKIFPNVYVVQSTFQIRGMHTLIRDREVTTPDFVFYSDRLIRLVVEHGLGHLPFTEKQIVTPTGSAYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLVYHKLPMDIAERHVLLLDPVLGTGNSASQAIDLLVRKGVPEERIIFLNLISAPEGIHCVCKRFPRLKVVTSEIDSGLSEEFRVIPGLGEYGDRYFGTDN >cds.KYUSt_chr5.3906 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25300465:25301677:1 gene:KYUSg_chr5.3906 transcript:KYUSt_chr5.3906 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIILPAVIIGAGAFAASLYIMIKRKNKKQPDDTASTGITDLISHRLVSYHEIARATENFSEDNLLGSGSFGKVFKGQLDDGLVVAIKVLSMQVERAVRSFEVECQVLRMARHRNLIHILNTCSNLDFRALLLQYMPNGSLEAHLHTENREPLGFIERLDIMLGVSEALDYLHHHHCQVVLHCDLKPSNVLFDEDMRAHVADFGIAKLLLGDENSTVSASMPGTIGYMAPEFAFMGRASQNSDVFSFGIMLLEVFTGKRPTDPTFVGESSLRRCILQAFPAKLIDILDEKLHQDERMNQAFRHQKIITSPSSSSIAHNGNFLVSTFKMGLECSRDSPDQRPSMGDVVTSLKNIKKDYSSFWVATRSVQQQH >cds.KYUSt_chr3.4970 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28302149:28302640:-1 gene:KYUSg_chr3.4970 transcript:KYUSt_chr3.4970 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLVILLLLPLAQATVSSAVIPANPKTIKFMAKDMRAFIKESAKNYMEQNAEQGGSGALVFDLSMGTSPQTLPVVMDITSELVWAQCVPCATCTRLTPPGTPTFLPNNSDSVDRVHCASKTCGLMIPGDHGCDHDSDLCRYAADFYGAANTSGLLDLIPQQ >cds.KYUSt_chr7.14216 pep primary_assembly:MPB_Lper_Kyuss_1697:7:87888584:87888898:-1 gene:KYUSg_chr7.14216 transcript:KYUSt_chr7.14216 gene_biotype:protein_coding transcript_biotype:protein_coding MAIERAANSGSRRKPTTPSSIRGAYGRAEDRVNLSASAGDARGGSGCYSRLRRRLLKAAAPIAKCGGGGCFKQPVLLLHAATRVAAGGSNSGAASCYNQRCRLL >cds.KYUSt_chr2.50724 pep primary_assembly:MPB_Lper_Kyuss_1697:2:317105788:317106351:1 gene:KYUSg_chr2.50724 transcript:KYUSt_chr2.50724 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDWRCRKHPAMPCGGVCPHCLRDRLIRLCPDCARTRPCPCACAASTSPSSSASSASGGGGAAVGRVCSLIERERRIGRSRSVAAASGGIGVGDEKRRSRVWGWASFRKPAAGGRGLEVDDEAGQDRDEDAAAAALARSSSVSATKAAPKAGGWGRFLPGPIKALRHRKSRAGAGDRGDRREGVW >cds.KYUSt_chr2.52463 pep primary_assembly:MPB_Lper_Kyuss_1697:2:327340085:327340387:-1 gene:KYUSg_chr2.52463 transcript:KYUSt_chr2.52463 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLAGVARWCGSGRRVRQSLRRRSGGTVFLGARRRSSGGGCRLAVSRLARWRLVAEMLRPIRKALMEMAAAAGHRQLVALPLLNFPFVGMLSLPAAVA >cds.KYUSt_chr6.5735 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34459626:34467018:-1 gene:KYUSg_chr6.5735 transcript:KYUSt_chr6.5735 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAATAAAAAVGVAAGVGEAEAEFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDPVWLDSTKVEIPLFSALNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSIWKEWVKWCVEFGIEANAIIAVPYDWRLPPSMLEERDLYFHKLKLTFEIALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIQWLDKHIHAYFAVGAPLLGSTESIRATLSGTTSGLPVTEGTARLMFNSFAASLWLLPFSKYCKADNIYWKNFFEGKGGYPHRQQCDEMEYTSDYSGWPTTLVSIEVPTVRGTDAYPSIMDLTEDITSNMECGKPTLLTFSAREVSDGTLFKTILDYDPQSKALLHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGIDSKTEVGYFFAPSGKPYPDNWIVTDVIYEFEQSLLSRSGHSVSGKPNNSSGDGTVSYNSLSLCKQWLGPKVNITRAPQAEHDGSDLQTSMNAEHYHGQDLFPNMTRAPHVKYITYYEDAESIPGWRTAVWELDKDGGCFSESLCVPAELICLR >cds.KYUSt_chr6.28988 pep primary_assembly:MPB_Lper_Kyuss_1697:6:183558344:183568664:-1 gene:KYUSg_chr6.28988 transcript:KYUSt_chr6.28988 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGAQMNQVVGGGGNGVVGADGMPLPQHQQMHDNAMGLGAGIDPQFIQMRNSMREKIFDYIGRKQTSADWRRRLPELARRLEEILFRKSPSKAEYYNMMKAPVEPQLQFAIKTLSAQNQQNQQNQQMSRQIGSSSGYGTMIPTPGITQGATGNSRMPYADNMGLSSSGAGMVPQNTNMGNNMPGADSSMSNGYQHLTTSAPLNSTTSNVPTTMGQMGIQRQVTHMIPTPGFSNQQNIPANPDYSNGTGYFNGESAMTPHMQQQQKQFTSNQNSHQIQHIGGHSNSAIHSSMLDNSSAFGLSDGHVNGGMGLHGSNTQITNRNAAPESYMNMSSFGSSPRPLQQQFNQLPTQRISTSVDMGGSGSFYGTGSSTLTTANNQNMGAANYQSRSRMNSMLLTNQLNIQSMQAQPQIKSEVLDQSEKLNFQSSQLTQEQLLRQQLSMQQQQVQPNSQFVQNQYHLNQQLPNSQHQQTMLRSNSFKQSQLNSSHSMQISEQGSLAHTELTSSQATESAALQNFQGQYQQRSAHDNVKGAQLFGHLSGSQNFSASGSHDSQPLLPPNQQLDVSSNDVSYVLKGSQPEQMQQPQWRPQTMEKASITSNLSLEKQIQDDFSQRTMAQDGAQQPFSSDWRVSGCAVASVDPALPKLPTGGFEQSAANINYIRQLKWLLLLFHAKGCSSPLGSCKFPRCAHLQEFVKHLDNCQRKDCPQKKCSKSRMLIQHYKTCVDEQCPVCSNVKKYLRLSAEQANKQRALEPKVAQQNMTQRIMNGVEGDIMDIDLVSVESFDGQQSVPKRLKMRPASPNIPEREILRASNPNVIPGFLPEETHPELLEQTKKMPYLKREADVKVDMRPLQNPVKTGYGTDGNVPTARHNVIPGVSNEIKSHVKQEILSIDKEPSQNIPEVKNEANDSADATAKSGKPKIKGVSLTELFTPEQIGAHIDSLRLWVGQSKAKAEKNQLMVSSENENSCQLCKVEKLTFEPPPIYCSPCGARIKRNAPYYTVGSGDTRHFFCIPCYNESRGDTIEVEGQNFLKARFEKKRNDEETEEWWVQCDMCECWQHQICALFNGRRNDGGQAEYTCPYCYIKEVEQGLRMPLPQSAVLGASDLPRTVLSDHIEERLFKRLKLERQARASQSGRAFDEVAGAEGLVVRVVSSVDKKVEVKPRFLEIFHEDNYPTEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECAYPNQRRVYLSYLDSVKYFRPEIKAASGEALRTFVYHEILIGYLEYCKQRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKATKEEIVVELTNLYDHFFITMGECKAKVTASRLPYFDGDYWPGAAEDMINQIRQEEDDRKLQKKSKTKKIITKRALKAAGHTDLSGNASKDAMLMQKLGETIYPMKEDFIMVHLQYSCSHCCILMSSGKRWVCHQCRSFYICDKCYSIEHQLEERERHPSNSRDTHKLHPVDIVGIPDDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCNHDIETGQGWRCEVCPDFDVCNGCYQKGAVNHPHKLTNHPSAADRDAQNKEARQMRVSQLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCALCKKMWYMLQLHARACRDSGCSVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAST >cds.KYUSt_chr6.3989 pep primary_assembly:MPB_Lper_Kyuss_1697:6:23034655:23035568:-1 gene:KYUSg_chr6.3989 transcript:KYUSt_chr6.3989 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQHGQATNRVDEYGNPVAGHGGVIGGHGGGVGTGAKVEQKARGILHRSGSSSSSSSSSEDDGMGGRRKKGIKEKIKGKLPGGHKNQQQTAATGGAYGQPGYTGVTGTGVHGTTAPMGTYGQQGHTGVTGTGAHGTTATGGAYGQQGHTGVTGTGTHGTGEKKGIMDKIKEKLPGGHADPQHTTATGGAYGQPGHTGMTGTGAHGTTAPGGAYGQQGHTGMTGTGTHVPTATGDPYVQQGHTGMAGTGTHATGEKKGIMEKIKEKLPGQH >cds.KYUSt_chr4.41929 pep primary_assembly:MPB_Lper_Kyuss_1697:4:259291735:259298061:-1 gene:KYUSg_chr4.41929 transcript:KYUSt_chr4.41929 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPRSTNCPTRGWLLMLQFMGHAITGGGMVVEPKATAVKARGVAMITGATPSLDLLQREVPEVLVSARGKRTKTVDVDVGKGKTAPSVVRKGSRHKGLATNMHTLEKGQHRAAERNLETIMEGSGHTKRQSAAGFVRFRSSEANPDEEGPGSAESSGGGGSKVYHERQRMQFCLLHALNNLMQEKESFTRADLDGISENLALTDPNKDKWTPLSLIWKPHHNALTGNYDVNVLIAALEARKKKVVWHDHRKGASSIDLDAEALAGLMINVPVRRFRGLWSGRHWVAIRSVDGVWFNLDSDLSSAKQFQGKEELIVFLDSVLSQGGELMIVLQDE >cds.KYUSt_chr6.17435 pep primary_assembly:MPB_Lper_Kyuss_1697:6:109821401:109821865:-1 gene:KYUSg_chr6.17435 transcript:KYUSt_chr6.17435 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPVLQIAIEASPRTPTDLHGSPPELPHYTGGAANAAVRATSGGAAMSRHRRAASGGAAEARRRSCKGAPLELQRTSAGAVKARRRSCKGPPPELQRASAGAERRAARAAKARRRSCKGPPPELQRRAAGAAKGLRRCCKGASPELQPCCPP >cds.KYUSt_chr3.20103 pep primary_assembly:MPB_Lper_Kyuss_1697:3:124005092:124008597:1 gene:KYUSg_chr3.20103 transcript:KYUSt_chr3.20103 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVIYVFGGCCGGLHFSDVLTLNLKTMAWSSLTTTGQRPGTRDSHGAALIGHRMMVFGGTNGSKKVNDLHVLDLRTKEWSRPPCKGTPPSPRESHTVTTAGGGDRLVVFGGSGEGEGNYLNDVHVLDVPTMTWTSPEVSGDVVPAPRDSHGAVAVGNRLFVYGGDCGDRYHGEVDVLDMDSMAWSRFAVKGASPGVRAGHAALGIGSKIYIIGGVSDKQYYSDAWILDVVSRSWTQLETCGQQPQGRFSHSAVIMNTDVAIYGGCGEDERPLNELLILQLGSGHPNGRYNISMCKILSNHWSQEKRKFLRAENQRDASASNGDIGHKPREAEIEQRSTYIRGIENGHVKRRKTGDVRPNEIDLEQEEHSLSLSQHSSPSQSDQEQNAAQKLSGSPRGSISGLQPFVRLNSNGSLRTTGGGMSARPLKTDQFIRNIAPQQRHEMQFLTAEPKQHLRPSGPSLIGAEVQGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPAMHHQILNSSAVPPHQRPVLAHAFPVHARPVPQATGFVLPDYGQHTRRGFPAKVIKSEQERSGSDLHDVVLTLGGPGGAK >cds.KYUSt_chr4.54994 pep primary_assembly:MPB_Lper_Kyuss_1697:4:339634225:339634803:1 gene:KYUSg_chr4.54994 transcript:KYUSt_chr4.54994 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLPRLLSHAAAARRIPARTTTSHTSGGRGGVPGVGAVAEAVVRSEEPKRRPDAAKRTRRAVVPSEELKKHPDAAKSPAAADAVVRSEEEPKKRRRGADKKPRKRRAVSKSGGAGVSLARLANAARAFVNSKLEVLGDSFLRSLQTAGKEDKAASTSYQHGEELDLARVQASTSLTATRYVHRRCQLNFRN >cds.KYUSt_chr4.48005 pep primary_assembly:MPB_Lper_Kyuss_1697:4:297305386:297309371:-1 gene:KYUSg_chr4.48005 transcript:KYUSt_chr4.48005 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTNGAGSAQHAVGRNHIVVFPFMAKGHTIPLLHFATALSVHHKSLRVTMVTTPANLAFARSRLPSSVRIAVLAFPSLPPLPAGVESTDALPSPALYPTFLRATALLRAPFADLLASLSSPSPPLVLVSDFFLGFTHCVAADAGVRRVVFHGMSCFSMAIIKSLVESGPPPPGCDDFHVPRMPEHVKITAVEVPDTIAKISDRDDPVVRFVMEDIGASDVRSWGVLVNSFAMVDQDYVAPFESFYQPDARAWLAGPLFLAAGEESMKREEELDPEGCLPWLDKTTEPVVYVSFGTQAHVSDEQLDELARGLVQSGHPFLWAVRSENWSSPVDVAPHGRVIRGWVPQRSVLAHRAVGGFVSHCGWNSVMESLAAAKPVLAWPMMAEQHLNAKHVADIIGAGFRMNIVDNKVVVDRAEVEKMVRRLMDAGSKEGREMRARAAWARQEAKLAVSDGGMSHMALLKLVDELQGSYDRDVTVPRWRRNLLCCAALHSSANNLHVALDSY >cds.KYUSt_chr6.5198 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30820168:30834938:-1 gene:KYUSg_chr6.5198 transcript:KYUSt_chr6.5198 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVCSVRAWFISSVGVGWRLVIMVLLCLSGEVGTWFSLPRYAYKLRSFQNILANVGDKTYLADVIGVVTGVSSLLCSSYLASYGSQVCINMDISDIVTLRDRLVGSHYPIELIMERGRRYECDEIQVVTVPEMARDFGARIVIDGVQPNKGWWFLSCDDCNCFVFPVVASGDGVSVEMVFFGDVARDLVGRPAEVLVAENCSLISNVPAEIASLTGRSYVVDVSVSRYSFRTEDIRFQVRKCRDSGDVLVLLLKRCVCASADDTVLRTPPPPATVETPSEKHPVDIEQCEDLGGGDSGFPLGNASEKKCDWRCDGCFFPWILRQLWGRNAEAIDSGRLIEDSSREPVVALMMGCTHKKQDSMLELSASYGSQVCINMDISDIVTLRDRLVGSHYPIELIMERGRRYECDEIQVVTVPEMARDFGARIVIDGVQPNKGWWFLSCDDCNCKAYEEGSVYRCRRERCSCKSASPRKRTPDDAEELLAKISRNHDDWTTPEPTPTPILKKRGLIKLNDEDMREAKKSLKEKDKLIPTDISLQMADKSTAIPVGIWAVIDCNKGKVTFNVDDREHTVYFPKKIEKACGVNTISNVRTIKVGTIDCPIYEPKEEYQILVIGSISIQFKDFKFNWSRSNPTVQYKIYNKTVNLPFSAFCAAIRIPQWGSCEKIRGSPQELSDLYKMICDGRSFSGESGKITSIQLPAIRYFAYFITKCVLAKKIGGKLSIPDLAFLAAALQNSRGDWSVTEGARDAHIERGGHHARDDIEVEGHLDSSSDAASSSHQHGGYAEPPRFSFAQELYYDYSMDYPPARNNDPRWG >cds.KYUSt_chr6.31637 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199948320:199956814:-1 gene:KYUSg_chr6.31637 transcript:KYUSt_chr6.31637 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAAVAMASDGRVERIASTIRVIPDFPKPGRHVPFAKLLLDTHMRTMVIAVVYAGDTLGLLRSHTHVRNYSSQLSGLIPAGPQSSKLTRRHYYFPNASPYQPWSRSFASDSGEKFEAVVPFMGESVTDGTLATFLKKPGDRVEADEAIAQIETDKVTIDVSSPEAGVLEKYGTPNWPLCKDHSEAVPNAAAPSLLPSTLPALNPASAAPCARLSALAWETWCSRSGVCEMGIRYLQSIVGSGVSRRAQLAPTVGHEVALEGVHIRAGVLEISGERTVSALVQRIYAMDFINDNAGCFANGGIFPKNGRIIEFGSHHVYLGTVPVRQRLSPVLVAPDPPRWLCARRAAGSVEVMMTGVVGAGKEAVGEGAGRAVSTRAAKPPLERDAGAAGASSAPPETPLQAAMNVLATPIAQNVDPAAAQAELEAQRQKVLESGKDIIRAQRELNLTLREYNAAHGFASVSAHAARMPENRLKARNLDQDLRREIHAGKSTSASVSIVEKPKYSSPDKTIKAAKAAVELCESLSGDALAKQQERVRELLETIEQQNAEQLAKLNKAAASKSARLTRNAGSKSHGQASSPHPDRRREKEMNA >cds.KYUSt_chr3.4966 pep primary_assembly:MPB_Lper_Kyuss_1697:3:28280253:28282610:-1 gene:KYUSg_chr3.4966 transcript:KYUSt_chr3.4966 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSAGGRKTLLPPCRYDLLLLFFFFLYADAGGSSWFARADGPAAVLDRSVDSSSLPSGRAIPLRRNADDLAADALNAGSENGVAQRSYRAGFEVDDEHVGPSTVADDGHDEARWERLSGTLARPGWTAAWLGGRHDLPHRRAAADFLASMSRRPALLVAAEYGGGGLNRWWWCRGGRVGSSPPQEIGPTKWAPHVRNGVNLLSMMD >cds.KYUSt_chr6.25051 pep primary_assembly:MPB_Lper_Kyuss_1697:6:158731393:158732537:1 gene:KYUSg_chr6.25051 transcript:KYUSt_chr6.25051 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAPLAGLRRVRARPCDPLQILLVLVAVLVADGVRIDHRQAKGPPPVTAAVVRLRRLLPHPTLRRLLPHQTLAAELRCTSGEVQNRKAKNQRVQARAFSPALAAAAEYPICIAARRASEVRLVPGDRGLLCPSAGPLDPATAAAISRLLARIGAGDEGDGGCRGSAGSSLASSAPSRGRGGHQEDAVELGKFACCFPTTKLRRGCAAATYRSCCQRPPFHAPVANDDLPYKLLLVQIILEEEMWGVKVLYREVVSVANTIGNILKCNVQALRWLRID >cds.KYUSt_chr5.15107 pep primary_assembly:MPB_Lper_Kyuss_1697:5:97597232:97605594:-1 gene:KYUSg_chr5.15107 transcript:KYUSt_chr5.15107 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKNKVILAPPLPPELDDDEIVVSEDDFDFVKENPEHACSIARQDIKAFDKFVTRVADHDDEKVELLYEERERKRRAAAALKPRNDDELEVDPVDALPVKTLDGNVVYNRPAKKARSGDEANGVKSRSQDKGAGATQGSQKEPKGRSNKKEDSKLQNVQSPTEVPKENLHSVVLEEVKEELSSDELFEKKKAQLAELGMALLEDPESNIRSLNDLLILCNDMDQKIVQLGIMSLLAVFRDIIPSYRIRQLTEKELAVEVSKEVKKTRYYEYTLIRSYKAYLQKLIALEKQPYFYLVAVRCLCSLLDAAPHFNFRESLLASVVKNLSSSNDVARKLCCEAIRSLFRNEGKHRGEATIESVRLIAAHVKLHDCQLHPDSIEVCLSLKFDEDLGKDDSKEDKLKPKKNKRYQNRDVTKPSEKKKIKKEMLSKARQEVRADLRAVSFTLDPKEKKMIQRETLAALFETYFRILKHSMNTSRYKTTSVFPGGSHPLLAPCLEGLGKFSHLIDLDFMSELIACLKKLSGYTDHQGEILPDNALSVSERLQCCIVAFKVWRSNLEALNVDLQDFFVQLYNLILEYRPDRDHGEVLADALKTLLWEGKQQDMLRAAAFIKRLATFALSFGSAEAISALITLKHLLQKNTKCRNMLENDAGGGSLSSLVAKYAPEAKDPYLSGALATVLWELSLLQKHYDPSVSGMASNVLSMANLNATQNPVQLSSANPLEAYNDLSMGRELSKPAGKVLTLKCKRKRRSKEFVALSPDVLRKADCTVGEDELREKLQSHFAVLRGISENERLRTELNHTLSSINMSTAAPSVPSASGSQIVPVVDVEDDAEIGDQSPEDDEDELMFPELVDRCSKQAMEDQYMEDIAFGARFDDTDDEEMNENNDSLVLADYEGDDLPTIEWNRDDPQLAAGTVFQTMMDCRNAITTYCLLSKNNYEVIKNEPGRFTVKCPYKRCRWRLHASTIRRSS >cds.KYUSt_chr3.19612 pep primary_assembly:MPB_Lper_Kyuss_1697:3:120691619:120696617:1 gene:KYUSg_chr3.19612 transcript:KYUSt_chr3.19612 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRTRRELRHLAMARRNSLTTVIADVWDKAKHLREAAEEEGRHVCKAWKISSQVVGPETTTAATMAPSLPTSILLLLAAAFTVSAATSTSPTAPEDGIRVVSAEKRIDLTGPIVKVYLTLKVHNPATGSDASHVLIAFTPLEAQHLAIVKATRAEGKRKKKVYVPLPVEPVDLAANAPDGARLYSVSLSAPLRPSETTTMEVFYVLTHSLEPFPAEITQSESQLVYYRDSAVLLSPYHVLEQVTYVKMPSNRVESFTRVDPTSRSGPEVKYGTYKDQLPYAYSPILLHHENNHPFAVVEELVRKVEISHWGNVQVTEQYKLKHGGARHKGVFSRLEYQARQSISGASSFKNLLARLPPRVHSVYYRDEIGNISSSNLRTDSHKSELEFEPRYPLFGGWHCTFTIGYGMPLQDFLFESEDGRRFANLTFGCPLLNTVVDDLTIKIVLPEGSKSPQAVVPFLTEQHLETSYSYLDVVGRTTVVLKKKNVVGEHNVPFQVYYEFNPVFMLAEPLMLISAALLFFVACIAYLHMDLSIGKSQAS >cds.KYUSt_chr6.7644 pep primary_assembly:MPB_Lper_Kyuss_1697:6:46793500:46801463:-1 gene:KYUSg_chr6.7644 transcript:KYUSt_chr6.7644 gene_biotype:protein_coding transcript_biotype:protein_coding MISRAFSQVLGKGYKSPLDLFVHASAVVGFGSNMPRHLHHLLRQPDPADGFPQKQHPAQINNRGAPPTCTFESNWSLQHSFSTVKNSDDTPLDSISHCWSELNPKGFTMSINVNKMIRAGFKVKVLMADWFARMDPMIGGNLCKMQTIAMYNIEMWKATGMDVDGVEFVMLSDEISCRADEYWPLAKKIASVSELKDITRCFSFNNLQKDTRACGSIDPYTTREFTPAETLHACLQCASILLQEVDIWLLNMDQRGVDMLAGEYCKNTGRKNKPLALFQDMVPGLLQNTEWNHMGDLGWAIFMDDDEEVVSRKIEKAFCPPKLVEGNPCLEYVKYAIIPCLGKFEVVLKEANGGNKMFLSMEELTADYSSGSIHPAGLKQALAKAINTILQNITLGIEEWGKTWAIPFNGQGLPLVHEPAAYRLGTGEWGKTWATPSNGRQVLAEAAAKGENTKMCFGFWV >cds.KYUSt_chr5.22562 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147219454:147224427:1 gene:KYUSg_chr5.22562 transcript:KYUSt_chr5.22562 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAGSRGPAGFATQANALLRKNLCFQKRNLKTNVCITLFPILLCVLLITLQGLIDREIDKPKYRCGCACVDQAADGTCRKTECGIQHSTLDQVATCPIPNPPRWPAVVQVPEPQFRAVRAASQPLDGLPEPTCRDNGSCPAVFLITGSNRSLAESLSAQLFPALSSSLNLTNYLNALSRIVPGSDTPTSARQLLEPAFTPGNTLYIVQNQCRSNLSQTIPVNAGPVTLQLNVDCIQGLSLWRESASVINDELFKGYRQDRTGGADKSNEFSAGYDFLNTNMNSLGISIWYNSTYSNDTAFSEIGLLRVPRLVNMASNAYIKILRGSGVEMLLEFVKDMPKLGTKLKFDLSSLLGALFFTWIVELLFPVILTYLVYEKQQKLKIMMKMHGLKERPYWMITYSYFFALSAVYMIVFIVFGSLIGLRFFTANDYSIQIVFYFIYINLQIALAFFTASFFSSVKIATVVGYIYVFGSGLLGAFLLRFFIQDKGFPKGWIVVLEIIPGFSLYRGLYEFGQYAFSGTAMGTDGMKWANLSDSENGMRTVLIIMVVEWAILLPLAFYLDQVSSLGGGLRKRFLSSLKCFKKRAVSFRRHSFGRIGSKVILEMENPDATQEREVVEQLLLEPTANHAILCDNIKKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIQPTSGTAYVHGMDIRTDMKDIYTNMGVCPQHDLLWETLTGREHLLFYGRLKNLKGAELLKATDDSLKSVNLFNGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWSVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCIGNAKELKGRYGGTYVFTMTTSSEHEQEVEQLVRRLSPSANRIYHISGTQKFELPKQEVKIADVFREVENAKNRFSIHAWGLADTTLEDVFIKVAKGAQAFNENA >cds.KYUSt_chr2.25406 pep primary_assembly:MPB_Lper_Kyuss_1697:2:155378601:155381129:1 gene:KYUSg_chr2.25406 transcript:KYUSt_chr2.25406 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRATAAVAARAAGAAASRSRRANPRSKQTFLSPPAAATAATRKRNRGASSSPSPSPSPSPSPSSPGYVSFVSSPSSASPEPEPKSRPSKPRAKAKAKAKTAARSPPLASPLPASPLSAATPAAATSSISSVGDLRGAAASQMESLRRRLDGLHSRAHTDLDASLSHASKRFKTQNQACQQLTDAVDKEYKNMADSIKETEEKIKAKYKLSMAETRSSTSHVSKVTIPEITKSVEKAIDGLRRRYNISMPV >cds.KYUSt_chr5.30242 pep primary_assembly:MPB_Lper_Kyuss_1697:5:191766749:191768020:-1 gene:KYUSg_chr5.30242 transcript:KYUSt_chr5.30242 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVCSASIYSLQSQSIKHPFTSFPLNLSRLFTRYHARALPKAIGHSRRDRKVSKKMSSISDLAFSFARFVAREHHPRRASARTAARRNHSHWYFGPRRHGRPDWNGGLRHRGNRWVPRRGGKRRGLSLRGGGLRRRLHSNRLRGNRLRSNRRTPQHHNRHWMRRHRAPGDPGPSTTAVVRREQTPVVAAEPSVVVAAPAVAAEEVVDAVYEDEASASNISADIDELMPVPPEFALPPMEWLLGGPSAGWLVDDPERDFGDEELLVPPPPPASPPTMYYCMRHGFQPCLPSPTPSDEDRAHFAPPGYDPVPEFFAPPNAVQPEAAMEEVEAVAPAPAAPVLPDLNLPAPEKEENEPVLALPTPSPEARVLLRRFASAMAARPAGIRRGTWSPEALGLTGRVAELRLNEASHHSSSSAEGPRRC >cds.KYUSt_chr7.19250 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119311171:119312076:-1 gene:KYUSg_chr7.19250 transcript:KYUSt_chr7.19250 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVAVPVPGPPILRLHFTATTRASAARTDPLPEELQLVADLRTPHNHIRVADVSRRATGHPLAGARLLLLDGPGNIHSVSFPRCPLTRTYLDVFAALPPLLPRPSLAVLGFGAGSAARALLHFYPDLSVHGWELDPSVIAVARDFFGLADLEKRHAERLVVHVGDALEAEAVPGGFGGVLVDLFANGSVLPELQEADTWRRIGGMVARGGTVMVNCGGGCVEAEEEGRDGEAVKDATLRAMAAAFGDGMVAMMDVDESWVAMTGPAVGAPEDAAAAWKARLPLQLRQYVDVWRPYNGNK >cds.KYUSt_chr2.26748 pep primary_assembly:MPB_Lper_Kyuss_1697:2:163777151:163782264:1 gene:KYUSg_chr2.26748 transcript:KYUSt_chr2.26748 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRREGPRRGMEDETILQYYRFRRRAEYRWVFWAAPCWAFSVCQCVGPMSQLRPASCYRRRFQNVVPTARAHVEACKILQPLRGTAFILGEKKKVVVMPLVESPQWRRKATDFFSSSSFKLKQAGQSAGDNIADVAGKVGSVVKSRWAIFQDARQRPPPPGDTVQERFISAAANTGVILRKGISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLILVKCADYLVISGLSNENLFKSEGDRKVLQQLVSLYNEDSGASLPDGVSPIDVAALVKCYLASIPEPLTTFALYDELRDARVSIDDLKNILKKLPNVNYMTLEFVTALLLRVSRKSALNKMDSRTLAVEFTPLIMWQQGDSGTDMRNHLRFTLKPPPKIVDTTSNTSTWDLLDEDDVDASSQIPLDDASPPDYSAIEVIQCLIEHHNPIFTDANETVWR >cds.KYUSt_chr2.45844 pep primary_assembly:MPB_Lper_Kyuss_1697:2:286076879:286082891:-1 gene:KYUSg_chr2.45844 transcript:KYUSt_chr2.45844 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAAAAFFGMRDGDQQDQMKPLITQHQQLAAVALPGAAPAASSQHGAPPAAAPPAKKKRTLPDPDAEVIALSPKTLMATNRFVCEVCQKGFQREQNLQLHRRGHNLPWKLKQKNPNQVQRRRVYLCPEVTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPTSLSTLTSHLYGATNAGNMALSLSQVGSHLNSTMQHDGGHHHHPSPDLLRLGGGGGSSSIAARLDHLLSPTGASAFRANQQQPQPAFFLNAAPGQDFGDDQGGNGPHSFMQSKPFHGLMQLPDLQGNGAGGPAHGLFNLGFFANNGNSSGSSHEHASQGMMSNDQFSGGAGGGGNGSEVSAAGIFGGNFVGGDHMAQAGMYNDQAAMLPQMSATALLQKAAQMGATSSPNGAASMFRGFAGSSPQLRQAAPQHMDQNEANLNELMNSLAAGGGVNAAGMFGGANGGPGAGMFDPRMCDMDQHEVKFSQGGGGVGANPAAGGGGGGGGGGGDMTRDFLGVGGGGIVRGISTPRGDHNQSSSDMSSLEAEMKSASSYNGGRMA >cds.KYUSt_chr6.17926 pep primary_assembly:MPB_Lper_Kyuss_1697:6:112818645:112821568:1 gene:KYUSg_chr6.17926 transcript:KYUSt_chr6.17926 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADTIRTVIGIIGNGTALVLFLSPVPTFYRIWKKKTVEQYSAVPYLATLLNCMMWVLYGLPLVHPHSMLVITINGTGMAIELTYIALFLAYSAGADRRRVLLILVAEVAFVGAVAALVLSLAHTHDGRSMVVGILCVVFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGVFWTAYALIKFDIYITIPNGLGVLFAVGQVVLYAIYYKSTQQILEARKRKADQIPMTEVVVDGKNGNGRY >cds.KYUSt_chr7.678 pep primary_assembly:MPB_Lper_Kyuss_1697:7:3735369:3740963:1 gene:KYUSg_chr7.678 transcript:KYUSt_chr7.678 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLFNLIAESLTKMVLRAQANEMLVGLAADIIPTGVAVLQYADDTVGSLPMKYLGVPISSVALKTSDWDYVDEQNGILSSSGGRICCVGARSVDSTSRTSSRRRLPRRRPAGRALLRPAIDSTASVLDQLAALLTGRAPPTEGEGDYRRLLALLTTSLAMVVGCGVALLVRRSSATL >cds.KYUSt_chr4.47873 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296352509:296363775:1 gene:KYUSg_chr4.47873 transcript:KYUSt_chr4.47873 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPHGNLIGGGAREARGVSCLQIGKLCVLFGLLALRFARKHDVLHSCFNAYVSESVESSCAVKHAIPQVCWSTLSAEEVETYRAISDMDMMVKAMIKLINEDADSFARRAEMYYKKRPELMKHVEEFYRAYRALAERYDQATGALRQAHRTISEEFPNQMPSMSDESPSSSQEVEPRTPEMQIPLRAPFDPDDLHKDALGVSPQLYTLKRHGTHTDEISSLSSRKGLKEFNDLFSSSDSSHRVSFSDGKVRKGLTFESPDAKGKQDASDDIMNLQDEISKLLAESQSLKQQISSESQRADNAESESQSLKDIISCLTSEKDAALLQYSESTKRLSGLEIELSKAHNELKKLSDYMAMEVENLNSAESRNSTMQFELETLGQKIMVQEQELTQNRQKMETFHFSLQDESARRKQAEDALCTTVKDCTRSQEEASRLTLEMEAANDRLSEFKQMKLNLEDTVSELKNEVVRLSEQNRVSELLIEELRSDKDCMVDSKSKFESEIHALRSTVSQINTEKDVALLQHQQCVEQVSDLESRLLKAESEREKIELKVQILVQELEQKKEEVGAIHARLQDEHFNYMQKEAALLAMEDLHSQSQEEVKRLAQDLEHSNMRLSDLENKNFKLQDMSQDFERVILELNFKNNAAFLEQQKSLERISCLEAQLLATHSAMEKIVNKSQMLDQELVQTKKQVDVLQSSLHQEEQKYMLSEKTLLTVEHLHLQSQEEAKTLAQDLGSLSKKLREAENDKLDLQNISRELKNTISDMNSEKNAMLLQQQQSLQRVSDLEAYIFDVQSDLEKNKEKVQLLEQELENMKEVVNGLHKKLEEEGHIRMHAEAELTVAKNLHSQSEEEVGHLVMDLQKLNDELSEVQDSNLIVEDLLCELMNTISVLNTEKDAALVQLQLSLERVTDLKSEVSETRLEVEKTEQKLQMLEQEFAQKNFMVDILQSSLQDESKKRVEAETLLISNENQYVQSQEEVNRLSLEIETLERKLNEVENLSFELKNTILLLDSEKATTLLQYKQSLVKTSDLESKLSGVQIELENAEQQVQMLDKELEQKREEVDNLATSLKEEAQKHTEAETALLTITNLYSNSQEETNRLVLEINMLNRKLNEVENVSSDLKNTILLLDSEKATTLLQHNQSLVRVSDLESELSGVQTELENSEKKVQMLDKELEQKREEVDSLATSLKDEAQKHTEGETALLTITNLYSNSQEEVNRLGLEINMLNRKLNEVENVSSELKNTVLLLSSEKDTCLLEHKQSLVRASDLESKLCGVQTELENSERKVQMLDKELKQKKEEVDNLLTNLKDEAQKHIKGEASLLEMTNLHSRSQEEVNRLVLKIERLNGKLNEMENTKVDLENTISKHAEGNRILGEQNLSSELNIRGLNDELDMLKEMKRNLENEVGLHIGEKEMLQSQLAHQKEDTEILVKQYCSLEHEMDAVNRRAATLQQLLDKKVFDMEKLSVEYLTLKKSFSNAIVETEALKEIVKELEASESSLKYDISLCSSEKDTLALELHTFNKKYADISEQKSMLETSLSNRNSELDELVMKLKDSEELSQSYLANNSAILVEQGNILSQLEGTTLALKSLEHEHAELGGKHSSLVSEKDLLYSQLRNLQDQVEIKNEQHEAFLRLHQMQINDFEATVSSMHEKICHMDHMLDQEQQECTYASISALVLHKSLADTKDKNVALFDECQKFIKSTHSADILIAQLEEEAKNEEEEKKALLEHSKKLMHGISQQIKILDICKDLGRPGVVHDEVMLQALSRETLNHVKHTEESEHRNVCMEAELSVLETILAQIVSDFRDLHLQKCKLEKEVETGAAELLFLRNKNHNLIELNEHLGQRLQQSSEIEETLKIELTSGMTQLMEKDDKLRKADETNQFLQETNQELCKALRDLEASVEDAKLVKGELEKKITTLTEQGAVRDDDFLLLCEAKVVLQGEVDIHKQKEESLMSTLEMAKKEADQHEREIVSLVSDMITCSVNVMIYEEHLLELMMECEALEIGMITEKGMLMEEISSRDAYVDELHRRIASMGGENEELKVEMSAYVPLVASLSAQITILEEGTHLLSELNKEGKSEFVQDDKRGSEFPGKPSGVLELQSLIARVEALRVVILDVKDRRDKEFNESAAKLELANLEIQDLKTRKDSCIGHKEQYMENDRQNYDADNSKGKQAQIMKDIELDQVSTCPPYGTGTTVYPLGGDANAELDDEMLQLWETAEKDCKNQTAKSSSSEHDIQAVEEVKSQYPSFQLARGDDRLDISTASLEPQQLWTKNVLEKLATDAQGLLIIQASIEEVKQKIEGTSHGKSPSSSEYSSIRAQLQEIEGSVLEQIGFNNSLTKKAENYPEFEASAELEGYSSRRKISEQVQKGSEKVARLDLELQKIQYVLLKLEEEHEYTRVKVSEKRSRLLLRDYVYARKDKVDVGQKKKSRVPFCGCVRPRTITDEP >cds.KYUSt_chr3.30636 pep primary_assembly:MPB_Lper_Kyuss_1697:3:192103930:192105785:1 gene:KYUSg_chr3.30636 transcript:KYUSt_chr3.30636 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSLPPFLTKTYEMVDEPSTDAVVAWTPSGTSFVVASQPDFCRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPEQWEFANEEFIRGQRYRLKNIHRRKPIFSHSSHSQGAGPLAESEKRDYEEEIERLKCDNAALALQVEKNDQKKIDMDKKMQALEEKLFAVEHQQTSLISYVRDIVKAPGFHSTFAEQSDHHGKKRRLPKPISFHEDAAHASTRENQITRCDLANSPPAHELYRESFDKMELSLNSLENFLKQASEALDNDISYDGDCAGPSSAVVITELHSSGESDPHTRPAPSMMHTSSAGIGDSHSSQDIAESTSCAESPPLSQMHSIADSRAKISNIDVNMEPAVTETGPSRDEAAQDPPAAAAGANDGFWQQFLTEQPGSLVAHQEAESERRDREAEQMTPGDRANFWWSKKSVEQMTEKLGHLTSAEKT >cds.KYUSt_chr6.20263 pep primary_assembly:MPB_Lper_Kyuss_1697:6:127653870:127665025:1 gene:KYUSg_chr6.20263 transcript:KYUSt_chr6.20263 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAGAPRALERQLLQAAIDGDLRRFKGTVTALDAGKGRPREAVEAVKDDGAGALHLAARCGRTPVCAYLVEELRVDANALDDSGITPLGHAVGAGMVDSVRYLLDHGANPDKTGNCEVLKVLLSKGADVDALSYCGAALHIAAIRGQYAAMKILLEHHADAGADVKGLGSANPLVVAATEGLTDCLKWLLDAGADPNVPDDFGHLPIELAASNNRREDVKILLPVTSRIPSVHDWSIDGIINYVKLTLEALNFYPDDATLLSNRSACWLNMDEGDKALTDAQICRMVRPDWPKACFREGAAQMLLKEYGKACDAFLDGLKLDPENSEIESALREAFNSLKIGNPAKKAH >cds.KYUSt_chr2.18919 pep primary_assembly:MPB_Lper_Kyuss_1697:2:119003267:119010417:1 gene:KYUSg_chr2.18919 transcript:KYUSt_chr2.18919 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTCIRCYDCEIARCGIYIDVALSYKYDRGEAARQGHARSSPAFIFLEFIALPVLLQSPAMASPFSVPSLIMEEEEGRFEAEVAEVGAWWNTDRFRLTKRAYTARDIVLLRGTLRQSYASGEMAKKLWRTLKAHQAAGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQQEARMSLPRAERANVPFVDFLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDIMGVETVLVARTDAVAATLIQTNIDARDHQFILGATNPRLKSRSLTAVLSDAMAAGKNGMELQAIEDEWTASAQLKTFTDCVKDAIASLSASEQDKQRKLQEWSNATSYDKCLSNEQAREVAASLGVASVFWDWDLPRTREGFYRFQGSVAAAVVRGRAFAPHADMLWMETSSPNIAECTAFAQGVKAAFPETMLAYNLSPSFNWDASGMTDAGMATFIPHVAKLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQREERNNGVETLEHQKWSGANFYDRVLKTVQGGISSTAAMGKGKSLLILC >cds.KYUSt_chr7.35650 pep primary_assembly:MPB_Lper_Kyuss_1697:7:222754071:222754496:-1 gene:KYUSg_chr7.35650 transcript:KYUSt_chr7.35650 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYETLRLYGAATIIARKAAADTELSGVKIPKGTMTMIPIAMMHRDEEVWGADAGKFNPDRFRNGVGRAAKHPSAMLGFSVGPRSCIGQDFAMLEAKATLALIVRRFEFEVAPEYVHAPTDFVTLQPKCGLPILLKLLHQ >cds.KYUSt_chr3.3696 pep primary_assembly:MPB_Lper_Kyuss_1697:3:20988637:20990145:1 gene:KYUSg_chr3.3696 transcript:KYUSt_chr3.3696 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVPHVLRENLACILVRAMSATKNVGAQRDRLLQLRRRLQQPSPEDEVQEEVASGLRKVYSKGLDYGARYLADCLETAAENRDSLSFSIPAFAVIPNEQLYGLLRGQWHSPRPTALVQAFARIESAYYAVMLTLEHHLPRCIELLVGHLRHLANRFEGRLPNPDPAPAATGKPPQAESTVDLDLALTYLHRSCSLTSLAVKHLDVAIAFISSFLDPDEVAKISDWTDQRTYYISEFAKELMNITLPIKLAATLNLDVTLNLPFHAALELSLYQAAATEFSKPKRRPRFWI >cds.KYUSt_chr7.14479 pep primary_assembly:MPB_Lper_Kyuss_1697:7:89489143:89493340:-1 gene:KYUSg_chr7.14479 transcript:KYUSt_chr7.14479 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGVTGVAAKLGELAAAEATALLRVDTEIRSLRRKLAYLQALVRGADRVRRGRANELLLLWLRETREVAFEVEDAVDEFHLRVEAFHLSVKGRRGWWHGAAFNLLQGLATQIAVRHGLSRQILKINERIDELNQNKETYAIESFPSETWNPSSIEIDPEWYEDGYIVDSRQSEFTSLKDQLINEEENVSHRAVISILGECGIGKKTLARKLYNDPDIMRHFEVHVWVCLPPHIRFTDYVETMYKQVSSEVPETHELIDKSPEGDDNLSLAPGDGETASKERKLRQQMQNRRYLVVLDGLVEINDWNSLFDVLPDDSNGSRILLTTRLSVKEINHMDPRIAPLELSCLETLHGEQLFCQRVFGAKKPPEIYKCKAYYEKIHNISTGLPLAIIVLAGVLRSKVIPVEWDDVLEQLETNGQPKPVGSIWSLAFDDLPHHLKSCLLYFASMSENIIIYPDRLVRLWVAEGFVVPKKGKTLEEVGFDYMKELVSRGLVQVMEKDAGGGIKLVAIHNLLHAFVESEAQDSGFLEIHHQANVSNPNAVRRLAVHNYLDSFVNIPNEFPKLRSLLCDFAEDQRSSSIYEMPQRQTLWGNFADLCLRACGNPERTGLNTIHGLHFLEGSRFLRVIDLNGLKIQKLPDEIGGIIHLRYLGIRNSNLEELPSSLCKLDNLQTLDVRRTNVRRVGDEFWEIETLRHVLAEKIMLSNCTVSLNNLMTLDGVEPSNPWHEEICPLNHMIGLRSLSLSGISETHTKALSAALKKMEFLVNLKLSGEFLPSTMFTDSSMRRLQVLILHGKLEDPHASHSDRYIMPNLTVLHLHKSELSQLFVDKLAALPCIAEMELLHGSYSGTKLVFPERGFQNLRKLKLKNLCILEELVVKPGAMTMLSTLAMYDCGRLKIVNGLTALEHLQELAFYNMEMTVDTIKHKDKKLFDKIKCLTTTRSLTKVDRDVPVGSWIRSLERPAPTLRVSGAPESPCSELESAGSVDPKATDDIKVHYSSGSAWVRLAQV >cds.KYUSt_chr5.1913 pep primary_assembly:MPB_Lper_Kyuss_1697:5:13170285:13170786:1 gene:KYUSg_chr5.1913 transcript:KYUSt_chr5.1913 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELTARELRGLLDGIEAWRSSFHTPPLQIERKATSKLKPTILHITMTKEGSTNSCPSASNLQEQKALLKMENPAASSPITSGSSRWSTVMRKKNSKTQQIWPRRSDANSRHDAKDEHLAQLYS >cds.KYUSt_chr5.32264 pep primary_assembly:MPB_Lper_Kyuss_1697:5:204690208:204690900:1 gene:KYUSg_chr5.32264 transcript:KYUSt_chr5.32264 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPQANEAEVEQELLSLEASISSSTTTGTMCDGLRKLGDIYNGVEEIIGLPSNQVGKMLNGEMDSSLELLDLCSIMQEIFVEMKAIIQELQAALRKGDDAAVQANIQSYTRLAKKAKKLFKKTTKKATYVGCRMVMLFTKAREISASLLESSVHLLSKEIDMPKQSIVSKVFHKKKAVVCEEDLLQELECSIGDLEIATGHLFRKLVHIRVSLLNILSS >cds.KYUSt_chr1.19509 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114588507:114589626:1 gene:KYUSg_chr1.19509 transcript:KYUSt_chr1.19509 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSPRSMAFSAAAAPFGERRKARFCVAASGRDEQHQPALPSMAAVPASLRAIQAKRKMAAARGVPRATSAAGCAVAALAAAVEAVQGAAVGGASGAARGAGDAVAWVFQKVHFESPDLAVGLLGLVASCLGTAVEMEMERMRAREAEASARKTEPVASAADAQDDDHCDDAEEADDVPMLVGLDVEKELWARIGIQHGDDDDNMPPMGVDEDEQEAINIARAELRKASYERIIATSEANSLILSNYAQLLYEFDKDLDRAEDYFKRAVAIEPPDGEAMRRYGMFLWQARGDLVGAEDMFTGAIDEDPDSSHHRSSYAWFLWMTGGVETCVIDSGSNNGPE >cds.KYUSt_chr3.3116 pep primary_assembly:MPB_Lper_Kyuss_1697:3:17904658:17907441:-1 gene:KYUSg_chr3.3116 transcript:KYUSt_chr3.3116 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKAWEVVRTCVLARRWRHLWASAPCVDIRLRYSTRDSQPPEEFRDFVHRLFLLRDVTAPVDTLRLRPSDEDAGFDENDASIWIRVAITRRARVIHLAGHHKAVAPLDGVNFVSGHLKILKLSYARLDRRNLQQLSSVCTALEELDLKDCLITGPEIASASLKTLIMLKCKISCAFSIAAPNLLLVRLVTPYVRVPSFTNFGSLVTGTIILDDSYLSDDFEHISDKDDCDDTTDDDGDDNSENDGRSNNYKIHDDSSLSDVDFGYISDEGDFGQFGYGHGFPKQRFGLGGYKDKYDYGSDIDSDDNTYEYSEIANDAKYGYKGGDLWNPSKGGNYGETSLGNDSKILGGHHILESLSRATSLELLTDAGEVVLSRELKRCPTFSNLKTLSLGEWCMAADFDALIFLLQHSPNIERLFLQLKVKFMRELARQELIDCGMTDDWM >cds.KYUSt_chr5.36523 pep primary_assembly:MPB_Lper_Kyuss_1697:5:230836299:230839328:-1 gene:KYUSg_chr5.36523 transcript:KYUSt_chr5.36523 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLFITACVPVLNTLLVTGFGSFLATDFAGILGKEARKHLNYIVGFIIGGIPLLRNAMIGDSAPLRVLSESAELISGGAIPSVTLIMGANLITGLRGDVSVPRAVIAGVVAVRFVLLPLMGTVLVKVAIRLGVIQPDPLYQFILLLQYAVPPAMNIGTITQLFGVGESECSVIFVWVYAVASVAVTMWSAFFMWTLS >cds.KYUSt_chr4.41876 pep primary_assembly:MPB_Lper_Kyuss_1697:4:258930066:258935110:1 gene:KYUSg_chr4.41876 transcript:KYUSt_chr4.41876 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGHTVSALVLAVTGGDSRCPGIEQKRRPAGDTQTRPSKTSSYPCPPPPPPRRLDHHAAALGRRRQRQPKFLFALGASTGRNFCALLPPQLPSPRVPSHQLRPRIRAGLRRVEASTEAARLWRMGSSASCLWGGSKSTADRTGQTVAASPRSGHLLSRSGRNVQVFSLKELKTATRNFHMLNCIGRGGFGPVYKGDLKDGRQVAIKRLAAESKQGADEFLTEIDVISNVRHPNLVRLIGCCVEGNNRLLVYEYAENNSLSNALLGPKSRCIPLNWQRREAICTGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDAVTHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLLLEVISGESSSKSTWGEDLHVLVEWTWKLREEGRLLEIVDPDLEEYPEDQVLHFIKVALLCTQATAQQRPSMKQVAHMLSNQTEIDLQNAVPPGVLKEPRQLTL >cds.KYUSt_chr6.19480 pep primary_assembly:MPB_Lper_Kyuss_1697:6:122574600:122583309:1 gene:KYUSg_chr6.19480 transcript:KYUSt_chr6.19480 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQDKAQGCCGGAPAGKVALPAAAKGGAAKEEVADSSPTTAKALAKGIPIMTRAQRSHPLDPLSAAEIAVAVATVRAAGRTPEVRDSMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGGPVIPSRLPPRRARLVVYNKQTNETSIWVVELSEVHAATRGGHHRGKVISSEVVPDVQPAMDAMEYAECEATVKDFPPFIEAMKKRGIDDMELVMVDAWCAGYYSDADAPSRRIGKPLIYCRTESDSPMENGYARPVEGIHIIVDMQNNVVLEFEDRKFVPLPPPDHLRNYTPGETRGGVDRSDVKPLIISQPEGPSFRITGSFVEWQKWNFRIGFTPKEGLVIHSVAYVDGNRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLTEVRRSRRLTVSFICTIANYEYCFYWHFYQDGKIESEVKLTGILSLGALMPGEQRKYGTTIAPSLYAPVHQHFFVARMDMAVDCKPYEAYNQVVEVNVKVESAGPNNVHNSAFYAEEELLKSELQAMRDCDPSSARHWIVRNTRTVNRTGQPTGYKLIPGSNCLPFCLPEAKFLRRAGFLKHNLWVTSYKSDEVFPGGDFPNQNPRIHEGLATWVQKDRSLEETNIVLWYVFGITHIPRLEDWPVMPVEHIGFTLMPHGFFNCSPAIDVPPPSDVDAKEAETPKEIHAELISKLGLIGGPNSWNRDGRALESVIRGIHNGTLESVIRGIHNGAPRLEEPSERIVCRT >cds.KYUSt_chr2.44976 pep primary_assembly:MPB_Lper_Kyuss_1697:2:280224469:280225275:1 gene:KYUSg_chr2.44976 transcript:KYUSt_chr2.44976 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRCFPILLLVAMTATAGASEDRADFYVSKCYPPPTPTADNGGAFRGNLLYLLDALPTVAAPTGFASLSLQNAGSAVTDRALVRGLCFGDSAPKPCRRCLSNAGKKITKQCGDASRRAGFWDERCFLGYAEGANFSSAGADDDFGAVVFSGDTVPSPDIVSVRRLEALAQSLAPRAAKGSVATADATTPATKGDTTAGNRTVRVLALCARDRAAADCASCLRDASLVVAKSWEVDGDAHGRVAAVLGSNCYLRFEISTSLLAIISE >cds.KYUSt_chr5.7903 pep primary_assembly:MPB_Lper_Kyuss_1697:5:49841244:49842626:-1 gene:KYUSg_chr5.7903 transcript:KYUSt_chr5.7903 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAARRNRTRRGRARKSPTFHVSVFNCMPEPWKPWERDWAELPADAISCVLHKLRLPELLLGGVAEVCRSWRRAAREEPELWRYINVRWLPKVPPFTHRATLENIMRSALRLSAGQCRSFSGEHLDDRLFMLLAQRAPFLKSLNLTDCYPIFRGGGFANAIKKFPMLEYLTLVNCYCNEEALQLIAKACPCLTHFELVHEIRCHSYRIRVCADDRKAFAIARMHGLRSLKLVEDSLGNQGLAAIIDNCPNLKYLCIHDCWNVSMDGNLTVKCANIIMDYREYFPPSEPCDCVSPMSYGQLSDYDYDDYHDLSLYSYLGDEIDAANLDDYERTLDVKGMRRYLS >cds.KYUSt_chr5.3932 pep primary_assembly:MPB_Lper_Kyuss_1697:5:25432405:25434334:1 gene:KYUSg_chr5.3932 transcript:KYUSt_chr5.3932 gene_biotype:protein_coding transcript_biotype:protein_coding MDELCLCIDLFLPSLLCVRCTGAAAPGEDCEKLSCLRKTNSLTTGKDWIFFSIDFIWAMEEVEAANRAAVESCHGVLALLSQQQDPALLRSIAAETGEACAKFRKVVSLLSNGGIGGGGHAKVRLSRRRKPMGFLNQTGFLESSSNTPLGMLISGSSPSTSAGSARPLFGASPPDLRGLDLVSSGSKSAHHFGGPPKMVQPLSVQFQFGATAHRFPFQQQQKLQAQMFKRSNSSISLKFDSPSGATGTISSPRSFMSSLSMDGSVASLDRKPPMHLICGPSASDPLNARHGASKRRCTGRCEDGSGKCATSGKCHCSKRRKLRIKRTIKVPAISNKISDIPPDEYSWRKYGQKPIKGSPHPRGYYKCSTVRGCPARKHVERCVDEPEMLVVTYEGEHNHNRLPTQSAQT >cds.KYUSt_chr6.31573 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199554642:199555865:-1 gene:KYUSg_chr6.31573 transcript:KYUSt_chr6.31573 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRGAAEERTDEQQMRVEDEDRGRAAGVEDEERTTHEDEQATSAGKTRGSIFALIPCLHGEQDASDLRAGADGLLRLAEVAGVRSSGNDGRGDLLRLEDNRTGEDANDDDAA >cds.KYUSt_chr4.2025 pep primary_assembly:MPB_Lper_Kyuss_1697:4:11073649:11075108:-1 gene:KYUSg_chr4.2025 transcript:KYUSt_chr4.2025 gene_biotype:protein_coding transcript_biotype:protein_coding MWKAMVAEATARHGVSFVLGAAAALTVVMLVQYQAPAAGLSRARLPGHFSCSRSLDHHRLNGTAVHTLHKAPTVGAEDHHVHQANATLKANSTTTDRPAALSPLPRTDRQEESTQEPEEFRGLAAAVALAAMDDRNVIITCVNQAWAAPGSLLDLFLESFHIGDGTARLLPHVLVVAMDPAAHARCQAVHRHCYHYTMPGVHMNFTSAKFFGSKEWLEMVWSKLRLQRRVLELGYGFLFTDVDILWFRDPFKHVTAYADMTVSSDGYFGDPDNLGNFPNTGLFHVKPNVRTIAMTKVWHESRGRYPGAPNEQPVFNMIKKRLVAELGVRLRYLDPAYVGGFCSYGKDLGKIVTMHANCCVGLKNKMRDLRGVLDDWKNYTKMPHWEKHKANWTVPGACIK >cds.KYUSt_chr6.2180 pep primary_assembly:MPB_Lper_Kyuss_1697:6:12855701:12856162:-1 gene:KYUSg_chr6.2180 transcript:KYUSt_chr6.2180 gene_biotype:protein_coding transcript_biotype:protein_coding MAICAASSLALHAAPSAARRRTVVVASASTARFDRRSAVLLLLSAAGAGAVAPSANAAGSIGFFGVRKRLERAEEAAVEAAREVGEAAVEAAEAGGEAVAEVGKEVAGEGMQLVAEAGLAGDALVQAGAVAGAEALGVLVGLSVVNGILKPEA >cds.KYUSt_chr5.34261 pep primary_assembly:MPB_Lper_Kyuss_1697:5:217244805:217245623:1 gene:KYUSg_chr5.34261 transcript:KYUSt_chr5.34261 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLAHEYHPKLPATNHCKSLSCLVRETYAHCHVPCVGRMRGAGWSSGDDSDDEDLDDALDTKQVSGDCSDRLTKFFIRARYGRLLMDLMVQVILNEMRNRQLKRKESRCSVESPTLSSTFVWSFTQLEPSPRSVLEKVSSIEKDEKDKEAASDDGRGDADDVESEAFFSVKSFFTRSTSRAATVASSADLDPAATWEGFRDCEGWPFGLCRRPAVPPLPSTPADSWKWRKQSSMGNLLAASPARAYSCKVATSLPASPLARKNLDDVNRPS >cds.KYUSt_chr4.48805 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302236906:302239303:-1 gene:KYUSg_chr4.48805 transcript:KYUSt_chr4.48805 gene_biotype:protein_coding transcript_biotype:protein_coding MVASFWGPVTSTMELCEENYARSSYIAEFDNTLSNAPCILLALIGLVNALRQRFEKRFSVLHISTSFTRRCGRRRASCAAIEGGDHREREEGLPSSDFILTKFTSSWSLLSMITCCCIAVQFLSLFKFSFILS >cds.KYUSt_chr4.11421 pep primary_assembly:MPB_Lper_Kyuss_1697:4:69478583:69479026:-1 gene:KYUSg_chr4.11421 transcript:KYUSt_chr4.11421 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGVLMAAVDAGVGGAELGMLGSRMLKHGRGNADAGDHGGWGVPAAKQARTDAEVSEAVRAAAPYLVGTCSPGHGREKMLSFSSSAASSCHSAAAAAAEAAAMPLYYGTPASCSGGMPVLANLKLSFLSLVMLLIGNLANFIVAV >cds.KYUSt_chr1.8 pep primary_assembly:MPB_Lper_Kyuss_1697:1:61782:62621:1 gene:KYUSg_chr1.8 transcript:KYUSt_chr1.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGFPLRVALKVRSVLCSQQQHRVELLEMAGGFSALGPKTKNLVVAGGLTGFVLGVYYYTMHAVGGTDELQVAIDKFEDLKKKDAAESAAAATKPSATGSS >cds.KYUSt_chr3.42809 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270594160:270594732:-1 gene:KYUSg_chr3.42809 transcript:KYUSt_chr3.42809 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKQQIKKIAADVLAFAGVHVTTLQLYNHIRNWRTKWSVIMKMKSDRILDWSEAGCCFSGGDEGAADEYILRSPKHRQYVGTPITNYAKMKTIFTLRFVYKAQLFQPNLLVRAIDFIADNEAEYAVYRKLQPPERRSWLRTWLRNQFPLSLRCLLMIR >cds.KYUSt_chr1.2970 pep primary_assembly:MPB_Lper_Kyuss_1697:1:17761093:17762609:-1 gene:KYUSg_chr1.2970 transcript:KYUSt_chr1.2970 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGDISPDTFLSKPMAPTKGDNMVLEVCVRTFVSTAEDACHDKVTKETIVCFLGALERLAAMSYFMAQDTLANVDVDKDRFEYMGKMATLKHGLNMAQPRYADRVLMYAVSDAMVLTEPFVMSMTTRRRNALRGLMPGIRRAAAV >cds.KYUSt_chr4.27525 pep primary_assembly:MPB_Lper_Kyuss_1697:4:172936484:172940339:1 gene:KYUSg_chr4.27525 transcript:KYUSt_chr4.27525 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYMRQQRLISSSDDPNDTPRYNVQGWSVSQGRAGRPFVFATARQEPCTMSNKDDGEAAVLIEGHDNGVREAAATNEDEEEGRSDREHGESFFHCLDRVEPSGAVRAKDIDPDIFLSDDGEEDGLSDDVRVSFATAVGDRLQEEQAELDEEELEEEDDDVSRYDYDMWMAADDPVPIKERRRRLLQGMGLNSSRDLLRSRNARTMMRNNVPSDIPRGASRRQPHPPGTAPSVPAVKRQHGVLLKRCRSDNRLAAGGGASRKPPPLRRVYSVPHSLQGSTVHRALHPAARCQSLPAASKDETGGGENIIGNGDDIAHSQRVKNLDGGKEIVVSGGGQSTDGAVNGARTGARVSVGDLEQYVGCPPLVKQLIRRSQSQPVPANKDGGKPPAKKKPRWLKNIKLVATAAGLLHEKDRDRPSALPSAVTMSKSKSAPASASASAADRGTATPPPVPSSSSTSERLKVHHSGKSSKDLTGLYMRQEVRAHEGSIWSIKFSTDGRFLATGGEDRVVRVWQVIDADASTSSPELSAAMLLPPLAPTDGGSLASAPGLAAQLSRKVRRGKSGKHVLPEHVVVPETVFALAEKPACVFEGHVDDVLDLSWSRQSPRLLSSSMDKTVRLWDVEGKSCLKVFAHSDYVTCIQFNPADDGYFISGSLDCKVRIWSVADRQVVDWSDLTDMVTAACYTPDGQAAIVGSHKGCCRFYKTRDCKLNQEAQIDMSISKKRKSQAKKITGFQFAPGNPSEILVTSADSQIRVFNGITVLQKFKGFKNTSSQLSATYSGDGRYVVCASEDSHVYVWRRAGGGIDGGARGGGIGVKAKTWLTSRSYEYFFCRDVSAAVPWPGSPSTSATTATATASGRAPDERLSSSVHGGSRRSDDDAPGGGVPLPLRPKSGPMTYMGGQSETLRRGASSRDCGGNAWGMVVVTASLGGEIRVYQNFGMPLGIRGQSSRFH >cds.KYUSt_chr4.41099 pep primary_assembly:MPB_Lper_Kyuss_1697:4:254123346:254124698:-1 gene:KYUSg_chr4.41099 transcript:KYUSt_chr4.41099 gene_biotype:protein_coding transcript_biotype:protein_coding MESFIVLLFLFLARAEPASYGIGDGGREGKSGGAVLLPLRVQEVSPLPRAPANRLRFRHNVSLTVPVAVGTPPQNVTMVLDTGSELSWLLCNGSSLSPPPVPFNASISLTYGAVPCSSPACMWQGRDLPVRPFCDTPPSNACRVSISYADASSADGLLAADTFLLGGAGAPPVPALFGCITSYSSSTGTTNNASDPSEAATGLLGMNRGSLSFVTQTSTRRFAYCIAAGEGPGILILGGDGGGAAPALNYTPLIEISQPLPYFDRVAYTVQLEGIRVGRALLPIPKSVLTPDHTGAGQTMVDSGTQFTFLLGDAYAALRGEFLNQTRPLLAPLGEPEFVFQGAFDTCFRGMEARVAAASRLLPEVALVLRGAEVAVTGEKLLYRVPGERRGDETVWCLTFGSSDMAGMSAYVIGHHHQQDVWVEYDLENGRVGFAPVRCDLATQRLGVRL >cds.KYUSt_chr6.23058 pep primary_assembly:MPB_Lper_Kyuss_1697:6:145698687:145699225:1 gene:KYUSg_chr6.23058 transcript:KYUSt_chr6.23058 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQHGHETNKVEEYGKPVAGHGGVTGGPTGTHGAAAGAAGGQLQPMKDDHKTDGVLRRSGSSSSSSSEDDGVGGRRKKGMKEKIKEKLPGGGHKDTAEQQQQTAVAGEYAGTHGAEATGEKKGVMEKIKEKLPGGGTH >cds.KYUSt_chr6.9722 pep primary_assembly:MPB_Lper_Kyuss_1697:6:59904058:59908826:1 gene:KYUSg_chr6.9722 transcript:KYUSt_chr6.9722 gene_biotype:protein_coding transcript_biotype:protein_coding MALEASGVETKALLDQILKRLDDGAALTSKRYDEQVAFNATVAHDLQVMRKQLDLTQADVDEARQVVSTVVASAAPPAPTVDHRAAAAAFVASGLGVPGYPRLANDGAPLLPPTQEAPLMRPQPARQDHRAAADAFVASGLGVLGYPRLANDGAPLLPPTQEAPLMRPQPVRQIVEQRPQRENEGDNGFVKPPKHDFPRFDGMLPNLWLDRCEAYFDMYRVRPQNWVTTAAMYVDGHAALWLQAYRQQYPQVSWARFSQAVVEEFRPNEFEDQMHKLLQLRQTGSVTEYRVQFEVYMYHLLALDPSLSTKFFVTQFVLGLKDELRAAVRIQAPTSITRATVFARIQEEELEAVRPRHRPPPAGRPPPAAAPVPPRPRLAPDEYARERQLRDFRRANNQCFKCGEPYTRGHQCKRQGAQLLTIQVGEFGELLDDAAVEALEFLDEPVDPPAPAMCCMLSAHALSSSDTPVSAFRLPVRVGNKTMLLLLDSGAYDGVLGKDWLDSFSPMTCHWNDNKITFDHEGKTVVLQGLLPVQISSAEQLDFETLQQLHADNEIWSMAVLENWAEIAADSETLPSQIQAILTDFNDVFAEPEGLPPHRQYDHAVTLEEGASPPNVKPYRYSPMQKDEIERQVQEMLRTGVITHSMSPYAAPVLLVKKKDGSWRFCIDFRRLNLITIKNKFPLPIVDELLDELAGATFFSKLDLRAGYHQIRMREEDEEKTAFKTHHGHFHFRVMPFGLTNAPATFQCLMNQIFGEYTRKFVIVFLDDILVYSSSLQEHEQHLRLVFELLRKNQLYAKLSKCSFSQRQIEYLGHVISAAGVATDSSKTQAMKDWPVPISATELRGFLGLTGYYRKFVASKALGVKNQQLSTYEKEFLAVMMAVDKWRAYLQRGPFTIVTDHKSLCNLEDQQLETDLQRRAMSKLVGMQFKFQYKRGADNGAADALSRVGHLLTANTMSICQPKWMQEVANSYETDSEAQQLLTRLAVISPDEEGYALHQGVIRVNGRIWIGANTALQTKLISALHNSAVGGHSGIMNTFQRVKKLFAWTGLKAAVEDFVRQCQVCQQSKHENIRPAGTLQPLPVPTGPWHDISMDFVDGLPKSDGYNSIMVVVDRLTKVAHFVPLKHPYTAVQVATALWDNVIKLHGIPMTIISDRDSIFTSAIWRELLSTAGTKLLYSTAYHPQTDGQTERVNQCLEMYLRSAVQDSPRQWRRWLPAAEFWYNSSHHSSLSCSPFKALYGHEPNLGMMVHWGVTALNPEDFDWVTHTDLLRAQITRAQDRFKKKADRNRTERSFEVGEKVLLKLQPYAQSTVANRPCRKLAYKFFGPFAVEKKVGSLAYKLTLPPEARVHPVFHVSQLKPFTPSYSPVFAELPRPPDLTTGELEPIAVLDRRLKKKGTEPVVQLLIQWSRHSAQQATWEDAEVLRLRYPSARIWEGASTQEGANVTPEPD >cds.KYUSt_chr2.26236 pep primary_assembly:MPB_Lper_Kyuss_1697:2:160536212:160546646:-1 gene:KYUSg_chr2.26236 transcript:KYUSt_chr2.26236 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHDAAAAPRPTQAPPSLKLPALASAVVSAPSSAAATAPAPRAASAAAAALASRMANPAIGGHLARTRRPNRPVPPAAPTPRPFAAAAAMTGGALVQLQPLETPLLAASAHGAACLPPHVPVLEKVEPGVPPSKTVAPLNLVPVGAASLSLHFGGVVATGCWASLADEDVSSDEELAPMTPPATSSSSLASDPAVLVEGLGSLALSPVASGGLAVVSPSDDTPSAPSLLWVASLGSDEDDDDEELVPWLPLAGSVHVEEAHVEPCCGLSAIADAPGDDDGWVQVGRRGRPSREPSPLLRKDGLERSLVFKRWARGRCFRCLERDHQVSSCRAPFKCIRCRRPGHRERFCRARFPAARSRSPDARARSPDAHAPCQSGRSPRAQPRRPSASRSWAEVMCHSSSPATSPPKPSPRCCEEFNGNACFDSHLQCQFALLRLELAQLVANRVEEASRPLREEVATLKLLLAQVGVSLEPTEACSSGGQELATVEAPFPLSSAEQKSSVVEITPELYELCGDSSVVPELLKLGGGKVMPPSVDEVRHVVPFGVEVAKSSLLATVPGGVVAREALAASGLRAIRYALEVDGIGEVIAVDNNEVAIEACKKNIYHNGILASSKVVPHLADARIYMLTHPKEFDVVDLDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCFCKYGSYPVRGKYCHEMALRILLACIEGLRISLGSHVDPLALKTDAPMSVIWDIMRCWVKLHPVKSQPENCPGSRILSQEPQLQFGCLGEWNKAVLMGPWLLRNNYAPLMEKYDGFQNPISIVLDELAVWVTVMRLTDNYLLEVVIKGMCRPIRKTDEGHGKEWYHVKYEKLHVFSGVCGFIVQRHEECGTREHDESKMEWLTLYWLMEVEGMVVVVTWIEAVEEGETRIQGVMACAGTSTKIPMEALGSQSKIRKLKNDQE >cds.KYUSt_chr1.11812 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72853741:72855153:-1 gene:KYUSg_chr1.11812 transcript:KYUSt_chr1.11812 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRIHDKYQASLSSRSRPALALLLPHALALHLPWLHHSLPAPVALFVVFELYESASMSSMAPPPPFAQVVDDLIKGQQFAAQLQDLLRASPKAGLIVDQILHTFSRAIHAAEAAATSAGEWSSDVQSEVTDGGSAGGKRKSAAGGGDRRACRRKTQQSSVVTKTLKSLDDGLSWRKYGQKDIQNCKHPKAYFRCTHKYDQLCAAQRQVQLCDDDSGTFKVTYIGVHTCQDPAAVAPHVHHQTSTSDALHAGFHLISFAPNAVATPASTTSTMTTSTTNYQLTAGPSIGSGPQSLKLEGGDHEEVLSSNTPGCSTMRSTGAGAATAPTWPADQGDVTSALQYDGDVHLADHFFDDDYSMYSYLIDDLPPYHY >cds.KYUSt_chr1.18190 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106177846:106187685:1 gene:KYUSg_chr1.18190 transcript:KYUSt_chr1.18190 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHTAPRAPLPATAPSSSSPQLDPNPSRFLAARRLRVRRLAGAAPTRRAPDAFRCGARSPGADSGGERRRGWDALFHGAFQGAVRRWSEYVGSRWPSTPASKEAAPGKRAESSLQQEEKVEEDGEGREVEDGEGKWSWERWKQHFALIEESEGLVDELQLQLRTAVYREDYRSAHKLKLAIIATSRNDTVGRAISDLHRAIEEERYMDAAHIRDHAGAGLLGWWSGISGSLSDPYGLIIRISAEHGRYVAKSYDIRQLGSDGHGFPIFEIYFAEANGGYNLQAVHLKPDASDSDQLPNMLSGKIDINSMNISSSSLGAKHEEHDEGINMDDQSSDDSDVAAGPAGLKNLSNDSPPVPRIKILKVAPMENINQDYIIKIFDQMSEEDDENDDAEIENESSQDIGDEDNNEEAEIVSAEENNNESGEESDIEALLSIGIEIENGKEFASQSSPKTFERMPAKLDKRDRFSFSFYTEQSSKKPDAERAQQIPKKRVGFRTIEQDGDLKFDRVKLAGGNRKLPLKCTIFGYFDVNFALTLSLSASLRPEQASSCERAPPGGAASKLRRPAPCPRRPDERRRLCLRSSRQNHHARLLAAARNSCSLQGPSAASTSPQRSSSLPPTAWPPSRRPPARLTASPSAPGFGQQPLAPPAPAKPTARLRPRATQRPPRTIARTHAARSALPRPRAAAKSHAPPARSAQAHHCRPQLPPADLVHPSPAVWRLSLPAAVRRISGSLAGGLLPLPHLHFDFADLVEKKKC >cds.KYUSt_chr7.3395 pep primary_assembly:MPB_Lper_Kyuss_1697:7:20264794:20265903:1 gene:KYUSg_chr7.3395 transcript:KYUSt_chr7.3395 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTLIHIKCSQLPLPLHLFLLAHLIPGRHHLFQAGELHRQIPPDLILLNAWEPLSPESSSLALGRRHPCAAKGAPHQIPFASIHGAQGHWRAPHTRITRSTGVDQGAAAGKRVNLDGVGVCDGGSMRRLARIQKLTDTRTVELEHASWPAVMPTTVLRASSPTTPTRPRSGCPRTTALRASNPAVLEAAADADPVALVVALRSLKGLMQCLAAVGGGAGSVFLSPLPPGNKTHQRPPPPRRQCRPVPKKAVFNLFGKRIMECARIHFWLFTD >cds.KYUSt_chr5.32450 pep primary_assembly:MPB_Lper_Kyuss_1697:5:205963386:205967307:-1 gene:KYUSg_chr5.32450 transcript:KYUSt_chr5.32450 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKFMADAEQEAEEERTAHAVFDTEQQHRREAAATEEESDNDDNFDWSGLDPEEKATEQRALSQFAPRVLAVSNLDLLPRSVPGSLFCAYRKPSAGGFGDVVTTFEAKLPSLLDHFLLLTGRIVANPRSGRPEVHCNNQGAELVVGEVDVALASLDYGDLGASLARTGVPVQYGADIALSVQLVSFACGGFAVAWGSNHALVDGYSGCLVANAWSQLVRSGTIPATATPNHDRSVFRPRATPSYGSSVRELFMPSESEHLVNALTNESSFVRRTYYVDLRDVATLQAQASREDAAAGERGATRLEAVSAYLWKVFATVVGESDERCRMGWWVDGRRRLTAVGYEAAAMRNYVGNVTTFAVAEASVDTIQRRPLPDVASMVRESIKSTATGEHFQELTDWVEEHKAAKYVERATVGLGSPVLAVSAFTSFNFDTDFGFGHAALVMPTSVNSGRPCCGLMRIIALPGGNGWLIGMSVWPRLAPAFDSDKHRIFKPLSAEHLGLGQHSRL >cds.KYUSt_chr3.31774 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199680236:199681960:1 gene:KYUSg_chr3.31774 transcript:KYUSt_chr3.31774 gene_biotype:protein_coding transcript_biotype:protein_coding MKELSGSLSIKNLENVNRKDEALESKLYQKSHLRSLGLVWSCNDGMNSEGSLQLEVLEGLVPPPQLMGLKIEGFKSATYPSWLLEGLHFENLESFMLVNCSALESLPINTELFGHCSELLLENVPSLKTLSYLPAALTYLSISSCPLLMFIMNAELEQHDQMENIMRTEYLASQLASMWEVDSEEEIRQVILSDHSSLKQLMTLMDTDMSHLQTIANAERDTTMLKEDIIKAWICCHQKRIRFIYGRHIGMPLVPPSGLGRLALSSCSITDGALAACLDGLTSLRELSLAKIMTLTTLPSQEVFQHLDKLKILSIRDCWCFRSLGGVRVATSLLDVRLISCLSLDLEGGTDLMPSSLERLIISRSMVAANLFSGDLTHLKFLSMFCCRSSPSLSIGHLTSLELLYLGGIPDLCFLDGLSSLQLQHVQLTDVPKLTAECITQFRVQKSLCVSSLAMVNQMLLAEGFTVPPFLSLEECKEPFVSFKDSANFTYVKCLTLCRCEMRSLPGNLKCFSSLTKLNIFECPNISSLPDLPSSLQHICFWVCERLIESCRAPDGESWPKIAHIRWKDFKSEL >cds.KYUSt_chr4.33857 pep primary_assembly:MPB_Lper_Kyuss_1697:4:207789685:207791659:-1 gene:KYUSg_chr4.33857 transcript:KYUSt_chr4.33857 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTASSKVSTYHSLPAPGFESGLKDEIHSKVMGTIGNVINSFDTKSLPKQLEGALETAGNAINSFESKLAGKKQFDFDGGNDFLDGYDEYPDDYWGSEPPRAQKPVNIRNLLGGIVAIIGRSCKNDEIQQSKDSKTSVSFLGSSSDGCTFLHSSVYAPSAPPLLDAEALDYNIYRVVLEAEPPEWLPDSYAGSCMQCAASFTAITRGRHHCRFCGGIFCKACSKGRCLLPAKFRERNPQRVCDACYDRLDPLQNLLIISVSNASQTAKHDVMDWTSARGWLNLPIGLTMEHEIYKAAISLRSYNQVAKINPEKSIPHAVLSGASGLAILTVIKAGAFLTYKLGTGLVVARRSDGSWSPPSAIVSTGLGWGAQVGGELMDFIIVLHGQEAVNTFSSRMHFSLGAGLSVAAGPVGRVLEADMRAALSEGMDAVVTQ >cds.KYUSt_contig_528.304 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:1848301:1851675:-1 gene:KYUSg_contig_528.304 transcript:KYUSt_contig_528.304 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGDGPVMDSPTSGDPSRDGYAYRPDCAPISCAPGMYANGAVGGSVDPGGRAQSSHTPCPPPNSEISPVTTMNGSAAAATAAAAAADAPPSTRHPGISSDTTMDDSAAAAAAPPSTRHPGISPDTAMDDCAAADAATDEEAPKADRHTLARWYQLEALERALAGNTVAFLETGAGKTLIAVLLLRSYAHRIRLPARDFAVFLVPTVVLVEQQAGVVQAHTDLRVRKFFGAMGVDLWDDATWSRVVDEAEVLVMTPQILLDNLRHSFFRLKDIALLIFDECHHAKGDSPYACILKLPCDFFKSGTCPDLKEDAML >cds.KYUSt_chr6.12795 pep primary_assembly:MPB_Lper_Kyuss_1697:6:79910444:79911888:1 gene:KYUSg_chr6.12795 transcript:KYUSt_chr6.12795 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHNKQKPAESSADKLYEMFSKMFEQQQLNTIPTIFRYALEPNPVKLSGPGNYISWARHAQLILSSHGYIDLLLPNEEVDIQSEFSAKQVNDKVLVWMLGSMEPTVREQVETMASVSEVWTALERQFAGKSNKMQATRVMHELTHLKQDSKSITEYAGEMKKLYRDLHYYHPFEPVDKRDLAIHHKWFESFVSKIFLDGLDQKFNLRRQLIFSKPEWPSLEDVVSNVMEEETRLADGNEDTQNVLDARAAMLQSMRTPGKRVSADNNRWFCDYCKKTGHIKDNCYKLHGFPPGWQKGRSQQGGAVGGKWKHANHAASTGEVPVVDLQALEEFKSKLKISEGSSSSQGSSKADSSFFVTSQGVGDRETTWDWDHA >cds.KYUSt_chr2.26015 pep primary_assembly:MPB_Lper_Kyuss_1697:2:159277870:159281472:1 gene:KYUSg_chr2.26015 transcript:KYUSt_chr2.26015 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPSSSGDEEEEDEGVDGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDLETQKFVALKIQKSAPEFAQAALHEIEFLSEITKRDPENCKHTIQLIEHFKHTGPNGQHVCLVFEFLGDSLLKLVQYNRYKGIGLGRVKEICRSILVGLDYLHGELGIIHSDLKLENVLLVSTIDPSKDPIRSGLRPNLDRPEGNPNGEAVLNPIEKKLKMRARRVLAKLAEKRKTAAEFARSERSLEGIDMTCKIVDFGNACWADKQFTDFIQTRQYRAPEVILGSGYSFPVDMWSFACIAFELATGEMLFTPKEGHGYSEDEDHLALMMEVLGKMPKKIATMGTKSKEYFDRHGDLKRIRRLKISSIERVLVDKYKIPQSDAREFAEFLGPLLDFAPEKRPTAAQCLQNKWLQCDDGKTVANIATKSIGVTGNVESVPDSRAKIIEGKGNTGSRINSNTDNADVVRPTESIANSNSKSTDVNPNTGTIMSRDGHNSDGKAHTGSVTNKNVKRSSDVKPLTGSISNKDDKTIDTKPSLGSIDSRDDKSSDRKISIGSAANKDGKNTEGKRNIRSVVNSYMKNLDAKRNSGSIANSEIKDLDVKPSSGGITSADVNSTSVKPNIGRVENSDVTSAGSVETSDVMSTSVTANTDDVASSGAKLQTNSGTVDEDDTDSQPNVGRVAASIQRLESSMSKVQIGRYR >cds.KYUSt_chr2.17565 pep primary_assembly:MPB_Lper_Kyuss_1697:2:110626935:110627558:-1 gene:KYUSg_chr2.17565 transcript:KYUSt_chr2.17565 gene_biotype:protein_coding transcript_biotype:protein_coding MKFACFGGAAAVADETVAAATRHRRRGTKFLFGSKADKSTSQQTKNKATTSPGRRREMTDFDIYMAAAAARKSSAPSTSSSALSTAASLDSACTSSSSSISSCSRSSSSSSLSSLADATPPRPAKRPPQQQGERKRSPALGPAAVLVCLVMMVFGGRLVATLLTAAVLAFFPRQCPAHRPAPASRREAIDATAVIPSFLVRNRNKYS >cds.KYUSt_chr4.20237 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127220495:127226457:-1 gene:KYUSg_chr4.20237 transcript:KYUSt_chr4.20237 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKTKTDQDSDDTKSKTRSSTAQIRTASDVVLRTAATSGDFYMDLVLQDQTEKSSMVVVMTEGTIEQDDAVQIADRGLLTSTQALLADQNTLAAQVIGYTNNSASFLTYLVLIGNSGLSAKWSLLLPIDIDIDRTCN >cds.KYUSt_chr1.33821 pep primary_assembly:MPB_Lper_Kyuss_1697:1:205488594:205490857:-1 gene:KYUSg_chr1.33821 transcript:KYUSt_chr1.33821 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGKDEDGVRKIGVAMDYSASSKRALEWAIRNLLRRGDTLVVLVVQHSSGDEAKRAALWAKSGSPLVPLSEFREPAVMQTYGVKCDAEVLDMLDTAARQLELKVVAKIYWGDAREKLCEAVEEQRIDTIVMGSRGLGTIQRILLGSVTNYVLSNASCPVTVVKEKC >cds.KYUSt_chr5.11405 pep primary_assembly:MPB_Lper_Kyuss_1697:5:74001931:74003511:1 gene:KYUSg_chr5.11405 transcript:KYUSt_chr5.11405 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSMMDSTSSTFSIMEEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTGDPAKDVMVDALQDLMVMCQHAMGTFDTAVVDFRAKQPADRMDIDLNKNL >cds.KYUSt_chr4.3224 pep primary_assembly:MPB_Lper_Kyuss_1697:4:18428920:18431016:1 gene:KYUSg_chr4.3224 transcript:KYUSt_chr4.3224 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGYGRRKVTPNLAADREGTRLLNLTVLRRLDPAVADILITAAHVTAYTFDTTNTQWSRKGVEGSLFVVKRNAADEVTGIWFYDPEECEEVAQLFSRAHE >cds.KYUSt_scaffold_1700.198 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000013.1:1382024:1385345:1 gene:KYUSg_scaffold_1700.198 transcript:KYUSt_scaffold_1700.198 gene_biotype:protein_coding transcript_biotype:protein_coding MNDCISVCIRSIIPVSFFQTYYPSLLMFDMFSGGFALALPLSLRMQDMVVVPLDPARFLCMYSSVLHIGCSLQVHMHSRWRADIMAAVVYKLEQWYLPHDFQGAARGAPVAEHLGSADLPWPSFAAASSSGWSSGTCHMTSRALLVELQLQST >cds.KYUSt_chr5.108 pep primary_assembly:MPB_Lper_Kyuss_1697:5:747232:750029:-1 gene:KYUSg_chr5.108 transcript:KYUSt_chr5.108 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLSMEISLIHASLLLPLLLLPLYLLSWRRKVPSNGRGRGNKIPSPPALPVLGHLHLLKKPLHRSLAALAARYGGGRDGAGLLLLRFGATPVLLVSSPSIAEECFTVHDVALADRPGFASRRALIGGDCPSIALASYGPLWRHLRRIATVHALSAHRLSLTTAARDTEARAMARKLWLAAGPDAAVVSVKSTAYEFVVNVVMAMVAGRRMPEDEVLRFKAMTEAALAAAGSANRQDFLPFLRLLDFGRTGKRLAGLAKERHEFGQRLVDEYRRLNDPAITDETSTPASKTVIGDLLRHQERSPESYSDVVVRTVCLSLLQAGTDTSSSTIEWAMALLLNNPDVLTKATKEIANVVGMSRLLEERDLAHLPYLRCIIMETLRMYPITPHLTPHQASSDCIVADGQFVIPRGTMVLVDIYSMQRDPAVWDDPNKFKPERFSVGQEIGEGGGGKQMMIPFGMGRRKCPGEGLAWRTVGVALGVMIQCFRWERTHKEKVDMSEGSGFTMPMAVPLVAVCRPREEMEAVFKSTNK >cds.KYUSt_chr3.6699 pep primary_assembly:MPB_Lper_Kyuss_1697:3:38528888:38531691:1 gene:KYUSg_chr3.6699 transcript:KYUSt_chr3.6699 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNGENSYAVNSRLQEKAILETWPVLRKAVEEVYTSLSTRWSTIVVADLGCSSGPNTLRFVTEVIGAIRAYTQKSEEHARGVEVQFFLNDLPGNDFNLVFRSLEHFEGIAGRKEAPPHYVAGLPGSYYGKLFPRQSVHLFHSSYSLMWRSKGRVEKKKLDSFNLPYYAPSMKEVNTLIKKNGLFNVEQIKLFESNWDPQDDLDGDVVLDSASSGKNVAQCIRAVLEPLIVDHFGQDIIDELFMVFAATIAKHLEKAKAKYPIIMVSLKKAMPLNY >cds.KYUSt_chr6.2379 pep primary_assembly:MPB_Lper_Kyuss_1697:6:13865619:13866072:1 gene:KYUSg_chr6.2379 transcript:KYUSt_chr6.2379 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPKAALLLLAAALLLSCCCASHATGGETHQEKEAVTMPMSTDPSKCERMVPCSEDMCTEHCVRIGLGNERGFCSFLNLQFVCCCPITFGRAATKIPLSSVKHF >cds.KYUSt_chr1.4832 pep primary_assembly:MPB_Lper_Kyuss_1697:1:29736212:29742478:1 gene:KYUSg_chr1.4832 transcript:KYUSt_chr1.4832 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVTRTSRSLVAPSSPTTAAGVTLPLSALDRVPGLRHLVLSLHVFDGATADRKGTPARVVREALGKALVDYYPFAGRFVETEGGEVRVACTGEGAWFVEATAACGLEEVRHLDHPMAIPKEELLPEPAPGVSPLDMPLMMQVTEFSCGGFVVGLISVHTIADGLGAGQFINAVGDYARGLSKPRVSPVWARDLVPDPPRMPAPPPKLELLDLRGHTVDFSPDHIAMIKADFFASTGQRCSAFDVCVAKTWQSRTRALVLSGFGDDSPVHVCFFANTRHLMLAGAGAGFYGNCFYPVTVTCVSGEVAAGEVVDVVRTVRDAKARLAGDVARWAVGGFAQDPYELRFTYDSLFVSDWTRLGFLEADYGWGAPTHVVPFSYHPFMAVAVIGAPPVPKVGARVMTMCVEEAHLPEFRDQMNAFASAAGK >cds.KYUSt_chr6.12993 pep primary_assembly:MPB_Lper_Kyuss_1697:6:81082764:81083727:-1 gene:KYUSg_chr6.12993 transcript:KYUSt_chr6.12993 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSSILGADGEWGETSLGDMPESCVAAVLLNLNPPEICQVACLNRAFRGAASADCVWAGKLPANYRYLVALAAAADDEGGGDGDGNVKPCSLISTKKEIYARLCRPTLFDAGTKEFCIEKNKGGLCMSISSKAMAITGIDDRRYWSHLVTEESRTVSIQLSTQIEGMLVKNVVFG >cds.KYUSt_chr5.9916 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63377938:63378816:1 gene:KYUSg_chr5.9916 transcript:KYUSt_chr5.9916 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDMQIPHAFRGLAFGIPASATDYVGWENGHRWDPMDCDQKALPPARTALRMECDFSYLPDHDEDAHFCHAGAGVVGVADGVGGCRGDGVDAAEFSRGLMANAYNAVAAASSSGICPYTLLEMAYQKTVASTRTRAASTALVLSLAGHALRWAYVGDSTFAVFRGGRLLLRALPQQHYFNCPFQLSAVGGDRVKDAAVSEFPVEEGDVVVAGTDGLFDNVFDAALEGIVQTCTALSLTPGEMAQAIGRLAYDMARSSRESPFSAASREQQGTNFTGGKMDDITVIVAFIVS >cds.KYUSt_chr5.6259 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38633279:38635437:-1 gene:KYUSg_chr5.6259 transcript:KYUSt_chr5.6259 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYVRTWLVMAALVCTLTLLLRSADAQAAAAPSLSSSSPAQKPKCVPGPATPCRVGASMRDPENQEEEGMFNVKARAPSGAGDTDSDDDYSDPDQPKDPDQPDDDDLVGQELVPGNQRDGAKLVGCSGNKIEHVVGTGSGSRLNHSVSDHGRLPDAVQQARERLLQRLNSVDLSGRRQQNTPSFETIWAGVLPHPADTDVSTTTDSELGSLTTYFQSSVSIAACKVQEIFVEPFSAMDKCMPVTSCMERVPVMQETACEDAGEGESVSPSPECSICLERCGDADGLMELRCKHIFHSACLERWLRSHGDCPYCRATVLRTSDE >cds.KYUSt_chr2.53422 pep primary_assembly:MPB_Lper_Kyuss_1697:2:333232605:333237429:-1 gene:KYUSg_chr2.53422 transcript:KYUSt_chr2.53422 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDQNGGGSCGGAADPEADIEAPLISPGSSFFFQDTALEDGEGDGDGDGEEEQQRRARWRFLSSFGRLRSNVTSQVALVGTDVCPIESLDYELIENDVFKQDWRAQGRNHILRYFALKWALCFLVGALTAVAAFLANLGVENVAGVKFVITSTLMFERRFESAFIVFLVSNFLLTMFATALTVYVAPAAAGSGIPEVKAYLNGVDAPNIFCLKTLLVKVVGCIAAVSASLHVGKAGPLVHTGACIASILGQGGSRKYRMTSKWLRYFKNDRDRRDLVTCGAGAGIAGAFRAPVAGVLFALETVSSRWRSALLWRAFFTTAIVAVVLRVLIDVCKTGRCGLFGKGGLIMFDVTSGYVNYHVVDLPPVITLGVLGGVLGSLYNLFLDKVLRLYNVINQRGQTYKLLLAATVTICTSCCLFGLPWLAACKPCPVDSEEACPSIGRSGNFKKFQCQMGNYNDLASLLFNTNDDTIRNLYSSGTDHEFHLSSILLFFLASYFLGIFSYGLALPSGLFVPLILTGAAYGRLVGMLIGSRSTLDHGLFALLGSAALLGGSMRMTVSVCVVMLELTNNLLMLPLVMLVLIISKTVADAFNANVYDLLVKLKGFPFLEGHAEPYMRQLSVSDVVTGPLQTFSGIEKVGRIVHVLKTTGHNGFPVVDEPPFSDTPELYGLVLRAHLLVLLRKKDFVSSCTASASDASKHFSPDDFAKRGSGKHDRIEDIELTAAEMEMYLDLHPFTNTSPYTVVETMSLAKALILFREVGLRHLLVLPKSSKRSPVVGILTRHDFMPEHVLGIHPYLFKSRWKKSDTALYSIMVDMISSETCHEASLRLP >cds.KYUSt_chr2.6154 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38366428:38368270:1 gene:KYUSg_chr2.6154 transcript:KYUSt_chr2.6154 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAAQPRVELELPLGAAPFDLEAAVCSHGLFMMAPNRWDPASRALTRPLRLASDRSVSLLARVCRHPARPADALLVSVLGADALSPLDRDCILVSCSCSMERVRLRVGLTDSGLGFGWQEQVRRMLRLSEEEGRVVAEFQAMHAAARESGFGRIFRSPTLFEDMVKCILLCNCQWSRTLAMATALCDLQLELQCSSGTANFQLKTPPIREHKRKRSKNQNVRVNLETKFSELESSEDPRLATAEDSRIATDTSNDLTLSETNGDLASLPSVASEAGSACDSFDPSELSMSSTSTSLEDHIGDFPTPEELANLDEDFLAKRCNLGYRAKRIISLARCIVEGKICTQNLEEMLKMSATEEISTIPSTYERLDKELSTISGFGPFTRANVLMCMGFFHMIPADTETIRHLKKCHKRASTIKSVHMELHNIYGKYAPFQFLAYWFELWGFYNKQFGKISEMDPSKYGLFTASYLKKATSIR >cds.KYUSt_chr3.33907 pep primary_assembly:MPB_Lper_Kyuss_1697:3:212757523:212761514:-1 gene:KYUSg_chr3.33907 transcript:KYUSt_chr3.33907 gene_biotype:protein_coding transcript_biotype:protein_coding MISAERSQSQSPRSPAAAAAAGAPYLSISVTDPVKMGTGVQSYISYRVITKTNLPEFEGGEKIVIRRYSDFEWLHDRLAEKFKGIFIPPLPEKNAVEKFRFSKEFIELRRQALDLFVNRTASHPELKQSEDLRTFLQADEEKMDRARSYESGMFKKPGDFLQMFKDVQSKVSDVVLGKEKPVEESTPEYEKLKHYIFELENHLAEAQKQAYRLVKRHRELGQSLADFGKAIKLLGACEGDSLEKVFSEVGSKSEMLSIKLQREADNLLFNFEEPLKDYVRAVQSIKATMLDRANAFRQHFDLDQERKYKELNLEKLKFMNPEKFSESEVEFTSEEATKRYEHIVSVMNDELARFQEQKTADIGLAFHEFAKVIPVYLKVMVENAPVVILTSFLSV >cds.KYUSt_chr7.37978 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236764902:236766452:1 gene:KYUSg_chr7.37978 transcript:KYUSt_chr7.37978 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSLATFLSMVLAAAVFLKFKATSRRSYNLPPGPKPWPVIGNFNLIGALPHRSIHELSKKYGPLMHLRFGSFPVVIGSSVEMAKFFLKTQDVLFIERPKTASGKYTTYNYADITWSPYGAYWRQARRICITEVFSARRLASFEHIRADEVRALVRGLFAAASVPGRAVHLNRDHLSTLSMNVITRMVLGKRFFGDGADAAEGPVSSLDEFKWMLDELLLLNGVLNVGDWIPWLDWMDLQGYVRRMKKVGKMFDAFMEYVLDAHSEQRRRDGEGFVARDMVDVLMQVADDPTLEVQFGRVGVKAFTQDLIAGGTESSSVTVEWALSELLRKPAIFAAATEELDRVIGRGRWVTEKDIPNLPYMEAIVKETMRVHPIVPLLIPRVAREDVVVGGYDIPKGARVLINVWTIGRDPELWDAPEEFSPERFVGSKMDVKGQDFELLPFGSGRRMCPGLNLGLKVIQLSLANLLHGFTWGLPEGMTKEELSMDEVFGLSTTRKCPLVVVIEPKLPEHLYV >cds.KYUSt_chr4.10436 pep primary_assembly:MPB_Lper_Kyuss_1697:4:63345006:63345440:-1 gene:KYUSg_chr4.10436 transcript:KYUSt_chr4.10436 gene_biotype:protein_coding transcript_biotype:protein_coding MASRARSDDVELCQYCAAVVEHTEDESAGGDTASSMDPVEDDAHTSRASAAARRRGGRPQSRGWGTAGAAEGIIGEGRGEDENLGVRVGQRRSCGRGRLRQGALASMRIQDWVLDFRIGRGYKCKNATTRKGPTKCDETDNVPG >cds.KYUSt_chr4.19170 pep primary_assembly:MPB_Lper_Kyuss_1697:4:120496561:120502990:-1 gene:KYUSg_chr4.19170 transcript:KYUSt_chr4.19170 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMHQPGQQQGAGNGSSPNWVQYVKLKIEKEAKGSLPSNLQRFDPTEKRPSIFMPRRQVAVAVPTVAQTPPLDRTGATATATSRWSTGGSPIVAAVGPYHQPARESREPSLITHAKKCAIVEFLAKQDFALDTGAFLNWAWEKYADASEYYEASSITMGPEELAEMLLLDGCFVLFAVFVLRKPSVPPYPFCLAEDISLSNSTMNRGRTELAQDFINLSADISQHIKQTRLDLLLLSNQIPFFVLTDLHTRLKETFFKGIELKFQDLALSCFEEIRPSPNANTNPSRKFPDPIHHLLHLFHWSRVPRDKHFIDPCAPLRGEPEANLPCATWFKDSRIKLKLSKQQAAPGTLHMTFQRNLLGARGVLRVPALHIHNYSDLIFHNLIAFEQGHVNCGLAVTTYCICMARLLQSEADARLLRKKGILAHTHETDQEIVDLFRGIADEHRHASYSNDLLQLCKDVDEHHHSTAARAAKWFVLQCFPRQTVTFFVLLGALISIATLINTIVSVYRQFKAIHWKNTHREPTTEESKNIFDKGGGFDFSSWFCNLARTNKEMKSELRKIARGFEHSLEAFNSYDVNGYRFQTHKYTTSRPNAKTINSWVVCQGDDGLHYYGRVERIYELNYGFHKGLNPIVFKFHWFDPRRVRRDPEIGLVEVERNSVYKGEYVYILATQAFQVFYLPYACKNPTKRLHGWDVVMTVPSHNRPPPPNKDDYRRVDPLANSVEFYQEEGLPGHFTIGLPTIDDMVVDDEQEDADMDGDNAEDEAEDVCAPEDLSLLEAFRAGIDLDADGLPPGFIDDYWFTEPDDDEKTRADDDDEVEAGSEEDQEHHGGGEDQERYGGEEDQEEEGDEEDLSEDEGLGNLPFDPDPNLVWQAPEDYQYVPAVERLRPHDRMPYRRGITQLPALKHWRYSHVVLEPYGRSSFRYEDPSQRPPRGYSNILGGLLRRDK >cds.KYUSt_chr5.15089 pep primary_assembly:MPB_Lper_Kyuss_1697:5:97444296:97449640:1 gene:KYUSg_chr5.15089 transcript:KYUSt_chr5.15089 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTHPPLCALALAAASIRELADSPGPGGGEGSRSRSRRGGPVINEPPTPTPSPVITDTRSTSRMAARTPSSSHTPVDGSAASRDTNEPPSEESNNSSDDVSDDGESTPTASGNEQENGLDSEATQDQMPKQKKARKARRPNQLTDETFVITRVDGRGVPASPVQFSKGYSNAIGCIVRETVKITCTNLRSKEHENLRQRLLNKLFNRYIVPGDDKERVRTKALSMMTKALNSWRTTANAMKEEDFESVIKKKWPQIDEEDWKQFILSRTNDEFKKKSEWGKHMRSQNKFFHKLGSRGYLGKKPKWDKEDAALIAAGKEPPFSCIEPGRARDFLRARASFDPVTGEPVFKHQKLAEVFDKLESLDEYPTGLLSSHMHMYSAGKLIMVLVKKQSHEPQSQSSQDSIEGERWEDPLSKALGGKEVGGRVRGVGNGAPWKIYFPEAPEVARQRRRARYERDSHFEERVAAVAEATLRRILAERGQEQGQEQGRPPLHPDTLILAEKDQEPGHPPLHPDTSISAERDQEQGCPPLHPDTRILAEKDQESGHPPLHPDTQMLPFHIVGPSSSASVSGIAPGQPNPIDDITVITPCQLMAPMLGSMLTVAHGQVYPKTMHCVDLAPDMARVDVTKVLDPFVDLKISDHPEPECNTLGQYKGHAIKWPKAAIKLCGTSTGSSPSLTTACTPPLGTSPLGPCAPSFVDLDGNHGAEDDMPIDQEQAIGNALNPQHGPAAKTILSPNVPKSTALVGMVQRGPHLRNRNMQGEGKRRDEPAPVRRSQRRRG >cds.KYUSt_chr3.46208 pep primary_assembly:MPB_Lper_Kyuss_1697:3:290811548:290814063:-1 gene:KYUSg_chr3.46208 transcript:KYUSt_chr3.46208 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPHVLHFLSPSASLRAPSGRRRRAAGLRCAAAAGQAGFFTRLGRLIKEKAKSDVDKLFSGFSKTRENLSVVDELLTYWNLADTDRVLDDLEEALLVSDFGPKISFRIVDTLRDEIRDGKLKSGSEIKASLKRCILELLTSKGSNTELKLGFRKPAVIMIVGVNGGGKTTSLGKLAHRFKNEGAKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDRDKKAQAPSVLSQAVKRGKREGFDIVLCDTSGRLHTNYGLMEELVTCKKVMAKALPGAPNEVLLVLDGTTGLNMLQQAREFNDVVGITGFILTKLDGTARGGCVVSVVDELGIPVKFIGVGEGVEDLQPFDAEAFVEAIFP >cds.KYUSt_chr7.22226 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137610089:137611894:-1 gene:KYUSg_chr7.22226 transcript:KYUSt_chr7.22226 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLVRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKTPAPVANVTTTSSTTTATSTSPTNNGLAPCSGSTATSDVHRRRMQHPTFSCTVAADHLQLDAIIGVHQQNSLTLPVSGGADQDSPPGIAHHCPLFMFDTGICPPFASPTAQQHPFIASFTAAMAEADAPGCYHLPPLVDGMGAMGMGMEAMDDHCGGGMGNGCYGDEQRQQRRRPELEEEEGEQLGQHEQWDEEQLLMWDDQEVLTPSNMEAMQSGAHHSLLFMGPNA >cds.KYUSt_chr7.24252 pep primary_assembly:MPB_Lper_Kyuss_1697:7:151225811:151243029:-1 gene:KYUSg_chr7.24252 transcript:KYUSt_chr7.24252 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDRLRTMENNLEVAGVEAAEKVLFATHYLSGPARAWWTSARAMNAGQMMTWEDFKLKFSKYHVPQGLIKKMRDEFRELKQGRMSVVEYRDRFLTLSRYAPDETDTNEKRKERFLNGLHDEMQTVLVNILFADLEALVDSAIQMEGKLHQANENRKRRMMNQNGPHHTQKYRNNSSGGFNPRYNKPPARNYRPNYTNNNGGPPKPGGNNNNNNNNNNNNHHNNNNHPNSDNNNGNNNNTNTAPRTRSNATPVIPKDKSTVNCYECGVVGHFSNECPKKLARIAAHTAAPAQQQRRFAGRRNQNNNNGRLYHMTATEDQEAPQTMPIHLNFFRKLTWFKCPLLESSGACARPPRPSALVAAKELVTWASGPPPLAASATPTQCLHILSFPVRCFSSVDLHISILVLHLFICTKVSTLLVRHSLLMKIRECRIKNIHDVGFIDPHIVNGYVLEKHPADVEQDLWLFLAKQQLKSEILFPCHFGFHWILLVIEFHTSRVLVIDSLNMDPKLLVDMRRMLQNSLVEAEVKNKKPQQERILSLTAHLVEKANQASMVSSKKLAQLSKKWQGVGNIGRRRVTILDKEIHPSCGTVAGKGHCVVYSFDGKRFEIPLACLRTTVFEELLRMSREEFGFTCDGGITLPCDAATMEYVMCLLRKEASEDVERALLSSIVITCHHPSRMMQPHGGLNQQLAVCSS >cds.KYUSt_chr5.9601 pep primary_assembly:MPB_Lper_Kyuss_1697:5:60972215:60972526:1 gene:KYUSg_chr5.9601 transcript:KYUSt_chr5.9601 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTKLAGQRAVVIFSVSSCCMCHTVMRLFRELGVNPTVVELDEDPRGEEMAQALARLLGRNPAVPAVFIGGRLTGSTDKIMSLHLSGNLVPLLRNAGAIWV >cds.KYUSt_chr2.49444 pep primary_assembly:MPB_Lper_Kyuss_1697:2:309426134:309429167:-1 gene:KYUSg_chr2.49444 transcript:KYUSt_chr2.49444 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPTANPGVRLGWKGPAALASPSRLPLSRFAAAALPPRRTSADEIKSVRLITAVKTPYLPDGRFDLEAYDSLINTQINGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTHIKVIGNTGSNSTREAVHATEQGFAVGMHAALHVNPYYGKTSTAGLIAHFGEVLPMGPTIIYNVPGRTGQDIPPSVIEALSGYSNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKHGATGVISVASNLVPGLMHSLMFEGENAALNEKLLPLMKWLFCEPNPIGLNTALAQLGVVRPVFRLPYAPLSLERRIEFVRIVEAIGRENFVGQKEARVLDDDDFVLISRY >cds.KYUSt_chr7.27526 pep primary_assembly:MPB_Lper_Kyuss_1697:7:171907353:171909370:-1 gene:KYUSg_chr7.27526 transcript:KYUSt_chr7.27526 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKDNPIEIDSDPSSDNSAPSMFFSSSSSDSDGMSECDMSECDCHSNVVFRGPLVSGIQDFDEHCMFGNDMYLTKGQVTFLNDHCHQFPKEDFEYYVYRMTKSSVVKNKCKLDIGKKFTQQYLKKFIDRAPGNAVTVGLEYTDNDACFDVTMKMAKGKAENAIIATGWSKAMKTYEIEEGDICIFEFFLTRKGKLGLMIHNVRDDSDSDMSESSDVARTGCALGCTSTWPPRHRGRRLQDVAEVGWQEASSLLAATLDAAVPAVIVSVDYRLAPEHSNPAAYDDAFASLEAVVAACRPEGLEPWLAAHGDASPVVLAGENLRRRRRRCDKTPPRLAVGLDEGGRRLDSLLTAALP >cds.KYUSt_chr6.12986 pep primary_assembly:MPB_Lper_Kyuss_1697:6:80983141:80990567:-1 gene:KYUSg_chr6.12986 transcript:KYUSt_chr6.12986 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMAAAIGVSVPVLRFLLCFAATIPTGLLWRAVPSAAGRHLYAGLSGAALSYLSFGATSNLLFVGPMAFGYLAMLLCRRRAGLVTFLGAFGFLIACHVYYMSGDAWKEGGIDATGALMVLTLKVISCAMNYSDGLLKEEEGLRDAQKKYRLTKVPSLVEYFGYCLCCGSHFAGPVYEMKDYLEWTERKGIWASSTPSPLLPTLRALVQAGICMGLYLYLSAMFPLSRFSEPLYYEWGFWHRLFFQYMSGFTARWKYYFIWSVSEAAVIISGLGFTGWSDSSPPKAKWDRAKNVDILGVELAGSAAQLPLNWNIQVSTWLRYYVYERLIQKGRKPGFLQLLGTQTVSAIWHGLYPGYMIFFVQSALMINGSKVIYRWQQAVSNSGLHTILTLLNCAYTMMVLNYSCIGFQVLSLEETLASFKSVYYVGTIVPILCVLLGYVIKPARSAKPKARKAE >cds.KYUSt_chr7.16011 pep primary_assembly:MPB_Lper_Kyuss_1697:7:99325032:99325556:1 gene:KYUSg_chr7.16011 transcript:KYUSt_chr7.16011 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEDYPTHPWQARGGGALFAGQGSALVFASYPVLLLLVLLSAFIRYVWVALALYCAAMFVLSCAGRVFAGPVVFSETAIERGGLSPAAMAEIPAFVYGAAADGEAECAVCIETLRGGETARRLPVCAHTFHVGCIDMWFDSHATCPVCRCHIEPHKGGKMAPLPPEPPLPPV >cds.KYUSt_chr3.3265 pep primary_assembly:MPB_Lper_Kyuss_1697:3:18701023:18702082:1 gene:KYUSg_chr3.3265 transcript:KYUSt_chr3.3265 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGASEERNRGSRSAMEKGRDQSAKKPRLDLKALPSVPVKQEIVVRQAAGPIVAVEHVALMKIDLQIDVPVLHCPICFGKLKPPVFQCMRGHAACHGCLAGGCGVCDGAAFDVPNTAMDGVVSSVRAICDYDGCGRFITYHEADDHKDACPHAPCSCTEPGCTFKAPPRALVEHLVAAHAMREHKLCYGKTSEIEVPVPEPARSLLTGAEEDDDVFLLTVGALGEVTFVSAVCIRAAACPWPRYTVRLWVNGPLPAEANRRTDTVLADIEATSSTKPGAVVLGDLTSYLTVPPRYLVGAGPAKVLSLNVRVGKTTF >cds.KYUSt_chr7.19112 pep primary_assembly:MPB_Lper_Kyuss_1697:7:118475794:118478194:1 gene:KYUSg_chr7.19112 transcript:KYUSt_chr7.19112 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAATATQPPPSASPSFSYLAVFSNCPLVAAVLAFAIAQTIKVFTTWYKENRWDAKQLVGSGGMPSSHSATVTALAVAIGLQEGFSSSLFATAAIFASVVMYDAFGVRLHAGRQAEVLNQIVYELPSEHPLAETRPLRELIGHTPPQVFAGAVLGFAVATFTGMMAGLGNSG >cds.KYUSt_chr7.39987 pep primary_assembly:MPB_Lper_Kyuss_1697:7:248382994:248385186:-1 gene:KYUSg_chr7.39987 transcript:KYUSt_chr7.39987 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTDGDGDGGNGGVDPMCVDENGVIVLTADQHNGAAPHAAAGHVHDAAMEEIQGAVCMSCTKSRMKGAAAAEEADAEEAATVQGSKEGAEELLLKVVLSEEEAYRLYCDYGHRTGFSVRKGKQSYFTGTKRVRTKDYFCSKEGLKEAEKLTDENFNDPHTRTNCRAMVRFRVNGQGEWKVIRLVSDHNHNLAKPEERHLLRSARSLIAGRSCSTADAVLYGGYQQVGGTPSQMAVSTSMTNNAENPRPDMVPGFSGVTRTATIGTGDLQILVNHLKSRANVDGMFYWDVQIDRDGRMTNFFWRDGRSRTDYDCFGDVVVFDSTYRLSKQNLICAPFVGVNHHWQTTMFGCALLVDESMSSFTWLFKSFLEAMGNRHPRSIFTNQDQVMSKAIEEVFPNTCHRIAHWHIQKNAASRLGSLNGSKAFNKVFTKCTQGCNSEAEFEETWAAMLREFKLQDNKWLNKLYKLKQKWCGALNKCNFDGGVENEPQFDILSNIFTSIADKFTSLSTVIVLVDKLTDDWREREFDEDMRCSQRPPACIIKHSDILNHAAKVYTHRIYKLFETYFLDGCGATKFKELQCEDSDTYRFEMTMQAISIKNVCKIPEMYILKRWTRDAKQWVFNPKQYESSYQECMDDEAAYCNHAMRYAYDLVMKSQGQEELRRSLWETLESGEKELEKYVENVTQYAESYAT >cds.KYUSt_chr5.13194 pep primary_assembly:MPB_Lper_Kyuss_1697:5:86069504:86069917:-1 gene:KYUSg_chr5.13194 transcript:KYUSt_chr5.13194 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIWLTVLIPAIMVSSLYGEAAATDGETATAGGPPPETNVLCVSKCGTCPTVCSSPSPPPPSSPNSDGSGYSSPSPPRSTTPPSPPAGQQSQAKGRNPSGYYYFLTAGSGRSCAASSVRYTQLLLLAVLPFLVAV >cds.KYUSt_chr6.21064 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132935805:132939778:-1 gene:KYUSg_chr6.21064 transcript:KYUSt_chr6.21064 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVEVAKAPAEGPIQAAEPEVRADTAVAVAEEEKEYKSDMKKLEELMSKLNPCAKEFVPSSRRAAPAPVPVPGAGLSADAPVFVSAADLFGAGRDSSSDGSSNGAGQPQNRRRRNGSFNQGRRRMGARTRQSDREDSVRRTVYVSDIDQQVTEQKLAEVFSNCGQTEDEKEMVSRTVYCTNIDKKVTEDDVKIFFQRLCGKVSRLRLLGDYVHSTCIAFVEFTQAESAILALNFSGMVLGSLPVRVSPSKTPVRPRSPGAMWN >cds.KYUSt_chr4.43114 pep primary_assembly:MPB_Lper_Kyuss_1697:4:267071887:267074479:1 gene:KYUSg_chr4.43114 transcript:KYUSt_chr4.43114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase (EC 1.11.1.7) [Source: Projected from Oryza sativa (Os03g0234900)] MVCGVYHGSARAVLLAVAVALVVGVGGGAAQQQQLSEKFYEGSCPQVHRVVRRVLKAAHRADARIYASLTRLHFHDCFVQGCDGSILLDNSSSVVSEKYARPNNNSARGFEVVDDVKAALEKACPGVVSCADILAIAAKVSVELSGGPRWRVPLGRRDGTTANITGANSLLPSPRNNLTILQRKFAAVGLDDTDLVALSGAHTFGRAQCQFVTDRLYNFSKTGKPDPTLDGGYRALLAASCPRRGGNKTALNDLDPATPDAFDKSYFANLEANRGFLRSDQELFSAPGAPTAAIVDRFASSEKAFFRSFAASMIKMGNVKVLTGGQGEMPGHHVVFQSAPLSLSLDVHRTAGSRNNRTDANRPFVTNLPFPWSLVRSFLIPSPQTTPPQPGPIERIPTPAEERREAWWCGSNNIALRRRRASVMVVGEIGIQGLWRSHGNGAAAMELVAARGCGARRKGRSSALSRLRAARLTLISTTS >cds.KYUSt_chr5.36732 pep primary_assembly:MPB_Lper_Kyuss_1697:5:232244696:232246355:1 gene:KYUSg_chr5.36732 transcript:KYUSt_chr5.36732 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVDLLEQVQNSSEVSKGDDGQELETDTLFIQKTLIIRTYLWKVWPCISYFCATMRIIILLSAGAPVADSIEDDDLVPAWSPTISAGDYVHDSYEEEAVLAQTKAVSAAEARARFRREEPSARSTNTRRPVGRPAFAA >cds.KYUSt_chr4.43866 pep primary_assembly:MPB_Lper_Kyuss_1697:4:271950568:271954241:-1 gene:KYUSg_chr4.43866 transcript:KYUSt_chr4.43866 gene_biotype:protein_coding transcript_biotype:protein_coding MACRFVLVLVLAVAVLLAPSSCFAADELLVPLPETAAPALTFGEGYTQLFGDSNLRLHGDGRRVHISLDERTGSGFASQAAHLHGFFGASIKLPSDHTAGVVVAFYMSNGDVYEKTHDELDFEFLGNVRGREWRVQTNVYGDGSTAVGREERYGLWFDPTEDFHRYAIRWTNRTIMFYIDDTPIREVVRSERMGAQFPSKPMSLYATIWDGSSWATSGGRYKVDYKYAPYVAQFADLQLQPEPDHDAGEMTMSPARRAAMERVRARYMTYGYCYDRARYPAPLPECSVGAEAAMYLPSGEARSWDRRRRGRRHRRAGADFAL >cds.KYUSt_chr3.44236 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279251452:279252726:1 gene:KYUSg_chr3.44236 transcript:KYUSt_chr3.44236 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPPDQERAAMSVPLLPRWHDSLSLSSSSSSSTSSSFSASSDAAPMMPMPTDASPCKPLAAFRDAHRGGGSVSCLSLCGEFLLSASTGADIVAWQQPDLRLFARFGGAGGEGSVKALAAAGGRVFSAHQDGRVRVWRVSRRSENAFKLVAALPTARDYLGRVFRQASYVPTRRGARRRLWIEHADSISCLAVAVQDGVVYSGSWDRTLKVWRMSDLRCLESVRAHDDAINAVAADCGVVYSASADGRVKAWEKGKVAGSTHSLLAVLVARDGVSWNALAVSADGAAAGRRVYAAGSDGHVLGWDRHGGARWNLACEVKAHAMAVLCLCVAGDLVCTGSADKTIGLWRRQPDGGLAKVGAVGGHEGPVKCIQASVCRTSNGCMVYSGGLDKSIRVWWVPHGFNGDQRRPETDTKEHKPCVFLR >cds.KYUSt_chr3.15086 pep primary_assembly:MPB_Lper_Kyuss_1697:3:92077252:92077591:1 gene:KYUSg_chr3.15086 transcript:KYUSt_chr3.15086 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGFLVVSATAGSRVLALPMGSLCWWRRTDARCHRIWLKKMTPGFPGTDDVDLRWEPEEGDLLDLL >cds.KYUSt_chr4.51501 pep primary_assembly:MPB_Lper_Kyuss_1697:4:319563482:319566865:1 gene:KYUSg_chr4.51501 transcript:KYUSt_chr4.51501 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDPLIVGSIVGDIVDYFDASARLRVLYHNREITIGSELRPSHVANQPTVQITGLSGSLYTLVMVDPDAPSPSDPSEREYLHWMVTDIPERGDVNRGNEVVSYESPRPAAGIHRFAFVVFRQIVQQTIYAPGWRANFNTRDFAAFYNLNAPVVAAYFNCQREGSCGGRRYR >cds.KYUSt_chr1.41941 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257159346:257163695:1 gene:KYUSg_chr1.41941 transcript:KYUSt_chr1.41941 gene_biotype:protein_coding transcript_biotype:protein_coding MVETMQCLMFARDNLPSDVYNEFVNTMIEIWKQCADPDGEIRSICSENCIKEAMKLFQDCAPVKRGFLDFTQGRSPIHFLESDDADVDINASIQIPFDLLQRAKADLCFTPDANHTLDGIEIKVTNTRQEGIEESLFAEEDEEDNVKPLPDWITYRLEEKLPPQCRTLQSSYRTKLGRSVLNDALVCGRSGSEESENSKLKAKNEYETEIFSCEVHMFESDVLLERFRSTADFIKNLQDHAGSPLNIKEHLSPLHMRCIERLYADDSDLDELLESQNTSAVLAVLLSRLNQKVEALLAEAKEINRMRLNGADEYANPDVHRDISSVISSACASEVKQMMTWTKIVHPFLSAQYMCPSLGETVAPEKACEYCGTNKDFLALPAKLPLSSKRDESPRKKSNECSYSQDGFGGDIEEGEFVPDTKIIKCDVMLGPRKRPESHGVGASSGDEPGPTTHDHMNEPENQFKEKLVRLLDRSIDNYKFEDFCLKFLGPKSFKLFTLDIVINRVIKQFPSNPSDGSPKHAGEERDKALDDTGKLKPRHFERR >cds.KYUSt_chr2.1608 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9363294:9364813:-1 gene:KYUSg_chr2.1608 transcript:KYUSt_chr2.1608 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVAVREANRDQRPGPRALGGQPVHVGGFSRGWPGQPRLERPEVFAVVDLAKLDAVRVDPHGATAWVDSGATIGELYNAVAKAAPGLGFPAGVCPTIGVGGHFSGGGVGLMMRKYGLSSDNILDATIVDADGKLLQGKEAMGDDLFWAIRGGGGGSFGIVLSWKVRLVPVPPKVTYLSVTKTMHQGAVDAVTKWQKVAPALPEDLSVRVVVQKRQANFQSLYLGNCSAVVQTLHRQFPELGVTRADCQEMSWLQYVAYLYFGDAIKNAPLEELLLNRTMPLGPFVKNKSDYVKKALTKAAWQKIFTWPSGAADGELILEPHGGRMSTIADTDTPYPHRSGVLYNIQYVQLWNDNGAGGNNSTPNWINGLYDFMAPFVTKNPRAAYANYRDLDLGMNKVVGGVTTYESGKVWGESYFGGNFRRLATIKRKVDGRDYFRNEQSVPPLLLIRK >cds.KYUSt_contig_1181.1016 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000116.1:6711491:6713468:1 gene:KYUSg_contig_1181.1016 transcript:KYUSt_contig_1181.1016 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os08g0448000)] MGLLPEQTVFRSTLPDIAIPDHLPLHDYVFERLAERRDRACIIDGATGKTLTVGDVYCLSRRLAAGLYSLGVRHGSTVLLFLPNSVEFALSFLASSRLGAVTTTASPLHTPPEIAKQVAASGATVIITEPAFVAKVRGLAGITIVATGEGAEGCASFADLQAADDSALPEAPIDVANDVVALPYSSGTTGLPKGVMLSHRGLVTSVAQLVDGDNPNLHFREDDVVLCVLPMFHVYSLHSILLCGMRAGAALVIMKRFDTVKMLELVEQHGITIAPLVPPIVVEMAKSDAMGRHDLSSVRMVMSGAAPMGKELQDIIHAKLPNAVLGQGYGMTEAGPVLSMCMAFAKQPSPVKSGACGTVVRNAELKIIDPETGLCLGRNQPGEICVRGRQIMKGYLNNPDATAETIDKEGWLHTGDVGYVDDDNEIFIVDRLKELIKYKGFQVAPAELEAMLIAHPSIADAAVVPMKDDASGEIPVAFIVASAADITEDEIKQYVAKQVVFYKRLQRVFFVSSIPKAPSGKILRKDLRAKLATGAY >cds.KYUSt_chr2.12032 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76388730:76390895:1 gene:KYUSg_chr2.12032 transcript:KYUSt_chr2.12032 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSDSMVRELIQGDDRSKWIAHSNHNVKCFTQNEIIRFTNNYGTLVGRGAFGEVYEGVLEDKSVVAVKRFINNEKENFAKELTVHREINHKNVVRLVGYCLEENALMMVTEYIPKGNLSDVLHGDNTPIPLDTRLRIAIECAEALGYMHSQMYTQVIHGDIKPANILLDDRLGAKVSDFGISRLVNTENANTLYTVRIIGSIGYMDPLFARTGRLIAKNDVYSFGVVLLELITRKKPIEMFDAEKFTKALAKGIRRVREMFDSEIAIPSNMKTIEQIAQLAGRCLNLLRASAEVLGKGTIGTSYKATLENGDQLVVKRLREVDLQKEEFELRVRMIGAIQNKHIAPLQWYYYSEDEKLLVYNIFPMGSLAHALHGDPVSPAPLDWEQRAAIAHAAARGMAYIHSAGPSSCHGNIKSSNIMVTGTHDACVSEHGLITLGKFCNASGYHAPEVTDDRLVSQKADVYSFGVLLLELLTCKTPVKSTQHDEGVGLPQWVRSVIFREAQEEWAVEVFDVELRRLWHDDGKMECMVLLLRLALKCCSEDANSRPTMSDVVQRILEIRQS >cds.KYUSt_chr2.18874 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118701972:118702328:-1 gene:KYUSg_chr2.18874 transcript:KYUSt_chr2.18874 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGDHGSGWLHAPVARRRESRAHPEHLGGLAGHYVEHVGVISGYRDLRAKDNNGLVSQAQLVPQPEGITVGVGVGVGVGHQSAQLWRERESAPARWIMDHGGVEHNVYGAGRRGCGA >cds.KYUSt_chr3.47040 pep primary_assembly:MPB_Lper_Kyuss_1697:3:295467361:295473118:-1 gene:KYUSg_chr3.47040 transcript:KYUSt_chr3.47040 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGRVGCVEDERNRDVTDVAEAEEVVGGEGVVGESTMSTGALRPASGGVTALQLAALPGHVDCVHLLIDEHAALGAQTLPIAAAPMAAIGAGSTPLHYAASGGEVKCCQILVSRGADKMAANRNGWSAVDVARMWNRNRAGARARNI >cds.KYUSt_chr5.27083 pep primary_assembly:MPB_Lper_Kyuss_1697:5:171509615:171517535:1 gene:KYUSg_chr5.27083 transcript:KYUSt_chr5.27083 gene_biotype:protein_coding transcript_biotype:protein_coding GQSGFWGRSGATTGITTSSSDDEFLHTDNFFPDLSDFFDNLNMGDNDAAANVTAASSSANGGGSVVVAVGKKSAVLSLRELEWAAAATKIRGRYAQPMQAQILGEHKKAELTRTAGTVLKLKQHLPTTIRSQPAGTERYLTRLLAADEARTASLQLPRAASTQSGSGQAVAEVPLTSGIRFQTLNYVTTIALGGESASAVANFTVIVDTGSDLTWVQCKPCRSCYSQQDPLFDPTGSATYAAVPCNASACAASLKDATGAPGTCARSGSGSERCYYALAYGDGSFSRGVLATDTVGLGGASLEGFVFGCGLSNRGLFGGTAGLMGLGRTELSLVSQTSSRFGGVFSYCLPATTSGDSTGSLSLGRGDSSAYRNTTAVAYTRMIADPAQPPFYFMNVTGATVGGAAVTASGLGARNVLIDSGTVISRLAPSVYHAIRSEFTLQFGSGTRYPAAPGFSILDTCYNLTGIDEVKVPLLTLQLEGGADVTVDPAGMLFMVRKDGSQVCLAMASLPYEDQMPIIGNYQQKNKRVVYDTVGSRLGFADEDCSYI >cds.KYUSt_chr4.9636 pep primary_assembly:MPB_Lper_Kyuss_1697:4:58182996:58183923:-1 gene:KYUSg_chr4.9636 transcript:KYUSt_chr4.9636 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHTSLLAWNNAGPPEGQHILFQLVCLNNKFHDLAEIVVCNSFLEAEASAFELFPSILPIGPLFADSEFRKPVGNFLSEDTRCLKWLDARPDGSVVYAAFGSMAIFDPRQFQELAEGLELTGRPFLWVVRPDFAAGLSKPWLDEYQQRVAGTGMIVSWCPQQQVLAHRAVACFVSHCGWNSTMEAVRNGVPVLCWPYFSDQFLNRSYVADVWRTGLAVSPGEDGVMTKEEVKSKVEKVIGDEGIRKRAMCLKDAASKCTSKGGSSHKNFMAFVDLLSQ >cds.KYUSt_chr2.29178 pep primary_assembly:MPB_Lper_Kyuss_1697:2:179415100:179416227:-1 gene:KYUSg_chr2.29178 transcript:KYUSt_chr2.29178 gene_biotype:protein_coding transcript_biotype:protein_coding MQARQFLNVVMQRYGRGGLYTATRIKPDEQLFYRSTEEALAAKISAPSHSTVVLPSSTVVPPWMETMSRMPSPRLRFERSILDDKRLDFLPFCEGGTGGDSKILCMDAAGHTVLYDIDAGSLQPIPCLSSPKGSSPIGISIANPDMPARQRGDAFYVMGRFPTSRNPCNFEALKYIDPSSSGKLKGWQWHQLPMLPAHVDFSIVKCHTLLEVDGDPVIVVSSPKQNGVGTYCFNAVSCKWFKAGSWTLPFFSRAEQIPELGNLWFGITSDAPNHFCAMDLSSVSSDRAPSLQHSWQDLDLPNDWVMLDCSFVYLGAGRFCITKVFEFGIDEETGYPAEMGAVISGVEVVHKKRLQMVKHKSKFYNFIRDDIVCVF >cds.KYUSt_chr2.20557 pep primary_assembly:MPB_Lper_Kyuss_1697:2:129245609:129250643:1 gene:KYUSg_chr2.20557 transcript:KYUSt_chr2.20557 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLLLLLAAASLLVVASRGDASGAGPRGRKLLVLVDDLAVRSSHSAFFGSLQARGLDLEFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRFGGSVDQNAVLEFIDAGHDMILAADSSASDLIRGIATECGIEFDEDPEAMVIDHINYASTEVEGDHTLIAGDDLIQSDVILGSKKIEAPVLFRGIGHAVNPSNSLVLKVLSASPSAYSANPKTKLASPPSLTGSGISLVSIMQARNNARVLISGSLDLFSNRLLKSGVKKAGSKTRHEKAGNEQFVTETSKWVFHERGHLKAVNVAHHKVGETNEPSMYRINDDLEYSVEIYEWSGTSWKPYVADDVQIQFYMMSPYVLKNMSTDKKGLYSTSFKVPDVYGVFQFKVEYQRLGYTGVSLAKQIPVRPYRHNEYERFITSAYPYYAASFSTVNIQEPALVLDVLVLYMI >cds.KYUSt_chr1.31914 pep primary_assembly:MPB_Lper_Kyuss_1697:1:193639161:193639865:1 gene:KYUSg_chr1.31914 transcript:KYUSt_chr1.31914 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVPRSGAATPNYPQSPLRITHDGEFYARLLTKESSLANPSFRYYGAGPAAVPFGWESQPGTPKDCASCRITLSSSADVPAITPPPSYHLRADAAPYGHGRKGRRGPAGDKSCAVPGGRSKYCCGYRKLRWIKIGFIAALFRRIALGRSRVSSAAETSSAASVQSSSTRWLFSGGSSSSCLEETGAQHYHHYYDPALATKGMLDLGLGGVRPSPWAVQFCGGGARREAAGWQ >cds.KYUSt_contig_915.280 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000082.1:1836619:1837126:1 gene:KYUSg_contig_915.280 transcript:KYUSt_contig_915.280 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKMVERVVNMRRLVPPKHDDQRSSLNSLSGKSLNSPDSSGFGRSLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRGRPLSVSDSPLATSSNASSEPSVNNNLMCFDSIDIDDELCSSDRAGHYAR >cds.KYUSt_chr2.1653 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9737033:9739693:-1 gene:KYUSg_chr2.1653 transcript:KYUSt_chr2.1653 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVQKRRSIGISSARHRSNTARTRVEERTDGCLVENMLYLPGSTYAAAAILGFIAGKESAAVAAAAATPVEEGLDYIVDSRLFCVGERAERRLRALERCLEALDGVQPVEARPHPGTRRPVAPDAIQRRGQRLRRLRRPPGRSAPTDPVERFALTLHPKRAAAGAARARRRRCVGIAWRPPAESSPWRLALTLYLDARRRLVRHSGGSSEAEKAAGARAREKRGGEQQAVRRWRTRSRANRGWGIDGEAMASADDTSG >cds.KYUSt_chr6.23788 pep primary_assembly:MPB_Lper_Kyuss_1697:6:150326666:150333384:-1 gene:KYUSg_chr6.23788 transcript:KYUSt_chr6.23788 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSLLMGAAAVMKMAMEMAAVSMEKPSGGTSPLRQRAGTETPVPQILASRWRRLWKGKPPWLSSPDFLPRCHSPLELRPSPGVERWPPPPPPRKPLVPRRRFGPGGGLRRRLRSNRLRGNRWAPQQHNHHGNRWLQRHSYHGEPGPSTTAAVRREQTPVVAAEPAVVVAAPEVTAPEVAAEDVVDAVYENEASTSNVTADADELLPPPPAFTVPPMDWLLGGPSAGWLADDPERDDDELEIPSPMLRYFKRHGNRPRLPSPTPSDEVAEHFAPLGYADMTEFFEPPAAAPVDALPPALTTNL >cds.KYUSt_chr6.19082 pep primary_assembly:MPB_Lper_Kyuss_1697:6:120072392:120074163:1 gene:KYUSg_chr6.19082 transcript:KYUSt_chr6.19082 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGGVSLRPAQLRHLTRGDAGAGCFLPCRLQLARTAVRPARRALVVEARGGRGWSDRQSQQQKRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNIVTGGTTAKIMLAAKDNFLGKYIYKDTLARNLATVIYKDEDDIIDTAREQFRVLKGETEFRYGYKVVEKGNLRSALATSGVMELPKKEELKSVVDKVKDFFGEVTSGAKESFAQITGTASTAEAEAQAEDEKPRFKRRSKKKGKQQKPKQGFSK >cds.KYUSt_chr7.4120 pep primary_assembly:MPB_Lper_Kyuss_1697:7:24613045:24616485:-1 gene:KYUSg_chr7.4120 transcript:KYUSt_chr7.4120 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEGDGSDTALQKQEWARTQDVLKSRLILQDDFGWSLPSMSSDSDQSDPTGGKLKYIGGVDISFLKEGPSTACAALVVLNAQTLEIVHEEFDIVRMQVPYIPGFLAFREAPILLGLLEKMKTNAQHLYPQIYSNYLSLPIAFLRFIDLPWQLLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNVGMAQYMCPGRCQEIPDCDNWCKTREGYPKGGKCLPLHHFCCCYI >cds.KYUSt_chr2.3346 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19988882:19993637:-1 gene:KYUSg_chr2.3346 transcript:KYUSt_chr2.3346 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTAAAYHPLPPAMAPDLPVWAYSAAPEAKTLPVFHAPPPPPPPPLPAGYHLLPPFSLAFQVPSTLLFEMDSRRSSSLRQFLEDEGAVPTPEEDMTREQVIRKLKEIVMEWAKAVGFEQRVPPRRVTATVLTYGSYTLGAHGPESDIDALCVGPCIANLPHHFFVVLRQILQRRPEVSGLQTVENAKAINSSSPQFLRRLDARSLRSLSGVRVNEQIVQLVPNAELLGFFAGIHLAILAAYVCQKYPNASVNGLFTMFFEIFANWPWQIPVDLHEGTFRKIREELMRGYTLTKDLWRHDFEWTCLFEPFPYVPTYKYFLRIALCASTSEELQDWAGWVKSRFRYLILKLESNGIECDPDSSQEVDHTVIKPNIVYHWGLISHTFTRLDISSLKEDFMKNVINDVYGNVKCTHSELTMSLVEQSELPKSMYGDSLYSWNLPMHMVGYQAATDCWSAVG >cds.KYUSt_chr6.4672 pep primary_assembly:MPB_Lper_Kyuss_1697:6:27428127:27430704:-1 gene:KYUSg_chr6.4672 transcript:KYUSt_chr6.4672 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSGAGGPRAAIGMPGCKTSCGDVSVPYPFGMGSAMCFWPGFNLTCDDTSDPPRLLLGYDSVLRVAEISLRNSTVRVIHTGSTIDISPLTRNTAVQDWNFTYGNCFTGGGGAPYTLSSINELILTGCNVQATLLQNDDDSNIISGCASFCSATRTAYVGGVASGHDKYCSGMGCCQAPISIDSSPKELRFRWFNGNHSQDLVPLPVYVFVAEEGWFDQRWVNDELVQKLRPPARAALEVPLILRWEVARDVNSYPNCSAGEVAGRICKSSRSYCNQENRGYSCKCWNGYDGNPYLTDGCQGSILLGVGLGLVGILIMVLPAILVVRKTKKFMEAKDLKKKFFKQNRGQLLQQLVSQRTDVAERMIITLVELEKATNNFDKTHELGGGGHGIVYKGILSDLHVVAIKKSKIVIQQEIDEFINEVVILSQINHKNIVKLLGCCLEVKVPLLVYEFISNGTLHDHLHASGQIALSWDKRLRIGTDTAKALAYLHSATSIPVIHRDIKSTNILLDATLTAKVSDFGASRYIQIDKTGLTTKVQGTIGYLDPMYYQTGRLTEKSDVYSFGVVLIELLTRKKPFLYLSSEGDMGLVEHFVTLIAEGNLVAILDPQVVQEGGGEIREVATIAASCIKSRGEDRPTMRQVEMALEGIQASKKRISNNLEDNNIQRNFHSTNARNILGGTRVYSLEEEFLLSARYPR >cds.KYUSt_chr5.6194 pep primary_assembly:MPB_Lper_Kyuss_1697:5:38256923:38257562:1 gene:KYUSg_chr5.6194 transcript:KYUSt_chr5.6194 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASPESSLPTACVPSPTRSSTTHFNCAKDVTLGRLAAVTHLAQHIYFFEMAMASSNIWRHAFYATLIPVQCLGAVEKVELEHLVISVLDNLGVDGVMRIAHTGDTVGGVRRGEGNGLGAAKFGTNDLPYLTDKEFHSYGPSEEDFEEFDRLFGSKSFVTPQELRRLNENFGRKRRHEKDSVAHSGRTAT >cds.KYUSt_chr5.42321 pep primary_assembly:MPB_Lper_Kyuss_1697:5:266835592:266836743:1 gene:KYUSg_chr5.42321 transcript:KYUSt_chr5.42321 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSSSDPDVSLLQRASKVVSSTVTAYRGKPPSFWALLALSAGAMLTAFPASSLLSRVYYDGGGQSKWILSWSAVAGWPIPALLLPPCYLLLEGASPTWPPPPGLCLWYALLGLLSAADNLMYAWAYAYLPASTASLVAASSLAFSAVFGRIIVKKNNRMGLATVNAIVVITAGVVIVALDSGSDRYPGITDGQYAVGFALDVLGSALHGLIFALSELAFATHLAAAAGGGAASSSSSRFFHVVLEQQVAVSLSAFAFTSAGLAVFGEGFGAMGQEAAGFAGGKGAYAMVLGWSAATFQVGVLGATGVVYLGSTVLAGVLNAVRVPVTSVAAVIWFHDPMSGFKILSLVITVWGFGSYMVGHSGADKKTSRDRSRSGRQTMS >cds.KYUSt_chr4.2203 pep primary_assembly:MPB_Lper_Kyuss_1697:4:12084280:12085914:1 gene:KYUSg_chr4.2203 transcript:KYUSt_chr4.2203 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVTFFCPSHVPIQRSLVLQESPQRHVIAHCGTSWNTPGISETEATITESSVAVQGGTAPLVQALKSTAAQDVSCFHFPGHNRGKAAPSSLLNLIGTRAFSHDLPELPELDDLFYPRGVILDAQNQAAQLFGSSKTWFLVNGSTCGIQAAVMATCSPGDLLIVPRNCHISVISALVLSGAVPKYIVPEYNSEWDIAGGVTPSQVDRALKELEKDGEKIGAVLVTSPTYHGICSNVQGIVDVCHPLHIPVIVDEAHGAHFRFHDSFPSTAIEQGADLAVQSTHKVLSSLTQSSMLHMAGGLIDADKVSQCLQLLQSSSPSYLLLSSLDAARAQLSENMKSFDETVAMALETDDQLRLIPGISVLDLSSFVSDFPAIDPLRITLSASDLHLSGYEADDVFAEEHQIVSELVGTKAVTFAVNLGTRRQDVEKLVQCANHLSEKYFSANESRVGKHSYIGSPLDKFSVKLTPREAFFTKKRRVCLEDSLGEICGELICPYPPGIPVLIPGEIVTQDSMSYLMNIRDQGITISGAADVELKSIMVCSL >cds.KYUSt_chr6.26602 pep primary_assembly:MPB_Lper_Kyuss_1697:6:168534046:168536435:-1 gene:KYUSg_chr6.26602 transcript:KYUSt_chr6.26602 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSPPASSLGHRLRATVCCCFGGDRAQWGRRRVGGGGGASGGGKFRYDPLSYALNFDDQGPDGDEYETSIDDFGDGDGGFLIYHGFSSSPPPLSTTQAREAAVAAAGSDILVRLVAVDGQLGVLPLPDMGQRSWRVVVVGGDGLQVWWWYITWTEVWWLVLRAALSSEWVAMWAQALSGMCAREVRVTHNADAIGA >cds.KYUSt_chr5.9980 pep primary_assembly:MPB_Lper_Kyuss_1697:5:63730589:63733552:-1 gene:KYUSg_chr5.9980 transcript:KYUSt_chr5.9980 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNKQCAKKAPKPPLPAVSEASFVNDSEEAQKGELFAPKEEPTALLEIKGSLSLQNIEWNRLSGFHEAFFQGRNTRDKSETADSKNGNYAGLNIIDIDKDNGNPQMCASYVAEIYANLMASEVSEEYKLVPDTLYLTVYLIDIFLSRKYIERQKLQLLGITSMLIASKYEEICAPRVEEFCFITDNTYTKAEVLKMECQVLNDLGFHLSVPTTKTFLRRFLRAAQASHKNYTLEHYTSYKSSDIQMCVCALRELQGNTSGCPLNAIREKYRHEKFEGVANMLSPELSQSLFSIQANDINPLLIDNY >cds.KYUSt_chr2.38505 pep primary_assembly:MPB_Lper_Kyuss_1697:2:238738794:238740666:-1 gene:KYUSg_chr2.38505 transcript:KYUSt_chr2.38505 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTTPDGNITVEARDSRKKMSNSAALARPRPSPTSRAVVFGVFFLVWLSASHVGLAAGHPDYADALAKSLLFFQGQRSGRLPPDQAVTWRSDSATFDGSAANVDLTGGYYDGGDNVKFGFPMAFTATMLSWSIIEYGGRMEGRVHDARAAVRWATDYLLKAATATPGVLYVGVGDADADHHCWERPEDMDTPRTVYSVSASAPGSDVAGETAAALAAASVVFKAADPAYSRRLVTAAKDVMAFAWQHQGKYSDHVGGGVSNYYPSYSGYTVSNQILQTVHHYHAAYFFSRFSVHVWYVVPTVQDELLWGSAWLLWATKNTSYLADALSLGANDGVDMFSWDNKLAGARILLSRRALVNGDKRLDAFTRQAEDFICRVLPKSTSPSSTTPYTPGGLMHRSDNANLQYVASASFLLTTYAKYMAGSKRTFSCQNLPVTHKTLRALAKRQVDYVLGANPLNMSYMVGYGDRWPRRIHHRASSMPSMAAHPARIGCQEGFDSYLYASGDNPNVLTGAVVGGPDQNDAFPDDRADYARSEPTTYTSAPLVGCLAYFAGSYKNK >cds.KYUSt_chr3.7520 pep primary_assembly:MPB_Lper_Kyuss_1697:3:43376265:43376843:-1 gene:KYUSg_chr3.7520 transcript:KYUSt_chr3.7520 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAWTAVFRQRVVSVHELVRDARDRLVALDAAFREPLPAAGEAAQLAALPARLHLLMGSLRASTPTLNTALVYIEAAEILALHGGGANPWTPLPSIMNFTPRDTAVQLALARYQTARVYVLLALTSVEYSRGHLATAIALCAANPGIPDSLSFVLQEYDTAQFALRDAMQMAKLALSEVALSRQRITPP >cds.KYUSt_chr3.39172 pep primary_assembly:MPB_Lper_Kyuss_1697:3:246827460:246827669:1 gene:KYUSg_chr3.39172 transcript:KYUSt_chr3.39172 gene_biotype:protein_coding transcript_biotype:protein_coding MIPALQGLSSLQVSFGFVNDVQMQPADTILGKRMADEQEVQGKQLELSLGLNYGGQGSGNTPKKGKTQA >cds.KYUSt_chr5.35782 pep primary_assembly:MPB_Lper_Kyuss_1697:5:225980257:225989697:1 gene:KYUSg_chr5.35782 transcript:KYUSt_chr5.35782 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLNAQEAAPAAEAKHKKKRPSTQLTGDDHEEEANGNKRRPRTELAARHVHNEEELKGNKCSCTELATEETSHCQEEETTRTRYPQEHVDFILSWNTDYKIPELPIDDDGDMTDEQRSMHRYAMYYCRELRDRKRAVQEFIKAQLADRGYAEMPQEDAEPPKEYDEDGEEMVYLGFGMFHVGAGIPGVAPHYISPPSTFNVLLGSYWTNVDPNNFPLASLVAQEEHVDVNYIENNNFNNNAYRNNSSNNYRPYPYNNGNGYDNSYGNSYNNNRNSPPGLEAMIKEFISTQTVFNKSVEEKLGKIDILASKVDSLAADVDLLKSKVMPHENHNNKIVTTANAIQVRINENIRLMAELHARWEKEENEKEDNIAKVWTITTTSNANDTHVAAPPTNNGKRIGVSNVSTSNAKREKLLKSLKLIKPLCDKTR >cds.KYUSt_chr6.8928 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54988836:54990185:1 gene:KYUSg_chr6.8928 transcript:KYUSt_chr6.8928 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPVTRSRARAFRGAARGAPEIPLAVRRTWQDAGGEPEPAVPTHFLCPISLEMMNDPVTAPTGITYDRESVEGWLERGHATCPVTARPLRLEELVPNHATRRVIQEWCVANRGLGVERVPTPRVPVSAFDASELLAAVSAAARRGDGPRCRELVARARALGKESERNRRCFTSAGAARSLSSAFCHLAGERVVPATALEEILAALVLFLPLDQESRRSIATPASLDSVVSILSHGELPARVSAVVVLREIASSSDSQCLEAMSMTNGIYSALVKLLKKPVSPQATKAALVTAYYLVAHTELAASRLVDLGTVQLLLELLVDADRGTTEKALALLDSLLLTAKGRDAAYAQALAVPVIVKKMQRVSDMATEFAVSALWRLCKNVAADDGGCKAEALQVGAFQKLLLLLQVGCEGLTKDRASELLRLLNGCRNGAECIDSLDFKALKRPF >cds.KYUSt_chr4.39051 pep primary_assembly:MPB_Lper_Kyuss_1697:4:241095611:241097863:-1 gene:KYUSg_chr4.39051 transcript:KYUSt_chr4.39051 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEARFPEVDAAVMIQVKHIADMGAYVSLLEYNNVEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEARSCEDRYNKSKLVHSIMRHVAETLEIDLEPIYQRIGWPLYRKYGHAFEAFKLVVADPDAILDVLTYEEKEIGPDGEEVTKVLPAVTPEIKETLVQNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKEAMRKAEAAGTTNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTAAVKACTAAIEKHKGKLIVKEAPRAVSDKEDKLLNAHLDTLVEKNAEVAGDDDSEDEEDTGMGDIDLANNGVNAD >cds.KYUSt_chr2.23359 pep primary_assembly:MPB_Lper_Kyuss_1697:2:142710863:142716348:1 gene:KYUSg_chr2.23359 transcript:KYUSt_chr2.23359 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATAPVSPAPRTVEDIYKDFNNRRTALVRALTVDVDDFFGYCDPEKENLCLYGYSNGSWEVALPAEEVPPEMPEPALGINFARDGMHRRDWLSLVAVHSDSWLLSVAFFFGARLNANERKRLFSMINDQATVLESLSERKHNRDNKSGVENSGKSRQLAKRANNDMQTRNSRPAVVDDAYEDDDEHSETLCGTCGGRYNASEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSKKGRQ >cds.KYUSt_chr2.12102 pep primary_assembly:MPB_Lper_Kyuss_1697:2:76790174:76792870:1 gene:KYUSg_chr2.12102 transcript:KYUSt_chr2.12102 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHDASAGTGTGTGTGTGEGSSRPPQRAPLSLPPRAAIESFFASAAAGTSPGPLTLAAALFPDMPSPAFHGSFTQLLAGAMASPPAPAAPPSPFAVPPGLSPAALLASPSLFSPTTGNFEMTHQQALAQVTAQAVHSQYIMDSQADYSLPFSPTTSALTSQHANSSTHVTSTKETATLPSHTGNDNLKLAEVSQGFQTSALAVDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTQASCPVKKKVERSSCGQITQIIYRGQHNHERPPKRRSKDGGNLLNEDDFRENGDTLTRSEPGSQDHSGKVEVSNDSKKGDRDDQSSGSSDSEEENNDEAGAENGDAGAVNANKRWFML >cds.KYUSt_chr5.28264 pep primary_assembly:MPB_Lper_Kyuss_1697:5:178908938:178911549:-1 gene:KYUSg_chr5.28264 transcript:KYUSt_chr5.28264 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDHALLEVDLWVKKDGDGSADKKLLSAYVEIYVQARFDLMRTGQISSDSCSLEIDYMFLSSSVEAVIQVYSKVDHPHHLRFTAFSSGFDHEIVLFGDKCVGNGNINQHVVVVKQKGKLEICLKLEKHVFQWTFQDGVAGTISSPVPRSGKPGWPTDGDEACGPSGGPVAVDHAGRSPVQDQQAAVGPVIVQVFRSWVTRVPPSRVHSALWPLLLLPLVRIPPPGYRRLGNDSWRPPWGSWRAGGRNREGSAMGSYDDTIAVGRVLYAGNLPIVPPDECWIPARTNPVKLSIVPIGGIHIFIGETVDSDGNPLVSNADATAAELDAVAKIRSEMQELPKEDSALDLEISKPTQSALEQETTVEDQCRSARVSRC >cds.KYUSt_chr2.55277 pep primary_assembly:MPB_Lper_Kyuss_1697:2:344608031:344613932:1 gene:KYUSg_chr2.55277 transcript:KYUSt_chr2.55277 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIINLRKNSLSGEITIDFNLLPRLNVFDAGGNMLSGPLPPGLMSCTELRTLNLGKNKLDGKIPDSYKNLRSLLQLSLAQNGFTNLSSVLLLLQHLPELKILVLTKNFHGGETIPMDGISGFTSLQVLVLANCALSGMIQPWMQNLESLRVLDLSWNKLNGNIPPWLGILNNLFYLDLSNNSFSGELPKSLTQMKSLISSNYPREDASSEDFPLFMKNNSTNKGLQYNHVSSFPPSLILSNNFLVGPVLPGLGHLVDLHLLDLSWNNFSGHIPDELSNMSSLEVLNFAQNDLSGSIPSSLTNLNFLSKFDVSYNNLTGEIPTGGQFSTFANESFVGNAALCLLRDVSCSGKAPFLEGNEEQGASSLHGVISSAITLLDGLLTLNLSRNSLLGLLPEGLGQPGRLRMLDLSNQHDRPACSRLVKVASRRLRWMLADLSVDGCGLTGNIPSDLYSISGLRRLSLRENQLSGSFSKDLVLRVINLRDNSLSDEIAIDFNINMLPRLNVLDTRSNMLSGHITPGLMWCTELRTLNLGKTSFMGISESFKNLRTLMSSSSHFVIAEFKCS >cds.KYUSt_chr3.28931 pep primary_assembly:MPB_Lper_Kyuss_1697:3:180666023:180666670:-1 gene:KYUSg_chr3.28931 transcript:KYUSt_chr3.28931 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRSTLPVFRGDAKYFFKRPVLNWPRYIITEVCGMTTLIWTMSTLCYYFAYDLPPQFSVHLTTIPGNITTTEAPFASSIIPRAFDAVLHASNRRATGRCYHHGEAVVAYGGFTLASGRTPDFCVPWKGAREVPFKLSWDWDDGAGRLPEHLRSRIAAAERAGAVELELQVRILQGDDDRPTWMWCKARMEGARGAVTPCTVLASQNWWSHLA >cds.KYUSt_chr1.16891 pep primary_assembly:MPB_Lper_Kyuss_1697:1:98089307:98093658:1 gene:KYUSg_chr1.16891 transcript:KYUSt_chr1.16891 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKNHCSTNTAAVPKTTPSEGERYRNSAVVRTGNQGISPQQRCGGSSEQGCHDRDQAGGEQIKKGPNHLPPPPNTGETELERGTAGREPPGLTSEDQPPEAACLHTSSQGHRTQIPAAPIRRCRPSPAAALGDVMENGDGEYAQDGSVDLRGNPVLRSKRGGWTACTFIVVYELFERMAYYGIASNLVMYMTRNLHQGIVEASNNVTNWSGTVFLTPLIGAVVADAYLGRYWTFVAGSAIYLMVGLCASHVLSPPLSYRCCEARTPSKPLNNAEI >cds.KYUSt_chr4.9167 pep primary_assembly:MPB_Lper_Kyuss_1697:4:55256050:55262994:-1 gene:KYUSg_chr4.9167 transcript:KYUSt_chr4.9167 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAELRRLLLLRGNAVLPLPLASRCRPASTSARDGEGASSNAYDVLGVGETSSTTEIKASFHRLAKETHPDVAAAAGSRRFLQILAAYEILSDSQRRAHYDSFLRSQRVVMQKHPRPSQSVYPYGSGVAAPRESNVVEWLKWYRLTVDDIVTKKRIATGSSYFDRLESELYSAVHAAYYGPEVESMDFLPDCFEAEERSVYETSELLHLVSGRDLFGIVSLADSVQELPDACHQKLPHAGFGTYVVTPNVTINTEKDSIPSPVNIHKKADEDSENPPSDAYKDVELRICGRVVATANRRPKCNCINKSDVEDHIHVFLVPNEVVASDGTHEHLLLGTITGLDTTGEEGSCYVYDGRGTETHLIVKHRTLMVKHMHWYQVGDKVSPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDRKGRTVLSPRQWDGVNEQSENHVVVPVEGHGVLFGLIERTFVCFAYQCYHYSSREEVAAPADWLNLTLTGLTFSSRTGFFIHGYPFTLLGDGSGKNLYPLTAPTEAKRAATGGGREAKPKAAKKVLSLKEKIMEAAKRRGRRKNQKIKTAAAANQQAWQMQIKAGVAQVALHPTLAEGYMLVKREGIASVAPPASSVSLDYWKDRHDSDIQKIIYGGGFIHDDRAGTGPHDDWAATQDADDIETARLFATQQTQPTPVSVDDFDDAPTQPNIATKKKGESHRTQGFVDDEDKCLCEAWLATTHDCINGAQQKGKVYWAKVLQQYNETRMHPPYHITSPRTEESLRKR >cds.KYUSt_chr4.7176 pep primary_assembly:MPB_Lper_Kyuss_1697:4:42440826:42444065:-1 gene:KYUSg_chr4.7176 transcript:KYUSt_chr4.7176 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAAKLDGLLIRSQGRRRHLAIPWEVKNVLIPRIKQNLESMMAIIQDDGDNYSSREVIRCLTKEMRELSYDIHDCIDEHAAGPARDHATRLLWRMERHVRVAKGNDKRRRSTVLARRRTSSKPVTSWLSERLKGRLWLLDKMREFTARSEEALRRYNSFNHPLKKQRNDDNHGGSSTSASAARSGESFGSWSPLPYTHVLHRRVGVHDLAMNTLKAWLTDGDGPSLKVVSMVGCGGVGKTTLASELYRGIGGQFQCRAFARTSRKPDIRRLLISILSQIRPRQPPVNWEVQNLIGDIRTHLQDKRCTSRGLQDSLFGCSPKLRAKVLKSKALDAARAEASLRADARAALELSVRTQAAFWKQRGKFRAVREGDENTRFFHAMASQRYRRNMIRGLEVGDTIVVAHRRKAEALHAFYSDLLGLARDTAWAFDLDRLYSNSRRVDGPRLVAPFTEVEVKAAVAGLDRSSAPGPDGLGPSFFQVAWLSVGTDMMRLLDAFHAGTVGIERINRAHIILLPKRDAYPQWVPPRLAPEL >cds.KYUSt_chr7.39368 pep primary_assembly:MPB_Lper_Kyuss_1697:7:244811519:244817496:1 gene:KYUSg_chr7.39368 transcript:KYUSt_chr7.39368 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTSGRGDDAPDLVCVLDCVQGMVDALSCVRWKRHQDAVVELSEHGVVVIVEESGCLQAKVYLKSELFVEYDYAADGRPRFGLSLGLLVDCLNMFSVPGCASSVEIRYPGPDMQLLLKSVDSQDACMHAEIRTRIPDTVSWDYHFEHAGDTPVTFTVRSAILKESVDDLEWPGSSIQIQFQPNPPSVIFKGEGHGDLEIEFSYYANPDLLIAFQCDHEVSYRYRKIVSIEDRSLATEKKWRLQEIKMN >cds.KYUSt_contig_7417.5 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010001513.1:24434:24859:1 gene:KYUSg_contig_7417.5 transcript:KYUSt_contig_7417.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGCSMVAVLMAAVLVASTLFQTAAAGQCDPQKDLYIWQSLGEPQSDGSSEYRVTVTNQCSGDERTGRPCDISRIRLRCGNFRSVIPVDPTVLRVVSQGVCLLADGHSIPQGRNVSFVYTSYVRNNLYVTSAMSTCTTTA >cds.KYUSt_chr7.1089 pep primary_assembly:MPB_Lper_Kyuss_1697:7:5875234:5879535:-1 gene:KYUSg_chr7.1089 transcript:KYUSt_chr7.1089 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSVLVLVLLCAMAGAGSASLTSAFEDRFKKLTNVFEPRVRGDLGFCINDPDKEWNKTFKFPDVAFIVDCLNEGDLPLRVCTAAELKFYFESLTSGKKNYVRPNKNCNLTAWIDGCEPGWACAADGELDYNDAVNFPPRTDLCRGCCPGFFCPHGLTCMIPCPLGGYCPQSTLNKTTGVCDPYNYQPPPGKPNHTCGGADRWSDVMTTDDVFCPAGYYCPSPITKLDCSSGYYCRKGSTSQTKCLSKGSCKPNSATQDITIFGAMLGGALSLVLLIIYNFSDQLLTNREKRQAKSREAAVRHARETVQARERWKSAKDVAKKHAGSLQNSLSRTFSRKKTLRTHESSKGGGGCPTEPTDADPPKETGEINQAAGFNNVEAVGEKKKTKGKHAHTQSQIFKYAYGQIEKEKALELELEQQPNNNLTFSGVISMATDEDIRTRPRIEIAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCAPSGMILINDRVEPIRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLPAEMSQAEKVLVVERVIEALGLQPVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYNMFDDLILLAKGGMTVYHGPVKKVEEYFQGLGIVVPDRVNPPDYYIDILEGIVKPNTNAAVNVKDLPLRWMLHNEYEVPRDMLQSASDSGSSFREGEGGHTGPEAESKKSVVSELWGNLRDILGQKKDEYDYNKSSEDLSNRKTPGILRQYKYYLGRCGKQRLRESRIQGVDFLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCMIGALRSFSLEKIHYWRERASGMSSLAYFMSKDTIDHFNTIVKPIVYLSMFYFFNNPRSSIWENYVILVAVVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLVSTEQKGTVFAKLCYTKWALEAFVVANVERYSGVWMITRCGSLMQTGYDIDNKIWCIVVLAVNGIVFRCIAFFCMVIFQKH >cds.KYUSt_chr3.38247 pep primary_assembly:MPB_Lper_Kyuss_1697:3:240840026:240846719:-1 gene:KYUSg_chr3.38247 transcript:KYUSt_chr3.38247 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWFIPAYFKKTQASKLGDAQGIPFFIDKLSGPTLSTGIEACVDITPLLRPLVGGGDVDSFFTTLGVAGGGADPPDLDADRDYEDDDAIRRGVHELPCRRGTRHQDLLVAQDQSIAVLGTYSGDLVSRFISFIIKKYNSQVNLKEKMGRLQQLLLRVHMVVEEAEGRHLTNSKMLLELKKLSEAMYQGYHVLDTASFGPFASQGLRRVIMEEDEEGDDFIDESYLAHFGDTFMEDAEGEGEGEGEGEEEARDDPVDDLGRTIADARRRC >cds.KYUSt_chr5.21361 pep primary_assembly:MPB_Lper_Kyuss_1697:5:139392004:139409121:1 gene:KYUSg_chr5.21361 transcript:KYUSt_chr5.21361 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTRSGGANNDGAGDTGAAPSTRKAGAADAAKAKDSNSAATSSSSAATARESRSSSARETSDAQQPNLRRSNRETRGKNPNLLTTPQKSSTRSRSSGSTPETLESSPKKLKGVAGSTGKASTTRMSDRVNKTRASASTASNDSNGMSSPVATPHKTAKRQTDEHNSMNNKHDATESGTRPAKKQKRLTAKSYTKLFRPSSEEKEKSPGDEGNAFEVHMEDNGSLLGYEESGGHEKDNQAGYTSGLCEASNVILDTHMTTDLCSQNNVAESSPAMEEPTDGYSNKNFVPEPQSSPNSTIHSKEAKKAIEEHISIEIQEACTSKQDEVTQYDESDCDKHMCHVCGSMETPEILKSCDRNSCKRKYHVSCLGLPMECVSPGIWWCSICTKDRLLSGVYSVSEGIESLWDVKEGVQNCKQYFVKYKNLAHVHNRWIPESDIIDSTAGGHNLVSKFTKKIQKEKTIMWRQEWVEPHRLLKKRSLMPQKEAEEFFHSVGNKLVFCNVEWLVKWKDLGYEHVTWELETSSFLCTPEAEELKRSYEIRREAARKASDTAKINKVKQCPFQKLLKLPDGYPPGSDNLHLSSLNQLREFWHNCHGAILVDDKERVIKTILFAMSILPDVCRPLLIVSTSASLALWEAKFNRLAPSINVVVYNGEKGVRKTIQDLEFYEKDSVMLQVLLSHPDAIIEDIETMKCIGWEAVIVDDCQSSRVLKCLEQLTCLHTDFRMVLLSSPLKENILDYINLLSFLNSEGNAISSISNVDLSIDTPGTIEMLKSKLKLHVAFERKADSSKFVEYWVPARLSRVQLEIYCHILLSNSPALQSHSRTDSVGALRDILISLRKCCDHPYLVDETLQVSLIKGHPVTDILDIGVHASGKLLLLDKMIREIREKGLRVIILSQSGGGAGNPMGDILDDFFRQRFGLESYERVERGLHERKKQSAMILKKISIESQSEHVPIFRLYSSCTVEEKALILAKHDHTVDSNIQNITPISRHSLLSWGASVLFSRLEELKNNEYLSKDSDAEKLFMDKVILECLTKLSTEVDASSKMNNAAISQAYLRGPSYSSDIAVLGEREGISAPDGDLPKFCTFWVNLLDGKSPRWQYITEPGQRCRRKIQNMEEGKIPASETDEASMKRRKIAETLDSSANVLVGKDKDSMLPEIKTISSSQQISVDDTWQEQGVENLQGTQKGLHVQLKPELSKLYKLLELPGCVICLCEELLEYTLKNHQVSQEPKGILHAFNIALCWRAASLLKHKVSRRESLALAAKHLNYECNEDLAEFVYEKLRILKKKFSRRVGETSKHNRSTLVKSISPNQQETSIKLANDESIPNQVTYVDDNLQNRSSQENPHDSLIETIVPREKELPSVPEFHEKRHLSKDVLVNRITEKKINLVNMVFSLREKSIHDKQANEVAAFTMKKHKGVLKLREACKIVVEHLRRSYAGPDDRDAKIKLIVEWFTMLLYAFLEHIRYQREKLDLQQSAVWAKELLLKGKYLQEAKLGQLDHTFDQDISLPDSGFVMEEFNHFSCCVDTATLANCQRSMEITLVRSAISSEVINAGAARDESAETFIQTERRQESEDNGLTEKRVNNSSDYIDSQGASLAVQHTSSSNPAIESCINQDSSTGDHRGSEHVEGETDVSLQPLLGGIDHHLGDAEMEVNTCNSDSTLADPPDLEPQTVAHVSSQASEQMSKEVETWKNLVMQPAQSSVAPAQLLQREAEQVGLSGEAPAQILQPEMQPSVSREVDTQTDLTIQSAQPSMVLAQLSEEVEQAALSRVPSAQHLLSGMQPSIPASSILLERTHPDQSQQSNQPEGAPCSSAQLFSVASMMFNYPPVGDEPLKNELHRLRLYIDSLNKTHELKQSQLRTECSQEIEKVKQKYDLLLQEQDSTHLQQRKTLDGLCEKVLLNQSLADDFRAKFISSSGAQ >cds.KYUSt_chr4.14816 pep primary_assembly:MPB_Lper_Kyuss_1697:4:91411204:91413075:1 gene:KYUSg_chr4.14816 transcript:KYUSt_chr4.14816 gene_biotype:protein_coding transcript_biotype:protein_coding MVFADGTGVGARREMATMRFASHVVRGRWFMFFASILIMAAAGGTYIFGIYSKAIKTSLGYDQQTLNTLSFFKDVGANVGILPGLINEVTPPWVVLVCGAGMNLVGYLMIYLSVTGRTARPPVWLMCVYIAIGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAIYGADNDGADLVLLMAWLPAAISLVFIPTIRIMPRARGTAAESRRERKAFFYFLYASIVLAFYLLVMNVVELEDRGFPKPAYYVTVIVLLLLIFFPLVIVVRQELRNYLEPPLPTTQSIPAVTTVEDDDKTAATTTETEPTEPPSTSATCFQDVFRPPARGEDYTILQALFSVDMLVLFVATICGVGGTLTAIDNMGQIGQSLGYPQRSIATLVSLVSIWNYAGRVVAGFASEHVLSRYKVPRPLVLTVVLLVACAGHLLIALGVSNGLYPASVILGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGAVASPVGSYILNVRIAGRKYDEEALRQGGRRGKDLTCVGVKCFQESFYIIAAVTFLGALVSLLLAWRTRNFYRGDLYGKFKEVAMAPTTGSSAPAGADGRQEPANGAGVAKAKDPASSSGSDGADALDSKIGSSTK >cds.KYUSt_chr5.40909 pep primary_assembly:MPB_Lper_Kyuss_1697:5:258250876:258251235:-1 gene:KYUSg_chr5.40909 transcript:KYUSt_chr5.40909 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPVPKIKGALAVEAFTACELEVAEQLIQLSESSASSGTPRAPVGSGGSYSSRSVDAPPAAAPAVALGGCVDWEEDEEHEVAGRQRRVRRYRPIVEIYAATEETGGRTGRKNKKK >cds.KYUSt_chr2.10908 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69265900:69269757:1 gene:KYUSg_chr2.10908 transcript:KYUSt_chr2.10908 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWPWLHPASAAARRATPSVFRRKRCSSVCTSAHSNHAREQEDEKSLVVVGGGAAGVYASIRAKTLAPHLNVVVIEKGKFLSKVRISGGGRCNVTNGHHLEPSGLARNYPRGHKELRGSFFNTHGPRDTMRWFSDHGVELKTEDDGRVFPVTDNSASVVDCLLNEARRLGVSLQAGKSVSSASVNNDGKFVLKVEKRTVDFVDYLNANYVLVATGSSQQGYSFAAQHGHTVIPPVPSLFTFKIADKRLADLSGVTFTKVKAKLMLDSIQKKAPELTQTGPLLVTHWGLSGPVVLRLSAWGARELYQDKYQAKLMIDFIPDIHIEDVKRIIFQHKDKHAKNKVNNSFPTEFGLVKRFWRFLLEQESLDGDMHWATVPKNHLNAIALRLKQWMFEVVGKGQFKDEFVTAGGVPLSEISLSTMESKQQPNLFFAGEVLNVDGVTGGFNFQLSIF >cds.KYUSt_chr6.14924 pep primary_assembly:MPB_Lper_Kyuss_1697:6:93493145:93495662:1 gene:KYUSg_chr6.14924 transcript:KYUSt_chr6.14924 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSGRMLACSGLSPGRLRPPRAYADRLRLPLPARRWRVNASAAAPGGSPDLPSSSSNPPSFGAGDDQAAAAAAAASSSGFCIIEGPETVQDFDKLDLQEILDNIRSRRNKIFLHMEEIRRLRIQQRIKNAELGIAVEEPDGELPDFPSFIPFLPPLSAANLKVYYATCFSLIAAIMVFGGFLAPILELRLGVGGTSYADFIRNVHLPMQLREMPKLLWRRKGDVPNMPLHGDGNGE >cds.KYUSt_chr5.2878 pep primary_assembly:MPB_Lper_Kyuss_1697:5:18875204:18875860:1 gene:KYUSg_chr5.2878 transcript:KYUSt_chr5.2878 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRRKTLRCLHRPIQGSEVSLRRQDHGIRRGSLGDASKKVSGTRGRRRRQSSANTRTRISPRILCLQPPSPPATTCNRTSSDKPAPAPPPRRCRAPIDRDNSTSPQATTSPARDAATAAPPARPDARIEKIHLAGGSLGQASSLATHVAEDASHHLQIRPGPPPRHTAASSRCTRRSSMASSRQPLPRLTVAHRRGSGHGGPKIRVQQAIAPPRHR >cds.KYUSt_chr2.40370 pep primary_assembly:MPB_Lper_Kyuss_1697:2:250781644:250783786:-1 gene:KYUSg_chr2.40370 transcript:KYUSt_chr2.40370 gene_biotype:protein_coding transcript_biotype:protein_coding MQETKRGISITFLTRLNTFWRVWTMKAVGEGTRGEPLLASLLSSACCLLASLKNNEWDLTKAECDESFWINGLGTLVNLIYLCLFLIHADRNNAWWTMILVWVPTACFYFGFTLFAVVSEYVAAVQWLCTLAVAAAWCIPLGMAFFVGQNLAGAACSAVFLVLPRLWPQAEPAGHQGGLEQQLINADHLVLVVPPPVLPADAGPQDGIPVVVDPQDELLVDVDPQEEIPVVDEPQDELPVDVDPQEENHVVDIDQQDELPVIVDPQDELPVVDEPQDELPVDVDPQEEIHVVDEHDEEIHVVDEHDEEIHVVDIDPQDELPVVDEPQDELAVDIDPHDELPVVDEPQDELPVDVDPHDELLVVDEPQDELPVDV >cds.KYUSt_chr4.48787 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302138866:302139666:1 gene:KYUSg_chr4.48787 transcript:KYUSt_chr4.48787 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKIGTNKIVDNKLMIANTTSTTPLPKIATECTSPQNAIITPTGEVDKTTDDGKAQAENNPASTTSSSASFQILLDGNTTGPATTTATTPPQNAEDAEFSGLQTSGTNEGKKNKWKKMLRKEEIGDNTLTTQTVNLGAPRKRNMLEEDEEDRLHGTKRFFQVPTLEECLGKDNLQRRREEEAEAFIRGVCANGGGITDYVSVEEIGSNVGGEGNKISTDVIGKEKMQVGTATVQQMEEVEKMEATGPGAAGTLSGADDGAWQEPC >cds.KYUSt_chr2.38380 pep primary_assembly:MPB_Lper_Kyuss_1697:2:237896060:237897508:-1 gene:KYUSg_chr2.38380 transcript:KYUSt_chr2.38380 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGKRPVGADDRLSDLPDGLLHTIMSFLTAREAVQTCVLSRRWEDLWCSMPCLNINEREFLPETEGSDTEDENDSCLARARFEDFVSTMLLFHSAQTLDVFRFNVVQLSNDELVDRWLRRGIKRCPKVVEICAFYHKLPHLGSSSSRLKRLHLSMVTLDGTFTQQLRSGCPVLNDLELKFCRFHSIAEIVSCTLKNLTIQHCRTNPRNTLIIKAPSLAYLQLVITVTGNDGNWAGGVVVSDMPFLIKAIIFLRNLHSNIPKVPCKLLLSLINVKYLELTGFDTLANLHVGSDTFPVFHNVRTLMLNGCDLSDNFEFLGCFLSNAPALEKLTLQWCKFPEGEVKIKTGGNPKSTCQEYQDTPTFQCPSLKWTEIKYKEDGDVQKVFDLLLGVWRNLQKTTIVIKKA >cds.KYUSt_chr5.30853 pep primary_assembly:MPB_Lper_Kyuss_1697:5:195398609:195399637:-1 gene:KYUSg_chr5.30853 transcript:KYUSt_chr5.30853 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYGMNPLVYRRKSKDTMVCADRGVQRHLSAPYTPQYNGVVERRNQTVAATACSMLNPEPLLHARRGQQDANGGGLAEYAVAPAALTVARPPEVSAVDGACLPAAASSALQLLKATGVSFDGTSNATGPKNVLVTAASGGVGHYAVQLAKLRRDARHGHLRRAQCRLRAGTGRPTRCSDYKTPEGAVLRSPSGRNTTRWRTARRGVAWPALGAVLADEGGTAADVMPGSAPRSRRSCEKVTLAGGSASTRAATWSAREARRRRRRPPRPGRCGGHGRSRRKHPSNTLRAVAGQR >cds.KYUSt_chr5.4455 pep primary_assembly:MPB_Lper_Kyuss_1697:5:28472255:28480410:-1 gene:KYUSg_chr5.4455 transcript:KYUSt_chr5.4455 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEHAIELIMDAGVNAVEAIQLNNHISDLRTSLPRARLLIDRAEWGRLKSSDLATLLSKLKDTTYDAEDLLRAFDDQLARQKIEDEGRGRAGRIFSSSLNLFKVWIRGSRGRLTEAQGNLSKVMDEVERVVALMGLDVPGAQQLMPETSPVLTGPVFGRDEERDVAIEKLGVTIGREEVRDWAIQQMGVPLVAGARGGESALGNERAAQGSAKRLKGESSRGAELTATSNLSVLPIVGIGGVGKTTLAQYIFNDARVEEHFSLMLWVCVSDIFDNKRITKEILESIPRDGNQPFNSLGSLGALQKELKKRLQGQKFLLVLDDVWEITKMKWQNLCAPLWHGHDGSMVLVTTRFQKVVDLVGTFKSIIPLEGLPTTVFREFFSKCAFGEEHPGSFPQLQDIGHKIADRLCGSPLAAKTLGRLLNMDLTVEKWRTILNSELWQLKHQENEILPALQLTYLYLPYYLKRCFAVCSMFPKDYSFERDELARFWVAQGLVKPKENMRLEDVGRAYLDDLRSRFGMGLKVEDSWFNQLPNILFLSLKGCSVQSEEEAAEARLVDKQYLKHLSLAWHNKCSLRNDERVNRVLEGLHPPSSIESLTVRHFGGDNFPPSWLKQENLPALKTLGLQYCRGIKQLVLHGVGNEGENLRTTADATPSDSTGDNSMLQASINNSNGNACLPFKSLTSLVLSHCTSLTDLDHCLSPEYLPSLKSIDIYFCPIVSLPVHNFGGFTCLQDLSIQRCYKLICPEEMVLPRLLQRLCVDSSGKLDESFRQGGCLENLASLTVLKLDGCKNVESIPLNSINTAKLRCLVLHKCEKLSSIRGFHRLSAILHVNISDCPKLDEVQQPLLKKGLRAKEEKELLKFPDGRQPKIAGELAEYLDGGAATEVNLAVSVEMEEEPTWEGGEALRGCLVQAPYGCAPTDGCQWWPARSGEVGEREERPWRRGDEEEAGTKLCLWWNKKDYSSSDTLHIHMLQSGFMPNYNCWIKHGEKGVMIGDNEEDDDNGTYPMFPEYGDTASRESEDQEGADDLVGQKKLGSTLKLLQWKTENGVTDKGFEKLLKMMKKQLPRGSELPASTYEAKKVVHPLGLDIHKIHACINDCILYRGEGYENLDTCPVCNTLRYKIKRDDTGDVEGELPRKRVPAKVM >cds.KYUSt_chr1.5713 pep primary_assembly:MPB_Lper_Kyuss_1697:1:35256790:35262187:-1 gene:KYUSg_chr1.5713 transcript:KYUSt_chr1.5713 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGDTQALDDDDETQAVDDEFEEQEEEGAAGETQLVEDSEEERVDVAGQTQAVEVEEDEDEDGGSTEDEAGDLAKTQLLEECEAEGGGNKTQLVQECQEEERVNDSAFEADAIDWGMTQRVEDTDEEVGGDGDDELSEGTQVQSDDEGIQNDERDVEEHDDVADSDASTDEEGGTAREAFTIKSLEQGKRQPMANGTFPLTEIVENSTSGGTSLGGCPDRGIDDGSYGYVQSHGKDGSKSKGRCSTAKKLFADTAMEQSESKSRRFSGLSYLNSQEPGDLSQANALEVVEGLISINGGLSSQEPTPKKLGKAKPPVSIKMGTLLLAEKVDRCRSSNGKPETFAWVDSQEDDGGGEFFSKNKDVLLHQSTGKWKSKIPRPKKCSTKIAPVDNKKREYKRRTDSNVRGKIETLPSSDSRLVESEVKSKRTSGKRSKKNLLNDLGCLSNAKPVERQQEKVSVNLHDVGLDTQMAVLAIEALAQSSPAENLSAEDELPVNRDLRVGSRAEKSQLKNGPPRKRTSSIQEGVMTRSKRIKVTELNQKPQKKRQRGIQSQQNSEDCGTKTKNKQAKSVQQKNKIAKIVDGNKYASTPIAHRTRHTGRNNLYEYSELGPNKHLKKGMNLTGDNSTTREVRNNHAAHEPERPMLSERITGYGSGSVVKESTKHTCANHAQNLEQNNGASIQHTSANVSENLEPFRDEPATHVSRREPSSHPKQRRTPTTKLQAKAPAVTQTATDHEIQPEVVRSPKKRRVFIKRSELLTYARREPSKGSMSMLSSIIPQSSAVSPILNSSKGVNNKSSGFGSSDQRRKEPSGVRDASNTPECNSPVLNSALKTPSKMVNKLSPTFSPLNPSKASSRSLSKPSIARELLELDPENTLSSRHRKDSRRKDMASASILFSHHLDEDVIKRQKKILARLGVREAFSVSDATHFVADGFFRTKNMLEAIALGKLVVTSMWLESCGEAGCYVNDKKYILRDAKKEKEIGFSMPISLASASQHPLLLPLERIGRSINKEREAPDDLLVISCEEDYETCVTLLEKGVNVYEAELILNGIVTQKLEYDRHRLFSDCVRQTRSTRWLKDDARGRFVPVSRS >cds.KYUSt_chr3.4520 pep primary_assembly:MPB_Lper_Kyuss_1697:3:25669162:25671372:-1 gene:KYUSg_chr3.4520 transcript:KYUSt_chr3.4520 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQKKKGGAVKRMKVAAATTDVTMDVDLLDCPVCCYPMRPPVFQCTVGHTICSSCHEKLPKKCHFCSCPRVSRCYITERVIESIKVACSNVNHGCTVKTTNYQKEDHEKSCPHAPCFCPETGCSFSGSTVMLLDHFSSKHDWLCPQVKYGYMSLSFGLKVGTTVLAGEDGHLFLLNVKLKSVDVVISICGIHPHITGSKFKCKMILKRHDPDYFQTTVIDVSSTNLHDGLPKDCIPVIVPELVLLNTGASALAKLGMTLGVDLRPK >cds.KYUSt_chr5.21982 pep primary_assembly:MPB_Lper_Kyuss_1697:5:143508713:143509237:1 gene:KYUSg_chr5.21982 transcript:KYUSt_chr5.21982 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGRLAAGPGELIRPPRLEDAGLEDCALPPESIAEAFSLAAMAVSSRFPRLSLSDEDEDEGDAALAPRGGCVEDAGPTRGAIPDALVGAGGIREGGADEVVVVGGRGGEGGSDEVVVVGRGYEEDRVVVVGEELEKKLGREKGCVDGIREKQERKKEDDEMVEKAVLVEDFA >cds.KYUSt_chr7.40367 pep primary_assembly:MPB_Lper_Kyuss_1697:7:250620581:250621162:1 gene:KYUSg_chr7.40367 transcript:KYUSt_chr7.40367 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPAGPSRKLPAVHLVKPKLEPGEESLPARLNAGEDQETTPLSGRRPFFTAIMAKTHVQKPYQLAIPAHFHRRLPARRTAAVLRCGGGSWIMSYCGDNRLKRLDGAWADFAVDNGLLVGDACVFELVSGGGVKGQELVFQVQVLRGGGMPGEIADKGATADDPIVIVD >cds.KYUSt_chr1.1103 pep primary_assembly:MPB_Lper_Kyuss_1697:1:6010448:6011128:1 gene:KYUSg_chr1.1103 transcript:KYUSt_chr1.1103 gene_biotype:protein_coding transcript_biotype:protein_coding MIFITCYHCAQVLREILLGCGSTGKKGKPGYVIPETDVESGRKKKKKKHKDEKKTMEKNASSSRHNKHHKLHVTLLSLCVTACEKLDLDINAISPGDGDEGDKGECVAFNFAMKMVQLNGELITVNCLALMKLTTRMVIAEMVKHRGLLNPADLEGHMCSLSAVSENMIDLESSMVFDTTWATPAAAKTPAATLAVDKTLDDLVKEAQKLHGELKEQYYLAMQTVS >cds.KYUSt_contig_319.218 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:1568222:1569369:-1 gene:KYUSg_contig_319.218 transcript:KYUSt_contig_319.218 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAVRFHATAARRAAPRRAARFAVRADAAQTATALTQDDLKRLAAVRAVEQVESGMVLGLGTGSTAAFAVAEIGALLANGKLSKIVGVPTSKRTYEQALSLGIPLSTLDDHPVIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASGKFVVVVDETKLVTGLGGSGLAMPVEVVQFCWKYNLVRLQGLFNEEGVEAKLRLNEDGKPYVTDNSNYIVDLYFKTPIKDALGAGKEIAALEGVVEHGLFLNMASSVIIAGSDGVSVKTK >cds.KYUSt_chr2.16737 pep primary_assembly:MPB_Lper_Kyuss_1697:2:105269847:105277795:-1 gene:KYUSg_chr2.16737 transcript:KYUSt_chr2.16737 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYPASIPNIHQPGSRSVLREDAPAPAHFSPVLKFVQQRGTSVRLHAPSRGLPLPTHAYSEIESNALVRCCGETDQSGGGMGAPAGGDSRGGAATGDRAHVALLLRFARFWTKEADIKATGAKVGLARFRIPNYPL >cds.KYUSt_chr1.12015 pep primary_assembly:MPB_Lper_Kyuss_1697:1:74204937:74206032:1 gene:KYUSg_chr1.12015 transcript:KYUSt_chr1.12015 gene_biotype:protein_coding transcript_biotype:protein_coding MDATIGDPTVASSVERVFESQLLPGIWGQVTFRSMAISVVLGTVFGFVGLRIMMKAGIIQALNLPINVLSFFFLKWFINLLRAFGFTTLPFSRQENVLILTTVTTCLNVAISDQKLQISEL >cds.KYUSt_chr4.16213 pep primary_assembly:MPB_Lper_Kyuss_1697:4:100492788:100493243:-1 gene:KYUSg_chr4.16213 transcript:KYUSt_chr4.16213 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLHLATSVASPRLHVGHRATGLLPRRQKHQEVRLTAASVAPPRAYKVTIEHGSESLVVEMEEDENILERALDEGLDVPHDCKLGVCMTCPARLVSGKVDQSDGMLSDDVVAQGYALLCAAYPRSDCTIRVIPEDELLQVQLATAND >cds.KYUSt_chr2.6237 pep primary_assembly:MPB_Lper_Kyuss_1697:2:38881793:38886454:1 gene:KYUSg_chr2.6237 transcript:KYUSt_chr2.6237 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLLQPSAAVTASAASSSSRAQLRRPSRVSVRASASSSSAPRRETDPRKRVVITGMGLVSVFGNDVDAYYARLLAGESGVGAIDRFDASGFPTRFAAQIRGFSSEGYVDSKLDRRLDDCHRYALVAAKKALESAGLAPDSNAMDKIDKERAGVVVGSGMGGIRAFSDGVENLVTKGCRKISPFCIPHAITNTGSAMVAMDAAVGFRGPNYSISTACASSNHCFHNAADQIRLGRADVMVAGGAEAAIVPIGLGGFVACRALSQRNDDPGTASRPWDRDRDGFVMGEGAGILVMESLEHAMKRDAPILAEYLGGAVNSDAHHMTDPRPDGSGVSTCIKRSLEDAGVAPEEVNYINAHATSTLAGDLAEVKALKQVFRDTCQIKLNSTKSMIGHCLGAAGGLEAIATIKAITTGWVHPTINQFNPEPALDQFNTVHNVKQQHEVHVGEYVL >cds.KYUSt_chr1.36563 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223089417:223094795:1 gene:KYUSg_chr1.36563 transcript:KYUSt_chr1.36563 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCECSVEDLQDEASPNFAEEVVSLFFKDSARLVANIEQAMEKYPGDFNRWDAHMQQLKGSCFSIGASKMNNECTSFRNSCGQENAEGCRKSFQKVKREHAILRQKLESYFQDSGSFLVGSWVIIDVYSTGFLHCKYLGVGRNWLVVVSKYDLKRDDVLEFKIKAFSLKMNIYKHNSSSAKTYVCPDHC >cds.KYUSt_chr7.40433 pep primary_assembly:MPB_Lper_Kyuss_1697:7:251042748:251042963:-1 gene:KYUSg_chr7.40433 transcript:KYUSt_chr7.40433 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDEQEEVPPRMRHHGGGSIVVIHERRHLSPPRQLHPVKREWTLPPEYKAVASIIKAEDDPEEFSGLRRA >cds.KYUSt_chr4.23588 pep primary_assembly:MPB_Lper_Kyuss_1697:4:148464629:148467167:-1 gene:KYUSg_chr4.23588 transcript:KYUSt_chr4.23588 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVPSYPGDLGSSSRATRQQRIRKEEQTWTADTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKTDMKCPATKQVQQKDMSDPPLFTVTYFNHHSCSTTSRPIGSASDTAEQPSSRKAVSICFGSQASEQPTFLTSPSMLQSPASRASQQQDTGTYARQFQWTDTSPSAGDSLVKMEADDLSGTSSSSVISGGALSRTLLPIGQSRCIEYFQFL >cds.KYUSt_chr2.17382 pep primary_assembly:MPB_Lper_Kyuss_1697:2:109550195:109551447:-1 gene:KYUSg_chr2.17382 transcript:KYUSt_chr2.17382 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCERTGLKKGPWTQEEDEKLVAYIKKHGQGNWRTMPKNAGLERCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGLDPVTHAPRLDLLDLSALLKPAAAYYPTQGDLDTLRALEPLAGYPDLLRLASTLLAAPTPASTTAEQQMLLPWLIQAQMAQQASNQQAPPQHATGADQFMPPNSAYQMPALVHANPAHQDHMVACGDYTHLPAYDGQVDYMPPLMQMVSDTSNQQWSGTVSSSNNNCNVGSGVSTPSSSPAAGRVNSASTTTTFCASASSFDAISAADTAGLFDMHLSDLLDVSDYM >cds.KYUSt_contig_2097.288 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000254.1:1508278:1510022:-1 gene:KYUSg_contig_2097.288 transcript:KYUSt_contig_2097.288 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGRRLQVYSNLQAMMPQRRPFSFVGVSSRLHAPSGFVPGGMEVGSDELDGGGFGAGLDRVFSSQSKTIHGALAEHANGYKDRPAVKTAKISMGSGSFFQPGTQRNPQYCPGKD >cds.KYUSt_chr5.1346 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9289813:9293959:1 gene:KYUSg_chr5.1346 transcript:KYUSt_chr5.1346 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIGEGAPVVVEKAAAAVRKTVRVSETCDFIPDADVGDYSAADSRSSAASAAALPDGASCAGSSEALPRDDGMHQDTPDYIRRGAAARVRIAPLELFSAAAEPSPSPAAAAAPEASAQEEATGCQGGGDRDCCGQLRQEYHSLLREKGECRRLLEDLMRENELKSKECHEAQASLRELQMELMRKSMHVGSLAFAVEGQVKEKSRWCQLLKDLSEKFKALKSEHQILQQESEEYKRCLSDATQMTTTIHQYVNQYASLECEFKDLKEKFSEEAKERRDLYNKLIEIKGNIRVFCRCRPLNTEEIAEGASMAIDFESAKDGELVVRGHVSSKKVFKFDSVFNPEEDQEKVFEKTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGTDGARGVNYRILEELFRVIKDRHDLFQYEITVSALEVYNEQIRDLLLTGSQPGATTKRLEVRQVAEGIHHVPGLVEARVTNMDEAWDVLKTGSKARVVGSTNANEHSSRSHCIHCVMVKGENLMNGDRTNSKLWLIDLAGSERVAKTDAQGDRLKEAQNINKSLSALGDVISALATKSQHIPFRNSKLTHLLQDSLSGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGQARKQVDVGELSRYKLMVGRAKQDCKNKDTQIKSMEETIQSLEAKNKAKDLLTMNLQDKIKELESQLLVERKIARQHVDNKIAQDVERKQQQILKEENSSFQRSPMSERNLNSTADRPAAKNFGIAKQMLSDSNSEAYSFNQLMSLAEEKENNPEAAQLRLSAKSRRVSLCNGAYQQPANSASRRNSLIPLPRRNSLMPLPIAGKPAGAAAASPLDKIKEYSSPPLPCSPPVMSNDKGSRSKKINSILRRSLQKKVVIRPAMTGQAGRRGTGAATQGVDCARRTAARRVPLSGGPAAAGAPRAGHQNRDKERGWNTGTSFRNF >cds.KYUSt_chr3.39895 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251426902:251430220:1 gene:KYUSg_chr3.39895 transcript:KYUSt_chr3.39895 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRALNLKAGGPFLAPRRNPPPTWAPLPAGEAGSLAVGSTRGPRWPRLAASASGKKSHSSPDADEPRNKASSSGAIISETVKLRRLTWPEQAVDVNTLPQTSFDGPVHCLPRKWAHSISAPESGCVLVATEELDGNGTFERTVILLLKLGSRDAYDGPFGVILNRPLYTKMKHVNPSFRDQATPFSDCSLFFGGPVDMSVFLMRTNEGRPIKGFEEVAPGVCFGFRTDLQKAGHLMKNGAVNPEDLKFYVGYSAWDHDQLLSEIDAGYWVVTSCSSGLITDALTADPSCLWSEVLQLLGGQYAELSQKPKEDSA >cds.KYUSt_chr4.1445 pep primary_assembly:MPB_Lper_Kyuss_1697:4:7624530:7628857:-1 gene:KYUSg_chr4.1445 transcript:KYUSt_chr4.1445 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPYLPMATVVYFQCVFAAITPILVAGSLLGRMSFLAWVLFVPLWLTFSYTVGAFSVWGGGFLFQWGVIDYCGGYVIHIPAGVAGFTAAYWVGPRSREDRERFPPNNILFTLTGAGLVWMGWVGFNGGGPYAANVDASMAVLNTNICAAASLIVWTCLDVVFFHKPSVIGAVQAVITGLVCITPAAGVVQGWAALVMGALAGRVPWYTMMVVHKRSKLLQRVDDTLGVIHTHGVAGLLGGVLTGLFAEPTLSQPLPAGHQLAGALYSRGGAQLGKHSMAKGPLVAVRNRH >cds.KYUSt_scaffold_1854.391 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000014.1:2089290:2090074:-1 gene:KYUSg_scaffold_1854.391 transcript:KYUSt_scaffold_1854.391 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSWCGGRRLCELLEEQPELFLLLNYGAKEAAPPRCSVYSSCKNDSAARMRSAFGRGIIRRVEDDRPPSTQGSSPSPDPVTGAATSLSFTSHADDDRTCAHAPEAKTTRSEEVQRIISSWERITRVPMLAELHMSSTGSSLEWLRLVLGEAALVGAMP >cds.KYUSt_chr7.16147 pep primary_assembly:MPB_Lper_Kyuss_1697:7:100057186:100058490:-1 gene:KYUSg_chr7.16147 transcript:KYUSt_chr7.16147 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLALSSSPRARFRHGCALMAVVLLAEAVLGRGSSAAGSCDLFQGRWVADASYPMYDAASCPFVPDVFDCRRNGRPDSTYLKLRWSPAGCRLPRFDGLDFLRRWRGKTVMFVGDSLSMNQWASLACMLHAAAPDPARVSFTKGDPVSSVRFEDYDLSVVLYFSRFLVDVAEVDGGRVLKLDSMQAASSWLGAHLLVFNTWHWWTYRGASQVWDYMQEGNRTYRDMDRLAAFTKGLSTWASWVDANVDTALTRVVYQGVSPSHYMSKEQETDGAAPASGGCFQQTRPLQVTTDGDEAAFPEQVVVRGMIASMSTPVSLLDVTALSQLRIDAHPSVYGGPGRDGMDCTHWCIAGLPDAWNHILYAMLLDQR >cds.KYUSt_chr1.37816 pep primary_assembly:MPB_Lper_Kyuss_1697:1:230951003:230952947:1 gene:KYUSg_chr1.37816 transcript:KYUSt_chr1.37816 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEAPTAVPATAADEAHREALEYIEHVTACAGEVQRRVLAEILAQNAPAEYLRRIGVSGAAPGADEAFRRLAPLTTYEDILPDVMRIANGDTSPILSGKPTYEFLTSSGTSGGERKMMPAIAEEMDRRSQLYGLLMPVMSQAVPGLDKGKAMYLYFVKAESRTPGGLPARPVLTSYYKSRHFLERPHDPYTVHTSPDEAILCVDAYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIHFLEKHWRRLCRDIRAGVLDAEITDPAIRAAVGRVLRADPALADAIEDACARPSWQGVIRRVWPSTKYIDVIVTGAMAQYIPTMEYYGGGLPLACTMYASSECYFGLNLNPICNPGDVAYTLIPTMCYFEFLPVQPCSGNGGEPDRRDLVGLVDVKLGREYELVVTTYSGLYRYRVGDVLRVAGFKNAAPMFSFVRRKNVALSIDADKTDETELHAAVCRAVQHLDPFGASLVEYTSYADASTIPGHYVLFWELRDGGTTTSTPVPASVYEDCCLAVEEALNTVYRQCRAADRSVGPLEIRVVSSGTFDKLMDYALSRGASINQYKAPRCVRPGPVVELLDSRVEGRYFSPKCPKWSPGNKQWIGGNAKKMDTS >cds.KYUSt_chr4.9127 pep primary_assembly:MPB_Lper_Kyuss_1697:4:54915771:54916325:1 gene:KYUSg_chr4.9127 transcript:KYUSt_chr4.9127 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAADAALLCAPCAVDSCRLPVAAEALLTFVSGCEERRWRTGAARCGRRTSVDHVAAGGSWLPAHPHRRSAASRATRARPAPTNAVDQAARPGPTSWTVPRAWMHAGAGEPAGCVARFLVAPSLPSASLDGGVDVVQVARKLVELGAEERRDGAAPEGTWPAISRREAWWGGGRQAGAGRQRG >cds.KYUSt_chr3.36672 pep primary_assembly:MPB_Lper_Kyuss_1697:3:230650337:230650999:1 gene:KYUSg_chr3.36672 transcript:KYUSt_chr3.36672 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTPQPLLPVTNPSSGTGSAPSSGSGFSDAALATPAFRLFLSRVSDTARRSLEDRRPWAELVDRSAIARPDSLSEATSRLRRNLGYFRVNYAAVVAVSLAASLLAHPFSLLVLLAILGAWCFLYVFRAPDQPVVLFGRTFTDRETLLGLVVSSLLAFFLTSVASLIISGLLVGGALVAVHGAFRMPEDLFLDDSSNGSGGNTTNRLLSFLASPGSGV >cds.KYUSt_chr3.29889 pep primary_assembly:MPB_Lper_Kyuss_1697:3:187030175:187031599:-1 gene:KYUSg_chr3.29889 transcript:KYUSt_chr3.29889 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRTLPQLRSLLAQQALRTATPKPRTLPIPHSRFLHSSSPPAAASRSPLSHFPWRSAGTLLPVSAAAVAAAARAAAKRWLAARAAGSLELFSMQRKGSSRCPPSSSTPPRRGLWARFVPSADGAVLMLMVANVAVYMLWQKADPGFMRDHFTISLENLRSGRLHTLLTSAFSHAEPRHLFSNMMFLFFFGSTAISIVSIKLWCGGGWSW >cds.KYUSt_chr1.33430 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203263707:203264969:1 gene:KYUSg_chr1.33430 transcript:KYUSt_chr1.33430 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASPASLPSAAALAAAQARRSSRLPLARRFRKENAGLVVSSAAASGSSSATLPPPLPQKGRRGSCALERRPPVRASCGLGVRPSPTGELLPLPCAAPSWSSAVDAAVFDDEEDEEELAPRSPPPSSTPRRLLVCPVQVDGGCVEARPVHVPPAPAPVVAAPLAVDVDEVEEVLTPPTMRPAADDGEASEGALPLAAAPWLASWVSAADNDDEDGEDELVPRTPPASFDGAAVDKVVRKEVDFVVDERDGWQEVMPRRGPRRSALPAPPFVRRAIPAWLRGRCCRCLARGHRAVVCCDPFKCSRCLEDGHWARDCRNAWRPLSLLESPIVSPSRLAKAPRRDQVEVSLSSDRPRRRSWASVVSAPIGSLAPKDMQCALEKRRSYFRGRPSSSRGRCLLARLDVSDWRLSRASGSCVG >cds.KYUSt_contig_686-1.328 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:2079704:2080576:-1 gene:KYUSg_contig_686-1.328 transcript:KYUSt_contig_686-1.328 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAKLYTDQPQQLERMPECFSGEVSSRDDGAEETKDASNPPAAATGRDDGGSSIETGKKRARGRPPGSKNRPKQPAEATPVVPEPAAAMRPYILEIPGGGDVSAALAGFARRRGLGVCVLAGTGAVADVSLRHPSAEGGAAIVFRGRHEILSISATYLPPSVSAAAPRALGGLSVALAGPHGQILGGAVAGPLVAATTVMVVAATFTNPSFHRLSADDVDASASVSGSSDAGKLQLEPQGQHQASRLQPHGMSAAAMAAQPVSLYGAQSYSHVARAPAASKPRPPPPYE >cds.KYUSt_chr6.13943 pep primary_assembly:MPB_Lper_Kyuss_1697:6:87223180:87223806:1 gene:KYUSg_chr6.13943 transcript:KYUSt_chr6.13943 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFFAAWLGTLRSPLLPLLRRALSSSSGSWNDPISSAAAAVEAHFQAHWSALDAAARQDPAQAVCAGDWRSPLELPFLWLGDLHPSLLTSLLRSLSPSPRLLAAADRVDRRIRAAVPAVSDRLRRAQEAFTSAELAGAADLEAFLEELKAVALEANRLRRGVLTELVAAAGGQQAALFLEALSRFMLSLHDPEVLRRFDHCRPSPGS >cds.KYUSt_chr5.33546 pep primary_assembly:MPB_Lper_Kyuss_1697:5:212842292:212849321:-1 gene:KYUSg_chr5.33546 transcript:KYUSt_chr5.33546 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSTAVKSVTHTGAPGSLPAGDLGANPIRQERLRLQPHPPPPPAGVAGQSSCGVGGGGISLPSSIGGLRGAASPTGGAGRRSARRRRAGLPLPLLGRPRSSERWRSLWLAALKRASRRFLVLKRADGLRADAEGHDLGLRRSRPAIVEGAGSARFSRKRGRRPERSVQIWTEVGESEGEKSKVLSEIERECLEVYRRKVDNANRTRVQLHQSVATKEAEVALLMATLGEHKLYLKKDKSYVSLREQLAAVAPVLDNLKCKKEERIKQYYDIQSQIEKIRSELSEPNDQGDNANSTAADEHDLSTRKLNSLQAQLRALQKDKSDRLQRVLGYVNEVHSLCGVLGIDFGKTVNGVHPSLHQNGVGQSRNISNSTLEGLASTISNLKAERKSRIDKMRDTMESLCQLWKIMDSSEEEKRQFSKVISILITPEEGITSCGVLSQETIEKMEAEVERLTELKTSRLKEIVLKRRTELEDICKNAHIEPDLSTAPEQTNTLIDSGTIDPSELLANIESQILKAKEESLSRKDIMERINKWIAACDEEAWLEEYNQDSKRYSSGRGAHVNLKRAEKARILVTKIPAMVENLINRTFAWENARNKPFLYDGGRLVSVLEEYRLNRYQKEEEKRRYRDQKKLESILLAEKEAMFGSKPSPKRTSSMTRKTNGYRSNGSSNGLMTPTPRRSSLGSATPELSTPKSYSSRYNRYFGDSRRLSVSQLNFGDDSLSTFTSISGSEPESPSMG >cds.KYUSt_chr3.32551 pep primary_assembly:MPB_Lper_Kyuss_1697:3:204624626:204626922:1 gene:KYUSg_chr3.32551 transcript:KYUSt_chr3.32551 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQPLGDDTKHMKILSYNVNGVRNVEGDVKDFKNLIAGYDYSYWSCSVARLDYSGTGVISRVKPVSVQYGIGTAEHDQEGRVITLEFDNFYLVNAYVPNSGRGLKRLLYRVDDWDPCFSEFVEKLESFKPVIVAGDLNCACQEMDIHNPPMKTDDAGFTEEERQSFEINFTMRGFVDTFRKQHPNAVGYTFWGENQRRNNKGNTASMSGKS >cds.KYUSt_chr7.15884 pep primary_assembly:MPB_Lper_Kyuss_1697:7:98658670:98659146:-1 gene:KYUSg_chr7.15884 transcript:KYUSt_chr7.15884 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGPTAMPNSERAHHLNKGTVIFSYTCVGLVGVAIIFVLVFFCYHIRNRAPVAAAAADTDAAGGRGRSVDLTKIPEFAYTGSARHSGSGDGAQCSVCLGTVQAGEMVRLLPLCKHLYHVECIDLWLASHDTCPLCRAEVEPPEEDDQPALTTELPV >cds.KYUSt_contig_786.490 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000073.1:2733022:2735935:1 gene:KYUSg_contig_786.490 transcript:KYUSt_contig_786.490 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAARRAAALLRPAAAPLLRPAPAPAARFSSKAEYKAFVACLRDISASAQADKNYSGGSVRDKADSARAATHDGEILRVIDNVIRSQHRGLVEENSSDFPFEISENEGLTELTLTRSLKGETIEVLVSTPKLDQDGKDNEGLLSSLKENQEDEGNAPPEKYSLPVRVTVSKGDGSGLVFTCTANPDDIVIESLSMRRKSLGADEGDAVTYDEGPDFHELDKNLQETFHKYLELRGITPTATKLLHEYMISKDRRVLPKTASKDTRNNLVFLTKLHSFLKKD >cds.KYUSt_chr7.21398 pep primary_assembly:MPB_Lper_Kyuss_1697:7:132656122:132657425:-1 gene:KYUSg_chr7.21398 transcript:KYUSt_chr7.21398 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVFKGLRVISQIFVVKEQEMDIGYPTDVKHVAHIGWDSPTGSAASSPSWMNDMKGSPDFSTLNNFSPSTGTSWTSQDFDQPRDISPYGILPENSGQEATPYPDIPKPPRKTRRKKSSKNGSPTASARSSRSSRSRSKDSFSSTTPDTIGAHDIQREIRIV >cds.KYUSt_chr6.10408 pep primary_assembly:MPB_Lper_Kyuss_1697:6:64211408:64212679:1 gene:KYUSg_chr6.10408 transcript:KYUSt_chr6.10408 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGVRTKLGRFPDENENLGARLSRFSPSGCKKLGASRETSGDALTFTMVLISPVLSIIIREDKTLSYICRTILWRCNSEPIKKKTDLTMNRSCNAHQKEIRVEKRSPVMPPPPKKVGRQSAIGVPPGFPPLNSSIGKRLAAELSARGKKSRPVQESQGSRPGTAKRGQNPLVGFLPEAKFCPRFKRQPLWLAQNSEGVLSAHDRQFPVENHHVWEHMVC >cds.KYUSt_chr5.42181 pep primary_assembly:MPB_Lper_Kyuss_1697:5:265835834:265839370:-1 gene:KYUSg_chr5.42181 transcript:KYUSt_chr5.42181 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPPHPVAGYVIQYLYLHFFVAYGCIAIDAQPLFRVPVYEGAGENFEPLEEVKHTDGEGLAVVAEVVAAAESALGMQKGDVEIEEDGVKTGDSGDIGKEESTLSVVRPDPRAPGWNKRLRVGKAPAERDPCPGRIGALEKSVRDFAENPSENVIKIELGFSFDSLNEAYDYYNLYSWENGFGIRYGKSRLNVERNKCMQEIVCGCSGKPEKDNSRSCRCECPALIRLLRTSDNGWYIAEHRVSHNHSLSLTCGERVHWPSHKHIDMYTKNLVKQLRDNNIDIGKVYAIVGSFFGSMENVPFTKKTLKNLCGKISRDNADADVHKTMQVFSEMGKKDPDFTFRVQTDDQCRAMEVAISNVMPRTTHRWCKWHVLKKAKESLGSLYTKRSEFRAEFHKVINHMITVDEFESAWQMLIDKYSLRSHTYMTQLYEIREKWASPYFRGVFCAKMTSTQRSESANHMLKTYVPPSCPMHMFVRHYLRMQFDRDSAECAEEKRTRVARPLLRVNRAFERHASQVYTRAMFEKFGDILYEAGYYEVEVEEIGKIMDHLDVKKIPARHIVKRWTRDARDVLPDHLAHYQRDQLAKGTFTFRHSQLYMQALQLVRMGDTSVEAYEKLTSLFSDNIVTMQPYTEKPDGLGLEEQQKEKEKRAATVTVQKDKWITRSENDIDALKAPGKKRPAGRPSNSRDRAPYEGTSKRTRFCTICRRPGHRSTTCPDRGDLPKKPRKVGRCTICGVEGHRKDTCLKRRKLDEC >cds.KYUSt_chr1.26602 pep primary_assembly:MPB_Lper_Kyuss_1697:1:160336496:160344307:1 gene:KYUSg_chr1.26602 transcript:KYUSt_chr1.26602 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGAASPVHHGGGGIIRVVCESCGNPDEYSPDDAEDGFFSCRQCSNVHTCTQATAADPDDFQELAIRSSFRITQPAKPRTPYRTPFHAPHAPAAPAFNGFDEPSEPRDFVSGDEEAWRDPEEMANRVRWRYVQGLQVILQRQLEVLVERYRVGALVCGVAGTAWVRWVAASKVFDEMWARKVLAEDEAAQRLKYSAPGVGQIPQEVKLELEDEVLLPKDRRRVEFIFLRSLRMMLPVYSTLSVCFLACHIAREAILPTDIYRWALEGKLPYVAAFTEVDKLLGTPIKHCPLNARQLFRPVRVIGIWQLEAAAASIAQRIGLQLPSVNFYAIAQRYLNELSLPVGKILPHACRIYEWAMPAELWLSSNPARPPTQVCVMAILIVSLRLLYNINGQGIWEEICEAGRDAGGSGPDANLPPSMKPNGDTSEEFGTKQLLCTLADAYDNIDVAHDYSKDLHSYLKYCKDVVFPGIACSVDEEHLIGIFQDMYKGRTGENPEAHMEEMRTTNGVNKRDRDGTFVGASYVKSHGLCGYQEFYKCRQNILLATRCYAAADMLPGLSPPPSLVPSVSPAATPSSSIGASIAIVAVVIIATTLLTCCIGVLCRSSRHRRTSRSSFSCRRSSSPKASSSAASEMNAEVYVSGLELPVPSAPCLPEVEKVILELLSQPPVLVQPGSRMFCCICGQFFVPGDLIMALPVCSHKFHQSCVISRIRRPAAPSCCPFCDAPITIPSTNKTRLAPTYCSDQYDIEAQIMTTPAPPGEEVAEAVGGSRGWLRSSLDRLSGSWRGCSSNHATAVVVLVPLQDTTRSWRLDSMGHLGTDSNGTQMQSGEEVQAVGGSQGWLRSYLATLSNTWSGRSDSPSSSVVLPLSSGRATESLSQVPSGGGGTGSWSRSWDLEAAEQRT >cds.KYUSt_contig_686-1.376 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:2436623:2437468:-1 gene:KYUSg_contig_686-1.376 transcript:KYUSt_contig_686-1.376 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEHDDDEEMAPMPVSSSYEVPVQLGGGGVPKPGGDSGGGGRSAGSGAVGGAGGVRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGSIDALRCAACGCHRNFHRKESDSPTNADAAGTVSSTAITAYGALPPPHHQFSPYYRTPAGYLQHQQHHQMATAAAVAAAGHSHRPLALPSTSYSEADDVSGMLSPMVMGSMLGMPFGSAGPSGSGSGSGKKRFRTRFSQEQKDKMQAFAERLGWRIQKHDEAAVQQFCEEVGVKRHVLKVWMHNNKHTLGKKP >cds.KYUSt_chr2.2330 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14049224:14050243:-1 gene:KYUSg_chr2.2330 transcript:KYUSt_chr2.2330 gene_biotype:protein_coding transcript_biotype:protein_coding MAETATLYFLFLSLATPLLLLAILLLGSWNKKRLRLPPSPPSLPVIGHLHLLTKPLHRALSSITAAHGPVVLLRFGFRRVLHVVDPAAAEECLTTHDVVFANRPRFPSTRYLSNGFTTLGSSNYGANWRNLRRIATVEVLSTHSLLRSAAVRAAEVRDTARRLFVDAHGASESRPARADVKVLAFELALNVVARMIAGKRYYGGAESEEAARFREMVHEYFAMHGVSNLQDFLPVLGMVDIGGAKRRAVRLSAKRNQWAQRLIDEHRIAGPNDDGRSSRGRTMVGDLLDMQASDPDVYSDEVIRALCLVSKYTRVPVFISFAALHDCFFSLVLFFKLAR >cds.KYUSt_chr4.37611 pep primary_assembly:MPB_Lper_Kyuss_1697:4:231837619:231841808:1 gene:KYUSg_chr4.37611 transcript:KYUSt_chr4.37611 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSSSSPPPPLLSSPATKISSPAALSSLRLRRARPAAAGREDEAAGAGPFDRRMEEIAKKVPLFEPAGVGEPAATAARPLPINLELWLHRAKVHTRKYEFAHAEKLLDKCMLYWPEDGRPYVALGKLYSKQSRFDKARAVYERGCQATQGENPYIWQCWAVLESKGGSIRRARELFDAATVADAKHIAAWHGWAILEIKQGNIKKARNLLAKGLKYCGGNEYIYQTLALLEARAERFEQARTLFQQATQCNPKSCASWLSWAQVEMRVENNVLARKLFEKAVQASPKNRFSWHVWALFEANQGNIDKARKLFKIGHAVNPRDPVILQSLALLEYNCASPNVARVLFRKASQIDPRHQPVWIAWGWMEWKEGNERTARSLYQRALSVNSTNECAARCLQAWGVLEQRVGNYTAARRLLRASLNINSQSEVTWLTWAALEEEQGDPVRAEEIRDLYFQQRIEVVDDASWVMGFLDIIDPALDSVKKLLNIDRPSGPARQGNATSTAEQDGTTTMTPAAAGELPGSSTPQGSSTANLDSIRSAEGLSTSDLDGIVDPRAPYTRQPDSDLDVDGFIRRRLGLDPAELDAVLEGSDPRGVISQRRTRGLTRKPLPLLPVP >cds.KYUSt_chr1.1473 pep primary_assembly:MPB_Lper_Kyuss_1697:1:8054232:8060570:-1 gene:KYUSg_chr1.1473 transcript:KYUSt_chr1.1473 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAVFAELMRAYKLDGNNFTVWRRKLLYLLTSENIDYIVEMAEPNHPAEDATAEEKQEYEDELKQYNKDNKTTRVFMLGSMADSLAAEYESEDTAYGIMQRLEQDFGEVSLMKVLGLVNMFINSKMGDKSVNEHLNKLVVLAEELKSAGYPFQEEVQDFTDADWQGDLDERRSTSGYIFKLGRGAISWRSKKQDSVAVYSMEAEYIAASEAVKEGVWLKEFLASLKVVPSASNRVTIFYDNQAAIKVSKDPKFHSKTKHIEGRLALLLFPLFLLLLKLIIVGRYTWPTRALRLPPGPWQLPLIGSLHHLLLPRFGDLPHRAMRELSRTHGPLMLLRLGAVPTLVVSSAEAAREVMKTHDAAFAGRHLSATLEIISCGGQGILFSPYNDRWRELRKVCVLELFSPRRVLSFRPGREDEVARLLRSVSAECRDGRAVNLSEKICRMMNDVVVRAAVGGRCARRDEFLHELDEAVRLTGGFNLADLYPSSRLVRRFSAAARDMGKCQRSIFRIVQSIIHERAGAPAPPERDEDLLGVLLRLQKDGGRLQFELTTEIISTAIFDIFGAGSETSSTTVEWAMSELMKNPRILHKAQAEVREAFKGQGRLSEEDIVKVRLDYLHLVIKEALRLHPPAALLLPRECGATCRVMGYDVPKGTKVFVNVWAIGRDDSYWGADTEAFRPERFGKSAAVDFKGADFEFLPFGAGRRMCPGMSLGMANVELALASLLFHFDWELPSGVRPQDLDMTETFGITVRRSSKLWLHAQPRVPCA >cds.KYUSt_chr3.43056 pep primary_assembly:MPB_Lper_Kyuss_1697:3:272165363:272167401:-1 gene:KYUSg_chr3.43056 transcript:KYUSt_chr3.43056 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTAVVASVAAAVSTLSLLAAAVMAVLCWRRLRARRSRTSDTGSSETPPTLAEWGRCGRTSSAPDYQGARRFSLEEMSHATKNFSDANLVGAAGSFGKVYMGLLLDGTVVAIKRREAPPRQDFVDEVRKQSEIWHRNVVTLIGYCQEGGLQMLVFEYLPNGSVCGHLYDSGKESMTRLEFKQRLSIAIGAAKGLDHLHSMTPPLIHKGFKTSNVLVDENFIAKVSDAGVDRLLRGLEDADKANGLHTSVYQDPEVHSLAQLSASKDVYSFGVFLLELITGRQAAGLVGPESTDSLPHWMEAHFTSGELVDPRLGGSFTSEGMKELVALTFQCLSPSVARRRPKMRLVAEELDRILEKEMTLTTVMGDGTAIITLGSQLFTS >cds.KYUSt_chr2.5957 pep primary_assembly:MPB_Lper_Kyuss_1697:2:37020529:37021857:-1 gene:KYUSg_chr2.5957 transcript:KYUSt_chr2.5957 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVALWTVVALLAAVATASHPSCPATPPDSGATLQVSHAFGPCSPLGNDAAAAPSWTGFLADQASRDTSRLLYLDSLAVAGRAYAPIASGRQLLQTPTYVVRARLGTPPQQLLLAVDTSNDAAWIPCSGCAGCPTASPFNPAASTSYRAVPCGSPACAGAPNPSCSPNTKSCGFSLTYADSSLEAALSQDSLAVANNVVKSYTFGCLQKATGTAAPPQGLLGLGRGPLSFLSQTKDMYEGTFSYCLPSFKSLNFSGTLRLGRKGQPLRIKTTPLLANPHRSSLYYVGMTGVRVGKKVVPIPPSALAFDPVTGAGTVLDSGTMFTRLVAPAYAAVRDEVRHRIRGAPVSSLGGFDTCYNTTVKWPPVTFMFTGMQVTLPQENLVIHSTYGTTSCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFAREQCTAA >cds.KYUSt_chr7.22254 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137797972:137799534:-1 gene:KYUSg_chr7.22254 transcript:KYUSt_chr7.22254 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLFYSLTTLMCLVSSLLLRARARSPSESHSHGAPPPLPPGPATMPVLGPLLHLARRDFDLEPVLRRLARAYGPVFSFAPLGRARPMIFVAARGPAHRALVQRGAAFASRPRASAPAAAVLTSGGRNVSSAPYGPTWRALRRTLATGVLNPARLRSFAPARRWVLDVLLSRVRSAGRDGSGAVAVMEPFQYAMFCLLVYMCFGGDRLGDAHVRDIEALQRDLLANFLSFQVFSFLPPLTKLVFRRRWSKLVSLRRRQEELFVPLIRARRDAGAGGDCYVDSLVRLAIPEDGGRGLTDGEIVSLCSEFLSAGTDTTATALQWILANLVKNPAMQDRLREEVSTAVDGELREEDLQGMPYLKAVVLEGLRRHPPGHYVLPHAAAEETTLDGYRVPAGTPVNFAVGDIGLDEEVWTAPSEFRPERFLPGGEGEDVDLTGNKEIKMMPFGAGRRVCPAMALALLHLEYFVANLIREFEWREVAGEEVDLTEKLEFTVVMRRPLRARAVPLRHGRSVTATGSG >cds.KYUSt_chr7.35950 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224672385:224672939:1 gene:KYUSg_chr7.35950 transcript:KYUSt_chr7.35950 gene_biotype:protein_coding transcript_biotype:protein_coding MANIFPPNLDLPLPELLQLPSSSSSYSATHAELLLRRRHTPDISVTYATITTRTHAMEIRFFLDREPFLIRETFLDRESFLIRETFLDREPFLIRETWAPVSTSHPAMEPSLRLLDLGVLTPVTASTAVAWRRYGLRGRAAPPRRRHAAPPRPLRHHAGPPRSPRSPHIRRHLAASASAMLVAD >cds.KYUSt_chr2.14523 pep primary_assembly:MPB_Lper_Kyuss_1697:2:91733747:91738383:-1 gene:KYUSg_chr2.14523 transcript:KYUSt_chr2.14523 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLDQCGDQVPLACLLHINYGVDVISMATLPLSHSETESESHRYLQDSMVREKSSSSKMTGRNLGAAQAKEEEEYVKSQVAGETLCFLHMMLLVTLGLSMIGQAGNTWSRHGLPTLDVLVTVLYFTAITIEMQVILAIVLSSEPPPSRLPPPLDEWVMATAVWLFLVNYFLGYAMTLHAPEPTYFDLTLAGIASAVNLAITVYSMLHGTCSSVLKSVAAADARMMLSIRKVPPGFVEMPVKGVAGIWVRGSPRGVLVEELRDGLPRPMRPLCGSFGSDGWPLAWSWIREVSAGGYIGGGLGTHGGNPDLVAGRHRLGGERCLRWLCVSRCASALLQVASCFVYDALYTRSVVAFLGVCGMVMACMEPSPSVLDVSVQPSSGERPIDRRYGALRARARRWGAFFGAGMDEDDARLPGAFHVMPHLPTSSRVPPCQVADR >cds.KYUSt_chr5.23075 pep primary_assembly:MPB_Lper_Kyuss_1697:5:150493306:150493659:1 gene:KYUSg_chr5.23075 transcript:KYUSt_chr5.23075 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASLQRPHVRIPATRLRSQALRVCDRQHPGHATAKRATPTTPRPPRKPSCISVRVEQLGPYLTGSSSSPTAASPSIFLALVLTARPRPSLSSPTAYTSSAPSAMRQVLLDFQPEP >cds.KYUSt_chr7.11130 pep primary_assembly:MPB_Lper_Kyuss_1697:7:68337056:68337991:-1 gene:KYUSg_chr7.11130 transcript:KYUSt_chr7.11130 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEKSPRFLSSAARSAMLGAFVQADSDDLDSAEVRCSYILAHTPCAPAGYIDYAKKKKTTFTSSTAPAASGYAAVLLWLVLLFYLIGDTASESFYASLRASPPAGARVTLLSLGNGAPDVFTSVVSFPAVEGRGGGVGPNNALGGTLFVSTGGGRGRHARSRVARRSRRGAARVRLLRASPLVGAPHGEIRTKQPPDWTKISRGEYRISSRPPEVRRT >cds.KYUSt_chr4.47436 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293588917:293590443:-1 gene:KYUSg_chr4.47436 transcript:KYUSt_chr4.47436 gene_biotype:protein_coding transcript_biotype:protein_coding MILHCDGCAKKVKKSIHRMPGVVSVVADAAANTVIVAGTADAAALKARIESRTKKPVEIVSAGAPSKPPPDAEPKKSPDKGAGGGEQKNADSKGDKAGAESSPPKEEKKQPQPPEEKKPVQDATALLRIRLHCDSCADRIRRRIYKIKGVKDVILEGNAKDEVKVVGTMDVPAMVAYLNDKLNRAVEAVAPGTSSKDANTTNKGGDGDGHIKKDKAFAAAAGQDAHEYKGKGIEVAGPSVASAAASLAPAPMGASTHHVSPYGYGNVAYPPPPQQGPPPGYYGGGNADGAGYATPYYPQPRADGAGYATPYYEQPRPDAGGYYQQQPRGDAGGYYQHQPRGDSYYNQPPSADGGSYYQHPGGYDQHPNPPPYQPYPFDTAPPPQMFSDENPNSCSVM >cds.KYUSt_chr6.31674 pep primary_assembly:MPB_Lper_Kyuss_1697:6:200194320:200195032:-1 gene:KYUSg_chr6.31674 transcript:KYUSt_chr6.31674 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAAAQGGDAGDDSAAEAAALEVSPRMEAALELRAHTLLALRRYRDVAEMLRDYIPSCGKACAGGDDSTSSSVSSLLVRWAEPRRSARPGAPPTPSPNATTRLALDPAFIPALRARADVLESIGVIPDSLRDLDHLKLLYDAALRDGKLLGPSWARSRARTASTWHASSSSAAAWPPATGAAWTTTRSRSVARLHADGAGARAPPARVALVFMPAG >cds.KYUSt_chr6.15272 pep primary_assembly:MPB_Lper_Kyuss_1697:6:95763594:95772697:1 gene:KYUSg_chr6.15272 transcript:KYUSt_chr6.15272 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDPARRPTSRESPAAAAVALSLPGAAAWILHVIGRHELAREPTLRCCVSIHDAADVARVSPLPSPRSCTTVESATRAPELLGLGLFLKRVKLKEIPVVIMSLANVPNRIRRCMEEGAEVFLLKPIRPTGVKGGKLLWAAVMFLSINRQHVPCDLMSLARKLSYQTQIKVNSSKVVRVGRPCCLDRPGFANENWYACTARVAGIKMVHQSVASGLVDRQPTNAAARRRAAPHRTATSRPTRPLPRLPALHGGMARRGAYIPPLPRRSPPRQHLPALPGSQQPLADRSRATVTSPAQRGMAALRRCLALLLALCACAAAGADASKGRWESVIRMPGQEEPATDEAVGTRWAVLVAGSSGYGNYRHQADICHAYQILRKGGLKEENIVVFMYDDIANSPLNPRPGVIINHPEGENVYAGVPKDYTGDEVTTQNLYAVLLGNKTAVSGGSKKVIDSKPNDHIFIYYSDHGGPGVLGMPNLPYLYADDFVKVLQEKHASNTYAKMVIYVEACESGSIFEGLLPADLDIYVTTASNAEESSFGTYCPGMEPSPPSEYITCLGDLYSVAWMEDSENHNLKEETIKKQYEEVKKRTSLLNSYGAGSHVMEYGDKTFKDEKLYLYQGFNPANANMTNKLFWRTPKAAINQRDADLLFMWRRYEMLHEKSKERVNVLREISETVRHRKHLDSSMDFIGKLLFGYENGPSVLQAVRPSGKPLVDDWDCLKRMVRIFESHCGSLSQYGMKHMRAFANICNSGISGTTMKEASISTCGGQNSARWSPLIQGYSA >cds.KYUSt_chr5.32631 pep primary_assembly:MPB_Lper_Kyuss_1697:5:206967614:206970079:-1 gene:KYUSg_chr5.32631 transcript:KYUSt_chr5.32631 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQEHIKLSCDIVMYAINENVPYKEANADSFTWCKDTAYPNSTKIIKLKGPTRKHPERSLENAIKNIGLSTTRSPATSRLCSQDQRAVCSRALLRRLDPAASYTKDTGDEPLDFLGVASSGDGSKGLRSGG >cds.KYUSt_chr4.25179 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158225465:158228367:-1 gene:KYUSg_chr4.25179 transcript:KYUSt_chr4.25179 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANASCLPASQRLPASGAGVRTRARPAMAAVGCAPGRGGVRRRSVFPLMCRSSSAAAETGGSGRMEDYNTAMKRMMRNPYEYHHDLGMNYAVISDSLIVGSQPQKPEDIDHLKDEENVAYILCLQQDKDIDYWGIDFQAVVTRCRELGIQHMRRPAVDFDPDSLRKQLPKAVSALEWAISQGKGRVYIHCTAGLGRAPAVAISYMFWFENMDLNTAYNKLTSIRPCGPSKKAIRSATYDLAKSDPNKEAFETLPEHAFEGVSVSERKLIQDRVRALYKA >cds.KYUSt_chr1.9688 pep primary_assembly:MPB_Lper_Kyuss_1697:1:59191545:59195485:1 gene:KYUSg_chr1.9688 transcript:KYUSt_chr1.9688 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWRRCRVGTDVAVAPMSRWRRCRRCRLLPFTDVAVATLEMKGDKRQTLAVAKIPKFLRDLRVTFPISDQESMAPPPRRFHPYLHAPWNPPRLKSPPAQGLPQPQLQPPARPPAQHPPPSRPQQPTPPPPGFTGAASSAGAASFTDASSSTRASSSTGAASSTGALPATCTASYTGASYSNSAGDHILVCPPLNLNIRHRRVVSHPRSLLHRRGIRHRRILSHRRILSHRRGINHRRSLSYPRVLLHRRSPSHLRSLIHRRVLCHRRSLSHLRSLLQQRVVRQRCFIESHIMIDQAVSYCSSLANIVCICDLDEDISDDGDDYVSEDDDYVSEEDDYVSDKDDYFIFRDPYMSDDEALLIEEDEYDEKKEIIVRGPGFPGFDQPFDNYCIFGNFVELNFHQRMTLRNFTEVLPRPTTKEYVCTLDETNVTPSGECFLEFTAQYTRSFLFKFMMDSHCVRLGITARAQEVSVAVCTPTSNLKKYCRMKLQDSGKTMIYNKSWTYAVKAFNLKEGDVCMFTFIDDREVPVRRRDPFSWLRMEILKLEEE >cds.KYUSt_chr7.32471 pep primary_assembly:MPB_Lper_Kyuss_1697:7:202262015:202268019:1 gene:KYUSg_chr7.32471 transcript:KYUSt_chr7.32471 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLASKRRKASPSPPPSEASSDSGSDSDLHLHDHSDPEEDDSFFSARSAADDEQDSDEDEQEEEDDEEESDDGEMGELEQEYQALQANQQSILQTLKQHRDDDVARGQAVKNQKALWDKTLEMRFLLQKAFSTSNKLPKDPNKSRFCGYDQEIEEAYVELLDSSKQTLGCMLELQEALLERNQAAKAPNDRVPESNGENDEWLEVQKVQTRITPFRNTEIDKWQRKTQVTTGAAALKGKLHAFNQNISDQVAGYMRDPSRMINRMYLRKYDVGVFGESPAEPAVTAEGKTVEGDPELVDDSEFYHQLLKEFLESCDGASESAFYALRKKQHKKRKLVDRRASKSRKIRYNVHEKLANFMAPVPMTVPPMASKLFESLFGMGNQKPTTGLARLGWALSEGRKQAKQIVPNFRLLSLSSVSAFLLCFPLQLHFSLQFSWQHKTMALLLLLFLLSGCYSAQAGDPFAYFDWEVSYLTARPLGVAQKVIAINGQFPGPPLNVTTNWNVVVNVRNALDEPLLLTFHGLQQRRTPWQDGVLGTNCPIPPGWNWTYTFQAKDQVGSFFYSPSASPLHRAAGGYGGIVVNNRAVIPIPFPFPDGGDLTLFIGDWYARSHRDLRRSLDAGAPLGPPDGVLVNGLGPYRHNDSLVPPGIVYERINVDPGRTYRLRVHNVGASASLNFRIQGHSLLLVETEGSYTSQQNYTNMDIHVGQSYSFLVTMDQNASTDYYVVASARFVDASTVDKLTGVAILHYSNSQGPASGPLPDAPDDQWNVTASGARPNPQGSFHYGDITVTDVYLLQSRPPELIDGQLRSTINGISYIAPSTPLVLAQLFNVPGVYKMDFPNHPMNRLPKVDTSIINGTYKGFMEIIFQNNATTVQSYHLDGYAFFVVGMDYGLWTENSRGTYNKWDGVARSTIQVFPGAWTAVLVFLDNAGIWNLRVENLDNWYLGQELYISVVNPEEDHSANTGLPLPDNTIFCGALSSLQREQSHRFQYSGASRAREMASAAAVLTVWLAVIWLQW >cds.KYUSt_chr1.11465 pep primary_assembly:MPB_Lper_Kyuss_1697:1:70309039:70311379:-1 gene:KYUSg_chr1.11465 transcript:KYUSt_chr1.11465 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLLLLLPAPSRTFSKPLPTPSSSLPGRHVPSISFTRRVVAGAAAAARRDLLRCGMRRSDLVSELELAKDKKQQGGRANAIFWILLLNFGLYVADHLLQIRQIKALYLYHAYPSWYQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGNFALWMSYILTGAGANVISWLLLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTVTGAALQVNNIAHVSGALIGAALVFIINRIPSSSNDDSPKALKDSKDKRS >cds.KYUSt_chr6.20940 pep primary_assembly:MPB_Lper_Kyuss_1697:6:132051013:132059527:-1 gene:KYUSg_chr6.20940 transcript:KYUSt_chr6.20940 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQTITLRAAPSARRLAPPPPASSSDLSAGRCSLLRSPNSRCRRARSVRASASLDQEVKERADSPSPSAGKTSQATRRDVRNIAIVAHVDHGKTTLVDSMLKQSKVFRENQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGILLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKVDRPIARPEFVVNSTFELFIELNATDEQCDFQTVYAIGLKGKAGLSADNLADDLGPLFEAILRCIPEPRIEKDGALQMLVTSTEYDEHKGRIAIGRLHAGELKRGIEVKVCTPDDACRIGKIGELFVYENFGRVPVESVSAGDICAVCGINDIMIGETIADKVAGVALPTIKIEEPTVRMSFSINTSPFVGKEGKFVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGYEFMIGPPKVINKTVDGKLLEPYEIAAIEVPEEYMGSAVELLGKRRGQMLDMEASGPEGVSLLKYKVPTRGLIGLRNAILTASRGRAVLNTIFDSYGPWAGDMTSRDQGSLVAFEGGSTTSYACINAQERGILFVKPGQDIYKGQIVGIHQRPGDLALNVCKKKAATNVRSNKETTVVLDEPLSYSLDDCIEYIQEDELVEVTPLSIRMSKNPKISKKGKH >cds.KYUSt_chr3.10793 pep primary_assembly:MPB_Lper_Kyuss_1697:3:64235900:64241080:1 gene:KYUSg_chr3.10793 transcript:KYUSt_chr3.10793 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAKLAKRALETEAPVMVKIQELLRGATDVMSLAQGVVYWQPPEAALSKVEKIIREPSISKYGADDGLPELREALLKKLHRENKLTKSSVMVTAGANQAFVNIVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTDILVGACNPKTLHPDIDWLEKVLKGNDPIPKLVTVVNPGNPSGAFIPKPMLQRISDLCKSAGAWLLVDNTYEYFMYDGMEHYCLEDDHIVNLFSFSKAYGMMGWRVGYIAYPSEVDGFHAQLLKVQDNIPICASIIGQHLALYSLEAGPEWIRERVKDLVKNRELLVEAMSPLGEDAVRGGEGAIYLWAKLPDNCSDDFEVVRWLANKHGVAVIPGSASGGPGFIRVSFGGLKEAETRLAGERLRQGLQQLVTDGMVQ >cds.KYUSt_chr1.15592 pep primary_assembly:MPB_Lper_Kyuss_1697:1:90640469:90646598:1 gene:KYUSg_chr1.15592 transcript:KYUSt_chr1.15592 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGPSHVVICVRVVIGELRACGQLAGLEIPDAELTEAGAPALFQSVVAAFLAEARVPGALPSPPMPPVLGDESPVDLLRLYIAVRTRGGFAAVTSWAVVAEAIGLEPAADAALRLVYAKYLSLLEQTIAKPEKQAEIAGSSCNAAHRSNAKKGKFLSPHKGRASAGSAHLKRKRDGLAQMLNWVRLLAKSPGEHRILRQNPDSPFSLTLMLRRQMFANFNCSKLPYETTSPQSGLTNEEYDGWDDQLCAGGNSDRILQARARRIGLADVPDWTGKTPLLYDEPHALRFLGQPLLPPESNEALDADTIGKGRPDKCSCQLPGSVACVRFHVTEKRIKLKHELGSAFYAMGFDRVGEDAALTWRKDEEKKFNAIIQNNLPSSKHKFFEEVFAAMGSKEKEGIVSYYHNVFQVRRRAYQNRLTPNDVDSDDDSLEPGFLHLRQGGG >cds.KYUSt_chr6.1077 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6841861:6843014:1 gene:KYUSg_chr6.1077 transcript:KYUSt_chr6.1077 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDSAVNLDAGIGAFDGECNVEDFDDEEEDEGDEEVVEVDPAAAGSSSTPKPRTANYSEIEDVILVRAWSKVGMDACTGVDQGGKRYWQRIEDQYHQLKPRTKSMADRSYRSLEGRWNIIKPACSRWSAAMDQVADNPPSGCIPEDYPKYAQQRYKDMAGSKNKEFQFEHCFSILQHLPKWKLRDNEPKCKKEALITMDDEAEDMRGRNTGKPEGNKKAKERVKVELEAASFREKLDQLMKSKEALTMKTLETKLLITDKKSEVKLAKVQARKELDMKMIKAKEAKAMKELLAEEREIMMMRTDGMDEDQLVWWKETKADIIARKMAARQARDQGESPASGGSGGDGSLDG >cds.KYUSt_chr4.18286 pep primary_assembly:MPB_Lper_Kyuss_1697:4:114962477:114973898:-1 gene:KYUSg_chr4.18286 transcript:KYUSt_chr4.18286 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGTPSDGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQRVIYEMSKGSKYFENEQKKEAITKLKIEHLRAQCAKLTDNDISHFQKVAEKKILELEASRDLSKIWLHTDMDAFYAAVETLENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLVFVRPNFERYTHYSGLARKVFERYDPNFFATSLDEAYLDITEVCIERGITGEEVASELRGAVHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDRDAVTTFVSTLPIRKIGGIGKVTEQMLHQVLGISTCQEMLQKAAFLCALFSEGSTDFFLSVGLGLGGTETPEHRLRKSMSCERTFSATDDSSLLFEKLDNLAENLADDMQKECLKGRTLTLKLKTAAFEVRTRAATAPNYISSKEDILIYAKKLLKAELPLSLRLMGRVANVSLSRSTDASGGNNYCNDVTTKDEFSVHDNEKLSVPEGTVSSNMKVTQIEKFEESANCKAPASSSKPDQHFWLDGYICSLCGFELPPCFEEERQEHSDFHLAEMLQKEDAADSQGLLSKERLAERPCSTTPTQKKRLKSSKEGKHIPIDSFFLKCLSLQSQYVVPEDVKAAGFQIDADELTSIVESRDTERLTVHGQLDGIADKLATSLTDGISTHEDLLNRRQEIYGVNKFAESEVRSLWEFVWEALQDTTLIILAACALVSLAVGVATEGWLNGSHDGIGIIASILLVVSVTATSNYQQSLQFRDLDKEKRKILVQVTRNGFRQRILIDDLLPGDVVHLSVGDQVPADGLFISGFSVLVDESSLTGESEPVDVNEDKPFLVSGTKVLDGSGQMLVTAVGMRTQWGKLMAALTEGGNDETPLQVKLGGVANIIGKVGLFFAVLTFIVLSQELIGQKYHDGLLLSWSGDDALEILNHFAVAVTIVVVAVPEGLPLAVTLSLAYAMEKMMNDKALVRQLAACETMGSATVICSDKTGTLTSNRMTVVKACICGNTMEVNDPLSTSELPQVAVETLLESIFNNTSGDVVINQDGKQDIIGTPTEAALLEFALSLGGNYKQKRQETKIIKVEPFSSAKKRMSVILELPGERYHAHCKGASEIVLAACDRFIDDRGTIVPLDRETANKFSDIIESFSSEALRTLCLAYRVLEDGATHEEIPPQGYTFIGIVGIKDPVRPGVRESVASCRSAGIAVKMVTGDNINTAKAIARECGILTDDGLAIEGAEFREKSPKELLELIPKMQVLARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALLVNFSSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNDNLMEKAPVGRTGKFITNVMWRNILGQSLYQFTVLWYLQSQGRFVFGLEGSESDTVLNTIIFNTFVFCQVFNEVSSREMEEINVLKGLSENSIFVGVLTGTVIFQFILVQFLGDFANTTPLTQLQWLICVLFGFLGMPVAAAIKLISVEPHSEDDGYGKS >cds.KYUSt_chr2.1459 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8737652:8745089:-1 gene:KYUSg_chr2.1459 transcript:KYUSt_chr2.1459 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPFGDGGDEAEEDARWGWDPLRSGSAPPTMDGAVAARMAADGLLPLAAAGGGGGGGPFFSGAAAGFGPRLSDVGRHRGVVGQDHFDNSALLSKSLAGIQLNGSGDFDGRFGPRRVDRVGAMTDYSIYDMGSTWTEQDAEFHRHPNHFVSNMGKMNSFGRRDLDSAYLSDSDLSDTFSGLRLSNRTPSNRTPFDERSHEKELFDEMLLHRRDFNSNMNDDHRSPSAGSIFSTPRSDHFDLRSPRGNALRRQNSSIDAPNDRMNHHHHINNVGHLSFAEKLTLMQLGNLHGESNYHRNGATTNMINPLSNRNNTIRDMDLARNRRAYLEDHFVRQQNDDDYLPKSGLSYHSNRLYHDEPRVPYSRVQRSGSHIHPNLGSISCHGDQQSRLFSTNRRSGGRNMGLHNNQDNAVAQYVESLDRNVDDSLELLDAVGHVMNVSVDQHGSRFIQQKLEEASAEDREKIFPEILDNAIALTTDVFGNYVIQKFFEFATESQLTQLADKLNGHILNLSFQMYGCRVVQKVIEVVDMDRKIHIVHELKNSVLKCINDQNGNHVIQKCIECVPEDRILFVIEPILAQILLSQVDFDKSLNILQHVLQHGKPEERSSIIQKLSGQVVILSQQKYASNVVEKCLAFGTADERDGLIREIVSCGQTFQALMKDQFGNYVVQKVLQTCDDKYLEMILSSIKVHLDELKNYTYGKHIVARVEKLIITGENRVRMVSKTCQCQQPSKCTDVDAKSS >cds.KYUSt_chr1.29918 pep primary_assembly:MPB_Lper_Kyuss_1697:1:181186487:181190765:-1 gene:KYUSg_chr1.29918 transcript:KYUSt_chr1.29918 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESPKWTNNTNPRHICQGADIDKDQERRNSHGARKREEEVKKKKERGEEGWPAQTPASAGGVAYGVMVLLGGVAVESLLLAAGYWDGYGTGESPALILHKHRKKSCRILSLQMGHLNSEHFGSATLGLPTVNMKGKRYAASEVDQIKVEILMVNVASLVAQDGLDSAAGVLIRNGSTAQFISASCFSPILRTEPTLLFAAACCEGIKIALSYQPTTIVLESHLLFSLRNPLYADPNQQPDIVQLTEFLSQGHPHFIVQSISEESNLAACRLALNVLHIKESYMFFNDPPEWLVPYLDE >cds.KYUSt_chr3.15222 pep primary_assembly:MPB_Lper_Kyuss_1697:3:92918390:92924565:1 gene:KYUSg_chr3.15222 transcript:KYUSt_chr3.15222 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGAAPASATAAASSPAKIDADRNGTAAFAPSVWGDFFATYVSEEWMRERVEELKRRVSRMFEDAEAMGGVADTVALVDTLERLGLDSHFREEIAVAISRIHVAGEGRREFAGSDDLHVAATRFRLLRQHGFWVSADVFDKFRDSSGNFSAPNLRLSSDGGLRALLSLYHAAHMAVPGESALDDAIEFARRHLQAMNGELRSPAAEQVSRALDHPLPRYTRLLETMRYVAEYAQEEVHDGTLLELARLNSNLMRSLHLKELKALTLWWRDIYNAVNLTYSRDRMVEIYLWSCGMIPEEEHSRGRLIFAKTFGIVSFLDDTFDVHATLEECRSLNEAFQRWDESAVSILPEYLGMLYIKTLSNFKEFEDLLEPQEKYRMFYVKKAYQLQSAYYMQEAEWTNDKYQPSFEEHEELSTMSTGLPMLNLMALMGYDGAVATQEVFDWMSAPLPDMVRAGAVIGRFLNDISSYRLGKNKNDVGSSVECYMQEKGVTGEEAVAAIAAMTEHRWRLLNRACMETKRALLPAVQLVASIARACEVIYLRGRDGYTFGSHAKDLVTALFLDPIPL >cds.KYUSt_chr6.26364 pep primary_assembly:MPB_Lper_Kyuss_1697:6:167043709:167044912:1 gene:KYUSg_chr6.26364 transcript:KYUSt_chr6.26364 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor, Defense respons [Source: Projected from Oryza sativa (Os02g0181300)] MDPWISSQPSLSLDLHVGLPPMGHHHHHYQAAAPMVALAKPKLLVEESFLPPKKDPEVAVLESELQRVSEENRRLGEMLREVAAKYEALHAQFADLATAQANANTNTNGGSNNTNHPSSASEGGSVSPSRKRKSEDSLVGGTPSPPSHAHAHQHHHHGFAAADQAECTSGEPCKRVREECKPVVSKSYVHADPADLSLAVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPGCPVKKKVQRSAEDKAILVATYEGEHNHTAPPPAQQQQHHDAAKNAAAAKPPQAPAPLHHPQPQQQEHPKQEAAALSGETAAASELMRRNLAEQMAMTLTRDPSFKAALVSALSGRILELSPARDVN >cds.KYUSt_contig_686-1.31 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:334612:352491:1 gene:KYUSg_contig_686-1.31 transcript:KYUSt_contig_686-1.31 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLQLEMQDGVRMTWNVIPGTKQDAANCVVPVSAIYTPLNPNTEIPVLPYAPLRCRICRSILNPFSMVDFNSKLWLCPFCFHRNHFPQQYSSVSQTNLPTELYPQYATVEYVATAETGPVSPPVFLFVVDTCIIEEEIGYLKSALAQAVELLPDHSLVGFITFGTYVQVHELGFGLLPKSYVFRGTKEVTKDQILEQMGFFAGKTKPKTGVIAGARDGLSAESIARFLLPASECEFMLNSVIEELQKDPWPVSADQRASRCTGAALSVAAGLLGVCVPGSGARIMAFIGGPSTEGPGSIISKPLSEPIRSHKDLDKGSAPLYNKAVKFYEEIASQLVHQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKDSLRRIFQSSDNDLGLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTVVGQGNTSAWKMCGLDKKTSLCLVFDIVRKDAPDTTDQSKNEFYFQFLTYYQHHDGQMRLRSTTILRRWVAGSGSVQELITGFDQEAAAAVMARLVSFKMEAEVDFDPVRWLDRALISLCSKFGDYQKETPSSFSLSPRLSIFPQFIFNLRRSQFVQVFNNSPDETAYFRMMLNRENVANAVVMIQPSLISYSFQSEPEPVLLDVTAIAPDRILLLDSYFTIVVFHGITIAQWRKAGYQDQEGHEVFAKLLRDPKEEADSVIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPPPPGGDVIFTDDASFQAQGSSVMMGNALHATFLGVSTVDLKFTLGKIMQPKSVLHVPSIRKNLVSVSCLLKDGFKLVFDSNKVVLYNCGTIVGKGYECEGMFHFSLDDFYDNVVNHKSTCVNKTNLLHSRLNHINFGCMSWLAYMSLIPKFTFVKGSKCHVFVQAKKPCKTHKPAQVLEGYHDLDWISDAYEIKVTSGYVFTLGGGAVFWKSGNQTILTRSTMKAELTSLDTTTVEAEWLRELLMDLPMVGKPILAILMKCDGQASIVKVNSSKDNLKSSRRVKRRLLS >cds.KYUSt_chr3.18476 pep primary_assembly:MPB_Lper_Kyuss_1697:3:113557075:113560847:1 gene:KYUSg_chr3.18476 transcript:KYUSt_chr3.18476 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNAAGATRRWSDDVERERHRPWTHRPIPAALLSPWRRRLPTPSSPVRRYALLGRRRGARSCKSTPFPFLYPLVLSLSLSLSLSLAAPLPNPLHLDVSLSSSLPSAASGFVHGGGDVQGIDSGALDDGAGVGAEVGGDLLKSWRRRSLGGSSPDLAGGQRSLLQLTPSSFVSTKLNFIGGWKAKENEKEVAALDARVKSDVDTGDNFIGDWKAKENEKEAAALDATVKSDIDTGDKELPKFLPRRFFLRHLLSISL >cds.KYUSt_chr7.31776 pep primary_assembly:MPB_Lper_Kyuss_1697:7:197852021:197856241:1 gene:KYUSg_chr7.31776 transcript:KYUSt_chr7.31776 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSLAAAAALPILSLLVACARGADDYTAFVYAGCSQARYDAGTQYAADVGTVLSALSDSAGSSTYATYKPPSGAATGLVGLYQCRSDLPAAVCGVCVKASVSKLSSLCNSAVGGAVQLRACFLRYGNDSFVGKQDTTVLFKKCGGESDGADAGVAALRDGALGALPAASSPAGEGSFRAGASGYVQAMSQCVGDLDDKACTDCVSAAAGQLKVGCNNAPAGEVYLGKCYARFWSNAGTGGAPGGGGSGVIGGNGGIVGDGGGAVPGTGGYGFVPRTYNDVQDGSEKNIAIIIGVVAAIAIVVVFAVFLRRSRAANDWVAYMLTEARP >cds.KYUSt_scaffold_1259.261 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000012.1:1597477:1598190:1 gene:KYUSg_scaffold_1259.261 transcript:KYUSt_scaffold_1259.261 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHHIAMELVDAKAGVSSSTVAVHHMFVVVLDGVETDIHEGTLHGNPGKLTVTSPGNLSADGLQSVLVRGGGGGTVAFTLCGDAAAEGVQSASFVQCGATRVDGARAVSVSRCRSVDVEQAGKVTLERCREVRLRGGGLLRATRCRRTDVESFGEVRLARCKGARADWCGSVEVEMCRVVDVSRCGAVTGDRCRVVNVSACGSVAVTHAVVKMLEEKQLQPQSQQPLSPHSSDSE >cds.KYUSt_contig_686-1.550 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:3329344:3331602:1 gene:KYUSg_contig_686-1.550 transcript:KYUSt_contig_686-1.550 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFPEPYLLRRPHKVTSVADPYTSQYLAAKLANSFLPILGKLHSPAPKSSDESTMASSSALIALLVVLGCAAAASAASFTVGDSQGWTIGPNYATWASGKTFVAGDTLVFNYGSQAHTVTEVTKKEYDACSSTTNGENSGTTTKTLTAGDHYYICTIGTHCANGMKLAITVADSSSGTPPAAPAPPGASTPPTAPSSPTPSSAPASLHSVPVLAAVAGVLVKLALF >cds.KYUSt_chr4.34674 pep primary_assembly:MPB_Lper_Kyuss_1697:4:213025652:213029993:1 gene:KYUSg_chr4.34674 transcript:KYUSt_chr4.34674 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSAAQDGEAPTRRPQVQPETRRRSKRKPASSRKTTQSPKPLPQSAPPERSTTKRRKRARAAVTNSKGDDRNAAAQEEEEEELASDASSVAAGGASPTYSSPLCVPRLHYQITGYTSDGEEIYKPIDDDMSVLREYDDKDAKYQAKLARRMKLPTLDMNIPSSFLDEPEMVATRVAAAKTILRAAKSVLALSSSIDGRMLPRCSGFLIEYDEKSKSGIVVTTADLICSRKSIDDWCIPRPHLGLKFSAMKFRSVPQIDKISREYKIEDGLIVQEVSEGSLAEELGVRVGDIVTSFNGKCISDTVELEHVLLRMSEDHFYKGKRLNSKMDVKFNEAGCAIEAAGLKEAKHVRLACFLIGMAGCQRHG >cds.KYUSt_chr2.1635 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9635036:9636801:-1 gene:KYUSg_chr2.1635 transcript:KYUSt_chr2.1635 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSERNNIVIDVPPLLPHARGVKLCKEGCPGCKLDEINKIKTGIPYFNFFYIWVVSLCSDHAALNKDKLRVERQNRERGIGRVGDGDATGEEGPGGLSSSGRVRLWEDKAWLADAGMAFVQITYGGYHVLTKFVLNVYDDLVALTILAPVSFFRQREYYGVLATH >cds.KYUSt_chr2.42367 pep primary_assembly:MPB_Lper_Kyuss_1697:2:263906540:263910086:-1 gene:KYUSg_chr2.42367 transcript:KYUSt_chr2.42367 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTASASWGLRLGVVLLLLLATPSHALTHEGWLLLALKSQMVDTFHHLANWNHGDPSPCAWKGVDCSSTPTPSVVSLNLSNMNLSGTVGPAVGGLTELTTLDLSFNAFSGTIPAEISNCSKLTVLNLNNNDFEATIPAELGKLSMLTACNLCNNKLHGAIPDEIGDMASLRDLVGYSNNLSGSIPRSIGRLTNLRTIRLGQNLISGSIPAEIGECRNLTVFGLAQNKLEGPLPKEIGQLSLMTDLVLWGNQLSGAIPPEIGNCTSLTVIALYDNDLVGPVPATIGNLKYLQRLYLYRNSLNGTIPSEIGKLSLAEEIDFSENFLTGGIPKELGNIPGLFLLYLFQNQLTGFIPTELSGLKNLSKLDLSINSLTGPIPAGFQYMPKLYQLQLFNNMLSGDIPPRFGIYSRLWVVDFSNNNITGQIPRDLCRQSNLILLNLGSNKLSGNIPHQITSCKSLVQLRLSDNSLTGSFSTDLCSLANLTTIELARNKFNGPIPPQIGNCRALQRLDLANNYFTSELPREIGKLSKLVVFNISSNRLGGSIPLEIFNCTTLQRLDLSQNTFEGSLPNEVGRLPQLELLSFADNKLSGQIPPILSKLSHLTALQIGGNQFSGGIPKELGLLSSLQIAMNLSYNNLSGNIPSELGSLALLENLFLNNNKLTGEIPDTFVNLSSLLELNVSYNNLTGPLPPVPLFDNMVVTSFIGNKGLCGGQLGKCGSESSSSSPSSNSVSRPMGKIIAIVAAIIGGISLVLIAILLHHMRKPLDTVAPLQDKQILSAGSNIPVSAKDAYTFQELVSATNNFDDSCVIGRGACGTVYKAVLKPGQIIAVKKLASNREGSNTDNSFRAEILTLGKIRHRNIVKLYGFIYHQGANLLLYEYMPRGSLGELLHGPSSSSLDWETRFMIALGAAEGLSYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPALLISFSEYAYTMKVTEKCDIYSYGVVLLELLTGRAPVQPIELGGDLVTWAKNYIRDNSLGPGILDSNLDLEDKAAVDHMIEVLKIALLCSNLSPYERPPMRHVVVMLSESKDRARVSSASSSPASDRSSKKDSS >cds.KYUSt_chr4.47912 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296649628:296650481:-1 gene:KYUSg_chr4.47912 transcript:KYUSt_chr4.47912 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEPLTLWSVPMADDVHMPNEDQPDTEITSACTQNLDSTKEMYPMNSTRVLPQVENIDAINDGNVCELQQQDSDEDEKGDEEMECLDAVTIERLNLEAVRVNLLPLLQKAMEDSEEAKIKSPPKKKWWPVLATKRSSRFQGNATIMEKAEAYLMKKNLEISRTFKGNSFATLSHEYLFAVADVVRADIGNDNQDRNAVIQAFVDQELSNCYDFASDNP >cds.KYUSt_chr3.34995 pep primary_assembly:MPB_Lper_Kyuss_1697:3:219487356:219490515:1 gene:KYUSg_chr3.34995 transcript:KYUSt_chr3.34995 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGYVTLPIISVLAAIGYVYYTTVFLAVPAWLGLATAAGVANAAAYTALALACVATYGLAITRDPGRVPPAFVPDVEDAQTPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCILRMDHHCIWINNCVGHENYKIFLVFVLYVATASLYSMILIIGAAVHSAPKDEQSGSDSPRSSIIICGVILCPILLSTSILLGWHVYLILHNKTTIEYHEGVRAMWLAEKAGNLYHHPYDLGFYHNTFS >cds.KYUSt_chr7.7943 pep primary_assembly:MPB_Lper_Kyuss_1697:7:47986112:47986501:1 gene:KYUSg_chr7.7943 transcript:KYUSt_chr7.7943 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGRASNKIRDIVRLQQLLKRWKRMAVAPGSKGSKNGGGGVVPKGSFAVYVGEEMRRFVIPTEYLGHWAFEALLREAEEEFGFRHEGALRIPCDVEAFEGILRLVAGGKKDAAGMYDCSSETEILCR >cds.KYUSt_chr6.27825 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176543640:176549740:1 gene:KYUSg_chr6.27825 transcript:KYUSt_chr6.27825 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLHFSNKPHSKILHIPSFGVALVLLICLVSPTSSCTEQEKHSLLQFRHELSQDGGLATSWRNGTDCCNWEGITCRQDGTVSDVVLVSKGLEGHISESLGNLTGLQHLNLSHNSLSGRLPLGLVSSSNITILDVSFNQLNGTLQELLPSTPARSLQVLNISSNLFAGQFPSTTWKAMENLIALNASNNSFTGSIPADVCNTSSSLTMLDLCFNQLSGNIPPGIGNCSRLRVLRAGYNKLSGTLPDDLFDATLLEHLSFPNNDLHGGLDSTRMTNLRNLVTLDLGGNKFGGKIPDFIGQLRKLEELHLNNNNISGELPSALSNCTNLVTIDLKSNNFSGELTKVNFSNLPNLRTLDLYLNYFNGKVPESIYSCTNLTALRVSTNKLDGQLSPRISDLKYLTFLSITTNSFTNITNALHILKSCRNLTTLLIGDNFKGEIMPEDDMIDGFENLQVLDIQDCQLLGKIPLWISRLTRLEMLLLNSNQLTGPIPSWINSLSYLFFMDVSNNSLIGEIPLTLMEMPLLHSAENAAHVDPRVFELPIYSGPALQYLVITSYPRVLNLSHNYITGVMPPQIGQLKVLTVLDFSFNKLSGQIPQSICDLTNLQVLDLSSNNFTGTIPAALNSLHFLSAFNISNNDLEGPIPSGGQFNTFQNSSFDGNPNLCGSMFTHKCDSAEIHQSLILPGKQTEYKVAFVIAFSAFLGVGVLYDQLVLSSLNFLSAFNILNNDLEEPIPSGGQFNTFQNSNFDGNPKLCGSVLTHKCGSDSIAPSSTKRDKKAVFAIAFGVFFGGIDVLLLLGKGEEKKLKLAEILKATKNFDKANIIGCGGYGLVYKAELHNGSKLAIKKLSGEIMQRRETTWYEWANTGCNGDAFNKAEVCEDSTANASKEENGALEHRRCRHRPSRARLSSGDLQHRHCQRQPKPRTNTNHRNRGAAHATETCPAAHTARAYHQHALFPEPPLRPPRTVGQLLMPTYRRPRDDAEETPGPPNAAPPRRRPCAMAHLCHGLADASNGSLPHAVAHHGHRDTDEAVSSLHATAAPRHPEIHRPPRNLRAEAKPAAPNPPPPRPRGRRPGLPAPASTSPEQARIGPSRARIQPRPRHQAAATPRGRR >cds.KYUSt_chr1.34507 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210029306:210031459:1 gene:KYUSg_chr1.34507 transcript:KYUSt_chr1.34507 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHALNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNIQARVKSPTHGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIFVDAPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNATLEDVLQPGTDMIAAGYCIFRIKERYIRSMKGTPETGTCLQQIVASASQALDLVPTEIHQRSPIFLGSYDDVEEIKSLYASESSNA >cds.KYUSt_chr4.47362 pep primary_assembly:MPB_Lper_Kyuss_1697:4:293083007:293085352:-1 gene:KYUSg_chr4.47362 transcript:KYUSt_chr4.47362 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGLRELSLFPLPLVLFPDALQIFEFCCRIMMHSVLKTDLRFGVIFVGAGGASDVGCVREVAEHERLAEDRFILISGAVSCRPQQVLAHCHRGVAQRPRRLSATLQPDLGFMKRLQQMLGRKHQRNLQAIYVLHPTLGLRTAILALQLLVDGDVWKKVVYVDRLMHLFRYVPREQLTIPDFVFQHDLEVNGGKGIIVDPRTKHVYQRPSG >cds.KYUSt_chr3.40656 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256429819:256435328:-1 gene:KYUSg_chr3.40656 transcript:KYUSt_chr3.40656 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGGPAPKKRAAFSHAAVPGVASTVPGGTVDRLIRLPDRVLLCILLKVGTKQAVKMGGVSRQWRRVWTRLPVLEFDGVDSSVPARALAAYQAHGEDDIHSLTVSPNQVDGNQTTAWLSLAAPLLSGRLRSNNRRTVTRETLQMFLAAEAGHVGIREALELPCFKKATEILMDLGFLALKLPLVGVFDALRVMWLEHFWLRREFSITGTMFPSLQELTIRMVRGLTVLTLDSKSLVYIRLSFLLEIRQLHILAPGLDTLEVTLCFYDEPQPFATIAAERLKVLRWEVPCVPELRKIPHLLVLGAPPVTTFWSEDIYAEFLDRFPAANHLELQIRPGEVYLVEQLAVRAPGLQILRINSMVEDDASFDDIEGLCPSGCNADATAAELDAVAKIRSEMQELPKEDSALDLEISKPTQSAPEQEITVEDQCRSAWVSQVLEKQRCHFVHFLAHTAGTAPQEVGAGPEQVEAPENNAAPDQVEPIGESGTPAEESILGNLSPISEDTPSTDTEEFNRKLGEYRYGDQPEVESAQPKQVLATLAALEQRESEDANTQSDPQPSRQGSPMSRERPHKDPSSEELLSPEEMVAQAGMDAIYHSDILNKPITPEDVEALEAKRLEMLATAKKFADTAAAMLDERKHAAVFVEDFIQREKEVDEGLAKVKELRKHWEDKIVEAHQEVEKVRRELIAPRKITFATPTEQQPYATPKDNMKKAAEILKKKDEENDIDYVRTLVALAMRQQSKADTSRKLESNPEHCVSTAQKDAYDNRH >cds.KYUSt_chr6.13512 pep primary_assembly:MPB_Lper_Kyuss_1697:6:84691764:84692531:1 gene:KYUSg_chr6.13512 transcript:KYUSt_chr6.13512 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMLTYLAMKHDANMQRVDTVRAYSFGALYFVEALQEDGKNKKSGKRCQLYLNTQGVKHSSSWSPVYAFSF >cds.KYUSt_chr1.23105 pep primary_assembly:MPB_Lper_Kyuss_1697:1:137083313:137087263:1 gene:KYUSg_chr1.23105 transcript:KYUSt_chr1.23105 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAAARRNLASRGLSGLLERRLRPSIHQLLPSNSTGEPRKPPPLPPPPAPRSFHFALAPCGTAQTLNHPPFGLHLPPGSPRRSFSSSSSRDFTDVLTDAAHAAAPAASFPGEVARAAEDSSMAVAAVQHLIDGVHSFTGLNWWISIALSTVLLRSVSFTLWMLARKQLYAKDDASREEAERVVWSSLKKIGVVVYLPLAVTPYTLITLHIAISNMVENVPSLKGGGAFWFTDLTTPDAFCMFPMMTSLFIILTLGLLYNAAVPCYRFSYFLLRMLKGIAPHGYAIEAQPLIDHQDTGSGIRSFFAGT >cds.KYUSt_chr7.5743 pep primary_assembly:MPB_Lper_Kyuss_1697:7:34395159:34395452:-1 gene:KYUSg_chr7.5743 transcript:KYUSt_chr7.5743 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLECHIVNLEENLTAEMRKNENLRVTIEKLKQGNTELTMELCDMDDKLIARDTEITELKNSMMSKETQKSHMTRICRMKKKIQRSALLLCQMERS >cds.KYUSt_chr3.11870 pep primary_assembly:MPB_Lper_Kyuss_1697:3:70837396:70840430:1 gene:KYUSg_chr3.11870 transcript:KYUSt_chr3.11870 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGEAGTMLKYFKDRKSENPAFQHDEQLDCDEKITNIFWADAKMIIDYAHFGDVVTFDTTFGTNKEYTPFGVFVGFNHFRETPRTIFTDQDIAMGKAIHALSLVDAVADVAVDGPERVLHPPFVMPLPLVDVAAAPNTSCSSCSTMLLLRSRSTVRNARHIEITRGPAASGLPSSECHVLRHLHCLAMLYLCHIPLLWILPLHEFFRGRVLRRLVSGLAFRFSDAVFIAEAAPSVRDSADQFGWRSSLSLFRSIRWAQGAALDLLPMGRGMDWVL >cds.KYUSt_chr1.8226 pep primary_assembly:MPB_Lper_Kyuss_1697:1:50718314:50718595:1 gene:KYUSg_chr1.8226 transcript:KYUSt_chr1.8226 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRRTGEPPDGARSGSSDLEPFSRQEADKQHKADNLHKGRTQSYSYQALRYYSYVQEKLRRLPSAKSGRPRRQERLGLGPDLHERLSTTVV >cds.KYUSt_chr1.37310 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228009125:228010564:-1 gene:KYUSg_chr1.37310 transcript:KYUSt_chr1.37310 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRLYQVVVNNFLIVVVIPVTAVVLVRKAAQLGPDEVLSRLHGLRQVHVFLAVFVPFAMATLYLLRRPRSVYLVDYACCRPNSNCRVSLGSFTESARLSPYIDEGTFRFITRMLRRSGLGDQTYLHPSLHHIPPRCCLSESRDEAEQVVFGTVDDLLAKTGISPGAIDILVTNCSGFTPTPNFTDMMVNRYKLRSDIRHVNMSGMGCSAGVVSVEVARNLLQAARRGAHALVVSTEITSFIYYTGPDRTMLLPGALFRMGAAAVLLSTSRSSKSRFRLTHIVRTLTAAQDKAYLCASLKEDEHGETGIYLSKDLVPVAGETLKANIAALGSVVLPPSEKLLFVLSFIARKALGRRIKLYMPDFRTAFEHFCVHSGGRAVIDAVQASLRLSDENVEPSRMTLHRFGNTSSSSLWYELAYIEAKGRARKGDRVWMVGFGSGFKCNSAVWECITSPSDTSILGAPWADSIHHYPVKISKE >cds.KYUSt_chr2.3909 pep primary_assembly:MPB_Lper_Kyuss_1697:2:23584138:23585523:-1 gene:KYUSg_chr2.3909 transcript:KYUSt_chr2.3909 gene_biotype:protein_coding transcript_biotype:protein_coding MLADAADDVASFPGDVLAMRCSHEKKSSKVFNDYLDILKGEERQAYNLRWYLHRLATVQEYEDWERNMELGFNRCPIYNRNFDGSHVFVLAQRLVDEALDHCWHDVVDRGEFACTWEDYKTFLRNGFVLPYMEESAQPSGVVHAIEEVGKCVIPIQEVGPLPTVTQGEAISSEEKEPGSDTMDTTVTMEEDVPLSGLNMQLKKVQGDACKIVDKYDRNVTHAGRANTYSFMHGGKQRTLKPLGDDHIKSDVELVVRKEKLRKPTVQQEVHDVPSIDVGDVSAMPVDDKPVLVGDKLEEATFIVDDDVAACDTVPVCVDASIQTDDICADGVPVHMAQMRIGGVGGEQVREASADGVSDGPTGPGAG >cds.KYUSt_chr6.5217 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30981502:30982890:1 gene:KYUSg_chr6.5217 transcript:KYUSt_chr6.5217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription activator, Gibberellin (GA)-induced stem elongatio [Source: Projected from Oryza sativa (Os02g0776900)] MLGGRAGGGVGTVGGVGGGRCPFTASQWQELEHQALIYKYMASGVPIPSDLLLPLRRSFLLDSALATSPSLGYPPQAALGWGCFGMGFGRKAEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPPPPSSSASSSSSNINSAVNVATTSPAPSYHRAVTHDASPYHALYGGPYASAGRPQQQHAGAYHHHHHAQASPFHLHLDTTHPHPPPSYYATMDHSKDTYAYGHSVKEVHGGEHAFFSSDVSSDRDVHQHHTSAGQWQFKQLGGMEQPKQQQQHNQASLYPGYGNNGSAYAIDLAAKEEEEEKERRQHCFLLGTDLRLDKPSSDDGGAAQKPLRPFFDEWPHEKAGSKGSWMGLEGETQLSISIPMSAHNELPITTTSRYHHGE >cds.KYUSt_chr3.17184 pep primary_assembly:MPB_Lper_Kyuss_1697:3:105264470:105264826:1 gene:KYUSg_chr3.17184 transcript:KYUSt_chr3.17184 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSTKVVAVLVLAVLAAASTEARNIKTTEAASKDAVLQPTTFPPFDRFGSASPAFGGMPGFSLPGSSGATPGLGGIGSMPFLGGSSPGLGGSPGLGGFGRMPGSPAGASVDEHAQKP >cds.KYUSt_chr2.5087 pep primary_assembly:MPB_Lper_Kyuss_1697:2:31548537:31550687:-1 gene:KYUSg_chr2.5087 transcript:KYUSt_chr2.5087 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSRTASSPTATLKDVNLFSRMEVYAIASVFGDPRTRQRTHTDHDGGRHPKWEDTLWFAVPPTAAAASAYLHVLLRTERLFGFDDRDVGEVFVPLADLLDGASGSTTAWRCASYQWQCPERRGMLSVSYRLGPVMAPVFRDDPAALGYEVTAPWQYRPPRYAYVPEYQLVYPPSYLRMPPAPELGAGSGAAAASAGTKNILKSGSLGLGSGLLGGGFGGTMFGDMSSLYKSFHDDAGHGAAAVDTGVVAI >cds.KYUSt_chr6.4943 pep primary_assembly:MPB_Lper_Kyuss_1697:6:29172787:29174836:1 gene:KYUSg_chr6.4943 transcript:KYUSt_chr6.4943 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPAGAGGIRRLLLKVIVSAVALLLALVVISLSVGSSLPGASLRAYLTTGARGGSENDATADFLLSTRVFQGDQEPLPGTVNSSEASLGTREDDRNMPVPVATGTCDLYRGEWFFDSSGPLYTNNSCPLITKTQNCQGNGRPDKGYENWRWKPEQCILPRFDAAKFLEFMRGKTLAFVGDSVARNQMESLLCILWQVDTPVNNGTKRMQKWIFRSTSTTIIRIWSSWLVHRSIEAVEFAPQGLDKVFLDIPDETFMELLPSFDVLVLSSGHWFAKRSAYILNGNVVGGQLWWPREAGNMQMNNVDGFAVSVETCLTAVAMNPNFKGIAVLRTYSPDHYEGGAWNTNGSCTGKVKPLDEAVRNGFTNTMYEKQVAGFKKAVQNSGEHGSKLKLMDITEPFASRVDGHPGPYRSVDPNKKTQRGPDGRPPPQDCLHWCMPGPVDTWNEMLFETIKRELAG >cds.KYUSt_chr2.52206 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325718929:325719276:1 gene:KYUSg_chr2.52206 transcript:KYUSt_chr2.52206 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSKSVGSEAIGGCGLKRKAEQHEEATAVDIVVVGDRSKSEQVVLVRPELEEAAYEDSSDDDDDPDEDPEVTFAKIKARFDAHNRELMARYPNVKYEDRPVEDDDKDKRNKPV >cds.KYUSt_chr2.49986 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312637723:312639782:-1 gene:KYUSg_chr2.49986 transcript:KYUSt_chr2.49986 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWLEKIASACWDRVRRYSLTRRDEDGGSGSGSGADADDLLLWSRDLDRHAAGDFSFAVVQANEVLEDHSQVETGAASTFVGVYDGHGGAEASRFISNHLSAHLVRLAQESGTVSEDVVRNAFSATEQGFLSLVRRTHLIKPSIAAIGSCCLVGVIWRKTLYLANLGDSRAVVGCLTGSNKIVAEQLTRDHNASIEEVRQELRSLHPDDSQIVVMKNGVWRIKGIIQVSRSIGDAYLKKQEFAVDPSITRFHLSEPLRRPVLTSEPSICTRPIRPQDSFVIFASDGLWEHLTNQRAVEIVHNNPREGIARRLVRAALKEAARKREMRYNDITRLEKGVRRFFHDDITVVVVFIDHGLLQEGNASAPELSVRGFVESGAPSTFSGLNSIS >cds.KYUSt_chr6.32653 pep primary_assembly:MPB_Lper_Kyuss_1697:6:205678325:205678774:1 gene:KYUSg_chr6.32653 transcript:KYUSt_chr6.32653 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAYDRAAELRALDATFSGIHGLVDSSITHIPHIFCVEEPPPEPIVHAAGQETVASSGSDHRPGDRPQRWPPCCGCGHMHRRGAVVVLPCDVPWRASSVDGRGGGCVEGSDKEPYMHEPARAVNYHCNFDMYQSRVLSLSVRHHRIFS >cds.KYUSt_chr5.27991 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177113504:177123660:-1 gene:KYUSg_chr5.27991 transcript:KYUSt_chr5.27991 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNEKPSAFERVDATVAPRKKLAWGSGVFSQQHCPHPPPLIWERPSNPTAFEGVLAVAPWQAMSRNQKPPALERVDAAAAPTKKLALSVQGTNGTDKSPGFRMRVDSDDGISDDEGGTAVAPGPGCNRKRNHIQLTRPLSRKQPKKKQKHTEPPKHALSLDKLMEFISTWRQPCLRYPTDLVRIMGAPSLCPSLEPVVPVCMDAKPGCSVAIDDVIKRTTEYFESNINVSDLGSLQVISSFSLKKLRECEIWVTSQFSAQQFSALGHGTFLEFLERHGHHFPPNWSGFLRGELPSSSSLEVSVVQQQIGVLLCQAESNWLENGEFSVDSFRMLLKRQFPTVDVEVMQNKLGGLTGTTEVHGKIIQTSTIKFSIALLEKRWSGELLNHIAQQSYPGSVSSQEAIKCLLKAPLLSDLLLWSNWDVLFAPSLGSFTHWLLNIGPIQELSCIVTTDGRFVRIDTSATVDQFLEAVIQQSPFQVALKLLSLLHIYNGSSNAPISLLKCYAQRAVDAIMNTNNDLISTSSEGKIFVGEELHTQIAEQGARSSHYIGRIQGSSEIPCVRRLMSKSLSNIDHTLQLIAKFIVDCLGHLPSEFRSLAADILLSGLQTVTKNCYSAILHACSETWQLCMLHNIGLSLGVAEWVEDHDTTCLTEDIHANGEINSFSVPGGHPHENTSMLNDAADDTIKERSKSFHGLEATNNENMDVSDPIETEADMAELLATNKPAVIEELTLEEAALIIETIRHEEFGLEQTLSYTDDSLLKKQHARQGRALHCLSQELYSQDSHIILELIQNADDNTYLKDVEPTLAFVLQENGIAILNNEKGFSADNIRALCDIGNSTKKGSNMGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFILPTAIPPFDSDPLSRLLSSEDGFDACSFWNTCILLPFRSSVRKGTGMCSVVSMFSDLDPSLLLFLQRLKCIKFKNVLSGNFLVMRRKSLANGIVRISHGNEAMSWLVVNKKLHGSIVRHDVCSTEIAMAFPLQETEEGQYDPHLKQQPVFAFLPLRHYGLKFILQGDFVLPSSREEVDADSAWNQWLLSEFPSLFVSTQESLCALPCFQKSPGKAVKALMSFVPLLGEVHGFFSQLPHLILSKLCLTRCMVLEGSSLQWVHPCNTLRGWDEQARKLMSDALLHEHLHLGYLSKDIIISDTLSRALGIHEYGPKVLVDIISSICRTDGCIKSLGLEWLCAWFFTLHLALLSHSSRNLPLTTSVESDVLCSLRKLQCIPLSDGSFSSIADGPIWLNYDLLDSTSESKISIQSFPVLYNNLRIVSPHILSMSCKNSYIKEEMKTDDLIDILLKIGVQKLSGHDIIKKHILVSLSNATDANAEEKIMTEYLSFIMLHLQSSCTSCDSGKEEIVSELRKRPVLLTNNGYKCPADVPIHFSKHYGNSVDIGKLLQNVDTTWIELDTCYLRHHSSASLQFKLKSWRQFFEELGVTDFVQVVKVEKSISEVDYVLDCTPSRDVISGTPCIVYDWESPELANLLSMFSSKKYRENSIYLLKVLDKTWDDYYSTKSRSLGNATHSGENVTIESSFMKCLQNFKWIASSMDDDLHYARDLFCDLANVRSLLGSVAPYAKPVLSSKSLRKDIGFKTKVSYSDALLILNHWISSKGPFKARMDQMCKLYTFLSEGVANGEINIKRDFLSLCFIFTPVQCSRPTDLVTGRFMSSKDLYWHDPTGSSEMTDAFVSAKRTMLPRRMLSMAYPRLHEFFTEICGVPMIPTTSDYLEILLQLSSVALPSQVANYVFHVFVRWANDLHSGSDKMKDILYLKESLQKSETTILPTLVDKWVSLHPSFGLVCWADDDQLKQQFTNSSAIEFIQFGELSLDDKQTLRVRVAALMDILGIPALSKVVYREAIFYGTGNNRETASFISWLLPYMQRYIYNMHRDAYNSFQQKEAMKLSSLEVIVVQKLFFKYMLKGCDSSSNRSHTLKRKRSPEIISSQPPSKKTDPDLTTSNKSQQEIKVNDMTSPPQLSKPVEYGNTEDTSVPVKLEGDHVVKEDLTRENNLLAEESTMEVGDEPTCLDLEAAISPSPVDETELTYVNGKLTDAAEEKDNTDTGSPCGSELGVGTPGEAAVRKPDERSRTGRLGEAAVHQYLAGQLGSSNVKWVNQESETGLPYDIVITPEGGSAEYVEVKATVTSNKDWFYLTPREWQFALEKGDSFSIAHVLLAGSDKAKIVMLKNPQKLCRQQKVDLNLALVMSRKHRNLHQISVQLKPDTISLADESAS >cds.KYUSt_chr2.46341 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289507836:289509446:1 gene:KYUSg_chr2.46341 transcript:KYUSt_chr2.46341 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTWAVVVAIAAAYLAWFWRMSRGLSGPRVWPVVGSLPGLLRHAEDMHEWIVANLRRTGGTYQTCIFAVPGLARRGGLVTVTCDPKNLEHVLKSRFDNYPKGPFWHGVFRDLLGDGIFNSDGDTWVAQRKTAALEFTTRTLRAAMSRWVSRSIHSRLLPILAEARDPVDLQDLLLRLTFDNICGLAFGNDPETLARGLPDNAFASSFDRATEATLNRFILPECVWRCKRWLGLGMETTLASSMAHVDRYLAAVIKTRKLELADTHTNNATTPHDDLLSRFMRKGTYSDDSLQHVALNFILAGRDTSSVALSWFFWLVSTHPAAERSIVRELCAVLAASRGAEDPASWLASPLAFEELDRLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSITYSIYSAGRMKSVWGEDCLEFRPERWLSADGARFEPHDSYRFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKHGLRMEVRPRDLAPILDDLHVAAAAAIAASTPAIAACA >cds.KYUSt_contig_2860.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000546.1:20510:21925:1 gene:KYUSg_contig_2860.7 transcript:KYUSt_contig_2860.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHVLVFPCPVQGDINCMLYFAAGLLDAGLHVTFLHSDHNLRRLGLATATSTSPRLRFLSVPDGLPDDHPRSAGDLVEFFNSLRTNTSVAYRALLASLCAGAPSSIHKGFPPVTCVVADGMLPFAIDVAEELGVPALAFRTASACSFLAYLSVPKLVELGEVPVPAGADLDAPVRGVPGTEGFLRRRDLPSFCRGGHDPLLQIFGEVTAHSCKARALIFNTAASLERSAVAHVAPRMRDVFAIGPLHAMFQAPAAGGALWREDGGCTAWLDGHADRSVVYVSLGSLAVISLEQFTEFLSGLVGAGHPFLWVLRPDMVGASHSAVLQEAVEAAGRSKARVVDWAPQRDVLRHRAVGCFLTHAGWNSTLECVTEGVPTVCWPFFADQQTNSRFIDAVWGTGLDMKDVCERAVVQRMVRQAMESAELRRSAQALARQVRHDIAEGGSSATEFNRLISFINQLSLHTPPSPDKE >cds.KYUSt_chr2.5645 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34829581:34831848:-1 gene:KYUSg_chr2.5645 transcript:KYUSt_chr2.5645 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSTDQRRGNDNTPPTPASSRGLWRPRYAAPSPTPAAAADPRPIPTYVPPHHRNNNSAPTIPASHAHHRNTNYRQTPPHESRSTIIPRRGPPHEKPTPVTPAPAPAPANPRAAVPQLVQEIQDKLSRGAVECMICYDMVGRAAPVWSCASCFAIFHLACTRKWARSPASHSNASAVSWRCPGCQSAQDVPANDIAYTCFCGGRRDPPNDLLLTPHSCGHRCSKPLAKPAPAPANGASPDADAAATNCPHVCVLQCHPGPCPPCKGFAPNRPCPCGKQRSITRRCADRTTPLTCGQQCERLLPCGRHRCEKVCHTGPCGGCQVSFSAHCFCGRKTETVLCGEMAVKGELSEKEGVFSCGAVCGHGLSCGNHACRVRCHPGPCGECELVPEKVTTCHCGKTRLQEDERASCLDPIPTCDEVCDRKLPCGVHRCKNTCHEGKCPPCLVRVEQRCRCGSSGQMVECYIVASVGEFRCSKPCGRKKNCGRHRCSECCCLLSKPFAQHQGDSMDPHFCLIPCGKMLRCGQHGCQHLCHSGHCDPCRETIFHDLTCACGRTSVPPPQPCGTPTPSCPHRCTVPQPCGHPASHQCHSGECPPCVVPVMRECIGGHVLLRNIPCGSKDIRCNRQCGLNPSSRDPARAATALRCLDYGSAYQGDAMFCPSSITQASSTGNVWAGAQRDGGSAAKSSANPWNKAGGASEPGSSGDSTVLGHSSGMSVLGQVPRSAWRRTDTHGQVMGTNKLTALESPASTSSSSH >cds.KYUSt_chr7.37983 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236783222:236785761:-1 gene:KYUSg_chr7.37983 transcript:KYUSt_chr7.37983 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGEAAATTPSDGFFLLLCPRCCGVVSDAKVEQRGFVQEYVQTVVCTGDSWKMVHLVVGSWLKADGSGSLLRHRRAWVPVLKFDGVSRDMLPWSDSFNGNGLSYGKLHWRFFEKPGQPDLAPDRAGPQPGRTGATDRAAGFQPELGPGLDRQASTYSDGVRMSQAQSPVARPGRSVRRLVDRAMDRSSRSETGLVTGRRSEGSPSLSELARMAPVLVRLVTGLSGAGPVRPDLVTGQALKN >cds.KYUSt_chr4.26423 pep primary_assembly:MPB_Lper_Kyuss_1697:4:166021114:166022619:-1 gene:KYUSg_chr4.26423 transcript:KYUSt_chr4.26423 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPICGLHPGIAVTGFCSACLRERLAGLHPSDPADPAELRRCKSFSYARSAAAYFEPQRRSCDVRGAAALFQQEPPGELEDLPPTAMRPMKDHINQEKKAAGTFGGLGKKWQEWRRKSKVKKQEPGPTASISSSRAAMPVPHEDPRHRSFRDARSEVAVDALGRRSVDVDAALFSMDAGRISVDEQPRPSCDGYHGVRPRLPPMLSLVEDAPIPRSDGQIPVEEDDGAEPGGCAQTRDYYLDSSSSSRRRRSVDRSSFSSRKSFSDASDLPRMVAGANANANARVSPAIGAEFYQYHHTQSQSVLDHNQHWEQGLYNSHSLRDRDDDMSGSLDSAAFRGGIPLPAKKSKKGIKGWSIWGLINKKSSTKESEATSLANRSFSENWPELRARGYNGQMLRCNSSVSARSSFGNGGAAMGVVSGRRSNVEMHVNGLGRMRKDEVLLERNFSARYAPCTGDNGGIPIPVGGGNQFSRRNHNGMSGKGRPARSSNSLPRSALGMY >cds.KYUSt_chr5.30054 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190573781:190575467:1 gene:KYUSg_chr5.30054 transcript:KYUSt_chr5.30054 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCHRARSSEPAADLLTSLPPPLLDCILTRLDLRDAVRTSALSRAWRRRWEALPSISLSFLDKRGTPPVAVDRLLVRYPGHISHFSFYLDEHSLTRVADWLIALYNRAVKSINLQCAPYLPDFNLHSSVFLCTQLVYLELHQCCIPPLPVGFTSFPVLEELKLDLARFPENGESLLEAILGASPLLNTLNLSFLSIRGDGSNEWVIGGPSLRSLTINCMDYYGWRIADLPCLDEASIDLVNYVRSGDFEGFIARSTVVTWMELKQMQCIKIHN >cds.KYUSt_chr2.46315 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289332873:289340154:1 gene:KYUSg_chr2.46315 transcript:KYUSt_chr2.46315 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAADPPSKACMLLVASRRCIDSCPAATPAPRVETSPHAVYFAIPRAPTSEATTAPPLRRGSPPSAHGKRRGFFLAYFVYCRRASTPATPARAFVPRRVPGPGKLGPAPRLHQLRRRLHRHRLYDCLDRVTDDFFYAYSVLAKPEYAFVPDVRLVLTKLWLHFVFDGSDCINFGIDHLHDCLGASPSLSSHTTSHAATSTPAPDHDIDHGIPSRGYPTKIDWSTTEVDMQSILKLAKKDFVLPFFMEVAGLLQNLKLSEEERQGVKRNWVGRKGKEVGGPQAIGKLLSERPAHPDAINQTLGYIWCPLRGIECKTGHSGKKSKALYEGPWMFEKELIVLEEFDPMKRLEEYEFKTIPIWVRVFGLPLARRKRKRKRKGVGCCGGWEEEEGSRLLRRQGGEGSDKDLAKALEKLAELITTKGDGGGSAGGGAIVPHTNIGQKLELPANEIKLEGVANYLRWSRRALLILNSKGLDERVSGEAVEPADKTSPEWKQWNAINSLIVAWLLNSLVPNITASVEALTKASEGDLDHVDPLELAHGECVSAAASWIERRRVMKFLKGGEEDTHMEEEATEEEVMAEVVDSHMDNSMEEVVDSSMEEVVDSHMDISMAEVVDNHMENSIAWVVDSSTLYHPRQYGSSFRVNYQYLSGTIKGRKTK >cds.KYUSt_contig_959.11 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000088.1:54887:56301:1 gene:KYUSg_contig_959.11 transcript:KYUSt_contig_959.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMLLLQNAPLYFPTNNRVKRRLSPSHSPAAPPPATTFSPAPPAPTFPRRPRCFLLVSRVSSNRNLTRREIKDERDPFPPPSASLCRLRWRAVPSSPSPATSHRARPASEDAGRGDGRGAPGAAAAAGGGAERALELSERNVVELVQKLQERGLIDFELLHTISGKEYITSVLEQLSGQSPVFSKAMVLRCCRLAARYTVRSFGIRRNEKIACYVTIRGEKAMQLLDSGLKVKEYELLRRNFSDTGCFGFGIQE >cds.KYUSt_chr5.9628 pep primary_assembly:MPB_Lper_Kyuss_1697:5:61187632:61188842:1 gene:KYUSg_chr5.9628 transcript:KYUSt_chr5.9628 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPGGLPLAAATMEAPAAEVGGQPLLAMAGVVEAEESFSMDPLDWANLFPELVRLVANDLLRSDVTEYIRLRAVCKPWRSATAAAQLEPRFFPRNWQLLRGNTLGEKSRFVNVLTGAFLKVKIPPQYGRVVACADGCLVLEDNTTYTMRLLNPMTMAVADLPYHYIYLSFIHVDVTAAGIINDGGEALTVVLCITVGDMAAIYCARPGDFSWRLVDAGIEDGEVPMFEGGLTVDGSFYVPKRTGDVLKVVLHPRPRLAYVARLQDARHWNRVGGFAGVRFFLVPSLDDTHGGGMLLVRGLYENNQAAQMDVFQLNLESRRCTELRDIGDRIIFVPSLTLRGDKFPTLHGMMGMLSGDDVEMYIGYS >cds.KYUSt_chr7.3890 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23197866:23198265:-1 gene:KYUSg_chr7.3890 transcript:KYUSt_chr7.3890 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRWSRTLAKTYDPDGEYVAYWLPELRSLAREKRNFPGASYIKQIVPLKFDGGNQKRDQRFNRQTRPKNVYRRQK >cds.KYUSt_chr6.22037 pep primary_assembly:MPB_Lper_Kyuss_1697:6:139259059:139265760:-1 gene:KYUSg_chr6.22037 transcript:KYUSt_chr6.22037 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGAAAPPATARSPLAERQIPAAAPALYGNRGPCAAAALFSPKSLPPPVRTAHSGLLGRHSSALYSEDDDDEEEESVASWGLTDAYGGNFSDDRTATAEEDSDSSLFRRARDLYGVDDEVTSQLSRRGGGGLVRGHSKENLRVEVRAAAAAFAGKCSRALDTPVDYSAHEQYVDERRFQDFGPPSAPPIAQDGEDHRIFDAVADPRGGFERTGMSSMADILAQDVHELPTRSNVQEDGVQMPYIENNLLAQIPSFTTNVQSAWQSFVAYDACFRLCLNAWAKNCMEAPEFLRDECMVLRSAFGIQKFLLHPRHKNQDDGKHAYDKDETCTMKARKIVKQIEIEVKKIRVVPQRPKLRATSSFRNLYMQAGSEYVRQISKILKSQVTMLTSTSATSLPEEMFTCTLELKSSCKGQQRDSISLQYLKPGIGESQLFYLENQGDTILVEVQDNNRAVIGRAEIQVSSFTDTHQEEVTRWWPLYLDDQECVGKIQLCMNLSVSSDSYGSEKMLQGGLAVDTIIYDMVLEAAMRAQNFNSKMLHISGSWKWLLDEFSDYYGVSDAYRKLRYLSYIMNVATPTKDCLELTYELLLPVMKARNDRTLTRQERSLLLDCEDRINVLLAIVFENYKSLDEHSITGLSELFGPISDCAAPALAPAVQIFSVLHDILSSEAQSILRSYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMTISAAYLKMKTLCINISLEIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLQHVAELLIATADFERDLDSWQLRPVHGGVLSRELFHDYIMVWVEDTRLHLLDYCKAEKLAYPAASTTSPFVEQIYEQIKESINEYGVVINRWPQYLMSLESAIADVEREVMKALEKQYMETLMPLRDGIPKILEKHVQRLTRRQSIAPYSVPNQLGTFMNTVKRMLDVLHCRIEDILKSWAAYLTIANGTTVFGEQMNSITVMLRKKYKKYLQAIVEKIVSDTQANRTTRLKRILEETKETEGESEMRERMQALRAQLSDYMHNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSSYALGILDDVFASEMQKLLGNALQDKDLDPPQSVIDARSILC >cds.KYUSt_chr2.37677 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233165082:233169259:1 gene:KYUSg_chr2.37677 transcript:KYUSt_chr2.37677 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHIEPPPYIYIETNDFSYRRHKRQKEEDIAVCECQYNLMDPDSACGERCWNVSTNTECTPGYCPCGVYCKNQRFQKCQYARTRLVKTEGRGWGLLADENIMSGQFVIEYCGEVISSKVAKRRSQTYEDQGLTEAYIIYLNADESIDATKKGSLARFINHSCQPNCETRKWNVLGEVRVGIFARQDIPIGTELSYDYNFEWFGGAMVRCLCGASSCSGFLGAKSRGFQEATYLWEDDDDRFSVENIPLYDSADDEPTSIPRDILLTEEDKPNTQESSSSTIQSTVNPEIASSNEFTPMIVEPLAASLGELTAMTVEPLAASSDEPTPMIVEALRAIPVGDFSENGSTEYVAQYGDDNMQNSMHKIAKLGNQSSPQNSNHHTELVPVRPLPKFRGGKTKRGLRKQLNVADICDRLASAEAREEILYCEEVKKQAAAEIDALYDEIRPAIEEHERDSQDNVSTNLAEQWIEASCSKYKAEFDLSAAIIKNMASTPLRAKEDVIPREQNGLLYLQNGSS >cds.KYUSt_chr7.17770 pep primary_assembly:MPB_Lper_Kyuss_1697:7:110055437:110058258:-1 gene:KYUSg_chr7.17770 transcript:KYUSt_chr7.17770 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTASAAAIVSSLSPSPVAAERPIPHGSLLFRGSRAGSSPLRLKSSRQRRLSPAPRAAKAVAAEKADPLNVMIAGAPASGKGTQCELIKAKYGLVHISAGDLLRAEIATGTENGKRAKEFMEKGQLVPDEIVVNMVKERLLQADAQEKGWLLDGYPRSYSQSMALENLGIRPDIFILLDVPDELLVERVVGRRLDPVTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLQTHYQNIESLVSIYEDLIVKVKGDAMVEDVFGEIDKLLTSSREKKSEMVATS >cds.KYUSt_chr5.7580 pep primary_assembly:MPB_Lper_Kyuss_1697:5:47806873:47808254:1 gene:KYUSg_chr5.7580 transcript:KYUSt_chr5.7580 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAGVLFEFVLVLKGDPRGIQRLPDSFAEYVAGDDRPGMMHLRDASCGYYRWIVDVIYDACGKMYLHIGWEKFARHHSLEAGFILLFSYFGDRNMSVKAKTGMEKVSMEATVKCLLEPFTAAKSADAVAGDDGPVMRFPRPGRKHRLSKAEIRTILALKLGPLPTTDYLDDLTDIFSPDWIEERKRQLEALAQVCKKMDEESELFRQQVIKSVRENGCFEVDDEFLINREKANQRALEEGANIDFGRLRFATDEEQAEMEAECPPYILDGDDAAILNLLSDDEEDGPTDDAAILNLLSDDEEDGPTDDDDEA >cds.KYUSt_chr1.42652 pep primary_assembly:MPB_Lper_Kyuss_1697:1:260971246:260976692:-1 gene:KYUSg_chr1.42652 transcript:KYUSt_chr1.42652 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLALSKAGRRSSNVIYNELASTAPFRTPANGTGSLLKKLQERYRSSYAGSFSRMFRDFDTPSDASLLKEIYRSNPERVVQIFESQPSLHNNSAALSQYVKALVTLDRLDESPLLKTLQRGIANSASGEENRSGIAAFQSVGRMTKDGALGTAGAPIHMVASETGQFKEQLWRTFRSIALTFLVISGIGALIEDRGITKGLGLNEEVQPSLDSNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNDGIIVIAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILETHMSKVLKAEDVDLMTIARGTPGFSGADLANLVNVAALKAAMDGAKSVSMHDLEFAKDRIMMGSERKSAVISDEVRKLTAYHEGGHALVAMRTDGAHPVHKATIVPRGMALGMVTQLPDKDQTSVSRKQMLARLDVCMGGRVAEELIFGENAVTSGPRSDLSQATQLAKAMVTKYGMSKRLGLVSYNYDDDGKAMSTETRSLVEQEVKELLETAYNNAKRILTTHDKELHALANALIERETLTGAQIKALLSQVNSSDTPQHQAAEAPQKTPATPASPQKPAAAAAAAAAAAAAQAKGVAGIGS >cds.KYUSt_chr4.15653 pep primary_assembly:MPB_Lper_Kyuss_1697:4:96715151:96716636:-1 gene:KYUSg_chr4.15653 transcript:KYUSt_chr4.15653 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGRSLPYPNRSDLRRVSAKVHHHRQRNNDFLLVVSTFLHGARLLVGGVEEIDTSPEDPIVHLSPPYLDIFAAGGPPATFRGWRRPPLNHAVKAHLTRQLLYPGKPRDAALFLANRGDRVLWNARGKLRVEHLECSRRWLASSARHVIHPDPPVSRSG >cds.KYUSt_chr4.36373 pep primary_assembly:MPB_Lper_Kyuss_1697:4:223612619:223617476:-1 gene:KYUSg_chr4.36373 transcript:KYUSt_chr4.36373 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQAVAKGSVLSPCGNRAAGFGGRRRGAVAARMSPCAPAAVRIGRKTPFLGARMAVGPRRSKLLPRNLVASPVQMNLAFAKSTKWWEKGLQPNMREIESAQDLVDSLANAGDRLVVVDFFSPGCGGCRALHPKICQFAEKNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATIKKFRDALAKHNPDRCSIGPTRGLEESELLALAANKDLQFTYTKQPEPVPSGDAEVVAPGSPRLPPPPKPLVSLQVFISIKICGGTKDVLKNYLSRPIRYTVYGPTDNAEKEEFLDEAIAAKPADDSKWLIIGDFNIIYQAEDKNNANLNFRMTGLFRRALVACQLKELKLQNRKFTWSNERDERETPTLVRLDRAFCNASWDLEFENHVLHALSSSLSTRNASWDLEFENHVFNIFSTPLA >cds.KYUSt_chr5.1128 pep primary_assembly:MPB_Lper_Kyuss_1697:5:7769948:7770436:-1 gene:KYUSg_chr5.1128 transcript:KYUSt_chr5.1128 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDSVLIGASMAALVVLSLVTFFCSNHRRRAVRASSSQRRAVDDVELGRRRRPCVAAASTGLEEAALAAFPTELYSSSTRHQAAAPADERTKEEAAADDETTCAVCLAEYADGDELRRLPGCAHAFHRACVDQWLRERPSCPLCRTPPATSACIHTRPSEV >cds.KYUSt_chr2.3984 pep primary_assembly:MPB_Lper_Kyuss_1697:2:24073656:24075182:-1 gene:KYUSg_chr2.3984 transcript:KYUSt_chr2.3984 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNLASRPYAAAAESAYAHRPTSSDSFVREAEAALAVFRQGCNYGGRRRGQLHPSARLKRLVFNLDEDVYRAARPYWMVRDDDHDDDRVCGLALAHPAASQLEQLVISCESRGFCHRPRLESLRCADTLRLLDLKHCNLDPRSSRRSASFALPRLTDLLLHHCVIAEGYLQVLVEAAMALANLEMKNVRHKMSGQPDSAARFTLRLRLRCPTLTAFVLYNSDCYWDLGEDAFANGSIELDMPSLRTFRYDGFQLKLSLTSPTPALEQTDLRISNFHMKQCEPVSRILRSFASTRGLTLRNQNTEDIEEGDILPTFPNLKILVLDGCYKDTNNDAASAMARLLSSCPVISELRLSFSPMTMTWNDWGSKKNPPGLAFRESMDRFKRFAPMDDSVQGSNNVGACNEISELTALSSSCTLSCLRKVTLRFEANQLNCFPVQLAKFLAENAMDLEEMHVADGSQFWGDHVYNNVSRWRADSFRRKNLTDTGRLQVYYLLDGYSPRSSNDI >cds.KYUSt_chr4.3653 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20741497:20744647:1 gene:KYUSg_chr4.3653 transcript:KYUSt_chr4.3653 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASDETAAAAAAAAAVAEGGPAGEAGPDSKDLQQQSKALDKLTDHVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPTDVEIIANELELDKKIAERTLREHKGDAVAAVRSLLH >cds.KYUSt_chr1.28766 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173957659:173958819:1 gene:KYUSg_chr1.28766 transcript:KYUSt_chr1.28766 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRTHPTLSAILILAVLAAVLATAARAQTCGSQAGGATCANCLCCSQFGFCGNTSDYCGAGCQSQCTGCSPVQRCGSQFGGATCANCLCCSQFGFCGGTAEYCGAGCQSQCSGCPSPTPPPGPSGEGVASILSKDLFEQMLLHRNDAACTARGFYTYEAFLAAAATFPAFGSTAEGLSVETRKREVAAFLGQTSHETTGGWPTAPDGPLTWGYCFKQEREPKSIYCDTTKPEWPCAPNKDYIGRGPIQLTWNYNYGLAGRALSLDLLNNPDLVAADAVVSFRTALWFWMTPQANKPSSHAVITGRWTPTAADNAAGRVPGYGVITNIINGGLECGIGPDPRVADRIGFYQRYCSILGVDTGSNLDCYSQRSFNLGVPSTGLAVQ >cds.KYUSt_scaffold_6468.450 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:2147416:2152430:1 gene:KYUSg_scaffold_6468.450 transcript:KYUSt_scaffold_6468.450 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFVAGFLLGLLLLAAAEAAALLWVLRRLRRRHEAATAAAQPDAVEELPGERPFPYEKKVSMERAYPSLNTLRQLFRSELGSLWILEPEKLPKVSSERLSSGGLKEMKDKKSIVEVFPAKKMAKLKGHFLILSGPDVPDTAIELSKCTVVAVSASSMPSRKWTKRYPIKLESQEHEIYNGSKVCFLYAETSWEKESWCKALRLAATADKEKLNWHAQLSKEFSNYISSLNSEYPCFLKPTVLSAEDHEVMDSETKTDGSSKVRLFLKKLAKKASTKVPAEGKTGAASSTQGEKKILDKIRSYQGAPFIEAFIGSQEDKSSNSSSQDTAKPTAPTAPSSHAGQLPAFPDVNVDDKAVDEGTLCWNLLSSRLFFDAKMNDEINKFIKARIQRTLSSMRTPAYVGEITLTDFSIGKLPPYVHAMRVLPLDLNELWAFEVDFEYSGGILLHIETRLEVEEPELQKDLMKTNFGTDSNGEVDSELLDSIEQYGNQFRGSPNSDSSVEEKEEADASQSKSTGWISRLKNMLHSIADHVSQVPLSLAIKITSVRGVLRIHLKPPPSDQLWFGFTSIPELEWDLESSVGDRKITNNHIASLIGNRIKASLRDSLVLPNCESIPMPWMLAEKDDWAPLKDGPYIWLNHEPTETRSHAAAATPTHHEEAAAAKADASTKNAAPSSRDSSAGSEESLRSIDESAEDPVAESSHAQSRLAPASETSTSPSHPDAASELRKPLLSTEDTSERRGGPPLYTSLRAIRPAGQQQQQAALASVGEDVKQKSGRRSRMMDLGKKMGDKLEEKRRQVEEKGRTIVEKMRENARTNSMERTAS >cds.KYUSt_chr4.7544 pep primary_assembly:MPB_Lper_Kyuss_1697:4:44954453:44962273:-1 gene:KYUSg_chr4.7544 transcript:KYUSt_chr4.7544 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPHATAPKRPFSSSSPAPPHMKKAKLPASSSSSSSAPSEKNGLHLDPTAAARGTNGEEDADMLLADQDELRSPGASTPGGGTANLFRKKATLPQPSAAGATRKPLRIKIGQPKLPKNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERVKKECEIHIAEKISALVGQSPDLVVFLSLVQRTWQDFCDQMLIIRGIALLLDVKYVKNVPNLSSVWDMGLQLFRKHISLSPEIEHKTVTGLLRLIESERLGEAIDKTLLSHLLKMFTDLGMYSETFEKPFLECTSQFYATEGVKYLQQSDIPDYLKHAESRLQEEHERCILYLEANTRKPLIATTEKQLLQRHTSAIIEKGFTMLMEANRVTDLSRMYILFQRVDAIEMLKQALSLYIRGTGQGVIMDEEKDKDLVPFLLEFKASLDKILEESFAKNEAFSNTIKESFEHLINLRQNRPAELIAKFLDEKLRPGNKGTSEEELEGILDKVLVLFRYIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINDSFKQSSQARTKLPTGIELSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGRKELAVSLFQSVVLMLFNDAQKLSFIDIKESTGIEDKELRRTLQSLACGKVRVLQKTPKGRDIDDKDEFVFNDDFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKTLSHTLLITELFQQLKFPIKPADMKKRIESLIDREYLERDRSNPQIYNYLA >cds.KYUSt_chr5.16174 pep primary_assembly:MPB_Lper_Kyuss_1697:5:104167926:104168387:1 gene:KYUSg_chr5.16174 transcript:KYUSt_chr5.16174 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGLEGPVVLQPPSSLTGAAGFGCDAGPVSRAPGSASRLRALPFGGVERDQISPLPRAPPNRALMWQKAMPCLGPCSGVAGIHAALHRDNGHNPSSWSAVWGSTSPRFLYQEEVDGNELEASPQQKKEEARLSVRHPSLQLPCFSMTDLG >cds.KYUSt_chr2.8238 pep primary_assembly:MPB_Lper_Kyuss_1697:2:51812988:51814181:-1 gene:KYUSg_chr2.8238 transcript:KYUSt_chr2.8238 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSDHQIKAEHTEVVAGKSSNMAISKALTILALLGCLCFCSSVLAARELSDGLLMVARHESWMVQYGRVYKDAAEKARRFEVFKANVGFIESFNAGSRKFHLGVNQFADITNEEFKATKANKGYKSGLERAPTGFRYENVSFDALPTTVDWRTKGAVTPIKNQGQCATEGIVKLKTGKLISLSEQELVDCDVHGTDQGCEGGLMDDAFKFIISNGGLTTESSYPYTAEDGKCSSRSKSAAIIKSYEDVPTNNEAALMAAVANQPVSVAVDGGDMTFQFYKGGVMTGSCGTDLDHGIAAIGYGQANDGTKYWLLKNSWGTTWGENGYLRMGKDISDKRGMCGLAMEPSYPTE >cds.KYUSt_chr3.24083 pep primary_assembly:MPB_Lper_Kyuss_1697:3:149463386:149470830:-1 gene:KYUSg_chr3.24083 transcript:KYUSt_chr3.24083 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDGAVGPGSYKRAMAAVAAPAPLPRAAARRPGLRSRLARALLVDKVDYLQWIGTAAAFFFVTILVVAFLPGSLVLDTPAMPLPSRRAGRTHPLLPPAPGLDHGEGLAFEPTRLRERWARERREEAESLADLGGAVKRAGARKPRLALVFANLAPGAMQLQMVSVASVLEAMGYEMKVFSFEDGPCSNIWRTIGIPVDILPVDTKLLTSVDWLDYDGMLVNSIEARPVFSSLLQEPFKSIPIIWTVQESSLAHCVSDYNSSGMSQALGGWKEVFSRANVIVFPNYILPVMYAALDSGNYFVIPGSPAVAIQADRFIAKSYDQDVRIGFNLGPRDFVIAVVGSQFSYGGLLMEEAFVLQAVGTLLQQYPSENSTQTGLKVRILTGNVTDKRRMALEAIALNVGFPRGAVEHVAVEDTDNLLGISNLVIYGSCLDEQSFPSVLVQAMILEKLVIAPDLGMITKYIDDGINGLLFPRKNIAMLTQVILQAVSNGELSVLGKKVASVGKARAKDLMASEAIEGYAVLLENVLKFPAEALTPLTSGEIPLALKQEWKWHLFEDVKHLYHMNESLTDCKILQKIEEWHTNRKVDPPQKIDEGFSAIAWNEERLNGIMDAKMKLEEEELKERSDQPHGTWEEVYRNVKRVDRMKNELHERDENELERTGQPLCIYEPFFGEGTWPFLHQGSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRTTARKVNLSKNAESAILEAIQTQKHGDAFYFWVRMDQDRRSHANQNFWSLCDAINAGNCRLAVSEAFQRMYGLQLDEDLNSLPHMPNDGDTWAVMQSWVLPTRSFLEFVLFSRMFVDALDAQMYDKHYQTGHCILSIHRDKHCYSRVLELIVNVWAFHSARRMVYVNPETGAMREQHPLDGRRGRMSIQWFSYATLKSMDEDLAEEYDADHPERRWLWPHTGEVMWQGLYERERNMRQQEKERRKQQTKDKIQRIKKRARQKTIGRYIKPDDAGRLNDTVTVD >cds.KYUSt_scaffold_2697.738 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:4688374:4688793:1 gene:KYUSg_scaffold_2697.738 transcript:KYUSt_scaffold_2697.738 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFWCTFEMMKKARKSWSVATAHREAAGRTRRLGDGRRRGDDDGLREEDGGVGKRPSSSWRLLLREVDEDEEETTAVLFATRVSSRTVMATAVDGSGSVVPQAAHSEVEKKGRRWCGAARRGRGRSELGFCGALLVS >cds.KYUSt_chr1.22810 pep primary_assembly:MPB_Lper_Kyuss_1697:1:135047811:135048227:1 gene:KYUSg_chr1.22810 transcript:KYUSt_chr1.22810 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLDCTLPLLSVVLLSCCCGLSGAARPAPSGAAAGNTSFVRAWCAGTEYPALCDETLFPYAAAVGTSPARLAWAALNATLAGARGATKAMKAMAAGGHLAPVAAEAAGDTGGKKGFGRGWQLPLVAVAQPRPKLRD >cds.KYUSt_chr1.11801 pep primary_assembly:MPB_Lper_Kyuss_1697:1:72792117:72794643:1 gene:KYUSg_chr1.11801 transcript:KYUSt_chr1.11801 gene_biotype:protein_coding transcript_biotype:protein_coding MKAARFDLGKQMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >cds.KYUSt_chr1.28704 pep primary_assembly:MPB_Lper_Kyuss_1697:1:173506773:173509656:1 gene:KYUSg_chr1.28704 transcript:KYUSt_chr1.28704 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLAAVLLLAGLLAALAYVLRVAHSLLWVPYRLERRFRRQGIRGPPRRLVVGNGSDWVALLAAAKSTPLASFHHAVIDRVAPHYRVWPAQYGWPFVFWLGPRPRLVISGPEVAKTVLTDSTGAFNKATTGGTNPQARQLIGEGLVGLTGETWAHHRRVIAPAFNMERVKGWIPEMSSIISSMLDKWEVQGKTRTEFEIDVHQEFHTLGGDVLSCVAFGSSYEEGKRVFQLQEEQIELVILAMRTFYFPGFRFIPTKKNRRRNYLNQEIRSSLRKLIEINGTKCEDSKNLLGLMLSASKTDNGFKMGVEEIIDECKTFYFAGKETTANLLTWAMLLLALHKEWQDKARDEVHQVCGKYEHPSAENLSGLKIVNMVLKETLRLYPPASFVNRTTTKDVKLGKLDIPAGTQLNLPIIDIHHDVDIWGANAEEFDPSRFADGKSYHLGAYFPFGIGPAICVGQNLAMVEAKLALAMVLQRFAFDVSPSYVHAPMTVMTLQPQYGAQVLVRKV >cds.KYUSt_chr2.39050 pep primary_assembly:MPB_Lper_Kyuss_1697:2:242148254:242149162:-1 gene:KYUSg_chr2.39050 transcript:KYUSt_chr2.39050 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHAPAPGPASSNSTSEADPAAECSYIVWTPQAGLGNGIISTAAAFLYALLTDRVLLVQHPGHDLGDIFCEPFPGSSTWVLPEKDFPIRSMERFNTRTPESLGNTLRRGEGSRDPPAPWMYLHLQNNYQPNDRRFFCDDGQDAVRGVRWLVLRSDNYFVPGLFLVPRYERELDRLFPRRDAVFHHLGRYLFHPSNTVWAMVARYHTSYLAPAEERVGLQVRDFKFTPISADERYNQIVWCAYGEGILPAVDNTLQESGNMPTAYGPRRRTDLPSAYDTPTGTLGVAPRGRWASAEATWPSA >cds.KYUSt_chr5.8940 pep primary_assembly:MPB_Lper_Kyuss_1697:5:56814879:56824946:1 gene:KYUSg_chr5.8940 transcript:KYUSt_chr5.8940 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFTPAYIKKTQASKLGDAQGIPFFIDNIIRFHVGAGIPGVAPHYISPPSTFNVLLDSYWFHVGAGIPGVAPHYISPPSTFNVLLGSYWCQDHVAEPPSVRTQSQPQPSVSYLSKLLGTTTFKDTAASSSSPQAVAETQPPKPTIYVKKPPGWYFSIYVRIDRSGSFHTYPHLGGPFKSLQDVEKAIERYLDDKWHKTLWKEQSGDSGMEIVIKKALYWPDGRTRRCSDNQAVEHTREQKSLMLQALLDTYNEDHKLFGDDAYEFKDILHFQSIGEGNMWYKHFNFTTKTKDGIEDLFFAEVKEERDNELVANTLCKIESNENGG >cds.KYUSt_chr5.5743 pep primary_assembly:MPB_Lper_Kyuss_1697:5:35792762:35793675:-1 gene:KYUSg_chr5.5743 transcript:KYUSt_chr5.5743 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTPSRKALSKIASGRLQKELAEWQVGPPAGFSYRVSDNLQRWVIEVGGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLGAKIPFVTPPWSSGLLRFLE >cds.KYUSt_chr7.19212 pep primary_assembly:MPB_Lper_Kyuss_1697:7:119031866:119034858:1 gene:KYUSg_chr7.19212 transcript:KYUSt_chr7.19212 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTKPFPLRLAVPLALALLLALAFVADFLVSSKTVTNISIGVQVKRNNAKRVGSLNATYADLPAPRWDWEEMPAAPVPRLDGASVQIGDLLYVVAGYESLDHVHSHVDVYNFTSNTWTEKFDMPKEMSNSHLGMVSDGRYIYAVAGQFGPQCRPAVNRNFVLDTKTREWGKLPPLPVPRYAPATQIWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGRALETEWRSEIPIPRGGPHRACVVANDKLFVIGGQEGDFMPKPGSPIFKCARRHEVVYGDVYMLDNGAKWRQLSPMPKPDSHIEFAWVVVNNSIIIVGGTTEKHPLTKKMILVGEVFLFDLERLKWSVIGRMPFRIKTALAGYFNGWLYFTSGQRDRGPDNPTPKKVVGSMWRTKLHI >cds.KYUSt_chr3.23868 pep primary_assembly:MPB_Lper_Kyuss_1697:3:148021051:148026534:1 gene:KYUSg_chr3.23868 transcript:KYUSt_chr3.23868 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAASLNATSGAIVSASGAAPTAHAPRFVAPLVLSFSSGNHSKWSIYMRAALGRAGLIGHVDGTVAANPTDAAWAADDYSVLNILHSGIDEDIADMVLARDQTARQLWLAILELFSTNKANKAIYLDNEFRQLVQGALSVTEYCRRQKFVADALADNDSPVSARALVLNTLRGLSPRFASAATIISMTEPLPSFLRVRSMLLMEEMQQANAASNAASTAFVAQARPPAPPLGFYSSPGTSAQAGQASPCRESGCWRAIQMVPDGGVKDQEVSTSSSTAAAVIARAALPPRPVSFKRTTVWHQMKHRRFRAGGTKMKMAMTSSPTQAVGEATTAAAAMRAPTESERDDKKGHVWGSWKSEDGSMHCGYSSYRGRRQTMEDFYDIKSSKTDGRRINVFGVLDGHGGSCAAKYLKDHLFENLLKHPAFITDTKLAISESYKETDADFLAAETTICRDSGSTASAAIFVANHLYVANVGDSRAVVSKAGKAIALSDDHKPDRSDERERIENAGGVVTFSGTWRVGGVLAMSRAFGDRLLKQFVVAEPEIQEQEIDDELEFLILASDGLWDVVPNEWEATAAIAWIINGNRKVPPIAIDRAIRKRFHLSQSELTVCPHQPVQFLLKFVHKAHCSEVLKQGRIKADGALLQLRPWRPLEQAFGASMSYRVRLCLEGVPAYGLLGG >cds.KYUSt_contig_2442.6 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000340.1:41762:43852:1 gene:KYUSg_contig_2442.6 transcript:KYUSt_contig_2442.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHAKLPPAPPGHRPPPRVNLRETSEVVRECKRLDGLMKAGRLADALDLFDRMPTKNVVAWTSAVSGLTRGGHPFAALEKFADMMASGVAPNDFACNAALAACAAGGALRAGEQVHSLAVRSGFSGDAWVGCCLVELYSRCGSLRAARDVLDRMESPDVVGYTSLVSAFCRSGEFGLAVEALGQMIMRGVEPNEHTMTSVLAACCPRVLGEQIHGYMIKAVGSPSQSAYASSALIDFYSRNGEFEAAKMVFDSLQCKNVVTWCSMMQVHIRDGRPEDALQVFGDMLSEGIVDPNEFALSIALGACGSITPGRQLHSLAIKCDLTTDLRVLNALLSMYGRSGFVEELEAVLRDIENPDLVSWTAAVSAYFQNGYGEKAIALLSRMHSKGLMPNDYALSSVLSSCADLALLDQGMQFHCLALKLGCDSKICTGNALVNMYSKCGQIVPARLVFGIMDHRAATSWNSLIHGYAQHGEVNMALEAFSEMCSNGDGEPDESTFLGVLAACNHAGLVDEGMTFFKSLMTSRYGASPTPSHYACVVDMLGRSGRFDDALSLIKDMPFEPGVLIWKTLLASSRLHGNLEAGRLAAEKLAELSEGGEDRDSASYVLMSGIHAMLGEWGDASRVRRRMDNAGVWKEAGCSWVEVKNEVHAFVARDASHPDSASMYQMLWELFDAMQDTTYHKEDVELFDVHMQN >cds.KYUSt_chr2.36177 pep primary_assembly:MPB_Lper_Kyuss_1697:2:223480704:223485100:1 gene:KYUSg_chr2.36177 transcript:KYUSt_chr2.36177 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCCCSTSSPLLTGYRAGRRPAAPAARYWGAAGAGGGGRAVVLAHPPRPAAAANAARTRRPVIRAVFERFTERAVKAVVLSQREAKGLGEGAVAPRHMLLGLVAEDRAPAGFLASGISIERAREECRGIVAHESGASPTPASGLDTDVPFSKGCKRVFEVAVELSRNMGCSFISPEHLAIALFTLDDPTTNNLLRSLGADPSQLASVALIRLQGELAKDGRDPAGASSYKVPEKSPAGAGRSAFNKSLGGKKEKGALDQFCLDLTTQASGGFIDPIIGREEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRIMSLDVGLLIAGAKERGELESRVTGLIREVREAGDVILFIDEVHNLIGSGTVGKGKGSGLDISNLLKPPLARGELQCIAATTLDEHRMHFEKDKALARRFQPVYVDEPSQEDAVKILLGLRENYEIYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARIESFQKRKEGQSSVLLKEPDEYWQEIKAVQAMHEVVLSNKTKYSPNENDSASTEAPHQDKAGSTSTSVEEPVVVGTEEIARVASLWSGIPVQQLTADDRKTLVGLDDELKKRVIGQDDAVVAISRAVKRSRVGLSDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLADSQGRRVSFKNTLIVMTSNVGSTSISDGRRSIGFSTDDTESSTYIAMKTLVMEELKAFFRPELLNRIDEMVVFRPLEETQMLAILDIILQELKGKLLALGIGLEVSDAMKSLICQEGYDKSYGARPLRRAVTQLMEDVISEAILFGEYKPGDTILVDTNKEGKPCLSRLNQQIVQVSDPTRTF >cds.KYUSt_chr3.39891 pep primary_assembly:MPB_Lper_Kyuss_1697:3:251409899:251413456:-1 gene:KYUSg_chr3.39891 transcript:KYUSt_chr3.39891 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPNSLVSGVEGRLFHNVFDHLIDAIVSSHTDGSGEKAIEVDIGLDECNSLVPLVNRECLLKKILTLLLCMSRRRHYNPPPESEIVYYRELKGHIRCVIAYHEAKGRSEDVHWEYSKDPSGYHSLSCSQTISAVEELIELTGSLRKANLQFRELSQNNVSVLAPAIAMHVRMDFKSLLEPDWSVERTNAFYYSKLILVLHDLKCSGLVGLSPESNEKKSINTLLDYMTSSHLRVKKARMEIIFSVLGPLLEARGRSSVHGVLAALRSFDASSLLLAFVMAAPEFEDLDEDILMDDGDDDFYPMGPLIFTAGFFDGRLVEYMTQLRRSCLVLMLHIMDKLEPYCHPVNLFPVDDNIMLEWLKQVKFNRARNSANDVHRSVLLAHYAASLIQGISSSVEGACAELTDMLRRQQHYYEFAPQALLVLLLLDSSAFRNTGEMMAGLQDHEAPNSDCSTSRQCGATPVNCHSGAESSGNGVKCGDSDTDNVKILATIFQVLKDIGTSDALGAPSPSEVIILDQIMEHVSLCVDGFLSTKAYQKERDLRRCRCVGSELFRLRASGVPKHLYYGCVFGAEVMSERLKQLQEGFNLLIQALQKVEVI >cds.KYUSt_chr4.27844 pep primary_assembly:MPB_Lper_Kyuss_1697:4:174957334:174962549:-1 gene:KYUSg_chr4.27844 transcript:KYUSt_chr4.27844 gene_biotype:protein_coding transcript_biotype:protein_coding MVGREGQGSRRSELQERERRQREEEQESARREEQERESREAAAAMAAKEEGESGQFGQQFARVFFPHLYGGRLVEKFHVMQPAGMVAAVSGIDPVKLKNNKALYVDAMQRIPASFNQYLQNQPTGVVYLKGQSGNTWLAELASDNEGLFFVKGWKEFVRDHSIETGHFLTFRYDGRSRFSVVIFDKMCVEKPSAFYAKPCKDQAVKQKISEGDIDMNVADPSEVMASPLGVSDETTRKRVTVDANGSTSKKCSCVFENCRPETSVIACKATLGCVHQPMQRLELSSFSKITCKATLPSVNMDMNSADRPETVVVPLEESNRTTRKRIREMDANRSVFQKLCIASDKGKKKCPGASVGTHKSGSTGLNSNEDRVTGGKQLKKISCEFVREYFPHASRNFKLWDPQGKSWDVKYVYYSDRSVGAFSAGWGKFAVGNNLEKFDICIFELFGEDNIKVHIYRVVPEITPFLPGPGRK >cds.KYUSt_chr2.30899 pep primary_assembly:MPB_Lper_Kyuss_1697:2:190345625:190349732:-1 gene:KYUSg_chr2.30899 transcript:KYUSt_chr2.30899 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGLDYEDLNENVKKVQYAVRGELYLRASELQKEGKRIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLAMAPGGLGAYSDSRGIPGVRKEVAEFIQRRDGYPSDPELIYLTDGASKGVMQMLNAIIRNGRDGILVPVPQYPLYSAAISLFGGSLVPYYLDEEANWGLDLVNTRQSVAAARSNGITIRAMVIINPGNPTGQCLSEANLKEILNFCYQENLVLLADEVYQQNVYQDERPFISSRKVLFDMGGPISREVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPKTVDEIYKVASIALSPNVPGQIFMGLMMSPPKPGDISYPKFATESKSILDSLRRRAQIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPQRAMDTARSAGKEPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMSSFKKFNDSFMEQYQDYSKL >cds.KYUSt_chr2.40132 pep primary_assembly:MPB_Lper_Kyuss_1697:2:249221522:249222175:1 gene:KYUSg_chr2.40132 transcript:KYUSt_chr2.40132 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRIHVTSEKCYSKAIKVAAGVTGVQSVTIAGEDKNLLLVIGVGIDSNRLTEKLRRKVGPAEVVELRTIDHHQDGSNHHGGHHQPYRYHPNQSLYKHEAARDLHYYTGGYQNAAGAYGQDYYGYGGGGYQQGQYKQHDYFYPAGNTHTVVHHGHNGYSDDSCSIM >cds.KYUSt_chr2.32956 pep primary_assembly:MPB_Lper_Kyuss_1697:2:203300429:203303915:1 gene:KYUSg_chr2.32956 transcript:KYUSt_chr2.32956 gene_biotype:protein_coding transcript_biotype:protein_coding MYADQISTGRKPSVHERLDADRPSGRGGADPALRARQAVFKRQRQTDDKWKHDLYREDNGSASRSADPRDLRFKLQRKNPQQGSAGQMGSGVRDLREKLSGTMHPQPSNADPRKVKPVSEIVKVTRRENADERPVRQSKKVAKPSSSKKTSQPKAESPIDNFLKSLGLEKYSVNFQAEEVDMAALRHMTESDLKALGIPMVV >cds.KYUSt_chr2.1451 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8686759:8687646:1 gene:KYUSg_chr2.1451 transcript:KYUSt_chr2.1451 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSGRRLATLEEAARATVPRCRTRARSDLFAGLPEEILLDILLHLPPKSVLRCRAVCQLWRRIASDPAFLLDHHRRRPELPLMNCGGRLRALHRQARRIHPVFRFPEAFPFGFTADASCDGLLISGQHICNPTTRQWAPLSPNPKHGVENILGLYRHQPSGEYRVLYWRYPNNLYNMDCLIEYRVLAVGTDDPRIIDCSATPVETELISRGGPAIYGAAVLLNANLHLHWRKSFGVPYHRILVFDTVAESFRHMRPPGREPPWENARAIRGSETWHSHARVALFHSSTSGSIN >cds.KYUSt_chr4.35611 pep primary_assembly:MPB_Lper_Kyuss_1697:4:218672934:218678401:1 gene:KYUSg_chr4.35611 transcript:KYUSt_chr4.35611 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVSSSQHHHYCVRRLPAPTSRAHLAVGDSYASHFRRRGVSLRAIRADAPPSVAPAGAAVLSEMVETAAVWCAAHGLLVGDRDNPVRTDKFLTNHQDIRLGLHRSDYMLDSETNSLLQIELNTISTSFPGLGSLVSELHRDGWPVAVVYFRAGYSPNDYPSEVDDELVYRKKKTAEMERKTRPRPVKTVEGEWSARLLIEQSSAIKCPSISYHLVGTKKIQQELAKPTVLERFLDNEEDIAKLRKCFAGLWSLDNEEIVKSAIEKPDLFVLKPQREGGGNNLYGHDLRETLIRLQNEQGEALAAYILMQRIFPRASLTHLVQGGVCFEDLTISELGIFGAYLRNKDKVIINNQSGYLMRTKVSSSNEGGVAAGFAVLDSILLTDEVIITV >cds.KYUSt_chr4.49867 pep primary_assembly:MPB_Lper_Kyuss_1697:4:308965909:308967603:-1 gene:KYUSg_chr4.49867 transcript:KYUSt_chr4.49867 gene_biotype:protein_coding transcript_biotype:protein_coding MWCLPRYFHRGAPKDEQGNDHTDPIPTSSTAYSGRSMSTATSSTVPSGSDHTGSINVSDMSAESIQRSQQYPSFSDRPANLRVFTFSELRNATRNLNRSQLVGEGGFGCVYRGTLKIAASPEEEAAAVEGHKEWLTEVNVLGIVDHPNLVKLIGYCAQDDERGAQRLLVYEYMPNRSVDDHLSGRAIGTTLSWPMRLKVALDAARGLKYLHEDMDFQIIFRDLKTSNILLDENWNAKLSDFGMARQGPTEDLTHVSTAVVGTLGYAAPEYLHTGRLNAKSDIWSYGVLLYELVTGRRPIDTHRPRSEQKLLEWVKPYISDTNRLRMIVDPRLEGHYNIKMVAKLVTVANRCLSRMPKARPRMGEVLDMVQKIVDAAAAGSAALPPLHYYSSSSREEEGDSKLKRENKRGFHGYRWPAGRGKAP >cds.KYUSt_chr4.37884 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233656523:233657634:-1 gene:KYUSg_chr4.37884 transcript:KYUSt_chr4.37884 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSETRKFVDEVRGLKKNWMLDLGHPLLNRVADSFVKAAGIGAIQAVTRDSYFMAIEGESGVTGSVSDATGTRKRTFPDLKGGSNNSKSAEAMVKGVSKESFQWGKETVVPLLCHCRFLNLSSVVNVGDYFGAGLAAGMHSGLTYGLTEVRGTHDWKNSAVAGAVTGAALALTSDNASHEQVVQCAITGAALSAAANVLSDVL >cds.KYUSt_chr6.27366 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173747049:173750822:-1 gene:KYUSg_chr6.27366 transcript:KYUSt_chr6.27366 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNSKFHNKCKHAIKCTRTRLDLVRRKKQAMVKFLRKDVADLITNGLESHAFGRMEELIVEMNQASNYDVIEQYCEYIAKQLNILQKQSECPHEALEPVSTLIFAAARYPDLPELCDLRHVFTERYGASIEPFVSSEFVQKLQNKSFTKEEKLLVMQDIIEEFALPFNIKEIERKISGVPQNKKDLLKKGSFNGVEVEASGRNGHKVDKHAVLERKSKSIPEGRDRRQEVQIKPKDIHVVPDCVGQVGERSRKNYSDKPTEKKHMDNDVLPLDMKRRNGPTDVKKDEKKGGQSWRELMNAEELDLNGSKKQEVAAAKPLQREIKKIVPPYTELKDTEKKNGYHRSHMAGGTDHNWGHADLGLKTLGLEKQGTESASTLNGNTANKVPPYSKPYRAMSEKPAEDDNIGLYNQARHIGEFGQSVQDKQQMPEKKAVNMRPPYVKPNTNMKSAHENLTNEAANGYRHNGSEATGHRRDGLAADDAPRPVSVRRRSTKPPVHGSPYNEVGSDEKIANQTPGGRTRRSAYDEAMNDENMANQTPGDRTRRSAYDEVTNDENAANQTPGGRTRRSSNRNGHHEDYERRKHSSRQNGSASGSDYQTEEDETDNAIDFGNLLPRAPSSHRKHRSRSAHPREGGSHDDEERMMDKLLRHYSKKGMEREEEHRTRTKSRTPRPRADQPADGNRERSSRDHPERAVSLPTESDSPVAKPKTPPPARSLSLQPDTSRGNVHPRMPDFDELAARISAMKRA >cds.KYUSt_chr2.6321 pep primary_assembly:MPB_Lper_Kyuss_1697:2:39386759:39388152:-1 gene:KYUSg_chr2.6321 transcript:KYUSt_chr2.6321 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLHGCLAPVRAAAARAFRRPEATGRLSYRRSSRFVPVASAAASSPSSDGASAAVVHGSDGSTAKARDYGGTNGAVSGTARSTSIETTVERVIFDFRFLALLAVAGSLAGSLLCFLNGCVYIKEAYICYWTSCVKGVHTGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNASNDLPSGSDRALQGSSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKITTGLDLLSYSVCIFLSSASLYILHNLHRPEHEESVMPNL >cds.KYUSt_chr5.16989 pep primary_assembly:MPB_Lper_Kyuss_1697:5:109385105:109391939:-1 gene:KYUSg_chr5.16989 transcript:KYUSt_chr5.16989 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDDIISYSFPSFDRSAGGAALALAVLKDADINGGVLQLTPDTRNNVGYLINKSGSVLLPDPVTLWRLDSHGVRHEASFNTTFDMNIYRDPGEEQHGEGLTFVIASSLNGPPAGSHGGFLGLTNTTSKSNSSSFVAVEFDTAKQSYDPDDNHVGLNIGSVDSAYVVQLSSVPPFNLTIAPTIPTNYTVWIDYKGVGHHIWVYMAPQGKEKPGSAVLDAPLNLSSHLPQRAYIGFSGSTGQGFELNTILSWSLTLDKLPSDHSEKWKVILPAVLGTVAVTAAMIAAAAFYFSARYKALKVELKLSEALRRLPGTPREFKYVTIRKATDNFDEARKLGKGGFGSVYKGTLRSGSGTTRSRVDVAVKKFTRNEDRCYDDFLAEVDVINRLRHRNIVPLIGLIFKLWSGLHFGSRFSDGKGELLLIYEYMPNGSLDQHLFRTRENPQQPATLLGWNTRYDIVMDVTAGLHYVHHEHEHMVLHRDVKASNIMLDSSFRGRLGDFGLARIVTCLDKNSYTDIGVAGTWGFIAPEYSMNHKATRKTDVYALGVLILEVVTGERALGGSNESFQLLTDWVWTAHREGRLLEAVDDDVAGEFDEDDASRLLLLGLACTNPNPSDRPSMAEVVQIVAKSATPPDVPLVKPTFVWPPEGGWIPLESDDTAAIMNIQWEENESLSSDDALEMT >cds.KYUSt_chr2.13525 pep primary_assembly:MPB_Lper_Kyuss_1697:2:85750445:85751551:-1 gene:KYUSg_chr2.13525 transcript:KYUSt_chr2.13525 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAQTPPLEDENLLREILLRLPPLPSSLPRASLVCKRWLGSVSDPGFFRNFREHHGKPPLLCFFFVSGRGADFVPSLDPPDRIPAVRFSLPPQSHSEQCWFFRDCRHGLCLFIDTTRPEAIVCDPITNQQRRIALPREFIADKNWAFFHGGVVCVAGHGHVHGDCGLSPFKLVLLYNNTRASSVSACLYESESGALGNIASKTIPGAIAFITPSILVGNALFWLSQHGRILEFDIENQSLAVTEKPEKHQNTKWNHCQVLRKQENRLGLVVLTGPYIEIWAREVNSDGFARMVLQKTVELHKLLQLGSSTPWTYRPQLQGFDEETGAIFLSWGIRLRLFGLPLQLLGLLEPKSYSPNKQQEIEKRF >cds.KYUSt_chr1.9428 pep primary_assembly:MPB_Lper_Kyuss_1697:1:57498928:57503204:1 gene:KYUSg_chr1.9428 transcript:KYUSt_chr1.9428 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVVRRLGDPTLPPGGDDSPFEAISGEQPVPELSSRTSVRVRVAATSLNFANFLQVQGKYQEQPPLPFVPGSDYAGVVDAVGPGVRKFRPGDRVCSLASVGSFAEFIVTEEKGLFAVPDGCDLVAAGALPVAFGTSHLALVHRAQLKSGQVLLVLGAAGGVGVSAVQIGKVSGAIVIAVARGIEKLHYLKSIGADHVIDSSKENVIESAKSFLKARGLKGVDVLYDPVGGKLAQDSLKLLNWGAHILLIGFASGDVPVIRANVALVKNWTIHGLYWGSYLIHRPAVLIDSLNELLSWLSKGLITAKISHTYRLPESIIDLVEQMKTLMVPVTDRVHSYGIGVQSDFGWFRNIIPSRVLLATVCMVIGKKVLSTVHCMLIPG >cds.KYUSt_chr7.27546 pep primary_assembly:MPB_Lper_Kyuss_1697:7:171974281:171977206:1 gene:KYUSg_chr7.27546 transcript:KYUSt_chr7.27546 gene_biotype:protein_coding transcript_biotype:protein_coding MRLATTTHEDRRARKIKERKNVKEPNSSIPKETTYSQEGVYSQETMFSQEEMFTNQEEGNHSKTTYKHLEAKTASVNKIIKSVKVEKAQENKMKNSEVKKKRNKGTVNVTKGMDVALTSPTSETIVALGTVQNADTDEYIEVMINMVLKRTTRLPQAKGRMTLLGQAEAHSIQWPRKNVSTEEVDMTHALIVSSMDKNLRIHSRSDGRVLTLEEQAANRDKIRRTDDSQNPDRTKRRRTFSFKSKASIASPVQADELLDDVPDAVPAGYIDYLRLFYCGFGAAPAAAGYAAVLLWLVLLFYLIGDTASESFYASLESLSVRRGRGHAPLARQWRAGRLR >cds.KYUSt_chr2.21013 pep primary_assembly:MPB_Lper_Kyuss_1697:2:132083333:132085208:-1 gene:KYUSg_chr2.21013 transcript:KYUSt_chr2.21013 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLNAIQTNAPHLLRYLAAAVVVNKRRRNMLKELIKVIQQEQQTYKDPITEFLECLHVNYDFAGAQQKLIDCEQVILNDPFLGKRIEEGNFITVPLRDEFLENARLLIFETYCRIHRCIDIGMLAEKMNMTYDEAELWIMNLVKSSKLDAKIDSVTGTLIMTTNHVNVHQQIIESMKNLNARTCMLAKSLVDPGHAAAQQAARILLLELFSI >cds.KYUSt_chr2.48741 pep primary_assembly:MPB_Lper_Kyuss_1697:2:304855668:304856770:-1 gene:KYUSg_chr2.48741 transcript:KYUSt_chr2.48741 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPCRPKHRCCGFLGVALAFFLAVEVAAAGICSEIELLWGADRTYCFMDGDTEVQAMSLDKSQGSTFKSNQMYLFARIDVDIKLVEGDSAGTVCTIYTISEEDWDNHDEIDFEFLGNSTGEPYTLHTNIFAGGKGGREMQFRLWFDAAADFHTYTIIWNPRRIIIQVDGKTIRSFDNNEDQGVPFPSWRQQRVYGSLWSAEDWATQGGRIKTDWSQSPFVSYYKNYNVTWCRPSPGVAWCGDEPADSTLFNLSQQDLIDLQWVRDNGCVIYDYCADTVRFNATTMPKECKLPRKP >cds.KYUSt_scaffold_2697.306 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000017.1:2041512:2042212:-1 gene:KYUSg_scaffold_2697.306 transcript:KYUSt_scaffold_2697.306 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKPDDAAALYPRQESAPATGIPMSGAGRSGGVGPNAPNAYYHQQAAPTAAAFAMQAPPLAAWSTGLCDCFDDCSNCCVTCLCPCITFGQVAEIIDRGSTSCGASGALYALIMLLTGCQCVYSCFYRAKMRAQYGLQEAPCADCCIHWCCEPCALCQEYRELKKRGFDMNLGWHANMERQGRSPATMPPLMHPGMTR >cds.KYUSt_chr2.54342 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339051423:339052139:1 gene:KYUSg_chr2.54342 transcript:KYUSt_chr2.54342 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPLPQCAVCHSKIHMWSFPIPITSSPLHLSIPSLPFPVPPPGTWPPPTSASPVPDATSTPSVPDVTSTPPRARPDLDATPSPTPSCPLSASLSFYTPARFRCTAAAVRVPGRRPGLLVVRAAKGTFERTKPHVAAALTGAVLMDGAILVCSGADGTMLQTKEHIVPAYAHAAARACHPRPYAYAAARPPRRHVATHAACAHTRMPPPLPVLPSVLQLHGRHNGVHSGRTQRLLFPS >cds.KYUSt_chr6.1056 pep primary_assembly:MPB_Lper_Kyuss_1697:6:6687051:6688226:-1 gene:KYUSg_chr6.1056 transcript:KYUSt_chr6.1056 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEERDDFLKVLQALRDAARTVESGAGGAPNTAPALHALLALEASADDLLAGDPELRPLCRALARVRALSWSAEASTGGGGVVGEVRARCRRCRARRAIARAAGDVAREIQAWVDRELAKRLVAALRRGGDGTRASALLAELEARLVGLATAGRFDARLQDAVLRHGVFAALEARLADPSDPGAVGDGCAAAVLALVRFNRDVFVGPVLMGPAVGALVSASPSSTVALRALNGLVDAIRTPLVDELHARGELPRLVSLLCAADPRVRALALELVLRVAYYGRGEVLDALLAEGLVKRLLCLQRSDLGGSSTDSDASQPDSEGGETAVAASRRPFVSAVARLAVQVEVGQGLGARERRAAKLDILRRVREAAVSPAEEAAVLAEVLWGATP >cds.KYUSt_chr3.31338 pep primary_assembly:MPB_Lper_Kyuss_1697:3:196873770:196874523:1 gene:KYUSg_chr3.31338 transcript:KYUSt_chr3.31338 gene_biotype:protein_coding transcript_biotype:protein_coding MCTATKFRPETATESQCGRPLGLRFDQKTGDLYIADAYKGLMRVGPGGGEATVLVNNVDGIPLSFTNGVDVDQTTGQVYFTDSSMNYNRAQHEMVTRTGDSTGRLMSTDRTHLVVASTGPCKLLRHWIKGPNTGRSEPFADLPGYPDNVRPSNKGGYWVALHREKNELPFGRDSHLLAVRVGSNGKILEEMRGPKSVRPTEIMERNNGKIYMGSVELPYVSVVKRK >cds.KYUSt_chr3.33370 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209517948:209524690:1 gene:KYUSg_chr3.33370 transcript:KYUSt_chr3.33370 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDLADQRRPHGSGGGGRAVKDGGEGSSGAAAGSAMTMAELMSGYYQAQEMSTMVSALSRVVAEDDPWAAEAYQWAAPATTSSGGMGLEEQAMRGGGGGYVHELGSYPGAPSSEFAGVRPFASPAMDSDEEEEQMFVELMQEEMAAAAQDEEHMMILGCLASMYAGLATRRRGGSARGRRKCKPRQRMEGYCMLYADYFADNPLHGNVQGSQKGRTVILEALATHDLWIWHSFFGMPGSNNDINVLNCSPVFSKLVEGHAPPVDYVINGRHYNKGYYLADGIYPKWATFVKTISNPSTPKLCEFVKKQEACRKDVERAFGVLQQRFVCRPVPRYDLVQRSDVGGSDHSSDTQSATTMGDQHQNPTSPAAATNAEASEAPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDDAALRFRGSRAKLNFPEDARLHPATAAAGAVTAAPTQAPIAAASSASPAVYAAGATQASEYLRYQMLLQGSRDAAAANNNQATTLLPFYGGAMSSSYGGGGGVMSSSYGGGVGGPPSGFLGSYYSFPPSTVSVATVPSSSSSASSAPGHYYDPHQQQQQSDAAAATADWDWQSALASYAGTTASWSDSSQHHHHHQPPHNNQ >cds.KYUSt_chr4.3631 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20571111:20575839:-1 gene:KYUSg_chr4.3631 transcript:KYUSt_chr4.3631 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEGSPTARAGAEMDIEFSVGSWGPSFEFAFDAENFSDKLLRIEVVASGDVAGARDPVEEGHDMLIKVQWHYSALFSNGMKESDQTHPTLRIADSESALLYLDHPCSMSMAAEVQLLKGAAKEYLVNKYKDYNKFQHEVMNFSLAGIEAILSSTDLHVQSEDFLYYLLVKWARVRYPELEERRKILSSHLLPLVRFSHMTYKAFQSILTCADNDIDHEHVTKLITGVLLCKAYPAHKPGALAACATSCLPVAERAYKYRHMKVVAFDKPCPQVIAYMDLKREECSLKQIFSYPFHVAGQGFILMARCNKDEQSDLYRFGLFLCIDPVLKGSRSVTVEYEFAARTRPRQFVSKFSATDTFTDGVLVGCDNIFLVPWSTFMADDDLFIDGVLHLRVDLTVVEQTELQT >cds.KYUSt_chr7.41223 pep primary_assembly:MPB_Lper_Kyuss_1697:7:255449496:255451345:-1 gene:KYUSg_chr7.41223 transcript:KYUSt_chr7.41223 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKERRKTIYQEWVSVLVFALLPLEEKGKVKVTTMSTVEEAAAAAAVLPVGFRFRPTDEELVRHYLKAKIAGRPHPDLLVIPDVDLATVEPWDLPARSVIKSDDPEWFFFAHRDRPKYPGKNPRSNRSTAAGYWKATGKDRLIRSPKGALIGIKKTLVFHRGRAPRGHRTAWIMHEYRTTEPHFESGQNGSFVLYRLFNKHDEETPGSNPESPSTSSRGNLLHANDAATTSMKEDKTSPSDLSQLSETELTKEAAFLDVFTQLPDLQAEQRYEAFPTISSPMRPYTDHPFVGNMGEQDFSPYLDSITAEQDLQDMLLNPAYAKEDSGNDELYPIAIVASSTDNSNSNASHYHSHCELSSMFHPQRESTSSGSWTPYPQYLLNSMVEPSRSDMLNSSASNGQGDWAAAPPQQSTDAEFIDPQGIAARRIRLVRDVHRVSASQPILTSHLESEDEAGSCCSTGSSSNNHGEDHINLDSQTMV >cds.KYUSt_chr2.18852 pep primary_assembly:MPB_Lper_Kyuss_1697:2:118564948:118566897:1 gene:KYUSg_chr2.18852 transcript:KYUSt_chr2.18852 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATSNHPVLAPPSGHGQSSLDASHGAATGGFIALDIGALSSLAGNGPETTATAPPPPVSTPRTPRVVRSLSRKGSDRKQADGDANGTTGNGAGTGERPPLSPLFVHVAAADEMLNGLRTPGGAGTPGGKSRRLGRRPAPWLDPRRVVFLFATLSSVGTLILLYFTLSMSKMNDAGSGAEGAVSDAR >cds.KYUSt_chr1.17889 pep primary_assembly:MPB_Lper_Kyuss_1697:1:104163375:104168032:-1 gene:KYUSg_chr1.17889 transcript:KYUSt_chr1.17889 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKAAVSFNGEQFESPGFFTTLRQAEHAAAEVALAALARRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGITFTVDHAKNKKQAEKNAASAAWSSLKQLAREEANSTNESDNNDEQEQIRIARALLNYRLKEKIAMANNPYASPFPKKFPMQPERKPCFAQSSQSSYSKILPLFRPKSTSKSRPESPAATDGASQSPFWPMESSNSRSRFPAAQAAPYVPVGHYRMPCHSMAPPVTVRSSIPVFSAPPLPPPSGRTQQLPPLLSNPPPIRMASPVRMRPASPLFAPPSGPVQRPRPVMSVQLRDVQQKPSPVIPVQVKDAQHQLFKGSVLSAFPVQMKD >cds.KYUSt_chr1.8305 pep primary_assembly:MPB_Lper_Kyuss_1697:1:51100916:51103642:-1 gene:KYUSg_chr1.8305 transcript:KYUSt_chr1.8305 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWEERGMSRVFDSYVSEWMCDLQFNSTGEHARMSYALIYSFCSRGAQIVRKDILARALSMLVVVLVIVVVSVVDAKGERRAAVGVSVMRTAVIVGLLGLVAAASSSGADVLTDNLSSADQVILTIDLLHRDQSSNNLSGTVQEFRIKNVLCGTRCDSIRAQEEPVQNPRTHSQRYATSTKTVLLCMSTAFVVVVFLAAVTAATRQWRRGHQIFADIDGNHMIPNAVSSDMFVSWIADENDNNT >cds.KYUSt_chr7.8832 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53497095:53501860:1 gene:KYUSg_chr7.8832 transcript:KYUSt_chr7.8832 gene_biotype:protein_coding transcript_biotype:protein_coding MYECDPEPRWQATANGTAFRASLAPLLAALPSAAAPTGFASLRSGNGRTFARGLCFRESPPPKDCRQGISVKKTAISFFSVVSKVKECLPIPWMKRSTGRPFGAPGKMLIHLWRNNRGQPDPKRTSMHILKYVDMIVQHCYKPKA >cds.KYUSt_chr1.16290 pep primary_assembly:MPB_Lper_Kyuss_1697:1:94661282:94662918:-1 gene:KYUSg_chr1.16290 transcript:KYUSt_chr1.16290 gene_biotype:protein_coding transcript_biotype:protein_coding MAECAARKSGVPVFVMMPLDTVKPCCGSGLNHRKTMARDLAALQSAGVEGIMVDVWWGIVEGEEPEMYNFDGYMKIVGMARDAGLKVQAVMSFHQCGGNVGDTVNIPLPQWVVEEMDKDQDLAYTDQWGRRSYEYVSLGCDDMPVLQGRTPVQCYTDFMSAFRDHFAAFLGNTIVEIQVGMGPAGELRYPSYPESEETWKFPGIGAFQCYDKVVFQLRLDSNAENASGTCLIDMFLSQYMLSNLATAAATAGNPDWGLGGPTDAGDYNSCPDDTDFFRQDGGGWGTEYGQFFMSWYSRMLLEHGDRVLSGAASVFGHAPGVHLSAKVAGIHWHYGTESHAPELTAGYYNTQHRDGYLPIALVLGRHGAVLNFTCVEMRDHEQPQDARCQPECLVRQVAAAAREAGVGLAGENALPRYDEAAHDQVVATAQEEQMVAFTYLRMGPDLFQPENWSRFAVFVERMSDAGSSPPRSRQKTTEDDAVSVQG >cds.KYUSt_contig_2724.46 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000465.1:283822:291361:1 gene:KYUSg_contig_2724.46 transcript:KYUSt_contig_2724.46 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSSHPRPALPRRDHHPADAVPTNFHLRTTVPLALSFTSVSNATPSHPPFLPRDAATAPAAAGSSWRGRTPARHRARLLHRIPTSATRLCLKRDAAANPSFAAGVRRCVSGTHHSPASPRHGRLHRFHATALAPCLLGCGWPDPFFDYLAVSLDYIHGYTSTLGSTPKGNNHWEMLSSTLHSLFWLYKRPSANWRLEENIMKAGFGGLANGGSSLCAVEGLAWCSVLRNSGEEAGVAGCLDQARGGDHDSLESISESFVPNAEMQKCGMLQDANSSKFKSQVQSSQLASLTCSPPVAIFSVHSPLACPRHRTQLAIVLDLRHEELIANPLLLNVHSSLAYLRLLAQARRRSQPPPSAVGPPLLPRALGCNAESLLLDSAPLQSGCSLNKCLQSSLNKCWK >cds.KYUSt_chr1.34071 pep primary_assembly:MPB_Lper_Kyuss_1697:1:207191568:207194997:1 gene:KYUSg_chr1.34071 transcript:KYUSt_chr1.34071 gene_biotype:protein_coding transcript_biotype:protein_coding KDATAKELECQRLKTMAVNDDCVVELHGDKSTKFEASDLQEHESLSATGGMIAETANGVDGFASKSGFTSHSEVLDKEAQASTPKKTRTTKKKDTENFDWDKFRRKACDDGYMNERTFERRDSVDWEAVRCADVRRISHAIRDRGMNNVLAERIQSFLNRLVKDHGSIDLEWLRDIAPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQETLYELHYHMITFGKVFCTKSKPNCNACPMRSECRHFASAFASARLALPAPEEKGLACSRNQFNFQNGGMPTLNSTVLPQLEGSTHGRDFPANNSEPIIEEPESPREEEQTETLENDIEDYDADTGEIPIIKLNLEAFAQNLENCIKESNKDLQPDDIAKALVAISTEAASIPVPKLKNVRRLRTEHYV >cds.KYUSt_chr2.4225 pep primary_assembly:MPB_Lper_Kyuss_1697:2:25720331:25722520:-1 gene:KYUSg_chr2.4225 transcript:KYUSt_chr2.4225 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARKPEQPDLGCLVDELCASGRSAEAHHRVALLLSSSSPSRRLHGPAANGLLRRLLRARTPLLTLRLLQAAPFVPSLPNYNRLLALLSSAAAPWLLLLAHRLFLRMLVPPDAASYAALLDGYACAADPAAAQKLLDEMRHRGLAPSSLAQTYLVKAFLRSRDVDAAMNLVDNQLWPSMERFHDEDQGLKNAAFANLVQCLCDEGFFHLVFRVAEEMPQRRCCVPDEFSYAQMIDSLCRAGQHHGASRIVYIMGKRGLHPSTVSYNCIVHGLCTSKKPGGRLRAYQLVMEGVRFGYRPREVTFKVLVEELCREKELAKAKDVLELMLQPQTGHDKPDEETRTRLYNMFLGALRAVDNPSEQLSVLVSMLQGECRPDVITMNTVVHGFCKVGRAQEARQIVDDMINGKFCAPDVVTFTTLISGYLDAGEHAEALHVLHTLMPRRRCAPNVVTYNSVLKGLFCLGLVEEAIQLIDEMKSNNVAADSVTHTLVIKGLCGAGQLEKAKAFWDNVVWPSGIHDGYVYSAIFRGLCKLGKLEQACDFLYELVDCGVYPGVVCYNILIDTACKQGSKKLVYQVVKEMRRNGLSPDAVTWRILDKLHLYSNEEQEEEHQLPTYHMDQSCADDRVQSLISTKNDIPSLLSSSKHLDEVYKNNNEAKVEDVRCSPEMPDKPSDFTEFNKEQDYAIDDSASGKIMDKDYALRDDGFDMQDKQPLTGPLSSVVRKVFGLL >cds.KYUSt_chr7.31618 pep primary_assembly:MPB_Lper_Kyuss_1697:7:196902435:196907020:-1 gene:KYUSg_chr7.31618 transcript:KYUSt_chr7.31618 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCGLECVLCVGCVRWAWKRLTYIGAYDSETWPPAAAEDFHPVPRICQIIMAINEDDLSNPKFAPPGLGYADIDAHGIVKRTTYADVGADCPPYIVYVDRRHNEVVLAVRGLNLVRNADYQVRPVPPLHPQSLPPRRTRLTPPACPQVLMDNKLGKQMFDGGYVHYGLLRAAQFILEKETDALRDLLRKQGPGCRLVFAGHSLGSGIAALMTILVVNNRKAFDDIPRSHVRCYALAPARCMSLNLAVKYADVIYSVVLQDDFLPRTPTPLEYIFGSIFCLPCLIFLVCLRDTFKQDKKKFKDPRRLYAPGRMYHIVERKFCRCGRYPPEVRTAIPVEGRFEHVVLSCSTTSDHALAWIERESIKALELMKENGNATTPPAQQKMEKLHSFQEEHKSALERAKTLEVPHAIDISEEENHVGVCPAPSSDTHSETTSEAKSAGRTSWDELVDRLFTRDEDGKLIVNRDMVAKEVVVE >cds.KYUSt_chr6.3158 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18522263:18526839:1 gene:KYUSg_chr6.3158 transcript:KYUSt_chr6.3158 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEEGAINGGEERAGLADAPYDRWVLLRPAEGSSRPSARYKVLIHGSVLRILKFASCPAATGLPSETAGLHAAEVVQDRLYVVGGSRNGRSLSDVQVFDFKTSKWSVLNPSRDSSQFNIENNAGNQPFPPLVGHSLVKWKNNLLVVAGSSRATASNKVSVWLIDVETNSWSAVDTHGKVPIARVGQSVSLVGSRLVMFGGEDNKRRLLSDLHVLDLETMMWEEVTTEKGGPAPRYDHSAAVYADNYLLIFGGSSHSTCFSDLYLLDLQSLEWSQPDTQGANITPRSGHAGTMIDENWYIVGGGDNASGSTDTIVMNAAKFVWSVVTSVTVRDPLACEGLTLCSTTVDGEKVLIAFGGYNGKYNNEVFVMKPKPRNFVQPRLLQSPAAAAAAASVTAAYAVITAADEKTKDIVATDDLDVKRAEPGSSSKQIVAEIDALNGEKVELESRLTEVRAENTKLKDKLDMVKLSYGELTKELQSVENQLAAEGSRCQKLESQIAAAHKRLESAGSLENELEVLQQQISQVEQTMTSSQRRKSGGVWKWVAGSAEVSDNE >cds.KYUSt_chr7.22618 pep primary_assembly:MPB_Lper_Kyuss_1697:7:140316047:140316480:-1 gene:KYUSg_chr7.22618 transcript:KYUSt_chr7.22618 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDSPTSSRQESAAGHSVAVFEIGPRVSSWRPRAGSSAGDQGVFRSSSEAARSPEGIWDRRLRHCCDDLSKRGCSGSR >cds.KYUSt_chr4.43395 pep primary_assembly:MPB_Lper_Kyuss_1697:4:269000306:269001559:-1 gene:KYUSg_chr4.43395 transcript:KYUSt_chr4.43395 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCKHDRKVKKRAAEKRTEPRAVMEQPNQWLTPTEQEELDYMYQQQEGGHAPGLEQQFAEPPLPDHQEQYYTPPMAAPSFHPSRSSNFPTFGGSSSLPNLPFGAATVKNEQGQPSPPPQSSNFLSFGAGQASTLNFSGGAWQPDGIELTMQVQEPERRSRAPGNAQEHVIAERKRREKLQQQFVSLATIVPGLKKTDKISLLGGTIEYVKQLEEKVKALEEQGARRSSESTVFESKCSISAADSDAAGPSGSGTGDSDGEYSSLAVEASIRGDTVLLKICCKERRGVLVMVLSQLENQGLSIINTNVVPFTDSCLNITITAKARPLLSGFTLC >cds.KYUSt_contig_1253.509 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:3162166:3162411:1 gene:KYUSg_contig_1253.509 transcript:KYUSt_contig_1253.509 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQLGRFVDGIRSKMQAGGFGKKGAGKKAAAAAAYDKMGKTDSMRVEIKSRQAQKLIAKNLVAAESIGRRSRNKRFFLAF >cds.KYUSt_chr1.40970 pep primary_assembly:MPB_Lper_Kyuss_1697:1:251221955:251222422:-1 gene:KYUSg_chr1.40970 transcript:KYUSt_chr1.40970 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPSMATISCCHQLRPPSPATAREGAPAAGHLPVLRRACLAAACVVAVGATGAVDGAAMATSWGTAPGSGAPHEAALVRVQAPSRWSDRRQCPPWRANSLENVVPENLPRPAPRRRFNGVASADRARAPAPAASPDAVVPLLALRSGSMGCFSL >cds.KYUSt_chr3.8049 pep primary_assembly:MPB_Lper_Kyuss_1697:3:46364360:46365533:1 gene:KYUSg_chr3.8049 transcript:KYUSt_chr3.8049 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSAQPGQSNSTLRSEPRRMGEEQVGDRTQAQVAGTAGARLPRIGPEGPGSGPADPRSHGANSGRPATVAANPAPSPSSSAPSPPSPSAVAVAGQPWSSCPPPSGLPAPLRGVRMVEMPAAGGTARALPGGSRRRRRRRGRERSGAEEGAACMISLGTGPIELKIDCCAGVDLLSKKEED >cds.KYUSt_chr3.9154 pep primary_assembly:MPB_Lper_Kyuss_1697:3:53443305:53446119:-1 gene:KYUSg_chr3.9154 transcript:KYUSt_chr3.9154 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSGRVPEAISGSPEMGSAAAASPGRFSVSWFSPPSPRFSDAAASDDAAPEPDYTAELPEELLAAVFGLLGSGDRKRCSLVSPPLAGRRGRLAPALRLALDARAPLLPAAPGILRRFSAVSKLALKCDRRVDSVGDPALVLVARRLGPGLRRLKLRSLRAVTDDGVHALASAAVNLRKLPVGSCAFGARGIEAALRSCTQLEELSVKRLRGLASSDPITVPGPRLQSLSMILDALIVRLMEYGCVNCEIDALIVHDEADREHRGHCVRLCPTRGGKWAGAGAHAELHDELQCRKRSQSAPALLYHLSFAF >cds.KYUSt_chr7.39184 pep primary_assembly:MPB_Lper_Kyuss_1697:7:243849035:243849475:1 gene:KYUSg_chr7.39184 transcript:KYUSt_chr7.39184 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSQAQNSAQDYLSPHNSVRAAVGVGAVSWSTRLQSYAQTYANQRIGDCKLQHSGGPYGENIFWGSGSGWKAADAVNLWAGEKSDYDYGSNSCAAGKQCGHYTQIVWRATTSIGCARVVCNNNAGVFIICSYDPPGNFVGQKPY >cds.KYUSt_chr1.19558 pep primary_assembly:MPB_Lper_Kyuss_1697:1:114963435:114964933:-1 gene:KYUSg_chr1.19558 transcript:KYUSt_chr1.19558 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAASLKFTVRRKAAELVPPAQPTPRELKRLSDIDDQDGLRFHIPVIQFYRRNAFMSGRDPAAVVRDAVARALVHYYPFAGRLRELEGRKLAVDCTGEGVLFIEADADVRLEHFGDALQPPFPGLEELVFDVPGSSEVLGTPLLLFQVTRLSCGGFILAVRLMHTMSDAQGLVQFLAAVAELARGATVPSVLPVWRRELLEARNPPRPGFAHREYDEVPDTNGTIIPLDDMAHRSFFFGAKEVAAIRSHLAPGLRKRATTFEVLTGCLWKCRTVSLDPDADEEMRMICIVNARGGKGKQSAIPSGYYGNAFAFPVAVSAAGELCARPLSYAVRLVKEAKGEVDGEYMRSVADLMVQRGRPHFTVVRAYLASDVTKAGFGDLDFGWGRPVYGGPAKGGVGAIPGVASFLIPFKNAKGEDGIVIPMCLPGPAMDKFVEEMGKLLCPAVDVADTFPAMIKSAL >cds.KYUSt_chr7.3715 pep primary_assembly:MPB_Lper_Kyuss_1697:7:22155959:22159122:1 gene:KYUSg_chr7.3715 transcript:KYUSt_chr7.3715 gene_biotype:protein_coding transcript_biotype:protein_coding WDSQGPFKPLHLMNPARLSFIRSTLCRHFRRDPYSAKPLEGLKLIDVGCGGGILSEPLARMGATVTGIDAGDENIKIAHIHAASDPTTASIEYCCTTAEDLVKENRQFDAVISLEVIEHVDNPLEFCKSLSALTVPNGATVLSTINRSMRAYATAIIGAEYILRLLPIGTHEWSKLVTPEELVLALQRASIS >cds.KYUSt_chr7.3021 pep primary_assembly:MPB_Lper_Kyuss_1697:7:17928420:17933201:-1 gene:KYUSg_chr7.3021 transcript:KYUSt_chr7.3021 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSRNNPADSLTSSPVVSDLEANKEEEASPNSPADSSPVMRGGGANATKEEAGKEEEEELAVTNPKLASLIYHSFALLGVAIVSPLFIAPLYAMPILRDAFVRAYAMAPCHIHSSDDSKPLISGKV >cds.KYUSt_chr5.9059 pep primary_assembly:MPB_Lper_Kyuss_1697:5:57522161:57528327:1 gene:KYUSg_chr5.9059 transcript:KYUSt_chr5.9059 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSRVRPNALQQGTAFPVPAVGAADEVVDVCASRRRTSLGTLHASSDATGPEEIEHADDNNTISDDALEPPSFCPEQESNDASVSTKKKQPAVSKCKSVMRYVPGVVDLVTRFKELHQIVEDTKAKLQTEVGPLDGMSSMEKADDWLRSNSREETEHIDTLLAFCDSGKTEGLPDLQTSPNNPLGLPRIGPARHYLRPPPPPPPLPPPLPPPAIFSPSSAILPRMVSTLSSCTMEKLTVSRCFIHKEKGTHIFEVAGYSLMKGMGVGKFVCSPTFTIGGYDWYIKCYPEGTTESSKGCVEICLELMSSNAEVRTLFDFGLARHDSGLISTAFTPQTKAFSSKTRETRQFSVLIVRSSIEAEPTKYLQNDVLMIKSVITVIKESQVSVPPPEIEVPPSDILEHLAKLLEAKEKTDVTFTVGGETFQAHKTVLAMRSPVFEAELFGLMRETCVTIQDMQPAVFKALLHFVYTDSLPDMEDLEGDDECEMYRHLLVAADRYAMDRLKVLCQNILVKNLDVENVATTLALADQHNCDKLKDVCIEFIASSDKMDDVVATQGYANLKRSCPSVLVDAFEKRSRSCKA >cds.KYUSt_chr7.38127 pep primary_assembly:MPB_Lper_Kyuss_1697:7:237708230:237711877:-1 gene:KYUSg_chr7.38127 transcript:KYUSt_chr7.38127 gene_biotype:protein_coding transcript_biotype:protein_coding MSNARLHPGGVGVLHGIQAHRTTHSCIPAPHQMSRTLCCRVEHLLRVEPEHQRHSHGRQRRQVEHSYAPLFPAVPDPSSGAHRPTCRFTACTCGSELELPELAKVWKRKISNPNSCISPVSYKLVVPSPLDSAPDSLVAALIMDDDNCATLCISQPPIATDLFRDDKVPALQIEDVAFFDGKLYALCGFGILCIVELGNDLCIASTECIIHSLHELGGIPKSLPKVDNRGYTVAVYLVECGGRLLIVKRWFESIHGPVSDYVFGYDHTVAFEVFEADLSTNPGRWRRISKLGGHALFLGQHASKSLPATECSGYREDCIYFMADYLGLPCSVNPLLDSGVYNMRNGKITPLMVQTAAAPPERAGQWRPAWFFPPEAV >cds.KYUSt_chr1.18412 pep primary_assembly:MPB_Lper_Kyuss_1697:1:107750120:107750689:-1 gene:KYUSg_chr1.18412 transcript:KYUSt_chr1.18412 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAWKTQFRELMVEAEGLCRPQTSLKIVYVRGFLAAPMLAAAAEDVRTILEVAEQALEDTAGDLDAATSMLGNARELARRGGDAPDWPLPRILGLPDMTSVQREASRKARDARMLAVEAYHAMELCCDCLLMIRHLLHHPFLPGLDGVIEHQRAQACGHLASAKDKVDAYAALAARARHDVSAAAD >cds.KYUSt_chr4.8162 pep primary_assembly:MPB_Lper_Kyuss_1697:4:48816434:48817120:1 gene:KYUSg_chr4.8162 transcript:KYUSt_chr4.8162 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTASIATSTAFAAKPRLSRSPARLTVSCSASSNDNTLSTSIKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKQSVKKLTSSLKKYAPDSAPALAIQATIDKTKRRFDNYGKFGLLCGSDGLPHLIVSGDQRHWGEFITPGVLFLYIAGWIGWVGRSYLIAISGEKKPAMREIIIDVELATRIIPRGFIWPVAAYREWINGDLVVDDADIGY >cds.KYUSt_chr2.27069 pep primary_assembly:MPB_Lper_Kyuss_1697:2:165863933:165868300:-1 gene:KYUSg_chr2.27069 transcript:KYUSt_chr2.27069 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSTPSFDLSAGAEPTLGPRPPPPPPAPPAAAAPPPQVSEAAARRLREAEERLREAIQELHHHHGRDEEGGGWGCCVHQGESCLAHAAGNLCQTFLLSYGVRVGIGILLRAFKLARRRSYGSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCLLRRFRKKETPFNAILSGSVAGLAILALDDSSRRRTLSLYLLARLAQCAYNSAKSKNRFHFWGSHWRHGDALLFSLACAQIMYAFVMRPESLPKSYRDFIQKTGPVAEPVYKAVRDSCRGGHVDLIGLSALFANKKNLNLMKLTKSPSIIPCSVIHPDRASCLAHNVTVTSSTFKKTFPLYFSLTFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSAFVTLFQSAICLHRKVASKDHKLVYWFGGLISGLSILLENKARRAELALYVLPRAGESLWYILINRHLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKITNPSPPPNRNASYSYLQALNVLEQPKAQPGPENGLPTSETYTLESIPGL >cds.KYUSt_chr3.45043 pep primary_assembly:MPB_Lper_Kyuss_1697:3:283742981:283750422:1 gene:KYUSg_chr3.45043 transcript:KYUSt_chr3.45043 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSSLLGGVRAGPRVERDVEQDESALIWFSAKEEKHLKLSHVSRIMPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTDSRSDSVSSAATSPRTYTRPSSPLSSLFNRNDYVNKDFNENYRLRSPYGSPPKNGLEKAFSDAALYAAPPKGFFPSDCNAGSVHSMSSGQSDNTNGHSRGIPMDAFRVSYSSVVSSSSHGSGYDDGDALGDVLIWGKGIGEGILGGGSSRTGSSSGAKMDCLVPKSLEFAVRLDVQNISCGGRHAALVSKQGEIYSWGEESGGRLGHGVDCDVAHPKLIDALTHMNIEQVSCGEYHTCAVTLSGDLYTWGDGTFKYGLLGHGNDVSHWVPKKLHGPLEGIHVSSISCGPWHTAIVTSAGQLFTFGDGSFGVLGHGDRESLSVPKEVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGHLGHGDKESRLVPTCVASLVEPNFCQVACGHFLTVALTTSGHVYTMGSAGFGQLGNPQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGNTDDKNTPTLVEALKDKQVRSVVCGTNFTAAVCIHKWVSGVDQSMCSGCRQPFNLRRKRHNCYNCALIFCHSCSSKKSLRASLAPNPSKPYRVCDSCYSKLTKPLETDMYSSAKQGAVVVQGFNNTVEDELETRSNAKLSRMSSMESYKDMDSRYSKKNKKFDFNSTRVSPIPNSGSHWSGLNISRSFNPVFGSSKKFLSASVPGSRIISRATSPVSRRSSPPRSTTPTPTLGGLTSGGIHSGAKPTNDSRTQEVLNLRSQVDNLTRKSQHLEVELERTTKQLKEAVAIAGEETAKCKAAKEVIKSLTAQIYDIFQLLPQTIQVAVFSATMPPDAREITRKPVRILVKEDELTLQCIKQFYGQCGEGRVEAVLPSMTISTYLGYYPECFFANTNPMWTTR >cds.KYUSt_chr6.29451 pep primary_assembly:MPB_Lper_Kyuss_1697:6:186668142:186671209:1 gene:KYUSg_chr6.29451 transcript:KYUSt_chr6.29451 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAKSGSDMLGGAATGVDLRAIWESALGAPRRVRGRCCECRQWPIVVPTRQRTLLRVSAVVHRRSRAPEEAAASAGCGHRRSRTSEDAAASAGRGHRRHRRSRNEAASVGSPCCREQARHRPSGCGRVGTDGFRREQPESAITVDAVVEGESPSADNVFRTEEIQRIKCHSLIKEMGSNSVAVSAIPAVEGDRARKTIMADSVVVFLSAPVVLRNWEEVEGVQLEAGKTRERMTDNIMPDHVLEVALDEERLLVQGFDEMITESALT >cds.KYUSt_chr2.44576 pep primary_assembly:MPB_Lper_Kyuss_1697:2:277358780:277359502:-1 gene:KYUSg_chr2.44576 transcript:KYUSt_chr2.44576 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHVSSHVHAGAARGAKEADDVVDVEAATDPSSTKPSDHAVHPSGGNNDEGVNYMARAQWLRAAVLGANDGLVSVASLMIGVSAANSAKKTMLVSGLAGLVAGACSMAIGEYVSVYAQYDIEVSQIKRDDDSPGAKDRKNLPSPARAALASALAFAVGALVPLLAGGFVKPWGARVGAVCAATTVGLAGFGAAGGYLGGASMARSGFRVLAGGWLAMAVTYGVLWLFVRVFHIQVSSLA >cds.KYUSt_chr3.353 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1943959:1948824:1 gene:KYUSg_chr3.353 transcript:KYUSt_chr3.353 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNAQAATADTQTPQCNTAEANIRSRRSDIHDGREATPPRADDQVARATKATTKHLNGNKGQPSLIPEYDLGGEGDLFKAPEPIIEEPLLTLDSVADAISIMSCDENTMDDTIQVSDMVLSEVMYECEKELMEKSVIEETISELVDIIPMLQVEKVPGELRASPLAGECSLQKSVSSECLNSADWMNGSVRPNFLDFQGLDFEAAFGLSKKWNLGANTPRLVTISDLKTEERKQKLSRYRMKKFKRNFGRKIKYACRKAMADSQPRMRGRFAKMHCGDMLKPRK >cds.KYUSt_chr6.22432 pep primary_assembly:MPB_Lper_Kyuss_1697:6:141736410:141742329:1 gene:KYUSg_chr6.22432 transcript:KYUSt_chr6.22432 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKNPIVFLDVSIGDGPDERMIFELFADVAPLTAENFRALCTGEKGIGQTTKKPLYYKGSIFHRVIKGFMAQGGDFSNGNGNGGESIYGEQFEDENFVLRHDDRGLLSMANAGRNTNGSQFFITFKPNAHLDRKNTVFGKIILGNDVLKRIELVDVDSSTNMPLAPVRIVDCGELVDGKSRGSVTTENDKKKVKSKLSNISSDDEANEEKHKSRRKKSSKRRKKKRRYSSSESDSSSESESDSESDSDSSSESSDISSSSDEKRKRRRRHSKKDKRKHGKRKRDRRREKRRRKRDKKSKQKSKRMEESDSETGNASDSSAEDARKKRHRHGGKSKATSQVSAENHTAVFALKDATSTQEKIATSARSLAQEDKSQLENGEMRTNGVTNSRSERNLDTVPVLTGNRSKSRSQSMSANHSMSKSMSVYESPRQSLSFTSKAVQKST >cds.KYUSt_chr5.18344 pep primary_assembly:MPB_Lper_Kyuss_1697:5:118576054:118576932:1 gene:KYUSg_chr5.18344 transcript:KYUSt_chr5.18344 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPSRHHQQHHHSHLPPLAVAVAVAAALALLPPPSAADPYDEVCLTSLQQSLSLRNWTKSSFAAPCDGFISKLQGVTCNNGRVYKLALPGLSLAGAIPPELSNCTNLQSLDLSSNALSGAIPPELSKLLNLAVLNLSANALSGAIPRELAGCAYLNVIDLHANQLSGPIPDELGLLVRLSAFDVSYNRLSGPVPVLLANRSAGAGAAAAGGTAARFNASSFAGNKDLYGFPLPPQRGHGLSVLTIVGIGLGSGLLSLVLSFSAVCIWLRSTDRTATAPGEEGKISHTMPAY >cds.KYUSt_chr4.37042 pep primary_assembly:MPB_Lper_Kyuss_1697:4:227794566:227796008:-1 gene:KYUSg_chr4.37042 transcript:KYUSt_chr4.37042 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTESAQCRSSSSSASATGPRRSMGCMAGLLRLLSPYHRHRKRLTAKNATPEASSRPPSPPPAMKKPTAPPTQAAPKPKPKPLLQPPTGVRRRRSCEAPRSPTIAPEYRRVSCDSPRPPPPAIVARLMGLEESAPPSPATTPRPRPLPTRPPPPPPPEMAAEKRRRLLGALEKCDDDLQTLRRIIAAVRAAEMRSAAAADVPAATAGEGCEDALGEASPPLQQQKPRAEGAQYPSPNSVLDAITSPRFPCRKRSSPCTHLEADSKPSCGNEALGSRIVKPSRTLVFSGDYCKIKQYCNELHAVAMYHHPAPVAAIEGMPRWTPSVSETESWRHRRRWGLHASGRSRAMVESVGEVWGQGAGEERWEAGLVGAALERAILQDLVWDVVAELLPMSGRDAQAPFGGHGGGAMCRKRLCF >cds.KYUSt_chr2.53899 pep primary_assembly:MPB_Lper_Kyuss_1697:2:336419406:336421291:-1 gene:KYUSg_chr2.53899 transcript:KYUSt_chr2.53899 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPAGLLDPARRRPFLDQRRPSFRRRWQQRPLWVRLGLSLVLALACVLLLLGLAGSPDPSSPPSTDSARSGTTVSSPLLRQRSYLDGITDAHNMTDEMLSAHSFSRQLMDQISLAKTYIVAAKEANNLQFVAELSAQVRREQSILAHAAAHGGTVKKEDAEKAIRDMAMLFFQAQQFRYDSSVTIMKLKGQIQSLEEKSKAEADKSTKYGQIAAEELPKGLYCLGVRLTMEWFKSPELQRKFSDRSPAVQSNMRDNSLYHYCVFSDNIIAVSVVVNSTTLNSKHPEKNVFHLVTDEVNYAPMKAWFAMNDYGGAIVEIQKVEDFTWLNASYVPVLKQLQDAATQNFYFSGSGNRGTPIKFRNPKYLSMLNHLRFYIPEIYPELRKVVFLDDDIVVQKDLSDLFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVEWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEALDPKWHVLGLGYTTVDPASIKEGAVLHYNGNMKPWLKIGMEKYKGFWDNYVDYSHPLLQRCFMH >cds.KYUSt_chr3.24926 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154712768:154714993:1 gene:KYUSg_chr3.24926 transcript:KYUSt_chr3.24926 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASPNNKALERYKSAVTVATSVVGAAMLLRRLIADILPGTALGGLLLLPPASARRHVVLIEEFDGALYNRVFLAARAYVSTLLAAAPSVPLMKASLPRGAGAEHVLLAMRPGTSVVDAFGGADLTWRLSRKHDGSRRRAAAEDAREVFKLSFEARHKDMVLGSYLPAVMARAEAMSQEQRQTKLYSNEWGKWRTVRLRNASTLATVAMDGALREAVVEDLDRFLTRKEYYRQTGRAWKRGYLIHGPPGTGKSSLVAAISNHLHFDVYDLDVGGVRSNTELRKLLIRMKNRSILLVEDVDCAITTAPRRDANREGPDASSPASKNHKVTLSGLLNMVDGLWSSSGQERILIFTTNHKDRLDPALLRPGRMDMHVHMGYCCFVAFRELAANYHGVHDHPLFAEIEALLREVEVAPAEVAEKLLAIDDADAAVEMAVKLLRDRKAGTGEDAGYIKQKLHVGPRRPRRQPAPAPRRGVVGSARRVVLDEEVGGSSRRGGRGSGTARRGRGGVRGRGRR >cds.KYUSt_chr6.27400 pep primary_assembly:MPB_Lper_Kyuss_1697:6:173994121:173994447:-1 gene:KYUSg_chr6.27400 transcript:KYUSt_chr6.27400 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAGARRWRRAQGMYVFPERGAEGRNPHGEGSPEDGGDRVDLEGGGRRFLTARRWVACAGEDRGEEIAWVVGGCGRRWGFWMERRGWVGEEEPELRWPARCRGGGD >cds.KYUSt_chr4.44766 pep primary_assembly:MPB_Lper_Kyuss_1697:4:277063649:277075393:1 gene:KYUSg_chr4.44766 transcript:KYUSt_chr4.44766 gene_biotype:protein_coding transcript_biotype:protein_coding MAADALAIIPGAVLRNLADKLYEKRKNAALEIEGIVKQLSTAGEHEKIAAVIGLLTSDFAMSPQANHRKGGLIGLAAVTVGLTSEAAQHLELIVPPVLNAFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDNSHEIRQQADAALSEFLQEIKNSPNVDYGRMAEILVRRAGSDDEFTRLTSITWINEFVKLGGEQLVPYYADILGAILPCISDEEEKIRVVARETNEELRAMKSDQTEGFDIGAILIIAERELNSEHEATRIEALHWFSTLLVRNRIEFLGYLEGIFEPLLNALSDPSDAVVLLVLEVHARIAEESHHFRHLVSYLIRTFHNNHVLLERRGALIVRRLCVLLGAEKVYREFSTILEKEGDLDFASTMVQALNLILLTSAELAELRSLLKKSLVDSRGKDLFLSLYPSWCHSPMATISLCLLAQAYNHASSVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLLMLLPQIGLVWLGLWFDQVEPNLVLLNNEPCPPIWHRRRDSLSPSLFVLVINSLNSVFAKAIELGVLRRLARRDLVTSVSFYADDVVIFCHPDEMELCAIRGILELFGHASGSTQSCADSSGLAGRMPMVDTAMSTGRGTVWFEVLSWIRSTLGLPKTEGDFAKWWSLVVRTAPRQLRKGTSSVIMLTAWWIWKHRNAAVFDNARPSVTFLFNNIVAEARQ >cds.KYUSt_chr5.30578 pep primary_assembly:MPB_Lper_Kyuss_1697:5:193903742:193905933:1 gene:KYUSg_chr5.30578 transcript:KYUSt_chr5.30578 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFGDFGPLTERRKAEKARQTRKRLMIAGGVIAVILIVCGIAVMYNNKKDEKGGGSGSKKGSSSSKGKSGGGDDSDADSDGGSGSPADLKAVSKTIAFVCKQTDFQDVCQDSLTKCVNASASSPLDVVRSAVQVIGEAISQAFDRADLIMSNDPLVKNAVADCKEFFVWAKDELNHTLSGMDSKDSLTKQGYQLRIWLSAVVAHQETCIDGFPDGEFKDKVKESFVKGKELTSNAMALIEKASTFLAGFKLPKRRLLEAEEEPRRAEPVLGEDGIPEWVPESERRVLKGGGFKADIKPTVTVAKDGSGKFKTINEALTAMPKTYDGRYIIYVKEGVYEEYVTITRQMPNVTMTGDGSKKTIVTGKKNFIDGITTFKTATFSALGDGFMAIGIGFVNTAGAEKHQAVALLVTSDKSIFLNCKMDAFQDTLYAHSKAQFYRNCIISGTVDFIFGDAAAVFQNCIITLRRPMDNQQNIVTAQGRAIGREATGFVLQKCEFNGETALTAPGKPPIKNYLGRPWREFSRTIIMESEIPAIIDKAGYMPWQGDFALKTLFYAEYGNKGPGADTAGRVNWPGYKKTISKQDATQFTLENFLHAQPWIDPTGTPAKYDFFG >cds.KYUSt_chr4.34229 pep primary_assembly:MPB_Lper_Kyuss_1697:4:210063129:210065133:-1 gene:KYUSg_chr4.34229 transcript:KYUSt_chr4.34229 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVILLTLCFTPFAAADPLGHLCGVTGGRYTAVSTYGANLELLSAALRKNASSTPITLFTKGSVGLAPDTVYGVALCHGDAATNVSACADCVGSAFRDAQELCVLMKTARVLRETCILSYSSHDFISSGSNAPESLLVLIGHDDIKPMSGPAPDTRNSENVSGIVESLLDETARMAAYTTARYVTGRVEVNSTGAIPAVIYSSAQCNPGMPPDDCRSCLHGIKNKSIGRQGAWVVAACCNFSIEREMKILVNAIIVPLLATLFCFTVCFAFMRAHKKGKANFPEKTNVNVLKDEQVWGLEGSNSDFTFFDLSQISDATNNFLDANKLGQGGFGPVYKGQFPDGREIAVKRLASHSGQGFMEFKNEVQLIAKLQHTNLMKQNELY >cds.KYUSt_chr4.13020 pep primary_assembly:MPB_Lper_Kyuss_1697:4:80092851:80095278:-1 gene:KYUSg_chr4.13020 transcript:KYUSt_chr4.13020 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIASASCALTLHPRVATVVAAAAVAGPSCCRVLLAVAPPRSATSMRRVGILAPLRCSALEDPGAGSEEEKKEKGRVRKQARGRPVWRRILFASKKTRSIMILNALTVIYASDIPVLKEVEALTEPAVFNMVRFVVAAIPFLPFVIRAFGDRRIRNGGLELGVWISLAYLAQAIGLITSDAGRASFIMAFTVIVVPLIDGIFGASIPKLTWFGAIVSIIGVGLLECGGSPPCVGDVLNFFSAVFFGIHMLRTEQISRSTDKKKFMSLLSFEVLVVAFTSILWFLFKDGFVEVQDSSFESWTFGMLWDSAASFPWIPALYTGVLSTGLCMWAEMVAMAHVSATETAIVYGLEPVWGAAFAWFLLGERWDNAAWIGAALVLCGSLTVQLFGSAPEKSKKVEPRSRNALETTLKQQDRLSLSVIPVDSRKNIGSQLER >cds.KYUSt_chr7.17971 pep primary_assembly:MPB_Lper_Kyuss_1697:7:111471632:111483533:-1 gene:KYUSg_chr7.17971 transcript:KYUSt_chr7.17971 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEESWAREPSPGDDASTSHAAPAPSPDSLQNTPSNIARLEDAIENCAARRKYLARTKSPSDGEDVRWYFCKLPLADRVLSASVPQTDIVGKGDYFRFSMRDCLALEASFLEREESLLGYWWREYAECSEGPAGSLVKTDMSDSEHLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPGLHAFFTGEDDTWEAWLVFDTGPKLGSSTIKIRRGFSSSGAANPTQDELRQQKEEETDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRITANLADRYLTPYQRSTQRVLFIPCQWRKSLKLGGERTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSSQLNQLYMKFLKRNPGYNGKVSLYGHSLGSVLTYDILCHQETLSAPFPTDYFKMEVPSDEDQVGKAPNTTAVHDSGVKEHDTSSTSGHSSADNVNDVDEDNNRTDGSFTDKIASPCVSENVPNHDDTLASPIAVDGVQNEVGNQDENHQMSCTEEGDTSAVSTKDAESVSRSTVELHEKILDKDKSISSLEEEVRRLKAKLAELERHNDLSESISNVESHQGNYSNYTVSLASGKLNIGQSSTSQSYRPHIRYTKLNFKVDTFFAAGSPVGVFLSLRNVRIGVGMGQDYWQDKNIVEEMPCCRQMFNIFHPFDPVAYRVEPLVCEDYVNKRPVLIPYHRGGKRIHVGVQEFTEDVAARSQAIARQFKSLKVKAVAAFLSMGKHDAEEDGESTKEEERSYGSMMMERLTGSPDGRVDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFMIKHLYRDIPEEPPTDATGRPPIRLFYVRDPIAEDTPLTFSDNSSVKEFSRKVKSYSRKTEDDANCEAS >cds.KYUSt_chr7.35978 pep primary_assembly:MPB_Lper_Kyuss_1697:7:224831022:224832050:-1 gene:KYUSg_chr7.35978 transcript:KYUSt_chr7.35978 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSCFATGRSDSSPAKASSATSVYWTHLGAISLTWSRSALGLVLAADVSLAGAEAPARFVLLPWLPWRRRGSKRFSTASGHSVAFSWDLSRARLAPRRPEPLSGYSVHVTVDGELALAAGDLAPYSSPAASAGTLLSRRENPVTAGEYTTSVTVAGEEHEVSVGVEEAAMWVAVDGERALQVRRLRWKFRGSERLDLPRCGSAVRVTWDLHGWLFCPDAAAVFVLRFDADGANPMVEDMEEDAGMHTLKQSSFRFRGHQTTGGGESRGSSDVRGSWRRSPFRSGSDSSPTVSVASTSAASSSAASVTTVAVWAAAEETALQGGGDGFSLIIYLWKKKKRSR >cds.KYUSt_chr4.51646 pep primary_assembly:MPB_Lper_Kyuss_1697:4:320530981:320532627:-1 gene:KYUSg_chr4.51646 transcript:KYUSt_chr4.51646 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSHEWRRLRICHPELVFTKDTFSNITTGNTEQREEMTFAELMDFNAKKLEWLTRRFIKSVDNVLRPLWYTPTTTTTTTTTTTTTLDKFAVKFGLWKKYRYHIDRWVSFSICSRAKHIVLDFTSEDIGFGSQYDKYKYSLPLSNLSGTNGSCVRSLYLGNIYLETPPSFCGITNLKELTLNTVSISGDDLECLLLSCSLLEILSIEWCKPLSILHIQQELRQLHYLRVRFCDLELIELHASNLNKFEFDDSLKQIKLSECLKLSEATFVSNMRAFEFDEYGFDFTFAELPTGLPHVHKLLLLLNPDQVLRFSDSQSRFINLRHLNINLETFFYPDDTSWSVGLVNILELAPLLQELELHLGRDRYCSSAIRTVMAIEGHVHRHLKSVYMSGFCEVLGLAELALYILENATMLKRMVVDPVSYRDPSNDDIYSVSKGGISEEDLYDINQKRAFAEMYLGREEFRHILTIL >cds.KYUSt_chr1.27614 pep primary_assembly:MPB_Lper_Kyuss_1697:1:166392489:166402471:1 gene:KYUSg_chr1.27614 transcript:KYUSt_chr1.27614 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAIDSPSPAAPYPVGFSLPQRQRLAARSPVALPTPAPTPRLHRQRRLLLLSSRSRIADPPPGEATRVLLVVVIGGSNFLRKAAALHLLASYCDGLFLVGKLSFQIMNGLGIPVPSCLLERNATKEVLQLIQIAHNRNIPIYYPTDLWCLNSNNNETLEIFDSAELLSGLSALGWTPVDIGPSTLETISSLMPSYKKILWIGPTSYDATKEVSVGAIQLGQILDKASHNSCDVILVGSAACKAVKGISDSSSQYTTFENGSIVWEFLKGRILPGVAALDKSYPYKISWDDVFSDPEQPLFVDIGSGNGLFLFQMARNWEGSNFLGLEMNEKLVIRCLQEVASAGKRNLYFLSTNATSTFRSIISSYPGQLALITIQCPNPDFNKEQNRWKMVRRMLVEAVADLLQINGKIYLQSDVESVLLEMKEQFISHGKGLLVVDGDDDGNDQIENPFGVMSDWERHVLARGAPMYRTMLRKV >cds.KYUSt_chr4.46923 pep primary_assembly:MPB_Lper_Kyuss_1697:4:290288581:290290011:1 gene:KYUSg_chr4.46923 transcript:KYUSt_chr4.46923 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLVKPGAPKADSSARSRRPAVGPKAKEKPAAASGHRRLCCLAVLAVTIFLWYRFSLLDDDAKGDADPCQGRYIYVCKLPARFNADIIRDACRDTDAGGERLCASVGNAGLGRPLEDPADGVLTGENGWHGTRQLALDVIFHNRMKRYECLANRSTVATAIFVPFYASLDFARHATGRDNATRDAAAADVADWLRWRPEWDRRADGHDHFLVAGRAARDLMRDGSGPDWGTNLLVTPAGRNMSALVLESSLHEATSGFAVPYPTYFHPRTDADVFRWQERVRGLRRRWLMAFVGGETRQALESPATTNDHVMAQCKASESCGHLKLGRCAAGSTSQCHSPVNVMRLLQNATFYLHPPPGGDSYTRRWAFDSMVAGCIPVFFNPASASLQYKWHLPKDYTKYSVLVPEVGVRAGTVNIEATLRAIPAATVARMREEVVRLIPTVVYADPTAKLETVKDAFDIAVEGILHSVARPRA >cds.KYUSt_chr2.54041 pep primary_assembly:MPB_Lper_Kyuss_1697:2:337349863:337350135:1 gene:KYUSg_chr2.54041 transcript:KYUSt_chr2.54041 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKASWTVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKAKASARGAVSQGKKQLPVSAAAVAEQRRAQKAEEGLRTVMYLSCWGPN >cds.KYUSt_chr4.20348 pep primary_assembly:MPB_Lper_Kyuss_1697:4:127982688:127983134:-1 gene:KYUSg_chr4.20348 transcript:KYUSt_chr4.20348 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQLLLVLLVVAASFTASSAARNKISSTRATPTVYEMLANYDFPPGILPEGVQNYTLNADGSFDVFLPSACEIDVSSFKLQYESTIHGSIKNMVIDELQGVSVNVAVTRVGITGVDRDGDDLKFDAGVISKSFPVGTFAVSPYCSS >cds.KYUSt_chr5.11215 pep primary_assembly:MPB_Lper_Kyuss_1697:5:72721417:72725392:1 gene:KYUSg_chr5.11215 transcript:KYUSt_chr5.11215 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSVEFLCSVFVAFARLVHALMSLCSPRSALQAIAAGIPPARAIKKVRPVMAVAVTALLDDASDQLLAAQAPPVLVLPTFKSTAKRPTRLVIPPPVAHAGVNPFGEAACRETEVAAEVEAQGEGFWVASRRGVRHAMEDAYGVITDGIAGDSQLAFYGVYDGHGGRAAVDLIADKLGKNIVAALAAQLELDVMAAIRAGYLATDSEFLSQGTRGGACAATALVKDGELYVANVGDCRAVLGTRDGIATALTSDHTPGREDERLRIESSGGYVSRGSGGVWRVQDSLAVSRAFGDANMRPWVTCEPEVTRLCVTPDCAFLVLASDGLWSKVSNHEAVEAVARSSGGGNAGVSMDSCKELVAMARSRGSRDDITAMVVDLKRFLR >cds.KYUSt_chr4.34418 pep primary_assembly:MPB_Lper_Kyuss_1697:4:211269643:211269909:-1 gene:KYUSg_chr4.34418 transcript:KYUSt_chr4.34418 gene_biotype:protein_coding transcript_biotype:protein_coding METGPSSKRWRWAASRRRAMETGPLQPAVEMGGLPVARHGDRPPAALHGGEALPAMPMETELCNALWWSRPSRSAVELLPAGYVGTNA >cds.KYUSt_chr2.38110 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235916279:235917080:-1 gene:KYUSg_chr2.38110 transcript:KYUSt_chr2.38110 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVRRTLEPRASPADILGSTRYYVFVMSGVRLDSAEHGRVLCSFVVSPRHANSQGYLLSGVTATLADQLGAAVFYASGIGSSGVSLEINVSFVDTATVGVNIKTRLFF >cds.KYUSt_chr1.1330 pep primary_assembly:MPB_Lper_Kyuss_1697:1:7218759:7222799:1 gene:KYUSg_chr1.1330 transcript:KYUSt_chr1.1330 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPAGLVAEKAAATAFANVVMGRLNSELAKKYQMWRNIERESTSLQTDLGILAAAVDDYQTMAGHPPRTAVARVYGEEISELTHDIEDCIERFLHRVSCKAGASRARRSAHAIRTFRIRLRFAAKMKEFRNRVAAARERALNTFLLADGVQAQPTYHETAQQVSYGQDPVGIAGATRELRALLGIKPNEDEAEGTNTTRTAAQLRVVAVVGFGGSGKTTLAKAVYDIVQKEGALRCVWVDGHLLDNKGANGVVKHIQDEIRMGEVSSTTSPAEHHEDRYLIVIDDIKEKHLMHWNTVRNAFRDNGRIIVTTSTQSVANRCCNYPREEDRCTFGYVYSMKTLGEEDSRKIALMGRCSPDLVLGAAKLLKKCGGLPLALYSVACQLSCEKVLTGKLCNKLCNDLGTYLEREDEPNFARLRGVLRENYTSLPDYTVRACLSYLGIFPMDHPLRKNVITRRWLAEGYARHHQGWHQIRADETFKTFINRSIILPVVPISSNTEKTWKAHGIMHAFMLNKSMSKKFIMPFGSQHKKVRHFFIHDSNGGSSRTMPDIDLSRVRSLTVIGNAGGAISNFEKYKLTRVLDLEGCIDVKDYHLKDICNLWNLRYLSLGPSITRIPKEIAQLKLLETLDVSKTWVNVLPVEAIGLPCLIHLIGKFKLQDSFKTERLSKECRLETIAGFIADKSKGFLQLMDHMKRLNKVKIWCDYEETKQGQVDLNNHLSKVIQKYIETPMGEDNVRSLSLDFQALPQGSLSALDKLCQHYSSRVGQLYHLSSLKLHGNSNSGTLPKFVALFRDLTKLSILTTLSVTQHLLSVTGNMPVLLYLKITANSIDDCFIIQDGMFRSLHHLYLVLKVVGTSVLTIEDGARTEIISLQIICKDLVGLSGVEIMRLRELKEIGLHPDVDEETRKVWEAEARNHHNRPNVLAIASDHEESQETPTAVEKEPPATRSTMSAEVEAESNATAPIKSGHVVSFAKEALVLGAAQLQEVAPSATC >cds.KYUSt_chr2.15988 pep primary_assembly:MPB_Lper_Kyuss_1697:2:100661194:100666111:-1 gene:KYUSg_chr2.15988 transcript:KYUSt_chr2.15988 gene_biotype:protein_coding transcript_biotype:protein_coding MDREPSVSDDDDDLETLVPQNHTKPPSPSSRSRATFAISGLHPALPSFGRRLWSRRYFLICISLPVLFIVLFFSLGGASSLPASIRLPSASSAADPAASRMREAELHALYLLRSQRSGLLSLFNRTAPTNASTPTPVPLSDLQAALLTQIKLNREIQEALLSTHHSGLPNATEDGAPDLDLPGPGCRRKDLPYNRRTIEWSPKKNRYLFAICLSGQMSNHLICLEKHMFFAALLGRTLVVPSQKVDYQYDKVLDIENINSCIGKKVVITYEEFAETRKKVSIDQFICYVASPPCYMDEDHIKKLKDKLGISMGKIEAAWPEDAKLKEPKKRYVADIMPKFTTDAEVLAIGEMFSADAEEEWLMQPGGPLAHKCRTLIQPSRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRIVEKANAPLIYLSTDAAESETNLLQSLVVFNDRQVPLVKRPEHHSSEKWDALLYRDHMGGDNQVEAMLDKTICALSNVFIGTSGSTFTEDIFRLRRGWGSMSYCDEYLCQVRCVPSPRLILFRRRFVVLELPPVAVNDIVVKMEPDDPSAPVFAPGDYLDDVELERLLPKLGEEAGFSPGDFIDEGHLDTVIGLVS >cds.KYUSt_chr4.23900 pep primary_assembly:MPB_Lper_Kyuss_1697:4:150628827:150631996:-1 gene:KYUSg_chr4.23900 transcript:KYUSt_chr4.23900 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVSYSSLRVVGGAAQALGLAHRMAWPRNLGLFLVSLRIRIGDGVHVTSHSPLVHLLDLEDSKEAVYSTLDAWIAFEQDFPLASLKQAIVALEKEEQWHRIVQVIKWMLSKGQGNTIRTYEQLVRALEKDNRAEEAHKIWVKKIAHDLHSVPWRFCGLMLAIYYRNNMLERLIKLFHTLEACGRKCPSKEYVRKVEVAYEMLGLLEEKNGLLVKYKDLYNKPSDSDRKKGRQFKKAEKKSG >cds.KYUSt_chr2.1539 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9130712:9131329:1 gene:KYUSg_chr2.1539 transcript:KYUSt_chr2.1539 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAVLPAVPSAAAGDVSATVSSRFRAMGRKEDIYRDYIHFLTGSPFDAVTWRLASSATPAEFQLWESHIDGGFEKCKAVDSTWADFKQFLRARFRVKSTELDKAVVCSNTTVEEVVPVQVVTEERKPGSGTKSTTVTVEEDVPLSGLNMQLKKVQDTACKTVDKG >cds.KYUSt_chr2.516 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3122885:3128204:-1 gene:KYUSg_chr2.516 transcript:KYUSt_chr2.516 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVGCMPVVGCMPVAGRARDLLGQIQCYLGALLLAMMLQWSEMKAAESSSNVDSLNKALVNGGGLDLLGGDGCFLLPGWRGAGGEECAVDAFGGSRWLRGSSVLQSGDPYMVAMACHHDSRPNKRPLRAPWMALVQPPFRRPLDGFSSAFIVLAAPSGFVPGGVADFVMPSFTGVSVITDGNLSTTLAVNAGTDSGYHLLVVNDYSRTVQEIPTGETIMSGPFLVGGHKWCIYYCPNGEESGSSDFISLILSRDDDDMEEAVEAKFEFSFVDQVEYQNPIYIRAIETFSFSSQSSFWGSLKFMKRDALERSAYLKDDCFTIRCDIMVCNDLNTSKDADGALSDIHDHFNNLLQNKIGADVTFEVSGETFAAHRCVLAARSKVFMAQLFGPMTEGTTSTVIRIKDMEAKVFAALLSFIYTDSFPDMDNYNNIENGERQEVEEAVKDVMWLQWLQELFVAADRYDIQQLKFLCEKQLSEHIGVNSVGSTLSLAERHHCCRLKEACLNFIQVQSLPCLEKIMATDGWEHITTTYPSLLKELIAKLASNQKKNKKRKR >cds.KYUSt_chr4.48589 pep primary_assembly:MPB_Lper_Kyuss_1697:4:300947091:300947417:1 gene:KYUSg_chr4.48589 transcript:KYUSt_chr4.48589 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQFDHVSPPVLVDDAMREIFLRIPPDDPATLVRSSAVCTAWRGIISDADFGREYRAFHNTWETLASHFTSTTASFRSPVCHDRQDWHALDSRHGLVLFRTHHGSE >cds.KYUSt_chr2.486 pep primary_assembly:MPB_Lper_Kyuss_1697:2:2969066:2972155:-1 gene:KYUSg_chr2.486 transcript:KYUSt_chr2.486 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPRGVASQLYLVMYDNYRNPHPPGMQMPPPNPQPGQFDNTLYGPGSGLIKTGLGLYGEKFLGSSSEFMQSNISRYFSNPQYYFHVNDQYVRNKLKVILFPFLHRGHWTRISEPVGGRLSYKPPMYDINAPDLYIPFMAFGTFIVLAGFTLGFMGKFTPEAINLQFTRGLIGWGLQIVFLKGLLYSMGGGEVPLLDLVAYSGYLFAGLSLAIAARLLWAYSYYVMVPWMSLCMGVFLVRTMKRVLFAETRGSERHSTRQHYFLLFMAIVQFPLFFWLGNIGA >cds.KYUSt_chr4.51735 pep primary_assembly:MPB_Lper_Kyuss_1697:4:321068408:321072620:-1 gene:KYUSg_chr4.51735 transcript:KYUSt_chr4.51735 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVGDHGGGMDMVSFGGGDCSSSSAAAAVAVAAASAADAEERQLLKGEMAVHPLCEQLVAAHVGCLRVATPIDHLPIIDAQLAQSSGLLHSYAAHHRPFLSPHDKQELDSFLAQYLMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEDEGPPIMEVAAMEMSSNGHDMMGFGPLVPTDTERSLMERVRQELKIELKQGFKSRIGDVREEILRKRRAGKLPGDTTSILKQWWQEHAKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR >cds.KYUSt_chr1.28913 pep primary_assembly:MPB_Lper_Kyuss_1697:1:174957620:174958458:-1 gene:KYUSg_chr1.28913 transcript:KYUSt_chr1.28913 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAFASGAGIVQAYPGGTMRVLAVEEDPAYLTALTEMLQRHGYQVTAKASPEEGLRALQDNLEGFDLVMTVVRTQGPGIDGFEFLKHAAQRYPVILFSGVEPEETKMRGMLEGACAFLTKPLRDEEVRNVWQHVVARRRLNAASGADARGSDVVAVRQDETVARKRGLDDSGEGGSDGRTAKKNRLNSWSEEELHAAFVKAVEQLRGTEGN >cds.KYUSt_chr3.47696 pep primary_assembly:MPB_Lper_Kyuss_1697:3:298927903:298928295:1 gene:KYUSg_chr3.47696 transcript:KYUSt_chr3.47696 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRQDGAVIDLAAETGDVVVPLLSPACGDAPAGYAAPAVQEGQQSDLADGTAPGDDVKWKILFFWLVMALLATFFMGMAFVAITNLPNWMPLDFGFKTRRILGFVVRILLIAIVACFGIRFLFKPAAN >cds.KYUSt_chr2.29764 pep primary_assembly:MPB_Lper_Kyuss_1697:2:183171025:183178609:-1 gene:KYUSg_chr2.29764 transcript:KYUSt_chr2.29764 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERETPGSDRGKSWRSNTAQDQDAKNLEESYQFIKEPAWKRFLAHIGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVVTGKHLAEICKSEYPKFVMICLWLLAELAVIAADIPEVIGTAFALKLLFHIPVWIGVLITGSSTLLLLGLQRYGVRKLEFVVSMLVFVMAACFFGELSIVKPPAKEVLKGLFIPKLKGDGATGDAIALLGALVMPHNLFLHSALVLSRKTPASVRGIKDACRFFLYESGFALFVALLINIAIISVSGTVCFGENLSVEDADRCSDLSLDNSSFLLKNVLGKSSSIVYGVALLASGQSSTITGTYAGQYIMQGFLDIKMKTWLRNLMTRCIAIAPSLVVSIIGGSNGAGRLIIIASMILSFELPFALIPLLKFSSSSSKMGPHKNSIYIIVFSWTLGLMLIGINVYFLSTSFVGWLISNSLPKYANVLVGIVVFPLMLVYVVAVVYLTFRKDTVVTFVADSCKADAEKAASVGVKVDDDEPDSVNSKPQKVDIAEEHPHQLALSMGKLSVQDTSSAALVTSATFVLESPTPSSS >cds.KYUSt_chr7.6926 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41756040:41759419:-1 gene:KYUSg_chr7.6926 transcript:KYUSt_chr7.6926 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLLPQSQLRRSAAASSAARSSAGGAEAPPASDGAAKSSSSSTFWFLLHALCCLISLFLGFRFSRLLFFLLFSSSALYAASNNNKSAVLRAITTTTTTTTTTTTTTNTFTLSFNPSTPPPPSTNLTTTAPLDEATQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMDRVQEEQRRWYGVREPRHVLAVTPTYHRAFQALHLTGLLHSLRNVPYPLTWLVVEAGGVTNATAALLARSGLTVVHLPFPDRMPLEWAERHTTENRMRLHALRVIRERKMDGVVLFADDSNVHSMELFDEAQKVQWMAAVSVGILAHTGAAEQPRLTEEDRKSMPLPVQGPACNASGQLAGWHTFNTLPFSGKTAAVVGEAAPVLPRGLEWAGFVINSRMLWKEAEGKPDWVKDLDAVGENGEEIENPLTLLNDASFVEPLGNCGKKILLWWLRVEARADSKFPPGWVIEPPLEVVIPAKRTPWPETTTEVSSEQLEGKQEQEDRRLSRTNRSARPRSTTTKRKGGEVQN >cds.KYUSt_chr6.27846 pep primary_assembly:MPB_Lper_Kyuss_1697:6:176621564:176626342:1 gene:KYUSg_chr6.27846 transcript:KYUSt_chr6.27846 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQASRDGLLLHPLVDDLLCPILQYADDTLIIIRAIPEHVANLKNVLDTFSAATGLVINFHKSTFVPIKTTPDSALDMASTFGCAVSSFPQTYLGLPLSTHKLRFADFAPIMSKSDMRLSGWRGRCLPIGGRLLLVNSVLTAMLAHAMSVGLLPAGVIEAIDKRRRAFLWTGEETCNGGHCKVGWTDVCTPKKIGGLGVLSIQAQNSALLTKFLTKLHSDSSAPWVCWFRRQYGWNGNHDMGDQHYLDTPIWKDILAGIDRFRAISNVTVGNGTSTAFWFDLWLGNSTLHDRFPILFSHSARPNLNVAIALSQDFRHFLVPRLSVAAVSELRGLAVELHSVHLNLGNPDIRRFRHHLCNSATCLACPLNEDTDHLLLLCPRAKQVWRLFHHDFDNMSSATFDDFWLSHCRTYEESTINTAIAWTIWKRRNAQTFNGLDEDLPTEAMEKQQQLRSSCKKCSNRCPVPFLGCALVVVLLFSMASPASSCTEQEKGSLLQFHAGLSQDGGLSASWKQNSTDCCVWEGVTCGADGTVTDVSVASKGLEGHVSPSLGNLAGLLRLNLSHNSLSGGLPLELVLSSSIIVLDVSFNRLKEDMQEVSSLTSVQPLQVLNISSNLFTGQFPSSTTWGVMSNLLTLNASNNSFTGQIPSHFCTGSPSLAVVALCYNQFTGGIPPGLGNCSMLKVLKAGHNNLSGTLPNELFDASSLEYLSLPHNGLNGVINGAQIVKLRNLATLDLGRNNFSGKIPDSIGQLKRLEELRLDNNNMSEELPSALSNCTNLITIDLKRNQFDGELTKVNFSSLLNLKSLDLLYNKFTGTIPESIYSCTKLAALRISSNNLYGQLSPNIASLKALTFLSLGNNNFTNITNTIQILKNYRNLTFLLIGGTNFMGESMPEDEIVDGFQNLQVLSIAGCSLSGNMPLWLSKLTKLEMLFLQNNQLSGPIPGWINSLNLLFHLDISNNNLTGEIPRALMEMSSLQSAENTTHMDPRVFQLPTYSSPALEHRFITSYPRVLNLSYNYITGVMPLQIGLLEVLTILDFSFNKLSGQIPQSICNLTNLQVLDLSSNDFTGAIPLALSNLHFLSAFNISNNDLEGPIPSGGQFSTFQNSSFDENPKLCGSMFTHKCDSAETHQSVILTGKQTDYKVAFMISFSAFLGVGVLYDQLVLSRFNNISSEQASAIGENPLSLTEMPMLMSMENRTHWDPRVLELPVYSGPSLQYRVITSFPTV >cds.KYUSt_chr6.33729 pep primary_assembly:MPB_Lper_Kyuss_1697:6:211609314:211612973:-1 gene:KYUSg_chr6.33729 transcript:KYUSt_chr6.33729 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFGTTSTCGLNAAAPTGFAARTQLSLVSPPSVSFPQKIRPRRKCNVKVNAAKELYFNKDGSAIRKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPRIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVILAQGMIAEGVKIVAAGANPVQIARGIEKTAKALVGELRKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMNKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKINNARDLITILEDAIKSGYPILIIAEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEIGLSLDKADAEVLGTAAKVVITKDSTTIVGDGTTQEEVTKRVTQIKNQIEASEQEYEKEKLNERVAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKETLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLANDNFRFGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEAEQAPAANPMAGSGYGF >cds.KYUSt_chr3.7126 pep primary_assembly:MPB_Lper_Kyuss_1697:3:41187904:41188728:1 gene:KYUSg_chr3.7126 transcript:KYUSt_chr3.7126 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKCTARKSTGGKAPTKHLRAFYASARKTAPATGGVKKPRRYRPGTVALREIRKYQKGTELLIRKLPFQRLVREIAQVFKIDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAVHAKRVTIMSKDVHLARRIRGERL >cds.KYUSt_chr5.42488 pep primary_assembly:MPB_Lper_Kyuss_1697:5:267760038:267761106:1 gene:KYUSg_chr5.42488 transcript:KYUSt_chr5.42488 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKGKGKKGSVKDGLIGLWLRDVGPDLGSDALVDFFLLWQRLVAIALVPEQEDVLSFLSAGRLCIAPRRSRNKALDDLAALGSAETGKMEEVAAAFGVLETEMDFLKDAYRQVAECRRMLRWTYAFGFFVEDPAKLQLFQMLQTDAETSLERLHGCAEKERVDIVAAAAATVYSDNGELVPQAPAPEHYAKYREKLSRLTMVTRDHFENLATAFRDGLSEVDTTAAAANAIANAAKAAEKADPVASTRFLFTQEDED >cds.KYUSt_chr6.24934 pep primary_assembly:MPB_Lper_Kyuss_1697:6:157944007:157945041:-1 gene:KYUSg_chr6.24934 transcript:KYUSt_chr6.24934 gene_biotype:protein_coding transcript_biotype:protein_coding MSINVRSQSSFSAYPHFFDRRPHERSSKSDPLIQSSSTMMALTSEFCPSADSLSSPPSYTPGAPSPPSQRGLMLSSTDALFFLDSSYSPSYVEYYEEYTPSSPSPRADSPDYSPSSTGAPFFLDFDESYTSSSAEYTPSSPSPRADSPDYTPSSSSLHAGSSDYTPSSPSPRMGSPDYTPSSPSLRAVSPDYTPSSPSSRLVFHMVPPSSPDYTPLTPSDRAASPEYTPSTPSCHAASPDYTPSTPPRYAASPDYTPSTPPSSPPVSDAESRASPYYTPSTPPSHAASPDYTPSTPPPSPQVSDDESRPCHRRHHPYKRSGASTCSSRASRISRRRIQRALGRY >cds.KYUSt_chr4.42175 pep primary_assembly:MPB_Lper_Kyuss_1697:4:260881486:260886273:-1 gene:KYUSg_chr4.42175 transcript:KYUSt_chr4.42175 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCFASRDLRAHARFAPDSPWPSIRATLWVNKKASFDLFPMCAMNSIHLLYFLRAGNPDGCIGRNIYFLGSIEQNLVPWFFLCLKEIRRDPSCFPFRRSKRRTESKAAMLRREADVAAAVEGVTPRPCATKIRKRCALSSSSGASDTLSKLTLKRGVVVLHRRGSSPCLRSRRRMSGSSLNGRTGEMHSSVSARKLVSALWQMNEGGLLEEEEAGIARDAAARWGSAAHRRCASSVEISKRSRRKTKVLDADHGEWFSDKLSNGGRIGVHACAADSSSTCSVDRMAHLQDMYHSLTASKELVRVLGNIWGPGVLNPSTASILSALRSELDLARAHARQLAKEQSRRVDEVEHLKKRLAAEVHAWKNKQREKVAATVRVVADQLDGEKRSRRRAERVNAKLGKALAETESSLEEAERELQRERRSRERLEKVCDELVRGAASSSSTADRGGDVEEMWRDSERAQAELHKEREMLRLADELREERVQMKLHEARLQFEEKNAVVELLRGELEAFLETKKQDHQESSAADAGHNHHASEVDGANGIAHVAVTKRTDGEDDDDREEDDSGGSDMHSIELNMDGNIKDCGWSYSTAANSKDMSTCKLAASASSTGTQCAVDPWAGASFDRGSLQESERGQQWDVDDEGCSDTTRDIDEESERYLAIKNLREQMLAGHGFMFMSPADEGHADTEQQRYSTVI >cds.KYUSt_chr1.36562 pep primary_assembly:MPB_Lper_Kyuss_1697:1:223086037:223086288:-1 gene:KYUSg_chr1.36562 transcript:KYUSt_chr1.36562 gene_biotype:protein_coding transcript_biotype:protein_coding MAADWEGIHPRELRQMEEIRELDMEELNVETEEEGGGDEEEEEEEDDEEEEEVDYSRLLAVHSFRRSSLNTSHRHAPFLQVLM >cds.KYUSt_chr2.33621 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207703660:207706913:1 gene:KYUSg_chr2.33621 transcript:KYUSt_chr2.33621 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEVEEIPAPLKRHDSLFGDAEKVSHSKHHGSQVSWTRTLSLAFQSIGIIYGDIGTSPLYVYSSTFPNGIKNNDDLLGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPDQQAEDAAVSNYHIEAPNSQLRRAQWVKQKLESSKAAKIVLFTLTILGTSMVIGDGTLTPAISVLSAVSGIKEKAPGLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPVISVWFLLIAGIGMYNLVIHDIGVLRAFNPMYIVQYFTRNGKAGWVSLGGVVLCVTGTEGMFADLGHFNVRAVQLSFNCILFPSVGLCYIGQAAYLRKFPNDVADTFYKSIPAPMFWPTFIIAILAAIIASQAMLSGAFAILSKALSLGCMPRVRVIHTSHKYEGQVYIPEVNFMMGLASILVTIAFKTTTNIGNAYGICVVTTFSITTHLMTVVMLLIWKKHVIFIMLFYVVFGSIELIYLSSILSKFIEGGYLPFCFALVVMSLMATWHYVQVKRYWYELDHIVPISEMTALLEKSDVRRIPGVGLLYTELVQGIPPVFPRLIKKIPSVHSIFIFMSIKHLPISHVIPAERFIFRQVGPKEHRMFRCVARYGYSDTLEEPKEFAVFLVDRLKMFIQEESAFAQIEPENDDSSEVPETQARPRRSTQTAVHSEEAIETRVSSHSGRISFHVNQTVEEEKQLIDREVERGMVYLMGEANVTAKAKSSVLKKIVVNYVYTFLRKNLTEGHKALAIPKDQLLKVGITYEI >cds.KYUSt_chr2.54486 pep primary_assembly:MPB_Lper_Kyuss_1697:2:339938589:339941109:-1 gene:KYUSg_chr2.54486 transcript:KYUSt_chr2.54486 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRKSSEKQPKGSRLPLGADADAVADAGKRRRSGAAKRHQAEEEASVPSSISAKILREALKQQHEEGLPDSRELPPSHAPSAGATAAPSTSSFVPIPVDGGNDDDDEEEDVDEFDGFDAQSEYDGGVPEIDEEDEKALAAFMSKDTSSKQSLGDIILQKIRDKDATVSAEGRPAVKLDDRIVELYKEVGQLLRRYTSGKIPKALKRIPSLECWAQVLQLTQPEHWSPNAVYQATRLFSSNMNAKNAVRFYEAILLPRIRNDIKYNKRLHFALYQAMKKSLYKPAAFFKGILLPLCQEGNCNLREAVIIGSILEKCTIPPLHASAALMKLADMEYCGTTSYFIKIFLDKKYALPYRVLDAVFAHFMRFLDDERNMPVIWHQSLLAFVERLVMDV >cds.KYUSt_chr2.10554 pep primary_assembly:MPB_Lper_Kyuss_1697:2:67086212:67089816:1 gene:KYUSg_chr2.10554 transcript:KYUSt_chr2.10554 gene_biotype:protein_coding transcript_biotype:protein_coding MALATNHAAAALSGGAVTQPRRAPSFLPLKRRTIRAVHAAEPSKATAATAAKTSSATVAPEKSAAPAPAPTKWAIDSWRAKKALQLPEYPNAAELESALKTIEAFPPIVFAGEARNLEERLADAAMGRAFLLQGGDCAESFKEFNGNNIRDTFRVLLQMSAVLTFGGQMPVIKVGRMAGQFAKPRSDNFEVKDGVKLPSYRGDNINGDAFNEKSRIPDPQRMIRAYTQSAATLNLLRAFAMGGYAAMQRVTQWNLDFTENSEQGDRYRELAHRVDEALGFMSAAGLTLDHPVMSSTEFWTSHECLLLPYEQALTRQDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPSDLVKLIEILNPTNKAGRITIITRMGAENMRVKLPHLIRAVRNSGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHAGGVHLEMTGQNVTECIGGSRTVTFDDLADRYHTHCDPRLNASQSLELAFIIAERLRKRRTRSSKLNSVLPLPSGF >cds.KYUSt_chr6.18855 pep primary_assembly:MPB_Lper_Kyuss_1697:6:118759260:118764234:1 gene:KYUSg_chr6.18855 transcript:KYUSt_chr6.18855 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGVAPAPLSYVRVRGGGIGFTRSVDFAKVLAVPATLRTGSSRARALVVRSSSTESDTMEMEPASEGSQLLVPKQKYCESIHQTRRRKTRTVMVGNVPMGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADFVRITVQGKREADACFDIKNTLVQKNYNIPLVADIHFAPAIALRVAECFDKIRVNPGNFADRRAQFEQLEYTEEDYEKELEHIQKVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRSLDFHNFVFSMKASNPVVMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCSRLAKLGTQAANLQIGVAPFEEKHRRYFDFQRRSGQLPLQKEGEVVDYRGVLHRDGSVLMSVSLDQLKAPELLYKSLATKLVVGMPFKDLATVDSILLRELPPVEDAQARLALKRLVDISMGVLTPLSEQLTKPLPHAIVLVTLDELSSDSIKLLPEGTRFSVTLRGDESYEQLDVLKSADNITMLLHNVPYGEEKTGRVQTARRLFEYLETNSLNFPVIHHIDFPQSIDRDGLVIGAGANVGALLVDGLGDGLLLEATNQEFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATDALIQLIKDHGRWVDPPVEE >cds.KYUSt_chr4.11153 pep primary_assembly:MPB_Lper_Kyuss_1697:4:67720305:67720982:1 gene:KYUSg_chr4.11153 transcript:KYUSt_chr4.11153 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVVPVGEDGGGEKQQAAPRSCHVAWSAWWSRVGRCLLASTLQPCHAALGLSPAAPSSPAVVRGTLFLPSTGDRRVRLFLHEHAAPVESAVPAPLLVLDLPAGLAGTDIAAAGRIVLECQREWAGSGGALLESPKWLVYCNGGRAGFATRREAPSDAEGWAMEKLWAVTAGAGWLPGGGVEYLRGRFERIVGSSDAESFHLVEPIGWLGVHGDGGLSIFFHRI >cds.KYUSt_chr3.32350 pep primary_assembly:MPB_Lper_Kyuss_1697:3:203232067:203233803:-1 gene:KYUSg_chr3.32350 transcript:KYUSt_chr3.32350 gene_biotype:protein_coding transcript_biotype:protein_coding MEDILKRVSKWESDIINDFGGIARHLEDIIEEDSQQQFVLSKLQIVNTEMSYLKDRMKFPLHVPLVKPAAPAVLSPSLPFKFLSANASEQWKKLEIERKILESSALSDLQLSYYNLDLQLKLCLLCFSIFPENSIISKRAMIYWWIGEGLVEATRSQTAEDIGKDCFQRLINAEMIELVLTVLALLENIFGILDLHACHNLERLSESITSLQMLTHLDVSECYLLEGMPRGIGLLTELQVLKGFVIGGSTGNYNCRVAELVRLDKLKKLSIYIGSKVVVTEDELNEVANIKALRVLTITWAVLLSKKGVSQQDSAATALLTSLSLPPHLEKLDLRCFPGENMPVWLSPSRLLRLQRLYFTGGMLQTFGDKSMSELWNIEILRLKFLNYLVLEWTQVHEMFPNLTFLEVFRCTNIKSFPCDKDGVWMNCDTHETIE >cds.KYUSt_chr3.36936 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232332087:232332921:-1 gene:KYUSg_chr3.36936 transcript:KYUSt_chr3.36936 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALVLPPSQSSSFGLHQSMPCFKPSSASPRSVKAYAKADEEEEKVPKQSLFGNITEALDFSQVRSEKDAELLYEARDSIKEEGRMTREQYAALRRKIGGTYKDFFKSFVDVDGEYVEEGWVDKTCKVCKKDTMGEPRQVDKLGRYMHVACAENSKSTNFFAKLFGR >cds.KYUSt_chr2.30439 pep primary_assembly:MPB_Lper_Kyuss_1697:2:187632590:187633681:-1 gene:KYUSg_chr2.30439 transcript:KYUSt_chr2.30439 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLEGETAAEHAELSAGGGGSEDCLSALPDDVLLHILCVLGRTTTAARTSVLSRRWRRLWTLLPDLCFVLDANPDSLRGALAALEAMSDDEAPPLRELYFFVFSPHASLDSLAAWLPIAARRLTGLLNVIILGDAGEDGRVAFELPCFERATEITLCLGFLSLTLLPSGVFTRLTDLSLEKLHLHGPCGIGEAVSSPRCPSLQRLNVVNAQGLGNFAIHSESLLKIRLEKSCGLQQLTIVAPALKELIVLYCFAHDSDPSQPVADIAAPQLVSLHWSDAYHPSSVQFGKMAQLKLLGATFFLVDEAHGYEHNRDCVRLLRHFQDVSTLTLELAYMPEDIEVNTHVLLSFKLRNVPFEIWSSG >cds.KYUSt_chr1.36407 pep primary_assembly:MPB_Lper_Kyuss_1697:1:222108896:222113446:-1 gene:KYUSg_chr1.36407 transcript:KYUSt_chr1.36407 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIILPPDDEQQRREDEEAEEPPPPPTKAALPFSAMCVRISRDSYPNLRALRNASAVSLADAAYVKISEGDFGYVLDDVPHLADYIPDVPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKVVVQKNSRRGIHFRRAGPRQRVNFESDEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNNIYGIQNGYKGFYSSNYIAITPKIVNDIHKKGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRKRGLKVAVAGIPKTIDNDIAIIDKSFGFDSAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGEGGLLQYIERRLKENKHMVIVVAEGAGQDIIAQSIPSSEQQDASGNKLLLDIGLWLTHKIKDHFKSKKTEMTIKYIDPTYMVRAIPSNASDNVYCTLLAHSAIHGAMAGYSSTIGMVNGRHAYIPFYRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDVDEAREADRLANKPPLPAGANHNVATAFGQSASSSSNGEI >cds.KYUSt_chr1.29670 pep primary_assembly:MPB_Lper_Kyuss_1697:1:179655379:179656647:1 gene:KYUSg_chr1.29670 transcript:KYUSt_chr1.29670 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQNAAATLDFLAAKCVPESHVWTEQKDYPVVSESAGHDAVPVVDMGDATDYAIAAVARSAEEWGGFLLVGHGVPIELLTRVEEQIKGLFALPALEKERAARGGGYGYGVPPYILSFSKLMWSEGYTFSADDVRSEFRRIWPNGGDDYMRFCDVMEEFHKEMRALSKKVLDMFYKALGISADHIANGEVESQIRDTMTTTMHLNMYPKCPEPERAMGLAPHTDSGFFTLILQSLVPGLQLLRRGPERWVTVPAMPGALAVVIGDLLHVLTNGRFHSVLHRAIVNQDHERVSVPYFLGPPKDMKVAPLPAAILPGRKAAFRSVTWREYMVVREKMFGKDSSALAMLRVTEDERDAELAPGMN >cds.KYUSt_contig_686-1.1423 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:9010466:9011377:1 gene:KYUSg_contig_686-1.1423 transcript:KYUSt_contig_686-1.1423 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPGTPQFPGNSFVILSVSVVGILATSLLLLSYYLFLTRCGFASWRRDIHDDVVVATHHHHHVVYAPEPAPRRGMEEAAIRRIPTLRYRKQQQPSCPQPLQVASECAVCLSEFQDGERLRRLPACLHAFHIDCIDAWLQGAANCPLCRAAVSDPVRQQPHITINHIDVVAVLQADASTANADAVVIDIASPSPAGRGSRIGRGRASRMSMGDECIDPRRDAVQQQPMRRSMSMDSCNDKHLYLALQKALRQPHHSAALSEDGSKLGESSAPASRQSSGRLRRSFFSFGHGHSRSSRSAILPI >cds.KYUSt_chr1.37397 pep primary_assembly:MPB_Lper_Kyuss_1697:1:228410491:228410919:1 gene:KYUSg_chr1.37397 transcript:KYUSt_chr1.37397 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAASHGLHPCGAPAPAASRLDARCPETALAAANGRGGASAFGSGMSATAVDAVVPSHLPSPTLATPPPSPSTGAHRRVVVFRTPAVEPAFKRPWPPHQNLEPSALGLANGAFNGVAPGTRLPGDSSNEDERGGRTSRHR >cds.KYUSt_chr2.50472 pep primary_assembly:MPB_Lper_Kyuss_1697:2:315631458:315636175:1 gene:KYUSg_chr2.50472 transcript:KYUSt_chr2.50472 gene_biotype:protein_coding transcript_biotype:protein_coding MRASILAALLAACLAHVAISVSAAPRRSLAVVDPFSSDPLQDPGMCWESIRALCSCKHNLLESFLTGRPVPLHCCEAAGEIDIFCDLFTSGLVGALIPRGLRKQCDATLRDHIGGGGARAPPAPEESTTTSVRFPPPRASTVSGGGSARAPPEESTSVRFSPPPASTVSGGGSARASPAPKESTSVRFPPPRSSTVSGGGARSPPAPEAYTSVGFPPPRTARVSGGDTRTPSSPDAYASVSSPPPRTTRVSGGGARAPPTPEAHITVSSSPSPRAARVSGGGARAPTTPEARTSVSSPPPRAHRVSGGGALAPARSSASVSPPSATRASGGGARLSPTPKVNTTASFPPPPATRVSGGGARGPATPEAHASVSSPPPRANRASSGRTTPPPPDVITGFYFPPPIPGTEYHGAHAASPGASTGGCSPPLPPAPKVSTSTGSTHAPVVAGTHDPVVHGVPAAPGHGVLLFDATMDVRPSVLDAAALVPAVGACALEDRRGGKRTQRGGSQMEKEVEVHGEGEMEDIHGEGEVDSVHVEGEVEGEVDAVQVEEDGDVEMTQGQVQELEDEFQMVHKNIEDLFDKVDRATNVEATDARILARYVHTANLVQDVVHRTYSNPTSTCEVCIHLALCLKCGIRGEPSIFLCLGCRLPVPKDLRSCEACVLPPPLLLACPPPLTIACPPPLPLASPAEGKENGLSLPPMREESCGRIRPTRRAGFDGGGRTGLSSHEPLMNGAADRRPVKPSVRIFRRDELSGSSSAIGKGRGSEMRGGTYQLRDVVHAREETNEARWKHITRISIERYHGGRVAGNFELNARTRTDAVARKAAQVARNEAYRLLRPYMKDQHRKETPATPAVMQHWQIREAMAARRTRDHQAKRKNDSEA >cds.KYUSt_chr5.1397 pep primary_assembly:MPB_Lper_Kyuss_1697:5:9657692:9662731:1 gene:KYUSg_chr5.1397 transcript:KYUSt_chr5.1397 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIPNGHPATACDGTPPSPPPSSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCCTSLLIDYCQDEGAHKYIIIDVGKTFREQVLRWFVRHKIPCVDSIILTHEHADAILGLDDVRVVQPFSPTNDIDPTPIYLSQYAMDSISQKFPYLVKKKLKEGEEVRRVAQLDWRIIESDLQKPFTASGLEFVPLPVIHGEDYICLGFLFGRKSRVAYISDVSRFPPSTEDAISKSGGGQLDLLILDCLYRTGSHNVHLCWDQTLDALKRICPKKALLIGLTHEMDHYKDNQTLEEWSRRTFVDPATRTKLTVVVTIQLLLRRK >cds.KYUSt_chr7.36739 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229627075:229631719:1 gene:KYUSg_chr7.36739 transcript:KYUSt_chr7.36739 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRTETVHFDKITARLKKLSYGLSQDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAALTASHPDYASLAARIAVSNLHKSTKKSFSETIKEMYQHYNERSGLMSPLIAEDIYQVIMKNASRLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGNVVERPQHMLMRVSVGIHKDDIDSAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFDFLDLRKNHGKEENRARDLFFGLWVPDLFMQRVQNNEDWSLFCPYEAPGLADSWGEKFEGLYKKYEREGKAKKVIPAQSLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPEETAVCNLASIALPRFVREKGVPIESHPSKLVGSNGSQNRYFDFDKLGEVTSTITYNLNKIIDVNYYPVETAKRSNMRHRPIGIGVQGLADTFMLLGMPFDSPEAQQLNRDIFETIYYHALKASAELAAKEGPYETYQGCPVSKGIIQPDMWNVVPSSRWNWPALRETISKVGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWTPALKNKIIYEDGSVQKMTEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMDQPNFAKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTGFLKDTDVKLAEEDDEAKMAQVVCSLNNREECLACGS >cds.KYUSt_chr7.10894 pep primary_assembly:MPB_Lper_Kyuss_1697:7:66952528:66953231:-1 gene:KYUSg_chr7.10894 transcript:KYUSt_chr7.10894 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHGTKLDPLRLLLQQPPNRGLGHADTRLRRILVLDTNDADEMLLVDMLSHSRDASSHAAVRRSLDGARDDVYGHADGCWRSSGGNLCVGGQRGRQPEGVIELEDHWAEYLEELLGVSEESSVSWCWSDRSGSSSNPEVNTDAITIHHQPARGWFCCWLENALCKFS >cds.KYUSt_chr6.9542 pep primary_assembly:MPB_Lper_Kyuss_1697:6:58881676:58883063:1 gene:KYUSg_chr6.9542 transcript:KYUSt_chr6.9542 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDQKAAGGAVGGKSARACDACMRRRARWYCAADDAFLCQSCDTSVHSANPLARRHERLRLRATSASPPKAECVAEVTTTTTISSSKRLRVAPAWLKRKARTRRPLVKSVGQLLSRRLVVPEVGAGESSDERRATEEEQLLYRVPVFDPALGEFCSPLPIDDAAATTSGSCRDDVDGAVEQTKEPIVAPSPVQELPDSFATFGPTDAELSEFAADMEALLGQGLDDSSELGDSFYMEALGLISPAGDGGRVKAEAHGGLVRKSNGVLASGPDMKPEFNGSPPTLVDDDDSFEHKTSSASNCGDAVDDAQFLKRSLDLRLNYEGIIESWGSSPWTDGRRPHGQLDDLLLYDHSGMWTAGGGGRQGEAAWTPRPKADGWREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRSGAAAAAPCAVT >cds.KYUSt_chr2.54695 pep primary_assembly:MPB_Lper_Kyuss_1697:2:341243703:341243987:-1 gene:KYUSg_chr2.54695 transcript:KYUSt_chr2.54695 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRSLEQLDVAHNELSGHIPEGICALPRLSNFTYSFNYFGGEPERCATLRRNDDRQNCIAGKPDRRPTDQCMAFLHRPLVHCDGHGCFAQHY >cds.KYUSt_chr2.35179 pep primary_assembly:MPB_Lper_Kyuss_1697:2:217081142:217085551:-1 gene:KYUSg_chr2.35179 transcript:KYUSt_chr2.35179 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVGNGAADLGANGNGKAPHLEEPAAAAVALPMEMEPEMVEEGEGKREVVMGRNMHTACFAVKEPDADDDETGEREATMASVLALYRRSLVERTKHHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKDEYWGYITNCGTEGNLHGILVGREVFPNGILYASRESHYSVFKAARMYRMECVKVDTHISGEIDCSDFERKLMQNKDKPAIINVNIGTTVKGAVDDLDLVIKTLEESGFKDRFYIHCDGALFGLMIPFVKKAAKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNQKGYRGFQKEVQKCLRNAHYLKDRLNAAGIGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVNIDKLDYFLRELVEKRAVWYKDGICQPPCIARDVGQESCFCSLHKK >cds.KYUSt_chr4.2772 pep primary_assembly:MPB_Lper_Kyuss_1697:4:15617679:15619050:1 gene:KYUSg_chr4.2772 transcript:KYUSt_chr4.2772 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMALSISSTTTTTLPPFPARHLLPTAAPAPRVIRGRVFSTGYAASFYGGAAGMRTRSRDNEEVGDEDGSSSGFGGGLGMSASEAALALEEREMPPCPPGLRQYESMIVLRPDMSEEERLALIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPFTFYDGIYLLWTYFTKPESVDALQLKVNADDDVIRSTSFKVRKRRVY >cds.KYUSt_chr4.6054 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35507625:35510944:-1 gene:KYUSg_chr4.6054 transcript:KYUSt_chr4.6054 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGCGSGSDIDPSSVQLTARGGGSDFARRREYHEIRIGGLANGDLSLHAVEDLLGVPGFTTVRLRFLNWGLYARTRTGPGEGKEETSVHAGVHVHPSVAGEEQADHLLSEECQRVWLNKIIPRWYHHIEVNAVLDSDIYLLHVEERNLAAAGAENWHKAVYVPTSSDGMVIAFRNWFRKHCQNQVGWAAPTVDQLPATPTKDKLMERYWSHVAQCRSCSAALKAIKALEEALQVTAVELFYRTRHGL >cds.KYUSt_chr2.28451 pep primary_assembly:MPB_Lper_Kyuss_1697:2:174626748:174633010:1 gene:KYUSg_chr2.28451 transcript:KYUSt_chr2.28451 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLPSRLLAPAAARPAALLRHRGRCLHAAPAARFCAAATTTGASAAAPARTETRGGDREGQVTPRSTDFNAWYTDVIAAAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELAVVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMINVYTKFSYEHAAIPVIPGRKSKRETFAGADRTYTIEAMMGDKKALQAGTSHNLGQNFSRAFETQFMDESGQLEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPRIAPIQVVIVPIWKKGEEKGVVMEAVASVENTLKEAGIRVKVDASELRTPGWKFNFYEMKGVPMRIELGPRDVKNRSVVISRRDVPGKQGKEFGVSMEPSTLVDHIKGRLEEIQASLLQKAIEFRDSNIVDVSSYGELKEAIAEGKWARGPWSASDADELKVKEETSATIRCFPFEQPEGEKKCFMTGNPADEVAIFAKSY >cds.KYUSt_chr6.17651 pep primary_assembly:MPB_Lper_Kyuss_1697:6:111060544:111069811:1 gene:KYUSg_chr6.17651 transcript:KYUSt_chr6.17651 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTVSPPAAAAAAAAATDDPSSSHSDAASATFSVERRGDASASCRWTLPDFPRTRARTFYSRYFEVGGFDCRLLIYPRGDVHALPGHLSLYLQVLDPKTPSSSSSSTTTTSSSKWDCFLSYRLSILHPSDNAKSLARDSWHRFSSKKRSHGWSDFAPSATASYLLPPHDSLVIAADISVLSETASFTEADGRFTWKVSNFGIFREMIRTQKITSPPFFPATAAAGGSDSGLRISVYQSNVSGADHLSVCLEGKEPVAQATTVSSASALASTSAGSGVLDDRGCWCLFRVSILNQNPGGNHIHKDSYGRFAADSASLGWGDYLKMDDFLAADGGYLFDGAVVFTASVHVIKESNSFTRSLPLVAGASAAAGGRSGTRKSDGHFGKFVWRIDNFTRLKELLKKRKITGLCIKSRRFQVGNRDCRLIVYPRGQSQPPCHLSVFLEVTDPRSTSGEWTCFVSHRLSVINQKVEEKSIMKESQNRYSKSAKDWGWREFLTLTSLFDQDAGFLVQDTVVFSAEVLILKETATMQELSGEISEIGSSSSGCQIDTLPKHPSFTWKVENFLSFKEIMETRKIFSKYFQAGGCELRIGVYESFDTICIYLESDQPSGVDPDKNFWVHYKMAILNQKNSVKTICKESSICTKTWNNSVLQFMKVSDILDTEAGFLVRDTVVFVCEIIDCCPWFDFSDLEVFASDDDQDELSTDPDELIESEDSDDMSGDEEDMFRNLLSRAGFSLTYGDNNTQPQVTLREKILTDASAIAGFLTGLRVYLDNPAKVKRMLVPTKVPTKGGGMKDSLKCDSSSTSLINLLMGVSVLKQAIIDLLLDIMVECCQPSDERSSYGSSSESSKTAPDSNGASSPPELIIVEGELTERACRNQYETAESNTDNSRHNLALQNTELSAKEMPAKILEQASFPSETPANYLPGDGSSDQASPTKWPDQSEELLGLIVNSLKALDCAVPHGCPEPRKRPKSVQKVALVLEKAPKKLQPDLIALVPKLVDGSEHSLAACALLDHLQKADAEPSLRLPVFGALSELEFDGDVWKRASFHALELLSDSNDEPLVAAITYVLKAASQCQHIAQAVKAVRWRLKHLGTEVPSCVLDFLSKTVHSWPDVAEALLKDIGSDPEPDSSCLSSPCSTCTKDGLSTEGMPSWQEQAVHGSNHLSDVFVLIEMLSIPGSFVEVAQVLERALLQGAFGLQLVAMVLERRHSHRLSSKSGAVVYDLQDKQVLSGGQFESSPIQEGDFTSVLALGEVLSLSSETRVQDFVRMLYAIMFKIYAEDHYRYRILKGLVDRATNTSDNCREVDIDMDVLVFLVKEEFGIARPVLSMMREAAEVAQADRANLWHQICATEDENIRLHEEIDMEQTKFTNERAVLAQRLTESEATTGHLRSELKAERDRCIQEKKELSKQMREIEKQMEWVRSEKDEQIAKLSADRKNLHDRVHEAETQLSQFKARKREELKKVTMEKNTLAERLKIAEASKKRFDDELKRHAAEIQAREEIRKSLDAEVRRLKHKVGQTEGEKKEKEDQISRCEAFIDGMESKLQVCQQYIRTLETSLQEEMARHAPLYGVGVEALSLEELETLANIHEQSLRQIHVIRQRKGSSHLLSVSGLFPSPSLAVGPPSSVIHTSSIAPNGVGTHGNGHRSNAMGPWFNQT >cds.KYUSt_chr4.16918 pep primary_assembly:MPB_Lper_Kyuss_1697:4:105352149:105354722:-1 gene:KYUSg_chr4.16918 transcript:KYUSt_chr4.16918 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCGRYKTKFIDGSKKKFLRLDDDEDAASSRMSYEASTAGIGGGERRRSAFHIGYGGVVGASRRRLAQPEALARGVITTGSAQLRTLGRSIRTGAAMAAVFQEDLKTTSKKIFDPQDRLLVRLNRSFLISCILSIAIDPMFFYGPVVTLDNNKNNMCIGIERSLAISTAVLRTVVDLFFLARIVLQFRTAFIAPSSRVFGRGELVIDTVEIAKRYFRRFFVADVLSILPLPQVIIWRFLFSNSKTAVLETKDNLLFIIIAQYVPRLVRIYPLSTELKRTSGVFAETALAGAAYYLLWYMLASHIVGAFWYLLSIERVSDCWRANCDEFPGCNTIYMYCGSTEENNDEFKEWTTVIKQVIYENCEPDGQNPFDYGIYSSAVTSEVIRSKDMTTKLLFCLWFGLANLSTLGQGLKTTIYTGESLFAISLATFGLILMAMLIGNIQTYLQSLTVRLEEMRVKRRDSEQWMHHRLLPQELRERVRRYDQYKWVNTRGVDEEALVQNLPKDLRRDIKRHLCLGLVRRVPLFDNMDERLLDAICERLKPALYTERTYIIREGDPVDQMVFIIRGSLESITTDGGRSGFFNRSMLQESDFCGEELLTWALDPKSGVSLPSSTRTVMALSEVEAFALHAEELKFVAGQFRRMHSKQVQHTFRFYSQQWRTWAATYIQAAWRRHLKRKAAELRRKEEEEEGRSSSFKTTILVSRFAAKMHRQRSKRDEEVMIHVPVPKPREPDFGIDD >cds.KYUSt_chr2.10914 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69321046:69322533:1 gene:KYUSg_chr2.10914 transcript:KYUSt_chr2.10914 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSAAVQAVVLLWLAVVFRARPVEALAANWGTRARHPLPGDITVRLLKDNGFDKAKLFEADPAALQALGHSGIQVMLGLPNELLASVAGNVAAAEQWVQQNVSNYVSKYGVDIRYVAVGNEPFLKSYKGMFEAATLPAVQNVQAALVKAGLARQVRITVPINADVYESLDGRPSSGDFRPDITTLMTNLVRFLLDSGGVLAINIYPFLSLDADANFPRDYAYFPAPGAPPSQASVQDGNVLYTNVFDANYDTLIAALEKHGLGNITVVVGEIGWPTDGDANANVASAQRFNQGLFDRIVAGKGTPRRPQMPDVYVFALLDEDNKSVDPGNFERHWGVFNYDGSPKYPLSLASGRAIVPAKGVKYLSKQWCVLRSDASPTDPAVVGAVGYACQFADCTSLSPGSSCGSLDVRGNISYAFNQFFQSASQQKGSCAFNNLAVITTTDPSQGTCRFRIEIDPGRRDRTHGNSDSAAARAAVAWGAVVLLALLAIVAV >cds.KYUSt_chr5.29987 pep primary_assembly:MPB_Lper_Kyuss_1697:5:190124826:190125990:1 gene:KYUSg_chr5.29987 transcript:KYUSt_chr5.29987 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRTAFVRIHHLFDFSHVLQTIKSFFFDLFLTRIFIITIYIINLSYAFYINVNQEPEKQEVVPAVVVVVTALEEQLDQPHPADPQTPDSASAFDCSSGSQNVAEVGGIDSAEAEQIAAEENQTVAETDYMNFVKEHSSSGNSNEEQHVEQQDGA >cds.KYUSt_chr3.19236 pep primary_assembly:MPB_Lper_Kyuss_1697:3:118412094:118418377:-1 gene:KYUSg_chr3.19236 transcript:KYUSt_chr3.19236 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVENSSKRKSAELDSQDNSTAAVPDGQQQPPQPGSAAKRPNLARSCIHEVAVPNGYDLAKDEAVNGTLSNPVFNGEMAKTYPFQLDPFQSVSIACLERNESILVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVGWVIFDEIHYMKDRERGVVWEESIVFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENGQFREDNFLKLQDTFVKQPSQPDGRKGGGPKASGRIAKGGNASGTSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTEEEKDNIDQVFRSAILCLSEEDRGLPAIELMLPLLKRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSNRYIGSGEYIQMSGRAGRRGKDERGICVIMIDEKMEMSVIKDMVLGKPAPLISTFRLSYYTILNLLSRAEGQFTAEHVIRNSFHQFQYEKALPEVVQKITRLENEASLLDSSGENDLAEYHKLVLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPAASTTLPPALSASRGNNYIVDTLLHCSSSSNENGSRSKPCPPRAGEKGEMHVVPVPLPLVSGLSSVRINIPSDLRPPEARQNILSAVQELGKRYPQGLPKLHPITDMGIEEPDLVDVVHKLEVLEQKLDSHPLHKSDQSDQQLSWYQKKAELNHEIQQLKSKMRDSQLQKFRDELKNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASVVSCFVPCEKSSEQIRLRNELSKPMTQLQEAARKIAEVQRECKLDVNVEEYVESTCKPYLMDVIYSWSKGSTFGEVIEMTDIFEGSIIRLVRRLDEFLNQLKAAAEAVGEVNLENKFGLASESLRRGIMFANSLYL >cds.KYUSt_chr6.11784 pep primary_assembly:MPB_Lper_Kyuss_1697:6:73312284:73314389:-1 gene:KYUSg_chr6.11784 transcript:KYUSt_chr6.11784 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFHACMELPNQIVGKDYLDIELVQPYRESTPEVVNCKTVAHRIRLAWDVDVAREQIFREPLAALVSQVRDKRRPGATMDWGRRPSATATDHAEVVGVAQSKGESSEEDSLVVSGTTTSIINSPSTASIPPRSANIGPF >cds.KYUSt_chr7.6908 pep primary_assembly:MPB_Lper_Kyuss_1697:7:41662963:41673549:-1 gene:KYUSg_chr7.6908 transcript:KYUSt_chr7.6908 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRWTAALDGGRASPPVLWVPFSVRMVKVFKELQRQFILLLRLRNGCGLLDPFSDFPSATNNVKPTQGGAHRRHGSNPKLSRLILPHPISSSPALLAAGQPPSMGSDFKAIPLIDISPLVEKIDDPSMVSDGDLLEVVGMLDGACKEAGFFYVKGHGIAESMMMEVRDVTRKFFELPLEEKRKIRLTPGTGYRGYQRVGENITKGKLDMQEAIDIFQKSNALILDGLLTLVNQDDDICALEVRNLSGEWIQAKPIPGTFVCNIGDMLEVWSNGIYQPTFHRVFNNSLRYRVSVAFFYEVEIPLSVDLQNTKADTATRYPGPLILELSGKGHSSTMAEAVVGLLIGKLGAALAAEAAAFGVSVVFKEASALRGLFGEIREAKEELESMQAYLQGAERFKDTDETTGVFIKRIRGLAFEMEDVIDEFTYKLEDRHGGFAAKMKKRIKHVDIWCRLALKLQGIRGRLRHAKQMKKDYMLTEMERYNGASLTHAKPATQAFGFTREDDLVGVEENREKMIQWLTGDLEEQRSRISTVWGMPGVGKTTLVSHVYNAVKVEFDATAWITVSKSFSFENLLKKIAREFGIPVDIANVEMRSLGKAIHNYLRGKSYILILDDVWIADVWTEIRNLFPTDSIGRYVITSRNHEVSLLATGNGAIHLEPLQAHHSWLLFCREAFWNDTVKECPIELRNLAERFIKKCNGLPIAIACIGRLLSRKHPIPTEWGNVYMELELHSVKNVMPDVDMVLKVSLEDLPYDLKNCFLHCALFPEDYSIKRRRIMRHWIAAGLVKEKENKTLEEVAEGYLNELVNRSLLQVVERNYMGRLKCCRVHDVIRLLALNKAGEECFGRVYDGSRTFSGEHPRRISIHSENLDQLSRSGLTHLRAIHVFNRYINTDWLRPILISSNLLSTLDLQCARIEMLPVEVFNLFNLRYLGLRNTDIKSLPEEIRRLQNLEVLDAYNTKLSYLPNNMVKLQKLRYLFACTDGGGSEIKPFGGVKLPSGIHSLTSLHALQCVKANTEILREVGALTELRTFGVSDVKSEHSAYLSNAITKMKHLIHLEITSIGEEEVLHLEGLCLPPTLSKLGLEGQLEKASMPQVVSSLSRLHNLTWLQLSFSKMDEESFSRLLGLRGLCFLQLLNTFKGENMHFSSGSFPKLRFLSVWTAPELNQVEIEEGALESLVELVFVDCPELMFLPHGIEHLRALEKLHLIETSEELIEKLLQEPEPNECNEDLMKIRHIKETIVQLSREGIRERIRSSQDISAPR >cds.KYUSt_chr5.4361 pep primary_assembly:MPB_Lper_Kyuss_1697:5:27802375:27802707:-1 gene:KYUSg_chr5.4361 transcript:KYUSt_chr5.4361 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLTISEPRQDRAHTGKPSPASYTSSSSRDDADDTESFRWSAVPRRRLRYDEGGDGGRAGDRRELQYQQGAAVAMAPSLEMRLGRQGWQQMESSASSASNELTPILKCL >cds.KYUSt_chr4.47840 pep primary_assembly:MPB_Lper_Kyuss_1697:4:296136397:296144423:1 gene:KYUSg_chr4.47840 transcript:KYUSt_chr4.47840 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFKTKIKWQHRSNDPAASSSSSTQPPSTSPASSPSGTAPPLSTPAASAPSPTAAAAAPTPTATAAGGGDDYMYSEEEFQMQLAMALSASNSDLLGDRDGDQIRDAKLMSLAAGDRRFRAAGRDDAHTAESLSRRYWDYNFLDYHEKVIDGFYDIFGPSMESSRQGKMPSLEDLQTGIGDLGFEVIVINRTIDGALQEMEQVAQCILLDFPVANIALLVQRIADLVTDNLGGPVKDANAMLARWLETSTQLRTSLHTSLLPIGCINIGLSRHRALLFKILADSVGIPCKLVKGSNYTGDEDDAVNIIKVDKEREFLVDLMAAPGTLIPADVLSWKGNSLNPNRKLGQNQMAGPSSTIGSDLVANALPLEHKGDQLPLFDNGDWISASQSGYEKEAARAPSQTSSSGMPSVAAASVFDDSWTLVSRGQSDEPSTSAGTSSQQTIEYPGAGNTKNPGHQNSESENLFAELNPFGRIEAKKASLPFKGPDNRNNELQRRRENVVPSTGKPQQRLVMKNWSPYNDVSNNKQYNYVQDSFARRNIGDNVVSSSHMPRLAANNADLNIGARNDASHVISAHNNHSFMAGSTVMKMPSVAESGKAAEKVMRGDLNKGPTDSSLENQPPFERHKWGNPAEQRVPTNSVQNQTKEREANYDGKQDHKNLPPDPKKSPLDRFMDTSVPSRNLESVSPSSARSHRLDCVFDDVSECEIPWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPGCQIDEKRRIKMAIDVAKGMNCLHTSVPTIVHRDLKSPNLLVDNNWTVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLDIPKEIDPLVARIIWECWQKDPNLRPSFAQLTTALKTVQRLVAPSHPETQSPPVSQEIWVNSSTP >cds.KYUSt_chr5.43148 pep primary_assembly:MPB_Lper_Kyuss_1697:5:272194308:272196170:-1 gene:KYUSg_chr5.43148 transcript:KYUSt_chr5.43148 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKNHKNKKAVAGKEAAIPLGKALAKKGAKVPFTKPRKGSPPKTELEGCTQEEWETDCVRRNFATAERQDQHVAAATKEKAANAMHIAALAAAATSSPWSGSKRLTICLNYNPVTGISDGASSPDRSRNGFPAER >cds.KYUSt_chr4.16652 pep primary_assembly:MPB_Lper_Kyuss_1697:4:103517089:103540097:1 gene:KYUSg_chr4.16652 transcript:KYUSt_chr4.16652 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPHPSYAHHQLQQHLASLLSAAAGEPPHPSDDASRAAALSSLRLSLLHPPNRPLLPSLAPFLAPPLSVLLADDASYAVRRAAVAAYAALSAVLCAHEAPGGLPDGFVAWALPLLGEPASAALVAEGLRELLATGDVPAVERFVPPLLAACRDVLEDDRTSLAVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWLFVPDLADADCRTILDSFSQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHSSGRLRPLFACFSTVLQIMASGVAERNNLRDLVVGPLEGLAPQLLRCASVIASKLGWSDRMEEASTCLVLLAEILQGRFADFYVMFVDVLAQSLDTASSPQLVAALKTNLQVLSLQNSGLRSAAVEHLLDFTSPLSRLRLHPNYSVVANSAATYLFCLQHGLEDVAEQAIASLMKELEQLKLLLENVQVSYHDIQSLSIDNNTPVGYSEHQLLALMKFDLKILLATISANSEKINGRVTRLTSFISEKLDPFGTPFHNFLEMQFQIFSTLHRLSNVELSSNIETSEACNRSSGDLGSQTQLIAESEKPFCVCKMKFMHKYGKHIVRALSASSSMTLKLDAFDWINSFAKLVLAMEKDLNKGSLSYDSGDATLANTILFTILDCAYDRETKVRCHVALSLEVLFLSRLINPMSFSVVTQVLLDKLSDPDIAVKNEFLRLYSIALPITTYTFGLLEDGYSYQNSADISNISKHCMSLRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLVFSYRGKKDMFSGQIDVSGDADWNELFKGPNVDGTIIDRIYSVNNLAAVWWGLHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPSSLALEANEGEGRYIGPSDTCLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALQCNDAVIHYCSSRLLDLRSLAASSLKDSSRMGGPTESHHAYRARLEIDILRVLRHASLALCRCHETDALVGLQRWAVSTFYTYFEQEKQLAHGLSDTHKHFSWMSGLIYQSQGQYEKAAAHYSHLLQSEEALCSMESDVIQYIIARVIECYTSLSDWKCLEGWLAELQELRAVHAGKPYSGALTSAGNELNAIHAMACFDEGDFHSAWSYLDLTPKSSSELTLDPKVALERSELMLLRAMLQSDSKSDRAREELGKAKLILDEALSVAPLNGLTDAAACAGQLHCIFAFEEATGLTCQNGPNQPPALMDYLLRLLQDPIDRIHQDCSMWLKVFKVYRTAHSSSLPTLVLCRKLASLARKQSNFMLANRLNQYLINHPLDSSDETDKEILTMNIKYEGALLKHCEGNIEEALTDLWSLVRPSVLSTASDSSVIGKPLIAKACLKFSTWMKQESSTSVLNMILPKVVKDFSDFAGFQNGAEKLLSGDSESVSTANCDVLAQEIIGSAQKISCQLSPSMGKAWLSYASWCFTHANHSLSGIDSNVQNNVSSILQSELSPDRLHLTDNEKSEVEQTIRSFYVDKSADYADHSSPATKGYSYSSEQEYSMTKLIEHVTHLLETAAGAPGFEASDGEGAHALLSSELTVLFCKYDPAMGNAMPLISNLIEIWWSLRQRRVSLFGHAANAYFQYLSHSSTESQPSYHRDALKGKTRGYTLRALLYLLHIILNYGAELKQTLESGLSTVPLLPWQEIIPQLFARLSSHPEKIVRKQLEIILVKLGKLSPYSIVYPTLVDINACEGEPSEELQRILNFLVKLYPKLIKDVKLAIDELGMITVLWEEQWLSTLQDLHSDVLRRISILKEEAARVASNSTLTSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTPHEMWFHKEYNAQLRSAITSLKVPPGSPAALGEIWRPFDTIAASLATHQRKSRILLSEIAPQLAALSTSDIPMPGFEKQILDSSESSFAGNHGTITVSSFCKEVTILSTKTRPKKLILQGSDGQKYIYLLKGREDLRLDSRIMQLLEAINSFLYASSDTRSRNIAIRFYSVTPISGRAGLIQWVENVSSIYNVYKSWQKRSQVAQAQLSSVSTGNAHNPVPHVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKKKVLLELMKETPKQILWQEMWCSSEGFKNFNSKVKRFSSSLAAMSMVGHILGLGDRHLDNILMDFSNGDVVHIDYNVCFDKGKRLKIPEIVPFRLTQTMESALGLAGVEGVFRVTCEAVMGALLKNKDIILMLMEVFVWDPLIEWTRGNMQDEAGIAGEEKKGMELAVSLSLFSSRIQESRVPLQEHQDLFVTNLPATLSVLKKFLDSLDQYEVMSAMFYHAEKERSSALQKETSAKSILAEATSVAEKSRTSFELHAHELAEAKTAMVDEANTLAIWVEKHGRVLEAIRDNSITGAGLLMQLDSKAEALSLISAVLVSGVPLTVVPEPTREHCYELDREVSELITELHAGRSSALEALGEYALVLQQVLPVNYITTSPITGWAQALQLSVTSSSQDMLPLAKRQAAEVIAKVQGEGSNLVQQRYRDLLNQMESYVACVERITRECSELMNSVGLNNEAQSKDRILSAFMNFVQLPSQKNDEDNIPFLQVDNLKVKTPAQEDVQEKTSKVLSILGNAASQLYSEVGARMSELSTKAVGISKFRTDEADLQADAGMSLQLFDQQIEKCALISGFVNEVHEVIGIKLAEMNTDYAKHRPGQWAYTFQAILHSSTNMIEQMTEVLLPEIIRSFVSYNSEVMEAFGSISRIRGSVDTALEKLAEVELERASLTELEQSYFVKVGRIAEQQVALEEAAMRGRDHLSWEEAEELASQEEACRAQLDQLQKTWSQKDMRISSLMKVEANVMNSLLSSEQYFSSLVNVDQESEFHLRSKALLSILIKPFADLESLDHMLSSRGAFPSHMSGSVSNLRDALAMRSSLTDVMWPLSGLLKDHAFFVWKLGLLDSVLDLCMHEISSSVEHSCTTNQLYTALKKKLAIHVEKQVGQYILRRIAPALILHLDKEICDLLQSSQGRRESGQPSAAVGRVASMLEEYCNAHETSRAARTAVSLMQRQLNDLTEALRKIVLEIVQVEWLHDLSSPHAQKAKIFSLNILSDDKFMSMLLNLSRRNLLDKIQSSMSLITRSIECLQACESTSCSAEGQLERAMGWACAGPNTSGAGGSTAKGSGIPPEFHDHLLKRRKLLGGVQEQASDLVKSCTSVLEFEASRDGLYFMSEDKSSGQSTDKGRAWQQTFLNLLTRLDAAYHSFTCAEQEWKLGTLNMETAGKGLYSATNQLSAVSVKAKSALVNLQDTLVDMYERACEVSVSLSGFKHISQERTALTVECGSLLEEVLAIGEGLHDVYTLGKEAATLHSSLMTNISKANTILLPLEALLSADVAVMSEAMSKEREKNNTSMPLIHGKALYQSYITRVREACKNLEPLVPLFTEYVKELHSMVIKLGRLSSLHAGNLHKALEVVEESATVRSQDMPSARPDILESDSSIEKDKSSSGSRDGASQDLVMDADGSLQDECWISPPEHSYTSSSGCTTELTQLTFSEKSEQIDALLDSRPGIEGPDVNSQETRDGRTDSESDSSSNKQTLQNNVTLTEASNIHEAETSLAEGQIDTEDNIGAFKQVRGQECGSTDNKSYSDTRTNRGKNPFALSILKQVEHKLHGRDIDATRSLKISEQVDHLLKQATSIDNLCNIHCSMLYHWIQNWESCNARGISMKKSCKCRLIGNLQVVLQGDTLLRVIALSTSEYELLACGHGKDRARVACMHLNAPLEEVDPEIADIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFNLDPEKWGVNVQPLSGSPANFQVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISATSIFFETMPYRLDESTGLIDYDQLEKSAVLFRPKLIVAGASAYARLYDYDRMRKICNKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEINKQGKEVKYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTQEYRAYQEQVMSNCARFSESLSAKGYEIVSGGTDNHLVLVNLKKKGIDGSRVEKVLENVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDSAVSLALKVKAATTGGTKLKDFVATLNSDSNIQAEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >cds.KYUSt_chr3.8390 pep primary_assembly:MPB_Lper_Kyuss_1697:3:48483926:48484584:-1 gene:KYUSg_chr3.8390 transcript:KYUSt_chr3.8390 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWAFATVATIESLNWIKTGKLVPLSEQQLVDCDRGYYHRAMKWIMENGGLTTAAEYPYKAARGACKRAKPAVNIKGHLAVPPNEAALQSAVARQPIGVAIEIGSGMMFYKSGVYSGTCGTRLEHAITAVGYGADAAGTKYWIVKNSWGPAWGENGFIRMKRDVGGSGLCGIALDTAYPTM >cds.KYUSt_chr3.17559 pep primary_assembly:MPB_Lper_Kyuss_1697:3:107663737:107668248:-1 gene:KYUSg_chr3.17559 transcript:KYUSt_chr3.17559 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGQRRAQLGEASARAEEAERGRTAAGLPQLEQEEAQMSCSRGRRHEREKTRVFLRLDGDVERENEQVTWVVGKVNQRDHVQGGQARVDLDCSCCRTACGHAHTLKKDIQLEERGRQPKSDLVVIKALHRRTWVLARRRAELVAADGEADGGA >cds.KYUSt_chr5.37976 pep primary_assembly:MPB_Lper_Kyuss_1697:5:239882639:239887721:-1 gene:KYUSg_chr5.37976 transcript:KYUSt_chr5.37976 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPQSSRGAASASAADQPRVYQVWRGSNDLTLLLLTSGRDPGIVPRNTHPPEPEPSDLHNDTGNGQTPQQLRLPRTKDVYVNGVVVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIIKIRDAEDSSIWKAMLKTPASMVLIIYCFICVWFVGGLSVFHFYLISTNQTTYENFRYRYDRRANPHNRGVVNNFLETFCTAVPASKNNFRARVPVEQSLQQSRAPTRGFMSPNMGKPVGDLELGRKPVSWDEPRSGADIRDLEVGMGGILDEKEGRIAHASPDLSREALPSDLMEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGTEATWGSISSGHGTTSGTH >cds.KYUSt_chr4.33114 pep primary_assembly:MPB_Lper_Kyuss_1697:4:202939278:202941631:-1 gene:KYUSg_chr4.33114 transcript:KYUSt_chr4.33114 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTVEVIFLVDFDFLAWIQLNAKPRTFVRAVFVQMLSEDEWAFDLLYCVAFLVMDKQWLEKNATYMDFNAFHVPVQRQNTTQSLQQSLRHPGSVAFSRSYGDLLTMLPLFTVTM >cds.KYUSt_chr4.16863 pep primary_assembly:MPB_Lper_Kyuss_1697:4:104991842:104992804:1 gene:KYUSg_chr4.16863 transcript:KYUSt_chr4.16863 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSGRRLISPSPHGARRRSLVDGDGVDRMSSLPDDLLLQILGCLRCARDAARTSFLSRRWRGLWRLQPELHFREIGADALGAALGQAGRPALSLLEIDIPEKHRITDTARVSALLRAAAQLAPANLVLTVWGHDKDRSVPIQIPRFDRATSMKLTVHNLQLTPPAQGAVFPVLERLTMSRCHLNTDALVRRCPRLRVLELLQCAIGTIKVHSPTIEELVVKQSFYTQCIHIMAPVLKRLTMDIQVWFFFTLSCFSAPMVEDVLWECRSSYQNIGVGEWWRLQRLKLLTENSARTLRLFIDAKMLRHTVWLSTEPLYSC >cds.KYUSt_chr1.37207 pep primary_assembly:MPB_Lper_Kyuss_1697:1:227366022:227367761:1 gene:KYUSg_chr1.37207 transcript:KYUSt_chr1.37207 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSDGPRAKRMNLAAPGIHEEAPPPMPAAATAEATDLEPMVVEKSQERPPGAEKDEEQLLDRISSVSDHILGEIISLLPLKEGARTQVLSSKWRHLWRHAPLNLDFRGLPTSSSRCVRVSDILAAHLGSGRRLCLPGSHIQCRADAVDAWLLSPALDNLQELEFYFYGRRYRAPDLVLKLLLPPPESIFRFSSTLRVAVISNCYLTDDDVETLRFKQLRRLALVHVKISEVSLHTIISVGCPGLECLLLSTISGVRCHRINSPTLRSIGFCSSSEELIIEDAPSLERLLYPEMNETMQTMVISAPKLETLGCIPEEYSGSKIVFGSTVIQGSRINSLTTVVRTVKILAIHMPFFDQDIVMDLMRCFPCLEKLYAKEINESCEQKRQQKPPNCKDWNLLTSLDIRLRTIVLRCYCKTYFQVNFATFFLLNARVLKSMTLEVESCNYNEHFFAEQHEILQMEKRASRGARLCFTAGCHHEVSGTMHLDDLDSTDPFDCGC >cds.KYUSt_chr3.6511 pep primary_assembly:MPB_Lper_Kyuss_1697:3:37436279:37439612:1 gene:KYUSg_chr3.6511 transcript:KYUSt_chr3.6511 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPWRTPARLARRRSGSSIPPTVFPAPSQGRFDPLDDLDVEDGCSVAEEVAWAGLEDDPEVLPIDKDLDREALLEDFWAKIGFPAAASRSWGRNASTVAKAVPRARSSSPPRVAAMPRRAISSSPSGRRLPRQPVRLKGWKGPLPPKRFTPPAVFGDFLDAAAKGARRTSGDELRPASEAASPPSVQTEAAGSSRLGPRPCWAKLGRALVGLQRGVRRVGVTTSDHAIIRSSSCRNHVASASYPSTPPPLPPEPRLNLSPSSASVGCTPFHRSFADVVASAASGPMAGPPRSVPPGTAPAPSAPARPRAAAAASVAGGYQGPPGFQGWPQGALMPPAPVPPPRPQAGFRPPQPRMPAAPFVPPQQPPYHPQQQPYPQYPPQQQYAQFQGHFFPAANPLQQPVVSQVPQQVIPPAQPGQQKKRRKKKPVAAVGTAGGGTVAPPAIMQGHMQDPHPAFSPTPVLETVPAPLMPVVPPVVAAPAATAPVGLTRLFVPCAEDPETYEFFCGLRGAPTALVQVSGEGTVAAADVQNLMARMCPGNPTWKWEAQPHGDNAFLIGIPTAEDLSRIDGMQMSVPKINAQAMVSSWTQQDVMPEFVMEPVWVHVEGVPNALRHFLGLWAVGTFIGTTVDVDLYTLRSQGLVRIQVAMRDTSVLEKDKAKHGPPCLEVLARLQLNGYRFRFRREPSEYTPDPRFRPFFWKGEDEDDAAHGDDDGSDDAAAEGAPGASLMDVDGHASLPTSGSASVPVTQVAMTPFNHSPTTDRGKAIVARALSVSPHLVATPPPSRVRTFMQGRTRPVSSSPTPLGGPLAAPTPSSTSPQTERGLLQASSSTVGSSDLGAQRHAAVVVEQQQPALSSAPLQLPAAIVATQQHPPGPAGVQQLAQHQVVGTVGQQPPPPASVTLQQPAMGRGGAGLVGEAPRPVPYEATQQIPGALAAYGGFGVAVGFGAGGFIAIYPCIEIFFTSVRTVFFTTLVSASASCPRFASSPHYSSTSGAAAGEEEWEVCYDGGWRGGYG >cds.KYUSt_chr3.18249 pep primary_assembly:MPB_Lper_Kyuss_1697:3:112072734:112080050:1 gene:KYUSg_chr3.18249 transcript:KYUSt_chr3.18249 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLARVLKKGEISNKECGSSGSVLVPMVDISQPDDDTEEENNASMNEEGRSITTNEVCDTPISEHIIEPETTNTPCDRMHDNVAEDDVDDMNSPQGPHEPGKSFLDSTEEEGIINPMVISNNPIALRKPVRRTEIPARLKDCVGYKHDVAKYISYEKCSPSFKGFIASLDSTSIPAKWEDAIKDPKWKEAMLEEMNALKKNKTWELVELPSGNNYKEIEKLKQHLAKEFEVKDLGLLRYFLGIEVSRSAKDWAGSLDDRRSTSGYCTFVGGNLVTWRSKKQNVVARSTAEAEFRAMAHGFRDSPRSQLSASHSSGSPVWSPPERMGGSSTAPSPPSATGDSRVDLDDNMLPCSAAATADPESKVLDDDNLLIEIIVRLGFPTSLVHAALVCKRWYSLASDPAVLRRFRKLHPPRLLGFYVNTATGEHLYSSRFLPILPRPPELDSVFRHASFSLDAYENGWTYVADCWKNNVLIYLKRHNGGARGPDRVVRNPLFPDRVMAVVPTPPRHQLSEGNDMAVAHLLIEGADSLSFFYVFIEPTDGPTESTVYVYMFKDGVWCMISSATTELHCQQLKLKPLLVNSKIYMLASHCGMLVFDSRTLGFSTVQLPQGVVCGQHFFTIKPLGLKCGGRTRLLRADDGSGVYLIHVNELQLVIWLHEGGNWLLVATICLLEMCADLRISDCRLENGNEGFVEITMVGPNAEFVLLETPLCTFHWDIKCRTLRKVHEITRNDTCLRRIHPFMMIWPPTFPALKDDPSSYSRSVTHDCIFALMATEGRRDVSSVLRSLHAAPAFARHRVFPHFLSASSRAFFPTPASVKGPLGSMAATTAAGTPFSATSIALVAPPPSPPPPVRNDEPHRRWPVPFTVVSSSTTSTTGFAVWHRRRRPGRVAAARDGELACTSSGAAGGTRAAGSPAV >cds.KYUSt_chr3.36958 pep primary_assembly:MPB_Lper_Kyuss_1697:3:232524948:232527490:1 gene:KYUSg_chr3.36958 transcript:KYUSt_chr3.36958 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSCAALKVAAMFVLVIFAGQLLIAEPAAASGTQCLKCITDCSESQQREETLTLIGIKSPARLPRIAPFHLFLLAIQRLHLPFLILFRTEWGTGFSLILLLDPEDLAAGVLAA >cds.KYUSt_chr4.36302 pep primary_assembly:MPB_Lper_Kyuss_1697:4:223146789:223146998:-1 gene:KYUSg_chr4.36302 transcript:KYUSt_chr4.36302 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLGVGSNPAGEESSGAFDRTGPWRRSCRVRGGQPQMTRGWRPIQQVGSFDPASEETAALILGESRS >cds.KYUSt_chr2.47765 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298771900:298773683:-1 gene:KYUSg_chr2.47765 transcript:KYUSt_chr2.47765 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKSFLKQPKVFLSTKKADKAKRPGKAGNRFWKSVGLGFKTPREAIEGTYIDKKCPFTGTVAIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRIKEGDHVIIGQCRPLSKTVRFNVLKVIPAGTTGGGKKAFIAA >cds.KYUSt_chr4.37722 pep primary_assembly:MPB_Lper_Kyuss_1697:4:232538642:232539170:-1 gene:KYUSg_chr4.37722 transcript:KYUSt_chr4.37722 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRIPSTTGGEKAKNLVESVDTEAACALLASGQYGYIDVRMWEDFDAGHVAGARNVPYYLSVAPRGRPERNPHFVEQVAALHGKGDRLLVGCRQGVRSSLAAADLMNAGFKNVKNLQGGYLSLLKSANPQPTAYYNQ >cds.KYUSt_scaffold_3611.161 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000020.1:914486:915040:1 gene:KYUSg_scaffold_3611.161 transcript:KYUSt_scaffold_3611.161 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSGARSIGGKRVLDPLLRLWVEAAAGAAPASNKTEHPLERKSEATPVDHKQKVEAEPKPKDVQAARAVDNSLGRLNDNTGGKNSDKSHCRENLEKSVSPIETKRGESQKQVQKLKKKDARPSEKELMEAIELDIDGLPKHESGAVKFLEEESNKVSGSRRRGQRDAARGISIQLTRDDAP >cds.KYUSt_chr2.49950 pep primary_assembly:MPB_Lper_Kyuss_1697:2:312445401:312447060:1 gene:KYUSg_chr2.49950 transcript:KYUSt_chr2.49950 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDSQSSYYPHYLLLAAILLLPVLLLKLRPRRPNGANLPPGPWQLPVIGSMHHLVGALPHCAMRDLARRLGAPLMLLRLGELHVVVASSANAARAVLRTHDAALATRSLTATIRALSIDGFGVVFAPQGEHWRQLRKLCVTELLSARRVRSLRSSREAEAAALVASVASLSASASEPVNVSSLLATYVADAAVRAVVGDRIGDRDGFLQCVDEVVVAAAGFSLVDLFPSSRIARALSGRARRVELHSAKMSRLIDGILEEHRARRSAPGAGDEEQDLVDVLLRLQTDGGLHVPLETRTIRAVLTDMLGAGSETSSTTLHWAMAELMREPKMLRRAQDEVRVAFAGDSHVREEALPELRYLQLVIKETLRLHPAVPLLVPRECQEACRVLGYDVPVGAMVLVNAWAIGRDVESWGADAEEFRPERFEEAGRGALDFKGADFELVPFGAGRRICPGIALGVAVMELALASLLFHFDWELPNGTAPNQLDMTEAVGITTRRKSNLCLHATVRVPASS >cds.KYUSt_chr3.38405 pep primary_assembly:MPB_Lper_Kyuss_1697:3:241917859:241919873:1 gene:KYUSg_chr3.38405 transcript:KYUSt_chr3.38405 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAAGRKRSPRGRKPLAAVLDNDVNISAGKADAAAALLSPPQKAKRAGSRRGKLAAAAVQADEPMGTSPAQALDIDAADVSAGNVEPAPASTQKAKRAPSKGGRGKAAAAPSMADELTELQGMMEKLRLEKEKAEEMVRERDEVIRKKEEEIESKGKQQERLQAELKKMQRIKEFKPTMNFPMVQSLLDKDQEGDDKGKKKKNKGKGKAGNERKKPAPAYVLWCKDQWAEIKKESSDADFKEVGNALGAKWKTLTAEEKQPYEERYRQEKEAYLQVVGQEKREAEAMKLLEEEQMRWTAKELLEQYLKFRQEAEEGDSKKNGKKKSKKEKDPSRPKQPMSAYFVYSQERRAALVAEKKNVPEIGKITGGEWKSMTEAQKAPYEDVARKQKEEYQKQMEVYKKNKLQESESLEKEEDEQKKILKHEALQLLKKKEKADNIIKKTKEKRLKKKQENADPNRPKKPASSFLLFSKEARKQLTEERPGVNNSTLNALISVKWKELSGTEKKAWTDKAALGMAVYKTELEEYTKAHSSSPSSSP >cds.KYUSt_chr1.7758 pep primary_assembly:MPB_Lper_Kyuss_1697:1:47765949:47769037:1 gene:KYUSg_chr1.7758 transcript:KYUSt_chr1.7758 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGARAEELQVQRCRARLDRLAAASAGDDGEWEDIRLKRILVDYMLRMSYYDTATKLAETSGIQDLVDIDVFLDAKRVIDSLQNKEIAPALAWCAENKSRLKKSKSKLEFLLRLQEFVELVRAKNFLPAIAYGRKYLAPWGCTHMKELQRVTASLVFRSNTNCVPYKVLFEQNQWDSLVDQFKQEFYKLYGMTLEPLLNIYLQAGLTALKTPFCFEGNCPKEDPLSLVGFRKLAEPLPFSKQHHSKLVCHITKELMDTENPPLVLPNGYVYSTKALDEMAKKNGGKITCPRSGAVYNYTELVKAYIS >cds.KYUSt_chr1.19621 pep primary_assembly:MPB_Lper_Kyuss_1697:1:115345803:115350295:1 gene:KYUSg_chr1.19621 transcript:KYUSt_chr1.19621 gene_biotype:protein_coding transcript_biotype:protein_coding MLATPMSISSGRGLSLQNAAASRNSSGYTVDPPADPVPRWMSGSRSIVHHTYDEECVSKLTSAQTLQVPDESSWKEFLNSIATKESDTFWSRRAVAGHTAVFLPVSRSYISTSPGSSLLVRLRRDWNSTFYIRVDQNGSFHTYPPVGGQFQSLQEADNAIDRYLRDRRSPMMNGAEDIDRSKDSMFFVEVKFMKGEQLELVVNCFCMVEHNDNGHCYGCINNGSAYMKHPSKDDAYTGGHLDTYSSFGYDRSDADNSDNEEAEETRIRRMYADYDVEERIVAELGLDKDPPPNITEEDLRRVFGRET >cds.KYUSt_chr7.30945 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192729681:192733738:-1 gene:KYUSg_chr7.30945 transcript:KYUSt_chr7.30945 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKEVSGFQHLNSTGLHLTLHHPQSPCSPAPLSKDLPFSAVIAHDAARITRLTTNNPSRRPTSLHRGNKPVSNQVDDSLAVTVPLTPGASVGVGNYVTRLGLGTPTTSYAMVVDTGSSLTWLQCSPCIVSCHRQTGQLYDPQASSTYASVPCSSAQCSELQAATFNPSACSKSNICIYQASYGDGSFSIGYLSKDTVSLGGSSFPGFVYGCGQDNEGLFGQSAGLIGLARNKLSLLYQLAPSIGSSFSYCLPTSASAGYLSIGSYNPGQYLYTPMVSSSLDTSLYFINIAGMSVGGNPLAVSSSEYSSLPTIIDSGTVISRLPTAVYTALSKALVAAMGGTPHAPAYSILDTCFKGQVSKLHLPALDLAFAGGATLKLKPGNVLIDVDDSTTCLAFAATDDTAIIGNTQQQTFSVIYDVAQSRIGFAAGGCS >cds.KYUSt_chr4.37830 pep primary_assembly:MPB_Lper_Kyuss_1697:4:233312182:233312538:1 gene:KYUSg_chr4.37830 transcript:KYUSt_chr4.37830 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRTRSPSPDLCEIPRSRTQDYQPGRPPPTKKKAASTVEPEVAAPGVLEPREKPKVAAPHRREAEEMAQTVPPSHHRCATGVRQGRLQHAAAAPPSSDRRRPAITREAEGLPPPPSR >cds.KYUSt_chr1.22253 pep primary_assembly:MPB_Lper_Kyuss_1697:1:131544348:131551495:1 gene:KYUSg_chr1.22253 transcript:KYUSt_chr1.22253 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVATGAETPNLSTPATPGTPAPLFAGPRVDSLSYDRKSMPRCKCLPVDAWMAPNSCVLEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVTTIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVAVQVLGSICASFALKGVFHPFLSGGVTVPDVTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGGAVTLNILVAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLVAPTLGAVAGAGVYTAVKLRDVNGETPRPQRSFRR >cds.KYUSt_chr5.23937 pep primary_assembly:MPB_Lper_Kyuss_1697:5:155750278:155757514:-1 gene:KYUSg_chr5.23937 transcript:KYUSt_chr5.23937 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNLIDEKGAQFSSRASVSVKADDDNDLLSKPLQRPIRPTGPPESTNTATASAPARKPGGAILRDREKVRESLDEVLEKAEKLEASNSRNSDRENSKLRQNDVAKPDSPTATVVEEGPNSKRTKTLKSVWRKGNPVPTVHKVIKDQPRTDSRYQSISPAKPAVSSPSNSAPQLLTRPSVASPPRRPIKADTPKEKKGPILIDKFASKRPVVDPAVAESLVDPVKPIRGPPVKLKDNRRKKVLTPAGSRRRVPNNDRIVDDDAPIRKGRRWSKAKRRAARLEALEAEEPVRVEILEVGEEGMDIDELAYQLAVGESEILRFLSVRGAMVDNVQTLDKDLVKMVCMEYEVEVLESGPMRVEEMAKKNEFLDEEDLDKLETTLLDYIRNSKVVASEAGGITQGIGAYQVLVPVDGNPQACVFLDTPGHEAFGAMRARGAKVTDICIIVVAADDGVRPQTNEAIAHAKAAGVPIIIAINKVDKEGANQERVMQELSQIGLMPEMWGGDTPMIQISALKGENVDELLETVMLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNKGDILVCGEAFGKIRAMYDDRGSLVDQVGPSNAVQIIGLNNVPLAGDEFESVDDLDVARERANARADAMRIERISAKAGQGKVTLSSIAASVSSGNQTGIDTHGLNVILKVDFQGSIEAIRQAIQALPQENVSLRFLLQAPGDVSLSDVDLAVASEGIVFGFNVKAPGSVKKYAKQKSVEIRLYKVIYDLIDDLRNAMEGLLDLAEEEVPLGSAKVRAVFSSGSGKAAGCMVTTGKVVEDCNVRVLRKGKEVYVGTLDSLRRVKETVKEVGAGLECGVGVDDFDDWEEGDVVEAFNTVKKARTLEEASATVTAALKGAGVQV >cds.KYUSt_chr7.17271 pep primary_assembly:MPB_Lper_Kyuss_1697:7:107007229:107011283:1 gene:KYUSg_chr7.17271 transcript:KYUSt_chr7.17271 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHLHPTSVLCWLASTISAGAAMSESGSCDDDTSQLRTPSAARATRSAGDQSRQDKIQTLTSRCSVKHAFEVIQGFSEFKRWLVGEIGWAGMLEVPHLQKLNLKFSAWIMSRVDVHSRSIVITEKKVLRFWPQDVSKVFGIPSGPRNVIGRDATIRPDAIEFIKNTLGMNQAGAHSLKAAENFLTREITDDSSKIEKDCFQIAFVIFVMGHILAPSSKYDYATIDFWGALANTDNIAQFNWGEYIIQSLLDAVEKYKRDVRSHAHTINLFGCHLWLQVFLLDNLDLGIFNKKHDQLPRIKLRSVESVCYTRATVGSNEAATERRDHVIALTNTGSATPAEPEPEPHTAAHAVTPRPIYVAADHTPNHPTQSINIGPIDYSNYLKRQYPKLMADPLTLMLKEHNAKAFSQLHIARTNILTDMFKFTDKLMAHLAQRCVCCQARGFTDCPLVPTDHASGPAECLRTPVNQKLSGVRLELSDAEDSTNRGSAGSSKRPPLAPDSVVNSKKSRGSVLDLDDLHFPVAAHGIKDKCLLAYTTNEALYNAADQDPTTAAVLGQLSPDLPKRRSVLSGSFASNPWRAGWIPPPLQDQVATDLETSIKSQSPDELQRFWVVHDTPRLLCVPGTDLLQQLAGDHTLEHELSCALVRRYNQIDFENNDDCPYLNWRHIMETDFATTVLAGKDYLRAICVQNQFFGKHIRHSIACSQYYFAPAILEDGWALYMWDMVSKDIHVLDPLAGARGPSSGRKEMHEWVSSRLHDALFQCFAEFFAGWPTRKEHWGRIYPKIVDTTFSRYESGLAILHLLRYYDGEKLRSPITKRNLDKTRLASLHDIMKLRGNKSPLPGDALWAALAPSQLSLGDFLNEVET >cds.KYUSt_contig_686-1.972 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000063.1:5908988:5913679:-1 gene:KYUSg_contig_686-1.972 transcript:KYUSt_contig_686-1.972 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFKQAPASGVDLTTGVVRGVAPADMLITFARSRRPRRVIDAATSTRKCPLKDYPGDKAAPAGASSLTPGWRRVGIVAMRMNIQLLPRNIIERPASKECRTNLSSRWLAMIQTRDGVIVVPRRWHLRSHAATIAATVSADDRQNLVPPQRSLLTPAPEELVARAFSPVTAASLHLPPICDTLTSAGSRASSTPPLEKNSKRQFQREGKQKVAMKGNSIFLPNFDAIESLKLSADHSYYIYESELSLKLSQ >cds.KYUSt_contig_3828.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000743.1:136502:145580:1 gene:KYUSg_contig_3828.21 transcript:KYUSt_contig_3828.21 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPREVRRIDHVADKRQGSPSRLSVSRENGGTQVAPDTPGVKLAAFTERDEILNDEFDKEFPVLVRVTAPATFDATDVDFVAVLDMSASMTRERLQNVKKAMLAVIKDLGPRDRFSMLSFSSEVQSRTELTEMSLNGKEAASSMVQNLVTGGKTDMGAALQQAAKILKGRKMHDCINRECLTVFISAGKDDSVYTKPINHGFPVHTIGLDAEHDPKVMDHIAQNTCGTYSYIYRDMDAMGSTLKELIQVQRSVIARNAEIKLQTQDGVTISSIKSGGHKNCVTNSKQSVIEISRLYASQVSDFIVYLTVMKGKTLTMAEKFKTGGGGSKMQLMTVSITNVSADPVVVSVKRPEISYGAKKLSEAHPSPSRPGIGRVARPCTKHRTCVPSLCGPSLGGTRASRSPGSLPALSCCPSLLAGGFLDRNTFAARPVGQSSTSTASPSTSEMAEGTQITYEDPTEELKKKYDEVKAILEADLIGSFHRTRSHGIRWKGFSPEGALDGVDLSASSEERTRSLRQEINFMVAHSLHRHSESLGEHFGACRSSGDPGNHEASVLSVETSSRDLPGRDATPVPSTAAIRVGSTEVPNSPAYVVYKIGGDPSDYRFLHEAPKEIPHGYTCTYVPDRRIGHSQTGPQQQGLRNSRRNFGNRS >cds.KYUSt_chr4.29291 pep primary_assembly:MPB_Lper_Kyuss_1697:4:183803637:183806663:1 gene:KYUSg_chr4.29291 transcript:KYUSt_chr4.29291 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNESLVLLASSGQRLAVKEREGQVATMASFATTTMPTFVHDRVGEKPETKPSSSSLIPKHLVTVVGAPTQDTILATTTPTRCSTLCLAGSVGVNHAGSDKSQVLAGSAVNMHTCCSTPSLTSSLVDPGARAHYADTDMPHSLPCQVKVPLAERRPLLPHISFPRFNGDNPWLWRDKCLEYFTKFNISKPLWTTAARMHMDGKAKEWFEAYRLRQVVGDWSEFMDAVEAKFGVCDIHQKLQVQPLPSDLEAFQVRGKGILPSCGNGVSSFENRSTMILHQARQESNAERVNCGSFTTAATSTLATRQLAKPPPVTMPVTVEEHFAAEDFETHDTVEHKLRWCETSSRDVTQIPEPTAASYDDVLTNVGGVSLFPDNSAEHAEVVVSKMHSMELVGEVCPTAGGMSLFLEQGLGSTNEVLTHVGGLSLFLELDLDLCVASDDEVSHDDLPWRCHAFYPWTNTREGSHFLLVNIPPHAFRSGHNGMSSSYLVMDKWLPWCRSGFSPGFHDQALNTRFINNLHDKTQVEKLACYVVKEVHDAVKSRSFSTLLSGCFSKVVIYLMLQNIASCMNYVQEHPELVVQLVEWIGITSIREVLIRLLHANETVYSNCVDTVQWLENSDFLGMVANKFSSSDSPEVLAKDAEILGVVTQCASPSLAAKICRPSYVARFFCHALEGAQPESVLVHELSACTSLLYTKRLASPSYQAFRSNIIHGAFVTATPEADDVSILLNLTLGEVACIIQQSFLLVVSPVDMFQNMYLGNTIQTYEGFLCMVAFESRGDNQPSFSLNSKYAVQLHGHLILILVNEATAATGSEQHFRHVVVQNQNMHRNVMTFELEVNASMQMYWPSDPVICSVNSEGVTELIANGSTATSWEGSTLELPGVVIVGAKDKPTGRDFFPVLDHEQNTTMFVVITELSLSLHGLQGFALLIVLEPQGVYWILIIPTVSSVEVLAAHKQWDPGGLLSTMSGETSWPGNLNAKVWVANYCDLITPLLGDKQCFARAVV >cds.KYUSt_chr2.17030 pep primary_assembly:MPB_Lper_Kyuss_1697:2:107186016:107196290:1 gene:KYUSg_chr2.17030 transcript:KYUSt_chr2.17030 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPAAPKSSLEVLLETIKKRDEQPKDEPPALPARPTCRGRLPRARRSPTPPRVHLENGVAEQGAVVLDTVMADKKPEVVSEKKPEVLSEKKPEIEKEKTPEVKKEIAVQDAKEGMAANGRIFGAKRKLRSVEPQDESPYVENLNEASKDAVACNKEPPSPYCSSARSKRNGKPVFTDSMDYVLQKKLRVWCSASDKKWELGQVQSISGDEVEILLVNGEVLTLPSDRLLPANPDILDGVDDLIQMSYLNGPSVLYNLQFRYSRDLIYTKAGPVLIAINPLKEVPLYGKDVIRQYRQKLKNDPHVYAIADLAFNEMLRDGINQSIIISGESGAGKTETAKIAMQYLAALGGANGMESEVLQTNVILEALGNAKTSRNDNSSRFGKLTEIHFSETGKMSGAKIQTFLLEKSRVVRRAPGERSYHIFYQLCSGASPLHRKKLFLRDADYYNYLKQSACLRIDGVDDAKRFSSLLSALDIVQISGENQMELFSMLAVVLWLGNISFSVIDNENHVEVDSNEGLSNAAKLLGCSVPQLVIALSTRKIQAGKENIVQRLTLTQAIDARDALAKSIYAHLFDWIVEQINHSLGTGRQHTRRSISILDIYGFESFSKNGFEQFCINYANERLQQHFNRHLFKLEQEEYLDDGIDWASVEFVDNTDCLSLFEKKPLGLLSLLDEESTFPKATDLSLANKLRQQLSGNLGFKGEQEGAFKICHYAGEVTYDTTGFLEKNRDPLHSESIQLLSSCKSDLPKDFASVMIADSQNKSSLSRHFVIDSQKQSVVTKFKAQLFKLMQQLENTTPHFIRCIQPNNKQRPRQFEHDVVLHQLKCCGVLEVVRISRAGYPTRMTHQQFAERYGFLLSHSIASQNPLSISVAVLQQFNIPPEMYQVGYTKLFVRTGQVAALENAKNRMLHGALRIQKNFRGLHTRQEYHKLKKGATTLQSFVRGEKARVRFDNLFKRWRAAVLIQKYTRRRLAATMLTGQLKNIVLLQSVMRGCLARRKFKCLQEERESKVIHSKAKRDVKKTISQAGLCNEMNGEYHRQPVVTDLQGRVSKAEALLRDKEEENAMLKQQLEQYENKWSEYEVKMKSMEEAWKKQLSSLQLSLVAAKKSLVADDMVTRAARTDTTPTLAQYDSEETMSTGTHTPEGTELKYQNHNPEARVVSGNSDRRINAVNHLAKEFEDRRQVFDDDAGFLVAVKSGQIGSNMNPDDELRKLRDRFATWKKDYKSRLKETKVNLQKVSSQDEKSRKRWWGKKSSK >cds.KYUSt_chr5.5754 pep primary_assembly:MPB_Lper_Kyuss_1697:5:35900482:35901951:1 gene:KYUSg_chr5.5754 transcript:KYUSt_chr5.5754 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSADEEKIRKQQQRQLQTPQLRLATMEEEVSMAIPQGSVLPPTICVVPEDLAEGNKGAYTPKVVCIGPLFDHERTTASMLMLEHYKRCCVRKLVVGGESAAWSPEIHGPLLRNCFDTIVRLLPRIRASYSSSISSSSISDDEQLAMTMLLDGCFVLYRLLKDARMAKSGGAMESDYDEWTHLFGRCWVWGTVKRDLLLLSNQVPFFVVRKLFKHLKVMGNTTTGERDDALLVSGGLHLFGSLHPPRLHSARIQCHDVHHLLHLFYLSVDFPPSDSDESIRHQQQQRPAALLAPELTRWVPCATELEEAGVRFCARKRGASSFLDVSFRRRRGILEIPPLQLFDYSEPLFRNLIAFEQTYPTTPGRFTAYAIFMDCLIKTTGDVRLLHRSGVLVNHMNGDRDDVAMGFFSRLCKEAHTSDDRNYLAGLMQEVNTYQRARWPRWRAALVSNYFTNPWVTTSVVVAMVLLALTVLQTFYSVYGYYKPPK >cds.KYUSt_contig_195.7 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000030.1:79940:80404:1 gene:KYUSg_contig_195.7 transcript:KYUSt_contig_195.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSSSEKEVMVDPEVEKNASGETEMTTEMKKKIKIVFEVPASEVRQVMNFKPKPYKVDISDELIRRFPEMAATMYMMSVDTAPVAESYNRWMLERKEDFTRQLKAKGIVTREAEVDEDYDQEKDYALSHRGRRRHRPGVMKKHQGVATKLN >cds.KYUSt_contig_776.21 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000072.1:102033:104561:1 gene:KYUSg_contig_776.21 transcript:KYUSt_contig_776.21 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGSSEYFLRQLSSSDGGSAPAPPHRQPEEWECGLGGGGRRGSRRWSGRKKPRARGHRRGVGGGLCGGREEETAAGAAGRKRVMVVVDQSSGAKHAMMWALTHVANRGDFLTLLHVLPRGGAGEEASALANSLGSLCKACKPEVEVEALVIQGPMLATVLSQVKKLEASVLVLSQRKPSPFCCFMRSSGEVLVEECINRAECLTLAVRRQSKGVGGYLVSTRWQKNFWLLA >cds.KYUSt_chr7.35397 pep primary_assembly:MPB_Lper_Kyuss_1697:7:221101910:221102781:1 gene:KYUSg_chr7.35397 transcript:KYUSt_chr7.35397 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSNAHETAAAAEPVAGLRKVVWIRQMLRRWHSTGVDTAARRSDASGELDAAAHHRAEVDTTTDDKKPSLGETEPSTPGAPVDVPRGCCAVYVGAERRRFVVPTAYLGMPVFRRLLEKAEEEFEFNYAGGAVTIPCDTEAFKYILVVMDKHQKGLVVMDKHQKGLVVVDHEGNPREAATE >cds.KYUSt_chr7.10321 pep primary_assembly:MPB_Lper_Kyuss_1697:7:63260909:63261530:-1 gene:KYUSg_chr7.10321 transcript:KYUSt_chr7.10321 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGTRHVIRCSTSARSVAQLLQLLVADRRVGQEQRAEDEGGEGHPGRHALHACGVAVRSAPTCSSGRGASGSCTRFVGLLGTAPTSADEKDRQDADDDGTQLLGGNVGELAADVEAEAEAAATEATSPSWRLLQQHGEGKDVRKGRGWGWGCDGGGPHM >cds.KYUSt_chr4.12077 pep primary_assembly:MPB_Lper_Kyuss_1697:4:73395067:73416686:-1 gene:KYUSg_chr4.12077 transcript:KYUSt_chr4.12077 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPETPPEEVLRAAAAEVSTPSAKRRLRLFRHTLPPLLAKATDSASDTTLLVDLIFQTLPLYDDRASRKAVDDMVIRALSESTFMKPFAAALVQSMEKNLKVTSPLACFKLLRWSSYLLKWTQFATLSKGGFSRLANAQAVLSQVLMDGSFRQRRTCKQLFIRLFSESVEIYKMYIEEVRDSRISTRDSPAFINLILDFTIASSSLLSEYKPVFLDLYVKTILSSKDRPSEAASEAFKPLFLDIGHEDFKNVVLPSCIKMLKRNPEIVLQSIRHLLMTVRLDLSNYSMEFMPVVLHQARHSDEERRINALSIVATLSDKSSDPDTLPSMFNAIKAILGGSEGKLSLPYQRVGMLNALEQLSRFSPKQISRLAPSVSSFLLTCYKGDGIEEVKLAALSALGSWASVSSEAVQPDVVSFITAGLKEKDTLRKGHLKLIRVICKKSDSLTKVTSLLDHLIQLSKTGFTKATQRLDGIYALYAISRLAAIDAKADGSIVKEKVWPLIAQSEPSVVSAQLLSKLTDEDCLTCVDLLQSLLVDHLFRVQEYFSVQSLLQVLIYLVCHPSWAVRKIAYDATKKILSSSGTLAEDLLFLFTDWLSLVGERISILKQSDMDGSGDSQLPFIPSTEVLVKCLFLIAPYAVDHSQRSYSRLILCSHHPCISSSSSPAGVWKRLQRRLKEQKIFLTDLIFPNISAICKELLSQDGLFSSNKQEQRAALCSLSTLMTIAPSDTFTEFEKHFIELPYRALHDGFSENDIKIFFTSEGQLSSEQGIYVAEAVASRNTKLAKGRFRAYDVQDEDPAKSDRRESSSIGKRETGKSTKKTAPVDKAKTAKEEARELLLKEESSVREKVGHVQKNLALMLDALGELAIANPIFTHGQLPHLVKYIEPLLSSPIVSDAAFCAMLRLARCTAPPLCNWATDIAAAIRVMSVEDFEMVLDLMPVIMEEGKKRPSPGLFEQIVTGLTAACKAGPLPADSFTFIFPIMETILLSSKKTCLHDDVLQILSMHLDPILPLPRPRMLSVLYHVLSTVPAYHPSVGPMLNELCLGLKCNDLAQALVGVYAKEVHVRLACLTAIKCVPSHSVQRDLQVSTSLWIAVHDPEKAVAELAEELWDRFGFDVCTDYSGIFDALSHKNYNVRAAAAEALTAALDENPDKMQDTLSALFSLYIRDLGPGVEFGDTNWLGRQGIALALHSVADVLGSKDLPIIMTFLISRALADPNLDVRGRMINAGILIIDKHGKENVPLLFPIFESYLNKRASDEETYDLVREGVVIFTGALAKHLSKDDPKVHSVVEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEAQALVSRLLDRMMKCEKYGERRGAAFGLAGVVKGFRITSLKKYGIAATLQQALGDRASAKSREGALLGFECMCEKLGRLFEPYIIQMLPLLLVSFSDQVLAVREAAECAARAMMSQLTGHGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEISALVPILLSALTDPNGHTKQSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVDTKKKAAQIVGNMSSLVTEPLDMIPYIGLLLPEVKKVLVDPIPEVRAVAARALGSLIVGMGEQIFPDLVPWLLDTLKSDNSNVERSGAAQGLSEVLAALGKDYFDQILPDIIRNCSHQKASVRDGHLTLFRYLPRSMGAIFQNHLQSVLPAILDGLADENESVREAALAAGHIFVEYYAITSLPLLLPAIEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAQGRAIVEVLGRAKRDEVLAAIYMVRSDVSLTVRQAAVHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSLERRQVAGRALGELVRKLGERVLPSVIPILSRGLKDPIASRRQGVCIGLSEVMGSAGKHQLLSFMDLLIPTIRTALCDSTQEVRESAGLAFNTLYKSAGLQAIDEIVPTLLRAMEDDETSATALDGLKQILSVRTAAILPHILPKLVQPPLSSFNAHALGALAEVAGPGLSSHIGTILPTLVLAMDDEDEDVQSTARKAAETVVLVIDEEGVETLMPELLRGVNDSQASMRRGSAYLIGFLFKNSKLYLADEAPEMMSTLITLLSDTDKATVSAAWEAFSRVVGSVPKEQLPTHIKLVRDAVSTARDKERRRKKGSPVLVPGLCLPKALQPFLPIFQQGLISGSADTKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIITKGGLALKPFLPQLQTTFVKCLLDSNRSVRTRAASALGKLSALSTRVDPLVSDLLSMLQSGDDAVKESVLSALKGVVRHAGKSVSSAIRSRGCALLKDLLEADADDVRSSAAKAIGTLSQYTDEIETSDLVQALLSMGTLPDWCTRHGALLTFSSISRHSPTKLCNSASFALIVDLLKDSLKDDKFPVREASTKTLGRLLCYQLQFEGSTLQLIQLLILALRDDSSEVRRRSLSCIKAAAKINHSGLATHISILGPAVGNTLKDSSTPVRLAAERCAVHVFQLTKGGENVTTAQKYLSNMTGLEVRRLAKLPVESDGSESSDDDRRT >cds.KYUSt_chr7.36071 pep primary_assembly:MPB_Lper_Kyuss_1697:7:225430524:225431323:1 gene:KYUSg_chr7.36071 transcript:KYUSt_chr7.36071 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGDVKLLGMLESPFVVRVRMAVHLKGVSYEYIEQDLSNKGELLLKNNPVHKKVPVLIHNGKPICESLLIVQYVDEVWAASTPSILPADPHERALARFWATYVDEKFFPAWLGILKAETGEERDKRMSETLPVVEQLETALAQCSNGKAFFSGDSVGYLDLAVGCNLYWLDAMRKMFGVVVIDVARTPVLAAWADRFRESDVGKEVLPDADIAVEYAKKIQVYRPASK >cds.KYUSt_chr2.25946 pep primary_assembly:MPB_Lper_Kyuss_1697:2:158783482:158783781:1 gene:KYUSg_chr2.25946 transcript:KYUSt_chr2.25946 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRRVLLPLVLLSGLAFRGVRFDDAAAAPAPLLLPFPLPPQQPLALPAGGRPQDESDSTEIVAVPPPLPPRELLVRPPRRQSVPANVVPEETEPAVR >cds.KYUSt_chr4.12146 pep primary_assembly:MPB_Lper_Kyuss_1697:4:73912741:73920760:-1 gene:KYUSg_chr4.12146 transcript:KYUSt_chr4.12146 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLGICRRPTADLVREEGADDVGPDGVVDGGPELGGEAEEHGLGKDTGLGGRDAGCGGHPRRDDQTPSPKNNRNSSAGAVPYTDSGWTLELDPTNPDLQASPPINPTTPTVPTRTCRSCADDDRTGLRIRRPSMAEVVRADGDSTLEQGLLATPEESNQLTYTGDGSVDFSGNRVVKEKTGRWRACPFILGNECCERLAYYGISTNLVTYLTKKLHDGNASAARNVTTWQGTCYLTPLIGAILADAYWGRYWTIATFSTIYFIGMSVLTLSATMPALIPPSCEGSLCPPADPYQYTVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPAERVQKGSFFNWFYFSINIGALISSSFLVWVQDNLGWGLGFGIPTVFMGLAIISFFSGTSLYRFQKPGGSPITRVCQVVAATLRKWNVPVPEDSSLLYELPDGVSAIEGSRQLEHTDELRCLDKAATVSDLDVKNDSFNNPWRVCTITQVEELKILVRMFPVWATTIVFSAVYAQISTMFVEQGMVLDPSIGSFKIPPASLSTFDVVSVIIWVPVYDSILVPLARRFTGNERGFTALQRMGIGLLISILAMSAAAVLEIQRLAIARDMHLVDQNVPVPLSILWQIPQYFLVGAAEVFTFVGALEFFYDQSPDAMRSLCSALQLLTTALGNYLSAFILTMVAYFTTRGGNHGWIPDNLNEGHLDYFFWLLAGLSFLNFVVYILQEPFLDAEVAGPAFLGSKMLCCAGEKEEPLGSPAGYPATPPPRAPAQARGPNAPRAGVGPAKVLPIDVPAVTLAELNRLTGNFGARSLVGEGSYGRVYRAKLSSGETVAVKMFDNSGSGQSEAEFCAQLSVVSRLKSEHFTQMLGYCLELNNRIVLYEFATNGSLYDILHGKKGVQGAEPGPALTWSQRARVALGAARGLEYLHEKVQPSVIHRDVRSSNVLVFDGHDGKIADFNLTNQSPDTAARLHSTKVLGTFGYHAPEYAMTGCLTQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCIDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLVNARPAGDH >cds.KYUSt_chr3.740 pep primary_assembly:MPB_Lper_Kyuss_1697:3:3813215:3815493:1 gene:KYUSg_chr3.740 transcript:KYUSt_chr3.740 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSSAMDWLQAAAQDAANSSASGSAAFPDQVLVSRAAGRVVSLSTCTKVGAISFVVGVAVGFTLKRRLRLWAARLLKRIKDD >cds.KYUSt_chr7.2565 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15018444:15022444:-1 gene:KYUSg_chr7.2565 transcript:KYUSt_chr7.2565 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGVRFAGHQLRALLHIAAGDLVHDDLASSLPCRTPLSCFLAVDDLEHKVSRMGTLAGITSKFRVEIMLVLVQGRDPVEIRDVLSPGFVLLVQCFKSCKIR >cds.KYUSt_chr6.16876 pep primary_assembly:MPB_Lper_Kyuss_1697:6:106254644:106256175:-1 gene:KYUSg_chr6.16876 transcript:KYUSt_chr6.16876 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTEKEKKLLVWNMAKARGAARARLLVVGVFLSILDVSSKTLIDNMKRIWKIRGHLDTNQYRDRRFVIEFSEEGDFYHVVKGGPWRFRGDAVVVEELKQGEDRETFKFSTIPIWAQFKKIPFYLLSKKLATDLGKELGLFIKIDNDARGDICDKILRARVRIPIDQVLQRWITIWDGFAEEDVVVSIAYERLPNFCRFCGIIGHLDTECRLPVNEKKIQYEDDLEAAPTHKEDERRWFLPDDIGQARPQHTLPWSDGRVIRPGQVKPPFDRELAIIAHVANEVAKSHSRLCEAENTREKRALRRAEIRRGNSLPEGEIDAIVTVIELDIISITIIIISIIITAVSTAAHRHRCNNLGLILIV >cds.KYUSt_chr1.7825 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48096409:48098715:-1 gene:KYUSg_chr1.7825 transcript:KYUSt_chr1.7825 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTRQNDPPSTFAAPGRPRLRAKGSPWTCHHRPCLWQPDATATTDPDRSSGQEEEDGPSLLGIGLSPRAASRETTRGEGGKGGEGMGGVVVEGGIGGGDAGRVEGGICSSLLEWDEPVASPQRRPTSPSSFCALSSPRSPPPQCFPHPRHCSYSNKNVVGDAGHWRPAPEPGGLKAGRGLEGGRVGSMQGTGGQRRRVRKMREGGGGEIGWAVSLSVDREKRYSCGEEVQELAMGNSCATGACRGAKKGSDLAPSSAKGGLNQGSDVPPPPEGDGANQVSDEAPSTAVQNTYKWRIDGFSSLVEKGKGPTYSNVFQMKKVFTLLDQLE >cds.KYUSt_chr1.681 pep primary_assembly:MPB_Lper_Kyuss_1697:1:3661765:3666975:-1 gene:KYUSg_chr1.681 transcript:KYUSt_chr1.681 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPLLAALSPSHCPSLLTSTISASRHGAVAPRRGRRACSWRTARSRGGTVSRISCSSTLDDTVKLKATVKIEHTADPSNVKVLHLELVSSNLDSLTGKEKTSIPGDAYYWVTDPIRGHELLLEAIFDVPVSFGPIGAVLVENEVDEKMFLINIVVAPDNNESALSVTFEGNSWIKPKSGDTVKHVFFPLKSYLPSETPPGVQSLRESELKATRGDGTGERNKWDRIYDYEVYNDLGKPDIDDKLTRTVLGGDEHPYPRRCRTGRPCSDKDSSSEKAGNYVYVPMDEVFSPSDDLEFKRLMSSTPGLWTMFDTPERKSLSFPSFTAIDSLFVEQPPSTLSAMLEEMARKLHNASRPASDRMEEALQALKGLKFEMPKLIASTNQLQSQYIEFPFVSKLDEKEYGPRESGLTKELIEEQIGQAMTVEEAVKKKKLFMLDYHDMLLPFVNDVRGLDGTTLYGSRTLFFLTEQGTLRPIAIELTRPKGKPPILAEPWSQVFTPQQWDGTGSWLWKLAKAHVLAHDSGYHQLVSHWLRTHCCVEPYIIAANRQLSRMHPIFRLLHPHFRYTMQINAISRETLINADGIIERAFSPGRYSMMLSSAAYKEHWRFDMEALPADLIRRGMAVEEEDGTLTLTIKDYPYANDGLLVWESIKEWTSDYVKHYYSSAADITGDKELNGWWEEVRTKGHADKKDEPWWPKLDSRESLIQVLTSIMWVTSGHHAAVNFAQYPLAGYFPNRPTIARKKMPVEEGPDRAEHMADFRAKPEKELLEVFPSQFQAVLVMTVLHVLSSHWPDEQHMGRHVEPAWEADPIIKSAFQKLQDKMLENEGIIDDRNEAEDLKNRCGAGVMPYELFKRSSGAGDTGKGLPYSISI >cds.KYUSt_chr7.25305 pep primary_assembly:MPB_Lper_Kyuss_1697:7:157757853:157764615:1 gene:KYUSg_chr7.25305 transcript:KYUSt_chr7.25305 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPHKRRSGGSDPAPPTSSLASLSISSSSPRGRHQPRPSNNKIIHAAGCVSRWSPLPPFSPDSDDAESFRLEPFACEPVERKTGSKPLVLSLSSPNGSSASSAEAAAAAIAERFLPELLAAAERAKHDVPSKEEVVVKLSLVARVGKIFDKHRSDSISTMSCKCTLQQDGKLAIHKVELDPIRQLVEDISCLSQDLDLRLMLRTKRILKNLDPEVENAIKSLVSSAILDPNVKGALRWPLGKESIGERFSLVGVWHTNYKAFRNKTLRFKLRHSDWFDHRSSTREVSNEVSFKLIGISNRLQDGNKELDSVKEMLECAVVLEAKRSQHASKGQENYDLEGKATTMVVDDEARERNRAGHDADIEVSLLAKPTRWDVAMVEAHARRRMRRS >cds.KYUSt_chr2.38017 pep primary_assembly:MPB_Lper_Kyuss_1697:2:235263919:235275765:-1 gene:KYUSg_chr2.38017 transcript:KYUSt_chr2.38017 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRSTRSSISPFRSRRSPAAAAAPPPARTSSGGRGSTPSSSAARPTTPSSTSGGRPTTPSAAFARPATPISSARPTTPSSVSSRAAGGRAPSAADASNAKENIMVTVRFRPLSPREINKGDEVAWYADGDNMVRNEYNPSIAYAFDKVFGPATTTRRVYDIASQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEGLKEEVVLSPAHALSLIASGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPAGETEEEEVRLCQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKAAHIPYRDSKLTRLLQYSLSGHGRISLICTVTPASSNTEETHNTLKFAHRSKHVELKASQNKIIDEKSLIKKYQKEITCLKEELQQLRRGMMGNGYILPTDQEDLVNLKLQLEAGQVKLQSRLEQEEEAKAALMGRIQRLTKLILVSTKSSISANVLGKASLRRRHSFGEDELAYLPDRKREYGEDDDVSLDSEFSTEAKLDSHTSDEPIRFDRRNRKRGMLGWFKLKKSEQLSGLSASVDSDSTASGSPSFSRSSQQKHLLLDLKDGHRKSVTRKADDPALGDSFLERTQAGDLFSAAPIARHPLPSGTTIVDQIDLLQEQVKMLGGEVALSTSSLKRLLEQAANNPDDSQIHSQIERLKNDIGEKKSHMHALEQRIMQSLETTDDPAIRTEMSQTFSKLTTQLSEKTFELEIMSADNKILQDQLQAKVSENAELQEAVAQLRQENSKLLKAFRSESATQFSEPSTARSDSRDQADEFSSHANIPSRTVEDNRESPLKSQVLVQAAEIENLKQDNLTLTEEKDGLEIHSQKLAEESYYAKELAAAAAVELKNLAEEVTRLSYENAKLAADLTAAKELNSSVARGSIHNDTKRRDQENGYFAEEMQKELVASCEREAALEDTLSQKSRRENELLKIIDDGKCHEQDLENELASMRVLVSKMKKENSQEDLFEFKSKQNGFHSAKNDTGRTLSEMEASDNWKYDGVSTLEEAKAAYNFERRRCKELENAMSRLKGEDIRGLEVKVLEELQNFHVEALSRICQEKMAKQML >cds.KYUSt_chr7.19545 pep primary_assembly:MPB_Lper_Kyuss_1697:7:121153951:121157830:-1 gene:KYUSg_chr7.19545 transcript:KYUSt_chr7.19545 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPGRNMIGRSNGAGVAYASSSSSALSLGQNLMDGVHHHQLPAMLQHQLMDHHLLLPQHQHHQQQATSESDARGPHGGGNNNSSINNEELEMSKSGTGSDNNLDGGGDGGAGEEDEQEDPAGQHPRKKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRSLNLEPLQVKFWFQNKRTQIKTQHERQENTALRSENDKLRAENMRYKEALANASCPNCGGPAAIGEMSFDEHHLRIENARLRDEIDRISAIAAKYVGGKPVVGAVSSAAYPPLPPQSSGRSALEHHLGGMFAGAEFDKPMVIELAVAAMEELVRMAQLCEPLWIPSIDGEALNEEEYARAFPRGALGPKSPELRSEASRETDVVIMNHISLVEMLMDVRQWSALFSSIVSRAATLDVLSTGVAGNHDGALQLMSAEFQMPSPLVPTRDTQFLRYCKQHPGGAWAVVDVSLDGLRSAAARGHFRRRASGCLIQEMPNGYSKVTWVEHVEAGDDAMVHDLYKPLVNSGLAFGARRWTLTLKRQCERLASAMATVPASGGDVITTAEGRRSMLKLAERMTASFCGGVTASTTHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIILNAATSFWLPVPPSRVFGFLRDDSTRSEWDILSNGGVVQEMAHIANGSHHGNAVSLLRVNNANSNQSNMLILQECCTDTTGSYVVYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAGAPDAGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVVVSAGDNAAAPCATR >cds.KYUSt_chr4.26711 pep primary_assembly:MPB_Lper_Kyuss_1697:4:167782374:167787360:1 gene:KYUSg_chr4.26711 transcript:KYUSt_chr4.26711 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQAAINGDIRSFTRLARALDKGRGCLKDTVEGVRIEGDAYMRGLGALHLAAGNGNLEMCRYLVEVLRVEVDALDHGGKTPLIQAIYFERVNTAKYLLDQGANQDKTSYDGFAPLHCAAGLGCYKIVRQLLERGAYPDPVNCCGTPLHIVAAESDDRSMKILLDHNANYNKMANGMTPLYFAINATSVKCVKLLVESEPVDKRKLVELKSRGSKAVGRRDFLSAAESYSMAMELDPNDAALFSNRSLCWLQLGRPLLSLLDALECSKRRPGWPKALYRQSKALMSLKDYKGACKAFLDALKLDTGNAEIEDGLRKALEFLKVSQSQSPKVN >cds.KYUSt_chr1.42033 pep primary_assembly:MPB_Lper_Kyuss_1697:1:257594614:257604318:-1 gene:KYUSg_chr1.42033 transcript:KYUSt_chr1.42033 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEWARRFPEDVAAELAFYAEKEEEKAAQKAAKKRDREARRAKKEAKKKEKEEKAARKKGEKKKGAAAAMAGLGGMLAAAILKVVGDQIGSAIGGQIKLHKNFDKELKKMKMALESVDAVLEDAGRRSVTDKSTLLWLKRLKDATYAISDMIDEFEADTELSFKKYLAIMIPCITVVPKITMANKMEKMREDLEVITDQHKTFRLTEGTSANEPKVTDIRETSSIMETQIVGRTEEKKEILSSLFDSMTEEITILPIYGIGGLGKTTLAKMIYNNTQFKEYSQVWVYVSQTFDLKKIGNSIISQLSEKGKESEYTGMEMIHKSLQNLLADKKILIVLDDLWEGEKFHLESLMDMLRVGKGGNVVVIVTTRDEDIAKKICTIKPYKLAPLTDDICWSIIKQRSVFESRDDKEELEKIGKVIAMKCAGVALAAKSLGHMLQSMKFSEWKSIRDSDIWNVSSFKDTSSSQVLASLKLSYSVMPSYLKLCFAYCAIFPKGHKIIKDDLIRQWVSLGFSTWQLGERYISQLLGFSFLEHSKSPSTVKLYDEDITLLTMHDLVHDLARLVMDDEILVVGKGGNTEGSWYHYALLDDCSKPLGFESSKIRALRFMDCDKIELHHAAFSSAKSLRVLDLSECIIHKVPDSIGVLKQLRYLNAPRVQDALIPNDISTLSKLMYLNLHGSSKILALPESIGEIEGLAYLDLSGCSEIAKLPESFGRLKELVHLDLSNCSCIGGISEFLGSLTKLKYLNLSHCKKIGEMQEALGALSELEYLNLSFSSYLESCQEAEVLGTLNKLEYLNLSSEACDLRKLPEALGTFIQLKYLNLSGCKNMSELPRSFQSLKNLVHLDLSGCRSIDCLDEALVGLSNLQHLNLQGTCIKLLPQNVTKLRYLNVSGLINSDKDTMDSLINYICSNLSNLEHLDLSRNWLLSIPESICNLRKLHTLNLAKCWYLEKIPGSIGTMDSLKFVDINGCLFLSKAPQLGSSAISLPHFGVQPGDGHSSSNLVLLQHIDPVELNLTELENVKSVEEAQRINLMGKKKLEDLKLEWTPDAERFVDHKVLMENLVPPSTLKTFEICGYSECQLSSLASTGPTAKPKASGSQRHGKPGRVDHVTLQCDNVLSSLEECTEVSVKKSKVPLYKWRLLQHFPGLTGLHIKGSVDLIGSPEVIQHLSCLESLTLEDKYLEELPKWLNENNWQLTKLQLEGCSSIASLPHCSLDTLKIRYCKGIKCLPEGMEQLTNLQTLDISDCPDLKQWCELEENMMKLAHIKDKKSFSETHWYGVEGRSNLLSDLVVAGHVLPVILLPPAASLFLLAGAEKQGRALDTPLLRASILWAGAPMRSRMLSCSRTSLGRKEKRGRRIHSFSDASDAWCVTASYY >cds.KYUSt_chr6.9224 pep primary_assembly:MPB_Lper_Kyuss_1697:6:56869532:56871084:1 gene:KYUSg_chr6.9224 transcript:KYUSt_chr6.9224 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVGLRPGFSRVEKAVVLYWDRSERAHAVEFVRDVLRRGSVGAGADYDGETSDGQCGVQIPPSPRNPIPLSPKHAKFMTSLAQILSLGFVARNRIPAPSVPQRRALPGIPRHGLPAGHLFYIDWEEILRLIGQLQTTFHLWEQVASITGEDVQVGALYLFWTETDWLLFTLKVDEQEKKISVASRDPSRYRLDEVELSCCIQE >cds.KYUSt_chr7.30942 pep primary_assembly:MPB_Lper_Kyuss_1697:7:192712546:192715068:1 gene:KYUSg_chr7.30942 transcript:KYUSt_chr7.30942 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSQARALALLIVIVCSSSPLLAHAQKAPEDGLCDDPAAAPDACHNVPKALRLKLIAIPTILFSSVVGVCLPLLARSVPALQPDRSLFSVVKAFASGVILGTGYMHVLPDSFNSLSSPCLPVKPWGEFPFTAFVAMLAALFTLMVDSLMLTFYNRNKGGAGRGSTAAVADHESPEHSPEQGGNWHGHGHGHGHGHGMALAKPDDAEAGQMQLRRNRVVVQVLEMGIVVHSVVIGLGMGASQSVCTIRPLVAAMCFHQMFEGMGLGGCILQAEYGARMKSGLVFFFSTTTPFGIVLGLALTKVYRDNSPTALIVVGLLNAVSAGLLHYMALVELLAAEFMGPKLQASARLQLVCFLAVLLGAGGMSVMAKWA >cds.KYUSt_chr1.10696 pep primary_assembly:MPB_Lper_Kyuss_1697:1:65457429:65464102:-1 gene:KYUSg_chr1.10696 transcript:KYUSt_chr1.10696 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPGFGRHIGDFLSKSSGFVAGSLSAATCADEVEKAEGTGCQDDAAALRLKWVAMAAILLAGVAGVGLPLAGRKRRAVQTGTAVFVTAKAFAAGVILATGFVHMLHDSDHALSSPCLPAEPWRSFPFPGFVAMLAALATLVLDVLVTRFYEGKHRAEVARVKADAAAALAASTSAGASDEDITVVTVVEEDERKAPLLQPHSHSHGHSHGHDLVQSQGREGEVSDHVRSVVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKNLSAALMASFFAITTPTGIAAGAGLASFYNANSPRALVVEGILDAVSAGILIYMALVDLIAADFLGGLRLVAAALAKIDADSQPKRVKVGDQVAGHAFRKLLGLAWKRRESGEVTVQRRRFSAPMNGDGGQGGFNPGRGGFNAGRGGYQPHGGFGAGRGANSRGRQGGRGGHDFVPSQGYGSGQGYGAGQGYRGGRGGQGNSFGRNFVQGEAGGTACMNSGHGYDREEQYGGQGNFQRGAHQFNNRNYMGNQQRWSGTGRGGYQQRFHTNVHNAPARGGIDADLLQQTVQIVVAAVTAAQKPTDPVGGLASLVPSSNVIVAEAPNKDAGGTVEVANVQQQTEVPNQGALGVQEVGSRGKEAEGPDPSKKKKDDKTSCFRCKKAGHYIDDCPIPFCDLCESIHHVASACHLLHAPKSTGTMHGYANETLMFFEFPCGAFKAKVENPKLAKVTVEGDAMTIPKIIEQLKRIVPYDKFNWEVFHLKNNIFRVKLPSKQEVQRLNFFGTYICHDRESCLPFDIWSSLEEPLYMLPDVWVRVSGVPSDMRSDYLSLWGVGTLFGETLDMDMAYTRKNKILRTKIGCFDKNLIPADRDVFIRREFFKLRFEVETEQGSQEVNMVDANNGNGGNDDAHQGQGNNGGGHAMDMDHRGHATEATSNNNEKEALNGSMG >cds.KYUSt_chr1.18165 pep primary_assembly:MPB_Lper_Kyuss_1697:1:106043112:106044842:-1 gene:KYUSg_chr1.18165 transcript:KYUSt_chr1.18165 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRTLLRHLAAGRFPRVQALTGAATAAAAHRVLHLLLRTAPLPPLPHLVSLARWSRAHFRAPLPLPLHALLLARLASHRLYPLLRSELHALAAARLHSPPSILRALPAASSPTAPPLIADMLVCALATDSQPLPAYEAFLFAGDNYPRHRPSVFSVNRLLSALVDAGRADLAERAFRAALRRRVSPDLFTFNIVISGLCKAGQLRKAGDVAKDIRAWGLTPCVVTYNTLIAGYCKRGRTGRMYHVDTLLKEMIEAGISPDEVTFNVLINGYCSDSNLAAALRVFEEMKQQGIAASAVTYAALVSGFCGEGKVEEGVKLVDEMQDLGLAPNVATMNSVLNGFCKKGMMADAENWIHGMVQRSVEPNVVTYTVLIDGYRRLGKMKDAVAVMDAMAGKGISPNVRSYNCLIAGFTHNGDWRSVSGLLDEMKEKGVCADIVTYNTLIGALCCKGDVRKAVRLLDEMLMVGVEPKHLTYNTIIHGYCEKGNIKSAYEIRTRMEKDRKRANVVTYNVFIKYLCRMGKMEEANQLLNEMLEKGLVPNEVTYETIKEGMMEKGYVPDVRGLTCSESSQNLSST >cds.KYUSt_chr1.36949 pep primary_assembly:MPB_Lper_Kyuss_1697:1:225365514:225365843:-1 gene:KYUSg_chr1.36949 transcript:KYUSt_chr1.36949 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFRPPPLPPRGCAGVRLRMYSLGCCEVVVEVPAGVGRVSPLRRSMTARFMASTLAATSLPLEIRLDLVGHGGGALVEVDQQRARLVHGAVSYVHPRRRAPTACPYSF >cds.KYUSt_chr3.5962 pep primary_assembly:MPB_Lper_Kyuss_1697:3:34032481:34033690:-1 gene:KYUSg_chr3.5962 transcript:KYUSt_chr3.5962 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWTAPVPASGSSLLHRSAGSRGFTSTARALNLGTSPCAVYQSRLRIPSTPIQEPPLFSYPVAYAVTIPRHLAPTVGPEVAPAGVRPDGTLPHHRHRRGLQRRRHRPPSPGHGFTAAAFNAAGIAALFGQVLTTAAFNAASFVSAPALAAAGFFTIIAIAAGNAAATFPVDAAAGFASAASLRLQQRPRA >cds.KYUSt_chr7.39841 pep primary_assembly:MPB_Lper_Kyuss_1697:7:247496210:247502793:1 gene:KYUSg_chr7.39841 transcript:KYUSt_chr7.39841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MVSATTSSLPATRSSATAPLEAATALEAASPLVSASLVAGPTLETTPAGVEGIAGGRCLRRTTVQPPSSSSLKTDGSMTGIAKDLIFATLVPNDTGEEEVIPISEANTMDALVAIRCAFRFAIRLLVRRSTSLESSTMSMPFTGDGIPGFGHLNNRPRQPSRLSSEAAALPTQQHEIARQNFLGDNLIPENSAVHPEYSINHNQQSLKNAAEKGMQASDLLLAKTWFHCTQPMTRSRSSELRRRYAAMQSNVPPITVGTTRAANQLRLDFTNTNATNGAPMGNTPIQTSTFVSPSCSSTSPLDSPHMVPQDTVTSVVSMLKDTLERKKHGSHANKDIPHCNSFGFYDNQQFQHNTFGGTDIFPLVATSHVQDSLVFPEVEGPTELNAGSFVTPANQIWISTTSREPSQSGSSTAMTAQSAGFEVCDELRPMGQALSACESTRRNAANGTADCRSTGKEYRGKVLQDNMKDDKKRVTLTRMGSISSEQAVDRGDPTKKRRVERTRKMAEAKERSSTPVIPSDMQAVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDMAEEKERLQEEIERLASDSAS >cds.KYUSt_chr3.42812 pep primary_assembly:MPB_Lper_Kyuss_1697:3:270599803:270600764:-1 gene:KYUSg_chr3.42812 transcript:KYUSt_chr3.42812 gene_biotype:protein_coding transcript_biotype:protein_coding MESATGEERGHRFLLVHGVCHGAWCWYRVATILRSAGHRVDALDMASCGASPGRAVEVGSFEEYSRPLLDELAALPPGEKAVLVGHSYGGQSLALAIQAHPDRIAVAVFASAAMPVAGKPMKFVSEQFAQERGPGFFKDCVIETAGDDLRRPCKTFLLGPEYMAEKLYQLSPPEDLTLATMLVRPSRQFVDDTTMNGEGVLTAERYGAVSRVYIVAEEDASWSPEFQRRMASWNPGTEVRGLEGADHMPMFSKPREFSDLLVEIANKYV >cds.KYUSt_chr1.280 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1564856:1565980:1 gene:KYUSg_chr1.280 transcript:KYUSt_chr1.280 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSVADLVLVDDLYLIALCSGRNEADAAGLLALPDEEYAAELQLQEVIMSSTIAAAALGLLPDSCAPPAATSYEATSSTVAAGECSSSASLIPPPLAPATDDDDHAAAASLVECFPSSAGPSIPATFLFCKICMDAVPPSDAHSASRGCGHAFCATCLAGYILVKIHDRIADVKCPEDGCGSVLDPELCRGMLPGKAFEVWCTVMCESMVVGANKVYCPFKDCSAMMVVDDVGGGDVAESECPSCKRLFCARCGVPWHAGVGCAEYEQLAVGDRGKEDLAVMEMAKGEKWKRCPQCMFLVQKSDGCVHITCRWDTNYFSRSHGACINAYNHSLLVQVWLRVLLWMWEAVGGLSFLVQRSVRSAMSSEAALQ >cds.KYUSt_chr3.27519 pep primary_assembly:MPB_Lper_Kyuss_1697:3:171697205:171698475:1 gene:KYUSg_chr3.27519 transcript:KYUSt_chr3.27519 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQAPEQDQEQEQGQGPAQEQEQARALEGRTLQAQQYAMVTELFEGVDFVRLRNGRAYLHAADDGRSVCLDRRAASRNAVWAVTTDLCEHTATTHVLLRGAYGRYLGAPDATAGCSCLPTCRAAAQRDRHGQQVLALLWRPCPTTRQGVFRLCDPSGRYLRANPGCLLPPCRRGVSVSACAGAYRGLGKAIQWVFEPVPRGARPLLQRTPQSLWGRLFAHVPLSGLCMRWFSGREIRWQRADESGALNEDNWRSTRFTGRHTILLERQLVGLLPREIGSHPQYTVCVRAGLNGLPTPLAIDLPRSREPLHVVLFAYTATGQSAKLSSFLYL >cds.KYUSt_chr2.729 pep primary_assembly:MPB_Lper_Kyuss_1697:2:4382452:4383120:-1 gene:KYUSg_chr2.729 transcript:KYUSt_chr2.729 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYRYLVNPRVLWGRPKGIHVDEAITIKEIWDMTFDDSMLNTATQGGSQLKLVSLSFTLFHLLRRRLFGMDCAEAGLPQTRRFALEGLLAEEDQATGFSTAFHIIEVELGFLYDFFFTNYAVLFQAENTFFFLVLLKFIFTCSLGVYLLKNSPTILTNVPIIEVSMKRVDVIVTFMISPPHVGNASGYIILHIRLGHGLTSLELYHTAAQLQTPRVHQPIS >cds.KYUSt_chr3.15520 pep primary_assembly:MPB_Lper_Kyuss_1697:3:95047031:95049614:-1 gene:KYUSg_chr3.15520 transcript:KYUSt_chr3.15520 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGIRFFTSRKRVEHRDVRVWRFTSYPDSGSPASSSLCRDGSGSSPSPLNPDHGDMEREIEYLQPRISGNDPRSDVLHREDARRCFGQWRRRGISRVEKKRGENGRLGREGGCEEMDDAYVAIRSGPTRGIFLSPIRKGMGSSGGVGGGKPPAWEFHATGPRNLSNPSWRDLIRSNWKNPNYRRIAISCFVQAAYVLEFDRQENRTGSTAIAPNWWKPFKYKLVCPLLDSRDGSIYGALLEWDQLAALSDLIMLRPNGAPKAVLALRGTVLKQLTVARDLEDDLRFFAQESLRGSVRYAGALELLQSAINKHGSNNVCIAGHSLGAGFAMQVGKTLAKDGVFVECHLFNPPSVSLGMGLRKLQEKADKVLKRYISGSSSNASEISRPGDKGEIVSEIGEEKLIKEVKRWVPNLYINNSDYICCFYVDRSGVATVTTEKRGDGHAQARAKVFVIAKGPQKFLKAHGLQQWWSDDSELHLAVHDSKLMYRHLKSLYVKQA >cds.KYUSt_chr5.40439 pep primary_assembly:MPB_Lper_Kyuss_1697:5:255218133:255220561:-1 gene:KYUSg_chr5.40439 transcript:KYUSt_chr5.40439 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPSHQPPPPPLNWPTSAGGGGGGGGSRGGGGGGGAPAAPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPLNSGGGASAPRSPFLTHHYLPINNAPSSAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQNCNRDVVKALLELGAADVNSRAGPTGKTPLHLAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDDGGGGDGGGAGGSDGGNFARADADDSLVSLTMNSTLMYQGQEMAAPPVAGEARNKGNNNGRASPSTLYFPNGFP >cds.KYUSt_chr5.33018 pep primary_assembly:MPB_Lper_Kyuss_1697:5:209363049:209363591:1 gene:KYUSg_chr5.33018 transcript:KYUSt_chr5.33018 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAMEIYEAGIHFRVSDTDSLLDVHFERGVLSMPAIRVDDRTEKKFLNLMAFERLHPGAGNDVTAYVIFMDNIISSAKDVALLRSKNIIECGLGSDEEVAKLLNNTLNKGGVMSPSSRLHDVQRQVKAHCRMRWNRWRANFIQRYLRNPWVFISLVAAVVLLVATLLQTVYTVLPFYKP >cds.KYUSt_chr2.10970 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69639773:69640243:1 gene:KYUSg_chr2.10970 transcript:KYUSt_chr2.10970 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIAGAVVAGAAAAAAAAATAGTLALAWAHGDQHDGATYTVAEQECVVCLSELTGSAGCSGDTEPSAVPVRVLRGCGHGFHDECIGRWLLLRPECPLCRHPVLVEVPAHGRPATKAVIPAVVLAEAAPSWSRPARIACGFGDGRVVWTRSPTMPQ >cds.KYUSt_chr4.42427 pep primary_assembly:MPB_Lper_Kyuss_1697:4:262550021:262554988:1 gene:KYUSg_chr4.42427 transcript:KYUSt_chr4.42427 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAQLTAAALLLAAALCLLLAGPSSATNVTYDHRAIVIDGVRRVLVSGSIHYPRSTPDMWPGLMQKAKDGGLDIVETYVFWDVHEPVRGQYDFEGRNDLVRFIKAAADAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKTEMQRFTEKVVATMKGAGLYASQGGPIILSQIENEYGNVAVNYGAAAKPYIRWAAGMAVALDTGVPWVMCQQTDAPDPIIDTCNGFYCDAYKPNSNSKPTLWTENWSGWFLSFGGGVPYRPTEDLAFAVARFYQRGGTMQNYYMYHGGTNFGRSSGGPFIATSYDYDAPIDEYGLVRQPKWGHLRDVHKAIKMCEPALIATDPSYMSLGQNAEAHVYKTGSVCAAFLANIDDQSDKTVTFNGKAYKLPAWSVSILPDCKNVVLNTAQINSQVTSTQMINLASSTQASDDSSIEAELASSGWSYAIEPVGITKENVVTKPGLMDQINTTADASDFLWYSTSIIVNGGEPYLNGSQSNLLVNSLGHVVQIYINGKLAGSGKGSASSSLISLTTPVTLVPGKNKVDLLSTTVGLTNYGAFFDLVGAGITGPVKLSGPKGALDLSSTDWTYQIGLKGEDLHLYNPSEASPEWASDNAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGPYTSSKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSKISFTTKQTASVCAHVSEDHPDQIDSWISPQQKLQRSGPALRLECPKAGQVISSIKFASFGTPSGTCGNYNHGECSSSQALAVAREACVGVSSCSVPVSAKYFGDPCTGVTKSLAVEAACS >cds.KYUSt_contig_988.13 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:118449:122777:1 gene:KYUSg_contig_988.13 transcript:KYUSt_contig_988.13 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNPATGTIGSEHVAEQHLGMPDINNDPMMPRVRTRKADTPITGQATGTNKVYRHRNSEISWPPQCHCTVVDAKTDRCTALAAAVAQSPPRRSEVATQGGGWCRNLKSCASRQKSILGSSHYMESQVEFAGMLSGDVDQNPDFYHWNKVKIRYCDGASFSGNVKDELQNGTKFFFRGQRIWEAVMDELLLKGLRHAKQAFLTGCSAGGLATFIHCDDFRALLPKDSRVKCLSDGGFFLDVEDISKRRTLRSFYSDVVRLQDLKRRFLHCSSSMEPGQCFFPREVVKDIRTSVFVLNPAYDAWQVQHVLAPVASDPQRSWLDCRLDISKCSPNQLEILQGFRKELHDAIGELKQKKDWGIFIDSCFIHCQSLNSFTWHSPSSPRVNNKTIAEAVGDWFFDRREVKELDCEYPCNPTCHNLVFAKPFKG >cds.KYUSt_chr3.44372 pep primary_assembly:MPB_Lper_Kyuss_1697:3:279997604:279998794:-1 gene:KYUSg_chr3.44372 transcript:KYUSt_chr3.44372 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNRISWPSWMRSPSEAKSVRVNGISLLLDPDCPVSCLLYCRCLMCCLPSGQPGSDQGVESPPVPSSYTKRRTQEYSLLLHVKEVIDCGPLTMMEGLDFYPGKDEDLSRKHKFKSRDGKIEGRSPGIHGHA >cds.KYUSt_chr4.24775 pep primary_assembly:MPB_Lper_Kyuss_1697:4:155914207:155914719:1 gene:KYUSg_chr4.24775 transcript:KYUSt_chr4.24775 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCISQALISPCHAPNCTDNPSPPALETPPPPPALETPPPPPAPATPPAFAPVLAPGPPPPVSCPDCRTPLILACNCWATVSATCFETCNPKNDTICEECRAMNSNCTTCCNDGTCSCDCKNAGDFNCNGRCYVQDTGCGNCKTLGMDYCIADCVSPCDAICEKDPLYN >cds.KYUSt_chr6.31259 pep primary_assembly:MPB_Lper_Kyuss_1697:6:197853460:197854017:-1 gene:KYUSg_chr6.31259 transcript:KYUSt_chr6.31259 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHSLTTAHQFSSFSPNSEEEAITGGRKMQAKKSRVAAIATLCMLLLMLSGQQQQVAAMSKFCRCYRECYPECRHTAPRLACDFFCLNKCSPNKARHSGASYCRAACGLDSICDTPVKPAADPEACVRDCNQKRNHN >cds.KYUSt_chr5.22676 pep primary_assembly:MPB_Lper_Kyuss_1697:5:147963603:147964042:-1 gene:KYUSg_chr5.22676 transcript:KYUSt_chr5.22676 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMLSAEAGPEAAGERSREQQAVEIYPLSRYYFGAKDARSLSDGAETAVDRALRLKSNFEAHGLRTCVHGVLLVELSGHPHLLLLQVRNSSFLLPGGRLRPAEQGTHILQALNV >cds.KYUSt_chr1.5352 pep primary_assembly:MPB_Lper_Kyuss_1697:1:32973639:32973953:-1 gene:KYUSg_chr1.5352 transcript:KYUSt_chr1.5352 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNMRAPSRWRLSAGGIPIPLEPHGNACTQEMHQHYYGELTPEQHVDPRWDLEDATNWDGFFRQRRLFELSRYEGNGSSPANNNASAIPPGRRSRRQRRRSG >cds.KYUSt_chr1.29449 pep primary_assembly:MPB_Lper_Kyuss_1697:1:178238890:178253438:-1 gene:KYUSg_chr1.29449 transcript:KYUSt_chr1.29449 gene_biotype:protein_coding transcript_biotype:protein_coding MENTVGLPKRGFACVTADPDGRKRALLIGSSIANFSDVGFKREGAAKVADLTMVMEAAGVVFMTAGAAWGEMPALMYNKFPAAKIKMIAVWGEMRQPDEYTVFIGCSSNGDCRPQACHVLYSHIFMTGSAAGARPVLQQFYVPRDECFNHVKKADFTSYLKEAVEAAAAAAVAGAGHAAAGRARVPGGVFSSTTALLLVFSSTTMPPKATTRKPRAKKERPPGMTNAEWAADEKRREVETSGRAERVKKAAAKRAAAAQDEQARKISMAMSGGGGGGMFPGQWPTQGTTSSPSSFSPSMYSPSPPAVFQEGAYVQPSRSTPSLPELDVGSGGQFEGTSPALRRGPLPFGASASSPSTSSTAAASTSTAAASSSAAAAASATACEETGPSDTAVPAGTADEPVFV >cds.KYUSt_chr3.22845 pep primary_assembly:MPB_Lper_Kyuss_1697:3:141216692:141218805:1 gene:KYUSg_chr3.22845 transcript:KYUSt_chr3.22845 gene_biotype:protein_coding transcript_biotype:protein_coding MDILYQCKEILKIQKFRRLASYAGFYSFTTLVTYAYTSNTTRAGISRADQYYASYPSGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAFVCSYLNSSKDQGPPPYALYPGSLAGELATVDSLEEHDDQAWADGSGVVGETIMYGLIELGLNGLLSLNSITVGKSVKEKTIPPLDQLSP >cds.KYUSt_chr4.9928 pep primary_assembly:MPB_Lper_Kyuss_1697:4:60025877:60027729:-1 gene:KYUSg_chr4.9928 transcript:KYUSt_chr4.9928 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFIHNPCRDREPAVVEVQLHGRPPVGKHRFAEASSARTLSARDDEKLVDYAGASVQQVLLDTRCRAADLERVGRFCWLLIISSGSSFRGSVSSSAGDRAQKLAAATPAGETGLSLSRSRVAKCGCVRSVDRAVCALLSVY >cds.KYUSt_chr1.25866 pep primary_assembly:MPB_Lper_Kyuss_1697:1:155615578:155618840:-1 gene:KYUSg_chr1.25866 transcript:KYUSt_chr1.25866 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTAGTGIRGYRTRMGRAESTQIDPHKPGARRKDKSSSRRPKCEEMAVIPEQAMEFLSRTWNPSSSDLFQILSPSCLGAPPEGHQDQGETKEEKDEDKDLDAVQFDGGKGQLFNQIQTWRVLASGKTSSKKGKHGLNQPTWLNVGQMRSVLRSYFLDNVSVSGSRRRRRRDELRLHSAQAHAAVSVAQLAAAIAGVVSACELRRGDGDSSKLGAVLASAAALVATVCAEAAESAGANKPRVTSAVKTGLESRSTGELLTLTAAAATCLRGAAALKLRAADARGISTGGGGANGNGNGNAHAMAMSISASIQKGITLRVCLPCGKVRVRTVSVLPRRGGGGGTLALRLGKKRLRGAFVTYEDHEVLAVSPGGEAVVDGRRCFAVALSTSAGTVQLLLEDQTHCKIWKAAIEGMLSEARLKHAK >cds.KYUSt_chr5.43455 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273789447:273790056:1 gene:KYUSg_chr5.43455 transcript:KYUSt_chr5.43455 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGGQSSPCLSFFSVLWLLAYQDVRCKRGTCYVWPLEHEGPRSVNKRGEWAHVTYGSLEDEGHRSVNKRMGHMLPVAPWKTRGTVRSTRKENVANVAYGSLEDKGHRSVNKKRESGHQVPHWEYQTWHLFSSGSAEVALIVAQLQMEGLSHDCGPASDRS >cds.KYUSt_chr2.31566 pep primary_assembly:MPB_Lper_Kyuss_1697:2:194690690:194691270:-1 gene:KYUSg_chr2.31566 transcript:KYUSt_chr2.31566 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPPVIGKAGNLTVFITPPAARSPVDTPRSRSPQSPGSEFSTPNTTPRSLASPASPPPATVRTVSPPPPAKTVSPPLPAAKLFQPPPVQVPPPQFEKAEAKPDGSALAFFWDAVLRVQEAHASLDGYISNWFGLDQSKYQWALNDYYETTGKVLAVSF >cds.KYUSt_chr7.11006 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67679858:67683313:-1 gene:KYUSg_chr7.11006 transcript:KYUSt_chr7.11006 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAYRGSSPDISEAGGDDIVNSYPLEWKFENVEYKVKLSPNNPLTAAKVAFASHMRADHGSSSSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLGGSIKGQITYNDTPYSPCLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIISELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLIVILQRLAKSSTRRTIITTIHQPSSRMFHLFDKLLLISEGHAIYHGKARDCMHHFSSLGFTPEIPMNPAEFLLDLATGNLEDISVPELLRDGSPAPQEFRSRVVSYLQLKYKEEAKLQKVAARRPGEQLKLAIRMRKDRSINWFQQFLVLSRRTFRERAADYLDKMRLAQAVGVALLLGLLWWKSKTGNEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMGILYFMADLRRTVPCFFLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLRYVSFMHYGFNLLLKAQYHGHLTYNCGSRTGCQRLQSSPSFDTVDLDGGMREVWILLAMAVAYRLLAYFCLLKRISLMPL >cds.KYUSt_chr4.24028 pep primary_assembly:MPB_Lper_Kyuss_1697:4:151350119:151358018:1 gene:KYUSg_chr4.24028 transcript:KYUSt_chr4.24028 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARDVVVEGLLDGSRGIARALLQDLRHLQICPCISAHHVLGSIASGSRTIWLFVCGEQDAGSAARVHGQKGNLEHVGDFIESNVHVRVRIELLNDRAFLLYIDKDYPLEDRILESHDSVTNDGTDDLGIAITEYNCIVWCVTYMPYQVWASRCWASVKPISFLTLFDTDGCLETRNLVGSGLL >cds.KYUSt_chr3.34450 pep primary_assembly:MPB_Lper_Kyuss_1697:3:216109755:216111386:-1 gene:KYUSg_chr3.34450 transcript:KYUSt_chr3.34450 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGMLELHPGAVAATVVALASAYMVWFWALSRRLSGPRMWPLVGSLPSVAMNRTRLHDWIADNLRATGEAATYQTCILPLPFLARRQGLVTVTCNPRNLEHILRARFDNYPKGPSWQAAFHDLLGQGIFNSDGETWLLQRKTAALEFTTRTLRNAMARWANRSIKDRLWLILADHCDAAASVDLQDLLLRLTFDNICGLTFGKDPETLSRDLSENPFANAFDDATLATMQRFLFPSFLWRIKKALGLGSEQSLRKSLAVVDQFMTETIATRKATPSDDLLSRFMKKRDSNGRAFPEDVLQWIALNFLLAGRDTSSVALSWFFWTLMQRPDVERKVLLEIASVLKVTRGDDTARWAEEPLDFDELDRLVYLKAALSETLRLYPSVPQDSKYVVADDVLPDGTVVPAGSAITYSIYSVGRMESIWGKDCVEFKPERWLSADGNRFEPVKDSYRFVAFNGGPRTCLGKDLAYLQMKSIASAVLLRHSVELVPGHQVQQKMSLTLFMKNGLRVNVKPRDLASYVMPPEEAPPLASVVIPTTTAAAA >cds.KYUSt_chr6.5863 pep primary_assembly:MPB_Lper_Kyuss_1697:6:35201495:35207303:1 gene:KYUSg_chr6.5863 transcript:KYUSt_chr6.5863 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAPSSSSSSPAAGGSSSSPAQAPGTGGGGGGGVPYHRSRFGDTTLTKVFVGGLAWETASAGLHDHFRDYGEILEAVVITDRDTGRSKGYGFVRTPLFPFSPPAGDQPPLVSCLFWYCLQVTFRDPESARQAVQDPNPMIAGRRANCNIASMGPPRPSPSPQRGGRTQRGPHLPDQQPPGPPAYVGGGRMQSQHGHQQMPTQPQAMFYHPSQYGYWYPPDYPYNQASIPFIFAYYNPQVQQYFSQLYGQTSPSSTPYPYLGYMPSGQNPRASGFSLMQQAGRPPFFQQPMAQQSEGSFQSAPSLPPNFRLQLPARAISRQSDDVSDSQPTQTISATEATSEDNHEVSTAVETSNSDQNTSN >cds.KYUSt_chr4.48754 pep primary_assembly:MPB_Lper_Kyuss_1697:4:302004698:302010413:-1 gene:KYUSg_chr4.48754 transcript:KYUSt_chr4.48754 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGEVELSVGVGGGGGGDAGGPVEPPVPISLGRLILAGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGLVVQPCVGLYSDKCTSKWGRRRPFIMTGCVLISIAVLFVGFSADIGAALGDSKEDCSVYHGHRWHAAIVYVLGFWMLDFSNNTVQGPARALMADLSGKHGPSAANSIFCSWMALGNILGYSSGSINNWHKWFPFLRTRACCEACANLKGAFLVAVLFLCLCLLITLIFAKEVPYKQIAPLPTRENCEAEAEPSGPFAVFQGLKNLPSGMFSVLVVTGLTWLSWFPFILYDTDWMGREIYHGDPQGTQAQDSAYQEGVRAGAFGLLLNSIVLGFSSFLIEPLCKKLGPRVVWVSSNFVVCIAMAATTIISWWATITVSKDIKVVCMAIFSFLGLPLAVLYSVPFAVTAQLAASKGGGQGLCTGVLNIAIVIPQVIIALGAGPWDQLIGKGNIPAFGAASGFALISGIVGMFLLPNISRRSFQAVSTGGH >cds.KYUSt_chr1.4121 pep primary_assembly:MPB_Lper_Kyuss_1697:1:25091271:25096662:1 gene:KYUSg_chr1.4121 transcript:KYUSt_chr1.4121 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGEREGFTKSPPIPNPLGGPGFESSLIKMVKIEDFDEDGAVSARAGVLRNAGVDPQPELTHASVKLEPELDSFSERSSYLLSIMNFSQEEVDMAFNQLGEEAPLDQLVDLIVTAQGAGFSGGKENGHATNEEMVESLYGEMDKIVSLLRMGFTEEEVSLAIDNFGQEAPVQELADSIFARRIASTIEQKQVKTEPEFLGETETEYSTSHQRLNYYDDDDDDDKKRVKKAKHVLGDDRGASTSRVVRQPSLTPWSSGRFGCDGSMKEEIHEVASGSRANIRGDLAKPPYFLYGNVIDITKDTWHKLSGFLLCVQPEIVNTQLFSALSRKEGYIHNLPTERRRVLKSPGTIEEALSFTRKFWPSWDKRKKIYGVNLELAGTEKICGELERMIRDSRGNLSEEKQARIIHQCKMANLIWTGQDRLSPLQPDQLERILGYPSNHTSLPNLNPQDRIAAMTYAFQTDTIAHLLSVLKNMYPDGLRVLSIYSGVGGAEVALHRLGIRLKCVVTVEESDVNRKILKRWWARTEQAGELKQLDSIKKLKTDLLEELMDKFGGFDLVVGGTYSSCRGGTTVSANMGMDSGQFFEYVRVVQRVRSMHGLN >cds.KYUSt_chr1.3453 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20863195:20864190:1 gene:KYUSg_chr1.3453 transcript:KYUSt_chr1.3453 gene_biotype:protein_coding transcript_biotype:protein_coding MHANKNAFGANVAVDIYPFIRRYEDGRIERFVRSSFVPASADPAGGDGVASRDVVVDHATGVSARVFLPSGAAATGRRLPVVLYIHGGCFCTDSAFSRTYHRYAASLAARAGALVVSVEYRLAPEHPIPAAYDDAWAALRWVVTTAALSDPWISAHADHRRVFLAGDSVGGNIAYHTAVRASRDDGNSVDVEGVIMVQPYLWGGERLPAEEAWDGVAVFPPDLVEKLWPFVTAGQAGNDDPRIDPPDEEIASLKCRRALVALAGKDIFRHRARRLAARMRDGAWKGSVTLVELEGEDHCFHLYRPQRATSHKLMESIVQFINQPIRGISKI >cds.KYUSt_chr3.29491 pep primary_assembly:MPB_Lper_Kyuss_1697:3:184602878:184603584:-1 gene:KYUSg_chr3.29491 transcript:KYUSt_chr3.29491 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYAAVVGAALLALGALLLPAHHVMADTKAMAPANGISMPPSPANDTDMAPSPSYVMPPPPVYPFVIVQGVIYCKTCRSTRYNRDMDASPLQGATLQLVCYGKKVVNVTATASDENGYFLVMFYDLANFNQRNCKVFLGTSPTPLCNKPVYPPNKGVGLSIIKETITTPPVGLQGIYCPTSVLFYGPSVARNCPSN >cds.KYUSt_chr6.15891 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99923589:99926200:-1 gene:KYUSg_chr6.15891 transcript:KYUSt_chr6.15891 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGASRDPGVGRQGRPKGVGEVVVFLPGLRVPRTVDFSQSLGDHLDKSTVERLSALRARVVEMAMQESATALKPRRKAASTRHGGSSTANLLQALEEYLSVLLGLVKESSELRNKVQFVWSNQEDDAEETSMADAWYEVLSVLHLMAMVCFLQANSLLLPRSYSDGYGPRVSEESRRATVDVFLKAAGFLDCAVNQVLLQIPPEKRRALPVDLAEGNLKALSLQGLGQGVDMQLGLAIDNPKATLAVKRRLACELVKYWQQIKDSIPELPLSDGWAKKHTLFVKWKYAEAKAAAYYFHGLILDEGDTEKSHEMAISSLQASEEFLKESERASEAFHSTPPTSRISIPFGTTKYLLDRIPKDTLSKLQNNQHLYTQGRTSNTGTNRIITPPPPLPDFPLALNPEEYELPQLDPLWKKEVNH >cds.KYUSt_chr7.1389 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7626082:7626396:1 gene:KYUSg_chr7.1389 transcript:KYUSt_chr7.1389 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAAGRTHYEVLGLGAGASRGEIKAAYRRLAREVHPDAAGAGGGSDGDEGFIRLHAAYATLADPDERARYDRDVVARAAMFRRAATAPGFRRRTWETDQCW >cds.KYUSt_chr4.25130 pep primary_assembly:MPB_Lper_Kyuss_1697:4:157950983:157953699:-1 gene:KYUSg_chr4.25130 transcript:KYUSt_chr4.25130 gene_biotype:protein_coding transcript_biotype:protein_coding MLESAERGRQERMRRRQENHEARAPARVRLGRRAPPWNTPPCESLRRIFETAPLGFGLNSGVPFPDPSKGPTRARRPGVAGGAERVKKNAVRSASRVAGHLVEAVDGGVQELFVNEKRIELEARALLGTITRYRKQTDQWLAATNAVNSVLKEIGDFENWMKIMDFDCKSVNAAIRNIHRS >cds.KYUSt_chr7.22168 pep primary_assembly:MPB_Lper_Kyuss_1697:7:137244174:137245409:1 gene:KYUSg_chr7.22168 transcript:KYUSt_chr7.22168 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQSETHRRSQGESGGDLCSLSPDLLAEIHGRLCLAGRLSFAGVFRTSCAAFRPEAPCLVLPGETPDAETATLFSLADPRAAGARARGPALRDLAFLGSSPGGWLVTADDRGQMRLLNLVTGEQAALPPISTLGLFLPSNHYHHFSLLMAPFRDIRFGGPPYDHQGWGPSLPGTHTTCAEDMRSWFYRKVVLSASARPGNYAAMLILTPQYGAPAFATAENPAWRLAPSRDGVEDAIHHGGRFYSVTYSGAVESWEQDAAAGSFTSTVVAPRLPIESNNSSHRSKSNRSRKYLIAAPDGRLMVVLKDYEQAEGCKGTWSIKLQVLDGEAGGRWKEVNDIGDTALFVGVNNSLCVSTREHPELKAGCVYFTEDHPPGLAYNNADYKELGAAVYSLKDNTVEKIQGLGQARS >cds.KYUSt_chr3.26419 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164501072:164502239:-1 gene:KYUSg_chr3.26419 transcript:KYUSt_chr3.26419 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAYRAEEEYDYLFKVVLIGDSGVGKSNLLSRFARDEFSLDTRSTIGVEFATKTVRVDGKLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHITFENAERWLTELRDHTDANIVVMLVGNKADLRHLRAVSPEEARAFAERHRTFCMETSALEATNVEGAFTEVLGEIYRVVSKKALDIGDDPAAPPRGKTIDVGAKDDVSPVNTGGCCSA >cds.KYUSt_chr5.3579 pep primary_assembly:MPB_Lper_Kyuss_1697:5:23176336:23181522:-1 gene:KYUSg_chr5.3579 transcript:KYUSt_chr5.3579 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQDREYFMHRIEEMEEDLEYGTFTKEMPAPMIVKYHDLLEKLWGWDKLLPKGDSVSWSDYSAYLNEYHSRNFDAVGSSIADLAQTCLNSEDELVSEWKIQMKSETLPIRKSIVLSCLIDQRVRSFIRKGCSSSHVSGAALLEADLTCQLLRRGADPVDDYLVNQGREIRMCALSLMNCTSDSSVAASGALLEAQMMCAWMSQNNKPLDFEDDPIPDEIIDSRIVRYRTFNVMINLLEESSAAAAGYKFDKGEPSSSRNGDGGDGDGVTAADGAANATVRSFRETMGVGKAATTVGSVKWTDYRSYLEEYYNRNASDFVDGALANQNPEDSQISNNAYMGASLAKSIDLLGKLWGWEKLLAMGFSVPWSHYSAYLEEFLHRNADAISSTADLAQTCLSSEEELVSECKILMKSETLLMRNQSF >cds.KYUSt_chr7.8751 pep primary_assembly:MPB_Lper_Kyuss_1697:7:53015029:53015831:1 gene:KYUSg_chr7.8751 transcript:KYUSt_chr7.8751 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIWLWMAPAFSLPILALHPKLWLRSFYMDPPHPVAAAGGKRFSNGYSGYKMLRSSCRSREETLDAGESIDLSCASWYRMAVLLVNGNRKDDAKLAVH >cds.KYUSt_contig_946.92 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000087.1:697948:698874:1 gene:KYUSg_contig_946.92 transcript:KYUSt_contig_946.92 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQKKYCITDLVYKLSKVGQAIDKDDLSAAGDILGSDSDAPWVQNVNGAFSKFSSSSEEKSAVDSFNSSLASLFASVSKSDIGSSKSAFVSSATALEKWVSLAGLTGKLKGF >cds.KYUSt_chr4.3559 pep primary_assembly:MPB_Lper_Kyuss_1697:4:20271232:20274979:1 gene:KYUSg_chr4.3559 transcript:KYUSt_chr4.3559 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVSVATGVIRPLMAKLTKLLEEESSKLKGVRRNTRFIRDELNTMSATLDILADSEDLNPEMKIWKEHIRELSYDMEDCINDFMFFSEPTGFKGFFQNLKNLKLRHEIAGEIEELKARAIEASNRHERYKIDGGYMPFVWRLTPSSSIPAIDPRLHALYVEVDKLVGIRGPKEEIIEWFQKNNSSQQLKVLSIVGPGGLGKTTLANQVYNTLKSQYSCATLVSVSQQPDFRKILREIAKGVGITSYRSDDDVKQLIDRIREYLQDKRYFVVVDDVWGTEAWETMRLAFVNNNCGSRLIATTRVSPVASYCSSQGGHVYQMKPLGFTDSKRLLLARAFGSDNLHHPHLEEVSDKILGKCAGLPLAIITISSLLADRHAVEEWNRVLAGIGASLAKDPLAGNMTKILSLSYIDLPHHLRTCLLYLTAFPEDTIIKKRILISRWIAEGFIHEKQGQSKYEVGEGYFNDLINRSLIQPYKVIYGEAKACRVHDIILDFITCKATEENFMTSFDGTKLTHNSDCKVRRLCVINPNKGDLTMPKNMELSHVRSLTVSLGRMKNFWMFAPSLLTLDLQGCRDIGDWDLTHIQKMFLLKYLSLGQGNINKLPKNIEQLQNIETLNLRYTDIKELPSSLARLPRLARLYVNKYTRFPDGIIGQMRCLEDLNEFGISSWDAVKSLQEFSKLTNLRTLKVGCSDSIQDPDSVDEARRQFKELWSHVGTLISSETLHHLYFPGNRYIYSFYILMSLESWSHATPCSLRKLRISSWCINKVPKWMSSLGNLRELELLIFSMGPEDVAILGAMPVLLFLSLRVNHGTNGRILIRGFTGLKYFKLNLLFCGTALEFQAGAMPKLEHLELELPVHRMECLNGVSDFGIRHLLALTKLEVFVDSDNHYRKPDVHLTNKEAVSLIKTVIGTLCISPTISSSSRLRQRCLPFKEYIEYVSSLSTD >cds.KYUSt_chr2.911 pep primary_assembly:MPB_Lper_Kyuss_1697:2:5374802:5375296:-1 gene:KYUSg_chr2.911 transcript:KYUSt_chr2.911 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLLPSVHPSIAIASYSIALSTELNHLKLASMASNNVASEVNSAVTNLNDHLATAFSGDEASGKTNTIITLAGENNGAAMEADAEDLVVVEAGGGDHDGDDDGEDEEVEVSAYTNSNYQAVNNSVLVAGSCAVRDPGVHVVIVEHVDYIRDCEIFEDGEEVEK >cds.KYUSt_chr3.20314 pep primary_assembly:MPB_Lper_Kyuss_1697:3:125098114:125103336:1 gene:KYUSg_chr3.20314 transcript:KYUSt_chr3.20314 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDPKCPVCRIQARGYEFKCKRVAMIWALCDMEQERLRLMEARTALEVVEELMKMCAKKRALAATLMWCWWCERNSIREGHKTAAGGWGAILRDPDGDAVACAAGHLPHLLSDVHAEAIAAEKGLGLAAYLGIQRIYLETDSMVLKASLERREFDMSSLGCVFERIKEMIRSNFVQCRPAGTTRPANPQLHHHKYPLPLHLSPPPPPANISSSLLYTSPPPTQQQQQITPPSPPTQAMAATTVASKALAVVAVLSVAAFSAVSAQEAPAPSPMSAAGFAAPPLAAALVASAAAFLLAAVRH >cds.KYUSt_chr1.17418 pep primary_assembly:MPB_Lper_Kyuss_1697:1:101161513:101162952:1 gene:KYUSg_chr1.17418 transcript:KYUSt_chr1.17418 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLGSRALRRRSRLLHPVYRCAPLDGTAGLRNPVSCDPVRERGDKVFGERSTATAGFNIDTEADDYFPNDDFFPDISNLFSDMALNSDNGNAGSSSGPPFSDCDRLSRTRSHERAKNLAHAHNRIDGDHPTHRIHLVVRSCQLNSPVQRRLARARGHKREKLWAAIDGPPAGRPALSMRLLRAVNGRTWSHGPRCAYDGVRTLVPA >cds.KYUSt_chr2.632 pep primary_assembly:MPB_Lper_Kyuss_1697:2:3861431:3878113:1 gene:KYUSg_chr2.632 transcript:KYUSt_chr2.632 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEQSARATATTSGLGKHDNGVHDNPEDLGSTSNGISSLEQPLLRRNTTLTANHLAIVGAKVSHIESLDYEIIENDLFKHDWRSRSNVEVLQYIFLKWALAFLVGLLTGVIASLINLAIENISGIKMIHMVQLVRDKRYWTGFFYFSGFNLALTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSICAVSSGLDLGKEGPLVHIGACLANLLSKGGAGRFRLRWKWLRYFNNDRDRRDLITCGASSGVCAAFRSPVGGILFALEEVATWWRSTLLWRTFFSTATVVVVLRGFIEVCRNGRCALFGEGGLIIFDVGDVTVNYHLNDLIIVTLVGVIGGLLGALYNYLLHKVLRLYNLINGKGRMAKLALALTVCVFTSAGLYVLPFAVPCTPCDPALGIACPTNGMSGNFKQFNCPAGHYNDLASLLHATNMDATRNIFSTGTSGEFRLDSLLIFFAIYCVLGLFTFGIAVPSGLFLPIILMGSAYGRIVALVLQDVARIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPMTMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLPNPEPWMKDITVGEIAAAKPRAISLQVVERVSTIVHVLRNTGHNGFPVVDRPRPGLSELHGLVLRSHLVAVLNKRWFLTEKRKTEEWEARERFSAVELADKSCKIDDAKLTQEEMDMYVDLHPFTNTTPYTVVETMSVAKAVVLFRSVALRHMLIVPKYQGPELTQNLGWNSLRTVMGASPLNIELGGEHQREKSSPAAEIRRGNSLPEGEIDAIVTVIELDIISIIITTISTIITAASTAAHRHRRSNLGIAMDEVRKKLFSISLSGKAAHWYKLLDNVDSLEWNDIVPRFYSKFYPPSEIHKDRNRIYNFWPHDGESIAQAWGRLMSLMLKCPIHELPGNVIIDNFYARLSFEDKTLLDTSCSGSFTRNKEEFKRDLLNRIQENTEGWEIDKERESGINYDYKCIEAFMDTDKFRNMSATYGLDSQVAANLYKAFASHYELPKKNFDKFHEPYKDKIDSSINKCVVVETVDHVIPEAYIEKTPFPAKMKEYSVINSAVHKSEKKPIEPEEQIKVEPAVAIVKDLVTENVEYGHIIFCEDASNIVSHSNKPKQASVPMLSVRIGDHCYYGLCDMGASVSAIPYELYTEIMHEIGSCELEDIDVVIQLANRETISPIGIVRDVEVLCGKIKYPADFLVLGSAASDYCPIIFGRPFLNTCGAIIDCKKEKILTKFAGESYEFNFSKFTKTPYKIDPPNDDFKMEQCASIVLVPTNPLQQHLENSESEVFRKERDELEEIFLRQPILKHDLPVEDLGTTPPPKEDHVFDLKPLPDNLKYAHIDDKKIYPVIISSKLIEFEEERLLEILKKHRDAIGYTLDDLKGISPSICQHAINMEDDAKPVVEPQRRLIPKMKDVVRNEVLRLLEAGIIYPIADSRWVSPVHCVPKKGGMTVVPNDNDELIPQRVVVGYRMCIDFRKVNKVTKKDHYPLPFIDQMLERTNIDPNNVPLASLVAQEEHVDVNFIKNNNFNNNAYRNNSSNNYRPYPYNNGNGYGNSYGNSYNNNRSSPPGLEAMLKEFISTQTAFNKSVEEKLGKIDILASKVDSLASDVDLLKSKVMPSRDIENKIVTTANAIQVRINENIRLMAELRARWDREENEKLAKEKNVAKVWTITTTSNANATHVAAPPTINNKRIGVSNVSTSNAKREKLPETAKTTETACDKAAEIFSNIGDDDPIALDYNGLNFDDCHISEVIKFLQKLAKSPNASAINLAFTQHITNALIKAREEKLEREASIPRKLEDGWEPIIKMKVKDFDCNALCDLGAKTFGKVDNVRITVNNNLVPVDFVVLDIECNASCPIILGRPFLRTVGAIIDMKEGNSENYANLRE >cds.KYUSt_chr6.5087 pep primary_assembly:MPB_Lper_Kyuss_1697:6:30074371:30077214:-1 gene:KYUSg_chr6.5087 transcript:KYUSt_chr6.5087 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALESGPGLGSGGGGRFGRVARCGATCAAASPPASAGRGGSSSAGRNSSSPAAAAQWEWDGEEVEGGDGEVQSSYKGSPFDTMDALQEALPYRKGVSKFYNGKAGSFAKPPAAVILSPPSVKGLPKPETPSPRKRKGLLPFISFKWGKAQNKEVYPEDDVVDSPSPMACRRMTVSPAATSSSGSNSGSDDEAQKLPSRRPSRKSGSGTGVFASPPMPRPPKLFPAHNRSQSMRELQDVSDSTAMVSPRDKRRKN >cds.KYUSt_chr7.12093 pep primary_assembly:MPB_Lper_Kyuss_1697:7:74353526:74355467:-1 gene:KYUSg_chr7.12093 transcript:KYUSt_chr7.12093 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRCEYAWTEPMNMHARFTEKIHESYTTFVESVVDQFAAVSYGDAIFGRQVAIYLHTSVETMVRLAAWNALSNSYVLELLPPLDKCIGCNKGYLEPLERSRPPSPGSSPPHRPPPLPYRPPSSAAVAEDAAGQSPCGEAAVAARSSVGRAQRDLREHVGAAAYTLLHRRLNAARWRWPVDLRRPAADVGCGVVGSGPEVRITIHRGCVSSMARGGFAGSSSRLEDVRVFGLGMVVVADFIHRRQSARLAVLDC >cds.KYUSt_chr2.37800 pep primary_assembly:MPB_Lper_Kyuss_1697:2:233981769:233984102:1 gene:KYUSg_chr2.37800 transcript:KYUSt_chr2.37800 gene_biotype:protein_coding transcript_biotype:protein_coding MNRALPLAGVTFFFISVACASVAAAAAGDRRPYIVQMDVSAMPTPFTTHEGWYTSVLSSLAGKKDEAAPEHLYTYTHAMTGFSAVLTAPQLAEIQGMEAHVSVFPETYARLHTTRTPEFLGLVGGGASGGSTLWPASKYGEDVIVGIVDTGVWPESESFSDAGITKPVPARWKGACEAGNAFKPSMCNKKLIGARSFSKALKKSGMSIAPDDYDSPRDYYGHGTHTSSTAAGSAVKGASYFGYANGTATGIAPMARVAMYKAVFEGDTLESASSDVLAAMDRAIADGVDVMSLSLGFPETSYDTNVIAIGAFAAMQKGIFVTCSAGNDGSDGYTIMNGAPWITTVGASTIDRDFTATVTLDGGTGGGARSIHGKSVYPVGTAIAGADLYYGHGNKSKQMCEYSSLNKKEVSGKYVFCTAGEGIRQQMGEVQSNGGRGLIAASNMKDFLQPTDYTMPLVLVTLSDGAAIQKYVTATKSPPKVSIRFAGTELGVKPAPTVAYFSARGPSQMSPTILKPDIVAPGVDILAAWVPNKEIMEIGTQKLFTKYMLVSGTSMSSPHVAGIVALLRSAHPDWSPAAIRSAMMTTAYVKDNANKVIVSMPNGSPGTPLDYGNGHVSPNEATDPGLVYDATADDYVNFLCGLRYSSRQITAVTGRRNAKCAAGASLDLNYPSFMVILNKTKSATRTFNRVLTNVGSSPAKYTVSVTAPAGMKVTVTPPALSFGGKGSKQKFSVTVQVSQVKRAGDDYNYIGNHGFLIWNEVGGHRAVRSPIVSAFAQ >cds.KYUSt_chr1.5471 pep primary_assembly:MPB_Lper_Kyuss_1697:1:33740316:33742746:-1 gene:KYUSg_chr1.5471 transcript:KYUSt_chr1.5471 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKAATAAAPAAAKKRSGAAASPASKKRESGGAGRGRPRKRARDDGDGDGDPPSHGDLISKLPDDILGTIISLLPTKDGARTQALARRWRPLWCSAPLNLHADYHLCSNEFKRLSVVSKILSDHQGPARRFYFCSIRLHKDKKRFAQEAAQVESWFHSRALDGLQDLHIRFDLLDRRHQPEKRYPLPPSVLRLSSTLVVATISFCEFPKEIAPSVSFPLLKQLNLWRVSISEDVFRGVLSGCHVLESLALESMGDVGCFRISSPTLRSVRLSACFPNKGELIIEDAPSLEKLILSCSTNVGDNIRVIRAPKLEILGYLSPCISEILIANLLFKSLTPASLKNTISTVKVLALEFSIPDLDAVIDVLRCFPCLEKLYVIYDPIDPVKCLETHLKELVLKNYEATKHNSPSPTIVIDLTLLTAAAAPHSLDLFVVAAAWLDLDLLRTLAASLLMVPRPPLQPGNHAMLLDRSSSTVRCRIAASPTPRNSAFSTL >cds.KYUSt_chr1.6010 pep primary_assembly:MPB_Lper_Kyuss_1697:1:36918102:36919468:1 gene:KYUSg_chr1.6010 transcript:KYUSt_chr1.6010 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAHRRLLLVCSFLIMCLCRGTRGDELKSDFYDDTCPGVYAIVQQHVFAAMRDELRMGASLLRLHFHDCFVNGCDGSILLDGEDGEKFAQPNQNSVRGYEVIDAIKADLESMCPGMVSCADVVALAAGYGVLFSGGPYYDVLLGRRDGLVANQAGADNGLPSPFEPISSIVQKFADVGLDTTDVVVLSGAHTIGRARCVLFSNRLTSTTSSADPTLDSTMAAVLQSLCAGGDGNQTTALDANSADAFDKHYFQNLLTKRGLLSSDQGLFSGDEDIVASTTMALVQKYSDDGEKFFADFGASMVKMGSISPLTGDEGEIRCNCRVAN >cds.KYUSt_chr6.3102 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18136986:18142880:-1 gene:KYUSg_chr6.3102 transcript:KYUSt_chr6.3102 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHHRMGAAAADFRRDLEDLVCDHLGGCYSPPPSSSSSCSVAGDAGGGAGAGHGANDDEAESSRRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALTRLHPVSTLDPSAFLLSSPTSPPPPRPREQAPSPAAPSSLLQMWRELEHRRGADADHREPSPETPDRHRERVRQIARRLTTSTDSPTAAAATATGEWLGETERQRVRLVREWVQMASQPRDARVAASRREETAGTPPAAAAPQERERRGEPPRLRGRQARMDVITRMARERQRELQGLSGFHIVSQFPHRSRSRIQGLLRGRFLRNAVLPDEEERQPSVAARELGQLRQSHRVSTLRSESTVSTQDVSQSDAPVAENAALLDNDETEQGADIRDFTDSEDVAETAVENGGLQEDNVEVAEAESPTTTLGDIVDMQVSQVDNGMQDETERDTIFWQPSLDVRLDRWPDEAAENADRNWEDNAEELHSEIAEDDDRENENLPDEHDEWHDDESHGTEENWQDDFHDSALDTGPIPRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGDSLEQLIRSYVQRRGHAPLNWNLDAAEPTANAPNEDQGHVRNAENRPFQGPVNRPFQGAVNREWDAINDLKADMGRLQQGMTSMQRMLEACMDMQLELQRSVRQEVSAALNRSAGPEGHAMYPVDDGSRWDQVRKGTCCVCCDTQIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIVEVVRAYAVL >cds.KYUSt_chr7.38214 pep primary_assembly:MPB_Lper_Kyuss_1697:7:238269934:238270432:1 gene:KYUSg_chr7.38214 transcript:KYUSt_chr7.38214 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCPDFPRTAPLKRRVTMSVTHGNLGREYVKCDSKPELGKDLRSCKHFEWLDEYIDRIRGEGASLEMILDKSVSATPGGLASTVRNGEEFKAAELNELKQMNKQIKKLVVLKKQDNMMAAFFFFV >cds.KYUSt_chr1.17137 pep primary_assembly:MPB_Lper_Kyuss_1697:1:99478217:99482207:-1 gene:KYUSg_chr1.17137 transcript:KYUSt_chr1.17137 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAHCRRRSPPPPTEHTPPPLIPPPPELSPLAAQPATGRRSSCRRRSSPRAPKLSPPPELSPLLAQPCHRPQLTPPAELAAAGALPAAAQPATGRSSEVELRSSSQAALVPQQRGRVALVLPQQHGRAHPSAAAMSSSRPPMEKPKQVKANWDAVAHRVFLDVCIEEVNANNRPVQVLNAIGYANLVKKFNDRTKRNYDRKQMKNRWETLKKDYTVWKGLVQHASGLGRDPITHTIDASDDWWAQEIQMNTSNDDSDEELDMCYMLASCIAEEGEKVPYAARTVPLITGIQWVEQRMKHPKKFYKCFRMRRSVFTMLHDTLVADYGLKSTSQMSSKESLALFLWMLGAPESNSQAADRFGRSVSTISNKFHEVLDCVDRMAGDYIRPNDPTFTEVHDKLKQPRFWPHFKDAIGAIDGTHIPVIVVEVDKIKYTNKKGYTSQNVLAICDFDMRFTFAVPGWPESVHDTRVWTDARPRFSNYPHPPSGKQSGPCANFDLAGDAIATITGRVLAINGQVGKGLPPEVEGGGIGKSRRNKMMPEYHPSYPRSLLEKIHHRRNRSSSSELKSMREKKSIRGRQCRFLNFPTEQTNTKPPQIPRLHDGQMWMQWHRLPSTKHLRAYLTRIRQIRLPPTSPATGARRWSESAMDQHRAPAWSPPQAAERQTT >cds.KYUSt_contig_1948.212 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000232.1:1265873:1267159:-1 gene:KYUSg_contig_1948.212 transcript:KYUSt_contig_1948.212 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGWDELPDDVVQQIDGRLPCPLDRVFMAACCKSWRTAPVGPPARSLPCLILPLVRGPSVACILGGGALHGLDLPADARRARFFGSYEGMWAFVALRRRDGHVLLNVRTGERIPLPDIEFGKITRFRRAVYDENGAIQTFRWAGIGGPRRPVMMLAATLSRAPAVDDEECVAGAILNVLGPEGRVNHWRYVCFWRLGSQMAIQAQEVSTHVGWSPQDIAYFDGRFYVLTKGEHLRTYTVLNEPDPNREGVDNFHAKLCNLYHTGRGHKPDDAVRRAGYLVVSRGQLLMVVKEWMPDDGATSCIRLFALTPAVVLLHDDPDSSLAWTAVESLDGRLLVVGPGCSRAYECAHFPSGCIQEGVYFLDDRTYYNYTFFAPYFPEQSSPDEFSCTDNGRCRLLPARPEHCFPMKPGESSISTYSPPVWLLP >cds.KYUSt_chr3.41396 pep primary_assembly:MPB_Lper_Kyuss_1697:3:261135188:261138751:-1 gene:KYUSg_chr3.41396 transcript:KYUSt_chr3.41396 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPPQSTPGVTTAAGNVHSDLGGHPTAHEVANHNGSAANIESAVQEAILHEQDIETQQVIQNQRHAEATNDPAEYGEDILSSRRDPNALKEHLLKMTVDHRAEMANKRGKSLHPDNGNVEIGNGYGVPGGGAYYAGNFSSAQMNKPKDDADDLPEFLKQRLKARGILKDKAANPITNSTDKQNADSQEGHNKSALELAPGWVEAKDPTTGASYFYNQSTGVTQWDRPGGAVNIVQHQAAPSLPENWEEALDKSTGQKYYYNTKTQATQWEPPISVNPGDVPQASTNVVNPGVLPQGSTDVAVQPTAQNADIWNPHMQRCSGCGGFGVGLVQPWGYCNHCTRVQNLPFQQYPSYSNNIVHSGGTSAPKSQGNNIAAKDRSSSKPPSGKANKKDNRKRKGAEDDDLDPMDPSSYSDAPRGGWYMIPLFLETNYDSVQIDILTGNCSY >cds.KYUSt_chr4.5650 pep primary_assembly:MPB_Lper_Kyuss_1697:4:32794955:32795737:1 gene:KYUSg_chr4.5650 transcript:KYUSt_chr4.5650 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGATALEIPSDRPAFLTRPYFDGPPAATAVFLPRERRTSRPESATVYLDFGEPDPEMSYFARRHAYAYISPDKSPCRADPASFIRRVFRTLALDLPQTFELQTPSGYGDVTVRFRTPEDREAAMRRQPFVLDGATVMLVREGETPNVRVVSYDYAVHVALHRYPVELRKEKTIEGNCSGFGFLCEVDPACLSAPDLATVRVVLQLQHPREIPRELRIEYGNGSTSVIPVEIVRVWDCSHSCGANGQYVRLFQALAATA >cds.KYUSt_chr3.37366 pep primary_assembly:MPB_Lper_Kyuss_1697:3:234862012:234865022:-1 gene:KYUSg_chr3.37366 transcript:KYUSt_chr3.37366 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDKASSAAAAGAAAPADETGGAGEALGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRALTAHQDSIAGMRLGNLYCDPLMVHGGHKITARQRWTPTQMQLQILESIFDQGNGTPSKQKIKDITAELSQHGQISETNVYNWFQNRRARSKRKQAASLPNNGESEAEADEDSPTEKKPKSDEPPQQTMAARSHNPERFSEMHHHFDTGHEHGTMYGSTNDNSSRSSGSLGQMSFYQTIMSNPRMDQLLGKAETSGSFSQLRQGGSFDMYG >cds.KYUSt_chr6.21484 pep primary_assembly:MPB_Lper_Kyuss_1697:6:135610912:135612899:1 gene:KYUSg_chr6.21484 transcript:KYUSt_chr6.21484 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVRITRSLEAYLMWLLGKTMFHGQSREYDRSGHGRGFPSAVPRLEMDLGRRDELYDAERIDMPTFGSLWTSRKAVLTAELQDDAAQYARSLSSGPLLGRYEHHASFAQRLQDKLRRIYATITCTRSSDVAEYRAAQRPPRPSLQVHQPRHAPRPRMEVPPSPRPPSPNQAGGSGWQNQQQQEPTYEYWQRAGFGMEQQFPMPNFGWRPRMDEPEGEGHMSTGSGSRSFRSRCSRSGRNTAELSRLDFKSTSKLHLRIPRDTVSTSRGTCFLPDIADHCSYVLAVTFSGSTATEARWREGPWSVR >cds.KYUSt_chr5.36674 pep primary_assembly:MPB_Lper_Kyuss_1697:5:231893075:231893584:1 gene:KYUSg_chr5.36674 transcript:KYUSt_chr5.36674 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSLSAAPALPTVATAKIVLEDGSMAQFATPITARDALGSDAASSSSFLCSCDELRFDAPARALAAEEELQPGCLYFALPVSMLRRPLSGQDMAALAVKATSAFAAVAMNRKGREAARVAPLVGAEEGEREGRWNHHVYGKYGTRMTAISRPAVVQRLSAISEASA >cds.KYUSt_chr5.35019 pep primary_assembly:MPB_Lper_Kyuss_1697:5:221446598:221447537:1 gene:KYUSg_chr5.35019 transcript:KYUSt_chr5.35019 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSGGGSPSSLLQMPNPNGSDFCVSVQTKSLDMDYAMEAEADAEEEMTAYRGEKKRRLSAEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYNALRGSYDALRLDHDALRRDKEALLAEIKDLKGKLGDEDAAASFTSVKEEPAASDGPPPAGVGSSDSDSSGVLNDTDATGATPAPPEAPAPDAVTTLLGGPGAAAVAGHAFFHGSFPKLEEDETGFLDDEGPCGGFFDDEPPLAWWTEPAEPWK >cds.KYUSt_contig_1253.373 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000122.1:2377601:2377993:-1 gene:KYUSg_contig_1253.373 transcript:KYUSt_contig_1253.373 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLIEGIRHRDAIQPLPPWKQEHGLHERPSRAAPKHQEGEASPHTSRSRRHGSALLLHLASTAGQEKKTQHRQQIERQQQHALFADILEEDSATAHSGRRSRKKVEPHLELLGIWPEEPEALLHTTGI >cds.KYUSt_chr5.36135 pep primary_assembly:MPB_Lper_Kyuss_1697:5:228482691:228483438:1 gene:KYUSg_chr5.36135 transcript:KYUSt_chr5.36135 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSTTSNLLLTPKLPLPQRGPSRPGACATAFRRPNCWPVRATTGEGDAATTTEKDRPKKEERKRCLRCGALYLDEDNSPTACAFHGHITGEKGLFSLSPPHQGIDGEWSDKSGVIVYRWNDRGNRPNTGRANWKGRWSCCQERDEEAPPCRRGRHVSYDDGFTLF >cds.KYUSt_chr7.31113 pep primary_assembly:MPB_Lper_Kyuss_1697:7:193735542:193736756:-1 gene:KYUSg_chr7.31113 transcript:KYUSt_chr7.31113 gene_biotype:protein_coding transcript_biotype:protein_coding MRVALDRAHHIPRRVPLPKKKKMSNLRRRPCSPSASLPLDDDDLLSEILLRLPPHPSSLPRASLVSKRWRLLISDPRFVRRFRIHHRRHPPLLGCFVRTASGLSFEPTMSAPNRVPSGLLRFDSGDFSRPLGCRHGLALFSGHPPQRFLVWDPVTGDQHRLPVPPGFHPGTDLEVNGAVIRAAGEGDAHFRVAFVAAGKALALACVYSSETGLWGDIISTPVAVKTNPLARRFPALGRHIVSPEHAVLVGDSLYWQLTGWESRILEFDLENQSLAVIRVPLDVWYDGGTFTITRAAGGGLGFLFLSEFTAQVWERNIGCDGVASWALVTTTDLDKLLSLVPKLHYNIEILGFAEENNVVFLMTARSVFSVQLHSLQFKRLSEFNVTAEYYPFESVYTAGNSIRM >cds.KYUSt_chr6.1574 pep primary_assembly:MPB_Lper_Kyuss_1697:6:9593210:9594763:1 gene:KYUSg_chr6.1574 transcript:KYUSt_chr6.1574 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFRSIPSGSRTEHILSEPPNDRLSEPPNDRLSELPNDLLLNILERMDTLDALRACIVSKQMQKLPAMLSQIAIVLGNCELVRKNGVVADVIDKILRKRSPEITIRKLKVKLYFTPNDCRSVGKSVGHAMVTQKLDAAEFEILTPKNSFHCKDADLLNFAKEFNTFLADCPDAFSGLTRLQLQNLRFGEADISNILGTCKRLESLSFFECDAIVQLDCLPSLQRVTYNWLRDENPLVLGFVPHLSKLSLTNTRLSDKTIMLSQLLANVHTVRELYLDFRSEKIWLRPECRWVLGPVLAELHTVNLNNLPEDCDLAWTMFFLEAAPILEELCITVWDHKCCRESQKSFSKKVDVKWVPSSIGFKHKNLAKLTIYGFQPDNNFTAYIRRVMKTAVNIQEISLYDRKVCKICAEKFPHVKVRPSSYPQTDKEKDSLRKKITEASAMMASPSVIHFRS >cds.KYUSt_chr7.40203 pep primary_assembly:MPB_Lper_Kyuss_1697:7:249606376:249606633:1 gene:KYUSg_chr7.40203 transcript:KYUSt_chr7.40203 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGGPGGAQTAVSACYAGPLFNTVVGLGLSLTLAAGAQYPAPFTIPADGAVYETVAFLFAGSIHEAVSALCSVLQTNSICSSV >cds.KYUSt_chr2.10869 pep primary_assembly:MPB_Lper_Kyuss_1697:2:69038175:69040773:-1 gene:KYUSg_chr2.10869 transcript:KYUSt_chr2.10869 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDSMHHSNASIQQAEKPASVPPESQDQSSVIQEKLPHNLTEHQDLKNHIGHTVPASPETFSQLDQVQVSSGSSNGKIDLATSANKTEENNTSENGSSGQSTLVTTETKSNGDNIDHHKNIAAIPNEKAETESKSERPYRGLVDTAAPFESVREAVTKFGGIVDWKAYRTHTLERRRAVQLELQKVQQDIPQLKEDSENAEMARTQVVEELERTKRLIEELKHKLEKAEIELDQSKQDSELAQLRAQEIEHGIDDGASVIAQTQLAVAKERNQKAVEELKLVKEESRSTREQYTTLVRESGVAIKRADEIASAAKETEKRVEELTLELIASKEMLESAHAAHHEAEEHRLGAALAKEQDCLAWERELQQAQEELRQLNEQLLSKTSVESKLVENTRSLLSLNADMAAYMESKSSEEVGAVHENGSDEAKEISRSIQQALASARKELEDVRGNIEKTKNEANLVRVAAESLNSELDKEKASLVALQQRESMASITVSSLEAELNRTKQEIEMLYTNEAECRRKMVEIPKMLQQAAHEADDAKVAAQSAQEELRKTKEEAEQTKAAATTAEIRLRAALKEIEASKASERLALVAAQALQESEEARSVEDSPRGVTIPVSDYYALSKRVHEAEELANKRVEAALAQIELAKESESRSLERLHEVSREMGQKKDALKIASQRADSAKEGKLNAEQQLRKWRSEQAQVRKAHEAAKHAVNPLSAEHKASYQGDKEVLTESKLHMSDNSRDGFVSEKKVQKKKSFFPQMFNLWFRKAQTQT >cds.KYUSt_chr1.14980 pep primary_assembly:MPB_Lper_Kyuss_1697:1:87180388:87180771:1 gene:KYUSg_chr1.14980 transcript:KYUSt_chr1.14980 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRARRPPFLLLPRAPYPSPAPLSSLRELGHAPCADNQRVHFVVAAEMRARRFPPPRGGISSAVRAPDVLVHALSREVRRFPPPHGGSSSAVRAPDGLVVRVYHALRWEARRSATAQRELARRPCA >cds.KYUSt_contig_528.660 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000048.1:3957205:3959286:-1 gene:KYUSg_contig_528.660 transcript:KYUSt_contig_528.660 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLGLPRGSRPRSSVEIPEWPSPSSSRAAAHPVGRPGRRSGCWASATTRHSRRARASSQPPPKNAELQRDAAMAARERRRIQARVNRSRAGAKREGLSWASASSASNGGAESLLAANKRANDLATKLKASEEAREKAEKDASCIEDLRKRLHEAETALSDKIAQNIAHEGKIAARLESLSRRFVRKMSQDFVLEKPEDNRLLDALSLLEIHADLVRRCIFDAQTAFSCLFPYFFPKKKEADTFAALAESFVPKEDLGLALRQENLKIGVEGTIALVAESQQNVDWAKVGEATKMKQDKWPNLIKAAKPHSKKILAFLGYKPTPSSSSAKSEVK >cds.KYUSt_chr1.34962 pep primary_assembly:MPB_Lper_Kyuss_1697:1:212971587:212989168:1 gene:KYUSg_chr1.34962 transcript:KYUSt_chr1.34962 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSFQSTSTSSGGATSGSGSGYGTSRSFNRSFNLRNLSKLLLPPLGYSQSPTGRPDKWVVSPLDSRYRCWETFMVILVAYSAWVYPFEVAFMNASPKGGLEVTDMVVDLFFAIDIVLTFFVAYIDSSTQLLVRDRKRITHRYLKTFFILDVASTIPFQGLAYLATGKVREGWVYTVLGVFRLWRLRKVKQFFTRLEKDIRFSYFWIRCARLIAVTLFLVHYAGCLYYMIADRYPNRDKTWIGAVTPNFRQESLWMRYISSIYWSITTMTTVGYGDLHAQNNVEMIFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASNFVSRNRLPPRLQQQILAYMCLKFRAESLNQQQLIDQLPKSICKSICEHLFLPVVKEVYLFKDVSRETLLLLVTRTKPEYIPPKEDVIVQNEAADDVYIIVSGEVEIIHFDGDREQVVGTLGTRDIFGEVSALSDRAQCFTFRTRTLSQLLRLKQATLKEAMQSKPDDSVIIIKNFLRHQIEVHDMKDLLGESAGEDSSGVNIPCNLLTVAATGNSSFLEDLLRVGMDPDVGDSKGRTALHIAASKGYEECVLVLLRHGCNVNIKDSQGNTAMWQAIAARHHKVFSILYHLARVSTPHAGGDLLCLAARRGDIDTLRELLKHGLSVDCEDRNGATALRVALTEGRADVARFLVMNGASVDKASHDSAGAATPTALVAELRELAKRREVGHPITIYDSPADSVTVVGSSGDLSQRKLPSKRSDSAHWPRVSIYRGHPFVRNHSSEAGKLINLPPTMEEFKTIIGEKLKVDGEKALVLNHEGSEIDSVDVIRDNDKLFIVTEEHMKMLASMDSVSAAAFATYEDWFGGVKVVLIQPLVATLFIALQDALRGLILDEGGRANHELRRAADSSADEL >cds.KYUSt_chr7.7986 pep primary_assembly:MPB_Lper_Kyuss_1697:7:48223679:48224056:-1 gene:KYUSg_chr7.7986 transcript:KYUSt_chr7.7986 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKTSMIVAVVVVAALCLGGVRPAEACNGHPCPSPAGHCPVNAVKLAVCADVLDGLIHVVLGPQPAKQPCCSLISGLVDLDAAACVCLAINANVLGINLDIDVDLTLLLNYCGCKVPKGFTCA >cds.KYUSt_chr7.22927 pep primary_assembly:MPB_Lper_Kyuss_1697:7:142306769:142307385:1 gene:KYUSg_chr7.22927 transcript:KYUSt_chr7.22927 gene_biotype:protein_coding transcript_biotype:protein_coding MMHNPARWKDLSPKIVVQLYHEVAVRGNLGFAAAVWPAVYIDQFNRDEDGMVEKAVPTRPTTSGPSLASAPTPAASVSHERDNALDILDNSGLWTT >cds.KYUSt_contig_2887.188 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000561.1:937536:938422:-1 gene:KYUSg_contig_2887.188 transcript:KYUSt_contig_2887.188 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVPGRHKMRRILDGYLTLHGVNYILKFPDPEPVELKESTAEETLKEDAMRWRFEQYLMTEHGCNYRTKERVKAWLFDMFKKCALEVEKRNAEGGWASFGTDLFWAETELEFRRRREVEDN >cds.KYUSt_chr2.3345 pep primary_assembly:MPB_Lper_Kyuss_1697:2:19977784:19978404:1 gene:KYUSg_chr2.3345 transcript:KYUSt_chr2.3345 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTIPAAAADERDRKRKRDGGEPETDEVKGRRQDAPSRWRTRREHEIYSSKLLDALRLVRAGKGALPSAAREVRQAADRALAVAARGRSRWSRAVLLLASRSRARAHRVRVRVRSRAPAPAPQPQTHRPSTGASTSSSGAPALARKAQELGRLVPGCRKLPFPALLSEASDYIAALEMQVRAMAALAHALASVAPPSPSPS >cds.KYUSt_chr6.5454 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32498929:32503581:-1 gene:KYUSg_chr6.5454 transcript:KYUSt_chr6.5454 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTHVVQAATAAALLLVFVQLLTSSSAADPPFSCGAPSSAPFCDRKLPIEQRAGDLVSKLTLEEKISQLGDLSPAVDRLGVPAYKWWSEALHGVAWSRGIHLNGSLRAATSFPQVILTAASFNPDLWYRIGQAIGVEARGIYNNGHAEGLTFWAPNINVFRDPRWGRGQETPGEDPTMSGKYAAVFVRGVQGYAMAGAINSSDLEASACCKHFTAYDLDNWKGVTRFAFDAKVTAQDLADTYNPPFKSCVEEGGASGIMCSYNRVNGVPTCADYNLLTKTARGDWGFNGYIASDCGAVAIIHDVQHYAKEPEDAVADVLKAGLDVECGGYAQTYGLSAIRKGKITEQDIDRALHNLFTIRMRLGLFNGNPKYNRYGNIGADQVCKQEHQNLALQAAQDGTVLLKNDRGALPLSKTKVSSIAVIGPNANNAGLLLGNYHGPPCISVTPLQALQRYVKDARFVAGCNAAVCNVSNIGEAVHVASSADYVVLFMGLDQDQEREEVDRLELGLPGMQEHLVNSVADAAKKPVILVLLCGGPVDVTFAKNNPKIGAIVWAGYPGQAGGIAIAQVLFGEHNPGGRLPVTWYPKEFTSVPMTDMRMRADPATGYPGRTYRFYKGKTVYNFGYGLSYSKYSHNFVSKGTKPPSKTNIDGLKAIESATGTVSYDVEEIGAEACDKLKFPTLVRVQNHGPMDGRHPVLLFLRWPNATDGRPTSQLIGFQSVHLRAAQTAHVEFEVSPCKHFIRAAEDGRKVIDQGSHFVGVGEDEFEISFMA >cds.KYUSt_chr4.19094 pep primary_assembly:MPB_Lper_Kyuss_1697:4:119984659:119987657:-1 gene:KYUSg_chr4.19094 transcript:KYUSt_chr4.19094 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTAPWHKLSEAEAAVNRSVAASRVGRYFKLDARKSSFTKELRAGAATFLTMAYIISVNAAILTDSGGPCTVHDCTPVPGNSTVAPGPECMVGTSNPGYQQCLARTKSDLVVATAVAAMAASFAMGALANLPLALAPGMGANAYFAYNMVGFHGSGSIPYRTALAGVMLEGIVFFALSAVGLRSKLARLIPRNIRLASAVGIGLFLAFTGLQANQGLGLVGASPTTLVTLTACSETDPVTGACLGGTLHSPTFWLGAAGFLLTATCLARDVKGGMIYGIVFVTAVSWIRGTSVTVFPDTPAGNAGFSYFKKIVDFHTIESTAGQLSFGGFRHGNVWIAMLTLLYVDVLDTTSTMYSMAEYGGFTDGAGGFEGEYRAFLVDAGSTVLSAALGSTTVTTYIESTAGIREGGRTGLTAITVSACFLASLFFSPLLMSVPPWAVGPSLVLVGAMMMRVAKDIEWGDMKEAIPSFVTMALMPLTFSIANGIIAGLGVYVALHWYDWASHACGRVGKALDDRRNQVAAATAEVRGIIANRHSRICGAENTREKRALRQAEIRWGNSLPEGETVTVIELDFIGVIITIISTTVTVIFTAAPRLHCNI >cds.KYUSt_chr2.33807 pep primary_assembly:MPB_Lper_Kyuss_1697:2:208946064:208950481:-1 gene:KYUSg_chr2.33807 transcript:KYUSt_chr2.33807 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAAATTDAPAAAVAHPHPHAHGPPVPHGHGHPHPHHHMPQPRWVVLPYPPPPPMVAAPPPPPPQYVKHFAPPASVTPPPPSAGSGGNGGDENRTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRHSGVSEGYGFVEFCSHASAEKALQNFAGHVMPNTDRAFKLNWASYSVGEKRSELASDHSIFVGDLAVDVTDEMLMELFANKYRSVKGAKVIIDANTGRSRGYGFVRFGDDSDKTHAMTEMNGVYCSTRPIRIGPATPRRTTGDSGSSPPRQSDGDSTNKTVYVGGLDPNVSEDELRKAFAKYGDVASVKIPVGKQCGFVQFVNRADAEEALQGLSGSTIGKQAVRLSWGRSPASKQSRGDSGHRRNGMYYGTPFYGGYGYGSPVPHPNMYAAAYGAYPFYGNQQLVS >cds.KYUSt_chr4.11522 pep primary_assembly:MPB_Lper_Kyuss_1697:4:70083624:70084172:1 gene:KYUSg_chr4.11522 transcript:KYUSt_chr4.11522 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPDWSLLPSDLVHSIADCFLATNDIDYYMDLRAVCSNWRSATDDPKRNPDHPRFRPLQWIVLDDYDYRKISTLILVNTGTGRFLRKELPLLDNYYVIATTVGGFFVLADGYHTPHAAHVLNPFTGHMIHFKAAMPSDLVHAAAVFGSSPTLVLLCDSEHKQYVADPDSKCFIEYKDEHT >cds.KYUSt_chr3.9582 pep primary_assembly:MPB_Lper_Kyuss_1697:3:56233323:56239957:1 gene:KYUSg_chr3.9582 transcript:KYUSt_chr3.9582 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRVPGLALMDRNLSIEAPPQLTPAPRLALRLPAVAARASGGREVRAAEGDGRRVIPIARCYDAGLARLEVTGAARWEQAVAAAAAADGGVAADAHLGAGSEAMVMEAFLPGPGGAASTRVVPVDFSISSSDGKLLSALAEAIFSCVIEDTEKNYLGGTGGLFRIRKPNCSSDSTVCIHRIAEAELANNARRCLERFDLAKSSHEVGKPKNAWWPAPKYGSLEEIGGPDFVLLAHEFIPSYKLQINAAAFKNTELKGCHELANNRQEVLVSHFQLAELGNVLDMYFEDQFTLPGKTFHSHWNVEPSKIRKNNGYLNNLFAFLAGSSVILIVGVLAQLYWPQSLKDKSLFMEVEKNKEVEKDKEVATEMAKVDVGCMDLLYDLEHSMLETLLLETSLLDYMDYCMDLLDYYGLIEKQRKSGNFSASLPCISTRQRHKHTAKAFFAVHIHTAKGACCALRCCGCPAGLPLPCGVEAKRTAKPRLCRAAWRPPSVTMGMSWPFRKTKNGHEVIKCVGHANHPSGDPYALPPLHGTASSHDNSNACISIGAISRPLPPSAHVVPPAHGALYRLWPWAPPGFIIIKDDN >cds.KYUSt_chr6.31622 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199857295:199861117:-1 gene:KYUSg_chr6.31622 transcript:KYUSt_chr6.31622 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEIVSLPVPDDLLVEIFLRLPTPADILRASATCVSFRRVAAHRSFVRRFRKLHAPPLLGFFDDRVFHPAILPHPSAPAACAFALAADFSFSFLPARTCAWVVQDSCDGHILLKSAETDEAIFSEVLVCDPLHRRYLFVPPVPDDIAASVENPLPIKPRFWCQTFLVPLCDDEDAPATEEMPFRVIRLVLCKTKLFAFVFSSSTGQWRAIPSQGCNNLFAGLLSSGNTVFRFRQYVYGSFYWRTGYAETSMLVLDTRRMEFSIAELPPEAKSSYSLAIAMVEAGKSRPGFFMLKDSGSTLSYCIMQNDAGSLSHWQEEKTISLGRGHYLIGSTERYLLLFRLRSSSDGPGFYTLDVKTFHLEKVCDSSTSSFLNLHAYSNFPPSLLSLPTIPRALRGARVLELVEGVDKAQDEEIEVEDSNGKKITVENPEYSSWIARDHQAALNSHVSTASKSRVQQLRGALNDTKKTDLSAEKYFAKMKTLASEFAVAGKPLDDDELIWYVLNGLGSPYNNLITAVRANPATSLSDLFDQVQAYDRLHKTDDIGFSSSANVARRGAPPPRSQEPPHRGQDRWRDTDRDRGQDRWRDDRGQDRWRDDRGQDRRQQDRRDDRDRGRRDGGGYQGRKYDDDRSRRRNDGDSNGRPRRQPTPFVDTICQICTIHGHPAKECWWRYGDDRGDNGDRSNKGANFASHKVDTNWYYETRATDHIT >cds.KYUSt_chr7.18596 pep primary_assembly:MPB_Lper_Kyuss_1697:7:115190527:115193104:-1 gene:KYUSg_chr7.18596 transcript:KYUSt_chr7.18596 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAEGVQLNKSLFCFEADTGATSSGVFAGDDPLKFYFPLLLYHICVVFILSRAIHGTLLGRAGVPLAISQILAGALLGPSFLGRFFPSVGQIFATPEGWVQINTVGGYAFTLHIFTVGVKTDLSMIVKSGKKAVAIAILGTAAPHLAMYIAGLALNDCLPKAWIDSFLLTNLTSWWSLSAFIVVCCTLHDLNLLSSKLGRLAMSAALIGDFANTFSIAGVTSYLLASSPEEKLQRIGFMSSLSFSIFIALMALVARPTILRLIRDVPEGALLPESRLVAVLLISLTCSFAGELLGLHATYGPFMLGLMLPGGAPLGVTLEERLDRLVVGVLTTLLFAQGGMRMNVYTIEDVYTCALLEVFLVVGIVAKFVACILPCLYCHIPVREAVVVGLMMNFKGITESVYASSFMDSKILDDQAYAAFMINVLVIGAATASAVKYMYHPEEKYVAYRRRTVQHKKLGEELRMLACVHSQVDVGPMVALLDATSPTPATPLALYLLHLVPLAGLTSSVLRHFKHGDPNCVPTGSTATESERIVNAFQFFVGQRPQGSSSLLPYVCIAPYATMHNDVCAVALEKRAMLIIVPFHKRLAIDGSIEPTSPNAGAIQAANTNILNYSPCSVAILVDRGSLSGVVSAASAAAVDGGFPHRVAVYFLGGPDDREAMALAAHMAEDAPIGLTVFRFLLPVEWQQRLNPEEDQLDEEATQDFVRRCVDEHRVLYSEHTVGGSDEMVDVIRNTSLSFNLLVVGRRAESLESPLTAGISDWSEHLELGILGDLLTSTDFGCRVSTLVVQQQTRAAAGELCQSPEKPEKAQHPLDGPV >cds.KYUSt_chr2.7715 pep primary_assembly:MPB_Lper_Kyuss_1697:2:48413831:48417658:1 gene:KYUSg_chr2.7715 transcript:KYUSt_chr2.7715 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPLERARSKQQTFKDAGHDVHADGASAASHAEEVQGLAVGANPVASTSTSATVLSFTSATAVPPAPPPPSPAAGVTFLDVDVDLHVVARDAERRGHRPCSSTQEVLHAAGVRPEASDGHAAGRAERVVEEVLVQDEEEMSREHVKVQEKDAAGPLQAGAADGAHVAGGDGRGPTWTSGSVGRGHAPLFLGDSRSTGLGRITPSSHGEASHDRSGSENEPKHARPFDGVFRSRRAALLVVCTVDTLASQAGVAVVLRNCSPCSATSTRCNGRLQWCRLSSRASARAAQSQSGGLTHAFRVAAFKLTPPAPASDDEESKAADEGAANHTACHRSGCTNACCRVPSGSPLLCPSYTVALLQGHTVVGRETDMDMWSRDGDCPLLFFRSGSRYCI >cds.KYUSt_chr6.5782 pep primary_assembly:MPB_Lper_Kyuss_1697:6:34720598:34727349:-1 gene:KYUSg_chr6.5782 transcript:KYUSt_chr6.5782 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLDSSTLSNPKESCHNKPKKENTNIIPKDYVCTAEDFVVIESIMSAPKNTNFVEIGDASLSNDHLKCLTCDDGFLPDDVINAYIYCMRACDHLLNRAGNKIFLPINISKTHWYLAVINAKKRLIQVLDSLGPGMGRTDLTFMLRGLEKHLKTASQRMGFDKGEKWHDLDVTTWPIVEHIRKPVQTDGKEWVRSSTPYPLGLILKQIQDILRMDQPMDKDCFNMASAIFHGRKHPLWRVKPDIKELATFFHSWPGIDHNISSCNMIFLPHAFLGHFILFTIDKHECRVCILDPCSETLSEERKLSGYLVFSFMLSWDATMKPVDECLLKSRFAAGRLRDVVLGMAKERKDHIRLRGWGNLLEVGSFSAPEGLLEWIIDRTNPELGEFRNPRSNASILFTKDMIVKVLGLPSGKRPVVLTGKHQESAHREFFKIDYNHGRRAPIHHAIDMLDKGNLDEETYFRTFFEVALGTYLCPGTGNMLPLEYLGSLDDSNQVKDYDWGAHILQNVMSEVDAFQKKKANNKLQNEPKKIWVGSCLPVLAIIFMDHLEFPESSLSVHHIDYSLPRASHVSDADFKFVMKHDKSRLSLNSHSYGARPEHPDFMIPIFEKHKQLWAKDMEDIQAMCTEKHGTRMAEFARDVIAAWKVHPAPTTSFASPPRAVDVSNIHEAEGSASKATPETRFWKEAVQIAQEVEKSKEKSSRQKMKPDTPAMRLQLKPTHIKVQATRLTMTLSHLLSVFYYPVRAGLNHSRQLTNLNKQMLSPLV >cds.KYUSt_chr2.3379 pep primary_assembly:MPB_Lper_Kyuss_1697:2:20199542:20202843:1 gene:KYUSg_chr2.3379 transcript:KYUSt_chr2.3379 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIPRRPSEEARRGAYKPPGVDAARSRRRREDRLLALRRRNRDAGLFKRRRDEPPLVPATVGAPSEAAAPATDVTPPPPPPPSDPSPPQPAPPGVDSPRTAADSELDGLSELVEKVWSDDTSAQLEATVQFRKLLSDGKNSTMIKIIRADVLPRFAEFLSRHGLPQLQMEAAWVLTNIAASDYTMLVAECGAVPKLVDLLASPNASIRHQATWALGNIAADMPSCRETVLDHGAVTPLLAQFKEDMKVSVLRTATWALSNLCFGKLPEEVQVKPILEIISLLIHSADERILADACWTLYYICAGVEAGVQDVLDAGVCPQLVKLLMHASANILLPVITSLARISAGDDTQVQIIVENGVLPCLAQLLARNYPKVIKKQACLIVSNIAAGSKDHIQAVIDADVMNHVVVLLKSSEPDIQKEAAWAISNAASGGSSDHIQCFAMTFHSLTTGLQNILEEGEIGKQGKESATNPYAQFMLENGGLDNLEDLQDFDNDAVYRLAMKLLESYWDEEVSDDEATLPAPEDAPAEPVVAVPEDAAQAQPPVLAPTADGTE >cds.KYUSt_contig_60.228 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000024.1:1372273:1373127:-1 gene:KYUSg_contig_60.228 transcript:KYUSt_contig_60.228 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPWAQAPHLLQEFEFFLVLDFEATCEKDKRIYPQEIIEFPSVLVDGATGRIQSAFRRYVRPKYHPVLTPFCRDLTGISQEDVDGGVDLGEALLLHDRWLQAATAGARKGGSLAVVTWGDWDCRTMLESECVFKGIEKPAYFDRWVNLRVPFQAVIGGGGRFNLQEAVRAAGLQWEGRLHCGLDDARNTASLLVEIMRRGAKIAITGSLAPLPIQHKEEEQQQQPHTSLCGGAAGACYCGVASRGSVVAMPGPMQGRCYWGCGNWTPTMGAVCPYFLWSN >cds.KYUSt_contig_988.30 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000092.1:234833:237336:-1 gene:KYUSg_contig_988.30 transcript:KYUSt_contig_988.30 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin ligase, XA21-mediated disease resistanc [Source: Projected from Oryza sativa (Os05g0112000)] MGHGVSCARTGDEHDFFRAAQLGDLDALAAHLAADGSLARRATLYDRLSPLHIAAANGRLEALSMFLDRGAQADAVDRHKQTPLMLAAMHGKIGCVLKLLQAGANILMFDSVHARTCLHHAAYYGHVDCLDAILCAAQTTSVADSWGYARFVNVRDDNGATPLHLAARQGRPECLQVLLENGAIVSALTGSYGFPGSTSLHLAARSGSLDCIRKLLAWGADRLQRDSAGRIPFAVALKRKFGACSALLNPSSAEPMVWPSPLKFISELDPEAKALLEAALVEANREREKKILKDTKYSLPSPSHYDDNIDNDAFSEVSDTELCCICFDQACTIEVQDCGHQMCAPCTLALCCHNKPNPTTLAPPSPACPFCRGSISRLVVAHTRTDNDPEKAGSPQLAPRWSRRSHNLSGGGSSSFKGLSSAISKIARGSSRMAGSNSGETDKPEHDP >cds.KYUSt_chr2.39416 pep primary_assembly:MPB_Lper_Kyuss_1697:2:244409778:244422188:-1 gene:KYUSg_chr2.39416 transcript:KYUSt_chr2.39416 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLSKLRSLDAYPKVNEDFYSRTLSGGLITLASSLVMLLLFLSELRLYLHAATETTLRVDTSRGEKLRINFDITFPALQCSIISVDVMDISGQEHLDVKHDVFKQRIDANGKVISTKQDAVGGMKVEKPLQHHGGRLEHNETYCGSCYGAQESDGQCCNSCEDVREAYRKKGWGVSNPDSIDQCKSEGFLQSIKDEEGEGCNIYGFLEINKVAGNFHFAPGKSFQQANVHVHDLLPFQKDSFNLSHKINKLTFGEPFPGVVNPLDGANWVQPSSYGMYQYHIKVVPTVYSHLDKQIILSNQFSVTEHARSGDSGRIQALPGVFFFYDLSPIKVTFTEHHRSFLHFLTNVCAIVGAESGCWISTLHRISPHQTNATVFPTSPSPVHGAVHQPASAMHGRRHLAAALARTLSRAPARSRAISSTPSLLQTLDPTPQSPPAAGRLAEMRRRLQAETPSLGDFAYSVEVGTRKKPLPKPKWMKETIPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQRLKTLKPDMLIEALEKVATSGLHVFAHNIETVEELQRDVRDHRANFKQSIDVLKMAKEYAPPGTLTKTSVMLGCGETPDQVISTMEKVRAAGVDVITFGQYMRPSKRHMPVSEYVTPEAFENYRALGVEMVCSIRIL >cds.KYUSt_chr3.40715 pep primary_assembly:MPB_Lper_Kyuss_1697:3:256966306:256973731:-1 gene:KYUSg_chr3.40715 transcript:KYUSt_chr3.40715 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADAMLAAASRAFCSSVAVYFQIQGCCIALLLALGWALASFVRKREIRKMRRKMANGHSFAFLCDDVDELEHSVQERLPRVSVIMPLKGLGEHNLQNWKTQITSLYGGPLEFLFVVESQDDPAYRAVSRLIVEYKDKLEAKVVVAGFSTTCSQKIHNQLIGVEKMHKDTKYVLFLDDDVRLHPGTVGALTKEMEKRPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGQTFFLWGGCMMMHADDFRQDLYGLVTGLKNGGYSDDMTLAAIAGQHKRLIWSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSKVNWIMNRALFGVHCYLSWGFVCPYVMALVHIATTLRAPYSGIVKEAAESSCGLKLVSFLLVCTLTELVSMWNLTRVEIQLCNMLSPEGQQDSLRSYNWGLVFVAVLVDNFLYPMSAFRSHFSQSINWSGIRYYLKDGKVHKIERENSSKYTDLGGKHLYGKRTCPPGKSWLSYLSISLAQWHQPKKALILISGFSVQASSKVCTSQFLGRRGGAATAPRSPSLAPSSSSSDVEGRCFEFLLRIDDDPLGIKRLPDKFFEPAHLQLREASCNFCRWAVEVLFDGQGKMYLHTGWGKFARDLALEPGCRLTFLYEGDGEMVVKVFDDTARREHYHTGESESDSDS >cds.KYUSt_chr5.796 pep primary_assembly:MPB_Lper_Kyuss_1697:5:5485253:5490497:1 gene:KYUSg_chr5.796 transcript:KYUSt_chr5.796 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTPVTYEELPDELKKRYDEIKATLEADLIGSFQRTRSHGIRWKGFSPEGALDGIDLSAPSEERTRGLRQEINYLVAHSLHRHSENLVNRLPAEATLAASPELPEDILMSVFGILEIPDLVRAGSVCTSWHSGYITLRNLQKHKQSQTPCLLYTSESAGDNVACLYSLVEKRVYRLTLPEPPIRSRFLIGSSLGFLVTVDDISEVHLVNPITGEQIALPSVTTMPHVKPICDDSGAVHKYEYSKNYAKRASSTWLYALCELRESFYFKAFVFYDASTGSFIVVLIHEPFSQLSFARVGDDKWTRLPPHCGYQDCTYKDGLLYAVTIRGEIHAFDLSGCTVTMEIIRGLDVYLDLDAVSIVQAPWGDLLLVSRSLEFEDPDDENADPEIPLPKYTGEIKLRKVDVGTMRLVEIDCLPDHVLFLGHNHALCLSAKEYPALKGNHAYLTDDDEYISHRRSCRRDIGVLDLGSNSKEDLVSPQLWSNWPAPVWITPNLTMMKLTLDK >cds.KYUSt_contig_556.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000054.1:5026:12896:1 gene:KYUSg_contig_556.1 transcript:KYUSt_contig_556.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIPAASAMDWSIDLDRSLRSRHLGKTLSATKSLYSPPTPASPPFPHSRHAPSGPSTPPPHASASSPSAPAVPAGVASASGIMPAESRIFAETMLLRLATEFRAAADDSIRSRIVRPLLRNKGGGWAAAEPEQILRRVTAAHGAAGATLRARALALRMFGCLPATSPRTASTFAPSSSPASAPPMLPRNTFYLPVASTVFGTLLHLIDDEVFPLECKSYALRILQKMLCVKAPTIRHINASELTKLALAAESSEPCRLPERFSHASVLQDLHERVDRKDGEVIWKKDTYSLFKFSVASLLRARADAKGVATTREDTLPQLHRGMQFLSSVLQRLMELPFVLPKYFFSVRTTHKRFAACSLHLDGGPEQDSPAAMALLCFLLDLRNIPPPLLRLLKQCLLHLANHYAATPSPTASASAAPLPDRMALCYVHHDAARSSPELKISYRPGEKFNIRDFHHAVGNLPLDGFLHEQHAGDVPLQNLFSNRAIYSWATDDISKKVIAICFSAQNTDALRRSLMDASEQCITVEFVMLETEADAYMYDDVSGNSNNFIHRIGDLENCVVRRYSPETQVLNGLVKRWLEELNDDKEETLQAVFVFRVPIVKSVNQVSCNIYPAADQIIDGFPYCQ >cds.KYUSt_contig_973.150 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000091.1:1528539:1532738:-1 gene:KYUSg_contig_973.150 transcript:KYUSt_contig_973.150 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEEVARALGAGFDLTSDFRLRFAKIRRRLVDLCEAGARDVSVPGGGGAVLRGVPRDVGIDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNTLFDLTGAWLTDAKDTKHLAFDGYFISLFNLNLKASPLVLRDEVKNDVPSKWDPVALSWFIRTYGTHIIVEIAVGGQDVICVKQSHSSTISSADLKLHLEDLGDFLFSDGKNLSPIHRKTKDGKSKVPDVFVRIIQQPNNLHLSSYSESSTKDGLTITCSKRGGDVRIPSHSRWLQTVPKNPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDLHELQHFLEFQVPLQWAPIFNELVLGPQKRKGSYPSLQFRFLGPKLRVSTSQVSTAQKPVVGLRLYLEGRKCNRLAIHVQHLSSVPSMLGDSTTSLISEWRESQDTDPGYIEAIQWNSYACVATSAVKYNPEWSRRVSGGVFIVTGAQLFTKGTWARKVLHLRLHYTHIPNCTVHKSEWIRSPAASQKGSFLTTISTTLSSPFTHRNVQPPQKHEPAQLNSGVYPDGPPVPLQSRKLLKFVDMSEVVKGPHDVPGHWLVTAAKLVKEGGKIGLHVKFALLSYDDTEPLHESIMS >cds.KYUSt_chr5.3167 pep primary_assembly:MPB_Lper_Kyuss_1697:5:20493992:20496207:1 gene:KYUSg_chr5.3167 transcript:KYUSt_chr5.3167 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRCAPLPLLAVVASLCGSAVSWGSDPIDSLCSTAGNYTDGSQFKKNLEQLLSTISSAAASNDWFNTSTVGTGPDKVFGLIMCYADSSSTQCLDCLALAPAGVRTVCRGSRDVSAMYDACMLRYSGFNFFGDKVTYGIDPYSIIFRSYPYATDMDTMVEARSPLMEELMERAGDLSPRMHYLSLPYNDSLLGTDVISGLAQCTRDLAPSECNRCISMYTQWASILFPNNSGGAIKGYNCYLRYKLGALNITMPPQRTPPTPMPRITDSELPPSTPPTPPRLVVGLSVGSASFLVILGLGILIFISVSRRRNRSKIFEEGDVSDDELAVEDDFEKGTGPKRFRYRDLTSATDNFSDERKLGEGGFGSVYRGFLTEQNLEVAIKRVSKGSKQGKKEYISEVRIISWLRHRNLVQLIGWCHAGGELLLVYELMSNGSLDTHLHDAGNILPWSVRYEVILGLGSALLYLHQEWEQCVLHRDIKPSNIMLDASFRTKLGDFGLARLVNHGQGPYTTGIAGTMGYMDPECMVTGRTSVESDIYSFGVVLLEIACGRRPAVAREGEEEEGMIHLVQWVWDSRECGSFIGTADVRLNMEFDEQGLECVMVVGLWCAHPDRNMRPSMKQALDVLRFEAPLPKLPPKMPVATFKPLFDSFISSSQLTGGR >cds.KYUSt_chr5.43395 pep primary_assembly:MPB_Lper_Kyuss_1697:5:273400297:273401550:-1 gene:KYUSg_chr5.43395 transcript:KYUSt_chr5.43395 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAIRNTASFASPAPRIRAARSASVAARATPSGSSSRGDWQTTCAILSSTTDNQAPAPKVNGQTTKLAPPDATTPASLDLAPPTTTNLKRPLSISDLSPAPLHGAQLRVAYQGVPGAYSEAAAGKAYPNCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRELLARVISHPQALAQCEHTLTRMGLNVAREAHDDTAGAAQHVAAQGLRDTAAIASARAAELYGLAVLADGIQDDASNVTRFVALAREPIVPRTDRPFKTSIVLAHDREGTSLLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMADVRAQNALAEIQEFTSFLRVLGSYPMDMTPWDSTAPASASSSSSSSDQ >cds.KYUSt_chr3.15347 pep primary_assembly:MPB_Lper_Kyuss_1697:3:93830204:93831793:-1 gene:KYUSg_chr3.15347 transcript:KYUSt_chr3.15347 gene_biotype:protein_coding transcript_biotype:protein_coding MPSADVFSSPVGKFWAIASSSSDEEDAAVSPVSSWEDKSLRYACKTPTRCDRRDLRTRKSKREEKRELRRWAAQELALSPSSIETSPVSSSKPARHLKLPVLPPSVFSLEEAFRAAEWTLVSRKKKIKPPRSTGGDRRAASGPRRLPRSRHQQRNSTAQAPVVSDHRRWVSNAQDAPVPSGFRRRRPTAQAPVVYAQQRRGVAAEDAPEVPDQGCALISDHVFSGRTSNSKRDIDCWHKAHSPTSFLHAFFGHKGQDFLLRSKRIKGTRPNFFLATKVLGLRTHLQPSPHRDCAGFSTAARLFPPSAMAARGSRNNFGPWMNGGVGGNADQQFSRQYQYNEIPPGQAGGFGLDDQAMGQFAGGFGGGRGGNFNSNPFPRRGFGGRGGDGRVAQGYQSQNLRNDQQQQFFQGEGSGFSSNNLGQNFENQQQNNAAFHGDFGNFEEGFYDGGNVQNQNQRGSNYGTNQNFRRPYKQQAYSGRGHDSGRFNNRGNFNGRGTRANPPQQAALQGGSGVSVTPAGLVTPPNVTG >cds.KYUSt_chr3.24674 pep primary_assembly:MPB_Lper_Kyuss_1697:3:153134009:153134749:-1 gene:KYUSg_chr3.24674 transcript:KYUSt_chr3.24674 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAADAGERSSAAARLRLAWRVVRAAELLALAFLVSRSFPRLPYAAAAASSALRVAASLLLHPRSVFLIANAIVLLLFLFSRRDPSSSSSSSGQDAHDHFLSFAGQPLLLPSAADSPAAAAGADAVFEDKQAVHVTTVRAAAAPRRSRSEKISGGTRRAGSPDMRRSESDNGRRRRRSTSSAVPEEWGGAEDDDDKEGEEFRRAVEAFIAKQQTRFHREESFGLLVAGDDAQAITVAAAVSPVK >cds.KYUSt_chr3.49042 pep primary_assembly:MPB_Lper_Kyuss_1697:3:306433842:306436779:1 gene:KYUSg_chr3.49042 transcript:KYUSt_chr3.49042 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVVTIVGVVFGFFIGISFPTVSITKLHFPSSIVSYIEDKNSGLTAQAILNHAWTSARNVRENNGSEPSSNTTMRIYVPTNPRGAEMLPPGIVAQESDLDPRRLFGDPAEDLPTRPKYLVTFTVGISQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHISVPKQAKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEHFNAEEYIKLVKKYKLEISQPGLEPDKGLTWQMTKRRGDREVHKDTEERPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQVVPSLGNQGQSENGKAPWEGVRERCRKEWGMFQTRIAEADKAYYEMMGVTPPNVTFVH >cds.KYUSt_chr1.23566 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140159223:140159930:1 gene:KYUSg_chr1.23566 transcript:KYUSt_chr1.23566 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGDLKLLGAWSSPYVIRVRVALNLKSLPYEYVEENLGSKSALLLASNPVHKAVPVLLHGGRPVNESQVILQYIDEVWAGTGAPSLLPADPHERAAARFWAAYVDDKIRAAWQGMLFTCTTEEERAAAVARAVAALETLEGAFEECSKGKAFFGGDAIGFVDVVLGGYLGWFRAVDKMIGCRLIDPARTPMLARWEERFRAAEAARGVVPDDADKMLEFLPTVLGWINASKSK >cds.KYUSt_chr1.23632 pep primary_assembly:MPB_Lper_Kyuss_1697:1:140751935:140754225:1 gene:KYUSg_chr1.23632 transcript:KYUSt_chr1.23632 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSKAARSSGAFCSTAPPSQLVVSELLWPCVVLAERGGPLVVLEEHEGLHRASGVGGVLHVVGGEQSGRRTMATTYPSLPPARSRGTDRTCNPSGTPRSWLVVLFYLLGTSLEGLSAALRLPTAVAGVTLLSLGNGTSDVFASAVSFAAGEGGDGGAVGLNNVLGGRRSLRVHRWWPTVIPTTAAVPRCGLARAEEEDFLADLVEVFTEEHNTVAVRLQQQQLILSSLLRVRQPLFGVERIVYRT >cds.KYUSt_chr1.32607 pep primary_assembly:MPB_Lper_Kyuss_1697:1:198059492:198063952:-1 gene:KYUSg_chr1.32607 transcript:KYUSt_chr1.32607 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFPPDGYPVRLRSAVHGTYLHADKDGHGVSLSRRRASMKVAWVVHRYFGDDQHVLLHSAAYGRYLSATQASAPRDCPGFRVALRNYDELEDEAIRWQPFRVQPGAEILLCRHVAQGDRHGYLRANGKYLPWNGSIVSVHDVDNISTMMRWVVELVPSSERIPRLPRPSRLHLSVLLSSRSRMVVFTVNGEWCYAAAVNFSFRGRFVYHLRNELATRLGIPGNVSNNLVMYVRAGRYGRITPLVANLSHSTEILVITGDMPDGPFGAPAQNYKKYDILLLIGLGIGATPFISILKDLLHNLKSSQVNFSSCYWKFFLIHEHKCRINRMSWIQQEMQSMQDEESGSTFKSNGPSRAYFYWVTREQGSFEWFKGVMNEVAESDRDVLLNPKTDHSDHLDFG >cds.KYUSt_chr2.15802 pep primary_assembly:MPB_Lper_Kyuss_1697:2:99412204:99414225:-1 gene:KYUSg_chr2.15802 transcript:KYUSt_chr2.15802 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLLLLLLSLNGALASSADVGQFAYQGFAAANLTLDGLSVVMPNGLLALTNSTSQGKGHAFHPAPLSFINTTTNSSTTVARSFSASFVFAIVSIVDGLTDQGLAFVLAPTLNLSSANAGQYLGLLNATNGTARDHILAVELDIIRNPEMRDINSNHVGIDVNSLISQQAKPAGYYGDGDGAFRDLMLNSGKPMQVWVDYDGQARQLNVTLASVQAPKPKNPLLSEAIDLYTIVAETMFVGLSASSGVLSAHHYILGWSFSLDGPAPPLDFSKLPTLPHVGPEPRSKILYIALRSATVLLIPSVLAVVFFLWRRRRFAEVREDWEDDFGPHRFTYKDLFHATDGFKNSNILGVGGFGKVYKGVLPASNLEIAVKRVSHDSRQGVREFIAEVVSIGRLRHRNLAQLLGYCRRNGELLLVYEYMANGSLDKYLYNKNGPALNWTQRTWIIKGVTSSLLYLHEDWEQVVIHRDIKASNVLLDGQMNGRLGDFGLARLYDHGTDAHTTHVVGTMGYLAPELVRTGKATPSTDVFAFGVFLLEVACGRRPIGSDEHNNPVVLVDWVLEHHSNGSIIDAVDSRLMGKFNTEEVTLVLTLGLLCAHPLPNARPSIRKVMQYLDSDQSLPRLSATYMSYSKMAQMQSEGFDSYIMPHTLRVKSICSASGESWETVMFDGR >cds.KYUSt_chr5.16856 pep primary_assembly:MPB_Lper_Kyuss_1697:5:108542115:108544987:1 gene:KYUSg_chr5.16856 transcript:KYUSt_chr5.16856 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDDFDVDSFLNLDGMDEETTVGASAGPQMDPAALVQPLHPVVLDAPYIHPGVHVTAAASVQGEHHLAPPAPMHLQHQQHSPAALIQGQQMFGESQACDIAELHQLIDEALARGFDDEIPMPAPIAHVPHDFQAAPFHYVQHPQDPVTALLHQQTLGEGDASAFGFHDQMPMPAPIAQGQHDFQFQAAPSDYVQHPQHQDPVTDLQQQHILGEASGYGFQDQMPMQANIAQGLHDFQAAPSDYVQHHQDQDPMTAIQHRQILGEASTFGFHDQMPMQANIAQGQHGFQAGPSDYVQHPQLPATAVLPPQVIGESPAFGLHDQILGDASAFNFHDQLLMPAPIADGQHGFQAAPADYVQHPQLPTTAVLPQQVIGESQVFGLNDQLLADASAVGFHDQLPMLAPIADGQHGFQAGPSDYVQHLQVPDADIQSEQIIFEASAYGLQDQLPTPPSGGSEEDVFSLPPIDDSSEMMQEYLMDNVAVEFVSDQAVNHAGGSGATGLMEDEMDFVPLWPGRLECDHCHVLGKIRSQSETRQVFIFLHSAADGSIQHAIMEINYHGDDIQAPKLYIDFSRQTREFVLNFIRNKVELLRKETGGAVLDSHEVAQNSNIIEAPPPSPPQDAEPSMEATADNNVIVNGLPEPPVFQSFDEDQENQPSESAEVHSSMPRMQQERAAPPTLEEKAEEIRQYLQELKENAQRELNTNDANLKIFCRRTNCTWKVVRIRKLNRMIVTLGENLFRFSLSRLMRNKERADECVIEKTQVHGQITRDMDKGKNTENGAGPSHAKKKRRGAR >cds.KYUSt_chr1.36065 pep primary_assembly:MPB_Lper_Kyuss_1697:1:219775116:219775412:1 gene:KYUSg_chr1.36065 transcript:KYUSt_chr1.36065 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRSCAEFMAKERLRRELISLRWSFLTLLTGASYQGRFLATTRRPFHGRELAEIRLASIPARPPLSLSRRPAATDGRQIPRSRVHDESHGRRGRVS >cds.KYUSt_chr4.54512 pep primary_assembly:MPB_Lper_Kyuss_1697:4:336796069:336796983:-1 gene:KYUSg_chr4.54512 transcript:KYUSt_chr4.54512 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAPFTNPVTAPITNPATTPTAVPGTSPVTNPATYPYPPQGGGVGVPTTPVFPAPATTVPIGATPIFPAPATTVPTGAAPTTMTGAGTWCVPKTGVMEAALQAGMDYACGMGGADCSALQPMGSCYNPNTMQAHASYAFNSYFQRNTSPTSCDFGGAGMLVDTNPSSGACMYQTSSGQHRYSGSNRHTRLRVGGHDSGLQHRSNDRDGTGGRWRVGLDGAEREQ >cds.KYUSt_chr2.2364 pep primary_assembly:MPB_Lper_Kyuss_1697:2:14286551:14287399:-1 gene:KYUSg_chr2.2364 transcript:KYUSt_chr2.2364 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGGGRGDLAAWISPAVGSFFFLLPLRWSAGRGKQGADASFNKKEAVHHLLQPGRGGRRLFLAGLGGEGESARASENNVVDKLLSGRGGEEEHIHAVTSSSASMRSYLRWICSVLRSNLLCFSLTCRGGEEAVAAGVAPHAYRSQPLPKGCYGAATASSTPLLKWRSSWEALQQGTYGGVIALPLHHMAEWRPFAGRSSSTRRLLYGSLSTDPTVYAPSGLLPGGVDGSRWRMPFSGDDQGTDRVFNFHARVLCEKFKGWPVIFCSSEALFVIWSVTVLT >cds.KYUSt_chr4.12630 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77587991:77588623:1 gene:KYUSg_chr4.12630 transcript:KYUSt_chr4.12630 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEAIASLREDNGSSQAAIARRIEAAHGAAGHLPPSHPALVAAHLSRMAAAAELVAVAGGKYALPAPPSRCPAVQEADAEDDEPEYDDDSSPDDAPPPPPPPQQQPPAKRGRGRPPKVRPPGFPITAPAGTPIGATANINGLPVPAAAPAAPRRRGRPPKPRDPLAPPKVARPRGRPRKNPLPDGMVQIPRPGSTAAKPRAQFAEVGFV >cds.KYUSt_chr1.34499 pep primary_assembly:MPB_Lper_Kyuss_1697:1:210005327:210005737:1 gene:KYUSg_chr1.34499 transcript:KYUSt_chr1.34499 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr6.8832 pep primary_assembly:MPB_Lper_Kyuss_1697:6:54267458:54268561:1 gene:KYUSg_chr6.8832 transcript:KYUSt_chr6.8832 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISDLAFSFARFVAREHHPRRASARTAARRNHSHWYFGPRRHGRPDWNGGLRHRGNRWVPRRGGKRRGLSLRGGGLRRHLHSNRLRGNRLRSNRRTPQHHNRHWMRRHRDPGDPGPSTTAVVRREQTPVVAAEPAVVVAAPAVAAEEVVDAVYEDEASASNISADIDELMPVPPEFALPPMEWLLGGPSAGWLVDDPERDFGDEELLVPPPPPASPPTMYYCMRHGFQPCLPSPTPSDEDRAHFAPPGYDPVPEFFAPPNAVQPEAAMEEVEAVAPAPAAPVLPDLNLPAPEKEENEPVLALPTPSPEARVLLRRFASAMAARPAGIRRGTWSPEALGLTGRVAELRLNEASHHSSSSAEGPRRC >cds.KYUSt_chr7.35132 pep primary_assembly:MPB_Lper_Kyuss_1697:7:219516102:219525139:1 gene:KYUSg_chr7.35132 transcript:KYUSt_chr7.35132 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHNDINVLQRSPVFDRLPYAQSPNVHFEINGHHYNKGYYLADGIYPSRATLVKTIRRPSSEQEARLAKEQEAARKDVERAFGILQPRWAIILLILNLLPVANVAGVTSHPAGATLLEVKKSFRNVGNVLYDWSGNDYCSWRGVLCDNVTFAVAALNLSGLNLEGEISPAVGSLKSLVSIDLKSNGLTGQIPDEIGDCSSIKTLDLSFNNLDGDIPFSVSKLKHIETLILKNNQLVGAIPSTLSQLPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNHLEGSLSPDMCQLTGLWYFDVKNNSLTGQIPDTIGNCTSFQVLDLSYNQLTGSIPFNIGFLQVATLSLQGNKFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNRLTGTIPPELGNMSTLHYLELNDNELTGLIPPELGKLTGLYDLNLANNSLEGPIPNNISSCLNLNSFNAHGNKLNGTIPRSLSKLESMTSLNLSSNSLSGPIPIELSRINNLDILDLSCNMITGPIPSAIGSLEHLLRLNLSKNSLIGFIPAEFGNLRSIMEIDLSNNHLGGLIPQELGMLQNLMLLKLENNNITGDVSSLVNCFSLNILNMSYNNLAGVVPTDNNFSRFTPDSFLGNPGLCGYWVGSCRSSSHQEKPLISKAAILGIALGGLVILLMILVAVCRPHSPPAFKDDSVSKPVSNVPPKLVILNMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCTPVAVKKLYAQYPQSLKQFQTELETVGSIKHRNLVSLQGYSLSPVGNLLFYEYMENGSLWDVLHEGPSKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYESHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHSILSKTASNAVMETVDPDISDTCQDLGEVKKIFQLALLCTKRQPSDRPTMHEVVRVLDCLVHPDPPPKPTQPPSSPQTQAILPSYANEYVSLRGAGALSCATSSSTSDAELFLKFGEAISHNTE >cds.KYUSt_chr4.25697 pep primary_assembly:MPB_Lper_Kyuss_1697:4:161491488:161492668:1 gene:KYUSg_chr4.25697 transcript:KYUSt_chr4.25697 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPARAWMVDARAHPLLATRAFASATARRSCGAHPRDPATPMALLAGAPPPPPRHGRRPHAQRRAAAPLAGPKQPRAFPPRPCMPPPRPLRAPVVTGAAGCPTRWPSPPRPALAAAQLAGAAPLATPPCSSWLQPALHAVLRRPRCGPTRAAGDGRFGHRGDGVFRPDWGVGRRTRGWGWPMAGIMNREFGELAQNGLNYLTWASDVEIVLESKEIKGALSAGTPTAPSTTTPAQNAQALHFLRHHMCGTLKNEYMAERSASALWAALKA >cds.KYUSt_chr6.1442 pep primary_assembly:MPB_Lper_Kyuss_1697:6:8869110:8870881:-1 gene:KYUSg_chr6.1442 transcript:KYUSt_chr6.1442 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRWRGVGGDDGDDLFQFPIPAGCQNGVSDPETEFRDGGGVLDVFWLEFQSISQPPLGMAEPVEEILDDAPQPKKAAKIMTWTPPMSACLLKCLAHIAAKGVKTDKGFKEVHITKAAKDVTQLVGYEVTTTQVTNHLRKWKTRWQKIDKLRTLSGALWNDDEKMIVLADQHYLDHTQDHKGDVEFLNTPLLNYEYMEACFANKLATGKFAMGSNEALGKPIQVECPGKSIDLESGETNGEGFVEAQAAFGIGGEGMDATTPSPSSGSNKKRKRASMLSDEDSVQVSNMSDALRVVAGAINNTCHAETHPDLCKTVMDLPGFEMDHKLAVLDYLTEHKGKGLNFMKMEDAVREAAFKRIIAKNPDLL >cds.KYUSt_chr2.52078 pep primary_assembly:MPB_Lper_Kyuss_1697:2:324945988:324953029:-1 gene:KYUSg_chr2.52078 transcript:KYUSt_chr2.52078 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHPYRPTYAMFNLRLAGIGARSWSMQRTKMDKANSQEKRREQAGIRAGVEDLDTRAHRGSSHRGNVGAGGYAARSTEIDRGACRGRDDELQGGVAPVVGLLAGGGREHKGGADSDAWSGRGQADDPPIIVGAWSPGKGGGGVRILSAARGPAGEGLRTRCMEGEGGAPVQWFGSSGGHTAWDGMGGSRSVGKEMGARAGRRGATGLLRSREAAPMWWRAPGAGGGGIWGRGRWKMGARRLGASIFSSASGGELLVGKEAAVGGGMRAAAGEEGWAGVGAGRLGKKTPEIMATVYFRPPLLLLLALLLSSSSLLFRGDSSGDREALLAFKEGLSDYSGSLVSWNSTNADFCQWAGVVCTRRHPGRVSSLNLASRGLAGSISPAIGNLTFLRTLQLGSNMLSREIPRTIGGLSRLRHLNLSNNSIRGEIPEELCNCSSLVTMTLGKNQLQGEIPAALGSLSQLQLLYLTTNKLVGGIPASFGNLSSLERLSLYENRLQGTIPEGLSRLARLQFIQVARNNLSGTIPPLFFNMSALQYFGVGSNKLQGGIPPDAGTNLPVLEELHLGNNSLSGKIPSSLANSTKIQLLSLARNSFYGRVPPGIGKLCPVRVELGGNMLKADDAEGWEFLRFFANCTRLQLLDLDDNKLGGVLPSYVANFSRQIQWLSMAGNGITGTIPPDLSLNHLDGEVPSSGVFANMSGFSVVGNHELCGGIPELKLPPCQRKIKMEKTETAGLHMLDDKYPRVSYLEISQATDHFAPSNLIGAGKYGSVYKGNLSLPSFRNGVVAVKVFNPHQTGSSRSFLAECMALRRVKHRNLIKIITSCSSIDSRGTEFRALVFKFMPNFSLNRWLHPGTDGQCHKLSTVHLLNIVVDVADALDYLHNNSQPSVIHCDLKPSNILLDSDWTAYVADLGLSKLVGESTDKSRLNSGSSIGIRGTTGYVAPEYGLGGQVSVAGDAYSFGITLLEIFTGKTPTDDMFREGLSLHLFAEMAYPDKVSEIIDPILLQMQPYENDDEGLDNALACLASVIRIGILCSKDTPSGRMNMKTAAIELHRIRDQQNNL >cds.KYUSt_chr2.5367 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33283170:33284497:1 gene:KYUSg_chr2.5367 transcript:KYUSt_chr2.5367 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKELQQAWWFDSHNLAKPSPWLGHTLSELDDKTKQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRAHRSLAEQYDTLKHSCSTRRSMLTPSPSTKSWSPSSMDGKATGNSSSNSLCSDSYDSESEVDDPEEHPEEEHHEKVSEGEIEAEKDQPDQEAELMRAEIKRLTEQNSELQKAIEDNKAAQQAELAAKDEEKREVIRQLASSIHIVKQENYTLRECIKSSKQHAAPSSRGFDLKKLTKDLFSAKLFTAHCRPSGPLVAL >cds.KYUSt_chr4.46765 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289082855:289085922:1 gene:KYUSg_chr4.46765 transcript:KYUSt_chr4.46765 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLTHQTGAAAITATPPTGARAAVFAASATGTATSGATMVRSGSAQSLRLQTQTVEPAQPQPSDFHQAMAAPAHPDVAGVEERKVGVPVFVMMPLDTVRKDGSALNRRKAVQASLAALKSAGAAGIMVDVWWGIAEAEGPGQYNFAGYIELMEMAKKAGLKVQAVMSFHQCGGNVGDSVNIPLPRWATEEIDKDQDLAYTDRCGRRNYEYISLGADALPALKGRTPIQCYADFMRAFRDHMAPYMGSTICEIQVGMGPAGELRYPSYPESNGTWSFPGIGEFQCYDRYMLASLRAAADAVGKPEWGCAGPGDSGSYNQWPEDTNFFRREGGWNTEYGKFFMSWYSQMLLEHGDRILSAASSVYTGVPGVKVSVKVAGIHWHYGTRSHAPELTAGYYNTRNHDGYQPIARMLGRHGAVLNFTCVEMRDHEQPQDAQCLPEALVRQVANAAKEAGIGLAGENALPRYDETAHDQVIATAAEKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMTETGVRDVCREQVEREAQGVAHATQPLVHEAAAALAN >cds.KYUSt_chr4.29712 pep primary_assembly:MPB_Lper_Kyuss_1697:4:186542681:186545201:1 gene:KYUSg_chr4.29712 transcript:KYUSt_chr4.29712 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAAHRLTSRAAAGSISRRALATNKEVGKPIEETKEDVYPKRMQSESLPAKIRIVMKSFNSQKDNLSGLEPYMRKVGLPESRSLYTVLRSPHIDKKSREQFSMHVKKCFVEKKAETHELAKKFFWLKRLRILGAQYEINISFKTRLDKNDWLPQKCGPAPTVFPSQKGRQVLELLQVLV >cds.KYUSt_chr7.32838 pep primary_assembly:MPB_Lper_Kyuss_1697:7:204658192:204660709:-1 gene:KYUSg_chr7.32838 transcript:KYUSt_chr7.32838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin transport protein REH1 [Source: Projected from Oryza sativa (Os06g0232300)] MITGTDFYHVMTAMVPLYVAMMLAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISSNNPYTMNLRFIAADTLQKLIILALLACWSHLSRNGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDESGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDPDVMSLDGGGRGMIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAADTFGPVVRTGATPRPSNYEEDKAGGGGNKYGQYPAPNPAMAAAPKPAKKAGAKGEDGKDLHMFVWSSSASPVSDVFGNGNTEAYNDTAGAKDVRVAVASPRKADGVERDDFSFGNKGMAGERDAEAGDEKELGNQDGMVAAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVCFRWNFEMPAIIMKSIAILSDAGLGMAMFSLGLFMALQPRIIACGNKRASFAMAVRFLTGPAVMAAASIAVGLRGKLLHVAIVQAALPQGIVPFVFAKEYSVHPDILSTAVIFGMLIALPITLVYYILLGL >cds.KYUSt_chr2.1969 pep primary_assembly:MPB_Lper_Kyuss_1697:2:11876609:11880436:1 gene:KYUSg_chr2.1969 transcript:KYUSt_chr2.1969 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNGCPDPPAAAAAADGPYIPAELIPDIAKHLTNLNDIFALRGGCRAYRAALPLSRGLLAAQPPCLLVPHSASSPALPLFNLLEPGCPSFSLALFHVPDRRLHRFRARLPFARTGGVLTSDGAQVVAVDGATGEIIVTHLLYGAQVRLPKPPLPHDRVILTGGHLFAPATGRTDVQCCSPWTSPQWTAASYGGEHEIQDWHIVNGVLYGFLPTCGLVSASPSKDSSSSLDIWHHGGEFDPQVLLAMQESKGAPLLGDCGGEVLLIFKVGPIDPAYKIFRWDADELMWVVATSLGGRTLFIGFDDFAACIGPDVPGIRGDCVYGSLPWAGGWSEYSMIDGTCKCFTAKYPGEPGVGFARPQSMTMSAAVEAQAGTVVPTVGLDCRWVLEAEQWGLDCGGGEQWPAECVSGGRRDPADCLQGQGRLERKRSQGGPTGRVRRVRGGGRAEQRAEEAPWTRS >cds.KYUSt_chr5.22836 pep primary_assembly:MPB_Lper_Kyuss_1697:5:149132387:149138695:1 gene:KYUSg_chr5.22836 transcript:KYUSt_chr5.22836 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKPGTDLEELIGRLRLHQPPPSPCAGDPSTAATPNAGELFKPRRAAVLICLFRGSAGELRVILTKRSSSLSTHSGEVALPGGKTDEGDADDAATALREAEEEIGLDPCLVTVVTSLEHFLSKHLLVVVPIVGILSDIEGFKPVLNIHEVDDIFDVPLEMFLKDENRRSEERERMGQVFTIQYFNYETENHLISTSTLNVDLRFSATPSLRRALCVSPIVAGVYPFPFPSRTPTLHSFPLFMAVEFDTQNMPKFMAEEFKKLDNSDGDKIEGADYLKTG >cds.KYUSt_chr4.50795 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314799303:314804296:-1 gene:KYUSg_chr4.50795 transcript:KYUSt_chr4.50795 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFTPGRSGGGAGGSCCEMGSRGGSECESCAIWREHYWNHTSDNKKQFLVVASDDFRHSMVRSVNRMAVSSLALSDSEQVVPNVGHAQTSTDFAYTMLPECRLTRAQDEKVLQMAHTIRSEVPLHVAVMNKSNVCLKNCYVYIPLRLVDNFKEEIAIYTVQLQDPDKPVCVVGAKKHSDDLIVLKSGWNTFVASQCIQENDILIFRSKEKTCLEVFILDPSGRGKISSCSAMGNRSSSAQEMPDDSAQIVCSPPPHIIDLTNSDDDDIVREGVRKSCRVQNRVKRSTAKTQKMASTSSPSTKSGYGARKPHDRASVKLEVSSEPLSSNLKGPFRRPYIVAQQTTLPMQVKRKVEEKVQAIGSELPIFVKEMTTTNIDGGSHTPGEMGFGMVYASACLPDKTQPVLLQLEDRKKQWHAMLSVSSRNQRRLHNGWKEFAEENQLKVRDICLFEVEVDWEGSLAVDRVALVMVDREALAEVDSTSHHNPNMSIPQFDGENPRTSKDRLLSTVQHQPFFMAGFGNSTHGRQRSTGCKRIARDMKFLPGLC >cds.KYUSt_chr4.52691 pep primary_assembly:MPB_Lper_Kyuss_1697:4:326976655:326978169:1 gene:KYUSg_chr4.52691 transcript:KYUSt_chr4.52691 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAARYLLGSPGASGFGSKSTADEVTSACPDLSSLTAIITGATSGIGAETARVLAKRGARVVIPARNVKAAEDMRARILGEFPGADVLVLHLDLSSLASVRAFADRFLALGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAATGVQGRIVNVSSSVHSWFSGDWADYLSQVTRRKIAYDATQAYAVSKLANVLHTKELAVQLREMGADITVNCVHPGIVRTRLNRDREGIVTDLVFVLLSKLLKTIPQAAATTCYAAAHPRLAGVSGRYFADCNEAQPSPAATSRREAARLWQASEAMISGGITQQGGGIPVLQPDRNI >cds.KYUSt_chr4.6131 pep primary_assembly:MPB_Lper_Kyuss_1697:4:35991773:35992567:-1 gene:KYUSg_chr4.6131 transcript:KYUSt_chr4.6131 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHPSSTATSSLSSPRLLTTGVVNFLARRAMTTQQRAFPLQSPGSSTGSVDSAPWHHAPATPPLLPFDTNDADEMLLVDMLSHSAQDAVAVPSTSTTAPVKREAVDTEGDVGGGRAFRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFDSPEEAALAYDQAAFAMRGGAAVLNFPAEQVRRSLDCAGDDVCGRADGLSPVLALKRRHSMRRRPAGAAASRKPKAARRPEGVMELEDLGSEYLEELLGASEESSAASWCWSHNSI >cds.KYUSt_chr4.25176 pep primary_assembly:MPB_Lper_Kyuss_1697:4:158216297:158218117:1 gene:KYUSg_chr4.25176 transcript:KYUSt_chr4.25176 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGAPFFLLTILLLATGSGAVPQPQHKRILRDYGSIDLEMIEVPDILPIDKEQIMSSKIPVPATRGHPVCSTCENILNKAVSYLSEKQTQDDIMEILHGACSQTFSLEQKCVEMVDSYTNLLFAKVSEIKPDDFCKQHGLCKDVTFLSVEKSESTCTLCHHLIDEVLSKMKDPDAQFEIIQLLIKECDKVQGHVQQCKRMVLEYAPLILVNGEKFLEKNDVCTLMQACDASKKAMVGSFLDGGLRSDV >cds.KYUSt_chr1.21877 pep primary_assembly:MPB_Lper_Kyuss_1697:1:129165669:129167506:-1 gene:KYUSg_chr1.21877 transcript:KYUSt_chr1.21877 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSCPKVEVEPIPLPGDARDWSELPLDALSVIFAKLGAIEILMGAGLVCYSWLEAAKVPELWRFVDMTRHKLIFKKDNRVMCAMAKVAVDRSAGKLESFWGQKFVTCNLLSYIGERTSSLKSIRLIGCTSVFCEELSVFAAKFPLLEELEHSYAFGILEEFYKYVGSKCPQLRCLRVNAEFDGVHQVIHDDSEDEEEEEEEEEDGVDELFNDPFCEEEDVMWHESKNADAFAIAENMHELRILQISGNSLTKKGVHDILKNCPHLELLDLSDCWNVKVDDGLRARWAHLKHVKITEGQTPFQELHLIDEHEGRELVAYEDLPHGDDEMGDEWDNYYDDISLLSDGSEPDLSNIDIDDITAYTYIHDYYGL >cds.KYUSt_chr1.41904 pep primary_assembly:MPB_Lper_Kyuss_1697:1:256924898:256925368:1 gene:KYUSg_chr1.41904 transcript:KYUSt_chr1.41904 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHGGYTEHIVGRVSSLVAVCTRRVSRATRRLLRHRHRYGVGSGASTASYRRRTRPVGSDSFFTRPREKQQQQAGKGGDGGMEIMDEAEGDGEGVVWRRAILMGERCQPLDFAGAIHYDSFGRRLARPPTGPRSASSLSCRTSSSLAAYLETAEV >cds.KYUSt_chr3.37469 pep primary_assembly:MPB_Lper_Kyuss_1697:3:235449642:235452368:-1 gene:KYUSg_chr3.37469 transcript:KYUSt_chr3.37469 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAPLNADVEDLRTNVTEQLRNATGPSKAANLVVCYSPMMIATNGIWQGVNPLEFSLPLFILQVAVIVITTRVLVLLLKPFRQPRVIAEILAGIVLGPSVMGQMELWGNMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDLDVIKRSGKKALFVALAGMALPFCIGTATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLNTELGRIAMSAAIVNDMCAWILLALAIAITEVDSTVLSSLWVLLGGVVFVLFCFYVVRPGMWWLIGRVPEGESISDMDVSLILTGVMAAGVCTDALGIHSVFGAFVYGLVIPSGPLGVALIEKLEDFVTGLLLPLFFAISGLRTDVTKVHDPVTVGLLVLVFVMASFAKIMGTIIIAALYTMPFREGVSLGFLMNTRGLVEMIVLNIGRDKQVLGDESFAVMVLVSVAMTALVTPVVTGLQKPARRLVGYKRRNLQRIRHDSELRMLTCVHTTRNVPSVLSLLDLSNPSKRSPIFIYALHLVELTGRASNMLAAAAASASSSNRSGSSALPPMTEHIFNAFENYEMHTGGVSIQTLTAVSPYQSMHDDVSVLAEDKHVSLIVVPFHKQQTVDGGLEPINPSIRGFNESLLSTSPCSVAILVDRGLSAAAARMADEHSLVLFFFGGPDDREGLAYAWRMVENPGVTLAILRFLPQDYRAQPSSGGSYSPHADSRTINIGAEGKTELEMDEEYLGEFRARNQGNGAITYAERTVTNSEETVAAIRSLDSSMHEMYIVGRRPGEPGSPMTSALEDWMESPELGPIGDMLVSSDFSMTVSVLVVQQYVVVDGTAPMATPAPAPAVNVDPVRQYLSNANQRPPPGLEGYQSIPASSAADSRWSSGTVGF >cds.KYUSt_chr5.28051 pep primary_assembly:MPB_Lper_Kyuss_1697:5:177485628:177486107:1 gene:KYUSg_chr5.28051 transcript:KYUSt_chr5.28051 gene_biotype:protein_coding transcript_biotype:protein_coding MYTASSSSTTLRCTSKTASFGASFNQRSGHRRCTVRAAAATASRSTDYYKVLSLEPSTDVGEEDVKRAYRRLALQYHPDVCPPSRRAESTELFVELRRAYETLSDPATRVQYDAELRNGTAARRPADGLPRDVWEAQLCVLQARSERRQNARRQGGNRF >cds.KYUSt_chr7.30166 pep primary_assembly:MPB_Lper_Kyuss_1697:7:187838873:187841440:-1 gene:KYUSg_chr7.30166 transcript:KYUSt_chr7.30166 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPTGVPATIPWSEMFRSGSVRRPKQVEEDAPPKPTAPGKKTAAAAAAEAEGLSLEPDARLALYIAMAHAGLATALLVLYGLYLLLADFLRPLQWALLCSIPLRETQRALVAFWDPPLRAGLSATVLALPLAALRSCAATLADARAALLRRRLPPSPSFPRLLRWLVSSFFFLLLLERLGTAAALVILALSLAFFAASSKPSSFISRAASSRIASGRTPSSRGLLLTGGILRHLKTLVAVGLMLGMIVGFLAGSVFFSYKIGLEGKDAVMSLKSHVENGNYSEKIGLKKLLDDNDIPGLVDQYSGKLYDTVWEQVDQLAVQYNLTDFTSGFRHFLITQSVGPSGAKSKDLITSGPHPYSMKLQAIAARVKRREWVEIYKELDSFFRELLITREDLVVKAKGLALQGTEIAKSLLSSSTSVLGGSASLMLSIALRIVSGAAEVLNFVSQLMVFLWVLYYLITVEGGGATEQVIDLLPVSKQVKERCVEVIDHAISSVLLATAKIAIFQGCLTWLLLKFFKVHFVYTSTVLTIISALFPILPAWLSSIFAAGQLLTEGRYVLTVMVTVLHLVIMDYGTTVIQEDIPGYNGYLTGLSIIGGMTLFPNALEGAILGPLIMTVVIALKNLYTEFVLADTEEASS >cds.KYUSt_chr2.52117 pep primary_assembly:MPB_Lper_Kyuss_1697:2:325254327:325259022:-1 gene:KYUSg_chr2.52117 transcript:KYUSt_chr2.52117 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSKNGCLKILVCAGAGSDPSGGSDPETDAHADEVGDPTRRTAQCGRRLLCSSLLPFVQAACFFAVGWFELSKAVSDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKAEIAPTNNVYSSTYSYASEKPLHLEKPDAEKPDEKILHQEKPDEKPLHEEKPNEKLAETPTEEPADQIIERSIELPDEKITEPPSKEPAERISEKPIDEPTENAVEELDEKPDESIAVSSTELKQDEAAQLIGTSSPDPEEDHVESAAVVIQTGIRTYSARQELSNHKDLAKLQAVIRGHLVRRQAAESLQCLLAIVKTQGLVRAHQAQQDTLVSSSSEKLLQNGFALKLLDGMPKSKSMNIKCDPSETDATWQWMERWTTLILPITEGHLLENTENSGLVVEKMEVAQHEEKDVPLDSDISFPKLVPDDVEDTLRSSDASAFVEETQGPSDSAGLEAPECVPEETSGLEINDGPVPELIEKINDDAEQLDDSTTENVVEQSLEFSGRQSSQTDPSREASPLPEKSESYTEDIMDPYNLEQSLDMEGRSAARKACNPAFAAAQMKFEELTSAVSRSNSSSYLDGSSKLKVPTPHSQDGASPKQNIDTGIPESTVGRDAKIILAASECGTEISISSTLDSPDRSEADGGEIVMEIGDLGGRNYTTENADKDTHVLRSEVKNTSEGVIQPEKDEELNGDVANPAIASDPVVEQAHVGPGKPDSHDQIEKSVESYARSPEGTPMSRTTFAESHGTPSSEVSVNTNKSKTKKPKSRVSRRSLTSPSNSVGRSSTDNLSKDYKHAKRESSVKVAKSDNVDQEPRMSNSTPLPSYMQFTESARAKAAALSPKMSPDVQDSNPRKRHSLPIANGKQETSPRMQRSSSQAQEKVKTNVAVPHNPSGKCYHLVPYATLLSISVWKFSCDNIL >cds.KYUSt_chr3.41113 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259468229:259476230:1 gene:KYUSg_chr3.41113 transcript:KYUSt_chr3.41113 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQASSSSTAAAPSSREASRQAGKKALEEFRKKKKKATKKATTVVDQAAPAAPSVVENPLPNSNNGIPGEAPVSDLEPSTSSAPSAAYENGPTSSSRSEEFLSNGPVVNASANVSNAGPLQDATGDGGSKFYGNLSYSDLVNGHHDDWRGDAARGRAETSPDKDAPLASESSAFGNTSSGSNSAEVLPNWGGNSSLSQVHGTEQSSSFPSGSLFAKPERTYSQDYSPENDIFGRLRATSKDSSQVEHSAYASNRDYRSNFSSSLVADGVDHDANIGMFRNASDSTHTNFDKQDSFMSTAYPTAYNRSRPSFLDSIGVQRALPTEAPYGEPPKANNKLFGSLNSESSALRQPIQQSTQSAVVDNSVITGRQEYTNDKGLYENSIPPVSSPSKDEKSSHYGNQMFQNFTTHEKDDGFATLEQLIEDLTTEKFSLQRTLEKSQELAQNLATDNSALTDKFNQQAHVISQLTSDMERLQDEIQAQLLALESIRTEYANAQLECNAADERGKVLAAEVILLEDKALKLRSNELKLEKEVEGLNSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNIPMSEKVNAIEKLPVNKRDASTATEDLGESSSSEAMTSTSDQLQDVGTSISRSNNISEFPSFEELSSSIPDDQLRMIDNINSLMSELAVEREELLRGLRIESSNCSKLKELNRDLTQKLESQTQRLELLTSQIMANENVLAKPVDTRSINDATMYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >cds.KYUSt_chr7.14598 pep primary_assembly:MPB_Lper_Kyuss_1697:7:90177320:90178291:1 gene:KYUSg_chr7.14598 transcript:KYUSt_chr7.14598 gene_biotype:protein_coding transcript_biotype:protein_coding MINASVDEEVSTTLNMMRLMRATPLTISGNDDFTQAMGKSITQATTATLATSLVTTVAKGKKVSQNTESYNTKEDKLLCSAWIEISQDPLCGAEQKGLAYWRCVGKYFNEHRLFPPSPFYSDRKDLSLAKRWGFIHAECSKFQRSFETVKRRAISGVSTVDMDTKKWETSYTLWKKLKDGKKGNSNLSTDGTIDLKYDGQCFGNPSTDEAGGGGHAKRPVGNKATKINMNRQASSSAFQDTLRELMVKKEEAIAERGETTQRERDSRKKIC >cds.KYUSt_chr4.12473 pep primary_assembly:MPB_Lper_Kyuss_1697:4:76279656:76286147:1 gene:KYUSg_chr4.12473 transcript:KYUSt_chr4.12473 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSPAGCRRRSPESPEMGLAAASLEGFPYRGSRYWGFRDEGFKHLHTALYSGPAALPTPPVTAAASTPERHPHLHPHQPRARGLPPPRDPNRLATAAAAAAAMAFLARALRHSKPYLSPRNPSVAASRRWISPTASAGSPEAGAAVAPADPELPPPREPVGGARVELPANPEDVLEVFVDGHAVKIPKGFSVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPIAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTDMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRINEDINEEWISDKTRFCYDGLKRQRLNDPMIRGSDGRFKAVTWRDAMAVVAEVLNQVKPGEITGVAGKLSDAESMMALKDFVNKMGSDKVLCEGNGPNPPADLRSNYLMNTSIAGLEKADVFLLVGTQPRVEAAIVNARIQKTVRATQAKVGYIGAPADFNYDHKHLGTGPETLVEIAEGRHPFCSVLKSAKNPVIIAGAGLFEREDHGAVFSTIETVAKKFNVTRPDWNGINVLLLHAAQAAALDLGLVANPTESVKSAKFLYLMGADDVNLDNLPEDAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKIIRALSEVAGAPLPYDSVAGVRNRISMVAPNLVHVDEREPSTISAEVKPPVKQQVSSTPFKTVVENFYMTDAITRASKIMGQCSAALLKK >cds.KYUSt_chr3.44674 pep primary_assembly:MPB_Lper_Kyuss_1697:3:281957906:281958526:1 gene:KYUSg_chr3.44674 transcript:KYUSt_chr3.44674 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKAALAPGRRLGTYAVMLIHSGGYGLSFLGPGAKCWTALREPAWTPKSYVDVIFHKGAFYTVSVDSQLNAWEPDGSCTGLRPRLVANPRAEPVLWAVLVESATRDDLLQATRPSWSSPFDVLFRYDERQRAWIPTVNRGDMMILLKNNISLCIPWRDAYDPMAPHRWPLASVSHRPDSTSSYFGLYLHYGCWFLPYVAPEFHHR >cds.KYUSt_chr7.35773 pep primary_assembly:MPB_Lper_Kyuss_1697:7:223443279:223443938:-1 gene:KYUSg_chr7.35773 transcript:KYUSt_chr7.35773 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFNATTLLASIQLPSVHGVGEGRASFKDLEDITIDFFGLPPISASHLVRDVLQDQDPEGETYKANMAAMRRIPEADDILVNTFESLEPRAVAALRDPRCLPGRVLPPVYCVGPLVESAREATVPRHKCLAWLDGQPNHSVVFLCFGSVGWGAHSKEQLREIAVGLENSGHLFLWVVRAPYSGVPDLGALLPDEFFERTAGRGVVVKLWAPQVDVIVL >cds.KYUSt_chr5.8079 pep primary_assembly:MPB_Lper_Kyuss_1697:5:51039475:51042138:-1 gene:KYUSg_chr5.8079 transcript:KYUSt_chr5.8079 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAWRLLDMMEFGPAHPLTRGLLGNAHHEGLRVDGILQVTMGKQIDCVVVISPVAERMWKVSCSGEALLKACADFFVAVNVEGDGGPGSVITSTLSAAAAAASGGSFVRDRVVARDDAARVLRTEVLEGGKVRNQLKFLVNEVKFEVAGDDACVAKFRVEYERIDGDGALAPEDQSVIVEGYLGILKAIEAYLVANPSEYA >cds.KYUSt_chr7.3900 pep primary_assembly:MPB_Lper_Kyuss_1697:7:23230171:23243269:-1 gene:KYUSg_chr7.3900 transcript:KYUSt_chr7.3900 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGDRMTTFEESERESEYGYVRKVCLSASPLFLLSLSLSPAPAGSRDPVAWISDAVASATQLVHPATIVLGLAQIVRSCPDLLPLTEWSCLPDEAHVLIRYTTGSSDWFIYRHALSHPNALLFQVSGPVVVADGMGGAAMYELVRVGHDSLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTKKPLSCELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWEFQPNQLGVGDAITNGDLYATVFENTLMKHHVALPPGAMGKISYIAPAGQYSLQDTVLELEFQGIKKEFTMLHTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLASRLASFYERAGKVQCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYATALEGFYDKFDSSFIDMRTKAREVLQREDDLNEIVQLVGKDALGEGDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLANQAVERAANAEGHKITYAVVKSRMGDLFYRLVSQKFEDPAEGEDVLVAKFQKLYDDLTTGFRNLEDEASKDMTVMPPVRLRAAAKKPMWIILLLCLVSVVLIGAYIYPTHHYSQSYRFSSSVCTPSKDWHPSYGRGGKTDEEIMSAAVIRDILAMPTCVSKNPKIALMFLTPDSLPLEKLWEKFLQVEWGTISMIDAEKRLLANALEDPDNQFFVLLSDSCVPLYSFDYVFNYLMGTSISFVDCFQDPGPHGNGRYFLEMLPEIEERDFRKGAQWFAITRKHALMILADNLYYKKFKLYCKPADGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEAKWHPKSYAASDVSYDLLKNITSVDESFHVTSDDKKLMTQQPCLWNGSKSPCYLFARKFDPETLDSLLKIFSNYKSV >cds.KYUSt_chr4.11292 pep primary_assembly:MPB_Lper_Kyuss_1697:4:68597206:68601457:1 gene:KYUSg_chr4.11292 transcript:KYUSt_chr4.11292 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASLLLLVVLSAAAASSPQLVGAVSRDNSLRIIQEDIIETVNKHPNAGWTAGHNPSLANYTIEQFKHILGAKPTPPGLRAGVPTKTYSKSKELPKEFDARSKWSGCSTIGNILDQGHCGACWAFGAVECLQDRFCIHQSINISLSVNDLVACCGFLCGDGCDGGYPISAWQYFVENGVVTDECDPYFDQVGCKHPGCEPNYPTPACEKKCKVQNQVWQEKKHFSLDGYFVNSDPHDIMAEVYENGPVEVAFTVYEDLAHYKTGVYKHITGVVVGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGKNECGIEQEVVAGMPSTKNIVGNRGSTVGTAIL >cds.KYUSt_chr7.36719 pep primary_assembly:MPB_Lper_Kyuss_1697:7:229485056:229489558:-1 gene:KYUSg_chr7.36719 transcript:KYUSt_chr7.36719 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGVGRSRKTAERELERLRAERDELDIRIRLLESELQTGSAAPASPAGEDACGGACQARRGFAQDDALPADMIYRYSRHLLLPDFGVQGQRKLSGSSILVVGAGGLGSPVALYLAACGVGVLGIVDGDDVELNNLHRQIIHQEAYIGRSKVKSAADACRAINSSIQVVEHHHTLKPSNALEVVTKYDIVVDATDNLPTRYMISDCCVLLNKPLISGAALGLEGQLTVYHHNGSPCYRCLFPNPPPVAACQRCSDSGVLGVVPGVIGCMQALEAIKVATGVGEPLSGRMLLFDALSTRIRIVKIRGSSPVCSICAENSVFTQEDFQKFDYESFTQSPMSDKSAPSLNLLPESARITCTEYKSLIDKGEPHVLLDVRPAHHFQIVSLPRSLNIPLSALAEKLPMLETSLKKMMDSSDGQPAVYVVCRRGNDSQSAVQLLREKGFHSTKDIVGGLQSWAHDVDPEFPAY >cds.KYUSt_chr7.10156 pep primary_assembly:MPB_Lper_Kyuss_1697:7:62206328:62210764:1 gene:KYUSg_chr7.10156 transcript:KYUSt_chr7.10156 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATASSAAELKEVCSAVDLLHGRMAVIDTTQQSLIAQMTIIAESVKDGALAQAANTRQVAAMEHRLEEAIATLARLYTRPPSPEEDDADPDAVTITGHGKVSCYVNWIKM >cds.KYUSt_chr6.10945 pep primary_assembly:MPB_Lper_Kyuss_1697:6:67787469:67788899:-1 gene:KYUSg_chr6.10945 transcript:KYUSt_chr6.10945 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASNKHVVLFPFPGQGHLVSFLEAARLLRRVLPGDVSITLVSTPRNVAALRASTSTSSPSLDISFHALPFVPADHGLPADCESTSSLPPPAYLTLFEAFESLEPAFDAYISRLVADNESVCVIADVFVAWTVGVARRRGCANAIFVSCGAFGTAILHALWKNMPALPFGDGGLLRLPEHPEVELHRSQMSVVFLSSPSPRMDRVIAFHHRQIQHGYLTDAVLMNTVQELEPTGLDMVRRTLGKKVPVCSIGPLVRDGSAHTATDDGAVIRWLDSQPPSSVLYISFGSQNSIRRKQMMELTTALESTGRPFIWAFRPPVGIDVDVNGGEEWLPEAFEARARAANRGLLVHGWAPQVSILAHGSTGAFLSHCGWNSVLESLTHGVPIVGWPLSAEQFYNVKTLAEEWGVCVEVARGNLESSAVESCKLAEGIETVMGAEMRRRVAEVQKVMKRAWAEDGGSSRAALQEFLAAMRLH >cds.KYUSt_chr7.13962 pep primary_assembly:MPB_Lper_Kyuss_1697:7:86236776:86238613:-1 gene:KYUSg_chr7.13962 transcript:KYUSt_chr7.13962 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRVKSHPAAASPSSSSGGDGSGFCLSDIMELDAGSGGDHKTPGSPRFQMLRDLVPQSDQKRDRATFLLEVIVFPSLHPISVIEYVKFLQEKVQKHEPCSCTGGDWSQEHAKLAPWSSHRVPVDLMPGAATTTENFSPGNFLENSIPAMPEMLQNEEAVVEPARLNADSIESQYQSQWQQLPSTVDCPINGEMNNEKEEMAIDEGTITISSVYSQNLFTALADAMENLGVDLSQASVTVHVNLGRRAVSRRPNSMSSAKVKVLD >cds.KYUSt_chr7.2609 pep primary_assembly:MPB_Lper_Kyuss_1697:7:15311656:15316373:-1 gene:KYUSg_chr7.2609 transcript:KYUSt_chr7.2609 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEASCPAPQTGKRHREKKDRRAIDDMKRTEDMVVPQLPSARRPGTKRSKNQRDASASLPPLPEEILIEIFARLPAKTVGRFRCLSRSWAGALSSASFVDLHHQQANQQQAGLPPNILFTTAQRCLQAWRDGRPVLHPLTGDAALSLLPQLDHDRALRVLTAKPCHGLVLLQRWPWHGHYVCNPSTGALSPLPDTKMPSRMCHRYILLPNTFLNCVSYGLGYNPAAKEHKVACAHWRPAAQRAPSCTVRPSTPAVFFSGRLHFLQQEPGGYIVTFDVHDETFGSLMPPSGLDNVTFELAVLDGCLCLHYGDAPTGGDDVQDTSNFYIWRLRSYVGAGQWEQLCSIRPQSWPEALVHLDRISPLEIYHGGNGHKKIMFATSTLTVFALDVDVDGGGAPEILLSPPTGDTLVDSLIESNCGSHSTVGLLEESLVPVGRGSEEMVFSSPSTKAWSDVLKWLPTRSVVPLRRVCKDWRAVINSDRFMQLHAIHANILSKKSPKIMLIDPLSGLFSTLPRQWRELHPRNMEGFFFCPRLGSRMVCSKPCHGLVVGSYTTQQYSFDFVCNPTMGYYKEMRLDPGGDANFLAGMIGLGYDSRTNKHVRVDTVLHKRFKSIQLLLSIERRGHCDQKLFQARDGES >cds.KYUSt_chr4.7826 pep primary_assembly:MPB_Lper_Kyuss_1697:4:46592825:46593816:-1 gene:KYUSg_chr4.7826 transcript:KYUSt_chr4.7826 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTDEERAEPRHHPDNYTAWNSYFLRRWERELASYDGPPPPPPRNNAAGRRPTAHGCQLVVFRIGAEVILGAGEEEEATSPSTPVRVKKEPASPPPTGGRSSGALVIRDQPSRLGRKRKAAKKEDAAAATNAAANRLAEEEARRAEEATVAEAIARSLTDLVPADNALPEDAARRGPSDREREEAEQQRRLMDLAAARRLAARAAPTAADDAARFRRPATPPSGVVVPIVDLESSDDEWYKPSRGGRRRPGGSRRAAPPKVPKVEDDGSDDGGGDYTVFYRRLGM >cds.KYUSt_chr3.1502 pep primary_assembly:MPB_Lper_Kyuss_1697:3:8377578:8378330:1 gene:KYUSg_chr3.1502 transcript:KYUSt_chr3.1502 gene_biotype:protein_coding transcript_biotype:protein_coding MVATPVFHPLRASSVDAFSRKRRHTLCLFKMIQKKPFTFMHCYLEFVKYPKWELRELEVSHKKQKKKSDASPGVSAAAIIDDDLSVSSKMLEREEAPSGTKHEKEARKGKNLMSDGSSCKLSLQSVWAQKQEKDEMKEASKSARYAHVIELQKEKVALKKIDVELRQFEIDERVMLVDTSGMNILQKQFYEGKQKEIIARRQGM >cds.KYUSt_chr7.38457 pep primary_assembly:MPB_Lper_Kyuss_1697:7:239662237:239664562:1 gene:KYUSg_chr7.38457 transcript:KYUSt_chr7.38457 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAEAAMGSVIRKLGDLLIGEHKLFNEAKYSIRFLKAELESIHGFLKKMSDMGEEPDEQTKCWVDEVRELSYDIEDNIYDFLLHSEHESNNIPQHGFRGFIDKCINLLRKVSSIFLLGHHHETIKELQGLKRRVVEASERRTRYKLDEAIWKSNTAIDLRLLALYAETAGLVGIEGPREELIQLIMDEKSVSAGQLKVLSIVGFGGLGKTTLVIQVYRQLQTQFEFQAFISVSQKPNIRKILRRILSQVGYVARVDTHMEIWDEDELIRTLQEYLMNKRYFIVIDDIWDEITWNIIRCALPETMKGAMSKLQRLEINVNAHGWEQHGAPPAGIGKLPCLEEVAVRIGCCYANVSDTRAARSALQNAIDMHAGGPIANIKCENMCFGFEDFGWKNIKVTKDYKFST >cds.KYUSt_chr6.19750 pep primary_assembly:MPB_Lper_Kyuss_1697:6:124477750:124483820:-1 gene:KYUSg_chr6.19750 transcript:KYUSt_chr6.19750 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDLAHRKLDDSLFYADYFHLVEYFRLNAARLRYIGCIRYIDSVCRVTYFHVDSVAPDNKAKFILYDISGFIFYGYYWILRFNGFILYDISGFIFYGYYWILRFNGFILYDISGFIFYGYYWILRFNGFILYDIGGFIFNICFIFNGVDDVVAAVLPQDDGVDEEVQHDVAELMVSTELSFSPSNDDEERLAIGAAMGLSAELDNWLRDKINQVTQDMREYEKNQGVPNKAGWVTWYRRNQQESMTGMQNFGNGMMHHHVLRVGLDGEHLLVRAIREVVIEGDEILR >cds.KYUSt_chr2.2643 pep primary_assembly:MPB_Lper_Kyuss_1697:2:15863780:15867233:1 gene:KYUSg_chr2.2643 transcript:KYUSt_chr2.2643 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSPSLEQKVMDSSATMSVLAVLLLVLAVAQPSGADTPCYTRLFSFGDSLTDTGNFGFVFPNDTLAPGLSLPYGETFFHSATGRCSNGRLIVDFIAHALGLPLVTPYWSGKSVEDFAHGANFAVAGATAMSPEFFWERGYSAADADTVHLDMQMNWFRDLLHLLCPSDLSGMEDPLLACCGGAGPYGVSLAERCGQGEYNLCDDPQNYGSWDGIHPTEATNEAIANGLLRGPYTKPPISTTTNSCGRLSELFSSVEYKVIYNV >cds.KYUSt_chr7.37941 pep primary_assembly:MPB_Lper_Kyuss_1697:7:236567802:236568212:-1 gene:KYUSg_chr7.37941 transcript:KYUSt_chr7.37941 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >cds.KYUSt_chr7.25935 pep primary_assembly:MPB_Lper_Kyuss_1697:7:161989902:161991313:1 gene:KYUSg_chr7.25935 transcript:KYUSt_chr7.25935 gene_biotype:protein_coding transcript_biotype:protein_coding MEARQRGAPAAASASASRALWGHENLPLLARARSKDSVEYILQALWRTRRTGLDAADRAVVRDILQISTDSEIDPLLVCLRILIRRCVHENVAKDDVAKLFPEEVLPELKRLLILLLHKFQPQWRQDASKDQLHGVTSSANASSESGVKEGKLLLAKDSLDKMLKDIYPTMDQVSNVGNINGHGEAARST >cds.KYUSt_chr4.887 pep primary_assembly:MPB_Lper_Kyuss_1697:4:4645398:4645679:-1 gene:KYUSg_chr4.887 transcript:KYUSt_chr4.887 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGFRAAGNGFGGGSTMEHLGSGGDRVDGSSGSMELGSGSATAPDARAAADPQAGQGEAYLHAYFTGRKMAFSRGSQMPLVAVAQPRPIRHD >cds.KYUSt_chr7.10976 pep primary_assembly:MPB_Lper_Kyuss_1697:7:67477923:67479111:1 gene:KYUSg_chr7.10976 transcript:KYUSt_chr7.10976 gene_biotype:protein_coding transcript_biotype:protein_coding MVVISDLDLEHLVAACPALKTLHLSSTGPAHVRLRSPSLHCALVGLWMVEDFAVVDAPLLERLILFLPPRDDGVVVRVKIGGHAANLRVLGHLNTRAHRLQIGDIVIQRNTMASTSAVIPSVKILAVTVNFDVLSEVRTLASFLRCFPNVDTLHIESAVHGFSVVANEPCGEIHARFWEEASLVECLRSHVRKMVIHKFRGDQNEFQFLKFVAMNAKELQSLHVVLQQENTSSTDKMNETEDNFSWDNNFSALRNKTTTKWSKRRKKKHS >cds.KYUSt_chr4.10903 pep primary_assembly:MPB_Lper_Kyuss_1697:4:66214118:66216974:1 gene:KYUSg_chr4.10903 transcript:KYUSt_chr4.10903 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDPWGGTLEISNADSATDDDRSRDLDRGAMMRHQLDETQQSWLLAGPGDQAGKKKKKYVDIGCMVIDRKIFMWTVGTILGVGLFIGFVMMIVKLVPHKKPAPPPPDEYTLALHKALMFFNAQRSGPLPKHNGVSWRGNSGMKDGLSDSTTKKSLVGGFYDAGDAIKFNYPMAWSMTMLSWTVIEYKAKYEKIGELDHVKEIIKWGTDYMLKTFNSSADTIDKIVAQVGIGDTSKGPSPNDHYCWMRPEDIDYKRPVIECHSCSDLAAEMAAALAAASIVFKDNKAYSDKLVHGAKALYKFGRVQRGRYSPNGSDQSLFYNSTSYWDEFVWGGAWMYFATGNTSYLTVATAPGMAKHAGAFWLGSPNYGVFTWDDKLPGSQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPVYNSFNFTKGGLIQLNHGRPQPLQYVVNAAFLASLYADYLDTADTPGWYCGPNFYKTDVLRKFAKSQLDYILGKNPQKMSYVVGYGKKYPKRVHHRGASIPHNGVKYGCKGGFKWRESKKANPNVLIGAMVAGPDRHDGFKDIRTNYNYTEPTLAANAGLVAALISLADIDTGSRNSIDKNTIFSAVPPMFPTPPPPPSAWKP >cds.KYUSt_chr7.23560 pep primary_assembly:MPB_Lper_Kyuss_1697:7:146851624:146852684:-1 gene:KYUSg_chr7.23560 transcript:KYUSt_chr7.23560 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRTERVVRRTAMVGAVVATYLLLTADYGPNYTNPIRKAMESSRLSLKDLIFKSGKDGHMKEQENSESDGKK >cds.KYUSt_chr5.7261 pep primary_assembly:MPB_Lper_Kyuss_1697:5:45445997:45446872:-1 gene:KYUSg_chr5.7261 transcript:KYUSt_chr5.7261 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDPKPSPKPMPKPYPKPTPKPDPKPTTPAKPEPHPTPDHDAKPKPKPTPTMPEPKPQPGPSKPKPPLYSDDVVSDKANPKPEPQPKPKTTPANPNPKPTPKPHPNPNPKPGPSKPKPPVQDVGSDKANPKPEPQPKPKTTPTKPDPKPTPKPMPKPQPNPNPQPGPSKPKPSVRDARSDKANPKPDPQPKPKATPAKPDPKPTPKPTPKPQPNPNPQPGPSKPKPPVEDVESDKATPKPEPQPKPKTTPAKPEPKPTPKPQPNPNPHPGPSKSKPSVYARRVGSGEPLN >cds.KYUSt_chr6.6940 pep primary_assembly:MPB_Lper_Kyuss_1697:6:42030522:42034199:1 gene:KYUSg_chr6.6940 transcript:KYUSt_chr6.6940 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSSFYQSPVMDEGVATVVGEQSVGIDDCDEPAVEVDYPPVNVVKPESMESGPIKCPGGRFKKKAQRTTAYKRKKMWPEASKSAKSDKDDNLVPRRKRGRPAGSKSAKSNLGRKPTLAYINSTDSEGERDKDDDFDLMRKRRCPAVSKFAKNNKDDNFVPTGRYGSAFKRASEAEAQEKFPGEYPRFTKCMMPSNVLRVFWLHLSSDFCRSYLPQCNTKMILEDEDGQTDYVKYLSARDGLSGGWRGFAIDHSLKVGDTVVFELVEPTKFKVYIRREDKFTTADDDARSKEDLAVATRDSSTAPSDDANIAGEQAVGDVGDGIGSPDSGIKDPDGITAQSSTDQVPVEREGYVEVKLEKDDVAEKMKALKDPDGITAQSSTDQLPVEHEGYVEVKLEKDDVAEKMKALKSMMAALKEGLKAVDIEVEELESSVEKNAQAMQQMLNAQ >cds.KYUSt_chr4.136 pep primary_assembly:MPB_Lper_Kyuss_1697:4:792219:793301:1 gene:KYUSg_chr4.136 transcript:KYUSt_chr4.136 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSLQNSVNLKPQKVGIAEEHPRQLAPSVGNLSVQGMSSAAPVTSATFVLTSPTPSSPKNSVRCGSFKFTPRAEAPHLIPSGLHSNMDATFGGVHFIVDSGGFLRLPTSAASSLRTSAPEIIVPPIGSVGLPGNSNGSSRRNFGSREEQRKRRRDLRCEAEERVASRLRQCDKGCYSAQLSKNRSRAPYLPAAEQLVAPCYLHAYIDPKDNIEKATHLLKDCRQFLDIQKLCEELRFDSEAKARSVEGRAAAYNYSQQQQYVPDEDIYIPTEVYPASRGQVNMIHKTSFSKREAKKFSREIKYAEVAMAAVPDYFDGSDQNILFSTADHPIAVPRPGHAALVLEAQIGGYNMSKVFMD >cds.KYUSt_chr2.27206 pep primary_assembly:MPB_Lper_Kyuss_1697:2:166783925:166792302:1 gene:KYUSg_chr2.27206 transcript:KYUSt_chr2.27206 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRFLVRQAPAGEEHAVEYDTEDGLDVLRFQIFSLTSVPPDLQKIVVEADGSVVDDGTDLESISEGLRLVSIDEGNDADAAAAAARAQEKSDEELARMFQAEEEALLLQQYSIQNDGGEMFRERVEPYLRQVLKYEDPMRQEAALKTVPVDELKEKALISLAKEGTFSPSKNEEDHAFLLQLLFWFKQSFRWVNAAPCDSCDRETSMVGMGNPLPSEIEFGASRVEIYRCNHCSSITRFPRYNDPYKLIQTRKGRCGEWANCFTFYCRAFGYEARLILDFTDHVWTECYSNLYGRWMHLDPCEGVYDNPLLYEKGWSKKLDYAIAISKDGVRDVTKRYTRKWHEVLSRRTITSEDTVSAVLLNITGKCRSGLSTDELSGIENRDIQESEELTKAAYLEVNNSISLPGRQSGSVEWRTARLELGQGDSLSCSSCPVRKCVDAHVSKIYDALSAVLSHFCDTNIPKGRIIEVFDTLRSLMQNLKDANFRSRRVTLDQKSQQLFEDILPFAERLLSAISLKMELGTDGDLSVATDGNLIHTSLALPVALDAVDEILSNYKNNIFYTKGHQFPRGNRLCSGSVLASSEQLPVGIATAAFDGIRLSKWEEPDGAKGCWLIYKLDDGQTCELESYDLMSANDVPGRDPMDWVLEGSADGGSTWSTIDPRSSVMFESRFCRKSFAVDKSYTANAFRFRFLRARESSDNPRFQIGSIDLYGKST >cds.KYUSt_chr2.46382 pep primary_assembly:MPB_Lper_Kyuss_1697:2:289799590:289801845:1 gene:KYUSg_chr2.46382 transcript:KYUSt_chr2.46382 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRMSFLSLLPFILLALVSVEGAGDERSTYIVHVQPQANHLLDTADDRKALYQSFLPVHGRLLHAYHHVASGFAARLTQREVKEMSALPGFVAALPDRVYKLHTTHTPRFLGLNSLQGTRNTSYGFGDGVIIGVLDSGITPDHPSFSDAGMPPPPAKWKGTCDFNGRSKCNNKLIGARVFDTAGNGTASSTGAPLSPIDDDGHGTHTSSTAAGTVVPGAQVLGQGRGTASGIAPRAHVAMYKVCGEDCTSADILAGIDAAVADGCDVISMSLGGPSLPFHEDSIAIGTFAAAEKGLFISMSAGNSGPNYTTLSNEAPWMLTVGASTMDRLISSRVHLGNGLTFDGESVYQANTSAAVLYPLVYAGASSTPDAQFCGNGSLDGFDVKGKIVLCERGNDVGRIDKGVEVLRAGGAGMILTNQFIDGFSTIADVHVLPASHVSHDAGTAILDYIKTAASPMAQFTFRGTILGTSPAPAMTSFSSRGPSTQNPGILKPDITGPGVSVLAAWPFQVGPASASRQNGPTFNFESGTSMSAPHLSGIAALIKSKYPEWSPAAIKSAIMTTADTTDRSGKPILNEQHKAADLFAVGAGHVNPDKAMNPGLVYDIAPADYIGFLCGLYTDKQVSLIARKAVDCSAVKVIPERLLNYPSISVAFPASWNSTTPMLVERTLSNVGEVPAVYYPQFDLPKNGMNVSVEPASLRFNSMNQMKTYTVSVWPRMGSSSVVVQGALRWVSDKHTVRSPISATFEG >cds.KYUSt_chr7.11573 pep primary_assembly:MPB_Lper_Kyuss_1697:7:71142343:71146090:-1 gene:KYUSg_chr7.11573 transcript:KYUSt_chr7.11573 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDIDRISFGGKEHHIQTKHGPVSVAVYGDHDKHALITYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGATPISPSSPVQSVDELADQVAEVLDFFGLGSVMCLGVSAGAYILTLFATKYRERVLGLILVSPLYKTPSWTEWFYNKLMSNLLYYYGMCDMVKDCLLQRYFGKRVRGGSVVPESDIMQACRSFLDQRQSMNIWRFIQTINQRHDLTESLKQLQCRTLIFVGENSQFHTEAVHMTAKLDKRYSALVEVQACGSVVTEEQPHAMLIPMEYFLMGYGLFRPSHVSSSPRSPLNPFCISPDLLSPESMGVKLKPIKTRANLGV >cds.KYUSt_chr4.46823 pep primary_assembly:MPB_Lper_Kyuss_1697:4:289530846:289538129:1 gene:KYUSg_chr4.46823 transcript:KYUSt_chr4.46823 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLHRHPLPLAEAAAAAAHAALLALALLLLLLRGARALAARCASCLKPPRRRDGALHDAASAASASTTGTWYRAALACCGYALLVQLAALAYEVASTWPHVTPDALLLPGVQALAWASLLALALQARAGGWGRFPALVRVWWVVAFALSVAIAYDDSRRLIDSDSSTDYAHMVANFASLPALGFLCLVGLIGSSGVELEFSDDDSSVHEPLLLGGAQRRDAEEEPGCLRVTPYGDAGILSLASLSWLSPLLSVGAKRPLELADIPLLAHKDRSKFCYKAMSSHYERQRAECPGKDPSLAWAILKSFWREAAINGAFAAANTVVSYVGPYLISYFVDYLSGKIAFPHEGYILASVFFVSKLIETLTARQWYLGVDVMGIHVKSGLTAMVYRKGLRLSNASKQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIATLSTLVATTLSIAASVPVAKLQEHYQDKLMAAKDERMRKTSECLKSMRILKLQAWEDRYRLMLEEMRNVECRWLKWALYSQAAVTFVFWSSPIFVAVITFGTCILLGGELTAGGVLSALATFRILQEPLRNFPDLISMIAQTRVSLDRLSHFLQQEELPDDATISVPQGSTDKAIDIKDASFSWNPSGSTPTLSDVHLSVVRGMRVAVCGVIGSGKSSLLSCILGEIPKLCGQVRISGTAAYVPQTAWIQSGNIEENVLFGTPMDRPRYKRVLEACSLKKDLQLLQYGDQTVIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSDLFKDYILTALASKTVIYVTHQVEFLPAADLILVLKDGRITQAGKYDDLLQAGTDFNALVSAHNEAIETMDLFDDSDGDVTPSVPNKRLIPSVSNIDNLKNKVSENGKSSNTRGIKDKKKSEERKKKRTVQEEERERGRVSLNVYMSYMGEAYKGTLIPLIVLAQTMFQVLQIASNWWMAWANPQTEGDAPKTDNVVLLVVYMCLAFGSSLFVFVRSLLVATFGLAAAQKLFIKMLRCVFRAPMSFFDTTPAGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLFLIVPMAMGCMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFSRPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKIPSEAPLIIENCRPSPSWPENGNIELIDLKVRYKDDLPFVLHGVSCIFPGGKKIGIVGRTGSGKSTLIQALFRLIDPSGGKIIIDDVDVSAIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEERPDHEIWEALEKCQLGEVIRSKEEKLDSPVLENGDNWSVGQRQLIALGRALLKRAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKITEFDTPQRLLEDKSSMFMQLVSEYSTRSSCI >cds.KYUSt_chr2.44215 pep primary_assembly:MPB_Lper_Kyuss_1697:2:274977678:274978892:-1 gene:KYUSg_chr2.44215 transcript:KYUSt_chr2.44215 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYDDDGAANNGFPRRSLHAWEGHLLHQAGYPCPPDTRPPGGGWRLSAGGVPIPPPPRGHALDVAIEEARMTLTDEERAEPRHHPDNYTAWNSYFLRQWERELASYDGPPPPPPRNNAAGRRRWWSAPERTLANVLAHIEGRNFPVLTMPPPSRASASRRRGNVWQPRRMAASSSSFGSAPRSSFAPVKREEATSPSTPVRVKKEPASPPPTRGRSSGALVIRDQPSQPGRKRKAPKKEDAAAAAANRLAEEEAKRAEDAAVAEAIARSLNDLVPADNALPEDAALAWSRQDWEREEEEQQRRLLDLAAARRLAVRASRVAPTAAAEDAARYRRPATPPSGVVVPVVDLESSDVEWYKPSPGWGDAGQGSSRQAAPPRAPKVEDDSSDDGGDDYTVFYRRLGM >cds.KYUSt_chr1.3696 pep primary_assembly:MPB_Lper_Kyuss_1697:1:22512865:22519453:1 gene:KYUSg_chr1.3696 transcript:KYUSt_chr1.3696 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVVSPDGGAGSGRRGRSRFAAAAARRGRRRGGAVAASPPTEEVAQMTEPLTKDDLVAYLASGCKPKENWRIGTEHEKFGFDVETLRPITYDQISGILNGLSERFEWDKIMEEGHVIGLKQGKQNISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGVGFLGLGFQPKWPLSEIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMISKFRASLALQPIATAIFANSPFKEGKPNGFLSLRSHIWTDTDNNRSGMLPFVFDNSFGFEQYVDYALDVPMYFVYRNKKYLDCTGMSFRDFMVGKLPQVPGELPTLNDWENHLTTIFPEVRLKRYIEMRGADGGPWRRLCALPAFWVGLLYDEESLQSILDMTADWTKEEREMLRRKVPVTGLKTPFRDGYVRDLAEDILQLAKNGLERRGYKEVGFLREVDEVVRTGVTPAERLLNLYETKWNRSVDPVFEELLY >cds.KYUSt_chr4.54185 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335084342:335085484:-1 gene:KYUSg_chr4.54185 transcript:KYUSt_chr4.54185 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFSTSSAEAFLRVSRRNQRLLTAKWCVPGGVKTADDGSSVPVERTLDLIAFPDNITMVLLRHGLSGFDDEAVIMVARIRLAPVLLVIVGPQPQLYMEGGDGVSPGEDGAASELGLEGYAGGFGVGAGSPETWLEGDAGGVVAAPELELKGAAGDDVAVPESEFEGTAAGGPAGAGGRRHEPSVAEGGTATTCVCGKGKQCSSKYTCREVMTR >cds.KYUSt_chr1.3445 pep primary_assembly:MPB_Lper_Kyuss_1697:1:20823590:20824481:-1 gene:KYUSg_chr1.3445 transcript:KYUSt_chr1.3445 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPVDEVAMMLAGSGQPHGRPACLAGGFKPQKNFTQIKATLPSGSYATSSRTTCHSRVEVDTQLEEAYAVAYEEYLEKIKEHDLVKDAYVQWTSNQMASFTRFMMTGVREEPLPEPPHPGPTPVFPSKEEFYIMYKRQRQLTPGLGESGNDAPDGTPMHPGRCSPGASAEPRHGSASGGSRRGSTSPSTVEIQRPHFTHSELDRLELDRHSGGAPP >cds.KYUSt_chr4.15142 pep primary_assembly:MPB_Lper_Kyuss_1697:4:93492496:93492927:-1 gene:KYUSg_chr4.15142 transcript:KYUSt_chr4.15142 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQYLNLVLGSHKTGSFSLRRIKAATFFHPKKQNVNPAPAAAMELAELPAPALSFVVPSSGRMMTMRFMPLGGSADKIVSADQDGTVMMYDACENKLHAMPRLTGRAMRCPIPIAAGDALYLIEACPALPSPTSRTAAASRP >cds.KYUSt_chr2.5400 pep primary_assembly:MPB_Lper_Kyuss_1697:2:33456103:33458009:-1 gene:KYUSg_chr2.5400 transcript:KYUSt_chr2.5400 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGPRESSSSSWRSLLDSCLGATRAAGDEIRASLEPGQQAPFVTDKDRLSSPVATGSDLHAFTYAELRAATGGFPSRNIIGEGGFGAVYRGSLEDGVRPGLVAQAVAVKRGLANDYVEELLKEAMFVAQLQHRHPSLVKMIGYCYHGNQRLLVYEFMGHGSLDNYLSKPIRSVLPWSTRLSIVLATAKGLASVHGEEKPLVCSQFNASNILLDSNNDVKISLSDLRLNTRSLDGTEDTTRVGRSMRGCAPEYIMLGHLTVKSVVYSFGVVMLEILITGKTSFDLTRPAKKRHSVDYARPCLKDPRRLARIMDRALKGVYPVAATQKVALLAYKCLRANPKRRPDMSAVLEALDHVTAIADVEETPMIL >cds.KYUSt_chr4.4316 pep primary_assembly:MPB_Lper_Kyuss_1697:4:24754260:24757103:-1 gene:KYUSg_chr4.4316 transcript:KYUSt_chr4.4316 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTQVKQTQLINKKEAALGLSENASWHARFKDSAYVFCGGISFDLTEGDLLAVFAQYGEVVDVNLVRDKTTGKSRGFAFLAYEDQRSTVLAVDNLNGAKVLGRIIKVDHVDKYKMKEEEDEEEVAKKREERGVCYAFQKGECNRGDACRFSHDAQRNANTGWGSKEDIEPKWEHDKHRGPSNKGGVCYAFQKGECSRGDSCRFSHDEQVAVQNRGVCYAFQKGECSRGASCRFSHDEQRNANTGRGSRDDSNARRQHDHDPPKSHKNFPDRTKEETRSGDREGQSSRSDVYRDRDSRTRHGDRDTEDRDRNRHERSPERSRGERQRGDDRYREERSESKRSRQDRDSGGRHERRGDEEAERHGKSRR >cds.KYUSt_chr1.25016 pep primary_assembly:MPB_Lper_Kyuss_1697:1:149835830:149837238:-1 gene:KYUSg_chr1.25016 transcript:KYUSt_chr1.25016 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKPVAPVPLTRILPLRPPRRWRAESARAVPPSIACSADAGGELPARLRPNARRPLWHGGGFSLGVDLGAARTGLAVGRGITQPRPLTVLKLRGEKLVLMLLDVALQQEADELIIGLPVSADGSETPQSNKVRSVVGRLAVQAADRGLRVYLQDEHGTSIDALGYMISTGVKRSARDVKSDAYAAVMILERYFSSSGQGAQIVLPRQQELQDKLILKLSKYAEF >cds.KYUSt_chr3.30538 pep primary_assembly:MPB_Lper_Kyuss_1697:3:191397658:191401657:-1 gene:KYUSg_chr3.30538 transcript:KYUSt_chr3.30538 gene_biotype:protein_coding transcript_biotype:protein_coding MATNALLSPAAGRTPNPKATPSPSARRAGPAPDAATAAAAAATSDTKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPAALEPRCRFQVRAPALPPRVFPLPPRSDRVLATRERVAESSVDLRNASQEEDSEEYGHPMVLSSAIADLIKQRTESHLRTIQAAVSPKPIVMRAEYAHCPNLTIIDTPGFVLKSKKGEPERTPQEILSMVKTLASPPHRLILFLQQSSVEWCSSLWLDAIREIDPSFRRTMIVISKFDNRLKEFTEKWEVDSYLSASGYLGDNIHPFFVALPKDRGTVSNEEFRRQICQVDIDVLKHLRDGVKGGFNEEKYGPYIGFSRLRKYLESELQKRYKEAAPATLALLEQRCCDVSIDLSRLDSKLQATSDVSQLRRSAMLHAASICTHLRALLDGAADPAPELWGKTTEEEQMHSGIGSWPGISVPVKPPNSTLKLYGGAAFERAMHEFRCATYSIECPQVSREKVANILLAHAGRGGSSGVTEAAAEIARAAARSWLAPLTETACDRLAFVLQSLFDLAMERSRNDDSKYQNVENMDGYVGFLAALRCSYYKFVKDLSKQCKQIVRHHLDSVTSPYSHICYESDFLGGAGSVANTLHRFNQFNGVASFDLSDSGSALEEGQENVPPKDQQHMTPPAKANESKDILRESQLTVPETPSPDLPGDMHGGKKKDNGNMNDGGARKRHARMAAYANRNHHNNITVGADDLVSKAGSSYSSICSISAQYFSKMREVLIERNVPSALNSGFLTPCRERLFLALGFELFAVNDDKFMDMFVSPGAVDSIQNERQSLLKRQKILLSCLSEFKNISRTL >cds.KYUSt_chr4.12587 pep primary_assembly:MPB_Lper_Kyuss_1697:4:77200347:77210455:1 gene:KYUSg_chr4.12587 transcript:KYUSt_chr4.12587 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTTITCSDGKREETGEFGEGLMEIRRWIAIVSDAEDDIASWEEISSEETEDVKICLICGDVGEKKKLAVCSRCNDGAVHTIAEIFEKSCEFEGLAAASVNDGLGMLTRLKLRLTTSSTATICSSTNHSGLVDVFLHLCAWLITGGCCGVKAGRRCCVGHRLRRHDMTRLESVSGARKVQQVENTRMEADQGCEKATLTAAAAMMRRTHDGERMLWGGHGESESGWSCEECQAVVEIEIGKKKLEKVKGNVVMISMDNKVDAKNVAHKESHEDNQGNDINFKTKEEDAGIIEVGNVASGCTPSVKENDSGLCITNKVLHDCDLMSTDINVILNNKSLDGGAHSLEKHNNDASSISKAEDVSNMAAWNKRLNRQSDAKNSEQIQLVKVCDICGDVGEEEKLAVCSRCNDGAEHVYGFVYFIAFFSVLVILG >cds.KYUSt_chr4.11526 pep primary_assembly:MPB_Lper_Kyuss_1697:4:70099933:70105271:1 gene:KYUSg_chr4.11526 transcript:KYUSt_chr4.11526 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAISRLGAHLRRQPSPPSPPLRALSSGRGKRSSPTAPPPEPEDEGLMRGLFVLSRDPAHPPRLLVVQPRLRPGALLDSKLAEALNLASSLEEARDSFDRAGSAAKSAPPHLVVQNPASRGRNHSGTYFGPGTVDNIKCYMRALDEKAELDAVFVNTLLSGVQQRNLEVAWGKPVLDRVGLIIEIFNAHAETKEAKLQSELAALMYMKTRLVRVRGPGGRLAFGASGEAEVVSARGRASGGQGFMSGAGETELQLQRRRIQERRLSLLAQIEDVRRTRAIQRSSRKRHGGSFGQELVTVAVVGYTNAGKSTLVSALSQADLYSDDRLFATVDPRLRSVILPSGRKTLLSDTVGFISDLPIQLVEAFHATLEEVAEADMLVHVLDSSAPDLAEHRSTVLQVLQQIGVSQDKINNMIEVWNKIDLVDKTALTDGVEDKIFLTEGEEEEDLFSENDVPSEQSSFDSLDDTVDSESLSEENCENDGDKMTSEEPFTEPIEFDTARIHLSCENFSCDWDRIARVAAID >cds.KYUSt_chr3.219 pep primary_assembly:MPB_Lper_Kyuss_1697:3:1276623:1279571:-1 gene:KYUSg_chr3.219 transcript:KYUSt_chr3.219 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLPLYILPFLRPPSRLSSSTRTISISIRPPPEPNPTPLLLLLAVAMGRTFFVGGNWKCNGTTEQVEKIVKTLNDGKITATNVETVVSPPYVFLPTVKASLRPEIQVAAQNCWVKKGGAFTGEVSAEMLANLGVPWVILGHSERRALLGESNEFVGDKVAYALAQGLKVIACVGETLEQREAGSTMEVVAAQTKAIADKITDWKNVVIAYEPVWAIGTGKVATPAQAQEVHANLREWLKTNVSPEVAETTRIIYGGSVTGASANELAAQPDVDGFLVGGASLKPEFIDIINAASAKSA >cds.KYUSt_chr3.30051 pep primary_assembly:MPB_Lper_Kyuss_1697:3:188106988:188108403:-1 gene:KYUSg_chr3.30051 transcript:KYUSt_chr3.30051 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMMHKTVVLYPSLGVGHLNPMVELAKLFLQRGHAVIIAVVNPPDKDAVSADAVARLTAANPAITFRLLPAPSSSDEEEHFSSPILQTIDVLRRANAELRELLRALPAVDALVVDMFCVDALDVAADLAIPAYFLYASAVGDLAVMFHLPYYYPAAPSSFKDMGTTPLHFPGVPPIRALDMSTTMLDRESDIAKERLRQYTRMPEARGFLANSFDWLESRALEALRHDVCTPGRSTPPVYCIGPLVLPAQTSGERHPCLQWLDAQPERSVVFLCFGSLGTFSAAQLGEVARGLEKSGHRFLWVVRNPPEHKDGSVLEASLPEGFLEKTADRGFVVKNWAPQAEVLQHGAVGAFVTHCGWNSVLEGIVSGVPMICWPLYAEQRMNKVHVVEEMKVGVAMEGYEKEIVKAEEVEGKVRLVMASEEGNMLRKRLSTVKNMAADALKEGGSSDVAFENFLRDLEKCSSEFKKSM >cds.KYUSt_chr4.48384 pep primary_assembly:MPB_Lper_Kyuss_1697:4:299652067:299657996:-1 gene:KYUSg_chr4.48384 transcript:KYUSt_chr4.48384 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLSSPQQEQHVARVELAGLWTGAAGGASEAKHRPVQKGLERRSIGVRRAGGCLRRNVYVELGGGQAWSRPGPTDCTKPTRSKRVSLGVQASEMVVASEEPFPAVHKCNASHRSDHTVVSGLNGTLLRSRSAFPYYALVAFDTGGVPRLALLLLLAPLAAALYYAVSEAAGVQVLVFAATAGARVADIESAARAVLPKFYAADVHPEAWRVFAACGRRWVVTATPRVMAEPFLRDHLGADAIVGTELATWHGRATGLVDVRRGVLVGERKVEALREMIGEGNVPNVGLGDRRSDYAFMRLCKEAYLVPGTAVDAVGADKLPKPVVFHDGRLVQRPTPLAALIAVAWFPVGFLLSVVRVAAGSLVPMPWQYQVYRALGVRITMRGAPPPRPVLASGRTGALYACSHRTLVDPVFLSVALRRPVSVVTYSLSRLSEILSPIRTVRLTRDRATDAAAIKRLLAGGDVAVCPEGTTCREPFLLRFSALFAELTDDIVPVATECRTSMFHGNTARGWKAMDPFFFFMNPSPQYTVTFLDKLPAEHTCGGGGKSSHEVANHVQRLIASALSYECTGFTRKDKYRALAGNDGVVAAPNKGT >cds.KYUSt_chr3.16295 pep primary_assembly:MPB_Lper_Kyuss_1697:3:100026771:100028270:1 gene:KYUSg_chr3.16295 transcript:KYUSt_chr3.16295 gene_biotype:protein_coding transcript_biotype:protein_coding MRILEPVRSIGTRSIFVGQKRCISVDTSKVHTVQSGSIYCVDLSEIRAYDDGGLSWQDEPKYVPGYGLVLHQDLYAADGDCQGPWSWMPLCCEKPPPTSRGAASGSKAVARAGTCFRFSTGNYAPGNSVAGLVERTRGRVLAISATIPGGGDCMSGTRGALRRAD >cds.KYUSt_chr3.47146 pep primary_assembly:MPB_Lper_Kyuss_1697:3:295936244:295936923:1 gene:KYUSg_chr3.47146 transcript:KYUSt_chr3.47146 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNTSEECYEAPKHGHGHDHGHGHGHGHGMTNMYSNTTTDECYDAGRNGRGGMNMYTNTTDVECFEGGRHGHGHGHGHGGGQTMYSNTTEEQCYGEQGQQGYYKKEEKTHKNRERMGEVGALATGAFALYEGYEVKKDPAHAQKHKIEAGLAGAAALGAGGYAFHEHREQKQASYGGGAHRQEHRVPAYNQYCN >cds.KYUSt_chr6.32002 pep primary_assembly:MPB_Lper_Kyuss_1697:6:202207037:202208329:-1 gene:KYUSg_chr6.32002 transcript:KYUSt_chr6.32002 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSGLRNFADLLHPRHGRPAVPKPVADDVTTRYQLFPGFPSKKTKSPSHMSDAGQIWPPPPLDDEDVLTEILCRLPPLPSSFMHASLTCKLWGRLVNSAAFRCRVVDHHRHAPIFGVYEKYARTLRFIPALHVPDRIPPKRFSLKVGADGSATVDHWDVLECRHGRVLVINLTRRELLVFDPVSGDRRAIAFPLDFLNNICTANGAAVWEDEGAGHSSKFRVVLVGFWGDGGEGKVAARVYSSETGEWGDLVAAPDRPEPCLVGRLPCTLVGNGLYWWLAEPLGSILQFDFGTQNLTIIDKPPIANINIGCSRIIRGKDGTQLGLAVLSYPTLQIYDREVGSHGALMWVLRKTLHMDKILGLPTRMADRDTFIRGYAEDAGAIVMSAPHGIRNALYIVQLESMKHREIHGNFFESSYHPFANFYIGRP >cds.KYUSt_chr5.21316 pep primary_assembly:MPB_Lper_Kyuss_1697:5:139030886:139033936:-1 gene:KYUSg_chr5.21316 transcript:KYUSt_chr5.21316 gene_biotype:protein_coding transcript_biotype:protein_coding MDACAYLEEPIIMTFGEFRFGVNKEGSYRLEVPISSEFSAVDSNFSSSDEEFSPPHFVDSKASGRLAKIFSNTSFESSADSFISSDSDSVDSFNFIDKSAAIGKVFTTLYDGVTNPDKNQYAKYHQIYAIEEAGRSEPETSEAFDDMGNPYVDPADLRHGLGTKYVGSSPRERVQLPQEAWDRAAKAMDGSEPMTTTATVQELQEYQYRLARVSRELEKQTASLNRRKEAASASSRRRAELSRHSGTSGDSHREARRRAMSRLQNIPEAERGNIIQNLDMSFMSIDEKGNIIPKTPEAGYMATQAYILASRPPPGDPREPLFNMAMAGVGVMGTAFATTPPEENPRQNSPRPNTAVQDPPRTSGARDTVVQVRVDRARQERRERQHSPKVADEDMCGLPCFTRRVRKTRVPKGFKLPENFKKFDGLQDPEDWLVDYLEMVKLVGGTRATAMQSIQVHLSGAARSWIKKLPPNSIDSWDNFEDIFVKNFRSTCKKPASLEELRACRQKHDESMRKYIQRWNIIKNSAEDISDERAIDAFVAGIRRGYFVEDLGRTNPKTISALMEIANKWADGEDAIYNKRHRSPEEDRGRNYQNRRRFSRQFYNNDAPGHISAGFRGNPGGNSRDDYQRSNEHQGDNRGDSRGNRQNSGPRFQRPYVSPEEMMNGPCQMHFYLDNNGKRQSGHLQKDCRNCQAMLRAAGIANAQATNRNPQGPRSEIHLPPPPAISDENRHQLRIAAAPHPPPYVDPNSNGVVSMIQKARPSNRAQKVISRQVFMAEKMPPPTVEYLNWSGQDIGFTIADHPQQVPRPGQSALILPAVIAGFDVSRVFIDGGSSLNLMYADTLRKMNISLANLKPTDTRFHGITPEKPSYPLGKINLDVQFGTRENYRIENLEFEVVDFPSQYHALLGRPAYARFMAVPHYTYLLWRLPGPKVPITIKGSFALSDKCDKDFHRLSETFGMQAEYAAPRFTDYDVLPEVGRSSKEPTFNTTKDSKEVQIHPTDPKKTPSIAANMDVA >cds.KYUSt_chr1.7973 pep primary_assembly:MPB_Lper_Kyuss_1697:1:48909333:48916766:1 gene:KYUSg_chr1.7973 transcript:KYUSt_chr1.7973 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDPGVFLTWEDVCVTVAGSYGREPVSILSGISGHAAPGEVLAIMGPSGCGKTTLLDALAGRLGPGINEAGEILINGRREKLAFGTSAYVTQEIMLMSTLSVREAVYYSAQLQLPETVPAAKKCAHAERVIREMGLSDAMDTRIGGRITKGISGGQRKRLTICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHIARIAAREGMTVVAAVHQPSGDVFELFHSLCLLAYGRTVFFGEAAHATELMERYKEQKKDLHMVFIDLEKAYDKIPRNVMWWALDKHKVPTKYITLIKDMYDNFVTSVRTSDGDTDDFPIRIGLHQGSTLSPYLFDLVMDEFFTQTGFPCPNLRNPSDHFLRTINKDFDEKIVKSSKATRKTAAEAIDILTQAYQSPAYLEKTVSKIAEMKQINGGASFRRREQASFSTKLLVLTRRSFMNMHRDIGYYWMRLGVYVGIGLCLGTIFYQVGNSYSSIQTRCSIIMYTTALLTFMAIGGFPSFVEDIKVFKRERMSGHYGVAEFVISNTLSATPYLALIAVIPGAMLYYLTGLTKGTDHFAYFVITLCMCTLLVESIMMIIAALVPDFLMGIIVGAGVQGVLMLNGGFFRLPHELPKPVWKYPFYYISFHKYAVQGLYKNEFMGLTFPSDQLVQANTTISGIQVLQEKLEVEMGYSKWVDILILFGMMVIYRLIFFVVVKIGEEVRPKMRKIRRK >cds.KYUSt_chr1.31152 pep primary_assembly:MPB_Lper_Kyuss_1697:1:188939285:188942053:1 gene:KYUSg_chr1.31152 transcript:KYUSt_chr1.31152 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWHRSLLRFAPAPFSPIARFLSVARAALHGPPRHRPYFPDLGRHLPLPSHRPLSPPGIPIFLSMSKASFSDHNLLGRGGFRPVYRASKHLAAYRTSFALLALLQTVLEGRQEITVKLLSWRRGSGAGVSQRGTPCFPEYGRCDGERRRKSLHGCIVSQDLSVINLVIVKEFAGSSRAEVIDEEVAVVETDTLEKCGPEQMTLVLLGLEQVGNHALLGYDFKKAKALDMLVLLTLLFLRKERQVSVP >cds.KYUSt_chr2.37287 pep primary_assembly:MPB_Lper_Kyuss_1697:2:230849260:230850875:1 gene:KYUSg_chr2.37287 transcript:KYUSt_chr2.37287 gene_biotype:protein_coding transcript_biotype:protein_coding MHCDTGAQAHMALAQGRRRVHPHPDAGGSATQGAQAPSPPPSPPRQATYRLPQATIRRSSSHAHAAATHLHSHPQAADVHSHPKAYASDVQAANAPQAHAAHLQAVAKADSTHLQAHAHTLTADVQAGATNIQALTQAHAADVQAGAQADASGAQAGAKTHSTDVQAGATNIQALTQAHAANVQAGTQAGAKTHSADVQAGTPDLQAGSEADAEAVAATLHSDAQAVAATLHADAQAVAATLHAQAQAVAVTLHSDAQAIAATVHSYPQALPATLHSEAQALAATIHSNPQAIPATIHSDAQADSSAAQAPHSYPSGVQASDSRPSGVQASHSEPAAAALPSLRSCTLPCWEHRMCREEVLCELAKMGHCAMILLRSAPAILGLISEEIK >cds.KYUSt_chr4.18360 pep primary_assembly:MPB_Lper_Kyuss_1697:4:115457661:115460605:-1 gene:KYUSg_chr4.18360 transcript:KYUSt_chr4.18360 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLATDVRLSIANQTRFALRLASVISSNPEYAAFNVAYSPLSLHVGLSLLAAGARGATREQLVATLGTGEASEEAEGLQALAEQVVQLVLTDASCVGGPHIAFANGIFVDASLALKPSFQELAVGRYKANVQSADFQTKPAEAAHQVNSWVEEITSGLIKDILPEGSVDSTTKLVLGNALYFKGAWPEKFDASKTKDDMFYLLDGSSVQTPFMSTTKKQYISSSNNLKVLKLPYLQGGDKRQFSMYILLPEARDGLWSLVKRLSSEPEFIETHIPSEKVEVGQFKLPKFKISFGFEASKFLQGLGLQLPFSPGADFSEMVDCQVAQNLYISSIQHKSFVEVNEEGTEAAAATAVGVTFLAMPLRTDFVVDHPFLFLIREDDTGVVLFVGHVVNPILSL >cds.KYUSt_chr2.47984 pep primary_assembly:MPB_Lper_Kyuss_1697:2:300075676:300084572:1 gene:KYUSg_chr2.47984 transcript:KYUSt_chr2.47984 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTMEGDGRRLAAALCLVIVATASVAPAQLVVVKNSIWVMSPLSMRAHHEAAIANYGVPNYGGTLTGVVLYPADAKLATGCRPFDTTAPFKSRSGRPVVLLVDRGECYFAVKTWNAQQAGAAAVLVADNFEEPLLTMDNPEGEGETLTFLANITAPSALVTKSFGDALRIAASKSGEEEVVVQLDWRESMPHPDARVEYEFWSNINDECGPRCDEQAAFVSAFRGHAQLLEKAGDALFTPHYIIRFCPARFAGTRQCASECINHGRYCAPDPEGDLGRGYEGKDVVVENLRQLCVHRVANARNASSVWWDLVTDYRVRCSMREKKYSRGCAEEVVASLGLPAEMMAECMGDPEADADNEVLETEQMVQVGQGNRGNVTVSPTLVINNVQYRGKLESTAVLKAICAGFKETTEPRVCLTQDMETDECLDNNGGCWRDDKTNITACKDTYRGRVCECPMVEGVKYEGYGYKDCKASARFHVGAGIPGVAPHYISPPSTFNVLLGSYWPAGKANGLKWFKQAQGQPTTRLCPRGLPDRGSRMSAAFKRLKRYGATWSVGLERDLTAEVHRLAGEREVRRRLG >cds.KYUSt_scaffold_6468.27 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000022.1:217562:220669:1 gene:KYUSg_scaffold_6468.27 transcript:KYUSt_scaffold_6468.27 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVVARWQAALPHVPCLIWSMASLGSHSAVSIVEHGARCSINGCSHVRTGAGNREKWLPSTSSLMPGDDNIDWLHCLGFASSYSTFHVQVTGHLTFLGESGEALIGREADLLAPLTKGRHNYVPAAITAMVGKQCTVIAKVDQETYAADRGIVFLTVSKAQLITDSVTALGSSTHTSLAIADKAIVPPDYPKSTQSHLPEGCGSLLIDNTSKGYN >cds.KYUSt_chr7.35005 pep primary_assembly:MPB_Lper_Kyuss_1697:7:218684672:218684878:1 gene:KYUSg_chr7.35005 transcript:KYUSt_chr7.35005 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASWPEVVGWPATQAVTQINADRPDVAIEVVPAGTTVAPGYNAERVRIFFDAGNSRGPVLYTPVVG >cds.KYUSt_chr2.33551 pep primary_assembly:MPB_Lper_Kyuss_1697:2:207235367:207236959:1 gene:KYUSg_chr2.33551 transcript:KYUSt_chr2.33551 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAGRRLSSSLSWRPAAAAGARGPLAGPGRDDDDNSPRQPRSAIDSPFFAAVRGFSSSETLVPQSQDAGLAGLPATVAALKNPNSKVLYDQYNHERYPPGDPSKRAFAYFVLSGGRFVYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTDDDIKLANSVDVASLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNSGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEDNKMLIG >cds.KYUSt_chr4.54331 pep primary_assembly:MPB_Lper_Kyuss_1697:4:335808828:335815457:1 gene:KYUSg_chr4.54331 transcript:KYUSt_chr4.54331 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRASDRGSVGERFEFRFSSFRAVQVPAVSDRLFLSIVSVDTGKTIAKSSKAAARSGICQWPDSILEPIWFSRDEVSKEYDECQYKIVVSVGSIKTGILGEILLNLSNFLNLVDPTAISLPLKKCNSGTVLQLKVQFLGTKSKSSGVRSSKDMPPRIADRCLLNDDVYNKSDGSDNIRSVRSSPGNPAGATYQDEPGNRETSFSASGSHRSSNSGDSTADRANLSPRENSNGGLYVGRQDSGSSYVSAGRGDEGFKSNNSSFSSRASGPNTVQGNTPKSFSNGIAQSSLGTSDSSKDLLEAAEETIEELRDEAKMWERHSRKLKADLEALKRESSEKSKQQAELAVELSAAHAERDSYMHEIEELKSSRKELSTRQTKSGTPKRGDWIDLQKEVEDEMKFLKESNASLAVQLKNTQEANIELVSILQELEETIEEQRVEISKNSKVKDVTNPHVSKNGLLVKDDTEWARKLSMKEDEIKILREKLDHVLNIENAGAAGSDTIYLELEKENESLRVKVQELEKDCSELTEENLELIYTLKESGVVKGQDPLVSVSDAKELQKKCADLELKLLRFRDQGCELEDKLQKSQEELEQKNLELSKLREELEGFNGGETGGARGYQFGREDQKDSESEAEMVKTRVQILQQENDNFRRFTVEREDFISEIQEEKCQLEERLAAAAKESRITSKCLDELQQDILVLSSSIDSHVSANKVLERNIIELESCKSELELHISELEQENIELSERISGLEAQLSYMTNEKESTELQMHDSKSLVISLKDKLERQQVEMDTQRLEFKQKQQEAQRKLAEAQDDSEVLRRSNSNLQSTVENLIEECSSLQNLTAELKRQKLELHGRFMQQEQELDSSKKRIHDFCKTVEFLEAKLSSLQKDISSKEQYLLSELESIFQEHSEQEEKINRAHFMLTKIEKEKMLEVENLEREVASLNAQVSSTHEERENDTVDAIREVSILRADKAKLEANFENVSAQLSHYESQLEDLRKESKSKIKGLVDSLNASKQSEEMLTADAEHMKKLMEAAKSNEDELRKISGEQELKLKSSDYEKQQMMEELSGLKVQVQKITSLQDEASKLRSSLDEVKFEKGKIKELLQSVTEECEELKAQKAMLTDKVSEMQETLKNGEEEKRSRRSMQAKLVRLESDLSASEASHVHEAELKNELSRIRRSNSEYQRKIQSLEQEIEDLTRQTQVNDMKEIGDSTLNRETDLQSKIELLETKLSEALEENKMHRAQEKSPISDGQSSAEEKILQLEGDLRDMKERFLNMSLEYAEVEAQRERLVMELKTLKKGGRWF >cds.KYUSt_chr3.47825 pep primary_assembly:MPB_Lper_Kyuss_1697:3:299678665:299679060:-1 gene:KYUSg_chr3.47825 transcript:KYUSt_chr3.47825 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLLFLYTLALLAASAAAASSADLGDLLERQESMAEVIRVSTDAVAAAAADAHTMRRMTLFMQRELGPFGIVFNAIDRMPESSVAEVRGKAQALDAAEELMIRHHRELLLGNDNISGACRQSGSCPSS >cds.KYUSt_chr4.38892 pep primary_assembly:MPB_Lper_Kyuss_1697:4:240159226:240162757:-1 gene:KYUSg_chr4.38892 transcript:KYUSt_chr4.38892 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGQLKRLAALPPAGGHPRLEQYMLLHVSRLLRTDLLAVLFELLRQDHVLLSMKIYGVVRKEIWYRPDMYLYRDMLHMLARNKKIDETRQVWADLKSEDVLFDQHTYGDIVRVFCDAGLIDLAMEFYEDMRSSPEPPLSLPFRVILKGLIPYPALREKIKREFLELFPDMIVYDPPDSLSDIDEEFRF >cds.KYUSt_chr2.45254 pep primary_assembly:MPB_Lper_Kyuss_1697:2:282206187:282208783:1 gene:KYUSg_chr2.45254 transcript:KYUSt_chr2.45254 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCTMATMHAAVHHHHHPLLNVPPPPRHRVRVVVRRTAGTTAAAVTSEPDTFSNAFWDYNLLFRSQRAETSDPVQLRVVEGAIPADFPAGTYYLAGPGMFSDDHGSIVHPLDGHGYLRSFRFHPDHGVHYSARYVETAAKSEEKGDGASWRFTHRGPFSVLQGGHRVGNVKVMKNVANTSVLWWGGRLLCLWEGGMPYELDPNTLETIGPFDLLGLADEAAAAQGRVAGRQRQRRPWLVEAGLDVATRLLRPILSGVFSMPPKRLLAHYKVDPKRNRLLMVACNAEDMLLPRANFTFYEFDAGFGLVQKREFVLPAHLMIHDWAFTDSHYVVLGNRIKLDIPGSALAMTGTYPMIGALQLDPSKETTPVYLLPRSTEAVASGRDWTVPVEAPAQMWSMHVGNAFEEDLGRGGLDIRLHMSACSYEWFHFHRMFGYSWKNKKLDPTFMNAAKGKELLPRLVQVAIELDKRGACRRCSVKRMSYQWNKPADFPAINPSYANKRSRFIYAGGASGSRKFLPYFPFDSVVKVDVSDGTSRRWSCEGRKFVGEPVFIPTGGGEDHGYVIIVEYAVSEDRCNLVVLDARKIGKKSALVAKLQVPKHLTFPMGFHGFWADE >cds.KYUSt_chr7.25445 pep primary_assembly:MPB_Lper_Kyuss_1697:7:158774031:158775603:-1 gene:KYUSg_chr7.25445 transcript:KYUSt_chr7.25445 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVVLLITILCAFAPLPSEALNVRGHLLKSKTFRSPPFFLSPGSVSDKYHYDMDFPQGHLAIKSFDAEVVDENGVSVPLHETYLHHWVVEPYYALKNSHSADSEKLPKAVPGGNDGVCSTLGQFFGLGSETRRTATWIPDPYGIEVGNPEKAPEGYEQKWLLNIHAIDTRGVVDKPGCTECKCDFYNVTVDEFGDTIPKNYRGGLYCCYDQTQCQVKDGFNGEVRKLFLQYKVTWLDWTDAVVPVKIYIFDVTDTSLLDGTTDSCKIEYQVEECTAVNLANDDCIHVKTSRAVLPRGGDIVFGAAHQHSGGSGSSLYGQDGRLLCESLPTYGTGQEAGNEANYIVGMSTCYPKPGSIKVSDGEVLTVVSNYSSDRQHTGVMGLFYILVAEPQQPAPAPSLCFSFPAKCEFI >cds.KYUSt_chr3.35913 pep primary_assembly:MPB_Lper_Kyuss_1697:3:225562484:225567707:1 gene:KYUSg_chr3.35913 transcript:KYUSt_chr3.35913 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVAPAGSSTSSWEDHLPFAAPPYNVAPATTTHSSTAAGVYSSSVKHFIASSSLPPLTPLPCHQPPLLQTRPPPPAAIVSSGTKLRRPGKDRGWGGRREVDTAATLGTWSGGAGDLERRHRQIHRGHGLPECCEGGVVLVYDITYLVSSFYYKGYASLTKAQKLEWNNRGISTVHAIFITYMSVYLVFFSDLFSDQLDGPITSRNSNLSNFTLGVSVGYFITDIAMIFWVYPSLGGMEYVLHHILSLISIVYSIYSGEGQLYTYMVLISEATTPGINLRWFLDTAGLKKSKAYLVNGVWMVVAWLVARILLFIYLFYHIYFHYDQVMQMQTFSCLLVFGVPTVLLIMNTVWFAKILRGLKKTMAKRD >cds.KYUSt_chr5.18713 pep primary_assembly:MPB_Lper_Kyuss_1697:5:121058448:121058771:-1 gene:KYUSg_chr5.18713 transcript:KYUSt_chr5.18713 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAKRMEQMVGSPGTWSGMVLRVSQCVFAAASVCAMLTAFLFSESPAFFYLMDSPAMWCARVCVLVSGTGP >cds.KYUSt_chr6.4555 pep primary_assembly:MPB_Lper_Kyuss_1697:6:26554887:26561040:-1 gene:KYUSg_chr6.4555 transcript:KYUSt_chr6.4555 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGHDHVTPAAAAAAAGEDTPLRKGEQGAGTTAPDGWTNKRPQVIKFRYRKSGKDDSSQDPMTQPASSPLSRQSLSTPVIDTYHAGGFYEIDHGMLPPRTPIHLKSIRVVQVSEYTSLDVTVSFPSLLALRSFFSTFPAPSAGPELDERFVMSSNHAARILRRRVAEQELQGEMHQDSFWLVNPCLFDFSASSHPANLPDVLSSPEAPPAQPKVPVPSCRLLETLKCDGAGWGVRRRIKYIGRHRDASKEASIGGYETEATVREQQRPAQQEDNRSSVCGKRKRDEAERNNDKPSRERRAKKMYKSPKKQKKRHVESKDGDPRRGKERWSAERYAAAERSLLEIMRSSGARLGTPVMRQALRDQARKRIGDTGLLDHLLKHMAGRVPDGSTERFRRRHNADGAMEYWLEPADLAEVRREAGVSDPYWVPPPGWKPGDDVSPVAGDLLVKRQVEELAEELNDVKRHMEQLSSNMVELGNEAKSEAEQAYSSWKEKYQKVVKANEKIEKQVFSLKDTYENVIHKNSKLKKEVRSLKDKYEFVVEKNDKLEEQMASLSSSFLSLKEQFLLSSNGDKLKMAIDQVHVAHNDGKQAIAIGGNSGQTSQQADVTVIHDGEKRTMRKSSFRICKPQGTFLWPSMGSGTDISGGGSSGISIATSGSLPRSGSGSCPGIGPGLPPSSRAPVEVLIESPLDEHVMVGDYFSTPPSASSSNATKLLSLPSPRSPLQPQPLFSTLHSFAGLTLRHMDSPSSLPSPCGASLLQRGRMAMPNTEVGGISTVGTELALATPFYC >cds.KYUSt_chr5.23753 pep primary_assembly:MPB_Lper_Kyuss_1697:5:154665161:154669940:1 gene:KYUSg_chr5.23753 transcript:KYUSt_chr5.23753 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVQDAEVDIGAPPLDPFEFGDDLHGEEEEVGEGEEEEDELTEIGVEAFAAGGRRKASNYSEAENIILVRAWASVGMDACTGTDQTGKRYWQHIEDAYWKMKPKTGGFAPRTFRSLQGRWELMKPSCARWSAAISNVIDAPPSGTVESDYLRKKMEGDVSSIREKMETMMKTRETLTLKTLETKLLITDKKKEVKLAQVEARREEAKRKADLEERMLAMKEAKAWKELMLEENEHMMMSKKDMDEDQLRWWKDYKEDIAARKRMFRSASSSLRGDTPMSGCGDGGVEDTTTGAYEGDGYLVRRGWRRIAVTHRSEVACVEPDPHAGCNDFFAVMTVAIVPAVVTKSASHAKINPSDALVFQLLGRRHHREEEVCTADLVLAGPGSMAVESWDLGSMVAAGSGPMAVESWDLGCMVAAGPGSMEAGSRGGCIGDSLRRPLRLASPTGG >cds.KYUSt_chr1.30375 pep primary_assembly:MPB_Lper_Kyuss_1697:1:183910816:183913833:1 gene:KYUSg_chr1.30375 transcript:KYUSt_chr1.30375 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRWLQAAVLMCLLLLCSGRELKDKPAPVYNSTLAKTLAEYTSAVYTTDLSQLFTWTCEKCNDLTQGFEMIELIIDVQNCLEAYVGFASDMNAIVVAFRGTQENSIQNWIEDLFWKQLDFDYPGMAEAKVHSGFYSAYHNTTLRDGVINGIQKTMEAYGNVPIMVTGHSMGAAMASFCALDLIVNYGLKDVTLLTFGQPRIGNAVFASHFKKNLPNAIRVTNEHDIVPHLPPYYHYFPQKTYHHFPREVWVHNVGLDSLVYPIEQICDDSGEDPACSRSVSGNSVQDHLHYLGISMHSESRGSCRIVTDGNMLRYKIGAPDGTIILSKQPGLSVDQQLSAL >cds.KYUSt_chr4.50084 pep primary_assembly:MPB_Lper_Kyuss_1697:4:310250063:310252214:1 gene:KYUSg_chr4.50084 transcript:KYUSt_chr4.50084 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAHFPAWLPLLVLLLAAGGATAQTCLTATFTGGRTFLKCNALPVLGASLHWTYHAENGTADIAFRAPSSTTGWVGWGINPTNTRMAGSNVFVASQDASGVVSVLTTILATTSPSLTNQSLSFAVPVPASAEYSGGAYTIYATVALPSNSSSQNTVWQAGPSNGGSILPHDLTGQNVLGMQNLDFLSGASTAASNSRLHRRNLHGVLNAVGWGILIPLGAMIARYLRVFEAADPAWFYLHITCQISGYALGVGGWGLGLKLGSESAGLTYNPHRNIGIAIFSLATAQVFALFMRPNPKHKYRVYWNVYHHSIGYSVIVLAIVNIFKGLNILKPATGWKTGYIVIIAILGGVALVLEAITWVIVLRRRKRNQSSGYGNGTNGTGLQL >cds.KYUSt_chr6.23667 pep primary_assembly:MPB_Lper_Kyuss_1697:6:149596064:149600090:-1 gene:KYUSg_chr6.23667 transcript:KYUSt_chr6.23667 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPPPAPAPDWAGLPDDALLTVFKRLGASEVLMGTAVVCRNWLRVATGEPDLWRRVDLSDCFDPTIDMVAMARAAVDRAHGCLEHFSADCFVNDALLLYISKRLSPTFCFFLRLLSVAVDQELVPTIHVLRFGCLLLTKGLKSLRLVNCMKVSDKGLVAVGKRSPHLEELELTTCSIKISMKAVGQAFPQLKRLRLNKRWVNIPCEESFDNHQALDIASSMRELRHLQLFANRLHSNALATILDNCHHLESLDLRQCFNAHIDAELRAKCARLKDVKLPKDSASDYEHESYIETLSLNTLPLLFPNYGAQGSSDEDDEEDDLDAHLGHLAE >cds.KYUSt_chr3.41132 pep primary_assembly:MPB_Lper_Kyuss_1697:3:259570668:259572227:-1 gene:KYUSg_chr3.41132 transcript:KYUSt_chr3.41132 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPNANPRLDHLSRVLASDRPPPPAAAVHGHLIRAHGDTPPPVIRAALNRAIRRLSKPRPLAALRLLLLMPRLPVSPDHYTLPFSLNAAASLGLLRLGASLHAVALRLALAPSCLPVANAIVDLYAKCSDLPAAHAALASIPSPDAVSFNSLLCTHARFAAVPAAESLFTSMPSRTQVSWNAMVVVYVNAGDFASARRVFDEMPARDLASWSVLIVGYCKHGFMPSARELFDKMPKKDLVTWTAMINGYAQNGRPEDSLASFRKLEAAGIEPDAATMVGVISAASQIGSMELAGQIGAIVDNRRIERNEKVLTALVDMHAKCGNVEQALNAFREIEQPDAYPYTALISGLATHGHAKLALQVFERMQAQAVRPDPITFVGVLTACSHAGLVDLGLDYWEAMVQDYGINRRADHYACVVDMLGRAGRLQEAFEMIQTMPMGPHPGALGALLSACKTHDNVEIAEVVADKLFELEPRNTGNYIMLSSIYAGKEQWEEAERVRSLMKTKLPFKQPGSSWV >cds.KYUSt_chr6.410 pep primary_assembly:MPB_Lper_Kyuss_1697:6:2529387:2532864:-1 gene:KYUSg_chr6.410 transcript:KYUSt_chr6.410 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEERNGVAGAGRDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTTTNQAVIALRMLRENREMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIILSVNGETKTVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFSTRECVTLDTYEECNKPLSADSDYAYGQVTCGSPDQSGRPIKKRKEYHSEEEDEDEDSNGQDNDDPSAPKRPRVVWSVELHRKFVAAVNHLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLRRLSAVASQQAGIVAALGGRDPFLRMDAFEGLQGYQAFTSPAALSSFSAHGLSSPRNNQAAVVIQGRSIHTGSVSSTTNNSIGDANKYHLSLQGNQHGKLAQGLATSLGQAQLQQKWMNEDNGDLSAILCGNSLVKGMPSTLRSVTSSTLLPQDQVECTQAKVAIQPSIRAPPASLELLEGAVGASSDPLESRVSQQGALPLCGFSGSRLPVHGPFNNNGATRLGDAFSASFRPTNDLTVARGSKVGASSFGGTIHLSPDTEQNSADSLLDPKLVWSSSQLTSNVGAHHSMSQRSNNCSINIGHGARMFGQISANASPVVPQAKIDFLLSGDTSMARNASESSIPRLQTELSSSTCSFDGLLNSMIKVEKDDNAFGDDLGCDFYSLGACI >cds.KYUSt_chr1.28223 pep primary_assembly:MPB_Lper_Kyuss_1697:1:170319111:170319335:1 gene:KYUSg_chr1.28223 transcript:KYUSt_chr1.28223 gene_biotype:protein_coding transcript_biotype:protein_coding MSPADVAENLMPKSKVKDVDAGLGKLIKALKEAKEEALAKAPVGAETEEEAEDNDEEDDSSSSEEEKNGKNKNG >cds.KYUSt_chr1.35698 pep primary_assembly:MPB_Lper_Kyuss_1697:1:217579515:217582302:-1 gene:KYUSg_chr1.35698 transcript:KYUSt_chr1.35698 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAAARRLLLSTRAAARPARVPPLAVRAPSSSCYYSGTAPSKSPPPPRFPTPKEIRRGLDQFVVGQDKAKKVLCVAVHNHYKRIYNEPSSNKCSSKTSAGRNVSTSGNDDTELEKSNILLIGPTGSGKTLLAKTLARFANVPFVIADATAITQAGYSGENVESIVYKLLVAADFNVEAAERGIIYIDEVDKLAKQVGCQEDWRDVSGEGVQQALLKIFEGTVINVPRKRSRDSPSGGYVEVDTKNILFICGGAFSELGKIISERHRRSPFGFGTPICGELRDHALTNALVESSLLHDIENDDLIAYGLTPEFIGRLPIIVGLTHLTEDQLVQVLREPKNAIGKQYKKLFKMNNVSL >cds.KYUSt_chr3.33367 pep primary_assembly:MPB_Lper_Kyuss_1697:3:209481792:209483028:-1 gene:KYUSg_chr3.33367 transcript:KYUSt_chr3.33367 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSQLPAWMQGRSNGVRGAEQAAVFGGDARDGGWREVEEKQGVALGRFWGVLRGRHANVGLDMHFFSMGEPWRRGKPV >cds.KYUSt_chr4.23361 pep primary_assembly:MPB_Lper_Kyuss_1697:4:147157683:147163371:1 gene:KYUSg_chr4.23361 transcript:KYUSt_chr4.23361 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLLRNLNAEPDLFQDDDAAAGDDGEESPRDAAPLHYKETEDKNELLDAGCILPSDSDDSSKFLHKWNLDTFWVELVCMRSAVGTLFGKTKEVDMVHTRKNKELRLRIGCLDHTLIPKTIDVFIQRGFLKLSFEVESVTVTQLGSDDMGNNGDNNVGGDNNGSNGDKADSANDMDIEKTMNVEQQGNANNQKGTVKRSIMLRMLLATKFNIKLRLQYCLALLIRFC >cds.KYUSt_contig_319.1788 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000035.1:11698579:11704785:1 gene:KYUSg_contig_319.1788 transcript:KYUSt_contig_319.1788 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLPKPTGEQRRATQRVGRLPGLLVGPGPSGDGPQNSGTRPGYCEGVPPDLYLVRKVRALIGLKKGCDTCTGLCQEQEARGGCNSFVRVLYERPLFRVPVYEGAGENFEPLEEVKHTDGEGLAVVAEVVVAAESALGMQKGDVEIGEDGVKTGDSGDSGKEESTLSVVRPDPRAPGWNKRLRVGKAPAERAPCPGRIGALEKSVRDFAENPSENVIKIELGFSFDSLNEAYDYYNLYSWENGFGIRYGKSRLNVERNKCMQEIVCGCSGKPEKDNSRSCRCECPALIRLLRTSDNGWYIAEHRVSHNHSLSLTCGERVHWPSHKHIDMYTKNLVKQLRDNNIDIGKVYAIVGSFFGSMENVPFTKKTLKNLCGKISRDNADADVHKTMQVFSEMGKKDPDFTFRVQTDGEGRIKNLMWATGSGRTQYKFFGDVLTFDTTYKTNLYDMPFGLFVGVNNHFQSIILAGVMLRDEQTESFEWVFTEFIAMMGGSAPQTILTDQCRAMEVAISNVMPRTTHRWCKWHVLKKAKESLGSLYTKRSEFRAEFHKVINHMITVDEFESAWQMLIDKYSLRSHTYMTQLYEIREKWASPYFRGVFCAKMTSTQRSESANHMLKTYVPPSCPMHMFVRHYLRMQFDRDSAECAEEKRTRLARPLLRVNRAFERHASQVYTRAMFEKFGDILYEAGYYEVEVEEIGKIMDHLDVKKIPARHIVKRWTRDARDVLPDHLAHYQRDQLAKGTFTFRHSQLYLQALQLVRMGDTSVEAYEKLTSLFSDNIVTMQPYTEKPDGLGLVEQQKEKEKRAATMTIQKDKWITRSENGIDALKAPGKKRPAGRPSNSRDRALYEGTSKRTRFCTICRRPGHRSTTCPDRGDLPKKPRKVGRCTICGVEGHRKDTCLKRRKLDEC >cds.KYUSt_chr3.48449 pep primary_assembly:MPB_Lper_Kyuss_1697:3:303068620:303069420:-1 gene:KYUSg_chr3.48449 transcript:KYUSt_chr3.48449 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRTSIGRQKIEIRPIEREGARQVCFSKRRSGLFKKANELAIMCGVEVAAVVFSRAGNAFSFGHPSVEAVIQRFDPTGTDTGGAAEDNTLLVADLNRQHQELRAKLDAAKVKKDQVDAAMAMAKERCAGIPVAAWLEADVRDMGEEELMQFAAALQVVQAAVAARANQVLQDALNHGRAMAARNRSNMVPVTAPPQQLLGMGAGSYGWYDFGAGSSGNATNAAEMDMMQLMMMGMTPPPPPPQVFAVADLDQLLQQGFGFPGLY >cds.KYUSt_chr7.36438 pep primary_assembly:MPB_Lper_Kyuss_1697:7:227725595:227726347:-1 gene:KYUSg_chr7.36438 transcript:KYUSt_chr7.36438 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGILASDGVVLVGEKKVTSKLLQASRSAEKMYKVDSHLACAVAGIMSDANILINTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKVHGFQLYMSDPSGNYSGWKAAAVGANSQAAQSMLKQDYRDGMTREEAVALALKVLSKTMDSTSLTADKLELAEVFLHPGTGEVQYQLCSPDAMGKLLAKAGLTQPAPEE >cds.KYUSt_chr7.24396 pep primary_assembly:MPB_Lper_Kyuss_1697:7:152070470:152070928:-1 gene:KYUSg_chr7.24396 transcript:KYUSt_chr7.24396 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPGEGHAPAQPGWVCWLFQLVSCTLLILIIVWVMRLAGYDQQIALASAAPVALLSFFLMKLEFLRRYPGGDPAATAHERLRTELFVRAYSLACVSMLTLHVARAVSIPELKLSLRVVATVISVVAFYYLFTARCCSSRTDDAEQSRDQRV >cds.KYUSt_chr5.5452 pep primary_assembly:MPB_Lper_Kyuss_1697:5:34049000:34051153:-1 gene:KYUSg_chr5.5452 transcript:KYUSt_chr5.5452 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKTHTHKAFLLCNYALLGAASSYIFLTLSLRLLPTPCGLLLVFLHALTVVFSAAGCSGSFTAGPAPWHTAHTAGAARSRPSSEGIVALLAFTRTADFLAELRSYLNKTLDPRLRRGRRRKVDAFARLFESLRADGLLSDASRALCVGARLGLEVTVLRLVGVHRAVCIDLSPAPPLVVRGDFHAQPFADDALGITSNTLRVFDGRHRGGGAQQLHGDGYLHGDGKLCARGKDADDKARTTTTRCRGGGTYLRRARREEMSPAPTRDGGDGEGVVRRGADEGRRRRPGRRRGAGAELGDGDGVSAGVRRKGNWGEELARLSEIEGKSLWYRLVPPTVATVVVVVAVIIVAGIGVAVLERRRVSTRGLGLRVAQAGATVGHDALESPAVPPQPTAGLVEVRRRRAALLVPGKRPLTPIDEEAFPSRAVVGMPLGIPPLLWRELEVVVVHDGHLARHT >cds.KYUSt_chr2.41492 pep primary_assembly:MPB_Lper_Kyuss_1697:2:258121540:258124482:1 gene:KYUSg_chr2.41492 transcript:KYUSt_chr2.41492 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIKGGHRLTLFFLAVCAAARSASADDGTLPNGNFEDSPDRSQMNGSTVTGEYAIPRWKTSGHVEYIESGQKQGDMFLAVPEGAHALRLGDNADIQQQLYVTPGTQYSVTFSAARTCAQNEKLNVSVVPGGAPDEVSIQTVYTSSGWDSYCWAFQATAGVVSLIVHNPIHEDDPACGPTIDAVAIKTIYPPQATTDNLLRNGDFEQGPYIAPESQYGVLVPGRDGMDVSPLPGWKVRSYSKVVKYINSAHFAVPRGSYAVELVAGGEAALLQEVDTVPGSSCTLELSVGDAGNGCVAQDQQPMRVQASTTDRSMTVVYNSTGTGGSTRASLAFTASRSKTLVAICSSAYHTKSDYSGTRCGPVVDDISLVCVSQPPARRLLR >cds.KYUSt_chr3.26476 pep primary_assembly:MPB_Lper_Kyuss_1697:3:164914966:164917311:-1 gene:KYUSg_chr3.26476 transcript:KYUSt_chr3.26476 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGTSLQVDHEKVLLISPDTTFSCGFYPSGNDTNAAFYFSIWFTHSTDKTVVWTADPRFPVNGQRSMISLNHDGNLVLTDVNGSTAWESNTSWGKHTTVSLLDTGNLVINASADKIIWQSFDSPTNTLLPSQHLTRENRLASHSDYHRLYFDNDNVLRLLYNGPEITSIYWPSPDYNALQNGRTRFNSSKIAVLDQEGNFLSSDGFRMIASDLGLGIKRRITIDYDGNFRMYSLNASNGNWAITGEAVQQMCYVHGLCGRNGICEYSPRRVSCTCPPGYKMIDPENWNKGCTPTFRIDCGQPYEEFTFIKVPHGDFYGFDLTSNTSISLEECTKICLESCLCISFTYKGGEGLCYTKNLLYNGQVFPYFPGDNYFKVPKNVSSTSSASKHTGITACSPERSKVMLVSAYSKNSDNITWAYFYTFAAILGAVELLFIITGWYVLFKMHNMPKSVEEGYKMITSQFRRFTYRELVEATGKFKEELGKGGNGVVYRGILGDKKVVAVKKLTDVRKGEEEFWAEVTLIGRINHMNLVRMYGFCSEGQHRLLVYEFVENESLNRYLFDDRDTERLLSWSQRFKIALGTARGLAYLHHECLEWIVHCDVKPENILLTREFEAKIADFGLSKLSNRDSSSLNFTHMRGTMGYMAPEWVLNLPIDAKVDVYSYGVVLLEIVTGSRLSSEVTVGKEDIDSLQISSGETEGREEMGLMQFVQVVKQMLTSGADLDIVDARLKGHFNHEQATVMTKIAISCLDERSKRPTMDQIAKDLMVYDDEDYHPAYF >cds.KYUSt_chr3.24840 pep primary_assembly:MPB_Lper_Kyuss_1697:3:154144534:154146451:-1 gene:KYUSg_chr3.24840 transcript:KYUSt_chr3.24840 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWVSNRGKSRRPPMRKRTVVVPANATSPDVYPPSNGPTDGSSYEYSGSKSCFTYDELAGITCGFSAENVIGEGGFGKVYMGALGDGRRVAVKQLKVGGGQGEKEFRAEVDIISRIHHRHLVTLVGYCVTENHRLLVYEFVSNHTLEHHLHGKGLPAMDWSKRMKIAIGSARGLTYLHEDCHPRIIHRDIKSANILLDDAFEAKVADFGLAKLTNDAMTHVSTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDSSQPLGEESLVEWARPLLVDALETDDFRELADPALQSRYSKTEMRRMVEAAASCIRHSVTKRPRMVQVWRSLDVDGSSMDLTNGVKLGQSTAYDSGQYSADIEHFRRMAFEADLETAEYGISDEEDHRAAGSGRQERKTAGK >cds.KYUSt_chr3.5953 pep primary_assembly:MPB_Lper_Kyuss_1697:3:33978070:33978627:1 gene:KYUSg_chr3.5953 transcript:KYUSt_chr3.5953 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLQEKSGEVQAKAGEATKIASETGQSVQDRTVEAKDQAGSFLGEKTQAVTTAASETAEAAKKMGDEAVGKASEAAQAGTDRAVDSKDQTGGFLGEKTDAAKKAAAESADAAKEKANAASQYVQDRASDAAEYTKAESPVAPKENVFQQAGGNVMGAAVGAKDAVLSTLGMGGDAAAEKTTKD >cds.KYUSt_chr5.3319 pep primary_assembly:MPB_Lper_Kyuss_1697:5:21475707:21478038:-1 gene:KYUSg_chr5.3319 transcript:KYUSt_chr5.3319 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAEGFFGDLEPSLQALLAEAGSGVDDVEAVVGCSTLPGESTPAAAAGDSISSTSGNSVAGGQSTVRGVLGAQAEVSVIAGDDVGERAVVSGWKRRPRVGKAPPERPLNSARVTALEWTLREFPARSSGEVIVPEGRPRSKNSQSTRCLCPALIRLLRSKDNGWYICEHRDVHSHELSASFGERAHWPSHRHIDSYMKDLIRQLRENNVNLGKVYSIIGSFFGKMENIPFTKRALRTLCGKMSSEQADDDVRKTIEVFSEMGCGSRIHIQCAGGRQQQDNKPALDFREGEGSVSLFCHFQSVIFAGVLLREEKVENFEWLFREFVKMMSGKNPLTILTDQCRAMEVAIGNVLPNTKHRWCKWHVLRKAKERPGSLYGKNRQFKVDFHCIVNQMLTKE >cds.KYUSt_chr7.26773 pep primary_assembly:MPB_Lper_Kyuss_1697:7:167229186:167238818:1 gene:KYUSg_chr7.26773 transcript:KYUSt_chr7.26773 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPTSAQRLTANDALAYLKAVKEQFQDDRAKYDEFLEVMRDFKSTRIDTAGVIIRVKTLFNGYPELILGFNTFLPKGYEIKLQDLDTHKKPVDFTEAIGFVNKIKARFQHEEDVYKAFLGVLNMYRMHNKPIQDVYEEVATLFRDHPDLLEEFKHFLPDTSTVPQVLAVPKGVSVKQDGDKAKRSVQTIKRERPHPSTAENDTSVDRPDLKHDTGRKRIEKEKGRKTDRDRRDQEKDGEYDRKDLDGEQPKRKTFPKKLEAETHQGAASNAASSYNDNDAHKGLYTQEFHFCEKVKEKLQPEAYQEFLKCLHIYSQDIITRSELKILVTDILQRCPDLMNGFSEFLEHCENIDDFLDGLINKRQTSRTVKTWEKERDRGRAGEDMERDNEKPSENGRERLEKVSKDGTTHKATAFSGKEKYVCKPISELDLSNCQRCTPSYRLLPKNYPMPSSSSRTDIGVSVLNDLWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFEMDMLMESVDVTIKRVEEFIEKLQDNSIEPDSPIHIDEHLTTLNLRCIERLYGDHGVDVIDVLRKNASVALPVILTRLKQKQDEWSRCRSDFNKVWAEVYAKNHHKSLDHRSFYFKQQDSKNLVTKALLSEIKEINEKKRKEDNVLLAIAAGNRRPIVTNMAFEYVDSEIHEDVYQIIKYSCGEVCGSADQVDKVMRTWTTFVEPFLGVQPRARCVEDAGVVKHKSRTPTAGLASVGENNNATENGAVAVKLADRDESIPKEQAQSSRAILANGVAEDAQNGFHDADQTVRRGEGPSGQNISTERSVESTHLSQIEQNDLTTNLEITSGTSTSRGNFSGGEAVTEAMGGNETIPSIGRGETGSRKNSKVEREEGEVSPYGDFAGENDADVDDGGEESAQRCTEDSENVSEAGEDASGSESSDDEDADHGDPDVKAESEGQADGNTEAHDADGGISLPFSERLQNAVKPLAKHVPTVLHDRGEKSSRIFYGNDSFYVLFRLHQILYERILSAKTNSCTTEKKWRTSKNANSPHQYSKFMAALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIASDEMDNKLLQLYIYENSRSAGRFFDLVYHENARVLLHDESNYRFERHPNPTRLSIQLMEYGHEKPEATAVSVDPKFSSYLYDDYLSNISHTKLSDDVFLERNKRKHGSSDDPEASLEVMDDFRVTNGLENKISCKSSKASYVLDTEDVLFRCLNPAGLSIQLMEYGMKCLEE >cds.KYUSt_chr3.23472 pep primary_assembly:MPB_Lper_Kyuss_1697:3:145086898:145088608:1 gene:KYUSg_chr3.23472 transcript:KYUSt_chr3.23472 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAASSAQRGALCSLLCLCLFSAGLLLGSRPFFPTPRLWEHFSRAQQQRLARTAPPPAPPHVAAAVAAAAYHDHDVQAPAPDAGYGELGREEEDDEEMALGAAPAPAPAWEDGGGEGRECDLLDGSWVHDPAAYPLYQAAECPFLSDQVTCRRNGRPDAGYEQWRWQPRGCGSARFRGAEVLEQLRNRRLVFVGDSLNRNMWESLACIIYTALPDRSRTRIEDVSSEYRIFRAMDYNCSVEFFWSPFLVKLETKQDQTKALKLDQLPTMIKQVVGADVIIFNTGHWWTHTGKLRAWDHLERNGVHVEMEGEEAFNRALRTWARWVDHNIDPTRTKVFFRSISPEHK >cds.KYUSt_chr4.13826 pep primary_assembly:MPB_Lper_Kyuss_1697:4:85130349:85130861:-1 gene:KYUSg_chr4.13826 transcript:KYUSt_chr4.13826 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPTLPSSASSLKIKLLASSSPWTGTRHPARSQLPPPRPGSLRASSRPTLSPRTINSAQPPPIRQVPPPSCAHPSPVPPTPPGYIAADIVLCHIAGDEEKGGKLGEAGRWWAGRWGEKNLETLYVVAVKRWGHVDCKERIQRDPNKLMACTKHRFDRKSKSRRLATGCR >cds.KYUSt_chr2.5533 pep primary_assembly:MPB_Lper_Kyuss_1697:2:34264263:34264910:-1 gene:KYUSg_chr2.5533 transcript:KYUSt_chr2.5533 gene_biotype:protein_coding transcript_biotype:protein_coding MSHARVEYESPDYFVLTVGSHQPRLIQWPIASPAAESSTWPPVHHRGSLHWPMGLSITVFDTVAETFRQMSRPAQLGDMVSLLDMGDALALSSTTLECVTLDVWVLQDYDAETWGFQYRINLRAIVAAPPLDLQVKYVPRMVAINERELLIQVGWRLLHCGIDGTFLGNVEIEEHENYLTLTKHRLQESMISLPLFERQQQEEAVNNVPPFLIVL >cds.KYUSt_chr2.1350 pep primary_assembly:MPB_Lper_Kyuss_1697:2:8000097:8011982:1 gene:KYUSg_chr2.1350 transcript:KYUSt_chr2.1350 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRSSTGSSRASSSPSPSPSPSPSSILHESITEMSKIAFIDEIIPFFIFFHYNPSFSMLGPTIIAWHETVPKQVCFQGAMYAVAGNSKAATFSGLAKSCHPPADSWALKGGCSAQNLGCGKEKLEGCGRRDGVRERQDVALPIVGHRCGLAGRVRWKSYKDMSWRSWSLGRGGTRRIVGRREIKTWRCGGRVHLGVCGIKFGLYGFFRFGHLMATISFSTIKNLELNLLPLTRLYFGIETWIPAKSNLYSSKNGDEHPALRRRRRRPCLPPRLPRGAGPPQPHAPVRPPPGLPRPPPHAPHHPPATSSPPSRPPPPPSESPPSPTASTTLAAWPRACPDFEEYGTRLAAAGSDTLEALFRSEAAAGRPVRALVYDPHLPWAGRVARAAGVPTAAFFSQPCAVDVVYGEVYAGRVGLPVVDGSALRGLLSVDLGPDDVPSFVAAPDSYRMFLDAVVGQFDGLEDADDVFVNSFHQLEPKEADYLASTWRVKTIGPTLPSFYLDDDRLPSNKTSVDEHKLSEELRGKCKGKGLIVSWCPQLEVLSHKATGCFLTHCGWNSTLEAITTGVPLLAMPQWTDQPTTAKYVESAWVIGVRVNRDTEGVVRKEEVERCIREVLDGVRKEEYKKNAYSWMMKAKKAMQVPDPWEISASYIVLSLVTMKAQVKASLLKILSALLVFSMVTLSLAIHDAGTLKNYDDAGAAISAKPTLGVGGTTVDNHHAIPRDQYSSHGGEDGSGGSGGDPTKN >cds.KYUSt_chr4.45667 pep primary_assembly:MPB_Lper_Kyuss_1697:4:282610510:282611505:-1 gene:KYUSg_chr4.45667 transcript:KYUSt_chr4.45667 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRDCLPSGEDLITFLCQHDFDVKPEMVNKSIVELAHLLHGIELSEMEHLKFFRILLNDFIDISGMGTKNWSLIELATVLKMICHRGSSYEVYHPVEIFSRDECRKINVNAYRYKTRFSNIDVLSVYKDVISLDSDKYILLVKLDCLVEKAKQVPEKAEVIFATGSKVGKEVCTTVDDRLNSITEDKIVVKSL >cds.KYUSt_chr4.19895 pep primary_assembly:MPB_Lper_Kyuss_1697:4:125114397:125114924:1 gene:KYUSg_chr4.19895 transcript:KYUSt_chr4.19895 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEVTTTNKKVVLRRYVTGYPTAENMEVVATPGIPLRAPRGLTAAVVVKNIYLSCDPWMRGRMTRHDDGDAVPADDFVLGEALVNYTVGEVVDSTHPEFSAGDLVWGMSAWEEYTLVTKTEGPFKINHPELPLSYYTGVLGE >cds.KYUSt_chr2.54255 pep primary_assembly:MPB_Lper_Kyuss_1697:2:338515031:338516692:-1 gene:KYUSg_chr2.54255 transcript:KYUSt_chr2.54255 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRVIDASYVSVPATTTPLPEPIKLNAMEAQWLTFFPVVQRVLLFDLDGADHTAPFDTVLECLRSSLAATLSSFAPLAGKLVHLKDTGDVGISCSAGDGVRFVVAESDADVRRLCCDEEYDISVLRLLVPEVDMSELPVRVLAVQVTRLEGGMALGVTVHHAVADGRSLWAFVEAWATACRGDTPAAATPTFDRSLVILPRGDELAREVLRKFAPNLPLATLPMPTSGETIRPFTRVRAFTLEKQDIELLKKRTIHLSESNGVYLLSHPLSTFAAIAGLAWTCFVRCKQFSGDDDVLFFFFADARRRLDPPVDEAYTGSCLTGCLARLPARELQADHALAAAASAVQDEVCKMAKDPVAGLDFVTPLLTVDMDRLMHVSGSPGFKAYEVADFGWGRPRWTENARMNDDGHVVLMRAKDGHGVQVSVSLLLPAQMDEFQAQFLKLLQR >cds.KYUSt_chr1.315 pep primary_assembly:MPB_Lper_Kyuss_1697:1:1745538:1748402:1 gene:KYUSg_chr1.315 transcript:KYUSt_chr1.315 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSIADLVVVDDLYLIALCNGRNEADAAGLLALPDEEYAAELQLQEVIMSSAMAAAALALLRGRCARPDATIYEATVAAGECSSSASLIPPPLAPATDDHDHAMVATLLESLPLSVGPSIPATFLFCKICMDAVPPSDAHSASSGCGHTFCASCLAGYIRVKIQDRIADVRCPEDGCGSILDPELCRCMLPVEAFEAWCAVMCESMVRGANKVYCPFKDCSAMMVDDVGGDVVESECPSCKRLFCARCGVTWHAGVGCAEYEQLAVGDRGKEDLVVMEMAMGEKWKRKIVSIPSSPDSSASTAGSAGSPGLLLQPIRIGYNFSGAVASDMAYGSNPSSSSSRHGDRGVAF >cds.KYUSt_contig_2952.1 pep primary_assembly:MPB_Lper_Kyuss_1697:JAEVFB010000570.1:1000:2971:1 gene:KYUSg_contig_2952.1 transcript:KYUSt_contig_2952.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTRDHRAPDRPQELRPAKGQAFQRLRQAATRPRPKMRVCMLGDAQHVGEAEKIGLDSMDVEALKKMNKNKKLVKRLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVSHSESLEAKVNETKATIKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPARVF >cds.KYUSt_chr2.48436 pep primary_assembly:MPB_Lper_Kyuss_1697:2:302840109:302843021:1 gene:KYUSg_chr2.48436 transcript:KYUSt_chr2.48436 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTTMPYPSTEQAPPPPCIDIEEDTNGHPGTINIDQELLFGDELTQAAAAQGRGTRGHPKFQEGYEGYMATLTGNKTAKDATVIDLDGGQPCGSSASRASCPRGHKATKADMKRDASAMKLFGTLKEMYAEKEVSTDKRGEGRRREKEEDMKNYFDVQKRKLEIEENLSMWMSGMDLHSLLSHSLEVLVSSAYGNVGFSIGYSCSRKINPDKLCTDRWTGLVGRWSDSGKFILILVMLFGRLKKFSMKGGKAWKLS >cds.KYUSt_chr7.1404 pep primary_assembly:MPB_Lper_Kyuss_1697:7:7685783:7687165:1 gene:KYUSg_chr7.1404 transcript:KYUSt_chr7.1404 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGALLSLLCLSFLQVARPATFTVTNGCSYTVWPGILSNAGVPPPATTGFALSPAESRAVAVADGWSGRIWGRTLCGPSVAGAFACATGDCGSGTVECSGRGAAPPATLAEFTLASGAAGENDFYDVSLVDGYNVPVVVAPAAAANGSSCQPTGCPVDLNASCPPELRVTVAASGATVACRSACEAFGTAEYCCSGAHGTPATCPPTAYSRFFKAACPLAYSYAYDDATSTFTCAGGGYDVVFCPGGSSLNPGANPGAAVPPSTSTAYPPMVFSSADADPAMTRCAIILLLVAMTIFHAMSTQ >cds.KYUSt_chr1.25524 pep primary_assembly:MPB_Lper_Kyuss_1697:1:153179436:153184932:1 gene:KYUSg_chr1.25524 transcript:KYUSt_chr1.25524 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPAASVLVILALALAASALAPVVSAVPFIVLHGIGDQCGNDGIASFTDELGEWSGSKGYCMEIGRGARDSWLMPLQDQVKEMKELREGYSIVGLSQVKNFISIGGPHAGTASVPLCGFEDDAVLIPRETAWFGYYPDGAFSPVLPPQETKLYTEDWIGLKTLDEAGRVKFVSVPGGHLRISRSDMKKYIVPYLTSCGSSKQSVRRILSI >cds.KYUSt_chr1.33453 pep primary_assembly:MPB_Lper_Kyuss_1697:1:203378510:203381101:1 gene:KYUSg_chr1.33453 transcript:KYUSt_chr1.33453 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIRVKAADAGFGCGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDQKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILIGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKTRLAETDSKPEDKTIKINKTEGADAPAASGSACCGS >cds.KYUSt_chr3.31832 pep primary_assembly:MPB_Lper_Kyuss_1697:3:199942117:199943574:-1 gene:KYUSg_chr3.31832 transcript:KYUSt_chr3.31832 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANSSSPSAGGGGEVPSPALSPVRLAAAQAAAIQPSSPRYFFSSLAAAGATASSQRRIGIAVDLSDESAYAVRWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVATDADADADADAEEPPHSAEELQRKREEDFDAFTSTKSEDLAQPLVGAQIPFKIHVVKDHDMKERLCLEAERLGLCAMIMGSRGFGASRKGGKGRLGSVSDYCVHHCVCPVVVVRYPDDPAAAIAGEAAAAAAIPDELHTVPEDEPVYHDAPDAHKGYYPVLLELQMEKYTLLKFEKDTPVYGSMNQISQLPKEQIEVN >cds.KYUSt_chr3.35975 pep primary_assembly:MPB_Lper_Kyuss_1697:3:226065763:226068492:-1 gene:KYUSg_chr3.35975 transcript:KYUSt_chr3.35975 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGSKHKAYNSSRSLAHLTAEQALADYAVLLTDLKSNLSAEASPVVLFGGSYGGMLAAWMRLKYPHISVGALASSAPILQFEDIVPPTIFYDLVSNDFKRESLGCFQTIRDSWKELDEQGNAQDGLLKLSKTFHLCQTLKSSEALSDWLSSAYSYLAMVDYPMSSDFLMPLPANPIKEVCRNIDKQPQGTSTLERIYAGVNVYYNYTGTVDCFDLDDDPHGMGGWDWQACTEMVMPMTSSEDLSMFPRYEFDYALYADNCVKSFGVRPRPRWITTEFGGHNISSVLEKFGSNIIFFNGLLDPWSGGGVLKNISSSVVAIVAPLGAHHIDLRPATKEDPDWLVSLRESELEIISVWLSDHYGAGGVLVQSAAANDQAAS >cds.KYUSt_chr5.1492 pep primary_assembly:MPB_Lper_Kyuss_1697:5:10134865:10135463:-1 gene:KYUSg_chr5.1492 transcript:KYUSt_chr5.1492 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLSVRIFIRSLEVPVFDVGIRSLETMQGRTTKLYLYSNASAAQPSGRSGTRQAVEASNDASPPVQKQAGAAVRSSTGTRPANREQETAPGGTAGGSGHSGSGHSGHSGQAGSSVAMHLDSNELASSPTPQKILPNFPSVPPAVRGAHINHVAMMN >cds.KYUSt_chr4.45438 pep primary_assembly:MPB_Lper_Kyuss_1697:4:281226229:281228490:1 gene:KYUSg_chr4.45438 transcript:KYUSt_chr4.45438 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAWVLLKREILFEHDASAFGGKSQAAQDSMGGPSDSMRRPRSSEEGETVKVPSRQDIKDAILVYLQSVKPDAHFANPPQLSSLRLLRPTKSIPQLCEDIFSVVIASADKNLVALYAGPYRPGNNLKGGYLIYDTSKNSLSAVPQPPYDYSRADLGLGSVVLSLKEDNYLLAELTKKRGCTPPKAALSIWRPSAAEWVMYPASFPPELCLPNCVFQADMCFSYRGSVLCWVDLFKGMLICDLMLHSDGQPKFRYIPLPQQSMAYDRFEHPLRPEEFRSVACVDGSIKFVTMDGYGQRPGNELSLTAWTLSTDLCHWNKVNECFVRDIWESNNYLQSGLPKILPLFPVLSMNEDDVVYLVVTRRKVVGCQVEYMGQYLLRVDMQHHKVSFCPQNTEQIRSQPFASQCSAYVSAYHKGKVKARKVEACKDKELIWCT >cds.KYUSt_chr1.32171 pep primary_assembly:MPB_Lper_Kyuss_1697:1:195296636:195298420:-1 gene:KYUSg_chr1.32171 transcript:KYUSt_chr1.32171 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLAVLCLLATVLPALAVTDRDDHCAADDVGRDKAQALRLKIIAVACILVGSAIGAGVPSLGRRFPALRPDTDLFLALKAFAGGVILATGLVHILPAAFDALGSPCLVRGPWKRFPFAGLVAMLAAIATLIVDTVATGYFHRTNAKRAAAVTDEPTPGDLETSSDDHHGHAHGMSVLIAPPDDDELMRHRVISQVLELGVVVHSLIIGMSLGASDFPSTVRPLVPALTFHQLFEGIGLGGCIVQAKFRLKSVVAMALLFSLTTPVGIGVGIAISSVYNETSPTALVVQGLLEAAAAGILVYMALVDILAEDFTKPRVQSRARLQLGLNVSLLLGAGLMSLLAIWA >cds.KYUSt_chr1.39235 pep primary_assembly:MPB_Lper_Kyuss_1697:1:240043895:240044597:1 gene:KYUSg_chr1.39235 transcript:KYUSt_chr1.39235 gene_biotype:protein_coding transcript_biotype:protein_coding MTEICVCLKDILREMKELPLGDSTAEKSSNGEADMTLSDNDESSSGIEVDDDFTEEEIAIAKLIITVTLDSLIAVKETIRFITGLLKSSGNKRGANEDKVESMENLLRCCSDIADQVNEFGASFGGLQKSLGVLEEEIAKDVANEMTNLAISLSLLLKKTLDYNVMLCLLVRSNVQMYLLVRVMKLLLVKPDDE >cds.KYUSt_chr5.36257 pep primary_assembly:MPB_Lper_Kyuss_1697:5:229181267:229183551:-1 gene:KYUSg_chr5.36257 transcript:KYUSt_chr5.36257 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAAEAFNHAAPSRPPLPASRQEVQAAVAKAVELRALHAALRQRGAPNANAAASRSPATIRLPPAASPARSRTGAPSAGASEDYPVFTPTYEEEPTAMVAGLNDICQDTRSRSENWSGITLDRGGRDGGDEAAYSDYDNCLNGFSSSNSDFHYAPSSTDNHLRTRGAHRIYPAFLQSAPLAGRFAASAGRVTGTAELKAPPASCSSAFRPATIGGGHGGNDRFLGSSNRVPPSSSQHPSASTHSRAKQRGSQILSWFFPKARKKAKSPLQATAVIERENMSQLLKEWGLLSLDSAKKELAEANAHRDAALEDAAEMRSSLGELTTKMMGLEAYCSELKNALRQATDNGGTQPHSRRSSSSRSIGASRELPSSMPVSHEAMVEGFLQIASEARLSVKQLCKSLIQQAEDPDNGLSDKLNLLLQPYQLAITAGGHCSKAILYHLEAIMNQSLYQDFENCSFQRNGAPRHLDPNQDRRESFASFVALRNLSWSEVLRKGTRYYSEDLSRFCDQKMSCVVAALGWSWPWPEQLLQCFFVATKCVWLLHLLAFSFGPPLPILRVEEGRAFDQMYMEDILPDKRQVQDSLTVKTMVMPGFYVQDRVLKCRVLTTRSVA >cds.KYUSt_chr4.49920 pep primary_assembly:MPB_Lper_Kyuss_1697:4:309258533:309260453:1 gene:KYUSg_chr4.49920 transcript:KYUSt_chr4.49920 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAALCLSVAVAVFSAVSRRPVAAAAGLDGSREADRIIALPGQPPNTLLHQYSGYINVDQVTGKSLFYYFVEASADPARKPLVLWLNGGPGCSSFGIGAFREIGPFLIDTDGKTLCRNRHAWSTVANMLFLDSPVGVGFSYAVNEEVYKTMGDNMTATDSYAFLLRWFDRFPEYKGREFFIVGESYAGHYIPELAVVIQINNKNPNAKIINLKGIAIGNAILEYLEEQAELYEYLWQRTLISDSAHETIRQHCKSADDASAVCQAARDTAWLNTGDISAYNIYAATCHDKKVSATGSRCTDLANPCAEYFSEAYFNNPMVQKALHANTALKYPWVGCRTTTYNLRRFGDSPPSMLPHIKALISTGTRIWLYSGDLDAMVPVTASKRSVEKLKLGVAKDWRPWSTASGKDVAGYVIEYKGLVFATVRGSGHTVPIDQPERGRALFMSFIKGHELPSSAPQTD >cds.KYUSt_chr2.40659 pep primary_assembly:MPB_Lper_Kyuss_1697:2:252627472:252633176:1 gene:KYUSg_chr2.40659 transcript:KYUSt_chr2.40659 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAASGEAPPPPRPARELYTIPASSSWFRWDDIHDLERRALPDFFGGAGGSGVGTASRNPRIYREYRDYIISKYREDPARRLTFTEVRRALVGDASLLRKLFGFLDSHGLINFSATSPRPAAAPRPGVGADAVLEPPLGLQVTPRPPPSFLEERLGGGEGVFRLPQLTSYSDVFGEWAPGKAPICGFCGEECRDGKVETLKDGFKVCSKCCKTNSDSKEESNKFSDVKQENSDNHASSAWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTINGKPDSRFQANQTTDGKTNHCIVKEASSQSTEVVDGMQIDGEEDGADKLVEEHPSKRRRLCSSIDATGSLMEQLALLTTAVSPDVVAAAAAASIKALGDENPQAKKAFHLSEKEYQGKSFPSNRVQESNCNVGDQEVEMHGKTVSDKKMEKKFISTAYQVRAAVGTAVGVAAARAKMLADQEEREMELLMASIIETQLRKIQYKIKHFEELELIMDQEYTTIQEIKESLIKDWLKVLKRAFETGAPIQRDEVLTKLFLSKSAP >cds.KYUSt_chr2.45654 pep primary_assembly:MPB_Lper_Kyuss_1697:2:284699086:284699718:-1 gene:KYUSg_chr2.45654 transcript:KYUSt_chr2.45654 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDTLSSATAADASAICAQIASVFSAPSAHPPARSVLVSELAAAASRGGRVFVHGVGREGLMMRALCMRLAHLGLPAHCVGDITAPPALSGDLLVASAGPGTFSTVDAICGVARGAGARVLLLTARPEGDFPGRQADVIAHLPAQTMADDEDGGAAAAAAQGSSQAKLPMGSLYEGAMFVLFEMVVLELARVLGQSPAQLRARHTNLE >cds.KYUSt_chr7.5817 pep primary_assembly:MPB_Lper_Kyuss_1697:7:34783725:34787653:1 gene:KYUSg_chr7.5817 transcript:KYUSt_chr7.5817 gene_biotype:protein_coding transcript_biotype:protein_coding MHGQPRRPKKPEDGAAAAAKAAKLRDLQAQVLHNHHTSTYTEEALGLSFKLLEINPEAYTAWNYRKLALRHNLKELSEPEAIKSVVDAELRVVEAALRANPKSYGAWYHRKWLLNQRLTPVDSKREFGLLDKLLKVDARNFHGWNYRRFLAKFMGVPEEDELKYTMVKICDNFSNYSAWHNRSILLSNLLVQQSKGFESKQKIFKEEFDLVTQALFTDPSDQSGWFYHLWLLAQTSSPENPQLIASWPCNDAKLSIFPISKSDDLNMVSSSSSICCYSLKDRIVPIVLYFDEPVKGLNSSNVKLNSDLVIGKDIQWRPLSVTDSGHSNCWVTYLEISNKKCSSPQQFSVEVGIPCSDDMVSRSGSPCTSPVHFTFTIELSNSNDKPQDIDLFHEPISWNCSESFRSDGNPSCVAFDQLNISNTVVQENSKWHLEGLSDQIDLFRELPDENSKFAKLTLARLLLACAAIKSRGNSLIERKGYCEEAVGLFSDLINLDPSHKRYYEDERSLVIMDQLTCDMRTFMKYCSVQVKSNSAPLNHVQLCRLSLTCIGFTERMLWVQMLDLSHNSLRSVEGLEALQQLACLNISNNQISSFTSLEPLTKIVSLKALDLSFNQIGAHPIDTTRYICSSPFSHKGEPCEEAFEACRKKNIDVEDFWDAILFFKSVKLVQLDIKGNAVADKDDFRTVVTTLSASLKWLDGTCVH >cds.KYUSt_chr2.1681 pep primary_assembly:MPB_Lper_Kyuss_1697:2:9991152:9995993:-1 gene:KYUSg_chr2.1681 transcript:KYUSt_chr2.1681 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRGGSGRLSSSTSGSNRSASLREIDEEATILEDEADDSGRGEVYVAVGKDVKDGRSNLFWAARNLLAGDLKLVLLHVHQPAERIMTGLCKVSASQLEEKELKAYRKIEKDDMNTLLNQYISFCRVSLKMQAETLVIEKNSPATGIIALIDQNHIAKLVMGTSSISMEATHLSAKVESPRSSCASSVSDQPEFPPRSLSLPPGNPDFLSSTGHQPLQRRSNSVSYPLSGTIADSVENMPAARRQSIDMTAIGFSPNSSQKSSAGSSSGLKDLDSMDDSPVPVSVASSKEHQHSMFKARENSLRKEKKELEERLAREKARLEKEHIHICNELQKANEQREELESKLLQTNSVMEELQQLQGELQREKDHAVRQAEEMRQISGNTVFGSTGAVALTEFSYEEIKEATNDFSDSKKIGEGGCGSVYKGFLRHTTVAIKKFNREGTTGEKEFNDEVETLCRMRHPNLVTLIGVCQEAKALVFEFLSNGSLEDCLQCKHQTEPLSWRMRIRIAADICTGLIFLHSNKPKGIAHGDLKPDNVLLDTSFICKLADFGISRPLNLTNTTVTPYHRTNQIKGTMGYMDPGYIASGEITAQYDVYSFGVVLMRLLTGKSPLGLPNEVEAALSNDMLLDIIDTSAGEWPPEYTETLARLALRCCRYERKERPDLAKEAWSVLQAMVNCPDDKRQPPTFFICPMTQEIMRDPHIASDGFTYEGEAIKDWLQRGHKMSPTTYLSFTHHELIPNNALRFAIQEWQMHQQP >cds.KYUSt_chr3.44491 pep primary_assembly:MPB_Lper_Kyuss_1697:3:280763491:280763892:-1 gene:KYUSg_chr3.44491 transcript:KYUSt_chr3.44491 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQLYEDEAEGRGQKLPQLAVSFAQTATPGAPTLTGSTDPARHTFRELLDATPRDAVEKGLEHRTPNWRQHRRLPPNGEAPPPRPTYIQPRRDPRAPLHLTVPERQPEAKGSDGIAAKDQEPRRLRVAPLKQ >cds.KYUSt_chr7.23721 pep primary_assembly:MPB_Lper_Kyuss_1697:7:147764984:147769340:-1 gene:KYUSg_chr7.23721 transcript:KYUSt_chr7.23721 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGSLDAEKIAAYFKGKSILVTGATGFLGKILVEKILRVQPDVRKIYLLVRAIDADSAKQRVEAEVTDAELFCLLREKHGKGGFELFVDEKIVPLAGDVIFENMGLDAPMLEELAKEVDIIVNGAATTNFYERYDVALDVNVMGVKYLCQFAKKCANLKMLLHVSTAGDREGLIMEKPFKKGETLKEGTYLDIDAELRLVGDVKKELLQDGSGDHDKTERKAMKELGLQRSRHFGWSNTYVFTKAMGEMMLGQLHGAIPAVILRPSIITSIFRDPVPGWMQGTRTIDSIIIGYAKQNLSCFLADLELTMDVIPGDMVANAMMAAMVAHSGEEGAEVMYHTTSSLRNPAPYGVLYESGRRHFYENPRLGKDGRVIPTKEMHFFKTIASFHLYMLVKYKLPLEILHLVNLLLCGLFSQLYEDLNRKYKFVVHLVDVYGPFAFFRGCFDDMNLERLRLTMAAASPEEDLFNFDPKTIDWKEYFYKIHIPGILKYVLK >cds.KYUSt_chr6.15841 pep primary_assembly:MPB_Lper_Kyuss_1697:6:99696682:99698046:1 gene:KYUSg_chr6.15841 transcript:KYUSt_chr6.15841 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTSNCGGARGRRWKGKAVSSEQQQHQLAPVLDDAPAAALLRPLKKNCRLRRSASSLSSAPASPDSSSVSNPISPPASTRQIFPFAYDPSPAAAPRLLQLLHYSSSLYQQSPLLPPQHQQQQQHTPPQHPQMISFGDAQHHQQQQQLEAAAAAASMVPPQYMSPDALRYWSQALNLSPRGGSALVPPALYQHLLLRGPAPGPGPAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGDNARLNFPDLFLGKGRAGGSGRTSAASAAAASASSPSSLSAPAPSMPDEAQIQQTTQLLLSEQHQQRGDEPSDADPKPLLSAAEQNVNLEPDRNPLLLKAGHQCGDGNNTAMMQQPPSTPGGVWGPADDAWFSAWGPGSSVWDYDMDSAHGLLLQSRFAGDQAAGMEYVPGAPEVHMAPAPAPSPLPPRAPFCWKD >cds.KYUSt_chr2.45130 pep primary_assembly:MPB_Lper_Kyuss_1697:2:281292629:281292995:1 gene:KYUSg_chr2.45130 transcript:KYUSt_chr2.45130 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWWAGASAAQLTAGLVWFRRGKGGGDMTMPFRAFAIATLIVGAGATAVTAGVSAAGVGSVAEMKDLGARIRRWSRVPPRRVQGGE >cds.KYUSt_chr3.9853 pep primary_assembly:MPB_Lper_Kyuss_1697:3:57910873:57912585:-1 gene:KYUSg_chr3.9853 transcript:KYUSt_chr3.9853 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVSSFITVFRVEETCIDVHLNPKLATYINDPEAKLANSPEKARTLHSTGLNNAKEMEVVSWWVLGFLGKYPVETMASLACVVLLLFRCYRRDGLPTNWPVLGAIPAISVNAGRVHDWLTEFLRAAPGMSHVIKGPLGTPVDVLVTANPADVAHIFTANFGNYPKGEEFAALFDVLGDGIFNADGESWAFQRRKAHALLSDGRFRDAVAGSTARKLDDGLVPLLDGFVSSGAVVDMQDVFMRLTFDLTAMFVFGVDPGCLAANFPRVPFAAAMDDAEEVLFYRHMTPVGWLRIQTYLNIGHHKKMNQARLVLDASIAEFISLRRERAAGADDGADLLTLYLACQAEVGKEGAEFDRFLRDTTLNLMIAGRDTTSSALTWFFWLLTKHPDVEAKILSELHDNPSSGQHRTTAELKRLVYLHAALSESLRLYPPVPFEHKAAVRPDTLPSGAAVGTTRRVIVSLYSMGRMESVWGKDCMEFRPERWLNEAGRLQHQPSYKFVAFNVGPRTCIGRDLAFSQMKAVVAAVVPRFRMEVAGTEAIPKLSIILHMKDGLKVRVHNRQDDASIGAS >cds.KYUSt_chr6.5507 pep primary_assembly:MPB_Lper_Kyuss_1697:6:32999136:33003001:1 gene:KYUSg_chr6.5507 transcript:KYUSt_chr6.5507 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTRPAAPTTDEEDAVLAAAAPVDAAPPGDADGRETQKKARARSKKKKKKRRRTPSEEEVAALRSVLRWARRGEAGDDEEEVGDCAHLSQFGRRRPRVALELHAHSARSDGSLSPAALVQRAHRNGVKVLALTDHDTMAGVPEAIESAKEFCIRIIPAVEISAVYRPGNGSGAEEPVHILAYYASWGPAKSQELEKVLSSIREGRYTRAKEMLFKLRNLDMPLKFEDVCNIAGNGVAPGRVHVARAMIKAGYVDNLRQAFSRYLYDGGPAYATGSEPAGETVVQLICRTGGLAVLAHPWALKNPVPAIKDLKAAGLHGIEAYRSDGKLSGLSDLADTYELLKLGGSDYHGRDDKEEPDIGSVDLPVLAFFKFLENWKKQTILRLRSSKWFFQTLSSVTEAARRILSSGQKSPPVSIDIGWFVQF >cds.KYUSt_chr4.47199 pep primary_assembly:MPB_Lper_Kyuss_1697:4:292061876:292062823:-1 gene:KYUSg_chr4.47199 transcript:KYUSt_chr4.47199 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAVAAVSVDTKLMVTAQQLKDLLSKEDSTANPLPTVTMNPLILSWACSGSWQDLELLLNSESGPSVRYNQEFLDLLTAYSSDSCIDKSASAQKASEDVEASLNQLPSVSAVSLLEGVTIEGSTALHMVATYGDVDGFLRSADIIHSKAKHLLFVQNNNGDTPLHCASRAGMLRMVSHLIGLASLDEDNTGVDKVKELLEMENKDKETALHLAVRIGNNDIVKLLMEENSELASFPKHGNSPLYLAILLEEDIIVETLYNASHMKLSYSGQNGQNALHAAVLRGTGTQSSLSKSGFLLFSADVFQHGFASYSS >cds.KYUSt_chr6.31528 pep primary_assembly:MPB_Lper_Kyuss_1697:6:199230432:199231244:1 gene:KYUSg_chr6.31528 transcript:KYUSt_chr6.31528 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVDFAATTAMCSAFDILVAVAKSSAGTNAIAMASTGMEVAAMALAAMALVVVVEVVMAQGHQTPKSSHPHPPHSEMPEARPPPAWILAGPAAPNLYADEEMEPADDDVGRRRLGPRRSAPTPALPGARPGLPEACTAGNPCPRRRPSPWCPRRRRRTSPSPTPAHEPGRQASSPPRQALGLAPMAVRDAVLDNDAGGSAAAARPPQRRLRFIVPRAVLRASRAGRRPGSGARRGSPTATPTASPRGPCSLEDPPTRKRTARTPVAGDC >cds.KYUSt_chr4.445 pep primary_assembly:MPB_Lper_Kyuss_1697:4:2420019:2420313:1 gene:KYUSg_chr4.445 transcript:KYUSt_chr4.445 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVAHYLLTETKKDKENVATMAAFPSKEAYRRLLVEKLLNNRTPILALRNKPPEPENVSVAPLRTRFLLTRPSRQSRCATFPMYEHTCDSTNALPL >cds.KYUSt_chr2.44666 pep primary_assembly:MPB_Lper_Kyuss_1697:2:278010235:278014175:-1 gene:KYUSg_chr2.44666 transcript:KYUSt_chr2.44666 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMAASVEEIGAAAPQALELHALQHLGELRSTFESGRTRPLAWRQSQLRGLLRLLAEKEEEAFRALHDDLGKHRAEAYRDEVGVLIKSCNAALREVGKWMAPEKVWAPLVAFPADAQVVPEPLGVVLIFSCWNFPLGLSLEPLIGAIAAGNAVVLKPSELAPATAKFLEDNIGEYMDATAVKVVQGGPAVGEQLMENRWDKVLFTGCPRVARMVMAAASKHLTPVALELGGKCPCIFDSASVGTGRNLQTSVNRVIFAKWSSCAGQACVAIDYILVEERFAPTLIKMLKSTLKRFMADSDQMARIVNVRHFQRLSSLLKDPSVAASVLHGGKLDAKSLCIEPTILLNPPLDSAIMTEEIFGPLLPIITVKKIEDSIAFVRARPKPLAVYAFTNSAPLKRRIVEETSSGSVTFNDAVVQYGIDTLPFGGVGQSGFGQYHGKYSFEMFSHKKAVLKRGFLVEAMLRYPPWDEQKIAMMRHLYRYNYIRFIFTFLGLSRT >cds.KYUSt_chr7.13388 pep primary_assembly:MPB_Lper_Kyuss_1697:7:82573834:82574375:1 gene:KYUSg_chr7.13388 transcript:KYUSt_chr7.13388 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPGARRRRRPALARSGWGGPNLGPFGLDLGLEGRGLCAAPRHSRWAPCPAVFPGASRASAAVRPGGDIPARVALALVWFAIVSLSRRISDRSSRRCPCASALPAGLQGGFGFRCSSQFAVRPVSRPVRPWRGLWWMRFAWRGAARLSGGRLVLRWR >cds.KYUSt_chr3.44676 pep primary_assembly:MPB_Lper_Kyuss_1697:3:281964393:281964956:-1 gene:KYUSg_chr3.44676 transcript:KYUSt_chr3.44676 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKMPSLFRRKSRSPSPPPQSNDKDAAAANHSPPRSPTRTAEQEMERVFRKFDANGDGRISRPELAALFESLGHAATDDELARMMAEADADGDGFISLAEFAALNATDAAAVEEDLRLAFGVFDADGSGAISAAELARVLHGLGEKATVSQCRRMIEGVDKNGDGLISFDEFKVMMAGGGFAKIA >cds.KYUSt_chr2.8703 pep primary_assembly:MPB_Lper_Kyuss_1697:2:54701158:54704518:1 gene:KYUSg_chr2.8703 transcript:KYUSt_chr2.8703 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEEEELEEEHEEDMEASDEEEEDVVVGQMPTFMVPKHINKRALKNKALSVSLDKKALKDFVTGFHKRKKKRRKEANKITEEKERRKRIEARKKRKEEKEIALYGKVLSSSDTADAEDGDGMGDDLPAPAPEIKTYEDGGTRITVVTSEITPEDDDLGPRPASTSYAKKNPSAVAVAKKNPSLGVKKQKPPPKRQYKKNKSKSKKCDAPAPIPAVRRSAAVLAAGTVVAARESRIVLGVGSGANLQAVFGRNVAGCAALPRRPRPVRPIAPVGSFSIFRRDLCATIDQQFLSTQMDAWHMVEKFRAEIVDSSSDDESDQSAHTLATTAASMIHEFTSNPGPQHWAREGALEKPAAQQSGEGRPASTRTTSTSPIRSFRKNCSGADTEMSRDLFLVILRASGTSTPTSNAGPMQQVR >cds.KYUSt_chr2.13141 pep primary_assembly:MPB_Lper_Kyuss_1697:2:83294080:83298861:1 gene:KYUSg_chr2.13141 transcript:KYUSt_chr2.13141 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCHAKPLTHDADTSPPHPAPATPPPASSTTAPATPKKHWTSSPFFPFSTPSPSPAHHLFSSSSAATPSKSPAPAGAKPATPARRLLRLPFPPPSPAKHIRQALARRHGPSRPAIPEEGGDADGGGRGLDKGFGFNRGFAARYDMGDEVGRGHFGYTCAARIRKGARKGEPVAVKVIPKAKMTTSIAIEDVRREVKILKALAGHKNLVQFYDAYEDNENVYIVMELCEGGELLDRILSRGGKYSEDDAKVVLVQILNVVAFCHIQGVVHRDLKPENFLFTSKDENSQLKTIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPALTPEAMDFVKRLLCKDPRRRMTAAQALGHPWIRNYNDIKMPLDVLIFRLIKAYIRSSSLRKAALRALSKTLTVDELYYLKGQFSLLEPDRNGCITLDNIRMALTREATEAMKETRVQEILVSLSALQYRRMDFHEFCAAALSVHQLEALDRWEQHARSAYDIFEKDGNRAIVIDELASELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >cds.KYUSt_chr5.14921 pep primary_assembly:MPB_Lper_Kyuss_1697:5:96520671:96521735:-1 gene:KYUSg_chr5.14921 transcript:KYUSt_chr5.14921 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCTLSSAARCSLLLIAALTLVLSHGAHAYGGSRAGLSSSFYDNSCPDTRNIVKRVIQDARVTDARILASLIRLHFHDCFVNGCDGSLLLDDDVYLGIVSEKKAPGNDQSARGFKVVDNIKRALEKACPGIVSCADILTLAAEISVELAGGPSWSVPLGRRDGTKTNIKSATDLPSPFDPLDILLEKFKNMGLDDTDLVALQGAHTFGRTQCQFTQQECTVGQDEGTLVNLDAATPNVFDNKYYGNLLRGHAPLLSDQVMMSDPRAAATTAPIVRRFSSSQKDFFKNFAASMIKMGNISPLTGRDGEIRKNCRRVNKKPY >cds.KYUSt_chr7.37624 pep primary_assembly:MPB_Lper_Kyuss_1697:7:234637786:234643116:-1 gene:KYUSg_chr7.37624 transcript:KYUSt_chr7.37624 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSGSRSASRSGGSTPATVKKEWPSPATVKKEPASPPPTERRSSGALVIRDQPSSPQRERKRKTAKNQAAAAAANQLAEEEAKRAEDAAVAEAIARSLKDLVPADNTLPIDAAAGVVQARPGSARRRSNERRMLDPAAARQLAAAPPHRRPRGTPRPGRSSLMSYLSMTNTHLADATSSAEEGALELRRSNQHVQVASGEGVWGGEGVGVEPTEWVVIVAAHREAG >cds.KYUSt_chr5.4171 pep primary_assembly:MPB_Lper_Kyuss_1697:5:26718525:26718812:-1 gene:KYUSg_chr5.4171 transcript:KYUSt_chr5.4171 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPPSSPPPAAAAAHDWGDGDARVTSRLYLHRPGPGAGPLEKDAVLRRIRHRRRANRLQDTLRSLLVVQQAAPEPDGDGERPAAWLEDAFSSP >cds.KYUSt_chr2.47674 pep primary_assembly:MPB_Lper_Kyuss_1697:2:298196617:298199272:-1 gene:KYUSg_chr2.47674 transcript:KYUSt_chr2.47674 gene_biotype:protein_coding transcript_biotype:protein_coding MFSELVNKLCGSDEYIGSGSQVASQDTFGTLGAIVKRRTSNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGIYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTIVREVGEIGDVKVIDLQCPINSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFFTDLLVVGENRQTFDLEGDSGSLIILTSQDEEKPRPIGIIWGGTANRGRIKLTSDHGPENWTTGVDLGRLLDRLELDLIITNESLKDAVQEQRNAYVAAVMSAVGESSMVDVTASEATTQEKVEEIFEPLGIKIQQLPRHDMTTSATEGEGAANTPSDMEEHQFISNFVGMSPVCRDQDAPRSIIANLNNPSEEELAMSLHLGERESDQDQEKGTPSDPESSLNLEKRPRPDTEPSIDLEKRPRCDPEPSMDLQKRPRSDQEQSIDLEERPCSDPKPSIDLEK >cds.KYUSt_chr6.3139 pep primary_assembly:MPB_Lper_Kyuss_1697:6:18366708:18369690:1 gene:KYUSg_chr6.3139 transcript:KYUSt_chr6.3139 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAASAPSVSLFQDMLELERALTKVLLLASAESEVLELTAAPLNLPELNLLLLDVTVYLLHNADFVWLSHSYKYHHKGTLRFTDEDLMNDSLVDSITETSALHQKAYMLFYVRQCMFPWFSNLLEESGSGASPMSVLDNKDVMMRCAPSAIEITNPERPSAPAPCPKRMYSIYDHNVFAFENLDEDDTLTEHETKMQYKLELMPNEIKFDGVGSYLSWSRRGMLILRTKSMEGISGSSFYINRGMGCPVKDVLGQGKCHAGLSIEDTIHDLNQGEKSVMTYVGELRCAWADLDHLAPLVLPHPECVAVVKKWIEDRRVINFLKGLNLAFEGRRVAPMYLPQPPTLEEAVEL >cds.KYUSt_chr4.50744 pep primary_assembly:MPB_Lper_Kyuss_1697:4:314447284:314449892:1 gene:KYUSg_chr4.50744 transcript:KYUSt_chr4.50744 gene_biotype:protein_coding transcript_biotype:protein_coding MDVELASLDAQPPPEGTLPDVQKEIKSDELMLDLSKYILLLATLVATMTYAAGFSPPGGVWQETDDAAGHLAGDSIIRATSYHRYLAFYYCNATAFASSLVVIVIVLFLTLLHKRSGSQTVGIHKLQTVMVVDLLSVMGAYAAGTCRDRTTTIYSLVLVGAAVAWLIIQMVWSTIWSGKQFYSTNGAANDKRLRKVLMLLATFAVSVTYVAGMSTPGGFWDAGSGHRPGDAILKEDHGKHLTVFLCFNAAAFIASLLIIVVLLDRKPRLNEAYGLITITLISLAIGYIAGSCREIDTTVYISSLVGAVLIFIIFLQVAVAQGWIEGVTAAAHTLFFIFFLLCTTFPIAIDLCSSAITRNTAEKASSLVLLLATLAATITYQAGLNPPGGVWPENGGGHVAGDPILLTTNARRFKAFFYCNSIAFVASLVAIILVQNKLLLETHVLEAAMILDLFGLIGAYAAGSCRDISTSIYAMALAGAVLVYVVIHVVFFTLHHTDTITDWEIELVEKKRKWLLLFAVLAATITYQAGLTPPGGFRLQDDVSSGHYAGDPVLLYNFPHRYTAFFYCNSVSFMLSIALIILLVNPHLYRPAIRSYALAVCTAAGMFSLMGAYAAGSTQHLRTSIYIFVLVAVVLFVIVVLLYTGTGNRIGNTDSQPRQKNDNRVGKQRHAKRKYLMLLGILVASATYQAGLSPPGGAWQSDSDWYSAGNPIMHDNRRPRYLVFFYSNSTSFVASIVVIVLLLPQWRNNKDWSLKVMNTTIFLDLLALLGAYAAGSSRGWKTSMYVVALIGAVLAFVAIHIALALSCTHQHDNQGGPGDTQSHEQTNGGNEA >cds.KYUSt_chr2.2684 pep primary_assembly:MPB_Lper_Kyuss_1697:2:16035625:16037213:-1 gene:KYUSg_chr2.2684 transcript:KYUSt_chr2.2684 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVRRSEEVLPPGVRREEVLPPVASSTGVVSLIPLARKVGLSNKPSFESGCLPVGEDESEGDDEVAVCARGHSEDADQLKKIAEKKLQLITPNPPKYRYSMEEDTELTMEDKEKMNQEEEEYAEYSRTIELSYRVRFEQRTTLSSMYFAHHTPRQIPFGIVSTEATLQIFSFKIVSCELSWPLYVYGLVAVRDQVDDHRNILFERRRRSAQLVTRDEPSLGLFGPFRAVSAVDPVKFEIELKLKGRTESRDILLLKQGANYSCSYNGFDTIDFSNCLCKAELSLEQLGDSVQATFLSVCVVEGGPCTFKYGGRVYCSALAHEATVMDSKGNVEIVDPPSSQFVLIDSRDCAGGKLPMYDTGLLDLGRCVVSVPIYQCDDSSEQYDSSEQYDYSEQYEESLKVVIEAYSPSGDVADHAHVKLRPKLSNTSRVECVLGNSKVEIIIAWSVMLKSKYYLI